SITEPROP BSCAN_X0Y0 ALTERNATE_SITE_TYPES SITEPROP BSCAN_X0Y0 CLASS site SITEPROP BSCAN_X0Y0 CLOCK_REGION X0Y0 SITEPROP BSCAN_X0Y0 IS_BONDED 0 SITEPROP BSCAN_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y0 IS_CLOCK_PAD 0 SITEPROP BSCAN_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BSCAN_X0Y0 IS_PAD 0 SITEPROP BSCAN_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BSCAN_X0Y0 IS_RESERVED 0 SITEPROP BSCAN_X0Y0 IS_TEST 0 SITEPROP BSCAN_X0Y0 IS_USED 0 SITEPROP BSCAN_X0Y0 MANUAL_ROUTING SITEPROP BSCAN_X0Y0 NAME BSCAN_X0Y0 SITEPROP BSCAN_X0Y0 NUM_ARCS 0 SITEPROP BSCAN_X0Y0 NUM_BELS 1 SITEPROP BSCAN_X0Y0 NUM_INPUTS 1 SITEPROP BSCAN_X0Y0 NUM_OUTPUTS 10 SITEPROP BSCAN_X0Y0 NUM_PINS 11 SITEPROP BSCAN_X0Y0 PRIMITIVE_COUNT 0 SITEPROP BSCAN_X0Y0 PROHIBIT 0 SITEPROP BSCAN_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BSCAN_X0Y0 RPM_X 77 SITEPROP BSCAN_X0Y0 RPM_Y 44 SITEPROP BSCAN_X0Y0 SITE_PIPS SITEPROP BSCAN_X0Y0 SITE_TYPE BSCAN SITEPROP BSCAN_X0Y1 ALTERNATE_SITE_TYPES SITEPROP BSCAN_X0Y1 CLASS site SITEPROP BSCAN_X0Y1 CLOCK_REGION X0Y0 SITEPROP BSCAN_X0Y1 IS_BONDED 0 SITEPROP BSCAN_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y1 IS_CLOCK_PAD 0 SITEPROP BSCAN_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BSCAN_X0Y1 IS_PAD 0 SITEPROP BSCAN_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BSCAN_X0Y1 IS_RESERVED 0 SITEPROP BSCAN_X0Y1 IS_TEST 0 SITEPROP BSCAN_X0Y1 IS_USED 0 SITEPROP BSCAN_X0Y1 MANUAL_ROUTING SITEPROP BSCAN_X0Y1 NAME BSCAN_X0Y1 SITEPROP BSCAN_X0Y1 NUM_ARCS 0 SITEPROP BSCAN_X0Y1 NUM_BELS 1 SITEPROP BSCAN_X0Y1 NUM_INPUTS 1 SITEPROP BSCAN_X0Y1 NUM_OUTPUTS 10 SITEPROP BSCAN_X0Y1 NUM_PINS 11 SITEPROP BSCAN_X0Y1 PRIMITIVE_COUNT 0 SITEPROP BSCAN_X0Y1 PROHIBIT 0 SITEPROP BSCAN_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BSCAN_X0Y1 RPM_X 77 SITEPROP BSCAN_X0Y1 RPM_Y 46 SITEPROP BSCAN_X0Y1 SITE_PIPS SITEPROP BSCAN_X0Y1 SITE_TYPE BSCAN SITEPROP BSCAN_X0Y2 ALTERNATE_SITE_TYPES SITEPROP BSCAN_X0Y2 CLASS site SITEPROP BSCAN_X0Y2 CLOCK_REGION X0Y0 SITEPROP BSCAN_X0Y2 IS_BONDED 0 SITEPROP BSCAN_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y2 IS_CLOCK_PAD 0 SITEPROP BSCAN_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BSCAN_X0Y2 IS_PAD 0 SITEPROP BSCAN_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BSCAN_X0Y2 IS_RESERVED 0 SITEPROP BSCAN_X0Y2 IS_TEST 0 SITEPROP BSCAN_X0Y2 IS_USED 0 SITEPROP BSCAN_X0Y2 MANUAL_ROUTING SITEPROP BSCAN_X0Y2 NAME BSCAN_X0Y2 SITEPROP BSCAN_X0Y2 NUM_ARCS 0 SITEPROP BSCAN_X0Y2 NUM_BELS 1 SITEPROP BSCAN_X0Y2 NUM_INPUTS 1 SITEPROP BSCAN_X0Y2 NUM_OUTPUTS 10 SITEPROP BSCAN_X0Y2 NUM_PINS 11 SITEPROP BSCAN_X0Y2 PRIMITIVE_COUNT 0 SITEPROP BSCAN_X0Y2 PROHIBIT 0 SITEPROP BSCAN_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BSCAN_X0Y2 RPM_X 77 SITEPROP BSCAN_X0Y2 RPM_Y 60 SITEPROP BSCAN_X0Y2 SITE_PIPS SITEPROP BSCAN_X0Y2 SITE_TYPE BSCAN SITEPROP BSCAN_X0Y3 ALTERNATE_SITE_TYPES SITEPROP BSCAN_X0Y3 CLASS site SITEPROP BSCAN_X0Y3 CLOCK_REGION X0Y0 SITEPROP BSCAN_X0Y3 IS_BONDED 0 SITEPROP BSCAN_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y3 IS_CLOCK_PAD 0 SITEPROP BSCAN_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BSCAN_X0Y3 IS_PAD 0 SITEPROP BSCAN_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BSCAN_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BSCAN_X0Y3 IS_RESERVED 0 SITEPROP BSCAN_X0Y3 IS_TEST 0 SITEPROP BSCAN_X0Y3 IS_USED 0 SITEPROP BSCAN_X0Y3 MANUAL_ROUTING SITEPROP BSCAN_X0Y3 NAME BSCAN_X0Y3 SITEPROP BSCAN_X0Y3 NUM_ARCS 0 SITEPROP BSCAN_X0Y3 NUM_BELS 1 SITEPROP BSCAN_X0Y3 NUM_INPUTS 1 SITEPROP BSCAN_X0Y3 NUM_OUTPUTS 10 SITEPROP BSCAN_X0Y3 NUM_PINS 11 SITEPROP BSCAN_X0Y3 PRIMITIVE_COUNT 0 SITEPROP BSCAN_X0Y3 PROHIBIT 0 SITEPROP BSCAN_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BSCAN_X0Y3 RPM_X 77 SITEPROP BSCAN_X0Y3 RPM_Y 62 SITEPROP BSCAN_X0Y3 SITE_PIPS SITEPROP BSCAN_X0Y3 SITE_TYPE BSCAN SITEPROP BUFGCTRL_X0Y0 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y0 CLASS site SITEPROP BUFGCTRL_X0Y0 CLOCK_REGION SITEPROP BUFGCTRL_X0Y0 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y0 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y0 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y0 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y0 IS_PAD 0 SITEPROP BUFGCTRL_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y0 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y0 IS_TEST 0 SITEPROP BUFGCTRL_X0Y0 IS_USED 0 SITEPROP BUFGCTRL_X0Y0 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y0 NAME BUFGCTRL_X0Y0 SITEPROP BUFGCTRL_X0Y0 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y0 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y0 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y0 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y0 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y0 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y0 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y0 RPM_X 98 SITEPROP BUFGCTRL_X0Y0 RPM_Y 80 SITEPROP BUFGCTRL_X0Y0 SITE_PIPS SITEPROP BUFGCTRL_X0Y0 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y1 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y1 CLASS site SITEPROP BUFGCTRL_X0Y1 CLOCK_REGION SITEPROP BUFGCTRL_X0Y1 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y1 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y1 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y1 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y1 IS_PAD 0 SITEPROP BUFGCTRL_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y1 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y1 IS_TEST 0 SITEPROP BUFGCTRL_X0Y1 IS_USED 0 SITEPROP BUFGCTRL_X0Y1 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y1 NAME BUFGCTRL_X0Y1 SITEPROP BUFGCTRL_X0Y1 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y1 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y1 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y1 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y1 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y1 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y1 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y1 RPM_X 98 SITEPROP BUFGCTRL_X0Y1 RPM_Y 81 SITEPROP BUFGCTRL_X0Y1 SITE_PIPS SITEPROP BUFGCTRL_X0Y1 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y2 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y2 CLASS site SITEPROP BUFGCTRL_X0Y2 CLOCK_REGION SITEPROP BUFGCTRL_X0Y2 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y2 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y2 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y2 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y2 IS_PAD 0 SITEPROP BUFGCTRL_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y2 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y2 IS_TEST 0 SITEPROP BUFGCTRL_X0Y2 IS_USED 0 SITEPROP BUFGCTRL_X0Y2 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y2 NAME BUFGCTRL_X0Y2 SITEPROP BUFGCTRL_X0Y2 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y2 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y2 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y2 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y2 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y2 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y2 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y2 RPM_X 98 SITEPROP BUFGCTRL_X0Y2 RPM_Y 82 SITEPROP BUFGCTRL_X0Y2 SITE_PIPS SITEPROP BUFGCTRL_X0Y2 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y3 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y3 CLASS site SITEPROP BUFGCTRL_X0Y3 CLOCK_REGION SITEPROP BUFGCTRL_X0Y3 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y3 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y3 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y3 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y3 IS_PAD 0 SITEPROP BUFGCTRL_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y3 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y3 IS_TEST 0 SITEPROP BUFGCTRL_X0Y3 IS_USED 0 SITEPROP BUFGCTRL_X0Y3 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y3 NAME BUFGCTRL_X0Y3 SITEPROP BUFGCTRL_X0Y3 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y3 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y3 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y3 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y3 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y3 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y3 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y3 RPM_X 98 SITEPROP BUFGCTRL_X0Y3 RPM_Y 83 SITEPROP BUFGCTRL_X0Y3 SITE_PIPS SITEPROP BUFGCTRL_X0Y3 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y4 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y4 CLASS site SITEPROP BUFGCTRL_X0Y4 CLOCK_REGION SITEPROP BUFGCTRL_X0Y4 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y4 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y4 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y4 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y4 IS_PAD 0 SITEPROP BUFGCTRL_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y4 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y4 IS_TEST 0 SITEPROP BUFGCTRL_X0Y4 IS_USED 0 SITEPROP BUFGCTRL_X0Y4 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y4 NAME BUFGCTRL_X0Y4 SITEPROP BUFGCTRL_X0Y4 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y4 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y4 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y4 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y4 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y4 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y4 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y4 RPM_X 98 SITEPROP BUFGCTRL_X0Y4 RPM_Y 84 SITEPROP BUFGCTRL_X0Y4 SITE_PIPS SITEPROP BUFGCTRL_X0Y4 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y5 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y5 CLASS site SITEPROP BUFGCTRL_X0Y5 CLOCK_REGION SITEPROP BUFGCTRL_X0Y5 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y5 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y5 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y5 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y5 IS_PAD 0 SITEPROP BUFGCTRL_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y5 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y5 IS_TEST 0 SITEPROP BUFGCTRL_X0Y5 IS_USED 0 SITEPROP BUFGCTRL_X0Y5 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y5 NAME BUFGCTRL_X0Y5 SITEPROP BUFGCTRL_X0Y5 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y5 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y5 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y5 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y5 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y5 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y5 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y5 RPM_X 98 SITEPROP BUFGCTRL_X0Y5 RPM_Y 85 SITEPROP BUFGCTRL_X0Y5 SITE_PIPS SITEPROP BUFGCTRL_X0Y5 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y6 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y6 CLASS site SITEPROP BUFGCTRL_X0Y6 CLOCK_REGION SITEPROP BUFGCTRL_X0Y6 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y6 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y6 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y6 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y6 IS_PAD 0 SITEPROP BUFGCTRL_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y6 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y6 IS_TEST 0 SITEPROP BUFGCTRL_X0Y6 IS_USED 0 SITEPROP BUFGCTRL_X0Y6 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y6 NAME BUFGCTRL_X0Y6 SITEPROP BUFGCTRL_X0Y6 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y6 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y6 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y6 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y6 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y6 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y6 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y6 RPM_X 98 SITEPROP BUFGCTRL_X0Y6 RPM_Y 86 SITEPROP BUFGCTRL_X0Y6 SITE_PIPS SITEPROP BUFGCTRL_X0Y6 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y7 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y7 CLASS site SITEPROP BUFGCTRL_X0Y7 CLOCK_REGION SITEPROP BUFGCTRL_X0Y7 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y7 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y7 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y7 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y7 IS_PAD 0 SITEPROP BUFGCTRL_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y7 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y7 IS_TEST 0 SITEPROP BUFGCTRL_X0Y7 IS_USED 0 SITEPROP BUFGCTRL_X0Y7 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y7 NAME BUFGCTRL_X0Y7 SITEPROP BUFGCTRL_X0Y7 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y7 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y7 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y7 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y7 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y7 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y7 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y7 RPM_X 98 SITEPROP BUFGCTRL_X0Y7 RPM_Y 87 SITEPROP BUFGCTRL_X0Y7 SITE_PIPS SITEPROP BUFGCTRL_X0Y7 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y8 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y8 CLASS site SITEPROP BUFGCTRL_X0Y8 CLOCK_REGION SITEPROP BUFGCTRL_X0Y8 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y8 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y8 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y8 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y8 IS_PAD 0 SITEPROP BUFGCTRL_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y8 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y8 IS_TEST 0 SITEPROP BUFGCTRL_X0Y8 IS_USED 0 SITEPROP BUFGCTRL_X0Y8 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y8 NAME BUFGCTRL_X0Y8 SITEPROP BUFGCTRL_X0Y8 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y8 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y8 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y8 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y8 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y8 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y8 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y8 RPM_X 98 SITEPROP BUFGCTRL_X0Y8 RPM_Y 88 SITEPROP BUFGCTRL_X0Y8 SITE_PIPS SITEPROP BUFGCTRL_X0Y8 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y9 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y9 CLASS site SITEPROP BUFGCTRL_X0Y9 CLOCK_REGION SITEPROP BUFGCTRL_X0Y9 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y9 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y9 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y9 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y9 IS_PAD 0 SITEPROP BUFGCTRL_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y9 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y9 IS_TEST 0 SITEPROP BUFGCTRL_X0Y9 IS_USED 0 SITEPROP BUFGCTRL_X0Y9 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y9 NAME BUFGCTRL_X0Y9 SITEPROP BUFGCTRL_X0Y9 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y9 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y9 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y9 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y9 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y9 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y9 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y9 RPM_X 98 SITEPROP BUFGCTRL_X0Y9 RPM_Y 89 SITEPROP BUFGCTRL_X0Y9 SITE_PIPS SITEPROP BUFGCTRL_X0Y9 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y10 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y10 CLASS site SITEPROP BUFGCTRL_X0Y10 CLOCK_REGION SITEPROP BUFGCTRL_X0Y10 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y10 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y10 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y10 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y10 IS_PAD 0 SITEPROP BUFGCTRL_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y10 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y10 IS_TEST 0 SITEPROP BUFGCTRL_X0Y10 IS_USED 0 SITEPROP BUFGCTRL_X0Y10 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y10 NAME BUFGCTRL_X0Y10 SITEPROP BUFGCTRL_X0Y10 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y10 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y10 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y10 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y10 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y10 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y10 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y10 RPM_X 98 SITEPROP BUFGCTRL_X0Y10 RPM_Y 90 SITEPROP BUFGCTRL_X0Y10 SITE_PIPS SITEPROP BUFGCTRL_X0Y10 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y11 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y11 CLASS site SITEPROP BUFGCTRL_X0Y11 CLOCK_REGION SITEPROP BUFGCTRL_X0Y11 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y11 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y11 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y11 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y11 IS_PAD 0 SITEPROP BUFGCTRL_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y11 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y11 IS_TEST 0 SITEPROP BUFGCTRL_X0Y11 IS_USED 0 SITEPROP BUFGCTRL_X0Y11 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y11 NAME BUFGCTRL_X0Y11 SITEPROP BUFGCTRL_X0Y11 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y11 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y11 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y11 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y11 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y11 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y11 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y11 RPM_X 98 SITEPROP BUFGCTRL_X0Y11 RPM_Y 91 SITEPROP BUFGCTRL_X0Y11 SITE_PIPS SITEPROP BUFGCTRL_X0Y11 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y12 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y12 CLASS site SITEPROP BUFGCTRL_X0Y12 CLOCK_REGION SITEPROP BUFGCTRL_X0Y12 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y12 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y12 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y12 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y12 IS_PAD 0 SITEPROP BUFGCTRL_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y12 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y12 IS_TEST 0 SITEPROP BUFGCTRL_X0Y12 IS_USED 0 SITEPROP BUFGCTRL_X0Y12 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y12 NAME BUFGCTRL_X0Y12 SITEPROP BUFGCTRL_X0Y12 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y12 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y12 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y12 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y12 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y12 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y12 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y12 RPM_X 98 SITEPROP BUFGCTRL_X0Y12 RPM_Y 92 SITEPROP BUFGCTRL_X0Y12 SITE_PIPS SITEPROP BUFGCTRL_X0Y12 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y13 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y13 CLASS site SITEPROP BUFGCTRL_X0Y13 CLOCK_REGION SITEPROP BUFGCTRL_X0Y13 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y13 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y13 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y13 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y13 IS_PAD 0 SITEPROP BUFGCTRL_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y13 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y13 IS_TEST 0 SITEPROP BUFGCTRL_X0Y13 IS_USED 0 SITEPROP BUFGCTRL_X0Y13 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y13 NAME BUFGCTRL_X0Y13 SITEPROP BUFGCTRL_X0Y13 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y13 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y13 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y13 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y13 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y13 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y13 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y13 RPM_X 98 SITEPROP BUFGCTRL_X0Y13 RPM_Y 93 SITEPROP BUFGCTRL_X0Y13 SITE_PIPS SITEPROP BUFGCTRL_X0Y13 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y14 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y14 CLASS site SITEPROP BUFGCTRL_X0Y14 CLOCK_REGION SITEPROP BUFGCTRL_X0Y14 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y14 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y14 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y14 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y14 IS_PAD 0 SITEPROP BUFGCTRL_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y14 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y14 IS_TEST 0 SITEPROP BUFGCTRL_X0Y14 IS_USED 0 SITEPROP BUFGCTRL_X0Y14 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y14 NAME BUFGCTRL_X0Y14 SITEPROP BUFGCTRL_X0Y14 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y14 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y14 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y14 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y14 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y14 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y14 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y14 RPM_X 98 SITEPROP BUFGCTRL_X0Y14 RPM_Y 94 SITEPROP BUFGCTRL_X0Y14 SITE_PIPS SITEPROP BUFGCTRL_X0Y14 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y15 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y15 CLASS site SITEPROP BUFGCTRL_X0Y15 CLOCK_REGION SITEPROP BUFGCTRL_X0Y15 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y15 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y15 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y15 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y15 IS_PAD 0 SITEPROP BUFGCTRL_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y15 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y15 IS_TEST 0 SITEPROP BUFGCTRL_X0Y15 IS_USED 0 SITEPROP BUFGCTRL_X0Y15 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y15 NAME BUFGCTRL_X0Y15 SITEPROP BUFGCTRL_X0Y15 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y15 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y15 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y15 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y15 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y15 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y15 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y15 RPM_X 98 SITEPROP BUFGCTRL_X0Y15 RPM_Y 95 SITEPROP BUFGCTRL_X0Y15 SITE_PIPS SITEPROP BUFGCTRL_X0Y15 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y16 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y16 CLASS site SITEPROP BUFGCTRL_X0Y16 CLOCK_REGION SITEPROP BUFGCTRL_X0Y16 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y16 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y16 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y16 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y16 IS_PAD 0 SITEPROP BUFGCTRL_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y16 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y16 IS_TEST 0 SITEPROP BUFGCTRL_X0Y16 IS_USED 0 SITEPROP BUFGCTRL_X0Y16 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y16 NAME BUFGCTRL_X0Y16 SITEPROP BUFGCTRL_X0Y16 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y16 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y16 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y16 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y16 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y16 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y16 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y16 RPM_X 98 SITEPROP BUFGCTRL_X0Y16 RPM_Y 100 SITEPROP BUFGCTRL_X0Y16 SITE_PIPS SITEPROP BUFGCTRL_X0Y16 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y17 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y17 CLASS site SITEPROP BUFGCTRL_X0Y17 CLOCK_REGION SITEPROP BUFGCTRL_X0Y17 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y17 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y17 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y17 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y17 IS_PAD 0 SITEPROP BUFGCTRL_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y17 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y17 IS_TEST 0 SITEPROP BUFGCTRL_X0Y17 IS_USED 0 SITEPROP BUFGCTRL_X0Y17 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y17 NAME BUFGCTRL_X0Y17 SITEPROP BUFGCTRL_X0Y17 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y17 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y17 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y17 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y17 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y17 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y17 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y17 RPM_X 98 SITEPROP BUFGCTRL_X0Y17 RPM_Y 101 SITEPROP BUFGCTRL_X0Y17 SITE_PIPS SITEPROP BUFGCTRL_X0Y17 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y18 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y18 CLASS site SITEPROP BUFGCTRL_X0Y18 CLOCK_REGION SITEPROP BUFGCTRL_X0Y18 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y18 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y18 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y18 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y18 IS_PAD 0 SITEPROP BUFGCTRL_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y18 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y18 IS_TEST 0 SITEPROP BUFGCTRL_X0Y18 IS_USED 0 SITEPROP BUFGCTRL_X0Y18 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y18 NAME BUFGCTRL_X0Y18 SITEPROP BUFGCTRL_X0Y18 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y18 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y18 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y18 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y18 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y18 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y18 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y18 RPM_X 98 SITEPROP BUFGCTRL_X0Y18 RPM_Y 102 SITEPROP BUFGCTRL_X0Y18 SITE_PIPS SITEPROP BUFGCTRL_X0Y18 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y19 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y19 CLASS site SITEPROP BUFGCTRL_X0Y19 CLOCK_REGION SITEPROP BUFGCTRL_X0Y19 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y19 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y19 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y19 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y19 IS_PAD 0 SITEPROP BUFGCTRL_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y19 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y19 IS_TEST 0 SITEPROP BUFGCTRL_X0Y19 IS_USED 0 SITEPROP BUFGCTRL_X0Y19 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y19 NAME BUFGCTRL_X0Y19 SITEPROP BUFGCTRL_X0Y19 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y19 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y19 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y19 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y19 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y19 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y19 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y19 RPM_X 98 SITEPROP BUFGCTRL_X0Y19 RPM_Y 103 SITEPROP BUFGCTRL_X0Y19 SITE_PIPS SITEPROP BUFGCTRL_X0Y19 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y20 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y20 CLASS site SITEPROP BUFGCTRL_X0Y20 CLOCK_REGION SITEPROP BUFGCTRL_X0Y20 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y20 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y20 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y20 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y20 IS_PAD 0 SITEPROP BUFGCTRL_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y20 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y20 IS_TEST 0 SITEPROP BUFGCTRL_X0Y20 IS_USED 0 SITEPROP BUFGCTRL_X0Y20 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y20 NAME BUFGCTRL_X0Y20 SITEPROP BUFGCTRL_X0Y20 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y20 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y20 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y20 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y20 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y20 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y20 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y20 RPM_X 98 SITEPROP BUFGCTRL_X0Y20 RPM_Y 104 SITEPROP BUFGCTRL_X0Y20 SITE_PIPS SITEPROP BUFGCTRL_X0Y20 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y21 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y21 CLASS site SITEPROP BUFGCTRL_X0Y21 CLOCK_REGION SITEPROP BUFGCTRL_X0Y21 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y21 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y21 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y21 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y21 IS_PAD 0 SITEPROP BUFGCTRL_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y21 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y21 IS_TEST 0 SITEPROP BUFGCTRL_X0Y21 IS_USED 0 SITEPROP BUFGCTRL_X0Y21 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y21 NAME BUFGCTRL_X0Y21 SITEPROP BUFGCTRL_X0Y21 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y21 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y21 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y21 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y21 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y21 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y21 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y21 RPM_X 98 SITEPROP BUFGCTRL_X0Y21 RPM_Y 105 SITEPROP BUFGCTRL_X0Y21 SITE_PIPS SITEPROP BUFGCTRL_X0Y21 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y22 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y22 CLASS site SITEPROP BUFGCTRL_X0Y22 CLOCK_REGION SITEPROP BUFGCTRL_X0Y22 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y22 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y22 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y22 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y22 IS_PAD 0 SITEPROP BUFGCTRL_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y22 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y22 IS_TEST 0 SITEPROP BUFGCTRL_X0Y22 IS_USED 0 SITEPROP BUFGCTRL_X0Y22 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y22 NAME BUFGCTRL_X0Y22 SITEPROP BUFGCTRL_X0Y22 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y22 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y22 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y22 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y22 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y22 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y22 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y22 RPM_X 98 SITEPROP BUFGCTRL_X0Y22 RPM_Y 106 SITEPROP BUFGCTRL_X0Y22 SITE_PIPS SITEPROP BUFGCTRL_X0Y22 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y23 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y23 CLASS site SITEPROP BUFGCTRL_X0Y23 CLOCK_REGION SITEPROP BUFGCTRL_X0Y23 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y23 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y23 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y23 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y23 IS_PAD 0 SITEPROP BUFGCTRL_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y23 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y23 IS_TEST 0 SITEPROP BUFGCTRL_X0Y23 IS_USED 0 SITEPROP BUFGCTRL_X0Y23 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y23 NAME BUFGCTRL_X0Y23 SITEPROP BUFGCTRL_X0Y23 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y23 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y23 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y23 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y23 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y23 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y23 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y23 RPM_X 98 SITEPROP BUFGCTRL_X0Y23 RPM_Y 107 SITEPROP BUFGCTRL_X0Y23 SITE_PIPS SITEPROP BUFGCTRL_X0Y23 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y24 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y24 CLASS site SITEPROP BUFGCTRL_X0Y24 CLOCK_REGION SITEPROP BUFGCTRL_X0Y24 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y24 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y24 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y24 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y24 IS_PAD 0 SITEPROP BUFGCTRL_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y24 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y24 IS_TEST 0 SITEPROP BUFGCTRL_X0Y24 IS_USED 0 SITEPROP BUFGCTRL_X0Y24 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y24 NAME BUFGCTRL_X0Y24 SITEPROP BUFGCTRL_X0Y24 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y24 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y24 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y24 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y24 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y24 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y24 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y24 RPM_X 98 SITEPROP BUFGCTRL_X0Y24 RPM_Y 108 SITEPROP BUFGCTRL_X0Y24 SITE_PIPS SITEPROP BUFGCTRL_X0Y24 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y25 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y25 CLASS site SITEPROP BUFGCTRL_X0Y25 CLOCK_REGION SITEPROP BUFGCTRL_X0Y25 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y25 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y25 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y25 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y25 IS_PAD 0 SITEPROP BUFGCTRL_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y25 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y25 IS_TEST 0 SITEPROP BUFGCTRL_X0Y25 IS_USED 0 SITEPROP BUFGCTRL_X0Y25 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y25 NAME BUFGCTRL_X0Y25 SITEPROP BUFGCTRL_X0Y25 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y25 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y25 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y25 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y25 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y25 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y25 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y25 RPM_X 98 SITEPROP BUFGCTRL_X0Y25 RPM_Y 109 SITEPROP BUFGCTRL_X0Y25 SITE_PIPS SITEPROP BUFGCTRL_X0Y25 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y26 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y26 CLASS site SITEPROP BUFGCTRL_X0Y26 CLOCK_REGION SITEPROP BUFGCTRL_X0Y26 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y26 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y26 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y26 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y26 IS_PAD 0 SITEPROP BUFGCTRL_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y26 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y26 IS_TEST 0 SITEPROP BUFGCTRL_X0Y26 IS_USED 0 SITEPROP BUFGCTRL_X0Y26 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y26 NAME BUFGCTRL_X0Y26 SITEPROP BUFGCTRL_X0Y26 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y26 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y26 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y26 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y26 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y26 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y26 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y26 RPM_X 98 SITEPROP BUFGCTRL_X0Y26 RPM_Y 110 SITEPROP BUFGCTRL_X0Y26 SITE_PIPS SITEPROP BUFGCTRL_X0Y26 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y27 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y27 CLASS site SITEPROP BUFGCTRL_X0Y27 CLOCK_REGION SITEPROP BUFGCTRL_X0Y27 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y27 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y27 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y27 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y27 IS_PAD 0 SITEPROP BUFGCTRL_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y27 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y27 IS_TEST 0 SITEPROP BUFGCTRL_X0Y27 IS_USED 0 SITEPROP BUFGCTRL_X0Y27 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y27 NAME BUFGCTRL_X0Y27 SITEPROP BUFGCTRL_X0Y27 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y27 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y27 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y27 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y27 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y27 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y27 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y27 RPM_X 98 SITEPROP BUFGCTRL_X0Y27 RPM_Y 111 SITEPROP BUFGCTRL_X0Y27 SITE_PIPS SITEPROP BUFGCTRL_X0Y27 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y28 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y28 CLASS site SITEPROP BUFGCTRL_X0Y28 CLOCK_REGION SITEPROP BUFGCTRL_X0Y28 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y28 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y28 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y28 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y28 IS_PAD 0 SITEPROP BUFGCTRL_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y28 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y28 IS_TEST 0 SITEPROP BUFGCTRL_X0Y28 IS_USED 0 SITEPROP BUFGCTRL_X0Y28 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y28 NAME BUFGCTRL_X0Y28 SITEPROP BUFGCTRL_X0Y28 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y28 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y28 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y28 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y28 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y28 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y28 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y28 RPM_X 98 SITEPROP BUFGCTRL_X0Y28 RPM_Y 112 SITEPROP BUFGCTRL_X0Y28 SITE_PIPS SITEPROP BUFGCTRL_X0Y28 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y29 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y29 CLASS site SITEPROP BUFGCTRL_X0Y29 CLOCK_REGION SITEPROP BUFGCTRL_X0Y29 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y29 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y29 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y29 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y29 IS_PAD 0 SITEPROP BUFGCTRL_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y29 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y29 IS_TEST 0 SITEPROP BUFGCTRL_X0Y29 IS_USED 0 SITEPROP BUFGCTRL_X0Y29 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y29 NAME BUFGCTRL_X0Y29 SITEPROP BUFGCTRL_X0Y29 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y29 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y29 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y29 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y29 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y29 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y29 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y29 RPM_X 98 SITEPROP BUFGCTRL_X0Y29 RPM_Y 113 SITEPROP BUFGCTRL_X0Y29 SITE_PIPS SITEPROP BUFGCTRL_X0Y29 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y30 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y30 CLASS site SITEPROP BUFGCTRL_X0Y30 CLOCK_REGION SITEPROP BUFGCTRL_X0Y30 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y30 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y30 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y30 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y30 IS_PAD 0 SITEPROP BUFGCTRL_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y30 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y30 IS_TEST 0 SITEPROP BUFGCTRL_X0Y30 IS_USED 0 SITEPROP BUFGCTRL_X0Y30 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y30 NAME BUFGCTRL_X0Y30 SITEPROP BUFGCTRL_X0Y30 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y30 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y30 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y30 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y30 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y30 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y30 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y30 RPM_X 98 SITEPROP BUFGCTRL_X0Y30 RPM_Y 114 SITEPROP BUFGCTRL_X0Y30 SITE_PIPS SITEPROP BUFGCTRL_X0Y30 SITE_TYPE BUFGCTRL SITEPROP BUFGCTRL_X0Y31 ALTERNATE_SITE_TYPES BUFG SITEPROP BUFGCTRL_X0Y31 CLASS site SITEPROP BUFGCTRL_X0Y31 CLOCK_REGION SITEPROP BUFGCTRL_X0Y31 IS_BONDED 0 SITEPROP BUFGCTRL_X0Y31 IS_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y31 IS_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y31 IS_GLOBAL_CLOCK_BUFFER 1 SITEPROP BUFGCTRL_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y31 IS_PAD 0 SITEPROP BUFGCTRL_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFGCTRL_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFGCTRL_X0Y31 IS_RESERVED 0 SITEPROP BUFGCTRL_X0Y31 IS_TEST 0 SITEPROP BUFGCTRL_X0Y31 IS_USED 0 SITEPROP BUFGCTRL_X0Y31 MANUAL_ROUTING SITEPROP BUFGCTRL_X0Y31 NAME BUFGCTRL_X0Y31 SITEPROP BUFGCTRL_X0Y31 NUM_ARCS 12 SITEPROP BUFGCTRL_X0Y31 NUM_BELS 1 SITEPROP BUFGCTRL_X0Y31 NUM_INPUTS 8 SITEPROP BUFGCTRL_X0Y31 NUM_OUTPUTS 1 SITEPROP BUFGCTRL_X0Y31 NUM_PINS 9 SITEPROP BUFGCTRL_X0Y31 PRIMITIVE_COUNT 0 SITEPROP BUFGCTRL_X0Y31 PROHIBIT 0 SITEPROP BUFGCTRL_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFGCTRL_X0Y31 RPM_X 98 SITEPROP BUFGCTRL_X0Y31 RPM_Y 115 SITEPROP BUFGCTRL_X0Y31 SITE_PIPS SITEPROP BUFGCTRL_X0Y31 SITE_TYPE BUFGCTRL SITEPROP BUFHCE_X0Y0 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y0 CLASS site SITEPROP BUFHCE_X0Y0 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y0 IS_BONDED 0 SITEPROP BUFHCE_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y0 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y0 IS_PAD 0 SITEPROP BUFHCE_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y0 IS_RESERVED 0 SITEPROP BUFHCE_X0Y0 IS_TEST 0 SITEPROP BUFHCE_X0Y0 IS_USED 0 SITEPROP BUFHCE_X0Y0 MANUAL_ROUTING SITEPROP BUFHCE_X0Y0 NAME BUFHCE_X0Y0 SITEPROP BUFHCE_X0Y0 NUM_ARCS 2 SITEPROP BUFHCE_X0Y0 NUM_BELS 1 SITEPROP BUFHCE_X0Y0 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y0 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y0 NUM_PINS 3 SITEPROP BUFHCE_X0Y0 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y0 PROHIBIT 0 SITEPROP BUFHCE_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y0 RPM_X 97 SITEPROP BUFHCE_X0Y0 RPM_Y 44 SITEPROP BUFHCE_X0Y0 SITE_PIPS SITEPROP BUFHCE_X0Y0 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y1 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y1 CLASS site SITEPROP BUFHCE_X0Y1 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y1 IS_BONDED 0 SITEPROP BUFHCE_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y1 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y1 IS_PAD 0 SITEPROP BUFHCE_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y1 IS_RESERVED 0 SITEPROP BUFHCE_X0Y1 IS_TEST 0 SITEPROP BUFHCE_X0Y1 IS_USED 0 SITEPROP BUFHCE_X0Y1 MANUAL_ROUTING SITEPROP BUFHCE_X0Y1 NAME BUFHCE_X0Y1 SITEPROP BUFHCE_X0Y1 NUM_ARCS 2 SITEPROP BUFHCE_X0Y1 NUM_BELS 1 SITEPROP BUFHCE_X0Y1 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y1 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y1 NUM_PINS 3 SITEPROP BUFHCE_X0Y1 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y1 PROHIBIT 0 SITEPROP BUFHCE_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y1 RPM_X 97 SITEPROP BUFHCE_X0Y1 RPM_Y 45 SITEPROP BUFHCE_X0Y1 SITE_PIPS SITEPROP BUFHCE_X0Y1 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y2 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y2 CLASS site SITEPROP BUFHCE_X0Y2 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y2 IS_BONDED 0 SITEPROP BUFHCE_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y2 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y2 IS_PAD 0 SITEPROP BUFHCE_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y2 IS_RESERVED 0 SITEPROP BUFHCE_X0Y2 IS_TEST 0 SITEPROP BUFHCE_X0Y2 IS_USED 0 SITEPROP BUFHCE_X0Y2 MANUAL_ROUTING SITEPROP BUFHCE_X0Y2 NAME BUFHCE_X0Y2 SITEPROP BUFHCE_X0Y2 NUM_ARCS 2 SITEPROP BUFHCE_X0Y2 NUM_BELS 1 SITEPROP BUFHCE_X0Y2 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y2 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y2 NUM_PINS 3 SITEPROP BUFHCE_X0Y2 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y2 PROHIBIT 0 SITEPROP BUFHCE_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y2 RPM_X 97 SITEPROP BUFHCE_X0Y2 RPM_Y 46 SITEPROP BUFHCE_X0Y2 SITE_PIPS SITEPROP BUFHCE_X0Y2 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y3 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y3 CLASS site SITEPROP BUFHCE_X0Y3 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y3 IS_BONDED 0 SITEPROP BUFHCE_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y3 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y3 IS_PAD 0 SITEPROP BUFHCE_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y3 IS_RESERVED 0 SITEPROP BUFHCE_X0Y3 IS_TEST 0 SITEPROP BUFHCE_X0Y3 IS_USED 0 SITEPROP BUFHCE_X0Y3 MANUAL_ROUTING SITEPROP BUFHCE_X0Y3 NAME BUFHCE_X0Y3 SITEPROP BUFHCE_X0Y3 NUM_ARCS 2 SITEPROP BUFHCE_X0Y3 NUM_BELS 1 SITEPROP BUFHCE_X0Y3 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y3 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y3 NUM_PINS 3 SITEPROP BUFHCE_X0Y3 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y3 PROHIBIT 0 SITEPROP BUFHCE_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y3 RPM_X 97 SITEPROP BUFHCE_X0Y3 RPM_Y 47 SITEPROP BUFHCE_X0Y3 SITE_PIPS SITEPROP BUFHCE_X0Y3 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y4 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y4 CLASS site SITEPROP BUFHCE_X0Y4 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y4 IS_BONDED 0 SITEPROP BUFHCE_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y4 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y4 IS_PAD 0 SITEPROP BUFHCE_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y4 IS_RESERVED 0 SITEPROP BUFHCE_X0Y4 IS_TEST 0 SITEPROP BUFHCE_X0Y4 IS_USED 0 SITEPROP BUFHCE_X0Y4 MANUAL_ROUTING SITEPROP BUFHCE_X0Y4 NAME BUFHCE_X0Y4 SITEPROP BUFHCE_X0Y4 NUM_ARCS 2 SITEPROP BUFHCE_X0Y4 NUM_BELS 1 SITEPROP BUFHCE_X0Y4 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y4 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y4 NUM_PINS 3 SITEPROP BUFHCE_X0Y4 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y4 PROHIBIT 0 SITEPROP BUFHCE_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y4 RPM_X 97 SITEPROP BUFHCE_X0Y4 RPM_Y 48 SITEPROP BUFHCE_X0Y4 SITE_PIPS SITEPROP BUFHCE_X0Y4 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y5 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y5 CLASS site SITEPROP BUFHCE_X0Y5 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y5 IS_BONDED 0 SITEPROP BUFHCE_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y5 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y5 IS_PAD 0 SITEPROP BUFHCE_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y5 IS_RESERVED 0 SITEPROP BUFHCE_X0Y5 IS_TEST 0 SITEPROP BUFHCE_X0Y5 IS_USED 0 SITEPROP BUFHCE_X0Y5 MANUAL_ROUTING SITEPROP BUFHCE_X0Y5 NAME BUFHCE_X0Y5 SITEPROP BUFHCE_X0Y5 NUM_ARCS 2 SITEPROP BUFHCE_X0Y5 NUM_BELS 1 SITEPROP BUFHCE_X0Y5 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y5 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y5 NUM_PINS 3 SITEPROP BUFHCE_X0Y5 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y5 PROHIBIT 0 SITEPROP BUFHCE_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y5 RPM_X 97 SITEPROP BUFHCE_X0Y5 RPM_Y 49 SITEPROP BUFHCE_X0Y5 SITE_PIPS SITEPROP BUFHCE_X0Y5 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y6 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y6 CLASS site SITEPROP BUFHCE_X0Y6 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y6 IS_BONDED 0 SITEPROP BUFHCE_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y6 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y6 IS_PAD 0 SITEPROP BUFHCE_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y6 IS_RESERVED 0 SITEPROP BUFHCE_X0Y6 IS_TEST 0 SITEPROP BUFHCE_X0Y6 IS_USED 0 SITEPROP BUFHCE_X0Y6 MANUAL_ROUTING SITEPROP BUFHCE_X0Y6 NAME BUFHCE_X0Y6 SITEPROP BUFHCE_X0Y6 NUM_ARCS 2 SITEPROP BUFHCE_X0Y6 NUM_BELS 1 SITEPROP BUFHCE_X0Y6 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y6 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y6 NUM_PINS 3 SITEPROP BUFHCE_X0Y6 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y6 PROHIBIT 0 SITEPROP BUFHCE_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y6 RPM_X 97 SITEPROP BUFHCE_X0Y6 RPM_Y 50 SITEPROP BUFHCE_X0Y6 SITE_PIPS SITEPROP BUFHCE_X0Y6 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y7 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y7 CLASS site SITEPROP BUFHCE_X0Y7 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y7 IS_BONDED 0 SITEPROP BUFHCE_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y7 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y7 IS_PAD 0 SITEPROP BUFHCE_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y7 IS_RESERVED 0 SITEPROP BUFHCE_X0Y7 IS_TEST 0 SITEPROP BUFHCE_X0Y7 IS_USED 0 SITEPROP BUFHCE_X0Y7 MANUAL_ROUTING SITEPROP BUFHCE_X0Y7 NAME BUFHCE_X0Y7 SITEPROP BUFHCE_X0Y7 NUM_ARCS 2 SITEPROP BUFHCE_X0Y7 NUM_BELS 1 SITEPROP BUFHCE_X0Y7 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y7 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y7 NUM_PINS 3 SITEPROP BUFHCE_X0Y7 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y7 PROHIBIT 0 SITEPROP BUFHCE_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y7 RPM_X 97 SITEPROP BUFHCE_X0Y7 RPM_Y 51 SITEPROP BUFHCE_X0Y7 SITE_PIPS SITEPROP BUFHCE_X0Y7 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y8 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y8 CLASS site SITEPROP BUFHCE_X0Y8 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y8 IS_BONDED 0 SITEPROP BUFHCE_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y8 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y8 IS_PAD 0 SITEPROP BUFHCE_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y8 IS_RESERVED 0 SITEPROP BUFHCE_X0Y8 IS_TEST 0 SITEPROP BUFHCE_X0Y8 IS_USED 0 SITEPROP BUFHCE_X0Y8 MANUAL_ROUTING SITEPROP BUFHCE_X0Y8 NAME BUFHCE_X0Y8 SITEPROP BUFHCE_X0Y8 NUM_ARCS 2 SITEPROP BUFHCE_X0Y8 NUM_BELS 1 SITEPROP BUFHCE_X0Y8 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y8 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y8 NUM_PINS 3 SITEPROP BUFHCE_X0Y8 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y8 PROHIBIT 0 SITEPROP BUFHCE_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y8 RPM_X 97 SITEPROP BUFHCE_X0Y8 RPM_Y 52 SITEPROP BUFHCE_X0Y8 SITE_PIPS SITEPROP BUFHCE_X0Y8 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y9 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y9 CLASS site SITEPROP BUFHCE_X0Y9 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y9 IS_BONDED 0 SITEPROP BUFHCE_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y9 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y9 IS_PAD 0 SITEPROP BUFHCE_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y9 IS_RESERVED 0 SITEPROP BUFHCE_X0Y9 IS_TEST 0 SITEPROP BUFHCE_X0Y9 IS_USED 0 SITEPROP BUFHCE_X0Y9 MANUAL_ROUTING SITEPROP BUFHCE_X0Y9 NAME BUFHCE_X0Y9 SITEPROP BUFHCE_X0Y9 NUM_ARCS 2 SITEPROP BUFHCE_X0Y9 NUM_BELS 1 SITEPROP BUFHCE_X0Y9 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y9 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y9 NUM_PINS 3 SITEPROP BUFHCE_X0Y9 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y9 PROHIBIT 0 SITEPROP BUFHCE_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y9 RPM_X 97 SITEPROP BUFHCE_X0Y9 RPM_Y 53 SITEPROP BUFHCE_X0Y9 SITE_PIPS SITEPROP BUFHCE_X0Y9 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y10 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y10 CLASS site SITEPROP BUFHCE_X0Y10 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y10 IS_BONDED 0 SITEPROP BUFHCE_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y10 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y10 IS_PAD 0 SITEPROP BUFHCE_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y10 IS_RESERVED 0 SITEPROP BUFHCE_X0Y10 IS_TEST 0 SITEPROP BUFHCE_X0Y10 IS_USED 0 SITEPROP BUFHCE_X0Y10 MANUAL_ROUTING SITEPROP BUFHCE_X0Y10 NAME BUFHCE_X0Y10 SITEPROP BUFHCE_X0Y10 NUM_ARCS 2 SITEPROP BUFHCE_X0Y10 NUM_BELS 1 SITEPROP BUFHCE_X0Y10 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y10 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y10 NUM_PINS 3 SITEPROP BUFHCE_X0Y10 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y10 PROHIBIT 0 SITEPROP BUFHCE_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y10 RPM_X 97 SITEPROP BUFHCE_X0Y10 RPM_Y 54 SITEPROP BUFHCE_X0Y10 SITE_PIPS SITEPROP BUFHCE_X0Y10 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y11 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y11 CLASS site SITEPROP BUFHCE_X0Y11 CLOCK_REGION X0Y0 SITEPROP BUFHCE_X0Y11 IS_BONDED 0 SITEPROP BUFHCE_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y11 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y11 IS_PAD 0 SITEPROP BUFHCE_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y11 IS_RESERVED 0 SITEPROP BUFHCE_X0Y11 IS_TEST 0 SITEPROP BUFHCE_X0Y11 IS_USED 0 SITEPROP BUFHCE_X0Y11 MANUAL_ROUTING SITEPROP BUFHCE_X0Y11 NAME BUFHCE_X0Y11 SITEPROP BUFHCE_X0Y11 NUM_ARCS 2 SITEPROP BUFHCE_X0Y11 NUM_BELS 1 SITEPROP BUFHCE_X0Y11 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y11 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y11 NUM_PINS 3 SITEPROP BUFHCE_X0Y11 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y11 PROHIBIT 0 SITEPROP BUFHCE_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y11 RPM_X 97 SITEPROP BUFHCE_X0Y11 RPM_Y 55 SITEPROP BUFHCE_X0Y11 SITE_PIPS SITEPROP BUFHCE_X0Y11 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y12 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y12 CLASS site SITEPROP BUFHCE_X0Y12 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y12 IS_BONDED 0 SITEPROP BUFHCE_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y12 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y12 IS_PAD 0 SITEPROP BUFHCE_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y12 IS_RESERVED 0 SITEPROP BUFHCE_X0Y12 IS_TEST 0 SITEPROP BUFHCE_X0Y12 IS_USED 0 SITEPROP BUFHCE_X0Y12 MANUAL_ROUTING SITEPROP BUFHCE_X0Y12 NAME BUFHCE_X0Y12 SITEPROP BUFHCE_X0Y12 NUM_ARCS 2 SITEPROP BUFHCE_X0Y12 NUM_BELS 1 SITEPROP BUFHCE_X0Y12 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y12 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y12 NUM_PINS 3 SITEPROP BUFHCE_X0Y12 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y12 PROHIBIT 0 SITEPROP BUFHCE_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y12 RPM_X 97 SITEPROP BUFHCE_X0Y12 RPM_Y 144 SITEPROP BUFHCE_X0Y12 SITE_PIPS SITEPROP BUFHCE_X0Y12 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y13 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y13 CLASS site SITEPROP BUFHCE_X0Y13 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y13 IS_BONDED 0 SITEPROP BUFHCE_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y13 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y13 IS_PAD 0 SITEPROP BUFHCE_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y13 IS_RESERVED 0 SITEPROP BUFHCE_X0Y13 IS_TEST 0 SITEPROP BUFHCE_X0Y13 IS_USED 0 SITEPROP BUFHCE_X0Y13 MANUAL_ROUTING SITEPROP BUFHCE_X0Y13 NAME BUFHCE_X0Y13 SITEPROP BUFHCE_X0Y13 NUM_ARCS 2 SITEPROP BUFHCE_X0Y13 NUM_BELS 1 SITEPROP BUFHCE_X0Y13 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y13 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y13 NUM_PINS 3 SITEPROP BUFHCE_X0Y13 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y13 PROHIBIT 0 SITEPROP BUFHCE_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y13 RPM_X 97 SITEPROP BUFHCE_X0Y13 RPM_Y 145 SITEPROP BUFHCE_X0Y13 SITE_PIPS SITEPROP BUFHCE_X0Y13 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y14 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y14 CLASS site SITEPROP BUFHCE_X0Y14 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y14 IS_BONDED 0 SITEPROP BUFHCE_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y14 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y14 IS_PAD 0 SITEPROP BUFHCE_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y14 IS_RESERVED 0 SITEPROP BUFHCE_X0Y14 IS_TEST 0 SITEPROP BUFHCE_X0Y14 IS_USED 0 SITEPROP BUFHCE_X0Y14 MANUAL_ROUTING SITEPROP BUFHCE_X0Y14 NAME BUFHCE_X0Y14 SITEPROP BUFHCE_X0Y14 NUM_ARCS 2 SITEPROP BUFHCE_X0Y14 NUM_BELS 1 SITEPROP BUFHCE_X0Y14 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y14 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y14 NUM_PINS 3 SITEPROP BUFHCE_X0Y14 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y14 PROHIBIT 0 SITEPROP BUFHCE_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y14 RPM_X 97 SITEPROP BUFHCE_X0Y14 RPM_Y 146 SITEPROP BUFHCE_X0Y14 SITE_PIPS SITEPROP BUFHCE_X0Y14 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y15 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y15 CLASS site SITEPROP BUFHCE_X0Y15 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y15 IS_BONDED 0 SITEPROP BUFHCE_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y15 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y15 IS_PAD 0 SITEPROP BUFHCE_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y15 IS_RESERVED 0 SITEPROP BUFHCE_X0Y15 IS_TEST 0 SITEPROP BUFHCE_X0Y15 IS_USED 0 SITEPROP BUFHCE_X0Y15 MANUAL_ROUTING SITEPROP BUFHCE_X0Y15 NAME BUFHCE_X0Y15 SITEPROP BUFHCE_X0Y15 NUM_ARCS 2 SITEPROP BUFHCE_X0Y15 NUM_BELS 1 SITEPROP BUFHCE_X0Y15 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y15 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y15 NUM_PINS 3 SITEPROP BUFHCE_X0Y15 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y15 PROHIBIT 0 SITEPROP BUFHCE_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y15 RPM_X 97 SITEPROP BUFHCE_X0Y15 RPM_Y 147 SITEPROP BUFHCE_X0Y15 SITE_PIPS SITEPROP BUFHCE_X0Y15 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y16 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y16 CLASS site SITEPROP BUFHCE_X0Y16 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y16 IS_BONDED 0 SITEPROP BUFHCE_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y16 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y16 IS_PAD 0 SITEPROP BUFHCE_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y16 IS_RESERVED 0 SITEPROP BUFHCE_X0Y16 IS_TEST 0 SITEPROP BUFHCE_X0Y16 IS_USED 0 SITEPROP BUFHCE_X0Y16 MANUAL_ROUTING SITEPROP BUFHCE_X0Y16 NAME BUFHCE_X0Y16 SITEPROP BUFHCE_X0Y16 NUM_ARCS 2 SITEPROP BUFHCE_X0Y16 NUM_BELS 1 SITEPROP BUFHCE_X0Y16 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y16 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y16 NUM_PINS 3 SITEPROP BUFHCE_X0Y16 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y16 PROHIBIT 0 SITEPROP BUFHCE_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y16 RPM_X 97 SITEPROP BUFHCE_X0Y16 RPM_Y 148 SITEPROP BUFHCE_X0Y16 SITE_PIPS SITEPROP BUFHCE_X0Y16 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y17 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y17 CLASS site SITEPROP BUFHCE_X0Y17 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y17 IS_BONDED 0 SITEPROP BUFHCE_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y17 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y17 IS_PAD 0 SITEPROP BUFHCE_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y17 IS_RESERVED 0 SITEPROP BUFHCE_X0Y17 IS_TEST 0 SITEPROP BUFHCE_X0Y17 IS_USED 0 SITEPROP BUFHCE_X0Y17 MANUAL_ROUTING SITEPROP BUFHCE_X0Y17 NAME BUFHCE_X0Y17 SITEPROP BUFHCE_X0Y17 NUM_ARCS 2 SITEPROP BUFHCE_X0Y17 NUM_BELS 1 SITEPROP BUFHCE_X0Y17 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y17 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y17 NUM_PINS 3 SITEPROP BUFHCE_X0Y17 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y17 PROHIBIT 0 SITEPROP BUFHCE_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y17 RPM_X 97 SITEPROP BUFHCE_X0Y17 RPM_Y 149 SITEPROP BUFHCE_X0Y17 SITE_PIPS SITEPROP BUFHCE_X0Y17 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y18 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y18 CLASS site SITEPROP BUFHCE_X0Y18 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y18 IS_BONDED 0 SITEPROP BUFHCE_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y18 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y18 IS_PAD 0 SITEPROP BUFHCE_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y18 IS_RESERVED 0 SITEPROP BUFHCE_X0Y18 IS_TEST 0 SITEPROP BUFHCE_X0Y18 IS_USED 0 SITEPROP BUFHCE_X0Y18 MANUAL_ROUTING SITEPROP BUFHCE_X0Y18 NAME BUFHCE_X0Y18 SITEPROP BUFHCE_X0Y18 NUM_ARCS 2 SITEPROP BUFHCE_X0Y18 NUM_BELS 1 SITEPROP BUFHCE_X0Y18 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y18 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y18 NUM_PINS 3 SITEPROP BUFHCE_X0Y18 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y18 PROHIBIT 0 SITEPROP BUFHCE_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y18 RPM_X 97 SITEPROP BUFHCE_X0Y18 RPM_Y 150 SITEPROP BUFHCE_X0Y18 SITE_PIPS SITEPROP BUFHCE_X0Y18 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y19 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y19 CLASS site SITEPROP BUFHCE_X0Y19 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y19 IS_BONDED 0 SITEPROP BUFHCE_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y19 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y19 IS_PAD 0 SITEPROP BUFHCE_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y19 IS_RESERVED 0 SITEPROP BUFHCE_X0Y19 IS_TEST 0 SITEPROP BUFHCE_X0Y19 IS_USED 0 SITEPROP BUFHCE_X0Y19 MANUAL_ROUTING SITEPROP BUFHCE_X0Y19 NAME BUFHCE_X0Y19 SITEPROP BUFHCE_X0Y19 NUM_ARCS 2 SITEPROP BUFHCE_X0Y19 NUM_BELS 1 SITEPROP BUFHCE_X0Y19 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y19 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y19 NUM_PINS 3 SITEPROP BUFHCE_X0Y19 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y19 PROHIBIT 0 SITEPROP BUFHCE_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y19 RPM_X 97 SITEPROP BUFHCE_X0Y19 RPM_Y 151 SITEPROP BUFHCE_X0Y19 SITE_PIPS SITEPROP BUFHCE_X0Y19 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y20 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y20 CLASS site SITEPROP BUFHCE_X0Y20 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y20 IS_BONDED 0 SITEPROP BUFHCE_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y20 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y20 IS_PAD 0 SITEPROP BUFHCE_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y20 IS_RESERVED 0 SITEPROP BUFHCE_X0Y20 IS_TEST 0 SITEPROP BUFHCE_X0Y20 IS_USED 0 SITEPROP BUFHCE_X0Y20 MANUAL_ROUTING SITEPROP BUFHCE_X0Y20 NAME BUFHCE_X0Y20 SITEPROP BUFHCE_X0Y20 NUM_ARCS 2 SITEPROP BUFHCE_X0Y20 NUM_BELS 1 SITEPROP BUFHCE_X0Y20 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y20 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y20 NUM_PINS 3 SITEPROP BUFHCE_X0Y20 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y20 PROHIBIT 0 SITEPROP BUFHCE_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y20 RPM_X 97 SITEPROP BUFHCE_X0Y20 RPM_Y 152 SITEPROP BUFHCE_X0Y20 SITE_PIPS SITEPROP BUFHCE_X0Y20 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y21 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y21 CLASS site SITEPROP BUFHCE_X0Y21 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y21 IS_BONDED 0 SITEPROP BUFHCE_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y21 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y21 IS_PAD 0 SITEPROP BUFHCE_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y21 IS_RESERVED 0 SITEPROP BUFHCE_X0Y21 IS_TEST 0 SITEPROP BUFHCE_X0Y21 IS_USED 0 SITEPROP BUFHCE_X0Y21 MANUAL_ROUTING SITEPROP BUFHCE_X0Y21 NAME BUFHCE_X0Y21 SITEPROP BUFHCE_X0Y21 NUM_ARCS 2 SITEPROP BUFHCE_X0Y21 NUM_BELS 1 SITEPROP BUFHCE_X0Y21 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y21 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y21 NUM_PINS 3 SITEPROP BUFHCE_X0Y21 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y21 PROHIBIT 0 SITEPROP BUFHCE_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y21 RPM_X 97 SITEPROP BUFHCE_X0Y21 RPM_Y 153 SITEPROP BUFHCE_X0Y21 SITE_PIPS SITEPROP BUFHCE_X0Y21 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y22 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y22 CLASS site SITEPROP BUFHCE_X0Y22 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y22 IS_BONDED 0 SITEPROP BUFHCE_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y22 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y22 IS_PAD 0 SITEPROP BUFHCE_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y22 IS_RESERVED 0 SITEPROP BUFHCE_X0Y22 IS_TEST 0 SITEPROP BUFHCE_X0Y22 IS_USED 0 SITEPROP BUFHCE_X0Y22 MANUAL_ROUTING SITEPROP BUFHCE_X0Y22 NAME BUFHCE_X0Y22 SITEPROP BUFHCE_X0Y22 NUM_ARCS 2 SITEPROP BUFHCE_X0Y22 NUM_BELS 1 SITEPROP BUFHCE_X0Y22 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y22 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y22 NUM_PINS 3 SITEPROP BUFHCE_X0Y22 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y22 PROHIBIT 0 SITEPROP BUFHCE_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y22 RPM_X 97 SITEPROP BUFHCE_X0Y22 RPM_Y 154 SITEPROP BUFHCE_X0Y22 SITE_PIPS SITEPROP BUFHCE_X0Y22 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y23 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y23 CLASS site SITEPROP BUFHCE_X0Y23 CLOCK_REGION X0Y1 SITEPROP BUFHCE_X0Y23 IS_BONDED 0 SITEPROP BUFHCE_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y23 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y23 IS_PAD 0 SITEPROP BUFHCE_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y23 IS_RESERVED 0 SITEPROP BUFHCE_X0Y23 IS_TEST 0 SITEPROP BUFHCE_X0Y23 IS_USED 0 SITEPROP BUFHCE_X0Y23 MANUAL_ROUTING SITEPROP BUFHCE_X0Y23 NAME BUFHCE_X0Y23 SITEPROP BUFHCE_X0Y23 NUM_ARCS 2 SITEPROP BUFHCE_X0Y23 NUM_BELS 1 SITEPROP BUFHCE_X0Y23 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y23 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y23 NUM_PINS 3 SITEPROP BUFHCE_X0Y23 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y23 PROHIBIT 0 SITEPROP BUFHCE_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y23 RPM_X 97 SITEPROP BUFHCE_X0Y23 RPM_Y 155 SITEPROP BUFHCE_X0Y23 SITE_PIPS SITEPROP BUFHCE_X0Y23 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y24 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y24 CLASS site SITEPROP BUFHCE_X0Y24 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y24 IS_BONDED 0 SITEPROP BUFHCE_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y24 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y24 IS_PAD 0 SITEPROP BUFHCE_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y24 IS_RESERVED 0 SITEPROP BUFHCE_X0Y24 IS_TEST 0 SITEPROP BUFHCE_X0Y24 IS_USED 0 SITEPROP BUFHCE_X0Y24 MANUAL_ROUTING SITEPROP BUFHCE_X0Y24 NAME BUFHCE_X0Y24 SITEPROP BUFHCE_X0Y24 NUM_ARCS 2 SITEPROP BUFHCE_X0Y24 NUM_BELS 1 SITEPROP BUFHCE_X0Y24 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y24 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y24 NUM_PINS 3 SITEPROP BUFHCE_X0Y24 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y24 PROHIBIT 0 SITEPROP BUFHCE_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y24 RPM_X 97 SITEPROP BUFHCE_X0Y24 RPM_Y 244 SITEPROP BUFHCE_X0Y24 SITE_PIPS SITEPROP BUFHCE_X0Y24 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y25 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y25 CLASS site SITEPROP BUFHCE_X0Y25 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y25 IS_BONDED 0 SITEPROP BUFHCE_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y25 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y25 IS_PAD 0 SITEPROP BUFHCE_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y25 IS_RESERVED 0 SITEPROP BUFHCE_X0Y25 IS_TEST 0 SITEPROP BUFHCE_X0Y25 IS_USED 0 SITEPROP BUFHCE_X0Y25 MANUAL_ROUTING SITEPROP BUFHCE_X0Y25 NAME BUFHCE_X0Y25 SITEPROP BUFHCE_X0Y25 NUM_ARCS 2 SITEPROP BUFHCE_X0Y25 NUM_BELS 1 SITEPROP BUFHCE_X0Y25 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y25 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y25 NUM_PINS 3 SITEPROP BUFHCE_X0Y25 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y25 PROHIBIT 0 SITEPROP BUFHCE_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y25 RPM_X 97 SITEPROP BUFHCE_X0Y25 RPM_Y 245 SITEPROP BUFHCE_X0Y25 SITE_PIPS SITEPROP BUFHCE_X0Y25 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y26 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y26 CLASS site SITEPROP BUFHCE_X0Y26 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y26 IS_BONDED 0 SITEPROP BUFHCE_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y26 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y26 IS_PAD 0 SITEPROP BUFHCE_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y26 IS_RESERVED 0 SITEPROP BUFHCE_X0Y26 IS_TEST 0 SITEPROP BUFHCE_X0Y26 IS_USED 0 SITEPROP BUFHCE_X0Y26 MANUAL_ROUTING SITEPROP BUFHCE_X0Y26 NAME BUFHCE_X0Y26 SITEPROP BUFHCE_X0Y26 NUM_ARCS 2 SITEPROP BUFHCE_X0Y26 NUM_BELS 1 SITEPROP BUFHCE_X0Y26 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y26 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y26 NUM_PINS 3 SITEPROP BUFHCE_X0Y26 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y26 PROHIBIT 0 SITEPROP BUFHCE_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y26 RPM_X 97 SITEPROP BUFHCE_X0Y26 RPM_Y 246 SITEPROP BUFHCE_X0Y26 SITE_PIPS SITEPROP BUFHCE_X0Y26 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y27 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y27 CLASS site SITEPROP BUFHCE_X0Y27 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y27 IS_BONDED 0 SITEPROP BUFHCE_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y27 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y27 IS_PAD 0 SITEPROP BUFHCE_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y27 IS_RESERVED 0 SITEPROP BUFHCE_X0Y27 IS_TEST 0 SITEPROP BUFHCE_X0Y27 IS_USED 0 SITEPROP BUFHCE_X0Y27 MANUAL_ROUTING SITEPROP BUFHCE_X0Y27 NAME BUFHCE_X0Y27 SITEPROP BUFHCE_X0Y27 NUM_ARCS 2 SITEPROP BUFHCE_X0Y27 NUM_BELS 1 SITEPROP BUFHCE_X0Y27 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y27 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y27 NUM_PINS 3 SITEPROP BUFHCE_X0Y27 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y27 PROHIBIT 0 SITEPROP BUFHCE_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y27 RPM_X 97 SITEPROP BUFHCE_X0Y27 RPM_Y 247 SITEPROP BUFHCE_X0Y27 SITE_PIPS SITEPROP BUFHCE_X0Y27 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y28 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y28 CLASS site SITEPROP BUFHCE_X0Y28 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y28 IS_BONDED 0 SITEPROP BUFHCE_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y28 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y28 IS_PAD 0 SITEPROP BUFHCE_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y28 IS_RESERVED 0 SITEPROP BUFHCE_X0Y28 IS_TEST 0 SITEPROP BUFHCE_X0Y28 IS_USED 0 SITEPROP BUFHCE_X0Y28 MANUAL_ROUTING SITEPROP BUFHCE_X0Y28 NAME BUFHCE_X0Y28 SITEPROP BUFHCE_X0Y28 NUM_ARCS 2 SITEPROP BUFHCE_X0Y28 NUM_BELS 1 SITEPROP BUFHCE_X0Y28 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y28 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y28 NUM_PINS 3 SITEPROP BUFHCE_X0Y28 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y28 PROHIBIT 0 SITEPROP BUFHCE_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y28 RPM_X 97 SITEPROP BUFHCE_X0Y28 RPM_Y 248 SITEPROP BUFHCE_X0Y28 SITE_PIPS SITEPROP BUFHCE_X0Y28 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y29 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y29 CLASS site SITEPROP BUFHCE_X0Y29 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y29 IS_BONDED 0 SITEPROP BUFHCE_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y29 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y29 IS_PAD 0 SITEPROP BUFHCE_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y29 IS_RESERVED 0 SITEPROP BUFHCE_X0Y29 IS_TEST 0 SITEPROP BUFHCE_X0Y29 IS_USED 0 SITEPROP BUFHCE_X0Y29 MANUAL_ROUTING SITEPROP BUFHCE_X0Y29 NAME BUFHCE_X0Y29 SITEPROP BUFHCE_X0Y29 NUM_ARCS 2 SITEPROP BUFHCE_X0Y29 NUM_BELS 1 SITEPROP BUFHCE_X0Y29 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y29 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y29 NUM_PINS 3 SITEPROP BUFHCE_X0Y29 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y29 PROHIBIT 0 SITEPROP BUFHCE_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y29 RPM_X 97 SITEPROP BUFHCE_X0Y29 RPM_Y 249 SITEPROP BUFHCE_X0Y29 SITE_PIPS SITEPROP BUFHCE_X0Y29 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y30 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y30 CLASS site SITEPROP BUFHCE_X0Y30 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y30 IS_BONDED 0 SITEPROP BUFHCE_X0Y30 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y30 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y30 IS_PAD 0 SITEPROP BUFHCE_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y30 IS_RESERVED 0 SITEPROP BUFHCE_X0Y30 IS_TEST 0 SITEPROP BUFHCE_X0Y30 IS_USED 0 SITEPROP BUFHCE_X0Y30 MANUAL_ROUTING SITEPROP BUFHCE_X0Y30 NAME BUFHCE_X0Y30 SITEPROP BUFHCE_X0Y30 NUM_ARCS 2 SITEPROP BUFHCE_X0Y30 NUM_BELS 1 SITEPROP BUFHCE_X0Y30 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y30 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y30 NUM_PINS 3 SITEPROP BUFHCE_X0Y30 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y30 PROHIBIT 0 SITEPROP BUFHCE_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y30 RPM_X 97 SITEPROP BUFHCE_X0Y30 RPM_Y 250 SITEPROP BUFHCE_X0Y30 SITE_PIPS SITEPROP BUFHCE_X0Y30 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y31 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y31 CLASS site SITEPROP BUFHCE_X0Y31 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y31 IS_BONDED 0 SITEPROP BUFHCE_X0Y31 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y31 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y31 IS_PAD 0 SITEPROP BUFHCE_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y31 IS_RESERVED 0 SITEPROP BUFHCE_X0Y31 IS_TEST 0 SITEPROP BUFHCE_X0Y31 IS_USED 0 SITEPROP BUFHCE_X0Y31 MANUAL_ROUTING SITEPROP BUFHCE_X0Y31 NAME BUFHCE_X0Y31 SITEPROP BUFHCE_X0Y31 NUM_ARCS 2 SITEPROP BUFHCE_X0Y31 NUM_BELS 1 SITEPROP BUFHCE_X0Y31 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y31 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y31 NUM_PINS 3 SITEPROP BUFHCE_X0Y31 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y31 PROHIBIT 0 SITEPROP BUFHCE_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y31 RPM_X 97 SITEPROP BUFHCE_X0Y31 RPM_Y 251 SITEPROP BUFHCE_X0Y31 SITE_PIPS SITEPROP BUFHCE_X0Y31 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y32 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y32 CLASS site SITEPROP BUFHCE_X0Y32 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y32 IS_BONDED 0 SITEPROP BUFHCE_X0Y32 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y32 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y32 IS_PAD 0 SITEPROP BUFHCE_X0Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y32 IS_RESERVED 0 SITEPROP BUFHCE_X0Y32 IS_TEST 0 SITEPROP BUFHCE_X0Y32 IS_USED 0 SITEPROP BUFHCE_X0Y32 MANUAL_ROUTING SITEPROP BUFHCE_X0Y32 NAME BUFHCE_X0Y32 SITEPROP BUFHCE_X0Y32 NUM_ARCS 2 SITEPROP BUFHCE_X0Y32 NUM_BELS 1 SITEPROP BUFHCE_X0Y32 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y32 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y32 NUM_PINS 3 SITEPROP BUFHCE_X0Y32 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y32 PROHIBIT 0 SITEPROP BUFHCE_X0Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y32 RPM_X 97 SITEPROP BUFHCE_X0Y32 RPM_Y 252 SITEPROP BUFHCE_X0Y32 SITE_PIPS SITEPROP BUFHCE_X0Y32 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y33 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y33 CLASS site SITEPROP BUFHCE_X0Y33 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y33 IS_BONDED 0 SITEPROP BUFHCE_X0Y33 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y33 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y33 IS_PAD 0 SITEPROP BUFHCE_X0Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y33 IS_RESERVED 0 SITEPROP BUFHCE_X0Y33 IS_TEST 0 SITEPROP BUFHCE_X0Y33 IS_USED 0 SITEPROP BUFHCE_X0Y33 MANUAL_ROUTING SITEPROP BUFHCE_X0Y33 NAME BUFHCE_X0Y33 SITEPROP BUFHCE_X0Y33 NUM_ARCS 2 SITEPROP BUFHCE_X0Y33 NUM_BELS 1 SITEPROP BUFHCE_X0Y33 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y33 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y33 NUM_PINS 3 SITEPROP BUFHCE_X0Y33 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y33 PROHIBIT 0 SITEPROP BUFHCE_X0Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y33 RPM_X 97 SITEPROP BUFHCE_X0Y33 RPM_Y 253 SITEPROP BUFHCE_X0Y33 SITE_PIPS SITEPROP BUFHCE_X0Y33 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y34 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y34 CLASS site SITEPROP BUFHCE_X0Y34 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y34 IS_BONDED 0 SITEPROP BUFHCE_X0Y34 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y34 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y34 IS_PAD 0 SITEPROP BUFHCE_X0Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y34 IS_RESERVED 0 SITEPROP BUFHCE_X0Y34 IS_TEST 0 SITEPROP BUFHCE_X0Y34 IS_USED 0 SITEPROP BUFHCE_X0Y34 MANUAL_ROUTING SITEPROP BUFHCE_X0Y34 NAME BUFHCE_X0Y34 SITEPROP BUFHCE_X0Y34 NUM_ARCS 2 SITEPROP BUFHCE_X0Y34 NUM_BELS 1 SITEPROP BUFHCE_X0Y34 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y34 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y34 NUM_PINS 3 SITEPROP BUFHCE_X0Y34 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y34 PROHIBIT 0 SITEPROP BUFHCE_X0Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y34 RPM_X 97 SITEPROP BUFHCE_X0Y34 RPM_Y 254 SITEPROP BUFHCE_X0Y34 SITE_PIPS SITEPROP BUFHCE_X0Y34 SITE_TYPE BUFHCE SITEPROP BUFHCE_X0Y35 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X0Y35 CLASS site SITEPROP BUFHCE_X0Y35 CLOCK_REGION X0Y2 SITEPROP BUFHCE_X0Y35 IS_BONDED 0 SITEPROP BUFHCE_X0Y35 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y35 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y35 IS_PAD 0 SITEPROP BUFHCE_X0Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X0Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X0Y35 IS_RESERVED 0 SITEPROP BUFHCE_X0Y35 IS_TEST 0 SITEPROP BUFHCE_X0Y35 IS_USED 0 SITEPROP BUFHCE_X0Y35 MANUAL_ROUTING SITEPROP BUFHCE_X0Y35 NAME BUFHCE_X0Y35 SITEPROP BUFHCE_X0Y35 NUM_ARCS 2 SITEPROP BUFHCE_X0Y35 NUM_BELS 1 SITEPROP BUFHCE_X0Y35 NUM_INPUTS 2 SITEPROP BUFHCE_X0Y35 NUM_OUTPUTS 1 SITEPROP BUFHCE_X0Y35 NUM_PINS 3 SITEPROP BUFHCE_X0Y35 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X0Y35 PROHIBIT 0 SITEPROP BUFHCE_X0Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X0Y35 RPM_X 97 SITEPROP BUFHCE_X0Y35 RPM_Y 255 SITEPROP BUFHCE_X0Y35 SITE_PIPS SITEPROP BUFHCE_X0Y35 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y0 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y0 CLASS site SITEPROP BUFHCE_X1Y0 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y0 IS_BONDED 0 SITEPROP BUFHCE_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y0 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y0 IS_PAD 0 SITEPROP BUFHCE_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y0 IS_RESERVED 0 SITEPROP BUFHCE_X1Y0 IS_TEST 0 SITEPROP BUFHCE_X1Y0 IS_USED 0 SITEPROP BUFHCE_X1Y0 MANUAL_ROUTING SITEPROP BUFHCE_X1Y0 NAME BUFHCE_X1Y0 SITEPROP BUFHCE_X1Y0 NUM_ARCS 2 SITEPROP BUFHCE_X1Y0 NUM_BELS 1 SITEPROP BUFHCE_X1Y0 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y0 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y0 NUM_PINS 3 SITEPROP BUFHCE_X1Y0 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y0 PROHIBIT 0 SITEPROP BUFHCE_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y0 RPM_X 99 SITEPROP BUFHCE_X1Y0 RPM_Y 44 SITEPROP BUFHCE_X1Y0 SITE_PIPS SITEPROP BUFHCE_X1Y0 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y1 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y1 CLASS site SITEPROP BUFHCE_X1Y1 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y1 IS_BONDED 0 SITEPROP BUFHCE_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y1 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y1 IS_PAD 0 SITEPROP BUFHCE_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y1 IS_RESERVED 0 SITEPROP BUFHCE_X1Y1 IS_TEST 0 SITEPROP BUFHCE_X1Y1 IS_USED 0 SITEPROP BUFHCE_X1Y1 MANUAL_ROUTING SITEPROP BUFHCE_X1Y1 NAME BUFHCE_X1Y1 SITEPROP BUFHCE_X1Y1 NUM_ARCS 2 SITEPROP BUFHCE_X1Y1 NUM_BELS 1 SITEPROP BUFHCE_X1Y1 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y1 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y1 NUM_PINS 3 SITEPROP BUFHCE_X1Y1 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y1 PROHIBIT 0 SITEPROP BUFHCE_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y1 RPM_X 99 SITEPROP BUFHCE_X1Y1 RPM_Y 45 SITEPROP BUFHCE_X1Y1 SITE_PIPS SITEPROP BUFHCE_X1Y1 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y2 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y2 CLASS site SITEPROP BUFHCE_X1Y2 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y2 IS_BONDED 0 SITEPROP BUFHCE_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y2 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y2 IS_PAD 0 SITEPROP BUFHCE_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y2 IS_RESERVED 0 SITEPROP BUFHCE_X1Y2 IS_TEST 0 SITEPROP BUFHCE_X1Y2 IS_USED 0 SITEPROP BUFHCE_X1Y2 MANUAL_ROUTING SITEPROP BUFHCE_X1Y2 NAME BUFHCE_X1Y2 SITEPROP BUFHCE_X1Y2 NUM_ARCS 2 SITEPROP BUFHCE_X1Y2 NUM_BELS 1 SITEPROP BUFHCE_X1Y2 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y2 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y2 NUM_PINS 3 SITEPROP BUFHCE_X1Y2 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y2 PROHIBIT 0 SITEPROP BUFHCE_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y2 RPM_X 99 SITEPROP BUFHCE_X1Y2 RPM_Y 46 SITEPROP BUFHCE_X1Y2 SITE_PIPS SITEPROP BUFHCE_X1Y2 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y3 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y3 CLASS site SITEPROP BUFHCE_X1Y3 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y3 IS_BONDED 0 SITEPROP BUFHCE_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y3 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y3 IS_PAD 0 SITEPROP BUFHCE_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y3 IS_RESERVED 0 SITEPROP BUFHCE_X1Y3 IS_TEST 0 SITEPROP BUFHCE_X1Y3 IS_USED 0 SITEPROP BUFHCE_X1Y3 MANUAL_ROUTING SITEPROP BUFHCE_X1Y3 NAME BUFHCE_X1Y3 SITEPROP BUFHCE_X1Y3 NUM_ARCS 2 SITEPROP BUFHCE_X1Y3 NUM_BELS 1 SITEPROP BUFHCE_X1Y3 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y3 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y3 NUM_PINS 3 SITEPROP BUFHCE_X1Y3 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y3 PROHIBIT 0 SITEPROP BUFHCE_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y3 RPM_X 99 SITEPROP BUFHCE_X1Y3 RPM_Y 47 SITEPROP BUFHCE_X1Y3 SITE_PIPS SITEPROP BUFHCE_X1Y3 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y4 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y4 CLASS site SITEPROP BUFHCE_X1Y4 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y4 IS_BONDED 0 SITEPROP BUFHCE_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y4 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y4 IS_PAD 0 SITEPROP BUFHCE_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y4 IS_RESERVED 0 SITEPROP BUFHCE_X1Y4 IS_TEST 0 SITEPROP BUFHCE_X1Y4 IS_USED 0 SITEPROP BUFHCE_X1Y4 MANUAL_ROUTING SITEPROP BUFHCE_X1Y4 NAME BUFHCE_X1Y4 SITEPROP BUFHCE_X1Y4 NUM_ARCS 2 SITEPROP BUFHCE_X1Y4 NUM_BELS 1 SITEPROP BUFHCE_X1Y4 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y4 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y4 NUM_PINS 3 SITEPROP BUFHCE_X1Y4 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y4 PROHIBIT 0 SITEPROP BUFHCE_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y4 RPM_X 99 SITEPROP BUFHCE_X1Y4 RPM_Y 48 SITEPROP BUFHCE_X1Y4 SITE_PIPS SITEPROP BUFHCE_X1Y4 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y5 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y5 CLASS site SITEPROP BUFHCE_X1Y5 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y5 IS_BONDED 0 SITEPROP BUFHCE_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y5 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y5 IS_PAD 0 SITEPROP BUFHCE_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y5 IS_RESERVED 0 SITEPROP BUFHCE_X1Y5 IS_TEST 0 SITEPROP BUFHCE_X1Y5 IS_USED 0 SITEPROP BUFHCE_X1Y5 MANUAL_ROUTING SITEPROP BUFHCE_X1Y5 NAME BUFHCE_X1Y5 SITEPROP BUFHCE_X1Y5 NUM_ARCS 2 SITEPROP BUFHCE_X1Y5 NUM_BELS 1 SITEPROP BUFHCE_X1Y5 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y5 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y5 NUM_PINS 3 SITEPROP BUFHCE_X1Y5 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y5 PROHIBIT 0 SITEPROP BUFHCE_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y5 RPM_X 99 SITEPROP BUFHCE_X1Y5 RPM_Y 49 SITEPROP BUFHCE_X1Y5 SITE_PIPS SITEPROP BUFHCE_X1Y5 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y6 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y6 CLASS site SITEPROP BUFHCE_X1Y6 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y6 IS_BONDED 0 SITEPROP BUFHCE_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y6 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y6 IS_PAD 0 SITEPROP BUFHCE_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y6 IS_RESERVED 0 SITEPROP BUFHCE_X1Y6 IS_TEST 0 SITEPROP BUFHCE_X1Y6 IS_USED 0 SITEPROP BUFHCE_X1Y6 MANUAL_ROUTING SITEPROP BUFHCE_X1Y6 NAME BUFHCE_X1Y6 SITEPROP BUFHCE_X1Y6 NUM_ARCS 2 SITEPROP BUFHCE_X1Y6 NUM_BELS 1 SITEPROP BUFHCE_X1Y6 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y6 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y6 NUM_PINS 3 SITEPROP BUFHCE_X1Y6 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y6 PROHIBIT 0 SITEPROP BUFHCE_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y6 RPM_X 99 SITEPROP BUFHCE_X1Y6 RPM_Y 50 SITEPROP BUFHCE_X1Y6 SITE_PIPS SITEPROP BUFHCE_X1Y6 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y7 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y7 CLASS site SITEPROP BUFHCE_X1Y7 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y7 IS_BONDED 0 SITEPROP BUFHCE_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y7 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y7 IS_PAD 0 SITEPROP BUFHCE_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y7 IS_RESERVED 0 SITEPROP BUFHCE_X1Y7 IS_TEST 0 SITEPROP BUFHCE_X1Y7 IS_USED 0 SITEPROP BUFHCE_X1Y7 MANUAL_ROUTING SITEPROP BUFHCE_X1Y7 NAME BUFHCE_X1Y7 SITEPROP BUFHCE_X1Y7 NUM_ARCS 2 SITEPROP BUFHCE_X1Y7 NUM_BELS 1 SITEPROP BUFHCE_X1Y7 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y7 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y7 NUM_PINS 3 SITEPROP BUFHCE_X1Y7 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y7 PROHIBIT 0 SITEPROP BUFHCE_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y7 RPM_X 99 SITEPROP BUFHCE_X1Y7 RPM_Y 51 SITEPROP BUFHCE_X1Y7 SITE_PIPS SITEPROP BUFHCE_X1Y7 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y8 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y8 CLASS site SITEPROP BUFHCE_X1Y8 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y8 IS_BONDED 0 SITEPROP BUFHCE_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y8 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y8 IS_PAD 0 SITEPROP BUFHCE_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y8 IS_RESERVED 0 SITEPROP BUFHCE_X1Y8 IS_TEST 0 SITEPROP BUFHCE_X1Y8 IS_USED 0 SITEPROP BUFHCE_X1Y8 MANUAL_ROUTING SITEPROP BUFHCE_X1Y8 NAME BUFHCE_X1Y8 SITEPROP BUFHCE_X1Y8 NUM_ARCS 2 SITEPROP BUFHCE_X1Y8 NUM_BELS 1 SITEPROP BUFHCE_X1Y8 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y8 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y8 NUM_PINS 3 SITEPROP BUFHCE_X1Y8 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y8 PROHIBIT 0 SITEPROP BUFHCE_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y8 RPM_X 99 SITEPROP BUFHCE_X1Y8 RPM_Y 52 SITEPROP BUFHCE_X1Y8 SITE_PIPS SITEPROP BUFHCE_X1Y8 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y9 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y9 CLASS site SITEPROP BUFHCE_X1Y9 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y9 IS_BONDED 0 SITEPROP BUFHCE_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y9 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y9 IS_PAD 0 SITEPROP BUFHCE_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y9 IS_RESERVED 0 SITEPROP BUFHCE_X1Y9 IS_TEST 0 SITEPROP BUFHCE_X1Y9 IS_USED 0 SITEPROP BUFHCE_X1Y9 MANUAL_ROUTING SITEPROP BUFHCE_X1Y9 NAME BUFHCE_X1Y9 SITEPROP BUFHCE_X1Y9 NUM_ARCS 2 SITEPROP BUFHCE_X1Y9 NUM_BELS 1 SITEPROP BUFHCE_X1Y9 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y9 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y9 NUM_PINS 3 SITEPROP BUFHCE_X1Y9 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y9 PROHIBIT 0 SITEPROP BUFHCE_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y9 RPM_X 99 SITEPROP BUFHCE_X1Y9 RPM_Y 53 SITEPROP BUFHCE_X1Y9 SITE_PIPS SITEPROP BUFHCE_X1Y9 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y10 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y10 CLASS site SITEPROP BUFHCE_X1Y10 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y10 IS_BONDED 0 SITEPROP BUFHCE_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y10 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y10 IS_PAD 0 SITEPROP BUFHCE_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y10 IS_RESERVED 0 SITEPROP BUFHCE_X1Y10 IS_TEST 0 SITEPROP BUFHCE_X1Y10 IS_USED 0 SITEPROP BUFHCE_X1Y10 MANUAL_ROUTING SITEPROP BUFHCE_X1Y10 NAME BUFHCE_X1Y10 SITEPROP BUFHCE_X1Y10 NUM_ARCS 2 SITEPROP BUFHCE_X1Y10 NUM_BELS 1 SITEPROP BUFHCE_X1Y10 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y10 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y10 NUM_PINS 3 SITEPROP BUFHCE_X1Y10 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y10 PROHIBIT 0 SITEPROP BUFHCE_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y10 RPM_X 99 SITEPROP BUFHCE_X1Y10 RPM_Y 54 SITEPROP BUFHCE_X1Y10 SITE_PIPS SITEPROP BUFHCE_X1Y10 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y11 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y11 CLASS site SITEPROP BUFHCE_X1Y11 CLOCK_REGION X1Y0 SITEPROP BUFHCE_X1Y11 IS_BONDED 0 SITEPROP BUFHCE_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y11 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y11 IS_PAD 0 SITEPROP BUFHCE_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y11 IS_RESERVED 0 SITEPROP BUFHCE_X1Y11 IS_TEST 0 SITEPROP BUFHCE_X1Y11 IS_USED 0 SITEPROP BUFHCE_X1Y11 MANUAL_ROUTING SITEPROP BUFHCE_X1Y11 NAME BUFHCE_X1Y11 SITEPROP BUFHCE_X1Y11 NUM_ARCS 2 SITEPROP BUFHCE_X1Y11 NUM_BELS 1 SITEPROP BUFHCE_X1Y11 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y11 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y11 NUM_PINS 3 SITEPROP BUFHCE_X1Y11 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y11 PROHIBIT 0 SITEPROP BUFHCE_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y11 RPM_X 99 SITEPROP BUFHCE_X1Y11 RPM_Y 55 SITEPROP BUFHCE_X1Y11 SITE_PIPS SITEPROP BUFHCE_X1Y11 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y12 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y12 CLASS site SITEPROP BUFHCE_X1Y12 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y12 IS_BONDED 0 SITEPROP BUFHCE_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y12 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y12 IS_PAD 0 SITEPROP BUFHCE_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y12 IS_RESERVED 0 SITEPROP BUFHCE_X1Y12 IS_TEST 0 SITEPROP BUFHCE_X1Y12 IS_USED 0 SITEPROP BUFHCE_X1Y12 MANUAL_ROUTING SITEPROP BUFHCE_X1Y12 NAME BUFHCE_X1Y12 SITEPROP BUFHCE_X1Y12 NUM_ARCS 2 SITEPROP BUFHCE_X1Y12 NUM_BELS 1 SITEPROP BUFHCE_X1Y12 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y12 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y12 NUM_PINS 3 SITEPROP BUFHCE_X1Y12 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y12 PROHIBIT 0 SITEPROP BUFHCE_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y12 RPM_X 99 SITEPROP BUFHCE_X1Y12 RPM_Y 144 SITEPROP BUFHCE_X1Y12 SITE_PIPS SITEPROP BUFHCE_X1Y12 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y13 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y13 CLASS site SITEPROP BUFHCE_X1Y13 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y13 IS_BONDED 0 SITEPROP BUFHCE_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y13 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y13 IS_PAD 0 SITEPROP BUFHCE_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y13 IS_RESERVED 0 SITEPROP BUFHCE_X1Y13 IS_TEST 0 SITEPROP BUFHCE_X1Y13 IS_USED 0 SITEPROP BUFHCE_X1Y13 MANUAL_ROUTING SITEPROP BUFHCE_X1Y13 NAME BUFHCE_X1Y13 SITEPROP BUFHCE_X1Y13 NUM_ARCS 2 SITEPROP BUFHCE_X1Y13 NUM_BELS 1 SITEPROP BUFHCE_X1Y13 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y13 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y13 NUM_PINS 3 SITEPROP BUFHCE_X1Y13 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y13 PROHIBIT 0 SITEPROP BUFHCE_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y13 RPM_X 99 SITEPROP BUFHCE_X1Y13 RPM_Y 145 SITEPROP BUFHCE_X1Y13 SITE_PIPS SITEPROP BUFHCE_X1Y13 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y14 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y14 CLASS site SITEPROP BUFHCE_X1Y14 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y14 IS_BONDED 0 SITEPROP BUFHCE_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y14 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y14 IS_PAD 0 SITEPROP BUFHCE_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y14 IS_RESERVED 0 SITEPROP BUFHCE_X1Y14 IS_TEST 0 SITEPROP BUFHCE_X1Y14 IS_USED 0 SITEPROP BUFHCE_X1Y14 MANUAL_ROUTING SITEPROP BUFHCE_X1Y14 NAME BUFHCE_X1Y14 SITEPROP BUFHCE_X1Y14 NUM_ARCS 2 SITEPROP BUFHCE_X1Y14 NUM_BELS 1 SITEPROP BUFHCE_X1Y14 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y14 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y14 NUM_PINS 3 SITEPROP BUFHCE_X1Y14 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y14 PROHIBIT 0 SITEPROP BUFHCE_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y14 RPM_X 99 SITEPROP BUFHCE_X1Y14 RPM_Y 146 SITEPROP BUFHCE_X1Y14 SITE_PIPS SITEPROP BUFHCE_X1Y14 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y15 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y15 CLASS site SITEPROP BUFHCE_X1Y15 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y15 IS_BONDED 0 SITEPROP BUFHCE_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y15 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y15 IS_PAD 0 SITEPROP BUFHCE_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y15 IS_RESERVED 0 SITEPROP BUFHCE_X1Y15 IS_TEST 0 SITEPROP BUFHCE_X1Y15 IS_USED 0 SITEPROP BUFHCE_X1Y15 MANUAL_ROUTING SITEPROP BUFHCE_X1Y15 NAME BUFHCE_X1Y15 SITEPROP BUFHCE_X1Y15 NUM_ARCS 2 SITEPROP BUFHCE_X1Y15 NUM_BELS 1 SITEPROP BUFHCE_X1Y15 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y15 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y15 NUM_PINS 3 SITEPROP BUFHCE_X1Y15 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y15 PROHIBIT 0 SITEPROP BUFHCE_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y15 RPM_X 99 SITEPROP BUFHCE_X1Y15 RPM_Y 147 SITEPROP BUFHCE_X1Y15 SITE_PIPS SITEPROP BUFHCE_X1Y15 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y16 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y16 CLASS site SITEPROP BUFHCE_X1Y16 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y16 IS_BONDED 0 SITEPROP BUFHCE_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y16 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y16 IS_PAD 0 SITEPROP BUFHCE_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y16 IS_RESERVED 0 SITEPROP BUFHCE_X1Y16 IS_TEST 0 SITEPROP BUFHCE_X1Y16 IS_USED 0 SITEPROP BUFHCE_X1Y16 MANUAL_ROUTING SITEPROP BUFHCE_X1Y16 NAME BUFHCE_X1Y16 SITEPROP BUFHCE_X1Y16 NUM_ARCS 2 SITEPROP BUFHCE_X1Y16 NUM_BELS 1 SITEPROP BUFHCE_X1Y16 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y16 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y16 NUM_PINS 3 SITEPROP BUFHCE_X1Y16 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y16 PROHIBIT 0 SITEPROP BUFHCE_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y16 RPM_X 99 SITEPROP BUFHCE_X1Y16 RPM_Y 148 SITEPROP BUFHCE_X1Y16 SITE_PIPS SITEPROP BUFHCE_X1Y16 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y17 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y17 CLASS site SITEPROP BUFHCE_X1Y17 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y17 IS_BONDED 0 SITEPROP BUFHCE_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y17 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y17 IS_PAD 0 SITEPROP BUFHCE_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y17 IS_RESERVED 0 SITEPROP BUFHCE_X1Y17 IS_TEST 0 SITEPROP BUFHCE_X1Y17 IS_USED 0 SITEPROP BUFHCE_X1Y17 MANUAL_ROUTING SITEPROP BUFHCE_X1Y17 NAME BUFHCE_X1Y17 SITEPROP BUFHCE_X1Y17 NUM_ARCS 2 SITEPROP BUFHCE_X1Y17 NUM_BELS 1 SITEPROP BUFHCE_X1Y17 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y17 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y17 NUM_PINS 3 SITEPROP BUFHCE_X1Y17 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y17 PROHIBIT 0 SITEPROP BUFHCE_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y17 RPM_X 99 SITEPROP BUFHCE_X1Y17 RPM_Y 149 SITEPROP BUFHCE_X1Y17 SITE_PIPS SITEPROP BUFHCE_X1Y17 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y18 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y18 CLASS site SITEPROP BUFHCE_X1Y18 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y18 IS_BONDED 0 SITEPROP BUFHCE_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y18 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y18 IS_PAD 0 SITEPROP BUFHCE_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y18 IS_RESERVED 0 SITEPROP BUFHCE_X1Y18 IS_TEST 0 SITEPROP BUFHCE_X1Y18 IS_USED 0 SITEPROP BUFHCE_X1Y18 MANUAL_ROUTING SITEPROP BUFHCE_X1Y18 NAME BUFHCE_X1Y18 SITEPROP BUFHCE_X1Y18 NUM_ARCS 2 SITEPROP BUFHCE_X1Y18 NUM_BELS 1 SITEPROP BUFHCE_X1Y18 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y18 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y18 NUM_PINS 3 SITEPROP BUFHCE_X1Y18 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y18 PROHIBIT 0 SITEPROP BUFHCE_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y18 RPM_X 99 SITEPROP BUFHCE_X1Y18 RPM_Y 150 SITEPROP BUFHCE_X1Y18 SITE_PIPS SITEPROP BUFHCE_X1Y18 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y19 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y19 CLASS site SITEPROP BUFHCE_X1Y19 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y19 IS_BONDED 0 SITEPROP BUFHCE_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y19 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y19 IS_PAD 0 SITEPROP BUFHCE_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y19 IS_RESERVED 0 SITEPROP BUFHCE_X1Y19 IS_TEST 0 SITEPROP BUFHCE_X1Y19 IS_USED 0 SITEPROP BUFHCE_X1Y19 MANUAL_ROUTING SITEPROP BUFHCE_X1Y19 NAME BUFHCE_X1Y19 SITEPROP BUFHCE_X1Y19 NUM_ARCS 2 SITEPROP BUFHCE_X1Y19 NUM_BELS 1 SITEPROP BUFHCE_X1Y19 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y19 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y19 NUM_PINS 3 SITEPROP BUFHCE_X1Y19 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y19 PROHIBIT 0 SITEPROP BUFHCE_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y19 RPM_X 99 SITEPROP BUFHCE_X1Y19 RPM_Y 151 SITEPROP BUFHCE_X1Y19 SITE_PIPS SITEPROP BUFHCE_X1Y19 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y20 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y20 CLASS site SITEPROP BUFHCE_X1Y20 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y20 IS_BONDED 0 SITEPROP BUFHCE_X1Y20 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y20 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y20 IS_PAD 0 SITEPROP BUFHCE_X1Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y20 IS_RESERVED 0 SITEPROP BUFHCE_X1Y20 IS_TEST 0 SITEPROP BUFHCE_X1Y20 IS_USED 0 SITEPROP BUFHCE_X1Y20 MANUAL_ROUTING SITEPROP BUFHCE_X1Y20 NAME BUFHCE_X1Y20 SITEPROP BUFHCE_X1Y20 NUM_ARCS 2 SITEPROP BUFHCE_X1Y20 NUM_BELS 1 SITEPROP BUFHCE_X1Y20 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y20 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y20 NUM_PINS 3 SITEPROP BUFHCE_X1Y20 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y20 PROHIBIT 0 SITEPROP BUFHCE_X1Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y20 RPM_X 99 SITEPROP BUFHCE_X1Y20 RPM_Y 152 SITEPROP BUFHCE_X1Y20 SITE_PIPS SITEPROP BUFHCE_X1Y20 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y21 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y21 CLASS site SITEPROP BUFHCE_X1Y21 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y21 IS_BONDED 0 SITEPROP BUFHCE_X1Y21 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y21 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y21 IS_PAD 0 SITEPROP BUFHCE_X1Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y21 IS_RESERVED 0 SITEPROP BUFHCE_X1Y21 IS_TEST 0 SITEPROP BUFHCE_X1Y21 IS_USED 0 SITEPROP BUFHCE_X1Y21 MANUAL_ROUTING SITEPROP BUFHCE_X1Y21 NAME BUFHCE_X1Y21 SITEPROP BUFHCE_X1Y21 NUM_ARCS 2 SITEPROP BUFHCE_X1Y21 NUM_BELS 1 SITEPROP BUFHCE_X1Y21 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y21 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y21 NUM_PINS 3 SITEPROP BUFHCE_X1Y21 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y21 PROHIBIT 0 SITEPROP BUFHCE_X1Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y21 RPM_X 99 SITEPROP BUFHCE_X1Y21 RPM_Y 153 SITEPROP BUFHCE_X1Y21 SITE_PIPS SITEPROP BUFHCE_X1Y21 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y22 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y22 CLASS site SITEPROP BUFHCE_X1Y22 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y22 IS_BONDED 0 SITEPROP BUFHCE_X1Y22 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y22 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y22 IS_PAD 0 SITEPROP BUFHCE_X1Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y22 IS_RESERVED 0 SITEPROP BUFHCE_X1Y22 IS_TEST 0 SITEPROP BUFHCE_X1Y22 IS_USED 0 SITEPROP BUFHCE_X1Y22 MANUAL_ROUTING SITEPROP BUFHCE_X1Y22 NAME BUFHCE_X1Y22 SITEPROP BUFHCE_X1Y22 NUM_ARCS 2 SITEPROP BUFHCE_X1Y22 NUM_BELS 1 SITEPROP BUFHCE_X1Y22 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y22 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y22 NUM_PINS 3 SITEPROP BUFHCE_X1Y22 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y22 PROHIBIT 0 SITEPROP BUFHCE_X1Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y22 RPM_X 99 SITEPROP BUFHCE_X1Y22 RPM_Y 154 SITEPROP BUFHCE_X1Y22 SITE_PIPS SITEPROP BUFHCE_X1Y22 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y23 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y23 CLASS site SITEPROP BUFHCE_X1Y23 CLOCK_REGION X1Y1 SITEPROP BUFHCE_X1Y23 IS_BONDED 0 SITEPROP BUFHCE_X1Y23 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y23 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y23 IS_PAD 0 SITEPROP BUFHCE_X1Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y23 IS_RESERVED 0 SITEPROP BUFHCE_X1Y23 IS_TEST 0 SITEPROP BUFHCE_X1Y23 IS_USED 0 SITEPROP BUFHCE_X1Y23 MANUAL_ROUTING SITEPROP BUFHCE_X1Y23 NAME BUFHCE_X1Y23 SITEPROP BUFHCE_X1Y23 NUM_ARCS 2 SITEPROP BUFHCE_X1Y23 NUM_BELS 1 SITEPROP BUFHCE_X1Y23 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y23 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y23 NUM_PINS 3 SITEPROP BUFHCE_X1Y23 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y23 PROHIBIT 0 SITEPROP BUFHCE_X1Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y23 RPM_X 99 SITEPROP BUFHCE_X1Y23 RPM_Y 155 SITEPROP BUFHCE_X1Y23 SITE_PIPS SITEPROP BUFHCE_X1Y23 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y24 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y24 CLASS site SITEPROP BUFHCE_X1Y24 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y24 IS_BONDED 0 SITEPROP BUFHCE_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y24 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y24 IS_PAD 0 SITEPROP BUFHCE_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y24 IS_RESERVED 0 SITEPROP BUFHCE_X1Y24 IS_TEST 0 SITEPROP BUFHCE_X1Y24 IS_USED 0 SITEPROP BUFHCE_X1Y24 MANUAL_ROUTING SITEPROP BUFHCE_X1Y24 NAME BUFHCE_X1Y24 SITEPROP BUFHCE_X1Y24 NUM_ARCS 2 SITEPROP BUFHCE_X1Y24 NUM_BELS 1 SITEPROP BUFHCE_X1Y24 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y24 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y24 NUM_PINS 3 SITEPROP BUFHCE_X1Y24 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y24 PROHIBIT 0 SITEPROP BUFHCE_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y24 RPM_X 99 SITEPROP BUFHCE_X1Y24 RPM_Y 244 SITEPROP BUFHCE_X1Y24 SITE_PIPS SITEPROP BUFHCE_X1Y24 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y25 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y25 CLASS site SITEPROP BUFHCE_X1Y25 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y25 IS_BONDED 0 SITEPROP BUFHCE_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y25 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y25 IS_PAD 0 SITEPROP BUFHCE_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y25 IS_RESERVED 0 SITEPROP BUFHCE_X1Y25 IS_TEST 0 SITEPROP BUFHCE_X1Y25 IS_USED 0 SITEPROP BUFHCE_X1Y25 MANUAL_ROUTING SITEPROP BUFHCE_X1Y25 NAME BUFHCE_X1Y25 SITEPROP BUFHCE_X1Y25 NUM_ARCS 2 SITEPROP BUFHCE_X1Y25 NUM_BELS 1 SITEPROP BUFHCE_X1Y25 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y25 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y25 NUM_PINS 3 SITEPROP BUFHCE_X1Y25 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y25 PROHIBIT 0 SITEPROP BUFHCE_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y25 RPM_X 99 SITEPROP BUFHCE_X1Y25 RPM_Y 245 SITEPROP BUFHCE_X1Y25 SITE_PIPS SITEPROP BUFHCE_X1Y25 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y26 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y26 CLASS site SITEPROP BUFHCE_X1Y26 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y26 IS_BONDED 0 SITEPROP BUFHCE_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y26 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y26 IS_PAD 0 SITEPROP BUFHCE_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y26 IS_RESERVED 0 SITEPROP BUFHCE_X1Y26 IS_TEST 0 SITEPROP BUFHCE_X1Y26 IS_USED 0 SITEPROP BUFHCE_X1Y26 MANUAL_ROUTING SITEPROP BUFHCE_X1Y26 NAME BUFHCE_X1Y26 SITEPROP BUFHCE_X1Y26 NUM_ARCS 2 SITEPROP BUFHCE_X1Y26 NUM_BELS 1 SITEPROP BUFHCE_X1Y26 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y26 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y26 NUM_PINS 3 SITEPROP BUFHCE_X1Y26 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y26 PROHIBIT 0 SITEPROP BUFHCE_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y26 RPM_X 99 SITEPROP BUFHCE_X1Y26 RPM_Y 246 SITEPROP BUFHCE_X1Y26 SITE_PIPS SITEPROP BUFHCE_X1Y26 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y27 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y27 CLASS site SITEPROP BUFHCE_X1Y27 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y27 IS_BONDED 0 SITEPROP BUFHCE_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y27 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y27 IS_PAD 0 SITEPROP BUFHCE_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y27 IS_RESERVED 0 SITEPROP BUFHCE_X1Y27 IS_TEST 0 SITEPROP BUFHCE_X1Y27 IS_USED 0 SITEPROP BUFHCE_X1Y27 MANUAL_ROUTING SITEPROP BUFHCE_X1Y27 NAME BUFHCE_X1Y27 SITEPROP BUFHCE_X1Y27 NUM_ARCS 2 SITEPROP BUFHCE_X1Y27 NUM_BELS 1 SITEPROP BUFHCE_X1Y27 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y27 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y27 NUM_PINS 3 SITEPROP BUFHCE_X1Y27 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y27 PROHIBIT 0 SITEPROP BUFHCE_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y27 RPM_X 99 SITEPROP BUFHCE_X1Y27 RPM_Y 247 SITEPROP BUFHCE_X1Y27 SITE_PIPS SITEPROP BUFHCE_X1Y27 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y28 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y28 CLASS site SITEPROP BUFHCE_X1Y28 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y28 IS_BONDED 0 SITEPROP BUFHCE_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y28 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y28 IS_PAD 0 SITEPROP BUFHCE_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y28 IS_RESERVED 0 SITEPROP BUFHCE_X1Y28 IS_TEST 0 SITEPROP BUFHCE_X1Y28 IS_USED 0 SITEPROP BUFHCE_X1Y28 MANUAL_ROUTING SITEPROP BUFHCE_X1Y28 NAME BUFHCE_X1Y28 SITEPROP BUFHCE_X1Y28 NUM_ARCS 2 SITEPROP BUFHCE_X1Y28 NUM_BELS 1 SITEPROP BUFHCE_X1Y28 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y28 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y28 NUM_PINS 3 SITEPROP BUFHCE_X1Y28 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y28 PROHIBIT 0 SITEPROP BUFHCE_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y28 RPM_X 99 SITEPROP BUFHCE_X1Y28 RPM_Y 248 SITEPROP BUFHCE_X1Y28 SITE_PIPS SITEPROP BUFHCE_X1Y28 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y29 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y29 CLASS site SITEPROP BUFHCE_X1Y29 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y29 IS_BONDED 0 SITEPROP BUFHCE_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y29 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y29 IS_PAD 0 SITEPROP BUFHCE_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y29 IS_RESERVED 0 SITEPROP BUFHCE_X1Y29 IS_TEST 0 SITEPROP BUFHCE_X1Y29 IS_USED 0 SITEPROP BUFHCE_X1Y29 MANUAL_ROUTING SITEPROP BUFHCE_X1Y29 NAME BUFHCE_X1Y29 SITEPROP BUFHCE_X1Y29 NUM_ARCS 2 SITEPROP BUFHCE_X1Y29 NUM_BELS 1 SITEPROP BUFHCE_X1Y29 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y29 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y29 NUM_PINS 3 SITEPROP BUFHCE_X1Y29 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y29 PROHIBIT 0 SITEPROP BUFHCE_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y29 RPM_X 99 SITEPROP BUFHCE_X1Y29 RPM_Y 249 SITEPROP BUFHCE_X1Y29 SITE_PIPS SITEPROP BUFHCE_X1Y29 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y30 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y30 CLASS site SITEPROP BUFHCE_X1Y30 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y30 IS_BONDED 0 SITEPROP BUFHCE_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y30 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y30 IS_PAD 0 SITEPROP BUFHCE_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y30 IS_RESERVED 0 SITEPROP BUFHCE_X1Y30 IS_TEST 0 SITEPROP BUFHCE_X1Y30 IS_USED 0 SITEPROP BUFHCE_X1Y30 MANUAL_ROUTING SITEPROP BUFHCE_X1Y30 NAME BUFHCE_X1Y30 SITEPROP BUFHCE_X1Y30 NUM_ARCS 2 SITEPROP BUFHCE_X1Y30 NUM_BELS 1 SITEPROP BUFHCE_X1Y30 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y30 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y30 NUM_PINS 3 SITEPROP BUFHCE_X1Y30 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y30 PROHIBIT 0 SITEPROP BUFHCE_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y30 RPM_X 99 SITEPROP BUFHCE_X1Y30 RPM_Y 250 SITEPROP BUFHCE_X1Y30 SITE_PIPS SITEPROP BUFHCE_X1Y30 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y31 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y31 CLASS site SITEPROP BUFHCE_X1Y31 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y31 IS_BONDED 0 SITEPROP BUFHCE_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y31 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y31 IS_PAD 0 SITEPROP BUFHCE_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y31 IS_RESERVED 0 SITEPROP BUFHCE_X1Y31 IS_TEST 0 SITEPROP BUFHCE_X1Y31 IS_USED 0 SITEPROP BUFHCE_X1Y31 MANUAL_ROUTING SITEPROP BUFHCE_X1Y31 NAME BUFHCE_X1Y31 SITEPROP BUFHCE_X1Y31 NUM_ARCS 2 SITEPROP BUFHCE_X1Y31 NUM_BELS 1 SITEPROP BUFHCE_X1Y31 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y31 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y31 NUM_PINS 3 SITEPROP BUFHCE_X1Y31 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y31 PROHIBIT 0 SITEPROP BUFHCE_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y31 RPM_X 99 SITEPROP BUFHCE_X1Y31 RPM_Y 251 SITEPROP BUFHCE_X1Y31 SITE_PIPS SITEPROP BUFHCE_X1Y31 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y32 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y32 CLASS site SITEPROP BUFHCE_X1Y32 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y32 IS_BONDED 0 SITEPROP BUFHCE_X1Y32 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y32 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y32 IS_PAD 0 SITEPROP BUFHCE_X1Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y32 IS_RESERVED 0 SITEPROP BUFHCE_X1Y32 IS_TEST 0 SITEPROP BUFHCE_X1Y32 IS_USED 0 SITEPROP BUFHCE_X1Y32 MANUAL_ROUTING SITEPROP BUFHCE_X1Y32 NAME BUFHCE_X1Y32 SITEPROP BUFHCE_X1Y32 NUM_ARCS 2 SITEPROP BUFHCE_X1Y32 NUM_BELS 1 SITEPROP BUFHCE_X1Y32 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y32 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y32 NUM_PINS 3 SITEPROP BUFHCE_X1Y32 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y32 PROHIBIT 0 SITEPROP BUFHCE_X1Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y32 RPM_X 99 SITEPROP BUFHCE_X1Y32 RPM_Y 252 SITEPROP BUFHCE_X1Y32 SITE_PIPS SITEPROP BUFHCE_X1Y32 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y33 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y33 CLASS site SITEPROP BUFHCE_X1Y33 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y33 IS_BONDED 0 SITEPROP BUFHCE_X1Y33 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y33 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y33 IS_PAD 0 SITEPROP BUFHCE_X1Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y33 IS_RESERVED 0 SITEPROP BUFHCE_X1Y33 IS_TEST 0 SITEPROP BUFHCE_X1Y33 IS_USED 0 SITEPROP BUFHCE_X1Y33 MANUAL_ROUTING SITEPROP BUFHCE_X1Y33 NAME BUFHCE_X1Y33 SITEPROP BUFHCE_X1Y33 NUM_ARCS 2 SITEPROP BUFHCE_X1Y33 NUM_BELS 1 SITEPROP BUFHCE_X1Y33 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y33 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y33 NUM_PINS 3 SITEPROP BUFHCE_X1Y33 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y33 PROHIBIT 0 SITEPROP BUFHCE_X1Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y33 RPM_X 99 SITEPROP BUFHCE_X1Y33 RPM_Y 253 SITEPROP BUFHCE_X1Y33 SITE_PIPS SITEPROP BUFHCE_X1Y33 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y34 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y34 CLASS site SITEPROP BUFHCE_X1Y34 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y34 IS_BONDED 0 SITEPROP BUFHCE_X1Y34 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y34 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y34 IS_PAD 0 SITEPROP BUFHCE_X1Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y34 IS_RESERVED 0 SITEPROP BUFHCE_X1Y34 IS_TEST 0 SITEPROP BUFHCE_X1Y34 IS_USED 0 SITEPROP BUFHCE_X1Y34 MANUAL_ROUTING SITEPROP BUFHCE_X1Y34 NAME BUFHCE_X1Y34 SITEPROP BUFHCE_X1Y34 NUM_ARCS 2 SITEPROP BUFHCE_X1Y34 NUM_BELS 1 SITEPROP BUFHCE_X1Y34 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y34 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y34 NUM_PINS 3 SITEPROP BUFHCE_X1Y34 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y34 PROHIBIT 0 SITEPROP BUFHCE_X1Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y34 RPM_X 99 SITEPROP BUFHCE_X1Y34 RPM_Y 254 SITEPROP BUFHCE_X1Y34 SITE_PIPS SITEPROP BUFHCE_X1Y34 SITE_TYPE BUFHCE SITEPROP BUFHCE_X1Y35 ALTERNATE_SITE_TYPES SITEPROP BUFHCE_X1Y35 CLASS site SITEPROP BUFHCE_X1Y35 CLOCK_REGION X1Y2 SITEPROP BUFHCE_X1Y35 IS_BONDED 0 SITEPROP BUFHCE_X1Y35 IS_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y35 IS_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y35 IS_PAD 0 SITEPROP BUFHCE_X1Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFHCE_X1Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFHCE_X1Y35 IS_RESERVED 0 SITEPROP BUFHCE_X1Y35 IS_TEST 0 SITEPROP BUFHCE_X1Y35 IS_USED 0 SITEPROP BUFHCE_X1Y35 MANUAL_ROUTING SITEPROP BUFHCE_X1Y35 NAME BUFHCE_X1Y35 SITEPROP BUFHCE_X1Y35 NUM_ARCS 2 SITEPROP BUFHCE_X1Y35 NUM_BELS 1 SITEPROP BUFHCE_X1Y35 NUM_INPUTS 2 SITEPROP BUFHCE_X1Y35 NUM_OUTPUTS 1 SITEPROP BUFHCE_X1Y35 NUM_PINS 3 SITEPROP BUFHCE_X1Y35 PRIMITIVE_COUNT 0 SITEPROP BUFHCE_X1Y35 PROHIBIT 0 SITEPROP BUFHCE_X1Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFHCE_X1Y35 RPM_X 99 SITEPROP BUFHCE_X1Y35 RPM_Y 255 SITEPROP BUFHCE_X1Y35 SITE_PIPS SITEPROP BUFHCE_X1Y35 SITE_TYPE BUFHCE SITEPROP BUFIO_X0Y0 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y0 CLASS site SITEPROP BUFIO_X0Y0 CLOCK_REGION X0Y0 SITEPROP BUFIO_X0Y0 IS_BONDED 0 SITEPROP BUFIO_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y0 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y0 IS_PAD 0 SITEPROP BUFIO_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y0 IS_RESERVED 0 SITEPROP BUFIO_X0Y0 IS_TEST 0 SITEPROP BUFIO_X0Y0 IS_USED 0 SITEPROP BUFIO_X0Y0 MANUAL_ROUTING SITEPROP BUFIO_X0Y0 NAME BUFIO_X0Y0 SITEPROP BUFIO_X0Y0 NUM_ARCS 0 SITEPROP BUFIO_X0Y0 NUM_BELS 1 SITEPROP BUFIO_X0Y0 NUM_INPUTS 1 SITEPROP BUFIO_X0Y0 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y0 NUM_PINS 2 SITEPROP BUFIO_X0Y0 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y0 PROHIBIT 0 SITEPROP BUFIO_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y0 RPM_X 0 SITEPROP BUFIO_X0Y0 RPM_Y 43 SITEPROP BUFIO_X0Y0 SITE_PIPS SITEPROP BUFIO_X0Y0 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y1 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y1 CLASS site SITEPROP BUFIO_X0Y1 CLOCK_REGION X0Y0 SITEPROP BUFIO_X0Y1 IS_BONDED 0 SITEPROP BUFIO_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y1 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y1 IS_PAD 0 SITEPROP BUFIO_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y1 IS_RESERVED 0 SITEPROP BUFIO_X0Y1 IS_TEST 0 SITEPROP BUFIO_X0Y1 IS_USED 0 SITEPROP BUFIO_X0Y1 MANUAL_ROUTING SITEPROP BUFIO_X0Y1 NAME BUFIO_X0Y1 SITEPROP BUFIO_X0Y1 NUM_ARCS 0 SITEPROP BUFIO_X0Y1 NUM_BELS 1 SITEPROP BUFIO_X0Y1 NUM_INPUTS 1 SITEPROP BUFIO_X0Y1 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y1 NUM_PINS 2 SITEPROP BUFIO_X0Y1 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y1 PROHIBIT 0 SITEPROP BUFIO_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y1 RPM_X 0 SITEPROP BUFIO_X0Y1 RPM_Y 47 SITEPROP BUFIO_X0Y1 SITE_PIPS SITEPROP BUFIO_X0Y1 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y2 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y2 CLASS site SITEPROP BUFIO_X0Y2 CLOCK_REGION X0Y0 SITEPROP BUFIO_X0Y2 IS_BONDED 0 SITEPROP BUFIO_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y2 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y2 IS_PAD 0 SITEPROP BUFIO_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y2 IS_RESERVED 0 SITEPROP BUFIO_X0Y2 IS_TEST 0 SITEPROP BUFIO_X0Y2 IS_USED 0 SITEPROP BUFIO_X0Y2 MANUAL_ROUTING SITEPROP BUFIO_X0Y2 NAME BUFIO_X0Y2 SITEPROP BUFIO_X0Y2 NUM_ARCS 0 SITEPROP BUFIO_X0Y2 NUM_BELS 1 SITEPROP BUFIO_X0Y2 NUM_INPUTS 1 SITEPROP BUFIO_X0Y2 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y2 NUM_PINS 2 SITEPROP BUFIO_X0Y2 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y2 PROHIBIT 0 SITEPROP BUFIO_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y2 RPM_X 0 SITEPROP BUFIO_X0Y2 RPM_Y 51 SITEPROP BUFIO_X0Y2 SITE_PIPS SITEPROP BUFIO_X0Y2 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y3 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y3 CLASS site SITEPROP BUFIO_X0Y3 CLOCK_REGION X0Y0 SITEPROP BUFIO_X0Y3 IS_BONDED 0 SITEPROP BUFIO_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y3 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y3 IS_PAD 0 SITEPROP BUFIO_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y3 IS_RESERVED 0 SITEPROP BUFIO_X0Y3 IS_TEST 0 SITEPROP BUFIO_X0Y3 IS_USED 0 SITEPROP BUFIO_X0Y3 MANUAL_ROUTING SITEPROP BUFIO_X0Y3 NAME BUFIO_X0Y3 SITEPROP BUFIO_X0Y3 NUM_ARCS 0 SITEPROP BUFIO_X0Y3 NUM_BELS 1 SITEPROP BUFIO_X0Y3 NUM_INPUTS 1 SITEPROP BUFIO_X0Y3 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y3 NUM_PINS 2 SITEPROP BUFIO_X0Y3 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y3 PROHIBIT 0 SITEPROP BUFIO_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y3 RPM_X 0 SITEPROP BUFIO_X0Y3 RPM_Y 55 SITEPROP BUFIO_X0Y3 SITE_PIPS SITEPROP BUFIO_X0Y3 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y4 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y4 CLASS site SITEPROP BUFIO_X0Y4 CLOCK_REGION X0Y1 SITEPROP BUFIO_X0Y4 IS_BONDED 0 SITEPROP BUFIO_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y4 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y4 IS_PAD 0 SITEPROP BUFIO_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y4 IS_RESERVED 0 SITEPROP BUFIO_X0Y4 IS_TEST 0 SITEPROP BUFIO_X0Y4 IS_USED 0 SITEPROP BUFIO_X0Y4 MANUAL_ROUTING SITEPROP BUFIO_X0Y4 NAME BUFIO_X0Y4 SITEPROP BUFIO_X0Y4 NUM_ARCS 0 SITEPROP BUFIO_X0Y4 NUM_BELS 1 SITEPROP BUFIO_X0Y4 NUM_INPUTS 1 SITEPROP BUFIO_X0Y4 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y4 NUM_PINS 2 SITEPROP BUFIO_X0Y4 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y4 PROHIBIT 0 SITEPROP BUFIO_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y4 RPM_X 0 SITEPROP BUFIO_X0Y4 RPM_Y 143 SITEPROP BUFIO_X0Y4 SITE_PIPS SITEPROP BUFIO_X0Y4 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y5 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y5 CLASS site SITEPROP BUFIO_X0Y5 CLOCK_REGION X0Y1 SITEPROP BUFIO_X0Y5 IS_BONDED 0 SITEPROP BUFIO_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y5 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y5 IS_PAD 0 SITEPROP BUFIO_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y5 IS_RESERVED 0 SITEPROP BUFIO_X0Y5 IS_TEST 0 SITEPROP BUFIO_X0Y5 IS_USED 0 SITEPROP BUFIO_X0Y5 MANUAL_ROUTING SITEPROP BUFIO_X0Y5 NAME BUFIO_X0Y5 SITEPROP BUFIO_X0Y5 NUM_ARCS 0 SITEPROP BUFIO_X0Y5 NUM_BELS 1 SITEPROP BUFIO_X0Y5 NUM_INPUTS 1 SITEPROP BUFIO_X0Y5 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y5 NUM_PINS 2 SITEPROP BUFIO_X0Y5 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y5 PROHIBIT 0 SITEPROP BUFIO_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y5 RPM_X 0 SITEPROP BUFIO_X0Y5 RPM_Y 147 SITEPROP BUFIO_X0Y5 SITE_PIPS SITEPROP BUFIO_X0Y5 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y6 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y6 CLASS site SITEPROP BUFIO_X0Y6 CLOCK_REGION X0Y1 SITEPROP BUFIO_X0Y6 IS_BONDED 0 SITEPROP BUFIO_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y6 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y6 IS_PAD 0 SITEPROP BUFIO_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y6 IS_RESERVED 0 SITEPROP BUFIO_X0Y6 IS_TEST 0 SITEPROP BUFIO_X0Y6 IS_USED 0 SITEPROP BUFIO_X0Y6 MANUAL_ROUTING SITEPROP BUFIO_X0Y6 NAME BUFIO_X0Y6 SITEPROP BUFIO_X0Y6 NUM_ARCS 0 SITEPROP BUFIO_X0Y6 NUM_BELS 1 SITEPROP BUFIO_X0Y6 NUM_INPUTS 1 SITEPROP BUFIO_X0Y6 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y6 NUM_PINS 2 SITEPROP BUFIO_X0Y6 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y6 PROHIBIT 0 SITEPROP BUFIO_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y6 RPM_X 0 SITEPROP BUFIO_X0Y6 RPM_Y 151 SITEPROP BUFIO_X0Y6 SITE_PIPS SITEPROP BUFIO_X0Y6 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y7 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y7 CLASS site SITEPROP BUFIO_X0Y7 CLOCK_REGION X0Y1 SITEPROP BUFIO_X0Y7 IS_BONDED 0 SITEPROP BUFIO_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y7 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y7 IS_PAD 0 SITEPROP BUFIO_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y7 IS_RESERVED 0 SITEPROP BUFIO_X0Y7 IS_TEST 0 SITEPROP BUFIO_X0Y7 IS_USED 0 SITEPROP BUFIO_X0Y7 MANUAL_ROUTING SITEPROP BUFIO_X0Y7 NAME BUFIO_X0Y7 SITEPROP BUFIO_X0Y7 NUM_ARCS 0 SITEPROP BUFIO_X0Y7 NUM_BELS 1 SITEPROP BUFIO_X0Y7 NUM_INPUTS 1 SITEPROP BUFIO_X0Y7 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y7 NUM_PINS 2 SITEPROP BUFIO_X0Y7 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y7 PROHIBIT 0 SITEPROP BUFIO_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y7 RPM_X 0 SITEPROP BUFIO_X0Y7 RPM_Y 155 SITEPROP BUFIO_X0Y7 SITE_PIPS SITEPROP BUFIO_X0Y7 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y8 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y8 CLASS site SITEPROP BUFIO_X0Y8 CLOCK_REGION X0Y2 SITEPROP BUFIO_X0Y8 IS_BONDED 0 SITEPROP BUFIO_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y8 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y8 IS_PAD 0 SITEPROP BUFIO_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y8 IS_RESERVED 0 SITEPROP BUFIO_X0Y8 IS_TEST 0 SITEPROP BUFIO_X0Y8 IS_USED 0 SITEPROP BUFIO_X0Y8 MANUAL_ROUTING SITEPROP BUFIO_X0Y8 NAME BUFIO_X0Y8 SITEPROP BUFIO_X0Y8 NUM_ARCS 0 SITEPROP BUFIO_X0Y8 NUM_BELS 1 SITEPROP BUFIO_X0Y8 NUM_INPUTS 1 SITEPROP BUFIO_X0Y8 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y8 NUM_PINS 2 SITEPROP BUFIO_X0Y8 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y8 PROHIBIT 0 SITEPROP BUFIO_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y8 RPM_X 0 SITEPROP BUFIO_X0Y8 RPM_Y 243 SITEPROP BUFIO_X0Y8 SITE_PIPS SITEPROP BUFIO_X0Y8 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y9 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y9 CLASS site SITEPROP BUFIO_X0Y9 CLOCK_REGION X0Y2 SITEPROP BUFIO_X0Y9 IS_BONDED 0 SITEPROP BUFIO_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y9 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y9 IS_PAD 0 SITEPROP BUFIO_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y9 IS_RESERVED 0 SITEPROP BUFIO_X0Y9 IS_TEST 0 SITEPROP BUFIO_X0Y9 IS_USED 0 SITEPROP BUFIO_X0Y9 MANUAL_ROUTING SITEPROP BUFIO_X0Y9 NAME BUFIO_X0Y9 SITEPROP BUFIO_X0Y9 NUM_ARCS 0 SITEPROP BUFIO_X0Y9 NUM_BELS 1 SITEPROP BUFIO_X0Y9 NUM_INPUTS 1 SITEPROP BUFIO_X0Y9 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y9 NUM_PINS 2 SITEPROP BUFIO_X0Y9 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y9 PROHIBIT 0 SITEPROP BUFIO_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y9 RPM_X 0 SITEPROP BUFIO_X0Y9 RPM_Y 247 SITEPROP BUFIO_X0Y9 SITE_PIPS SITEPROP BUFIO_X0Y9 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y10 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y10 CLASS site SITEPROP BUFIO_X0Y10 CLOCK_REGION X0Y2 SITEPROP BUFIO_X0Y10 IS_BONDED 0 SITEPROP BUFIO_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y10 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y10 IS_PAD 0 SITEPROP BUFIO_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y10 IS_RESERVED 0 SITEPROP BUFIO_X0Y10 IS_TEST 0 SITEPROP BUFIO_X0Y10 IS_USED 0 SITEPROP BUFIO_X0Y10 MANUAL_ROUTING SITEPROP BUFIO_X0Y10 NAME BUFIO_X0Y10 SITEPROP BUFIO_X0Y10 NUM_ARCS 0 SITEPROP BUFIO_X0Y10 NUM_BELS 1 SITEPROP BUFIO_X0Y10 NUM_INPUTS 1 SITEPROP BUFIO_X0Y10 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y10 NUM_PINS 2 SITEPROP BUFIO_X0Y10 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y10 PROHIBIT 0 SITEPROP BUFIO_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y10 RPM_X 0 SITEPROP BUFIO_X0Y10 RPM_Y 251 SITEPROP BUFIO_X0Y10 SITE_PIPS SITEPROP BUFIO_X0Y10 SITE_TYPE BUFIO SITEPROP BUFIO_X0Y11 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X0Y11 CLASS site SITEPROP BUFIO_X0Y11 CLOCK_REGION X0Y2 SITEPROP BUFIO_X0Y11 IS_BONDED 0 SITEPROP BUFIO_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y11 IS_CLOCK_PAD 0 SITEPROP BUFIO_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y11 IS_PAD 0 SITEPROP BUFIO_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X0Y11 IS_RESERVED 0 SITEPROP BUFIO_X0Y11 IS_TEST 0 SITEPROP BUFIO_X0Y11 IS_USED 0 SITEPROP BUFIO_X0Y11 MANUAL_ROUTING SITEPROP BUFIO_X0Y11 NAME BUFIO_X0Y11 SITEPROP BUFIO_X0Y11 NUM_ARCS 0 SITEPROP BUFIO_X0Y11 NUM_BELS 1 SITEPROP BUFIO_X0Y11 NUM_INPUTS 1 SITEPROP BUFIO_X0Y11 NUM_OUTPUTS 1 SITEPROP BUFIO_X0Y11 NUM_PINS 2 SITEPROP BUFIO_X0Y11 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X0Y11 PROHIBIT 0 SITEPROP BUFIO_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X0Y11 RPM_X 0 SITEPROP BUFIO_X0Y11 RPM_Y 255 SITEPROP BUFIO_X0Y11 SITE_PIPS SITEPROP BUFIO_X0Y11 SITE_TYPE BUFIO SITEPROP BUFIO_X1Y0 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X1Y0 CLASS site SITEPROP BUFIO_X1Y0 CLOCK_REGION X1Y0 SITEPROP BUFIO_X1Y0 IS_BONDED 0 SITEPROP BUFIO_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y0 IS_CLOCK_PAD 0 SITEPROP BUFIO_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y0 IS_PAD 0 SITEPROP BUFIO_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y0 IS_RESERVED 0 SITEPROP BUFIO_X1Y0 IS_TEST 0 SITEPROP BUFIO_X1Y0 IS_USED 0 SITEPROP BUFIO_X1Y0 MANUAL_ROUTING SITEPROP BUFIO_X1Y0 NAME BUFIO_X1Y0 SITEPROP BUFIO_X1Y0 NUM_ARCS 0 SITEPROP BUFIO_X1Y0 NUM_BELS 1 SITEPROP BUFIO_X1Y0 NUM_INPUTS 1 SITEPROP BUFIO_X1Y0 NUM_OUTPUTS 1 SITEPROP BUFIO_X1Y0 NUM_PINS 2 SITEPROP BUFIO_X1Y0 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X1Y0 PROHIBIT 0 SITEPROP BUFIO_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X1Y0 RPM_X 180 SITEPROP BUFIO_X1Y0 RPM_Y 43 SITEPROP BUFIO_X1Y0 SITE_PIPS SITEPROP BUFIO_X1Y0 SITE_TYPE BUFIO SITEPROP BUFIO_X1Y1 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X1Y1 CLASS site SITEPROP BUFIO_X1Y1 CLOCK_REGION X1Y0 SITEPROP BUFIO_X1Y1 IS_BONDED 0 SITEPROP BUFIO_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y1 IS_CLOCK_PAD 0 SITEPROP BUFIO_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y1 IS_PAD 0 SITEPROP BUFIO_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y1 IS_RESERVED 0 SITEPROP BUFIO_X1Y1 IS_TEST 0 SITEPROP BUFIO_X1Y1 IS_USED 0 SITEPROP BUFIO_X1Y1 MANUAL_ROUTING SITEPROP BUFIO_X1Y1 NAME BUFIO_X1Y1 SITEPROP BUFIO_X1Y1 NUM_ARCS 0 SITEPROP BUFIO_X1Y1 NUM_BELS 1 SITEPROP BUFIO_X1Y1 NUM_INPUTS 1 SITEPROP BUFIO_X1Y1 NUM_OUTPUTS 1 SITEPROP BUFIO_X1Y1 NUM_PINS 2 SITEPROP BUFIO_X1Y1 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X1Y1 PROHIBIT 0 SITEPROP BUFIO_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X1Y1 RPM_X 180 SITEPROP BUFIO_X1Y1 RPM_Y 47 SITEPROP BUFIO_X1Y1 SITE_PIPS SITEPROP BUFIO_X1Y1 SITE_TYPE BUFIO SITEPROP BUFIO_X1Y2 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X1Y2 CLASS site SITEPROP BUFIO_X1Y2 CLOCK_REGION X1Y0 SITEPROP BUFIO_X1Y2 IS_BONDED 0 SITEPROP BUFIO_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y2 IS_CLOCK_PAD 0 SITEPROP BUFIO_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y2 IS_PAD 0 SITEPROP BUFIO_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y2 IS_RESERVED 0 SITEPROP BUFIO_X1Y2 IS_TEST 0 SITEPROP BUFIO_X1Y2 IS_USED 0 SITEPROP BUFIO_X1Y2 MANUAL_ROUTING SITEPROP BUFIO_X1Y2 NAME BUFIO_X1Y2 SITEPROP BUFIO_X1Y2 NUM_ARCS 0 SITEPROP BUFIO_X1Y2 NUM_BELS 1 SITEPROP BUFIO_X1Y2 NUM_INPUTS 1 SITEPROP BUFIO_X1Y2 NUM_OUTPUTS 1 SITEPROP BUFIO_X1Y2 NUM_PINS 2 SITEPROP BUFIO_X1Y2 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X1Y2 PROHIBIT 0 SITEPROP BUFIO_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X1Y2 RPM_X 180 SITEPROP BUFIO_X1Y2 RPM_Y 51 SITEPROP BUFIO_X1Y2 SITE_PIPS SITEPROP BUFIO_X1Y2 SITE_TYPE BUFIO SITEPROP BUFIO_X1Y3 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X1Y3 CLASS site SITEPROP BUFIO_X1Y3 CLOCK_REGION X1Y0 SITEPROP BUFIO_X1Y3 IS_BONDED 0 SITEPROP BUFIO_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y3 IS_CLOCK_PAD 0 SITEPROP BUFIO_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y3 IS_PAD 0 SITEPROP BUFIO_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y3 IS_RESERVED 0 SITEPROP BUFIO_X1Y3 IS_TEST 0 SITEPROP BUFIO_X1Y3 IS_USED 0 SITEPROP BUFIO_X1Y3 MANUAL_ROUTING SITEPROP BUFIO_X1Y3 NAME BUFIO_X1Y3 SITEPROP BUFIO_X1Y3 NUM_ARCS 0 SITEPROP BUFIO_X1Y3 NUM_BELS 1 SITEPROP BUFIO_X1Y3 NUM_INPUTS 1 SITEPROP BUFIO_X1Y3 NUM_OUTPUTS 1 SITEPROP BUFIO_X1Y3 NUM_PINS 2 SITEPROP BUFIO_X1Y3 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X1Y3 PROHIBIT 0 SITEPROP BUFIO_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X1Y3 RPM_X 180 SITEPROP BUFIO_X1Y3 RPM_Y 55 SITEPROP BUFIO_X1Y3 SITE_PIPS SITEPROP BUFIO_X1Y3 SITE_TYPE BUFIO SITEPROP BUFIO_X1Y4 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X1Y4 CLASS site SITEPROP BUFIO_X1Y4 CLOCK_REGION X1Y1 SITEPROP BUFIO_X1Y4 IS_BONDED 0 SITEPROP BUFIO_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y4 IS_CLOCK_PAD 0 SITEPROP BUFIO_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y4 IS_PAD 0 SITEPROP BUFIO_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y4 IS_RESERVED 0 SITEPROP BUFIO_X1Y4 IS_TEST 0 SITEPROP BUFIO_X1Y4 IS_USED 0 SITEPROP BUFIO_X1Y4 MANUAL_ROUTING SITEPROP BUFIO_X1Y4 NAME BUFIO_X1Y4 SITEPROP BUFIO_X1Y4 NUM_ARCS 0 SITEPROP BUFIO_X1Y4 NUM_BELS 1 SITEPROP BUFIO_X1Y4 NUM_INPUTS 1 SITEPROP BUFIO_X1Y4 NUM_OUTPUTS 1 SITEPROP BUFIO_X1Y4 NUM_PINS 2 SITEPROP BUFIO_X1Y4 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X1Y4 PROHIBIT 0 SITEPROP BUFIO_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X1Y4 RPM_X 180 SITEPROP BUFIO_X1Y4 RPM_Y 143 SITEPROP BUFIO_X1Y4 SITE_PIPS SITEPROP BUFIO_X1Y4 SITE_TYPE BUFIO SITEPROP BUFIO_X1Y5 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X1Y5 CLASS site SITEPROP BUFIO_X1Y5 CLOCK_REGION X1Y1 SITEPROP BUFIO_X1Y5 IS_BONDED 0 SITEPROP BUFIO_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y5 IS_CLOCK_PAD 0 SITEPROP BUFIO_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y5 IS_PAD 0 SITEPROP BUFIO_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y5 IS_RESERVED 0 SITEPROP BUFIO_X1Y5 IS_TEST 0 SITEPROP BUFIO_X1Y5 IS_USED 0 SITEPROP BUFIO_X1Y5 MANUAL_ROUTING SITEPROP BUFIO_X1Y5 NAME BUFIO_X1Y5 SITEPROP BUFIO_X1Y5 NUM_ARCS 0 SITEPROP BUFIO_X1Y5 NUM_BELS 1 SITEPROP BUFIO_X1Y5 NUM_INPUTS 1 SITEPROP BUFIO_X1Y5 NUM_OUTPUTS 1 SITEPROP BUFIO_X1Y5 NUM_PINS 2 SITEPROP BUFIO_X1Y5 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X1Y5 PROHIBIT 0 SITEPROP BUFIO_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X1Y5 RPM_X 180 SITEPROP BUFIO_X1Y5 RPM_Y 147 SITEPROP BUFIO_X1Y5 SITE_PIPS SITEPROP BUFIO_X1Y5 SITE_TYPE BUFIO SITEPROP BUFIO_X1Y6 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X1Y6 CLASS site SITEPROP BUFIO_X1Y6 CLOCK_REGION X1Y1 SITEPROP BUFIO_X1Y6 IS_BONDED 0 SITEPROP BUFIO_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y6 IS_CLOCK_PAD 0 SITEPROP BUFIO_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y6 IS_PAD 0 SITEPROP BUFIO_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y6 IS_RESERVED 0 SITEPROP BUFIO_X1Y6 IS_TEST 0 SITEPROP BUFIO_X1Y6 IS_USED 0 SITEPROP BUFIO_X1Y6 MANUAL_ROUTING SITEPROP BUFIO_X1Y6 NAME BUFIO_X1Y6 SITEPROP BUFIO_X1Y6 NUM_ARCS 0 SITEPROP BUFIO_X1Y6 NUM_BELS 1 SITEPROP BUFIO_X1Y6 NUM_INPUTS 1 SITEPROP BUFIO_X1Y6 NUM_OUTPUTS 1 SITEPROP BUFIO_X1Y6 NUM_PINS 2 SITEPROP BUFIO_X1Y6 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X1Y6 PROHIBIT 0 SITEPROP BUFIO_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X1Y6 RPM_X 180 SITEPROP BUFIO_X1Y6 RPM_Y 151 SITEPROP BUFIO_X1Y6 SITE_PIPS SITEPROP BUFIO_X1Y6 SITE_TYPE BUFIO SITEPROP BUFIO_X1Y7 ALTERNATE_SITE_TYPES SITEPROP BUFIO_X1Y7 CLASS site SITEPROP BUFIO_X1Y7 CLOCK_REGION X1Y1 SITEPROP BUFIO_X1Y7 IS_BONDED 0 SITEPROP BUFIO_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y7 IS_CLOCK_PAD 0 SITEPROP BUFIO_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y7 IS_PAD 0 SITEPROP BUFIO_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFIO_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFIO_X1Y7 IS_RESERVED 0 SITEPROP BUFIO_X1Y7 IS_TEST 0 SITEPROP BUFIO_X1Y7 IS_USED 0 SITEPROP BUFIO_X1Y7 MANUAL_ROUTING SITEPROP BUFIO_X1Y7 NAME BUFIO_X1Y7 SITEPROP BUFIO_X1Y7 NUM_ARCS 0 SITEPROP BUFIO_X1Y7 NUM_BELS 1 SITEPROP BUFIO_X1Y7 NUM_INPUTS 1 SITEPROP BUFIO_X1Y7 NUM_OUTPUTS 1 SITEPROP BUFIO_X1Y7 NUM_PINS 2 SITEPROP BUFIO_X1Y7 PRIMITIVE_COUNT 0 SITEPROP BUFIO_X1Y7 PROHIBIT 0 SITEPROP BUFIO_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFIO_X1Y7 RPM_X 180 SITEPROP BUFIO_X1Y7 RPM_Y 155 SITEPROP BUFIO_X1Y7 SITE_PIPS SITEPROP BUFIO_X1Y7 SITE_TYPE BUFIO SITEPROP BUFMRCE_X0Y0 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X0Y0 CLASS site SITEPROP BUFMRCE_X0Y0 CLOCK_REGION X0Y0 SITEPROP BUFMRCE_X0Y0 IS_BONDED 0 SITEPROP BUFMRCE_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y0 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y0 IS_PAD 0 SITEPROP BUFMRCE_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y0 IS_RESERVED 0 SITEPROP BUFMRCE_X0Y0 IS_TEST 0 SITEPROP BUFMRCE_X0Y0 IS_USED 0 SITEPROP BUFMRCE_X0Y0 MANUAL_ROUTING SITEPROP BUFMRCE_X0Y0 NAME BUFMRCE_X0Y0 SITEPROP BUFMRCE_X0Y0 NUM_ARCS 2 SITEPROP BUFMRCE_X0Y0 NUM_BELS 1 SITEPROP BUFMRCE_X0Y0 NUM_INPUTS 2 SITEPROP BUFMRCE_X0Y0 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X0Y0 NUM_PINS 3 SITEPROP BUFMRCE_X0Y0 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X0Y0 PROHIBIT 0 SITEPROP BUFMRCE_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X0Y0 RPM_X 7 SITEPROP BUFMRCE_X0Y0 RPM_Y 51 SITEPROP BUFMRCE_X0Y0 SITE_PIPS SITEPROP BUFMRCE_X0Y0 SITE_TYPE BUFMRCE SITEPROP BUFMRCE_X0Y1 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X0Y1 CLASS site SITEPROP BUFMRCE_X0Y1 CLOCK_REGION X0Y0 SITEPROP BUFMRCE_X0Y1 IS_BONDED 0 SITEPROP BUFMRCE_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y1 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y1 IS_PAD 0 SITEPROP BUFMRCE_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y1 IS_RESERVED 0 SITEPROP BUFMRCE_X0Y1 IS_TEST 0 SITEPROP BUFMRCE_X0Y1 IS_USED 0 SITEPROP BUFMRCE_X0Y1 MANUAL_ROUTING SITEPROP BUFMRCE_X0Y1 NAME BUFMRCE_X0Y1 SITEPROP BUFMRCE_X0Y1 NUM_ARCS 2 SITEPROP BUFMRCE_X0Y1 NUM_BELS 1 SITEPROP BUFMRCE_X0Y1 NUM_INPUTS 2 SITEPROP BUFMRCE_X0Y1 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X0Y1 NUM_PINS 3 SITEPROP BUFMRCE_X0Y1 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X0Y1 PROHIBIT 0 SITEPROP BUFMRCE_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X0Y1 RPM_X 7 SITEPROP BUFMRCE_X0Y1 RPM_Y 47 SITEPROP BUFMRCE_X0Y1 SITE_PIPS SITEPROP BUFMRCE_X0Y1 SITE_TYPE BUFMRCE SITEPROP BUFMRCE_X0Y2 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X0Y2 CLASS site SITEPROP BUFMRCE_X0Y2 CLOCK_REGION X0Y1 SITEPROP BUFMRCE_X0Y2 IS_BONDED 0 SITEPROP BUFMRCE_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y2 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y2 IS_PAD 0 SITEPROP BUFMRCE_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y2 IS_RESERVED 0 SITEPROP BUFMRCE_X0Y2 IS_TEST 0 SITEPROP BUFMRCE_X0Y2 IS_USED 0 SITEPROP BUFMRCE_X0Y2 MANUAL_ROUTING SITEPROP BUFMRCE_X0Y2 NAME BUFMRCE_X0Y2 SITEPROP BUFMRCE_X0Y2 NUM_ARCS 2 SITEPROP BUFMRCE_X0Y2 NUM_BELS 1 SITEPROP BUFMRCE_X0Y2 NUM_INPUTS 2 SITEPROP BUFMRCE_X0Y2 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X0Y2 NUM_PINS 3 SITEPROP BUFMRCE_X0Y2 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X0Y2 PROHIBIT 0 SITEPROP BUFMRCE_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X0Y2 RPM_X 7 SITEPROP BUFMRCE_X0Y2 RPM_Y 151 SITEPROP BUFMRCE_X0Y2 SITE_PIPS SITEPROP BUFMRCE_X0Y2 SITE_TYPE BUFMRCE SITEPROP BUFMRCE_X0Y3 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X0Y3 CLASS site SITEPROP BUFMRCE_X0Y3 CLOCK_REGION X0Y1 SITEPROP BUFMRCE_X0Y3 IS_BONDED 0 SITEPROP BUFMRCE_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y3 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y3 IS_PAD 0 SITEPROP BUFMRCE_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y3 IS_RESERVED 0 SITEPROP BUFMRCE_X0Y3 IS_TEST 0 SITEPROP BUFMRCE_X0Y3 IS_USED 0 SITEPROP BUFMRCE_X0Y3 MANUAL_ROUTING SITEPROP BUFMRCE_X0Y3 NAME BUFMRCE_X0Y3 SITEPROP BUFMRCE_X0Y3 NUM_ARCS 2 SITEPROP BUFMRCE_X0Y3 NUM_BELS 1 SITEPROP BUFMRCE_X0Y3 NUM_INPUTS 2 SITEPROP BUFMRCE_X0Y3 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X0Y3 NUM_PINS 3 SITEPROP BUFMRCE_X0Y3 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X0Y3 PROHIBIT 0 SITEPROP BUFMRCE_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X0Y3 RPM_X 7 SITEPROP BUFMRCE_X0Y3 RPM_Y 147 SITEPROP BUFMRCE_X0Y3 SITE_PIPS SITEPROP BUFMRCE_X0Y3 SITE_TYPE BUFMRCE SITEPROP BUFMRCE_X0Y4 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X0Y4 CLASS site SITEPROP BUFMRCE_X0Y4 CLOCK_REGION X0Y2 SITEPROP BUFMRCE_X0Y4 IS_BONDED 0 SITEPROP BUFMRCE_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y4 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y4 IS_PAD 0 SITEPROP BUFMRCE_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y4 IS_RESERVED 0 SITEPROP BUFMRCE_X0Y4 IS_TEST 0 SITEPROP BUFMRCE_X0Y4 IS_USED 0 SITEPROP BUFMRCE_X0Y4 MANUAL_ROUTING SITEPROP BUFMRCE_X0Y4 NAME BUFMRCE_X0Y4 SITEPROP BUFMRCE_X0Y4 NUM_ARCS 2 SITEPROP BUFMRCE_X0Y4 NUM_BELS 1 SITEPROP BUFMRCE_X0Y4 NUM_INPUTS 2 SITEPROP BUFMRCE_X0Y4 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X0Y4 NUM_PINS 3 SITEPROP BUFMRCE_X0Y4 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X0Y4 PROHIBIT 0 SITEPROP BUFMRCE_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X0Y4 RPM_X 7 SITEPROP BUFMRCE_X0Y4 RPM_Y 251 SITEPROP BUFMRCE_X0Y4 SITE_PIPS SITEPROP BUFMRCE_X0Y4 SITE_TYPE BUFMRCE SITEPROP BUFMRCE_X0Y5 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X0Y5 CLASS site SITEPROP BUFMRCE_X0Y5 CLOCK_REGION X0Y2 SITEPROP BUFMRCE_X0Y5 IS_BONDED 0 SITEPROP BUFMRCE_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y5 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y5 IS_PAD 0 SITEPROP BUFMRCE_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X0Y5 IS_RESERVED 0 SITEPROP BUFMRCE_X0Y5 IS_TEST 0 SITEPROP BUFMRCE_X0Y5 IS_USED 0 SITEPROP BUFMRCE_X0Y5 MANUAL_ROUTING SITEPROP BUFMRCE_X0Y5 NAME BUFMRCE_X0Y5 SITEPROP BUFMRCE_X0Y5 NUM_ARCS 2 SITEPROP BUFMRCE_X0Y5 NUM_BELS 1 SITEPROP BUFMRCE_X0Y5 NUM_INPUTS 2 SITEPROP BUFMRCE_X0Y5 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X0Y5 NUM_PINS 3 SITEPROP BUFMRCE_X0Y5 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X0Y5 PROHIBIT 0 SITEPROP BUFMRCE_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X0Y5 RPM_X 7 SITEPROP BUFMRCE_X0Y5 RPM_Y 247 SITEPROP BUFMRCE_X0Y5 SITE_PIPS SITEPROP BUFMRCE_X0Y5 SITE_TYPE BUFMRCE SITEPROP BUFMRCE_X1Y0 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X1Y0 CLASS site SITEPROP BUFMRCE_X1Y0 CLOCK_REGION X1Y0 SITEPROP BUFMRCE_X1Y0 IS_BONDED 0 SITEPROP BUFMRCE_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y0 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y0 IS_PAD 0 SITEPROP BUFMRCE_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y0 IS_RESERVED 0 SITEPROP BUFMRCE_X1Y0 IS_TEST 0 SITEPROP BUFMRCE_X1Y0 IS_USED 0 SITEPROP BUFMRCE_X1Y0 MANUAL_ROUTING SITEPROP BUFMRCE_X1Y0 NAME BUFMRCE_X1Y0 SITEPROP BUFMRCE_X1Y0 NUM_ARCS 2 SITEPROP BUFMRCE_X1Y0 NUM_BELS 1 SITEPROP BUFMRCE_X1Y0 NUM_INPUTS 2 SITEPROP BUFMRCE_X1Y0 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X1Y0 NUM_PINS 3 SITEPROP BUFMRCE_X1Y0 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X1Y0 PROHIBIT 0 SITEPROP BUFMRCE_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X1Y0 RPM_X 187 SITEPROP BUFMRCE_X1Y0 RPM_Y 51 SITEPROP BUFMRCE_X1Y0 SITE_PIPS SITEPROP BUFMRCE_X1Y0 SITE_TYPE BUFMRCE SITEPROP BUFMRCE_X1Y1 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X1Y1 CLASS site SITEPROP BUFMRCE_X1Y1 CLOCK_REGION X1Y0 SITEPROP BUFMRCE_X1Y1 IS_BONDED 0 SITEPROP BUFMRCE_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y1 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y1 IS_PAD 0 SITEPROP BUFMRCE_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y1 IS_RESERVED 0 SITEPROP BUFMRCE_X1Y1 IS_TEST 0 SITEPROP BUFMRCE_X1Y1 IS_USED 0 SITEPROP BUFMRCE_X1Y1 MANUAL_ROUTING SITEPROP BUFMRCE_X1Y1 NAME BUFMRCE_X1Y1 SITEPROP BUFMRCE_X1Y1 NUM_ARCS 2 SITEPROP BUFMRCE_X1Y1 NUM_BELS 1 SITEPROP BUFMRCE_X1Y1 NUM_INPUTS 2 SITEPROP BUFMRCE_X1Y1 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X1Y1 NUM_PINS 3 SITEPROP BUFMRCE_X1Y1 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X1Y1 PROHIBIT 0 SITEPROP BUFMRCE_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X1Y1 RPM_X 187 SITEPROP BUFMRCE_X1Y1 RPM_Y 47 SITEPROP BUFMRCE_X1Y1 SITE_PIPS SITEPROP BUFMRCE_X1Y1 SITE_TYPE BUFMRCE SITEPROP BUFMRCE_X1Y2 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X1Y2 CLASS site SITEPROP BUFMRCE_X1Y2 CLOCK_REGION X1Y1 SITEPROP BUFMRCE_X1Y2 IS_BONDED 0 SITEPROP BUFMRCE_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y2 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y2 IS_PAD 0 SITEPROP BUFMRCE_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y2 IS_RESERVED 0 SITEPROP BUFMRCE_X1Y2 IS_TEST 0 SITEPROP BUFMRCE_X1Y2 IS_USED 0 SITEPROP BUFMRCE_X1Y2 MANUAL_ROUTING SITEPROP BUFMRCE_X1Y2 NAME BUFMRCE_X1Y2 SITEPROP BUFMRCE_X1Y2 NUM_ARCS 2 SITEPROP BUFMRCE_X1Y2 NUM_BELS 1 SITEPROP BUFMRCE_X1Y2 NUM_INPUTS 2 SITEPROP BUFMRCE_X1Y2 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X1Y2 NUM_PINS 3 SITEPROP BUFMRCE_X1Y2 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X1Y2 PROHIBIT 0 SITEPROP BUFMRCE_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X1Y2 RPM_X 187 SITEPROP BUFMRCE_X1Y2 RPM_Y 151 SITEPROP BUFMRCE_X1Y2 SITE_PIPS SITEPROP BUFMRCE_X1Y2 SITE_TYPE BUFMRCE SITEPROP BUFMRCE_X1Y3 ALTERNATE_SITE_TYPES SITEPROP BUFMRCE_X1Y3 CLASS site SITEPROP BUFMRCE_X1Y3 CLOCK_REGION X1Y1 SITEPROP BUFMRCE_X1Y3 IS_BONDED 0 SITEPROP BUFMRCE_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y3 IS_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y3 IS_PAD 0 SITEPROP BUFMRCE_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP BUFMRCE_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFMRCE_X1Y3 IS_RESERVED 0 SITEPROP BUFMRCE_X1Y3 IS_TEST 0 SITEPROP BUFMRCE_X1Y3 IS_USED 0 SITEPROP BUFMRCE_X1Y3 MANUAL_ROUTING SITEPROP BUFMRCE_X1Y3 NAME BUFMRCE_X1Y3 SITEPROP BUFMRCE_X1Y3 NUM_ARCS 2 SITEPROP BUFMRCE_X1Y3 NUM_BELS 1 SITEPROP BUFMRCE_X1Y3 NUM_INPUTS 2 SITEPROP BUFMRCE_X1Y3 NUM_OUTPUTS 1 SITEPROP BUFMRCE_X1Y3 NUM_PINS 3 SITEPROP BUFMRCE_X1Y3 PRIMITIVE_COUNT 0 SITEPROP BUFMRCE_X1Y3 PROHIBIT 0 SITEPROP BUFMRCE_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFMRCE_X1Y3 RPM_X 187 SITEPROP BUFMRCE_X1Y3 RPM_Y 147 SITEPROP BUFMRCE_X1Y3 SITE_PIPS SITEPROP BUFMRCE_X1Y3 SITE_TYPE BUFMRCE SITEPROP BUFR_X0Y0 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y0 CLASS site SITEPROP BUFR_X0Y0 CLOCK_REGION X0Y0 SITEPROP BUFR_X0Y0 IS_BONDED 0 SITEPROP BUFR_X0Y0 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y0 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y0 IS_PAD 0 SITEPROP BUFR_X0Y0 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y0 IS_RESERVED 0 SITEPROP BUFR_X0Y0 IS_TEST 0 SITEPROP BUFR_X0Y0 IS_USED 0 SITEPROP BUFR_X0Y0 MANUAL_ROUTING SITEPROP BUFR_X0Y0 NAME BUFR_X0Y0 SITEPROP BUFR_X0Y0 NUM_ARCS 0 SITEPROP BUFR_X0Y0 NUM_BELS 1 SITEPROP BUFR_X0Y0 NUM_INPUTS 3 SITEPROP BUFR_X0Y0 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y0 NUM_PINS 4 SITEPROP BUFR_X0Y0 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y0 PROHIBIT 0 SITEPROP BUFR_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y0 RPM_X 0 SITEPROP BUFR_X0Y0 RPM_Y 45 SITEPROP BUFR_X0Y0 SITE_PIPS SITEPROP BUFR_X0Y0 SITE_TYPE BUFR SITEPROP BUFR_X0Y1 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y1 CLASS site SITEPROP BUFR_X0Y1 CLOCK_REGION X0Y0 SITEPROP BUFR_X0Y1 IS_BONDED 0 SITEPROP BUFR_X0Y1 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y1 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y1 IS_PAD 0 SITEPROP BUFR_X0Y1 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y1 IS_RESERVED 0 SITEPROP BUFR_X0Y1 IS_TEST 0 SITEPROP BUFR_X0Y1 IS_USED 0 SITEPROP BUFR_X0Y1 MANUAL_ROUTING SITEPROP BUFR_X0Y1 NAME BUFR_X0Y1 SITEPROP BUFR_X0Y1 NUM_ARCS 0 SITEPROP BUFR_X0Y1 NUM_BELS 1 SITEPROP BUFR_X0Y1 NUM_INPUTS 3 SITEPROP BUFR_X0Y1 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y1 NUM_PINS 4 SITEPROP BUFR_X0Y1 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y1 PROHIBIT 0 SITEPROP BUFR_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y1 RPM_X 0 SITEPROP BUFR_X0Y1 RPM_Y 49 SITEPROP BUFR_X0Y1 SITE_PIPS SITEPROP BUFR_X0Y1 SITE_TYPE BUFR SITEPROP BUFR_X0Y2 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y2 CLASS site SITEPROP BUFR_X0Y2 CLOCK_REGION X0Y0 SITEPROP BUFR_X0Y2 IS_BONDED 0 SITEPROP BUFR_X0Y2 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y2 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y2 IS_PAD 0 SITEPROP BUFR_X0Y2 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y2 IS_RESERVED 0 SITEPROP BUFR_X0Y2 IS_TEST 0 SITEPROP BUFR_X0Y2 IS_USED 0 SITEPROP BUFR_X0Y2 MANUAL_ROUTING SITEPROP BUFR_X0Y2 NAME BUFR_X0Y2 SITEPROP BUFR_X0Y2 NUM_ARCS 0 SITEPROP BUFR_X0Y2 NUM_BELS 1 SITEPROP BUFR_X0Y2 NUM_INPUTS 3 SITEPROP BUFR_X0Y2 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y2 NUM_PINS 4 SITEPROP BUFR_X0Y2 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y2 PROHIBIT 0 SITEPROP BUFR_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y2 RPM_X 0 SITEPROP BUFR_X0Y2 RPM_Y 53 SITEPROP BUFR_X0Y2 SITE_PIPS SITEPROP BUFR_X0Y2 SITE_TYPE BUFR SITEPROP BUFR_X0Y3 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y3 CLASS site SITEPROP BUFR_X0Y3 CLOCK_REGION X0Y0 SITEPROP BUFR_X0Y3 IS_BONDED 0 SITEPROP BUFR_X0Y3 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y3 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y3 IS_PAD 0 SITEPROP BUFR_X0Y3 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y3 IS_RESERVED 0 SITEPROP BUFR_X0Y3 IS_TEST 0 SITEPROP BUFR_X0Y3 IS_USED 0 SITEPROP BUFR_X0Y3 MANUAL_ROUTING SITEPROP BUFR_X0Y3 NAME BUFR_X0Y3 SITEPROP BUFR_X0Y3 NUM_ARCS 0 SITEPROP BUFR_X0Y3 NUM_BELS 1 SITEPROP BUFR_X0Y3 NUM_INPUTS 3 SITEPROP BUFR_X0Y3 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y3 NUM_PINS 4 SITEPROP BUFR_X0Y3 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y3 PROHIBIT 0 SITEPROP BUFR_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y3 RPM_X 0 SITEPROP BUFR_X0Y3 RPM_Y 57 SITEPROP BUFR_X0Y3 SITE_PIPS SITEPROP BUFR_X0Y3 SITE_TYPE BUFR SITEPROP BUFR_X0Y4 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y4 CLASS site SITEPROP BUFR_X0Y4 CLOCK_REGION X0Y1 SITEPROP BUFR_X0Y4 IS_BONDED 0 SITEPROP BUFR_X0Y4 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y4 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y4 IS_PAD 0 SITEPROP BUFR_X0Y4 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y4 IS_RESERVED 0 SITEPROP BUFR_X0Y4 IS_TEST 0 SITEPROP BUFR_X0Y4 IS_USED 0 SITEPROP BUFR_X0Y4 MANUAL_ROUTING SITEPROP BUFR_X0Y4 NAME BUFR_X0Y4 SITEPROP BUFR_X0Y4 NUM_ARCS 0 SITEPROP BUFR_X0Y4 NUM_BELS 1 SITEPROP BUFR_X0Y4 NUM_INPUTS 3 SITEPROP BUFR_X0Y4 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y4 NUM_PINS 4 SITEPROP BUFR_X0Y4 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y4 PROHIBIT 0 SITEPROP BUFR_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y4 RPM_X 0 SITEPROP BUFR_X0Y4 RPM_Y 145 SITEPROP BUFR_X0Y4 SITE_PIPS SITEPROP BUFR_X0Y4 SITE_TYPE BUFR SITEPROP BUFR_X0Y5 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y5 CLASS site SITEPROP BUFR_X0Y5 CLOCK_REGION X0Y1 SITEPROP BUFR_X0Y5 IS_BONDED 0 SITEPROP BUFR_X0Y5 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y5 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y5 IS_PAD 0 SITEPROP BUFR_X0Y5 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y5 IS_RESERVED 0 SITEPROP BUFR_X0Y5 IS_TEST 0 SITEPROP BUFR_X0Y5 IS_USED 0 SITEPROP BUFR_X0Y5 MANUAL_ROUTING SITEPROP BUFR_X0Y5 NAME BUFR_X0Y5 SITEPROP BUFR_X0Y5 NUM_ARCS 0 SITEPROP BUFR_X0Y5 NUM_BELS 1 SITEPROP BUFR_X0Y5 NUM_INPUTS 3 SITEPROP BUFR_X0Y5 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y5 NUM_PINS 4 SITEPROP BUFR_X0Y5 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y5 PROHIBIT 0 SITEPROP BUFR_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y5 RPM_X 0 SITEPROP BUFR_X0Y5 RPM_Y 149 SITEPROP BUFR_X0Y5 SITE_PIPS SITEPROP BUFR_X0Y5 SITE_TYPE BUFR SITEPROP BUFR_X0Y6 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y6 CLASS site SITEPROP BUFR_X0Y6 CLOCK_REGION X0Y1 SITEPROP BUFR_X0Y6 IS_BONDED 0 SITEPROP BUFR_X0Y6 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y6 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y6 IS_PAD 0 SITEPROP BUFR_X0Y6 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y6 IS_RESERVED 0 SITEPROP BUFR_X0Y6 IS_TEST 0 SITEPROP BUFR_X0Y6 IS_USED 0 SITEPROP BUFR_X0Y6 MANUAL_ROUTING SITEPROP BUFR_X0Y6 NAME BUFR_X0Y6 SITEPROP BUFR_X0Y6 NUM_ARCS 0 SITEPROP BUFR_X0Y6 NUM_BELS 1 SITEPROP BUFR_X0Y6 NUM_INPUTS 3 SITEPROP BUFR_X0Y6 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y6 NUM_PINS 4 SITEPROP BUFR_X0Y6 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y6 PROHIBIT 0 SITEPROP BUFR_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y6 RPM_X 0 SITEPROP BUFR_X0Y6 RPM_Y 153 SITEPROP BUFR_X0Y6 SITE_PIPS SITEPROP BUFR_X0Y6 SITE_TYPE BUFR SITEPROP BUFR_X0Y7 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y7 CLASS site SITEPROP BUFR_X0Y7 CLOCK_REGION X0Y1 SITEPROP BUFR_X0Y7 IS_BONDED 0 SITEPROP BUFR_X0Y7 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y7 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y7 IS_PAD 0 SITEPROP BUFR_X0Y7 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y7 IS_RESERVED 0 SITEPROP BUFR_X0Y7 IS_TEST 0 SITEPROP BUFR_X0Y7 IS_USED 0 SITEPROP BUFR_X0Y7 MANUAL_ROUTING SITEPROP BUFR_X0Y7 NAME BUFR_X0Y7 SITEPROP BUFR_X0Y7 NUM_ARCS 0 SITEPROP BUFR_X0Y7 NUM_BELS 1 SITEPROP BUFR_X0Y7 NUM_INPUTS 3 SITEPROP BUFR_X0Y7 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y7 NUM_PINS 4 SITEPROP BUFR_X0Y7 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y7 PROHIBIT 0 SITEPROP BUFR_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y7 RPM_X 0 SITEPROP BUFR_X0Y7 RPM_Y 157 SITEPROP BUFR_X0Y7 SITE_PIPS SITEPROP BUFR_X0Y7 SITE_TYPE BUFR SITEPROP BUFR_X0Y8 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y8 CLASS site SITEPROP BUFR_X0Y8 CLOCK_REGION X0Y2 SITEPROP BUFR_X0Y8 IS_BONDED 0 SITEPROP BUFR_X0Y8 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y8 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y8 IS_PAD 0 SITEPROP BUFR_X0Y8 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y8 IS_RESERVED 0 SITEPROP BUFR_X0Y8 IS_TEST 0 SITEPROP BUFR_X0Y8 IS_USED 0 SITEPROP BUFR_X0Y8 MANUAL_ROUTING SITEPROP BUFR_X0Y8 NAME BUFR_X0Y8 SITEPROP BUFR_X0Y8 NUM_ARCS 0 SITEPROP BUFR_X0Y8 NUM_BELS 1 SITEPROP BUFR_X0Y8 NUM_INPUTS 3 SITEPROP BUFR_X0Y8 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y8 NUM_PINS 4 SITEPROP BUFR_X0Y8 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y8 PROHIBIT 0 SITEPROP BUFR_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y8 RPM_X 0 SITEPROP BUFR_X0Y8 RPM_Y 245 SITEPROP BUFR_X0Y8 SITE_PIPS SITEPROP BUFR_X0Y8 SITE_TYPE BUFR SITEPROP BUFR_X0Y9 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y9 CLASS site SITEPROP BUFR_X0Y9 CLOCK_REGION X0Y2 SITEPROP BUFR_X0Y9 IS_BONDED 0 SITEPROP BUFR_X0Y9 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y9 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y9 IS_PAD 0 SITEPROP BUFR_X0Y9 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y9 IS_RESERVED 0 SITEPROP BUFR_X0Y9 IS_TEST 0 SITEPROP BUFR_X0Y9 IS_USED 0 SITEPROP BUFR_X0Y9 MANUAL_ROUTING SITEPROP BUFR_X0Y9 NAME BUFR_X0Y9 SITEPROP BUFR_X0Y9 NUM_ARCS 0 SITEPROP BUFR_X0Y9 NUM_BELS 1 SITEPROP BUFR_X0Y9 NUM_INPUTS 3 SITEPROP BUFR_X0Y9 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y9 NUM_PINS 4 SITEPROP BUFR_X0Y9 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y9 PROHIBIT 0 SITEPROP BUFR_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y9 RPM_X 0 SITEPROP BUFR_X0Y9 RPM_Y 249 SITEPROP BUFR_X0Y9 SITE_PIPS SITEPROP BUFR_X0Y9 SITE_TYPE BUFR SITEPROP BUFR_X0Y10 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y10 CLASS site SITEPROP BUFR_X0Y10 CLOCK_REGION X0Y2 SITEPROP BUFR_X0Y10 IS_BONDED 0 SITEPROP BUFR_X0Y10 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y10 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y10 IS_PAD 0 SITEPROP BUFR_X0Y10 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y10 IS_RESERVED 0 SITEPROP BUFR_X0Y10 IS_TEST 0 SITEPROP BUFR_X0Y10 IS_USED 0 SITEPROP BUFR_X0Y10 MANUAL_ROUTING SITEPROP BUFR_X0Y10 NAME BUFR_X0Y10 SITEPROP BUFR_X0Y10 NUM_ARCS 0 SITEPROP BUFR_X0Y10 NUM_BELS 1 SITEPROP BUFR_X0Y10 NUM_INPUTS 3 SITEPROP BUFR_X0Y10 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y10 NUM_PINS 4 SITEPROP BUFR_X0Y10 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y10 PROHIBIT 0 SITEPROP BUFR_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y10 RPM_X 0 SITEPROP BUFR_X0Y10 RPM_Y 253 SITEPROP BUFR_X0Y10 SITE_PIPS SITEPROP BUFR_X0Y10 SITE_TYPE BUFR SITEPROP BUFR_X0Y11 ALTERNATE_SITE_TYPES SITEPROP BUFR_X0Y11 CLASS site SITEPROP BUFR_X0Y11 CLOCK_REGION X0Y2 SITEPROP BUFR_X0Y11 IS_BONDED 0 SITEPROP BUFR_X0Y11 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y11 IS_CLOCK_PAD 0 SITEPROP BUFR_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y11 IS_PAD 0 SITEPROP BUFR_X0Y11 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X0Y11 IS_RESERVED 0 SITEPROP BUFR_X0Y11 IS_TEST 0 SITEPROP BUFR_X0Y11 IS_USED 0 SITEPROP BUFR_X0Y11 MANUAL_ROUTING SITEPROP BUFR_X0Y11 NAME BUFR_X0Y11 SITEPROP BUFR_X0Y11 NUM_ARCS 0 SITEPROP BUFR_X0Y11 NUM_BELS 1 SITEPROP BUFR_X0Y11 NUM_INPUTS 3 SITEPROP BUFR_X0Y11 NUM_OUTPUTS 1 SITEPROP BUFR_X0Y11 NUM_PINS 4 SITEPROP BUFR_X0Y11 PRIMITIVE_COUNT 0 SITEPROP BUFR_X0Y11 PROHIBIT 0 SITEPROP BUFR_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X0Y11 RPM_X 0 SITEPROP BUFR_X0Y11 RPM_Y 257 SITEPROP BUFR_X0Y11 SITE_PIPS SITEPROP BUFR_X0Y11 SITE_TYPE BUFR SITEPROP BUFR_X1Y0 ALTERNATE_SITE_TYPES SITEPROP BUFR_X1Y0 CLASS site SITEPROP BUFR_X1Y0 CLOCK_REGION X1Y0 SITEPROP BUFR_X1Y0 IS_BONDED 0 SITEPROP BUFR_X1Y0 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y0 IS_CLOCK_PAD 0 SITEPROP BUFR_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y0 IS_PAD 0 SITEPROP BUFR_X1Y0 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y0 IS_RESERVED 0 SITEPROP BUFR_X1Y0 IS_TEST 0 SITEPROP BUFR_X1Y0 IS_USED 0 SITEPROP BUFR_X1Y0 MANUAL_ROUTING SITEPROP BUFR_X1Y0 NAME BUFR_X1Y0 SITEPROP BUFR_X1Y0 NUM_ARCS 0 SITEPROP BUFR_X1Y0 NUM_BELS 1 SITEPROP BUFR_X1Y0 NUM_INPUTS 3 SITEPROP BUFR_X1Y0 NUM_OUTPUTS 1 SITEPROP BUFR_X1Y0 NUM_PINS 4 SITEPROP BUFR_X1Y0 PRIMITIVE_COUNT 0 SITEPROP BUFR_X1Y0 PROHIBIT 0 SITEPROP BUFR_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X1Y0 RPM_X 180 SITEPROP BUFR_X1Y0 RPM_Y 45 SITEPROP BUFR_X1Y0 SITE_PIPS SITEPROP BUFR_X1Y0 SITE_TYPE BUFR SITEPROP BUFR_X1Y1 ALTERNATE_SITE_TYPES SITEPROP BUFR_X1Y1 CLASS site SITEPROP BUFR_X1Y1 CLOCK_REGION X1Y0 SITEPROP BUFR_X1Y1 IS_BONDED 0 SITEPROP BUFR_X1Y1 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y1 IS_CLOCK_PAD 0 SITEPROP BUFR_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y1 IS_PAD 0 SITEPROP BUFR_X1Y1 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y1 IS_RESERVED 0 SITEPROP BUFR_X1Y1 IS_TEST 0 SITEPROP BUFR_X1Y1 IS_USED 0 SITEPROP BUFR_X1Y1 MANUAL_ROUTING SITEPROP BUFR_X1Y1 NAME BUFR_X1Y1 SITEPROP BUFR_X1Y1 NUM_ARCS 0 SITEPROP BUFR_X1Y1 NUM_BELS 1 SITEPROP BUFR_X1Y1 NUM_INPUTS 3 SITEPROP BUFR_X1Y1 NUM_OUTPUTS 1 SITEPROP BUFR_X1Y1 NUM_PINS 4 SITEPROP BUFR_X1Y1 PRIMITIVE_COUNT 0 SITEPROP BUFR_X1Y1 PROHIBIT 0 SITEPROP BUFR_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X1Y1 RPM_X 180 SITEPROP BUFR_X1Y1 RPM_Y 49 SITEPROP BUFR_X1Y1 SITE_PIPS SITEPROP BUFR_X1Y1 SITE_TYPE BUFR SITEPROP BUFR_X1Y2 ALTERNATE_SITE_TYPES SITEPROP BUFR_X1Y2 CLASS site SITEPROP BUFR_X1Y2 CLOCK_REGION X1Y0 SITEPROP BUFR_X1Y2 IS_BONDED 0 SITEPROP BUFR_X1Y2 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y2 IS_CLOCK_PAD 0 SITEPROP BUFR_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y2 IS_PAD 0 SITEPROP BUFR_X1Y2 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y2 IS_RESERVED 0 SITEPROP BUFR_X1Y2 IS_TEST 0 SITEPROP BUFR_X1Y2 IS_USED 0 SITEPROP BUFR_X1Y2 MANUAL_ROUTING SITEPROP BUFR_X1Y2 NAME BUFR_X1Y2 SITEPROP BUFR_X1Y2 NUM_ARCS 0 SITEPROP BUFR_X1Y2 NUM_BELS 1 SITEPROP BUFR_X1Y2 NUM_INPUTS 3 SITEPROP BUFR_X1Y2 NUM_OUTPUTS 1 SITEPROP BUFR_X1Y2 NUM_PINS 4 SITEPROP BUFR_X1Y2 PRIMITIVE_COUNT 0 SITEPROP BUFR_X1Y2 PROHIBIT 0 SITEPROP BUFR_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X1Y2 RPM_X 180 SITEPROP BUFR_X1Y2 RPM_Y 53 SITEPROP BUFR_X1Y2 SITE_PIPS SITEPROP BUFR_X1Y2 SITE_TYPE BUFR SITEPROP BUFR_X1Y3 ALTERNATE_SITE_TYPES SITEPROP BUFR_X1Y3 CLASS site SITEPROP BUFR_X1Y3 CLOCK_REGION X1Y0 SITEPROP BUFR_X1Y3 IS_BONDED 0 SITEPROP BUFR_X1Y3 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y3 IS_CLOCK_PAD 0 SITEPROP BUFR_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y3 IS_PAD 0 SITEPROP BUFR_X1Y3 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y3 IS_RESERVED 0 SITEPROP BUFR_X1Y3 IS_TEST 0 SITEPROP BUFR_X1Y3 IS_USED 0 SITEPROP BUFR_X1Y3 MANUAL_ROUTING SITEPROP BUFR_X1Y3 NAME BUFR_X1Y3 SITEPROP BUFR_X1Y3 NUM_ARCS 0 SITEPROP BUFR_X1Y3 NUM_BELS 1 SITEPROP BUFR_X1Y3 NUM_INPUTS 3 SITEPROP BUFR_X1Y3 NUM_OUTPUTS 1 SITEPROP BUFR_X1Y3 NUM_PINS 4 SITEPROP BUFR_X1Y3 PRIMITIVE_COUNT 0 SITEPROP BUFR_X1Y3 PROHIBIT 0 SITEPROP BUFR_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X1Y3 RPM_X 180 SITEPROP BUFR_X1Y3 RPM_Y 57 SITEPROP BUFR_X1Y3 SITE_PIPS SITEPROP BUFR_X1Y3 SITE_TYPE BUFR SITEPROP BUFR_X1Y4 ALTERNATE_SITE_TYPES SITEPROP BUFR_X1Y4 CLASS site SITEPROP BUFR_X1Y4 CLOCK_REGION X1Y1 SITEPROP BUFR_X1Y4 IS_BONDED 0 SITEPROP BUFR_X1Y4 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y4 IS_CLOCK_PAD 0 SITEPROP BUFR_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y4 IS_PAD 0 SITEPROP BUFR_X1Y4 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y4 IS_RESERVED 0 SITEPROP BUFR_X1Y4 IS_TEST 0 SITEPROP BUFR_X1Y4 IS_USED 0 SITEPROP BUFR_X1Y4 MANUAL_ROUTING SITEPROP BUFR_X1Y4 NAME BUFR_X1Y4 SITEPROP BUFR_X1Y4 NUM_ARCS 0 SITEPROP BUFR_X1Y4 NUM_BELS 1 SITEPROP BUFR_X1Y4 NUM_INPUTS 3 SITEPROP BUFR_X1Y4 NUM_OUTPUTS 1 SITEPROP BUFR_X1Y4 NUM_PINS 4 SITEPROP BUFR_X1Y4 PRIMITIVE_COUNT 0 SITEPROP BUFR_X1Y4 PROHIBIT 0 SITEPROP BUFR_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X1Y4 RPM_X 180 SITEPROP BUFR_X1Y4 RPM_Y 145 SITEPROP BUFR_X1Y4 SITE_PIPS SITEPROP BUFR_X1Y4 SITE_TYPE BUFR SITEPROP BUFR_X1Y5 ALTERNATE_SITE_TYPES SITEPROP BUFR_X1Y5 CLASS site SITEPROP BUFR_X1Y5 CLOCK_REGION X1Y1 SITEPROP BUFR_X1Y5 IS_BONDED 0 SITEPROP BUFR_X1Y5 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y5 IS_CLOCK_PAD 0 SITEPROP BUFR_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y5 IS_PAD 0 SITEPROP BUFR_X1Y5 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y5 IS_RESERVED 0 SITEPROP BUFR_X1Y5 IS_TEST 0 SITEPROP BUFR_X1Y5 IS_USED 0 SITEPROP BUFR_X1Y5 MANUAL_ROUTING SITEPROP BUFR_X1Y5 NAME BUFR_X1Y5 SITEPROP BUFR_X1Y5 NUM_ARCS 0 SITEPROP BUFR_X1Y5 NUM_BELS 1 SITEPROP BUFR_X1Y5 NUM_INPUTS 3 SITEPROP BUFR_X1Y5 NUM_OUTPUTS 1 SITEPROP BUFR_X1Y5 NUM_PINS 4 SITEPROP BUFR_X1Y5 PRIMITIVE_COUNT 0 SITEPROP BUFR_X1Y5 PROHIBIT 0 SITEPROP BUFR_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X1Y5 RPM_X 180 SITEPROP BUFR_X1Y5 RPM_Y 149 SITEPROP BUFR_X1Y5 SITE_PIPS SITEPROP BUFR_X1Y5 SITE_TYPE BUFR SITEPROP BUFR_X1Y6 ALTERNATE_SITE_TYPES SITEPROP BUFR_X1Y6 CLASS site SITEPROP BUFR_X1Y6 CLOCK_REGION X1Y1 SITEPROP BUFR_X1Y6 IS_BONDED 0 SITEPROP BUFR_X1Y6 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y6 IS_CLOCK_PAD 0 SITEPROP BUFR_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y6 IS_PAD 0 SITEPROP BUFR_X1Y6 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y6 IS_RESERVED 0 SITEPROP BUFR_X1Y6 IS_TEST 0 SITEPROP BUFR_X1Y6 IS_USED 0 SITEPROP BUFR_X1Y6 MANUAL_ROUTING SITEPROP BUFR_X1Y6 NAME BUFR_X1Y6 SITEPROP BUFR_X1Y6 NUM_ARCS 0 SITEPROP BUFR_X1Y6 NUM_BELS 1 SITEPROP BUFR_X1Y6 NUM_INPUTS 3 SITEPROP BUFR_X1Y6 NUM_OUTPUTS 1 SITEPROP BUFR_X1Y6 NUM_PINS 4 SITEPROP BUFR_X1Y6 PRIMITIVE_COUNT 0 SITEPROP BUFR_X1Y6 PROHIBIT 0 SITEPROP BUFR_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X1Y6 RPM_X 180 SITEPROP BUFR_X1Y6 RPM_Y 153 SITEPROP BUFR_X1Y6 SITE_PIPS SITEPROP BUFR_X1Y6 SITE_TYPE BUFR SITEPROP BUFR_X1Y7 ALTERNATE_SITE_TYPES SITEPROP BUFR_X1Y7 CLASS site SITEPROP BUFR_X1Y7 CLOCK_REGION X1Y1 SITEPROP BUFR_X1Y7 IS_BONDED 0 SITEPROP BUFR_X1Y7 IS_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y7 IS_CLOCK_PAD 0 SITEPROP BUFR_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP BUFR_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y7 IS_PAD 0 SITEPROP BUFR_X1Y7 IS_REGIONAL_CLOCK_BUFFER 1 SITEPROP BUFR_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP BUFR_X1Y7 IS_RESERVED 0 SITEPROP BUFR_X1Y7 IS_TEST 0 SITEPROP BUFR_X1Y7 IS_USED 0 SITEPROP BUFR_X1Y7 MANUAL_ROUTING SITEPROP BUFR_X1Y7 NAME BUFR_X1Y7 SITEPROP BUFR_X1Y7 NUM_ARCS 0 SITEPROP BUFR_X1Y7 NUM_BELS 1 SITEPROP BUFR_X1Y7 NUM_INPUTS 3 SITEPROP BUFR_X1Y7 NUM_OUTPUTS 1 SITEPROP BUFR_X1Y7 NUM_PINS 4 SITEPROP BUFR_X1Y7 PRIMITIVE_COUNT 0 SITEPROP BUFR_X1Y7 PROHIBIT 0 SITEPROP BUFR_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP BUFR_X1Y7 RPM_X 180 SITEPROP BUFR_X1Y7 RPM_Y 157 SITEPROP BUFR_X1Y7 SITE_PIPS SITEPROP BUFR_X1Y7 SITE_TYPE BUFR SITEPROP CAPTURE_X0Y0 ALTERNATE_SITE_TYPES SITEPROP CAPTURE_X0Y0 CLASS site SITEPROP CAPTURE_X0Y0 CLOCK_REGION X0Y0 SITEPROP CAPTURE_X0Y0 IS_BONDED 0 SITEPROP CAPTURE_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP CAPTURE_X0Y0 IS_CLOCK_PAD 0 SITEPROP CAPTURE_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP CAPTURE_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP CAPTURE_X0Y0 IS_PAD 0 SITEPROP CAPTURE_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP CAPTURE_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP CAPTURE_X0Y0 IS_RESERVED 0 SITEPROP CAPTURE_X0Y0 IS_TEST 0 SITEPROP CAPTURE_X0Y0 IS_USED 0 SITEPROP CAPTURE_X0Y0 MANUAL_ROUTING SITEPROP CAPTURE_X0Y0 NAME CAPTURE_X0Y0 SITEPROP CAPTURE_X0Y0 NUM_ARCS 0 SITEPROP CAPTURE_X0Y0 NUM_BELS 1 SITEPROP CAPTURE_X0Y0 NUM_INPUTS 2 SITEPROP CAPTURE_X0Y0 NUM_OUTPUTS 0 SITEPROP CAPTURE_X0Y0 NUM_PINS 2 SITEPROP CAPTURE_X0Y0 PRIMITIVE_COUNT 0 SITEPROP CAPTURE_X0Y0 PROHIBIT 0 SITEPROP CAPTURE_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP CAPTURE_X0Y0 RPM_X 77 SITEPROP CAPTURE_X0Y0 RPM_Y 56 SITEPROP CAPTURE_X0Y0 SITE_PIPS SITEPROP CAPTURE_X0Y0 SITE_TYPE CAPTURE SITEPROP DCIRESET_X0Y0 ALTERNATE_SITE_TYPES SITEPROP DCIRESET_X0Y0 CLASS site SITEPROP DCIRESET_X0Y0 CLOCK_REGION X0Y0 SITEPROP DCIRESET_X0Y0 IS_BONDED 0 SITEPROP DCIRESET_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP DCIRESET_X0Y0 IS_CLOCK_PAD 0 SITEPROP DCIRESET_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DCIRESET_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DCIRESET_X0Y0 IS_PAD 0 SITEPROP DCIRESET_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DCIRESET_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DCIRESET_X0Y0 IS_RESERVED 0 SITEPROP DCIRESET_X0Y0 IS_TEST 0 SITEPROP DCIRESET_X0Y0 IS_USED 0 SITEPROP DCIRESET_X0Y0 MANUAL_ROUTING SITEPROP DCIRESET_X0Y0 NAME DCIRESET_X0Y0 SITEPROP DCIRESET_X0Y0 NUM_ARCS 0 SITEPROP DCIRESET_X0Y0 NUM_BELS 1 SITEPROP DCIRESET_X0Y0 NUM_INPUTS 1 SITEPROP DCIRESET_X0Y0 NUM_OUTPUTS 1 SITEPROP DCIRESET_X0Y0 NUM_PINS 2 SITEPROP DCIRESET_X0Y0 PRIMITIVE_COUNT 0 SITEPROP DCIRESET_X0Y0 PROHIBIT 0 SITEPROP DCIRESET_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP DCIRESET_X0Y0 RPM_X 77 SITEPROP DCIRESET_X0Y0 RPM_Y 58 SITEPROP DCIRESET_X0Y0 SITE_PIPS SITEPROP DCIRESET_X0Y0 SITE_TYPE DCIRESET SITEPROP DNA_PORT_X0Y0 ALTERNATE_SITE_TYPES SITEPROP DNA_PORT_X0Y0 CLASS site SITEPROP DNA_PORT_X0Y0 CLOCK_REGION X0Y0 SITEPROP DNA_PORT_X0Y0 IS_BONDED 0 SITEPROP DNA_PORT_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP DNA_PORT_X0Y0 IS_CLOCK_PAD 0 SITEPROP DNA_PORT_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DNA_PORT_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DNA_PORT_X0Y0 IS_PAD 0 SITEPROP DNA_PORT_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DNA_PORT_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DNA_PORT_X0Y0 IS_RESERVED 0 SITEPROP DNA_PORT_X0Y0 IS_TEST 0 SITEPROP DNA_PORT_X0Y0 IS_USED 0 SITEPROP DNA_PORT_X0Y0 MANUAL_ROUTING SITEPROP DNA_PORT_X0Y0 NAME DNA_PORT_X0Y0 SITEPROP DNA_PORT_X0Y0 NUM_ARCS 0 SITEPROP DNA_PORT_X0Y0 NUM_BELS 1 SITEPROP DNA_PORT_X0Y0 NUM_INPUTS 4 SITEPROP DNA_PORT_X0Y0 NUM_OUTPUTS 1 SITEPROP DNA_PORT_X0Y0 NUM_PINS 5 SITEPROP DNA_PORT_X0Y0 PRIMITIVE_COUNT 0 SITEPROP DNA_PORT_X0Y0 PROHIBIT 0 SITEPROP DNA_PORT_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP DNA_PORT_X0Y0 RPM_X 77 SITEPROP DNA_PORT_X0Y0 RPM_Y 90 SITEPROP DNA_PORT_X0Y0 SITE_PIPS SITEPROP DNA_PORT_X0Y0 SITE_TYPE DNA_PORT SITEPROP DSP48_X0Y0 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y0 CLASS site SITEPROP DSP48_X0Y0 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y0 IS_BONDED 0 SITEPROP DSP48_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y0 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y0 IS_PAD 0 SITEPROP DSP48_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y0 IS_RESERVED 0 SITEPROP DSP48_X0Y0 IS_TEST 0 SITEPROP DSP48_X0Y0 IS_USED 0 SITEPROP DSP48_X0Y0 MANUAL_ROUTING SITEPROP DSP48_X0Y0 NAME DSP48_X0Y0 SITEPROP DSP48_X0Y0 NUM_ARCS 36 SITEPROP DSP48_X0Y0 NUM_BELS 1 SITEPROP DSP48_X0Y0 NUM_INPUTS 263 SITEPROP DSP48_X0Y0 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y0 NUM_PINS 417 SITEPROP DSP48_X0Y0 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y0 PROHIBIT 0 SITEPROP DSP48_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y0 RPM_X 45 SITEPROP DSP48_X0Y0 RPM_Y 0 SITEPROP DSP48_X0Y0 SITE_PIPS SITEPROP DSP48_X0Y0 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y1 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y1 CLASS site SITEPROP DSP48_X0Y1 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y1 IS_BONDED 0 SITEPROP DSP48_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y1 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y1 IS_PAD 0 SITEPROP DSP48_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y1 IS_RESERVED 0 SITEPROP DSP48_X0Y1 IS_TEST 0 SITEPROP DSP48_X0Y1 IS_USED 0 SITEPROP DSP48_X0Y1 MANUAL_ROUTING SITEPROP DSP48_X0Y1 NAME DSP48_X0Y1 SITEPROP DSP48_X0Y1 NUM_ARCS 36 SITEPROP DSP48_X0Y1 NUM_BELS 1 SITEPROP DSP48_X0Y1 NUM_INPUTS 263 SITEPROP DSP48_X0Y1 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y1 NUM_PINS 417 SITEPROP DSP48_X0Y1 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y1 PROHIBIT 0 SITEPROP DSP48_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y1 RPM_X 45 SITEPROP DSP48_X0Y1 RPM_Y 5 SITEPROP DSP48_X0Y1 SITE_PIPS SITEPROP DSP48_X0Y1 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y2 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y2 CLASS site SITEPROP DSP48_X0Y2 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y2 IS_BONDED 0 SITEPROP DSP48_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y2 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y2 IS_PAD 0 SITEPROP DSP48_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y2 IS_RESERVED 0 SITEPROP DSP48_X0Y2 IS_TEST 0 SITEPROP DSP48_X0Y2 IS_USED 0 SITEPROP DSP48_X0Y2 MANUAL_ROUTING SITEPROP DSP48_X0Y2 NAME DSP48_X0Y2 SITEPROP DSP48_X0Y2 NUM_ARCS 36 SITEPROP DSP48_X0Y2 NUM_BELS 1 SITEPROP DSP48_X0Y2 NUM_INPUTS 263 SITEPROP DSP48_X0Y2 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y2 NUM_PINS 417 SITEPROP DSP48_X0Y2 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y2 PROHIBIT 0 SITEPROP DSP48_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y2 RPM_X 45 SITEPROP DSP48_X0Y2 RPM_Y 10 SITEPROP DSP48_X0Y2 SITE_PIPS SITEPROP DSP48_X0Y2 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y3 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y3 CLASS site SITEPROP DSP48_X0Y3 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y3 IS_BONDED 0 SITEPROP DSP48_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y3 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y3 IS_PAD 0 SITEPROP DSP48_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y3 IS_RESERVED 0 SITEPROP DSP48_X0Y3 IS_TEST 0 SITEPROP DSP48_X0Y3 IS_USED 0 SITEPROP DSP48_X0Y3 MANUAL_ROUTING SITEPROP DSP48_X0Y3 NAME DSP48_X0Y3 SITEPROP DSP48_X0Y3 NUM_ARCS 36 SITEPROP DSP48_X0Y3 NUM_BELS 1 SITEPROP DSP48_X0Y3 NUM_INPUTS 263 SITEPROP DSP48_X0Y3 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y3 NUM_PINS 417 SITEPROP DSP48_X0Y3 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y3 PROHIBIT 0 SITEPROP DSP48_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y3 RPM_X 45 SITEPROP DSP48_X0Y3 RPM_Y 15 SITEPROP DSP48_X0Y3 SITE_PIPS SITEPROP DSP48_X0Y3 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y4 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y4 CLASS site SITEPROP DSP48_X0Y4 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y4 IS_BONDED 0 SITEPROP DSP48_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y4 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y4 IS_PAD 0 SITEPROP DSP48_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y4 IS_RESERVED 0 SITEPROP DSP48_X0Y4 IS_TEST 0 SITEPROP DSP48_X0Y4 IS_USED 0 SITEPROP DSP48_X0Y4 MANUAL_ROUTING SITEPROP DSP48_X0Y4 NAME DSP48_X0Y4 SITEPROP DSP48_X0Y4 NUM_ARCS 36 SITEPROP DSP48_X0Y4 NUM_BELS 1 SITEPROP DSP48_X0Y4 NUM_INPUTS 263 SITEPROP DSP48_X0Y4 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y4 NUM_PINS 417 SITEPROP DSP48_X0Y4 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y4 PROHIBIT 0 SITEPROP DSP48_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y4 RPM_X 45 SITEPROP DSP48_X0Y4 RPM_Y 20 SITEPROP DSP48_X0Y4 SITE_PIPS SITEPROP DSP48_X0Y4 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y5 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y5 CLASS site SITEPROP DSP48_X0Y5 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y5 IS_BONDED 0 SITEPROP DSP48_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y5 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y5 IS_PAD 0 SITEPROP DSP48_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y5 IS_RESERVED 0 SITEPROP DSP48_X0Y5 IS_TEST 0 SITEPROP DSP48_X0Y5 IS_USED 0 SITEPROP DSP48_X0Y5 MANUAL_ROUTING SITEPROP DSP48_X0Y5 NAME DSP48_X0Y5 SITEPROP DSP48_X0Y5 NUM_ARCS 36 SITEPROP DSP48_X0Y5 NUM_BELS 1 SITEPROP DSP48_X0Y5 NUM_INPUTS 263 SITEPROP DSP48_X0Y5 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y5 NUM_PINS 417 SITEPROP DSP48_X0Y5 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y5 PROHIBIT 0 SITEPROP DSP48_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y5 RPM_X 45 SITEPROP DSP48_X0Y5 RPM_Y 25 SITEPROP DSP48_X0Y5 SITE_PIPS SITEPROP DSP48_X0Y5 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y6 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y6 CLASS site SITEPROP DSP48_X0Y6 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y6 IS_BONDED 0 SITEPROP DSP48_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y6 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y6 IS_PAD 0 SITEPROP DSP48_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y6 IS_RESERVED 0 SITEPROP DSP48_X0Y6 IS_TEST 0 SITEPROP DSP48_X0Y6 IS_USED 0 SITEPROP DSP48_X0Y6 MANUAL_ROUTING SITEPROP DSP48_X0Y6 NAME DSP48_X0Y6 SITEPROP DSP48_X0Y6 NUM_ARCS 36 SITEPROP DSP48_X0Y6 NUM_BELS 1 SITEPROP DSP48_X0Y6 NUM_INPUTS 263 SITEPROP DSP48_X0Y6 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y6 NUM_PINS 417 SITEPROP DSP48_X0Y6 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y6 PROHIBIT 0 SITEPROP DSP48_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y6 RPM_X 45 SITEPROP DSP48_X0Y6 RPM_Y 30 SITEPROP DSP48_X0Y6 SITE_PIPS SITEPROP DSP48_X0Y6 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y7 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y7 CLASS site SITEPROP DSP48_X0Y7 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y7 IS_BONDED 0 SITEPROP DSP48_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y7 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y7 IS_PAD 0 SITEPROP DSP48_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y7 IS_RESERVED 0 SITEPROP DSP48_X0Y7 IS_TEST 0 SITEPROP DSP48_X0Y7 IS_USED 0 SITEPROP DSP48_X0Y7 MANUAL_ROUTING SITEPROP DSP48_X0Y7 NAME DSP48_X0Y7 SITEPROP DSP48_X0Y7 NUM_ARCS 36 SITEPROP DSP48_X0Y7 NUM_BELS 1 SITEPROP DSP48_X0Y7 NUM_INPUTS 263 SITEPROP DSP48_X0Y7 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y7 NUM_PINS 417 SITEPROP DSP48_X0Y7 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y7 PROHIBIT 0 SITEPROP DSP48_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y7 RPM_X 45 SITEPROP DSP48_X0Y7 RPM_Y 35 SITEPROP DSP48_X0Y7 SITE_PIPS SITEPROP DSP48_X0Y7 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y8 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y8 CLASS site SITEPROP DSP48_X0Y8 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y8 IS_BONDED 0 SITEPROP DSP48_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y8 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y8 IS_PAD 0 SITEPROP DSP48_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y8 IS_RESERVED 0 SITEPROP DSP48_X0Y8 IS_TEST 0 SITEPROP DSP48_X0Y8 IS_USED 0 SITEPROP DSP48_X0Y8 MANUAL_ROUTING SITEPROP DSP48_X0Y8 NAME DSP48_X0Y8 SITEPROP DSP48_X0Y8 NUM_ARCS 36 SITEPROP DSP48_X0Y8 NUM_BELS 1 SITEPROP DSP48_X0Y8 NUM_INPUTS 263 SITEPROP DSP48_X0Y8 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y8 NUM_PINS 417 SITEPROP DSP48_X0Y8 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y8 PROHIBIT 0 SITEPROP DSP48_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y8 RPM_X 45 SITEPROP DSP48_X0Y8 RPM_Y 40 SITEPROP DSP48_X0Y8 SITE_PIPS SITEPROP DSP48_X0Y8 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y9 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y9 CLASS site SITEPROP DSP48_X0Y9 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y9 IS_BONDED 0 SITEPROP DSP48_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y9 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y9 IS_PAD 0 SITEPROP DSP48_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y9 IS_RESERVED 0 SITEPROP DSP48_X0Y9 IS_TEST 0 SITEPROP DSP48_X0Y9 IS_USED 0 SITEPROP DSP48_X0Y9 MANUAL_ROUTING SITEPROP DSP48_X0Y9 NAME DSP48_X0Y9 SITEPROP DSP48_X0Y9 NUM_ARCS 36 SITEPROP DSP48_X0Y9 NUM_BELS 1 SITEPROP DSP48_X0Y9 NUM_INPUTS 263 SITEPROP DSP48_X0Y9 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y9 NUM_PINS 417 SITEPROP DSP48_X0Y9 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y9 PROHIBIT 0 SITEPROP DSP48_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y9 RPM_X 45 SITEPROP DSP48_X0Y9 RPM_Y 45 SITEPROP DSP48_X0Y9 SITE_PIPS SITEPROP DSP48_X0Y9 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y10 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y10 CLASS site SITEPROP DSP48_X0Y10 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y10 IS_BONDED 0 SITEPROP DSP48_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y10 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y10 IS_PAD 0 SITEPROP DSP48_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y10 IS_RESERVED 0 SITEPROP DSP48_X0Y10 IS_TEST 0 SITEPROP DSP48_X0Y10 IS_USED 0 SITEPROP DSP48_X0Y10 MANUAL_ROUTING SITEPROP DSP48_X0Y10 NAME DSP48_X0Y10 SITEPROP DSP48_X0Y10 NUM_ARCS 36 SITEPROP DSP48_X0Y10 NUM_BELS 1 SITEPROP DSP48_X0Y10 NUM_INPUTS 263 SITEPROP DSP48_X0Y10 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y10 NUM_PINS 417 SITEPROP DSP48_X0Y10 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y10 PROHIBIT 0 SITEPROP DSP48_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y10 RPM_X 45 SITEPROP DSP48_X0Y10 RPM_Y 50 SITEPROP DSP48_X0Y10 SITE_PIPS SITEPROP DSP48_X0Y10 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y11 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y11 CLASS site SITEPROP DSP48_X0Y11 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y11 IS_BONDED 0 SITEPROP DSP48_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y11 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y11 IS_PAD 0 SITEPROP DSP48_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y11 IS_RESERVED 0 SITEPROP DSP48_X0Y11 IS_TEST 0 SITEPROP DSP48_X0Y11 IS_USED 0 SITEPROP DSP48_X0Y11 MANUAL_ROUTING SITEPROP DSP48_X0Y11 NAME DSP48_X0Y11 SITEPROP DSP48_X0Y11 NUM_ARCS 36 SITEPROP DSP48_X0Y11 NUM_BELS 1 SITEPROP DSP48_X0Y11 NUM_INPUTS 263 SITEPROP DSP48_X0Y11 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y11 NUM_PINS 417 SITEPROP DSP48_X0Y11 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y11 PROHIBIT 0 SITEPROP DSP48_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y11 RPM_X 45 SITEPROP DSP48_X0Y11 RPM_Y 55 SITEPROP DSP48_X0Y11 SITE_PIPS SITEPROP DSP48_X0Y11 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y12 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y12 CLASS site SITEPROP DSP48_X0Y12 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y12 IS_BONDED 0 SITEPROP DSP48_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y12 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y12 IS_PAD 0 SITEPROP DSP48_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y12 IS_RESERVED 0 SITEPROP DSP48_X0Y12 IS_TEST 0 SITEPROP DSP48_X0Y12 IS_USED 0 SITEPROP DSP48_X0Y12 MANUAL_ROUTING SITEPROP DSP48_X0Y12 NAME DSP48_X0Y12 SITEPROP DSP48_X0Y12 NUM_ARCS 36 SITEPROP DSP48_X0Y12 NUM_BELS 1 SITEPROP DSP48_X0Y12 NUM_INPUTS 263 SITEPROP DSP48_X0Y12 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y12 NUM_PINS 417 SITEPROP DSP48_X0Y12 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y12 PROHIBIT 0 SITEPROP DSP48_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y12 RPM_X 45 SITEPROP DSP48_X0Y12 RPM_Y 60 SITEPROP DSP48_X0Y12 SITE_PIPS SITEPROP DSP48_X0Y12 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y13 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y13 CLASS site SITEPROP DSP48_X0Y13 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y13 IS_BONDED 0 SITEPROP DSP48_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y13 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y13 IS_PAD 0 SITEPROP DSP48_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y13 IS_RESERVED 0 SITEPROP DSP48_X0Y13 IS_TEST 0 SITEPROP DSP48_X0Y13 IS_USED 0 SITEPROP DSP48_X0Y13 MANUAL_ROUTING SITEPROP DSP48_X0Y13 NAME DSP48_X0Y13 SITEPROP DSP48_X0Y13 NUM_ARCS 36 SITEPROP DSP48_X0Y13 NUM_BELS 1 SITEPROP DSP48_X0Y13 NUM_INPUTS 263 SITEPROP DSP48_X0Y13 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y13 NUM_PINS 417 SITEPROP DSP48_X0Y13 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y13 PROHIBIT 0 SITEPROP DSP48_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y13 RPM_X 45 SITEPROP DSP48_X0Y13 RPM_Y 65 SITEPROP DSP48_X0Y13 SITE_PIPS SITEPROP DSP48_X0Y13 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y14 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y14 CLASS site SITEPROP DSP48_X0Y14 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y14 IS_BONDED 0 SITEPROP DSP48_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y14 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y14 IS_PAD 0 SITEPROP DSP48_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y14 IS_RESERVED 0 SITEPROP DSP48_X0Y14 IS_TEST 0 SITEPROP DSP48_X0Y14 IS_USED 0 SITEPROP DSP48_X0Y14 MANUAL_ROUTING SITEPROP DSP48_X0Y14 NAME DSP48_X0Y14 SITEPROP DSP48_X0Y14 NUM_ARCS 36 SITEPROP DSP48_X0Y14 NUM_BELS 1 SITEPROP DSP48_X0Y14 NUM_INPUTS 263 SITEPROP DSP48_X0Y14 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y14 NUM_PINS 417 SITEPROP DSP48_X0Y14 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y14 PROHIBIT 0 SITEPROP DSP48_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y14 RPM_X 45 SITEPROP DSP48_X0Y14 RPM_Y 70 SITEPROP DSP48_X0Y14 SITE_PIPS SITEPROP DSP48_X0Y14 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y15 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y15 CLASS site SITEPROP DSP48_X0Y15 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y15 IS_BONDED 0 SITEPROP DSP48_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y15 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y15 IS_PAD 0 SITEPROP DSP48_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y15 IS_RESERVED 0 SITEPROP DSP48_X0Y15 IS_TEST 0 SITEPROP DSP48_X0Y15 IS_USED 0 SITEPROP DSP48_X0Y15 MANUAL_ROUTING SITEPROP DSP48_X0Y15 NAME DSP48_X0Y15 SITEPROP DSP48_X0Y15 NUM_ARCS 36 SITEPROP DSP48_X0Y15 NUM_BELS 1 SITEPROP DSP48_X0Y15 NUM_INPUTS 263 SITEPROP DSP48_X0Y15 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y15 NUM_PINS 417 SITEPROP DSP48_X0Y15 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y15 PROHIBIT 0 SITEPROP DSP48_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y15 RPM_X 45 SITEPROP DSP48_X0Y15 RPM_Y 75 SITEPROP DSP48_X0Y15 SITE_PIPS SITEPROP DSP48_X0Y15 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y16 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y16 CLASS site SITEPROP DSP48_X0Y16 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y16 IS_BONDED 0 SITEPROP DSP48_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y16 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y16 IS_PAD 0 SITEPROP DSP48_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y16 IS_RESERVED 0 SITEPROP DSP48_X0Y16 IS_TEST 0 SITEPROP DSP48_X0Y16 IS_USED 0 SITEPROP DSP48_X0Y16 MANUAL_ROUTING SITEPROP DSP48_X0Y16 NAME DSP48_X0Y16 SITEPROP DSP48_X0Y16 NUM_ARCS 36 SITEPROP DSP48_X0Y16 NUM_BELS 1 SITEPROP DSP48_X0Y16 NUM_INPUTS 263 SITEPROP DSP48_X0Y16 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y16 NUM_PINS 417 SITEPROP DSP48_X0Y16 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y16 PROHIBIT 0 SITEPROP DSP48_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y16 RPM_X 45 SITEPROP DSP48_X0Y16 RPM_Y 80 SITEPROP DSP48_X0Y16 SITE_PIPS SITEPROP DSP48_X0Y16 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y17 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y17 CLASS site SITEPROP DSP48_X0Y17 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y17 IS_BONDED 0 SITEPROP DSP48_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y17 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y17 IS_PAD 0 SITEPROP DSP48_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y17 IS_RESERVED 0 SITEPROP DSP48_X0Y17 IS_TEST 0 SITEPROP DSP48_X0Y17 IS_USED 0 SITEPROP DSP48_X0Y17 MANUAL_ROUTING SITEPROP DSP48_X0Y17 NAME DSP48_X0Y17 SITEPROP DSP48_X0Y17 NUM_ARCS 36 SITEPROP DSP48_X0Y17 NUM_BELS 1 SITEPROP DSP48_X0Y17 NUM_INPUTS 263 SITEPROP DSP48_X0Y17 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y17 NUM_PINS 417 SITEPROP DSP48_X0Y17 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y17 PROHIBIT 0 SITEPROP DSP48_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y17 RPM_X 45 SITEPROP DSP48_X0Y17 RPM_Y 85 SITEPROP DSP48_X0Y17 SITE_PIPS SITEPROP DSP48_X0Y17 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y18 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y18 CLASS site SITEPROP DSP48_X0Y18 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y18 IS_BONDED 0 SITEPROP DSP48_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y18 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y18 IS_PAD 0 SITEPROP DSP48_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y18 IS_RESERVED 0 SITEPROP DSP48_X0Y18 IS_TEST 0 SITEPROP DSP48_X0Y18 IS_USED 0 SITEPROP DSP48_X0Y18 MANUAL_ROUTING SITEPROP DSP48_X0Y18 NAME DSP48_X0Y18 SITEPROP DSP48_X0Y18 NUM_ARCS 36 SITEPROP DSP48_X0Y18 NUM_BELS 1 SITEPROP DSP48_X0Y18 NUM_INPUTS 263 SITEPROP DSP48_X0Y18 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y18 NUM_PINS 417 SITEPROP DSP48_X0Y18 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y18 PROHIBIT 0 SITEPROP DSP48_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y18 RPM_X 45 SITEPROP DSP48_X0Y18 RPM_Y 90 SITEPROP DSP48_X0Y18 SITE_PIPS SITEPROP DSP48_X0Y18 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y19 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y19 CLASS site SITEPROP DSP48_X0Y19 CLOCK_REGION X0Y0 SITEPROP DSP48_X0Y19 IS_BONDED 0 SITEPROP DSP48_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y19 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y19 IS_PAD 0 SITEPROP DSP48_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y19 IS_RESERVED 0 SITEPROP DSP48_X0Y19 IS_TEST 0 SITEPROP DSP48_X0Y19 IS_USED 0 SITEPROP DSP48_X0Y19 MANUAL_ROUTING SITEPROP DSP48_X0Y19 NAME DSP48_X0Y19 SITEPROP DSP48_X0Y19 NUM_ARCS 36 SITEPROP DSP48_X0Y19 NUM_BELS 1 SITEPROP DSP48_X0Y19 NUM_INPUTS 263 SITEPROP DSP48_X0Y19 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y19 NUM_PINS 417 SITEPROP DSP48_X0Y19 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y19 PROHIBIT 0 SITEPROP DSP48_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y19 RPM_X 45 SITEPROP DSP48_X0Y19 RPM_Y 95 SITEPROP DSP48_X0Y19 SITE_PIPS SITEPROP DSP48_X0Y19 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y20 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y20 CLASS site SITEPROP DSP48_X0Y20 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y20 IS_BONDED 0 SITEPROP DSP48_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y20 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y20 IS_PAD 0 SITEPROP DSP48_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y20 IS_RESERVED 0 SITEPROP DSP48_X0Y20 IS_TEST 0 SITEPROP DSP48_X0Y20 IS_USED 0 SITEPROP DSP48_X0Y20 MANUAL_ROUTING SITEPROP DSP48_X0Y20 NAME DSP48_X0Y20 SITEPROP DSP48_X0Y20 NUM_ARCS 36 SITEPROP DSP48_X0Y20 NUM_BELS 1 SITEPROP DSP48_X0Y20 NUM_INPUTS 263 SITEPROP DSP48_X0Y20 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y20 NUM_PINS 417 SITEPROP DSP48_X0Y20 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y20 PROHIBIT 0 SITEPROP DSP48_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y20 RPM_X 45 SITEPROP DSP48_X0Y20 RPM_Y 100 SITEPROP DSP48_X0Y20 SITE_PIPS SITEPROP DSP48_X0Y20 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y21 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y21 CLASS site SITEPROP DSP48_X0Y21 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y21 IS_BONDED 0 SITEPROP DSP48_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y21 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y21 IS_PAD 0 SITEPROP DSP48_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y21 IS_RESERVED 0 SITEPROP DSP48_X0Y21 IS_TEST 0 SITEPROP DSP48_X0Y21 IS_USED 0 SITEPROP DSP48_X0Y21 MANUAL_ROUTING SITEPROP DSP48_X0Y21 NAME DSP48_X0Y21 SITEPROP DSP48_X0Y21 NUM_ARCS 36 SITEPROP DSP48_X0Y21 NUM_BELS 1 SITEPROP DSP48_X0Y21 NUM_INPUTS 263 SITEPROP DSP48_X0Y21 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y21 NUM_PINS 417 SITEPROP DSP48_X0Y21 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y21 PROHIBIT 0 SITEPROP DSP48_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y21 RPM_X 45 SITEPROP DSP48_X0Y21 RPM_Y 105 SITEPROP DSP48_X0Y21 SITE_PIPS SITEPROP DSP48_X0Y21 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y22 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y22 CLASS site SITEPROP DSP48_X0Y22 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y22 IS_BONDED 0 SITEPROP DSP48_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y22 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y22 IS_PAD 0 SITEPROP DSP48_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y22 IS_RESERVED 0 SITEPROP DSP48_X0Y22 IS_TEST 0 SITEPROP DSP48_X0Y22 IS_USED 0 SITEPROP DSP48_X0Y22 MANUAL_ROUTING SITEPROP DSP48_X0Y22 NAME DSP48_X0Y22 SITEPROP DSP48_X0Y22 NUM_ARCS 36 SITEPROP DSP48_X0Y22 NUM_BELS 1 SITEPROP DSP48_X0Y22 NUM_INPUTS 263 SITEPROP DSP48_X0Y22 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y22 NUM_PINS 417 SITEPROP DSP48_X0Y22 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y22 PROHIBIT 0 SITEPROP DSP48_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y22 RPM_X 45 SITEPROP DSP48_X0Y22 RPM_Y 110 SITEPROP DSP48_X0Y22 SITE_PIPS SITEPROP DSP48_X0Y22 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y23 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y23 CLASS site SITEPROP DSP48_X0Y23 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y23 IS_BONDED 0 SITEPROP DSP48_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y23 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y23 IS_PAD 0 SITEPROP DSP48_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y23 IS_RESERVED 0 SITEPROP DSP48_X0Y23 IS_TEST 0 SITEPROP DSP48_X0Y23 IS_USED 0 SITEPROP DSP48_X0Y23 MANUAL_ROUTING SITEPROP DSP48_X0Y23 NAME DSP48_X0Y23 SITEPROP DSP48_X0Y23 NUM_ARCS 36 SITEPROP DSP48_X0Y23 NUM_BELS 1 SITEPROP DSP48_X0Y23 NUM_INPUTS 263 SITEPROP DSP48_X0Y23 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y23 NUM_PINS 417 SITEPROP DSP48_X0Y23 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y23 PROHIBIT 0 SITEPROP DSP48_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y23 RPM_X 45 SITEPROP DSP48_X0Y23 RPM_Y 115 SITEPROP DSP48_X0Y23 SITE_PIPS SITEPROP DSP48_X0Y23 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y24 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y24 CLASS site SITEPROP DSP48_X0Y24 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y24 IS_BONDED 0 SITEPROP DSP48_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y24 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y24 IS_PAD 0 SITEPROP DSP48_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y24 IS_RESERVED 0 SITEPROP DSP48_X0Y24 IS_TEST 0 SITEPROP DSP48_X0Y24 IS_USED 0 SITEPROP DSP48_X0Y24 MANUAL_ROUTING SITEPROP DSP48_X0Y24 NAME DSP48_X0Y24 SITEPROP DSP48_X0Y24 NUM_ARCS 36 SITEPROP DSP48_X0Y24 NUM_BELS 1 SITEPROP DSP48_X0Y24 NUM_INPUTS 263 SITEPROP DSP48_X0Y24 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y24 NUM_PINS 417 SITEPROP DSP48_X0Y24 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y24 PROHIBIT 0 SITEPROP DSP48_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y24 RPM_X 45 SITEPROP DSP48_X0Y24 RPM_Y 120 SITEPROP DSP48_X0Y24 SITE_PIPS SITEPROP DSP48_X0Y24 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y25 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y25 CLASS site SITEPROP DSP48_X0Y25 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y25 IS_BONDED 0 SITEPROP DSP48_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y25 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y25 IS_PAD 0 SITEPROP DSP48_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y25 IS_RESERVED 0 SITEPROP DSP48_X0Y25 IS_TEST 0 SITEPROP DSP48_X0Y25 IS_USED 0 SITEPROP DSP48_X0Y25 MANUAL_ROUTING SITEPROP DSP48_X0Y25 NAME DSP48_X0Y25 SITEPROP DSP48_X0Y25 NUM_ARCS 36 SITEPROP DSP48_X0Y25 NUM_BELS 1 SITEPROP DSP48_X0Y25 NUM_INPUTS 263 SITEPROP DSP48_X0Y25 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y25 NUM_PINS 417 SITEPROP DSP48_X0Y25 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y25 PROHIBIT 0 SITEPROP DSP48_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y25 RPM_X 45 SITEPROP DSP48_X0Y25 RPM_Y 125 SITEPROP DSP48_X0Y25 SITE_PIPS SITEPROP DSP48_X0Y25 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y26 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y26 CLASS site SITEPROP DSP48_X0Y26 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y26 IS_BONDED 0 SITEPROP DSP48_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y26 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y26 IS_PAD 0 SITEPROP DSP48_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y26 IS_RESERVED 0 SITEPROP DSP48_X0Y26 IS_TEST 0 SITEPROP DSP48_X0Y26 IS_USED 0 SITEPROP DSP48_X0Y26 MANUAL_ROUTING SITEPROP DSP48_X0Y26 NAME DSP48_X0Y26 SITEPROP DSP48_X0Y26 NUM_ARCS 36 SITEPROP DSP48_X0Y26 NUM_BELS 1 SITEPROP DSP48_X0Y26 NUM_INPUTS 263 SITEPROP DSP48_X0Y26 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y26 NUM_PINS 417 SITEPROP DSP48_X0Y26 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y26 PROHIBIT 0 SITEPROP DSP48_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y26 RPM_X 45 SITEPROP DSP48_X0Y26 RPM_Y 130 SITEPROP DSP48_X0Y26 SITE_PIPS SITEPROP DSP48_X0Y26 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y27 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y27 CLASS site SITEPROP DSP48_X0Y27 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y27 IS_BONDED 0 SITEPROP DSP48_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y27 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y27 IS_PAD 0 SITEPROP DSP48_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y27 IS_RESERVED 0 SITEPROP DSP48_X0Y27 IS_TEST 0 SITEPROP DSP48_X0Y27 IS_USED 0 SITEPROP DSP48_X0Y27 MANUAL_ROUTING SITEPROP DSP48_X0Y27 NAME DSP48_X0Y27 SITEPROP DSP48_X0Y27 NUM_ARCS 36 SITEPROP DSP48_X0Y27 NUM_BELS 1 SITEPROP DSP48_X0Y27 NUM_INPUTS 263 SITEPROP DSP48_X0Y27 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y27 NUM_PINS 417 SITEPROP DSP48_X0Y27 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y27 PROHIBIT 0 SITEPROP DSP48_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y27 RPM_X 45 SITEPROP DSP48_X0Y27 RPM_Y 135 SITEPROP DSP48_X0Y27 SITE_PIPS SITEPROP DSP48_X0Y27 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y28 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y28 CLASS site SITEPROP DSP48_X0Y28 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y28 IS_BONDED 0 SITEPROP DSP48_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y28 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y28 IS_PAD 0 SITEPROP DSP48_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y28 IS_RESERVED 0 SITEPROP DSP48_X0Y28 IS_TEST 0 SITEPROP DSP48_X0Y28 IS_USED 0 SITEPROP DSP48_X0Y28 MANUAL_ROUTING SITEPROP DSP48_X0Y28 NAME DSP48_X0Y28 SITEPROP DSP48_X0Y28 NUM_ARCS 36 SITEPROP DSP48_X0Y28 NUM_BELS 1 SITEPROP DSP48_X0Y28 NUM_INPUTS 263 SITEPROP DSP48_X0Y28 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y28 NUM_PINS 417 SITEPROP DSP48_X0Y28 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y28 PROHIBIT 0 SITEPROP DSP48_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y28 RPM_X 45 SITEPROP DSP48_X0Y28 RPM_Y 140 SITEPROP DSP48_X0Y28 SITE_PIPS SITEPROP DSP48_X0Y28 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y29 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y29 CLASS site SITEPROP DSP48_X0Y29 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y29 IS_BONDED 0 SITEPROP DSP48_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y29 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y29 IS_PAD 0 SITEPROP DSP48_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y29 IS_RESERVED 0 SITEPROP DSP48_X0Y29 IS_TEST 0 SITEPROP DSP48_X0Y29 IS_USED 0 SITEPROP DSP48_X0Y29 MANUAL_ROUTING SITEPROP DSP48_X0Y29 NAME DSP48_X0Y29 SITEPROP DSP48_X0Y29 NUM_ARCS 36 SITEPROP DSP48_X0Y29 NUM_BELS 1 SITEPROP DSP48_X0Y29 NUM_INPUTS 263 SITEPROP DSP48_X0Y29 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y29 NUM_PINS 417 SITEPROP DSP48_X0Y29 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y29 PROHIBIT 0 SITEPROP DSP48_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y29 RPM_X 45 SITEPROP DSP48_X0Y29 RPM_Y 145 SITEPROP DSP48_X0Y29 SITE_PIPS SITEPROP DSP48_X0Y29 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y30 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y30 CLASS site SITEPROP DSP48_X0Y30 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y30 IS_BONDED 0 SITEPROP DSP48_X0Y30 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y30 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y30 IS_PAD 0 SITEPROP DSP48_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y30 IS_RESERVED 0 SITEPROP DSP48_X0Y30 IS_TEST 0 SITEPROP DSP48_X0Y30 IS_USED 0 SITEPROP DSP48_X0Y30 MANUAL_ROUTING SITEPROP DSP48_X0Y30 NAME DSP48_X0Y30 SITEPROP DSP48_X0Y30 NUM_ARCS 36 SITEPROP DSP48_X0Y30 NUM_BELS 1 SITEPROP DSP48_X0Y30 NUM_INPUTS 263 SITEPROP DSP48_X0Y30 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y30 NUM_PINS 417 SITEPROP DSP48_X0Y30 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y30 PROHIBIT 0 SITEPROP DSP48_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y30 RPM_X 45 SITEPROP DSP48_X0Y30 RPM_Y 150 SITEPROP DSP48_X0Y30 SITE_PIPS SITEPROP DSP48_X0Y30 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y31 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y31 CLASS site SITEPROP DSP48_X0Y31 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y31 IS_BONDED 0 SITEPROP DSP48_X0Y31 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y31 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y31 IS_PAD 0 SITEPROP DSP48_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y31 IS_RESERVED 0 SITEPROP DSP48_X0Y31 IS_TEST 0 SITEPROP DSP48_X0Y31 IS_USED 0 SITEPROP DSP48_X0Y31 MANUAL_ROUTING SITEPROP DSP48_X0Y31 NAME DSP48_X0Y31 SITEPROP DSP48_X0Y31 NUM_ARCS 36 SITEPROP DSP48_X0Y31 NUM_BELS 1 SITEPROP DSP48_X0Y31 NUM_INPUTS 263 SITEPROP DSP48_X0Y31 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y31 NUM_PINS 417 SITEPROP DSP48_X0Y31 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y31 PROHIBIT 0 SITEPROP DSP48_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y31 RPM_X 45 SITEPROP DSP48_X0Y31 RPM_Y 155 SITEPROP DSP48_X0Y31 SITE_PIPS SITEPROP DSP48_X0Y31 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y32 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y32 CLASS site SITEPROP DSP48_X0Y32 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y32 IS_BONDED 0 SITEPROP DSP48_X0Y32 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y32 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y32 IS_PAD 0 SITEPROP DSP48_X0Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y32 IS_RESERVED 0 SITEPROP DSP48_X0Y32 IS_TEST 0 SITEPROP DSP48_X0Y32 IS_USED 0 SITEPROP DSP48_X0Y32 MANUAL_ROUTING SITEPROP DSP48_X0Y32 NAME DSP48_X0Y32 SITEPROP DSP48_X0Y32 NUM_ARCS 36 SITEPROP DSP48_X0Y32 NUM_BELS 1 SITEPROP DSP48_X0Y32 NUM_INPUTS 263 SITEPROP DSP48_X0Y32 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y32 NUM_PINS 417 SITEPROP DSP48_X0Y32 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y32 PROHIBIT 0 SITEPROP DSP48_X0Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y32 RPM_X 45 SITEPROP DSP48_X0Y32 RPM_Y 160 SITEPROP DSP48_X0Y32 SITE_PIPS SITEPROP DSP48_X0Y32 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y33 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y33 CLASS site SITEPROP DSP48_X0Y33 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y33 IS_BONDED 0 SITEPROP DSP48_X0Y33 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y33 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y33 IS_PAD 0 SITEPROP DSP48_X0Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y33 IS_RESERVED 0 SITEPROP DSP48_X0Y33 IS_TEST 0 SITEPROP DSP48_X0Y33 IS_USED 0 SITEPROP DSP48_X0Y33 MANUAL_ROUTING SITEPROP DSP48_X0Y33 NAME DSP48_X0Y33 SITEPROP DSP48_X0Y33 NUM_ARCS 36 SITEPROP DSP48_X0Y33 NUM_BELS 1 SITEPROP DSP48_X0Y33 NUM_INPUTS 263 SITEPROP DSP48_X0Y33 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y33 NUM_PINS 417 SITEPROP DSP48_X0Y33 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y33 PROHIBIT 0 SITEPROP DSP48_X0Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y33 RPM_X 45 SITEPROP DSP48_X0Y33 RPM_Y 165 SITEPROP DSP48_X0Y33 SITE_PIPS SITEPROP DSP48_X0Y33 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y34 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y34 CLASS site SITEPROP DSP48_X0Y34 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y34 IS_BONDED 0 SITEPROP DSP48_X0Y34 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y34 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y34 IS_PAD 0 SITEPROP DSP48_X0Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y34 IS_RESERVED 0 SITEPROP DSP48_X0Y34 IS_TEST 0 SITEPROP DSP48_X0Y34 IS_USED 0 SITEPROP DSP48_X0Y34 MANUAL_ROUTING SITEPROP DSP48_X0Y34 NAME DSP48_X0Y34 SITEPROP DSP48_X0Y34 NUM_ARCS 36 SITEPROP DSP48_X0Y34 NUM_BELS 1 SITEPROP DSP48_X0Y34 NUM_INPUTS 263 SITEPROP DSP48_X0Y34 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y34 NUM_PINS 417 SITEPROP DSP48_X0Y34 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y34 PROHIBIT 0 SITEPROP DSP48_X0Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y34 RPM_X 45 SITEPROP DSP48_X0Y34 RPM_Y 170 SITEPROP DSP48_X0Y34 SITE_PIPS SITEPROP DSP48_X0Y34 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y35 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y35 CLASS site SITEPROP DSP48_X0Y35 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y35 IS_BONDED 0 SITEPROP DSP48_X0Y35 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y35 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y35 IS_PAD 0 SITEPROP DSP48_X0Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y35 IS_RESERVED 0 SITEPROP DSP48_X0Y35 IS_TEST 0 SITEPROP DSP48_X0Y35 IS_USED 0 SITEPROP DSP48_X0Y35 MANUAL_ROUTING SITEPROP DSP48_X0Y35 NAME DSP48_X0Y35 SITEPROP DSP48_X0Y35 NUM_ARCS 36 SITEPROP DSP48_X0Y35 NUM_BELS 1 SITEPROP DSP48_X0Y35 NUM_INPUTS 263 SITEPROP DSP48_X0Y35 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y35 NUM_PINS 417 SITEPROP DSP48_X0Y35 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y35 PROHIBIT 0 SITEPROP DSP48_X0Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y35 RPM_X 45 SITEPROP DSP48_X0Y35 RPM_Y 175 SITEPROP DSP48_X0Y35 SITE_PIPS SITEPROP DSP48_X0Y35 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y36 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y36 CLASS site SITEPROP DSP48_X0Y36 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y36 IS_BONDED 0 SITEPROP DSP48_X0Y36 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y36 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y36 IS_PAD 0 SITEPROP DSP48_X0Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y36 IS_RESERVED 0 SITEPROP DSP48_X0Y36 IS_TEST 0 SITEPROP DSP48_X0Y36 IS_USED 0 SITEPROP DSP48_X0Y36 MANUAL_ROUTING SITEPROP DSP48_X0Y36 NAME DSP48_X0Y36 SITEPROP DSP48_X0Y36 NUM_ARCS 36 SITEPROP DSP48_X0Y36 NUM_BELS 1 SITEPROP DSP48_X0Y36 NUM_INPUTS 263 SITEPROP DSP48_X0Y36 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y36 NUM_PINS 417 SITEPROP DSP48_X0Y36 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y36 PROHIBIT 0 SITEPROP DSP48_X0Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y36 RPM_X 45 SITEPROP DSP48_X0Y36 RPM_Y 180 SITEPROP DSP48_X0Y36 SITE_PIPS SITEPROP DSP48_X0Y36 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y37 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y37 CLASS site SITEPROP DSP48_X0Y37 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y37 IS_BONDED 0 SITEPROP DSP48_X0Y37 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y37 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y37 IS_PAD 0 SITEPROP DSP48_X0Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y37 IS_RESERVED 0 SITEPROP DSP48_X0Y37 IS_TEST 0 SITEPROP DSP48_X0Y37 IS_USED 0 SITEPROP DSP48_X0Y37 MANUAL_ROUTING SITEPROP DSP48_X0Y37 NAME DSP48_X0Y37 SITEPROP DSP48_X0Y37 NUM_ARCS 36 SITEPROP DSP48_X0Y37 NUM_BELS 1 SITEPROP DSP48_X0Y37 NUM_INPUTS 263 SITEPROP DSP48_X0Y37 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y37 NUM_PINS 417 SITEPROP DSP48_X0Y37 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y37 PROHIBIT 0 SITEPROP DSP48_X0Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y37 RPM_X 45 SITEPROP DSP48_X0Y37 RPM_Y 185 SITEPROP DSP48_X0Y37 SITE_PIPS SITEPROP DSP48_X0Y37 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y38 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y38 CLASS site SITEPROP DSP48_X0Y38 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y38 IS_BONDED 0 SITEPROP DSP48_X0Y38 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y38 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y38 IS_PAD 0 SITEPROP DSP48_X0Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y38 IS_RESERVED 0 SITEPROP DSP48_X0Y38 IS_TEST 0 SITEPROP DSP48_X0Y38 IS_USED 0 SITEPROP DSP48_X0Y38 MANUAL_ROUTING SITEPROP DSP48_X0Y38 NAME DSP48_X0Y38 SITEPROP DSP48_X0Y38 NUM_ARCS 36 SITEPROP DSP48_X0Y38 NUM_BELS 1 SITEPROP DSP48_X0Y38 NUM_INPUTS 263 SITEPROP DSP48_X0Y38 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y38 NUM_PINS 417 SITEPROP DSP48_X0Y38 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y38 PROHIBIT 0 SITEPROP DSP48_X0Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y38 RPM_X 45 SITEPROP DSP48_X0Y38 RPM_Y 190 SITEPROP DSP48_X0Y38 SITE_PIPS SITEPROP DSP48_X0Y38 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y39 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y39 CLASS site SITEPROP DSP48_X0Y39 CLOCK_REGION X0Y1 SITEPROP DSP48_X0Y39 IS_BONDED 0 SITEPROP DSP48_X0Y39 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y39 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y39 IS_PAD 0 SITEPROP DSP48_X0Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y39 IS_RESERVED 0 SITEPROP DSP48_X0Y39 IS_TEST 0 SITEPROP DSP48_X0Y39 IS_USED 0 SITEPROP DSP48_X0Y39 MANUAL_ROUTING SITEPROP DSP48_X0Y39 NAME DSP48_X0Y39 SITEPROP DSP48_X0Y39 NUM_ARCS 36 SITEPROP DSP48_X0Y39 NUM_BELS 1 SITEPROP DSP48_X0Y39 NUM_INPUTS 263 SITEPROP DSP48_X0Y39 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y39 NUM_PINS 417 SITEPROP DSP48_X0Y39 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y39 PROHIBIT 0 SITEPROP DSP48_X0Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y39 RPM_X 45 SITEPROP DSP48_X0Y39 RPM_Y 195 SITEPROP DSP48_X0Y39 SITE_PIPS SITEPROP DSP48_X0Y39 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y40 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y40 CLASS site SITEPROP DSP48_X0Y40 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y40 IS_BONDED 0 SITEPROP DSP48_X0Y40 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y40 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y40 IS_PAD 0 SITEPROP DSP48_X0Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y40 IS_RESERVED 0 SITEPROP DSP48_X0Y40 IS_TEST 0 SITEPROP DSP48_X0Y40 IS_USED 0 SITEPROP DSP48_X0Y40 MANUAL_ROUTING SITEPROP DSP48_X0Y40 NAME DSP48_X0Y40 SITEPROP DSP48_X0Y40 NUM_ARCS 36 SITEPROP DSP48_X0Y40 NUM_BELS 1 SITEPROP DSP48_X0Y40 NUM_INPUTS 263 SITEPROP DSP48_X0Y40 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y40 NUM_PINS 417 SITEPROP DSP48_X0Y40 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y40 PROHIBIT 0 SITEPROP DSP48_X0Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y40 RPM_X 45 SITEPROP DSP48_X0Y40 RPM_Y 200 SITEPROP DSP48_X0Y40 SITE_PIPS SITEPROP DSP48_X0Y40 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y41 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y41 CLASS site SITEPROP DSP48_X0Y41 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y41 IS_BONDED 0 SITEPROP DSP48_X0Y41 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y41 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y41 IS_PAD 0 SITEPROP DSP48_X0Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y41 IS_RESERVED 0 SITEPROP DSP48_X0Y41 IS_TEST 0 SITEPROP DSP48_X0Y41 IS_USED 0 SITEPROP DSP48_X0Y41 MANUAL_ROUTING SITEPROP DSP48_X0Y41 NAME DSP48_X0Y41 SITEPROP DSP48_X0Y41 NUM_ARCS 36 SITEPROP DSP48_X0Y41 NUM_BELS 1 SITEPROP DSP48_X0Y41 NUM_INPUTS 263 SITEPROP DSP48_X0Y41 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y41 NUM_PINS 417 SITEPROP DSP48_X0Y41 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y41 PROHIBIT 0 SITEPROP DSP48_X0Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y41 RPM_X 45 SITEPROP DSP48_X0Y41 RPM_Y 205 SITEPROP DSP48_X0Y41 SITE_PIPS SITEPROP DSP48_X0Y41 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y42 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y42 CLASS site SITEPROP DSP48_X0Y42 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y42 IS_BONDED 0 SITEPROP DSP48_X0Y42 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y42 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y42 IS_PAD 0 SITEPROP DSP48_X0Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y42 IS_RESERVED 0 SITEPROP DSP48_X0Y42 IS_TEST 0 SITEPROP DSP48_X0Y42 IS_USED 0 SITEPROP DSP48_X0Y42 MANUAL_ROUTING SITEPROP DSP48_X0Y42 NAME DSP48_X0Y42 SITEPROP DSP48_X0Y42 NUM_ARCS 36 SITEPROP DSP48_X0Y42 NUM_BELS 1 SITEPROP DSP48_X0Y42 NUM_INPUTS 263 SITEPROP DSP48_X0Y42 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y42 NUM_PINS 417 SITEPROP DSP48_X0Y42 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y42 PROHIBIT 0 SITEPROP DSP48_X0Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y42 RPM_X 45 SITEPROP DSP48_X0Y42 RPM_Y 210 SITEPROP DSP48_X0Y42 SITE_PIPS SITEPROP DSP48_X0Y42 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y43 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y43 CLASS site SITEPROP DSP48_X0Y43 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y43 IS_BONDED 0 SITEPROP DSP48_X0Y43 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y43 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y43 IS_PAD 0 SITEPROP DSP48_X0Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y43 IS_RESERVED 0 SITEPROP DSP48_X0Y43 IS_TEST 0 SITEPROP DSP48_X0Y43 IS_USED 0 SITEPROP DSP48_X0Y43 MANUAL_ROUTING SITEPROP DSP48_X0Y43 NAME DSP48_X0Y43 SITEPROP DSP48_X0Y43 NUM_ARCS 36 SITEPROP DSP48_X0Y43 NUM_BELS 1 SITEPROP DSP48_X0Y43 NUM_INPUTS 263 SITEPROP DSP48_X0Y43 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y43 NUM_PINS 417 SITEPROP DSP48_X0Y43 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y43 PROHIBIT 0 SITEPROP DSP48_X0Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y43 RPM_X 45 SITEPROP DSP48_X0Y43 RPM_Y 215 SITEPROP DSP48_X0Y43 SITE_PIPS SITEPROP DSP48_X0Y43 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y44 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y44 CLASS site SITEPROP DSP48_X0Y44 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y44 IS_BONDED 0 SITEPROP DSP48_X0Y44 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y44 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y44 IS_PAD 0 SITEPROP DSP48_X0Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y44 IS_RESERVED 0 SITEPROP DSP48_X0Y44 IS_TEST 0 SITEPROP DSP48_X0Y44 IS_USED 0 SITEPROP DSP48_X0Y44 MANUAL_ROUTING SITEPROP DSP48_X0Y44 NAME DSP48_X0Y44 SITEPROP DSP48_X0Y44 NUM_ARCS 36 SITEPROP DSP48_X0Y44 NUM_BELS 1 SITEPROP DSP48_X0Y44 NUM_INPUTS 263 SITEPROP DSP48_X0Y44 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y44 NUM_PINS 417 SITEPROP DSP48_X0Y44 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y44 PROHIBIT 0 SITEPROP DSP48_X0Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y44 RPM_X 45 SITEPROP DSP48_X0Y44 RPM_Y 220 SITEPROP DSP48_X0Y44 SITE_PIPS SITEPROP DSP48_X0Y44 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y45 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y45 CLASS site SITEPROP DSP48_X0Y45 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y45 IS_BONDED 0 SITEPROP DSP48_X0Y45 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y45 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y45 IS_PAD 0 SITEPROP DSP48_X0Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y45 IS_RESERVED 0 SITEPROP DSP48_X0Y45 IS_TEST 0 SITEPROP DSP48_X0Y45 IS_USED 0 SITEPROP DSP48_X0Y45 MANUAL_ROUTING SITEPROP DSP48_X0Y45 NAME DSP48_X0Y45 SITEPROP DSP48_X0Y45 NUM_ARCS 36 SITEPROP DSP48_X0Y45 NUM_BELS 1 SITEPROP DSP48_X0Y45 NUM_INPUTS 263 SITEPROP DSP48_X0Y45 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y45 NUM_PINS 417 SITEPROP DSP48_X0Y45 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y45 PROHIBIT 0 SITEPROP DSP48_X0Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y45 RPM_X 45 SITEPROP DSP48_X0Y45 RPM_Y 225 SITEPROP DSP48_X0Y45 SITE_PIPS SITEPROP DSP48_X0Y45 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y46 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y46 CLASS site SITEPROP DSP48_X0Y46 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y46 IS_BONDED 0 SITEPROP DSP48_X0Y46 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y46 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y46 IS_PAD 0 SITEPROP DSP48_X0Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y46 IS_RESERVED 0 SITEPROP DSP48_X0Y46 IS_TEST 0 SITEPROP DSP48_X0Y46 IS_USED 0 SITEPROP DSP48_X0Y46 MANUAL_ROUTING SITEPROP DSP48_X0Y46 NAME DSP48_X0Y46 SITEPROP DSP48_X0Y46 NUM_ARCS 36 SITEPROP DSP48_X0Y46 NUM_BELS 1 SITEPROP DSP48_X0Y46 NUM_INPUTS 263 SITEPROP DSP48_X0Y46 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y46 NUM_PINS 417 SITEPROP DSP48_X0Y46 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y46 PROHIBIT 0 SITEPROP DSP48_X0Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y46 RPM_X 45 SITEPROP DSP48_X0Y46 RPM_Y 230 SITEPROP DSP48_X0Y46 SITE_PIPS SITEPROP DSP48_X0Y46 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y47 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y47 CLASS site SITEPROP DSP48_X0Y47 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y47 IS_BONDED 0 SITEPROP DSP48_X0Y47 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y47 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y47 IS_PAD 0 SITEPROP DSP48_X0Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y47 IS_RESERVED 0 SITEPROP DSP48_X0Y47 IS_TEST 0 SITEPROP DSP48_X0Y47 IS_USED 0 SITEPROP DSP48_X0Y47 MANUAL_ROUTING SITEPROP DSP48_X0Y47 NAME DSP48_X0Y47 SITEPROP DSP48_X0Y47 NUM_ARCS 36 SITEPROP DSP48_X0Y47 NUM_BELS 1 SITEPROP DSP48_X0Y47 NUM_INPUTS 263 SITEPROP DSP48_X0Y47 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y47 NUM_PINS 417 SITEPROP DSP48_X0Y47 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y47 PROHIBIT 0 SITEPROP DSP48_X0Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y47 RPM_X 45 SITEPROP DSP48_X0Y47 RPM_Y 235 SITEPROP DSP48_X0Y47 SITE_PIPS SITEPROP DSP48_X0Y47 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y48 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y48 CLASS site SITEPROP DSP48_X0Y48 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y48 IS_BONDED 0 SITEPROP DSP48_X0Y48 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y48 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y48 IS_PAD 0 SITEPROP DSP48_X0Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y48 IS_RESERVED 0 SITEPROP DSP48_X0Y48 IS_TEST 0 SITEPROP DSP48_X0Y48 IS_USED 0 SITEPROP DSP48_X0Y48 MANUAL_ROUTING SITEPROP DSP48_X0Y48 NAME DSP48_X0Y48 SITEPROP DSP48_X0Y48 NUM_ARCS 36 SITEPROP DSP48_X0Y48 NUM_BELS 1 SITEPROP DSP48_X0Y48 NUM_INPUTS 263 SITEPROP DSP48_X0Y48 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y48 NUM_PINS 417 SITEPROP DSP48_X0Y48 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y48 PROHIBIT 0 SITEPROP DSP48_X0Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y48 RPM_X 45 SITEPROP DSP48_X0Y48 RPM_Y 240 SITEPROP DSP48_X0Y48 SITE_PIPS SITEPROP DSP48_X0Y48 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y49 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y49 CLASS site SITEPROP DSP48_X0Y49 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y49 IS_BONDED 0 SITEPROP DSP48_X0Y49 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y49 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y49 IS_PAD 0 SITEPROP DSP48_X0Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y49 IS_RESERVED 0 SITEPROP DSP48_X0Y49 IS_TEST 0 SITEPROP DSP48_X0Y49 IS_USED 0 SITEPROP DSP48_X0Y49 MANUAL_ROUTING SITEPROP DSP48_X0Y49 NAME DSP48_X0Y49 SITEPROP DSP48_X0Y49 NUM_ARCS 36 SITEPROP DSP48_X0Y49 NUM_BELS 1 SITEPROP DSP48_X0Y49 NUM_INPUTS 263 SITEPROP DSP48_X0Y49 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y49 NUM_PINS 417 SITEPROP DSP48_X0Y49 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y49 PROHIBIT 0 SITEPROP DSP48_X0Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y49 RPM_X 45 SITEPROP DSP48_X0Y49 RPM_Y 245 SITEPROP DSP48_X0Y49 SITE_PIPS SITEPROP DSP48_X0Y49 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y50 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y50 CLASS site SITEPROP DSP48_X0Y50 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y50 IS_BONDED 0 SITEPROP DSP48_X0Y50 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y50 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y50 IS_PAD 0 SITEPROP DSP48_X0Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y50 IS_RESERVED 0 SITEPROP DSP48_X0Y50 IS_TEST 0 SITEPROP DSP48_X0Y50 IS_USED 0 SITEPROP DSP48_X0Y50 MANUAL_ROUTING SITEPROP DSP48_X0Y50 NAME DSP48_X0Y50 SITEPROP DSP48_X0Y50 NUM_ARCS 36 SITEPROP DSP48_X0Y50 NUM_BELS 1 SITEPROP DSP48_X0Y50 NUM_INPUTS 263 SITEPROP DSP48_X0Y50 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y50 NUM_PINS 417 SITEPROP DSP48_X0Y50 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y50 PROHIBIT 0 SITEPROP DSP48_X0Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y50 RPM_X 45 SITEPROP DSP48_X0Y50 RPM_Y 250 SITEPROP DSP48_X0Y50 SITE_PIPS SITEPROP DSP48_X0Y50 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y51 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y51 CLASS site SITEPROP DSP48_X0Y51 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y51 IS_BONDED 0 SITEPROP DSP48_X0Y51 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y51 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y51 IS_PAD 0 SITEPROP DSP48_X0Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y51 IS_RESERVED 0 SITEPROP DSP48_X0Y51 IS_TEST 0 SITEPROP DSP48_X0Y51 IS_USED 0 SITEPROP DSP48_X0Y51 MANUAL_ROUTING SITEPROP DSP48_X0Y51 NAME DSP48_X0Y51 SITEPROP DSP48_X0Y51 NUM_ARCS 36 SITEPROP DSP48_X0Y51 NUM_BELS 1 SITEPROP DSP48_X0Y51 NUM_INPUTS 263 SITEPROP DSP48_X0Y51 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y51 NUM_PINS 417 SITEPROP DSP48_X0Y51 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y51 PROHIBIT 0 SITEPROP DSP48_X0Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y51 RPM_X 45 SITEPROP DSP48_X0Y51 RPM_Y 255 SITEPROP DSP48_X0Y51 SITE_PIPS SITEPROP DSP48_X0Y51 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y52 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y52 CLASS site SITEPROP DSP48_X0Y52 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y52 IS_BONDED 0 SITEPROP DSP48_X0Y52 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y52 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y52 IS_PAD 0 SITEPROP DSP48_X0Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y52 IS_RESERVED 0 SITEPROP DSP48_X0Y52 IS_TEST 0 SITEPROP DSP48_X0Y52 IS_USED 0 SITEPROP DSP48_X0Y52 MANUAL_ROUTING SITEPROP DSP48_X0Y52 NAME DSP48_X0Y52 SITEPROP DSP48_X0Y52 NUM_ARCS 36 SITEPROP DSP48_X0Y52 NUM_BELS 1 SITEPROP DSP48_X0Y52 NUM_INPUTS 263 SITEPROP DSP48_X0Y52 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y52 NUM_PINS 417 SITEPROP DSP48_X0Y52 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y52 PROHIBIT 0 SITEPROP DSP48_X0Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y52 RPM_X 45 SITEPROP DSP48_X0Y52 RPM_Y 260 SITEPROP DSP48_X0Y52 SITE_PIPS SITEPROP DSP48_X0Y52 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y53 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y53 CLASS site SITEPROP DSP48_X0Y53 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y53 IS_BONDED 0 SITEPROP DSP48_X0Y53 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y53 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y53 IS_PAD 0 SITEPROP DSP48_X0Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y53 IS_RESERVED 0 SITEPROP DSP48_X0Y53 IS_TEST 0 SITEPROP DSP48_X0Y53 IS_USED 0 SITEPROP DSP48_X0Y53 MANUAL_ROUTING SITEPROP DSP48_X0Y53 NAME DSP48_X0Y53 SITEPROP DSP48_X0Y53 NUM_ARCS 36 SITEPROP DSP48_X0Y53 NUM_BELS 1 SITEPROP DSP48_X0Y53 NUM_INPUTS 263 SITEPROP DSP48_X0Y53 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y53 NUM_PINS 417 SITEPROP DSP48_X0Y53 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y53 PROHIBIT 0 SITEPROP DSP48_X0Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y53 RPM_X 45 SITEPROP DSP48_X0Y53 RPM_Y 265 SITEPROP DSP48_X0Y53 SITE_PIPS SITEPROP DSP48_X0Y53 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y54 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y54 CLASS site SITEPROP DSP48_X0Y54 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y54 IS_BONDED 0 SITEPROP DSP48_X0Y54 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y54 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y54 IS_PAD 0 SITEPROP DSP48_X0Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y54 IS_RESERVED 0 SITEPROP DSP48_X0Y54 IS_TEST 0 SITEPROP DSP48_X0Y54 IS_USED 0 SITEPROP DSP48_X0Y54 MANUAL_ROUTING SITEPROP DSP48_X0Y54 NAME DSP48_X0Y54 SITEPROP DSP48_X0Y54 NUM_ARCS 36 SITEPROP DSP48_X0Y54 NUM_BELS 1 SITEPROP DSP48_X0Y54 NUM_INPUTS 263 SITEPROP DSP48_X0Y54 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y54 NUM_PINS 417 SITEPROP DSP48_X0Y54 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y54 PROHIBIT 0 SITEPROP DSP48_X0Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y54 RPM_X 45 SITEPROP DSP48_X0Y54 RPM_Y 270 SITEPROP DSP48_X0Y54 SITE_PIPS SITEPROP DSP48_X0Y54 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y55 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y55 CLASS site SITEPROP DSP48_X0Y55 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y55 IS_BONDED 0 SITEPROP DSP48_X0Y55 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y55 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y55 IS_PAD 0 SITEPROP DSP48_X0Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y55 IS_RESERVED 0 SITEPROP DSP48_X0Y55 IS_TEST 0 SITEPROP DSP48_X0Y55 IS_USED 0 SITEPROP DSP48_X0Y55 MANUAL_ROUTING SITEPROP DSP48_X0Y55 NAME DSP48_X0Y55 SITEPROP DSP48_X0Y55 NUM_ARCS 36 SITEPROP DSP48_X0Y55 NUM_BELS 1 SITEPROP DSP48_X0Y55 NUM_INPUTS 263 SITEPROP DSP48_X0Y55 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y55 NUM_PINS 417 SITEPROP DSP48_X0Y55 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y55 PROHIBIT 0 SITEPROP DSP48_X0Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y55 RPM_X 45 SITEPROP DSP48_X0Y55 RPM_Y 275 SITEPROP DSP48_X0Y55 SITE_PIPS SITEPROP DSP48_X0Y55 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y56 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y56 CLASS site SITEPROP DSP48_X0Y56 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y56 IS_BONDED 0 SITEPROP DSP48_X0Y56 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y56 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y56 IS_PAD 0 SITEPROP DSP48_X0Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y56 IS_RESERVED 0 SITEPROP DSP48_X0Y56 IS_TEST 0 SITEPROP DSP48_X0Y56 IS_USED 0 SITEPROP DSP48_X0Y56 MANUAL_ROUTING SITEPROP DSP48_X0Y56 NAME DSP48_X0Y56 SITEPROP DSP48_X0Y56 NUM_ARCS 36 SITEPROP DSP48_X0Y56 NUM_BELS 1 SITEPROP DSP48_X0Y56 NUM_INPUTS 263 SITEPROP DSP48_X0Y56 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y56 NUM_PINS 417 SITEPROP DSP48_X0Y56 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y56 PROHIBIT 0 SITEPROP DSP48_X0Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y56 RPM_X 45 SITEPROP DSP48_X0Y56 RPM_Y 280 SITEPROP DSP48_X0Y56 SITE_PIPS SITEPROP DSP48_X0Y56 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y57 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y57 CLASS site SITEPROP DSP48_X0Y57 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y57 IS_BONDED 0 SITEPROP DSP48_X0Y57 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y57 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y57 IS_PAD 0 SITEPROP DSP48_X0Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y57 IS_RESERVED 0 SITEPROP DSP48_X0Y57 IS_TEST 0 SITEPROP DSP48_X0Y57 IS_USED 0 SITEPROP DSP48_X0Y57 MANUAL_ROUTING SITEPROP DSP48_X0Y57 NAME DSP48_X0Y57 SITEPROP DSP48_X0Y57 NUM_ARCS 36 SITEPROP DSP48_X0Y57 NUM_BELS 1 SITEPROP DSP48_X0Y57 NUM_INPUTS 263 SITEPROP DSP48_X0Y57 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y57 NUM_PINS 417 SITEPROP DSP48_X0Y57 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y57 PROHIBIT 0 SITEPROP DSP48_X0Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y57 RPM_X 45 SITEPROP DSP48_X0Y57 RPM_Y 285 SITEPROP DSP48_X0Y57 SITE_PIPS SITEPROP DSP48_X0Y57 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y58 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y58 CLASS site SITEPROP DSP48_X0Y58 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y58 IS_BONDED 0 SITEPROP DSP48_X0Y58 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y58 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y58 IS_PAD 0 SITEPROP DSP48_X0Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y58 IS_RESERVED 0 SITEPROP DSP48_X0Y58 IS_TEST 0 SITEPROP DSP48_X0Y58 IS_USED 0 SITEPROP DSP48_X0Y58 MANUAL_ROUTING SITEPROP DSP48_X0Y58 NAME DSP48_X0Y58 SITEPROP DSP48_X0Y58 NUM_ARCS 36 SITEPROP DSP48_X0Y58 NUM_BELS 1 SITEPROP DSP48_X0Y58 NUM_INPUTS 263 SITEPROP DSP48_X0Y58 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y58 NUM_PINS 417 SITEPROP DSP48_X0Y58 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y58 PROHIBIT 0 SITEPROP DSP48_X0Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y58 RPM_X 45 SITEPROP DSP48_X0Y58 RPM_Y 290 SITEPROP DSP48_X0Y58 SITE_PIPS SITEPROP DSP48_X0Y58 SITE_TYPE DSP48E1 SITEPROP DSP48_X0Y59 ALTERNATE_SITE_TYPES SITEPROP DSP48_X0Y59 CLASS site SITEPROP DSP48_X0Y59 CLOCK_REGION X0Y2 SITEPROP DSP48_X0Y59 IS_BONDED 0 SITEPROP DSP48_X0Y59 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y59 IS_CLOCK_PAD 0 SITEPROP DSP48_X0Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y59 IS_PAD 0 SITEPROP DSP48_X0Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X0Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X0Y59 IS_RESERVED 0 SITEPROP DSP48_X0Y59 IS_TEST 0 SITEPROP DSP48_X0Y59 IS_USED 0 SITEPROP DSP48_X0Y59 MANUAL_ROUTING SITEPROP DSP48_X0Y59 NAME DSP48_X0Y59 SITEPROP DSP48_X0Y59 NUM_ARCS 36 SITEPROP DSP48_X0Y59 NUM_BELS 1 SITEPROP DSP48_X0Y59 NUM_INPUTS 263 SITEPROP DSP48_X0Y59 NUM_OUTPUTS 154 SITEPROP DSP48_X0Y59 NUM_PINS 417 SITEPROP DSP48_X0Y59 PRIMITIVE_COUNT 0 SITEPROP DSP48_X0Y59 PROHIBIT 0 SITEPROP DSP48_X0Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X0Y59 RPM_X 45 SITEPROP DSP48_X0Y59 RPM_Y 295 SITEPROP DSP48_X0Y59 SITE_PIPS SITEPROP DSP48_X0Y59 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y0 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y0 CLASS site SITEPROP DSP48_X1Y0 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y0 IS_BONDED 0 SITEPROP DSP48_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y0 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y0 IS_PAD 0 SITEPROP DSP48_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y0 IS_RESERVED 0 SITEPROP DSP48_X1Y0 IS_TEST 0 SITEPROP DSP48_X1Y0 IS_USED 0 SITEPROP DSP48_X1Y0 MANUAL_ROUTING SITEPROP DSP48_X1Y0 NAME DSP48_X1Y0 SITEPROP DSP48_X1Y0 NUM_ARCS 36 SITEPROP DSP48_X1Y0 NUM_BELS 1 SITEPROP DSP48_X1Y0 NUM_INPUTS 263 SITEPROP DSP48_X1Y0 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y0 NUM_PINS 417 SITEPROP DSP48_X1Y0 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y0 PROHIBIT 0 SITEPROP DSP48_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y0 RPM_X 141 SITEPROP DSP48_X1Y0 RPM_Y 0 SITEPROP DSP48_X1Y0 SITE_PIPS SITEPROP DSP48_X1Y0 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y1 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y1 CLASS site SITEPROP DSP48_X1Y1 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y1 IS_BONDED 0 SITEPROP DSP48_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y1 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y1 IS_PAD 0 SITEPROP DSP48_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y1 IS_RESERVED 0 SITEPROP DSP48_X1Y1 IS_TEST 0 SITEPROP DSP48_X1Y1 IS_USED 0 SITEPROP DSP48_X1Y1 MANUAL_ROUTING SITEPROP DSP48_X1Y1 NAME DSP48_X1Y1 SITEPROP DSP48_X1Y1 NUM_ARCS 36 SITEPROP DSP48_X1Y1 NUM_BELS 1 SITEPROP DSP48_X1Y1 NUM_INPUTS 263 SITEPROP DSP48_X1Y1 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y1 NUM_PINS 417 SITEPROP DSP48_X1Y1 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y1 PROHIBIT 0 SITEPROP DSP48_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y1 RPM_X 141 SITEPROP DSP48_X1Y1 RPM_Y 5 SITEPROP DSP48_X1Y1 SITE_PIPS SITEPROP DSP48_X1Y1 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y2 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y2 CLASS site SITEPROP DSP48_X1Y2 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y2 IS_BONDED 0 SITEPROP DSP48_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y2 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y2 IS_PAD 0 SITEPROP DSP48_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y2 IS_RESERVED 0 SITEPROP DSP48_X1Y2 IS_TEST 0 SITEPROP DSP48_X1Y2 IS_USED 0 SITEPROP DSP48_X1Y2 MANUAL_ROUTING SITEPROP DSP48_X1Y2 NAME DSP48_X1Y2 SITEPROP DSP48_X1Y2 NUM_ARCS 36 SITEPROP DSP48_X1Y2 NUM_BELS 1 SITEPROP DSP48_X1Y2 NUM_INPUTS 263 SITEPROP DSP48_X1Y2 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y2 NUM_PINS 417 SITEPROP DSP48_X1Y2 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y2 PROHIBIT 0 SITEPROP DSP48_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y2 RPM_X 141 SITEPROP DSP48_X1Y2 RPM_Y 10 SITEPROP DSP48_X1Y2 SITE_PIPS SITEPROP DSP48_X1Y2 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y3 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y3 CLASS site SITEPROP DSP48_X1Y3 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y3 IS_BONDED 0 SITEPROP DSP48_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y3 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y3 IS_PAD 0 SITEPROP DSP48_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y3 IS_RESERVED 0 SITEPROP DSP48_X1Y3 IS_TEST 0 SITEPROP DSP48_X1Y3 IS_USED 0 SITEPROP DSP48_X1Y3 MANUAL_ROUTING SITEPROP DSP48_X1Y3 NAME DSP48_X1Y3 SITEPROP DSP48_X1Y3 NUM_ARCS 36 SITEPROP DSP48_X1Y3 NUM_BELS 1 SITEPROP DSP48_X1Y3 NUM_INPUTS 263 SITEPROP DSP48_X1Y3 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y3 NUM_PINS 417 SITEPROP DSP48_X1Y3 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y3 PROHIBIT 0 SITEPROP DSP48_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y3 RPM_X 141 SITEPROP DSP48_X1Y3 RPM_Y 15 SITEPROP DSP48_X1Y3 SITE_PIPS SITEPROP DSP48_X1Y3 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y4 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y4 CLASS site SITEPROP DSP48_X1Y4 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y4 IS_BONDED 0 SITEPROP DSP48_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y4 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y4 IS_PAD 0 SITEPROP DSP48_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y4 IS_RESERVED 0 SITEPROP DSP48_X1Y4 IS_TEST 0 SITEPROP DSP48_X1Y4 IS_USED 0 SITEPROP DSP48_X1Y4 MANUAL_ROUTING SITEPROP DSP48_X1Y4 NAME DSP48_X1Y4 SITEPROP DSP48_X1Y4 NUM_ARCS 36 SITEPROP DSP48_X1Y4 NUM_BELS 1 SITEPROP DSP48_X1Y4 NUM_INPUTS 263 SITEPROP DSP48_X1Y4 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y4 NUM_PINS 417 SITEPROP DSP48_X1Y4 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y4 PROHIBIT 0 SITEPROP DSP48_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y4 RPM_X 141 SITEPROP DSP48_X1Y4 RPM_Y 20 SITEPROP DSP48_X1Y4 SITE_PIPS SITEPROP DSP48_X1Y4 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y5 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y5 CLASS site SITEPROP DSP48_X1Y5 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y5 IS_BONDED 0 SITEPROP DSP48_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y5 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y5 IS_PAD 0 SITEPROP DSP48_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y5 IS_RESERVED 0 SITEPROP DSP48_X1Y5 IS_TEST 0 SITEPROP DSP48_X1Y5 IS_USED 0 SITEPROP DSP48_X1Y5 MANUAL_ROUTING SITEPROP DSP48_X1Y5 NAME DSP48_X1Y5 SITEPROP DSP48_X1Y5 NUM_ARCS 36 SITEPROP DSP48_X1Y5 NUM_BELS 1 SITEPROP DSP48_X1Y5 NUM_INPUTS 263 SITEPROP DSP48_X1Y5 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y5 NUM_PINS 417 SITEPROP DSP48_X1Y5 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y5 PROHIBIT 0 SITEPROP DSP48_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y5 RPM_X 141 SITEPROP DSP48_X1Y5 RPM_Y 25 SITEPROP DSP48_X1Y5 SITE_PIPS SITEPROP DSP48_X1Y5 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y6 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y6 CLASS site SITEPROP DSP48_X1Y6 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y6 IS_BONDED 0 SITEPROP DSP48_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y6 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y6 IS_PAD 0 SITEPROP DSP48_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y6 IS_RESERVED 0 SITEPROP DSP48_X1Y6 IS_TEST 0 SITEPROP DSP48_X1Y6 IS_USED 0 SITEPROP DSP48_X1Y6 MANUAL_ROUTING SITEPROP DSP48_X1Y6 NAME DSP48_X1Y6 SITEPROP DSP48_X1Y6 NUM_ARCS 36 SITEPROP DSP48_X1Y6 NUM_BELS 1 SITEPROP DSP48_X1Y6 NUM_INPUTS 263 SITEPROP DSP48_X1Y6 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y6 NUM_PINS 417 SITEPROP DSP48_X1Y6 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y6 PROHIBIT 0 SITEPROP DSP48_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y6 RPM_X 141 SITEPROP DSP48_X1Y6 RPM_Y 30 SITEPROP DSP48_X1Y6 SITE_PIPS SITEPROP DSP48_X1Y6 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y7 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y7 CLASS site SITEPROP DSP48_X1Y7 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y7 IS_BONDED 0 SITEPROP DSP48_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y7 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y7 IS_PAD 0 SITEPROP DSP48_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y7 IS_RESERVED 0 SITEPROP DSP48_X1Y7 IS_TEST 0 SITEPROP DSP48_X1Y7 IS_USED 0 SITEPROP DSP48_X1Y7 MANUAL_ROUTING SITEPROP DSP48_X1Y7 NAME DSP48_X1Y7 SITEPROP DSP48_X1Y7 NUM_ARCS 36 SITEPROP DSP48_X1Y7 NUM_BELS 1 SITEPROP DSP48_X1Y7 NUM_INPUTS 263 SITEPROP DSP48_X1Y7 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y7 NUM_PINS 417 SITEPROP DSP48_X1Y7 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y7 PROHIBIT 0 SITEPROP DSP48_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y7 RPM_X 141 SITEPROP DSP48_X1Y7 RPM_Y 35 SITEPROP DSP48_X1Y7 SITE_PIPS SITEPROP DSP48_X1Y7 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y8 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y8 CLASS site SITEPROP DSP48_X1Y8 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y8 IS_BONDED 0 SITEPROP DSP48_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y8 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y8 IS_PAD 0 SITEPROP DSP48_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y8 IS_RESERVED 0 SITEPROP DSP48_X1Y8 IS_TEST 0 SITEPROP DSP48_X1Y8 IS_USED 0 SITEPROP DSP48_X1Y8 MANUAL_ROUTING SITEPROP DSP48_X1Y8 NAME DSP48_X1Y8 SITEPROP DSP48_X1Y8 NUM_ARCS 36 SITEPROP DSP48_X1Y8 NUM_BELS 1 SITEPROP DSP48_X1Y8 NUM_INPUTS 263 SITEPROP DSP48_X1Y8 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y8 NUM_PINS 417 SITEPROP DSP48_X1Y8 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y8 PROHIBIT 0 SITEPROP DSP48_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y8 RPM_X 141 SITEPROP DSP48_X1Y8 RPM_Y 40 SITEPROP DSP48_X1Y8 SITE_PIPS SITEPROP DSP48_X1Y8 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y9 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y9 CLASS site SITEPROP DSP48_X1Y9 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y9 IS_BONDED 0 SITEPROP DSP48_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y9 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y9 IS_PAD 0 SITEPROP DSP48_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y9 IS_RESERVED 0 SITEPROP DSP48_X1Y9 IS_TEST 0 SITEPROP DSP48_X1Y9 IS_USED 0 SITEPROP DSP48_X1Y9 MANUAL_ROUTING SITEPROP DSP48_X1Y9 NAME DSP48_X1Y9 SITEPROP DSP48_X1Y9 NUM_ARCS 36 SITEPROP DSP48_X1Y9 NUM_BELS 1 SITEPROP DSP48_X1Y9 NUM_INPUTS 263 SITEPROP DSP48_X1Y9 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y9 NUM_PINS 417 SITEPROP DSP48_X1Y9 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y9 PROHIBIT 0 SITEPROP DSP48_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y9 RPM_X 141 SITEPROP DSP48_X1Y9 RPM_Y 45 SITEPROP DSP48_X1Y9 SITE_PIPS SITEPROP DSP48_X1Y9 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y10 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y10 CLASS site SITEPROP DSP48_X1Y10 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y10 IS_BONDED 0 SITEPROP DSP48_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y10 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y10 IS_PAD 0 SITEPROP DSP48_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y10 IS_RESERVED 0 SITEPROP DSP48_X1Y10 IS_TEST 0 SITEPROP DSP48_X1Y10 IS_USED 0 SITEPROP DSP48_X1Y10 MANUAL_ROUTING SITEPROP DSP48_X1Y10 NAME DSP48_X1Y10 SITEPROP DSP48_X1Y10 NUM_ARCS 36 SITEPROP DSP48_X1Y10 NUM_BELS 1 SITEPROP DSP48_X1Y10 NUM_INPUTS 263 SITEPROP DSP48_X1Y10 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y10 NUM_PINS 417 SITEPROP DSP48_X1Y10 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y10 PROHIBIT 0 SITEPROP DSP48_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y10 RPM_X 141 SITEPROP DSP48_X1Y10 RPM_Y 50 SITEPROP DSP48_X1Y10 SITE_PIPS SITEPROP DSP48_X1Y10 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y11 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y11 CLASS site SITEPROP DSP48_X1Y11 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y11 IS_BONDED 0 SITEPROP DSP48_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y11 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y11 IS_PAD 0 SITEPROP DSP48_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y11 IS_RESERVED 0 SITEPROP DSP48_X1Y11 IS_TEST 0 SITEPROP DSP48_X1Y11 IS_USED 0 SITEPROP DSP48_X1Y11 MANUAL_ROUTING SITEPROP DSP48_X1Y11 NAME DSP48_X1Y11 SITEPROP DSP48_X1Y11 NUM_ARCS 36 SITEPROP DSP48_X1Y11 NUM_BELS 1 SITEPROP DSP48_X1Y11 NUM_INPUTS 263 SITEPROP DSP48_X1Y11 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y11 NUM_PINS 417 SITEPROP DSP48_X1Y11 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y11 PROHIBIT 0 SITEPROP DSP48_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y11 RPM_X 141 SITEPROP DSP48_X1Y11 RPM_Y 55 SITEPROP DSP48_X1Y11 SITE_PIPS SITEPROP DSP48_X1Y11 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y12 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y12 CLASS site SITEPROP DSP48_X1Y12 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y12 IS_BONDED 0 SITEPROP DSP48_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y12 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y12 IS_PAD 0 SITEPROP DSP48_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y12 IS_RESERVED 0 SITEPROP DSP48_X1Y12 IS_TEST 0 SITEPROP DSP48_X1Y12 IS_USED 0 SITEPROP DSP48_X1Y12 MANUAL_ROUTING SITEPROP DSP48_X1Y12 NAME DSP48_X1Y12 SITEPROP DSP48_X1Y12 NUM_ARCS 36 SITEPROP DSP48_X1Y12 NUM_BELS 1 SITEPROP DSP48_X1Y12 NUM_INPUTS 263 SITEPROP DSP48_X1Y12 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y12 NUM_PINS 417 SITEPROP DSP48_X1Y12 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y12 PROHIBIT 0 SITEPROP DSP48_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y12 RPM_X 141 SITEPROP DSP48_X1Y12 RPM_Y 60 SITEPROP DSP48_X1Y12 SITE_PIPS SITEPROP DSP48_X1Y12 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y13 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y13 CLASS site SITEPROP DSP48_X1Y13 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y13 IS_BONDED 0 SITEPROP DSP48_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y13 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y13 IS_PAD 0 SITEPROP DSP48_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y13 IS_RESERVED 0 SITEPROP DSP48_X1Y13 IS_TEST 0 SITEPROP DSP48_X1Y13 IS_USED 0 SITEPROP DSP48_X1Y13 MANUAL_ROUTING SITEPROP DSP48_X1Y13 NAME DSP48_X1Y13 SITEPROP DSP48_X1Y13 NUM_ARCS 36 SITEPROP DSP48_X1Y13 NUM_BELS 1 SITEPROP DSP48_X1Y13 NUM_INPUTS 263 SITEPROP DSP48_X1Y13 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y13 NUM_PINS 417 SITEPROP DSP48_X1Y13 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y13 PROHIBIT 0 SITEPROP DSP48_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y13 RPM_X 141 SITEPROP DSP48_X1Y13 RPM_Y 65 SITEPROP DSP48_X1Y13 SITE_PIPS SITEPROP DSP48_X1Y13 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y14 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y14 CLASS site SITEPROP DSP48_X1Y14 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y14 IS_BONDED 0 SITEPROP DSP48_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y14 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y14 IS_PAD 0 SITEPROP DSP48_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y14 IS_RESERVED 0 SITEPROP DSP48_X1Y14 IS_TEST 0 SITEPROP DSP48_X1Y14 IS_USED 0 SITEPROP DSP48_X1Y14 MANUAL_ROUTING SITEPROP DSP48_X1Y14 NAME DSP48_X1Y14 SITEPROP DSP48_X1Y14 NUM_ARCS 36 SITEPROP DSP48_X1Y14 NUM_BELS 1 SITEPROP DSP48_X1Y14 NUM_INPUTS 263 SITEPROP DSP48_X1Y14 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y14 NUM_PINS 417 SITEPROP DSP48_X1Y14 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y14 PROHIBIT 0 SITEPROP DSP48_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y14 RPM_X 141 SITEPROP DSP48_X1Y14 RPM_Y 70 SITEPROP DSP48_X1Y14 SITE_PIPS SITEPROP DSP48_X1Y14 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y15 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y15 CLASS site SITEPROP DSP48_X1Y15 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y15 IS_BONDED 0 SITEPROP DSP48_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y15 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y15 IS_PAD 0 SITEPROP DSP48_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y15 IS_RESERVED 0 SITEPROP DSP48_X1Y15 IS_TEST 0 SITEPROP DSP48_X1Y15 IS_USED 0 SITEPROP DSP48_X1Y15 MANUAL_ROUTING SITEPROP DSP48_X1Y15 NAME DSP48_X1Y15 SITEPROP DSP48_X1Y15 NUM_ARCS 36 SITEPROP DSP48_X1Y15 NUM_BELS 1 SITEPROP DSP48_X1Y15 NUM_INPUTS 263 SITEPROP DSP48_X1Y15 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y15 NUM_PINS 417 SITEPROP DSP48_X1Y15 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y15 PROHIBIT 0 SITEPROP DSP48_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y15 RPM_X 141 SITEPROP DSP48_X1Y15 RPM_Y 75 SITEPROP DSP48_X1Y15 SITE_PIPS SITEPROP DSP48_X1Y15 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y16 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y16 CLASS site SITEPROP DSP48_X1Y16 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y16 IS_BONDED 0 SITEPROP DSP48_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y16 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y16 IS_PAD 0 SITEPROP DSP48_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y16 IS_RESERVED 0 SITEPROP DSP48_X1Y16 IS_TEST 0 SITEPROP DSP48_X1Y16 IS_USED 0 SITEPROP DSP48_X1Y16 MANUAL_ROUTING SITEPROP DSP48_X1Y16 NAME DSP48_X1Y16 SITEPROP DSP48_X1Y16 NUM_ARCS 36 SITEPROP DSP48_X1Y16 NUM_BELS 1 SITEPROP DSP48_X1Y16 NUM_INPUTS 263 SITEPROP DSP48_X1Y16 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y16 NUM_PINS 417 SITEPROP DSP48_X1Y16 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y16 PROHIBIT 0 SITEPROP DSP48_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y16 RPM_X 141 SITEPROP DSP48_X1Y16 RPM_Y 80 SITEPROP DSP48_X1Y16 SITE_PIPS SITEPROP DSP48_X1Y16 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y17 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y17 CLASS site SITEPROP DSP48_X1Y17 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y17 IS_BONDED 0 SITEPROP DSP48_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y17 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y17 IS_PAD 0 SITEPROP DSP48_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y17 IS_RESERVED 0 SITEPROP DSP48_X1Y17 IS_TEST 0 SITEPROP DSP48_X1Y17 IS_USED 0 SITEPROP DSP48_X1Y17 MANUAL_ROUTING SITEPROP DSP48_X1Y17 NAME DSP48_X1Y17 SITEPROP DSP48_X1Y17 NUM_ARCS 36 SITEPROP DSP48_X1Y17 NUM_BELS 1 SITEPROP DSP48_X1Y17 NUM_INPUTS 263 SITEPROP DSP48_X1Y17 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y17 NUM_PINS 417 SITEPROP DSP48_X1Y17 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y17 PROHIBIT 0 SITEPROP DSP48_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y17 RPM_X 141 SITEPROP DSP48_X1Y17 RPM_Y 85 SITEPROP DSP48_X1Y17 SITE_PIPS SITEPROP DSP48_X1Y17 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y18 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y18 CLASS site SITEPROP DSP48_X1Y18 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y18 IS_BONDED 0 SITEPROP DSP48_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y18 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y18 IS_PAD 0 SITEPROP DSP48_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y18 IS_RESERVED 0 SITEPROP DSP48_X1Y18 IS_TEST 0 SITEPROP DSP48_X1Y18 IS_USED 0 SITEPROP DSP48_X1Y18 MANUAL_ROUTING SITEPROP DSP48_X1Y18 NAME DSP48_X1Y18 SITEPROP DSP48_X1Y18 NUM_ARCS 36 SITEPROP DSP48_X1Y18 NUM_BELS 1 SITEPROP DSP48_X1Y18 NUM_INPUTS 263 SITEPROP DSP48_X1Y18 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y18 NUM_PINS 417 SITEPROP DSP48_X1Y18 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y18 PROHIBIT 0 SITEPROP DSP48_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y18 RPM_X 141 SITEPROP DSP48_X1Y18 RPM_Y 90 SITEPROP DSP48_X1Y18 SITE_PIPS SITEPROP DSP48_X1Y18 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y19 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y19 CLASS site SITEPROP DSP48_X1Y19 CLOCK_REGION X1Y0 SITEPROP DSP48_X1Y19 IS_BONDED 0 SITEPROP DSP48_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y19 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y19 IS_PAD 0 SITEPROP DSP48_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y19 IS_RESERVED 0 SITEPROP DSP48_X1Y19 IS_TEST 0 SITEPROP DSP48_X1Y19 IS_USED 0 SITEPROP DSP48_X1Y19 MANUAL_ROUTING SITEPROP DSP48_X1Y19 NAME DSP48_X1Y19 SITEPROP DSP48_X1Y19 NUM_ARCS 36 SITEPROP DSP48_X1Y19 NUM_BELS 1 SITEPROP DSP48_X1Y19 NUM_INPUTS 263 SITEPROP DSP48_X1Y19 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y19 NUM_PINS 417 SITEPROP DSP48_X1Y19 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y19 PROHIBIT 0 SITEPROP DSP48_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y19 RPM_X 141 SITEPROP DSP48_X1Y19 RPM_Y 95 SITEPROP DSP48_X1Y19 SITE_PIPS SITEPROP DSP48_X1Y19 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y20 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y20 CLASS site SITEPROP DSP48_X1Y20 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y20 IS_BONDED 0 SITEPROP DSP48_X1Y20 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y20 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y20 IS_PAD 0 SITEPROP DSP48_X1Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y20 IS_RESERVED 0 SITEPROP DSP48_X1Y20 IS_TEST 0 SITEPROP DSP48_X1Y20 IS_USED 0 SITEPROP DSP48_X1Y20 MANUAL_ROUTING SITEPROP DSP48_X1Y20 NAME DSP48_X1Y20 SITEPROP DSP48_X1Y20 NUM_ARCS 36 SITEPROP DSP48_X1Y20 NUM_BELS 1 SITEPROP DSP48_X1Y20 NUM_INPUTS 263 SITEPROP DSP48_X1Y20 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y20 NUM_PINS 417 SITEPROP DSP48_X1Y20 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y20 PROHIBIT 0 SITEPROP DSP48_X1Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y20 RPM_X 141 SITEPROP DSP48_X1Y20 RPM_Y 100 SITEPROP DSP48_X1Y20 SITE_PIPS SITEPROP DSP48_X1Y20 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y21 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y21 CLASS site SITEPROP DSP48_X1Y21 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y21 IS_BONDED 0 SITEPROP DSP48_X1Y21 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y21 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y21 IS_PAD 0 SITEPROP DSP48_X1Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y21 IS_RESERVED 0 SITEPROP DSP48_X1Y21 IS_TEST 0 SITEPROP DSP48_X1Y21 IS_USED 0 SITEPROP DSP48_X1Y21 MANUAL_ROUTING SITEPROP DSP48_X1Y21 NAME DSP48_X1Y21 SITEPROP DSP48_X1Y21 NUM_ARCS 36 SITEPROP DSP48_X1Y21 NUM_BELS 1 SITEPROP DSP48_X1Y21 NUM_INPUTS 263 SITEPROP DSP48_X1Y21 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y21 NUM_PINS 417 SITEPROP DSP48_X1Y21 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y21 PROHIBIT 0 SITEPROP DSP48_X1Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y21 RPM_X 141 SITEPROP DSP48_X1Y21 RPM_Y 105 SITEPROP DSP48_X1Y21 SITE_PIPS SITEPROP DSP48_X1Y21 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y22 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y22 CLASS site SITEPROP DSP48_X1Y22 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y22 IS_BONDED 0 SITEPROP DSP48_X1Y22 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y22 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y22 IS_PAD 0 SITEPROP DSP48_X1Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y22 IS_RESERVED 0 SITEPROP DSP48_X1Y22 IS_TEST 0 SITEPROP DSP48_X1Y22 IS_USED 0 SITEPROP DSP48_X1Y22 MANUAL_ROUTING SITEPROP DSP48_X1Y22 NAME DSP48_X1Y22 SITEPROP DSP48_X1Y22 NUM_ARCS 36 SITEPROP DSP48_X1Y22 NUM_BELS 1 SITEPROP DSP48_X1Y22 NUM_INPUTS 263 SITEPROP DSP48_X1Y22 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y22 NUM_PINS 417 SITEPROP DSP48_X1Y22 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y22 PROHIBIT 0 SITEPROP DSP48_X1Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y22 RPM_X 141 SITEPROP DSP48_X1Y22 RPM_Y 110 SITEPROP DSP48_X1Y22 SITE_PIPS SITEPROP DSP48_X1Y22 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y23 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y23 CLASS site SITEPROP DSP48_X1Y23 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y23 IS_BONDED 0 SITEPROP DSP48_X1Y23 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y23 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y23 IS_PAD 0 SITEPROP DSP48_X1Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y23 IS_RESERVED 0 SITEPROP DSP48_X1Y23 IS_TEST 0 SITEPROP DSP48_X1Y23 IS_USED 0 SITEPROP DSP48_X1Y23 MANUAL_ROUTING SITEPROP DSP48_X1Y23 NAME DSP48_X1Y23 SITEPROP DSP48_X1Y23 NUM_ARCS 36 SITEPROP DSP48_X1Y23 NUM_BELS 1 SITEPROP DSP48_X1Y23 NUM_INPUTS 263 SITEPROP DSP48_X1Y23 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y23 NUM_PINS 417 SITEPROP DSP48_X1Y23 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y23 PROHIBIT 0 SITEPROP DSP48_X1Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y23 RPM_X 141 SITEPROP DSP48_X1Y23 RPM_Y 115 SITEPROP DSP48_X1Y23 SITE_PIPS SITEPROP DSP48_X1Y23 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y24 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y24 CLASS site SITEPROP DSP48_X1Y24 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y24 IS_BONDED 0 SITEPROP DSP48_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y24 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y24 IS_PAD 0 SITEPROP DSP48_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y24 IS_RESERVED 0 SITEPROP DSP48_X1Y24 IS_TEST 0 SITEPROP DSP48_X1Y24 IS_USED 0 SITEPROP DSP48_X1Y24 MANUAL_ROUTING SITEPROP DSP48_X1Y24 NAME DSP48_X1Y24 SITEPROP DSP48_X1Y24 NUM_ARCS 36 SITEPROP DSP48_X1Y24 NUM_BELS 1 SITEPROP DSP48_X1Y24 NUM_INPUTS 263 SITEPROP DSP48_X1Y24 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y24 NUM_PINS 417 SITEPROP DSP48_X1Y24 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y24 PROHIBIT 0 SITEPROP DSP48_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y24 RPM_X 141 SITEPROP DSP48_X1Y24 RPM_Y 120 SITEPROP DSP48_X1Y24 SITE_PIPS SITEPROP DSP48_X1Y24 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y25 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y25 CLASS site SITEPROP DSP48_X1Y25 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y25 IS_BONDED 0 SITEPROP DSP48_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y25 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y25 IS_PAD 0 SITEPROP DSP48_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y25 IS_RESERVED 0 SITEPROP DSP48_X1Y25 IS_TEST 0 SITEPROP DSP48_X1Y25 IS_USED 0 SITEPROP DSP48_X1Y25 MANUAL_ROUTING SITEPROP DSP48_X1Y25 NAME DSP48_X1Y25 SITEPROP DSP48_X1Y25 NUM_ARCS 36 SITEPROP DSP48_X1Y25 NUM_BELS 1 SITEPROP DSP48_X1Y25 NUM_INPUTS 263 SITEPROP DSP48_X1Y25 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y25 NUM_PINS 417 SITEPROP DSP48_X1Y25 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y25 PROHIBIT 0 SITEPROP DSP48_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y25 RPM_X 141 SITEPROP DSP48_X1Y25 RPM_Y 125 SITEPROP DSP48_X1Y25 SITE_PIPS SITEPROP DSP48_X1Y25 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y26 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y26 CLASS site SITEPROP DSP48_X1Y26 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y26 IS_BONDED 0 SITEPROP DSP48_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y26 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y26 IS_PAD 0 SITEPROP DSP48_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y26 IS_RESERVED 0 SITEPROP DSP48_X1Y26 IS_TEST 0 SITEPROP DSP48_X1Y26 IS_USED 0 SITEPROP DSP48_X1Y26 MANUAL_ROUTING SITEPROP DSP48_X1Y26 NAME DSP48_X1Y26 SITEPROP DSP48_X1Y26 NUM_ARCS 36 SITEPROP DSP48_X1Y26 NUM_BELS 1 SITEPROP DSP48_X1Y26 NUM_INPUTS 263 SITEPROP DSP48_X1Y26 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y26 NUM_PINS 417 SITEPROP DSP48_X1Y26 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y26 PROHIBIT 0 SITEPROP DSP48_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y26 RPM_X 141 SITEPROP DSP48_X1Y26 RPM_Y 130 SITEPROP DSP48_X1Y26 SITE_PIPS SITEPROP DSP48_X1Y26 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y27 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y27 CLASS site SITEPROP DSP48_X1Y27 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y27 IS_BONDED 0 SITEPROP DSP48_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y27 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y27 IS_PAD 0 SITEPROP DSP48_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y27 IS_RESERVED 0 SITEPROP DSP48_X1Y27 IS_TEST 0 SITEPROP DSP48_X1Y27 IS_USED 0 SITEPROP DSP48_X1Y27 MANUAL_ROUTING SITEPROP DSP48_X1Y27 NAME DSP48_X1Y27 SITEPROP DSP48_X1Y27 NUM_ARCS 36 SITEPROP DSP48_X1Y27 NUM_BELS 1 SITEPROP DSP48_X1Y27 NUM_INPUTS 263 SITEPROP DSP48_X1Y27 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y27 NUM_PINS 417 SITEPROP DSP48_X1Y27 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y27 PROHIBIT 0 SITEPROP DSP48_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y27 RPM_X 141 SITEPROP DSP48_X1Y27 RPM_Y 135 SITEPROP DSP48_X1Y27 SITE_PIPS SITEPROP DSP48_X1Y27 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y28 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y28 CLASS site SITEPROP DSP48_X1Y28 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y28 IS_BONDED 0 SITEPROP DSP48_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y28 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y28 IS_PAD 0 SITEPROP DSP48_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y28 IS_RESERVED 0 SITEPROP DSP48_X1Y28 IS_TEST 0 SITEPROP DSP48_X1Y28 IS_USED 0 SITEPROP DSP48_X1Y28 MANUAL_ROUTING SITEPROP DSP48_X1Y28 NAME DSP48_X1Y28 SITEPROP DSP48_X1Y28 NUM_ARCS 36 SITEPROP DSP48_X1Y28 NUM_BELS 1 SITEPROP DSP48_X1Y28 NUM_INPUTS 263 SITEPROP DSP48_X1Y28 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y28 NUM_PINS 417 SITEPROP DSP48_X1Y28 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y28 PROHIBIT 0 SITEPROP DSP48_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y28 RPM_X 141 SITEPROP DSP48_X1Y28 RPM_Y 140 SITEPROP DSP48_X1Y28 SITE_PIPS SITEPROP DSP48_X1Y28 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y29 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y29 CLASS site SITEPROP DSP48_X1Y29 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y29 IS_BONDED 0 SITEPROP DSP48_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y29 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y29 IS_PAD 0 SITEPROP DSP48_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y29 IS_RESERVED 0 SITEPROP DSP48_X1Y29 IS_TEST 0 SITEPROP DSP48_X1Y29 IS_USED 0 SITEPROP DSP48_X1Y29 MANUAL_ROUTING SITEPROP DSP48_X1Y29 NAME DSP48_X1Y29 SITEPROP DSP48_X1Y29 NUM_ARCS 36 SITEPROP DSP48_X1Y29 NUM_BELS 1 SITEPROP DSP48_X1Y29 NUM_INPUTS 263 SITEPROP DSP48_X1Y29 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y29 NUM_PINS 417 SITEPROP DSP48_X1Y29 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y29 PROHIBIT 0 SITEPROP DSP48_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y29 RPM_X 141 SITEPROP DSP48_X1Y29 RPM_Y 145 SITEPROP DSP48_X1Y29 SITE_PIPS SITEPROP DSP48_X1Y29 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y30 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y30 CLASS site SITEPROP DSP48_X1Y30 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y30 IS_BONDED 0 SITEPROP DSP48_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y30 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y30 IS_PAD 0 SITEPROP DSP48_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y30 IS_RESERVED 0 SITEPROP DSP48_X1Y30 IS_TEST 0 SITEPROP DSP48_X1Y30 IS_USED 0 SITEPROP DSP48_X1Y30 MANUAL_ROUTING SITEPROP DSP48_X1Y30 NAME DSP48_X1Y30 SITEPROP DSP48_X1Y30 NUM_ARCS 36 SITEPROP DSP48_X1Y30 NUM_BELS 1 SITEPROP DSP48_X1Y30 NUM_INPUTS 263 SITEPROP DSP48_X1Y30 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y30 NUM_PINS 417 SITEPROP DSP48_X1Y30 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y30 PROHIBIT 0 SITEPROP DSP48_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y30 RPM_X 141 SITEPROP DSP48_X1Y30 RPM_Y 150 SITEPROP DSP48_X1Y30 SITE_PIPS SITEPROP DSP48_X1Y30 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y31 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y31 CLASS site SITEPROP DSP48_X1Y31 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y31 IS_BONDED 0 SITEPROP DSP48_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y31 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y31 IS_PAD 0 SITEPROP DSP48_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y31 IS_RESERVED 0 SITEPROP DSP48_X1Y31 IS_TEST 0 SITEPROP DSP48_X1Y31 IS_USED 0 SITEPROP DSP48_X1Y31 MANUAL_ROUTING SITEPROP DSP48_X1Y31 NAME DSP48_X1Y31 SITEPROP DSP48_X1Y31 NUM_ARCS 36 SITEPROP DSP48_X1Y31 NUM_BELS 1 SITEPROP DSP48_X1Y31 NUM_INPUTS 263 SITEPROP DSP48_X1Y31 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y31 NUM_PINS 417 SITEPROP DSP48_X1Y31 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y31 PROHIBIT 0 SITEPROP DSP48_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y31 RPM_X 141 SITEPROP DSP48_X1Y31 RPM_Y 155 SITEPROP DSP48_X1Y31 SITE_PIPS SITEPROP DSP48_X1Y31 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y32 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y32 CLASS site SITEPROP DSP48_X1Y32 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y32 IS_BONDED 0 SITEPROP DSP48_X1Y32 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y32 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y32 IS_PAD 0 SITEPROP DSP48_X1Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y32 IS_RESERVED 0 SITEPROP DSP48_X1Y32 IS_TEST 0 SITEPROP DSP48_X1Y32 IS_USED 0 SITEPROP DSP48_X1Y32 MANUAL_ROUTING SITEPROP DSP48_X1Y32 NAME DSP48_X1Y32 SITEPROP DSP48_X1Y32 NUM_ARCS 36 SITEPROP DSP48_X1Y32 NUM_BELS 1 SITEPROP DSP48_X1Y32 NUM_INPUTS 263 SITEPROP DSP48_X1Y32 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y32 NUM_PINS 417 SITEPROP DSP48_X1Y32 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y32 PROHIBIT 0 SITEPROP DSP48_X1Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y32 RPM_X 141 SITEPROP DSP48_X1Y32 RPM_Y 160 SITEPROP DSP48_X1Y32 SITE_PIPS SITEPROP DSP48_X1Y32 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y33 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y33 CLASS site SITEPROP DSP48_X1Y33 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y33 IS_BONDED 0 SITEPROP DSP48_X1Y33 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y33 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y33 IS_PAD 0 SITEPROP DSP48_X1Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y33 IS_RESERVED 0 SITEPROP DSP48_X1Y33 IS_TEST 0 SITEPROP DSP48_X1Y33 IS_USED 0 SITEPROP DSP48_X1Y33 MANUAL_ROUTING SITEPROP DSP48_X1Y33 NAME DSP48_X1Y33 SITEPROP DSP48_X1Y33 NUM_ARCS 36 SITEPROP DSP48_X1Y33 NUM_BELS 1 SITEPROP DSP48_X1Y33 NUM_INPUTS 263 SITEPROP DSP48_X1Y33 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y33 NUM_PINS 417 SITEPROP DSP48_X1Y33 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y33 PROHIBIT 0 SITEPROP DSP48_X1Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y33 RPM_X 141 SITEPROP DSP48_X1Y33 RPM_Y 165 SITEPROP DSP48_X1Y33 SITE_PIPS SITEPROP DSP48_X1Y33 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y34 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y34 CLASS site SITEPROP DSP48_X1Y34 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y34 IS_BONDED 0 SITEPROP DSP48_X1Y34 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y34 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y34 IS_PAD 0 SITEPROP DSP48_X1Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y34 IS_RESERVED 0 SITEPROP DSP48_X1Y34 IS_TEST 0 SITEPROP DSP48_X1Y34 IS_USED 0 SITEPROP DSP48_X1Y34 MANUAL_ROUTING SITEPROP DSP48_X1Y34 NAME DSP48_X1Y34 SITEPROP DSP48_X1Y34 NUM_ARCS 36 SITEPROP DSP48_X1Y34 NUM_BELS 1 SITEPROP DSP48_X1Y34 NUM_INPUTS 263 SITEPROP DSP48_X1Y34 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y34 NUM_PINS 417 SITEPROP DSP48_X1Y34 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y34 PROHIBIT 0 SITEPROP DSP48_X1Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y34 RPM_X 141 SITEPROP DSP48_X1Y34 RPM_Y 170 SITEPROP DSP48_X1Y34 SITE_PIPS SITEPROP DSP48_X1Y34 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y35 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y35 CLASS site SITEPROP DSP48_X1Y35 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y35 IS_BONDED 0 SITEPROP DSP48_X1Y35 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y35 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y35 IS_PAD 0 SITEPROP DSP48_X1Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y35 IS_RESERVED 0 SITEPROP DSP48_X1Y35 IS_TEST 0 SITEPROP DSP48_X1Y35 IS_USED 0 SITEPROP DSP48_X1Y35 MANUAL_ROUTING SITEPROP DSP48_X1Y35 NAME DSP48_X1Y35 SITEPROP DSP48_X1Y35 NUM_ARCS 36 SITEPROP DSP48_X1Y35 NUM_BELS 1 SITEPROP DSP48_X1Y35 NUM_INPUTS 263 SITEPROP DSP48_X1Y35 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y35 NUM_PINS 417 SITEPROP DSP48_X1Y35 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y35 PROHIBIT 0 SITEPROP DSP48_X1Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y35 RPM_X 141 SITEPROP DSP48_X1Y35 RPM_Y 175 SITEPROP DSP48_X1Y35 SITE_PIPS SITEPROP DSP48_X1Y35 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y36 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y36 CLASS site SITEPROP DSP48_X1Y36 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y36 IS_BONDED 0 SITEPROP DSP48_X1Y36 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y36 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y36 IS_PAD 0 SITEPROP DSP48_X1Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y36 IS_RESERVED 0 SITEPROP DSP48_X1Y36 IS_TEST 0 SITEPROP DSP48_X1Y36 IS_USED 0 SITEPROP DSP48_X1Y36 MANUAL_ROUTING SITEPROP DSP48_X1Y36 NAME DSP48_X1Y36 SITEPROP DSP48_X1Y36 NUM_ARCS 36 SITEPROP DSP48_X1Y36 NUM_BELS 1 SITEPROP DSP48_X1Y36 NUM_INPUTS 263 SITEPROP DSP48_X1Y36 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y36 NUM_PINS 417 SITEPROP DSP48_X1Y36 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y36 PROHIBIT 0 SITEPROP DSP48_X1Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y36 RPM_X 141 SITEPROP DSP48_X1Y36 RPM_Y 180 SITEPROP DSP48_X1Y36 SITE_PIPS SITEPROP DSP48_X1Y36 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y37 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y37 CLASS site SITEPROP DSP48_X1Y37 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y37 IS_BONDED 0 SITEPROP DSP48_X1Y37 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y37 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y37 IS_PAD 0 SITEPROP DSP48_X1Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y37 IS_RESERVED 0 SITEPROP DSP48_X1Y37 IS_TEST 0 SITEPROP DSP48_X1Y37 IS_USED 0 SITEPROP DSP48_X1Y37 MANUAL_ROUTING SITEPROP DSP48_X1Y37 NAME DSP48_X1Y37 SITEPROP DSP48_X1Y37 NUM_ARCS 36 SITEPROP DSP48_X1Y37 NUM_BELS 1 SITEPROP DSP48_X1Y37 NUM_INPUTS 263 SITEPROP DSP48_X1Y37 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y37 NUM_PINS 417 SITEPROP DSP48_X1Y37 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y37 PROHIBIT 0 SITEPROP DSP48_X1Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y37 RPM_X 141 SITEPROP DSP48_X1Y37 RPM_Y 185 SITEPROP DSP48_X1Y37 SITE_PIPS SITEPROP DSP48_X1Y37 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y38 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y38 CLASS site SITEPROP DSP48_X1Y38 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y38 IS_BONDED 0 SITEPROP DSP48_X1Y38 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y38 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y38 IS_PAD 0 SITEPROP DSP48_X1Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y38 IS_RESERVED 0 SITEPROP DSP48_X1Y38 IS_TEST 0 SITEPROP DSP48_X1Y38 IS_USED 0 SITEPROP DSP48_X1Y38 MANUAL_ROUTING SITEPROP DSP48_X1Y38 NAME DSP48_X1Y38 SITEPROP DSP48_X1Y38 NUM_ARCS 36 SITEPROP DSP48_X1Y38 NUM_BELS 1 SITEPROP DSP48_X1Y38 NUM_INPUTS 263 SITEPROP DSP48_X1Y38 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y38 NUM_PINS 417 SITEPROP DSP48_X1Y38 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y38 PROHIBIT 0 SITEPROP DSP48_X1Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y38 RPM_X 141 SITEPROP DSP48_X1Y38 RPM_Y 190 SITEPROP DSP48_X1Y38 SITE_PIPS SITEPROP DSP48_X1Y38 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y39 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y39 CLASS site SITEPROP DSP48_X1Y39 CLOCK_REGION X1Y1 SITEPROP DSP48_X1Y39 IS_BONDED 0 SITEPROP DSP48_X1Y39 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y39 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y39 IS_PAD 0 SITEPROP DSP48_X1Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y39 IS_RESERVED 0 SITEPROP DSP48_X1Y39 IS_TEST 0 SITEPROP DSP48_X1Y39 IS_USED 0 SITEPROP DSP48_X1Y39 MANUAL_ROUTING SITEPROP DSP48_X1Y39 NAME DSP48_X1Y39 SITEPROP DSP48_X1Y39 NUM_ARCS 36 SITEPROP DSP48_X1Y39 NUM_BELS 1 SITEPROP DSP48_X1Y39 NUM_INPUTS 263 SITEPROP DSP48_X1Y39 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y39 NUM_PINS 417 SITEPROP DSP48_X1Y39 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y39 PROHIBIT 0 SITEPROP DSP48_X1Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y39 RPM_X 141 SITEPROP DSP48_X1Y39 RPM_Y 195 SITEPROP DSP48_X1Y39 SITE_PIPS SITEPROP DSP48_X1Y39 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y40 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y40 CLASS site SITEPROP DSP48_X1Y40 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y40 IS_BONDED 0 SITEPROP DSP48_X1Y40 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y40 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y40 IS_PAD 0 SITEPROP DSP48_X1Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y40 IS_RESERVED 0 SITEPROP DSP48_X1Y40 IS_TEST 0 SITEPROP DSP48_X1Y40 IS_USED 0 SITEPROP DSP48_X1Y40 MANUAL_ROUTING SITEPROP DSP48_X1Y40 NAME DSP48_X1Y40 SITEPROP DSP48_X1Y40 NUM_ARCS 36 SITEPROP DSP48_X1Y40 NUM_BELS 1 SITEPROP DSP48_X1Y40 NUM_INPUTS 263 SITEPROP DSP48_X1Y40 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y40 NUM_PINS 417 SITEPROP DSP48_X1Y40 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y40 PROHIBIT 0 SITEPROP DSP48_X1Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y40 RPM_X 141 SITEPROP DSP48_X1Y40 RPM_Y 200 SITEPROP DSP48_X1Y40 SITE_PIPS SITEPROP DSP48_X1Y40 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y41 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y41 CLASS site SITEPROP DSP48_X1Y41 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y41 IS_BONDED 0 SITEPROP DSP48_X1Y41 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y41 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y41 IS_PAD 0 SITEPROP DSP48_X1Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y41 IS_RESERVED 0 SITEPROP DSP48_X1Y41 IS_TEST 0 SITEPROP DSP48_X1Y41 IS_USED 0 SITEPROP DSP48_X1Y41 MANUAL_ROUTING SITEPROP DSP48_X1Y41 NAME DSP48_X1Y41 SITEPROP DSP48_X1Y41 NUM_ARCS 36 SITEPROP DSP48_X1Y41 NUM_BELS 1 SITEPROP DSP48_X1Y41 NUM_INPUTS 263 SITEPROP DSP48_X1Y41 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y41 NUM_PINS 417 SITEPROP DSP48_X1Y41 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y41 PROHIBIT 0 SITEPROP DSP48_X1Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y41 RPM_X 141 SITEPROP DSP48_X1Y41 RPM_Y 205 SITEPROP DSP48_X1Y41 SITE_PIPS SITEPROP DSP48_X1Y41 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y42 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y42 CLASS site SITEPROP DSP48_X1Y42 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y42 IS_BONDED 0 SITEPROP DSP48_X1Y42 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y42 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y42 IS_PAD 0 SITEPROP DSP48_X1Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y42 IS_RESERVED 0 SITEPROP DSP48_X1Y42 IS_TEST 0 SITEPROP DSP48_X1Y42 IS_USED 0 SITEPROP DSP48_X1Y42 MANUAL_ROUTING SITEPROP DSP48_X1Y42 NAME DSP48_X1Y42 SITEPROP DSP48_X1Y42 NUM_ARCS 36 SITEPROP DSP48_X1Y42 NUM_BELS 1 SITEPROP DSP48_X1Y42 NUM_INPUTS 263 SITEPROP DSP48_X1Y42 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y42 NUM_PINS 417 SITEPROP DSP48_X1Y42 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y42 PROHIBIT 0 SITEPROP DSP48_X1Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y42 RPM_X 141 SITEPROP DSP48_X1Y42 RPM_Y 210 SITEPROP DSP48_X1Y42 SITE_PIPS SITEPROP DSP48_X1Y42 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y43 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y43 CLASS site SITEPROP DSP48_X1Y43 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y43 IS_BONDED 0 SITEPROP DSP48_X1Y43 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y43 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y43 IS_PAD 0 SITEPROP DSP48_X1Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y43 IS_RESERVED 0 SITEPROP DSP48_X1Y43 IS_TEST 0 SITEPROP DSP48_X1Y43 IS_USED 0 SITEPROP DSP48_X1Y43 MANUAL_ROUTING SITEPROP DSP48_X1Y43 NAME DSP48_X1Y43 SITEPROP DSP48_X1Y43 NUM_ARCS 36 SITEPROP DSP48_X1Y43 NUM_BELS 1 SITEPROP DSP48_X1Y43 NUM_INPUTS 263 SITEPROP DSP48_X1Y43 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y43 NUM_PINS 417 SITEPROP DSP48_X1Y43 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y43 PROHIBIT 0 SITEPROP DSP48_X1Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y43 RPM_X 141 SITEPROP DSP48_X1Y43 RPM_Y 215 SITEPROP DSP48_X1Y43 SITE_PIPS SITEPROP DSP48_X1Y43 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y44 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y44 CLASS site SITEPROP DSP48_X1Y44 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y44 IS_BONDED 0 SITEPROP DSP48_X1Y44 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y44 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y44 IS_PAD 0 SITEPROP DSP48_X1Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y44 IS_RESERVED 0 SITEPROP DSP48_X1Y44 IS_TEST 0 SITEPROP DSP48_X1Y44 IS_USED 0 SITEPROP DSP48_X1Y44 MANUAL_ROUTING SITEPROP DSP48_X1Y44 NAME DSP48_X1Y44 SITEPROP DSP48_X1Y44 NUM_ARCS 36 SITEPROP DSP48_X1Y44 NUM_BELS 1 SITEPROP DSP48_X1Y44 NUM_INPUTS 263 SITEPROP DSP48_X1Y44 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y44 NUM_PINS 417 SITEPROP DSP48_X1Y44 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y44 PROHIBIT 0 SITEPROP DSP48_X1Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y44 RPM_X 141 SITEPROP DSP48_X1Y44 RPM_Y 220 SITEPROP DSP48_X1Y44 SITE_PIPS SITEPROP DSP48_X1Y44 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y45 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y45 CLASS site SITEPROP DSP48_X1Y45 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y45 IS_BONDED 0 SITEPROP DSP48_X1Y45 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y45 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y45 IS_PAD 0 SITEPROP DSP48_X1Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y45 IS_RESERVED 0 SITEPROP DSP48_X1Y45 IS_TEST 0 SITEPROP DSP48_X1Y45 IS_USED 0 SITEPROP DSP48_X1Y45 MANUAL_ROUTING SITEPROP DSP48_X1Y45 NAME DSP48_X1Y45 SITEPROP DSP48_X1Y45 NUM_ARCS 36 SITEPROP DSP48_X1Y45 NUM_BELS 1 SITEPROP DSP48_X1Y45 NUM_INPUTS 263 SITEPROP DSP48_X1Y45 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y45 NUM_PINS 417 SITEPROP DSP48_X1Y45 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y45 PROHIBIT 0 SITEPROP DSP48_X1Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y45 RPM_X 141 SITEPROP DSP48_X1Y45 RPM_Y 225 SITEPROP DSP48_X1Y45 SITE_PIPS SITEPROP DSP48_X1Y45 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y46 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y46 CLASS site SITEPROP DSP48_X1Y46 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y46 IS_BONDED 0 SITEPROP DSP48_X1Y46 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y46 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y46 IS_PAD 0 SITEPROP DSP48_X1Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y46 IS_RESERVED 0 SITEPROP DSP48_X1Y46 IS_TEST 0 SITEPROP DSP48_X1Y46 IS_USED 0 SITEPROP DSP48_X1Y46 MANUAL_ROUTING SITEPROP DSP48_X1Y46 NAME DSP48_X1Y46 SITEPROP DSP48_X1Y46 NUM_ARCS 36 SITEPROP DSP48_X1Y46 NUM_BELS 1 SITEPROP DSP48_X1Y46 NUM_INPUTS 263 SITEPROP DSP48_X1Y46 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y46 NUM_PINS 417 SITEPROP DSP48_X1Y46 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y46 PROHIBIT 0 SITEPROP DSP48_X1Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y46 RPM_X 141 SITEPROP DSP48_X1Y46 RPM_Y 230 SITEPROP DSP48_X1Y46 SITE_PIPS SITEPROP DSP48_X1Y46 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y47 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y47 CLASS site SITEPROP DSP48_X1Y47 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y47 IS_BONDED 0 SITEPROP DSP48_X1Y47 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y47 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y47 IS_PAD 0 SITEPROP DSP48_X1Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y47 IS_RESERVED 0 SITEPROP DSP48_X1Y47 IS_TEST 0 SITEPROP DSP48_X1Y47 IS_USED 0 SITEPROP DSP48_X1Y47 MANUAL_ROUTING SITEPROP DSP48_X1Y47 NAME DSP48_X1Y47 SITEPROP DSP48_X1Y47 NUM_ARCS 36 SITEPROP DSP48_X1Y47 NUM_BELS 1 SITEPROP DSP48_X1Y47 NUM_INPUTS 263 SITEPROP DSP48_X1Y47 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y47 NUM_PINS 417 SITEPROP DSP48_X1Y47 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y47 PROHIBIT 0 SITEPROP DSP48_X1Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y47 RPM_X 141 SITEPROP DSP48_X1Y47 RPM_Y 235 SITEPROP DSP48_X1Y47 SITE_PIPS SITEPROP DSP48_X1Y47 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y48 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y48 CLASS site SITEPROP DSP48_X1Y48 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y48 IS_BONDED 0 SITEPROP DSP48_X1Y48 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y48 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y48 IS_PAD 0 SITEPROP DSP48_X1Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y48 IS_RESERVED 0 SITEPROP DSP48_X1Y48 IS_TEST 0 SITEPROP DSP48_X1Y48 IS_USED 0 SITEPROP DSP48_X1Y48 MANUAL_ROUTING SITEPROP DSP48_X1Y48 NAME DSP48_X1Y48 SITEPROP DSP48_X1Y48 NUM_ARCS 36 SITEPROP DSP48_X1Y48 NUM_BELS 1 SITEPROP DSP48_X1Y48 NUM_INPUTS 263 SITEPROP DSP48_X1Y48 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y48 NUM_PINS 417 SITEPROP DSP48_X1Y48 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y48 PROHIBIT 0 SITEPROP DSP48_X1Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y48 RPM_X 141 SITEPROP DSP48_X1Y48 RPM_Y 240 SITEPROP DSP48_X1Y48 SITE_PIPS SITEPROP DSP48_X1Y48 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y49 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y49 CLASS site SITEPROP DSP48_X1Y49 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y49 IS_BONDED 0 SITEPROP DSP48_X1Y49 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y49 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y49 IS_PAD 0 SITEPROP DSP48_X1Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y49 IS_RESERVED 0 SITEPROP DSP48_X1Y49 IS_TEST 0 SITEPROP DSP48_X1Y49 IS_USED 0 SITEPROP DSP48_X1Y49 MANUAL_ROUTING SITEPROP DSP48_X1Y49 NAME DSP48_X1Y49 SITEPROP DSP48_X1Y49 NUM_ARCS 36 SITEPROP DSP48_X1Y49 NUM_BELS 1 SITEPROP DSP48_X1Y49 NUM_INPUTS 263 SITEPROP DSP48_X1Y49 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y49 NUM_PINS 417 SITEPROP DSP48_X1Y49 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y49 PROHIBIT 0 SITEPROP DSP48_X1Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y49 RPM_X 141 SITEPROP DSP48_X1Y49 RPM_Y 245 SITEPROP DSP48_X1Y49 SITE_PIPS SITEPROP DSP48_X1Y49 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y50 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y50 CLASS site SITEPROP DSP48_X1Y50 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y50 IS_BONDED 0 SITEPROP DSP48_X1Y50 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y50 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y50 IS_PAD 0 SITEPROP DSP48_X1Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y50 IS_RESERVED 0 SITEPROP DSP48_X1Y50 IS_TEST 0 SITEPROP DSP48_X1Y50 IS_USED 0 SITEPROP DSP48_X1Y50 MANUAL_ROUTING SITEPROP DSP48_X1Y50 NAME DSP48_X1Y50 SITEPROP DSP48_X1Y50 NUM_ARCS 36 SITEPROP DSP48_X1Y50 NUM_BELS 1 SITEPROP DSP48_X1Y50 NUM_INPUTS 263 SITEPROP DSP48_X1Y50 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y50 NUM_PINS 417 SITEPROP DSP48_X1Y50 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y50 PROHIBIT 0 SITEPROP DSP48_X1Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y50 RPM_X 141 SITEPROP DSP48_X1Y50 RPM_Y 250 SITEPROP DSP48_X1Y50 SITE_PIPS SITEPROP DSP48_X1Y50 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y51 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y51 CLASS site SITEPROP DSP48_X1Y51 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y51 IS_BONDED 0 SITEPROP DSP48_X1Y51 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y51 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y51 IS_PAD 0 SITEPROP DSP48_X1Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y51 IS_RESERVED 0 SITEPROP DSP48_X1Y51 IS_TEST 0 SITEPROP DSP48_X1Y51 IS_USED 0 SITEPROP DSP48_X1Y51 MANUAL_ROUTING SITEPROP DSP48_X1Y51 NAME DSP48_X1Y51 SITEPROP DSP48_X1Y51 NUM_ARCS 36 SITEPROP DSP48_X1Y51 NUM_BELS 1 SITEPROP DSP48_X1Y51 NUM_INPUTS 263 SITEPROP DSP48_X1Y51 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y51 NUM_PINS 417 SITEPROP DSP48_X1Y51 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y51 PROHIBIT 0 SITEPROP DSP48_X1Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y51 RPM_X 141 SITEPROP DSP48_X1Y51 RPM_Y 255 SITEPROP DSP48_X1Y51 SITE_PIPS SITEPROP DSP48_X1Y51 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y52 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y52 CLASS site SITEPROP DSP48_X1Y52 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y52 IS_BONDED 0 SITEPROP DSP48_X1Y52 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y52 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y52 IS_PAD 0 SITEPROP DSP48_X1Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y52 IS_RESERVED 0 SITEPROP DSP48_X1Y52 IS_TEST 0 SITEPROP DSP48_X1Y52 IS_USED 0 SITEPROP DSP48_X1Y52 MANUAL_ROUTING SITEPROP DSP48_X1Y52 NAME DSP48_X1Y52 SITEPROP DSP48_X1Y52 NUM_ARCS 36 SITEPROP DSP48_X1Y52 NUM_BELS 1 SITEPROP DSP48_X1Y52 NUM_INPUTS 263 SITEPROP DSP48_X1Y52 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y52 NUM_PINS 417 SITEPROP DSP48_X1Y52 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y52 PROHIBIT 0 SITEPROP DSP48_X1Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y52 RPM_X 141 SITEPROP DSP48_X1Y52 RPM_Y 260 SITEPROP DSP48_X1Y52 SITE_PIPS SITEPROP DSP48_X1Y52 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y53 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y53 CLASS site SITEPROP DSP48_X1Y53 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y53 IS_BONDED 0 SITEPROP DSP48_X1Y53 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y53 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y53 IS_PAD 0 SITEPROP DSP48_X1Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y53 IS_RESERVED 0 SITEPROP DSP48_X1Y53 IS_TEST 0 SITEPROP DSP48_X1Y53 IS_USED 0 SITEPROP DSP48_X1Y53 MANUAL_ROUTING SITEPROP DSP48_X1Y53 NAME DSP48_X1Y53 SITEPROP DSP48_X1Y53 NUM_ARCS 36 SITEPROP DSP48_X1Y53 NUM_BELS 1 SITEPROP DSP48_X1Y53 NUM_INPUTS 263 SITEPROP DSP48_X1Y53 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y53 NUM_PINS 417 SITEPROP DSP48_X1Y53 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y53 PROHIBIT 0 SITEPROP DSP48_X1Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y53 RPM_X 141 SITEPROP DSP48_X1Y53 RPM_Y 265 SITEPROP DSP48_X1Y53 SITE_PIPS SITEPROP DSP48_X1Y53 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y54 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y54 CLASS site SITEPROP DSP48_X1Y54 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y54 IS_BONDED 0 SITEPROP DSP48_X1Y54 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y54 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y54 IS_PAD 0 SITEPROP DSP48_X1Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y54 IS_RESERVED 0 SITEPROP DSP48_X1Y54 IS_TEST 0 SITEPROP DSP48_X1Y54 IS_USED 0 SITEPROP DSP48_X1Y54 MANUAL_ROUTING SITEPROP DSP48_X1Y54 NAME DSP48_X1Y54 SITEPROP DSP48_X1Y54 NUM_ARCS 36 SITEPROP DSP48_X1Y54 NUM_BELS 1 SITEPROP DSP48_X1Y54 NUM_INPUTS 263 SITEPROP DSP48_X1Y54 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y54 NUM_PINS 417 SITEPROP DSP48_X1Y54 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y54 PROHIBIT 0 SITEPROP DSP48_X1Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y54 RPM_X 141 SITEPROP DSP48_X1Y54 RPM_Y 270 SITEPROP DSP48_X1Y54 SITE_PIPS SITEPROP DSP48_X1Y54 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y55 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y55 CLASS site SITEPROP DSP48_X1Y55 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y55 IS_BONDED 0 SITEPROP DSP48_X1Y55 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y55 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y55 IS_PAD 0 SITEPROP DSP48_X1Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y55 IS_RESERVED 0 SITEPROP DSP48_X1Y55 IS_TEST 0 SITEPROP DSP48_X1Y55 IS_USED 0 SITEPROP DSP48_X1Y55 MANUAL_ROUTING SITEPROP DSP48_X1Y55 NAME DSP48_X1Y55 SITEPROP DSP48_X1Y55 NUM_ARCS 36 SITEPROP DSP48_X1Y55 NUM_BELS 1 SITEPROP DSP48_X1Y55 NUM_INPUTS 263 SITEPROP DSP48_X1Y55 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y55 NUM_PINS 417 SITEPROP DSP48_X1Y55 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y55 PROHIBIT 0 SITEPROP DSP48_X1Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y55 RPM_X 141 SITEPROP DSP48_X1Y55 RPM_Y 275 SITEPROP DSP48_X1Y55 SITE_PIPS SITEPROP DSP48_X1Y55 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y56 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y56 CLASS site SITEPROP DSP48_X1Y56 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y56 IS_BONDED 0 SITEPROP DSP48_X1Y56 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y56 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y56 IS_PAD 0 SITEPROP DSP48_X1Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y56 IS_RESERVED 0 SITEPROP DSP48_X1Y56 IS_TEST 0 SITEPROP DSP48_X1Y56 IS_USED 0 SITEPROP DSP48_X1Y56 MANUAL_ROUTING SITEPROP DSP48_X1Y56 NAME DSP48_X1Y56 SITEPROP DSP48_X1Y56 NUM_ARCS 36 SITEPROP DSP48_X1Y56 NUM_BELS 1 SITEPROP DSP48_X1Y56 NUM_INPUTS 263 SITEPROP DSP48_X1Y56 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y56 NUM_PINS 417 SITEPROP DSP48_X1Y56 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y56 PROHIBIT 0 SITEPROP DSP48_X1Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y56 RPM_X 141 SITEPROP DSP48_X1Y56 RPM_Y 280 SITEPROP DSP48_X1Y56 SITE_PIPS SITEPROP DSP48_X1Y56 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y57 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y57 CLASS site SITEPROP DSP48_X1Y57 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y57 IS_BONDED 0 SITEPROP DSP48_X1Y57 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y57 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y57 IS_PAD 0 SITEPROP DSP48_X1Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y57 IS_RESERVED 0 SITEPROP DSP48_X1Y57 IS_TEST 0 SITEPROP DSP48_X1Y57 IS_USED 0 SITEPROP DSP48_X1Y57 MANUAL_ROUTING SITEPROP DSP48_X1Y57 NAME DSP48_X1Y57 SITEPROP DSP48_X1Y57 NUM_ARCS 36 SITEPROP DSP48_X1Y57 NUM_BELS 1 SITEPROP DSP48_X1Y57 NUM_INPUTS 263 SITEPROP DSP48_X1Y57 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y57 NUM_PINS 417 SITEPROP DSP48_X1Y57 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y57 PROHIBIT 0 SITEPROP DSP48_X1Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y57 RPM_X 141 SITEPROP DSP48_X1Y57 RPM_Y 285 SITEPROP DSP48_X1Y57 SITE_PIPS SITEPROP DSP48_X1Y57 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y58 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y58 CLASS site SITEPROP DSP48_X1Y58 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y58 IS_BONDED 0 SITEPROP DSP48_X1Y58 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y58 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y58 IS_PAD 0 SITEPROP DSP48_X1Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y58 IS_RESERVED 0 SITEPROP DSP48_X1Y58 IS_TEST 0 SITEPROP DSP48_X1Y58 IS_USED 0 SITEPROP DSP48_X1Y58 MANUAL_ROUTING SITEPROP DSP48_X1Y58 NAME DSP48_X1Y58 SITEPROP DSP48_X1Y58 NUM_ARCS 36 SITEPROP DSP48_X1Y58 NUM_BELS 1 SITEPROP DSP48_X1Y58 NUM_INPUTS 263 SITEPROP DSP48_X1Y58 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y58 NUM_PINS 417 SITEPROP DSP48_X1Y58 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y58 PROHIBIT 0 SITEPROP DSP48_X1Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y58 RPM_X 141 SITEPROP DSP48_X1Y58 RPM_Y 290 SITEPROP DSP48_X1Y58 SITE_PIPS SITEPROP DSP48_X1Y58 SITE_TYPE DSP48E1 SITEPROP DSP48_X1Y59 ALTERNATE_SITE_TYPES SITEPROP DSP48_X1Y59 CLASS site SITEPROP DSP48_X1Y59 CLOCK_REGION X1Y2 SITEPROP DSP48_X1Y59 IS_BONDED 0 SITEPROP DSP48_X1Y59 IS_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y59 IS_CLOCK_PAD 0 SITEPROP DSP48_X1Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y59 IS_PAD 0 SITEPROP DSP48_X1Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP DSP48_X1Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP DSP48_X1Y59 IS_RESERVED 0 SITEPROP DSP48_X1Y59 IS_TEST 0 SITEPROP DSP48_X1Y59 IS_USED 0 SITEPROP DSP48_X1Y59 MANUAL_ROUTING SITEPROP DSP48_X1Y59 NAME DSP48_X1Y59 SITEPROP DSP48_X1Y59 NUM_ARCS 36 SITEPROP DSP48_X1Y59 NUM_BELS 1 SITEPROP DSP48_X1Y59 NUM_INPUTS 263 SITEPROP DSP48_X1Y59 NUM_OUTPUTS 154 SITEPROP DSP48_X1Y59 NUM_PINS 417 SITEPROP DSP48_X1Y59 PRIMITIVE_COUNT 0 SITEPROP DSP48_X1Y59 PROHIBIT 0 SITEPROP DSP48_X1Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP DSP48_X1Y59 RPM_X 141 SITEPROP DSP48_X1Y59 RPM_Y 295 SITEPROP DSP48_X1Y59 SITE_PIPS SITEPROP DSP48_X1Y59 SITE_TYPE DSP48E1 SITEPROP EFUSE_USR_X0Y0 ALTERNATE_SITE_TYPES SITEPROP EFUSE_USR_X0Y0 CLASS site SITEPROP EFUSE_USR_X0Y0 CLOCK_REGION X0Y0 SITEPROP EFUSE_USR_X0Y0 IS_BONDED 0 SITEPROP EFUSE_USR_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP EFUSE_USR_X0Y0 IS_CLOCK_PAD 0 SITEPROP EFUSE_USR_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP EFUSE_USR_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP EFUSE_USR_X0Y0 IS_PAD 0 SITEPROP EFUSE_USR_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP EFUSE_USR_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP EFUSE_USR_X0Y0 IS_RESERVED 0 SITEPROP EFUSE_USR_X0Y0 IS_TEST 0 SITEPROP EFUSE_USR_X0Y0 IS_USED 0 SITEPROP EFUSE_USR_X0Y0 MANUAL_ROUTING SITEPROP EFUSE_USR_X0Y0 NAME EFUSE_USR_X0Y0 SITEPROP EFUSE_USR_X0Y0 NUM_ARCS 0 SITEPROP EFUSE_USR_X0Y0 NUM_BELS 1 SITEPROP EFUSE_USR_X0Y0 NUM_INPUTS 0 SITEPROP EFUSE_USR_X0Y0 NUM_OUTPUTS 32 SITEPROP EFUSE_USR_X0Y0 NUM_PINS 32 SITEPROP EFUSE_USR_X0Y0 PRIMITIVE_COUNT 0 SITEPROP EFUSE_USR_X0Y0 PROHIBIT 0 SITEPROP EFUSE_USR_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP EFUSE_USR_X0Y0 RPM_X 77 SITEPROP EFUSE_USR_X0Y0 RPM_Y 94 SITEPROP EFUSE_USR_X0Y0 SITE_PIPS SITEPROP EFUSE_USR_X0Y0 SITE_TYPE EFUSE_USR SITEPROP FRAME_ECC_X0Y0 ALTERNATE_SITE_TYPES SITEPROP FRAME_ECC_X0Y0 CLASS site SITEPROP FRAME_ECC_X0Y0 CLOCK_REGION X0Y0 SITEPROP FRAME_ECC_X0Y0 IS_BONDED 0 SITEPROP FRAME_ECC_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP FRAME_ECC_X0Y0 IS_CLOCK_PAD 0 SITEPROP FRAME_ECC_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP FRAME_ECC_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP FRAME_ECC_X0Y0 IS_PAD 0 SITEPROP FRAME_ECC_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP FRAME_ECC_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP FRAME_ECC_X0Y0 IS_RESERVED 0 SITEPROP FRAME_ECC_X0Y0 IS_TEST 0 SITEPROP FRAME_ECC_X0Y0 IS_USED 0 SITEPROP FRAME_ECC_X0Y0 MANUAL_ROUTING SITEPROP FRAME_ECC_X0Y0 NAME FRAME_ECC_X0Y0 SITEPROP FRAME_ECC_X0Y0 NUM_ARCS 0 SITEPROP FRAME_ECC_X0Y0 NUM_BELS 1 SITEPROP FRAME_ECC_X0Y0 NUM_INPUTS 0 SITEPROP FRAME_ECC_X0Y0 NUM_OUTPUTS 55 SITEPROP FRAME_ECC_X0Y0 NUM_PINS 55 SITEPROP FRAME_ECC_X0Y0 PRIMITIVE_COUNT 0 SITEPROP FRAME_ECC_X0Y0 PROHIBIT 0 SITEPROP FRAME_ECC_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP FRAME_ECC_X0Y0 RPM_X 77 SITEPROP FRAME_ECC_X0Y0 RPM_Y 52 SITEPROP FRAME_ECC_X0Y0 SITE_PIPS SITEPROP FRAME_ECC_X0Y0 SITE_TYPE FRAME_ECC SITEPROP GTPE2_CHANNEL_X0Y0 ALTERNATE_SITE_TYPES SITEPROP GTPE2_CHANNEL_X0Y0 CLASS site SITEPROP GTPE2_CHANNEL_X0Y0 CLOCK_REGION X1Y2 SITEPROP GTPE2_CHANNEL_X0Y0 IS_BONDED 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_RESERVED 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_TEST 0 SITEPROP GTPE2_CHANNEL_X0Y0 IS_USED 0 SITEPROP GTPE2_CHANNEL_X0Y0 MANUAL_ROUTING SITEPROP GTPE2_CHANNEL_X0Y0 NAME GTPE2_CHANNEL_X0Y0 SITEPROP GTPE2_CHANNEL_X0Y0 NUM_ARCS 34 SITEPROP GTPE2_CHANNEL_X0Y0 NUM_BELS 1 SITEPROP GTPE2_CHANNEL_X0Y0 NUM_INPUTS 386 SITEPROP GTPE2_CHANNEL_X0Y0 NUM_OUTPUTS 191 SITEPROP GTPE2_CHANNEL_X0Y0 NUM_PINS 577 SITEPROP GTPE2_CHANNEL_X0Y0 PRIMITIVE_COUNT 0 SITEPROP GTPE2_CHANNEL_X0Y0 PROHIBIT 0 SITEPROP GTPE2_CHANNEL_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP GTPE2_CHANNEL_X0Y0 RPM_X 153 SITEPROP GTPE2_CHANNEL_X0Y0 RPM_Y 210 SITEPROP GTPE2_CHANNEL_X0Y0 SITE_PIPS SITEPROP GTPE2_CHANNEL_X0Y0 SITE_TYPE GTPE2_CHANNEL SITEPROP GTPE2_CHANNEL_X0Y1 ALTERNATE_SITE_TYPES SITEPROP GTPE2_CHANNEL_X0Y1 CLASS site SITEPROP GTPE2_CHANNEL_X0Y1 CLOCK_REGION X1Y2 SITEPROP GTPE2_CHANNEL_X0Y1 IS_BONDED 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_RESERVED 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_TEST 0 SITEPROP GTPE2_CHANNEL_X0Y1 IS_USED 0 SITEPROP GTPE2_CHANNEL_X0Y1 MANUAL_ROUTING SITEPROP GTPE2_CHANNEL_X0Y1 NAME GTPE2_CHANNEL_X0Y1 SITEPROP GTPE2_CHANNEL_X0Y1 NUM_ARCS 34 SITEPROP GTPE2_CHANNEL_X0Y1 NUM_BELS 1 SITEPROP GTPE2_CHANNEL_X0Y1 NUM_INPUTS 386 SITEPROP GTPE2_CHANNEL_X0Y1 NUM_OUTPUTS 191 SITEPROP GTPE2_CHANNEL_X0Y1 NUM_PINS 577 SITEPROP GTPE2_CHANNEL_X0Y1 PRIMITIVE_COUNT 0 SITEPROP GTPE2_CHANNEL_X0Y1 PROHIBIT 0 SITEPROP GTPE2_CHANNEL_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP GTPE2_CHANNEL_X0Y1 RPM_X 153 SITEPROP GTPE2_CHANNEL_X0Y1 RPM_Y 232 SITEPROP GTPE2_CHANNEL_X0Y1 SITE_PIPS SITEPROP GTPE2_CHANNEL_X0Y1 SITE_TYPE GTPE2_CHANNEL SITEPROP GTPE2_CHANNEL_X0Y2 ALTERNATE_SITE_TYPES SITEPROP GTPE2_CHANNEL_X0Y2 CLASS site SITEPROP GTPE2_CHANNEL_X0Y2 CLOCK_REGION X1Y2 SITEPROP GTPE2_CHANNEL_X0Y2 IS_BONDED 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_RESERVED 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_TEST 0 SITEPROP GTPE2_CHANNEL_X0Y2 IS_USED 0 SITEPROP GTPE2_CHANNEL_X0Y2 MANUAL_ROUTING SITEPROP GTPE2_CHANNEL_X0Y2 NAME GTPE2_CHANNEL_X0Y2 SITEPROP GTPE2_CHANNEL_X0Y2 NUM_ARCS 34 SITEPROP GTPE2_CHANNEL_X0Y2 NUM_BELS 1 SITEPROP GTPE2_CHANNEL_X0Y2 NUM_INPUTS 386 SITEPROP GTPE2_CHANNEL_X0Y2 NUM_OUTPUTS 191 SITEPROP GTPE2_CHANNEL_X0Y2 NUM_PINS 577 SITEPROP GTPE2_CHANNEL_X0Y2 PRIMITIVE_COUNT 0 SITEPROP GTPE2_CHANNEL_X0Y2 PROHIBIT 0 SITEPROP GTPE2_CHANNEL_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP GTPE2_CHANNEL_X0Y2 RPM_X 153 SITEPROP GTPE2_CHANNEL_X0Y2 RPM_Y 266 SITEPROP GTPE2_CHANNEL_X0Y2 SITE_PIPS SITEPROP GTPE2_CHANNEL_X0Y2 SITE_TYPE GTPE2_CHANNEL SITEPROP GTPE2_CHANNEL_X0Y3 ALTERNATE_SITE_TYPES SITEPROP GTPE2_CHANNEL_X0Y3 CLASS site SITEPROP GTPE2_CHANNEL_X0Y3 CLOCK_REGION X1Y2 SITEPROP GTPE2_CHANNEL_X0Y3 IS_BONDED 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_RESERVED 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_TEST 0 SITEPROP GTPE2_CHANNEL_X0Y3 IS_USED 0 SITEPROP GTPE2_CHANNEL_X0Y3 MANUAL_ROUTING SITEPROP GTPE2_CHANNEL_X0Y3 NAME GTPE2_CHANNEL_X0Y3 SITEPROP GTPE2_CHANNEL_X0Y3 NUM_ARCS 34 SITEPROP GTPE2_CHANNEL_X0Y3 NUM_BELS 1 SITEPROP GTPE2_CHANNEL_X0Y3 NUM_INPUTS 386 SITEPROP GTPE2_CHANNEL_X0Y3 NUM_OUTPUTS 191 SITEPROP GTPE2_CHANNEL_X0Y3 NUM_PINS 577 SITEPROP GTPE2_CHANNEL_X0Y3 PRIMITIVE_COUNT 0 SITEPROP GTPE2_CHANNEL_X0Y3 PROHIBIT 0 SITEPROP GTPE2_CHANNEL_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP GTPE2_CHANNEL_X0Y3 RPM_X 153 SITEPROP GTPE2_CHANNEL_X0Y3 RPM_Y 288 SITEPROP GTPE2_CHANNEL_X0Y3 SITE_PIPS SITEPROP GTPE2_CHANNEL_X0Y3 SITE_TYPE GTPE2_CHANNEL SITEPROP GTPE2_COMMON_X0Y0 ALTERNATE_SITE_TYPES SITEPROP GTPE2_COMMON_X0Y0 CLASS site SITEPROP GTPE2_COMMON_X0Y0 CLOCK_REGION X1Y2 SITEPROP GTPE2_COMMON_X0Y0 IS_BONDED 0 SITEPROP GTPE2_COMMON_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP GTPE2_COMMON_X0Y0 IS_CLOCK_PAD 0 SITEPROP GTPE2_COMMON_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP GTPE2_COMMON_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP GTPE2_COMMON_X0Y0 IS_PAD 0 SITEPROP GTPE2_COMMON_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP GTPE2_COMMON_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP GTPE2_COMMON_X0Y0 IS_RESERVED 0 SITEPROP GTPE2_COMMON_X0Y0 IS_TEST 0 SITEPROP GTPE2_COMMON_X0Y0 IS_USED 0 SITEPROP GTPE2_COMMON_X0Y0 MANUAL_ROUTING SITEPROP GTPE2_COMMON_X0Y0 NAME GTPE2_COMMON_X0Y0 SITEPROP GTPE2_COMMON_X0Y0 NUM_ARCS 16 SITEPROP GTPE2_COMMON_X0Y0 NUM_BELS 1 SITEPROP GTPE2_COMMON_X0Y0 NUM_INPUTS 99 SITEPROP GTPE2_COMMON_X0Y0 NUM_OUTPUTS 58 SITEPROP GTPE2_COMMON_X0Y0 NUM_PINS 157 SITEPROP GTPE2_COMMON_X0Y0 PRIMITIVE_COUNT 0 SITEPROP GTPE2_COMMON_X0Y0 PROHIBIT 0 SITEPROP GTPE2_COMMON_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP GTPE2_COMMON_X0Y0 RPM_X 153 SITEPROP GTPE2_COMMON_X0Y0 RPM_Y 250 SITEPROP GTPE2_COMMON_X0Y0 SITE_PIPS SITEPROP GTPE2_COMMON_X0Y0 SITE_TYPE GTPE2_COMMON SITEPROP IBUFDS_GTE2_X0Y0 ALTERNATE_SITE_TYPES SITEPROP IBUFDS_GTE2_X0Y0 CLASS site SITEPROP IBUFDS_GTE2_X0Y0 CLOCK_REGION X1Y2 SITEPROP IBUFDS_GTE2_X0Y0 IS_BONDED 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_CLOCK_PAD 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_PAD 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_RESERVED 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_TEST 0 SITEPROP IBUFDS_GTE2_X0Y0 IS_USED 0 SITEPROP IBUFDS_GTE2_X0Y0 MANUAL_ROUTING SITEPROP IBUFDS_GTE2_X0Y0 NAME IBUFDS_GTE2_X0Y0 SITEPROP IBUFDS_GTE2_X0Y0 NUM_ARCS 2 SITEPROP IBUFDS_GTE2_X0Y0 NUM_BELS 1 SITEPROP IBUFDS_GTE2_X0Y0 NUM_INPUTS 4 SITEPROP IBUFDS_GTE2_X0Y0 NUM_OUTPUTS 2 SITEPROP IBUFDS_GTE2_X0Y0 NUM_PINS 6 SITEPROP IBUFDS_GTE2_X0Y0 PRIMITIVE_COUNT 0 SITEPROP IBUFDS_GTE2_X0Y0 PROHIBIT 0 SITEPROP IBUFDS_GTE2_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IBUFDS_GTE2_X0Y0 RPM_X 153 SITEPROP IBUFDS_GTE2_X0Y0 RPM_Y 248 SITEPROP IBUFDS_GTE2_X0Y0 SITE_PIPS SITEPROP IBUFDS_GTE2_X0Y0 SITE_TYPE IBUFDS_GTE2 SITEPROP IBUFDS_GTE2_X0Y1 ALTERNATE_SITE_TYPES SITEPROP IBUFDS_GTE2_X0Y1 CLASS site SITEPROP IBUFDS_GTE2_X0Y1 CLOCK_REGION X1Y2 SITEPROP IBUFDS_GTE2_X0Y1 IS_BONDED 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_CLOCK_PAD 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_PAD 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_RESERVED 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_TEST 0 SITEPROP IBUFDS_GTE2_X0Y1 IS_USED 0 SITEPROP IBUFDS_GTE2_X0Y1 MANUAL_ROUTING SITEPROP IBUFDS_GTE2_X0Y1 NAME IBUFDS_GTE2_X0Y1 SITEPROP IBUFDS_GTE2_X0Y1 NUM_ARCS 2 SITEPROP IBUFDS_GTE2_X0Y1 NUM_BELS 1 SITEPROP IBUFDS_GTE2_X0Y1 NUM_INPUTS 4 SITEPROP IBUFDS_GTE2_X0Y1 NUM_OUTPUTS 2 SITEPROP IBUFDS_GTE2_X0Y1 NUM_PINS 6 SITEPROP IBUFDS_GTE2_X0Y1 PRIMITIVE_COUNT 0 SITEPROP IBUFDS_GTE2_X0Y1 PROHIBIT 0 SITEPROP IBUFDS_GTE2_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IBUFDS_GTE2_X0Y1 RPM_X 153 SITEPROP IBUFDS_GTE2_X0Y1 RPM_Y 249 SITEPROP IBUFDS_GTE2_X0Y1 SITE_PIPS SITEPROP IBUFDS_GTE2_X0Y1 SITE_TYPE IBUFDS_GTE2 SITEPROP ICAP_X0Y0 ALTERNATE_SITE_TYPES SITEPROP ICAP_X0Y0 CLASS site SITEPROP ICAP_X0Y0 CLOCK_REGION X0Y0 SITEPROP ICAP_X0Y0 IS_BONDED 0 SITEPROP ICAP_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP ICAP_X0Y0 IS_CLOCK_PAD 0 SITEPROP ICAP_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ICAP_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ICAP_X0Y0 IS_PAD 0 SITEPROP ICAP_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ICAP_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ICAP_X0Y0 IS_RESERVED 0 SITEPROP ICAP_X0Y0 IS_TEST 0 SITEPROP ICAP_X0Y0 IS_USED 0 SITEPROP ICAP_X0Y0 MANUAL_ROUTING SITEPROP ICAP_X0Y0 NAME ICAP_X0Y0 SITEPROP ICAP_X0Y0 NUM_ARCS 0 SITEPROP ICAP_X0Y0 NUM_BELS 1 SITEPROP ICAP_X0Y0 NUM_INPUTS 35 SITEPROP ICAP_X0Y0 NUM_OUTPUTS 32 SITEPROP ICAP_X0Y0 NUM_PINS 67 SITEPROP ICAP_X0Y0 PRIMITIVE_COUNT 0 SITEPROP ICAP_X0Y0 PROHIBIT 0 SITEPROP ICAP_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP ICAP_X0Y0 RPM_X 77 SITEPROP ICAP_X0Y0 RPM_Y 48 SITEPROP ICAP_X0Y0 SITE_PIPS SITEPROP ICAP_X0Y0 SITE_TYPE ICAP SITEPROP ICAP_X0Y1 ALTERNATE_SITE_TYPES SITEPROP ICAP_X0Y1 CLASS site SITEPROP ICAP_X0Y1 CLOCK_REGION X0Y0 SITEPROP ICAP_X0Y1 IS_BONDED 0 SITEPROP ICAP_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP ICAP_X0Y1 IS_CLOCK_PAD 0 SITEPROP ICAP_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ICAP_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ICAP_X0Y1 IS_PAD 0 SITEPROP ICAP_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ICAP_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ICAP_X0Y1 IS_RESERVED 0 SITEPROP ICAP_X0Y1 IS_TEST 0 SITEPROP ICAP_X0Y1 IS_USED 0 SITEPROP ICAP_X0Y1 MANUAL_ROUTING SITEPROP ICAP_X0Y1 NAME ICAP_X0Y1 SITEPROP ICAP_X0Y1 NUM_ARCS 0 SITEPROP ICAP_X0Y1 NUM_BELS 1 SITEPROP ICAP_X0Y1 NUM_INPUTS 35 SITEPROP ICAP_X0Y1 NUM_OUTPUTS 32 SITEPROP ICAP_X0Y1 NUM_PINS 67 SITEPROP ICAP_X0Y1 PRIMITIVE_COUNT 0 SITEPROP ICAP_X0Y1 PROHIBIT 0 SITEPROP ICAP_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP ICAP_X0Y1 RPM_X 77 SITEPROP ICAP_X0Y1 RPM_Y 66 SITEPROP ICAP_X0Y1 SITE_PIPS SITEPROP ICAP_X0Y1 SITE_TYPE ICAP SITEPROP IDELAYCTRL_X0Y0 ALTERNATE_SITE_TYPES SITEPROP IDELAYCTRL_X0Y0 CLASS site SITEPROP IDELAYCTRL_X0Y0 CLOCK_REGION X0Y0 SITEPROP IDELAYCTRL_X0Y0 IS_BONDED 0 SITEPROP IDELAYCTRL_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X0Y0 IS_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X0Y0 IS_PAD 0 SITEPROP IDELAYCTRL_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X0Y0 IS_RESERVED 0 SITEPROP IDELAYCTRL_X0Y0 IS_TEST 0 SITEPROP IDELAYCTRL_X0Y0 IS_USED 0 SITEPROP IDELAYCTRL_X0Y0 MANUAL_ROUTING SITEPROP IDELAYCTRL_X0Y0 NAME IDELAYCTRL_X0Y0 SITEPROP IDELAYCTRL_X0Y0 NUM_ARCS 0 SITEPROP IDELAYCTRL_X0Y0 NUM_BELS 1 SITEPROP IDELAYCTRL_X0Y0 NUM_INPUTS 2 SITEPROP IDELAYCTRL_X0Y0 NUM_OUTPUTS 5 SITEPROP IDELAYCTRL_X0Y0 NUM_PINS 7 SITEPROP IDELAYCTRL_X0Y0 PRIMITIVE_COUNT 0 SITEPROP IDELAYCTRL_X0Y0 PROHIBIT 0 SITEPROP IDELAYCTRL_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAYCTRL_X0Y0 RPM_X 0 SITEPROP IDELAYCTRL_X0Y0 RPM_Y 61 SITEPROP IDELAYCTRL_X0Y0 SITE_PIPS SITEPROP IDELAYCTRL_X0Y0 SITE_TYPE IDELAYCTRL SITEPROP IDELAYCTRL_X0Y1 ALTERNATE_SITE_TYPES SITEPROP IDELAYCTRL_X0Y1 CLASS site SITEPROP IDELAYCTRL_X0Y1 CLOCK_REGION X0Y1 SITEPROP IDELAYCTRL_X0Y1 IS_BONDED 0 SITEPROP IDELAYCTRL_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X0Y1 IS_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X0Y1 IS_PAD 0 SITEPROP IDELAYCTRL_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X0Y1 IS_RESERVED 0 SITEPROP IDELAYCTRL_X0Y1 IS_TEST 0 SITEPROP IDELAYCTRL_X0Y1 IS_USED 0 SITEPROP IDELAYCTRL_X0Y1 MANUAL_ROUTING SITEPROP IDELAYCTRL_X0Y1 NAME IDELAYCTRL_X0Y1 SITEPROP IDELAYCTRL_X0Y1 NUM_ARCS 0 SITEPROP IDELAYCTRL_X0Y1 NUM_BELS 1 SITEPROP IDELAYCTRL_X0Y1 NUM_INPUTS 2 SITEPROP IDELAYCTRL_X0Y1 NUM_OUTPUTS 5 SITEPROP IDELAYCTRL_X0Y1 NUM_PINS 7 SITEPROP IDELAYCTRL_X0Y1 PRIMITIVE_COUNT 0 SITEPROP IDELAYCTRL_X0Y1 PROHIBIT 0 SITEPROP IDELAYCTRL_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAYCTRL_X0Y1 RPM_X 0 SITEPROP IDELAYCTRL_X0Y1 RPM_Y 161 SITEPROP IDELAYCTRL_X0Y1 SITE_PIPS SITEPROP IDELAYCTRL_X0Y1 SITE_TYPE IDELAYCTRL SITEPROP IDELAYCTRL_X0Y2 ALTERNATE_SITE_TYPES SITEPROP IDELAYCTRL_X0Y2 CLASS site SITEPROP IDELAYCTRL_X0Y2 CLOCK_REGION X0Y2 SITEPROP IDELAYCTRL_X0Y2 IS_BONDED 0 SITEPROP IDELAYCTRL_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X0Y2 IS_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X0Y2 IS_PAD 0 SITEPROP IDELAYCTRL_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X0Y2 IS_RESERVED 0 SITEPROP IDELAYCTRL_X0Y2 IS_TEST 0 SITEPROP IDELAYCTRL_X0Y2 IS_USED 0 SITEPROP IDELAYCTRL_X0Y2 MANUAL_ROUTING SITEPROP IDELAYCTRL_X0Y2 NAME IDELAYCTRL_X0Y2 SITEPROP IDELAYCTRL_X0Y2 NUM_ARCS 0 SITEPROP IDELAYCTRL_X0Y2 NUM_BELS 1 SITEPROP IDELAYCTRL_X0Y2 NUM_INPUTS 2 SITEPROP IDELAYCTRL_X0Y2 NUM_OUTPUTS 5 SITEPROP IDELAYCTRL_X0Y2 NUM_PINS 7 SITEPROP IDELAYCTRL_X0Y2 PRIMITIVE_COUNT 0 SITEPROP IDELAYCTRL_X0Y2 PROHIBIT 0 SITEPROP IDELAYCTRL_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAYCTRL_X0Y2 RPM_X 0 SITEPROP IDELAYCTRL_X0Y2 RPM_Y 261 SITEPROP IDELAYCTRL_X0Y2 SITE_PIPS SITEPROP IDELAYCTRL_X0Y2 SITE_TYPE IDELAYCTRL SITEPROP IDELAYCTRL_X1Y0 ALTERNATE_SITE_TYPES SITEPROP IDELAYCTRL_X1Y0 CLASS site SITEPROP IDELAYCTRL_X1Y0 CLOCK_REGION X1Y0 SITEPROP IDELAYCTRL_X1Y0 IS_BONDED 0 SITEPROP IDELAYCTRL_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X1Y0 IS_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X1Y0 IS_PAD 0 SITEPROP IDELAYCTRL_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X1Y0 IS_RESERVED 0 SITEPROP IDELAYCTRL_X1Y0 IS_TEST 0 SITEPROP IDELAYCTRL_X1Y0 IS_USED 0 SITEPROP IDELAYCTRL_X1Y0 MANUAL_ROUTING SITEPROP IDELAYCTRL_X1Y0 NAME IDELAYCTRL_X1Y0 SITEPROP IDELAYCTRL_X1Y0 NUM_ARCS 0 SITEPROP IDELAYCTRL_X1Y0 NUM_BELS 1 SITEPROP IDELAYCTRL_X1Y0 NUM_INPUTS 2 SITEPROP IDELAYCTRL_X1Y0 NUM_OUTPUTS 5 SITEPROP IDELAYCTRL_X1Y0 NUM_PINS 7 SITEPROP IDELAYCTRL_X1Y0 PRIMITIVE_COUNT 0 SITEPROP IDELAYCTRL_X1Y0 PROHIBIT 0 SITEPROP IDELAYCTRL_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAYCTRL_X1Y0 RPM_X 180 SITEPROP IDELAYCTRL_X1Y0 RPM_Y 61 SITEPROP IDELAYCTRL_X1Y0 SITE_PIPS SITEPROP IDELAYCTRL_X1Y0 SITE_TYPE IDELAYCTRL SITEPROP IDELAYCTRL_X1Y1 ALTERNATE_SITE_TYPES SITEPROP IDELAYCTRL_X1Y1 CLASS site SITEPROP IDELAYCTRL_X1Y1 CLOCK_REGION X1Y1 SITEPROP IDELAYCTRL_X1Y1 IS_BONDED 0 SITEPROP IDELAYCTRL_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X1Y1 IS_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X1Y1 IS_PAD 0 SITEPROP IDELAYCTRL_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAYCTRL_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAYCTRL_X1Y1 IS_RESERVED 0 SITEPROP IDELAYCTRL_X1Y1 IS_TEST 0 SITEPROP IDELAYCTRL_X1Y1 IS_USED 0 SITEPROP IDELAYCTRL_X1Y1 MANUAL_ROUTING SITEPROP IDELAYCTRL_X1Y1 NAME IDELAYCTRL_X1Y1 SITEPROP IDELAYCTRL_X1Y1 NUM_ARCS 0 SITEPROP IDELAYCTRL_X1Y1 NUM_BELS 1 SITEPROP IDELAYCTRL_X1Y1 NUM_INPUTS 2 SITEPROP IDELAYCTRL_X1Y1 NUM_OUTPUTS 5 SITEPROP IDELAYCTRL_X1Y1 NUM_PINS 7 SITEPROP IDELAYCTRL_X1Y1 PRIMITIVE_COUNT 0 SITEPROP IDELAYCTRL_X1Y1 PROHIBIT 0 SITEPROP IDELAYCTRL_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAYCTRL_X1Y1 RPM_X 180 SITEPROP IDELAYCTRL_X1Y1 RPM_Y 161 SITEPROP IDELAYCTRL_X1Y1 SITE_PIPS SITEPROP IDELAYCTRL_X1Y1 SITE_TYPE IDELAYCTRL SITEPROP IDELAY_X0Y0 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y0 CLASS site SITEPROP IDELAY_X0Y0 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y0 IS_BONDED 0 SITEPROP IDELAY_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y0 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y0 IS_PAD 0 SITEPROP IDELAY_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y0 IS_RESERVED 0 SITEPROP IDELAY_X0Y0 IS_TEST 0 SITEPROP IDELAY_X0Y0 IS_USED 0 SITEPROP IDELAY_X0Y0 MANUAL_ROUTING SITEPROP IDELAY_X0Y0 NAME IDELAY_X0Y0 SITEPROP IDELAY_X0Y0 NUM_ARCS 6 SITEPROP IDELAY_X0Y0 NUM_BELS 1 SITEPROP IDELAY_X0Y0 NUM_INPUTS 17 SITEPROP IDELAY_X0Y0 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y0 NUM_PINS 23 SITEPROP IDELAY_X0Y0 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y0 PROHIBIT 0 SITEPROP IDELAY_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y0 RPM_X 5 SITEPROP IDELAY_X0Y0 RPM_Y 0 SITEPROP IDELAY_X0Y0 SITE_PIPS SITEPROP IDELAY_X0Y0 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y1 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y1 CLASS site SITEPROP IDELAY_X0Y1 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y1 IS_BONDED 0 SITEPROP IDELAY_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y1 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y1 IS_PAD 0 SITEPROP IDELAY_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y1 IS_RESERVED 0 SITEPROP IDELAY_X0Y1 IS_TEST 0 SITEPROP IDELAY_X0Y1 IS_USED 0 SITEPROP IDELAY_X0Y1 MANUAL_ROUTING SITEPROP IDELAY_X0Y1 NAME IDELAY_X0Y1 SITEPROP IDELAY_X0Y1 NUM_ARCS 6 SITEPROP IDELAY_X0Y1 NUM_BELS 1 SITEPROP IDELAY_X0Y1 NUM_INPUTS 17 SITEPROP IDELAY_X0Y1 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y1 NUM_PINS 23 SITEPROP IDELAY_X0Y1 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y1 PROHIBIT 0 SITEPROP IDELAY_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y1 RPM_X 5 SITEPROP IDELAY_X0Y1 RPM_Y 3 SITEPROP IDELAY_X0Y1 SITE_PIPS SITEPROP IDELAY_X0Y1 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y2 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y2 CLASS site SITEPROP IDELAY_X0Y2 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y2 IS_BONDED 0 SITEPROP IDELAY_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y2 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y2 IS_PAD 0 SITEPROP IDELAY_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y2 IS_RESERVED 0 SITEPROP IDELAY_X0Y2 IS_TEST 0 SITEPROP IDELAY_X0Y2 IS_USED 0 SITEPROP IDELAY_X0Y2 MANUAL_ROUTING SITEPROP IDELAY_X0Y2 NAME IDELAY_X0Y2 SITEPROP IDELAY_X0Y2 NUM_ARCS 6 SITEPROP IDELAY_X0Y2 NUM_BELS 1 SITEPROP IDELAY_X0Y2 NUM_INPUTS 17 SITEPROP IDELAY_X0Y2 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y2 NUM_PINS 23 SITEPROP IDELAY_X0Y2 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y2 PROHIBIT 0 SITEPROP IDELAY_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y2 RPM_X 5 SITEPROP IDELAY_X0Y2 RPM_Y 2 SITEPROP IDELAY_X0Y2 SITE_PIPS SITEPROP IDELAY_X0Y2 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y3 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y3 CLASS site SITEPROP IDELAY_X0Y3 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y3 IS_BONDED 0 SITEPROP IDELAY_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y3 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y3 IS_PAD 0 SITEPROP IDELAY_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y3 IS_RESERVED 0 SITEPROP IDELAY_X0Y3 IS_TEST 0 SITEPROP IDELAY_X0Y3 IS_USED 0 SITEPROP IDELAY_X0Y3 MANUAL_ROUTING SITEPROP IDELAY_X0Y3 NAME IDELAY_X0Y3 SITEPROP IDELAY_X0Y3 NUM_ARCS 6 SITEPROP IDELAY_X0Y3 NUM_BELS 1 SITEPROP IDELAY_X0Y3 NUM_INPUTS 17 SITEPROP IDELAY_X0Y3 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y3 NUM_PINS 23 SITEPROP IDELAY_X0Y3 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y3 PROHIBIT 0 SITEPROP IDELAY_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y3 RPM_X 5 SITEPROP IDELAY_X0Y3 RPM_Y 7 SITEPROP IDELAY_X0Y3 SITE_PIPS SITEPROP IDELAY_X0Y3 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y4 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y4 CLASS site SITEPROP IDELAY_X0Y4 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y4 IS_BONDED 0 SITEPROP IDELAY_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y4 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y4 IS_PAD 0 SITEPROP IDELAY_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y4 IS_RESERVED 0 SITEPROP IDELAY_X0Y4 IS_TEST 0 SITEPROP IDELAY_X0Y4 IS_USED 0 SITEPROP IDELAY_X0Y4 MANUAL_ROUTING SITEPROP IDELAY_X0Y4 NAME IDELAY_X0Y4 SITEPROP IDELAY_X0Y4 NUM_ARCS 6 SITEPROP IDELAY_X0Y4 NUM_BELS 1 SITEPROP IDELAY_X0Y4 NUM_INPUTS 17 SITEPROP IDELAY_X0Y4 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y4 NUM_PINS 23 SITEPROP IDELAY_X0Y4 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y4 PROHIBIT 0 SITEPROP IDELAY_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y4 RPM_X 5 SITEPROP IDELAY_X0Y4 RPM_Y 6 SITEPROP IDELAY_X0Y4 SITE_PIPS SITEPROP IDELAY_X0Y4 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y5 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y5 CLASS site SITEPROP IDELAY_X0Y5 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y5 IS_BONDED 0 SITEPROP IDELAY_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y5 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y5 IS_PAD 0 SITEPROP IDELAY_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y5 IS_RESERVED 0 SITEPROP IDELAY_X0Y5 IS_TEST 0 SITEPROP IDELAY_X0Y5 IS_USED 0 SITEPROP IDELAY_X0Y5 MANUAL_ROUTING SITEPROP IDELAY_X0Y5 NAME IDELAY_X0Y5 SITEPROP IDELAY_X0Y5 NUM_ARCS 6 SITEPROP IDELAY_X0Y5 NUM_BELS 1 SITEPROP IDELAY_X0Y5 NUM_INPUTS 17 SITEPROP IDELAY_X0Y5 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y5 NUM_PINS 23 SITEPROP IDELAY_X0Y5 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y5 PROHIBIT 0 SITEPROP IDELAY_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y5 RPM_X 5 SITEPROP IDELAY_X0Y5 RPM_Y 11 SITEPROP IDELAY_X0Y5 SITE_PIPS SITEPROP IDELAY_X0Y5 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y6 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y6 CLASS site SITEPROP IDELAY_X0Y6 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y6 IS_BONDED 0 SITEPROP IDELAY_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y6 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y6 IS_PAD 0 SITEPROP IDELAY_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y6 IS_RESERVED 0 SITEPROP IDELAY_X0Y6 IS_TEST 0 SITEPROP IDELAY_X0Y6 IS_USED 0 SITEPROP IDELAY_X0Y6 MANUAL_ROUTING SITEPROP IDELAY_X0Y6 NAME IDELAY_X0Y6 SITEPROP IDELAY_X0Y6 NUM_ARCS 6 SITEPROP IDELAY_X0Y6 NUM_BELS 1 SITEPROP IDELAY_X0Y6 NUM_INPUTS 17 SITEPROP IDELAY_X0Y6 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y6 NUM_PINS 23 SITEPROP IDELAY_X0Y6 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y6 PROHIBIT 0 SITEPROP IDELAY_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y6 RPM_X 5 SITEPROP IDELAY_X0Y6 RPM_Y 10 SITEPROP IDELAY_X0Y6 SITE_PIPS SITEPROP IDELAY_X0Y6 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y7 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y7 CLASS site SITEPROP IDELAY_X0Y7 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y7 IS_BONDED 0 SITEPROP IDELAY_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y7 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y7 IS_PAD 0 SITEPROP IDELAY_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y7 IS_RESERVED 0 SITEPROP IDELAY_X0Y7 IS_TEST 0 SITEPROP IDELAY_X0Y7 IS_USED 0 SITEPROP IDELAY_X0Y7 MANUAL_ROUTING SITEPROP IDELAY_X0Y7 NAME IDELAY_X0Y7 SITEPROP IDELAY_X0Y7 NUM_ARCS 6 SITEPROP IDELAY_X0Y7 NUM_BELS 1 SITEPROP IDELAY_X0Y7 NUM_INPUTS 17 SITEPROP IDELAY_X0Y7 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y7 NUM_PINS 23 SITEPROP IDELAY_X0Y7 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y7 PROHIBIT 0 SITEPROP IDELAY_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y7 RPM_X 5 SITEPROP IDELAY_X0Y7 RPM_Y 15 SITEPROP IDELAY_X0Y7 SITE_PIPS SITEPROP IDELAY_X0Y7 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y8 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y8 CLASS site SITEPROP IDELAY_X0Y8 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y8 IS_BONDED 0 SITEPROP IDELAY_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y8 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y8 IS_PAD 0 SITEPROP IDELAY_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y8 IS_RESERVED 0 SITEPROP IDELAY_X0Y8 IS_TEST 0 SITEPROP IDELAY_X0Y8 IS_USED 0 SITEPROP IDELAY_X0Y8 MANUAL_ROUTING SITEPROP IDELAY_X0Y8 NAME IDELAY_X0Y8 SITEPROP IDELAY_X0Y8 NUM_ARCS 6 SITEPROP IDELAY_X0Y8 NUM_BELS 1 SITEPROP IDELAY_X0Y8 NUM_INPUTS 17 SITEPROP IDELAY_X0Y8 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y8 NUM_PINS 23 SITEPROP IDELAY_X0Y8 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y8 PROHIBIT 0 SITEPROP IDELAY_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y8 RPM_X 5 SITEPROP IDELAY_X0Y8 RPM_Y 14 SITEPROP IDELAY_X0Y8 SITE_PIPS SITEPROP IDELAY_X0Y8 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y9 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y9 CLASS site SITEPROP IDELAY_X0Y9 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y9 IS_BONDED 0 SITEPROP IDELAY_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y9 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y9 IS_PAD 0 SITEPROP IDELAY_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y9 IS_RESERVED 0 SITEPROP IDELAY_X0Y9 IS_TEST 0 SITEPROP IDELAY_X0Y9 IS_USED 0 SITEPROP IDELAY_X0Y9 MANUAL_ROUTING SITEPROP IDELAY_X0Y9 NAME IDELAY_X0Y9 SITEPROP IDELAY_X0Y9 NUM_ARCS 6 SITEPROP IDELAY_X0Y9 NUM_BELS 1 SITEPROP IDELAY_X0Y9 NUM_INPUTS 17 SITEPROP IDELAY_X0Y9 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y9 NUM_PINS 23 SITEPROP IDELAY_X0Y9 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y9 PROHIBIT 0 SITEPROP IDELAY_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y9 RPM_X 5 SITEPROP IDELAY_X0Y9 RPM_Y 19 SITEPROP IDELAY_X0Y9 SITE_PIPS SITEPROP IDELAY_X0Y9 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y10 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y10 CLASS site SITEPROP IDELAY_X0Y10 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y10 IS_BONDED 0 SITEPROP IDELAY_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y10 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y10 IS_PAD 0 SITEPROP IDELAY_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y10 IS_RESERVED 0 SITEPROP IDELAY_X0Y10 IS_TEST 0 SITEPROP IDELAY_X0Y10 IS_USED 0 SITEPROP IDELAY_X0Y10 MANUAL_ROUTING SITEPROP IDELAY_X0Y10 NAME IDELAY_X0Y10 SITEPROP IDELAY_X0Y10 NUM_ARCS 6 SITEPROP IDELAY_X0Y10 NUM_BELS 1 SITEPROP IDELAY_X0Y10 NUM_INPUTS 17 SITEPROP IDELAY_X0Y10 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y10 NUM_PINS 23 SITEPROP IDELAY_X0Y10 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y10 PROHIBIT 0 SITEPROP IDELAY_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y10 RPM_X 5 SITEPROP IDELAY_X0Y10 RPM_Y 18 SITEPROP IDELAY_X0Y10 SITE_PIPS SITEPROP IDELAY_X0Y10 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y11 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y11 CLASS site SITEPROP IDELAY_X0Y11 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y11 IS_BONDED 0 SITEPROP IDELAY_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y11 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y11 IS_PAD 0 SITEPROP IDELAY_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y11 IS_RESERVED 0 SITEPROP IDELAY_X0Y11 IS_TEST 0 SITEPROP IDELAY_X0Y11 IS_USED 0 SITEPROP IDELAY_X0Y11 MANUAL_ROUTING SITEPROP IDELAY_X0Y11 NAME IDELAY_X0Y11 SITEPROP IDELAY_X0Y11 NUM_ARCS 6 SITEPROP IDELAY_X0Y11 NUM_BELS 1 SITEPROP IDELAY_X0Y11 NUM_INPUTS 17 SITEPROP IDELAY_X0Y11 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y11 NUM_PINS 23 SITEPROP IDELAY_X0Y11 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y11 PROHIBIT 0 SITEPROP IDELAY_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y11 RPM_X 5 SITEPROP IDELAY_X0Y11 RPM_Y 23 SITEPROP IDELAY_X0Y11 SITE_PIPS SITEPROP IDELAY_X0Y11 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y12 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y12 CLASS site SITEPROP IDELAY_X0Y12 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y12 IS_BONDED 0 SITEPROP IDELAY_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y12 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y12 IS_PAD 0 SITEPROP IDELAY_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y12 IS_RESERVED 0 SITEPROP IDELAY_X0Y12 IS_TEST 0 SITEPROP IDELAY_X0Y12 IS_USED 0 SITEPROP IDELAY_X0Y12 MANUAL_ROUTING SITEPROP IDELAY_X0Y12 NAME IDELAY_X0Y12 SITEPROP IDELAY_X0Y12 NUM_ARCS 6 SITEPROP IDELAY_X0Y12 NUM_BELS 1 SITEPROP IDELAY_X0Y12 NUM_INPUTS 17 SITEPROP IDELAY_X0Y12 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y12 NUM_PINS 23 SITEPROP IDELAY_X0Y12 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y12 PROHIBIT 0 SITEPROP IDELAY_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y12 RPM_X 5 SITEPROP IDELAY_X0Y12 RPM_Y 22 SITEPROP IDELAY_X0Y12 SITE_PIPS SITEPROP IDELAY_X0Y12 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y13 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y13 CLASS site SITEPROP IDELAY_X0Y13 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y13 IS_BONDED 0 SITEPROP IDELAY_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y13 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y13 IS_PAD 0 SITEPROP IDELAY_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y13 IS_RESERVED 0 SITEPROP IDELAY_X0Y13 IS_TEST 0 SITEPROP IDELAY_X0Y13 IS_USED 0 SITEPROP IDELAY_X0Y13 MANUAL_ROUTING SITEPROP IDELAY_X0Y13 NAME IDELAY_X0Y13 SITEPROP IDELAY_X0Y13 NUM_ARCS 6 SITEPROP IDELAY_X0Y13 NUM_BELS 1 SITEPROP IDELAY_X0Y13 NUM_INPUTS 17 SITEPROP IDELAY_X0Y13 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y13 NUM_PINS 23 SITEPROP IDELAY_X0Y13 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y13 PROHIBIT 0 SITEPROP IDELAY_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y13 RPM_X 5 SITEPROP IDELAY_X0Y13 RPM_Y 27 SITEPROP IDELAY_X0Y13 SITE_PIPS SITEPROP IDELAY_X0Y13 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y14 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y14 CLASS site SITEPROP IDELAY_X0Y14 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y14 IS_BONDED 0 SITEPROP IDELAY_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y14 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y14 IS_PAD 0 SITEPROP IDELAY_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y14 IS_RESERVED 0 SITEPROP IDELAY_X0Y14 IS_TEST 0 SITEPROP IDELAY_X0Y14 IS_USED 0 SITEPROP IDELAY_X0Y14 MANUAL_ROUTING SITEPROP IDELAY_X0Y14 NAME IDELAY_X0Y14 SITEPROP IDELAY_X0Y14 NUM_ARCS 6 SITEPROP IDELAY_X0Y14 NUM_BELS 1 SITEPROP IDELAY_X0Y14 NUM_INPUTS 17 SITEPROP IDELAY_X0Y14 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y14 NUM_PINS 23 SITEPROP IDELAY_X0Y14 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y14 PROHIBIT 0 SITEPROP IDELAY_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y14 RPM_X 5 SITEPROP IDELAY_X0Y14 RPM_Y 26 SITEPROP IDELAY_X0Y14 SITE_PIPS SITEPROP IDELAY_X0Y14 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y15 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y15 CLASS site SITEPROP IDELAY_X0Y15 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y15 IS_BONDED 0 SITEPROP IDELAY_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y15 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y15 IS_PAD 0 SITEPROP IDELAY_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y15 IS_RESERVED 0 SITEPROP IDELAY_X0Y15 IS_TEST 0 SITEPROP IDELAY_X0Y15 IS_USED 0 SITEPROP IDELAY_X0Y15 MANUAL_ROUTING SITEPROP IDELAY_X0Y15 NAME IDELAY_X0Y15 SITEPROP IDELAY_X0Y15 NUM_ARCS 6 SITEPROP IDELAY_X0Y15 NUM_BELS 1 SITEPROP IDELAY_X0Y15 NUM_INPUTS 17 SITEPROP IDELAY_X0Y15 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y15 NUM_PINS 23 SITEPROP IDELAY_X0Y15 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y15 PROHIBIT 0 SITEPROP IDELAY_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y15 RPM_X 5 SITEPROP IDELAY_X0Y15 RPM_Y 31 SITEPROP IDELAY_X0Y15 SITE_PIPS SITEPROP IDELAY_X0Y15 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y16 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y16 CLASS site SITEPROP IDELAY_X0Y16 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y16 IS_BONDED 0 SITEPROP IDELAY_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y16 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y16 IS_PAD 0 SITEPROP IDELAY_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y16 IS_RESERVED 0 SITEPROP IDELAY_X0Y16 IS_TEST 0 SITEPROP IDELAY_X0Y16 IS_USED 0 SITEPROP IDELAY_X0Y16 MANUAL_ROUTING SITEPROP IDELAY_X0Y16 NAME IDELAY_X0Y16 SITEPROP IDELAY_X0Y16 NUM_ARCS 6 SITEPROP IDELAY_X0Y16 NUM_BELS 1 SITEPROP IDELAY_X0Y16 NUM_INPUTS 17 SITEPROP IDELAY_X0Y16 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y16 NUM_PINS 23 SITEPROP IDELAY_X0Y16 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y16 PROHIBIT 0 SITEPROP IDELAY_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y16 RPM_X 5 SITEPROP IDELAY_X0Y16 RPM_Y 30 SITEPROP IDELAY_X0Y16 SITE_PIPS SITEPROP IDELAY_X0Y16 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y17 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y17 CLASS site SITEPROP IDELAY_X0Y17 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y17 IS_BONDED 0 SITEPROP IDELAY_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y17 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y17 IS_PAD 0 SITEPROP IDELAY_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y17 IS_RESERVED 0 SITEPROP IDELAY_X0Y17 IS_TEST 0 SITEPROP IDELAY_X0Y17 IS_USED 0 SITEPROP IDELAY_X0Y17 MANUAL_ROUTING SITEPROP IDELAY_X0Y17 NAME IDELAY_X0Y17 SITEPROP IDELAY_X0Y17 NUM_ARCS 6 SITEPROP IDELAY_X0Y17 NUM_BELS 1 SITEPROP IDELAY_X0Y17 NUM_INPUTS 17 SITEPROP IDELAY_X0Y17 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y17 NUM_PINS 23 SITEPROP IDELAY_X0Y17 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y17 PROHIBIT 0 SITEPROP IDELAY_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y17 RPM_X 5 SITEPROP IDELAY_X0Y17 RPM_Y 35 SITEPROP IDELAY_X0Y17 SITE_PIPS SITEPROP IDELAY_X0Y17 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y18 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y18 CLASS site SITEPROP IDELAY_X0Y18 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y18 IS_BONDED 0 SITEPROP IDELAY_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y18 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y18 IS_PAD 0 SITEPROP IDELAY_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y18 IS_RESERVED 0 SITEPROP IDELAY_X0Y18 IS_TEST 0 SITEPROP IDELAY_X0Y18 IS_USED 0 SITEPROP IDELAY_X0Y18 MANUAL_ROUTING SITEPROP IDELAY_X0Y18 NAME IDELAY_X0Y18 SITEPROP IDELAY_X0Y18 NUM_ARCS 6 SITEPROP IDELAY_X0Y18 NUM_BELS 1 SITEPROP IDELAY_X0Y18 NUM_INPUTS 17 SITEPROP IDELAY_X0Y18 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y18 NUM_PINS 23 SITEPROP IDELAY_X0Y18 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y18 PROHIBIT 0 SITEPROP IDELAY_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y18 RPM_X 5 SITEPROP IDELAY_X0Y18 RPM_Y 34 SITEPROP IDELAY_X0Y18 SITE_PIPS SITEPROP IDELAY_X0Y18 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y19 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y19 CLASS site SITEPROP IDELAY_X0Y19 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y19 IS_BONDED 0 SITEPROP IDELAY_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y19 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y19 IS_PAD 0 SITEPROP IDELAY_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y19 IS_RESERVED 0 SITEPROP IDELAY_X0Y19 IS_TEST 0 SITEPROP IDELAY_X0Y19 IS_USED 0 SITEPROP IDELAY_X0Y19 MANUAL_ROUTING SITEPROP IDELAY_X0Y19 NAME IDELAY_X0Y19 SITEPROP IDELAY_X0Y19 NUM_ARCS 6 SITEPROP IDELAY_X0Y19 NUM_BELS 1 SITEPROP IDELAY_X0Y19 NUM_INPUTS 17 SITEPROP IDELAY_X0Y19 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y19 NUM_PINS 23 SITEPROP IDELAY_X0Y19 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y19 PROHIBIT 0 SITEPROP IDELAY_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y19 RPM_X 5 SITEPROP IDELAY_X0Y19 RPM_Y 39 SITEPROP IDELAY_X0Y19 SITE_PIPS SITEPROP IDELAY_X0Y19 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y20 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y20 CLASS site SITEPROP IDELAY_X0Y20 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y20 IS_BONDED 0 SITEPROP IDELAY_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y20 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y20 IS_PAD 0 SITEPROP IDELAY_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y20 IS_RESERVED 0 SITEPROP IDELAY_X0Y20 IS_TEST 0 SITEPROP IDELAY_X0Y20 IS_USED 0 SITEPROP IDELAY_X0Y20 MANUAL_ROUTING SITEPROP IDELAY_X0Y20 NAME IDELAY_X0Y20 SITEPROP IDELAY_X0Y20 NUM_ARCS 6 SITEPROP IDELAY_X0Y20 NUM_BELS 1 SITEPROP IDELAY_X0Y20 NUM_INPUTS 17 SITEPROP IDELAY_X0Y20 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y20 NUM_PINS 23 SITEPROP IDELAY_X0Y20 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y20 PROHIBIT 0 SITEPROP IDELAY_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y20 RPM_X 5 SITEPROP IDELAY_X0Y20 RPM_Y 38 SITEPROP IDELAY_X0Y20 SITE_PIPS SITEPROP IDELAY_X0Y20 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y21 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y21 CLASS site SITEPROP IDELAY_X0Y21 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y21 IS_BONDED 0 SITEPROP IDELAY_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y21 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y21 IS_PAD 0 SITEPROP IDELAY_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y21 IS_RESERVED 0 SITEPROP IDELAY_X0Y21 IS_TEST 0 SITEPROP IDELAY_X0Y21 IS_USED 0 SITEPROP IDELAY_X0Y21 MANUAL_ROUTING SITEPROP IDELAY_X0Y21 NAME IDELAY_X0Y21 SITEPROP IDELAY_X0Y21 NUM_ARCS 6 SITEPROP IDELAY_X0Y21 NUM_BELS 1 SITEPROP IDELAY_X0Y21 NUM_INPUTS 17 SITEPROP IDELAY_X0Y21 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y21 NUM_PINS 23 SITEPROP IDELAY_X0Y21 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y21 PROHIBIT 0 SITEPROP IDELAY_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y21 RPM_X 5 SITEPROP IDELAY_X0Y21 RPM_Y 43 SITEPROP IDELAY_X0Y21 SITE_PIPS SITEPROP IDELAY_X0Y21 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y22 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y22 CLASS site SITEPROP IDELAY_X0Y22 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y22 IS_BONDED 0 SITEPROP IDELAY_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y22 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y22 IS_PAD 0 SITEPROP IDELAY_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y22 IS_RESERVED 0 SITEPROP IDELAY_X0Y22 IS_TEST 0 SITEPROP IDELAY_X0Y22 IS_USED 0 SITEPROP IDELAY_X0Y22 MANUAL_ROUTING SITEPROP IDELAY_X0Y22 NAME IDELAY_X0Y22 SITEPROP IDELAY_X0Y22 NUM_ARCS 6 SITEPROP IDELAY_X0Y22 NUM_BELS 1 SITEPROP IDELAY_X0Y22 NUM_INPUTS 17 SITEPROP IDELAY_X0Y22 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y22 NUM_PINS 23 SITEPROP IDELAY_X0Y22 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y22 PROHIBIT 0 SITEPROP IDELAY_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y22 RPM_X 5 SITEPROP IDELAY_X0Y22 RPM_Y 42 SITEPROP IDELAY_X0Y22 SITE_PIPS SITEPROP IDELAY_X0Y22 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y23 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y23 CLASS site SITEPROP IDELAY_X0Y23 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y23 IS_BONDED 0 SITEPROP IDELAY_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y23 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y23 IS_PAD 0 SITEPROP IDELAY_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y23 IS_RESERVED 0 SITEPROP IDELAY_X0Y23 IS_TEST 0 SITEPROP IDELAY_X0Y23 IS_USED 0 SITEPROP IDELAY_X0Y23 MANUAL_ROUTING SITEPROP IDELAY_X0Y23 NAME IDELAY_X0Y23 SITEPROP IDELAY_X0Y23 NUM_ARCS 6 SITEPROP IDELAY_X0Y23 NUM_BELS 1 SITEPROP IDELAY_X0Y23 NUM_INPUTS 17 SITEPROP IDELAY_X0Y23 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y23 NUM_PINS 23 SITEPROP IDELAY_X0Y23 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y23 PROHIBIT 0 SITEPROP IDELAY_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y23 RPM_X 5 SITEPROP IDELAY_X0Y23 RPM_Y 47 SITEPROP IDELAY_X0Y23 SITE_PIPS SITEPROP IDELAY_X0Y23 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y24 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y24 CLASS site SITEPROP IDELAY_X0Y24 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y24 IS_BONDED 0 SITEPROP IDELAY_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y24 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y24 IS_PAD 0 SITEPROP IDELAY_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y24 IS_RESERVED 0 SITEPROP IDELAY_X0Y24 IS_TEST 0 SITEPROP IDELAY_X0Y24 IS_USED 0 SITEPROP IDELAY_X0Y24 MANUAL_ROUTING SITEPROP IDELAY_X0Y24 NAME IDELAY_X0Y24 SITEPROP IDELAY_X0Y24 NUM_ARCS 6 SITEPROP IDELAY_X0Y24 NUM_BELS 1 SITEPROP IDELAY_X0Y24 NUM_INPUTS 17 SITEPROP IDELAY_X0Y24 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y24 NUM_PINS 23 SITEPROP IDELAY_X0Y24 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y24 PROHIBIT 0 SITEPROP IDELAY_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y24 RPM_X 5 SITEPROP IDELAY_X0Y24 RPM_Y 46 SITEPROP IDELAY_X0Y24 SITE_PIPS SITEPROP IDELAY_X0Y24 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y25 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y25 CLASS site SITEPROP IDELAY_X0Y25 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y25 IS_BONDED 0 SITEPROP IDELAY_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y25 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y25 IS_PAD 0 SITEPROP IDELAY_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y25 IS_RESERVED 0 SITEPROP IDELAY_X0Y25 IS_TEST 0 SITEPROP IDELAY_X0Y25 IS_USED 0 SITEPROP IDELAY_X0Y25 MANUAL_ROUTING SITEPROP IDELAY_X0Y25 NAME IDELAY_X0Y25 SITEPROP IDELAY_X0Y25 NUM_ARCS 6 SITEPROP IDELAY_X0Y25 NUM_BELS 1 SITEPROP IDELAY_X0Y25 NUM_INPUTS 17 SITEPROP IDELAY_X0Y25 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y25 NUM_PINS 23 SITEPROP IDELAY_X0Y25 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y25 PROHIBIT 0 SITEPROP IDELAY_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y25 RPM_X 5 SITEPROP IDELAY_X0Y25 RPM_Y 51 SITEPROP IDELAY_X0Y25 SITE_PIPS SITEPROP IDELAY_X0Y25 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y26 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y26 CLASS site SITEPROP IDELAY_X0Y26 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y26 IS_BONDED 0 SITEPROP IDELAY_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y26 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y26 IS_PAD 0 SITEPROP IDELAY_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y26 IS_RESERVED 0 SITEPROP IDELAY_X0Y26 IS_TEST 0 SITEPROP IDELAY_X0Y26 IS_USED 0 SITEPROP IDELAY_X0Y26 MANUAL_ROUTING SITEPROP IDELAY_X0Y26 NAME IDELAY_X0Y26 SITEPROP IDELAY_X0Y26 NUM_ARCS 6 SITEPROP IDELAY_X0Y26 NUM_BELS 1 SITEPROP IDELAY_X0Y26 NUM_INPUTS 17 SITEPROP IDELAY_X0Y26 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y26 NUM_PINS 23 SITEPROP IDELAY_X0Y26 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y26 PROHIBIT 0 SITEPROP IDELAY_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y26 RPM_X 5 SITEPROP IDELAY_X0Y26 RPM_Y 50 SITEPROP IDELAY_X0Y26 SITE_PIPS SITEPROP IDELAY_X0Y26 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y27 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y27 CLASS site SITEPROP IDELAY_X0Y27 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y27 IS_BONDED 0 SITEPROP IDELAY_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y27 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y27 IS_PAD 0 SITEPROP IDELAY_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y27 IS_RESERVED 0 SITEPROP IDELAY_X0Y27 IS_TEST 0 SITEPROP IDELAY_X0Y27 IS_USED 0 SITEPROP IDELAY_X0Y27 MANUAL_ROUTING SITEPROP IDELAY_X0Y27 NAME IDELAY_X0Y27 SITEPROP IDELAY_X0Y27 NUM_ARCS 6 SITEPROP IDELAY_X0Y27 NUM_BELS 1 SITEPROP IDELAY_X0Y27 NUM_INPUTS 17 SITEPROP IDELAY_X0Y27 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y27 NUM_PINS 23 SITEPROP IDELAY_X0Y27 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y27 PROHIBIT 0 SITEPROP IDELAY_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y27 RPM_X 5 SITEPROP IDELAY_X0Y27 RPM_Y 55 SITEPROP IDELAY_X0Y27 SITE_PIPS SITEPROP IDELAY_X0Y27 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y28 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y28 CLASS site SITEPROP IDELAY_X0Y28 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y28 IS_BONDED 0 SITEPROP IDELAY_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y28 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y28 IS_PAD 0 SITEPROP IDELAY_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y28 IS_RESERVED 0 SITEPROP IDELAY_X0Y28 IS_TEST 0 SITEPROP IDELAY_X0Y28 IS_USED 0 SITEPROP IDELAY_X0Y28 MANUAL_ROUTING SITEPROP IDELAY_X0Y28 NAME IDELAY_X0Y28 SITEPROP IDELAY_X0Y28 NUM_ARCS 6 SITEPROP IDELAY_X0Y28 NUM_BELS 1 SITEPROP IDELAY_X0Y28 NUM_INPUTS 17 SITEPROP IDELAY_X0Y28 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y28 NUM_PINS 23 SITEPROP IDELAY_X0Y28 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y28 PROHIBIT 0 SITEPROP IDELAY_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y28 RPM_X 5 SITEPROP IDELAY_X0Y28 RPM_Y 54 SITEPROP IDELAY_X0Y28 SITE_PIPS SITEPROP IDELAY_X0Y28 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y29 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y29 CLASS site SITEPROP IDELAY_X0Y29 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y29 IS_BONDED 0 SITEPROP IDELAY_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y29 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y29 IS_PAD 0 SITEPROP IDELAY_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y29 IS_RESERVED 0 SITEPROP IDELAY_X0Y29 IS_TEST 0 SITEPROP IDELAY_X0Y29 IS_USED 0 SITEPROP IDELAY_X0Y29 MANUAL_ROUTING SITEPROP IDELAY_X0Y29 NAME IDELAY_X0Y29 SITEPROP IDELAY_X0Y29 NUM_ARCS 6 SITEPROP IDELAY_X0Y29 NUM_BELS 1 SITEPROP IDELAY_X0Y29 NUM_INPUTS 17 SITEPROP IDELAY_X0Y29 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y29 NUM_PINS 23 SITEPROP IDELAY_X0Y29 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y29 PROHIBIT 0 SITEPROP IDELAY_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y29 RPM_X 5 SITEPROP IDELAY_X0Y29 RPM_Y 59 SITEPROP IDELAY_X0Y29 SITE_PIPS SITEPROP IDELAY_X0Y29 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y30 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y30 CLASS site SITEPROP IDELAY_X0Y30 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y30 IS_BONDED 0 SITEPROP IDELAY_X0Y30 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y30 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y30 IS_PAD 0 SITEPROP IDELAY_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y30 IS_RESERVED 0 SITEPROP IDELAY_X0Y30 IS_TEST 0 SITEPROP IDELAY_X0Y30 IS_USED 0 SITEPROP IDELAY_X0Y30 MANUAL_ROUTING SITEPROP IDELAY_X0Y30 NAME IDELAY_X0Y30 SITEPROP IDELAY_X0Y30 NUM_ARCS 6 SITEPROP IDELAY_X0Y30 NUM_BELS 1 SITEPROP IDELAY_X0Y30 NUM_INPUTS 17 SITEPROP IDELAY_X0Y30 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y30 NUM_PINS 23 SITEPROP IDELAY_X0Y30 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y30 PROHIBIT 0 SITEPROP IDELAY_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y30 RPM_X 5 SITEPROP IDELAY_X0Y30 RPM_Y 58 SITEPROP IDELAY_X0Y30 SITE_PIPS SITEPROP IDELAY_X0Y30 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y31 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y31 CLASS site SITEPROP IDELAY_X0Y31 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y31 IS_BONDED 0 SITEPROP IDELAY_X0Y31 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y31 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y31 IS_PAD 0 SITEPROP IDELAY_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y31 IS_RESERVED 0 SITEPROP IDELAY_X0Y31 IS_TEST 0 SITEPROP IDELAY_X0Y31 IS_USED 0 SITEPROP IDELAY_X0Y31 MANUAL_ROUTING SITEPROP IDELAY_X0Y31 NAME IDELAY_X0Y31 SITEPROP IDELAY_X0Y31 NUM_ARCS 6 SITEPROP IDELAY_X0Y31 NUM_BELS 1 SITEPROP IDELAY_X0Y31 NUM_INPUTS 17 SITEPROP IDELAY_X0Y31 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y31 NUM_PINS 23 SITEPROP IDELAY_X0Y31 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y31 PROHIBIT 0 SITEPROP IDELAY_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y31 RPM_X 5 SITEPROP IDELAY_X0Y31 RPM_Y 63 SITEPROP IDELAY_X0Y31 SITE_PIPS SITEPROP IDELAY_X0Y31 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y32 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y32 CLASS site SITEPROP IDELAY_X0Y32 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y32 IS_BONDED 0 SITEPROP IDELAY_X0Y32 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y32 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y32 IS_PAD 0 SITEPROP IDELAY_X0Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y32 IS_RESERVED 0 SITEPROP IDELAY_X0Y32 IS_TEST 0 SITEPROP IDELAY_X0Y32 IS_USED 0 SITEPROP IDELAY_X0Y32 MANUAL_ROUTING SITEPROP IDELAY_X0Y32 NAME IDELAY_X0Y32 SITEPROP IDELAY_X0Y32 NUM_ARCS 6 SITEPROP IDELAY_X0Y32 NUM_BELS 1 SITEPROP IDELAY_X0Y32 NUM_INPUTS 17 SITEPROP IDELAY_X0Y32 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y32 NUM_PINS 23 SITEPROP IDELAY_X0Y32 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y32 PROHIBIT 0 SITEPROP IDELAY_X0Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y32 RPM_X 5 SITEPROP IDELAY_X0Y32 RPM_Y 62 SITEPROP IDELAY_X0Y32 SITE_PIPS SITEPROP IDELAY_X0Y32 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y33 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y33 CLASS site SITEPROP IDELAY_X0Y33 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y33 IS_BONDED 0 SITEPROP IDELAY_X0Y33 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y33 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y33 IS_PAD 0 SITEPROP IDELAY_X0Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y33 IS_RESERVED 0 SITEPROP IDELAY_X0Y33 IS_TEST 0 SITEPROP IDELAY_X0Y33 IS_USED 0 SITEPROP IDELAY_X0Y33 MANUAL_ROUTING SITEPROP IDELAY_X0Y33 NAME IDELAY_X0Y33 SITEPROP IDELAY_X0Y33 NUM_ARCS 6 SITEPROP IDELAY_X0Y33 NUM_BELS 1 SITEPROP IDELAY_X0Y33 NUM_INPUTS 17 SITEPROP IDELAY_X0Y33 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y33 NUM_PINS 23 SITEPROP IDELAY_X0Y33 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y33 PROHIBIT 0 SITEPROP IDELAY_X0Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y33 RPM_X 5 SITEPROP IDELAY_X0Y33 RPM_Y 67 SITEPROP IDELAY_X0Y33 SITE_PIPS SITEPROP IDELAY_X0Y33 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y34 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y34 CLASS site SITEPROP IDELAY_X0Y34 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y34 IS_BONDED 0 SITEPROP IDELAY_X0Y34 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y34 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y34 IS_PAD 0 SITEPROP IDELAY_X0Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y34 IS_RESERVED 0 SITEPROP IDELAY_X0Y34 IS_TEST 0 SITEPROP IDELAY_X0Y34 IS_USED 0 SITEPROP IDELAY_X0Y34 MANUAL_ROUTING SITEPROP IDELAY_X0Y34 NAME IDELAY_X0Y34 SITEPROP IDELAY_X0Y34 NUM_ARCS 6 SITEPROP IDELAY_X0Y34 NUM_BELS 1 SITEPROP IDELAY_X0Y34 NUM_INPUTS 17 SITEPROP IDELAY_X0Y34 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y34 NUM_PINS 23 SITEPROP IDELAY_X0Y34 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y34 PROHIBIT 0 SITEPROP IDELAY_X0Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y34 RPM_X 5 SITEPROP IDELAY_X0Y34 RPM_Y 66 SITEPROP IDELAY_X0Y34 SITE_PIPS SITEPROP IDELAY_X0Y34 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y35 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y35 CLASS site SITEPROP IDELAY_X0Y35 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y35 IS_BONDED 0 SITEPROP IDELAY_X0Y35 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y35 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y35 IS_PAD 0 SITEPROP IDELAY_X0Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y35 IS_RESERVED 0 SITEPROP IDELAY_X0Y35 IS_TEST 0 SITEPROP IDELAY_X0Y35 IS_USED 0 SITEPROP IDELAY_X0Y35 MANUAL_ROUTING SITEPROP IDELAY_X0Y35 NAME IDELAY_X0Y35 SITEPROP IDELAY_X0Y35 NUM_ARCS 6 SITEPROP IDELAY_X0Y35 NUM_BELS 1 SITEPROP IDELAY_X0Y35 NUM_INPUTS 17 SITEPROP IDELAY_X0Y35 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y35 NUM_PINS 23 SITEPROP IDELAY_X0Y35 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y35 PROHIBIT 0 SITEPROP IDELAY_X0Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y35 RPM_X 5 SITEPROP IDELAY_X0Y35 RPM_Y 71 SITEPROP IDELAY_X0Y35 SITE_PIPS SITEPROP IDELAY_X0Y35 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y36 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y36 CLASS site SITEPROP IDELAY_X0Y36 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y36 IS_BONDED 0 SITEPROP IDELAY_X0Y36 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y36 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y36 IS_PAD 0 SITEPROP IDELAY_X0Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y36 IS_RESERVED 0 SITEPROP IDELAY_X0Y36 IS_TEST 0 SITEPROP IDELAY_X0Y36 IS_USED 0 SITEPROP IDELAY_X0Y36 MANUAL_ROUTING SITEPROP IDELAY_X0Y36 NAME IDELAY_X0Y36 SITEPROP IDELAY_X0Y36 NUM_ARCS 6 SITEPROP IDELAY_X0Y36 NUM_BELS 1 SITEPROP IDELAY_X0Y36 NUM_INPUTS 17 SITEPROP IDELAY_X0Y36 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y36 NUM_PINS 23 SITEPROP IDELAY_X0Y36 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y36 PROHIBIT 0 SITEPROP IDELAY_X0Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y36 RPM_X 5 SITEPROP IDELAY_X0Y36 RPM_Y 70 SITEPROP IDELAY_X0Y36 SITE_PIPS SITEPROP IDELAY_X0Y36 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y37 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y37 CLASS site SITEPROP IDELAY_X0Y37 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y37 IS_BONDED 0 SITEPROP IDELAY_X0Y37 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y37 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y37 IS_PAD 0 SITEPROP IDELAY_X0Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y37 IS_RESERVED 0 SITEPROP IDELAY_X0Y37 IS_TEST 0 SITEPROP IDELAY_X0Y37 IS_USED 0 SITEPROP IDELAY_X0Y37 MANUAL_ROUTING SITEPROP IDELAY_X0Y37 NAME IDELAY_X0Y37 SITEPROP IDELAY_X0Y37 NUM_ARCS 6 SITEPROP IDELAY_X0Y37 NUM_BELS 1 SITEPROP IDELAY_X0Y37 NUM_INPUTS 17 SITEPROP IDELAY_X0Y37 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y37 NUM_PINS 23 SITEPROP IDELAY_X0Y37 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y37 PROHIBIT 0 SITEPROP IDELAY_X0Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y37 RPM_X 5 SITEPROP IDELAY_X0Y37 RPM_Y 75 SITEPROP IDELAY_X0Y37 SITE_PIPS SITEPROP IDELAY_X0Y37 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y38 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y38 CLASS site SITEPROP IDELAY_X0Y38 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y38 IS_BONDED 0 SITEPROP IDELAY_X0Y38 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y38 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y38 IS_PAD 0 SITEPROP IDELAY_X0Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y38 IS_RESERVED 0 SITEPROP IDELAY_X0Y38 IS_TEST 0 SITEPROP IDELAY_X0Y38 IS_USED 0 SITEPROP IDELAY_X0Y38 MANUAL_ROUTING SITEPROP IDELAY_X0Y38 NAME IDELAY_X0Y38 SITEPROP IDELAY_X0Y38 NUM_ARCS 6 SITEPROP IDELAY_X0Y38 NUM_BELS 1 SITEPROP IDELAY_X0Y38 NUM_INPUTS 17 SITEPROP IDELAY_X0Y38 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y38 NUM_PINS 23 SITEPROP IDELAY_X0Y38 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y38 PROHIBIT 0 SITEPROP IDELAY_X0Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y38 RPM_X 5 SITEPROP IDELAY_X0Y38 RPM_Y 74 SITEPROP IDELAY_X0Y38 SITE_PIPS SITEPROP IDELAY_X0Y38 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y39 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y39 CLASS site SITEPROP IDELAY_X0Y39 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y39 IS_BONDED 0 SITEPROP IDELAY_X0Y39 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y39 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y39 IS_PAD 0 SITEPROP IDELAY_X0Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y39 IS_RESERVED 0 SITEPROP IDELAY_X0Y39 IS_TEST 0 SITEPROP IDELAY_X0Y39 IS_USED 0 SITEPROP IDELAY_X0Y39 MANUAL_ROUTING SITEPROP IDELAY_X0Y39 NAME IDELAY_X0Y39 SITEPROP IDELAY_X0Y39 NUM_ARCS 6 SITEPROP IDELAY_X0Y39 NUM_BELS 1 SITEPROP IDELAY_X0Y39 NUM_INPUTS 17 SITEPROP IDELAY_X0Y39 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y39 NUM_PINS 23 SITEPROP IDELAY_X0Y39 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y39 PROHIBIT 0 SITEPROP IDELAY_X0Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y39 RPM_X 5 SITEPROP IDELAY_X0Y39 RPM_Y 79 SITEPROP IDELAY_X0Y39 SITE_PIPS SITEPROP IDELAY_X0Y39 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y40 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y40 CLASS site SITEPROP IDELAY_X0Y40 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y40 IS_BONDED 0 SITEPROP IDELAY_X0Y40 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y40 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y40 IS_PAD 0 SITEPROP IDELAY_X0Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y40 IS_RESERVED 0 SITEPROP IDELAY_X0Y40 IS_TEST 0 SITEPROP IDELAY_X0Y40 IS_USED 0 SITEPROP IDELAY_X0Y40 MANUAL_ROUTING SITEPROP IDELAY_X0Y40 NAME IDELAY_X0Y40 SITEPROP IDELAY_X0Y40 NUM_ARCS 6 SITEPROP IDELAY_X0Y40 NUM_BELS 1 SITEPROP IDELAY_X0Y40 NUM_INPUTS 17 SITEPROP IDELAY_X0Y40 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y40 NUM_PINS 23 SITEPROP IDELAY_X0Y40 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y40 PROHIBIT 0 SITEPROP IDELAY_X0Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y40 RPM_X 5 SITEPROP IDELAY_X0Y40 RPM_Y 78 SITEPROP IDELAY_X0Y40 SITE_PIPS SITEPROP IDELAY_X0Y40 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y41 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y41 CLASS site SITEPROP IDELAY_X0Y41 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y41 IS_BONDED 0 SITEPROP IDELAY_X0Y41 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y41 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y41 IS_PAD 0 SITEPROP IDELAY_X0Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y41 IS_RESERVED 0 SITEPROP IDELAY_X0Y41 IS_TEST 0 SITEPROP IDELAY_X0Y41 IS_USED 0 SITEPROP IDELAY_X0Y41 MANUAL_ROUTING SITEPROP IDELAY_X0Y41 NAME IDELAY_X0Y41 SITEPROP IDELAY_X0Y41 NUM_ARCS 6 SITEPROP IDELAY_X0Y41 NUM_BELS 1 SITEPROP IDELAY_X0Y41 NUM_INPUTS 17 SITEPROP IDELAY_X0Y41 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y41 NUM_PINS 23 SITEPROP IDELAY_X0Y41 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y41 PROHIBIT 0 SITEPROP IDELAY_X0Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y41 RPM_X 5 SITEPROP IDELAY_X0Y41 RPM_Y 83 SITEPROP IDELAY_X0Y41 SITE_PIPS SITEPROP IDELAY_X0Y41 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y42 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y42 CLASS site SITEPROP IDELAY_X0Y42 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y42 IS_BONDED 0 SITEPROP IDELAY_X0Y42 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y42 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y42 IS_PAD 0 SITEPROP IDELAY_X0Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y42 IS_RESERVED 0 SITEPROP IDELAY_X0Y42 IS_TEST 0 SITEPROP IDELAY_X0Y42 IS_USED 0 SITEPROP IDELAY_X0Y42 MANUAL_ROUTING SITEPROP IDELAY_X0Y42 NAME IDELAY_X0Y42 SITEPROP IDELAY_X0Y42 NUM_ARCS 6 SITEPROP IDELAY_X0Y42 NUM_BELS 1 SITEPROP IDELAY_X0Y42 NUM_INPUTS 17 SITEPROP IDELAY_X0Y42 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y42 NUM_PINS 23 SITEPROP IDELAY_X0Y42 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y42 PROHIBIT 0 SITEPROP IDELAY_X0Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y42 RPM_X 5 SITEPROP IDELAY_X0Y42 RPM_Y 82 SITEPROP IDELAY_X0Y42 SITE_PIPS SITEPROP IDELAY_X0Y42 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y43 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y43 CLASS site SITEPROP IDELAY_X0Y43 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y43 IS_BONDED 0 SITEPROP IDELAY_X0Y43 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y43 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y43 IS_PAD 0 SITEPROP IDELAY_X0Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y43 IS_RESERVED 0 SITEPROP IDELAY_X0Y43 IS_TEST 0 SITEPROP IDELAY_X0Y43 IS_USED 0 SITEPROP IDELAY_X0Y43 MANUAL_ROUTING SITEPROP IDELAY_X0Y43 NAME IDELAY_X0Y43 SITEPROP IDELAY_X0Y43 NUM_ARCS 6 SITEPROP IDELAY_X0Y43 NUM_BELS 1 SITEPROP IDELAY_X0Y43 NUM_INPUTS 17 SITEPROP IDELAY_X0Y43 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y43 NUM_PINS 23 SITEPROP IDELAY_X0Y43 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y43 PROHIBIT 0 SITEPROP IDELAY_X0Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y43 RPM_X 5 SITEPROP IDELAY_X0Y43 RPM_Y 87 SITEPROP IDELAY_X0Y43 SITE_PIPS SITEPROP IDELAY_X0Y43 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y44 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y44 CLASS site SITEPROP IDELAY_X0Y44 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y44 IS_BONDED 0 SITEPROP IDELAY_X0Y44 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y44 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y44 IS_PAD 0 SITEPROP IDELAY_X0Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y44 IS_RESERVED 0 SITEPROP IDELAY_X0Y44 IS_TEST 0 SITEPROP IDELAY_X0Y44 IS_USED 0 SITEPROP IDELAY_X0Y44 MANUAL_ROUTING SITEPROP IDELAY_X0Y44 NAME IDELAY_X0Y44 SITEPROP IDELAY_X0Y44 NUM_ARCS 6 SITEPROP IDELAY_X0Y44 NUM_BELS 1 SITEPROP IDELAY_X0Y44 NUM_INPUTS 17 SITEPROP IDELAY_X0Y44 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y44 NUM_PINS 23 SITEPROP IDELAY_X0Y44 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y44 PROHIBIT 0 SITEPROP IDELAY_X0Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y44 RPM_X 5 SITEPROP IDELAY_X0Y44 RPM_Y 86 SITEPROP IDELAY_X0Y44 SITE_PIPS SITEPROP IDELAY_X0Y44 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y45 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y45 CLASS site SITEPROP IDELAY_X0Y45 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y45 IS_BONDED 0 SITEPROP IDELAY_X0Y45 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y45 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y45 IS_PAD 0 SITEPROP IDELAY_X0Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y45 IS_RESERVED 0 SITEPROP IDELAY_X0Y45 IS_TEST 0 SITEPROP IDELAY_X0Y45 IS_USED 0 SITEPROP IDELAY_X0Y45 MANUAL_ROUTING SITEPROP IDELAY_X0Y45 NAME IDELAY_X0Y45 SITEPROP IDELAY_X0Y45 NUM_ARCS 6 SITEPROP IDELAY_X0Y45 NUM_BELS 1 SITEPROP IDELAY_X0Y45 NUM_INPUTS 17 SITEPROP IDELAY_X0Y45 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y45 NUM_PINS 23 SITEPROP IDELAY_X0Y45 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y45 PROHIBIT 0 SITEPROP IDELAY_X0Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y45 RPM_X 5 SITEPROP IDELAY_X0Y45 RPM_Y 91 SITEPROP IDELAY_X0Y45 SITE_PIPS SITEPROP IDELAY_X0Y45 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y46 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y46 CLASS site SITEPROP IDELAY_X0Y46 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y46 IS_BONDED 0 SITEPROP IDELAY_X0Y46 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y46 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y46 IS_PAD 0 SITEPROP IDELAY_X0Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y46 IS_RESERVED 0 SITEPROP IDELAY_X0Y46 IS_TEST 0 SITEPROP IDELAY_X0Y46 IS_USED 0 SITEPROP IDELAY_X0Y46 MANUAL_ROUTING SITEPROP IDELAY_X0Y46 NAME IDELAY_X0Y46 SITEPROP IDELAY_X0Y46 NUM_ARCS 6 SITEPROP IDELAY_X0Y46 NUM_BELS 1 SITEPROP IDELAY_X0Y46 NUM_INPUTS 17 SITEPROP IDELAY_X0Y46 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y46 NUM_PINS 23 SITEPROP IDELAY_X0Y46 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y46 PROHIBIT 0 SITEPROP IDELAY_X0Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y46 RPM_X 5 SITEPROP IDELAY_X0Y46 RPM_Y 90 SITEPROP IDELAY_X0Y46 SITE_PIPS SITEPROP IDELAY_X0Y46 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y47 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y47 CLASS site SITEPROP IDELAY_X0Y47 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y47 IS_BONDED 0 SITEPROP IDELAY_X0Y47 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y47 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y47 IS_PAD 0 SITEPROP IDELAY_X0Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y47 IS_RESERVED 0 SITEPROP IDELAY_X0Y47 IS_TEST 0 SITEPROP IDELAY_X0Y47 IS_USED 0 SITEPROP IDELAY_X0Y47 MANUAL_ROUTING SITEPROP IDELAY_X0Y47 NAME IDELAY_X0Y47 SITEPROP IDELAY_X0Y47 NUM_ARCS 6 SITEPROP IDELAY_X0Y47 NUM_BELS 1 SITEPROP IDELAY_X0Y47 NUM_INPUTS 17 SITEPROP IDELAY_X0Y47 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y47 NUM_PINS 23 SITEPROP IDELAY_X0Y47 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y47 PROHIBIT 0 SITEPROP IDELAY_X0Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y47 RPM_X 5 SITEPROP IDELAY_X0Y47 RPM_Y 95 SITEPROP IDELAY_X0Y47 SITE_PIPS SITEPROP IDELAY_X0Y47 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y48 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y48 CLASS site SITEPROP IDELAY_X0Y48 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y48 IS_BONDED 0 SITEPROP IDELAY_X0Y48 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y48 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y48 IS_PAD 0 SITEPROP IDELAY_X0Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y48 IS_RESERVED 0 SITEPROP IDELAY_X0Y48 IS_TEST 0 SITEPROP IDELAY_X0Y48 IS_USED 0 SITEPROP IDELAY_X0Y48 MANUAL_ROUTING SITEPROP IDELAY_X0Y48 NAME IDELAY_X0Y48 SITEPROP IDELAY_X0Y48 NUM_ARCS 6 SITEPROP IDELAY_X0Y48 NUM_BELS 1 SITEPROP IDELAY_X0Y48 NUM_INPUTS 17 SITEPROP IDELAY_X0Y48 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y48 NUM_PINS 23 SITEPROP IDELAY_X0Y48 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y48 PROHIBIT 0 SITEPROP IDELAY_X0Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y48 RPM_X 5 SITEPROP IDELAY_X0Y48 RPM_Y 94 SITEPROP IDELAY_X0Y48 SITE_PIPS SITEPROP IDELAY_X0Y48 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y49 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y49 CLASS site SITEPROP IDELAY_X0Y49 CLOCK_REGION X0Y0 SITEPROP IDELAY_X0Y49 IS_BONDED 0 SITEPROP IDELAY_X0Y49 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y49 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y49 IS_PAD 0 SITEPROP IDELAY_X0Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y49 IS_RESERVED 0 SITEPROP IDELAY_X0Y49 IS_TEST 0 SITEPROP IDELAY_X0Y49 IS_USED 0 SITEPROP IDELAY_X0Y49 MANUAL_ROUTING SITEPROP IDELAY_X0Y49 NAME IDELAY_X0Y49 SITEPROP IDELAY_X0Y49 NUM_ARCS 6 SITEPROP IDELAY_X0Y49 NUM_BELS 1 SITEPROP IDELAY_X0Y49 NUM_INPUTS 17 SITEPROP IDELAY_X0Y49 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y49 NUM_PINS 23 SITEPROP IDELAY_X0Y49 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y49 PROHIBIT 0 SITEPROP IDELAY_X0Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y49 RPM_X 5 SITEPROP IDELAY_X0Y49 RPM_Y 98 SITEPROP IDELAY_X0Y49 SITE_PIPS SITEPROP IDELAY_X0Y49 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y50 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y50 CLASS site SITEPROP IDELAY_X0Y50 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y50 IS_BONDED 0 SITEPROP IDELAY_X0Y50 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y50 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y50 IS_PAD 0 SITEPROP IDELAY_X0Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y50 IS_RESERVED 0 SITEPROP IDELAY_X0Y50 IS_TEST 0 SITEPROP IDELAY_X0Y50 IS_USED 0 SITEPROP IDELAY_X0Y50 MANUAL_ROUTING SITEPROP IDELAY_X0Y50 NAME IDELAY_X0Y50 SITEPROP IDELAY_X0Y50 NUM_ARCS 6 SITEPROP IDELAY_X0Y50 NUM_BELS 1 SITEPROP IDELAY_X0Y50 NUM_INPUTS 17 SITEPROP IDELAY_X0Y50 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y50 NUM_PINS 23 SITEPROP IDELAY_X0Y50 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y50 PROHIBIT 0 SITEPROP IDELAY_X0Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y50 RPM_X 5 SITEPROP IDELAY_X0Y50 RPM_Y 100 SITEPROP IDELAY_X0Y50 SITE_PIPS SITEPROP IDELAY_X0Y50 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y51 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y51 CLASS site SITEPROP IDELAY_X0Y51 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y51 IS_BONDED 0 SITEPROP IDELAY_X0Y51 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y51 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y51 IS_PAD 0 SITEPROP IDELAY_X0Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y51 IS_RESERVED 0 SITEPROP IDELAY_X0Y51 IS_TEST 0 SITEPROP IDELAY_X0Y51 IS_USED 0 SITEPROP IDELAY_X0Y51 MANUAL_ROUTING SITEPROP IDELAY_X0Y51 NAME IDELAY_X0Y51 SITEPROP IDELAY_X0Y51 NUM_ARCS 6 SITEPROP IDELAY_X0Y51 NUM_BELS 1 SITEPROP IDELAY_X0Y51 NUM_INPUTS 17 SITEPROP IDELAY_X0Y51 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y51 NUM_PINS 23 SITEPROP IDELAY_X0Y51 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y51 PROHIBIT 0 SITEPROP IDELAY_X0Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y51 RPM_X 5 SITEPROP IDELAY_X0Y51 RPM_Y 103 SITEPROP IDELAY_X0Y51 SITE_PIPS SITEPROP IDELAY_X0Y51 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y52 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y52 CLASS site SITEPROP IDELAY_X0Y52 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y52 IS_BONDED 0 SITEPROP IDELAY_X0Y52 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y52 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y52 IS_PAD 0 SITEPROP IDELAY_X0Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y52 IS_RESERVED 0 SITEPROP IDELAY_X0Y52 IS_TEST 0 SITEPROP IDELAY_X0Y52 IS_USED 0 SITEPROP IDELAY_X0Y52 MANUAL_ROUTING SITEPROP IDELAY_X0Y52 NAME IDELAY_X0Y52 SITEPROP IDELAY_X0Y52 NUM_ARCS 6 SITEPROP IDELAY_X0Y52 NUM_BELS 1 SITEPROP IDELAY_X0Y52 NUM_INPUTS 17 SITEPROP IDELAY_X0Y52 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y52 NUM_PINS 23 SITEPROP IDELAY_X0Y52 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y52 PROHIBIT 0 SITEPROP IDELAY_X0Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y52 RPM_X 5 SITEPROP IDELAY_X0Y52 RPM_Y 102 SITEPROP IDELAY_X0Y52 SITE_PIPS SITEPROP IDELAY_X0Y52 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y53 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y53 CLASS site SITEPROP IDELAY_X0Y53 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y53 IS_BONDED 0 SITEPROP IDELAY_X0Y53 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y53 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y53 IS_PAD 0 SITEPROP IDELAY_X0Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y53 IS_RESERVED 0 SITEPROP IDELAY_X0Y53 IS_TEST 0 SITEPROP IDELAY_X0Y53 IS_USED 0 SITEPROP IDELAY_X0Y53 MANUAL_ROUTING SITEPROP IDELAY_X0Y53 NAME IDELAY_X0Y53 SITEPROP IDELAY_X0Y53 NUM_ARCS 6 SITEPROP IDELAY_X0Y53 NUM_BELS 1 SITEPROP IDELAY_X0Y53 NUM_INPUTS 17 SITEPROP IDELAY_X0Y53 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y53 NUM_PINS 23 SITEPROP IDELAY_X0Y53 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y53 PROHIBIT 0 SITEPROP IDELAY_X0Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y53 RPM_X 5 SITEPROP IDELAY_X0Y53 RPM_Y 107 SITEPROP IDELAY_X0Y53 SITE_PIPS SITEPROP IDELAY_X0Y53 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y54 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y54 CLASS site SITEPROP IDELAY_X0Y54 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y54 IS_BONDED 0 SITEPROP IDELAY_X0Y54 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y54 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y54 IS_PAD 0 SITEPROP IDELAY_X0Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y54 IS_RESERVED 0 SITEPROP IDELAY_X0Y54 IS_TEST 0 SITEPROP IDELAY_X0Y54 IS_USED 0 SITEPROP IDELAY_X0Y54 MANUAL_ROUTING SITEPROP IDELAY_X0Y54 NAME IDELAY_X0Y54 SITEPROP IDELAY_X0Y54 NUM_ARCS 6 SITEPROP IDELAY_X0Y54 NUM_BELS 1 SITEPROP IDELAY_X0Y54 NUM_INPUTS 17 SITEPROP IDELAY_X0Y54 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y54 NUM_PINS 23 SITEPROP IDELAY_X0Y54 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y54 PROHIBIT 0 SITEPROP IDELAY_X0Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y54 RPM_X 5 SITEPROP IDELAY_X0Y54 RPM_Y 106 SITEPROP IDELAY_X0Y54 SITE_PIPS SITEPROP IDELAY_X0Y54 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y55 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y55 CLASS site SITEPROP IDELAY_X0Y55 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y55 IS_BONDED 0 SITEPROP IDELAY_X0Y55 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y55 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y55 IS_PAD 0 SITEPROP IDELAY_X0Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y55 IS_RESERVED 0 SITEPROP IDELAY_X0Y55 IS_TEST 0 SITEPROP IDELAY_X0Y55 IS_USED 0 SITEPROP IDELAY_X0Y55 MANUAL_ROUTING SITEPROP IDELAY_X0Y55 NAME IDELAY_X0Y55 SITEPROP IDELAY_X0Y55 NUM_ARCS 6 SITEPROP IDELAY_X0Y55 NUM_BELS 1 SITEPROP IDELAY_X0Y55 NUM_INPUTS 17 SITEPROP IDELAY_X0Y55 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y55 NUM_PINS 23 SITEPROP IDELAY_X0Y55 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y55 PROHIBIT 0 SITEPROP IDELAY_X0Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y55 RPM_X 5 SITEPROP IDELAY_X0Y55 RPM_Y 111 SITEPROP IDELAY_X0Y55 SITE_PIPS SITEPROP IDELAY_X0Y55 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y56 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y56 CLASS site SITEPROP IDELAY_X0Y56 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y56 IS_BONDED 0 SITEPROP IDELAY_X0Y56 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y56 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y56 IS_PAD 0 SITEPROP IDELAY_X0Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y56 IS_RESERVED 0 SITEPROP IDELAY_X0Y56 IS_TEST 0 SITEPROP IDELAY_X0Y56 IS_USED 0 SITEPROP IDELAY_X0Y56 MANUAL_ROUTING SITEPROP IDELAY_X0Y56 NAME IDELAY_X0Y56 SITEPROP IDELAY_X0Y56 NUM_ARCS 6 SITEPROP IDELAY_X0Y56 NUM_BELS 1 SITEPROP IDELAY_X0Y56 NUM_INPUTS 17 SITEPROP IDELAY_X0Y56 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y56 NUM_PINS 23 SITEPROP IDELAY_X0Y56 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y56 PROHIBIT 0 SITEPROP IDELAY_X0Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y56 RPM_X 5 SITEPROP IDELAY_X0Y56 RPM_Y 110 SITEPROP IDELAY_X0Y56 SITE_PIPS SITEPROP IDELAY_X0Y56 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y57 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y57 CLASS site SITEPROP IDELAY_X0Y57 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y57 IS_BONDED 0 SITEPROP IDELAY_X0Y57 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y57 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y57 IS_PAD 0 SITEPROP IDELAY_X0Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y57 IS_RESERVED 0 SITEPROP IDELAY_X0Y57 IS_TEST 0 SITEPROP IDELAY_X0Y57 IS_USED 0 SITEPROP IDELAY_X0Y57 MANUAL_ROUTING SITEPROP IDELAY_X0Y57 NAME IDELAY_X0Y57 SITEPROP IDELAY_X0Y57 NUM_ARCS 6 SITEPROP IDELAY_X0Y57 NUM_BELS 1 SITEPROP IDELAY_X0Y57 NUM_INPUTS 17 SITEPROP IDELAY_X0Y57 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y57 NUM_PINS 23 SITEPROP IDELAY_X0Y57 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y57 PROHIBIT 0 SITEPROP IDELAY_X0Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y57 RPM_X 5 SITEPROP IDELAY_X0Y57 RPM_Y 115 SITEPROP IDELAY_X0Y57 SITE_PIPS SITEPROP IDELAY_X0Y57 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y58 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y58 CLASS site SITEPROP IDELAY_X0Y58 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y58 IS_BONDED 0 SITEPROP IDELAY_X0Y58 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y58 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y58 IS_PAD 0 SITEPROP IDELAY_X0Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y58 IS_RESERVED 0 SITEPROP IDELAY_X0Y58 IS_TEST 0 SITEPROP IDELAY_X0Y58 IS_USED 0 SITEPROP IDELAY_X0Y58 MANUAL_ROUTING SITEPROP IDELAY_X0Y58 NAME IDELAY_X0Y58 SITEPROP IDELAY_X0Y58 NUM_ARCS 6 SITEPROP IDELAY_X0Y58 NUM_BELS 1 SITEPROP IDELAY_X0Y58 NUM_INPUTS 17 SITEPROP IDELAY_X0Y58 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y58 NUM_PINS 23 SITEPROP IDELAY_X0Y58 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y58 PROHIBIT 0 SITEPROP IDELAY_X0Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y58 RPM_X 5 SITEPROP IDELAY_X0Y58 RPM_Y 114 SITEPROP IDELAY_X0Y58 SITE_PIPS SITEPROP IDELAY_X0Y58 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y59 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y59 CLASS site SITEPROP IDELAY_X0Y59 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y59 IS_BONDED 0 SITEPROP IDELAY_X0Y59 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y59 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y59 IS_PAD 0 SITEPROP IDELAY_X0Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y59 IS_RESERVED 0 SITEPROP IDELAY_X0Y59 IS_TEST 0 SITEPROP IDELAY_X0Y59 IS_USED 0 SITEPROP IDELAY_X0Y59 MANUAL_ROUTING SITEPROP IDELAY_X0Y59 NAME IDELAY_X0Y59 SITEPROP IDELAY_X0Y59 NUM_ARCS 6 SITEPROP IDELAY_X0Y59 NUM_BELS 1 SITEPROP IDELAY_X0Y59 NUM_INPUTS 17 SITEPROP IDELAY_X0Y59 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y59 NUM_PINS 23 SITEPROP IDELAY_X0Y59 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y59 PROHIBIT 0 SITEPROP IDELAY_X0Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y59 RPM_X 5 SITEPROP IDELAY_X0Y59 RPM_Y 119 SITEPROP IDELAY_X0Y59 SITE_PIPS SITEPROP IDELAY_X0Y59 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y60 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y60 CLASS site SITEPROP IDELAY_X0Y60 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y60 IS_BONDED 0 SITEPROP IDELAY_X0Y60 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y60 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y60 IS_PAD 0 SITEPROP IDELAY_X0Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y60 IS_RESERVED 0 SITEPROP IDELAY_X0Y60 IS_TEST 0 SITEPROP IDELAY_X0Y60 IS_USED 0 SITEPROP IDELAY_X0Y60 MANUAL_ROUTING SITEPROP IDELAY_X0Y60 NAME IDELAY_X0Y60 SITEPROP IDELAY_X0Y60 NUM_ARCS 6 SITEPROP IDELAY_X0Y60 NUM_BELS 1 SITEPROP IDELAY_X0Y60 NUM_INPUTS 17 SITEPROP IDELAY_X0Y60 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y60 NUM_PINS 23 SITEPROP IDELAY_X0Y60 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y60 PROHIBIT 0 SITEPROP IDELAY_X0Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y60 RPM_X 5 SITEPROP IDELAY_X0Y60 RPM_Y 118 SITEPROP IDELAY_X0Y60 SITE_PIPS SITEPROP IDELAY_X0Y60 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y61 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y61 CLASS site SITEPROP IDELAY_X0Y61 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y61 IS_BONDED 0 SITEPROP IDELAY_X0Y61 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y61 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y61 IS_PAD 0 SITEPROP IDELAY_X0Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y61 IS_RESERVED 0 SITEPROP IDELAY_X0Y61 IS_TEST 0 SITEPROP IDELAY_X0Y61 IS_USED 0 SITEPROP IDELAY_X0Y61 MANUAL_ROUTING SITEPROP IDELAY_X0Y61 NAME IDELAY_X0Y61 SITEPROP IDELAY_X0Y61 NUM_ARCS 6 SITEPROP IDELAY_X0Y61 NUM_BELS 1 SITEPROP IDELAY_X0Y61 NUM_INPUTS 17 SITEPROP IDELAY_X0Y61 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y61 NUM_PINS 23 SITEPROP IDELAY_X0Y61 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y61 PROHIBIT 0 SITEPROP IDELAY_X0Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y61 RPM_X 5 SITEPROP IDELAY_X0Y61 RPM_Y 123 SITEPROP IDELAY_X0Y61 SITE_PIPS SITEPROP IDELAY_X0Y61 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y62 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y62 CLASS site SITEPROP IDELAY_X0Y62 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y62 IS_BONDED 0 SITEPROP IDELAY_X0Y62 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y62 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y62 IS_PAD 0 SITEPROP IDELAY_X0Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y62 IS_RESERVED 0 SITEPROP IDELAY_X0Y62 IS_TEST 0 SITEPROP IDELAY_X0Y62 IS_USED 0 SITEPROP IDELAY_X0Y62 MANUAL_ROUTING SITEPROP IDELAY_X0Y62 NAME IDELAY_X0Y62 SITEPROP IDELAY_X0Y62 NUM_ARCS 6 SITEPROP IDELAY_X0Y62 NUM_BELS 1 SITEPROP IDELAY_X0Y62 NUM_INPUTS 17 SITEPROP IDELAY_X0Y62 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y62 NUM_PINS 23 SITEPROP IDELAY_X0Y62 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y62 PROHIBIT 0 SITEPROP IDELAY_X0Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y62 RPM_X 5 SITEPROP IDELAY_X0Y62 RPM_Y 122 SITEPROP IDELAY_X0Y62 SITE_PIPS SITEPROP IDELAY_X0Y62 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y63 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y63 CLASS site SITEPROP IDELAY_X0Y63 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y63 IS_BONDED 0 SITEPROP IDELAY_X0Y63 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y63 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y63 IS_PAD 0 SITEPROP IDELAY_X0Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y63 IS_RESERVED 0 SITEPROP IDELAY_X0Y63 IS_TEST 0 SITEPROP IDELAY_X0Y63 IS_USED 0 SITEPROP IDELAY_X0Y63 MANUAL_ROUTING SITEPROP IDELAY_X0Y63 NAME IDELAY_X0Y63 SITEPROP IDELAY_X0Y63 NUM_ARCS 6 SITEPROP IDELAY_X0Y63 NUM_BELS 1 SITEPROP IDELAY_X0Y63 NUM_INPUTS 17 SITEPROP IDELAY_X0Y63 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y63 NUM_PINS 23 SITEPROP IDELAY_X0Y63 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y63 PROHIBIT 0 SITEPROP IDELAY_X0Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y63 RPM_X 5 SITEPROP IDELAY_X0Y63 RPM_Y 127 SITEPROP IDELAY_X0Y63 SITE_PIPS SITEPROP IDELAY_X0Y63 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y64 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y64 CLASS site SITEPROP IDELAY_X0Y64 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y64 IS_BONDED 0 SITEPROP IDELAY_X0Y64 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y64 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y64 IS_PAD 0 SITEPROP IDELAY_X0Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y64 IS_RESERVED 0 SITEPROP IDELAY_X0Y64 IS_TEST 0 SITEPROP IDELAY_X0Y64 IS_USED 0 SITEPROP IDELAY_X0Y64 MANUAL_ROUTING SITEPROP IDELAY_X0Y64 NAME IDELAY_X0Y64 SITEPROP IDELAY_X0Y64 NUM_ARCS 6 SITEPROP IDELAY_X0Y64 NUM_BELS 1 SITEPROP IDELAY_X0Y64 NUM_INPUTS 17 SITEPROP IDELAY_X0Y64 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y64 NUM_PINS 23 SITEPROP IDELAY_X0Y64 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y64 PROHIBIT 0 SITEPROP IDELAY_X0Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y64 RPM_X 5 SITEPROP IDELAY_X0Y64 RPM_Y 126 SITEPROP IDELAY_X0Y64 SITE_PIPS SITEPROP IDELAY_X0Y64 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y65 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y65 CLASS site SITEPROP IDELAY_X0Y65 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y65 IS_BONDED 0 SITEPROP IDELAY_X0Y65 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y65 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y65 IS_PAD 0 SITEPROP IDELAY_X0Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y65 IS_RESERVED 0 SITEPROP IDELAY_X0Y65 IS_TEST 0 SITEPROP IDELAY_X0Y65 IS_USED 0 SITEPROP IDELAY_X0Y65 MANUAL_ROUTING SITEPROP IDELAY_X0Y65 NAME IDELAY_X0Y65 SITEPROP IDELAY_X0Y65 NUM_ARCS 6 SITEPROP IDELAY_X0Y65 NUM_BELS 1 SITEPROP IDELAY_X0Y65 NUM_INPUTS 17 SITEPROP IDELAY_X0Y65 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y65 NUM_PINS 23 SITEPROP IDELAY_X0Y65 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y65 PROHIBIT 0 SITEPROP IDELAY_X0Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y65 RPM_X 5 SITEPROP IDELAY_X0Y65 RPM_Y 131 SITEPROP IDELAY_X0Y65 SITE_PIPS SITEPROP IDELAY_X0Y65 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y66 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y66 CLASS site SITEPROP IDELAY_X0Y66 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y66 IS_BONDED 0 SITEPROP IDELAY_X0Y66 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y66 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y66 IS_PAD 0 SITEPROP IDELAY_X0Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y66 IS_RESERVED 0 SITEPROP IDELAY_X0Y66 IS_TEST 0 SITEPROP IDELAY_X0Y66 IS_USED 0 SITEPROP IDELAY_X0Y66 MANUAL_ROUTING SITEPROP IDELAY_X0Y66 NAME IDELAY_X0Y66 SITEPROP IDELAY_X0Y66 NUM_ARCS 6 SITEPROP IDELAY_X0Y66 NUM_BELS 1 SITEPROP IDELAY_X0Y66 NUM_INPUTS 17 SITEPROP IDELAY_X0Y66 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y66 NUM_PINS 23 SITEPROP IDELAY_X0Y66 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y66 PROHIBIT 0 SITEPROP IDELAY_X0Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y66 RPM_X 5 SITEPROP IDELAY_X0Y66 RPM_Y 130 SITEPROP IDELAY_X0Y66 SITE_PIPS SITEPROP IDELAY_X0Y66 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y67 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y67 CLASS site SITEPROP IDELAY_X0Y67 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y67 IS_BONDED 0 SITEPROP IDELAY_X0Y67 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y67 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y67 IS_PAD 0 SITEPROP IDELAY_X0Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y67 IS_RESERVED 0 SITEPROP IDELAY_X0Y67 IS_TEST 0 SITEPROP IDELAY_X0Y67 IS_USED 0 SITEPROP IDELAY_X0Y67 MANUAL_ROUTING SITEPROP IDELAY_X0Y67 NAME IDELAY_X0Y67 SITEPROP IDELAY_X0Y67 NUM_ARCS 6 SITEPROP IDELAY_X0Y67 NUM_BELS 1 SITEPROP IDELAY_X0Y67 NUM_INPUTS 17 SITEPROP IDELAY_X0Y67 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y67 NUM_PINS 23 SITEPROP IDELAY_X0Y67 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y67 PROHIBIT 0 SITEPROP IDELAY_X0Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y67 RPM_X 5 SITEPROP IDELAY_X0Y67 RPM_Y 135 SITEPROP IDELAY_X0Y67 SITE_PIPS SITEPROP IDELAY_X0Y67 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y68 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y68 CLASS site SITEPROP IDELAY_X0Y68 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y68 IS_BONDED 0 SITEPROP IDELAY_X0Y68 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y68 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y68 IS_PAD 0 SITEPROP IDELAY_X0Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y68 IS_RESERVED 0 SITEPROP IDELAY_X0Y68 IS_TEST 0 SITEPROP IDELAY_X0Y68 IS_USED 0 SITEPROP IDELAY_X0Y68 MANUAL_ROUTING SITEPROP IDELAY_X0Y68 NAME IDELAY_X0Y68 SITEPROP IDELAY_X0Y68 NUM_ARCS 6 SITEPROP IDELAY_X0Y68 NUM_BELS 1 SITEPROP IDELAY_X0Y68 NUM_INPUTS 17 SITEPROP IDELAY_X0Y68 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y68 NUM_PINS 23 SITEPROP IDELAY_X0Y68 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y68 PROHIBIT 0 SITEPROP IDELAY_X0Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y68 RPM_X 5 SITEPROP IDELAY_X0Y68 RPM_Y 134 SITEPROP IDELAY_X0Y68 SITE_PIPS SITEPROP IDELAY_X0Y68 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y69 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y69 CLASS site SITEPROP IDELAY_X0Y69 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y69 IS_BONDED 0 SITEPROP IDELAY_X0Y69 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y69 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y69 IS_PAD 0 SITEPROP IDELAY_X0Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y69 IS_RESERVED 0 SITEPROP IDELAY_X0Y69 IS_TEST 0 SITEPROP IDELAY_X0Y69 IS_USED 0 SITEPROP IDELAY_X0Y69 MANUAL_ROUTING SITEPROP IDELAY_X0Y69 NAME IDELAY_X0Y69 SITEPROP IDELAY_X0Y69 NUM_ARCS 6 SITEPROP IDELAY_X0Y69 NUM_BELS 1 SITEPROP IDELAY_X0Y69 NUM_INPUTS 17 SITEPROP IDELAY_X0Y69 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y69 NUM_PINS 23 SITEPROP IDELAY_X0Y69 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y69 PROHIBIT 0 SITEPROP IDELAY_X0Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y69 RPM_X 5 SITEPROP IDELAY_X0Y69 RPM_Y 139 SITEPROP IDELAY_X0Y69 SITE_PIPS SITEPROP IDELAY_X0Y69 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y70 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y70 CLASS site SITEPROP IDELAY_X0Y70 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y70 IS_BONDED 0 SITEPROP IDELAY_X0Y70 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y70 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y70 IS_PAD 0 SITEPROP IDELAY_X0Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y70 IS_RESERVED 0 SITEPROP IDELAY_X0Y70 IS_TEST 0 SITEPROP IDELAY_X0Y70 IS_USED 0 SITEPROP IDELAY_X0Y70 MANUAL_ROUTING SITEPROP IDELAY_X0Y70 NAME IDELAY_X0Y70 SITEPROP IDELAY_X0Y70 NUM_ARCS 6 SITEPROP IDELAY_X0Y70 NUM_BELS 1 SITEPROP IDELAY_X0Y70 NUM_INPUTS 17 SITEPROP IDELAY_X0Y70 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y70 NUM_PINS 23 SITEPROP IDELAY_X0Y70 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y70 PROHIBIT 0 SITEPROP IDELAY_X0Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y70 RPM_X 5 SITEPROP IDELAY_X0Y70 RPM_Y 138 SITEPROP IDELAY_X0Y70 SITE_PIPS SITEPROP IDELAY_X0Y70 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y71 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y71 CLASS site SITEPROP IDELAY_X0Y71 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y71 IS_BONDED 0 SITEPROP IDELAY_X0Y71 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y71 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y71 IS_PAD 0 SITEPROP IDELAY_X0Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y71 IS_RESERVED 0 SITEPROP IDELAY_X0Y71 IS_TEST 0 SITEPROP IDELAY_X0Y71 IS_USED 0 SITEPROP IDELAY_X0Y71 MANUAL_ROUTING SITEPROP IDELAY_X0Y71 NAME IDELAY_X0Y71 SITEPROP IDELAY_X0Y71 NUM_ARCS 6 SITEPROP IDELAY_X0Y71 NUM_BELS 1 SITEPROP IDELAY_X0Y71 NUM_INPUTS 17 SITEPROP IDELAY_X0Y71 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y71 NUM_PINS 23 SITEPROP IDELAY_X0Y71 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y71 PROHIBIT 0 SITEPROP IDELAY_X0Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y71 RPM_X 5 SITEPROP IDELAY_X0Y71 RPM_Y 143 SITEPROP IDELAY_X0Y71 SITE_PIPS SITEPROP IDELAY_X0Y71 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y72 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y72 CLASS site SITEPROP IDELAY_X0Y72 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y72 IS_BONDED 0 SITEPROP IDELAY_X0Y72 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y72 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y72 IS_PAD 0 SITEPROP IDELAY_X0Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y72 IS_RESERVED 0 SITEPROP IDELAY_X0Y72 IS_TEST 0 SITEPROP IDELAY_X0Y72 IS_USED 0 SITEPROP IDELAY_X0Y72 MANUAL_ROUTING SITEPROP IDELAY_X0Y72 NAME IDELAY_X0Y72 SITEPROP IDELAY_X0Y72 NUM_ARCS 6 SITEPROP IDELAY_X0Y72 NUM_BELS 1 SITEPROP IDELAY_X0Y72 NUM_INPUTS 17 SITEPROP IDELAY_X0Y72 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y72 NUM_PINS 23 SITEPROP IDELAY_X0Y72 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y72 PROHIBIT 0 SITEPROP IDELAY_X0Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y72 RPM_X 5 SITEPROP IDELAY_X0Y72 RPM_Y 142 SITEPROP IDELAY_X0Y72 SITE_PIPS SITEPROP IDELAY_X0Y72 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y73 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y73 CLASS site SITEPROP IDELAY_X0Y73 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y73 IS_BONDED 0 SITEPROP IDELAY_X0Y73 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y73 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y73 IS_PAD 0 SITEPROP IDELAY_X0Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y73 IS_RESERVED 0 SITEPROP IDELAY_X0Y73 IS_TEST 0 SITEPROP IDELAY_X0Y73 IS_USED 0 SITEPROP IDELAY_X0Y73 MANUAL_ROUTING SITEPROP IDELAY_X0Y73 NAME IDELAY_X0Y73 SITEPROP IDELAY_X0Y73 NUM_ARCS 6 SITEPROP IDELAY_X0Y73 NUM_BELS 1 SITEPROP IDELAY_X0Y73 NUM_INPUTS 17 SITEPROP IDELAY_X0Y73 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y73 NUM_PINS 23 SITEPROP IDELAY_X0Y73 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y73 PROHIBIT 0 SITEPROP IDELAY_X0Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y73 RPM_X 5 SITEPROP IDELAY_X0Y73 RPM_Y 147 SITEPROP IDELAY_X0Y73 SITE_PIPS SITEPROP IDELAY_X0Y73 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y74 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y74 CLASS site SITEPROP IDELAY_X0Y74 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y74 IS_BONDED 0 SITEPROP IDELAY_X0Y74 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y74 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y74 IS_PAD 0 SITEPROP IDELAY_X0Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y74 IS_RESERVED 0 SITEPROP IDELAY_X0Y74 IS_TEST 0 SITEPROP IDELAY_X0Y74 IS_USED 0 SITEPROP IDELAY_X0Y74 MANUAL_ROUTING SITEPROP IDELAY_X0Y74 NAME IDELAY_X0Y74 SITEPROP IDELAY_X0Y74 NUM_ARCS 6 SITEPROP IDELAY_X0Y74 NUM_BELS 1 SITEPROP IDELAY_X0Y74 NUM_INPUTS 17 SITEPROP IDELAY_X0Y74 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y74 NUM_PINS 23 SITEPROP IDELAY_X0Y74 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y74 PROHIBIT 0 SITEPROP IDELAY_X0Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y74 RPM_X 5 SITEPROP IDELAY_X0Y74 RPM_Y 146 SITEPROP IDELAY_X0Y74 SITE_PIPS SITEPROP IDELAY_X0Y74 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y75 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y75 CLASS site SITEPROP IDELAY_X0Y75 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y75 IS_BONDED 0 SITEPROP IDELAY_X0Y75 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y75 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y75 IS_PAD 0 SITEPROP IDELAY_X0Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y75 IS_RESERVED 0 SITEPROP IDELAY_X0Y75 IS_TEST 0 SITEPROP IDELAY_X0Y75 IS_USED 0 SITEPROP IDELAY_X0Y75 MANUAL_ROUTING SITEPROP IDELAY_X0Y75 NAME IDELAY_X0Y75 SITEPROP IDELAY_X0Y75 NUM_ARCS 6 SITEPROP IDELAY_X0Y75 NUM_BELS 1 SITEPROP IDELAY_X0Y75 NUM_INPUTS 17 SITEPROP IDELAY_X0Y75 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y75 NUM_PINS 23 SITEPROP IDELAY_X0Y75 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y75 PROHIBIT 0 SITEPROP IDELAY_X0Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y75 RPM_X 5 SITEPROP IDELAY_X0Y75 RPM_Y 151 SITEPROP IDELAY_X0Y75 SITE_PIPS SITEPROP IDELAY_X0Y75 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y76 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y76 CLASS site SITEPROP IDELAY_X0Y76 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y76 IS_BONDED 0 SITEPROP IDELAY_X0Y76 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y76 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y76 IS_PAD 0 SITEPROP IDELAY_X0Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y76 IS_RESERVED 0 SITEPROP IDELAY_X0Y76 IS_TEST 0 SITEPROP IDELAY_X0Y76 IS_USED 0 SITEPROP IDELAY_X0Y76 MANUAL_ROUTING SITEPROP IDELAY_X0Y76 NAME IDELAY_X0Y76 SITEPROP IDELAY_X0Y76 NUM_ARCS 6 SITEPROP IDELAY_X0Y76 NUM_BELS 1 SITEPROP IDELAY_X0Y76 NUM_INPUTS 17 SITEPROP IDELAY_X0Y76 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y76 NUM_PINS 23 SITEPROP IDELAY_X0Y76 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y76 PROHIBIT 0 SITEPROP IDELAY_X0Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y76 RPM_X 5 SITEPROP IDELAY_X0Y76 RPM_Y 150 SITEPROP IDELAY_X0Y76 SITE_PIPS SITEPROP IDELAY_X0Y76 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y77 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y77 CLASS site SITEPROP IDELAY_X0Y77 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y77 IS_BONDED 0 SITEPROP IDELAY_X0Y77 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y77 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y77 IS_PAD 0 SITEPROP IDELAY_X0Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y77 IS_RESERVED 0 SITEPROP IDELAY_X0Y77 IS_TEST 0 SITEPROP IDELAY_X0Y77 IS_USED 0 SITEPROP IDELAY_X0Y77 MANUAL_ROUTING SITEPROP IDELAY_X0Y77 NAME IDELAY_X0Y77 SITEPROP IDELAY_X0Y77 NUM_ARCS 6 SITEPROP IDELAY_X0Y77 NUM_BELS 1 SITEPROP IDELAY_X0Y77 NUM_INPUTS 17 SITEPROP IDELAY_X0Y77 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y77 NUM_PINS 23 SITEPROP IDELAY_X0Y77 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y77 PROHIBIT 0 SITEPROP IDELAY_X0Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y77 RPM_X 5 SITEPROP IDELAY_X0Y77 RPM_Y 155 SITEPROP IDELAY_X0Y77 SITE_PIPS SITEPROP IDELAY_X0Y77 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y78 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y78 CLASS site SITEPROP IDELAY_X0Y78 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y78 IS_BONDED 0 SITEPROP IDELAY_X0Y78 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y78 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y78 IS_PAD 0 SITEPROP IDELAY_X0Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y78 IS_RESERVED 0 SITEPROP IDELAY_X0Y78 IS_TEST 0 SITEPROP IDELAY_X0Y78 IS_USED 0 SITEPROP IDELAY_X0Y78 MANUAL_ROUTING SITEPROP IDELAY_X0Y78 NAME IDELAY_X0Y78 SITEPROP IDELAY_X0Y78 NUM_ARCS 6 SITEPROP IDELAY_X0Y78 NUM_BELS 1 SITEPROP IDELAY_X0Y78 NUM_INPUTS 17 SITEPROP IDELAY_X0Y78 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y78 NUM_PINS 23 SITEPROP IDELAY_X0Y78 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y78 PROHIBIT 0 SITEPROP IDELAY_X0Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y78 RPM_X 5 SITEPROP IDELAY_X0Y78 RPM_Y 154 SITEPROP IDELAY_X0Y78 SITE_PIPS SITEPROP IDELAY_X0Y78 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y79 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y79 CLASS site SITEPROP IDELAY_X0Y79 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y79 IS_BONDED 0 SITEPROP IDELAY_X0Y79 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y79 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y79 IS_PAD 0 SITEPROP IDELAY_X0Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y79 IS_RESERVED 0 SITEPROP IDELAY_X0Y79 IS_TEST 0 SITEPROP IDELAY_X0Y79 IS_USED 0 SITEPROP IDELAY_X0Y79 MANUAL_ROUTING SITEPROP IDELAY_X0Y79 NAME IDELAY_X0Y79 SITEPROP IDELAY_X0Y79 NUM_ARCS 6 SITEPROP IDELAY_X0Y79 NUM_BELS 1 SITEPROP IDELAY_X0Y79 NUM_INPUTS 17 SITEPROP IDELAY_X0Y79 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y79 NUM_PINS 23 SITEPROP IDELAY_X0Y79 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y79 PROHIBIT 0 SITEPROP IDELAY_X0Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y79 RPM_X 5 SITEPROP IDELAY_X0Y79 RPM_Y 159 SITEPROP IDELAY_X0Y79 SITE_PIPS SITEPROP IDELAY_X0Y79 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y80 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y80 CLASS site SITEPROP IDELAY_X0Y80 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y80 IS_BONDED 0 SITEPROP IDELAY_X0Y80 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y80 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y80 IS_PAD 0 SITEPROP IDELAY_X0Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y80 IS_RESERVED 0 SITEPROP IDELAY_X0Y80 IS_TEST 0 SITEPROP IDELAY_X0Y80 IS_USED 0 SITEPROP IDELAY_X0Y80 MANUAL_ROUTING SITEPROP IDELAY_X0Y80 NAME IDELAY_X0Y80 SITEPROP IDELAY_X0Y80 NUM_ARCS 6 SITEPROP IDELAY_X0Y80 NUM_BELS 1 SITEPROP IDELAY_X0Y80 NUM_INPUTS 17 SITEPROP IDELAY_X0Y80 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y80 NUM_PINS 23 SITEPROP IDELAY_X0Y80 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y80 PROHIBIT 0 SITEPROP IDELAY_X0Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y80 RPM_X 5 SITEPROP IDELAY_X0Y80 RPM_Y 158 SITEPROP IDELAY_X0Y80 SITE_PIPS SITEPROP IDELAY_X0Y80 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y81 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y81 CLASS site SITEPROP IDELAY_X0Y81 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y81 IS_BONDED 0 SITEPROP IDELAY_X0Y81 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y81 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y81 IS_PAD 0 SITEPROP IDELAY_X0Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y81 IS_RESERVED 0 SITEPROP IDELAY_X0Y81 IS_TEST 0 SITEPROP IDELAY_X0Y81 IS_USED 0 SITEPROP IDELAY_X0Y81 MANUAL_ROUTING SITEPROP IDELAY_X0Y81 NAME IDELAY_X0Y81 SITEPROP IDELAY_X0Y81 NUM_ARCS 6 SITEPROP IDELAY_X0Y81 NUM_BELS 1 SITEPROP IDELAY_X0Y81 NUM_INPUTS 17 SITEPROP IDELAY_X0Y81 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y81 NUM_PINS 23 SITEPROP IDELAY_X0Y81 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y81 PROHIBIT 0 SITEPROP IDELAY_X0Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y81 RPM_X 5 SITEPROP IDELAY_X0Y81 RPM_Y 163 SITEPROP IDELAY_X0Y81 SITE_PIPS SITEPROP IDELAY_X0Y81 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y82 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y82 CLASS site SITEPROP IDELAY_X0Y82 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y82 IS_BONDED 0 SITEPROP IDELAY_X0Y82 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y82 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y82 IS_PAD 0 SITEPROP IDELAY_X0Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y82 IS_RESERVED 0 SITEPROP IDELAY_X0Y82 IS_TEST 0 SITEPROP IDELAY_X0Y82 IS_USED 0 SITEPROP IDELAY_X0Y82 MANUAL_ROUTING SITEPROP IDELAY_X0Y82 NAME IDELAY_X0Y82 SITEPROP IDELAY_X0Y82 NUM_ARCS 6 SITEPROP IDELAY_X0Y82 NUM_BELS 1 SITEPROP IDELAY_X0Y82 NUM_INPUTS 17 SITEPROP IDELAY_X0Y82 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y82 NUM_PINS 23 SITEPROP IDELAY_X0Y82 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y82 PROHIBIT 0 SITEPROP IDELAY_X0Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y82 RPM_X 5 SITEPROP IDELAY_X0Y82 RPM_Y 162 SITEPROP IDELAY_X0Y82 SITE_PIPS SITEPROP IDELAY_X0Y82 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y83 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y83 CLASS site SITEPROP IDELAY_X0Y83 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y83 IS_BONDED 0 SITEPROP IDELAY_X0Y83 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y83 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y83 IS_PAD 0 SITEPROP IDELAY_X0Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y83 IS_RESERVED 0 SITEPROP IDELAY_X0Y83 IS_TEST 0 SITEPROP IDELAY_X0Y83 IS_USED 0 SITEPROP IDELAY_X0Y83 MANUAL_ROUTING SITEPROP IDELAY_X0Y83 NAME IDELAY_X0Y83 SITEPROP IDELAY_X0Y83 NUM_ARCS 6 SITEPROP IDELAY_X0Y83 NUM_BELS 1 SITEPROP IDELAY_X0Y83 NUM_INPUTS 17 SITEPROP IDELAY_X0Y83 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y83 NUM_PINS 23 SITEPROP IDELAY_X0Y83 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y83 PROHIBIT 0 SITEPROP IDELAY_X0Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y83 RPM_X 5 SITEPROP IDELAY_X0Y83 RPM_Y 167 SITEPROP IDELAY_X0Y83 SITE_PIPS SITEPROP IDELAY_X0Y83 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y84 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y84 CLASS site SITEPROP IDELAY_X0Y84 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y84 IS_BONDED 0 SITEPROP IDELAY_X0Y84 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y84 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y84 IS_PAD 0 SITEPROP IDELAY_X0Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y84 IS_RESERVED 0 SITEPROP IDELAY_X0Y84 IS_TEST 0 SITEPROP IDELAY_X0Y84 IS_USED 0 SITEPROP IDELAY_X0Y84 MANUAL_ROUTING SITEPROP IDELAY_X0Y84 NAME IDELAY_X0Y84 SITEPROP IDELAY_X0Y84 NUM_ARCS 6 SITEPROP IDELAY_X0Y84 NUM_BELS 1 SITEPROP IDELAY_X0Y84 NUM_INPUTS 17 SITEPROP IDELAY_X0Y84 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y84 NUM_PINS 23 SITEPROP IDELAY_X0Y84 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y84 PROHIBIT 0 SITEPROP IDELAY_X0Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y84 RPM_X 5 SITEPROP IDELAY_X0Y84 RPM_Y 166 SITEPROP IDELAY_X0Y84 SITE_PIPS SITEPROP IDELAY_X0Y84 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y85 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y85 CLASS site SITEPROP IDELAY_X0Y85 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y85 IS_BONDED 0 SITEPROP IDELAY_X0Y85 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y85 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y85 IS_PAD 0 SITEPROP IDELAY_X0Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y85 IS_RESERVED 0 SITEPROP IDELAY_X0Y85 IS_TEST 0 SITEPROP IDELAY_X0Y85 IS_USED 0 SITEPROP IDELAY_X0Y85 MANUAL_ROUTING SITEPROP IDELAY_X0Y85 NAME IDELAY_X0Y85 SITEPROP IDELAY_X0Y85 NUM_ARCS 6 SITEPROP IDELAY_X0Y85 NUM_BELS 1 SITEPROP IDELAY_X0Y85 NUM_INPUTS 17 SITEPROP IDELAY_X0Y85 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y85 NUM_PINS 23 SITEPROP IDELAY_X0Y85 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y85 PROHIBIT 0 SITEPROP IDELAY_X0Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y85 RPM_X 5 SITEPROP IDELAY_X0Y85 RPM_Y 171 SITEPROP IDELAY_X0Y85 SITE_PIPS SITEPROP IDELAY_X0Y85 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y86 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y86 CLASS site SITEPROP IDELAY_X0Y86 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y86 IS_BONDED 0 SITEPROP IDELAY_X0Y86 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y86 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y86 IS_PAD 0 SITEPROP IDELAY_X0Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y86 IS_RESERVED 0 SITEPROP IDELAY_X0Y86 IS_TEST 0 SITEPROP IDELAY_X0Y86 IS_USED 0 SITEPROP IDELAY_X0Y86 MANUAL_ROUTING SITEPROP IDELAY_X0Y86 NAME IDELAY_X0Y86 SITEPROP IDELAY_X0Y86 NUM_ARCS 6 SITEPROP IDELAY_X0Y86 NUM_BELS 1 SITEPROP IDELAY_X0Y86 NUM_INPUTS 17 SITEPROP IDELAY_X0Y86 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y86 NUM_PINS 23 SITEPROP IDELAY_X0Y86 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y86 PROHIBIT 0 SITEPROP IDELAY_X0Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y86 RPM_X 5 SITEPROP IDELAY_X0Y86 RPM_Y 170 SITEPROP IDELAY_X0Y86 SITE_PIPS SITEPROP IDELAY_X0Y86 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y87 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y87 CLASS site SITEPROP IDELAY_X0Y87 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y87 IS_BONDED 0 SITEPROP IDELAY_X0Y87 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y87 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y87 IS_PAD 0 SITEPROP IDELAY_X0Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y87 IS_RESERVED 0 SITEPROP IDELAY_X0Y87 IS_TEST 0 SITEPROP IDELAY_X0Y87 IS_USED 0 SITEPROP IDELAY_X0Y87 MANUAL_ROUTING SITEPROP IDELAY_X0Y87 NAME IDELAY_X0Y87 SITEPROP IDELAY_X0Y87 NUM_ARCS 6 SITEPROP IDELAY_X0Y87 NUM_BELS 1 SITEPROP IDELAY_X0Y87 NUM_INPUTS 17 SITEPROP IDELAY_X0Y87 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y87 NUM_PINS 23 SITEPROP IDELAY_X0Y87 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y87 PROHIBIT 0 SITEPROP IDELAY_X0Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y87 RPM_X 5 SITEPROP IDELAY_X0Y87 RPM_Y 175 SITEPROP IDELAY_X0Y87 SITE_PIPS SITEPROP IDELAY_X0Y87 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y88 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y88 CLASS site SITEPROP IDELAY_X0Y88 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y88 IS_BONDED 0 SITEPROP IDELAY_X0Y88 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y88 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y88 IS_PAD 0 SITEPROP IDELAY_X0Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y88 IS_RESERVED 0 SITEPROP IDELAY_X0Y88 IS_TEST 0 SITEPROP IDELAY_X0Y88 IS_USED 0 SITEPROP IDELAY_X0Y88 MANUAL_ROUTING SITEPROP IDELAY_X0Y88 NAME IDELAY_X0Y88 SITEPROP IDELAY_X0Y88 NUM_ARCS 6 SITEPROP IDELAY_X0Y88 NUM_BELS 1 SITEPROP IDELAY_X0Y88 NUM_INPUTS 17 SITEPROP IDELAY_X0Y88 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y88 NUM_PINS 23 SITEPROP IDELAY_X0Y88 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y88 PROHIBIT 0 SITEPROP IDELAY_X0Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y88 RPM_X 5 SITEPROP IDELAY_X0Y88 RPM_Y 174 SITEPROP IDELAY_X0Y88 SITE_PIPS SITEPROP IDELAY_X0Y88 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y89 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y89 CLASS site SITEPROP IDELAY_X0Y89 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y89 IS_BONDED 0 SITEPROP IDELAY_X0Y89 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y89 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y89 IS_PAD 0 SITEPROP IDELAY_X0Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y89 IS_RESERVED 0 SITEPROP IDELAY_X0Y89 IS_TEST 0 SITEPROP IDELAY_X0Y89 IS_USED 0 SITEPROP IDELAY_X0Y89 MANUAL_ROUTING SITEPROP IDELAY_X0Y89 NAME IDELAY_X0Y89 SITEPROP IDELAY_X0Y89 NUM_ARCS 6 SITEPROP IDELAY_X0Y89 NUM_BELS 1 SITEPROP IDELAY_X0Y89 NUM_INPUTS 17 SITEPROP IDELAY_X0Y89 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y89 NUM_PINS 23 SITEPROP IDELAY_X0Y89 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y89 PROHIBIT 0 SITEPROP IDELAY_X0Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y89 RPM_X 5 SITEPROP IDELAY_X0Y89 RPM_Y 179 SITEPROP IDELAY_X0Y89 SITE_PIPS SITEPROP IDELAY_X0Y89 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y90 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y90 CLASS site SITEPROP IDELAY_X0Y90 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y90 IS_BONDED 0 SITEPROP IDELAY_X0Y90 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y90 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y90 IS_PAD 0 SITEPROP IDELAY_X0Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y90 IS_RESERVED 0 SITEPROP IDELAY_X0Y90 IS_TEST 0 SITEPROP IDELAY_X0Y90 IS_USED 0 SITEPROP IDELAY_X0Y90 MANUAL_ROUTING SITEPROP IDELAY_X0Y90 NAME IDELAY_X0Y90 SITEPROP IDELAY_X0Y90 NUM_ARCS 6 SITEPROP IDELAY_X0Y90 NUM_BELS 1 SITEPROP IDELAY_X0Y90 NUM_INPUTS 17 SITEPROP IDELAY_X0Y90 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y90 NUM_PINS 23 SITEPROP IDELAY_X0Y90 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y90 PROHIBIT 0 SITEPROP IDELAY_X0Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y90 RPM_X 5 SITEPROP IDELAY_X0Y90 RPM_Y 178 SITEPROP IDELAY_X0Y90 SITE_PIPS SITEPROP IDELAY_X0Y90 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y91 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y91 CLASS site SITEPROP IDELAY_X0Y91 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y91 IS_BONDED 0 SITEPROP IDELAY_X0Y91 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y91 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y91 IS_PAD 0 SITEPROP IDELAY_X0Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y91 IS_RESERVED 0 SITEPROP IDELAY_X0Y91 IS_TEST 0 SITEPROP IDELAY_X0Y91 IS_USED 0 SITEPROP IDELAY_X0Y91 MANUAL_ROUTING SITEPROP IDELAY_X0Y91 NAME IDELAY_X0Y91 SITEPROP IDELAY_X0Y91 NUM_ARCS 6 SITEPROP IDELAY_X0Y91 NUM_BELS 1 SITEPROP IDELAY_X0Y91 NUM_INPUTS 17 SITEPROP IDELAY_X0Y91 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y91 NUM_PINS 23 SITEPROP IDELAY_X0Y91 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y91 PROHIBIT 0 SITEPROP IDELAY_X0Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y91 RPM_X 5 SITEPROP IDELAY_X0Y91 RPM_Y 183 SITEPROP IDELAY_X0Y91 SITE_PIPS SITEPROP IDELAY_X0Y91 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y92 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y92 CLASS site SITEPROP IDELAY_X0Y92 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y92 IS_BONDED 0 SITEPROP IDELAY_X0Y92 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y92 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y92 IS_PAD 0 SITEPROP IDELAY_X0Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y92 IS_RESERVED 0 SITEPROP IDELAY_X0Y92 IS_TEST 0 SITEPROP IDELAY_X0Y92 IS_USED 0 SITEPROP IDELAY_X0Y92 MANUAL_ROUTING SITEPROP IDELAY_X0Y92 NAME IDELAY_X0Y92 SITEPROP IDELAY_X0Y92 NUM_ARCS 6 SITEPROP IDELAY_X0Y92 NUM_BELS 1 SITEPROP IDELAY_X0Y92 NUM_INPUTS 17 SITEPROP IDELAY_X0Y92 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y92 NUM_PINS 23 SITEPROP IDELAY_X0Y92 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y92 PROHIBIT 0 SITEPROP IDELAY_X0Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y92 RPM_X 5 SITEPROP IDELAY_X0Y92 RPM_Y 182 SITEPROP IDELAY_X0Y92 SITE_PIPS SITEPROP IDELAY_X0Y92 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y93 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y93 CLASS site SITEPROP IDELAY_X0Y93 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y93 IS_BONDED 0 SITEPROP IDELAY_X0Y93 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y93 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y93 IS_PAD 0 SITEPROP IDELAY_X0Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y93 IS_RESERVED 0 SITEPROP IDELAY_X0Y93 IS_TEST 0 SITEPROP IDELAY_X0Y93 IS_USED 0 SITEPROP IDELAY_X0Y93 MANUAL_ROUTING SITEPROP IDELAY_X0Y93 NAME IDELAY_X0Y93 SITEPROP IDELAY_X0Y93 NUM_ARCS 6 SITEPROP IDELAY_X0Y93 NUM_BELS 1 SITEPROP IDELAY_X0Y93 NUM_INPUTS 17 SITEPROP IDELAY_X0Y93 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y93 NUM_PINS 23 SITEPROP IDELAY_X0Y93 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y93 PROHIBIT 0 SITEPROP IDELAY_X0Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y93 RPM_X 5 SITEPROP IDELAY_X0Y93 RPM_Y 187 SITEPROP IDELAY_X0Y93 SITE_PIPS SITEPROP IDELAY_X0Y93 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y94 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y94 CLASS site SITEPROP IDELAY_X0Y94 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y94 IS_BONDED 0 SITEPROP IDELAY_X0Y94 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y94 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y94 IS_PAD 0 SITEPROP IDELAY_X0Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y94 IS_RESERVED 0 SITEPROP IDELAY_X0Y94 IS_TEST 0 SITEPROP IDELAY_X0Y94 IS_USED 0 SITEPROP IDELAY_X0Y94 MANUAL_ROUTING SITEPROP IDELAY_X0Y94 NAME IDELAY_X0Y94 SITEPROP IDELAY_X0Y94 NUM_ARCS 6 SITEPROP IDELAY_X0Y94 NUM_BELS 1 SITEPROP IDELAY_X0Y94 NUM_INPUTS 17 SITEPROP IDELAY_X0Y94 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y94 NUM_PINS 23 SITEPROP IDELAY_X0Y94 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y94 PROHIBIT 0 SITEPROP IDELAY_X0Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y94 RPM_X 5 SITEPROP IDELAY_X0Y94 RPM_Y 186 SITEPROP IDELAY_X0Y94 SITE_PIPS SITEPROP IDELAY_X0Y94 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y95 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y95 CLASS site SITEPROP IDELAY_X0Y95 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y95 IS_BONDED 0 SITEPROP IDELAY_X0Y95 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y95 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y95 IS_PAD 0 SITEPROP IDELAY_X0Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y95 IS_RESERVED 0 SITEPROP IDELAY_X0Y95 IS_TEST 0 SITEPROP IDELAY_X0Y95 IS_USED 0 SITEPROP IDELAY_X0Y95 MANUAL_ROUTING SITEPROP IDELAY_X0Y95 NAME IDELAY_X0Y95 SITEPROP IDELAY_X0Y95 NUM_ARCS 6 SITEPROP IDELAY_X0Y95 NUM_BELS 1 SITEPROP IDELAY_X0Y95 NUM_INPUTS 17 SITEPROP IDELAY_X0Y95 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y95 NUM_PINS 23 SITEPROP IDELAY_X0Y95 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y95 PROHIBIT 0 SITEPROP IDELAY_X0Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y95 RPM_X 5 SITEPROP IDELAY_X0Y95 RPM_Y 191 SITEPROP IDELAY_X0Y95 SITE_PIPS SITEPROP IDELAY_X0Y95 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y96 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y96 CLASS site SITEPROP IDELAY_X0Y96 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y96 IS_BONDED 0 SITEPROP IDELAY_X0Y96 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y96 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y96 IS_PAD 0 SITEPROP IDELAY_X0Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y96 IS_RESERVED 0 SITEPROP IDELAY_X0Y96 IS_TEST 0 SITEPROP IDELAY_X0Y96 IS_USED 0 SITEPROP IDELAY_X0Y96 MANUAL_ROUTING SITEPROP IDELAY_X0Y96 NAME IDELAY_X0Y96 SITEPROP IDELAY_X0Y96 NUM_ARCS 6 SITEPROP IDELAY_X0Y96 NUM_BELS 1 SITEPROP IDELAY_X0Y96 NUM_INPUTS 17 SITEPROP IDELAY_X0Y96 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y96 NUM_PINS 23 SITEPROP IDELAY_X0Y96 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y96 PROHIBIT 0 SITEPROP IDELAY_X0Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y96 RPM_X 5 SITEPROP IDELAY_X0Y96 RPM_Y 190 SITEPROP IDELAY_X0Y96 SITE_PIPS SITEPROP IDELAY_X0Y96 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y97 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y97 CLASS site SITEPROP IDELAY_X0Y97 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y97 IS_BONDED 0 SITEPROP IDELAY_X0Y97 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y97 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y97 IS_PAD 0 SITEPROP IDELAY_X0Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y97 IS_RESERVED 0 SITEPROP IDELAY_X0Y97 IS_TEST 0 SITEPROP IDELAY_X0Y97 IS_USED 0 SITEPROP IDELAY_X0Y97 MANUAL_ROUTING SITEPROP IDELAY_X0Y97 NAME IDELAY_X0Y97 SITEPROP IDELAY_X0Y97 NUM_ARCS 6 SITEPROP IDELAY_X0Y97 NUM_BELS 1 SITEPROP IDELAY_X0Y97 NUM_INPUTS 17 SITEPROP IDELAY_X0Y97 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y97 NUM_PINS 23 SITEPROP IDELAY_X0Y97 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y97 PROHIBIT 0 SITEPROP IDELAY_X0Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y97 RPM_X 5 SITEPROP IDELAY_X0Y97 RPM_Y 195 SITEPROP IDELAY_X0Y97 SITE_PIPS SITEPROP IDELAY_X0Y97 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y98 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y98 CLASS site SITEPROP IDELAY_X0Y98 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y98 IS_BONDED 0 SITEPROP IDELAY_X0Y98 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y98 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y98 IS_PAD 0 SITEPROP IDELAY_X0Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y98 IS_RESERVED 0 SITEPROP IDELAY_X0Y98 IS_TEST 0 SITEPROP IDELAY_X0Y98 IS_USED 0 SITEPROP IDELAY_X0Y98 MANUAL_ROUTING SITEPROP IDELAY_X0Y98 NAME IDELAY_X0Y98 SITEPROP IDELAY_X0Y98 NUM_ARCS 6 SITEPROP IDELAY_X0Y98 NUM_BELS 1 SITEPROP IDELAY_X0Y98 NUM_INPUTS 17 SITEPROP IDELAY_X0Y98 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y98 NUM_PINS 23 SITEPROP IDELAY_X0Y98 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y98 PROHIBIT 0 SITEPROP IDELAY_X0Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y98 RPM_X 5 SITEPROP IDELAY_X0Y98 RPM_Y 194 SITEPROP IDELAY_X0Y98 SITE_PIPS SITEPROP IDELAY_X0Y98 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y99 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y99 CLASS site SITEPROP IDELAY_X0Y99 CLOCK_REGION X0Y1 SITEPROP IDELAY_X0Y99 IS_BONDED 0 SITEPROP IDELAY_X0Y99 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y99 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y99 IS_PAD 0 SITEPROP IDELAY_X0Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y99 IS_RESERVED 0 SITEPROP IDELAY_X0Y99 IS_TEST 0 SITEPROP IDELAY_X0Y99 IS_USED 0 SITEPROP IDELAY_X0Y99 MANUAL_ROUTING SITEPROP IDELAY_X0Y99 NAME IDELAY_X0Y99 SITEPROP IDELAY_X0Y99 NUM_ARCS 6 SITEPROP IDELAY_X0Y99 NUM_BELS 1 SITEPROP IDELAY_X0Y99 NUM_INPUTS 17 SITEPROP IDELAY_X0Y99 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y99 NUM_PINS 23 SITEPROP IDELAY_X0Y99 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y99 PROHIBIT 0 SITEPROP IDELAY_X0Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y99 RPM_X 5 SITEPROP IDELAY_X0Y99 RPM_Y 198 SITEPROP IDELAY_X0Y99 SITE_PIPS SITEPROP IDELAY_X0Y99 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y100 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y100 CLASS site SITEPROP IDELAY_X0Y100 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y100 IS_BONDED 0 SITEPROP IDELAY_X0Y100 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y100 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y100 IS_PAD 0 SITEPROP IDELAY_X0Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y100 IS_RESERVED 0 SITEPROP IDELAY_X0Y100 IS_TEST 0 SITEPROP IDELAY_X0Y100 IS_USED 0 SITEPROP IDELAY_X0Y100 MANUAL_ROUTING SITEPROP IDELAY_X0Y100 NAME IDELAY_X0Y100 SITEPROP IDELAY_X0Y100 NUM_ARCS 6 SITEPROP IDELAY_X0Y100 NUM_BELS 1 SITEPROP IDELAY_X0Y100 NUM_INPUTS 17 SITEPROP IDELAY_X0Y100 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y100 NUM_PINS 23 SITEPROP IDELAY_X0Y100 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y100 PROHIBIT 0 SITEPROP IDELAY_X0Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y100 RPM_X 5 SITEPROP IDELAY_X0Y100 RPM_Y 200 SITEPROP IDELAY_X0Y100 SITE_PIPS SITEPROP IDELAY_X0Y100 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y101 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y101 CLASS site SITEPROP IDELAY_X0Y101 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y101 IS_BONDED 0 SITEPROP IDELAY_X0Y101 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y101 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y101 IS_PAD 0 SITEPROP IDELAY_X0Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y101 IS_RESERVED 0 SITEPROP IDELAY_X0Y101 IS_TEST 0 SITEPROP IDELAY_X0Y101 IS_USED 0 SITEPROP IDELAY_X0Y101 MANUAL_ROUTING SITEPROP IDELAY_X0Y101 NAME IDELAY_X0Y101 SITEPROP IDELAY_X0Y101 NUM_ARCS 6 SITEPROP IDELAY_X0Y101 NUM_BELS 1 SITEPROP IDELAY_X0Y101 NUM_INPUTS 17 SITEPROP IDELAY_X0Y101 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y101 NUM_PINS 23 SITEPROP IDELAY_X0Y101 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y101 PROHIBIT 0 SITEPROP IDELAY_X0Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y101 RPM_X 5 SITEPROP IDELAY_X0Y101 RPM_Y 203 SITEPROP IDELAY_X0Y101 SITE_PIPS SITEPROP IDELAY_X0Y101 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y102 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y102 CLASS site SITEPROP IDELAY_X0Y102 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y102 IS_BONDED 0 SITEPROP IDELAY_X0Y102 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y102 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y102 IS_PAD 0 SITEPROP IDELAY_X0Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y102 IS_RESERVED 0 SITEPROP IDELAY_X0Y102 IS_TEST 0 SITEPROP IDELAY_X0Y102 IS_USED 0 SITEPROP IDELAY_X0Y102 MANUAL_ROUTING SITEPROP IDELAY_X0Y102 NAME IDELAY_X0Y102 SITEPROP IDELAY_X0Y102 NUM_ARCS 6 SITEPROP IDELAY_X0Y102 NUM_BELS 1 SITEPROP IDELAY_X0Y102 NUM_INPUTS 17 SITEPROP IDELAY_X0Y102 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y102 NUM_PINS 23 SITEPROP IDELAY_X0Y102 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y102 PROHIBIT 0 SITEPROP IDELAY_X0Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y102 RPM_X 5 SITEPROP IDELAY_X0Y102 RPM_Y 202 SITEPROP IDELAY_X0Y102 SITE_PIPS SITEPROP IDELAY_X0Y102 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y103 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y103 CLASS site SITEPROP IDELAY_X0Y103 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y103 IS_BONDED 0 SITEPROP IDELAY_X0Y103 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y103 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y103 IS_PAD 0 SITEPROP IDELAY_X0Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y103 IS_RESERVED 0 SITEPROP IDELAY_X0Y103 IS_TEST 0 SITEPROP IDELAY_X0Y103 IS_USED 0 SITEPROP IDELAY_X0Y103 MANUAL_ROUTING SITEPROP IDELAY_X0Y103 NAME IDELAY_X0Y103 SITEPROP IDELAY_X0Y103 NUM_ARCS 6 SITEPROP IDELAY_X0Y103 NUM_BELS 1 SITEPROP IDELAY_X0Y103 NUM_INPUTS 17 SITEPROP IDELAY_X0Y103 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y103 NUM_PINS 23 SITEPROP IDELAY_X0Y103 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y103 PROHIBIT 0 SITEPROP IDELAY_X0Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y103 RPM_X 5 SITEPROP IDELAY_X0Y103 RPM_Y 207 SITEPROP IDELAY_X0Y103 SITE_PIPS SITEPROP IDELAY_X0Y103 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y104 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y104 CLASS site SITEPROP IDELAY_X0Y104 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y104 IS_BONDED 0 SITEPROP IDELAY_X0Y104 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y104 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y104 IS_PAD 0 SITEPROP IDELAY_X0Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y104 IS_RESERVED 0 SITEPROP IDELAY_X0Y104 IS_TEST 0 SITEPROP IDELAY_X0Y104 IS_USED 0 SITEPROP IDELAY_X0Y104 MANUAL_ROUTING SITEPROP IDELAY_X0Y104 NAME IDELAY_X0Y104 SITEPROP IDELAY_X0Y104 NUM_ARCS 6 SITEPROP IDELAY_X0Y104 NUM_BELS 1 SITEPROP IDELAY_X0Y104 NUM_INPUTS 17 SITEPROP IDELAY_X0Y104 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y104 NUM_PINS 23 SITEPROP IDELAY_X0Y104 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y104 PROHIBIT 0 SITEPROP IDELAY_X0Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y104 RPM_X 5 SITEPROP IDELAY_X0Y104 RPM_Y 206 SITEPROP IDELAY_X0Y104 SITE_PIPS SITEPROP IDELAY_X0Y104 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y105 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y105 CLASS site SITEPROP IDELAY_X0Y105 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y105 IS_BONDED 0 SITEPROP IDELAY_X0Y105 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y105 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y105 IS_PAD 0 SITEPROP IDELAY_X0Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y105 IS_RESERVED 0 SITEPROP IDELAY_X0Y105 IS_TEST 0 SITEPROP IDELAY_X0Y105 IS_USED 0 SITEPROP IDELAY_X0Y105 MANUAL_ROUTING SITEPROP IDELAY_X0Y105 NAME IDELAY_X0Y105 SITEPROP IDELAY_X0Y105 NUM_ARCS 6 SITEPROP IDELAY_X0Y105 NUM_BELS 1 SITEPROP IDELAY_X0Y105 NUM_INPUTS 17 SITEPROP IDELAY_X0Y105 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y105 NUM_PINS 23 SITEPROP IDELAY_X0Y105 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y105 PROHIBIT 0 SITEPROP IDELAY_X0Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y105 RPM_X 5 SITEPROP IDELAY_X0Y105 RPM_Y 211 SITEPROP IDELAY_X0Y105 SITE_PIPS SITEPROP IDELAY_X0Y105 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y106 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y106 CLASS site SITEPROP IDELAY_X0Y106 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y106 IS_BONDED 0 SITEPROP IDELAY_X0Y106 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y106 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y106 IS_PAD 0 SITEPROP IDELAY_X0Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y106 IS_RESERVED 0 SITEPROP IDELAY_X0Y106 IS_TEST 0 SITEPROP IDELAY_X0Y106 IS_USED 0 SITEPROP IDELAY_X0Y106 MANUAL_ROUTING SITEPROP IDELAY_X0Y106 NAME IDELAY_X0Y106 SITEPROP IDELAY_X0Y106 NUM_ARCS 6 SITEPROP IDELAY_X0Y106 NUM_BELS 1 SITEPROP IDELAY_X0Y106 NUM_INPUTS 17 SITEPROP IDELAY_X0Y106 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y106 NUM_PINS 23 SITEPROP IDELAY_X0Y106 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y106 PROHIBIT 0 SITEPROP IDELAY_X0Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y106 RPM_X 5 SITEPROP IDELAY_X0Y106 RPM_Y 210 SITEPROP IDELAY_X0Y106 SITE_PIPS SITEPROP IDELAY_X0Y106 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y107 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y107 CLASS site SITEPROP IDELAY_X0Y107 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y107 IS_BONDED 0 SITEPROP IDELAY_X0Y107 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y107 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y107 IS_PAD 0 SITEPROP IDELAY_X0Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y107 IS_RESERVED 0 SITEPROP IDELAY_X0Y107 IS_TEST 0 SITEPROP IDELAY_X0Y107 IS_USED 0 SITEPROP IDELAY_X0Y107 MANUAL_ROUTING SITEPROP IDELAY_X0Y107 NAME IDELAY_X0Y107 SITEPROP IDELAY_X0Y107 NUM_ARCS 6 SITEPROP IDELAY_X0Y107 NUM_BELS 1 SITEPROP IDELAY_X0Y107 NUM_INPUTS 17 SITEPROP IDELAY_X0Y107 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y107 NUM_PINS 23 SITEPROP IDELAY_X0Y107 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y107 PROHIBIT 0 SITEPROP IDELAY_X0Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y107 RPM_X 5 SITEPROP IDELAY_X0Y107 RPM_Y 215 SITEPROP IDELAY_X0Y107 SITE_PIPS SITEPROP IDELAY_X0Y107 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y108 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y108 CLASS site SITEPROP IDELAY_X0Y108 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y108 IS_BONDED 0 SITEPROP IDELAY_X0Y108 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y108 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y108 IS_PAD 0 SITEPROP IDELAY_X0Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y108 IS_RESERVED 0 SITEPROP IDELAY_X0Y108 IS_TEST 0 SITEPROP IDELAY_X0Y108 IS_USED 0 SITEPROP IDELAY_X0Y108 MANUAL_ROUTING SITEPROP IDELAY_X0Y108 NAME IDELAY_X0Y108 SITEPROP IDELAY_X0Y108 NUM_ARCS 6 SITEPROP IDELAY_X0Y108 NUM_BELS 1 SITEPROP IDELAY_X0Y108 NUM_INPUTS 17 SITEPROP IDELAY_X0Y108 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y108 NUM_PINS 23 SITEPROP IDELAY_X0Y108 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y108 PROHIBIT 0 SITEPROP IDELAY_X0Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y108 RPM_X 5 SITEPROP IDELAY_X0Y108 RPM_Y 214 SITEPROP IDELAY_X0Y108 SITE_PIPS SITEPROP IDELAY_X0Y108 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y109 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y109 CLASS site SITEPROP IDELAY_X0Y109 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y109 IS_BONDED 0 SITEPROP IDELAY_X0Y109 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y109 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y109 IS_PAD 0 SITEPROP IDELAY_X0Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y109 IS_RESERVED 0 SITEPROP IDELAY_X0Y109 IS_TEST 0 SITEPROP IDELAY_X0Y109 IS_USED 0 SITEPROP IDELAY_X0Y109 MANUAL_ROUTING SITEPROP IDELAY_X0Y109 NAME IDELAY_X0Y109 SITEPROP IDELAY_X0Y109 NUM_ARCS 6 SITEPROP IDELAY_X0Y109 NUM_BELS 1 SITEPROP IDELAY_X0Y109 NUM_INPUTS 17 SITEPROP IDELAY_X0Y109 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y109 NUM_PINS 23 SITEPROP IDELAY_X0Y109 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y109 PROHIBIT 0 SITEPROP IDELAY_X0Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y109 RPM_X 5 SITEPROP IDELAY_X0Y109 RPM_Y 219 SITEPROP IDELAY_X0Y109 SITE_PIPS SITEPROP IDELAY_X0Y109 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y110 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y110 CLASS site SITEPROP IDELAY_X0Y110 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y110 IS_BONDED 0 SITEPROP IDELAY_X0Y110 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y110 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y110 IS_PAD 0 SITEPROP IDELAY_X0Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y110 IS_RESERVED 0 SITEPROP IDELAY_X0Y110 IS_TEST 0 SITEPROP IDELAY_X0Y110 IS_USED 0 SITEPROP IDELAY_X0Y110 MANUAL_ROUTING SITEPROP IDELAY_X0Y110 NAME IDELAY_X0Y110 SITEPROP IDELAY_X0Y110 NUM_ARCS 6 SITEPROP IDELAY_X0Y110 NUM_BELS 1 SITEPROP IDELAY_X0Y110 NUM_INPUTS 17 SITEPROP IDELAY_X0Y110 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y110 NUM_PINS 23 SITEPROP IDELAY_X0Y110 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y110 PROHIBIT 0 SITEPROP IDELAY_X0Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y110 RPM_X 5 SITEPROP IDELAY_X0Y110 RPM_Y 218 SITEPROP IDELAY_X0Y110 SITE_PIPS SITEPROP IDELAY_X0Y110 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y111 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y111 CLASS site SITEPROP IDELAY_X0Y111 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y111 IS_BONDED 0 SITEPROP IDELAY_X0Y111 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y111 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y111 IS_PAD 0 SITEPROP IDELAY_X0Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y111 IS_RESERVED 0 SITEPROP IDELAY_X0Y111 IS_TEST 0 SITEPROP IDELAY_X0Y111 IS_USED 0 SITEPROP IDELAY_X0Y111 MANUAL_ROUTING SITEPROP IDELAY_X0Y111 NAME IDELAY_X0Y111 SITEPROP IDELAY_X0Y111 NUM_ARCS 6 SITEPROP IDELAY_X0Y111 NUM_BELS 1 SITEPROP IDELAY_X0Y111 NUM_INPUTS 17 SITEPROP IDELAY_X0Y111 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y111 NUM_PINS 23 SITEPROP IDELAY_X0Y111 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y111 PROHIBIT 0 SITEPROP IDELAY_X0Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y111 RPM_X 5 SITEPROP IDELAY_X0Y111 RPM_Y 223 SITEPROP IDELAY_X0Y111 SITE_PIPS SITEPROP IDELAY_X0Y111 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y112 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y112 CLASS site SITEPROP IDELAY_X0Y112 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y112 IS_BONDED 0 SITEPROP IDELAY_X0Y112 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y112 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y112 IS_PAD 0 SITEPROP IDELAY_X0Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y112 IS_RESERVED 0 SITEPROP IDELAY_X0Y112 IS_TEST 0 SITEPROP IDELAY_X0Y112 IS_USED 0 SITEPROP IDELAY_X0Y112 MANUAL_ROUTING SITEPROP IDELAY_X0Y112 NAME IDELAY_X0Y112 SITEPROP IDELAY_X0Y112 NUM_ARCS 6 SITEPROP IDELAY_X0Y112 NUM_BELS 1 SITEPROP IDELAY_X0Y112 NUM_INPUTS 17 SITEPROP IDELAY_X0Y112 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y112 NUM_PINS 23 SITEPROP IDELAY_X0Y112 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y112 PROHIBIT 0 SITEPROP IDELAY_X0Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y112 RPM_X 5 SITEPROP IDELAY_X0Y112 RPM_Y 222 SITEPROP IDELAY_X0Y112 SITE_PIPS SITEPROP IDELAY_X0Y112 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y113 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y113 CLASS site SITEPROP IDELAY_X0Y113 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y113 IS_BONDED 0 SITEPROP IDELAY_X0Y113 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y113 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y113 IS_PAD 0 SITEPROP IDELAY_X0Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y113 IS_RESERVED 0 SITEPROP IDELAY_X0Y113 IS_TEST 0 SITEPROP IDELAY_X0Y113 IS_USED 0 SITEPROP IDELAY_X0Y113 MANUAL_ROUTING SITEPROP IDELAY_X0Y113 NAME IDELAY_X0Y113 SITEPROP IDELAY_X0Y113 NUM_ARCS 6 SITEPROP IDELAY_X0Y113 NUM_BELS 1 SITEPROP IDELAY_X0Y113 NUM_INPUTS 17 SITEPROP IDELAY_X0Y113 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y113 NUM_PINS 23 SITEPROP IDELAY_X0Y113 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y113 PROHIBIT 0 SITEPROP IDELAY_X0Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y113 RPM_X 5 SITEPROP IDELAY_X0Y113 RPM_Y 227 SITEPROP IDELAY_X0Y113 SITE_PIPS SITEPROP IDELAY_X0Y113 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y114 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y114 CLASS site SITEPROP IDELAY_X0Y114 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y114 IS_BONDED 0 SITEPROP IDELAY_X0Y114 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y114 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y114 IS_PAD 0 SITEPROP IDELAY_X0Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y114 IS_RESERVED 0 SITEPROP IDELAY_X0Y114 IS_TEST 0 SITEPROP IDELAY_X0Y114 IS_USED 0 SITEPROP IDELAY_X0Y114 MANUAL_ROUTING SITEPROP IDELAY_X0Y114 NAME IDELAY_X0Y114 SITEPROP IDELAY_X0Y114 NUM_ARCS 6 SITEPROP IDELAY_X0Y114 NUM_BELS 1 SITEPROP IDELAY_X0Y114 NUM_INPUTS 17 SITEPROP IDELAY_X0Y114 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y114 NUM_PINS 23 SITEPROP IDELAY_X0Y114 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y114 PROHIBIT 0 SITEPROP IDELAY_X0Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y114 RPM_X 5 SITEPROP IDELAY_X0Y114 RPM_Y 226 SITEPROP IDELAY_X0Y114 SITE_PIPS SITEPROP IDELAY_X0Y114 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y115 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y115 CLASS site SITEPROP IDELAY_X0Y115 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y115 IS_BONDED 0 SITEPROP IDELAY_X0Y115 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y115 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y115 IS_PAD 0 SITEPROP IDELAY_X0Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y115 IS_RESERVED 0 SITEPROP IDELAY_X0Y115 IS_TEST 0 SITEPROP IDELAY_X0Y115 IS_USED 0 SITEPROP IDELAY_X0Y115 MANUAL_ROUTING SITEPROP IDELAY_X0Y115 NAME IDELAY_X0Y115 SITEPROP IDELAY_X0Y115 NUM_ARCS 6 SITEPROP IDELAY_X0Y115 NUM_BELS 1 SITEPROP IDELAY_X0Y115 NUM_INPUTS 17 SITEPROP IDELAY_X0Y115 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y115 NUM_PINS 23 SITEPROP IDELAY_X0Y115 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y115 PROHIBIT 0 SITEPROP IDELAY_X0Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y115 RPM_X 5 SITEPROP IDELAY_X0Y115 RPM_Y 231 SITEPROP IDELAY_X0Y115 SITE_PIPS SITEPROP IDELAY_X0Y115 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y116 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y116 CLASS site SITEPROP IDELAY_X0Y116 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y116 IS_BONDED 0 SITEPROP IDELAY_X0Y116 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y116 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y116 IS_PAD 0 SITEPROP IDELAY_X0Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y116 IS_RESERVED 0 SITEPROP IDELAY_X0Y116 IS_TEST 0 SITEPROP IDELAY_X0Y116 IS_USED 0 SITEPROP IDELAY_X0Y116 MANUAL_ROUTING SITEPROP IDELAY_X0Y116 NAME IDELAY_X0Y116 SITEPROP IDELAY_X0Y116 NUM_ARCS 6 SITEPROP IDELAY_X0Y116 NUM_BELS 1 SITEPROP IDELAY_X0Y116 NUM_INPUTS 17 SITEPROP IDELAY_X0Y116 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y116 NUM_PINS 23 SITEPROP IDELAY_X0Y116 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y116 PROHIBIT 0 SITEPROP IDELAY_X0Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y116 RPM_X 5 SITEPROP IDELAY_X0Y116 RPM_Y 230 SITEPROP IDELAY_X0Y116 SITE_PIPS SITEPROP IDELAY_X0Y116 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y117 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y117 CLASS site SITEPROP IDELAY_X0Y117 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y117 IS_BONDED 0 SITEPROP IDELAY_X0Y117 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y117 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y117 IS_PAD 0 SITEPROP IDELAY_X0Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y117 IS_RESERVED 0 SITEPROP IDELAY_X0Y117 IS_TEST 0 SITEPROP IDELAY_X0Y117 IS_USED 0 SITEPROP IDELAY_X0Y117 MANUAL_ROUTING SITEPROP IDELAY_X0Y117 NAME IDELAY_X0Y117 SITEPROP IDELAY_X0Y117 NUM_ARCS 6 SITEPROP IDELAY_X0Y117 NUM_BELS 1 SITEPROP IDELAY_X0Y117 NUM_INPUTS 17 SITEPROP IDELAY_X0Y117 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y117 NUM_PINS 23 SITEPROP IDELAY_X0Y117 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y117 PROHIBIT 0 SITEPROP IDELAY_X0Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y117 RPM_X 5 SITEPROP IDELAY_X0Y117 RPM_Y 235 SITEPROP IDELAY_X0Y117 SITE_PIPS SITEPROP IDELAY_X0Y117 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y118 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y118 CLASS site SITEPROP IDELAY_X0Y118 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y118 IS_BONDED 0 SITEPROP IDELAY_X0Y118 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y118 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y118 IS_PAD 0 SITEPROP IDELAY_X0Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y118 IS_RESERVED 0 SITEPROP IDELAY_X0Y118 IS_TEST 0 SITEPROP IDELAY_X0Y118 IS_USED 0 SITEPROP IDELAY_X0Y118 MANUAL_ROUTING SITEPROP IDELAY_X0Y118 NAME IDELAY_X0Y118 SITEPROP IDELAY_X0Y118 NUM_ARCS 6 SITEPROP IDELAY_X0Y118 NUM_BELS 1 SITEPROP IDELAY_X0Y118 NUM_INPUTS 17 SITEPROP IDELAY_X0Y118 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y118 NUM_PINS 23 SITEPROP IDELAY_X0Y118 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y118 PROHIBIT 0 SITEPROP IDELAY_X0Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y118 RPM_X 5 SITEPROP IDELAY_X0Y118 RPM_Y 234 SITEPROP IDELAY_X0Y118 SITE_PIPS SITEPROP IDELAY_X0Y118 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y119 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y119 CLASS site SITEPROP IDELAY_X0Y119 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y119 IS_BONDED 0 SITEPROP IDELAY_X0Y119 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y119 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y119 IS_PAD 0 SITEPROP IDELAY_X0Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y119 IS_RESERVED 0 SITEPROP IDELAY_X0Y119 IS_TEST 0 SITEPROP IDELAY_X0Y119 IS_USED 0 SITEPROP IDELAY_X0Y119 MANUAL_ROUTING SITEPROP IDELAY_X0Y119 NAME IDELAY_X0Y119 SITEPROP IDELAY_X0Y119 NUM_ARCS 6 SITEPROP IDELAY_X0Y119 NUM_BELS 1 SITEPROP IDELAY_X0Y119 NUM_INPUTS 17 SITEPROP IDELAY_X0Y119 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y119 NUM_PINS 23 SITEPROP IDELAY_X0Y119 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y119 PROHIBIT 0 SITEPROP IDELAY_X0Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y119 RPM_X 5 SITEPROP IDELAY_X0Y119 RPM_Y 239 SITEPROP IDELAY_X0Y119 SITE_PIPS SITEPROP IDELAY_X0Y119 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y120 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y120 CLASS site SITEPROP IDELAY_X0Y120 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y120 IS_BONDED 0 SITEPROP IDELAY_X0Y120 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y120 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y120 IS_PAD 0 SITEPROP IDELAY_X0Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y120 IS_RESERVED 0 SITEPROP IDELAY_X0Y120 IS_TEST 0 SITEPROP IDELAY_X0Y120 IS_USED 0 SITEPROP IDELAY_X0Y120 MANUAL_ROUTING SITEPROP IDELAY_X0Y120 NAME IDELAY_X0Y120 SITEPROP IDELAY_X0Y120 NUM_ARCS 6 SITEPROP IDELAY_X0Y120 NUM_BELS 1 SITEPROP IDELAY_X0Y120 NUM_INPUTS 17 SITEPROP IDELAY_X0Y120 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y120 NUM_PINS 23 SITEPROP IDELAY_X0Y120 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y120 PROHIBIT 0 SITEPROP IDELAY_X0Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y120 RPM_X 5 SITEPROP IDELAY_X0Y120 RPM_Y 238 SITEPROP IDELAY_X0Y120 SITE_PIPS SITEPROP IDELAY_X0Y120 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y121 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y121 CLASS site SITEPROP IDELAY_X0Y121 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y121 IS_BONDED 0 SITEPROP IDELAY_X0Y121 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y121 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y121 IS_PAD 0 SITEPROP IDELAY_X0Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y121 IS_RESERVED 0 SITEPROP IDELAY_X0Y121 IS_TEST 0 SITEPROP IDELAY_X0Y121 IS_USED 0 SITEPROP IDELAY_X0Y121 MANUAL_ROUTING SITEPROP IDELAY_X0Y121 NAME IDELAY_X0Y121 SITEPROP IDELAY_X0Y121 NUM_ARCS 6 SITEPROP IDELAY_X0Y121 NUM_BELS 1 SITEPROP IDELAY_X0Y121 NUM_INPUTS 17 SITEPROP IDELAY_X0Y121 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y121 NUM_PINS 23 SITEPROP IDELAY_X0Y121 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y121 PROHIBIT 0 SITEPROP IDELAY_X0Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y121 RPM_X 5 SITEPROP IDELAY_X0Y121 RPM_Y 243 SITEPROP IDELAY_X0Y121 SITE_PIPS SITEPROP IDELAY_X0Y121 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y122 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y122 CLASS site SITEPROP IDELAY_X0Y122 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y122 IS_BONDED 0 SITEPROP IDELAY_X0Y122 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y122 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y122 IS_PAD 0 SITEPROP IDELAY_X0Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y122 IS_RESERVED 0 SITEPROP IDELAY_X0Y122 IS_TEST 0 SITEPROP IDELAY_X0Y122 IS_USED 0 SITEPROP IDELAY_X0Y122 MANUAL_ROUTING SITEPROP IDELAY_X0Y122 NAME IDELAY_X0Y122 SITEPROP IDELAY_X0Y122 NUM_ARCS 6 SITEPROP IDELAY_X0Y122 NUM_BELS 1 SITEPROP IDELAY_X0Y122 NUM_INPUTS 17 SITEPROP IDELAY_X0Y122 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y122 NUM_PINS 23 SITEPROP IDELAY_X0Y122 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y122 PROHIBIT 0 SITEPROP IDELAY_X0Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y122 RPM_X 5 SITEPROP IDELAY_X0Y122 RPM_Y 242 SITEPROP IDELAY_X0Y122 SITE_PIPS SITEPROP IDELAY_X0Y122 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y123 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y123 CLASS site SITEPROP IDELAY_X0Y123 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y123 IS_BONDED 0 SITEPROP IDELAY_X0Y123 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y123 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y123 IS_PAD 0 SITEPROP IDELAY_X0Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y123 IS_RESERVED 0 SITEPROP IDELAY_X0Y123 IS_TEST 0 SITEPROP IDELAY_X0Y123 IS_USED 0 SITEPROP IDELAY_X0Y123 MANUAL_ROUTING SITEPROP IDELAY_X0Y123 NAME IDELAY_X0Y123 SITEPROP IDELAY_X0Y123 NUM_ARCS 6 SITEPROP IDELAY_X0Y123 NUM_BELS 1 SITEPROP IDELAY_X0Y123 NUM_INPUTS 17 SITEPROP IDELAY_X0Y123 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y123 NUM_PINS 23 SITEPROP IDELAY_X0Y123 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y123 PROHIBIT 0 SITEPROP IDELAY_X0Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y123 RPM_X 5 SITEPROP IDELAY_X0Y123 RPM_Y 247 SITEPROP IDELAY_X0Y123 SITE_PIPS SITEPROP IDELAY_X0Y123 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y124 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y124 CLASS site SITEPROP IDELAY_X0Y124 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y124 IS_BONDED 0 SITEPROP IDELAY_X0Y124 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y124 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y124 IS_PAD 0 SITEPROP IDELAY_X0Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y124 IS_RESERVED 0 SITEPROP IDELAY_X0Y124 IS_TEST 0 SITEPROP IDELAY_X0Y124 IS_USED 0 SITEPROP IDELAY_X0Y124 MANUAL_ROUTING SITEPROP IDELAY_X0Y124 NAME IDELAY_X0Y124 SITEPROP IDELAY_X0Y124 NUM_ARCS 6 SITEPROP IDELAY_X0Y124 NUM_BELS 1 SITEPROP IDELAY_X0Y124 NUM_INPUTS 17 SITEPROP IDELAY_X0Y124 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y124 NUM_PINS 23 SITEPROP IDELAY_X0Y124 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y124 PROHIBIT 0 SITEPROP IDELAY_X0Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y124 RPM_X 5 SITEPROP IDELAY_X0Y124 RPM_Y 246 SITEPROP IDELAY_X0Y124 SITE_PIPS SITEPROP IDELAY_X0Y124 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y125 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y125 CLASS site SITEPROP IDELAY_X0Y125 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y125 IS_BONDED 0 SITEPROP IDELAY_X0Y125 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y125 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y125 IS_PAD 0 SITEPROP IDELAY_X0Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y125 IS_RESERVED 0 SITEPROP IDELAY_X0Y125 IS_TEST 0 SITEPROP IDELAY_X0Y125 IS_USED 0 SITEPROP IDELAY_X0Y125 MANUAL_ROUTING SITEPROP IDELAY_X0Y125 NAME IDELAY_X0Y125 SITEPROP IDELAY_X0Y125 NUM_ARCS 6 SITEPROP IDELAY_X0Y125 NUM_BELS 1 SITEPROP IDELAY_X0Y125 NUM_INPUTS 17 SITEPROP IDELAY_X0Y125 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y125 NUM_PINS 23 SITEPROP IDELAY_X0Y125 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y125 PROHIBIT 0 SITEPROP IDELAY_X0Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y125 RPM_X 5 SITEPROP IDELAY_X0Y125 RPM_Y 251 SITEPROP IDELAY_X0Y125 SITE_PIPS SITEPROP IDELAY_X0Y125 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y126 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y126 CLASS site SITEPROP IDELAY_X0Y126 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y126 IS_BONDED 0 SITEPROP IDELAY_X0Y126 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y126 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y126 IS_PAD 0 SITEPROP IDELAY_X0Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y126 IS_RESERVED 0 SITEPROP IDELAY_X0Y126 IS_TEST 0 SITEPROP IDELAY_X0Y126 IS_USED 0 SITEPROP IDELAY_X0Y126 MANUAL_ROUTING SITEPROP IDELAY_X0Y126 NAME IDELAY_X0Y126 SITEPROP IDELAY_X0Y126 NUM_ARCS 6 SITEPROP IDELAY_X0Y126 NUM_BELS 1 SITEPROP IDELAY_X0Y126 NUM_INPUTS 17 SITEPROP IDELAY_X0Y126 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y126 NUM_PINS 23 SITEPROP IDELAY_X0Y126 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y126 PROHIBIT 0 SITEPROP IDELAY_X0Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y126 RPM_X 5 SITEPROP IDELAY_X0Y126 RPM_Y 250 SITEPROP IDELAY_X0Y126 SITE_PIPS SITEPROP IDELAY_X0Y126 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y127 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y127 CLASS site SITEPROP IDELAY_X0Y127 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y127 IS_BONDED 0 SITEPROP IDELAY_X0Y127 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y127 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y127 IS_PAD 0 SITEPROP IDELAY_X0Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y127 IS_RESERVED 0 SITEPROP IDELAY_X0Y127 IS_TEST 0 SITEPROP IDELAY_X0Y127 IS_USED 0 SITEPROP IDELAY_X0Y127 MANUAL_ROUTING SITEPROP IDELAY_X0Y127 NAME IDELAY_X0Y127 SITEPROP IDELAY_X0Y127 NUM_ARCS 6 SITEPROP IDELAY_X0Y127 NUM_BELS 1 SITEPROP IDELAY_X0Y127 NUM_INPUTS 17 SITEPROP IDELAY_X0Y127 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y127 NUM_PINS 23 SITEPROP IDELAY_X0Y127 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y127 PROHIBIT 0 SITEPROP IDELAY_X0Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y127 RPM_X 5 SITEPROP IDELAY_X0Y127 RPM_Y 255 SITEPROP IDELAY_X0Y127 SITE_PIPS SITEPROP IDELAY_X0Y127 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y128 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y128 CLASS site SITEPROP IDELAY_X0Y128 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y128 IS_BONDED 0 SITEPROP IDELAY_X0Y128 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y128 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y128 IS_PAD 0 SITEPROP IDELAY_X0Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y128 IS_RESERVED 0 SITEPROP IDELAY_X0Y128 IS_TEST 0 SITEPROP IDELAY_X0Y128 IS_USED 0 SITEPROP IDELAY_X0Y128 MANUAL_ROUTING SITEPROP IDELAY_X0Y128 NAME IDELAY_X0Y128 SITEPROP IDELAY_X0Y128 NUM_ARCS 6 SITEPROP IDELAY_X0Y128 NUM_BELS 1 SITEPROP IDELAY_X0Y128 NUM_INPUTS 17 SITEPROP IDELAY_X0Y128 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y128 NUM_PINS 23 SITEPROP IDELAY_X0Y128 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y128 PROHIBIT 0 SITEPROP IDELAY_X0Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y128 RPM_X 5 SITEPROP IDELAY_X0Y128 RPM_Y 254 SITEPROP IDELAY_X0Y128 SITE_PIPS SITEPROP IDELAY_X0Y128 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y129 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y129 CLASS site SITEPROP IDELAY_X0Y129 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y129 IS_BONDED 0 SITEPROP IDELAY_X0Y129 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y129 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y129 IS_PAD 0 SITEPROP IDELAY_X0Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y129 IS_RESERVED 0 SITEPROP IDELAY_X0Y129 IS_TEST 0 SITEPROP IDELAY_X0Y129 IS_USED 0 SITEPROP IDELAY_X0Y129 MANUAL_ROUTING SITEPROP IDELAY_X0Y129 NAME IDELAY_X0Y129 SITEPROP IDELAY_X0Y129 NUM_ARCS 6 SITEPROP IDELAY_X0Y129 NUM_BELS 1 SITEPROP IDELAY_X0Y129 NUM_INPUTS 17 SITEPROP IDELAY_X0Y129 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y129 NUM_PINS 23 SITEPROP IDELAY_X0Y129 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y129 PROHIBIT 0 SITEPROP IDELAY_X0Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y129 RPM_X 5 SITEPROP IDELAY_X0Y129 RPM_Y 259 SITEPROP IDELAY_X0Y129 SITE_PIPS SITEPROP IDELAY_X0Y129 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y130 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y130 CLASS site SITEPROP IDELAY_X0Y130 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y130 IS_BONDED 0 SITEPROP IDELAY_X0Y130 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y130 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y130 IS_PAD 0 SITEPROP IDELAY_X0Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y130 IS_RESERVED 0 SITEPROP IDELAY_X0Y130 IS_TEST 0 SITEPROP IDELAY_X0Y130 IS_USED 0 SITEPROP IDELAY_X0Y130 MANUAL_ROUTING SITEPROP IDELAY_X0Y130 NAME IDELAY_X0Y130 SITEPROP IDELAY_X0Y130 NUM_ARCS 6 SITEPROP IDELAY_X0Y130 NUM_BELS 1 SITEPROP IDELAY_X0Y130 NUM_INPUTS 17 SITEPROP IDELAY_X0Y130 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y130 NUM_PINS 23 SITEPROP IDELAY_X0Y130 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y130 PROHIBIT 0 SITEPROP IDELAY_X0Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y130 RPM_X 5 SITEPROP IDELAY_X0Y130 RPM_Y 258 SITEPROP IDELAY_X0Y130 SITE_PIPS SITEPROP IDELAY_X0Y130 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y131 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y131 CLASS site SITEPROP IDELAY_X0Y131 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y131 IS_BONDED 0 SITEPROP IDELAY_X0Y131 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y131 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y131 IS_PAD 0 SITEPROP IDELAY_X0Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y131 IS_RESERVED 0 SITEPROP IDELAY_X0Y131 IS_TEST 0 SITEPROP IDELAY_X0Y131 IS_USED 0 SITEPROP IDELAY_X0Y131 MANUAL_ROUTING SITEPROP IDELAY_X0Y131 NAME IDELAY_X0Y131 SITEPROP IDELAY_X0Y131 NUM_ARCS 6 SITEPROP IDELAY_X0Y131 NUM_BELS 1 SITEPROP IDELAY_X0Y131 NUM_INPUTS 17 SITEPROP IDELAY_X0Y131 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y131 NUM_PINS 23 SITEPROP IDELAY_X0Y131 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y131 PROHIBIT 0 SITEPROP IDELAY_X0Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y131 RPM_X 5 SITEPROP IDELAY_X0Y131 RPM_Y 263 SITEPROP IDELAY_X0Y131 SITE_PIPS SITEPROP IDELAY_X0Y131 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y132 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y132 CLASS site SITEPROP IDELAY_X0Y132 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y132 IS_BONDED 0 SITEPROP IDELAY_X0Y132 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y132 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y132 IS_PAD 0 SITEPROP IDELAY_X0Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y132 IS_RESERVED 0 SITEPROP IDELAY_X0Y132 IS_TEST 0 SITEPROP IDELAY_X0Y132 IS_USED 0 SITEPROP IDELAY_X0Y132 MANUAL_ROUTING SITEPROP IDELAY_X0Y132 NAME IDELAY_X0Y132 SITEPROP IDELAY_X0Y132 NUM_ARCS 6 SITEPROP IDELAY_X0Y132 NUM_BELS 1 SITEPROP IDELAY_X0Y132 NUM_INPUTS 17 SITEPROP IDELAY_X0Y132 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y132 NUM_PINS 23 SITEPROP IDELAY_X0Y132 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y132 PROHIBIT 0 SITEPROP IDELAY_X0Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y132 RPM_X 5 SITEPROP IDELAY_X0Y132 RPM_Y 262 SITEPROP IDELAY_X0Y132 SITE_PIPS SITEPROP IDELAY_X0Y132 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y133 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y133 CLASS site SITEPROP IDELAY_X0Y133 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y133 IS_BONDED 0 SITEPROP IDELAY_X0Y133 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y133 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y133 IS_PAD 0 SITEPROP IDELAY_X0Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y133 IS_RESERVED 0 SITEPROP IDELAY_X0Y133 IS_TEST 0 SITEPROP IDELAY_X0Y133 IS_USED 0 SITEPROP IDELAY_X0Y133 MANUAL_ROUTING SITEPROP IDELAY_X0Y133 NAME IDELAY_X0Y133 SITEPROP IDELAY_X0Y133 NUM_ARCS 6 SITEPROP IDELAY_X0Y133 NUM_BELS 1 SITEPROP IDELAY_X0Y133 NUM_INPUTS 17 SITEPROP IDELAY_X0Y133 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y133 NUM_PINS 23 SITEPROP IDELAY_X0Y133 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y133 PROHIBIT 0 SITEPROP IDELAY_X0Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y133 RPM_X 5 SITEPROP IDELAY_X0Y133 RPM_Y 267 SITEPROP IDELAY_X0Y133 SITE_PIPS SITEPROP IDELAY_X0Y133 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y134 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y134 CLASS site SITEPROP IDELAY_X0Y134 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y134 IS_BONDED 0 SITEPROP IDELAY_X0Y134 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y134 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y134 IS_PAD 0 SITEPROP IDELAY_X0Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y134 IS_RESERVED 0 SITEPROP IDELAY_X0Y134 IS_TEST 0 SITEPROP IDELAY_X0Y134 IS_USED 0 SITEPROP IDELAY_X0Y134 MANUAL_ROUTING SITEPROP IDELAY_X0Y134 NAME IDELAY_X0Y134 SITEPROP IDELAY_X0Y134 NUM_ARCS 6 SITEPROP IDELAY_X0Y134 NUM_BELS 1 SITEPROP IDELAY_X0Y134 NUM_INPUTS 17 SITEPROP IDELAY_X0Y134 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y134 NUM_PINS 23 SITEPROP IDELAY_X0Y134 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y134 PROHIBIT 0 SITEPROP IDELAY_X0Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y134 RPM_X 5 SITEPROP IDELAY_X0Y134 RPM_Y 266 SITEPROP IDELAY_X0Y134 SITE_PIPS SITEPROP IDELAY_X0Y134 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y135 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y135 CLASS site SITEPROP IDELAY_X0Y135 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y135 IS_BONDED 0 SITEPROP IDELAY_X0Y135 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y135 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y135 IS_PAD 0 SITEPROP IDELAY_X0Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y135 IS_RESERVED 0 SITEPROP IDELAY_X0Y135 IS_TEST 0 SITEPROP IDELAY_X0Y135 IS_USED 0 SITEPROP IDELAY_X0Y135 MANUAL_ROUTING SITEPROP IDELAY_X0Y135 NAME IDELAY_X0Y135 SITEPROP IDELAY_X0Y135 NUM_ARCS 6 SITEPROP IDELAY_X0Y135 NUM_BELS 1 SITEPROP IDELAY_X0Y135 NUM_INPUTS 17 SITEPROP IDELAY_X0Y135 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y135 NUM_PINS 23 SITEPROP IDELAY_X0Y135 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y135 PROHIBIT 0 SITEPROP IDELAY_X0Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y135 RPM_X 5 SITEPROP IDELAY_X0Y135 RPM_Y 271 SITEPROP IDELAY_X0Y135 SITE_PIPS SITEPROP IDELAY_X0Y135 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y136 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y136 CLASS site SITEPROP IDELAY_X0Y136 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y136 IS_BONDED 0 SITEPROP IDELAY_X0Y136 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y136 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y136 IS_PAD 0 SITEPROP IDELAY_X0Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y136 IS_RESERVED 0 SITEPROP IDELAY_X0Y136 IS_TEST 0 SITEPROP IDELAY_X0Y136 IS_USED 0 SITEPROP IDELAY_X0Y136 MANUAL_ROUTING SITEPROP IDELAY_X0Y136 NAME IDELAY_X0Y136 SITEPROP IDELAY_X0Y136 NUM_ARCS 6 SITEPROP IDELAY_X0Y136 NUM_BELS 1 SITEPROP IDELAY_X0Y136 NUM_INPUTS 17 SITEPROP IDELAY_X0Y136 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y136 NUM_PINS 23 SITEPROP IDELAY_X0Y136 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y136 PROHIBIT 0 SITEPROP IDELAY_X0Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y136 RPM_X 5 SITEPROP IDELAY_X0Y136 RPM_Y 270 SITEPROP IDELAY_X0Y136 SITE_PIPS SITEPROP IDELAY_X0Y136 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y137 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y137 CLASS site SITEPROP IDELAY_X0Y137 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y137 IS_BONDED 0 SITEPROP IDELAY_X0Y137 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y137 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y137 IS_PAD 0 SITEPROP IDELAY_X0Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y137 IS_RESERVED 0 SITEPROP IDELAY_X0Y137 IS_TEST 0 SITEPROP IDELAY_X0Y137 IS_USED 0 SITEPROP IDELAY_X0Y137 MANUAL_ROUTING SITEPROP IDELAY_X0Y137 NAME IDELAY_X0Y137 SITEPROP IDELAY_X0Y137 NUM_ARCS 6 SITEPROP IDELAY_X0Y137 NUM_BELS 1 SITEPROP IDELAY_X0Y137 NUM_INPUTS 17 SITEPROP IDELAY_X0Y137 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y137 NUM_PINS 23 SITEPROP IDELAY_X0Y137 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y137 PROHIBIT 0 SITEPROP IDELAY_X0Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y137 RPM_X 5 SITEPROP IDELAY_X0Y137 RPM_Y 275 SITEPROP IDELAY_X0Y137 SITE_PIPS SITEPROP IDELAY_X0Y137 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y138 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y138 CLASS site SITEPROP IDELAY_X0Y138 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y138 IS_BONDED 0 SITEPROP IDELAY_X0Y138 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y138 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y138 IS_PAD 0 SITEPROP IDELAY_X0Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y138 IS_RESERVED 0 SITEPROP IDELAY_X0Y138 IS_TEST 0 SITEPROP IDELAY_X0Y138 IS_USED 0 SITEPROP IDELAY_X0Y138 MANUAL_ROUTING SITEPROP IDELAY_X0Y138 NAME IDELAY_X0Y138 SITEPROP IDELAY_X0Y138 NUM_ARCS 6 SITEPROP IDELAY_X0Y138 NUM_BELS 1 SITEPROP IDELAY_X0Y138 NUM_INPUTS 17 SITEPROP IDELAY_X0Y138 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y138 NUM_PINS 23 SITEPROP IDELAY_X0Y138 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y138 PROHIBIT 0 SITEPROP IDELAY_X0Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y138 RPM_X 5 SITEPROP IDELAY_X0Y138 RPM_Y 274 SITEPROP IDELAY_X0Y138 SITE_PIPS SITEPROP IDELAY_X0Y138 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y139 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y139 CLASS site SITEPROP IDELAY_X0Y139 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y139 IS_BONDED 0 SITEPROP IDELAY_X0Y139 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y139 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y139 IS_PAD 0 SITEPROP IDELAY_X0Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y139 IS_RESERVED 0 SITEPROP IDELAY_X0Y139 IS_TEST 0 SITEPROP IDELAY_X0Y139 IS_USED 0 SITEPROP IDELAY_X0Y139 MANUAL_ROUTING SITEPROP IDELAY_X0Y139 NAME IDELAY_X0Y139 SITEPROP IDELAY_X0Y139 NUM_ARCS 6 SITEPROP IDELAY_X0Y139 NUM_BELS 1 SITEPROP IDELAY_X0Y139 NUM_INPUTS 17 SITEPROP IDELAY_X0Y139 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y139 NUM_PINS 23 SITEPROP IDELAY_X0Y139 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y139 PROHIBIT 0 SITEPROP IDELAY_X0Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y139 RPM_X 5 SITEPROP IDELAY_X0Y139 RPM_Y 279 SITEPROP IDELAY_X0Y139 SITE_PIPS SITEPROP IDELAY_X0Y139 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y140 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y140 CLASS site SITEPROP IDELAY_X0Y140 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y140 IS_BONDED 0 SITEPROP IDELAY_X0Y140 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y140 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y140 IS_PAD 0 SITEPROP IDELAY_X0Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y140 IS_RESERVED 0 SITEPROP IDELAY_X0Y140 IS_TEST 0 SITEPROP IDELAY_X0Y140 IS_USED 0 SITEPROP IDELAY_X0Y140 MANUAL_ROUTING SITEPROP IDELAY_X0Y140 NAME IDELAY_X0Y140 SITEPROP IDELAY_X0Y140 NUM_ARCS 6 SITEPROP IDELAY_X0Y140 NUM_BELS 1 SITEPROP IDELAY_X0Y140 NUM_INPUTS 17 SITEPROP IDELAY_X0Y140 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y140 NUM_PINS 23 SITEPROP IDELAY_X0Y140 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y140 PROHIBIT 0 SITEPROP IDELAY_X0Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y140 RPM_X 5 SITEPROP IDELAY_X0Y140 RPM_Y 278 SITEPROP IDELAY_X0Y140 SITE_PIPS SITEPROP IDELAY_X0Y140 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y141 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y141 CLASS site SITEPROP IDELAY_X0Y141 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y141 IS_BONDED 0 SITEPROP IDELAY_X0Y141 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y141 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y141 IS_PAD 0 SITEPROP IDELAY_X0Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y141 IS_RESERVED 0 SITEPROP IDELAY_X0Y141 IS_TEST 0 SITEPROP IDELAY_X0Y141 IS_USED 0 SITEPROP IDELAY_X0Y141 MANUAL_ROUTING SITEPROP IDELAY_X0Y141 NAME IDELAY_X0Y141 SITEPROP IDELAY_X0Y141 NUM_ARCS 6 SITEPROP IDELAY_X0Y141 NUM_BELS 1 SITEPROP IDELAY_X0Y141 NUM_INPUTS 17 SITEPROP IDELAY_X0Y141 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y141 NUM_PINS 23 SITEPROP IDELAY_X0Y141 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y141 PROHIBIT 0 SITEPROP IDELAY_X0Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y141 RPM_X 5 SITEPROP IDELAY_X0Y141 RPM_Y 283 SITEPROP IDELAY_X0Y141 SITE_PIPS SITEPROP IDELAY_X0Y141 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y142 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y142 CLASS site SITEPROP IDELAY_X0Y142 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y142 IS_BONDED 0 SITEPROP IDELAY_X0Y142 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y142 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y142 IS_PAD 0 SITEPROP IDELAY_X0Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y142 IS_RESERVED 0 SITEPROP IDELAY_X0Y142 IS_TEST 0 SITEPROP IDELAY_X0Y142 IS_USED 0 SITEPROP IDELAY_X0Y142 MANUAL_ROUTING SITEPROP IDELAY_X0Y142 NAME IDELAY_X0Y142 SITEPROP IDELAY_X0Y142 NUM_ARCS 6 SITEPROP IDELAY_X0Y142 NUM_BELS 1 SITEPROP IDELAY_X0Y142 NUM_INPUTS 17 SITEPROP IDELAY_X0Y142 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y142 NUM_PINS 23 SITEPROP IDELAY_X0Y142 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y142 PROHIBIT 0 SITEPROP IDELAY_X0Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y142 RPM_X 5 SITEPROP IDELAY_X0Y142 RPM_Y 282 SITEPROP IDELAY_X0Y142 SITE_PIPS SITEPROP IDELAY_X0Y142 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y143 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y143 CLASS site SITEPROP IDELAY_X0Y143 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y143 IS_BONDED 0 SITEPROP IDELAY_X0Y143 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y143 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y143 IS_PAD 0 SITEPROP IDELAY_X0Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y143 IS_RESERVED 0 SITEPROP IDELAY_X0Y143 IS_TEST 0 SITEPROP IDELAY_X0Y143 IS_USED 0 SITEPROP IDELAY_X0Y143 MANUAL_ROUTING SITEPROP IDELAY_X0Y143 NAME IDELAY_X0Y143 SITEPROP IDELAY_X0Y143 NUM_ARCS 6 SITEPROP IDELAY_X0Y143 NUM_BELS 1 SITEPROP IDELAY_X0Y143 NUM_INPUTS 17 SITEPROP IDELAY_X0Y143 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y143 NUM_PINS 23 SITEPROP IDELAY_X0Y143 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y143 PROHIBIT 0 SITEPROP IDELAY_X0Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y143 RPM_X 5 SITEPROP IDELAY_X0Y143 RPM_Y 287 SITEPROP IDELAY_X0Y143 SITE_PIPS SITEPROP IDELAY_X0Y143 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y144 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y144 CLASS site SITEPROP IDELAY_X0Y144 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y144 IS_BONDED 0 SITEPROP IDELAY_X0Y144 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y144 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y144 IS_PAD 0 SITEPROP IDELAY_X0Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y144 IS_RESERVED 0 SITEPROP IDELAY_X0Y144 IS_TEST 0 SITEPROP IDELAY_X0Y144 IS_USED 0 SITEPROP IDELAY_X0Y144 MANUAL_ROUTING SITEPROP IDELAY_X0Y144 NAME IDELAY_X0Y144 SITEPROP IDELAY_X0Y144 NUM_ARCS 6 SITEPROP IDELAY_X0Y144 NUM_BELS 1 SITEPROP IDELAY_X0Y144 NUM_INPUTS 17 SITEPROP IDELAY_X0Y144 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y144 NUM_PINS 23 SITEPROP IDELAY_X0Y144 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y144 PROHIBIT 0 SITEPROP IDELAY_X0Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y144 RPM_X 5 SITEPROP IDELAY_X0Y144 RPM_Y 286 SITEPROP IDELAY_X0Y144 SITE_PIPS SITEPROP IDELAY_X0Y144 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y145 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y145 CLASS site SITEPROP IDELAY_X0Y145 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y145 IS_BONDED 0 SITEPROP IDELAY_X0Y145 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y145 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y145 IS_PAD 0 SITEPROP IDELAY_X0Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y145 IS_RESERVED 0 SITEPROP IDELAY_X0Y145 IS_TEST 0 SITEPROP IDELAY_X0Y145 IS_USED 0 SITEPROP IDELAY_X0Y145 MANUAL_ROUTING SITEPROP IDELAY_X0Y145 NAME IDELAY_X0Y145 SITEPROP IDELAY_X0Y145 NUM_ARCS 6 SITEPROP IDELAY_X0Y145 NUM_BELS 1 SITEPROP IDELAY_X0Y145 NUM_INPUTS 17 SITEPROP IDELAY_X0Y145 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y145 NUM_PINS 23 SITEPROP IDELAY_X0Y145 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y145 PROHIBIT 0 SITEPROP IDELAY_X0Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y145 RPM_X 5 SITEPROP IDELAY_X0Y145 RPM_Y 291 SITEPROP IDELAY_X0Y145 SITE_PIPS SITEPROP IDELAY_X0Y145 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y146 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y146 CLASS site SITEPROP IDELAY_X0Y146 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y146 IS_BONDED 0 SITEPROP IDELAY_X0Y146 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y146 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y146 IS_PAD 0 SITEPROP IDELAY_X0Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y146 IS_RESERVED 0 SITEPROP IDELAY_X0Y146 IS_TEST 0 SITEPROP IDELAY_X0Y146 IS_USED 0 SITEPROP IDELAY_X0Y146 MANUAL_ROUTING SITEPROP IDELAY_X0Y146 NAME IDELAY_X0Y146 SITEPROP IDELAY_X0Y146 NUM_ARCS 6 SITEPROP IDELAY_X0Y146 NUM_BELS 1 SITEPROP IDELAY_X0Y146 NUM_INPUTS 17 SITEPROP IDELAY_X0Y146 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y146 NUM_PINS 23 SITEPROP IDELAY_X0Y146 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y146 PROHIBIT 0 SITEPROP IDELAY_X0Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y146 RPM_X 5 SITEPROP IDELAY_X0Y146 RPM_Y 290 SITEPROP IDELAY_X0Y146 SITE_PIPS SITEPROP IDELAY_X0Y146 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y147 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y147 CLASS site SITEPROP IDELAY_X0Y147 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y147 IS_BONDED 0 SITEPROP IDELAY_X0Y147 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y147 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y147 IS_PAD 0 SITEPROP IDELAY_X0Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y147 IS_RESERVED 0 SITEPROP IDELAY_X0Y147 IS_TEST 0 SITEPROP IDELAY_X0Y147 IS_USED 0 SITEPROP IDELAY_X0Y147 MANUAL_ROUTING SITEPROP IDELAY_X0Y147 NAME IDELAY_X0Y147 SITEPROP IDELAY_X0Y147 NUM_ARCS 6 SITEPROP IDELAY_X0Y147 NUM_BELS 1 SITEPROP IDELAY_X0Y147 NUM_INPUTS 17 SITEPROP IDELAY_X0Y147 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y147 NUM_PINS 23 SITEPROP IDELAY_X0Y147 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y147 PROHIBIT 0 SITEPROP IDELAY_X0Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y147 RPM_X 5 SITEPROP IDELAY_X0Y147 RPM_Y 295 SITEPROP IDELAY_X0Y147 SITE_PIPS SITEPROP IDELAY_X0Y147 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y148 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y148 CLASS site SITEPROP IDELAY_X0Y148 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y148 IS_BONDED 0 SITEPROP IDELAY_X0Y148 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y148 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y148 IS_PAD 0 SITEPROP IDELAY_X0Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y148 IS_RESERVED 0 SITEPROP IDELAY_X0Y148 IS_TEST 0 SITEPROP IDELAY_X0Y148 IS_USED 0 SITEPROP IDELAY_X0Y148 MANUAL_ROUTING SITEPROP IDELAY_X0Y148 NAME IDELAY_X0Y148 SITEPROP IDELAY_X0Y148 NUM_ARCS 6 SITEPROP IDELAY_X0Y148 NUM_BELS 1 SITEPROP IDELAY_X0Y148 NUM_INPUTS 17 SITEPROP IDELAY_X0Y148 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y148 NUM_PINS 23 SITEPROP IDELAY_X0Y148 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y148 PROHIBIT 0 SITEPROP IDELAY_X0Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y148 RPM_X 5 SITEPROP IDELAY_X0Y148 RPM_Y 294 SITEPROP IDELAY_X0Y148 SITE_PIPS SITEPROP IDELAY_X0Y148 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X0Y149 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X0Y149 CLASS site SITEPROP IDELAY_X0Y149 CLOCK_REGION X0Y2 SITEPROP IDELAY_X0Y149 IS_BONDED 0 SITEPROP IDELAY_X0Y149 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y149 IS_CLOCK_PAD 0 SITEPROP IDELAY_X0Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y149 IS_PAD 0 SITEPROP IDELAY_X0Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X0Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X0Y149 IS_RESERVED 0 SITEPROP IDELAY_X0Y149 IS_TEST 0 SITEPROP IDELAY_X0Y149 IS_USED 0 SITEPROP IDELAY_X0Y149 MANUAL_ROUTING SITEPROP IDELAY_X0Y149 NAME IDELAY_X0Y149 SITEPROP IDELAY_X0Y149 NUM_ARCS 6 SITEPROP IDELAY_X0Y149 NUM_BELS 1 SITEPROP IDELAY_X0Y149 NUM_INPUTS 17 SITEPROP IDELAY_X0Y149 NUM_OUTPUTS 6 SITEPROP IDELAY_X0Y149 NUM_PINS 23 SITEPROP IDELAY_X0Y149 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X0Y149 PROHIBIT 0 SITEPROP IDELAY_X0Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X0Y149 RPM_X 5 SITEPROP IDELAY_X0Y149 RPM_Y 298 SITEPROP IDELAY_X0Y149 SITE_PIPS SITEPROP IDELAY_X0Y149 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y0 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y0 CLASS site SITEPROP IDELAY_X1Y0 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y0 IS_BONDED 0 SITEPROP IDELAY_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y0 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y0 IS_PAD 0 SITEPROP IDELAY_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y0 IS_RESERVED 0 SITEPROP IDELAY_X1Y0 IS_TEST 0 SITEPROP IDELAY_X1Y0 IS_USED 0 SITEPROP IDELAY_X1Y0 MANUAL_ROUTING SITEPROP IDELAY_X1Y0 NAME IDELAY_X1Y0 SITEPROP IDELAY_X1Y0 NUM_ARCS 6 SITEPROP IDELAY_X1Y0 NUM_BELS 1 SITEPROP IDELAY_X1Y0 NUM_INPUTS 17 SITEPROP IDELAY_X1Y0 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y0 NUM_PINS 23 SITEPROP IDELAY_X1Y0 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y0 PROHIBIT 0 SITEPROP IDELAY_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y0 RPM_X 185 SITEPROP IDELAY_X1Y0 RPM_Y 0 SITEPROP IDELAY_X1Y0 SITE_PIPS SITEPROP IDELAY_X1Y0 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y1 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y1 CLASS site SITEPROP IDELAY_X1Y1 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y1 IS_BONDED 0 SITEPROP IDELAY_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y1 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y1 IS_PAD 0 SITEPROP IDELAY_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y1 IS_RESERVED 0 SITEPROP IDELAY_X1Y1 IS_TEST 0 SITEPROP IDELAY_X1Y1 IS_USED 0 SITEPROP IDELAY_X1Y1 MANUAL_ROUTING SITEPROP IDELAY_X1Y1 NAME IDELAY_X1Y1 SITEPROP IDELAY_X1Y1 NUM_ARCS 6 SITEPROP IDELAY_X1Y1 NUM_BELS 1 SITEPROP IDELAY_X1Y1 NUM_INPUTS 17 SITEPROP IDELAY_X1Y1 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y1 NUM_PINS 23 SITEPROP IDELAY_X1Y1 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y1 PROHIBIT 0 SITEPROP IDELAY_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y1 RPM_X 185 SITEPROP IDELAY_X1Y1 RPM_Y 3 SITEPROP IDELAY_X1Y1 SITE_PIPS SITEPROP IDELAY_X1Y1 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y2 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y2 CLASS site SITEPROP IDELAY_X1Y2 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y2 IS_BONDED 0 SITEPROP IDELAY_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y2 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y2 IS_PAD 0 SITEPROP IDELAY_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y2 IS_RESERVED 0 SITEPROP IDELAY_X1Y2 IS_TEST 0 SITEPROP IDELAY_X1Y2 IS_USED 0 SITEPROP IDELAY_X1Y2 MANUAL_ROUTING SITEPROP IDELAY_X1Y2 NAME IDELAY_X1Y2 SITEPROP IDELAY_X1Y2 NUM_ARCS 6 SITEPROP IDELAY_X1Y2 NUM_BELS 1 SITEPROP IDELAY_X1Y2 NUM_INPUTS 17 SITEPROP IDELAY_X1Y2 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y2 NUM_PINS 23 SITEPROP IDELAY_X1Y2 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y2 PROHIBIT 0 SITEPROP IDELAY_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y2 RPM_X 185 SITEPROP IDELAY_X1Y2 RPM_Y 2 SITEPROP IDELAY_X1Y2 SITE_PIPS SITEPROP IDELAY_X1Y2 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y3 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y3 CLASS site SITEPROP IDELAY_X1Y3 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y3 IS_BONDED 0 SITEPROP IDELAY_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y3 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y3 IS_PAD 0 SITEPROP IDELAY_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y3 IS_RESERVED 0 SITEPROP IDELAY_X1Y3 IS_TEST 0 SITEPROP IDELAY_X1Y3 IS_USED 0 SITEPROP IDELAY_X1Y3 MANUAL_ROUTING SITEPROP IDELAY_X1Y3 NAME IDELAY_X1Y3 SITEPROP IDELAY_X1Y3 NUM_ARCS 6 SITEPROP IDELAY_X1Y3 NUM_BELS 1 SITEPROP IDELAY_X1Y3 NUM_INPUTS 17 SITEPROP IDELAY_X1Y3 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y3 NUM_PINS 23 SITEPROP IDELAY_X1Y3 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y3 PROHIBIT 0 SITEPROP IDELAY_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y3 RPM_X 185 SITEPROP IDELAY_X1Y3 RPM_Y 7 SITEPROP IDELAY_X1Y3 SITE_PIPS SITEPROP IDELAY_X1Y3 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y4 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y4 CLASS site SITEPROP IDELAY_X1Y4 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y4 IS_BONDED 0 SITEPROP IDELAY_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y4 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y4 IS_PAD 0 SITEPROP IDELAY_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y4 IS_RESERVED 0 SITEPROP IDELAY_X1Y4 IS_TEST 0 SITEPROP IDELAY_X1Y4 IS_USED 0 SITEPROP IDELAY_X1Y4 MANUAL_ROUTING SITEPROP IDELAY_X1Y4 NAME IDELAY_X1Y4 SITEPROP IDELAY_X1Y4 NUM_ARCS 6 SITEPROP IDELAY_X1Y4 NUM_BELS 1 SITEPROP IDELAY_X1Y4 NUM_INPUTS 17 SITEPROP IDELAY_X1Y4 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y4 NUM_PINS 23 SITEPROP IDELAY_X1Y4 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y4 PROHIBIT 0 SITEPROP IDELAY_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y4 RPM_X 185 SITEPROP IDELAY_X1Y4 RPM_Y 6 SITEPROP IDELAY_X1Y4 SITE_PIPS SITEPROP IDELAY_X1Y4 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y5 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y5 CLASS site SITEPROP IDELAY_X1Y5 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y5 IS_BONDED 0 SITEPROP IDELAY_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y5 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y5 IS_PAD 0 SITEPROP IDELAY_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y5 IS_RESERVED 0 SITEPROP IDELAY_X1Y5 IS_TEST 0 SITEPROP IDELAY_X1Y5 IS_USED 0 SITEPROP IDELAY_X1Y5 MANUAL_ROUTING SITEPROP IDELAY_X1Y5 NAME IDELAY_X1Y5 SITEPROP IDELAY_X1Y5 NUM_ARCS 6 SITEPROP IDELAY_X1Y5 NUM_BELS 1 SITEPROP IDELAY_X1Y5 NUM_INPUTS 17 SITEPROP IDELAY_X1Y5 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y5 NUM_PINS 23 SITEPROP IDELAY_X1Y5 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y5 PROHIBIT 0 SITEPROP IDELAY_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y5 RPM_X 185 SITEPROP IDELAY_X1Y5 RPM_Y 11 SITEPROP IDELAY_X1Y5 SITE_PIPS SITEPROP IDELAY_X1Y5 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y6 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y6 CLASS site SITEPROP IDELAY_X1Y6 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y6 IS_BONDED 0 SITEPROP IDELAY_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y6 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y6 IS_PAD 0 SITEPROP IDELAY_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y6 IS_RESERVED 0 SITEPROP IDELAY_X1Y6 IS_TEST 0 SITEPROP IDELAY_X1Y6 IS_USED 0 SITEPROP IDELAY_X1Y6 MANUAL_ROUTING SITEPROP IDELAY_X1Y6 NAME IDELAY_X1Y6 SITEPROP IDELAY_X1Y6 NUM_ARCS 6 SITEPROP IDELAY_X1Y6 NUM_BELS 1 SITEPROP IDELAY_X1Y6 NUM_INPUTS 17 SITEPROP IDELAY_X1Y6 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y6 NUM_PINS 23 SITEPROP IDELAY_X1Y6 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y6 PROHIBIT 0 SITEPROP IDELAY_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y6 RPM_X 185 SITEPROP IDELAY_X1Y6 RPM_Y 10 SITEPROP IDELAY_X1Y6 SITE_PIPS SITEPROP IDELAY_X1Y6 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y7 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y7 CLASS site SITEPROP IDELAY_X1Y7 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y7 IS_BONDED 0 SITEPROP IDELAY_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y7 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y7 IS_PAD 0 SITEPROP IDELAY_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y7 IS_RESERVED 0 SITEPROP IDELAY_X1Y7 IS_TEST 0 SITEPROP IDELAY_X1Y7 IS_USED 0 SITEPROP IDELAY_X1Y7 MANUAL_ROUTING SITEPROP IDELAY_X1Y7 NAME IDELAY_X1Y7 SITEPROP IDELAY_X1Y7 NUM_ARCS 6 SITEPROP IDELAY_X1Y7 NUM_BELS 1 SITEPROP IDELAY_X1Y7 NUM_INPUTS 17 SITEPROP IDELAY_X1Y7 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y7 NUM_PINS 23 SITEPROP IDELAY_X1Y7 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y7 PROHIBIT 0 SITEPROP IDELAY_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y7 RPM_X 185 SITEPROP IDELAY_X1Y7 RPM_Y 15 SITEPROP IDELAY_X1Y7 SITE_PIPS SITEPROP IDELAY_X1Y7 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y8 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y8 CLASS site SITEPROP IDELAY_X1Y8 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y8 IS_BONDED 0 SITEPROP IDELAY_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y8 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y8 IS_PAD 0 SITEPROP IDELAY_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y8 IS_RESERVED 0 SITEPROP IDELAY_X1Y8 IS_TEST 0 SITEPROP IDELAY_X1Y8 IS_USED 0 SITEPROP IDELAY_X1Y8 MANUAL_ROUTING SITEPROP IDELAY_X1Y8 NAME IDELAY_X1Y8 SITEPROP IDELAY_X1Y8 NUM_ARCS 6 SITEPROP IDELAY_X1Y8 NUM_BELS 1 SITEPROP IDELAY_X1Y8 NUM_INPUTS 17 SITEPROP IDELAY_X1Y8 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y8 NUM_PINS 23 SITEPROP IDELAY_X1Y8 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y8 PROHIBIT 0 SITEPROP IDELAY_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y8 RPM_X 185 SITEPROP IDELAY_X1Y8 RPM_Y 14 SITEPROP IDELAY_X1Y8 SITE_PIPS SITEPROP IDELAY_X1Y8 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y9 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y9 CLASS site SITEPROP IDELAY_X1Y9 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y9 IS_BONDED 0 SITEPROP IDELAY_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y9 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y9 IS_PAD 0 SITEPROP IDELAY_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y9 IS_RESERVED 0 SITEPROP IDELAY_X1Y9 IS_TEST 0 SITEPROP IDELAY_X1Y9 IS_USED 0 SITEPROP IDELAY_X1Y9 MANUAL_ROUTING SITEPROP IDELAY_X1Y9 NAME IDELAY_X1Y9 SITEPROP IDELAY_X1Y9 NUM_ARCS 6 SITEPROP IDELAY_X1Y9 NUM_BELS 1 SITEPROP IDELAY_X1Y9 NUM_INPUTS 17 SITEPROP IDELAY_X1Y9 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y9 NUM_PINS 23 SITEPROP IDELAY_X1Y9 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y9 PROHIBIT 0 SITEPROP IDELAY_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y9 RPM_X 185 SITEPROP IDELAY_X1Y9 RPM_Y 19 SITEPROP IDELAY_X1Y9 SITE_PIPS SITEPROP IDELAY_X1Y9 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y10 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y10 CLASS site SITEPROP IDELAY_X1Y10 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y10 IS_BONDED 0 SITEPROP IDELAY_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y10 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y10 IS_PAD 0 SITEPROP IDELAY_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y10 IS_RESERVED 0 SITEPROP IDELAY_X1Y10 IS_TEST 0 SITEPROP IDELAY_X1Y10 IS_USED 0 SITEPROP IDELAY_X1Y10 MANUAL_ROUTING SITEPROP IDELAY_X1Y10 NAME IDELAY_X1Y10 SITEPROP IDELAY_X1Y10 NUM_ARCS 6 SITEPROP IDELAY_X1Y10 NUM_BELS 1 SITEPROP IDELAY_X1Y10 NUM_INPUTS 17 SITEPROP IDELAY_X1Y10 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y10 NUM_PINS 23 SITEPROP IDELAY_X1Y10 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y10 PROHIBIT 0 SITEPROP IDELAY_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y10 RPM_X 185 SITEPROP IDELAY_X1Y10 RPM_Y 18 SITEPROP IDELAY_X1Y10 SITE_PIPS SITEPROP IDELAY_X1Y10 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y11 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y11 CLASS site SITEPROP IDELAY_X1Y11 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y11 IS_BONDED 0 SITEPROP IDELAY_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y11 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y11 IS_PAD 0 SITEPROP IDELAY_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y11 IS_RESERVED 0 SITEPROP IDELAY_X1Y11 IS_TEST 0 SITEPROP IDELAY_X1Y11 IS_USED 0 SITEPROP IDELAY_X1Y11 MANUAL_ROUTING SITEPROP IDELAY_X1Y11 NAME IDELAY_X1Y11 SITEPROP IDELAY_X1Y11 NUM_ARCS 6 SITEPROP IDELAY_X1Y11 NUM_BELS 1 SITEPROP IDELAY_X1Y11 NUM_INPUTS 17 SITEPROP IDELAY_X1Y11 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y11 NUM_PINS 23 SITEPROP IDELAY_X1Y11 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y11 PROHIBIT 0 SITEPROP IDELAY_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y11 RPM_X 185 SITEPROP IDELAY_X1Y11 RPM_Y 23 SITEPROP IDELAY_X1Y11 SITE_PIPS SITEPROP IDELAY_X1Y11 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y12 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y12 CLASS site SITEPROP IDELAY_X1Y12 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y12 IS_BONDED 0 SITEPROP IDELAY_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y12 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y12 IS_PAD 0 SITEPROP IDELAY_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y12 IS_RESERVED 0 SITEPROP IDELAY_X1Y12 IS_TEST 0 SITEPROP IDELAY_X1Y12 IS_USED 0 SITEPROP IDELAY_X1Y12 MANUAL_ROUTING SITEPROP IDELAY_X1Y12 NAME IDELAY_X1Y12 SITEPROP IDELAY_X1Y12 NUM_ARCS 6 SITEPROP IDELAY_X1Y12 NUM_BELS 1 SITEPROP IDELAY_X1Y12 NUM_INPUTS 17 SITEPROP IDELAY_X1Y12 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y12 NUM_PINS 23 SITEPROP IDELAY_X1Y12 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y12 PROHIBIT 0 SITEPROP IDELAY_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y12 RPM_X 185 SITEPROP IDELAY_X1Y12 RPM_Y 22 SITEPROP IDELAY_X1Y12 SITE_PIPS SITEPROP IDELAY_X1Y12 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y13 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y13 CLASS site SITEPROP IDELAY_X1Y13 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y13 IS_BONDED 0 SITEPROP IDELAY_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y13 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y13 IS_PAD 0 SITEPROP IDELAY_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y13 IS_RESERVED 0 SITEPROP IDELAY_X1Y13 IS_TEST 0 SITEPROP IDELAY_X1Y13 IS_USED 0 SITEPROP IDELAY_X1Y13 MANUAL_ROUTING SITEPROP IDELAY_X1Y13 NAME IDELAY_X1Y13 SITEPROP IDELAY_X1Y13 NUM_ARCS 6 SITEPROP IDELAY_X1Y13 NUM_BELS 1 SITEPROP IDELAY_X1Y13 NUM_INPUTS 17 SITEPROP IDELAY_X1Y13 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y13 NUM_PINS 23 SITEPROP IDELAY_X1Y13 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y13 PROHIBIT 0 SITEPROP IDELAY_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y13 RPM_X 185 SITEPROP IDELAY_X1Y13 RPM_Y 27 SITEPROP IDELAY_X1Y13 SITE_PIPS SITEPROP IDELAY_X1Y13 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y14 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y14 CLASS site SITEPROP IDELAY_X1Y14 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y14 IS_BONDED 0 SITEPROP IDELAY_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y14 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y14 IS_PAD 0 SITEPROP IDELAY_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y14 IS_RESERVED 0 SITEPROP IDELAY_X1Y14 IS_TEST 0 SITEPROP IDELAY_X1Y14 IS_USED 0 SITEPROP IDELAY_X1Y14 MANUAL_ROUTING SITEPROP IDELAY_X1Y14 NAME IDELAY_X1Y14 SITEPROP IDELAY_X1Y14 NUM_ARCS 6 SITEPROP IDELAY_X1Y14 NUM_BELS 1 SITEPROP IDELAY_X1Y14 NUM_INPUTS 17 SITEPROP IDELAY_X1Y14 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y14 NUM_PINS 23 SITEPROP IDELAY_X1Y14 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y14 PROHIBIT 0 SITEPROP IDELAY_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y14 RPM_X 185 SITEPROP IDELAY_X1Y14 RPM_Y 26 SITEPROP IDELAY_X1Y14 SITE_PIPS SITEPROP IDELAY_X1Y14 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y15 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y15 CLASS site SITEPROP IDELAY_X1Y15 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y15 IS_BONDED 0 SITEPROP IDELAY_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y15 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y15 IS_PAD 0 SITEPROP IDELAY_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y15 IS_RESERVED 0 SITEPROP IDELAY_X1Y15 IS_TEST 0 SITEPROP IDELAY_X1Y15 IS_USED 0 SITEPROP IDELAY_X1Y15 MANUAL_ROUTING SITEPROP IDELAY_X1Y15 NAME IDELAY_X1Y15 SITEPROP IDELAY_X1Y15 NUM_ARCS 6 SITEPROP IDELAY_X1Y15 NUM_BELS 1 SITEPROP IDELAY_X1Y15 NUM_INPUTS 17 SITEPROP IDELAY_X1Y15 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y15 NUM_PINS 23 SITEPROP IDELAY_X1Y15 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y15 PROHIBIT 0 SITEPROP IDELAY_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y15 RPM_X 185 SITEPROP IDELAY_X1Y15 RPM_Y 31 SITEPROP IDELAY_X1Y15 SITE_PIPS SITEPROP IDELAY_X1Y15 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y16 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y16 CLASS site SITEPROP IDELAY_X1Y16 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y16 IS_BONDED 0 SITEPROP IDELAY_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y16 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y16 IS_PAD 0 SITEPROP IDELAY_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y16 IS_RESERVED 0 SITEPROP IDELAY_X1Y16 IS_TEST 0 SITEPROP IDELAY_X1Y16 IS_USED 0 SITEPROP IDELAY_X1Y16 MANUAL_ROUTING SITEPROP IDELAY_X1Y16 NAME IDELAY_X1Y16 SITEPROP IDELAY_X1Y16 NUM_ARCS 6 SITEPROP IDELAY_X1Y16 NUM_BELS 1 SITEPROP IDELAY_X1Y16 NUM_INPUTS 17 SITEPROP IDELAY_X1Y16 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y16 NUM_PINS 23 SITEPROP IDELAY_X1Y16 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y16 PROHIBIT 0 SITEPROP IDELAY_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y16 RPM_X 185 SITEPROP IDELAY_X1Y16 RPM_Y 30 SITEPROP IDELAY_X1Y16 SITE_PIPS SITEPROP IDELAY_X1Y16 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y17 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y17 CLASS site SITEPROP IDELAY_X1Y17 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y17 IS_BONDED 0 SITEPROP IDELAY_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y17 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y17 IS_PAD 0 SITEPROP IDELAY_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y17 IS_RESERVED 0 SITEPROP IDELAY_X1Y17 IS_TEST 0 SITEPROP IDELAY_X1Y17 IS_USED 0 SITEPROP IDELAY_X1Y17 MANUAL_ROUTING SITEPROP IDELAY_X1Y17 NAME IDELAY_X1Y17 SITEPROP IDELAY_X1Y17 NUM_ARCS 6 SITEPROP IDELAY_X1Y17 NUM_BELS 1 SITEPROP IDELAY_X1Y17 NUM_INPUTS 17 SITEPROP IDELAY_X1Y17 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y17 NUM_PINS 23 SITEPROP IDELAY_X1Y17 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y17 PROHIBIT 0 SITEPROP IDELAY_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y17 RPM_X 185 SITEPROP IDELAY_X1Y17 RPM_Y 35 SITEPROP IDELAY_X1Y17 SITE_PIPS SITEPROP IDELAY_X1Y17 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y18 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y18 CLASS site SITEPROP IDELAY_X1Y18 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y18 IS_BONDED 0 SITEPROP IDELAY_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y18 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y18 IS_PAD 0 SITEPROP IDELAY_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y18 IS_RESERVED 0 SITEPROP IDELAY_X1Y18 IS_TEST 0 SITEPROP IDELAY_X1Y18 IS_USED 0 SITEPROP IDELAY_X1Y18 MANUAL_ROUTING SITEPROP IDELAY_X1Y18 NAME IDELAY_X1Y18 SITEPROP IDELAY_X1Y18 NUM_ARCS 6 SITEPROP IDELAY_X1Y18 NUM_BELS 1 SITEPROP IDELAY_X1Y18 NUM_INPUTS 17 SITEPROP IDELAY_X1Y18 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y18 NUM_PINS 23 SITEPROP IDELAY_X1Y18 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y18 PROHIBIT 0 SITEPROP IDELAY_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y18 RPM_X 185 SITEPROP IDELAY_X1Y18 RPM_Y 34 SITEPROP IDELAY_X1Y18 SITE_PIPS SITEPROP IDELAY_X1Y18 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y19 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y19 CLASS site SITEPROP IDELAY_X1Y19 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y19 IS_BONDED 0 SITEPROP IDELAY_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y19 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y19 IS_PAD 0 SITEPROP IDELAY_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y19 IS_RESERVED 0 SITEPROP IDELAY_X1Y19 IS_TEST 0 SITEPROP IDELAY_X1Y19 IS_USED 0 SITEPROP IDELAY_X1Y19 MANUAL_ROUTING SITEPROP IDELAY_X1Y19 NAME IDELAY_X1Y19 SITEPROP IDELAY_X1Y19 NUM_ARCS 6 SITEPROP IDELAY_X1Y19 NUM_BELS 1 SITEPROP IDELAY_X1Y19 NUM_INPUTS 17 SITEPROP IDELAY_X1Y19 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y19 NUM_PINS 23 SITEPROP IDELAY_X1Y19 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y19 PROHIBIT 0 SITEPROP IDELAY_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y19 RPM_X 185 SITEPROP IDELAY_X1Y19 RPM_Y 39 SITEPROP IDELAY_X1Y19 SITE_PIPS SITEPROP IDELAY_X1Y19 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y20 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y20 CLASS site SITEPROP IDELAY_X1Y20 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y20 IS_BONDED 0 SITEPROP IDELAY_X1Y20 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y20 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y20 IS_PAD 0 SITEPROP IDELAY_X1Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y20 IS_RESERVED 0 SITEPROP IDELAY_X1Y20 IS_TEST 0 SITEPROP IDELAY_X1Y20 IS_USED 0 SITEPROP IDELAY_X1Y20 MANUAL_ROUTING SITEPROP IDELAY_X1Y20 NAME IDELAY_X1Y20 SITEPROP IDELAY_X1Y20 NUM_ARCS 6 SITEPROP IDELAY_X1Y20 NUM_BELS 1 SITEPROP IDELAY_X1Y20 NUM_INPUTS 17 SITEPROP IDELAY_X1Y20 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y20 NUM_PINS 23 SITEPROP IDELAY_X1Y20 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y20 PROHIBIT 0 SITEPROP IDELAY_X1Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y20 RPM_X 185 SITEPROP IDELAY_X1Y20 RPM_Y 38 SITEPROP IDELAY_X1Y20 SITE_PIPS SITEPROP IDELAY_X1Y20 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y21 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y21 CLASS site SITEPROP IDELAY_X1Y21 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y21 IS_BONDED 0 SITEPROP IDELAY_X1Y21 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y21 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y21 IS_PAD 0 SITEPROP IDELAY_X1Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y21 IS_RESERVED 0 SITEPROP IDELAY_X1Y21 IS_TEST 0 SITEPROP IDELAY_X1Y21 IS_USED 0 SITEPROP IDELAY_X1Y21 MANUAL_ROUTING SITEPROP IDELAY_X1Y21 NAME IDELAY_X1Y21 SITEPROP IDELAY_X1Y21 NUM_ARCS 6 SITEPROP IDELAY_X1Y21 NUM_BELS 1 SITEPROP IDELAY_X1Y21 NUM_INPUTS 17 SITEPROP IDELAY_X1Y21 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y21 NUM_PINS 23 SITEPROP IDELAY_X1Y21 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y21 PROHIBIT 0 SITEPROP IDELAY_X1Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y21 RPM_X 185 SITEPROP IDELAY_X1Y21 RPM_Y 43 SITEPROP IDELAY_X1Y21 SITE_PIPS SITEPROP IDELAY_X1Y21 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y22 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y22 CLASS site SITEPROP IDELAY_X1Y22 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y22 IS_BONDED 0 SITEPROP IDELAY_X1Y22 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y22 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y22 IS_PAD 0 SITEPROP IDELAY_X1Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y22 IS_RESERVED 0 SITEPROP IDELAY_X1Y22 IS_TEST 0 SITEPROP IDELAY_X1Y22 IS_USED 0 SITEPROP IDELAY_X1Y22 MANUAL_ROUTING SITEPROP IDELAY_X1Y22 NAME IDELAY_X1Y22 SITEPROP IDELAY_X1Y22 NUM_ARCS 6 SITEPROP IDELAY_X1Y22 NUM_BELS 1 SITEPROP IDELAY_X1Y22 NUM_INPUTS 17 SITEPROP IDELAY_X1Y22 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y22 NUM_PINS 23 SITEPROP IDELAY_X1Y22 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y22 PROHIBIT 0 SITEPROP IDELAY_X1Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y22 RPM_X 185 SITEPROP IDELAY_X1Y22 RPM_Y 42 SITEPROP IDELAY_X1Y22 SITE_PIPS SITEPROP IDELAY_X1Y22 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y23 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y23 CLASS site SITEPROP IDELAY_X1Y23 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y23 IS_BONDED 0 SITEPROP IDELAY_X1Y23 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y23 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y23 IS_PAD 0 SITEPROP IDELAY_X1Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y23 IS_RESERVED 0 SITEPROP IDELAY_X1Y23 IS_TEST 0 SITEPROP IDELAY_X1Y23 IS_USED 0 SITEPROP IDELAY_X1Y23 MANUAL_ROUTING SITEPROP IDELAY_X1Y23 NAME IDELAY_X1Y23 SITEPROP IDELAY_X1Y23 NUM_ARCS 6 SITEPROP IDELAY_X1Y23 NUM_BELS 1 SITEPROP IDELAY_X1Y23 NUM_INPUTS 17 SITEPROP IDELAY_X1Y23 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y23 NUM_PINS 23 SITEPROP IDELAY_X1Y23 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y23 PROHIBIT 0 SITEPROP IDELAY_X1Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y23 RPM_X 185 SITEPROP IDELAY_X1Y23 RPM_Y 47 SITEPROP IDELAY_X1Y23 SITE_PIPS SITEPROP IDELAY_X1Y23 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y24 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y24 CLASS site SITEPROP IDELAY_X1Y24 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y24 IS_BONDED 0 SITEPROP IDELAY_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y24 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y24 IS_PAD 0 SITEPROP IDELAY_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y24 IS_RESERVED 0 SITEPROP IDELAY_X1Y24 IS_TEST 0 SITEPROP IDELAY_X1Y24 IS_USED 0 SITEPROP IDELAY_X1Y24 MANUAL_ROUTING SITEPROP IDELAY_X1Y24 NAME IDELAY_X1Y24 SITEPROP IDELAY_X1Y24 NUM_ARCS 6 SITEPROP IDELAY_X1Y24 NUM_BELS 1 SITEPROP IDELAY_X1Y24 NUM_INPUTS 17 SITEPROP IDELAY_X1Y24 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y24 NUM_PINS 23 SITEPROP IDELAY_X1Y24 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y24 PROHIBIT 0 SITEPROP IDELAY_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y24 RPM_X 185 SITEPROP IDELAY_X1Y24 RPM_Y 46 SITEPROP IDELAY_X1Y24 SITE_PIPS SITEPROP IDELAY_X1Y24 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y25 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y25 CLASS site SITEPROP IDELAY_X1Y25 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y25 IS_BONDED 0 SITEPROP IDELAY_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y25 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y25 IS_PAD 0 SITEPROP IDELAY_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y25 IS_RESERVED 0 SITEPROP IDELAY_X1Y25 IS_TEST 0 SITEPROP IDELAY_X1Y25 IS_USED 0 SITEPROP IDELAY_X1Y25 MANUAL_ROUTING SITEPROP IDELAY_X1Y25 NAME IDELAY_X1Y25 SITEPROP IDELAY_X1Y25 NUM_ARCS 6 SITEPROP IDELAY_X1Y25 NUM_BELS 1 SITEPROP IDELAY_X1Y25 NUM_INPUTS 17 SITEPROP IDELAY_X1Y25 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y25 NUM_PINS 23 SITEPROP IDELAY_X1Y25 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y25 PROHIBIT 0 SITEPROP IDELAY_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y25 RPM_X 185 SITEPROP IDELAY_X1Y25 RPM_Y 51 SITEPROP IDELAY_X1Y25 SITE_PIPS SITEPROP IDELAY_X1Y25 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y26 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y26 CLASS site SITEPROP IDELAY_X1Y26 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y26 IS_BONDED 0 SITEPROP IDELAY_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y26 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y26 IS_PAD 0 SITEPROP IDELAY_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y26 IS_RESERVED 0 SITEPROP IDELAY_X1Y26 IS_TEST 0 SITEPROP IDELAY_X1Y26 IS_USED 0 SITEPROP IDELAY_X1Y26 MANUAL_ROUTING SITEPROP IDELAY_X1Y26 NAME IDELAY_X1Y26 SITEPROP IDELAY_X1Y26 NUM_ARCS 6 SITEPROP IDELAY_X1Y26 NUM_BELS 1 SITEPROP IDELAY_X1Y26 NUM_INPUTS 17 SITEPROP IDELAY_X1Y26 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y26 NUM_PINS 23 SITEPROP IDELAY_X1Y26 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y26 PROHIBIT 0 SITEPROP IDELAY_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y26 RPM_X 185 SITEPROP IDELAY_X1Y26 RPM_Y 50 SITEPROP IDELAY_X1Y26 SITE_PIPS SITEPROP IDELAY_X1Y26 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y27 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y27 CLASS site SITEPROP IDELAY_X1Y27 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y27 IS_BONDED 0 SITEPROP IDELAY_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y27 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y27 IS_PAD 0 SITEPROP IDELAY_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y27 IS_RESERVED 0 SITEPROP IDELAY_X1Y27 IS_TEST 0 SITEPROP IDELAY_X1Y27 IS_USED 0 SITEPROP IDELAY_X1Y27 MANUAL_ROUTING SITEPROP IDELAY_X1Y27 NAME IDELAY_X1Y27 SITEPROP IDELAY_X1Y27 NUM_ARCS 6 SITEPROP IDELAY_X1Y27 NUM_BELS 1 SITEPROP IDELAY_X1Y27 NUM_INPUTS 17 SITEPROP IDELAY_X1Y27 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y27 NUM_PINS 23 SITEPROP IDELAY_X1Y27 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y27 PROHIBIT 0 SITEPROP IDELAY_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y27 RPM_X 185 SITEPROP IDELAY_X1Y27 RPM_Y 55 SITEPROP IDELAY_X1Y27 SITE_PIPS SITEPROP IDELAY_X1Y27 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y28 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y28 CLASS site SITEPROP IDELAY_X1Y28 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y28 IS_BONDED 0 SITEPROP IDELAY_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y28 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y28 IS_PAD 0 SITEPROP IDELAY_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y28 IS_RESERVED 0 SITEPROP IDELAY_X1Y28 IS_TEST 0 SITEPROP IDELAY_X1Y28 IS_USED 0 SITEPROP IDELAY_X1Y28 MANUAL_ROUTING SITEPROP IDELAY_X1Y28 NAME IDELAY_X1Y28 SITEPROP IDELAY_X1Y28 NUM_ARCS 6 SITEPROP IDELAY_X1Y28 NUM_BELS 1 SITEPROP IDELAY_X1Y28 NUM_INPUTS 17 SITEPROP IDELAY_X1Y28 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y28 NUM_PINS 23 SITEPROP IDELAY_X1Y28 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y28 PROHIBIT 0 SITEPROP IDELAY_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y28 RPM_X 185 SITEPROP IDELAY_X1Y28 RPM_Y 54 SITEPROP IDELAY_X1Y28 SITE_PIPS SITEPROP IDELAY_X1Y28 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y29 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y29 CLASS site SITEPROP IDELAY_X1Y29 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y29 IS_BONDED 0 SITEPROP IDELAY_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y29 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y29 IS_PAD 0 SITEPROP IDELAY_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y29 IS_RESERVED 0 SITEPROP IDELAY_X1Y29 IS_TEST 0 SITEPROP IDELAY_X1Y29 IS_USED 0 SITEPROP IDELAY_X1Y29 MANUAL_ROUTING SITEPROP IDELAY_X1Y29 NAME IDELAY_X1Y29 SITEPROP IDELAY_X1Y29 NUM_ARCS 6 SITEPROP IDELAY_X1Y29 NUM_BELS 1 SITEPROP IDELAY_X1Y29 NUM_INPUTS 17 SITEPROP IDELAY_X1Y29 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y29 NUM_PINS 23 SITEPROP IDELAY_X1Y29 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y29 PROHIBIT 0 SITEPROP IDELAY_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y29 RPM_X 185 SITEPROP IDELAY_X1Y29 RPM_Y 59 SITEPROP IDELAY_X1Y29 SITE_PIPS SITEPROP IDELAY_X1Y29 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y30 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y30 CLASS site SITEPROP IDELAY_X1Y30 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y30 IS_BONDED 0 SITEPROP IDELAY_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y30 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y30 IS_PAD 0 SITEPROP IDELAY_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y30 IS_RESERVED 0 SITEPROP IDELAY_X1Y30 IS_TEST 0 SITEPROP IDELAY_X1Y30 IS_USED 0 SITEPROP IDELAY_X1Y30 MANUAL_ROUTING SITEPROP IDELAY_X1Y30 NAME IDELAY_X1Y30 SITEPROP IDELAY_X1Y30 NUM_ARCS 6 SITEPROP IDELAY_X1Y30 NUM_BELS 1 SITEPROP IDELAY_X1Y30 NUM_INPUTS 17 SITEPROP IDELAY_X1Y30 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y30 NUM_PINS 23 SITEPROP IDELAY_X1Y30 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y30 PROHIBIT 0 SITEPROP IDELAY_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y30 RPM_X 185 SITEPROP IDELAY_X1Y30 RPM_Y 58 SITEPROP IDELAY_X1Y30 SITE_PIPS SITEPROP IDELAY_X1Y30 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y31 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y31 CLASS site SITEPROP IDELAY_X1Y31 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y31 IS_BONDED 0 SITEPROP IDELAY_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y31 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y31 IS_PAD 0 SITEPROP IDELAY_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y31 IS_RESERVED 0 SITEPROP IDELAY_X1Y31 IS_TEST 0 SITEPROP IDELAY_X1Y31 IS_USED 0 SITEPROP IDELAY_X1Y31 MANUAL_ROUTING SITEPROP IDELAY_X1Y31 NAME IDELAY_X1Y31 SITEPROP IDELAY_X1Y31 NUM_ARCS 6 SITEPROP IDELAY_X1Y31 NUM_BELS 1 SITEPROP IDELAY_X1Y31 NUM_INPUTS 17 SITEPROP IDELAY_X1Y31 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y31 NUM_PINS 23 SITEPROP IDELAY_X1Y31 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y31 PROHIBIT 0 SITEPROP IDELAY_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y31 RPM_X 185 SITEPROP IDELAY_X1Y31 RPM_Y 63 SITEPROP IDELAY_X1Y31 SITE_PIPS SITEPROP IDELAY_X1Y31 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y32 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y32 CLASS site SITEPROP IDELAY_X1Y32 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y32 IS_BONDED 0 SITEPROP IDELAY_X1Y32 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y32 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y32 IS_PAD 0 SITEPROP IDELAY_X1Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y32 IS_RESERVED 0 SITEPROP IDELAY_X1Y32 IS_TEST 0 SITEPROP IDELAY_X1Y32 IS_USED 0 SITEPROP IDELAY_X1Y32 MANUAL_ROUTING SITEPROP IDELAY_X1Y32 NAME IDELAY_X1Y32 SITEPROP IDELAY_X1Y32 NUM_ARCS 6 SITEPROP IDELAY_X1Y32 NUM_BELS 1 SITEPROP IDELAY_X1Y32 NUM_INPUTS 17 SITEPROP IDELAY_X1Y32 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y32 NUM_PINS 23 SITEPROP IDELAY_X1Y32 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y32 PROHIBIT 0 SITEPROP IDELAY_X1Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y32 RPM_X 185 SITEPROP IDELAY_X1Y32 RPM_Y 62 SITEPROP IDELAY_X1Y32 SITE_PIPS SITEPROP IDELAY_X1Y32 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y33 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y33 CLASS site SITEPROP IDELAY_X1Y33 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y33 IS_BONDED 0 SITEPROP IDELAY_X1Y33 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y33 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y33 IS_PAD 0 SITEPROP IDELAY_X1Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y33 IS_RESERVED 0 SITEPROP IDELAY_X1Y33 IS_TEST 0 SITEPROP IDELAY_X1Y33 IS_USED 0 SITEPROP IDELAY_X1Y33 MANUAL_ROUTING SITEPROP IDELAY_X1Y33 NAME IDELAY_X1Y33 SITEPROP IDELAY_X1Y33 NUM_ARCS 6 SITEPROP IDELAY_X1Y33 NUM_BELS 1 SITEPROP IDELAY_X1Y33 NUM_INPUTS 17 SITEPROP IDELAY_X1Y33 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y33 NUM_PINS 23 SITEPROP IDELAY_X1Y33 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y33 PROHIBIT 0 SITEPROP IDELAY_X1Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y33 RPM_X 185 SITEPROP IDELAY_X1Y33 RPM_Y 67 SITEPROP IDELAY_X1Y33 SITE_PIPS SITEPROP IDELAY_X1Y33 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y34 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y34 CLASS site SITEPROP IDELAY_X1Y34 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y34 IS_BONDED 0 SITEPROP IDELAY_X1Y34 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y34 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y34 IS_PAD 0 SITEPROP IDELAY_X1Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y34 IS_RESERVED 0 SITEPROP IDELAY_X1Y34 IS_TEST 0 SITEPROP IDELAY_X1Y34 IS_USED 0 SITEPROP IDELAY_X1Y34 MANUAL_ROUTING SITEPROP IDELAY_X1Y34 NAME IDELAY_X1Y34 SITEPROP IDELAY_X1Y34 NUM_ARCS 6 SITEPROP IDELAY_X1Y34 NUM_BELS 1 SITEPROP IDELAY_X1Y34 NUM_INPUTS 17 SITEPROP IDELAY_X1Y34 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y34 NUM_PINS 23 SITEPROP IDELAY_X1Y34 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y34 PROHIBIT 0 SITEPROP IDELAY_X1Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y34 RPM_X 185 SITEPROP IDELAY_X1Y34 RPM_Y 66 SITEPROP IDELAY_X1Y34 SITE_PIPS SITEPROP IDELAY_X1Y34 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y35 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y35 CLASS site SITEPROP IDELAY_X1Y35 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y35 IS_BONDED 0 SITEPROP IDELAY_X1Y35 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y35 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y35 IS_PAD 0 SITEPROP IDELAY_X1Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y35 IS_RESERVED 0 SITEPROP IDELAY_X1Y35 IS_TEST 0 SITEPROP IDELAY_X1Y35 IS_USED 0 SITEPROP IDELAY_X1Y35 MANUAL_ROUTING SITEPROP IDELAY_X1Y35 NAME IDELAY_X1Y35 SITEPROP IDELAY_X1Y35 NUM_ARCS 6 SITEPROP IDELAY_X1Y35 NUM_BELS 1 SITEPROP IDELAY_X1Y35 NUM_INPUTS 17 SITEPROP IDELAY_X1Y35 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y35 NUM_PINS 23 SITEPROP IDELAY_X1Y35 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y35 PROHIBIT 0 SITEPROP IDELAY_X1Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y35 RPM_X 185 SITEPROP IDELAY_X1Y35 RPM_Y 71 SITEPROP IDELAY_X1Y35 SITE_PIPS SITEPROP IDELAY_X1Y35 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y36 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y36 CLASS site SITEPROP IDELAY_X1Y36 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y36 IS_BONDED 0 SITEPROP IDELAY_X1Y36 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y36 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y36 IS_PAD 0 SITEPROP IDELAY_X1Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y36 IS_RESERVED 0 SITEPROP IDELAY_X1Y36 IS_TEST 0 SITEPROP IDELAY_X1Y36 IS_USED 0 SITEPROP IDELAY_X1Y36 MANUAL_ROUTING SITEPROP IDELAY_X1Y36 NAME IDELAY_X1Y36 SITEPROP IDELAY_X1Y36 NUM_ARCS 6 SITEPROP IDELAY_X1Y36 NUM_BELS 1 SITEPROP IDELAY_X1Y36 NUM_INPUTS 17 SITEPROP IDELAY_X1Y36 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y36 NUM_PINS 23 SITEPROP IDELAY_X1Y36 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y36 PROHIBIT 0 SITEPROP IDELAY_X1Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y36 RPM_X 185 SITEPROP IDELAY_X1Y36 RPM_Y 70 SITEPROP IDELAY_X1Y36 SITE_PIPS SITEPROP IDELAY_X1Y36 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y37 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y37 CLASS site SITEPROP IDELAY_X1Y37 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y37 IS_BONDED 0 SITEPROP IDELAY_X1Y37 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y37 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y37 IS_PAD 0 SITEPROP IDELAY_X1Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y37 IS_RESERVED 0 SITEPROP IDELAY_X1Y37 IS_TEST 0 SITEPROP IDELAY_X1Y37 IS_USED 0 SITEPROP IDELAY_X1Y37 MANUAL_ROUTING SITEPROP IDELAY_X1Y37 NAME IDELAY_X1Y37 SITEPROP IDELAY_X1Y37 NUM_ARCS 6 SITEPROP IDELAY_X1Y37 NUM_BELS 1 SITEPROP IDELAY_X1Y37 NUM_INPUTS 17 SITEPROP IDELAY_X1Y37 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y37 NUM_PINS 23 SITEPROP IDELAY_X1Y37 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y37 PROHIBIT 0 SITEPROP IDELAY_X1Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y37 RPM_X 185 SITEPROP IDELAY_X1Y37 RPM_Y 75 SITEPROP IDELAY_X1Y37 SITE_PIPS SITEPROP IDELAY_X1Y37 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y38 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y38 CLASS site SITEPROP IDELAY_X1Y38 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y38 IS_BONDED 0 SITEPROP IDELAY_X1Y38 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y38 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y38 IS_PAD 0 SITEPROP IDELAY_X1Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y38 IS_RESERVED 0 SITEPROP IDELAY_X1Y38 IS_TEST 0 SITEPROP IDELAY_X1Y38 IS_USED 0 SITEPROP IDELAY_X1Y38 MANUAL_ROUTING SITEPROP IDELAY_X1Y38 NAME IDELAY_X1Y38 SITEPROP IDELAY_X1Y38 NUM_ARCS 6 SITEPROP IDELAY_X1Y38 NUM_BELS 1 SITEPROP IDELAY_X1Y38 NUM_INPUTS 17 SITEPROP IDELAY_X1Y38 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y38 NUM_PINS 23 SITEPROP IDELAY_X1Y38 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y38 PROHIBIT 0 SITEPROP IDELAY_X1Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y38 RPM_X 185 SITEPROP IDELAY_X1Y38 RPM_Y 74 SITEPROP IDELAY_X1Y38 SITE_PIPS SITEPROP IDELAY_X1Y38 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y39 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y39 CLASS site SITEPROP IDELAY_X1Y39 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y39 IS_BONDED 0 SITEPROP IDELAY_X1Y39 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y39 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y39 IS_PAD 0 SITEPROP IDELAY_X1Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y39 IS_RESERVED 0 SITEPROP IDELAY_X1Y39 IS_TEST 0 SITEPROP IDELAY_X1Y39 IS_USED 0 SITEPROP IDELAY_X1Y39 MANUAL_ROUTING SITEPROP IDELAY_X1Y39 NAME IDELAY_X1Y39 SITEPROP IDELAY_X1Y39 NUM_ARCS 6 SITEPROP IDELAY_X1Y39 NUM_BELS 1 SITEPROP IDELAY_X1Y39 NUM_INPUTS 17 SITEPROP IDELAY_X1Y39 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y39 NUM_PINS 23 SITEPROP IDELAY_X1Y39 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y39 PROHIBIT 0 SITEPROP IDELAY_X1Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y39 RPM_X 185 SITEPROP IDELAY_X1Y39 RPM_Y 79 SITEPROP IDELAY_X1Y39 SITE_PIPS SITEPROP IDELAY_X1Y39 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y40 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y40 CLASS site SITEPROP IDELAY_X1Y40 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y40 IS_BONDED 0 SITEPROP IDELAY_X1Y40 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y40 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y40 IS_PAD 0 SITEPROP IDELAY_X1Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y40 IS_RESERVED 0 SITEPROP IDELAY_X1Y40 IS_TEST 0 SITEPROP IDELAY_X1Y40 IS_USED 0 SITEPROP IDELAY_X1Y40 MANUAL_ROUTING SITEPROP IDELAY_X1Y40 NAME IDELAY_X1Y40 SITEPROP IDELAY_X1Y40 NUM_ARCS 6 SITEPROP IDELAY_X1Y40 NUM_BELS 1 SITEPROP IDELAY_X1Y40 NUM_INPUTS 17 SITEPROP IDELAY_X1Y40 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y40 NUM_PINS 23 SITEPROP IDELAY_X1Y40 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y40 PROHIBIT 0 SITEPROP IDELAY_X1Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y40 RPM_X 185 SITEPROP IDELAY_X1Y40 RPM_Y 78 SITEPROP IDELAY_X1Y40 SITE_PIPS SITEPROP IDELAY_X1Y40 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y41 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y41 CLASS site SITEPROP IDELAY_X1Y41 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y41 IS_BONDED 0 SITEPROP IDELAY_X1Y41 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y41 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y41 IS_PAD 0 SITEPROP IDELAY_X1Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y41 IS_RESERVED 0 SITEPROP IDELAY_X1Y41 IS_TEST 0 SITEPROP IDELAY_X1Y41 IS_USED 0 SITEPROP IDELAY_X1Y41 MANUAL_ROUTING SITEPROP IDELAY_X1Y41 NAME IDELAY_X1Y41 SITEPROP IDELAY_X1Y41 NUM_ARCS 6 SITEPROP IDELAY_X1Y41 NUM_BELS 1 SITEPROP IDELAY_X1Y41 NUM_INPUTS 17 SITEPROP IDELAY_X1Y41 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y41 NUM_PINS 23 SITEPROP IDELAY_X1Y41 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y41 PROHIBIT 0 SITEPROP IDELAY_X1Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y41 RPM_X 185 SITEPROP IDELAY_X1Y41 RPM_Y 83 SITEPROP IDELAY_X1Y41 SITE_PIPS SITEPROP IDELAY_X1Y41 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y42 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y42 CLASS site SITEPROP IDELAY_X1Y42 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y42 IS_BONDED 0 SITEPROP IDELAY_X1Y42 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y42 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y42 IS_PAD 0 SITEPROP IDELAY_X1Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y42 IS_RESERVED 0 SITEPROP IDELAY_X1Y42 IS_TEST 0 SITEPROP IDELAY_X1Y42 IS_USED 0 SITEPROP IDELAY_X1Y42 MANUAL_ROUTING SITEPROP IDELAY_X1Y42 NAME IDELAY_X1Y42 SITEPROP IDELAY_X1Y42 NUM_ARCS 6 SITEPROP IDELAY_X1Y42 NUM_BELS 1 SITEPROP IDELAY_X1Y42 NUM_INPUTS 17 SITEPROP IDELAY_X1Y42 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y42 NUM_PINS 23 SITEPROP IDELAY_X1Y42 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y42 PROHIBIT 0 SITEPROP IDELAY_X1Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y42 RPM_X 185 SITEPROP IDELAY_X1Y42 RPM_Y 82 SITEPROP IDELAY_X1Y42 SITE_PIPS SITEPROP IDELAY_X1Y42 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y43 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y43 CLASS site SITEPROP IDELAY_X1Y43 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y43 IS_BONDED 0 SITEPROP IDELAY_X1Y43 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y43 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y43 IS_PAD 0 SITEPROP IDELAY_X1Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y43 IS_RESERVED 0 SITEPROP IDELAY_X1Y43 IS_TEST 0 SITEPROP IDELAY_X1Y43 IS_USED 0 SITEPROP IDELAY_X1Y43 MANUAL_ROUTING SITEPROP IDELAY_X1Y43 NAME IDELAY_X1Y43 SITEPROP IDELAY_X1Y43 NUM_ARCS 6 SITEPROP IDELAY_X1Y43 NUM_BELS 1 SITEPROP IDELAY_X1Y43 NUM_INPUTS 17 SITEPROP IDELAY_X1Y43 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y43 NUM_PINS 23 SITEPROP IDELAY_X1Y43 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y43 PROHIBIT 0 SITEPROP IDELAY_X1Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y43 RPM_X 185 SITEPROP IDELAY_X1Y43 RPM_Y 87 SITEPROP IDELAY_X1Y43 SITE_PIPS SITEPROP IDELAY_X1Y43 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y44 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y44 CLASS site SITEPROP IDELAY_X1Y44 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y44 IS_BONDED 0 SITEPROP IDELAY_X1Y44 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y44 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y44 IS_PAD 0 SITEPROP IDELAY_X1Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y44 IS_RESERVED 0 SITEPROP IDELAY_X1Y44 IS_TEST 0 SITEPROP IDELAY_X1Y44 IS_USED 0 SITEPROP IDELAY_X1Y44 MANUAL_ROUTING SITEPROP IDELAY_X1Y44 NAME IDELAY_X1Y44 SITEPROP IDELAY_X1Y44 NUM_ARCS 6 SITEPROP IDELAY_X1Y44 NUM_BELS 1 SITEPROP IDELAY_X1Y44 NUM_INPUTS 17 SITEPROP IDELAY_X1Y44 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y44 NUM_PINS 23 SITEPROP IDELAY_X1Y44 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y44 PROHIBIT 0 SITEPROP IDELAY_X1Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y44 RPM_X 185 SITEPROP IDELAY_X1Y44 RPM_Y 86 SITEPROP IDELAY_X1Y44 SITE_PIPS SITEPROP IDELAY_X1Y44 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y45 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y45 CLASS site SITEPROP IDELAY_X1Y45 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y45 IS_BONDED 0 SITEPROP IDELAY_X1Y45 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y45 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y45 IS_PAD 0 SITEPROP IDELAY_X1Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y45 IS_RESERVED 0 SITEPROP IDELAY_X1Y45 IS_TEST 0 SITEPROP IDELAY_X1Y45 IS_USED 0 SITEPROP IDELAY_X1Y45 MANUAL_ROUTING SITEPROP IDELAY_X1Y45 NAME IDELAY_X1Y45 SITEPROP IDELAY_X1Y45 NUM_ARCS 6 SITEPROP IDELAY_X1Y45 NUM_BELS 1 SITEPROP IDELAY_X1Y45 NUM_INPUTS 17 SITEPROP IDELAY_X1Y45 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y45 NUM_PINS 23 SITEPROP IDELAY_X1Y45 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y45 PROHIBIT 0 SITEPROP IDELAY_X1Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y45 RPM_X 185 SITEPROP IDELAY_X1Y45 RPM_Y 91 SITEPROP IDELAY_X1Y45 SITE_PIPS SITEPROP IDELAY_X1Y45 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y46 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y46 CLASS site SITEPROP IDELAY_X1Y46 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y46 IS_BONDED 0 SITEPROP IDELAY_X1Y46 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y46 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y46 IS_PAD 0 SITEPROP IDELAY_X1Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y46 IS_RESERVED 0 SITEPROP IDELAY_X1Y46 IS_TEST 0 SITEPROP IDELAY_X1Y46 IS_USED 0 SITEPROP IDELAY_X1Y46 MANUAL_ROUTING SITEPROP IDELAY_X1Y46 NAME IDELAY_X1Y46 SITEPROP IDELAY_X1Y46 NUM_ARCS 6 SITEPROP IDELAY_X1Y46 NUM_BELS 1 SITEPROP IDELAY_X1Y46 NUM_INPUTS 17 SITEPROP IDELAY_X1Y46 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y46 NUM_PINS 23 SITEPROP IDELAY_X1Y46 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y46 PROHIBIT 0 SITEPROP IDELAY_X1Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y46 RPM_X 185 SITEPROP IDELAY_X1Y46 RPM_Y 90 SITEPROP IDELAY_X1Y46 SITE_PIPS SITEPROP IDELAY_X1Y46 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y47 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y47 CLASS site SITEPROP IDELAY_X1Y47 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y47 IS_BONDED 0 SITEPROP IDELAY_X1Y47 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y47 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y47 IS_PAD 0 SITEPROP IDELAY_X1Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y47 IS_RESERVED 0 SITEPROP IDELAY_X1Y47 IS_TEST 0 SITEPROP IDELAY_X1Y47 IS_USED 0 SITEPROP IDELAY_X1Y47 MANUAL_ROUTING SITEPROP IDELAY_X1Y47 NAME IDELAY_X1Y47 SITEPROP IDELAY_X1Y47 NUM_ARCS 6 SITEPROP IDELAY_X1Y47 NUM_BELS 1 SITEPROP IDELAY_X1Y47 NUM_INPUTS 17 SITEPROP IDELAY_X1Y47 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y47 NUM_PINS 23 SITEPROP IDELAY_X1Y47 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y47 PROHIBIT 0 SITEPROP IDELAY_X1Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y47 RPM_X 185 SITEPROP IDELAY_X1Y47 RPM_Y 95 SITEPROP IDELAY_X1Y47 SITE_PIPS SITEPROP IDELAY_X1Y47 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y48 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y48 CLASS site SITEPROP IDELAY_X1Y48 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y48 IS_BONDED 0 SITEPROP IDELAY_X1Y48 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y48 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y48 IS_PAD 0 SITEPROP IDELAY_X1Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y48 IS_RESERVED 0 SITEPROP IDELAY_X1Y48 IS_TEST 0 SITEPROP IDELAY_X1Y48 IS_USED 0 SITEPROP IDELAY_X1Y48 MANUAL_ROUTING SITEPROP IDELAY_X1Y48 NAME IDELAY_X1Y48 SITEPROP IDELAY_X1Y48 NUM_ARCS 6 SITEPROP IDELAY_X1Y48 NUM_BELS 1 SITEPROP IDELAY_X1Y48 NUM_INPUTS 17 SITEPROP IDELAY_X1Y48 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y48 NUM_PINS 23 SITEPROP IDELAY_X1Y48 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y48 PROHIBIT 0 SITEPROP IDELAY_X1Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y48 RPM_X 185 SITEPROP IDELAY_X1Y48 RPM_Y 94 SITEPROP IDELAY_X1Y48 SITE_PIPS SITEPROP IDELAY_X1Y48 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y49 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y49 CLASS site SITEPROP IDELAY_X1Y49 CLOCK_REGION X1Y0 SITEPROP IDELAY_X1Y49 IS_BONDED 0 SITEPROP IDELAY_X1Y49 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y49 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y49 IS_PAD 0 SITEPROP IDELAY_X1Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y49 IS_RESERVED 0 SITEPROP IDELAY_X1Y49 IS_TEST 0 SITEPROP IDELAY_X1Y49 IS_USED 0 SITEPROP IDELAY_X1Y49 MANUAL_ROUTING SITEPROP IDELAY_X1Y49 NAME IDELAY_X1Y49 SITEPROP IDELAY_X1Y49 NUM_ARCS 6 SITEPROP IDELAY_X1Y49 NUM_BELS 1 SITEPROP IDELAY_X1Y49 NUM_INPUTS 17 SITEPROP IDELAY_X1Y49 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y49 NUM_PINS 23 SITEPROP IDELAY_X1Y49 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y49 PROHIBIT 0 SITEPROP IDELAY_X1Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y49 RPM_X 185 SITEPROP IDELAY_X1Y49 RPM_Y 98 SITEPROP IDELAY_X1Y49 SITE_PIPS SITEPROP IDELAY_X1Y49 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y50 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y50 CLASS site SITEPROP IDELAY_X1Y50 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y50 IS_BONDED 0 SITEPROP IDELAY_X1Y50 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y50 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y50 IS_PAD 0 SITEPROP IDELAY_X1Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y50 IS_RESERVED 0 SITEPROP IDELAY_X1Y50 IS_TEST 0 SITEPROP IDELAY_X1Y50 IS_USED 0 SITEPROP IDELAY_X1Y50 MANUAL_ROUTING SITEPROP IDELAY_X1Y50 NAME IDELAY_X1Y50 SITEPROP IDELAY_X1Y50 NUM_ARCS 6 SITEPROP IDELAY_X1Y50 NUM_BELS 1 SITEPROP IDELAY_X1Y50 NUM_INPUTS 17 SITEPROP IDELAY_X1Y50 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y50 NUM_PINS 23 SITEPROP IDELAY_X1Y50 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y50 PROHIBIT 0 SITEPROP IDELAY_X1Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y50 RPM_X 185 SITEPROP IDELAY_X1Y50 RPM_Y 100 SITEPROP IDELAY_X1Y50 SITE_PIPS SITEPROP IDELAY_X1Y50 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y51 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y51 CLASS site SITEPROP IDELAY_X1Y51 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y51 IS_BONDED 0 SITEPROP IDELAY_X1Y51 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y51 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y51 IS_PAD 0 SITEPROP IDELAY_X1Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y51 IS_RESERVED 0 SITEPROP IDELAY_X1Y51 IS_TEST 0 SITEPROP IDELAY_X1Y51 IS_USED 0 SITEPROP IDELAY_X1Y51 MANUAL_ROUTING SITEPROP IDELAY_X1Y51 NAME IDELAY_X1Y51 SITEPROP IDELAY_X1Y51 NUM_ARCS 6 SITEPROP IDELAY_X1Y51 NUM_BELS 1 SITEPROP IDELAY_X1Y51 NUM_INPUTS 17 SITEPROP IDELAY_X1Y51 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y51 NUM_PINS 23 SITEPROP IDELAY_X1Y51 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y51 PROHIBIT 0 SITEPROP IDELAY_X1Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y51 RPM_X 185 SITEPROP IDELAY_X1Y51 RPM_Y 103 SITEPROP IDELAY_X1Y51 SITE_PIPS SITEPROP IDELAY_X1Y51 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y52 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y52 CLASS site SITEPROP IDELAY_X1Y52 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y52 IS_BONDED 0 SITEPROP IDELAY_X1Y52 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y52 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y52 IS_PAD 0 SITEPROP IDELAY_X1Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y52 IS_RESERVED 0 SITEPROP IDELAY_X1Y52 IS_TEST 0 SITEPROP IDELAY_X1Y52 IS_USED 0 SITEPROP IDELAY_X1Y52 MANUAL_ROUTING SITEPROP IDELAY_X1Y52 NAME IDELAY_X1Y52 SITEPROP IDELAY_X1Y52 NUM_ARCS 6 SITEPROP IDELAY_X1Y52 NUM_BELS 1 SITEPROP IDELAY_X1Y52 NUM_INPUTS 17 SITEPROP IDELAY_X1Y52 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y52 NUM_PINS 23 SITEPROP IDELAY_X1Y52 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y52 PROHIBIT 0 SITEPROP IDELAY_X1Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y52 RPM_X 185 SITEPROP IDELAY_X1Y52 RPM_Y 102 SITEPROP IDELAY_X1Y52 SITE_PIPS SITEPROP IDELAY_X1Y52 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y53 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y53 CLASS site SITEPROP IDELAY_X1Y53 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y53 IS_BONDED 0 SITEPROP IDELAY_X1Y53 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y53 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y53 IS_PAD 0 SITEPROP IDELAY_X1Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y53 IS_RESERVED 0 SITEPROP IDELAY_X1Y53 IS_TEST 0 SITEPROP IDELAY_X1Y53 IS_USED 0 SITEPROP IDELAY_X1Y53 MANUAL_ROUTING SITEPROP IDELAY_X1Y53 NAME IDELAY_X1Y53 SITEPROP IDELAY_X1Y53 NUM_ARCS 6 SITEPROP IDELAY_X1Y53 NUM_BELS 1 SITEPROP IDELAY_X1Y53 NUM_INPUTS 17 SITEPROP IDELAY_X1Y53 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y53 NUM_PINS 23 SITEPROP IDELAY_X1Y53 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y53 PROHIBIT 0 SITEPROP IDELAY_X1Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y53 RPM_X 185 SITEPROP IDELAY_X1Y53 RPM_Y 107 SITEPROP IDELAY_X1Y53 SITE_PIPS SITEPROP IDELAY_X1Y53 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y54 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y54 CLASS site SITEPROP IDELAY_X1Y54 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y54 IS_BONDED 0 SITEPROP IDELAY_X1Y54 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y54 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y54 IS_PAD 0 SITEPROP IDELAY_X1Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y54 IS_RESERVED 0 SITEPROP IDELAY_X1Y54 IS_TEST 0 SITEPROP IDELAY_X1Y54 IS_USED 0 SITEPROP IDELAY_X1Y54 MANUAL_ROUTING SITEPROP IDELAY_X1Y54 NAME IDELAY_X1Y54 SITEPROP IDELAY_X1Y54 NUM_ARCS 6 SITEPROP IDELAY_X1Y54 NUM_BELS 1 SITEPROP IDELAY_X1Y54 NUM_INPUTS 17 SITEPROP IDELAY_X1Y54 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y54 NUM_PINS 23 SITEPROP IDELAY_X1Y54 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y54 PROHIBIT 0 SITEPROP IDELAY_X1Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y54 RPM_X 185 SITEPROP IDELAY_X1Y54 RPM_Y 106 SITEPROP IDELAY_X1Y54 SITE_PIPS SITEPROP IDELAY_X1Y54 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y55 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y55 CLASS site SITEPROP IDELAY_X1Y55 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y55 IS_BONDED 0 SITEPROP IDELAY_X1Y55 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y55 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y55 IS_PAD 0 SITEPROP IDELAY_X1Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y55 IS_RESERVED 0 SITEPROP IDELAY_X1Y55 IS_TEST 0 SITEPROP IDELAY_X1Y55 IS_USED 0 SITEPROP IDELAY_X1Y55 MANUAL_ROUTING SITEPROP IDELAY_X1Y55 NAME IDELAY_X1Y55 SITEPROP IDELAY_X1Y55 NUM_ARCS 6 SITEPROP IDELAY_X1Y55 NUM_BELS 1 SITEPROP IDELAY_X1Y55 NUM_INPUTS 17 SITEPROP IDELAY_X1Y55 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y55 NUM_PINS 23 SITEPROP IDELAY_X1Y55 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y55 PROHIBIT 0 SITEPROP IDELAY_X1Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y55 RPM_X 185 SITEPROP IDELAY_X1Y55 RPM_Y 111 SITEPROP IDELAY_X1Y55 SITE_PIPS SITEPROP IDELAY_X1Y55 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y56 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y56 CLASS site SITEPROP IDELAY_X1Y56 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y56 IS_BONDED 0 SITEPROP IDELAY_X1Y56 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y56 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y56 IS_PAD 0 SITEPROP IDELAY_X1Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y56 IS_RESERVED 0 SITEPROP IDELAY_X1Y56 IS_TEST 0 SITEPROP IDELAY_X1Y56 IS_USED 0 SITEPROP IDELAY_X1Y56 MANUAL_ROUTING SITEPROP IDELAY_X1Y56 NAME IDELAY_X1Y56 SITEPROP IDELAY_X1Y56 NUM_ARCS 6 SITEPROP IDELAY_X1Y56 NUM_BELS 1 SITEPROP IDELAY_X1Y56 NUM_INPUTS 17 SITEPROP IDELAY_X1Y56 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y56 NUM_PINS 23 SITEPROP IDELAY_X1Y56 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y56 PROHIBIT 0 SITEPROP IDELAY_X1Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y56 RPM_X 185 SITEPROP IDELAY_X1Y56 RPM_Y 110 SITEPROP IDELAY_X1Y56 SITE_PIPS SITEPROP IDELAY_X1Y56 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y57 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y57 CLASS site SITEPROP IDELAY_X1Y57 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y57 IS_BONDED 0 SITEPROP IDELAY_X1Y57 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y57 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y57 IS_PAD 0 SITEPROP IDELAY_X1Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y57 IS_RESERVED 0 SITEPROP IDELAY_X1Y57 IS_TEST 0 SITEPROP IDELAY_X1Y57 IS_USED 0 SITEPROP IDELAY_X1Y57 MANUAL_ROUTING SITEPROP IDELAY_X1Y57 NAME IDELAY_X1Y57 SITEPROP IDELAY_X1Y57 NUM_ARCS 6 SITEPROP IDELAY_X1Y57 NUM_BELS 1 SITEPROP IDELAY_X1Y57 NUM_INPUTS 17 SITEPROP IDELAY_X1Y57 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y57 NUM_PINS 23 SITEPROP IDELAY_X1Y57 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y57 PROHIBIT 0 SITEPROP IDELAY_X1Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y57 RPM_X 185 SITEPROP IDELAY_X1Y57 RPM_Y 115 SITEPROP IDELAY_X1Y57 SITE_PIPS SITEPROP IDELAY_X1Y57 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y58 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y58 CLASS site SITEPROP IDELAY_X1Y58 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y58 IS_BONDED 0 SITEPROP IDELAY_X1Y58 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y58 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y58 IS_PAD 0 SITEPROP IDELAY_X1Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y58 IS_RESERVED 0 SITEPROP IDELAY_X1Y58 IS_TEST 0 SITEPROP IDELAY_X1Y58 IS_USED 0 SITEPROP IDELAY_X1Y58 MANUAL_ROUTING SITEPROP IDELAY_X1Y58 NAME IDELAY_X1Y58 SITEPROP IDELAY_X1Y58 NUM_ARCS 6 SITEPROP IDELAY_X1Y58 NUM_BELS 1 SITEPROP IDELAY_X1Y58 NUM_INPUTS 17 SITEPROP IDELAY_X1Y58 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y58 NUM_PINS 23 SITEPROP IDELAY_X1Y58 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y58 PROHIBIT 0 SITEPROP IDELAY_X1Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y58 RPM_X 185 SITEPROP IDELAY_X1Y58 RPM_Y 114 SITEPROP IDELAY_X1Y58 SITE_PIPS SITEPROP IDELAY_X1Y58 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y59 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y59 CLASS site SITEPROP IDELAY_X1Y59 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y59 IS_BONDED 0 SITEPROP IDELAY_X1Y59 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y59 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y59 IS_PAD 0 SITEPROP IDELAY_X1Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y59 IS_RESERVED 0 SITEPROP IDELAY_X1Y59 IS_TEST 0 SITEPROP IDELAY_X1Y59 IS_USED 0 SITEPROP IDELAY_X1Y59 MANUAL_ROUTING SITEPROP IDELAY_X1Y59 NAME IDELAY_X1Y59 SITEPROP IDELAY_X1Y59 NUM_ARCS 6 SITEPROP IDELAY_X1Y59 NUM_BELS 1 SITEPROP IDELAY_X1Y59 NUM_INPUTS 17 SITEPROP IDELAY_X1Y59 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y59 NUM_PINS 23 SITEPROP IDELAY_X1Y59 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y59 PROHIBIT 0 SITEPROP IDELAY_X1Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y59 RPM_X 185 SITEPROP IDELAY_X1Y59 RPM_Y 119 SITEPROP IDELAY_X1Y59 SITE_PIPS SITEPROP IDELAY_X1Y59 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y60 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y60 CLASS site SITEPROP IDELAY_X1Y60 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y60 IS_BONDED 0 SITEPROP IDELAY_X1Y60 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y60 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y60 IS_PAD 0 SITEPROP IDELAY_X1Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y60 IS_RESERVED 0 SITEPROP IDELAY_X1Y60 IS_TEST 0 SITEPROP IDELAY_X1Y60 IS_USED 0 SITEPROP IDELAY_X1Y60 MANUAL_ROUTING SITEPROP IDELAY_X1Y60 NAME IDELAY_X1Y60 SITEPROP IDELAY_X1Y60 NUM_ARCS 6 SITEPROP IDELAY_X1Y60 NUM_BELS 1 SITEPROP IDELAY_X1Y60 NUM_INPUTS 17 SITEPROP IDELAY_X1Y60 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y60 NUM_PINS 23 SITEPROP IDELAY_X1Y60 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y60 PROHIBIT 0 SITEPROP IDELAY_X1Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y60 RPM_X 185 SITEPROP IDELAY_X1Y60 RPM_Y 118 SITEPROP IDELAY_X1Y60 SITE_PIPS SITEPROP IDELAY_X1Y60 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y61 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y61 CLASS site SITEPROP IDELAY_X1Y61 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y61 IS_BONDED 0 SITEPROP IDELAY_X1Y61 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y61 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y61 IS_PAD 0 SITEPROP IDELAY_X1Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y61 IS_RESERVED 0 SITEPROP IDELAY_X1Y61 IS_TEST 0 SITEPROP IDELAY_X1Y61 IS_USED 0 SITEPROP IDELAY_X1Y61 MANUAL_ROUTING SITEPROP IDELAY_X1Y61 NAME IDELAY_X1Y61 SITEPROP IDELAY_X1Y61 NUM_ARCS 6 SITEPROP IDELAY_X1Y61 NUM_BELS 1 SITEPROP IDELAY_X1Y61 NUM_INPUTS 17 SITEPROP IDELAY_X1Y61 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y61 NUM_PINS 23 SITEPROP IDELAY_X1Y61 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y61 PROHIBIT 0 SITEPROP IDELAY_X1Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y61 RPM_X 185 SITEPROP IDELAY_X1Y61 RPM_Y 123 SITEPROP IDELAY_X1Y61 SITE_PIPS SITEPROP IDELAY_X1Y61 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y62 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y62 CLASS site SITEPROP IDELAY_X1Y62 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y62 IS_BONDED 0 SITEPROP IDELAY_X1Y62 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y62 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y62 IS_PAD 0 SITEPROP IDELAY_X1Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y62 IS_RESERVED 0 SITEPROP IDELAY_X1Y62 IS_TEST 0 SITEPROP IDELAY_X1Y62 IS_USED 0 SITEPROP IDELAY_X1Y62 MANUAL_ROUTING SITEPROP IDELAY_X1Y62 NAME IDELAY_X1Y62 SITEPROP IDELAY_X1Y62 NUM_ARCS 6 SITEPROP IDELAY_X1Y62 NUM_BELS 1 SITEPROP IDELAY_X1Y62 NUM_INPUTS 17 SITEPROP IDELAY_X1Y62 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y62 NUM_PINS 23 SITEPROP IDELAY_X1Y62 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y62 PROHIBIT 0 SITEPROP IDELAY_X1Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y62 RPM_X 185 SITEPROP IDELAY_X1Y62 RPM_Y 122 SITEPROP IDELAY_X1Y62 SITE_PIPS SITEPROP IDELAY_X1Y62 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y63 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y63 CLASS site SITEPROP IDELAY_X1Y63 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y63 IS_BONDED 0 SITEPROP IDELAY_X1Y63 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y63 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y63 IS_PAD 0 SITEPROP IDELAY_X1Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y63 IS_RESERVED 0 SITEPROP IDELAY_X1Y63 IS_TEST 0 SITEPROP IDELAY_X1Y63 IS_USED 0 SITEPROP IDELAY_X1Y63 MANUAL_ROUTING SITEPROP IDELAY_X1Y63 NAME IDELAY_X1Y63 SITEPROP IDELAY_X1Y63 NUM_ARCS 6 SITEPROP IDELAY_X1Y63 NUM_BELS 1 SITEPROP IDELAY_X1Y63 NUM_INPUTS 17 SITEPROP IDELAY_X1Y63 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y63 NUM_PINS 23 SITEPROP IDELAY_X1Y63 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y63 PROHIBIT 0 SITEPROP IDELAY_X1Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y63 RPM_X 185 SITEPROP IDELAY_X1Y63 RPM_Y 127 SITEPROP IDELAY_X1Y63 SITE_PIPS SITEPROP IDELAY_X1Y63 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y64 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y64 CLASS site SITEPROP IDELAY_X1Y64 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y64 IS_BONDED 0 SITEPROP IDELAY_X1Y64 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y64 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y64 IS_PAD 0 SITEPROP IDELAY_X1Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y64 IS_RESERVED 0 SITEPROP IDELAY_X1Y64 IS_TEST 0 SITEPROP IDELAY_X1Y64 IS_USED 0 SITEPROP IDELAY_X1Y64 MANUAL_ROUTING SITEPROP IDELAY_X1Y64 NAME IDELAY_X1Y64 SITEPROP IDELAY_X1Y64 NUM_ARCS 6 SITEPROP IDELAY_X1Y64 NUM_BELS 1 SITEPROP IDELAY_X1Y64 NUM_INPUTS 17 SITEPROP IDELAY_X1Y64 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y64 NUM_PINS 23 SITEPROP IDELAY_X1Y64 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y64 PROHIBIT 0 SITEPROP IDELAY_X1Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y64 RPM_X 185 SITEPROP IDELAY_X1Y64 RPM_Y 126 SITEPROP IDELAY_X1Y64 SITE_PIPS SITEPROP IDELAY_X1Y64 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y65 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y65 CLASS site SITEPROP IDELAY_X1Y65 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y65 IS_BONDED 0 SITEPROP IDELAY_X1Y65 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y65 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y65 IS_PAD 0 SITEPROP IDELAY_X1Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y65 IS_RESERVED 0 SITEPROP IDELAY_X1Y65 IS_TEST 0 SITEPROP IDELAY_X1Y65 IS_USED 0 SITEPROP IDELAY_X1Y65 MANUAL_ROUTING SITEPROP IDELAY_X1Y65 NAME IDELAY_X1Y65 SITEPROP IDELAY_X1Y65 NUM_ARCS 6 SITEPROP IDELAY_X1Y65 NUM_BELS 1 SITEPROP IDELAY_X1Y65 NUM_INPUTS 17 SITEPROP IDELAY_X1Y65 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y65 NUM_PINS 23 SITEPROP IDELAY_X1Y65 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y65 PROHIBIT 0 SITEPROP IDELAY_X1Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y65 RPM_X 185 SITEPROP IDELAY_X1Y65 RPM_Y 131 SITEPROP IDELAY_X1Y65 SITE_PIPS SITEPROP IDELAY_X1Y65 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y66 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y66 CLASS site SITEPROP IDELAY_X1Y66 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y66 IS_BONDED 0 SITEPROP IDELAY_X1Y66 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y66 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y66 IS_PAD 0 SITEPROP IDELAY_X1Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y66 IS_RESERVED 0 SITEPROP IDELAY_X1Y66 IS_TEST 0 SITEPROP IDELAY_X1Y66 IS_USED 0 SITEPROP IDELAY_X1Y66 MANUAL_ROUTING SITEPROP IDELAY_X1Y66 NAME IDELAY_X1Y66 SITEPROP IDELAY_X1Y66 NUM_ARCS 6 SITEPROP IDELAY_X1Y66 NUM_BELS 1 SITEPROP IDELAY_X1Y66 NUM_INPUTS 17 SITEPROP IDELAY_X1Y66 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y66 NUM_PINS 23 SITEPROP IDELAY_X1Y66 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y66 PROHIBIT 0 SITEPROP IDELAY_X1Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y66 RPM_X 185 SITEPROP IDELAY_X1Y66 RPM_Y 130 SITEPROP IDELAY_X1Y66 SITE_PIPS SITEPROP IDELAY_X1Y66 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y67 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y67 CLASS site SITEPROP IDELAY_X1Y67 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y67 IS_BONDED 0 SITEPROP IDELAY_X1Y67 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y67 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y67 IS_PAD 0 SITEPROP IDELAY_X1Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y67 IS_RESERVED 0 SITEPROP IDELAY_X1Y67 IS_TEST 0 SITEPROP IDELAY_X1Y67 IS_USED 0 SITEPROP IDELAY_X1Y67 MANUAL_ROUTING SITEPROP IDELAY_X1Y67 NAME IDELAY_X1Y67 SITEPROP IDELAY_X1Y67 NUM_ARCS 6 SITEPROP IDELAY_X1Y67 NUM_BELS 1 SITEPROP IDELAY_X1Y67 NUM_INPUTS 17 SITEPROP IDELAY_X1Y67 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y67 NUM_PINS 23 SITEPROP IDELAY_X1Y67 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y67 PROHIBIT 0 SITEPROP IDELAY_X1Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y67 RPM_X 185 SITEPROP IDELAY_X1Y67 RPM_Y 135 SITEPROP IDELAY_X1Y67 SITE_PIPS SITEPROP IDELAY_X1Y67 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y68 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y68 CLASS site SITEPROP IDELAY_X1Y68 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y68 IS_BONDED 0 SITEPROP IDELAY_X1Y68 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y68 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y68 IS_PAD 0 SITEPROP IDELAY_X1Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y68 IS_RESERVED 0 SITEPROP IDELAY_X1Y68 IS_TEST 0 SITEPROP IDELAY_X1Y68 IS_USED 0 SITEPROP IDELAY_X1Y68 MANUAL_ROUTING SITEPROP IDELAY_X1Y68 NAME IDELAY_X1Y68 SITEPROP IDELAY_X1Y68 NUM_ARCS 6 SITEPROP IDELAY_X1Y68 NUM_BELS 1 SITEPROP IDELAY_X1Y68 NUM_INPUTS 17 SITEPROP IDELAY_X1Y68 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y68 NUM_PINS 23 SITEPROP IDELAY_X1Y68 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y68 PROHIBIT 0 SITEPROP IDELAY_X1Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y68 RPM_X 185 SITEPROP IDELAY_X1Y68 RPM_Y 134 SITEPROP IDELAY_X1Y68 SITE_PIPS SITEPROP IDELAY_X1Y68 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y69 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y69 CLASS site SITEPROP IDELAY_X1Y69 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y69 IS_BONDED 0 SITEPROP IDELAY_X1Y69 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y69 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y69 IS_PAD 0 SITEPROP IDELAY_X1Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y69 IS_RESERVED 0 SITEPROP IDELAY_X1Y69 IS_TEST 0 SITEPROP IDELAY_X1Y69 IS_USED 0 SITEPROP IDELAY_X1Y69 MANUAL_ROUTING SITEPROP IDELAY_X1Y69 NAME IDELAY_X1Y69 SITEPROP IDELAY_X1Y69 NUM_ARCS 6 SITEPROP IDELAY_X1Y69 NUM_BELS 1 SITEPROP IDELAY_X1Y69 NUM_INPUTS 17 SITEPROP IDELAY_X1Y69 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y69 NUM_PINS 23 SITEPROP IDELAY_X1Y69 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y69 PROHIBIT 0 SITEPROP IDELAY_X1Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y69 RPM_X 185 SITEPROP IDELAY_X1Y69 RPM_Y 139 SITEPROP IDELAY_X1Y69 SITE_PIPS SITEPROP IDELAY_X1Y69 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y70 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y70 CLASS site SITEPROP IDELAY_X1Y70 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y70 IS_BONDED 0 SITEPROP IDELAY_X1Y70 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y70 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y70 IS_PAD 0 SITEPROP IDELAY_X1Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y70 IS_RESERVED 0 SITEPROP IDELAY_X1Y70 IS_TEST 0 SITEPROP IDELAY_X1Y70 IS_USED 0 SITEPROP IDELAY_X1Y70 MANUAL_ROUTING SITEPROP IDELAY_X1Y70 NAME IDELAY_X1Y70 SITEPROP IDELAY_X1Y70 NUM_ARCS 6 SITEPROP IDELAY_X1Y70 NUM_BELS 1 SITEPROP IDELAY_X1Y70 NUM_INPUTS 17 SITEPROP IDELAY_X1Y70 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y70 NUM_PINS 23 SITEPROP IDELAY_X1Y70 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y70 PROHIBIT 0 SITEPROP IDELAY_X1Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y70 RPM_X 185 SITEPROP IDELAY_X1Y70 RPM_Y 138 SITEPROP IDELAY_X1Y70 SITE_PIPS SITEPROP IDELAY_X1Y70 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y71 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y71 CLASS site SITEPROP IDELAY_X1Y71 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y71 IS_BONDED 0 SITEPROP IDELAY_X1Y71 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y71 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y71 IS_PAD 0 SITEPROP IDELAY_X1Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y71 IS_RESERVED 0 SITEPROP IDELAY_X1Y71 IS_TEST 0 SITEPROP IDELAY_X1Y71 IS_USED 0 SITEPROP IDELAY_X1Y71 MANUAL_ROUTING SITEPROP IDELAY_X1Y71 NAME IDELAY_X1Y71 SITEPROP IDELAY_X1Y71 NUM_ARCS 6 SITEPROP IDELAY_X1Y71 NUM_BELS 1 SITEPROP IDELAY_X1Y71 NUM_INPUTS 17 SITEPROP IDELAY_X1Y71 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y71 NUM_PINS 23 SITEPROP IDELAY_X1Y71 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y71 PROHIBIT 0 SITEPROP IDELAY_X1Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y71 RPM_X 185 SITEPROP IDELAY_X1Y71 RPM_Y 143 SITEPROP IDELAY_X1Y71 SITE_PIPS SITEPROP IDELAY_X1Y71 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y72 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y72 CLASS site SITEPROP IDELAY_X1Y72 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y72 IS_BONDED 0 SITEPROP IDELAY_X1Y72 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y72 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y72 IS_PAD 0 SITEPROP IDELAY_X1Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y72 IS_RESERVED 0 SITEPROP IDELAY_X1Y72 IS_TEST 0 SITEPROP IDELAY_X1Y72 IS_USED 0 SITEPROP IDELAY_X1Y72 MANUAL_ROUTING SITEPROP IDELAY_X1Y72 NAME IDELAY_X1Y72 SITEPROP IDELAY_X1Y72 NUM_ARCS 6 SITEPROP IDELAY_X1Y72 NUM_BELS 1 SITEPROP IDELAY_X1Y72 NUM_INPUTS 17 SITEPROP IDELAY_X1Y72 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y72 NUM_PINS 23 SITEPROP IDELAY_X1Y72 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y72 PROHIBIT 0 SITEPROP IDELAY_X1Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y72 RPM_X 185 SITEPROP IDELAY_X1Y72 RPM_Y 142 SITEPROP IDELAY_X1Y72 SITE_PIPS SITEPROP IDELAY_X1Y72 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y73 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y73 CLASS site SITEPROP IDELAY_X1Y73 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y73 IS_BONDED 0 SITEPROP IDELAY_X1Y73 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y73 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y73 IS_PAD 0 SITEPROP IDELAY_X1Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y73 IS_RESERVED 0 SITEPROP IDELAY_X1Y73 IS_TEST 0 SITEPROP IDELAY_X1Y73 IS_USED 0 SITEPROP IDELAY_X1Y73 MANUAL_ROUTING SITEPROP IDELAY_X1Y73 NAME IDELAY_X1Y73 SITEPROP IDELAY_X1Y73 NUM_ARCS 6 SITEPROP IDELAY_X1Y73 NUM_BELS 1 SITEPROP IDELAY_X1Y73 NUM_INPUTS 17 SITEPROP IDELAY_X1Y73 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y73 NUM_PINS 23 SITEPROP IDELAY_X1Y73 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y73 PROHIBIT 0 SITEPROP IDELAY_X1Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y73 RPM_X 185 SITEPROP IDELAY_X1Y73 RPM_Y 147 SITEPROP IDELAY_X1Y73 SITE_PIPS SITEPROP IDELAY_X1Y73 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y74 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y74 CLASS site SITEPROP IDELAY_X1Y74 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y74 IS_BONDED 0 SITEPROP IDELAY_X1Y74 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y74 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y74 IS_PAD 0 SITEPROP IDELAY_X1Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y74 IS_RESERVED 0 SITEPROP IDELAY_X1Y74 IS_TEST 0 SITEPROP IDELAY_X1Y74 IS_USED 0 SITEPROP IDELAY_X1Y74 MANUAL_ROUTING SITEPROP IDELAY_X1Y74 NAME IDELAY_X1Y74 SITEPROP IDELAY_X1Y74 NUM_ARCS 6 SITEPROP IDELAY_X1Y74 NUM_BELS 1 SITEPROP IDELAY_X1Y74 NUM_INPUTS 17 SITEPROP IDELAY_X1Y74 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y74 NUM_PINS 23 SITEPROP IDELAY_X1Y74 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y74 PROHIBIT 0 SITEPROP IDELAY_X1Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y74 RPM_X 185 SITEPROP IDELAY_X1Y74 RPM_Y 146 SITEPROP IDELAY_X1Y74 SITE_PIPS SITEPROP IDELAY_X1Y74 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y75 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y75 CLASS site SITEPROP IDELAY_X1Y75 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y75 IS_BONDED 0 SITEPROP IDELAY_X1Y75 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y75 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y75 IS_PAD 0 SITEPROP IDELAY_X1Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y75 IS_RESERVED 0 SITEPROP IDELAY_X1Y75 IS_TEST 0 SITEPROP IDELAY_X1Y75 IS_USED 0 SITEPROP IDELAY_X1Y75 MANUAL_ROUTING SITEPROP IDELAY_X1Y75 NAME IDELAY_X1Y75 SITEPROP IDELAY_X1Y75 NUM_ARCS 6 SITEPROP IDELAY_X1Y75 NUM_BELS 1 SITEPROP IDELAY_X1Y75 NUM_INPUTS 17 SITEPROP IDELAY_X1Y75 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y75 NUM_PINS 23 SITEPROP IDELAY_X1Y75 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y75 PROHIBIT 0 SITEPROP IDELAY_X1Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y75 RPM_X 185 SITEPROP IDELAY_X1Y75 RPM_Y 151 SITEPROP IDELAY_X1Y75 SITE_PIPS SITEPROP IDELAY_X1Y75 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y76 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y76 CLASS site SITEPROP IDELAY_X1Y76 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y76 IS_BONDED 0 SITEPROP IDELAY_X1Y76 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y76 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y76 IS_PAD 0 SITEPROP IDELAY_X1Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y76 IS_RESERVED 0 SITEPROP IDELAY_X1Y76 IS_TEST 0 SITEPROP IDELAY_X1Y76 IS_USED 0 SITEPROP IDELAY_X1Y76 MANUAL_ROUTING SITEPROP IDELAY_X1Y76 NAME IDELAY_X1Y76 SITEPROP IDELAY_X1Y76 NUM_ARCS 6 SITEPROP IDELAY_X1Y76 NUM_BELS 1 SITEPROP IDELAY_X1Y76 NUM_INPUTS 17 SITEPROP IDELAY_X1Y76 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y76 NUM_PINS 23 SITEPROP IDELAY_X1Y76 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y76 PROHIBIT 0 SITEPROP IDELAY_X1Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y76 RPM_X 185 SITEPROP IDELAY_X1Y76 RPM_Y 150 SITEPROP IDELAY_X1Y76 SITE_PIPS SITEPROP IDELAY_X1Y76 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y77 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y77 CLASS site SITEPROP IDELAY_X1Y77 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y77 IS_BONDED 0 SITEPROP IDELAY_X1Y77 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y77 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y77 IS_PAD 0 SITEPROP IDELAY_X1Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y77 IS_RESERVED 0 SITEPROP IDELAY_X1Y77 IS_TEST 0 SITEPROP IDELAY_X1Y77 IS_USED 0 SITEPROP IDELAY_X1Y77 MANUAL_ROUTING SITEPROP IDELAY_X1Y77 NAME IDELAY_X1Y77 SITEPROP IDELAY_X1Y77 NUM_ARCS 6 SITEPROP IDELAY_X1Y77 NUM_BELS 1 SITEPROP IDELAY_X1Y77 NUM_INPUTS 17 SITEPROP IDELAY_X1Y77 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y77 NUM_PINS 23 SITEPROP IDELAY_X1Y77 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y77 PROHIBIT 0 SITEPROP IDELAY_X1Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y77 RPM_X 185 SITEPROP IDELAY_X1Y77 RPM_Y 155 SITEPROP IDELAY_X1Y77 SITE_PIPS SITEPROP IDELAY_X1Y77 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y78 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y78 CLASS site SITEPROP IDELAY_X1Y78 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y78 IS_BONDED 0 SITEPROP IDELAY_X1Y78 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y78 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y78 IS_PAD 0 SITEPROP IDELAY_X1Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y78 IS_RESERVED 0 SITEPROP IDELAY_X1Y78 IS_TEST 0 SITEPROP IDELAY_X1Y78 IS_USED 0 SITEPROP IDELAY_X1Y78 MANUAL_ROUTING SITEPROP IDELAY_X1Y78 NAME IDELAY_X1Y78 SITEPROP IDELAY_X1Y78 NUM_ARCS 6 SITEPROP IDELAY_X1Y78 NUM_BELS 1 SITEPROP IDELAY_X1Y78 NUM_INPUTS 17 SITEPROP IDELAY_X1Y78 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y78 NUM_PINS 23 SITEPROP IDELAY_X1Y78 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y78 PROHIBIT 0 SITEPROP IDELAY_X1Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y78 RPM_X 185 SITEPROP IDELAY_X1Y78 RPM_Y 154 SITEPROP IDELAY_X1Y78 SITE_PIPS SITEPROP IDELAY_X1Y78 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y79 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y79 CLASS site SITEPROP IDELAY_X1Y79 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y79 IS_BONDED 0 SITEPROP IDELAY_X1Y79 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y79 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y79 IS_PAD 0 SITEPROP IDELAY_X1Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y79 IS_RESERVED 0 SITEPROP IDELAY_X1Y79 IS_TEST 0 SITEPROP IDELAY_X1Y79 IS_USED 0 SITEPROP IDELAY_X1Y79 MANUAL_ROUTING SITEPROP IDELAY_X1Y79 NAME IDELAY_X1Y79 SITEPROP IDELAY_X1Y79 NUM_ARCS 6 SITEPROP IDELAY_X1Y79 NUM_BELS 1 SITEPROP IDELAY_X1Y79 NUM_INPUTS 17 SITEPROP IDELAY_X1Y79 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y79 NUM_PINS 23 SITEPROP IDELAY_X1Y79 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y79 PROHIBIT 0 SITEPROP IDELAY_X1Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y79 RPM_X 185 SITEPROP IDELAY_X1Y79 RPM_Y 159 SITEPROP IDELAY_X1Y79 SITE_PIPS SITEPROP IDELAY_X1Y79 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y80 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y80 CLASS site SITEPROP IDELAY_X1Y80 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y80 IS_BONDED 0 SITEPROP IDELAY_X1Y80 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y80 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y80 IS_PAD 0 SITEPROP IDELAY_X1Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y80 IS_RESERVED 0 SITEPROP IDELAY_X1Y80 IS_TEST 0 SITEPROP IDELAY_X1Y80 IS_USED 0 SITEPROP IDELAY_X1Y80 MANUAL_ROUTING SITEPROP IDELAY_X1Y80 NAME IDELAY_X1Y80 SITEPROP IDELAY_X1Y80 NUM_ARCS 6 SITEPROP IDELAY_X1Y80 NUM_BELS 1 SITEPROP IDELAY_X1Y80 NUM_INPUTS 17 SITEPROP IDELAY_X1Y80 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y80 NUM_PINS 23 SITEPROP IDELAY_X1Y80 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y80 PROHIBIT 0 SITEPROP IDELAY_X1Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y80 RPM_X 185 SITEPROP IDELAY_X1Y80 RPM_Y 158 SITEPROP IDELAY_X1Y80 SITE_PIPS SITEPROP IDELAY_X1Y80 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y81 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y81 CLASS site SITEPROP IDELAY_X1Y81 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y81 IS_BONDED 0 SITEPROP IDELAY_X1Y81 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y81 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y81 IS_PAD 0 SITEPROP IDELAY_X1Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y81 IS_RESERVED 0 SITEPROP IDELAY_X1Y81 IS_TEST 0 SITEPROP IDELAY_X1Y81 IS_USED 0 SITEPROP IDELAY_X1Y81 MANUAL_ROUTING SITEPROP IDELAY_X1Y81 NAME IDELAY_X1Y81 SITEPROP IDELAY_X1Y81 NUM_ARCS 6 SITEPROP IDELAY_X1Y81 NUM_BELS 1 SITEPROP IDELAY_X1Y81 NUM_INPUTS 17 SITEPROP IDELAY_X1Y81 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y81 NUM_PINS 23 SITEPROP IDELAY_X1Y81 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y81 PROHIBIT 0 SITEPROP IDELAY_X1Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y81 RPM_X 185 SITEPROP IDELAY_X1Y81 RPM_Y 163 SITEPROP IDELAY_X1Y81 SITE_PIPS SITEPROP IDELAY_X1Y81 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y82 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y82 CLASS site SITEPROP IDELAY_X1Y82 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y82 IS_BONDED 0 SITEPROP IDELAY_X1Y82 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y82 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y82 IS_PAD 0 SITEPROP IDELAY_X1Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y82 IS_RESERVED 0 SITEPROP IDELAY_X1Y82 IS_TEST 0 SITEPROP IDELAY_X1Y82 IS_USED 0 SITEPROP IDELAY_X1Y82 MANUAL_ROUTING SITEPROP IDELAY_X1Y82 NAME IDELAY_X1Y82 SITEPROP IDELAY_X1Y82 NUM_ARCS 6 SITEPROP IDELAY_X1Y82 NUM_BELS 1 SITEPROP IDELAY_X1Y82 NUM_INPUTS 17 SITEPROP IDELAY_X1Y82 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y82 NUM_PINS 23 SITEPROP IDELAY_X1Y82 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y82 PROHIBIT 0 SITEPROP IDELAY_X1Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y82 RPM_X 185 SITEPROP IDELAY_X1Y82 RPM_Y 162 SITEPROP IDELAY_X1Y82 SITE_PIPS SITEPROP IDELAY_X1Y82 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y83 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y83 CLASS site SITEPROP IDELAY_X1Y83 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y83 IS_BONDED 0 SITEPROP IDELAY_X1Y83 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y83 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y83 IS_PAD 0 SITEPROP IDELAY_X1Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y83 IS_RESERVED 0 SITEPROP IDELAY_X1Y83 IS_TEST 0 SITEPROP IDELAY_X1Y83 IS_USED 0 SITEPROP IDELAY_X1Y83 MANUAL_ROUTING SITEPROP IDELAY_X1Y83 NAME IDELAY_X1Y83 SITEPROP IDELAY_X1Y83 NUM_ARCS 6 SITEPROP IDELAY_X1Y83 NUM_BELS 1 SITEPROP IDELAY_X1Y83 NUM_INPUTS 17 SITEPROP IDELAY_X1Y83 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y83 NUM_PINS 23 SITEPROP IDELAY_X1Y83 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y83 PROHIBIT 0 SITEPROP IDELAY_X1Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y83 RPM_X 185 SITEPROP IDELAY_X1Y83 RPM_Y 167 SITEPROP IDELAY_X1Y83 SITE_PIPS SITEPROP IDELAY_X1Y83 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y84 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y84 CLASS site SITEPROP IDELAY_X1Y84 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y84 IS_BONDED 0 SITEPROP IDELAY_X1Y84 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y84 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y84 IS_PAD 0 SITEPROP IDELAY_X1Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y84 IS_RESERVED 0 SITEPROP IDELAY_X1Y84 IS_TEST 0 SITEPROP IDELAY_X1Y84 IS_USED 0 SITEPROP IDELAY_X1Y84 MANUAL_ROUTING SITEPROP IDELAY_X1Y84 NAME IDELAY_X1Y84 SITEPROP IDELAY_X1Y84 NUM_ARCS 6 SITEPROP IDELAY_X1Y84 NUM_BELS 1 SITEPROP IDELAY_X1Y84 NUM_INPUTS 17 SITEPROP IDELAY_X1Y84 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y84 NUM_PINS 23 SITEPROP IDELAY_X1Y84 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y84 PROHIBIT 0 SITEPROP IDELAY_X1Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y84 RPM_X 185 SITEPROP IDELAY_X1Y84 RPM_Y 166 SITEPROP IDELAY_X1Y84 SITE_PIPS SITEPROP IDELAY_X1Y84 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y85 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y85 CLASS site SITEPROP IDELAY_X1Y85 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y85 IS_BONDED 0 SITEPROP IDELAY_X1Y85 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y85 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y85 IS_PAD 0 SITEPROP IDELAY_X1Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y85 IS_RESERVED 0 SITEPROP IDELAY_X1Y85 IS_TEST 0 SITEPROP IDELAY_X1Y85 IS_USED 0 SITEPROP IDELAY_X1Y85 MANUAL_ROUTING SITEPROP IDELAY_X1Y85 NAME IDELAY_X1Y85 SITEPROP IDELAY_X1Y85 NUM_ARCS 6 SITEPROP IDELAY_X1Y85 NUM_BELS 1 SITEPROP IDELAY_X1Y85 NUM_INPUTS 17 SITEPROP IDELAY_X1Y85 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y85 NUM_PINS 23 SITEPROP IDELAY_X1Y85 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y85 PROHIBIT 0 SITEPROP IDELAY_X1Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y85 RPM_X 185 SITEPROP IDELAY_X1Y85 RPM_Y 171 SITEPROP IDELAY_X1Y85 SITE_PIPS SITEPROP IDELAY_X1Y85 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y86 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y86 CLASS site SITEPROP IDELAY_X1Y86 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y86 IS_BONDED 0 SITEPROP IDELAY_X1Y86 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y86 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y86 IS_PAD 0 SITEPROP IDELAY_X1Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y86 IS_RESERVED 0 SITEPROP IDELAY_X1Y86 IS_TEST 0 SITEPROP IDELAY_X1Y86 IS_USED 0 SITEPROP IDELAY_X1Y86 MANUAL_ROUTING SITEPROP IDELAY_X1Y86 NAME IDELAY_X1Y86 SITEPROP IDELAY_X1Y86 NUM_ARCS 6 SITEPROP IDELAY_X1Y86 NUM_BELS 1 SITEPROP IDELAY_X1Y86 NUM_INPUTS 17 SITEPROP IDELAY_X1Y86 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y86 NUM_PINS 23 SITEPROP IDELAY_X1Y86 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y86 PROHIBIT 0 SITEPROP IDELAY_X1Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y86 RPM_X 185 SITEPROP IDELAY_X1Y86 RPM_Y 170 SITEPROP IDELAY_X1Y86 SITE_PIPS SITEPROP IDELAY_X1Y86 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y87 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y87 CLASS site SITEPROP IDELAY_X1Y87 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y87 IS_BONDED 0 SITEPROP IDELAY_X1Y87 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y87 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y87 IS_PAD 0 SITEPROP IDELAY_X1Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y87 IS_RESERVED 0 SITEPROP IDELAY_X1Y87 IS_TEST 0 SITEPROP IDELAY_X1Y87 IS_USED 0 SITEPROP IDELAY_X1Y87 MANUAL_ROUTING SITEPROP IDELAY_X1Y87 NAME IDELAY_X1Y87 SITEPROP IDELAY_X1Y87 NUM_ARCS 6 SITEPROP IDELAY_X1Y87 NUM_BELS 1 SITEPROP IDELAY_X1Y87 NUM_INPUTS 17 SITEPROP IDELAY_X1Y87 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y87 NUM_PINS 23 SITEPROP IDELAY_X1Y87 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y87 PROHIBIT 0 SITEPROP IDELAY_X1Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y87 RPM_X 185 SITEPROP IDELAY_X1Y87 RPM_Y 175 SITEPROP IDELAY_X1Y87 SITE_PIPS SITEPROP IDELAY_X1Y87 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y88 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y88 CLASS site SITEPROP IDELAY_X1Y88 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y88 IS_BONDED 0 SITEPROP IDELAY_X1Y88 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y88 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y88 IS_PAD 0 SITEPROP IDELAY_X1Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y88 IS_RESERVED 0 SITEPROP IDELAY_X1Y88 IS_TEST 0 SITEPROP IDELAY_X1Y88 IS_USED 0 SITEPROP IDELAY_X1Y88 MANUAL_ROUTING SITEPROP IDELAY_X1Y88 NAME IDELAY_X1Y88 SITEPROP IDELAY_X1Y88 NUM_ARCS 6 SITEPROP IDELAY_X1Y88 NUM_BELS 1 SITEPROP IDELAY_X1Y88 NUM_INPUTS 17 SITEPROP IDELAY_X1Y88 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y88 NUM_PINS 23 SITEPROP IDELAY_X1Y88 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y88 PROHIBIT 0 SITEPROP IDELAY_X1Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y88 RPM_X 185 SITEPROP IDELAY_X1Y88 RPM_Y 174 SITEPROP IDELAY_X1Y88 SITE_PIPS SITEPROP IDELAY_X1Y88 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y89 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y89 CLASS site SITEPROP IDELAY_X1Y89 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y89 IS_BONDED 0 SITEPROP IDELAY_X1Y89 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y89 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y89 IS_PAD 0 SITEPROP IDELAY_X1Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y89 IS_RESERVED 0 SITEPROP IDELAY_X1Y89 IS_TEST 0 SITEPROP IDELAY_X1Y89 IS_USED 0 SITEPROP IDELAY_X1Y89 MANUAL_ROUTING SITEPROP IDELAY_X1Y89 NAME IDELAY_X1Y89 SITEPROP IDELAY_X1Y89 NUM_ARCS 6 SITEPROP IDELAY_X1Y89 NUM_BELS 1 SITEPROP IDELAY_X1Y89 NUM_INPUTS 17 SITEPROP IDELAY_X1Y89 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y89 NUM_PINS 23 SITEPROP IDELAY_X1Y89 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y89 PROHIBIT 0 SITEPROP IDELAY_X1Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y89 RPM_X 185 SITEPROP IDELAY_X1Y89 RPM_Y 179 SITEPROP IDELAY_X1Y89 SITE_PIPS SITEPROP IDELAY_X1Y89 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y90 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y90 CLASS site SITEPROP IDELAY_X1Y90 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y90 IS_BONDED 0 SITEPROP IDELAY_X1Y90 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y90 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y90 IS_PAD 0 SITEPROP IDELAY_X1Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y90 IS_RESERVED 0 SITEPROP IDELAY_X1Y90 IS_TEST 0 SITEPROP IDELAY_X1Y90 IS_USED 0 SITEPROP IDELAY_X1Y90 MANUAL_ROUTING SITEPROP IDELAY_X1Y90 NAME IDELAY_X1Y90 SITEPROP IDELAY_X1Y90 NUM_ARCS 6 SITEPROP IDELAY_X1Y90 NUM_BELS 1 SITEPROP IDELAY_X1Y90 NUM_INPUTS 17 SITEPROP IDELAY_X1Y90 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y90 NUM_PINS 23 SITEPROP IDELAY_X1Y90 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y90 PROHIBIT 0 SITEPROP IDELAY_X1Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y90 RPM_X 185 SITEPROP IDELAY_X1Y90 RPM_Y 178 SITEPROP IDELAY_X1Y90 SITE_PIPS SITEPROP IDELAY_X1Y90 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y91 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y91 CLASS site SITEPROP IDELAY_X1Y91 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y91 IS_BONDED 0 SITEPROP IDELAY_X1Y91 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y91 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y91 IS_PAD 0 SITEPROP IDELAY_X1Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y91 IS_RESERVED 0 SITEPROP IDELAY_X1Y91 IS_TEST 0 SITEPROP IDELAY_X1Y91 IS_USED 0 SITEPROP IDELAY_X1Y91 MANUAL_ROUTING SITEPROP IDELAY_X1Y91 NAME IDELAY_X1Y91 SITEPROP IDELAY_X1Y91 NUM_ARCS 6 SITEPROP IDELAY_X1Y91 NUM_BELS 1 SITEPROP IDELAY_X1Y91 NUM_INPUTS 17 SITEPROP IDELAY_X1Y91 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y91 NUM_PINS 23 SITEPROP IDELAY_X1Y91 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y91 PROHIBIT 0 SITEPROP IDELAY_X1Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y91 RPM_X 185 SITEPROP IDELAY_X1Y91 RPM_Y 183 SITEPROP IDELAY_X1Y91 SITE_PIPS SITEPROP IDELAY_X1Y91 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y92 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y92 CLASS site SITEPROP IDELAY_X1Y92 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y92 IS_BONDED 0 SITEPROP IDELAY_X1Y92 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y92 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y92 IS_PAD 0 SITEPROP IDELAY_X1Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y92 IS_RESERVED 0 SITEPROP IDELAY_X1Y92 IS_TEST 0 SITEPROP IDELAY_X1Y92 IS_USED 0 SITEPROP IDELAY_X1Y92 MANUAL_ROUTING SITEPROP IDELAY_X1Y92 NAME IDELAY_X1Y92 SITEPROP IDELAY_X1Y92 NUM_ARCS 6 SITEPROP IDELAY_X1Y92 NUM_BELS 1 SITEPROP IDELAY_X1Y92 NUM_INPUTS 17 SITEPROP IDELAY_X1Y92 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y92 NUM_PINS 23 SITEPROP IDELAY_X1Y92 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y92 PROHIBIT 0 SITEPROP IDELAY_X1Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y92 RPM_X 185 SITEPROP IDELAY_X1Y92 RPM_Y 182 SITEPROP IDELAY_X1Y92 SITE_PIPS SITEPROP IDELAY_X1Y92 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y93 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y93 CLASS site SITEPROP IDELAY_X1Y93 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y93 IS_BONDED 0 SITEPROP IDELAY_X1Y93 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y93 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y93 IS_PAD 0 SITEPROP IDELAY_X1Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y93 IS_RESERVED 0 SITEPROP IDELAY_X1Y93 IS_TEST 0 SITEPROP IDELAY_X1Y93 IS_USED 0 SITEPROP IDELAY_X1Y93 MANUAL_ROUTING SITEPROP IDELAY_X1Y93 NAME IDELAY_X1Y93 SITEPROP IDELAY_X1Y93 NUM_ARCS 6 SITEPROP IDELAY_X1Y93 NUM_BELS 1 SITEPROP IDELAY_X1Y93 NUM_INPUTS 17 SITEPROP IDELAY_X1Y93 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y93 NUM_PINS 23 SITEPROP IDELAY_X1Y93 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y93 PROHIBIT 0 SITEPROP IDELAY_X1Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y93 RPM_X 185 SITEPROP IDELAY_X1Y93 RPM_Y 187 SITEPROP IDELAY_X1Y93 SITE_PIPS SITEPROP IDELAY_X1Y93 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y94 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y94 CLASS site SITEPROP IDELAY_X1Y94 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y94 IS_BONDED 0 SITEPROP IDELAY_X1Y94 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y94 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y94 IS_PAD 0 SITEPROP IDELAY_X1Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y94 IS_RESERVED 0 SITEPROP IDELAY_X1Y94 IS_TEST 0 SITEPROP IDELAY_X1Y94 IS_USED 0 SITEPROP IDELAY_X1Y94 MANUAL_ROUTING SITEPROP IDELAY_X1Y94 NAME IDELAY_X1Y94 SITEPROP IDELAY_X1Y94 NUM_ARCS 6 SITEPROP IDELAY_X1Y94 NUM_BELS 1 SITEPROP IDELAY_X1Y94 NUM_INPUTS 17 SITEPROP IDELAY_X1Y94 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y94 NUM_PINS 23 SITEPROP IDELAY_X1Y94 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y94 PROHIBIT 0 SITEPROP IDELAY_X1Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y94 RPM_X 185 SITEPROP IDELAY_X1Y94 RPM_Y 186 SITEPROP IDELAY_X1Y94 SITE_PIPS SITEPROP IDELAY_X1Y94 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y95 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y95 CLASS site SITEPROP IDELAY_X1Y95 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y95 IS_BONDED 0 SITEPROP IDELAY_X1Y95 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y95 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y95 IS_PAD 0 SITEPROP IDELAY_X1Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y95 IS_RESERVED 0 SITEPROP IDELAY_X1Y95 IS_TEST 0 SITEPROP IDELAY_X1Y95 IS_USED 0 SITEPROP IDELAY_X1Y95 MANUAL_ROUTING SITEPROP IDELAY_X1Y95 NAME IDELAY_X1Y95 SITEPROP IDELAY_X1Y95 NUM_ARCS 6 SITEPROP IDELAY_X1Y95 NUM_BELS 1 SITEPROP IDELAY_X1Y95 NUM_INPUTS 17 SITEPROP IDELAY_X1Y95 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y95 NUM_PINS 23 SITEPROP IDELAY_X1Y95 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y95 PROHIBIT 0 SITEPROP IDELAY_X1Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y95 RPM_X 185 SITEPROP IDELAY_X1Y95 RPM_Y 191 SITEPROP IDELAY_X1Y95 SITE_PIPS SITEPROP IDELAY_X1Y95 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y96 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y96 CLASS site SITEPROP IDELAY_X1Y96 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y96 IS_BONDED 0 SITEPROP IDELAY_X1Y96 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y96 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y96 IS_PAD 0 SITEPROP IDELAY_X1Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y96 IS_RESERVED 0 SITEPROP IDELAY_X1Y96 IS_TEST 0 SITEPROP IDELAY_X1Y96 IS_USED 0 SITEPROP IDELAY_X1Y96 MANUAL_ROUTING SITEPROP IDELAY_X1Y96 NAME IDELAY_X1Y96 SITEPROP IDELAY_X1Y96 NUM_ARCS 6 SITEPROP IDELAY_X1Y96 NUM_BELS 1 SITEPROP IDELAY_X1Y96 NUM_INPUTS 17 SITEPROP IDELAY_X1Y96 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y96 NUM_PINS 23 SITEPROP IDELAY_X1Y96 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y96 PROHIBIT 0 SITEPROP IDELAY_X1Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y96 RPM_X 185 SITEPROP IDELAY_X1Y96 RPM_Y 190 SITEPROP IDELAY_X1Y96 SITE_PIPS SITEPROP IDELAY_X1Y96 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y97 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y97 CLASS site SITEPROP IDELAY_X1Y97 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y97 IS_BONDED 0 SITEPROP IDELAY_X1Y97 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y97 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y97 IS_PAD 0 SITEPROP IDELAY_X1Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y97 IS_RESERVED 0 SITEPROP IDELAY_X1Y97 IS_TEST 0 SITEPROP IDELAY_X1Y97 IS_USED 0 SITEPROP IDELAY_X1Y97 MANUAL_ROUTING SITEPROP IDELAY_X1Y97 NAME IDELAY_X1Y97 SITEPROP IDELAY_X1Y97 NUM_ARCS 6 SITEPROP IDELAY_X1Y97 NUM_BELS 1 SITEPROP IDELAY_X1Y97 NUM_INPUTS 17 SITEPROP IDELAY_X1Y97 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y97 NUM_PINS 23 SITEPROP IDELAY_X1Y97 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y97 PROHIBIT 0 SITEPROP IDELAY_X1Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y97 RPM_X 185 SITEPROP IDELAY_X1Y97 RPM_Y 195 SITEPROP IDELAY_X1Y97 SITE_PIPS SITEPROP IDELAY_X1Y97 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y98 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y98 CLASS site SITEPROP IDELAY_X1Y98 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y98 IS_BONDED 0 SITEPROP IDELAY_X1Y98 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y98 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y98 IS_PAD 0 SITEPROP IDELAY_X1Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y98 IS_RESERVED 0 SITEPROP IDELAY_X1Y98 IS_TEST 0 SITEPROP IDELAY_X1Y98 IS_USED 0 SITEPROP IDELAY_X1Y98 MANUAL_ROUTING SITEPROP IDELAY_X1Y98 NAME IDELAY_X1Y98 SITEPROP IDELAY_X1Y98 NUM_ARCS 6 SITEPROP IDELAY_X1Y98 NUM_BELS 1 SITEPROP IDELAY_X1Y98 NUM_INPUTS 17 SITEPROP IDELAY_X1Y98 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y98 NUM_PINS 23 SITEPROP IDELAY_X1Y98 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y98 PROHIBIT 0 SITEPROP IDELAY_X1Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y98 RPM_X 185 SITEPROP IDELAY_X1Y98 RPM_Y 194 SITEPROP IDELAY_X1Y98 SITE_PIPS SITEPROP IDELAY_X1Y98 SITE_TYPE IDELAYE2 SITEPROP IDELAY_X1Y99 ALTERNATE_SITE_TYPES IDELAYE2_FINEDELAY SITEPROP IDELAY_X1Y99 CLASS site SITEPROP IDELAY_X1Y99 CLOCK_REGION X1Y1 SITEPROP IDELAY_X1Y99 IS_BONDED 0 SITEPROP IDELAY_X1Y99 IS_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y99 IS_CLOCK_PAD 0 SITEPROP IDELAY_X1Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y99 IS_PAD 0 SITEPROP IDELAY_X1Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IDELAY_X1Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IDELAY_X1Y99 IS_RESERVED 0 SITEPROP IDELAY_X1Y99 IS_TEST 0 SITEPROP IDELAY_X1Y99 IS_USED 0 SITEPROP IDELAY_X1Y99 MANUAL_ROUTING SITEPROP IDELAY_X1Y99 NAME IDELAY_X1Y99 SITEPROP IDELAY_X1Y99 NUM_ARCS 6 SITEPROP IDELAY_X1Y99 NUM_BELS 1 SITEPROP IDELAY_X1Y99 NUM_INPUTS 17 SITEPROP IDELAY_X1Y99 NUM_OUTPUTS 6 SITEPROP IDELAY_X1Y99 NUM_PINS 23 SITEPROP IDELAY_X1Y99 PRIMITIVE_COUNT 0 SITEPROP IDELAY_X1Y99 PROHIBIT 0 SITEPROP IDELAY_X1Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP IDELAY_X1Y99 RPM_X 185 SITEPROP IDELAY_X1Y99 RPM_Y 198 SITEPROP IDELAY_X1Y99 SITE_PIPS SITEPROP IDELAY_X1Y99 SITE_TYPE IDELAYE2 SITEPROP ILOGIC_X0Y0 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y0 CLASS site SITEPROP ILOGIC_X0Y0 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y0 IS_BONDED 0 SITEPROP ILOGIC_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y0 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y0 IS_PAD 0 SITEPROP ILOGIC_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y0 IS_RESERVED 0 SITEPROP ILOGIC_X0Y0 IS_TEST 0 SITEPROP ILOGIC_X0Y0 IS_USED 0 SITEPROP ILOGIC_X0Y0 MANUAL_ROUTING SITEPROP ILOGIC_X0Y0 NAME ILOGIC_X0Y0 SITEPROP ILOGIC_X0Y0 NUM_ARCS 27 SITEPROP ILOGIC_X0Y0 NUM_BELS 6 SITEPROP ILOGIC_X0Y0 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y0 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y0 NUM_PINS 31 SITEPROP ILOGIC_X0Y0 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y0 PROHIBIT 0 SITEPROP ILOGIC_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y0 RPM_X 2 SITEPROP ILOGIC_X0Y0 RPM_Y 0 SITEPROP ILOGIC_X0Y0 SITE_PIPS SITEPROP ILOGIC_X0Y0 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y1 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y1 CLASS site SITEPROP ILOGIC_X0Y1 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y1 IS_BONDED 0 SITEPROP ILOGIC_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y1 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y1 IS_PAD 0 SITEPROP ILOGIC_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y1 IS_RESERVED 0 SITEPROP ILOGIC_X0Y1 IS_TEST 0 SITEPROP ILOGIC_X0Y1 IS_USED 0 SITEPROP ILOGIC_X0Y1 MANUAL_ROUTING SITEPROP ILOGIC_X0Y1 NAME ILOGIC_X0Y1 SITEPROP ILOGIC_X0Y1 NUM_ARCS 27 SITEPROP ILOGIC_X0Y1 NUM_BELS 6 SITEPROP ILOGIC_X0Y1 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y1 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y1 NUM_PINS 31 SITEPROP ILOGIC_X0Y1 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y1 PROHIBIT 0 SITEPROP ILOGIC_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y1 RPM_X 2 SITEPROP ILOGIC_X0Y1 RPM_Y 3 SITEPROP ILOGIC_X0Y1 SITE_PIPS SITEPROP ILOGIC_X0Y1 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y2 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y2 CLASS site SITEPROP ILOGIC_X0Y2 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y2 IS_BONDED 0 SITEPROP ILOGIC_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y2 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y2 IS_PAD 0 SITEPROP ILOGIC_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y2 IS_RESERVED 0 SITEPROP ILOGIC_X0Y2 IS_TEST 0 SITEPROP ILOGIC_X0Y2 IS_USED 0 SITEPROP ILOGIC_X0Y2 MANUAL_ROUTING SITEPROP ILOGIC_X0Y2 NAME ILOGIC_X0Y2 SITEPROP ILOGIC_X0Y2 NUM_ARCS 27 SITEPROP ILOGIC_X0Y2 NUM_BELS 6 SITEPROP ILOGIC_X0Y2 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y2 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y2 NUM_PINS 31 SITEPROP ILOGIC_X0Y2 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y2 PROHIBIT 0 SITEPROP ILOGIC_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y2 RPM_X 2 SITEPROP ILOGIC_X0Y2 RPM_Y 2 SITEPROP ILOGIC_X0Y2 SITE_PIPS SITEPROP ILOGIC_X0Y2 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y3 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y3 CLASS site SITEPROP ILOGIC_X0Y3 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y3 IS_BONDED 0 SITEPROP ILOGIC_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y3 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y3 IS_PAD 0 SITEPROP ILOGIC_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y3 IS_RESERVED 0 SITEPROP ILOGIC_X0Y3 IS_TEST 0 SITEPROP ILOGIC_X0Y3 IS_USED 0 SITEPROP ILOGIC_X0Y3 MANUAL_ROUTING SITEPROP ILOGIC_X0Y3 NAME ILOGIC_X0Y3 SITEPROP ILOGIC_X0Y3 NUM_ARCS 27 SITEPROP ILOGIC_X0Y3 NUM_BELS 6 SITEPROP ILOGIC_X0Y3 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y3 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y3 NUM_PINS 31 SITEPROP ILOGIC_X0Y3 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y3 PROHIBIT 0 SITEPROP ILOGIC_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y3 RPM_X 2 SITEPROP ILOGIC_X0Y3 RPM_Y 7 SITEPROP ILOGIC_X0Y3 SITE_PIPS SITEPROP ILOGIC_X0Y3 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y4 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y4 CLASS site SITEPROP ILOGIC_X0Y4 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y4 IS_BONDED 0 SITEPROP ILOGIC_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y4 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y4 IS_PAD 0 SITEPROP ILOGIC_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y4 IS_RESERVED 0 SITEPROP ILOGIC_X0Y4 IS_TEST 0 SITEPROP ILOGIC_X0Y4 IS_USED 0 SITEPROP ILOGIC_X0Y4 MANUAL_ROUTING SITEPROP ILOGIC_X0Y4 NAME ILOGIC_X0Y4 SITEPROP ILOGIC_X0Y4 NUM_ARCS 27 SITEPROP ILOGIC_X0Y4 NUM_BELS 6 SITEPROP ILOGIC_X0Y4 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y4 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y4 NUM_PINS 31 SITEPROP ILOGIC_X0Y4 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y4 PROHIBIT 0 SITEPROP ILOGIC_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y4 RPM_X 2 SITEPROP ILOGIC_X0Y4 RPM_Y 6 SITEPROP ILOGIC_X0Y4 SITE_PIPS SITEPROP ILOGIC_X0Y4 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y5 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y5 CLASS site SITEPROP ILOGIC_X0Y5 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y5 IS_BONDED 0 SITEPROP ILOGIC_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y5 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y5 IS_PAD 0 SITEPROP ILOGIC_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y5 IS_RESERVED 0 SITEPROP ILOGIC_X0Y5 IS_TEST 0 SITEPROP ILOGIC_X0Y5 IS_USED 0 SITEPROP ILOGIC_X0Y5 MANUAL_ROUTING SITEPROP ILOGIC_X0Y5 NAME ILOGIC_X0Y5 SITEPROP ILOGIC_X0Y5 NUM_ARCS 27 SITEPROP ILOGIC_X0Y5 NUM_BELS 6 SITEPROP ILOGIC_X0Y5 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y5 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y5 NUM_PINS 31 SITEPROP ILOGIC_X0Y5 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y5 PROHIBIT 0 SITEPROP ILOGIC_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y5 RPM_X 2 SITEPROP ILOGIC_X0Y5 RPM_Y 11 SITEPROP ILOGIC_X0Y5 SITE_PIPS SITEPROP ILOGIC_X0Y5 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y6 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y6 CLASS site SITEPROP ILOGIC_X0Y6 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y6 IS_BONDED 0 SITEPROP ILOGIC_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y6 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y6 IS_PAD 0 SITEPROP ILOGIC_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y6 IS_RESERVED 0 SITEPROP ILOGIC_X0Y6 IS_TEST 0 SITEPROP ILOGIC_X0Y6 IS_USED 0 SITEPROP ILOGIC_X0Y6 MANUAL_ROUTING SITEPROP ILOGIC_X0Y6 NAME ILOGIC_X0Y6 SITEPROP ILOGIC_X0Y6 NUM_ARCS 27 SITEPROP ILOGIC_X0Y6 NUM_BELS 6 SITEPROP ILOGIC_X0Y6 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y6 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y6 NUM_PINS 31 SITEPROP ILOGIC_X0Y6 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y6 PROHIBIT 0 SITEPROP ILOGIC_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y6 RPM_X 2 SITEPROP ILOGIC_X0Y6 RPM_Y 10 SITEPROP ILOGIC_X0Y6 SITE_PIPS SITEPROP ILOGIC_X0Y6 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y7 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y7 CLASS site SITEPROP ILOGIC_X0Y7 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y7 IS_BONDED 0 SITEPROP ILOGIC_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y7 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y7 IS_PAD 0 SITEPROP ILOGIC_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y7 IS_RESERVED 0 SITEPROP ILOGIC_X0Y7 IS_TEST 0 SITEPROP ILOGIC_X0Y7 IS_USED 0 SITEPROP ILOGIC_X0Y7 MANUAL_ROUTING SITEPROP ILOGIC_X0Y7 NAME ILOGIC_X0Y7 SITEPROP ILOGIC_X0Y7 NUM_ARCS 27 SITEPROP ILOGIC_X0Y7 NUM_BELS 6 SITEPROP ILOGIC_X0Y7 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y7 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y7 NUM_PINS 31 SITEPROP ILOGIC_X0Y7 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y7 PROHIBIT 0 SITEPROP ILOGIC_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y7 RPM_X 2 SITEPROP ILOGIC_X0Y7 RPM_Y 15 SITEPROP ILOGIC_X0Y7 SITE_PIPS SITEPROP ILOGIC_X0Y7 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y8 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y8 CLASS site SITEPROP ILOGIC_X0Y8 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y8 IS_BONDED 0 SITEPROP ILOGIC_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y8 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y8 IS_PAD 0 SITEPROP ILOGIC_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y8 IS_RESERVED 0 SITEPROP ILOGIC_X0Y8 IS_TEST 0 SITEPROP ILOGIC_X0Y8 IS_USED 0 SITEPROP ILOGIC_X0Y8 MANUAL_ROUTING SITEPROP ILOGIC_X0Y8 NAME ILOGIC_X0Y8 SITEPROP ILOGIC_X0Y8 NUM_ARCS 27 SITEPROP ILOGIC_X0Y8 NUM_BELS 6 SITEPROP ILOGIC_X0Y8 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y8 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y8 NUM_PINS 31 SITEPROP ILOGIC_X0Y8 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y8 PROHIBIT 0 SITEPROP ILOGIC_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y8 RPM_X 2 SITEPROP ILOGIC_X0Y8 RPM_Y 14 SITEPROP ILOGIC_X0Y8 SITE_PIPS SITEPROP ILOGIC_X0Y8 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y9 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y9 CLASS site SITEPROP ILOGIC_X0Y9 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y9 IS_BONDED 0 SITEPROP ILOGIC_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y9 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y9 IS_PAD 0 SITEPROP ILOGIC_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y9 IS_RESERVED 0 SITEPROP ILOGIC_X0Y9 IS_TEST 0 SITEPROP ILOGIC_X0Y9 IS_USED 0 SITEPROP ILOGIC_X0Y9 MANUAL_ROUTING SITEPROP ILOGIC_X0Y9 NAME ILOGIC_X0Y9 SITEPROP ILOGIC_X0Y9 NUM_ARCS 27 SITEPROP ILOGIC_X0Y9 NUM_BELS 6 SITEPROP ILOGIC_X0Y9 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y9 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y9 NUM_PINS 31 SITEPROP ILOGIC_X0Y9 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y9 PROHIBIT 0 SITEPROP ILOGIC_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y9 RPM_X 2 SITEPROP ILOGIC_X0Y9 RPM_Y 19 SITEPROP ILOGIC_X0Y9 SITE_PIPS SITEPROP ILOGIC_X0Y9 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y10 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y10 CLASS site SITEPROP ILOGIC_X0Y10 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y10 IS_BONDED 0 SITEPROP ILOGIC_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y10 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y10 IS_PAD 0 SITEPROP ILOGIC_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y10 IS_RESERVED 0 SITEPROP ILOGIC_X0Y10 IS_TEST 0 SITEPROP ILOGIC_X0Y10 IS_USED 0 SITEPROP ILOGIC_X0Y10 MANUAL_ROUTING SITEPROP ILOGIC_X0Y10 NAME ILOGIC_X0Y10 SITEPROP ILOGIC_X0Y10 NUM_ARCS 27 SITEPROP ILOGIC_X0Y10 NUM_BELS 6 SITEPROP ILOGIC_X0Y10 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y10 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y10 NUM_PINS 31 SITEPROP ILOGIC_X0Y10 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y10 PROHIBIT 0 SITEPROP ILOGIC_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y10 RPM_X 2 SITEPROP ILOGIC_X0Y10 RPM_Y 18 SITEPROP ILOGIC_X0Y10 SITE_PIPS SITEPROP ILOGIC_X0Y10 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y11 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y11 CLASS site SITEPROP ILOGIC_X0Y11 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y11 IS_BONDED 0 SITEPROP ILOGIC_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y11 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y11 IS_PAD 0 SITEPROP ILOGIC_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y11 IS_RESERVED 0 SITEPROP ILOGIC_X0Y11 IS_TEST 0 SITEPROP ILOGIC_X0Y11 IS_USED 0 SITEPROP ILOGIC_X0Y11 MANUAL_ROUTING SITEPROP ILOGIC_X0Y11 NAME ILOGIC_X0Y11 SITEPROP ILOGIC_X0Y11 NUM_ARCS 27 SITEPROP ILOGIC_X0Y11 NUM_BELS 6 SITEPROP ILOGIC_X0Y11 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y11 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y11 NUM_PINS 31 SITEPROP ILOGIC_X0Y11 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y11 PROHIBIT 0 SITEPROP ILOGIC_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y11 RPM_X 2 SITEPROP ILOGIC_X0Y11 RPM_Y 23 SITEPROP ILOGIC_X0Y11 SITE_PIPS SITEPROP ILOGIC_X0Y11 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y12 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y12 CLASS site SITEPROP ILOGIC_X0Y12 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y12 IS_BONDED 0 SITEPROP ILOGIC_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y12 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y12 IS_PAD 0 SITEPROP ILOGIC_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y12 IS_RESERVED 0 SITEPROP ILOGIC_X0Y12 IS_TEST 0 SITEPROP ILOGIC_X0Y12 IS_USED 0 SITEPROP ILOGIC_X0Y12 MANUAL_ROUTING SITEPROP ILOGIC_X0Y12 NAME ILOGIC_X0Y12 SITEPROP ILOGIC_X0Y12 NUM_ARCS 27 SITEPROP ILOGIC_X0Y12 NUM_BELS 6 SITEPROP ILOGIC_X0Y12 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y12 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y12 NUM_PINS 31 SITEPROP ILOGIC_X0Y12 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y12 PROHIBIT 0 SITEPROP ILOGIC_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y12 RPM_X 2 SITEPROP ILOGIC_X0Y12 RPM_Y 22 SITEPROP ILOGIC_X0Y12 SITE_PIPS SITEPROP ILOGIC_X0Y12 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y13 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y13 CLASS site SITEPROP ILOGIC_X0Y13 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y13 IS_BONDED 0 SITEPROP ILOGIC_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y13 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y13 IS_PAD 0 SITEPROP ILOGIC_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y13 IS_RESERVED 0 SITEPROP ILOGIC_X0Y13 IS_TEST 0 SITEPROP ILOGIC_X0Y13 IS_USED 0 SITEPROP ILOGIC_X0Y13 MANUAL_ROUTING SITEPROP ILOGIC_X0Y13 NAME ILOGIC_X0Y13 SITEPROP ILOGIC_X0Y13 NUM_ARCS 27 SITEPROP ILOGIC_X0Y13 NUM_BELS 6 SITEPROP ILOGIC_X0Y13 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y13 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y13 NUM_PINS 31 SITEPROP ILOGIC_X0Y13 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y13 PROHIBIT 0 SITEPROP ILOGIC_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y13 RPM_X 2 SITEPROP ILOGIC_X0Y13 RPM_Y 27 SITEPROP ILOGIC_X0Y13 SITE_PIPS SITEPROP ILOGIC_X0Y13 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y14 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y14 CLASS site SITEPROP ILOGIC_X0Y14 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y14 IS_BONDED 0 SITEPROP ILOGIC_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y14 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y14 IS_PAD 0 SITEPROP ILOGIC_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y14 IS_RESERVED 0 SITEPROP ILOGIC_X0Y14 IS_TEST 0 SITEPROP ILOGIC_X0Y14 IS_USED 0 SITEPROP ILOGIC_X0Y14 MANUAL_ROUTING SITEPROP ILOGIC_X0Y14 NAME ILOGIC_X0Y14 SITEPROP ILOGIC_X0Y14 NUM_ARCS 27 SITEPROP ILOGIC_X0Y14 NUM_BELS 6 SITEPROP ILOGIC_X0Y14 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y14 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y14 NUM_PINS 31 SITEPROP ILOGIC_X0Y14 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y14 PROHIBIT 0 SITEPROP ILOGIC_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y14 RPM_X 2 SITEPROP ILOGIC_X0Y14 RPM_Y 26 SITEPROP ILOGIC_X0Y14 SITE_PIPS SITEPROP ILOGIC_X0Y14 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y15 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y15 CLASS site SITEPROP ILOGIC_X0Y15 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y15 IS_BONDED 0 SITEPROP ILOGIC_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y15 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y15 IS_PAD 0 SITEPROP ILOGIC_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y15 IS_RESERVED 0 SITEPROP ILOGIC_X0Y15 IS_TEST 0 SITEPROP ILOGIC_X0Y15 IS_USED 0 SITEPROP ILOGIC_X0Y15 MANUAL_ROUTING SITEPROP ILOGIC_X0Y15 NAME ILOGIC_X0Y15 SITEPROP ILOGIC_X0Y15 NUM_ARCS 27 SITEPROP ILOGIC_X0Y15 NUM_BELS 6 SITEPROP ILOGIC_X0Y15 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y15 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y15 NUM_PINS 31 SITEPROP ILOGIC_X0Y15 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y15 PROHIBIT 0 SITEPROP ILOGIC_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y15 RPM_X 2 SITEPROP ILOGIC_X0Y15 RPM_Y 31 SITEPROP ILOGIC_X0Y15 SITE_PIPS SITEPROP ILOGIC_X0Y15 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y16 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y16 CLASS site SITEPROP ILOGIC_X0Y16 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y16 IS_BONDED 0 SITEPROP ILOGIC_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y16 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y16 IS_PAD 0 SITEPROP ILOGIC_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y16 IS_RESERVED 0 SITEPROP ILOGIC_X0Y16 IS_TEST 0 SITEPROP ILOGIC_X0Y16 IS_USED 0 SITEPROP ILOGIC_X0Y16 MANUAL_ROUTING SITEPROP ILOGIC_X0Y16 NAME ILOGIC_X0Y16 SITEPROP ILOGIC_X0Y16 NUM_ARCS 27 SITEPROP ILOGIC_X0Y16 NUM_BELS 6 SITEPROP ILOGIC_X0Y16 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y16 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y16 NUM_PINS 31 SITEPROP ILOGIC_X0Y16 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y16 PROHIBIT 0 SITEPROP ILOGIC_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y16 RPM_X 2 SITEPROP ILOGIC_X0Y16 RPM_Y 30 SITEPROP ILOGIC_X0Y16 SITE_PIPS SITEPROP ILOGIC_X0Y16 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y17 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y17 CLASS site SITEPROP ILOGIC_X0Y17 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y17 IS_BONDED 0 SITEPROP ILOGIC_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y17 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y17 IS_PAD 0 SITEPROP ILOGIC_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y17 IS_RESERVED 0 SITEPROP ILOGIC_X0Y17 IS_TEST 0 SITEPROP ILOGIC_X0Y17 IS_USED 0 SITEPROP ILOGIC_X0Y17 MANUAL_ROUTING SITEPROP ILOGIC_X0Y17 NAME ILOGIC_X0Y17 SITEPROP ILOGIC_X0Y17 NUM_ARCS 27 SITEPROP ILOGIC_X0Y17 NUM_BELS 6 SITEPROP ILOGIC_X0Y17 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y17 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y17 NUM_PINS 31 SITEPROP ILOGIC_X0Y17 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y17 PROHIBIT 0 SITEPROP ILOGIC_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y17 RPM_X 2 SITEPROP ILOGIC_X0Y17 RPM_Y 35 SITEPROP ILOGIC_X0Y17 SITE_PIPS SITEPROP ILOGIC_X0Y17 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y18 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y18 CLASS site SITEPROP ILOGIC_X0Y18 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y18 IS_BONDED 0 SITEPROP ILOGIC_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y18 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y18 IS_PAD 0 SITEPROP ILOGIC_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y18 IS_RESERVED 0 SITEPROP ILOGIC_X0Y18 IS_TEST 0 SITEPROP ILOGIC_X0Y18 IS_USED 0 SITEPROP ILOGIC_X0Y18 MANUAL_ROUTING SITEPROP ILOGIC_X0Y18 NAME ILOGIC_X0Y18 SITEPROP ILOGIC_X0Y18 NUM_ARCS 27 SITEPROP ILOGIC_X0Y18 NUM_BELS 6 SITEPROP ILOGIC_X0Y18 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y18 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y18 NUM_PINS 31 SITEPROP ILOGIC_X0Y18 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y18 PROHIBIT 0 SITEPROP ILOGIC_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y18 RPM_X 2 SITEPROP ILOGIC_X0Y18 RPM_Y 34 SITEPROP ILOGIC_X0Y18 SITE_PIPS SITEPROP ILOGIC_X0Y18 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y19 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y19 CLASS site SITEPROP ILOGIC_X0Y19 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y19 IS_BONDED 0 SITEPROP ILOGIC_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y19 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y19 IS_PAD 0 SITEPROP ILOGIC_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y19 IS_RESERVED 0 SITEPROP ILOGIC_X0Y19 IS_TEST 0 SITEPROP ILOGIC_X0Y19 IS_USED 0 SITEPROP ILOGIC_X0Y19 MANUAL_ROUTING SITEPROP ILOGIC_X0Y19 NAME ILOGIC_X0Y19 SITEPROP ILOGIC_X0Y19 NUM_ARCS 27 SITEPROP ILOGIC_X0Y19 NUM_BELS 6 SITEPROP ILOGIC_X0Y19 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y19 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y19 NUM_PINS 31 SITEPROP ILOGIC_X0Y19 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y19 PROHIBIT 0 SITEPROP ILOGIC_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y19 RPM_X 2 SITEPROP ILOGIC_X0Y19 RPM_Y 39 SITEPROP ILOGIC_X0Y19 SITE_PIPS SITEPROP ILOGIC_X0Y19 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y20 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y20 CLASS site SITEPROP ILOGIC_X0Y20 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y20 IS_BONDED 0 SITEPROP ILOGIC_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y20 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y20 IS_PAD 0 SITEPROP ILOGIC_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y20 IS_RESERVED 0 SITEPROP ILOGIC_X0Y20 IS_TEST 0 SITEPROP ILOGIC_X0Y20 IS_USED 0 SITEPROP ILOGIC_X0Y20 MANUAL_ROUTING SITEPROP ILOGIC_X0Y20 NAME ILOGIC_X0Y20 SITEPROP ILOGIC_X0Y20 NUM_ARCS 27 SITEPROP ILOGIC_X0Y20 NUM_BELS 6 SITEPROP ILOGIC_X0Y20 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y20 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y20 NUM_PINS 31 SITEPROP ILOGIC_X0Y20 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y20 PROHIBIT 0 SITEPROP ILOGIC_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y20 RPM_X 2 SITEPROP ILOGIC_X0Y20 RPM_Y 38 SITEPROP ILOGIC_X0Y20 SITE_PIPS SITEPROP ILOGIC_X0Y20 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y21 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y21 CLASS site SITEPROP ILOGIC_X0Y21 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y21 IS_BONDED 0 SITEPROP ILOGIC_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y21 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y21 IS_PAD 0 SITEPROP ILOGIC_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y21 IS_RESERVED 0 SITEPROP ILOGIC_X0Y21 IS_TEST 0 SITEPROP ILOGIC_X0Y21 IS_USED 0 SITEPROP ILOGIC_X0Y21 MANUAL_ROUTING SITEPROP ILOGIC_X0Y21 NAME ILOGIC_X0Y21 SITEPROP ILOGIC_X0Y21 NUM_ARCS 27 SITEPROP ILOGIC_X0Y21 NUM_BELS 6 SITEPROP ILOGIC_X0Y21 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y21 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y21 NUM_PINS 31 SITEPROP ILOGIC_X0Y21 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y21 PROHIBIT 0 SITEPROP ILOGIC_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y21 RPM_X 2 SITEPROP ILOGIC_X0Y21 RPM_Y 43 SITEPROP ILOGIC_X0Y21 SITE_PIPS SITEPROP ILOGIC_X0Y21 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y22 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y22 CLASS site SITEPROP ILOGIC_X0Y22 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y22 IS_BONDED 0 SITEPROP ILOGIC_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y22 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y22 IS_PAD 0 SITEPROP ILOGIC_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y22 IS_RESERVED 0 SITEPROP ILOGIC_X0Y22 IS_TEST 0 SITEPROP ILOGIC_X0Y22 IS_USED 0 SITEPROP ILOGIC_X0Y22 MANUAL_ROUTING SITEPROP ILOGIC_X0Y22 NAME ILOGIC_X0Y22 SITEPROP ILOGIC_X0Y22 NUM_ARCS 27 SITEPROP ILOGIC_X0Y22 NUM_BELS 6 SITEPROP ILOGIC_X0Y22 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y22 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y22 NUM_PINS 31 SITEPROP ILOGIC_X0Y22 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y22 PROHIBIT 0 SITEPROP ILOGIC_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y22 RPM_X 2 SITEPROP ILOGIC_X0Y22 RPM_Y 42 SITEPROP ILOGIC_X0Y22 SITE_PIPS SITEPROP ILOGIC_X0Y22 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y23 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y23 CLASS site SITEPROP ILOGIC_X0Y23 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y23 IS_BONDED 0 SITEPROP ILOGIC_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y23 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y23 IS_PAD 0 SITEPROP ILOGIC_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y23 IS_RESERVED 0 SITEPROP ILOGIC_X0Y23 IS_TEST 0 SITEPROP ILOGIC_X0Y23 IS_USED 0 SITEPROP ILOGIC_X0Y23 MANUAL_ROUTING SITEPROP ILOGIC_X0Y23 NAME ILOGIC_X0Y23 SITEPROP ILOGIC_X0Y23 NUM_ARCS 27 SITEPROP ILOGIC_X0Y23 NUM_BELS 6 SITEPROP ILOGIC_X0Y23 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y23 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y23 NUM_PINS 31 SITEPROP ILOGIC_X0Y23 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y23 PROHIBIT 0 SITEPROP ILOGIC_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y23 RPM_X 2 SITEPROP ILOGIC_X0Y23 RPM_Y 47 SITEPROP ILOGIC_X0Y23 SITE_PIPS SITEPROP ILOGIC_X0Y23 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y24 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y24 CLASS site SITEPROP ILOGIC_X0Y24 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y24 IS_BONDED 0 SITEPROP ILOGIC_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y24 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y24 IS_PAD 0 SITEPROP ILOGIC_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y24 IS_RESERVED 0 SITEPROP ILOGIC_X0Y24 IS_TEST 0 SITEPROP ILOGIC_X0Y24 IS_USED 0 SITEPROP ILOGIC_X0Y24 MANUAL_ROUTING SITEPROP ILOGIC_X0Y24 NAME ILOGIC_X0Y24 SITEPROP ILOGIC_X0Y24 NUM_ARCS 27 SITEPROP ILOGIC_X0Y24 NUM_BELS 6 SITEPROP ILOGIC_X0Y24 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y24 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y24 NUM_PINS 31 SITEPROP ILOGIC_X0Y24 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y24 PROHIBIT 0 SITEPROP ILOGIC_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y24 RPM_X 2 SITEPROP ILOGIC_X0Y24 RPM_Y 46 SITEPROP ILOGIC_X0Y24 SITE_PIPS SITEPROP ILOGIC_X0Y24 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y25 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y25 CLASS site SITEPROP ILOGIC_X0Y25 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y25 IS_BONDED 0 SITEPROP ILOGIC_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y25 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y25 IS_PAD 0 SITEPROP ILOGIC_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y25 IS_RESERVED 0 SITEPROP ILOGIC_X0Y25 IS_TEST 0 SITEPROP ILOGIC_X0Y25 IS_USED 0 SITEPROP ILOGIC_X0Y25 MANUAL_ROUTING SITEPROP ILOGIC_X0Y25 NAME ILOGIC_X0Y25 SITEPROP ILOGIC_X0Y25 NUM_ARCS 27 SITEPROP ILOGIC_X0Y25 NUM_BELS 6 SITEPROP ILOGIC_X0Y25 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y25 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y25 NUM_PINS 31 SITEPROP ILOGIC_X0Y25 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y25 PROHIBIT 0 SITEPROP ILOGIC_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y25 RPM_X 2 SITEPROP ILOGIC_X0Y25 RPM_Y 51 SITEPROP ILOGIC_X0Y25 SITE_PIPS SITEPROP ILOGIC_X0Y25 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y26 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y26 CLASS site SITEPROP ILOGIC_X0Y26 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y26 IS_BONDED 0 SITEPROP ILOGIC_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y26 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y26 IS_PAD 0 SITEPROP ILOGIC_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y26 IS_RESERVED 0 SITEPROP ILOGIC_X0Y26 IS_TEST 0 SITEPROP ILOGIC_X0Y26 IS_USED 0 SITEPROP ILOGIC_X0Y26 MANUAL_ROUTING SITEPROP ILOGIC_X0Y26 NAME ILOGIC_X0Y26 SITEPROP ILOGIC_X0Y26 NUM_ARCS 27 SITEPROP ILOGIC_X0Y26 NUM_BELS 6 SITEPROP ILOGIC_X0Y26 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y26 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y26 NUM_PINS 31 SITEPROP ILOGIC_X0Y26 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y26 PROHIBIT 0 SITEPROP ILOGIC_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y26 RPM_X 2 SITEPROP ILOGIC_X0Y26 RPM_Y 50 SITEPROP ILOGIC_X0Y26 SITE_PIPS SITEPROP ILOGIC_X0Y26 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y27 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y27 CLASS site SITEPROP ILOGIC_X0Y27 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y27 IS_BONDED 0 SITEPROP ILOGIC_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y27 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y27 IS_PAD 0 SITEPROP ILOGIC_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y27 IS_RESERVED 0 SITEPROP ILOGIC_X0Y27 IS_TEST 0 SITEPROP ILOGIC_X0Y27 IS_USED 0 SITEPROP ILOGIC_X0Y27 MANUAL_ROUTING SITEPROP ILOGIC_X0Y27 NAME ILOGIC_X0Y27 SITEPROP ILOGIC_X0Y27 NUM_ARCS 27 SITEPROP ILOGIC_X0Y27 NUM_BELS 6 SITEPROP ILOGIC_X0Y27 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y27 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y27 NUM_PINS 31 SITEPROP ILOGIC_X0Y27 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y27 PROHIBIT 0 SITEPROP ILOGIC_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y27 RPM_X 2 SITEPROP ILOGIC_X0Y27 RPM_Y 55 SITEPROP ILOGIC_X0Y27 SITE_PIPS SITEPROP ILOGIC_X0Y27 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y28 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y28 CLASS site SITEPROP ILOGIC_X0Y28 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y28 IS_BONDED 0 SITEPROP ILOGIC_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y28 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y28 IS_PAD 0 SITEPROP ILOGIC_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y28 IS_RESERVED 0 SITEPROP ILOGIC_X0Y28 IS_TEST 0 SITEPROP ILOGIC_X0Y28 IS_USED 0 SITEPROP ILOGIC_X0Y28 MANUAL_ROUTING SITEPROP ILOGIC_X0Y28 NAME ILOGIC_X0Y28 SITEPROP ILOGIC_X0Y28 NUM_ARCS 27 SITEPROP ILOGIC_X0Y28 NUM_BELS 6 SITEPROP ILOGIC_X0Y28 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y28 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y28 NUM_PINS 31 SITEPROP ILOGIC_X0Y28 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y28 PROHIBIT 0 SITEPROP ILOGIC_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y28 RPM_X 2 SITEPROP ILOGIC_X0Y28 RPM_Y 54 SITEPROP ILOGIC_X0Y28 SITE_PIPS SITEPROP ILOGIC_X0Y28 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y29 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y29 CLASS site SITEPROP ILOGIC_X0Y29 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y29 IS_BONDED 0 SITEPROP ILOGIC_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y29 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y29 IS_PAD 0 SITEPROP ILOGIC_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y29 IS_RESERVED 0 SITEPROP ILOGIC_X0Y29 IS_TEST 0 SITEPROP ILOGIC_X0Y29 IS_USED 0 SITEPROP ILOGIC_X0Y29 MANUAL_ROUTING SITEPROP ILOGIC_X0Y29 NAME ILOGIC_X0Y29 SITEPROP ILOGIC_X0Y29 NUM_ARCS 27 SITEPROP ILOGIC_X0Y29 NUM_BELS 6 SITEPROP ILOGIC_X0Y29 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y29 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y29 NUM_PINS 31 SITEPROP ILOGIC_X0Y29 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y29 PROHIBIT 0 SITEPROP ILOGIC_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y29 RPM_X 2 SITEPROP ILOGIC_X0Y29 RPM_Y 59 SITEPROP ILOGIC_X0Y29 SITE_PIPS SITEPROP ILOGIC_X0Y29 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y30 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y30 CLASS site SITEPROP ILOGIC_X0Y30 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y30 IS_BONDED 0 SITEPROP ILOGIC_X0Y30 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y30 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y30 IS_PAD 0 SITEPROP ILOGIC_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y30 IS_RESERVED 0 SITEPROP ILOGIC_X0Y30 IS_TEST 0 SITEPROP ILOGIC_X0Y30 IS_USED 0 SITEPROP ILOGIC_X0Y30 MANUAL_ROUTING SITEPROP ILOGIC_X0Y30 NAME ILOGIC_X0Y30 SITEPROP ILOGIC_X0Y30 NUM_ARCS 27 SITEPROP ILOGIC_X0Y30 NUM_BELS 6 SITEPROP ILOGIC_X0Y30 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y30 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y30 NUM_PINS 31 SITEPROP ILOGIC_X0Y30 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y30 PROHIBIT 0 SITEPROP ILOGIC_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y30 RPM_X 2 SITEPROP ILOGIC_X0Y30 RPM_Y 58 SITEPROP ILOGIC_X0Y30 SITE_PIPS SITEPROP ILOGIC_X0Y30 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y31 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y31 CLASS site SITEPROP ILOGIC_X0Y31 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y31 IS_BONDED 0 SITEPROP ILOGIC_X0Y31 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y31 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y31 IS_PAD 0 SITEPROP ILOGIC_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y31 IS_RESERVED 0 SITEPROP ILOGIC_X0Y31 IS_TEST 0 SITEPROP ILOGIC_X0Y31 IS_USED 0 SITEPROP ILOGIC_X0Y31 MANUAL_ROUTING SITEPROP ILOGIC_X0Y31 NAME ILOGIC_X0Y31 SITEPROP ILOGIC_X0Y31 NUM_ARCS 27 SITEPROP ILOGIC_X0Y31 NUM_BELS 6 SITEPROP ILOGIC_X0Y31 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y31 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y31 NUM_PINS 31 SITEPROP ILOGIC_X0Y31 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y31 PROHIBIT 0 SITEPROP ILOGIC_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y31 RPM_X 2 SITEPROP ILOGIC_X0Y31 RPM_Y 63 SITEPROP ILOGIC_X0Y31 SITE_PIPS SITEPROP ILOGIC_X0Y31 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y32 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y32 CLASS site SITEPROP ILOGIC_X0Y32 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y32 IS_BONDED 0 SITEPROP ILOGIC_X0Y32 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y32 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y32 IS_PAD 0 SITEPROP ILOGIC_X0Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y32 IS_RESERVED 0 SITEPROP ILOGIC_X0Y32 IS_TEST 0 SITEPROP ILOGIC_X0Y32 IS_USED 0 SITEPROP ILOGIC_X0Y32 MANUAL_ROUTING SITEPROP ILOGIC_X0Y32 NAME ILOGIC_X0Y32 SITEPROP ILOGIC_X0Y32 NUM_ARCS 27 SITEPROP ILOGIC_X0Y32 NUM_BELS 6 SITEPROP ILOGIC_X0Y32 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y32 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y32 NUM_PINS 31 SITEPROP ILOGIC_X0Y32 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y32 PROHIBIT 0 SITEPROP ILOGIC_X0Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y32 RPM_X 2 SITEPROP ILOGIC_X0Y32 RPM_Y 62 SITEPROP ILOGIC_X0Y32 SITE_PIPS SITEPROP ILOGIC_X0Y32 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y33 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y33 CLASS site SITEPROP ILOGIC_X0Y33 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y33 IS_BONDED 0 SITEPROP ILOGIC_X0Y33 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y33 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y33 IS_PAD 0 SITEPROP ILOGIC_X0Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y33 IS_RESERVED 0 SITEPROP ILOGIC_X0Y33 IS_TEST 0 SITEPROP ILOGIC_X0Y33 IS_USED 0 SITEPROP ILOGIC_X0Y33 MANUAL_ROUTING SITEPROP ILOGIC_X0Y33 NAME ILOGIC_X0Y33 SITEPROP ILOGIC_X0Y33 NUM_ARCS 27 SITEPROP ILOGIC_X0Y33 NUM_BELS 6 SITEPROP ILOGIC_X0Y33 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y33 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y33 NUM_PINS 31 SITEPROP ILOGIC_X0Y33 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y33 PROHIBIT 0 SITEPROP ILOGIC_X0Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y33 RPM_X 2 SITEPROP ILOGIC_X0Y33 RPM_Y 67 SITEPROP ILOGIC_X0Y33 SITE_PIPS SITEPROP ILOGIC_X0Y33 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y34 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y34 CLASS site SITEPROP ILOGIC_X0Y34 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y34 IS_BONDED 0 SITEPROP ILOGIC_X0Y34 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y34 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y34 IS_PAD 0 SITEPROP ILOGIC_X0Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y34 IS_RESERVED 0 SITEPROP ILOGIC_X0Y34 IS_TEST 0 SITEPROP ILOGIC_X0Y34 IS_USED 0 SITEPROP ILOGIC_X0Y34 MANUAL_ROUTING SITEPROP ILOGIC_X0Y34 NAME ILOGIC_X0Y34 SITEPROP ILOGIC_X0Y34 NUM_ARCS 27 SITEPROP ILOGIC_X0Y34 NUM_BELS 6 SITEPROP ILOGIC_X0Y34 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y34 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y34 NUM_PINS 31 SITEPROP ILOGIC_X0Y34 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y34 PROHIBIT 0 SITEPROP ILOGIC_X0Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y34 RPM_X 2 SITEPROP ILOGIC_X0Y34 RPM_Y 66 SITEPROP ILOGIC_X0Y34 SITE_PIPS SITEPROP ILOGIC_X0Y34 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y35 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y35 CLASS site SITEPROP ILOGIC_X0Y35 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y35 IS_BONDED 0 SITEPROP ILOGIC_X0Y35 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y35 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y35 IS_PAD 0 SITEPROP ILOGIC_X0Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y35 IS_RESERVED 0 SITEPROP ILOGIC_X0Y35 IS_TEST 0 SITEPROP ILOGIC_X0Y35 IS_USED 0 SITEPROP ILOGIC_X0Y35 MANUAL_ROUTING SITEPROP ILOGIC_X0Y35 NAME ILOGIC_X0Y35 SITEPROP ILOGIC_X0Y35 NUM_ARCS 27 SITEPROP ILOGIC_X0Y35 NUM_BELS 6 SITEPROP ILOGIC_X0Y35 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y35 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y35 NUM_PINS 31 SITEPROP ILOGIC_X0Y35 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y35 PROHIBIT 0 SITEPROP ILOGIC_X0Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y35 RPM_X 2 SITEPROP ILOGIC_X0Y35 RPM_Y 71 SITEPROP ILOGIC_X0Y35 SITE_PIPS SITEPROP ILOGIC_X0Y35 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y36 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y36 CLASS site SITEPROP ILOGIC_X0Y36 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y36 IS_BONDED 0 SITEPROP ILOGIC_X0Y36 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y36 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y36 IS_PAD 0 SITEPROP ILOGIC_X0Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y36 IS_RESERVED 0 SITEPROP ILOGIC_X0Y36 IS_TEST 0 SITEPROP ILOGIC_X0Y36 IS_USED 0 SITEPROP ILOGIC_X0Y36 MANUAL_ROUTING SITEPROP ILOGIC_X0Y36 NAME ILOGIC_X0Y36 SITEPROP ILOGIC_X0Y36 NUM_ARCS 27 SITEPROP ILOGIC_X0Y36 NUM_BELS 6 SITEPROP ILOGIC_X0Y36 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y36 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y36 NUM_PINS 31 SITEPROP ILOGIC_X0Y36 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y36 PROHIBIT 0 SITEPROP ILOGIC_X0Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y36 RPM_X 2 SITEPROP ILOGIC_X0Y36 RPM_Y 70 SITEPROP ILOGIC_X0Y36 SITE_PIPS SITEPROP ILOGIC_X0Y36 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y37 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y37 CLASS site SITEPROP ILOGIC_X0Y37 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y37 IS_BONDED 0 SITEPROP ILOGIC_X0Y37 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y37 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y37 IS_PAD 0 SITEPROP ILOGIC_X0Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y37 IS_RESERVED 0 SITEPROP ILOGIC_X0Y37 IS_TEST 0 SITEPROP ILOGIC_X0Y37 IS_USED 0 SITEPROP ILOGIC_X0Y37 MANUAL_ROUTING SITEPROP ILOGIC_X0Y37 NAME ILOGIC_X0Y37 SITEPROP ILOGIC_X0Y37 NUM_ARCS 27 SITEPROP ILOGIC_X0Y37 NUM_BELS 6 SITEPROP ILOGIC_X0Y37 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y37 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y37 NUM_PINS 31 SITEPROP ILOGIC_X0Y37 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y37 PROHIBIT 0 SITEPROP ILOGIC_X0Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y37 RPM_X 2 SITEPROP ILOGIC_X0Y37 RPM_Y 75 SITEPROP ILOGIC_X0Y37 SITE_PIPS SITEPROP ILOGIC_X0Y37 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y38 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y38 CLASS site SITEPROP ILOGIC_X0Y38 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y38 IS_BONDED 0 SITEPROP ILOGIC_X0Y38 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y38 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y38 IS_PAD 0 SITEPROP ILOGIC_X0Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y38 IS_RESERVED 0 SITEPROP ILOGIC_X0Y38 IS_TEST 0 SITEPROP ILOGIC_X0Y38 IS_USED 0 SITEPROP ILOGIC_X0Y38 MANUAL_ROUTING SITEPROP ILOGIC_X0Y38 NAME ILOGIC_X0Y38 SITEPROP ILOGIC_X0Y38 NUM_ARCS 27 SITEPROP ILOGIC_X0Y38 NUM_BELS 6 SITEPROP ILOGIC_X0Y38 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y38 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y38 NUM_PINS 31 SITEPROP ILOGIC_X0Y38 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y38 PROHIBIT 0 SITEPROP ILOGIC_X0Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y38 RPM_X 2 SITEPROP ILOGIC_X0Y38 RPM_Y 74 SITEPROP ILOGIC_X0Y38 SITE_PIPS SITEPROP ILOGIC_X0Y38 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y39 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y39 CLASS site SITEPROP ILOGIC_X0Y39 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y39 IS_BONDED 0 SITEPROP ILOGIC_X0Y39 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y39 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y39 IS_PAD 0 SITEPROP ILOGIC_X0Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y39 IS_RESERVED 0 SITEPROP ILOGIC_X0Y39 IS_TEST 0 SITEPROP ILOGIC_X0Y39 IS_USED 0 SITEPROP ILOGIC_X0Y39 MANUAL_ROUTING SITEPROP ILOGIC_X0Y39 NAME ILOGIC_X0Y39 SITEPROP ILOGIC_X0Y39 NUM_ARCS 27 SITEPROP ILOGIC_X0Y39 NUM_BELS 6 SITEPROP ILOGIC_X0Y39 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y39 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y39 NUM_PINS 31 SITEPROP ILOGIC_X0Y39 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y39 PROHIBIT 0 SITEPROP ILOGIC_X0Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y39 RPM_X 2 SITEPROP ILOGIC_X0Y39 RPM_Y 79 SITEPROP ILOGIC_X0Y39 SITE_PIPS SITEPROP ILOGIC_X0Y39 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y40 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y40 CLASS site SITEPROP ILOGIC_X0Y40 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y40 IS_BONDED 0 SITEPROP ILOGIC_X0Y40 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y40 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y40 IS_PAD 0 SITEPROP ILOGIC_X0Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y40 IS_RESERVED 0 SITEPROP ILOGIC_X0Y40 IS_TEST 0 SITEPROP ILOGIC_X0Y40 IS_USED 0 SITEPROP ILOGIC_X0Y40 MANUAL_ROUTING SITEPROP ILOGIC_X0Y40 NAME ILOGIC_X0Y40 SITEPROP ILOGIC_X0Y40 NUM_ARCS 27 SITEPROP ILOGIC_X0Y40 NUM_BELS 6 SITEPROP ILOGIC_X0Y40 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y40 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y40 NUM_PINS 31 SITEPROP ILOGIC_X0Y40 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y40 PROHIBIT 0 SITEPROP ILOGIC_X0Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y40 RPM_X 2 SITEPROP ILOGIC_X0Y40 RPM_Y 78 SITEPROP ILOGIC_X0Y40 SITE_PIPS SITEPROP ILOGIC_X0Y40 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y41 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y41 CLASS site SITEPROP ILOGIC_X0Y41 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y41 IS_BONDED 0 SITEPROP ILOGIC_X0Y41 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y41 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y41 IS_PAD 0 SITEPROP ILOGIC_X0Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y41 IS_RESERVED 0 SITEPROP ILOGIC_X0Y41 IS_TEST 0 SITEPROP ILOGIC_X0Y41 IS_USED 0 SITEPROP ILOGIC_X0Y41 MANUAL_ROUTING SITEPROP ILOGIC_X0Y41 NAME ILOGIC_X0Y41 SITEPROP ILOGIC_X0Y41 NUM_ARCS 27 SITEPROP ILOGIC_X0Y41 NUM_BELS 6 SITEPROP ILOGIC_X0Y41 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y41 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y41 NUM_PINS 31 SITEPROP ILOGIC_X0Y41 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y41 PROHIBIT 0 SITEPROP ILOGIC_X0Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y41 RPM_X 2 SITEPROP ILOGIC_X0Y41 RPM_Y 83 SITEPROP ILOGIC_X0Y41 SITE_PIPS SITEPROP ILOGIC_X0Y41 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y42 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y42 CLASS site SITEPROP ILOGIC_X0Y42 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y42 IS_BONDED 0 SITEPROP ILOGIC_X0Y42 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y42 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y42 IS_PAD 0 SITEPROP ILOGIC_X0Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y42 IS_RESERVED 0 SITEPROP ILOGIC_X0Y42 IS_TEST 0 SITEPROP ILOGIC_X0Y42 IS_USED 0 SITEPROP ILOGIC_X0Y42 MANUAL_ROUTING SITEPROP ILOGIC_X0Y42 NAME ILOGIC_X0Y42 SITEPROP ILOGIC_X0Y42 NUM_ARCS 27 SITEPROP ILOGIC_X0Y42 NUM_BELS 6 SITEPROP ILOGIC_X0Y42 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y42 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y42 NUM_PINS 31 SITEPROP ILOGIC_X0Y42 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y42 PROHIBIT 0 SITEPROP ILOGIC_X0Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y42 RPM_X 2 SITEPROP ILOGIC_X0Y42 RPM_Y 82 SITEPROP ILOGIC_X0Y42 SITE_PIPS SITEPROP ILOGIC_X0Y42 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y43 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y43 CLASS site SITEPROP ILOGIC_X0Y43 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y43 IS_BONDED 0 SITEPROP ILOGIC_X0Y43 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y43 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y43 IS_PAD 0 SITEPROP ILOGIC_X0Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y43 IS_RESERVED 0 SITEPROP ILOGIC_X0Y43 IS_TEST 0 SITEPROP ILOGIC_X0Y43 IS_USED 0 SITEPROP ILOGIC_X0Y43 MANUAL_ROUTING SITEPROP ILOGIC_X0Y43 NAME ILOGIC_X0Y43 SITEPROP ILOGIC_X0Y43 NUM_ARCS 27 SITEPROP ILOGIC_X0Y43 NUM_BELS 6 SITEPROP ILOGIC_X0Y43 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y43 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y43 NUM_PINS 31 SITEPROP ILOGIC_X0Y43 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y43 PROHIBIT 0 SITEPROP ILOGIC_X0Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y43 RPM_X 2 SITEPROP ILOGIC_X0Y43 RPM_Y 87 SITEPROP ILOGIC_X0Y43 SITE_PIPS SITEPROP ILOGIC_X0Y43 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y44 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y44 CLASS site SITEPROP ILOGIC_X0Y44 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y44 IS_BONDED 0 SITEPROP ILOGIC_X0Y44 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y44 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y44 IS_PAD 0 SITEPROP ILOGIC_X0Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y44 IS_RESERVED 0 SITEPROP ILOGIC_X0Y44 IS_TEST 0 SITEPROP ILOGIC_X0Y44 IS_USED 0 SITEPROP ILOGIC_X0Y44 MANUAL_ROUTING SITEPROP ILOGIC_X0Y44 NAME ILOGIC_X0Y44 SITEPROP ILOGIC_X0Y44 NUM_ARCS 27 SITEPROP ILOGIC_X0Y44 NUM_BELS 6 SITEPROP ILOGIC_X0Y44 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y44 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y44 NUM_PINS 31 SITEPROP ILOGIC_X0Y44 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y44 PROHIBIT 0 SITEPROP ILOGIC_X0Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y44 RPM_X 2 SITEPROP ILOGIC_X0Y44 RPM_Y 86 SITEPROP ILOGIC_X0Y44 SITE_PIPS SITEPROP ILOGIC_X0Y44 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y45 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y45 CLASS site SITEPROP ILOGIC_X0Y45 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y45 IS_BONDED 0 SITEPROP ILOGIC_X0Y45 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y45 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y45 IS_PAD 0 SITEPROP ILOGIC_X0Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y45 IS_RESERVED 0 SITEPROP ILOGIC_X0Y45 IS_TEST 0 SITEPROP ILOGIC_X0Y45 IS_USED 0 SITEPROP ILOGIC_X0Y45 MANUAL_ROUTING SITEPROP ILOGIC_X0Y45 NAME ILOGIC_X0Y45 SITEPROP ILOGIC_X0Y45 NUM_ARCS 27 SITEPROP ILOGIC_X0Y45 NUM_BELS 6 SITEPROP ILOGIC_X0Y45 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y45 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y45 NUM_PINS 31 SITEPROP ILOGIC_X0Y45 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y45 PROHIBIT 0 SITEPROP ILOGIC_X0Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y45 RPM_X 2 SITEPROP ILOGIC_X0Y45 RPM_Y 91 SITEPROP ILOGIC_X0Y45 SITE_PIPS SITEPROP ILOGIC_X0Y45 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y46 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y46 CLASS site SITEPROP ILOGIC_X0Y46 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y46 IS_BONDED 0 SITEPROP ILOGIC_X0Y46 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y46 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y46 IS_PAD 0 SITEPROP ILOGIC_X0Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y46 IS_RESERVED 0 SITEPROP ILOGIC_X0Y46 IS_TEST 0 SITEPROP ILOGIC_X0Y46 IS_USED 0 SITEPROP ILOGIC_X0Y46 MANUAL_ROUTING SITEPROP ILOGIC_X0Y46 NAME ILOGIC_X0Y46 SITEPROP ILOGIC_X0Y46 NUM_ARCS 27 SITEPROP ILOGIC_X0Y46 NUM_BELS 6 SITEPROP ILOGIC_X0Y46 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y46 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y46 NUM_PINS 31 SITEPROP ILOGIC_X0Y46 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y46 PROHIBIT 0 SITEPROP ILOGIC_X0Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y46 RPM_X 2 SITEPROP ILOGIC_X0Y46 RPM_Y 90 SITEPROP ILOGIC_X0Y46 SITE_PIPS SITEPROP ILOGIC_X0Y46 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y47 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y47 CLASS site SITEPROP ILOGIC_X0Y47 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y47 IS_BONDED 0 SITEPROP ILOGIC_X0Y47 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y47 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y47 IS_PAD 0 SITEPROP ILOGIC_X0Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y47 IS_RESERVED 0 SITEPROP ILOGIC_X0Y47 IS_TEST 0 SITEPROP ILOGIC_X0Y47 IS_USED 0 SITEPROP ILOGIC_X0Y47 MANUAL_ROUTING SITEPROP ILOGIC_X0Y47 NAME ILOGIC_X0Y47 SITEPROP ILOGIC_X0Y47 NUM_ARCS 27 SITEPROP ILOGIC_X0Y47 NUM_BELS 6 SITEPROP ILOGIC_X0Y47 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y47 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y47 NUM_PINS 31 SITEPROP ILOGIC_X0Y47 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y47 PROHIBIT 0 SITEPROP ILOGIC_X0Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y47 RPM_X 2 SITEPROP ILOGIC_X0Y47 RPM_Y 95 SITEPROP ILOGIC_X0Y47 SITE_PIPS SITEPROP ILOGIC_X0Y47 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y48 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y48 CLASS site SITEPROP ILOGIC_X0Y48 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y48 IS_BONDED 0 SITEPROP ILOGIC_X0Y48 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y48 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y48 IS_PAD 0 SITEPROP ILOGIC_X0Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y48 IS_RESERVED 0 SITEPROP ILOGIC_X0Y48 IS_TEST 0 SITEPROP ILOGIC_X0Y48 IS_USED 0 SITEPROP ILOGIC_X0Y48 MANUAL_ROUTING SITEPROP ILOGIC_X0Y48 NAME ILOGIC_X0Y48 SITEPROP ILOGIC_X0Y48 NUM_ARCS 27 SITEPROP ILOGIC_X0Y48 NUM_BELS 6 SITEPROP ILOGIC_X0Y48 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y48 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y48 NUM_PINS 31 SITEPROP ILOGIC_X0Y48 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y48 PROHIBIT 0 SITEPROP ILOGIC_X0Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y48 RPM_X 2 SITEPROP ILOGIC_X0Y48 RPM_Y 94 SITEPROP ILOGIC_X0Y48 SITE_PIPS SITEPROP ILOGIC_X0Y48 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y49 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y49 CLASS site SITEPROP ILOGIC_X0Y49 CLOCK_REGION X0Y0 SITEPROP ILOGIC_X0Y49 IS_BONDED 0 SITEPROP ILOGIC_X0Y49 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y49 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y49 IS_PAD 0 SITEPROP ILOGIC_X0Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y49 IS_RESERVED 0 SITEPROP ILOGIC_X0Y49 IS_TEST 0 SITEPROP ILOGIC_X0Y49 IS_USED 0 SITEPROP ILOGIC_X0Y49 MANUAL_ROUTING SITEPROP ILOGIC_X0Y49 NAME ILOGIC_X0Y49 SITEPROP ILOGIC_X0Y49 NUM_ARCS 27 SITEPROP ILOGIC_X0Y49 NUM_BELS 6 SITEPROP ILOGIC_X0Y49 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y49 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y49 NUM_PINS 31 SITEPROP ILOGIC_X0Y49 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y49 PROHIBIT 0 SITEPROP ILOGIC_X0Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y49 RPM_X 2 SITEPROP ILOGIC_X0Y49 RPM_Y 98 SITEPROP ILOGIC_X0Y49 SITE_PIPS SITEPROP ILOGIC_X0Y49 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y50 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y50 CLASS site SITEPROP ILOGIC_X0Y50 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y50 IS_BONDED 0 SITEPROP ILOGIC_X0Y50 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y50 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y50 IS_PAD 0 SITEPROP ILOGIC_X0Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y50 IS_RESERVED 0 SITEPROP ILOGIC_X0Y50 IS_TEST 0 SITEPROP ILOGIC_X0Y50 IS_USED 0 SITEPROP ILOGIC_X0Y50 MANUAL_ROUTING SITEPROP ILOGIC_X0Y50 NAME ILOGIC_X0Y50 SITEPROP ILOGIC_X0Y50 NUM_ARCS 27 SITEPROP ILOGIC_X0Y50 NUM_BELS 6 SITEPROP ILOGIC_X0Y50 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y50 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y50 NUM_PINS 31 SITEPROP ILOGIC_X0Y50 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y50 PROHIBIT 0 SITEPROP ILOGIC_X0Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y50 RPM_X 2 SITEPROP ILOGIC_X0Y50 RPM_Y 100 SITEPROP ILOGIC_X0Y50 SITE_PIPS SITEPROP ILOGIC_X0Y50 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y51 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y51 CLASS site SITEPROP ILOGIC_X0Y51 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y51 IS_BONDED 0 SITEPROP ILOGIC_X0Y51 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y51 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y51 IS_PAD 0 SITEPROP ILOGIC_X0Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y51 IS_RESERVED 0 SITEPROP ILOGIC_X0Y51 IS_TEST 0 SITEPROP ILOGIC_X0Y51 IS_USED 0 SITEPROP ILOGIC_X0Y51 MANUAL_ROUTING SITEPROP ILOGIC_X0Y51 NAME ILOGIC_X0Y51 SITEPROP ILOGIC_X0Y51 NUM_ARCS 27 SITEPROP ILOGIC_X0Y51 NUM_BELS 6 SITEPROP ILOGIC_X0Y51 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y51 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y51 NUM_PINS 31 SITEPROP ILOGIC_X0Y51 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y51 PROHIBIT 0 SITEPROP ILOGIC_X0Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y51 RPM_X 2 SITEPROP ILOGIC_X0Y51 RPM_Y 103 SITEPROP ILOGIC_X0Y51 SITE_PIPS SITEPROP ILOGIC_X0Y51 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y52 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y52 CLASS site SITEPROP ILOGIC_X0Y52 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y52 IS_BONDED 0 SITEPROP ILOGIC_X0Y52 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y52 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y52 IS_PAD 0 SITEPROP ILOGIC_X0Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y52 IS_RESERVED 0 SITEPROP ILOGIC_X0Y52 IS_TEST 0 SITEPROP ILOGIC_X0Y52 IS_USED 0 SITEPROP ILOGIC_X0Y52 MANUAL_ROUTING SITEPROP ILOGIC_X0Y52 NAME ILOGIC_X0Y52 SITEPROP ILOGIC_X0Y52 NUM_ARCS 27 SITEPROP ILOGIC_X0Y52 NUM_BELS 6 SITEPROP ILOGIC_X0Y52 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y52 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y52 NUM_PINS 31 SITEPROP ILOGIC_X0Y52 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y52 PROHIBIT 0 SITEPROP ILOGIC_X0Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y52 RPM_X 2 SITEPROP ILOGIC_X0Y52 RPM_Y 102 SITEPROP ILOGIC_X0Y52 SITE_PIPS SITEPROP ILOGIC_X0Y52 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y53 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y53 CLASS site SITEPROP ILOGIC_X0Y53 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y53 IS_BONDED 0 SITEPROP ILOGIC_X0Y53 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y53 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y53 IS_PAD 0 SITEPROP ILOGIC_X0Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y53 IS_RESERVED 0 SITEPROP ILOGIC_X0Y53 IS_TEST 0 SITEPROP ILOGIC_X0Y53 IS_USED 0 SITEPROP ILOGIC_X0Y53 MANUAL_ROUTING SITEPROP ILOGIC_X0Y53 NAME ILOGIC_X0Y53 SITEPROP ILOGIC_X0Y53 NUM_ARCS 27 SITEPROP ILOGIC_X0Y53 NUM_BELS 6 SITEPROP ILOGIC_X0Y53 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y53 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y53 NUM_PINS 31 SITEPROP ILOGIC_X0Y53 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y53 PROHIBIT 0 SITEPROP ILOGIC_X0Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y53 RPM_X 2 SITEPROP ILOGIC_X0Y53 RPM_Y 107 SITEPROP ILOGIC_X0Y53 SITE_PIPS SITEPROP ILOGIC_X0Y53 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y54 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y54 CLASS site SITEPROP ILOGIC_X0Y54 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y54 IS_BONDED 0 SITEPROP ILOGIC_X0Y54 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y54 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y54 IS_PAD 0 SITEPROP ILOGIC_X0Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y54 IS_RESERVED 0 SITEPROP ILOGIC_X0Y54 IS_TEST 0 SITEPROP ILOGIC_X0Y54 IS_USED 0 SITEPROP ILOGIC_X0Y54 MANUAL_ROUTING SITEPROP ILOGIC_X0Y54 NAME ILOGIC_X0Y54 SITEPROP ILOGIC_X0Y54 NUM_ARCS 27 SITEPROP ILOGIC_X0Y54 NUM_BELS 6 SITEPROP ILOGIC_X0Y54 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y54 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y54 NUM_PINS 31 SITEPROP ILOGIC_X0Y54 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y54 PROHIBIT 0 SITEPROP ILOGIC_X0Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y54 RPM_X 2 SITEPROP ILOGIC_X0Y54 RPM_Y 106 SITEPROP ILOGIC_X0Y54 SITE_PIPS SITEPROP ILOGIC_X0Y54 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y55 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y55 CLASS site SITEPROP ILOGIC_X0Y55 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y55 IS_BONDED 0 SITEPROP ILOGIC_X0Y55 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y55 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y55 IS_PAD 0 SITEPROP ILOGIC_X0Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y55 IS_RESERVED 0 SITEPROP ILOGIC_X0Y55 IS_TEST 0 SITEPROP ILOGIC_X0Y55 IS_USED 0 SITEPROP ILOGIC_X0Y55 MANUAL_ROUTING SITEPROP ILOGIC_X0Y55 NAME ILOGIC_X0Y55 SITEPROP ILOGIC_X0Y55 NUM_ARCS 27 SITEPROP ILOGIC_X0Y55 NUM_BELS 6 SITEPROP ILOGIC_X0Y55 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y55 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y55 NUM_PINS 31 SITEPROP ILOGIC_X0Y55 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y55 PROHIBIT 0 SITEPROP ILOGIC_X0Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y55 RPM_X 2 SITEPROP ILOGIC_X0Y55 RPM_Y 111 SITEPROP ILOGIC_X0Y55 SITE_PIPS SITEPROP ILOGIC_X0Y55 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y56 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y56 CLASS site SITEPROP ILOGIC_X0Y56 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y56 IS_BONDED 0 SITEPROP ILOGIC_X0Y56 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y56 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y56 IS_PAD 0 SITEPROP ILOGIC_X0Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y56 IS_RESERVED 0 SITEPROP ILOGIC_X0Y56 IS_TEST 0 SITEPROP ILOGIC_X0Y56 IS_USED 0 SITEPROP ILOGIC_X0Y56 MANUAL_ROUTING SITEPROP ILOGIC_X0Y56 NAME ILOGIC_X0Y56 SITEPROP ILOGIC_X0Y56 NUM_ARCS 27 SITEPROP ILOGIC_X0Y56 NUM_BELS 6 SITEPROP ILOGIC_X0Y56 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y56 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y56 NUM_PINS 31 SITEPROP ILOGIC_X0Y56 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y56 PROHIBIT 0 SITEPROP ILOGIC_X0Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y56 RPM_X 2 SITEPROP ILOGIC_X0Y56 RPM_Y 110 SITEPROP ILOGIC_X0Y56 SITE_PIPS SITEPROP ILOGIC_X0Y56 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y57 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y57 CLASS site SITEPROP ILOGIC_X0Y57 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y57 IS_BONDED 0 SITEPROP ILOGIC_X0Y57 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y57 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y57 IS_PAD 0 SITEPROP ILOGIC_X0Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y57 IS_RESERVED 0 SITEPROP ILOGIC_X0Y57 IS_TEST 0 SITEPROP ILOGIC_X0Y57 IS_USED 0 SITEPROP ILOGIC_X0Y57 MANUAL_ROUTING SITEPROP ILOGIC_X0Y57 NAME ILOGIC_X0Y57 SITEPROP ILOGIC_X0Y57 NUM_ARCS 27 SITEPROP ILOGIC_X0Y57 NUM_BELS 6 SITEPROP ILOGIC_X0Y57 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y57 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y57 NUM_PINS 31 SITEPROP ILOGIC_X0Y57 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y57 PROHIBIT 0 SITEPROP ILOGIC_X0Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y57 RPM_X 2 SITEPROP ILOGIC_X0Y57 RPM_Y 115 SITEPROP ILOGIC_X0Y57 SITE_PIPS SITEPROP ILOGIC_X0Y57 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y58 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y58 CLASS site SITEPROP ILOGIC_X0Y58 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y58 IS_BONDED 0 SITEPROP ILOGIC_X0Y58 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y58 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y58 IS_PAD 0 SITEPROP ILOGIC_X0Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y58 IS_RESERVED 0 SITEPROP ILOGIC_X0Y58 IS_TEST 0 SITEPROP ILOGIC_X0Y58 IS_USED 0 SITEPROP ILOGIC_X0Y58 MANUAL_ROUTING SITEPROP ILOGIC_X0Y58 NAME ILOGIC_X0Y58 SITEPROP ILOGIC_X0Y58 NUM_ARCS 27 SITEPROP ILOGIC_X0Y58 NUM_BELS 6 SITEPROP ILOGIC_X0Y58 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y58 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y58 NUM_PINS 31 SITEPROP ILOGIC_X0Y58 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y58 PROHIBIT 0 SITEPROP ILOGIC_X0Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y58 RPM_X 2 SITEPROP ILOGIC_X0Y58 RPM_Y 114 SITEPROP ILOGIC_X0Y58 SITE_PIPS SITEPROP ILOGIC_X0Y58 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y59 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y59 CLASS site SITEPROP ILOGIC_X0Y59 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y59 IS_BONDED 0 SITEPROP ILOGIC_X0Y59 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y59 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y59 IS_PAD 0 SITEPROP ILOGIC_X0Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y59 IS_RESERVED 0 SITEPROP ILOGIC_X0Y59 IS_TEST 0 SITEPROP ILOGIC_X0Y59 IS_USED 0 SITEPROP ILOGIC_X0Y59 MANUAL_ROUTING SITEPROP ILOGIC_X0Y59 NAME ILOGIC_X0Y59 SITEPROP ILOGIC_X0Y59 NUM_ARCS 27 SITEPROP ILOGIC_X0Y59 NUM_BELS 6 SITEPROP ILOGIC_X0Y59 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y59 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y59 NUM_PINS 31 SITEPROP ILOGIC_X0Y59 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y59 PROHIBIT 0 SITEPROP ILOGIC_X0Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y59 RPM_X 2 SITEPROP ILOGIC_X0Y59 RPM_Y 119 SITEPROP ILOGIC_X0Y59 SITE_PIPS SITEPROP ILOGIC_X0Y59 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y60 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y60 CLASS site SITEPROP ILOGIC_X0Y60 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y60 IS_BONDED 0 SITEPROP ILOGIC_X0Y60 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y60 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y60 IS_PAD 0 SITEPROP ILOGIC_X0Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y60 IS_RESERVED 0 SITEPROP ILOGIC_X0Y60 IS_TEST 0 SITEPROP ILOGIC_X0Y60 IS_USED 0 SITEPROP ILOGIC_X0Y60 MANUAL_ROUTING SITEPROP ILOGIC_X0Y60 NAME ILOGIC_X0Y60 SITEPROP ILOGIC_X0Y60 NUM_ARCS 27 SITEPROP ILOGIC_X0Y60 NUM_BELS 6 SITEPROP ILOGIC_X0Y60 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y60 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y60 NUM_PINS 31 SITEPROP ILOGIC_X0Y60 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y60 PROHIBIT 0 SITEPROP ILOGIC_X0Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y60 RPM_X 2 SITEPROP ILOGIC_X0Y60 RPM_Y 118 SITEPROP ILOGIC_X0Y60 SITE_PIPS SITEPROP ILOGIC_X0Y60 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y61 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y61 CLASS site SITEPROP ILOGIC_X0Y61 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y61 IS_BONDED 0 SITEPROP ILOGIC_X0Y61 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y61 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y61 IS_PAD 0 SITEPROP ILOGIC_X0Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y61 IS_RESERVED 0 SITEPROP ILOGIC_X0Y61 IS_TEST 0 SITEPROP ILOGIC_X0Y61 IS_USED 0 SITEPROP ILOGIC_X0Y61 MANUAL_ROUTING SITEPROP ILOGIC_X0Y61 NAME ILOGIC_X0Y61 SITEPROP ILOGIC_X0Y61 NUM_ARCS 27 SITEPROP ILOGIC_X0Y61 NUM_BELS 6 SITEPROP ILOGIC_X0Y61 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y61 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y61 NUM_PINS 31 SITEPROP ILOGIC_X0Y61 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y61 PROHIBIT 0 SITEPROP ILOGIC_X0Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y61 RPM_X 2 SITEPROP ILOGIC_X0Y61 RPM_Y 123 SITEPROP ILOGIC_X0Y61 SITE_PIPS SITEPROP ILOGIC_X0Y61 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y62 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y62 CLASS site SITEPROP ILOGIC_X0Y62 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y62 IS_BONDED 0 SITEPROP ILOGIC_X0Y62 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y62 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y62 IS_PAD 0 SITEPROP ILOGIC_X0Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y62 IS_RESERVED 0 SITEPROP ILOGIC_X0Y62 IS_TEST 0 SITEPROP ILOGIC_X0Y62 IS_USED 0 SITEPROP ILOGIC_X0Y62 MANUAL_ROUTING SITEPROP ILOGIC_X0Y62 NAME ILOGIC_X0Y62 SITEPROP ILOGIC_X0Y62 NUM_ARCS 27 SITEPROP ILOGIC_X0Y62 NUM_BELS 6 SITEPROP ILOGIC_X0Y62 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y62 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y62 NUM_PINS 31 SITEPROP ILOGIC_X0Y62 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y62 PROHIBIT 0 SITEPROP ILOGIC_X0Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y62 RPM_X 2 SITEPROP ILOGIC_X0Y62 RPM_Y 122 SITEPROP ILOGIC_X0Y62 SITE_PIPS SITEPROP ILOGIC_X0Y62 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y63 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y63 CLASS site SITEPROP ILOGIC_X0Y63 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y63 IS_BONDED 0 SITEPROP ILOGIC_X0Y63 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y63 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y63 IS_PAD 0 SITEPROP ILOGIC_X0Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y63 IS_RESERVED 0 SITEPROP ILOGIC_X0Y63 IS_TEST 0 SITEPROP ILOGIC_X0Y63 IS_USED 0 SITEPROP ILOGIC_X0Y63 MANUAL_ROUTING SITEPROP ILOGIC_X0Y63 NAME ILOGIC_X0Y63 SITEPROP ILOGIC_X0Y63 NUM_ARCS 27 SITEPROP ILOGIC_X0Y63 NUM_BELS 6 SITEPROP ILOGIC_X0Y63 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y63 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y63 NUM_PINS 31 SITEPROP ILOGIC_X0Y63 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y63 PROHIBIT 0 SITEPROP ILOGIC_X0Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y63 RPM_X 2 SITEPROP ILOGIC_X0Y63 RPM_Y 127 SITEPROP ILOGIC_X0Y63 SITE_PIPS SITEPROP ILOGIC_X0Y63 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y64 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y64 CLASS site SITEPROP ILOGIC_X0Y64 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y64 IS_BONDED 0 SITEPROP ILOGIC_X0Y64 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y64 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y64 IS_PAD 0 SITEPROP ILOGIC_X0Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y64 IS_RESERVED 0 SITEPROP ILOGIC_X0Y64 IS_TEST 0 SITEPROP ILOGIC_X0Y64 IS_USED 0 SITEPROP ILOGIC_X0Y64 MANUAL_ROUTING SITEPROP ILOGIC_X0Y64 NAME ILOGIC_X0Y64 SITEPROP ILOGIC_X0Y64 NUM_ARCS 27 SITEPROP ILOGIC_X0Y64 NUM_BELS 6 SITEPROP ILOGIC_X0Y64 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y64 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y64 NUM_PINS 31 SITEPROP ILOGIC_X0Y64 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y64 PROHIBIT 0 SITEPROP ILOGIC_X0Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y64 RPM_X 2 SITEPROP ILOGIC_X0Y64 RPM_Y 126 SITEPROP ILOGIC_X0Y64 SITE_PIPS SITEPROP ILOGIC_X0Y64 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y65 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y65 CLASS site SITEPROP ILOGIC_X0Y65 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y65 IS_BONDED 0 SITEPROP ILOGIC_X0Y65 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y65 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y65 IS_PAD 0 SITEPROP ILOGIC_X0Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y65 IS_RESERVED 0 SITEPROP ILOGIC_X0Y65 IS_TEST 0 SITEPROP ILOGIC_X0Y65 IS_USED 0 SITEPROP ILOGIC_X0Y65 MANUAL_ROUTING SITEPROP ILOGIC_X0Y65 NAME ILOGIC_X0Y65 SITEPROP ILOGIC_X0Y65 NUM_ARCS 27 SITEPROP ILOGIC_X0Y65 NUM_BELS 6 SITEPROP ILOGIC_X0Y65 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y65 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y65 NUM_PINS 31 SITEPROP ILOGIC_X0Y65 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y65 PROHIBIT 0 SITEPROP ILOGIC_X0Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y65 RPM_X 2 SITEPROP ILOGIC_X0Y65 RPM_Y 131 SITEPROP ILOGIC_X0Y65 SITE_PIPS SITEPROP ILOGIC_X0Y65 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y66 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y66 CLASS site SITEPROP ILOGIC_X0Y66 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y66 IS_BONDED 0 SITEPROP ILOGIC_X0Y66 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y66 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y66 IS_PAD 0 SITEPROP ILOGIC_X0Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y66 IS_RESERVED 0 SITEPROP ILOGIC_X0Y66 IS_TEST 0 SITEPROP ILOGIC_X0Y66 IS_USED 0 SITEPROP ILOGIC_X0Y66 MANUAL_ROUTING SITEPROP ILOGIC_X0Y66 NAME ILOGIC_X0Y66 SITEPROP ILOGIC_X0Y66 NUM_ARCS 27 SITEPROP ILOGIC_X0Y66 NUM_BELS 6 SITEPROP ILOGIC_X0Y66 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y66 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y66 NUM_PINS 31 SITEPROP ILOGIC_X0Y66 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y66 PROHIBIT 0 SITEPROP ILOGIC_X0Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y66 RPM_X 2 SITEPROP ILOGIC_X0Y66 RPM_Y 130 SITEPROP ILOGIC_X0Y66 SITE_PIPS SITEPROP ILOGIC_X0Y66 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y67 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y67 CLASS site SITEPROP ILOGIC_X0Y67 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y67 IS_BONDED 0 SITEPROP ILOGIC_X0Y67 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y67 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y67 IS_PAD 0 SITEPROP ILOGIC_X0Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y67 IS_RESERVED 0 SITEPROP ILOGIC_X0Y67 IS_TEST 0 SITEPROP ILOGIC_X0Y67 IS_USED 0 SITEPROP ILOGIC_X0Y67 MANUAL_ROUTING SITEPROP ILOGIC_X0Y67 NAME ILOGIC_X0Y67 SITEPROP ILOGIC_X0Y67 NUM_ARCS 27 SITEPROP ILOGIC_X0Y67 NUM_BELS 6 SITEPROP ILOGIC_X0Y67 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y67 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y67 NUM_PINS 31 SITEPROP ILOGIC_X0Y67 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y67 PROHIBIT 0 SITEPROP ILOGIC_X0Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y67 RPM_X 2 SITEPROP ILOGIC_X0Y67 RPM_Y 135 SITEPROP ILOGIC_X0Y67 SITE_PIPS SITEPROP ILOGIC_X0Y67 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y68 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y68 CLASS site SITEPROP ILOGIC_X0Y68 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y68 IS_BONDED 0 SITEPROP ILOGIC_X0Y68 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y68 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y68 IS_PAD 0 SITEPROP ILOGIC_X0Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y68 IS_RESERVED 0 SITEPROP ILOGIC_X0Y68 IS_TEST 0 SITEPROP ILOGIC_X0Y68 IS_USED 0 SITEPROP ILOGIC_X0Y68 MANUAL_ROUTING SITEPROP ILOGIC_X0Y68 NAME ILOGIC_X0Y68 SITEPROP ILOGIC_X0Y68 NUM_ARCS 27 SITEPROP ILOGIC_X0Y68 NUM_BELS 6 SITEPROP ILOGIC_X0Y68 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y68 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y68 NUM_PINS 31 SITEPROP ILOGIC_X0Y68 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y68 PROHIBIT 0 SITEPROP ILOGIC_X0Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y68 RPM_X 2 SITEPROP ILOGIC_X0Y68 RPM_Y 134 SITEPROP ILOGIC_X0Y68 SITE_PIPS SITEPROP ILOGIC_X0Y68 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y69 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y69 CLASS site SITEPROP ILOGIC_X0Y69 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y69 IS_BONDED 0 SITEPROP ILOGIC_X0Y69 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y69 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y69 IS_PAD 0 SITEPROP ILOGIC_X0Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y69 IS_RESERVED 0 SITEPROP ILOGIC_X0Y69 IS_TEST 0 SITEPROP ILOGIC_X0Y69 IS_USED 0 SITEPROP ILOGIC_X0Y69 MANUAL_ROUTING SITEPROP ILOGIC_X0Y69 NAME ILOGIC_X0Y69 SITEPROP ILOGIC_X0Y69 NUM_ARCS 27 SITEPROP ILOGIC_X0Y69 NUM_BELS 6 SITEPROP ILOGIC_X0Y69 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y69 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y69 NUM_PINS 31 SITEPROP ILOGIC_X0Y69 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y69 PROHIBIT 0 SITEPROP ILOGIC_X0Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y69 RPM_X 2 SITEPROP ILOGIC_X0Y69 RPM_Y 139 SITEPROP ILOGIC_X0Y69 SITE_PIPS SITEPROP ILOGIC_X0Y69 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y70 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y70 CLASS site SITEPROP ILOGIC_X0Y70 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y70 IS_BONDED 0 SITEPROP ILOGIC_X0Y70 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y70 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y70 IS_PAD 0 SITEPROP ILOGIC_X0Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y70 IS_RESERVED 0 SITEPROP ILOGIC_X0Y70 IS_TEST 0 SITEPROP ILOGIC_X0Y70 IS_USED 0 SITEPROP ILOGIC_X0Y70 MANUAL_ROUTING SITEPROP ILOGIC_X0Y70 NAME ILOGIC_X0Y70 SITEPROP ILOGIC_X0Y70 NUM_ARCS 27 SITEPROP ILOGIC_X0Y70 NUM_BELS 6 SITEPROP ILOGIC_X0Y70 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y70 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y70 NUM_PINS 31 SITEPROP ILOGIC_X0Y70 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y70 PROHIBIT 0 SITEPROP ILOGIC_X0Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y70 RPM_X 2 SITEPROP ILOGIC_X0Y70 RPM_Y 138 SITEPROP ILOGIC_X0Y70 SITE_PIPS SITEPROP ILOGIC_X0Y70 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y71 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y71 CLASS site SITEPROP ILOGIC_X0Y71 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y71 IS_BONDED 0 SITEPROP ILOGIC_X0Y71 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y71 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y71 IS_PAD 0 SITEPROP ILOGIC_X0Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y71 IS_RESERVED 0 SITEPROP ILOGIC_X0Y71 IS_TEST 0 SITEPROP ILOGIC_X0Y71 IS_USED 0 SITEPROP ILOGIC_X0Y71 MANUAL_ROUTING SITEPROP ILOGIC_X0Y71 NAME ILOGIC_X0Y71 SITEPROP ILOGIC_X0Y71 NUM_ARCS 27 SITEPROP ILOGIC_X0Y71 NUM_BELS 6 SITEPROP ILOGIC_X0Y71 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y71 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y71 NUM_PINS 31 SITEPROP ILOGIC_X0Y71 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y71 PROHIBIT 0 SITEPROP ILOGIC_X0Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y71 RPM_X 2 SITEPROP ILOGIC_X0Y71 RPM_Y 143 SITEPROP ILOGIC_X0Y71 SITE_PIPS SITEPROP ILOGIC_X0Y71 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y72 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y72 CLASS site SITEPROP ILOGIC_X0Y72 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y72 IS_BONDED 0 SITEPROP ILOGIC_X0Y72 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y72 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y72 IS_PAD 0 SITEPROP ILOGIC_X0Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y72 IS_RESERVED 0 SITEPROP ILOGIC_X0Y72 IS_TEST 0 SITEPROP ILOGIC_X0Y72 IS_USED 0 SITEPROP ILOGIC_X0Y72 MANUAL_ROUTING SITEPROP ILOGIC_X0Y72 NAME ILOGIC_X0Y72 SITEPROP ILOGIC_X0Y72 NUM_ARCS 27 SITEPROP ILOGIC_X0Y72 NUM_BELS 6 SITEPROP ILOGIC_X0Y72 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y72 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y72 NUM_PINS 31 SITEPROP ILOGIC_X0Y72 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y72 PROHIBIT 0 SITEPROP ILOGIC_X0Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y72 RPM_X 2 SITEPROP ILOGIC_X0Y72 RPM_Y 142 SITEPROP ILOGIC_X0Y72 SITE_PIPS SITEPROP ILOGIC_X0Y72 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y73 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y73 CLASS site SITEPROP ILOGIC_X0Y73 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y73 IS_BONDED 0 SITEPROP ILOGIC_X0Y73 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y73 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y73 IS_PAD 0 SITEPROP ILOGIC_X0Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y73 IS_RESERVED 0 SITEPROP ILOGIC_X0Y73 IS_TEST 0 SITEPROP ILOGIC_X0Y73 IS_USED 0 SITEPROP ILOGIC_X0Y73 MANUAL_ROUTING SITEPROP ILOGIC_X0Y73 NAME ILOGIC_X0Y73 SITEPROP ILOGIC_X0Y73 NUM_ARCS 27 SITEPROP ILOGIC_X0Y73 NUM_BELS 6 SITEPROP ILOGIC_X0Y73 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y73 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y73 NUM_PINS 31 SITEPROP ILOGIC_X0Y73 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y73 PROHIBIT 0 SITEPROP ILOGIC_X0Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y73 RPM_X 2 SITEPROP ILOGIC_X0Y73 RPM_Y 147 SITEPROP ILOGIC_X0Y73 SITE_PIPS SITEPROP ILOGIC_X0Y73 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y74 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y74 CLASS site SITEPROP ILOGIC_X0Y74 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y74 IS_BONDED 0 SITEPROP ILOGIC_X0Y74 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y74 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y74 IS_PAD 0 SITEPROP ILOGIC_X0Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y74 IS_RESERVED 0 SITEPROP ILOGIC_X0Y74 IS_TEST 0 SITEPROP ILOGIC_X0Y74 IS_USED 0 SITEPROP ILOGIC_X0Y74 MANUAL_ROUTING SITEPROP ILOGIC_X0Y74 NAME ILOGIC_X0Y74 SITEPROP ILOGIC_X0Y74 NUM_ARCS 27 SITEPROP ILOGIC_X0Y74 NUM_BELS 6 SITEPROP ILOGIC_X0Y74 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y74 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y74 NUM_PINS 31 SITEPROP ILOGIC_X0Y74 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y74 PROHIBIT 0 SITEPROP ILOGIC_X0Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y74 RPM_X 2 SITEPROP ILOGIC_X0Y74 RPM_Y 146 SITEPROP ILOGIC_X0Y74 SITE_PIPS SITEPROP ILOGIC_X0Y74 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y75 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y75 CLASS site SITEPROP ILOGIC_X0Y75 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y75 IS_BONDED 0 SITEPROP ILOGIC_X0Y75 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y75 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y75 IS_PAD 0 SITEPROP ILOGIC_X0Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y75 IS_RESERVED 0 SITEPROP ILOGIC_X0Y75 IS_TEST 0 SITEPROP ILOGIC_X0Y75 IS_USED 0 SITEPROP ILOGIC_X0Y75 MANUAL_ROUTING SITEPROP ILOGIC_X0Y75 NAME ILOGIC_X0Y75 SITEPROP ILOGIC_X0Y75 NUM_ARCS 27 SITEPROP ILOGIC_X0Y75 NUM_BELS 6 SITEPROP ILOGIC_X0Y75 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y75 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y75 NUM_PINS 31 SITEPROP ILOGIC_X0Y75 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y75 PROHIBIT 0 SITEPROP ILOGIC_X0Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y75 RPM_X 2 SITEPROP ILOGIC_X0Y75 RPM_Y 151 SITEPROP ILOGIC_X0Y75 SITE_PIPS SITEPROP ILOGIC_X0Y75 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y76 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y76 CLASS site SITEPROP ILOGIC_X0Y76 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y76 IS_BONDED 0 SITEPROP ILOGIC_X0Y76 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y76 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y76 IS_PAD 0 SITEPROP ILOGIC_X0Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y76 IS_RESERVED 0 SITEPROP ILOGIC_X0Y76 IS_TEST 0 SITEPROP ILOGIC_X0Y76 IS_USED 0 SITEPROP ILOGIC_X0Y76 MANUAL_ROUTING SITEPROP ILOGIC_X0Y76 NAME ILOGIC_X0Y76 SITEPROP ILOGIC_X0Y76 NUM_ARCS 27 SITEPROP ILOGIC_X0Y76 NUM_BELS 6 SITEPROP ILOGIC_X0Y76 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y76 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y76 NUM_PINS 31 SITEPROP ILOGIC_X0Y76 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y76 PROHIBIT 0 SITEPROP ILOGIC_X0Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y76 RPM_X 2 SITEPROP ILOGIC_X0Y76 RPM_Y 150 SITEPROP ILOGIC_X0Y76 SITE_PIPS SITEPROP ILOGIC_X0Y76 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y77 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y77 CLASS site SITEPROP ILOGIC_X0Y77 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y77 IS_BONDED 0 SITEPROP ILOGIC_X0Y77 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y77 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y77 IS_PAD 0 SITEPROP ILOGIC_X0Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y77 IS_RESERVED 0 SITEPROP ILOGIC_X0Y77 IS_TEST 0 SITEPROP ILOGIC_X0Y77 IS_USED 0 SITEPROP ILOGIC_X0Y77 MANUAL_ROUTING SITEPROP ILOGIC_X0Y77 NAME ILOGIC_X0Y77 SITEPROP ILOGIC_X0Y77 NUM_ARCS 27 SITEPROP ILOGIC_X0Y77 NUM_BELS 6 SITEPROP ILOGIC_X0Y77 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y77 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y77 NUM_PINS 31 SITEPROP ILOGIC_X0Y77 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y77 PROHIBIT 0 SITEPROP ILOGIC_X0Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y77 RPM_X 2 SITEPROP ILOGIC_X0Y77 RPM_Y 155 SITEPROP ILOGIC_X0Y77 SITE_PIPS SITEPROP ILOGIC_X0Y77 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y78 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y78 CLASS site SITEPROP ILOGIC_X0Y78 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y78 IS_BONDED 0 SITEPROP ILOGIC_X0Y78 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y78 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y78 IS_PAD 0 SITEPROP ILOGIC_X0Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y78 IS_RESERVED 0 SITEPROP ILOGIC_X0Y78 IS_TEST 0 SITEPROP ILOGIC_X0Y78 IS_USED 0 SITEPROP ILOGIC_X0Y78 MANUAL_ROUTING SITEPROP ILOGIC_X0Y78 NAME ILOGIC_X0Y78 SITEPROP ILOGIC_X0Y78 NUM_ARCS 27 SITEPROP ILOGIC_X0Y78 NUM_BELS 6 SITEPROP ILOGIC_X0Y78 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y78 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y78 NUM_PINS 31 SITEPROP ILOGIC_X0Y78 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y78 PROHIBIT 0 SITEPROP ILOGIC_X0Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y78 RPM_X 2 SITEPROP ILOGIC_X0Y78 RPM_Y 154 SITEPROP ILOGIC_X0Y78 SITE_PIPS SITEPROP ILOGIC_X0Y78 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y79 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y79 CLASS site SITEPROP ILOGIC_X0Y79 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y79 IS_BONDED 0 SITEPROP ILOGIC_X0Y79 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y79 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y79 IS_PAD 0 SITEPROP ILOGIC_X0Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y79 IS_RESERVED 0 SITEPROP ILOGIC_X0Y79 IS_TEST 0 SITEPROP ILOGIC_X0Y79 IS_USED 0 SITEPROP ILOGIC_X0Y79 MANUAL_ROUTING SITEPROP ILOGIC_X0Y79 NAME ILOGIC_X0Y79 SITEPROP ILOGIC_X0Y79 NUM_ARCS 27 SITEPROP ILOGIC_X0Y79 NUM_BELS 6 SITEPROP ILOGIC_X0Y79 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y79 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y79 NUM_PINS 31 SITEPROP ILOGIC_X0Y79 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y79 PROHIBIT 0 SITEPROP ILOGIC_X0Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y79 RPM_X 2 SITEPROP ILOGIC_X0Y79 RPM_Y 159 SITEPROP ILOGIC_X0Y79 SITE_PIPS SITEPROP ILOGIC_X0Y79 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y80 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y80 CLASS site SITEPROP ILOGIC_X0Y80 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y80 IS_BONDED 0 SITEPROP ILOGIC_X0Y80 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y80 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y80 IS_PAD 0 SITEPROP ILOGIC_X0Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y80 IS_RESERVED 0 SITEPROP ILOGIC_X0Y80 IS_TEST 0 SITEPROP ILOGIC_X0Y80 IS_USED 0 SITEPROP ILOGIC_X0Y80 MANUAL_ROUTING SITEPROP ILOGIC_X0Y80 NAME ILOGIC_X0Y80 SITEPROP ILOGIC_X0Y80 NUM_ARCS 27 SITEPROP ILOGIC_X0Y80 NUM_BELS 6 SITEPROP ILOGIC_X0Y80 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y80 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y80 NUM_PINS 31 SITEPROP ILOGIC_X0Y80 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y80 PROHIBIT 0 SITEPROP ILOGIC_X0Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y80 RPM_X 2 SITEPROP ILOGIC_X0Y80 RPM_Y 158 SITEPROP ILOGIC_X0Y80 SITE_PIPS SITEPROP ILOGIC_X0Y80 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y81 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y81 CLASS site SITEPROP ILOGIC_X0Y81 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y81 IS_BONDED 0 SITEPROP ILOGIC_X0Y81 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y81 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y81 IS_PAD 0 SITEPROP ILOGIC_X0Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y81 IS_RESERVED 0 SITEPROP ILOGIC_X0Y81 IS_TEST 0 SITEPROP ILOGIC_X0Y81 IS_USED 0 SITEPROP ILOGIC_X0Y81 MANUAL_ROUTING SITEPROP ILOGIC_X0Y81 NAME ILOGIC_X0Y81 SITEPROP ILOGIC_X0Y81 NUM_ARCS 27 SITEPROP ILOGIC_X0Y81 NUM_BELS 6 SITEPROP ILOGIC_X0Y81 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y81 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y81 NUM_PINS 31 SITEPROP ILOGIC_X0Y81 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y81 PROHIBIT 0 SITEPROP ILOGIC_X0Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y81 RPM_X 2 SITEPROP ILOGIC_X0Y81 RPM_Y 163 SITEPROP ILOGIC_X0Y81 SITE_PIPS SITEPROP ILOGIC_X0Y81 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y82 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y82 CLASS site SITEPROP ILOGIC_X0Y82 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y82 IS_BONDED 0 SITEPROP ILOGIC_X0Y82 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y82 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y82 IS_PAD 0 SITEPROP ILOGIC_X0Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y82 IS_RESERVED 0 SITEPROP ILOGIC_X0Y82 IS_TEST 0 SITEPROP ILOGIC_X0Y82 IS_USED 0 SITEPROP ILOGIC_X0Y82 MANUAL_ROUTING SITEPROP ILOGIC_X0Y82 NAME ILOGIC_X0Y82 SITEPROP ILOGIC_X0Y82 NUM_ARCS 27 SITEPROP ILOGIC_X0Y82 NUM_BELS 6 SITEPROP ILOGIC_X0Y82 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y82 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y82 NUM_PINS 31 SITEPROP ILOGIC_X0Y82 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y82 PROHIBIT 0 SITEPROP ILOGIC_X0Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y82 RPM_X 2 SITEPROP ILOGIC_X0Y82 RPM_Y 162 SITEPROP ILOGIC_X0Y82 SITE_PIPS SITEPROP ILOGIC_X0Y82 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y83 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y83 CLASS site SITEPROP ILOGIC_X0Y83 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y83 IS_BONDED 0 SITEPROP ILOGIC_X0Y83 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y83 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y83 IS_PAD 0 SITEPROP ILOGIC_X0Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y83 IS_RESERVED 0 SITEPROP ILOGIC_X0Y83 IS_TEST 0 SITEPROP ILOGIC_X0Y83 IS_USED 0 SITEPROP ILOGIC_X0Y83 MANUAL_ROUTING SITEPROP ILOGIC_X0Y83 NAME ILOGIC_X0Y83 SITEPROP ILOGIC_X0Y83 NUM_ARCS 27 SITEPROP ILOGIC_X0Y83 NUM_BELS 6 SITEPROP ILOGIC_X0Y83 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y83 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y83 NUM_PINS 31 SITEPROP ILOGIC_X0Y83 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y83 PROHIBIT 0 SITEPROP ILOGIC_X0Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y83 RPM_X 2 SITEPROP ILOGIC_X0Y83 RPM_Y 167 SITEPROP ILOGIC_X0Y83 SITE_PIPS SITEPROP ILOGIC_X0Y83 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y84 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y84 CLASS site SITEPROP ILOGIC_X0Y84 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y84 IS_BONDED 0 SITEPROP ILOGIC_X0Y84 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y84 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y84 IS_PAD 0 SITEPROP ILOGIC_X0Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y84 IS_RESERVED 0 SITEPROP ILOGIC_X0Y84 IS_TEST 0 SITEPROP ILOGIC_X0Y84 IS_USED 0 SITEPROP ILOGIC_X0Y84 MANUAL_ROUTING SITEPROP ILOGIC_X0Y84 NAME ILOGIC_X0Y84 SITEPROP ILOGIC_X0Y84 NUM_ARCS 27 SITEPROP ILOGIC_X0Y84 NUM_BELS 6 SITEPROP ILOGIC_X0Y84 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y84 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y84 NUM_PINS 31 SITEPROP ILOGIC_X0Y84 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y84 PROHIBIT 0 SITEPROP ILOGIC_X0Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y84 RPM_X 2 SITEPROP ILOGIC_X0Y84 RPM_Y 166 SITEPROP ILOGIC_X0Y84 SITE_PIPS SITEPROP ILOGIC_X0Y84 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y85 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y85 CLASS site SITEPROP ILOGIC_X0Y85 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y85 IS_BONDED 0 SITEPROP ILOGIC_X0Y85 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y85 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y85 IS_PAD 0 SITEPROP ILOGIC_X0Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y85 IS_RESERVED 0 SITEPROP ILOGIC_X0Y85 IS_TEST 0 SITEPROP ILOGIC_X0Y85 IS_USED 0 SITEPROP ILOGIC_X0Y85 MANUAL_ROUTING SITEPROP ILOGIC_X0Y85 NAME ILOGIC_X0Y85 SITEPROP ILOGIC_X0Y85 NUM_ARCS 27 SITEPROP ILOGIC_X0Y85 NUM_BELS 6 SITEPROP ILOGIC_X0Y85 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y85 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y85 NUM_PINS 31 SITEPROP ILOGIC_X0Y85 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y85 PROHIBIT 0 SITEPROP ILOGIC_X0Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y85 RPM_X 2 SITEPROP ILOGIC_X0Y85 RPM_Y 171 SITEPROP ILOGIC_X0Y85 SITE_PIPS SITEPROP ILOGIC_X0Y85 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y86 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y86 CLASS site SITEPROP ILOGIC_X0Y86 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y86 IS_BONDED 0 SITEPROP ILOGIC_X0Y86 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y86 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y86 IS_PAD 0 SITEPROP ILOGIC_X0Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y86 IS_RESERVED 0 SITEPROP ILOGIC_X0Y86 IS_TEST 0 SITEPROP ILOGIC_X0Y86 IS_USED 0 SITEPROP ILOGIC_X0Y86 MANUAL_ROUTING SITEPROP ILOGIC_X0Y86 NAME ILOGIC_X0Y86 SITEPROP ILOGIC_X0Y86 NUM_ARCS 27 SITEPROP ILOGIC_X0Y86 NUM_BELS 6 SITEPROP ILOGIC_X0Y86 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y86 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y86 NUM_PINS 31 SITEPROP ILOGIC_X0Y86 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y86 PROHIBIT 0 SITEPROP ILOGIC_X0Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y86 RPM_X 2 SITEPROP ILOGIC_X0Y86 RPM_Y 170 SITEPROP ILOGIC_X0Y86 SITE_PIPS SITEPROP ILOGIC_X0Y86 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y87 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y87 CLASS site SITEPROP ILOGIC_X0Y87 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y87 IS_BONDED 0 SITEPROP ILOGIC_X0Y87 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y87 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y87 IS_PAD 0 SITEPROP ILOGIC_X0Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y87 IS_RESERVED 0 SITEPROP ILOGIC_X0Y87 IS_TEST 0 SITEPROP ILOGIC_X0Y87 IS_USED 0 SITEPROP ILOGIC_X0Y87 MANUAL_ROUTING SITEPROP ILOGIC_X0Y87 NAME ILOGIC_X0Y87 SITEPROP ILOGIC_X0Y87 NUM_ARCS 27 SITEPROP ILOGIC_X0Y87 NUM_BELS 6 SITEPROP ILOGIC_X0Y87 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y87 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y87 NUM_PINS 31 SITEPROP ILOGIC_X0Y87 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y87 PROHIBIT 0 SITEPROP ILOGIC_X0Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y87 RPM_X 2 SITEPROP ILOGIC_X0Y87 RPM_Y 175 SITEPROP ILOGIC_X0Y87 SITE_PIPS SITEPROP ILOGIC_X0Y87 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y88 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y88 CLASS site SITEPROP ILOGIC_X0Y88 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y88 IS_BONDED 0 SITEPROP ILOGIC_X0Y88 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y88 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y88 IS_PAD 0 SITEPROP ILOGIC_X0Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y88 IS_RESERVED 0 SITEPROP ILOGIC_X0Y88 IS_TEST 0 SITEPROP ILOGIC_X0Y88 IS_USED 0 SITEPROP ILOGIC_X0Y88 MANUAL_ROUTING SITEPROP ILOGIC_X0Y88 NAME ILOGIC_X0Y88 SITEPROP ILOGIC_X0Y88 NUM_ARCS 27 SITEPROP ILOGIC_X0Y88 NUM_BELS 6 SITEPROP ILOGIC_X0Y88 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y88 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y88 NUM_PINS 31 SITEPROP ILOGIC_X0Y88 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y88 PROHIBIT 0 SITEPROP ILOGIC_X0Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y88 RPM_X 2 SITEPROP ILOGIC_X0Y88 RPM_Y 174 SITEPROP ILOGIC_X0Y88 SITE_PIPS SITEPROP ILOGIC_X0Y88 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y89 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y89 CLASS site SITEPROP ILOGIC_X0Y89 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y89 IS_BONDED 0 SITEPROP ILOGIC_X0Y89 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y89 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y89 IS_PAD 0 SITEPROP ILOGIC_X0Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y89 IS_RESERVED 0 SITEPROP ILOGIC_X0Y89 IS_TEST 0 SITEPROP ILOGIC_X0Y89 IS_USED 0 SITEPROP ILOGIC_X0Y89 MANUAL_ROUTING SITEPROP ILOGIC_X0Y89 NAME ILOGIC_X0Y89 SITEPROP ILOGIC_X0Y89 NUM_ARCS 27 SITEPROP ILOGIC_X0Y89 NUM_BELS 6 SITEPROP ILOGIC_X0Y89 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y89 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y89 NUM_PINS 31 SITEPROP ILOGIC_X0Y89 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y89 PROHIBIT 0 SITEPROP ILOGIC_X0Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y89 RPM_X 2 SITEPROP ILOGIC_X0Y89 RPM_Y 179 SITEPROP ILOGIC_X0Y89 SITE_PIPS SITEPROP ILOGIC_X0Y89 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y90 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y90 CLASS site SITEPROP ILOGIC_X0Y90 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y90 IS_BONDED 0 SITEPROP ILOGIC_X0Y90 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y90 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y90 IS_PAD 0 SITEPROP ILOGIC_X0Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y90 IS_RESERVED 0 SITEPROP ILOGIC_X0Y90 IS_TEST 0 SITEPROP ILOGIC_X0Y90 IS_USED 0 SITEPROP ILOGIC_X0Y90 MANUAL_ROUTING SITEPROP ILOGIC_X0Y90 NAME ILOGIC_X0Y90 SITEPROP ILOGIC_X0Y90 NUM_ARCS 27 SITEPROP ILOGIC_X0Y90 NUM_BELS 6 SITEPROP ILOGIC_X0Y90 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y90 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y90 NUM_PINS 31 SITEPROP ILOGIC_X0Y90 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y90 PROHIBIT 0 SITEPROP ILOGIC_X0Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y90 RPM_X 2 SITEPROP ILOGIC_X0Y90 RPM_Y 178 SITEPROP ILOGIC_X0Y90 SITE_PIPS SITEPROP ILOGIC_X0Y90 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y91 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y91 CLASS site SITEPROP ILOGIC_X0Y91 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y91 IS_BONDED 0 SITEPROP ILOGIC_X0Y91 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y91 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y91 IS_PAD 0 SITEPROP ILOGIC_X0Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y91 IS_RESERVED 0 SITEPROP ILOGIC_X0Y91 IS_TEST 0 SITEPROP ILOGIC_X0Y91 IS_USED 0 SITEPROP ILOGIC_X0Y91 MANUAL_ROUTING SITEPROP ILOGIC_X0Y91 NAME ILOGIC_X0Y91 SITEPROP ILOGIC_X0Y91 NUM_ARCS 27 SITEPROP ILOGIC_X0Y91 NUM_BELS 6 SITEPROP ILOGIC_X0Y91 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y91 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y91 NUM_PINS 31 SITEPROP ILOGIC_X0Y91 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y91 PROHIBIT 0 SITEPROP ILOGIC_X0Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y91 RPM_X 2 SITEPROP ILOGIC_X0Y91 RPM_Y 183 SITEPROP ILOGIC_X0Y91 SITE_PIPS SITEPROP ILOGIC_X0Y91 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y92 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y92 CLASS site SITEPROP ILOGIC_X0Y92 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y92 IS_BONDED 0 SITEPROP ILOGIC_X0Y92 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y92 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y92 IS_PAD 0 SITEPROP ILOGIC_X0Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y92 IS_RESERVED 0 SITEPROP ILOGIC_X0Y92 IS_TEST 0 SITEPROP ILOGIC_X0Y92 IS_USED 0 SITEPROP ILOGIC_X0Y92 MANUAL_ROUTING SITEPROP ILOGIC_X0Y92 NAME ILOGIC_X0Y92 SITEPROP ILOGIC_X0Y92 NUM_ARCS 27 SITEPROP ILOGIC_X0Y92 NUM_BELS 6 SITEPROP ILOGIC_X0Y92 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y92 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y92 NUM_PINS 31 SITEPROP ILOGIC_X0Y92 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y92 PROHIBIT 0 SITEPROP ILOGIC_X0Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y92 RPM_X 2 SITEPROP ILOGIC_X0Y92 RPM_Y 182 SITEPROP ILOGIC_X0Y92 SITE_PIPS SITEPROP ILOGIC_X0Y92 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y93 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y93 CLASS site SITEPROP ILOGIC_X0Y93 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y93 IS_BONDED 0 SITEPROP ILOGIC_X0Y93 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y93 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y93 IS_PAD 0 SITEPROP ILOGIC_X0Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y93 IS_RESERVED 0 SITEPROP ILOGIC_X0Y93 IS_TEST 0 SITEPROP ILOGIC_X0Y93 IS_USED 0 SITEPROP ILOGIC_X0Y93 MANUAL_ROUTING SITEPROP ILOGIC_X0Y93 NAME ILOGIC_X0Y93 SITEPROP ILOGIC_X0Y93 NUM_ARCS 27 SITEPROP ILOGIC_X0Y93 NUM_BELS 6 SITEPROP ILOGIC_X0Y93 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y93 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y93 NUM_PINS 31 SITEPROP ILOGIC_X0Y93 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y93 PROHIBIT 0 SITEPROP ILOGIC_X0Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y93 RPM_X 2 SITEPROP ILOGIC_X0Y93 RPM_Y 187 SITEPROP ILOGIC_X0Y93 SITE_PIPS SITEPROP ILOGIC_X0Y93 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y94 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y94 CLASS site SITEPROP ILOGIC_X0Y94 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y94 IS_BONDED 0 SITEPROP ILOGIC_X0Y94 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y94 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y94 IS_PAD 0 SITEPROP ILOGIC_X0Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y94 IS_RESERVED 0 SITEPROP ILOGIC_X0Y94 IS_TEST 0 SITEPROP ILOGIC_X0Y94 IS_USED 0 SITEPROP ILOGIC_X0Y94 MANUAL_ROUTING SITEPROP ILOGIC_X0Y94 NAME ILOGIC_X0Y94 SITEPROP ILOGIC_X0Y94 NUM_ARCS 27 SITEPROP ILOGIC_X0Y94 NUM_BELS 6 SITEPROP ILOGIC_X0Y94 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y94 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y94 NUM_PINS 31 SITEPROP ILOGIC_X0Y94 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y94 PROHIBIT 0 SITEPROP ILOGIC_X0Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y94 RPM_X 2 SITEPROP ILOGIC_X0Y94 RPM_Y 186 SITEPROP ILOGIC_X0Y94 SITE_PIPS SITEPROP ILOGIC_X0Y94 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y95 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y95 CLASS site SITEPROP ILOGIC_X0Y95 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y95 IS_BONDED 0 SITEPROP ILOGIC_X0Y95 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y95 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y95 IS_PAD 0 SITEPROP ILOGIC_X0Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y95 IS_RESERVED 0 SITEPROP ILOGIC_X0Y95 IS_TEST 0 SITEPROP ILOGIC_X0Y95 IS_USED 0 SITEPROP ILOGIC_X0Y95 MANUAL_ROUTING SITEPROP ILOGIC_X0Y95 NAME ILOGIC_X0Y95 SITEPROP ILOGIC_X0Y95 NUM_ARCS 27 SITEPROP ILOGIC_X0Y95 NUM_BELS 6 SITEPROP ILOGIC_X0Y95 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y95 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y95 NUM_PINS 31 SITEPROP ILOGIC_X0Y95 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y95 PROHIBIT 0 SITEPROP ILOGIC_X0Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y95 RPM_X 2 SITEPROP ILOGIC_X0Y95 RPM_Y 191 SITEPROP ILOGIC_X0Y95 SITE_PIPS SITEPROP ILOGIC_X0Y95 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y96 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y96 CLASS site SITEPROP ILOGIC_X0Y96 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y96 IS_BONDED 0 SITEPROP ILOGIC_X0Y96 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y96 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y96 IS_PAD 0 SITEPROP ILOGIC_X0Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y96 IS_RESERVED 0 SITEPROP ILOGIC_X0Y96 IS_TEST 0 SITEPROP ILOGIC_X0Y96 IS_USED 0 SITEPROP ILOGIC_X0Y96 MANUAL_ROUTING SITEPROP ILOGIC_X0Y96 NAME ILOGIC_X0Y96 SITEPROP ILOGIC_X0Y96 NUM_ARCS 27 SITEPROP ILOGIC_X0Y96 NUM_BELS 6 SITEPROP ILOGIC_X0Y96 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y96 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y96 NUM_PINS 31 SITEPROP ILOGIC_X0Y96 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y96 PROHIBIT 0 SITEPROP ILOGIC_X0Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y96 RPM_X 2 SITEPROP ILOGIC_X0Y96 RPM_Y 190 SITEPROP ILOGIC_X0Y96 SITE_PIPS SITEPROP ILOGIC_X0Y96 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y97 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y97 CLASS site SITEPROP ILOGIC_X0Y97 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y97 IS_BONDED 0 SITEPROP ILOGIC_X0Y97 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y97 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y97 IS_PAD 0 SITEPROP ILOGIC_X0Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y97 IS_RESERVED 0 SITEPROP ILOGIC_X0Y97 IS_TEST 0 SITEPROP ILOGIC_X0Y97 IS_USED 0 SITEPROP ILOGIC_X0Y97 MANUAL_ROUTING SITEPROP ILOGIC_X0Y97 NAME ILOGIC_X0Y97 SITEPROP ILOGIC_X0Y97 NUM_ARCS 27 SITEPROP ILOGIC_X0Y97 NUM_BELS 6 SITEPROP ILOGIC_X0Y97 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y97 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y97 NUM_PINS 31 SITEPROP ILOGIC_X0Y97 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y97 PROHIBIT 0 SITEPROP ILOGIC_X0Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y97 RPM_X 2 SITEPROP ILOGIC_X0Y97 RPM_Y 195 SITEPROP ILOGIC_X0Y97 SITE_PIPS SITEPROP ILOGIC_X0Y97 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y98 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y98 CLASS site SITEPROP ILOGIC_X0Y98 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y98 IS_BONDED 0 SITEPROP ILOGIC_X0Y98 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y98 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y98 IS_PAD 0 SITEPROP ILOGIC_X0Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y98 IS_RESERVED 0 SITEPROP ILOGIC_X0Y98 IS_TEST 0 SITEPROP ILOGIC_X0Y98 IS_USED 0 SITEPROP ILOGIC_X0Y98 MANUAL_ROUTING SITEPROP ILOGIC_X0Y98 NAME ILOGIC_X0Y98 SITEPROP ILOGIC_X0Y98 NUM_ARCS 27 SITEPROP ILOGIC_X0Y98 NUM_BELS 6 SITEPROP ILOGIC_X0Y98 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y98 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y98 NUM_PINS 31 SITEPROP ILOGIC_X0Y98 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y98 PROHIBIT 0 SITEPROP ILOGIC_X0Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y98 RPM_X 2 SITEPROP ILOGIC_X0Y98 RPM_Y 194 SITEPROP ILOGIC_X0Y98 SITE_PIPS SITEPROP ILOGIC_X0Y98 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y99 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y99 CLASS site SITEPROP ILOGIC_X0Y99 CLOCK_REGION X0Y1 SITEPROP ILOGIC_X0Y99 IS_BONDED 0 SITEPROP ILOGIC_X0Y99 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y99 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y99 IS_PAD 0 SITEPROP ILOGIC_X0Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y99 IS_RESERVED 0 SITEPROP ILOGIC_X0Y99 IS_TEST 0 SITEPROP ILOGIC_X0Y99 IS_USED 0 SITEPROP ILOGIC_X0Y99 MANUAL_ROUTING SITEPROP ILOGIC_X0Y99 NAME ILOGIC_X0Y99 SITEPROP ILOGIC_X0Y99 NUM_ARCS 27 SITEPROP ILOGIC_X0Y99 NUM_BELS 6 SITEPROP ILOGIC_X0Y99 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y99 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y99 NUM_PINS 31 SITEPROP ILOGIC_X0Y99 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y99 PROHIBIT 0 SITEPROP ILOGIC_X0Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y99 RPM_X 2 SITEPROP ILOGIC_X0Y99 RPM_Y 198 SITEPROP ILOGIC_X0Y99 SITE_PIPS SITEPROP ILOGIC_X0Y99 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y100 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y100 CLASS site SITEPROP ILOGIC_X0Y100 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y100 IS_BONDED 0 SITEPROP ILOGIC_X0Y100 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y100 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y100 IS_PAD 0 SITEPROP ILOGIC_X0Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y100 IS_RESERVED 0 SITEPROP ILOGIC_X0Y100 IS_TEST 0 SITEPROP ILOGIC_X0Y100 IS_USED 0 SITEPROP ILOGIC_X0Y100 MANUAL_ROUTING SITEPROP ILOGIC_X0Y100 NAME ILOGIC_X0Y100 SITEPROP ILOGIC_X0Y100 NUM_ARCS 27 SITEPROP ILOGIC_X0Y100 NUM_BELS 6 SITEPROP ILOGIC_X0Y100 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y100 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y100 NUM_PINS 31 SITEPROP ILOGIC_X0Y100 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y100 PROHIBIT 0 SITEPROP ILOGIC_X0Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y100 RPM_X 2 SITEPROP ILOGIC_X0Y100 RPM_Y 200 SITEPROP ILOGIC_X0Y100 SITE_PIPS SITEPROP ILOGIC_X0Y100 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y101 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y101 CLASS site SITEPROP ILOGIC_X0Y101 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y101 IS_BONDED 0 SITEPROP ILOGIC_X0Y101 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y101 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y101 IS_PAD 0 SITEPROP ILOGIC_X0Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y101 IS_RESERVED 0 SITEPROP ILOGIC_X0Y101 IS_TEST 0 SITEPROP ILOGIC_X0Y101 IS_USED 0 SITEPROP ILOGIC_X0Y101 MANUAL_ROUTING SITEPROP ILOGIC_X0Y101 NAME ILOGIC_X0Y101 SITEPROP ILOGIC_X0Y101 NUM_ARCS 27 SITEPROP ILOGIC_X0Y101 NUM_BELS 6 SITEPROP ILOGIC_X0Y101 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y101 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y101 NUM_PINS 31 SITEPROP ILOGIC_X0Y101 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y101 PROHIBIT 0 SITEPROP ILOGIC_X0Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y101 RPM_X 2 SITEPROP ILOGIC_X0Y101 RPM_Y 203 SITEPROP ILOGIC_X0Y101 SITE_PIPS SITEPROP ILOGIC_X0Y101 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y102 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y102 CLASS site SITEPROP ILOGIC_X0Y102 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y102 IS_BONDED 0 SITEPROP ILOGIC_X0Y102 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y102 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y102 IS_PAD 0 SITEPROP ILOGIC_X0Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y102 IS_RESERVED 0 SITEPROP ILOGIC_X0Y102 IS_TEST 0 SITEPROP ILOGIC_X0Y102 IS_USED 0 SITEPROP ILOGIC_X0Y102 MANUAL_ROUTING SITEPROP ILOGIC_X0Y102 NAME ILOGIC_X0Y102 SITEPROP ILOGIC_X0Y102 NUM_ARCS 27 SITEPROP ILOGIC_X0Y102 NUM_BELS 6 SITEPROP ILOGIC_X0Y102 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y102 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y102 NUM_PINS 31 SITEPROP ILOGIC_X0Y102 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y102 PROHIBIT 0 SITEPROP ILOGIC_X0Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y102 RPM_X 2 SITEPROP ILOGIC_X0Y102 RPM_Y 202 SITEPROP ILOGIC_X0Y102 SITE_PIPS SITEPROP ILOGIC_X0Y102 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y103 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y103 CLASS site SITEPROP ILOGIC_X0Y103 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y103 IS_BONDED 0 SITEPROP ILOGIC_X0Y103 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y103 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y103 IS_PAD 0 SITEPROP ILOGIC_X0Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y103 IS_RESERVED 0 SITEPROP ILOGIC_X0Y103 IS_TEST 0 SITEPROP ILOGIC_X0Y103 IS_USED 0 SITEPROP ILOGIC_X0Y103 MANUAL_ROUTING SITEPROP ILOGIC_X0Y103 NAME ILOGIC_X0Y103 SITEPROP ILOGIC_X0Y103 NUM_ARCS 27 SITEPROP ILOGIC_X0Y103 NUM_BELS 6 SITEPROP ILOGIC_X0Y103 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y103 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y103 NUM_PINS 31 SITEPROP ILOGIC_X0Y103 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y103 PROHIBIT 0 SITEPROP ILOGIC_X0Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y103 RPM_X 2 SITEPROP ILOGIC_X0Y103 RPM_Y 207 SITEPROP ILOGIC_X0Y103 SITE_PIPS SITEPROP ILOGIC_X0Y103 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y104 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y104 CLASS site SITEPROP ILOGIC_X0Y104 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y104 IS_BONDED 0 SITEPROP ILOGIC_X0Y104 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y104 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y104 IS_PAD 0 SITEPROP ILOGIC_X0Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y104 IS_RESERVED 0 SITEPROP ILOGIC_X0Y104 IS_TEST 0 SITEPROP ILOGIC_X0Y104 IS_USED 0 SITEPROP ILOGIC_X0Y104 MANUAL_ROUTING SITEPROP ILOGIC_X0Y104 NAME ILOGIC_X0Y104 SITEPROP ILOGIC_X0Y104 NUM_ARCS 27 SITEPROP ILOGIC_X0Y104 NUM_BELS 6 SITEPROP ILOGIC_X0Y104 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y104 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y104 NUM_PINS 31 SITEPROP ILOGIC_X0Y104 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y104 PROHIBIT 0 SITEPROP ILOGIC_X0Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y104 RPM_X 2 SITEPROP ILOGIC_X0Y104 RPM_Y 206 SITEPROP ILOGIC_X0Y104 SITE_PIPS SITEPROP ILOGIC_X0Y104 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y105 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y105 CLASS site SITEPROP ILOGIC_X0Y105 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y105 IS_BONDED 0 SITEPROP ILOGIC_X0Y105 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y105 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y105 IS_PAD 0 SITEPROP ILOGIC_X0Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y105 IS_RESERVED 0 SITEPROP ILOGIC_X0Y105 IS_TEST 0 SITEPROP ILOGIC_X0Y105 IS_USED 0 SITEPROP ILOGIC_X0Y105 MANUAL_ROUTING SITEPROP ILOGIC_X0Y105 NAME ILOGIC_X0Y105 SITEPROP ILOGIC_X0Y105 NUM_ARCS 27 SITEPROP ILOGIC_X0Y105 NUM_BELS 6 SITEPROP ILOGIC_X0Y105 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y105 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y105 NUM_PINS 31 SITEPROP ILOGIC_X0Y105 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y105 PROHIBIT 0 SITEPROP ILOGIC_X0Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y105 RPM_X 2 SITEPROP ILOGIC_X0Y105 RPM_Y 211 SITEPROP ILOGIC_X0Y105 SITE_PIPS SITEPROP ILOGIC_X0Y105 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y106 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y106 CLASS site SITEPROP ILOGIC_X0Y106 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y106 IS_BONDED 0 SITEPROP ILOGIC_X0Y106 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y106 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y106 IS_PAD 0 SITEPROP ILOGIC_X0Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y106 IS_RESERVED 0 SITEPROP ILOGIC_X0Y106 IS_TEST 0 SITEPROP ILOGIC_X0Y106 IS_USED 0 SITEPROP ILOGIC_X0Y106 MANUAL_ROUTING SITEPROP ILOGIC_X0Y106 NAME ILOGIC_X0Y106 SITEPROP ILOGIC_X0Y106 NUM_ARCS 27 SITEPROP ILOGIC_X0Y106 NUM_BELS 6 SITEPROP ILOGIC_X0Y106 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y106 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y106 NUM_PINS 31 SITEPROP ILOGIC_X0Y106 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y106 PROHIBIT 0 SITEPROP ILOGIC_X0Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y106 RPM_X 2 SITEPROP ILOGIC_X0Y106 RPM_Y 210 SITEPROP ILOGIC_X0Y106 SITE_PIPS SITEPROP ILOGIC_X0Y106 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y107 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y107 CLASS site SITEPROP ILOGIC_X0Y107 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y107 IS_BONDED 0 SITEPROP ILOGIC_X0Y107 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y107 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y107 IS_PAD 0 SITEPROP ILOGIC_X0Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y107 IS_RESERVED 0 SITEPROP ILOGIC_X0Y107 IS_TEST 0 SITEPROP ILOGIC_X0Y107 IS_USED 0 SITEPROP ILOGIC_X0Y107 MANUAL_ROUTING SITEPROP ILOGIC_X0Y107 NAME ILOGIC_X0Y107 SITEPROP ILOGIC_X0Y107 NUM_ARCS 27 SITEPROP ILOGIC_X0Y107 NUM_BELS 6 SITEPROP ILOGIC_X0Y107 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y107 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y107 NUM_PINS 31 SITEPROP ILOGIC_X0Y107 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y107 PROHIBIT 0 SITEPROP ILOGIC_X0Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y107 RPM_X 2 SITEPROP ILOGIC_X0Y107 RPM_Y 215 SITEPROP ILOGIC_X0Y107 SITE_PIPS SITEPROP ILOGIC_X0Y107 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y108 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y108 CLASS site SITEPROP ILOGIC_X0Y108 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y108 IS_BONDED 0 SITEPROP ILOGIC_X0Y108 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y108 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y108 IS_PAD 0 SITEPROP ILOGIC_X0Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y108 IS_RESERVED 0 SITEPROP ILOGIC_X0Y108 IS_TEST 0 SITEPROP ILOGIC_X0Y108 IS_USED 0 SITEPROP ILOGIC_X0Y108 MANUAL_ROUTING SITEPROP ILOGIC_X0Y108 NAME ILOGIC_X0Y108 SITEPROP ILOGIC_X0Y108 NUM_ARCS 27 SITEPROP ILOGIC_X0Y108 NUM_BELS 6 SITEPROP ILOGIC_X0Y108 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y108 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y108 NUM_PINS 31 SITEPROP ILOGIC_X0Y108 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y108 PROHIBIT 0 SITEPROP ILOGIC_X0Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y108 RPM_X 2 SITEPROP ILOGIC_X0Y108 RPM_Y 214 SITEPROP ILOGIC_X0Y108 SITE_PIPS SITEPROP ILOGIC_X0Y108 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y109 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y109 CLASS site SITEPROP ILOGIC_X0Y109 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y109 IS_BONDED 0 SITEPROP ILOGIC_X0Y109 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y109 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y109 IS_PAD 0 SITEPROP ILOGIC_X0Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y109 IS_RESERVED 0 SITEPROP ILOGIC_X0Y109 IS_TEST 0 SITEPROP ILOGIC_X0Y109 IS_USED 0 SITEPROP ILOGIC_X0Y109 MANUAL_ROUTING SITEPROP ILOGIC_X0Y109 NAME ILOGIC_X0Y109 SITEPROP ILOGIC_X0Y109 NUM_ARCS 27 SITEPROP ILOGIC_X0Y109 NUM_BELS 6 SITEPROP ILOGIC_X0Y109 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y109 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y109 NUM_PINS 31 SITEPROP ILOGIC_X0Y109 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y109 PROHIBIT 0 SITEPROP ILOGIC_X0Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y109 RPM_X 2 SITEPROP ILOGIC_X0Y109 RPM_Y 219 SITEPROP ILOGIC_X0Y109 SITE_PIPS SITEPROP ILOGIC_X0Y109 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y110 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y110 CLASS site SITEPROP ILOGIC_X0Y110 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y110 IS_BONDED 0 SITEPROP ILOGIC_X0Y110 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y110 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y110 IS_PAD 0 SITEPROP ILOGIC_X0Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y110 IS_RESERVED 0 SITEPROP ILOGIC_X0Y110 IS_TEST 0 SITEPROP ILOGIC_X0Y110 IS_USED 0 SITEPROP ILOGIC_X0Y110 MANUAL_ROUTING SITEPROP ILOGIC_X0Y110 NAME ILOGIC_X0Y110 SITEPROP ILOGIC_X0Y110 NUM_ARCS 27 SITEPROP ILOGIC_X0Y110 NUM_BELS 6 SITEPROP ILOGIC_X0Y110 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y110 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y110 NUM_PINS 31 SITEPROP ILOGIC_X0Y110 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y110 PROHIBIT 0 SITEPROP ILOGIC_X0Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y110 RPM_X 2 SITEPROP ILOGIC_X0Y110 RPM_Y 218 SITEPROP ILOGIC_X0Y110 SITE_PIPS SITEPROP ILOGIC_X0Y110 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y111 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y111 CLASS site SITEPROP ILOGIC_X0Y111 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y111 IS_BONDED 0 SITEPROP ILOGIC_X0Y111 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y111 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y111 IS_PAD 0 SITEPROP ILOGIC_X0Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y111 IS_RESERVED 0 SITEPROP ILOGIC_X0Y111 IS_TEST 0 SITEPROP ILOGIC_X0Y111 IS_USED 0 SITEPROP ILOGIC_X0Y111 MANUAL_ROUTING SITEPROP ILOGIC_X0Y111 NAME ILOGIC_X0Y111 SITEPROP ILOGIC_X0Y111 NUM_ARCS 27 SITEPROP ILOGIC_X0Y111 NUM_BELS 6 SITEPROP ILOGIC_X0Y111 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y111 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y111 NUM_PINS 31 SITEPROP ILOGIC_X0Y111 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y111 PROHIBIT 0 SITEPROP ILOGIC_X0Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y111 RPM_X 2 SITEPROP ILOGIC_X0Y111 RPM_Y 223 SITEPROP ILOGIC_X0Y111 SITE_PIPS SITEPROP ILOGIC_X0Y111 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y112 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y112 CLASS site SITEPROP ILOGIC_X0Y112 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y112 IS_BONDED 0 SITEPROP ILOGIC_X0Y112 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y112 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y112 IS_PAD 0 SITEPROP ILOGIC_X0Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y112 IS_RESERVED 0 SITEPROP ILOGIC_X0Y112 IS_TEST 0 SITEPROP ILOGIC_X0Y112 IS_USED 0 SITEPROP ILOGIC_X0Y112 MANUAL_ROUTING SITEPROP ILOGIC_X0Y112 NAME ILOGIC_X0Y112 SITEPROP ILOGIC_X0Y112 NUM_ARCS 27 SITEPROP ILOGIC_X0Y112 NUM_BELS 6 SITEPROP ILOGIC_X0Y112 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y112 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y112 NUM_PINS 31 SITEPROP ILOGIC_X0Y112 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y112 PROHIBIT 0 SITEPROP ILOGIC_X0Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y112 RPM_X 2 SITEPROP ILOGIC_X0Y112 RPM_Y 222 SITEPROP ILOGIC_X0Y112 SITE_PIPS SITEPROP ILOGIC_X0Y112 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y113 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y113 CLASS site SITEPROP ILOGIC_X0Y113 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y113 IS_BONDED 0 SITEPROP ILOGIC_X0Y113 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y113 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y113 IS_PAD 0 SITEPROP ILOGIC_X0Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y113 IS_RESERVED 0 SITEPROP ILOGIC_X0Y113 IS_TEST 0 SITEPROP ILOGIC_X0Y113 IS_USED 0 SITEPROP ILOGIC_X0Y113 MANUAL_ROUTING SITEPROP ILOGIC_X0Y113 NAME ILOGIC_X0Y113 SITEPROP ILOGIC_X0Y113 NUM_ARCS 27 SITEPROP ILOGIC_X0Y113 NUM_BELS 6 SITEPROP ILOGIC_X0Y113 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y113 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y113 NUM_PINS 31 SITEPROP ILOGIC_X0Y113 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y113 PROHIBIT 0 SITEPROP ILOGIC_X0Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y113 RPM_X 2 SITEPROP ILOGIC_X0Y113 RPM_Y 227 SITEPROP ILOGIC_X0Y113 SITE_PIPS SITEPROP ILOGIC_X0Y113 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y114 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y114 CLASS site SITEPROP ILOGIC_X0Y114 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y114 IS_BONDED 0 SITEPROP ILOGIC_X0Y114 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y114 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y114 IS_PAD 0 SITEPROP ILOGIC_X0Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y114 IS_RESERVED 0 SITEPROP ILOGIC_X0Y114 IS_TEST 0 SITEPROP ILOGIC_X0Y114 IS_USED 0 SITEPROP ILOGIC_X0Y114 MANUAL_ROUTING SITEPROP ILOGIC_X0Y114 NAME ILOGIC_X0Y114 SITEPROP ILOGIC_X0Y114 NUM_ARCS 27 SITEPROP ILOGIC_X0Y114 NUM_BELS 6 SITEPROP ILOGIC_X0Y114 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y114 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y114 NUM_PINS 31 SITEPROP ILOGIC_X0Y114 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y114 PROHIBIT 0 SITEPROP ILOGIC_X0Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y114 RPM_X 2 SITEPROP ILOGIC_X0Y114 RPM_Y 226 SITEPROP ILOGIC_X0Y114 SITE_PIPS SITEPROP ILOGIC_X0Y114 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y115 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y115 CLASS site SITEPROP ILOGIC_X0Y115 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y115 IS_BONDED 0 SITEPROP ILOGIC_X0Y115 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y115 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y115 IS_PAD 0 SITEPROP ILOGIC_X0Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y115 IS_RESERVED 0 SITEPROP ILOGIC_X0Y115 IS_TEST 0 SITEPROP ILOGIC_X0Y115 IS_USED 0 SITEPROP ILOGIC_X0Y115 MANUAL_ROUTING SITEPROP ILOGIC_X0Y115 NAME ILOGIC_X0Y115 SITEPROP ILOGIC_X0Y115 NUM_ARCS 27 SITEPROP ILOGIC_X0Y115 NUM_BELS 6 SITEPROP ILOGIC_X0Y115 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y115 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y115 NUM_PINS 31 SITEPROP ILOGIC_X0Y115 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y115 PROHIBIT 0 SITEPROP ILOGIC_X0Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y115 RPM_X 2 SITEPROP ILOGIC_X0Y115 RPM_Y 231 SITEPROP ILOGIC_X0Y115 SITE_PIPS SITEPROP ILOGIC_X0Y115 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y116 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y116 CLASS site SITEPROP ILOGIC_X0Y116 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y116 IS_BONDED 0 SITEPROP ILOGIC_X0Y116 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y116 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y116 IS_PAD 0 SITEPROP ILOGIC_X0Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y116 IS_RESERVED 0 SITEPROP ILOGIC_X0Y116 IS_TEST 0 SITEPROP ILOGIC_X0Y116 IS_USED 0 SITEPROP ILOGIC_X0Y116 MANUAL_ROUTING SITEPROP ILOGIC_X0Y116 NAME ILOGIC_X0Y116 SITEPROP ILOGIC_X0Y116 NUM_ARCS 27 SITEPROP ILOGIC_X0Y116 NUM_BELS 6 SITEPROP ILOGIC_X0Y116 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y116 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y116 NUM_PINS 31 SITEPROP ILOGIC_X0Y116 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y116 PROHIBIT 0 SITEPROP ILOGIC_X0Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y116 RPM_X 2 SITEPROP ILOGIC_X0Y116 RPM_Y 230 SITEPROP ILOGIC_X0Y116 SITE_PIPS SITEPROP ILOGIC_X0Y116 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y117 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y117 CLASS site SITEPROP ILOGIC_X0Y117 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y117 IS_BONDED 0 SITEPROP ILOGIC_X0Y117 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y117 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y117 IS_PAD 0 SITEPROP ILOGIC_X0Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y117 IS_RESERVED 0 SITEPROP ILOGIC_X0Y117 IS_TEST 0 SITEPROP ILOGIC_X0Y117 IS_USED 0 SITEPROP ILOGIC_X0Y117 MANUAL_ROUTING SITEPROP ILOGIC_X0Y117 NAME ILOGIC_X0Y117 SITEPROP ILOGIC_X0Y117 NUM_ARCS 27 SITEPROP ILOGIC_X0Y117 NUM_BELS 6 SITEPROP ILOGIC_X0Y117 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y117 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y117 NUM_PINS 31 SITEPROP ILOGIC_X0Y117 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y117 PROHIBIT 0 SITEPROP ILOGIC_X0Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y117 RPM_X 2 SITEPROP ILOGIC_X0Y117 RPM_Y 235 SITEPROP ILOGIC_X0Y117 SITE_PIPS SITEPROP ILOGIC_X0Y117 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y118 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y118 CLASS site SITEPROP ILOGIC_X0Y118 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y118 IS_BONDED 0 SITEPROP ILOGIC_X0Y118 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y118 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y118 IS_PAD 0 SITEPROP ILOGIC_X0Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y118 IS_RESERVED 0 SITEPROP ILOGIC_X0Y118 IS_TEST 0 SITEPROP ILOGIC_X0Y118 IS_USED 0 SITEPROP ILOGIC_X0Y118 MANUAL_ROUTING SITEPROP ILOGIC_X0Y118 NAME ILOGIC_X0Y118 SITEPROP ILOGIC_X0Y118 NUM_ARCS 27 SITEPROP ILOGIC_X0Y118 NUM_BELS 6 SITEPROP ILOGIC_X0Y118 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y118 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y118 NUM_PINS 31 SITEPROP ILOGIC_X0Y118 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y118 PROHIBIT 0 SITEPROP ILOGIC_X0Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y118 RPM_X 2 SITEPROP ILOGIC_X0Y118 RPM_Y 234 SITEPROP ILOGIC_X0Y118 SITE_PIPS SITEPROP ILOGIC_X0Y118 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y119 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y119 CLASS site SITEPROP ILOGIC_X0Y119 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y119 IS_BONDED 0 SITEPROP ILOGIC_X0Y119 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y119 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y119 IS_PAD 0 SITEPROP ILOGIC_X0Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y119 IS_RESERVED 0 SITEPROP ILOGIC_X0Y119 IS_TEST 0 SITEPROP ILOGIC_X0Y119 IS_USED 0 SITEPROP ILOGIC_X0Y119 MANUAL_ROUTING SITEPROP ILOGIC_X0Y119 NAME ILOGIC_X0Y119 SITEPROP ILOGIC_X0Y119 NUM_ARCS 27 SITEPROP ILOGIC_X0Y119 NUM_BELS 6 SITEPROP ILOGIC_X0Y119 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y119 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y119 NUM_PINS 31 SITEPROP ILOGIC_X0Y119 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y119 PROHIBIT 0 SITEPROP ILOGIC_X0Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y119 RPM_X 2 SITEPROP ILOGIC_X0Y119 RPM_Y 239 SITEPROP ILOGIC_X0Y119 SITE_PIPS SITEPROP ILOGIC_X0Y119 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y120 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y120 CLASS site SITEPROP ILOGIC_X0Y120 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y120 IS_BONDED 0 SITEPROP ILOGIC_X0Y120 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y120 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y120 IS_PAD 0 SITEPROP ILOGIC_X0Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y120 IS_RESERVED 0 SITEPROP ILOGIC_X0Y120 IS_TEST 0 SITEPROP ILOGIC_X0Y120 IS_USED 0 SITEPROP ILOGIC_X0Y120 MANUAL_ROUTING SITEPROP ILOGIC_X0Y120 NAME ILOGIC_X0Y120 SITEPROP ILOGIC_X0Y120 NUM_ARCS 27 SITEPROP ILOGIC_X0Y120 NUM_BELS 6 SITEPROP ILOGIC_X0Y120 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y120 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y120 NUM_PINS 31 SITEPROP ILOGIC_X0Y120 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y120 PROHIBIT 0 SITEPROP ILOGIC_X0Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y120 RPM_X 2 SITEPROP ILOGIC_X0Y120 RPM_Y 238 SITEPROP ILOGIC_X0Y120 SITE_PIPS SITEPROP ILOGIC_X0Y120 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y121 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y121 CLASS site SITEPROP ILOGIC_X0Y121 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y121 IS_BONDED 0 SITEPROP ILOGIC_X0Y121 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y121 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y121 IS_PAD 0 SITEPROP ILOGIC_X0Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y121 IS_RESERVED 0 SITEPROP ILOGIC_X0Y121 IS_TEST 0 SITEPROP ILOGIC_X0Y121 IS_USED 0 SITEPROP ILOGIC_X0Y121 MANUAL_ROUTING SITEPROP ILOGIC_X0Y121 NAME ILOGIC_X0Y121 SITEPROP ILOGIC_X0Y121 NUM_ARCS 27 SITEPROP ILOGIC_X0Y121 NUM_BELS 6 SITEPROP ILOGIC_X0Y121 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y121 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y121 NUM_PINS 31 SITEPROP ILOGIC_X0Y121 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y121 PROHIBIT 0 SITEPROP ILOGIC_X0Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y121 RPM_X 2 SITEPROP ILOGIC_X0Y121 RPM_Y 243 SITEPROP ILOGIC_X0Y121 SITE_PIPS SITEPROP ILOGIC_X0Y121 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y122 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y122 CLASS site SITEPROP ILOGIC_X0Y122 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y122 IS_BONDED 0 SITEPROP ILOGIC_X0Y122 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y122 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y122 IS_PAD 0 SITEPROP ILOGIC_X0Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y122 IS_RESERVED 0 SITEPROP ILOGIC_X0Y122 IS_TEST 0 SITEPROP ILOGIC_X0Y122 IS_USED 0 SITEPROP ILOGIC_X0Y122 MANUAL_ROUTING SITEPROP ILOGIC_X0Y122 NAME ILOGIC_X0Y122 SITEPROP ILOGIC_X0Y122 NUM_ARCS 27 SITEPROP ILOGIC_X0Y122 NUM_BELS 6 SITEPROP ILOGIC_X0Y122 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y122 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y122 NUM_PINS 31 SITEPROP ILOGIC_X0Y122 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y122 PROHIBIT 0 SITEPROP ILOGIC_X0Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y122 RPM_X 2 SITEPROP ILOGIC_X0Y122 RPM_Y 242 SITEPROP ILOGIC_X0Y122 SITE_PIPS SITEPROP ILOGIC_X0Y122 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y123 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y123 CLASS site SITEPROP ILOGIC_X0Y123 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y123 IS_BONDED 0 SITEPROP ILOGIC_X0Y123 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y123 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y123 IS_PAD 0 SITEPROP ILOGIC_X0Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y123 IS_RESERVED 0 SITEPROP ILOGIC_X0Y123 IS_TEST 0 SITEPROP ILOGIC_X0Y123 IS_USED 0 SITEPROP ILOGIC_X0Y123 MANUAL_ROUTING SITEPROP ILOGIC_X0Y123 NAME ILOGIC_X0Y123 SITEPROP ILOGIC_X0Y123 NUM_ARCS 27 SITEPROP ILOGIC_X0Y123 NUM_BELS 6 SITEPROP ILOGIC_X0Y123 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y123 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y123 NUM_PINS 31 SITEPROP ILOGIC_X0Y123 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y123 PROHIBIT 0 SITEPROP ILOGIC_X0Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y123 RPM_X 2 SITEPROP ILOGIC_X0Y123 RPM_Y 247 SITEPROP ILOGIC_X0Y123 SITE_PIPS SITEPROP ILOGIC_X0Y123 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y124 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y124 CLASS site SITEPROP ILOGIC_X0Y124 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y124 IS_BONDED 0 SITEPROP ILOGIC_X0Y124 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y124 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y124 IS_PAD 0 SITEPROP ILOGIC_X0Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y124 IS_RESERVED 0 SITEPROP ILOGIC_X0Y124 IS_TEST 0 SITEPROP ILOGIC_X0Y124 IS_USED 0 SITEPROP ILOGIC_X0Y124 MANUAL_ROUTING SITEPROP ILOGIC_X0Y124 NAME ILOGIC_X0Y124 SITEPROP ILOGIC_X0Y124 NUM_ARCS 27 SITEPROP ILOGIC_X0Y124 NUM_BELS 6 SITEPROP ILOGIC_X0Y124 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y124 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y124 NUM_PINS 31 SITEPROP ILOGIC_X0Y124 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y124 PROHIBIT 0 SITEPROP ILOGIC_X0Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y124 RPM_X 2 SITEPROP ILOGIC_X0Y124 RPM_Y 246 SITEPROP ILOGIC_X0Y124 SITE_PIPS SITEPROP ILOGIC_X0Y124 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y125 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y125 CLASS site SITEPROP ILOGIC_X0Y125 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y125 IS_BONDED 0 SITEPROP ILOGIC_X0Y125 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y125 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y125 IS_PAD 0 SITEPROP ILOGIC_X0Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y125 IS_RESERVED 0 SITEPROP ILOGIC_X0Y125 IS_TEST 0 SITEPROP ILOGIC_X0Y125 IS_USED 0 SITEPROP ILOGIC_X0Y125 MANUAL_ROUTING SITEPROP ILOGIC_X0Y125 NAME ILOGIC_X0Y125 SITEPROP ILOGIC_X0Y125 NUM_ARCS 27 SITEPROP ILOGIC_X0Y125 NUM_BELS 6 SITEPROP ILOGIC_X0Y125 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y125 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y125 NUM_PINS 31 SITEPROP ILOGIC_X0Y125 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y125 PROHIBIT 0 SITEPROP ILOGIC_X0Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y125 RPM_X 2 SITEPROP ILOGIC_X0Y125 RPM_Y 251 SITEPROP ILOGIC_X0Y125 SITE_PIPS SITEPROP ILOGIC_X0Y125 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y126 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y126 CLASS site SITEPROP ILOGIC_X0Y126 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y126 IS_BONDED 0 SITEPROP ILOGIC_X0Y126 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y126 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y126 IS_PAD 0 SITEPROP ILOGIC_X0Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y126 IS_RESERVED 0 SITEPROP ILOGIC_X0Y126 IS_TEST 0 SITEPROP ILOGIC_X0Y126 IS_USED 0 SITEPROP ILOGIC_X0Y126 MANUAL_ROUTING SITEPROP ILOGIC_X0Y126 NAME ILOGIC_X0Y126 SITEPROP ILOGIC_X0Y126 NUM_ARCS 27 SITEPROP ILOGIC_X0Y126 NUM_BELS 6 SITEPROP ILOGIC_X0Y126 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y126 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y126 NUM_PINS 31 SITEPROP ILOGIC_X0Y126 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y126 PROHIBIT 0 SITEPROP ILOGIC_X0Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y126 RPM_X 2 SITEPROP ILOGIC_X0Y126 RPM_Y 250 SITEPROP ILOGIC_X0Y126 SITE_PIPS SITEPROP ILOGIC_X0Y126 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y127 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y127 CLASS site SITEPROP ILOGIC_X0Y127 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y127 IS_BONDED 0 SITEPROP ILOGIC_X0Y127 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y127 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y127 IS_PAD 0 SITEPROP ILOGIC_X0Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y127 IS_RESERVED 0 SITEPROP ILOGIC_X0Y127 IS_TEST 0 SITEPROP ILOGIC_X0Y127 IS_USED 0 SITEPROP ILOGIC_X0Y127 MANUAL_ROUTING SITEPROP ILOGIC_X0Y127 NAME ILOGIC_X0Y127 SITEPROP ILOGIC_X0Y127 NUM_ARCS 27 SITEPROP ILOGIC_X0Y127 NUM_BELS 6 SITEPROP ILOGIC_X0Y127 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y127 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y127 NUM_PINS 31 SITEPROP ILOGIC_X0Y127 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y127 PROHIBIT 0 SITEPROP ILOGIC_X0Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y127 RPM_X 2 SITEPROP ILOGIC_X0Y127 RPM_Y 255 SITEPROP ILOGIC_X0Y127 SITE_PIPS SITEPROP ILOGIC_X0Y127 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y128 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y128 CLASS site SITEPROP ILOGIC_X0Y128 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y128 IS_BONDED 0 SITEPROP ILOGIC_X0Y128 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y128 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y128 IS_PAD 0 SITEPROP ILOGIC_X0Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y128 IS_RESERVED 0 SITEPROP ILOGIC_X0Y128 IS_TEST 0 SITEPROP ILOGIC_X0Y128 IS_USED 0 SITEPROP ILOGIC_X0Y128 MANUAL_ROUTING SITEPROP ILOGIC_X0Y128 NAME ILOGIC_X0Y128 SITEPROP ILOGIC_X0Y128 NUM_ARCS 27 SITEPROP ILOGIC_X0Y128 NUM_BELS 6 SITEPROP ILOGIC_X0Y128 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y128 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y128 NUM_PINS 31 SITEPROP ILOGIC_X0Y128 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y128 PROHIBIT 0 SITEPROP ILOGIC_X0Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y128 RPM_X 2 SITEPROP ILOGIC_X0Y128 RPM_Y 254 SITEPROP ILOGIC_X0Y128 SITE_PIPS SITEPROP ILOGIC_X0Y128 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y129 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y129 CLASS site SITEPROP ILOGIC_X0Y129 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y129 IS_BONDED 0 SITEPROP ILOGIC_X0Y129 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y129 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y129 IS_PAD 0 SITEPROP ILOGIC_X0Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y129 IS_RESERVED 0 SITEPROP ILOGIC_X0Y129 IS_TEST 0 SITEPROP ILOGIC_X0Y129 IS_USED 0 SITEPROP ILOGIC_X0Y129 MANUAL_ROUTING SITEPROP ILOGIC_X0Y129 NAME ILOGIC_X0Y129 SITEPROP ILOGIC_X0Y129 NUM_ARCS 27 SITEPROP ILOGIC_X0Y129 NUM_BELS 6 SITEPROP ILOGIC_X0Y129 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y129 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y129 NUM_PINS 31 SITEPROP ILOGIC_X0Y129 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y129 PROHIBIT 0 SITEPROP ILOGIC_X0Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y129 RPM_X 2 SITEPROP ILOGIC_X0Y129 RPM_Y 259 SITEPROP ILOGIC_X0Y129 SITE_PIPS SITEPROP ILOGIC_X0Y129 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y130 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y130 CLASS site SITEPROP ILOGIC_X0Y130 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y130 IS_BONDED 0 SITEPROP ILOGIC_X0Y130 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y130 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y130 IS_PAD 0 SITEPROP ILOGIC_X0Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y130 IS_RESERVED 0 SITEPROP ILOGIC_X0Y130 IS_TEST 0 SITEPROP ILOGIC_X0Y130 IS_USED 0 SITEPROP ILOGIC_X0Y130 MANUAL_ROUTING SITEPROP ILOGIC_X0Y130 NAME ILOGIC_X0Y130 SITEPROP ILOGIC_X0Y130 NUM_ARCS 27 SITEPROP ILOGIC_X0Y130 NUM_BELS 6 SITEPROP ILOGIC_X0Y130 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y130 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y130 NUM_PINS 31 SITEPROP ILOGIC_X0Y130 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y130 PROHIBIT 0 SITEPROP ILOGIC_X0Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y130 RPM_X 2 SITEPROP ILOGIC_X0Y130 RPM_Y 258 SITEPROP ILOGIC_X0Y130 SITE_PIPS SITEPROP ILOGIC_X0Y130 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y131 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y131 CLASS site SITEPROP ILOGIC_X0Y131 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y131 IS_BONDED 0 SITEPROP ILOGIC_X0Y131 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y131 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y131 IS_PAD 0 SITEPROP ILOGIC_X0Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y131 IS_RESERVED 0 SITEPROP ILOGIC_X0Y131 IS_TEST 0 SITEPROP ILOGIC_X0Y131 IS_USED 0 SITEPROP ILOGIC_X0Y131 MANUAL_ROUTING SITEPROP ILOGIC_X0Y131 NAME ILOGIC_X0Y131 SITEPROP ILOGIC_X0Y131 NUM_ARCS 27 SITEPROP ILOGIC_X0Y131 NUM_BELS 6 SITEPROP ILOGIC_X0Y131 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y131 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y131 NUM_PINS 31 SITEPROP ILOGIC_X0Y131 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y131 PROHIBIT 0 SITEPROP ILOGIC_X0Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y131 RPM_X 2 SITEPROP ILOGIC_X0Y131 RPM_Y 263 SITEPROP ILOGIC_X0Y131 SITE_PIPS SITEPROP ILOGIC_X0Y131 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y132 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y132 CLASS site SITEPROP ILOGIC_X0Y132 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y132 IS_BONDED 0 SITEPROP ILOGIC_X0Y132 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y132 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y132 IS_PAD 0 SITEPROP ILOGIC_X0Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y132 IS_RESERVED 0 SITEPROP ILOGIC_X0Y132 IS_TEST 0 SITEPROP ILOGIC_X0Y132 IS_USED 0 SITEPROP ILOGIC_X0Y132 MANUAL_ROUTING SITEPROP ILOGIC_X0Y132 NAME ILOGIC_X0Y132 SITEPROP ILOGIC_X0Y132 NUM_ARCS 27 SITEPROP ILOGIC_X0Y132 NUM_BELS 6 SITEPROP ILOGIC_X0Y132 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y132 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y132 NUM_PINS 31 SITEPROP ILOGIC_X0Y132 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y132 PROHIBIT 0 SITEPROP ILOGIC_X0Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y132 RPM_X 2 SITEPROP ILOGIC_X0Y132 RPM_Y 262 SITEPROP ILOGIC_X0Y132 SITE_PIPS SITEPROP ILOGIC_X0Y132 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y133 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y133 CLASS site SITEPROP ILOGIC_X0Y133 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y133 IS_BONDED 0 SITEPROP ILOGIC_X0Y133 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y133 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y133 IS_PAD 0 SITEPROP ILOGIC_X0Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y133 IS_RESERVED 0 SITEPROP ILOGIC_X0Y133 IS_TEST 0 SITEPROP ILOGIC_X0Y133 IS_USED 0 SITEPROP ILOGIC_X0Y133 MANUAL_ROUTING SITEPROP ILOGIC_X0Y133 NAME ILOGIC_X0Y133 SITEPROP ILOGIC_X0Y133 NUM_ARCS 27 SITEPROP ILOGIC_X0Y133 NUM_BELS 6 SITEPROP ILOGIC_X0Y133 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y133 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y133 NUM_PINS 31 SITEPROP ILOGIC_X0Y133 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y133 PROHIBIT 0 SITEPROP ILOGIC_X0Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y133 RPM_X 2 SITEPROP ILOGIC_X0Y133 RPM_Y 267 SITEPROP ILOGIC_X0Y133 SITE_PIPS SITEPROP ILOGIC_X0Y133 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y134 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y134 CLASS site SITEPROP ILOGIC_X0Y134 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y134 IS_BONDED 0 SITEPROP ILOGIC_X0Y134 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y134 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y134 IS_PAD 0 SITEPROP ILOGIC_X0Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y134 IS_RESERVED 0 SITEPROP ILOGIC_X0Y134 IS_TEST 0 SITEPROP ILOGIC_X0Y134 IS_USED 0 SITEPROP ILOGIC_X0Y134 MANUAL_ROUTING SITEPROP ILOGIC_X0Y134 NAME ILOGIC_X0Y134 SITEPROP ILOGIC_X0Y134 NUM_ARCS 27 SITEPROP ILOGIC_X0Y134 NUM_BELS 6 SITEPROP ILOGIC_X0Y134 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y134 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y134 NUM_PINS 31 SITEPROP ILOGIC_X0Y134 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y134 PROHIBIT 0 SITEPROP ILOGIC_X0Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y134 RPM_X 2 SITEPROP ILOGIC_X0Y134 RPM_Y 266 SITEPROP ILOGIC_X0Y134 SITE_PIPS SITEPROP ILOGIC_X0Y134 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y135 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y135 CLASS site SITEPROP ILOGIC_X0Y135 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y135 IS_BONDED 0 SITEPROP ILOGIC_X0Y135 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y135 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y135 IS_PAD 0 SITEPROP ILOGIC_X0Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y135 IS_RESERVED 0 SITEPROP ILOGIC_X0Y135 IS_TEST 0 SITEPROP ILOGIC_X0Y135 IS_USED 0 SITEPROP ILOGIC_X0Y135 MANUAL_ROUTING SITEPROP ILOGIC_X0Y135 NAME ILOGIC_X0Y135 SITEPROP ILOGIC_X0Y135 NUM_ARCS 27 SITEPROP ILOGIC_X0Y135 NUM_BELS 6 SITEPROP ILOGIC_X0Y135 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y135 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y135 NUM_PINS 31 SITEPROP ILOGIC_X0Y135 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y135 PROHIBIT 0 SITEPROP ILOGIC_X0Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y135 RPM_X 2 SITEPROP ILOGIC_X0Y135 RPM_Y 271 SITEPROP ILOGIC_X0Y135 SITE_PIPS SITEPROP ILOGIC_X0Y135 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y136 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y136 CLASS site SITEPROP ILOGIC_X0Y136 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y136 IS_BONDED 0 SITEPROP ILOGIC_X0Y136 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y136 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y136 IS_PAD 0 SITEPROP ILOGIC_X0Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y136 IS_RESERVED 0 SITEPROP ILOGIC_X0Y136 IS_TEST 0 SITEPROP ILOGIC_X0Y136 IS_USED 0 SITEPROP ILOGIC_X0Y136 MANUAL_ROUTING SITEPROP ILOGIC_X0Y136 NAME ILOGIC_X0Y136 SITEPROP ILOGIC_X0Y136 NUM_ARCS 27 SITEPROP ILOGIC_X0Y136 NUM_BELS 6 SITEPROP ILOGIC_X0Y136 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y136 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y136 NUM_PINS 31 SITEPROP ILOGIC_X0Y136 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y136 PROHIBIT 0 SITEPROP ILOGIC_X0Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y136 RPM_X 2 SITEPROP ILOGIC_X0Y136 RPM_Y 270 SITEPROP ILOGIC_X0Y136 SITE_PIPS SITEPROP ILOGIC_X0Y136 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y137 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y137 CLASS site SITEPROP ILOGIC_X0Y137 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y137 IS_BONDED 0 SITEPROP ILOGIC_X0Y137 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y137 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y137 IS_PAD 0 SITEPROP ILOGIC_X0Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y137 IS_RESERVED 0 SITEPROP ILOGIC_X0Y137 IS_TEST 0 SITEPROP ILOGIC_X0Y137 IS_USED 0 SITEPROP ILOGIC_X0Y137 MANUAL_ROUTING SITEPROP ILOGIC_X0Y137 NAME ILOGIC_X0Y137 SITEPROP ILOGIC_X0Y137 NUM_ARCS 27 SITEPROP ILOGIC_X0Y137 NUM_BELS 6 SITEPROP ILOGIC_X0Y137 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y137 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y137 NUM_PINS 31 SITEPROP ILOGIC_X0Y137 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y137 PROHIBIT 0 SITEPROP ILOGIC_X0Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y137 RPM_X 2 SITEPROP ILOGIC_X0Y137 RPM_Y 275 SITEPROP ILOGIC_X0Y137 SITE_PIPS SITEPROP ILOGIC_X0Y137 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y138 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y138 CLASS site SITEPROP ILOGIC_X0Y138 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y138 IS_BONDED 0 SITEPROP ILOGIC_X0Y138 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y138 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y138 IS_PAD 0 SITEPROP ILOGIC_X0Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y138 IS_RESERVED 0 SITEPROP ILOGIC_X0Y138 IS_TEST 0 SITEPROP ILOGIC_X0Y138 IS_USED 0 SITEPROP ILOGIC_X0Y138 MANUAL_ROUTING SITEPROP ILOGIC_X0Y138 NAME ILOGIC_X0Y138 SITEPROP ILOGIC_X0Y138 NUM_ARCS 27 SITEPROP ILOGIC_X0Y138 NUM_BELS 6 SITEPROP ILOGIC_X0Y138 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y138 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y138 NUM_PINS 31 SITEPROP ILOGIC_X0Y138 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y138 PROHIBIT 0 SITEPROP ILOGIC_X0Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y138 RPM_X 2 SITEPROP ILOGIC_X0Y138 RPM_Y 274 SITEPROP ILOGIC_X0Y138 SITE_PIPS SITEPROP ILOGIC_X0Y138 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y139 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y139 CLASS site SITEPROP ILOGIC_X0Y139 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y139 IS_BONDED 0 SITEPROP ILOGIC_X0Y139 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y139 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y139 IS_PAD 0 SITEPROP ILOGIC_X0Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y139 IS_RESERVED 0 SITEPROP ILOGIC_X0Y139 IS_TEST 0 SITEPROP ILOGIC_X0Y139 IS_USED 0 SITEPROP ILOGIC_X0Y139 MANUAL_ROUTING SITEPROP ILOGIC_X0Y139 NAME ILOGIC_X0Y139 SITEPROP ILOGIC_X0Y139 NUM_ARCS 27 SITEPROP ILOGIC_X0Y139 NUM_BELS 6 SITEPROP ILOGIC_X0Y139 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y139 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y139 NUM_PINS 31 SITEPROP ILOGIC_X0Y139 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y139 PROHIBIT 0 SITEPROP ILOGIC_X0Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y139 RPM_X 2 SITEPROP ILOGIC_X0Y139 RPM_Y 279 SITEPROP ILOGIC_X0Y139 SITE_PIPS SITEPROP ILOGIC_X0Y139 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y140 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y140 CLASS site SITEPROP ILOGIC_X0Y140 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y140 IS_BONDED 0 SITEPROP ILOGIC_X0Y140 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y140 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y140 IS_PAD 0 SITEPROP ILOGIC_X0Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y140 IS_RESERVED 0 SITEPROP ILOGIC_X0Y140 IS_TEST 0 SITEPROP ILOGIC_X0Y140 IS_USED 0 SITEPROP ILOGIC_X0Y140 MANUAL_ROUTING SITEPROP ILOGIC_X0Y140 NAME ILOGIC_X0Y140 SITEPROP ILOGIC_X0Y140 NUM_ARCS 27 SITEPROP ILOGIC_X0Y140 NUM_BELS 6 SITEPROP ILOGIC_X0Y140 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y140 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y140 NUM_PINS 31 SITEPROP ILOGIC_X0Y140 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y140 PROHIBIT 0 SITEPROP ILOGIC_X0Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y140 RPM_X 2 SITEPROP ILOGIC_X0Y140 RPM_Y 278 SITEPROP ILOGIC_X0Y140 SITE_PIPS SITEPROP ILOGIC_X0Y140 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y141 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y141 CLASS site SITEPROP ILOGIC_X0Y141 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y141 IS_BONDED 0 SITEPROP ILOGIC_X0Y141 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y141 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y141 IS_PAD 0 SITEPROP ILOGIC_X0Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y141 IS_RESERVED 0 SITEPROP ILOGIC_X0Y141 IS_TEST 0 SITEPROP ILOGIC_X0Y141 IS_USED 0 SITEPROP ILOGIC_X0Y141 MANUAL_ROUTING SITEPROP ILOGIC_X0Y141 NAME ILOGIC_X0Y141 SITEPROP ILOGIC_X0Y141 NUM_ARCS 27 SITEPROP ILOGIC_X0Y141 NUM_BELS 6 SITEPROP ILOGIC_X0Y141 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y141 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y141 NUM_PINS 31 SITEPROP ILOGIC_X0Y141 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y141 PROHIBIT 0 SITEPROP ILOGIC_X0Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y141 RPM_X 2 SITEPROP ILOGIC_X0Y141 RPM_Y 283 SITEPROP ILOGIC_X0Y141 SITE_PIPS SITEPROP ILOGIC_X0Y141 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y142 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y142 CLASS site SITEPROP ILOGIC_X0Y142 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y142 IS_BONDED 0 SITEPROP ILOGIC_X0Y142 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y142 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y142 IS_PAD 0 SITEPROP ILOGIC_X0Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y142 IS_RESERVED 0 SITEPROP ILOGIC_X0Y142 IS_TEST 0 SITEPROP ILOGIC_X0Y142 IS_USED 0 SITEPROP ILOGIC_X0Y142 MANUAL_ROUTING SITEPROP ILOGIC_X0Y142 NAME ILOGIC_X0Y142 SITEPROP ILOGIC_X0Y142 NUM_ARCS 27 SITEPROP ILOGIC_X0Y142 NUM_BELS 6 SITEPROP ILOGIC_X0Y142 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y142 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y142 NUM_PINS 31 SITEPROP ILOGIC_X0Y142 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y142 PROHIBIT 0 SITEPROP ILOGIC_X0Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y142 RPM_X 2 SITEPROP ILOGIC_X0Y142 RPM_Y 282 SITEPROP ILOGIC_X0Y142 SITE_PIPS SITEPROP ILOGIC_X0Y142 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y143 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y143 CLASS site SITEPROP ILOGIC_X0Y143 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y143 IS_BONDED 0 SITEPROP ILOGIC_X0Y143 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y143 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y143 IS_PAD 0 SITEPROP ILOGIC_X0Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y143 IS_RESERVED 0 SITEPROP ILOGIC_X0Y143 IS_TEST 0 SITEPROP ILOGIC_X0Y143 IS_USED 0 SITEPROP ILOGIC_X0Y143 MANUAL_ROUTING SITEPROP ILOGIC_X0Y143 NAME ILOGIC_X0Y143 SITEPROP ILOGIC_X0Y143 NUM_ARCS 27 SITEPROP ILOGIC_X0Y143 NUM_BELS 6 SITEPROP ILOGIC_X0Y143 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y143 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y143 NUM_PINS 31 SITEPROP ILOGIC_X0Y143 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y143 PROHIBIT 0 SITEPROP ILOGIC_X0Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y143 RPM_X 2 SITEPROP ILOGIC_X0Y143 RPM_Y 287 SITEPROP ILOGIC_X0Y143 SITE_PIPS SITEPROP ILOGIC_X0Y143 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y144 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y144 CLASS site SITEPROP ILOGIC_X0Y144 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y144 IS_BONDED 0 SITEPROP ILOGIC_X0Y144 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y144 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y144 IS_PAD 0 SITEPROP ILOGIC_X0Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y144 IS_RESERVED 0 SITEPROP ILOGIC_X0Y144 IS_TEST 0 SITEPROP ILOGIC_X0Y144 IS_USED 0 SITEPROP ILOGIC_X0Y144 MANUAL_ROUTING SITEPROP ILOGIC_X0Y144 NAME ILOGIC_X0Y144 SITEPROP ILOGIC_X0Y144 NUM_ARCS 27 SITEPROP ILOGIC_X0Y144 NUM_BELS 6 SITEPROP ILOGIC_X0Y144 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y144 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y144 NUM_PINS 31 SITEPROP ILOGIC_X0Y144 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y144 PROHIBIT 0 SITEPROP ILOGIC_X0Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y144 RPM_X 2 SITEPROP ILOGIC_X0Y144 RPM_Y 286 SITEPROP ILOGIC_X0Y144 SITE_PIPS SITEPROP ILOGIC_X0Y144 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y145 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y145 CLASS site SITEPROP ILOGIC_X0Y145 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y145 IS_BONDED 0 SITEPROP ILOGIC_X0Y145 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y145 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y145 IS_PAD 0 SITEPROP ILOGIC_X0Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y145 IS_RESERVED 0 SITEPROP ILOGIC_X0Y145 IS_TEST 0 SITEPROP ILOGIC_X0Y145 IS_USED 0 SITEPROP ILOGIC_X0Y145 MANUAL_ROUTING SITEPROP ILOGIC_X0Y145 NAME ILOGIC_X0Y145 SITEPROP ILOGIC_X0Y145 NUM_ARCS 27 SITEPROP ILOGIC_X0Y145 NUM_BELS 6 SITEPROP ILOGIC_X0Y145 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y145 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y145 NUM_PINS 31 SITEPROP ILOGIC_X0Y145 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y145 PROHIBIT 0 SITEPROP ILOGIC_X0Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y145 RPM_X 2 SITEPROP ILOGIC_X0Y145 RPM_Y 291 SITEPROP ILOGIC_X0Y145 SITE_PIPS SITEPROP ILOGIC_X0Y145 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y146 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y146 CLASS site SITEPROP ILOGIC_X0Y146 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y146 IS_BONDED 0 SITEPROP ILOGIC_X0Y146 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y146 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y146 IS_PAD 0 SITEPROP ILOGIC_X0Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y146 IS_RESERVED 0 SITEPROP ILOGIC_X0Y146 IS_TEST 0 SITEPROP ILOGIC_X0Y146 IS_USED 0 SITEPROP ILOGIC_X0Y146 MANUAL_ROUTING SITEPROP ILOGIC_X0Y146 NAME ILOGIC_X0Y146 SITEPROP ILOGIC_X0Y146 NUM_ARCS 27 SITEPROP ILOGIC_X0Y146 NUM_BELS 6 SITEPROP ILOGIC_X0Y146 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y146 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y146 NUM_PINS 31 SITEPROP ILOGIC_X0Y146 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y146 PROHIBIT 0 SITEPROP ILOGIC_X0Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y146 RPM_X 2 SITEPROP ILOGIC_X0Y146 RPM_Y 290 SITEPROP ILOGIC_X0Y146 SITE_PIPS SITEPROP ILOGIC_X0Y146 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y147 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y147 CLASS site SITEPROP ILOGIC_X0Y147 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y147 IS_BONDED 0 SITEPROP ILOGIC_X0Y147 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y147 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y147 IS_PAD 0 SITEPROP ILOGIC_X0Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y147 IS_RESERVED 0 SITEPROP ILOGIC_X0Y147 IS_TEST 0 SITEPROP ILOGIC_X0Y147 IS_USED 0 SITEPROP ILOGIC_X0Y147 MANUAL_ROUTING SITEPROP ILOGIC_X0Y147 NAME ILOGIC_X0Y147 SITEPROP ILOGIC_X0Y147 NUM_ARCS 27 SITEPROP ILOGIC_X0Y147 NUM_BELS 6 SITEPROP ILOGIC_X0Y147 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y147 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y147 NUM_PINS 31 SITEPROP ILOGIC_X0Y147 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y147 PROHIBIT 0 SITEPROP ILOGIC_X0Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y147 RPM_X 2 SITEPROP ILOGIC_X0Y147 RPM_Y 295 SITEPROP ILOGIC_X0Y147 SITE_PIPS SITEPROP ILOGIC_X0Y147 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y148 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y148 CLASS site SITEPROP ILOGIC_X0Y148 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y148 IS_BONDED 0 SITEPROP ILOGIC_X0Y148 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y148 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y148 IS_PAD 0 SITEPROP ILOGIC_X0Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y148 IS_RESERVED 0 SITEPROP ILOGIC_X0Y148 IS_TEST 0 SITEPROP ILOGIC_X0Y148 IS_USED 0 SITEPROP ILOGIC_X0Y148 MANUAL_ROUTING SITEPROP ILOGIC_X0Y148 NAME ILOGIC_X0Y148 SITEPROP ILOGIC_X0Y148 NUM_ARCS 27 SITEPROP ILOGIC_X0Y148 NUM_BELS 6 SITEPROP ILOGIC_X0Y148 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y148 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y148 NUM_PINS 31 SITEPROP ILOGIC_X0Y148 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y148 PROHIBIT 0 SITEPROP ILOGIC_X0Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y148 RPM_X 2 SITEPROP ILOGIC_X0Y148 RPM_Y 294 SITEPROP ILOGIC_X0Y148 SITE_PIPS SITEPROP ILOGIC_X0Y148 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X0Y149 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X0Y149 CLASS site SITEPROP ILOGIC_X0Y149 CLOCK_REGION X0Y2 SITEPROP ILOGIC_X0Y149 IS_BONDED 0 SITEPROP ILOGIC_X0Y149 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y149 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y149 IS_PAD 0 SITEPROP ILOGIC_X0Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X0Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X0Y149 IS_RESERVED 0 SITEPROP ILOGIC_X0Y149 IS_TEST 0 SITEPROP ILOGIC_X0Y149 IS_USED 0 SITEPROP ILOGIC_X0Y149 MANUAL_ROUTING SITEPROP ILOGIC_X0Y149 NAME ILOGIC_X0Y149 SITEPROP ILOGIC_X0Y149 NUM_ARCS 27 SITEPROP ILOGIC_X0Y149 NUM_BELS 6 SITEPROP ILOGIC_X0Y149 NUM_INPUTS 20 SITEPROP ILOGIC_X0Y149 NUM_OUTPUTS 11 SITEPROP ILOGIC_X0Y149 NUM_PINS 31 SITEPROP ILOGIC_X0Y149 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X0Y149 PROHIBIT 0 SITEPROP ILOGIC_X0Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X0Y149 RPM_X 2 SITEPROP ILOGIC_X0Y149 RPM_Y 298 SITEPROP ILOGIC_X0Y149 SITE_PIPS SITEPROP ILOGIC_X0Y149 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y0 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y0 CLASS site SITEPROP ILOGIC_X1Y0 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y0 IS_BONDED 0 SITEPROP ILOGIC_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y0 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y0 IS_PAD 0 SITEPROP ILOGIC_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y0 IS_RESERVED 0 SITEPROP ILOGIC_X1Y0 IS_TEST 0 SITEPROP ILOGIC_X1Y0 IS_USED 0 SITEPROP ILOGIC_X1Y0 MANUAL_ROUTING SITEPROP ILOGIC_X1Y0 NAME ILOGIC_X1Y0 SITEPROP ILOGIC_X1Y0 NUM_ARCS 27 SITEPROP ILOGIC_X1Y0 NUM_BELS 6 SITEPROP ILOGIC_X1Y0 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y0 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y0 NUM_PINS 31 SITEPROP ILOGIC_X1Y0 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y0 PROHIBIT 0 SITEPROP ILOGIC_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y0 RPM_X 182 SITEPROP ILOGIC_X1Y0 RPM_Y 0 SITEPROP ILOGIC_X1Y0 SITE_PIPS SITEPROP ILOGIC_X1Y0 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y1 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y1 CLASS site SITEPROP ILOGIC_X1Y1 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y1 IS_BONDED 0 SITEPROP ILOGIC_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y1 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y1 IS_PAD 0 SITEPROP ILOGIC_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y1 IS_RESERVED 0 SITEPROP ILOGIC_X1Y1 IS_TEST 0 SITEPROP ILOGIC_X1Y1 IS_USED 0 SITEPROP ILOGIC_X1Y1 MANUAL_ROUTING SITEPROP ILOGIC_X1Y1 NAME ILOGIC_X1Y1 SITEPROP ILOGIC_X1Y1 NUM_ARCS 27 SITEPROP ILOGIC_X1Y1 NUM_BELS 6 SITEPROP ILOGIC_X1Y1 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y1 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y1 NUM_PINS 31 SITEPROP ILOGIC_X1Y1 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y1 PROHIBIT 0 SITEPROP ILOGIC_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y1 RPM_X 182 SITEPROP ILOGIC_X1Y1 RPM_Y 3 SITEPROP ILOGIC_X1Y1 SITE_PIPS SITEPROP ILOGIC_X1Y1 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y2 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y2 CLASS site SITEPROP ILOGIC_X1Y2 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y2 IS_BONDED 0 SITEPROP ILOGIC_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y2 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y2 IS_PAD 0 SITEPROP ILOGIC_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y2 IS_RESERVED 0 SITEPROP ILOGIC_X1Y2 IS_TEST 0 SITEPROP ILOGIC_X1Y2 IS_USED 0 SITEPROP ILOGIC_X1Y2 MANUAL_ROUTING SITEPROP ILOGIC_X1Y2 NAME ILOGIC_X1Y2 SITEPROP ILOGIC_X1Y2 NUM_ARCS 27 SITEPROP ILOGIC_X1Y2 NUM_BELS 6 SITEPROP ILOGIC_X1Y2 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y2 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y2 NUM_PINS 31 SITEPROP ILOGIC_X1Y2 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y2 PROHIBIT 0 SITEPROP ILOGIC_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y2 RPM_X 182 SITEPROP ILOGIC_X1Y2 RPM_Y 2 SITEPROP ILOGIC_X1Y2 SITE_PIPS SITEPROP ILOGIC_X1Y2 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y3 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y3 CLASS site SITEPROP ILOGIC_X1Y3 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y3 IS_BONDED 0 SITEPROP ILOGIC_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y3 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y3 IS_PAD 0 SITEPROP ILOGIC_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y3 IS_RESERVED 0 SITEPROP ILOGIC_X1Y3 IS_TEST 0 SITEPROP ILOGIC_X1Y3 IS_USED 0 SITEPROP ILOGIC_X1Y3 MANUAL_ROUTING SITEPROP ILOGIC_X1Y3 NAME ILOGIC_X1Y3 SITEPROP ILOGIC_X1Y3 NUM_ARCS 27 SITEPROP ILOGIC_X1Y3 NUM_BELS 6 SITEPROP ILOGIC_X1Y3 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y3 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y3 NUM_PINS 31 SITEPROP ILOGIC_X1Y3 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y3 PROHIBIT 0 SITEPROP ILOGIC_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y3 RPM_X 182 SITEPROP ILOGIC_X1Y3 RPM_Y 7 SITEPROP ILOGIC_X1Y3 SITE_PIPS SITEPROP ILOGIC_X1Y3 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y4 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y4 CLASS site SITEPROP ILOGIC_X1Y4 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y4 IS_BONDED 0 SITEPROP ILOGIC_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y4 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y4 IS_PAD 0 SITEPROP ILOGIC_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y4 IS_RESERVED 0 SITEPROP ILOGIC_X1Y4 IS_TEST 0 SITEPROP ILOGIC_X1Y4 IS_USED 0 SITEPROP ILOGIC_X1Y4 MANUAL_ROUTING SITEPROP ILOGIC_X1Y4 NAME ILOGIC_X1Y4 SITEPROP ILOGIC_X1Y4 NUM_ARCS 27 SITEPROP ILOGIC_X1Y4 NUM_BELS 6 SITEPROP ILOGIC_X1Y4 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y4 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y4 NUM_PINS 31 SITEPROP ILOGIC_X1Y4 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y4 PROHIBIT 0 SITEPROP ILOGIC_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y4 RPM_X 182 SITEPROP ILOGIC_X1Y4 RPM_Y 6 SITEPROP ILOGIC_X1Y4 SITE_PIPS SITEPROP ILOGIC_X1Y4 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y5 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y5 CLASS site SITEPROP ILOGIC_X1Y5 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y5 IS_BONDED 0 SITEPROP ILOGIC_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y5 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y5 IS_PAD 0 SITEPROP ILOGIC_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y5 IS_RESERVED 0 SITEPROP ILOGIC_X1Y5 IS_TEST 0 SITEPROP ILOGIC_X1Y5 IS_USED 0 SITEPROP ILOGIC_X1Y5 MANUAL_ROUTING SITEPROP ILOGIC_X1Y5 NAME ILOGIC_X1Y5 SITEPROP ILOGIC_X1Y5 NUM_ARCS 27 SITEPROP ILOGIC_X1Y5 NUM_BELS 6 SITEPROP ILOGIC_X1Y5 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y5 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y5 NUM_PINS 31 SITEPROP ILOGIC_X1Y5 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y5 PROHIBIT 0 SITEPROP ILOGIC_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y5 RPM_X 182 SITEPROP ILOGIC_X1Y5 RPM_Y 11 SITEPROP ILOGIC_X1Y5 SITE_PIPS SITEPROP ILOGIC_X1Y5 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y6 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y6 CLASS site SITEPROP ILOGIC_X1Y6 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y6 IS_BONDED 0 SITEPROP ILOGIC_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y6 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y6 IS_PAD 0 SITEPROP ILOGIC_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y6 IS_RESERVED 0 SITEPROP ILOGIC_X1Y6 IS_TEST 0 SITEPROP ILOGIC_X1Y6 IS_USED 0 SITEPROP ILOGIC_X1Y6 MANUAL_ROUTING SITEPROP ILOGIC_X1Y6 NAME ILOGIC_X1Y6 SITEPROP ILOGIC_X1Y6 NUM_ARCS 27 SITEPROP ILOGIC_X1Y6 NUM_BELS 6 SITEPROP ILOGIC_X1Y6 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y6 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y6 NUM_PINS 31 SITEPROP ILOGIC_X1Y6 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y6 PROHIBIT 0 SITEPROP ILOGIC_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y6 RPM_X 182 SITEPROP ILOGIC_X1Y6 RPM_Y 10 SITEPROP ILOGIC_X1Y6 SITE_PIPS SITEPROP ILOGIC_X1Y6 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y7 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y7 CLASS site SITEPROP ILOGIC_X1Y7 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y7 IS_BONDED 0 SITEPROP ILOGIC_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y7 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y7 IS_PAD 0 SITEPROP ILOGIC_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y7 IS_RESERVED 0 SITEPROP ILOGIC_X1Y7 IS_TEST 0 SITEPROP ILOGIC_X1Y7 IS_USED 0 SITEPROP ILOGIC_X1Y7 MANUAL_ROUTING SITEPROP ILOGIC_X1Y7 NAME ILOGIC_X1Y7 SITEPROP ILOGIC_X1Y7 NUM_ARCS 27 SITEPROP ILOGIC_X1Y7 NUM_BELS 6 SITEPROP ILOGIC_X1Y7 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y7 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y7 NUM_PINS 31 SITEPROP ILOGIC_X1Y7 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y7 PROHIBIT 0 SITEPROP ILOGIC_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y7 RPM_X 182 SITEPROP ILOGIC_X1Y7 RPM_Y 15 SITEPROP ILOGIC_X1Y7 SITE_PIPS SITEPROP ILOGIC_X1Y7 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y8 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y8 CLASS site SITEPROP ILOGIC_X1Y8 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y8 IS_BONDED 0 SITEPROP ILOGIC_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y8 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y8 IS_PAD 0 SITEPROP ILOGIC_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y8 IS_RESERVED 0 SITEPROP ILOGIC_X1Y8 IS_TEST 0 SITEPROP ILOGIC_X1Y8 IS_USED 0 SITEPROP ILOGIC_X1Y8 MANUAL_ROUTING SITEPROP ILOGIC_X1Y8 NAME ILOGIC_X1Y8 SITEPROP ILOGIC_X1Y8 NUM_ARCS 27 SITEPROP ILOGIC_X1Y8 NUM_BELS 6 SITEPROP ILOGIC_X1Y8 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y8 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y8 NUM_PINS 31 SITEPROP ILOGIC_X1Y8 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y8 PROHIBIT 0 SITEPROP ILOGIC_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y8 RPM_X 182 SITEPROP ILOGIC_X1Y8 RPM_Y 14 SITEPROP ILOGIC_X1Y8 SITE_PIPS SITEPROP ILOGIC_X1Y8 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y9 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y9 CLASS site SITEPROP ILOGIC_X1Y9 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y9 IS_BONDED 0 SITEPROP ILOGIC_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y9 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y9 IS_PAD 0 SITEPROP ILOGIC_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y9 IS_RESERVED 0 SITEPROP ILOGIC_X1Y9 IS_TEST 0 SITEPROP ILOGIC_X1Y9 IS_USED 0 SITEPROP ILOGIC_X1Y9 MANUAL_ROUTING SITEPROP ILOGIC_X1Y9 NAME ILOGIC_X1Y9 SITEPROP ILOGIC_X1Y9 NUM_ARCS 27 SITEPROP ILOGIC_X1Y9 NUM_BELS 6 SITEPROP ILOGIC_X1Y9 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y9 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y9 NUM_PINS 31 SITEPROP ILOGIC_X1Y9 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y9 PROHIBIT 0 SITEPROP ILOGIC_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y9 RPM_X 182 SITEPROP ILOGIC_X1Y9 RPM_Y 19 SITEPROP ILOGIC_X1Y9 SITE_PIPS SITEPROP ILOGIC_X1Y9 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y10 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y10 CLASS site SITEPROP ILOGIC_X1Y10 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y10 IS_BONDED 0 SITEPROP ILOGIC_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y10 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y10 IS_PAD 0 SITEPROP ILOGIC_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y10 IS_RESERVED 0 SITEPROP ILOGIC_X1Y10 IS_TEST 0 SITEPROP ILOGIC_X1Y10 IS_USED 0 SITEPROP ILOGIC_X1Y10 MANUAL_ROUTING SITEPROP ILOGIC_X1Y10 NAME ILOGIC_X1Y10 SITEPROP ILOGIC_X1Y10 NUM_ARCS 27 SITEPROP ILOGIC_X1Y10 NUM_BELS 6 SITEPROP ILOGIC_X1Y10 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y10 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y10 NUM_PINS 31 SITEPROP ILOGIC_X1Y10 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y10 PROHIBIT 0 SITEPROP ILOGIC_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y10 RPM_X 182 SITEPROP ILOGIC_X1Y10 RPM_Y 18 SITEPROP ILOGIC_X1Y10 SITE_PIPS SITEPROP ILOGIC_X1Y10 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y11 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y11 CLASS site SITEPROP ILOGIC_X1Y11 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y11 IS_BONDED 0 SITEPROP ILOGIC_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y11 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y11 IS_PAD 0 SITEPROP ILOGIC_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y11 IS_RESERVED 0 SITEPROP ILOGIC_X1Y11 IS_TEST 0 SITEPROP ILOGIC_X1Y11 IS_USED 0 SITEPROP ILOGIC_X1Y11 MANUAL_ROUTING SITEPROP ILOGIC_X1Y11 NAME ILOGIC_X1Y11 SITEPROP ILOGIC_X1Y11 NUM_ARCS 27 SITEPROP ILOGIC_X1Y11 NUM_BELS 6 SITEPROP ILOGIC_X1Y11 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y11 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y11 NUM_PINS 31 SITEPROP ILOGIC_X1Y11 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y11 PROHIBIT 0 SITEPROP ILOGIC_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y11 RPM_X 182 SITEPROP ILOGIC_X1Y11 RPM_Y 23 SITEPROP ILOGIC_X1Y11 SITE_PIPS SITEPROP ILOGIC_X1Y11 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y12 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y12 CLASS site SITEPROP ILOGIC_X1Y12 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y12 IS_BONDED 0 SITEPROP ILOGIC_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y12 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y12 IS_PAD 0 SITEPROP ILOGIC_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y12 IS_RESERVED 0 SITEPROP ILOGIC_X1Y12 IS_TEST 0 SITEPROP ILOGIC_X1Y12 IS_USED 0 SITEPROP ILOGIC_X1Y12 MANUAL_ROUTING SITEPROP ILOGIC_X1Y12 NAME ILOGIC_X1Y12 SITEPROP ILOGIC_X1Y12 NUM_ARCS 27 SITEPROP ILOGIC_X1Y12 NUM_BELS 6 SITEPROP ILOGIC_X1Y12 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y12 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y12 NUM_PINS 31 SITEPROP ILOGIC_X1Y12 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y12 PROHIBIT 0 SITEPROP ILOGIC_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y12 RPM_X 182 SITEPROP ILOGIC_X1Y12 RPM_Y 22 SITEPROP ILOGIC_X1Y12 SITE_PIPS SITEPROP ILOGIC_X1Y12 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y13 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y13 CLASS site SITEPROP ILOGIC_X1Y13 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y13 IS_BONDED 0 SITEPROP ILOGIC_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y13 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y13 IS_PAD 0 SITEPROP ILOGIC_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y13 IS_RESERVED 0 SITEPROP ILOGIC_X1Y13 IS_TEST 0 SITEPROP ILOGIC_X1Y13 IS_USED 0 SITEPROP ILOGIC_X1Y13 MANUAL_ROUTING SITEPROP ILOGIC_X1Y13 NAME ILOGIC_X1Y13 SITEPROP ILOGIC_X1Y13 NUM_ARCS 27 SITEPROP ILOGIC_X1Y13 NUM_BELS 6 SITEPROP ILOGIC_X1Y13 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y13 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y13 NUM_PINS 31 SITEPROP ILOGIC_X1Y13 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y13 PROHIBIT 0 SITEPROP ILOGIC_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y13 RPM_X 182 SITEPROP ILOGIC_X1Y13 RPM_Y 27 SITEPROP ILOGIC_X1Y13 SITE_PIPS SITEPROP ILOGIC_X1Y13 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y14 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y14 CLASS site SITEPROP ILOGIC_X1Y14 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y14 IS_BONDED 0 SITEPROP ILOGIC_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y14 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y14 IS_PAD 0 SITEPROP ILOGIC_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y14 IS_RESERVED 0 SITEPROP ILOGIC_X1Y14 IS_TEST 0 SITEPROP ILOGIC_X1Y14 IS_USED 0 SITEPROP ILOGIC_X1Y14 MANUAL_ROUTING SITEPROP ILOGIC_X1Y14 NAME ILOGIC_X1Y14 SITEPROP ILOGIC_X1Y14 NUM_ARCS 27 SITEPROP ILOGIC_X1Y14 NUM_BELS 6 SITEPROP ILOGIC_X1Y14 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y14 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y14 NUM_PINS 31 SITEPROP ILOGIC_X1Y14 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y14 PROHIBIT 0 SITEPROP ILOGIC_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y14 RPM_X 182 SITEPROP ILOGIC_X1Y14 RPM_Y 26 SITEPROP ILOGIC_X1Y14 SITE_PIPS SITEPROP ILOGIC_X1Y14 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y15 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y15 CLASS site SITEPROP ILOGIC_X1Y15 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y15 IS_BONDED 0 SITEPROP ILOGIC_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y15 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y15 IS_PAD 0 SITEPROP ILOGIC_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y15 IS_RESERVED 0 SITEPROP ILOGIC_X1Y15 IS_TEST 0 SITEPROP ILOGIC_X1Y15 IS_USED 0 SITEPROP ILOGIC_X1Y15 MANUAL_ROUTING SITEPROP ILOGIC_X1Y15 NAME ILOGIC_X1Y15 SITEPROP ILOGIC_X1Y15 NUM_ARCS 27 SITEPROP ILOGIC_X1Y15 NUM_BELS 6 SITEPROP ILOGIC_X1Y15 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y15 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y15 NUM_PINS 31 SITEPROP ILOGIC_X1Y15 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y15 PROHIBIT 0 SITEPROP ILOGIC_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y15 RPM_X 182 SITEPROP ILOGIC_X1Y15 RPM_Y 31 SITEPROP ILOGIC_X1Y15 SITE_PIPS SITEPROP ILOGIC_X1Y15 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y16 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y16 CLASS site SITEPROP ILOGIC_X1Y16 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y16 IS_BONDED 0 SITEPROP ILOGIC_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y16 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y16 IS_PAD 0 SITEPROP ILOGIC_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y16 IS_RESERVED 0 SITEPROP ILOGIC_X1Y16 IS_TEST 0 SITEPROP ILOGIC_X1Y16 IS_USED 0 SITEPROP ILOGIC_X1Y16 MANUAL_ROUTING SITEPROP ILOGIC_X1Y16 NAME ILOGIC_X1Y16 SITEPROP ILOGIC_X1Y16 NUM_ARCS 27 SITEPROP ILOGIC_X1Y16 NUM_BELS 6 SITEPROP ILOGIC_X1Y16 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y16 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y16 NUM_PINS 31 SITEPROP ILOGIC_X1Y16 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y16 PROHIBIT 0 SITEPROP ILOGIC_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y16 RPM_X 182 SITEPROP ILOGIC_X1Y16 RPM_Y 30 SITEPROP ILOGIC_X1Y16 SITE_PIPS SITEPROP ILOGIC_X1Y16 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y17 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y17 CLASS site SITEPROP ILOGIC_X1Y17 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y17 IS_BONDED 0 SITEPROP ILOGIC_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y17 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y17 IS_PAD 0 SITEPROP ILOGIC_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y17 IS_RESERVED 0 SITEPROP ILOGIC_X1Y17 IS_TEST 0 SITEPROP ILOGIC_X1Y17 IS_USED 0 SITEPROP ILOGIC_X1Y17 MANUAL_ROUTING SITEPROP ILOGIC_X1Y17 NAME ILOGIC_X1Y17 SITEPROP ILOGIC_X1Y17 NUM_ARCS 27 SITEPROP ILOGIC_X1Y17 NUM_BELS 6 SITEPROP ILOGIC_X1Y17 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y17 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y17 NUM_PINS 31 SITEPROP ILOGIC_X1Y17 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y17 PROHIBIT 0 SITEPROP ILOGIC_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y17 RPM_X 182 SITEPROP ILOGIC_X1Y17 RPM_Y 35 SITEPROP ILOGIC_X1Y17 SITE_PIPS SITEPROP ILOGIC_X1Y17 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y18 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y18 CLASS site SITEPROP ILOGIC_X1Y18 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y18 IS_BONDED 0 SITEPROP ILOGIC_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y18 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y18 IS_PAD 0 SITEPROP ILOGIC_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y18 IS_RESERVED 0 SITEPROP ILOGIC_X1Y18 IS_TEST 0 SITEPROP ILOGIC_X1Y18 IS_USED 0 SITEPROP ILOGIC_X1Y18 MANUAL_ROUTING SITEPROP ILOGIC_X1Y18 NAME ILOGIC_X1Y18 SITEPROP ILOGIC_X1Y18 NUM_ARCS 27 SITEPROP ILOGIC_X1Y18 NUM_BELS 6 SITEPROP ILOGIC_X1Y18 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y18 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y18 NUM_PINS 31 SITEPROP ILOGIC_X1Y18 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y18 PROHIBIT 0 SITEPROP ILOGIC_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y18 RPM_X 182 SITEPROP ILOGIC_X1Y18 RPM_Y 34 SITEPROP ILOGIC_X1Y18 SITE_PIPS SITEPROP ILOGIC_X1Y18 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y19 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y19 CLASS site SITEPROP ILOGIC_X1Y19 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y19 IS_BONDED 0 SITEPROP ILOGIC_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y19 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y19 IS_PAD 0 SITEPROP ILOGIC_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y19 IS_RESERVED 0 SITEPROP ILOGIC_X1Y19 IS_TEST 0 SITEPROP ILOGIC_X1Y19 IS_USED 0 SITEPROP ILOGIC_X1Y19 MANUAL_ROUTING SITEPROP ILOGIC_X1Y19 NAME ILOGIC_X1Y19 SITEPROP ILOGIC_X1Y19 NUM_ARCS 27 SITEPROP ILOGIC_X1Y19 NUM_BELS 6 SITEPROP ILOGIC_X1Y19 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y19 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y19 NUM_PINS 31 SITEPROP ILOGIC_X1Y19 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y19 PROHIBIT 0 SITEPROP ILOGIC_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y19 RPM_X 182 SITEPROP ILOGIC_X1Y19 RPM_Y 39 SITEPROP ILOGIC_X1Y19 SITE_PIPS SITEPROP ILOGIC_X1Y19 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y20 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y20 CLASS site SITEPROP ILOGIC_X1Y20 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y20 IS_BONDED 0 SITEPROP ILOGIC_X1Y20 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y20 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y20 IS_PAD 0 SITEPROP ILOGIC_X1Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y20 IS_RESERVED 0 SITEPROP ILOGIC_X1Y20 IS_TEST 0 SITEPROP ILOGIC_X1Y20 IS_USED 0 SITEPROP ILOGIC_X1Y20 MANUAL_ROUTING SITEPROP ILOGIC_X1Y20 NAME ILOGIC_X1Y20 SITEPROP ILOGIC_X1Y20 NUM_ARCS 27 SITEPROP ILOGIC_X1Y20 NUM_BELS 6 SITEPROP ILOGIC_X1Y20 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y20 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y20 NUM_PINS 31 SITEPROP ILOGIC_X1Y20 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y20 PROHIBIT 0 SITEPROP ILOGIC_X1Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y20 RPM_X 182 SITEPROP ILOGIC_X1Y20 RPM_Y 38 SITEPROP ILOGIC_X1Y20 SITE_PIPS SITEPROP ILOGIC_X1Y20 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y21 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y21 CLASS site SITEPROP ILOGIC_X1Y21 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y21 IS_BONDED 0 SITEPROP ILOGIC_X1Y21 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y21 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y21 IS_PAD 0 SITEPROP ILOGIC_X1Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y21 IS_RESERVED 0 SITEPROP ILOGIC_X1Y21 IS_TEST 0 SITEPROP ILOGIC_X1Y21 IS_USED 0 SITEPROP ILOGIC_X1Y21 MANUAL_ROUTING SITEPROP ILOGIC_X1Y21 NAME ILOGIC_X1Y21 SITEPROP ILOGIC_X1Y21 NUM_ARCS 27 SITEPROP ILOGIC_X1Y21 NUM_BELS 6 SITEPROP ILOGIC_X1Y21 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y21 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y21 NUM_PINS 31 SITEPROP ILOGIC_X1Y21 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y21 PROHIBIT 0 SITEPROP ILOGIC_X1Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y21 RPM_X 182 SITEPROP ILOGIC_X1Y21 RPM_Y 43 SITEPROP ILOGIC_X1Y21 SITE_PIPS SITEPROP ILOGIC_X1Y21 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y22 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y22 CLASS site SITEPROP ILOGIC_X1Y22 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y22 IS_BONDED 0 SITEPROP ILOGIC_X1Y22 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y22 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y22 IS_PAD 0 SITEPROP ILOGIC_X1Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y22 IS_RESERVED 0 SITEPROP ILOGIC_X1Y22 IS_TEST 0 SITEPROP ILOGIC_X1Y22 IS_USED 0 SITEPROP ILOGIC_X1Y22 MANUAL_ROUTING SITEPROP ILOGIC_X1Y22 NAME ILOGIC_X1Y22 SITEPROP ILOGIC_X1Y22 NUM_ARCS 27 SITEPROP ILOGIC_X1Y22 NUM_BELS 6 SITEPROP ILOGIC_X1Y22 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y22 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y22 NUM_PINS 31 SITEPROP ILOGIC_X1Y22 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y22 PROHIBIT 0 SITEPROP ILOGIC_X1Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y22 RPM_X 182 SITEPROP ILOGIC_X1Y22 RPM_Y 42 SITEPROP ILOGIC_X1Y22 SITE_PIPS SITEPROP ILOGIC_X1Y22 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y23 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y23 CLASS site SITEPROP ILOGIC_X1Y23 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y23 IS_BONDED 0 SITEPROP ILOGIC_X1Y23 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y23 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y23 IS_PAD 0 SITEPROP ILOGIC_X1Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y23 IS_RESERVED 0 SITEPROP ILOGIC_X1Y23 IS_TEST 0 SITEPROP ILOGIC_X1Y23 IS_USED 0 SITEPROP ILOGIC_X1Y23 MANUAL_ROUTING SITEPROP ILOGIC_X1Y23 NAME ILOGIC_X1Y23 SITEPROP ILOGIC_X1Y23 NUM_ARCS 27 SITEPROP ILOGIC_X1Y23 NUM_BELS 6 SITEPROP ILOGIC_X1Y23 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y23 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y23 NUM_PINS 31 SITEPROP ILOGIC_X1Y23 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y23 PROHIBIT 0 SITEPROP ILOGIC_X1Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y23 RPM_X 182 SITEPROP ILOGIC_X1Y23 RPM_Y 47 SITEPROP ILOGIC_X1Y23 SITE_PIPS SITEPROP ILOGIC_X1Y23 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y24 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y24 CLASS site SITEPROP ILOGIC_X1Y24 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y24 IS_BONDED 0 SITEPROP ILOGIC_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y24 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y24 IS_PAD 0 SITEPROP ILOGIC_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y24 IS_RESERVED 0 SITEPROP ILOGIC_X1Y24 IS_TEST 0 SITEPROP ILOGIC_X1Y24 IS_USED 0 SITEPROP ILOGIC_X1Y24 MANUAL_ROUTING SITEPROP ILOGIC_X1Y24 NAME ILOGIC_X1Y24 SITEPROP ILOGIC_X1Y24 NUM_ARCS 27 SITEPROP ILOGIC_X1Y24 NUM_BELS 6 SITEPROP ILOGIC_X1Y24 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y24 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y24 NUM_PINS 31 SITEPROP ILOGIC_X1Y24 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y24 PROHIBIT 0 SITEPROP ILOGIC_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y24 RPM_X 182 SITEPROP ILOGIC_X1Y24 RPM_Y 46 SITEPROP ILOGIC_X1Y24 SITE_PIPS SITEPROP ILOGIC_X1Y24 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y25 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y25 CLASS site SITEPROP ILOGIC_X1Y25 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y25 IS_BONDED 0 SITEPROP ILOGIC_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y25 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y25 IS_PAD 0 SITEPROP ILOGIC_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y25 IS_RESERVED 0 SITEPROP ILOGIC_X1Y25 IS_TEST 0 SITEPROP ILOGIC_X1Y25 IS_USED 0 SITEPROP ILOGIC_X1Y25 MANUAL_ROUTING SITEPROP ILOGIC_X1Y25 NAME ILOGIC_X1Y25 SITEPROP ILOGIC_X1Y25 NUM_ARCS 27 SITEPROP ILOGIC_X1Y25 NUM_BELS 6 SITEPROP ILOGIC_X1Y25 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y25 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y25 NUM_PINS 31 SITEPROP ILOGIC_X1Y25 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y25 PROHIBIT 0 SITEPROP ILOGIC_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y25 RPM_X 182 SITEPROP ILOGIC_X1Y25 RPM_Y 51 SITEPROP ILOGIC_X1Y25 SITE_PIPS SITEPROP ILOGIC_X1Y25 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y26 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y26 CLASS site SITEPROP ILOGIC_X1Y26 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y26 IS_BONDED 0 SITEPROP ILOGIC_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y26 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y26 IS_PAD 0 SITEPROP ILOGIC_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y26 IS_RESERVED 0 SITEPROP ILOGIC_X1Y26 IS_TEST 0 SITEPROP ILOGIC_X1Y26 IS_USED 0 SITEPROP ILOGIC_X1Y26 MANUAL_ROUTING SITEPROP ILOGIC_X1Y26 NAME ILOGIC_X1Y26 SITEPROP ILOGIC_X1Y26 NUM_ARCS 27 SITEPROP ILOGIC_X1Y26 NUM_BELS 6 SITEPROP ILOGIC_X1Y26 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y26 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y26 NUM_PINS 31 SITEPROP ILOGIC_X1Y26 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y26 PROHIBIT 0 SITEPROP ILOGIC_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y26 RPM_X 182 SITEPROP ILOGIC_X1Y26 RPM_Y 50 SITEPROP ILOGIC_X1Y26 SITE_PIPS SITEPROP ILOGIC_X1Y26 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y27 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y27 CLASS site SITEPROP ILOGIC_X1Y27 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y27 IS_BONDED 0 SITEPROP ILOGIC_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y27 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y27 IS_PAD 0 SITEPROP ILOGIC_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y27 IS_RESERVED 0 SITEPROP ILOGIC_X1Y27 IS_TEST 0 SITEPROP ILOGIC_X1Y27 IS_USED 0 SITEPROP ILOGIC_X1Y27 MANUAL_ROUTING SITEPROP ILOGIC_X1Y27 NAME ILOGIC_X1Y27 SITEPROP ILOGIC_X1Y27 NUM_ARCS 27 SITEPROP ILOGIC_X1Y27 NUM_BELS 6 SITEPROP ILOGIC_X1Y27 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y27 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y27 NUM_PINS 31 SITEPROP ILOGIC_X1Y27 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y27 PROHIBIT 0 SITEPROP ILOGIC_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y27 RPM_X 182 SITEPROP ILOGIC_X1Y27 RPM_Y 55 SITEPROP ILOGIC_X1Y27 SITE_PIPS SITEPROP ILOGIC_X1Y27 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y28 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y28 CLASS site SITEPROP ILOGIC_X1Y28 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y28 IS_BONDED 0 SITEPROP ILOGIC_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y28 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y28 IS_PAD 0 SITEPROP ILOGIC_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y28 IS_RESERVED 0 SITEPROP ILOGIC_X1Y28 IS_TEST 0 SITEPROP ILOGIC_X1Y28 IS_USED 0 SITEPROP ILOGIC_X1Y28 MANUAL_ROUTING SITEPROP ILOGIC_X1Y28 NAME ILOGIC_X1Y28 SITEPROP ILOGIC_X1Y28 NUM_ARCS 27 SITEPROP ILOGIC_X1Y28 NUM_BELS 6 SITEPROP ILOGIC_X1Y28 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y28 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y28 NUM_PINS 31 SITEPROP ILOGIC_X1Y28 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y28 PROHIBIT 0 SITEPROP ILOGIC_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y28 RPM_X 182 SITEPROP ILOGIC_X1Y28 RPM_Y 54 SITEPROP ILOGIC_X1Y28 SITE_PIPS SITEPROP ILOGIC_X1Y28 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y29 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y29 CLASS site SITEPROP ILOGIC_X1Y29 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y29 IS_BONDED 0 SITEPROP ILOGIC_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y29 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y29 IS_PAD 0 SITEPROP ILOGIC_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y29 IS_RESERVED 0 SITEPROP ILOGIC_X1Y29 IS_TEST 0 SITEPROP ILOGIC_X1Y29 IS_USED 0 SITEPROP ILOGIC_X1Y29 MANUAL_ROUTING SITEPROP ILOGIC_X1Y29 NAME ILOGIC_X1Y29 SITEPROP ILOGIC_X1Y29 NUM_ARCS 27 SITEPROP ILOGIC_X1Y29 NUM_BELS 6 SITEPROP ILOGIC_X1Y29 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y29 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y29 NUM_PINS 31 SITEPROP ILOGIC_X1Y29 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y29 PROHIBIT 0 SITEPROP ILOGIC_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y29 RPM_X 182 SITEPROP ILOGIC_X1Y29 RPM_Y 59 SITEPROP ILOGIC_X1Y29 SITE_PIPS SITEPROP ILOGIC_X1Y29 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y30 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y30 CLASS site SITEPROP ILOGIC_X1Y30 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y30 IS_BONDED 0 SITEPROP ILOGIC_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y30 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y30 IS_PAD 0 SITEPROP ILOGIC_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y30 IS_RESERVED 0 SITEPROP ILOGIC_X1Y30 IS_TEST 0 SITEPROP ILOGIC_X1Y30 IS_USED 0 SITEPROP ILOGIC_X1Y30 MANUAL_ROUTING SITEPROP ILOGIC_X1Y30 NAME ILOGIC_X1Y30 SITEPROP ILOGIC_X1Y30 NUM_ARCS 27 SITEPROP ILOGIC_X1Y30 NUM_BELS 6 SITEPROP ILOGIC_X1Y30 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y30 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y30 NUM_PINS 31 SITEPROP ILOGIC_X1Y30 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y30 PROHIBIT 0 SITEPROP ILOGIC_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y30 RPM_X 182 SITEPROP ILOGIC_X1Y30 RPM_Y 58 SITEPROP ILOGIC_X1Y30 SITE_PIPS SITEPROP ILOGIC_X1Y30 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y31 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y31 CLASS site SITEPROP ILOGIC_X1Y31 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y31 IS_BONDED 0 SITEPROP ILOGIC_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y31 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y31 IS_PAD 0 SITEPROP ILOGIC_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y31 IS_RESERVED 0 SITEPROP ILOGIC_X1Y31 IS_TEST 0 SITEPROP ILOGIC_X1Y31 IS_USED 0 SITEPROP ILOGIC_X1Y31 MANUAL_ROUTING SITEPROP ILOGIC_X1Y31 NAME ILOGIC_X1Y31 SITEPROP ILOGIC_X1Y31 NUM_ARCS 27 SITEPROP ILOGIC_X1Y31 NUM_BELS 6 SITEPROP ILOGIC_X1Y31 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y31 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y31 NUM_PINS 31 SITEPROP ILOGIC_X1Y31 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y31 PROHIBIT 0 SITEPROP ILOGIC_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y31 RPM_X 182 SITEPROP ILOGIC_X1Y31 RPM_Y 63 SITEPROP ILOGIC_X1Y31 SITE_PIPS SITEPROP ILOGIC_X1Y31 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y32 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y32 CLASS site SITEPROP ILOGIC_X1Y32 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y32 IS_BONDED 0 SITEPROP ILOGIC_X1Y32 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y32 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y32 IS_PAD 0 SITEPROP ILOGIC_X1Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y32 IS_RESERVED 0 SITEPROP ILOGIC_X1Y32 IS_TEST 0 SITEPROP ILOGIC_X1Y32 IS_USED 0 SITEPROP ILOGIC_X1Y32 MANUAL_ROUTING SITEPROP ILOGIC_X1Y32 NAME ILOGIC_X1Y32 SITEPROP ILOGIC_X1Y32 NUM_ARCS 27 SITEPROP ILOGIC_X1Y32 NUM_BELS 6 SITEPROP ILOGIC_X1Y32 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y32 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y32 NUM_PINS 31 SITEPROP ILOGIC_X1Y32 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y32 PROHIBIT 0 SITEPROP ILOGIC_X1Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y32 RPM_X 182 SITEPROP ILOGIC_X1Y32 RPM_Y 62 SITEPROP ILOGIC_X1Y32 SITE_PIPS SITEPROP ILOGIC_X1Y32 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y33 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y33 CLASS site SITEPROP ILOGIC_X1Y33 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y33 IS_BONDED 0 SITEPROP ILOGIC_X1Y33 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y33 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y33 IS_PAD 0 SITEPROP ILOGIC_X1Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y33 IS_RESERVED 0 SITEPROP ILOGIC_X1Y33 IS_TEST 0 SITEPROP ILOGIC_X1Y33 IS_USED 0 SITEPROP ILOGIC_X1Y33 MANUAL_ROUTING SITEPROP ILOGIC_X1Y33 NAME ILOGIC_X1Y33 SITEPROP ILOGIC_X1Y33 NUM_ARCS 27 SITEPROP ILOGIC_X1Y33 NUM_BELS 6 SITEPROP ILOGIC_X1Y33 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y33 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y33 NUM_PINS 31 SITEPROP ILOGIC_X1Y33 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y33 PROHIBIT 0 SITEPROP ILOGIC_X1Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y33 RPM_X 182 SITEPROP ILOGIC_X1Y33 RPM_Y 67 SITEPROP ILOGIC_X1Y33 SITE_PIPS SITEPROP ILOGIC_X1Y33 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y34 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y34 CLASS site SITEPROP ILOGIC_X1Y34 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y34 IS_BONDED 0 SITEPROP ILOGIC_X1Y34 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y34 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y34 IS_PAD 0 SITEPROP ILOGIC_X1Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y34 IS_RESERVED 0 SITEPROP ILOGIC_X1Y34 IS_TEST 0 SITEPROP ILOGIC_X1Y34 IS_USED 0 SITEPROP ILOGIC_X1Y34 MANUAL_ROUTING SITEPROP ILOGIC_X1Y34 NAME ILOGIC_X1Y34 SITEPROP ILOGIC_X1Y34 NUM_ARCS 27 SITEPROP ILOGIC_X1Y34 NUM_BELS 6 SITEPROP ILOGIC_X1Y34 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y34 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y34 NUM_PINS 31 SITEPROP ILOGIC_X1Y34 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y34 PROHIBIT 0 SITEPROP ILOGIC_X1Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y34 RPM_X 182 SITEPROP ILOGIC_X1Y34 RPM_Y 66 SITEPROP ILOGIC_X1Y34 SITE_PIPS SITEPROP ILOGIC_X1Y34 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y35 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y35 CLASS site SITEPROP ILOGIC_X1Y35 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y35 IS_BONDED 0 SITEPROP ILOGIC_X1Y35 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y35 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y35 IS_PAD 0 SITEPROP ILOGIC_X1Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y35 IS_RESERVED 0 SITEPROP ILOGIC_X1Y35 IS_TEST 0 SITEPROP ILOGIC_X1Y35 IS_USED 0 SITEPROP ILOGIC_X1Y35 MANUAL_ROUTING SITEPROP ILOGIC_X1Y35 NAME ILOGIC_X1Y35 SITEPROP ILOGIC_X1Y35 NUM_ARCS 27 SITEPROP ILOGIC_X1Y35 NUM_BELS 6 SITEPROP ILOGIC_X1Y35 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y35 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y35 NUM_PINS 31 SITEPROP ILOGIC_X1Y35 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y35 PROHIBIT 0 SITEPROP ILOGIC_X1Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y35 RPM_X 182 SITEPROP ILOGIC_X1Y35 RPM_Y 71 SITEPROP ILOGIC_X1Y35 SITE_PIPS SITEPROP ILOGIC_X1Y35 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y36 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y36 CLASS site SITEPROP ILOGIC_X1Y36 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y36 IS_BONDED 0 SITEPROP ILOGIC_X1Y36 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y36 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y36 IS_PAD 0 SITEPROP ILOGIC_X1Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y36 IS_RESERVED 0 SITEPROP ILOGIC_X1Y36 IS_TEST 0 SITEPROP ILOGIC_X1Y36 IS_USED 0 SITEPROP ILOGIC_X1Y36 MANUAL_ROUTING SITEPROP ILOGIC_X1Y36 NAME ILOGIC_X1Y36 SITEPROP ILOGIC_X1Y36 NUM_ARCS 27 SITEPROP ILOGIC_X1Y36 NUM_BELS 6 SITEPROP ILOGIC_X1Y36 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y36 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y36 NUM_PINS 31 SITEPROP ILOGIC_X1Y36 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y36 PROHIBIT 0 SITEPROP ILOGIC_X1Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y36 RPM_X 182 SITEPROP ILOGIC_X1Y36 RPM_Y 70 SITEPROP ILOGIC_X1Y36 SITE_PIPS SITEPROP ILOGIC_X1Y36 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y37 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y37 CLASS site SITEPROP ILOGIC_X1Y37 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y37 IS_BONDED 0 SITEPROP ILOGIC_X1Y37 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y37 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y37 IS_PAD 0 SITEPROP ILOGIC_X1Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y37 IS_RESERVED 0 SITEPROP ILOGIC_X1Y37 IS_TEST 0 SITEPROP ILOGIC_X1Y37 IS_USED 0 SITEPROP ILOGIC_X1Y37 MANUAL_ROUTING SITEPROP ILOGIC_X1Y37 NAME ILOGIC_X1Y37 SITEPROP ILOGIC_X1Y37 NUM_ARCS 27 SITEPROP ILOGIC_X1Y37 NUM_BELS 6 SITEPROP ILOGIC_X1Y37 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y37 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y37 NUM_PINS 31 SITEPROP ILOGIC_X1Y37 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y37 PROHIBIT 0 SITEPROP ILOGIC_X1Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y37 RPM_X 182 SITEPROP ILOGIC_X1Y37 RPM_Y 75 SITEPROP ILOGIC_X1Y37 SITE_PIPS SITEPROP ILOGIC_X1Y37 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y38 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y38 CLASS site SITEPROP ILOGIC_X1Y38 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y38 IS_BONDED 0 SITEPROP ILOGIC_X1Y38 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y38 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y38 IS_PAD 0 SITEPROP ILOGIC_X1Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y38 IS_RESERVED 0 SITEPROP ILOGIC_X1Y38 IS_TEST 0 SITEPROP ILOGIC_X1Y38 IS_USED 0 SITEPROP ILOGIC_X1Y38 MANUAL_ROUTING SITEPROP ILOGIC_X1Y38 NAME ILOGIC_X1Y38 SITEPROP ILOGIC_X1Y38 NUM_ARCS 27 SITEPROP ILOGIC_X1Y38 NUM_BELS 6 SITEPROP ILOGIC_X1Y38 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y38 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y38 NUM_PINS 31 SITEPROP ILOGIC_X1Y38 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y38 PROHIBIT 0 SITEPROP ILOGIC_X1Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y38 RPM_X 182 SITEPROP ILOGIC_X1Y38 RPM_Y 74 SITEPROP ILOGIC_X1Y38 SITE_PIPS SITEPROP ILOGIC_X1Y38 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y39 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y39 CLASS site SITEPROP ILOGIC_X1Y39 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y39 IS_BONDED 0 SITEPROP ILOGIC_X1Y39 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y39 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y39 IS_PAD 0 SITEPROP ILOGIC_X1Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y39 IS_RESERVED 0 SITEPROP ILOGIC_X1Y39 IS_TEST 0 SITEPROP ILOGIC_X1Y39 IS_USED 0 SITEPROP ILOGIC_X1Y39 MANUAL_ROUTING SITEPROP ILOGIC_X1Y39 NAME ILOGIC_X1Y39 SITEPROP ILOGIC_X1Y39 NUM_ARCS 27 SITEPROP ILOGIC_X1Y39 NUM_BELS 6 SITEPROP ILOGIC_X1Y39 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y39 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y39 NUM_PINS 31 SITEPROP ILOGIC_X1Y39 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y39 PROHIBIT 0 SITEPROP ILOGIC_X1Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y39 RPM_X 182 SITEPROP ILOGIC_X1Y39 RPM_Y 79 SITEPROP ILOGIC_X1Y39 SITE_PIPS SITEPROP ILOGIC_X1Y39 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y40 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y40 CLASS site SITEPROP ILOGIC_X1Y40 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y40 IS_BONDED 0 SITEPROP ILOGIC_X1Y40 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y40 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y40 IS_PAD 0 SITEPROP ILOGIC_X1Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y40 IS_RESERVED 0 SITEPROP ILOGIC_X1Y40 IS_TEST 0 SITEPROP ILOGIC_X1Y40 IS_USED 0 SITEPROP ILOGIC_X1Y40 MANUAL_ROUTING SITEPROP ILOGIC_X1Y40 NAME ILOGIC_X1Y40 SITEPROP ILOGIC_X1Y40 NUM_ARCS 27 SITEPROP ILOGIC_X1Y40 NUM_BELS 6 SITEPROP ILOGIC_X1Y40 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y40 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y40 NUM_PINS 31 SITEPROP ILOGIC_X1Y40 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y40 PROHIBIT 0 SITEPROP ILOGIC_X1Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y40 RPM_X 182 SITEPROP ILOGIC_X1Y40 RPM_Y 78 SITEPROP ILOGIC_X1Y40 SITE_PIPS SITEPROP ILOGIC_X1Y40 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y41 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y41 CLASS site SITEPROP ILOGIC_X1Y41 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y41 IS_BONDED 0 SITEPROP ILOGIC_X1Y41 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y41 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y41 IS_PAD 0 SITEPROP ILOGIC_X1Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y41 IS_RESERVED 0 SITEPROP ILOGIC_X1Y41 IS_TEST 0 SITEPROP ILOGIC_X1Y41 IS_USED 0 SITEPROP ILOGIC_X1Y41 MANUAL_ROUTING SITEPROP ILOGIC_X1Y41 NAME ILOGIC_X1Y41 SITEPROP ILOGIC_X1Y41 NUM_ARCS 27 SITEPROP ILOGIC_X1Y41 NUM_BELS 6 SITEPROP ILOGIC_X1Y41 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y41 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y41 NUM_PINS 31 SITEPROP ILOGIC_X1Y41 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y41 PROHIBIT 0 SITEPROP ILOGIC_X1Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y41 RPM_X 182 SITEPROP ILOGIC_X1Y41 RPM_Y 83 SITEPROP ILOGIC_X1Y41 SITE_PIPS SITEPROP ILOGIC_X1Y41 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y42 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y42 CLASS site SITEPROP ILOGIC_X1Y42 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y42 IS_BONDED 0 SITEPROP ILOGIC_X1Y42 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y42 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y42 IS_PAD 0 SITEPROP ILOGIC_X1Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y42 IS_RESERVED 0 SITEPROP ILOGIC_X1Y42 IS_TEST 0 SITEPROP ILOGIC_X1Y42 IS_USED 0 SITEPROP ILOGIC_X1Y42 MANUAL_ROUTING SITEPROP ILOGIC_X1Y42 NAME ILOGIC_X1Y42 SITEPROP ILOGIC_X1Y42 NUM_ARCS 27 SITEPROP ILOGIC_X1Y42 NUM_BELS 6 SITEPROP ILOGIC_X1Y42 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y42 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y42 NUM_PINS 31 SITEPROP ILOGIC_X1Y42 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y42 PROHIBIT 0 SITEPROP ILOGIC_X1Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y42 RPM_X 182 SITEPROP ILOGIC_X1Y42 RPM_Y 82 SITEPROP ILOGIC_X1Y42 SITE_PIPS SITEPROP ILOGIC_X1Y42 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y43 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y43 CLASS site SITEPROP ILOGIC_X1Y43 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y43 IS_BONDED 0 SITEPROP ILOGIC_X1Y43 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y43 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y43 IS_PAD 0 SITEPROP ILOGIC_X1Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y43 IS_RESERVED 0 SITEPROP ILOGIC_X1Y43 IS_TEST 0 SITEPROP ILOGIC_X1Y43 IS_USED 0 SITEPROP ILOGIC_X1Y43 MANUAL_ROUTING SITEPROP ILOGIC_X1Y43 NAME ILOGIC_X1Y43 SITEPROP ILOGIC_X1Y43 NUM_ARCS 27 SITEPROP ILOGIC_X1Y43 NUM_BELS 6 SITEPROP ILOGIC_X1Y43 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y43 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y43 NUM_PINS 31 SITEPROP ILOGIC_X1Y43 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y43 PROHIBIT 0 SITEPROP ILOGIC_X1Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y43 RPM_X 182 SITEPROP ILOGIC_X1Y43 RPM_Y 87 SITEPROP ILOGIC_X1Y43 SITE_PIPS SITEPROP ILOGIC_X1Y43 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y44 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y44 CLASS site SITEPROP ILOGIC_X1Y44 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y44 IS_BONDED 0 SITEPROP ILOGIC_X1Y44 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y44 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y44 IS_PAD 0 SITEPROP ILOGIC_X1Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y44 IS_RESERVED 0 SITEPROP ILOGIC_X1Y44 IS_TEST 0 SITEPROP ILOGIC_X1Y44 IS_USED 0 SITEPROP ILOGIC_X1Y44 MANUAL_ROUTING SITEPROP ILOGIC_X1Y44 NAME ILOGIC_X1Y44 SITEPROP ILOGIC_X1Y44 NUM_ARCS 27 SITEPROP ILOGIC_X1Y44 NUM_BELS 6 SITEPROP ILOGIC_X1Y44 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y44 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y44 NUM_PINS 31 SITEPROP ILOGIC_X1Y44 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y44 PROHIBIT 0 SITEPROP ILOGIC_X1Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y44 RPM_X 182 SITEPROP ILOGIC_X1Y44 RPM_Y 86 SITEPROP ILOGIC_X1Y44 SITE_PIPS SITEPROP ILOGIC_X1Y44 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y45 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y45 CLASS site SITEPROP ILOGIC_X1Y45 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y45 IS_BONDED 0 SITEPROP ILOGIC_X1Y45 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y45 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y45 IS_PAD 0 SITEPROP ILOGIC_X1Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y45 IS_RESERVED 0 SITEPROP ILOGIC_X1Y45 IS_TEST 0 SITEPROP ILOGIC_X1Y45 IS_USED 0 SITEPROP ILOGIC_X1Y45 MANUAL_ROUTING SITEPROP ILOGIC_X1Y45 NAME ILOGIC_X1Y45 SITEPROP ILOGIC_X1Y45 NUM_ARCS 27 SITEPROP ILOGIC_X1Y45 NUM_BELS 6 SITEPROP ILOGIC_X1Y45 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y45 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y45 NUM_PINS 31 SITEPROP ILOGIC_X1Y45 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y45 PROHIBIT 0 SITEPROP ILOGIC_X1Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y45 RPM_X 182 SITEPROP ILOGIC_X1Y45 RPM_Y 91 SITEPROP ILOGIC_X1Y45 SITE_PIPS SITEPROP ILOGIC_X1Y45 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y46 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y46 CLASS site SITEPROP ILOGIC_X1Y46 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y46 IS_BONDED 0 SITEPROP ILOGIC_X1Y46 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y46 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y46 IS_PAD 0 SITEPROP ILOGIC_X1Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y46 IS_RESERVED 0 SITEPROP ILOGIC_X1Y46 IS_TEST 0 SITEPROP ILOGIC_X1Y46 IS_USED 0 SITEPROP ILOGIC_X1Y46 MANUAL_ROUTING SITEPROP ILOGIC_X1Y46 NAME ILOGIC_X1Y46 SITEPROP ILOGIC_X1Y46 NUM_ARCS 27 SITEPROP ILOGIC_X1Y46 NUM_BELS 6 SITEPROP ILOGIC_X1Y46 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y46 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y46 NUM_PINS 31 SITEPROP ILOGIC_X1Y46 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y46 PROHIBIT 0 SITEPROP ILOGIC_X1Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y46 RPM_X 182 SITEPROP ILOGIC_X1Y46 RPM_Y 90 SITEPROP ILOGIC_X1Y46 SITE_PIPS SITEPROP ILOGIC_X1Y46 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y47 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y47 CLASS site SITEPROP ILOGIC_X1Y47 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y47 IS_BONDED 0 SITEPROP ILOGIC_X1Y47 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y47 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y47 IS_PAD 0 SITEPROP ILOGIC_X1Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y47 IS_RESERVED 0 SITEPROP ILOGIC_X1Y47 IS_TEST 0 SITEPROP ILOGIC_X1Y47 IS_USED 0 SITEPROP ILOGIC_X1Y47 MANUAL_ROUTING SITEPROP ILOGIC_X1Y47 NAME ILOGIC_X1Y47 SITEPROP ILOGIC_X1Y47 NUM_ARCS 27 SITEPROP ILOGIC_X1Y47 NUM_BELS 6 SITEPROP ILOGIC_X1Y47 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y47 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y47 NUM_PINS 31 SITEPROP ILOGIC_X1Y47 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y47 PROHIBIT 0 SITEPROP ILOGIC_X1Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y47 RPM_X 182 SITEPROP ILOGIC_X1Y47 RPM_Y 95 SITEPROP ILOGIC_X1Y47 SITE_PIPS SITEPROP ILOGIC_X1Y47 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y48 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y48 CLASS site SITEPROP ILOGIC_X1Y48 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y48 IS_BONDED 0 SITEPROP ILOGIC_X1Y48 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y48 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y48 IS_PAD 0 SITEPROP ILOGIC_X1Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y48 IS_RESERVED 0 SITEPROP ILOGIC_X1Y48 IS_TEST 0 SITEPROP ILOGIC_X1Y48 IS_USED 0 SITEPROP ILOGIC_X1Y48 MANUAL_ROUTING SITEPROP ILOGIC_X1Y48 NAME ILOGIC_X1Y48 SITEPROP ILOGIC_X1Y48 NUM_ARCS 27 SITEPROP ILOGIC_X1Y48 NUM_BELS 6 SITEPROP ILOGIC_X1Y48 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y48 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y48 NUM_PINS 31 SITEPROP ILOGIC_X1Y48 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y48 PROHIBIT 0 SITEPROP ILOGIC_X1Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y48 RPM_X 182 SITEPROP ILOGIC_X1Y48 RPM_Y 94 SITEPROP ILOGIC_X1Y48 SITE_PIPS SITEPROP ILOGIC_X1Y48 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y49 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y49 CLASS site SITEPROP ILOGIC_X1Y49 CLOCK_REGION X1Y0 SITEPROP ILOGIC_X1Y49 IS_BONDED 0 SITEPROP ILOGIC_X1Y49 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y49 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y49 IS_PAD 0 SITEPROP ILOGIC_X1Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y49 IS_RESERVED 0 SITEPROP ILOGIC_X1Y49 IS_TEST 0 SITEPROP ILOGIC_X1Y49 IS_USED 0 SITEPROP ILOGIC_X1Y49 MANUAL_ROUTING SITEPROP ILOGIC_X1Y49 NAME ILOGIC_X1Y49 SITEPROP ILOGIC_X1Y49 NUM_ARCS 27 SITEPROP ILOGIC_X1Y49 NUM_BELS 6 SITEPROP ILOGIC_X1Y49 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y49 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y49 NUM_PINS 31 SITEPROP ILOGIC_X1Y49 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y49 PROHIBIT 0 SITEPROP ILOGIC_X1Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y49 RPM_X 182 SITEPROP ILOGIC_X1Y49 RPM_Y 98 SITEPROP ILOGIC_X1Y49 SITE_PIPS SITEPROP ILOGIC_X1Y49 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y50 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y50 CLASS site SITEPROP ILOGIC_X1Y50 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y50 IS_BONDED 0 SITEPROP ILOGIC_X1Y50 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y50 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y50 IS_PAD 0 SITEPROP ILOGIC_X1Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y50 IS_RESERVED 0 SITEPROP ILOGIC_X1Y50 IS_TEST 0 SITEPROP ILOGIC_X1Y50 IS_USED 0 SITEPROP ILOGIC_X1Y50 MANUAL_ROUTING SITEPROP ILOGIC_X1Y50 NAME ILOGIC_X1Y50 SITEPROP ILOGIC_X1Y50 NUM_ARCS 27 SITEPROP ILOGIC_X1Y50 NUM_BELS 6 SITEPROP ILOGIC_X1Y50 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y50 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y50 NUM_PINS 31 SITEPROP ILOGIC_X1Y50 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y50 PROHIBIT 0 SITEPROP ILOGIC_X1Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y50 RPM_X 182 SITEPROP ILOGIC_X1Y50 RPM_Y 100 SITEPROP ILOGIC_X1Y50 SITE_PIPS SITEPROP ILOGIC_X1Y50 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y51 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y51 CLASS site SITEPROP ILOGIC_X1Y51 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y51 IS_BONDED 0 SITEPROP ILOGIC_X1Y51 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y51 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y51 IS_PAD 0 SITEPROP ILOGIC_X1Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y51 IS_RESERVED 0 SITEPROP ILOGIC_X1Y51 IS_TEST 0 SITEPROP ILOGIC_X1Y51 IS_USED 0 SITEPROP ILOGIC_X1Y51 MANUAL_ROUTING SITEPROP ILOGIC_X1Y51 NAME ILOGIC_X1Y51 SITEPROP ILOGIC_X1Y51 NUM_ARCS 27 SITEPROP ILOGIC_X1Y51 NUM_BELS 6 SITEPROP ILOGIC_X1Y51 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y51 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y51 NUM_PINS 31 SITEPROP ILOGIC_X1Y51 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y51 PROHIBIT 0 SITEPROP ILOGIC_X1Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y51 RPM_X 182 SITEPROP ILOGIC_X1Y51 RPM_Y 103 SITEPROP ILOGIC_X1Y51 SITE_PIPS SITEPROP ILOGIC_X1Y51 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y52 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y52 CLASS site SITEPROP ILOGIC_X1Y52 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y52 IS_BONDED 0 SITEPROP ILOGIC_X1Y52 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y52 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y52 IS_PAD 0 SITEPROP ILOGIC_X1Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y52 IS_RESERVED 0 SITEPROP ILOGIC_X1Y52 IS_TEST 0 SITEPROP ILOGIC_X1Y52 IS_USED 0 SITEPROP ILOGIC_X1Y52 MANUAL_ROUTING SITEPROP ILOGIC_X1Y52 NAME ILOGIC_X1Y52 SITEPROP ILOGIC_X1Y52 NUM_ARCS 27 SITEPROP ILOGIC_X1Y52 NUM_BELS 6 SITEPROP ILOGIC_X1Y52 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y52 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y52 NUM_PINS 31 SITEPROP ILOGIC_X1Y52 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y52 PROHIBIT 0 SITEPROP ILOGIC_X1Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y52 RPM_X 182 SITEPROP ILOGIC_X1Y52 RPM_Y 102 SITEPROP ILOGIC_X1Y52 SITE_PIPS SITEPROP ILOGIC_X1Y52 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y53 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y53 CLASS site SITEPROP ILOGIC_X1Y53 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y53 IS_BONDED 0 SITEPROP ILOGIC_X1Y53 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y53 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y53 IS_PAD 0 SITEPROP ILOGIC_X1Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y53 IS_RESERVED 0 SITEPROP ILOGIC_X1Y53 IS_TEST 0 SITEPROP ILOGIC_X1Y53 IS_USED 0 SITEPROP ILOGIC_X1Y53 MANUAL_ROUTING SITEPROP ILOGIC_X1Y53 NAME ILOGIC_X1Y53 SITEPROP ILOGIC_X1Y53 NUM_ARCS 27 SITEPROP ILOGIC_X1Y53 NUM_BELS 6 SITEPROP ILOGIC_X1Y53 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y53 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y53 NUM_PINS 31 SITEPROP ILOGIC_X1Y53 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y53 PROHIBIT 0 SITEPROP ILOGIC_X1Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y53 RPM_X 182 SITEPROP ILOGIC_X1Y53 RPM_Y 107 SITEPROP ILOGIC_X1Y53 SITE_PIPS SITEPROP ILOGIC_X1Y53 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y54 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y54 CLASS site SITEPROP ILOGIC_X1Y54 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y54 IS_BONDED 0 SITEPROP ILOGIC_X1Y54 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y54 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y54 IS_PAD 0 SITEPROP ILOGIC_X1Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y54 IS_RESERVED 0 SITEPROP ILOGIC_X1Y54 IS_TEST 0 SITEPROP ILOGIC_X1Y54 IS_USED 0 SITEPROP ILOGIC_X1Y54 MANUAL_ROUTING SITEPROP ILOGIC_X1Y54 NAME ILOGIC_X1Y54 SITEPROP ILOGIC_X1Y54 NUM_ARCS 27 SITEPROP ILOGIC_X1Y54 NUM_BELS 6 SITEPROP ILOGIC_X1Y54 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y54 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y54 NUM_PINS 31 SITEPROP ILOGIC_X1Y54 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y54 PROHIBIT 0 SITEPROP ILOGIC_X1Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y54 RPM_X 182 SITEPROP ILOGIC_X1Y54 RPM_Y 106 SITEPROP ILOGIC_X1Y54 SITE_PIPS SITEPROP ILOGIC_X1Y54 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y55 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y55 CLASS site SITEPROP ILOGIC_X1Y55 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y55 IS_BONDED 0 SITEPROP ILOGIC_X1Y55 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y55 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y55 IS_PAD 0 SITEPROP ILOGIC_X1Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y55 IS_RESERVED 0 SITEPROP ILOGIC_X1Y55 IS_TEST 0 SITEPROP ILOGIC_X1Y55 IS_USED 0 SITEPROP ILOGIC_X1Y55 MANUAL_ROUTING SITEPROP ILOGIC_X1Y55 NAME ILOGIC_X1Y55 SITEPROP ILOGIC_X1Y55 NUM_ARCS 27 SITEPROP ILOGIC_X1Y55 NUM_BELS 6 SITEPROP ILOGIC_X1Y55 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y55 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y55 NUM_PINS 31 SITEPROP ILOGIC_X1Y55 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y55 PROHIBIT 0 SITEPROP ILOGIC_X1Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y55 RPM_X 182 SITEPROP ILOGIC_X1Y55 RPM_Y 111 SITEPROP ILOGIC_X1Y55 SITE_PIPS SITEPROP ILOGIC_X1Y55 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y56 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y56 CLASS site SITEPROP ILOGIC_X1Y56 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y56 IS_BONDED 0 SITEPROP ILOGIC_X1Y56 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y56 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y56 IS_PAD 0 SITEPROP ILOGIC_X1Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y56 IS_RESERVED 0 SITEPROP ILOGIC_X1Y56 IS_TEST 0 SITEPROP ILOGIC_X1Y56 IS_USED 0 SITEPROP ILOGIC_X1Y56 MANUAL_ROUTING SITEPROP ILOGIC_X1Y56 NAME ILOGIC_X1Y56 SITEPROP ILOGIC_X1Y56 NUM_ARCS 27 SITEPROP ILOGIC_X1Y56 NUM_BELS 6 SITEPROP ILOGIC_X1Y56 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y56 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y56 NUM_PINS 31 SITEPROP ILOGIC_X1Y56 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y56 PROHIBIT 0 SITEPROP ILOGIC_X1Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y56 RPM_X 182 SITEPROP ILOGIC_X1Y56 RPM_Y 110 SITEPROP ILOGIC_X1Y56 SITE_PIPS SITEPROP ILOGIC_X1Y56 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y57 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y57 CLASS site SITEPROP ILOGIC_X1Y57 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y57 IS_BONDED 0 SITEPROP ILOGIC_X1Y57 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y57 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y57 IS_PAD 0 SITEPROP ILOGIC_X1Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y57 IS_RESERVED 0 SITEPROP ILOGIC_X1Y57 IS_TEST 0 SITEPROP ILOGIC_X1Y57 IS_USED 0 SITEPROP ILOGIC_X1Y57 MANUAL_ROUTING SITEPROP ILOGIC_X1Y57 NAME ILOGIC_X1Y57 SITEPROP ILOGIC_X1Y57 NUM_ARCS 27 SITEPROP ILOGIC_X1Y57 NUM_BELS 6 SITEPROP ILOGIC_X1Y57 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y57 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y57 NUM_PINS 31 SITEPROP ILOGIC_X1Y57 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y57 PROHIBIT 0 SITEPROP ILOGIC_X1Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y57 RPM_X 182 SITEPROP ILOGIC_X1Y57 RPM_Y 115 SITEPROP ILOGIC_X1Y57 SITE_PIPS SITEPROP ILOGIC_X1Y57 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y58 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y58 CLASS site SITEPROP ILOGIC_X1Y58 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y58 IS_BONDED 0 SITEPROP ILOGIC_X1Y58 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y58 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y58 IS_PAD 0 SITEPROP ILOGIC_X1Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y58 IS_RESERVED 0 SITEPROP ILOGIC_X1Y58 IS_TEST 0 SITEPROP ILOGIC_X1Y58 IS_USED 0 SITEPROP ILOGIC_X1Y58 MANUAL_ROUTING SITEPROP ILOGIC_X1Y58 NAME ILOGIC_X1Y58 SITEPROP ILOGIC_X1Y58 NUM_ARCS 27 SITEPROP ILOGIC_X1Y58 NUM_BELS 6 SITEPROP ILOGIC_X1Y58 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y58 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y58 NUM_PINS 31 SITEPROP ILOGIC_X1Y58 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y58 PROHIBIT 0 SITEPROP ILOGIC_X1Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y58 RPM_X 182 SITEPROP ILOGIC_X1Y58 RPM_Y 114 SITEPROP ILOGIC_X1Y58 SITE_PIPS SITEPROP ILOGIC_X1Y58 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y59 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y59 CLASS site SITEPROP ILOGIC_X1Y59 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y59 IS_BONDED 0 SITEPROP ILOGIC_X1Y59 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y59 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y59 IS_PAD 0 SITEPROP ILOGIC_X1Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y59 IS_RESERVED 0 SITEPROP ILOGIC_X1Y59 IS_TEST 0 SITEPROP ILOGIC_X1Y59 IS_USED 0 SITEPROP ILOGIC_X1Y59 MANUAL_ROUTING SITEPROP ILOGIC_X1Y59 NAME ILOGIC_X1Y59 SITEPROP ILOGIC_X1Y59 NUM_ARCS 27 SITEPROP ILOGIC_X1Y59 NUM_BELS 6 SITEPROP ILOGIC_X1Y59 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y59 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y59 NUM_PINS 31 SITEPROP ILOGIC_X1Y59 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y59 PROHIBIT 0 SITEPROP ILOGIC_X1Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y59 RPM_X 182 SITEPROP ILOGIC_X1Y59 RPM_Y 119 SITEPROP ILOGIC_X1Y59 SITE_PIPS SITEPROP ILOGIC_X1Y59 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y60 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y60 CLASS site SITEPROP ILOGIC_X1Y60 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y60 IS_BONDED 0 SITEPROP ILOGIC_X1Y60 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y60 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y60 IS_PAD 0 SITEPROP ILOGIC_X1Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y60 IS_RESERVED 0 SITEPROP ILOGIC_X1Y60 IS_TEST 0 SITEPROP ILOGIC_X1Y60 IS_USED 0 SITEPROP ILOGIC_X1Y60 MANUAL_ROUTING SITEPROP ILOGIC_X1Y60 NAME ILOGIC_X1Y60 SITEPROP ILOGIC_X1Y60 NUM_ARCS 27 SITEPROP ILOGIC_X1Y60 NUM_BELS 6 SITEPROP ILOGIC_X1Y60 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y60 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y60 NUM_PINS 31 SITEPROP ILOGIC_X1Y60 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y60 PROHIBIT 0 SITEPROP ILOGIC_X1Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y60 RPM_X 182 SITEPROP ILOGIC_X1Y60 RPM_Y 118 SITEPROP ILOGIC_X1Y60 SITE_PIPS SITEPROP ILOGIC_X1Y60 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y61 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y61 CLASS site SITEPROP ILOGIC_X1Y61 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y61 IS_BONDED 0 SITEPROP ILOGIC_X1Y61 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y61 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y61 IS_PAD 0 SITEPROP ILOGIC_X1Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y61 IS_RESERVED 0 SITEPROP ILOGIC_X1Y61 IS_TEST 0 SITEPROP ILOGIC_X1Y61 IS_USED 0 SITEPROP ILOGIC_X1Y61 MANUAL_ROUTING SITEPROP ILOGIC_X1Y61 NAME ILOGIC_X1Y61 SITEPROP ILOGIC_X1Y61 NUM_ARCS 27 SITEPROP ILOGIC_X1Y61 NUM_BELS 6 SITEPROP ILOGIC_X1Y61 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y61 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y61 NUM_PINS 31 SITEPROP ILOGIC_X1Y61 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y61 PROHIBIT 0 SITEPROP ILOGIC_X1Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y61 RPM_X 182 SITEPROP ILOGIC_X1Y61 RPM_Y 123 SITEPROP ILOGIC_X1Y61 SITE_PIPS SITEPROP ILOGIC_X1Y61 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y62 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y62 CLASS site SITEPROP ILOGIC_X1Y62 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y62 IS_BONDED 0 SITEPROP ILOGIC_X1Y62 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y62 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y62 IS_PAD 0 SITEPROP ILOGIC_X1Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y62 IS_RESERVED 0 SITEPROP ILOGIC_X1Y62 IS_TEST 0 SITEPROP ILOGIC_X1Y62 IS_USED 0 SITEPROP ILOGIC_X1Y62 MANUAL_ROUTING SITEPROP ILOGIC_X1Y62 NAME ILOGIC_X1Y62 SITEPROP ILOGIC_X1Y62 NUM_ARCS 27 SITEPROP ILOGIC_X1Y62 NUM_BELS 6 SITEPROP ILOGIC_X1Y62 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y62 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y62 NUM_PINS 31 SITEPROP ILOGIC_X1Y62 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y62 PROHIBIT 0 SITEPROP ILOGIC_X1Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y62 RPM_X 182 SITEPROP ILOGIC_X1Y62 RPM_Y 122 SITEPROP ILOGIC_X1Y62 SITE_PIPS SITEPROP ILOGIC_X1Y62 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y63 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y63 CLASS site SITEPROP ILOGIC_X1Y63 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y63 IS_BONDED 0 SITEPROP ILOGIC_X1Y63 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y63 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y63 IS_PAD 0 SITEPROP ILOGIC_X1Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y63 IS_RESERVED 0 SITEPROP ILOGIC_X1Y63 IS_TEST 0 SITEPROP ILOGIC_X1Y63 IS_USED 0 SITEPROP ILOGIC_X1Y63 MANUAL_ROUTING SITEPROP ILOGIC_X1Y63 NAME ILOGIC_X1Y63 SITEPROP ILOGIC_X1Y63 NUM_ARCS 27 SITEPROP ILOGIC_X1Y63 NUM_BELS 6 SITEPROP ILOGIC_X1Y63 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y63 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y63 NUM_PINS 31 SITEPROP ILOGIC_X1Y63 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y63 PROHIBIT 0 SITEPROP ILOGIC_X1Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y63 RPM_X 182 SITEPROP ILOGIC_X1Y63 RPM_Y 127 SITEPROP ILOGIC_X1Y63 SITE_PIPS SITEPROP ILOGIC_X1Y63 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y64 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y64 CLASS site SITEPROP ILOGIC_X1Y64 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y64 IS_BONDED 0 SITEPROP ILOGIC_X1Y64 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y64 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y64 IS_PAD 0 SITEPROP ILOGIC_X1Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y64 IS_RESERVED 0 SITEPROP ILOGIC_X1Y64 IS_TEST 0 SITEPROP ILOGIC_X1Y64 IS_USED 0 SITEPROP ILOGIC_X1Y64 MANUAL_ROUTING SITEPROP ILOGIC_X1Y64 NAME ILOGIC_X1Y64 SITEPROP ILOGIC_X1Y64 NUM_ARCS 27 SITEPROP ILOGIC_X1Y64 NUM_BELS 6 SITEPROP ILOGIC_X1Y64 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y64 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y64 NUM_PINS 31 SITEPROP ILOGIC_X1Y64 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y64 PROHIBIT 0 SITEPROP ILOGIC_X1Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y64 RPM_X 182 SITEPROP ILOGIC_X1Y64 RPM_Y 126 SITEPROP ILOGIC_X1Y64 SITE_PIPS SITEPROP ILOGIC_X1Y64 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y65 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y65 CLASS site SITEPROP ILOGIC_X1Y65 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y65 IS_BONDED 0 SITEPROP ILOGIC_X1Y65 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y65 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y65 IS_PAD 0 SITEPROP ILOGIC_X1Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y65 IS_RESERVED 0 SITEPROP ILOGIC_X1Y65 IS_TEST 0 SITEPROP ILOGIC_X1Y65 IS_USED 0 SITEPROP ILOGIC_X1Y65 MANUAL_ROUTING SITEPROP ILOGIC_X1Y65 NAME ILOGIC_X1Y65 SITEPROP ILOGIC_X1Y65 NUM_ARCS 27 SITEPROP ILOGIC_X1Y65 NUM_BELS 6 SITEPROP ILOGIC_X1Y65 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y65 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y65 NUM_PINS 31 SITEPROP ILOGIC_X1Y65 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y65 PROHIBIT 0 SITEPROP ILOGIC_X1Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y65 RPM_X 182 SITEPROP ILOGIC_X1Y65 RPM_Y 131 SITEPROP ILOGIC_X1Y65 SITE_PIPS SITEPROP ILOGIC_X1Y65 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y66 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y66 CLASS site SITEPROP ILOGIC_X1Y66 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y66 IS_BONDED 0 SITEPROP ILOGIC_X1Y66 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y66 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y66 IS_PAD 0 SITEPROP ILOGIC_X1Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y66 IS_RESERVED 0 SITEPROP ILOGIC_X1Y66 IS_TEST 0 SITEPROP ILOGIC_X1Y66 IS_USED 0 SITEPROP ILOGIC_X1Y66 MANUAL_ROUTING SITEPROP ILOGIC_X1Y66 NAME ILOGIC_X1Y66 SITEPROP ILOGIC_X1Y66 NUM_ARCS 27 SITEPROP ILOGIC_X1Y66 NUM_BELS 6 SITEPROP ILOGIC_X1Y66 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y66 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y66 NUM_PINS 31 SITEPROP ILOGIC_X1Y66 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y66 PROHIBIT 0 SITEPROP ILOGIC_X1Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y66 RPM_X 182 SITEPROP ILOGIC_X1Y66 RPM_Y 130 SITEPROP ILOGIC_X1Y66 SITE_PIPS SITEPROP ILOGIC_X1Y66 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y67 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y67 CLASS site SITEPROP ILOGIC_X1Y67 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y67 IS_BONDED 0 SITEPROP ILOGIC_X1Y67 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y67 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y67 IS_PAD 0 SITEPROP ILOGIC_X1Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y67 IS_RESERVED 0 SITEPROP ILOGIC_X1Y67 IS_TEST 0 SITEPROP ILOGIC_X1Y67 IS_USED 0 SITEPROP ILOGIC_X1Y67 MANUAL_ROUTING SITEPROP ILOGIC_X1Y67 NAME ILOGIC_X1Y67 SITEPROP ILOGIC_X1Y67 NUM_ARCS 27 SITEPROP ILOGIC_X1Y67 NUM_BELS 6 SITEPROP ILOGIC_X1Y67 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y67 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y67 NUM_PINS 31 SITEPROP ILOGIC_X1Y67 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y67 PROHIBIT 0 SITEPROP ILOGIC_X1Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y67 RPM_X 182 SITEPROP ILOGIC_X1Y67 RPM_Y 135 SITEPROP ILOGIC_X1Y67 SITE_PIPS SITEPROP ILOGIC_X1Y67 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y68 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y68 CLASS site SITEPROP ILOGIC_X1Y68 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y68 IS_BONDED 0 SITEPROP ILOGIC_X1Y68 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y68 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y68 IS_PAD 0 SITEPROP ILOGIC_X1Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y68 IS_RESERVED 0 SITEPROP ILOGIC_X1Y68 IS_TEST 0 SITEPROP ILOGIC_X1Y68 IS_USED 0 SITEPROP ILOGIC_X1Y68 MANUAL_ROUTING SITEPROP ILOGIC_X1Y68 NAME ILOGIC_X1Y68 SITEPROP ILOGIC_X1Y68 NUM_ARCS 27 SITEPROP ILOGIC_X1Y68 NUM_BELS 6 SITEPROP ILOGIC_X1Y68 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y68 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y68 NUM_PINS 31 SITEPROP ILOGIC_X1Y68 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y68 PROHIBIT 0 SITEPROP ILOGIC_X1Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y68 RPM_X 182 SITEPROP ILOGIC_X1Y68 RPM_Y 134 SITEPROP ILOGIC_X1Y68 SITE_PIPS SITEPROP ILOGIC_X1Y68 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y69 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y69 CLASS site SITEPROP ILOGIC_X1Y69 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y69 IS_BONDED 0 SITEPROP ILOGIC_X1Y69 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y69 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y69 IS_PAD 0 SITEPROP ILOGIC_X1Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y69 IS_RESERVED 0 SITEPROP ILOGIC_X1Y69 IS_TEST 0 SITEPROP ILOGIC_X1Y69 IS_USED 0 SITEPROP ILOGIC_X1Y69 MANUAL_ROUTING SITEPROP ILOGIC_X1Y69 NAME ILOGIC_X1Y69 SITEPROP ILOGIC_X1Y69 NUM_ARCS 27 SITEPROP ILOGIC_X1Y69 NUM_BELS 6 SITEPROP ILOGIC_X1Y69 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y69 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y69 NUM_PINS 31 SITEPROP ILOGIC_X1Y69 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y69 PROHIBIT 0 SITEPROP ILOGIC_X1Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y69 RPM_X 182 SITEPROP ILOGIC_X1Y69 RPM_Y 139 SITEPROP ILOGIC_X1Y69 SITE_PIPS SITEPROP ILOGIC_X1Y69 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y70 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y70 CLASS site SITEPROP ILOGIC_X1Y70 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y70 IS_BONDED 0 SITEPROP ILOGIC_X1Y70 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y70 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y70 IS_PAD 0 SITEPROP ILOGIC_X1Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y70 IS_RESERVED 0 SITEPROP ILOGIC_X1Y70 IS_TEST 0 SITEPROP ILOGIC_X1Y70 IS_USED 0 SITEPROP ILOGIC_X1Y70 MANUAL_ROUTING SITEPROP ILOGIC_X1Y70 NAME ILOGIC_X1Y70 SITEPROP ILOGIC_X1Y70 NUM_ARCS 27 SITEPROP ILOGIC_X1Y70 NUM_BELS 6 SITEPROP ILOGIC_X1Y70 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y70 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y70 NUM_PINS 31 SITEPROP ILOGIC_X1Y70 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y70 PROHIBIT 0 SITEPROP ILOGIC_X1Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y70 RPM_X 182 SITEPROP ILOGIC_X1Y70 RPM_Y 138 SITEPROP ILOGIC_X1Y70 SITE_PIPS SITEPROP ILOGIC_X1Y70 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y71 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y71 CLASS site SITEPROP ILOGIC_X1Y71 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y71 IS_BONDED 0 SITEPROP ILOGIC_X1Y71 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y71 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y71 IS_PAD 0 SITEPROP ILOGIC_X1Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y71 IS_RESERVED 0 SITEPROP ILOGIC_X1Y71 IS_TEST 0 SITEPROP ILOGIC_X1Y71 IS_USED 0 SITEPROP ILOGIC_X1Y71 MANUAL_ROUTING SITEPROP ILOGIC_X1Y71 NAME ILOGIC_X1Y71 SITEPROP ILOGIC_X1Y71 NUM_ARCS 27 SITEPROP ILOGIC_X1Y71 NUM_BELS 6 SITEPROP ILOGIC_X1Y71 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y71 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y71 NUM_PINS 31 SITEPROP ILOGIC_X1Y71 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y71 PROHIBIT 0 SITEPROP ILOGIC_X1Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y71 RPM_X 182 SITEPROP ILOGIC_X1Y71 RPM_Y 143 SITEPROP ILOGIC_X1Y71 SITE_PIPS SITEPROP ILOGIC_X1Y71 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y72 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y72 CLASS site SITEPROP ILOGIC_X1Y72 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y72 IS_BONDED 0 SITEPROP ILOGIC_X1Y72 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y72 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y72 IS_PAD 0 SITEPROP ILOGIC_X1Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y72 IS_RESERVED 0 SITEPROP ILOGIC_X1Y72 IS_TEST 0 SITEPROP ILOGIC_X1Y72 IS_USED 0 SITEPROP ILOGIC_X1Y72 MANUAL_ROUTING SITEPROP ILOGIC_X1Y72 NAME ILOGIC_X1Y72 SITEPROP ILOGIC_X1Y72 NUM_ARCS 27 SITEPROP ILOGIC_X1Y72 NUM_BELS 6 SITEPROP ILOGIC_X1Y72 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y72 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y72 NUM_PINS 31 SITEPROP ILOGIC_X1Y72 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y72 PROHIBIT 0 SITEPROP ILOGIC_X1Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y72 RPM_X 182 SITEPROP ILOGIC_X1Y72 RPM_Y 142 SITEPROP ILOGIC_X1Y72 SITE_PIPS SITEPROP ILOGIC_X1Y72 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y73 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y73 CLASS site SITEPROP ILOGIC_X1Y73 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y73 IS_BONDED 0 SITEPROP ILOGIC_X1Y73 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y73 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y73 IS_PAD 0 SITEPROP ILOGIC_X1Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y73 IS_RESERVED 0 SITEPROP ILOGIC_X1Y73 IS_TEST 0 SITEPROP ILOGIC_X1Y73 IS_USED 0 SITEPROP ILOGIC_X1Y73 MANUAL_ROUTING SITEPROP ILOGIC_X1Y73 NAME ILOGIC_X1Y73 SITEPROP ILOGIC_X1Y73 NUM_ARCS 27 SITEPROP ILOGIC_X1Y73 NUM_BELS 6 SITEPROP ILOGIC_X1Y73 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y73 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y73 NUM_PINS 31 SITEPROP ILOGIC_X1Y73 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y73 PROHIBIT 0 SITEPROP ILOGIC_X1Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y73 RPM_X 182 SITEPROP ILOGIC_X1Y73 RPM_Y 147 SITEPROP ILOGIC_X1Y73 SITE_PIPS SITEPROP ILOGIC_X1Y73 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y74 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y74 CLASS site SITEPROP ILOGIC_X1Y74 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y74 IS_BONDED 0 SITEPROP ILOGIC_X1Y74 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y74 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y74 IS_PAD 0 SITEPROP ILOGIC_X1Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y74 IS_RESERVED 0 SITEPROP ILOGIC_X1Y74 IS_TEST 0 SITEPROP ILOGIC_X1Y74 IS_USED 0 SITEPROP ILOGIC_X1Y74 MANUAL_ROUTING SITEPROP ILOGIC_X1Y74 NAME ILOGIC_X1Y74 SITEPROP ILOGIC_X1Y74 NUM_ARCS 27 SITEPROP ILOGIC_X1Y74 NUM_BELS 6 SITEPROP ILOGIC_X1Y74 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y74 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y74 NUM_PINS 31 SITEPROP ILOGIC_X1Y74 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y74 PROHIBIT 0 SITEPROP ILOGIC_X1Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y74 RPM_X 182 SITEPROP ILOGIC_X1Y74 RPM_Y 146 SITEPROP ILOGIC_X1Y74 SITE_PIPS SITEPROP ILOGIC_X1Y74 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y75 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y75 CLASS site SITEPROP ILOGIC_X1Y75 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y75 IS_BONDED 0 SITEPROP ILOGIC_X1Y75 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y75 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y75 IS_PAD 0 SITEPROP ILOGIC_X1Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y75 IS_RESERVED 0 SITEPROP ILOGIC_X1Y75 IS_TEST 0 SITEPROP ILOGIC_X1Y75 IS_USED 0 SITEPROP ILOGIC_X1Y75 MANUAL_ROUTING SITEPROP ILOGIC_X1Y75 NAME ILOGIC_X1Y75 SITEPROP ILOGIC_X1Y75 NUM_ARCS 27 SITEPROP ILOGIC_X1Y75 NUM_BELS 6 SITEPROP ILOGIC_X1Y75 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y75 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y75 NUM_PINS 31 SITEPROP ILOGIC_X1Y75 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y75 PROHIBIT 0 SITEPROP ILOGIC_X1Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y75 RPM_X 182 SITEPROP ILOGIC_X1Y75 RPM_Y 151 SITEPROP ILOGIC_X1Y75 SITE_PIPS SITEPROP ILOGIC_X1Y75 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y76 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y76 CLASS site SITEPROP ILOGIC_X1Y76 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y76 IS_BONDED 0 SITEPROP ILOGIC_X1Y76 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y76 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y76 IS_PAD 0 SITEPROP ILOGIC_X1Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y76 IS_RESERVED 0 SITEPROP ILOGIC_X1Y76 IS_TEST 0 SITEPROP ILOGIC_X1Y76 IS_USED 0 SITEPROP ILOGIC_X1Y76 MANUAL_ROUTING SITEPROP ILOGIC_X1Y76 NAME ILOGIC_X1Y76 SITEPROP ILOGIC_X1Y76 NUM_ARCS 27 SITEPROP ILOGIC_X1Y76 NUM_BELS 6 SITEPROP ILOGIC_X1Y76 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y76 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y76 NUM_PINS 31 SITEPROP ILOGIC_X1Y76 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y76 PROHIBIT 0 SITEPROP ILOGIC_X1Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y76 RPM_X 182 SITEPROP ILOGIC_X1Y76 RPM_Y 150 SITEPROP ILOGIC_X1Y76 SITE_PIPS SITEPROP ILOGIC_X1Y76 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y77 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y77 CLASS site SITEPROP ILOGIC_X1Y77 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y77 IS_BONDED 0 SITEPROP ILOGIC_X1Y77 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y77 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y77 IS_PAD 0 SITEPROP ILOGIC_X1Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y77 IS_RESERVED 0 SITEPROP ILOGIC_X1Y77 IS_TEST 0 SITEPROP ILOGIC_X1Y77 IS_USED 0 SITEPROP ILOGIC_X1Y77 MANUAL_ROUTING SITEPROP ILOGIC_X1Y77 NAME ILOGIC_X1Y77 SITEPROP ILOGIC_X1Y77 NUM_ARCS 27 SITEPROP ILOGIC_X1Y77 NUM_BELS 6 SITEPROP ILOGIC_X1Y77 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y77 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y77 NUM_PINS 31 SITEPROP ILOGIC_X1Y77 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y77 PROHIBIT 0 SITEPROP ILOGIC_X1Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y77 RPM_X 182 SITEPROP ILOGIC_X1Y77 RPM_Y 155 SITEPROP ILOGIC_X1Y77 SITE_PIPS SITEPROP ILOGIC_X1Y77 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y78 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y78 CLASS site SITEPROP ILOGIC_X1Y78 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y78 IS_BONDED 0 SITEPROP ILOGIC_X1Y78 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y78 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y78 IS_PAD 0 SITEPROP ILOGIC_X1Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y78 IS_RESERVED 0 SITEPROP ILOGIC_X1Y78 IS_TEST 0 SITEPROP ILOGIC_X1Y78 IS_USED 0 SITEPROP ILOGIC_X1Y78 MANUAL_ROUTING SITEPROP ILOGIC_X1Y78 NAME ILOGIC_X1Y78 SITEPROP ILOGIC_X1Y78 NUM_ARCS 27 SITEPROP ILOGIC_X1Y78 NUM_BELS 6 SITEPROP ILOGIC_X1Y78 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y78 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y78 NUM_PINS 31 SITEPROP ILOGIC_X1Y78 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y78 PROHIBIT 0 SITEPROP ILOGIC_X1Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y78 RPM_X 182 SITEPROP ILOGIC_X1Y78 RPM_Y 154 SITEPROP ILOGIC_X1Y78 SITE_PIPS SITEPROP ILOGIC_X1Y78 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y79 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y79 CLASS site SITEPROP ILOGIC_X1Y79 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y79 IS_BONDED 0 SITEPROP ILOGIC_X1Y79 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y79 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y79 IS_PAD 0 SITEPROP ILOGIC_X1Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y79 IS_RESERVED 0 SITEPROP ILOGIC_X1Y79 IS_TEST 0 SITEPROP ILOGIC_X1Y79 IS_USED 0 SITEPROP ILOGIC_X1Y79 MANUAL_ROUTING SITEPROP ILOGIC_X1Y79 NAME ILOGIC_X1Y79 SITEPROP ILOGIC_X1Y79 NUM_ARCS 27 SITEPROP ILOGIC_X1Y79 NUM_BELS 6 SITEPROP ILOGIC_X1Y79 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y79 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y79 NUM_PINS 31 SITEPROP ILOGIC_X1Y79 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y79 PROHIBIT 0 SITEPROP ILOGIC_X1Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y79 RPM_X 182 SITEPROP ILOGIC_X1Y79 RPM_Y 159 SITEPROP ILOGIC_X1Y79 SITE_PIPS SITEPROP ILOGIC_X1Y79 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y80 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y80 CLASS site SITEPROP ILOGIC_X1Y80 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y80 IS_BONDED 0 SITEPROP ILOGIC_X1Y80 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y80 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y80 IS_PAD 0 SITEPROP ILOGIC_X1Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y80 IS_RESERVED 0 SITEPROP ILOGIC_X1Y80 IS_TEST 0 SITEPROP ILOGIC_X1Y80 IS_USED 0 SITEPROP ILOGIC_X1Y80 MANUAL_ROUTING SITEPROP ILOGIC_X1Y80 NAME ILOGIC_X1Y80 SITEPROP ILOGIC_X1Y80 NUM_ARCS 27 SITEPROP ILOGIC_X1Y80 NUM_BELS 6 SITEPROP ILOGIC_X1Y80 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y80 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y80 NUM_PINS 31 SITEPROP ILOGIC_X1Y80 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y80 PROHIBIT 0 SITEPROP ILOGIC_X1Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y80 RPM_X 182 SITEPROP ILOGIC_X1Y80 RPM_Y 158 SITEPROP ILOGIC_X1Y80 SITE_PIPS SITEPROP ILOGIC_X1Y80 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y81 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y81 CLASS site SITEPROP ILOGIC_X1Y81 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y81 IS_BONDED 0 SITEPROP ILOGIC_X1Y81 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y81 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y81 IS_PAD 0 SITEPROP ILOGIC_X1Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y81 IS_RESERVED 0 SITEPROP ILOGIC_X1Y81 IS_TEST 0 SITEPROP ILOGIC_X1Y81 IS_USED 0 SITEPROP ILOGIC_X1Y81 MANUAL_ROUTING SITEPROP ILOGIC_X1Y81 NAME ILOGIC_X1Y81 SITEPROP ILOGIC_X1Y81 NUM_ARCS 27 SITEPROP ILOGIC_X1Y81 NUM_BELS 6 SITEPROP ILOGIC_X1Y81 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y81 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y81 NUM_PINS 31 SITEPROP ILOGIC_X1Y81 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y81 PROHIBIT 0 SITEPROP ILOGIC_X1Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y81 RPM_X 182 SITEPROP ILOGIC_X1Y81 RPM_Y 163 SITEPROP ILOGIC_X1Y81 SITE_PIPS SITEPROP ILOGIC_X1Y81 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y82 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y82 CLASS site SITEPROP ILOGIC_X1Y82 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y82 IS_BONDED 0 SITEPROP ILOGIC_X1Y82 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y82 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y82 IS_PAD 0 SITEPROP ILOGIC_X1Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y82 IS_RESERVED 0 SITEPROP ILOGIC_X1Y82 IS_TEST 0 SITEPROP ILOGIC_X1Y82 IS_USED 0 SITEPROP ILOGIC_X1Y82 MANUAL_ROUTING SITEPROP ILOGIC_X1Y82 NAME ILOGIC_X1Y82 SITEPROP ILOGIC_X1Y82 NUM_ARCS 27 SITEPROP ILOGIC_X1Y82 NUM_BELS 6 SITEPROP ILOGIC_X1Y82 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y82 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y82 NUM_PINS 31 SITEPROP ILOGIC_X1Y82 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y82 PROHIBIT 0 SITEPROP ILOGIC_X1Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y82 RPM_X 182 SITEPROP ILOGIC_X1Y82 RPM_Y 162 SITEPROP ILOGIC_X1Y82 SITE_PIPS SITEPROP ILOGIC_X1Y82 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y83 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y83 CLASS site SITEPROP ILOGIC_X1Y83 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y83 IS_BONDED 0 SITEPROP ILOGIC_X1Y83 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y83 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y83 IS_PAD 0 SITEPROP ILOGIC_X1Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y83 IS_RESERVED 0 SITEPROP ILOGIC_X1Y83 IS_TEST 0 SITEPROP ILOGIC_X1Y83 IS_USED 0 SITEPROP ILOGIC_X1Y83 MANUAL_ROUTING SITEPROP ILOGIC_X1Y83 NAME ILOGIC_X1Y83 SITEPROP ILOGIC_X1Y83 NUM_ARCS 27 SITEPROP ILOGIC_X1Y83 NUM_BELS 6 SITEPROP ILOGIC_X1Y83 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y83 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y83 NUM_PINS 31 SITEPROP ILOGIC_X1Y83 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y83 PROHIBIT 0 SITEPROP ILOGIC_X1Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y83 RPM_X 182 SITEPROP ILOGIC_X1Y83 RPM_Y 167 SITEPROP ILOGIC_X1Y83 SITE_PIPS SITEPROP ILOGIC_X1Y83 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y84 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y84 CLASS site SITEPROP ILOGIC_X1Y84 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y84 IS_BONDED 0 SITEPROP ILOGIC_X1Y84 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y84 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y84 IS_PAD 0 SITEPROP ILOGIC_X1Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y84 IS_RESERVED 0 SITEPROP ILOGIC_X1Y84 IS_TEST 0 SITEPROP ILOGIC_X1Y84 IS_USED 0 SITEPROP ILOGIC_X1Y84 MANUAL_ROUTING SITEPROP ILOGIC_X1Y84 NAME ILOGIC_X1Y84 SITEPROP ILOGIC_X1Y84 NUM_ARCS 27 SITEPROP ILOGIC_X1Y84 NUM_BELS 6 SITEPROP ILOGIC_X1Y84 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y84 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y84 NUM_PINS 31 SITEPROP ILOGIC_X1Y84 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y84 PROHIBIT 0 SITEPROP ILOGIC_X1Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y84 RPM_X 182 SITEPROP ILOGIC_X1Y84 RPM_Y 166 SITEPROP ILOGIC_X1Y84 SITE_PIPS SITEPROP ILOGIC_X1Y84 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y85 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y85 CLASS site SITEPROP ILOGIC_X1Y85 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y85 IS_BONDED 0 SITEPROP ILOGIC_X1Y85 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y85 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y85 IS_PAD 0 SITEPROP ILOGIC_X1Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y85 IS_RESERVED 0 SITEPROP ILOGIC_X1Y85 IS_TEST 0 SITEPROP ILOGIC_X1Y85 IS_USED 0 SITEPROP ILOGIC_X1Y85 MANUAL_ROUTING SITEPROP ILOGIC_X1Y85 NAME ILOGIC_X1Y85 SITEPROP ILOGIC_X1Y85 NUM_ARCS 27 SITEPROP ILOGIC_X1Y85 NUM_BELS 6 SITEPROP ILOGIC_X1Y85 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y85 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y85 NUM_PINS 31 SITEPROP ILOGIC_X1Y85 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y85 PROHIBIT 0 SITEPROP ILOGIC_X1Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y85 RPM_X 182 SITEPROP ILOGIC_X1Y85 RPM_Y 171 SITEPROP ILOGIC_X1Y85 SITE_PIPS SITEPROP ILOGIC_X1Y85 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y86 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y86 CLASS site SITEPROP ILOGIC_X1Y86 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y86 IS_BONDED 0 SITEPROP ILOGIC_X1Y86 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y86 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y86 IS_PAD 0 SITEPROP ILOGIC_X1Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y86 IS_RESERVED 0 SITEPROP ILOGIC_X1Y86 IS_TEST 0 SITEPROP ILOGIC_X1Y86 IS_USED 0 SITEPROP ILOGIC_X1Y86 MANUAL_ROUTING SITEPROP ILOGIC_X1Y86 NAME ILOGIC_X1Y86 SITEPROP ILOGIC_X1Y86 NUM_ARCS 27 SITEPROP ILOGIC_X1Y86 NUM_BELS 6 SITEPROP ILOGIC_X1Y86 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y86 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y86 NUM_PINS 31 SITEPROP ILOGIC_X1Y86 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y86 PROHIBIT 0 SITEPROP ILOGIC_X1Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y86 RPM_X 182 SITEPROP ILOGIC_X1Y86 RPM_Y 170 SITEPROP ILOGIC_X1Y86 SITE_PIPS SITEPROP ILOGIC_X1Y86 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y87 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y87 CLASS site SITEPROP ILOGIC_X1Y87 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y87 IS_BONDED 0 SITEPROP ILOGIC_X1Y87 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y87 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y87 IS_PAD 0 SITEPROP ILOGIC_X1Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y87 IS_RESERVED 0 SITEPROP ILOGIC_X1Y87 IS_TEST 0 SITEPROP ILOGIC_X1Y87 IS_USED 0 SITEPROP ILOGIC_X1Y87 MANUAL_ROUTING SITEPROP ILOGIC_X1Y87 NAME ILOGIC_X1Y87 SITEPROP ILOGIC_X1Y87 NUM_ARCS 27 SITEPROP ILOGIC_X1Y87 NUM_BELS 6 SITEPROP ILOGIC_X1Y87 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y87 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y87 NUM_PINS 31 SITEPROP ILOGIC_X1Y87 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y87 PROHIBIT 0 SITEPROP ILOGIC_X1Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y87 RPM_X 182 SITEPROP ILOGIC_X1Y87 RPM_Y 175 SITEPROP ILOGIC_X1Y87 SITE_PIPS SITEPROP ILOGIC_X1Y87 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y88 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y88 CLASS site SITEPROP ILOGIC_X1Y88 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y88 IS_BONDED 0 SITEPROP ILOGIC_X1Y88 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y88 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y88 IS_PAD 0 SITEPROP ILOGIC_X1Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y88 IS_RESERVED 0 SITEPROP ILOGIC_X1Y88 IS_TEST 0 SITEPROP ILOGIC_X1Y88 IS_USED 0 SITEPROP ILOGIC_X1Y88 MANUAL_ROUTING SITEPROP ILOGIC_X1Y88 NAME ILOGIC_X1Y88 SITEPROP ILOGIC_X1Y88 NUM_ARCS 27 SITEPROP ILOGIC_X1Y88 NUM_BELS 6 SITEPROP ILOGIC_X1Y88 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y88 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y88 NUM_PINS 31 SITEPROP ILOGIC_X1Y88 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y88 PROHIBIT 0 SITEPROP ILOGIC_X1Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y88 RPM_X 182 SITEPROP ILOGIC_X1Y88 RPM_Y 174 SITEPROP ILOGIC_X1Y88 SITE_PIPS SITEPROP ILOGIC_X1Y88 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y89 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y89 CLASS site SITEPROP ILOGIC_X1Y89 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y89 IS_BONDED 0 SITEPROP ILOGIC_X1Y89 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y89 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y89 IS_PAD 0 SITEPROP ILOGIC_X1Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y89 IS_RESERVED 0 SITEPROP ILOGIC_X1Y89 IS_TEST 0 SITEPROP ILOGIC_X1Y89 IS_USED 0 SITEPROP ILOGIC_X1Y89 MANUAL_ROUTING SITEPROP ILOGIC_X1Y89 NAME ILOGIC_X1Y89 SITEPROP ILOGIC_X1Y89 NUM_ARCS 27 SITEPROP ILOGIC_X1Y89 NUM_BELS 6 SITEPROP ILOGIC_X1Y89 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y89 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y89 NUM_PINS 31 SITEPROP ILOGIC_X1Y89 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y89 PROHIBIT 0 SITEPROP ILOGIC_X1Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y89 RPM_X 182 SITEPROP ILOGIC_X1Y89 RPM_Y 179 SITEPROP ILOGIC_X1Y89 SITE_PIPS SITEPROP ILOGIC_X1Y89 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y90 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y90 CLASS site SITEPROP ILOGIC_X1Y90 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y90 IS_BONDED 0 SITEPROP ILOGIC_X1Y90 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y90 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y90 IS_PAD 0 SITEPROP ILOGIC_X1Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y90 IS_RESERVED 0 SITEPROP ILOGIC_X1Y90 IS_TEST 0 SITEPROP ILOGIC_X1Y90 IS_USED 0 SITEPROP ILOGIC_X1Y90 MANUAL_ROUTING SITEPROP ILOGIC_X1Y90 NAME ILOGIC_X1Y90 SITEPROP ILOGIC_X1Y90 NUM_ARCS 27 SITEPROP ILOGIC_X1Y90 NUM_BELS 6 SITEPROP ILOGIC_X1Y90 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y90 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y90 NUM_PINS 31 SITEPROP ILOGIC_X1Y90 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y90 PROHIBIT 0 SITEPROP ILOGIC_X1Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y90 RPM_X 182 SITEPROP ILOGIC_X1Y90 RPM_Y 178 SITEPROP ILOGIC_X1Y90 SITE_PIPS SITEPROP ILOGIC_X1Y90 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y91 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y91 CLASS site SITEPROP ILOGIC_X1Y91 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y91 IS_BONDED 0 SITEPROP ILOGIC_X1Y91 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y91 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y91 IS_PAD 0 SITEPROP ILOGIC_X1Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y91 IS_RESERVED 0 SITEPROP ILOGIC_X1Y91 IS_TEST 0 SITEPROP ILOGIC_X1Y91 IS_USED 0 SITEPROP ILOGIC_X1Y91 MANUAL_ROUTING SITEPROP ILOGIC_X1Y91 NAME ILOGIC_X1Y91 SITEPROP ILOGIC_X1Y91 NUM_ARCS 27 SITEPROP ILOGIC_X1Y91 NUM_BELS 6 SITEPROP ILOGIC_X1Y91 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y91 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y91 NUM_PINS 31 SITEPROP ILOGIC_X1Y91 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y91 PROHIBIT 0 SITEPROP ILOGIC_X1Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y91 RPM_X 182 SITEPROP ILOGIC_X1Y91 RPM_Y 183 SITEPROP ILOGIC_X1Y91 SITE_PIPS SITEPROP ILOGIC_X1Y91 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y92 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y92 CLASS site SITEPROP ILOGIC_X1Y92 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y92 IS_BONDED 0 SITEPROP ILOGIC_X1Y92 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y92 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y92 IS_PAD 0 SITEPROP ILOGIC_X1Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y92 IS_RESERVED 0 SITEPROP ILOGIC_X1Y92 IS_TEST 0 SITEPROP ILOGIC_X1Y92 IS_USED 0 SITEPROP ILOGIC_X1Y92 MANUAL_ROUTING SITEPROP ILOGIC_X1Y92 NAME ILOGIC_X1Y92 SITEPROP ILOGIC_X1Y92 NUM_ARCS 27 SITEPROP ILOGIC_X1Y92 NUM_BELS 6 SITEPROP ILOGIC_X1Y92 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y92 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y92 NUM_PINS 31 SITEPROP ILOGIC_X1Y92 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y92 PROHIBIT 0 SITEPROP ILOGIC_X1Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y92 RPM_X 182 SITEPROP ILOGIC_X1Y92 RPM_Y 182 SITEPROP ILOGIC_X1Y92 SITE_PIPS SITEPROP ILOGIC_X1Y92 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y93 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y93 CLASS site SITEPROP ILOGIC_X1Y93 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y93 IS_BONDED 0 SITEPROP ILOGIC_X1Y93 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y93 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y93 IS_PAD 0 SITEPROP ILOGIC_X1Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y93 IS_RESERVED 0 SITEPROP ILOGIC_X1Y93 IS_TEST 0 SITEPROP ILOGIC_X1Y93 IS_USED 0 SITEPROP ILOGIC_X1Y93 MANUAL_ROUTING SITEPROP ILOGIC_X1Y93 NAME ILOGIC_X1Y93 SITEPROP ILOGIC_X1Y93 NUM_ARCS 27 SITEPROP ILOGIC_X1Y93 NUM_BELS 6 SITEPROP ILOGIC_X1Y93 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y93 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y93 NUM_PINS 31 SITEPROP ILOGIC_X1Y93 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y93 PROHIBIT 0 SITEPROP ILOGIC_X1Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y93 RPM_X 182 SITEPROP ILOGIC_X1Y93 RPM_Y 187 SITEPROP ILOGIC_X1Y93 SITE_PIPS SITEPROP ILOGIC_X1Y93 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y94 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y94 CLASS site SITEPROP ILOGIC_X1Y94 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y94 IS_BONDED 0 SITEPROP ILOGIC_X1Y94 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y94 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y94 IS_PAD 0 SITEPROP ILOGIC_X1Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y94 IS_RESERVED 0 SITEPROP ILOGIC_X1Y94 IS_TEST 0 SITEPROP ILOGIC_X1Y94 IS_USED 0 SITEPROP ILOGIC_X1Y94 MANUAL_ROUTING SITEPROP ILOGIC_X1Y94 NAME ILOGIC_X1Y94 SITEPROP ILOGIC_X1Y94 NUM_ARCS 27 SITEPROP ILOGIC_X1Y94 NUM_BELS 6 SITEPROP ILOGIC_X1Y94 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y94 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y94 NUM_PINS 31 SITEPROP ILOGIC_X1Y94 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y94 PROHIBIT 0 SITEPROP ILOGIC_X1Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y94 RPM_X 182 SITEPROP ILOGIC_X1Y94 RPM_Y 186 SITEPROP ILOGIC_X1Y94 SITE_PIPS SITEPROP ILOGIC_X1Y94 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y95 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y95 CLASS site SITEPROP ILOGIC_X1Y95 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y95 IS_BONDED 0 SITEPROP ILOGIC_X1Y95 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y95 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y95 IS_PAD 0 SITEPROP ILOGIC_X1Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y95 IS_RESERVED 0 SITEPROP ILOGIC_X1Y95 IS_TEST 0 SITEPROP ILOGIC_X1Y95 IS_USED 0 SITEPROP ILOGIC_X1Y95 MANUAL_ROUTING SITEPROP ILOGIC_X1Y95 NAME ILOGIC_X1Y95 SITEPROP ILOGIC_X1Y95 NUM_ARCS 27 SITEPROP ILOGIC_X1Y95 NUM_BELS 6 SITEPROP ILOGIC_X1Y95 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y95 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y95 NUM_PINS 31 SITEPROP ILOGIC_X1Y95 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y95 PROHIBIT 0 SITEPROP ILOGIC_X1Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y95 RPM_X 182 SITEPROP ILOGIC_X1Y95 RPM_Y 191 SITEPROP ILOGIC_X1Y95 SITE_PIPS SITEPROP ILOGIC_X1Y95 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y96 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y96 CLASS site SITEPROP ILOGIC_X1Y96 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y96 IS_BONDED 0 SITEPROP ILOGIC_X1Y96 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y96 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y96 IS_PAD 0 SITEPROP ILOGIC_X1Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y96 IS_RESERVED 0 SITEPROP ILOGIC_X1Y96 IS_TEST 0 SITEPROP ILOGIC_X1Y96 IS_USED 0 SITEPROP ILOGIC_X1Y96 MANUAL_ROUTING SITEPROP ILOGIC_X1Y96 NAME ILOGIC_X1Y96 SITEPROP ILOGIC_X1Y96 NUM_ARCS 27 SITEPROP ILOGIC_X1Y96 NUM_BELS 6 SITEPROP ILOGIC_X1Y96 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y96 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y96 NUM_PINS 31 SITEPROP ILOGIC_X1Y96 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y96 PROHIBIT 0 SITEPROP ILOGIC_X1Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y96 RPM_X 182 SITEPROP ILOGIC_X1Y96 RPM_Y 190 SITEPROP ILOGIC_X1Y96 SITE_PIPS SITEPROP ILOGIC_X1Y96 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y97 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y97 CLASS site SITEPROP ILOGIC_X1Y97 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y97 IS_BONDED 0 SITEPROP ILOGIC_X1Y97 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y97 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y97 IS_PAD 0 SITEPROP ILOGIC_X1Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y97 IS_RESERVED 0 SITEPROP ILOGIC_X1Y97 IS_TEST 0 SITEPROP ILOGIC_X1Y97 IS_USED 0 SITEPROP ILOGIC_X1Y97 MANUAL_ROUTING SITEPROP ILOGIC_X1Y97 NAME ILOGIC_X1Y97 SITEPROP ILOGIC_X1Y97 NUM_ARCS 27 SITEPROP ILOGIC_X1Y97 NUM_BELS 6 SITEPROP ILOGIC_X1Y97 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y97 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y97 NUM_PINS 31 SITEPROP ILOGIC_X1Y97 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y97 PROHIBIT 0 SITEPROP ILOGIC_X1Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y97 RPM_X 182 SITEPROP ILOGIC_X1Y97 RPM_Y 195 SITEPROP ILOGIC_X1Y97 SITE_PIPS SITEPROP ILOGIC_X1Y97 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y98 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y98 CLASS site SITEPROP ILOGIC_X1Y98 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y98 IS_BONDED 0 SITEPROP ILOGIC_X1Y98 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y98 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y98 IS_PAD 0 SITEPROP ILOGIC_X1Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y98 IS_RESERVED 0 SITEPROP ILOGIC_X1Y98 IS_TEST 0 SITEPROP ILOGIC_X1Y98 IS_USED 0 SITEPROP ILOGIC_X1Y98 MANUAL_ROUTING SITEPROP ILOGIC_X1Y98 NAME ILOGIC_X1Y98 SITEPROP ILOGIC_X1Y98 NUM_ARCS 27 SITEPROP ILOGIC_X1Y98 NUM_BELS 6 SITEPROP ILOGIC_X1Y98 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y98 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y98 NUM_PINS 31 SITEPROP ILOGIC_X1Y98 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y98 PROHIBIT 0 SITEPROP ILOGIC_X1Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y98 RPM_X 182 SITEPROP ILOGIC_X1Y98 RPM_Y 194 SITEPROP ILOGIC_X1Y98 SITE_PIPS SITEPROP ILOGIC_X1Y98 SITE_TYPE ILOGICE3 SITEPROP ILOGIC_X1Y99 ALTERNATE_SITE_TYPES ILOGICE2 ISERDESE2 SITEPROP ILOGIC_X1Y99 CLASS site SITEPROP ILOGIC_X1Y99 CLOCK_REGION X1Y1 SITEPROP ILOGIC_X1Y99 IS_BONDED 0 SITEPROP ILOGIC_X1Y99 IS_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y99 IS_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y99 IS_PAD 0 SITEPROP ILOGIC_X1Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP ILOGIC_X1Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP ILOGIC_X1Y99 IS_RESERVED 0 SITEPROP ILOGIC_X1Y99 IS_TEST 0 SITEPROP ILOGIC_X1Y99 IS_USED 0 SITEPROP ILOGIC_X1Y99 MANUAL_ROUTING SITEPROP ILOGIC_X1Y99 NAME ILOGIC_X1Y99 SITEPROP ILOGIC_X1Y99 NUM_ARCS 27 SITEPROP ILOGIC_X1Y99 NUM_BELS 6 SITEPROP ILOGIC_X1Y99 NUM_INPUTS 20 SITEPROP ILOGIC_X1Y99 NUM_OUTPUTS 11 SITEPROP ILOGIC_X1Y99 NUM_PINS 31 SITEPROP ILOGIC_X1Y99 PRIMITIVE_COUNT 0 SITEPROP ILOGIC_X1Y99 PROHIBIT 0 SITEPROP ILOGIC_X1Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP ILOGIC_X1Y99 RPM_X 182 SITEPROP ILOGIC_X1Y99 RPM_Y 198 SITEPROP ILOGIC_X1Y99 SITE_PIPS SITEPROP ILOGIC_X1Y99 SITE_TYPE ILOGICE3 SITEPROP IN_FIFO_X0Y0 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y0 CLASS site SITEPROP IN_FIFO_X0Y0 CLOCK_REGION X0Y0 SITEPROP IN_FIFO_X0Y0 IS_BONDED 0 SITEPROP IN_FIFO_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y0 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y0 IS_PAD 0 SITEPROP IN_FIFO_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y0 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y0 IS_TEST 0 SITEPROP IN_FIFO_X0Y0 IS_USED 0 SITEPROP IN_FIFO_X0Y0 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y0 NAME IN_FIFO_X0Y0 SITEPROP IN_FIFO_X0Y0 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y0 NUM_BELS 1 SITEPROP IN_FIFO_X0Y0 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y0 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y0 NUM_PINS 149 SITEPROP IN_FIFO_X0Y0 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y0 PROHIBIT 0 SITEPROP IN_FIFO_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y0 RPM_X 9 SITEPROP IN_FIFO_X0Y0 RPM_Y 15 SITEPROP IN_FIFO_X0Y0 SITE_PIPS SITEPROP IN_FIFO_X0Y0 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y1 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y1 CLASS site SITEPROP IN_FIFO_X0Y1 CLOCK_REGION X0Y0 SITEPROP IN_FIFO_X0Y1 IS_BONDED 0 SITEPROP IN_FIFO_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y1 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y1 IS_PAD 0 SITEPROP IN_FIFO_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y1 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y1 IS_TEST 0 SITEPROP IN_FIFO_X0Y1 IS_USED 0 SITEPROP IN_FIFO_X0Y1 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y1 NAME IN_FIFO_X0Y1 SITEPROP IN_FIFO_X0Y1 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y1 NUM_BELS 1 SITEPROP IN_FIFO_X0Y1 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y1 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y1 NUM_PINS 149 SITEPROP IN_FIFO_X0Y1 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y1 PROHIBIT 0 SITEPROP IN_FIFO_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y1 RPM_X 9 SITEPROP IN_FIFO_X0Y1 RPM_Y 39 SITEPROP IN_FIFO_X0Y1 SITE_PIPS SITEPROP IN_FIFO_X0Y1 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y2 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y2 CLASS site SITEPROP IN_FIFO_X0Y2 CLOCK_REGION X0Y0 SITEPROP IN_FIFO_X0Y2 IS_BONDED 0 SITEPROP IN_FIFO_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y2 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y2 IS_PAD 0 SITEPROP IN_FIFO_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y2 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y2 IS_TEST 0 SITEPROP IN_FIFO_X0Y2 IS_USED 0 SITEPROP IN_FIFO_X0Y2 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y2 NAME IN_FIFO_X0Y2 SITEPROP IN_FIFO_X0Y2 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y2 NUM_BELS 1 SITEPROP IN_FIFO_X0Y2 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y2 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y2 NUM_PINS 149 SITEPROP IN_FIFO_X0Y2 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y2 PROHIBIT 0 SITEPROP IN_FIFO_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y2 RPM_X 9 SITEPROP IN_FIFO_X0Y2 RPM_Y 63 SITEPROP IN_FIFO_X0Y2 SITE_PIPS SITEPROP IN_FIFO_X0Y2 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y3 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y3 CLASS site SITEPROP IN_FIFO_X0Y3 CLOCK_REGION X0Y0 SITEPROP IN_FIFO_X0Y3 IS_BONDED 0 SITEPROP IN_FIFO_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y3 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y3 IS_PAD 0 SITEPROP IN_FIFO_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y3 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y3 IS_TEST 0 SITEPROP IN_FIFO_X0Y3 IS_USED 0 SITEPROP IN_FIFO_X0Y3 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y3 NAME IN_FIFO_X0Y3 SITEPROP IN_FIFO_X0Y3 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y3 NUM_BELS 1 SITEPROP IN_FIFO_X0Y3 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y3 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y3 NUM_PINS 149 SITEPROP IN_FIFO_X0Y3 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y3 PROHIBIT 0 SITEPROP IN_FIFO_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y3 RPM_X 9 SITEPROP IN_FIFO_X0Y3 RPM_Y 87 SITEPROP IN_FIFO_X0Y3 SITE_PIPS SITEPROP IN_FIFO_X0Y3 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y4 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y4 CLASS site SITEPROP IN_FIFO_X0Y4 CLOCK_REGION X0Y1 SITEPROP IN_FIFO_X0Y4 IS_BONDED 0 SITEPROP IN_FIFO_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y4 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y4 IS_PAD 0 SITEPROP IN_FIFO_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y4 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y4 IS_TEST 0 SITEPROP IN_FIFO_X0Y4 IS_USED 0 SITEPROP IN_FIFO_X0Y4 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y4 NAME IN_FIFO_X0Y4 SITEPROP IN_FIFO_X0Y4 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y4 NUM_BELS 1 SITEPROP IN_FIFO_X0Y4 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y4 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y4 NUM_PINS 149 SITEPROP IN_FIFO_X0Y4 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y4 PROHIBIT 0 SITEPROP IN_FIFO_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y4 RPM_X 9 SITEPROP IN_FIFO_X0Y4 RPM_Y 115 SITEPROP IN_FIFO_X0Y4 SITE_PIPS SITEPROP IN_FIFO_X0Y4 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y5 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y5 CLASS site SITEPROP IN_FIFO_X0Y5 CLOCK_REGION X0Y1 SITEPROP IN_FIFO_X0Y5 IS_BONDED 0 SITEPROP IN_FIFO_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y5 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y5 IS_PAD 0 SITEPROP IN_FIFO_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y5 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y5 IS_TEST 0 SITEPROP IN_FIFO_X0Y5 IS_USED 0 SITEPROP IN_FIFO_X0Y5 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y5 NAME IN_FIFO_X0Y5 SITEPROP IN_FIFO_X0Y5 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y5 NUM_BELS 1 SITEPROP IN_FIFO_X0Y5 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y5 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y5 NUM_PINS 149 SITEPROP IN_FIFO_X0Y5 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y5 PROHIBIT 0 SITEPROP IN_FIFO_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y5 RPM_X 9 SITEPROP IN_FIFO_X0Y5 RPM_Y 139 SITEPROP IN_FIFO_X0Y5 SITE_PIPS SITEPROP IN_FIFO_X0Y5 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y6 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y6 CLASS site SITEPROP IN_FIFO_X0Y6 CLOCK_REGION X0Y1 SITEPROP IN_FIFO_X0Y6 IS_BONDED 0 SITEPROP IN_FIFO_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y6 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y6 IS_PAD 0 SITEPROP IN_FIFO_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y6 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y6 IS_TEST 0 SITEPROP IN_FIFO_X0Y6 IS_USED 0 SITEPROP IN_FIFO_X0Y6 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y6 NAME IN_FIFO_X0Y6 SITEPROP IN_FIFO_X0Y6 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y6 NUM_BELS 1 SITEPROP IN_FIFO_X0Y6 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y6 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y6 NUM_PINS 149 SITEPROP IN_FIFO_X0Y6 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y6 PROHIBIT 0 SITEPROP IN_FIFO_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y6 RPM_X 9 SITEPROP IN_FIFO_X0Y6 RPM_Y 163 SITEPROP IN_FIFO_X0Y6 SITE_PIPS SITEPROP IN_FIFO_X0Y6 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y7 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y7 CLASS site SITEPROP IN_FIFO_X0Y7 CLOCK_REGION X0Y1 SITEPROP IN_FIFO_X0Y7 IS_BONDED 0 SITEPROP IN_FIFO_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y7 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y7 IS_PAD 0 SITEPROP IN_FIFO_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y7 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y7 IS_TEST 0 SITEPROP IN_FIFO_X0Y7 IS_USED 0 SITEPROP IN_FIFO_X0Y7 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y7 NAME IN_FIFO_X0Y7 SITEPROP IN_FIFO_X0Y7 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y7 NUM_BELS 1 SITEPROP IN_FIFO_X0Y7 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y7 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y7 NUM_PINS 149 SITEPROP IN_FIFO_X0Y7 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y7 PROHIBIT 0 SITEPROP IN_FIFO_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y7 RPM_X 9 SITEPROP IN_FIFO_X0Y7 RPM_Y 187 SITEPROP IN_FIFO_X0Y7 SITE_PIPS SITEPROP IN_FIFO_X0Y7 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y8 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y8 CLASS site SITEPROP IN_FIFO_X0Y8 CLOCK_REGION X0Y2 SITEPROP IN_FIFO_X0Y8 IS_BONDED 0 SITEPROP IN_FIFO_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y8 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y8 IS_PAD 0 SITEPROP IN_FIFO_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y8 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y8 IS_TEST 0 SITEPROP IN_FIFO_X0Y8 IS_USED 0 SITEPROP IN_FIFO_X0Y8 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y8 NAME IN_FIFO_X0Y8 SITEPROP IN_FIFO_X0Y8 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y8 NUM_BELS 1 SITEPROP IN_FIFO_X0Y8 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y8 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y8 NUM_PINS 149 SITEPROP IN_FIFO_X0Y8 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y8 PROHIBIT 0 SITEPROP IN_FIFO_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y8 RPM_X 9 SITEPROP IN_FIFO_X0Y8 RPM_Y 215 SITEPROP IN_FIFO_X0Y8 SITE_PIPS SITEPROP IN_FIFO_X0Y8 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y9 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y9 CLASS site SITEPROP IN_FIFO_X0Y9 CLOCK_REGION X0Y2 SITEPROP IN_FIFO_X0Y9 IS_BONDED 0 SITEPROP IN_FIFO_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y9 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y9 IS_PAD 0 SITEPROP IN_FIFO_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y9 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y9 IS_TEST 0 SITEPROP IN_FIFO_X0Y9 IS_USED 0 SITEPROP IN_FIFO_X0Y9 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y9 NAME IN_FIFO_X0Y9 SITEPROP IN_FIFO_X0Y9 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y9 NUM_BELS 1 SITEPROP IN_FIFO_X0Y9 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y9 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y9 NUM_PINS 149 SITEPROP IN_FIFO_X0Y9 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y9 PROHIBIT 0 SITEPROP IN_FIFO_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y9 RPM_X 9 SITEPROP IN_FIFO_X0Y9 RPM_Y 239 SITEPROP IN_FIFO_X0Y9 SITE_PIPS SITEPROP IN_FIFO_X0Y9 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y10 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y10 CLASS site SITEPROP IN_FIFO_X0Y10 CLOCK_REGION X0Y2 SITEPROP IN_FIFO_X0Y10 IS_BONDED 0 SITEPROP IN_FIFO_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y10 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y10 IS_PAD 0 SITEPROP IN_FIFO_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y10 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y10 IS_TEST 0 SITEPROP IN_FIFO_X0Y10 IS_USED 0 SITEPROP IN_FIFO_X0Y10 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y10 NAME IN_FIFO_X0Y10 SITEPROP IN_FIFO_X0Y10 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y10 NUM_BELS 1 SITEPROP IN_FIFO_X0Y10 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y10 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y10 NUM_PINS 149 SITEPROP IN_FIFO_X0Y10 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y10 PROHIBIT 0 SITEPROP IN_FIFO_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y10 RPM_X 9 SITEPROP IN_FIFO_X0Y10 RPM_Y 263 SITEPROP IN_FIFO_X0Y10 SITE_PIPS SITEPROP IN_FIFO_X0Y10 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X0Y11 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X0Y11 CLASS site SITEPROP IN_FIFO_X0Y11 CLOCK_REGION X0Y2 SITEPROP IN_FIFO_X0Y11 IS_BONDED 0 SITEPROP IN_FIFO_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y11 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y11 IS_PAD 0 SITEPROP IN_FIFO_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X0Y11 IS_RESERVED 0 SITEPROP IN_FIFO_X0Y11 IS_TEST 0 SITEPROP IN_FIFO_X0Y11 IS_USED 0 SITEPROP IN_FIFO_X0Y11 MANUAL_ROUTING SITEPROP IN_FIFO_X0Y11 NAME IN_FIFO_X0Y11 SITEPROP IN_FIFO_X0Y11 NUM_ARCS 0 SITEPROP IN_FIFO_X0Y11 NUM_BELS 1 SITEPROP IN_FIFO_X0Y11 NUM_INPUTS 61 SITEPROP IN_FIFO_X0Y11 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X0Y11 NUM_PINS 149 SITEPROP IN_FIFO_X0Y11 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X0Y11 PROHIBIT 0 SITEPROP IN_FIFO_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X0Y11 RPM_X 9 SITEPROP IN_FIFO_X0Y11 RPM_Y 287 SITEPROP IN_FIFO_X0Y11 SITE_PIPS SITEPROP IN_FIFO_X0Y11 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X1Y0 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X1Y0 CLASS site SITEPROP IN_FIFO_X1Y0 CLOCK_REGION X1Y0 SITEPROP IN_FIFO_X1Y0 IS_BONDED 0 SITEPROP IN_FIFO_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y0 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y0 IS_PAD 0 SITEPROP IN_FIFO_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y0 IS_RESERVED 0 SITEPROP IN_FIFO_X1Y0 IS_TEST 0 SITEPROP IN_FIFO_X1Y0 IS_USED 0 SITEPROP IN_FIFO_X1Y0 MANUAL_ROUTING SITEPROP IN_FIFO_X1Y0 NAME IN_FIFO_X1Y0 SITEPROP IN_FIFO_X1Y0 NUM_ARCS 0 SITEPROP IN_FIFO_X1Y0 NUM_BELS 1 SITEPROP IN_FIFO_X1Y0 NUM_INPUTS 61 SITEPROP IN_FIFO_X1Y0 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X1Y0 NUM_PINS 149 SITEPROP IN_FIFO_X1Y0 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X1Y0 PROHIBIT 0 SITEPROP IN_FIFO_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X1Y0 RPM_X 173 SITEPROP IN_FIFO_X1Y0 RPM_Y 15 SITEPROP IN_FIFO_X1Y0 SITE_PIPS SITEPROP IN_FIFO_X1Y0 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X1Y1 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X1Y1 CLASS site SITEPROP IN_FIFO_X1Y1 CLOCK_REGION X1Y0 SITEPROP IN_FIFO_X1Y1 IS_BONDED 0 SITEPROP IN_FIFO_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y1 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y1 IS_PAD 0 SITEPROP IN_FIFO_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y1 IS_RESERVED 0 SITEPROP IN_FIFO_X1Y1 IS_TEST 0 SITEPROP IN_FIFO_X1Y1 IS_USED 0 SITEPROP IN_FIFO_X1Y1 MANUAL_ROUTING SITEPROP IN_FIFO_X1Y1 NAME IN_FIFO_X1Y1 SITEPROP IN_FIFO_X1Y1 NUM_ARCS 0 SITEPROP IN_FIFO_X1Y1 NUM_BELS 1 SITEPROP IN_FIFO_X1Y1 NUM_INPUTS 61 SITEPROP IN_FIFO_X1Y1 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X1Y1 NUM_PINS 149 SITEPROP IN_FIFO_X1Y1 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X1Y1 PROHIBIT 0 SITEPROP IN_FIFO_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X1Y1 RPM_X 173 SITEPROP IN_FIFO_X1Y1 RPM_Y 39 SITEPROP IN_FIFO_X1Y1 SITE_PIPS SITEPROP IN_FIFO_X1Y1 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X1Y2 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X1Y2 CLASS site SITEPROP IN_FIFO_X1Y2 CLOCK_REGION X1Y0 SITEPROP IN_FIFO_X1Y2 IS_BONDED 0 SITEPROP IN_FIFO_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y2 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y2 IS_PAD 0 SITEPROP IN_FIFO_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y2 IS_RESERVED 0 SITEPROP IN_FIFO_X1Y2 IS_TEST 0 SITEPROP IN_FIFO_X1Y2 IS_USED 0 SITEPROP IN_FIFO_X1Y2 MANUAL_ROUTING SITEPROP IN_FIFO_X1Y2 NAME IN_FIFO_X1Y2 SITEPROP IN_FIFO_X1Y2 NUM_ARCS 0 SITEPROP IN_FIFO_X1Y2 NUM_BELS 1 SITEPROP IN_FIFO_X1Y2 NUM_INPUTS 61 SITEPROP IN_FIFO_X1Y2 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X1Y2 NUM_PINS 149 SITEPROP IN_FIFO_X1Y2 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X1Y2 PROHIBIT 0 SITEPROP IN_FIFO_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X1Y2 RPM_X 173 SITEPROP IN_FIFO_X1Y2 RPM_Y 63 SITEPROP IN_FIFO_X1Y2 SITE_PIPS SITEPROP IN_FIFO_X1Y2 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X1Y3 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X1Y3 CLASS site SITEPROP IN_FIFO_X1Y3 CLOCK_REGION X1Y0 SITEPROP IN_FIFO_X1Y3 IS_BONDED 0 SITEPROP IN_FIFO_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y3 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y3 IS_PAD 0 SITEPROP IN_FIFO_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y3 IS_RESERVED 0 SITEPROP IN_FIFO_X1Y3 IS_TEST 0 SITEPROP IN_FIFO_X1Y3 IS_USED 0 SITEPROP IN_FIFO_X1Y3 MANUAL_ROUTING SITEPROP IN_FIFO_X1Y3 NAME IN_FIFO_X1Y3 SITEPROP IN_FIFO_X1Y3 NUM_ARCS 0 SITEPROP IN_FIFO_X1Y3 NUM_BELS 1 SITEPROP IN_FIFO_X1Y3 NUM_INPUTS 61 SITEPROP IN_FIFO_X1Y3 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X1Y3 NUM_PINS 149 SITEPROP IN_FIFO_X1Y3 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X1Y3 PROHIBIT 0 SITEPROP IN_FIFO_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X1Y3 RPM_X 173 SITEPROP IN_FIFO_X1Y3 RPM_Y 87 SITEPROP IN_FIFO_X1Y3 SITE_PIPS SITEPROP IN_FIFO_X1Y3 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X1Y4 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X1Y4 CLASS site SITEPROP IN_FIFO_X1Y4 CLOCK_REGION X1Y1 SITEPROP IN_FIFO_X1Y4 IS_BONDED 0 SITEPROP IN_FIFO_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y4 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y4 IS_PAD 0 SITEPROP IN_FIFO_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y4 IS_RESERVED 0 SITEPROP IN_FIFO_X1Y4 IS_TEST 0 SITEPROP IN_FIFO_X1Y4 IS_USED 0 SITEPROP IN_FIFO_X1Y4 MANUAL_ROUTING SITEPROP IN_FIFO_X1Y4 NAME IN_FIFO_X1Y4 SITEPROP IN_FIFO_X1Y4 NUM_ARCS 0 SITEPROP IN_FIFO_X1Y4 NUM_BELS 1 SITEPROP IN_FIFO_X1Y4 NUM_INPUTS 61 SITEPROP IN_FIFO_X1Y4 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X1Y4 NUM_PINS 149 SITEPROP IN_FIFO_X1Y4 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X1Y4 PROHIBIT 0 SITEPROP IN_FIFO_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X1Y4 RPM_X 173 SITEPROP IN_FIFO_X1Y4 RPM_Y 115 SITEPROP IN_FIFO_X1Y4 SITE_PIPS SITEPROP IN_FIFO_X1Y4 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X1Y5 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X1Y5 CLASS site SITEPROP IN_FIFO_X1Y5 CLOCK_REGION X1Y1 SITEPROP IN_FIFO_X1Y5 IS_BONDED 0 SITEPROP IN_FIFO_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y5 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y5 IS_PAD 0 SITEPROP IN_FIFO_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y5 IS_RESERVED 0 SITEPROP IN_FIFO_X1Y5 IS_TEST 0 SITEPROP IN_FIFO_X1Y5 IS_USED 0 SITEPROP IN_FIFO_X1Y5 MANUAL_ROUTING SITEPROP IN_FIFO_X1Y5 NAME IN_FIFO_X1Y5 SITEPROP IN_FIFO_X1Y5 NUM_ARCS 0 SITEPROP IN_FIFO_X1Y5 NUM_BELS 1 SITEPROP IN_FIFO_X1Y5 NUM_INPUTS 61 SITEPROP IN_FIFO_X1Y5 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X1Y5 NUM_PINS 149 SITEPROP IN_FIFO_X1Y5 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X1Y5 PROHIBIT 0 SITEPROP IN_FIFO_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X1Y5 RPM_X 173 SITEPROP IN_FIFO_X1Y5 RPM_Y 139 SITEPROP IN_FIFO_X1Y5 SITE_PIPS SITEPROP IN_FIFO_X1Y5 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X1Y6 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X1Y6 CLASS site SITEPROP IN_FIFO_X1Y6 CLOCK_REGION X1Y1 SITEPROP IN_FIFO_X1Y6 IS_BONDED 0 SITEPROP IN_FIFO_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y6 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y6 IS_PAD 0 SITEPROP IN_FIFO_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y6 IS_RESERVED 0 SITEPROP IN_FIFO_X1Y6 IS_TEST 0 SITEPROP IN_FIFO_X1Y6 IS_USED 0 SITEPROP IN_FIFO_X1Y6 MANUAL_ROUTING SITEPROP IN_FIFO_X1Y6 NAME IN_FIFO_X1Y6 SITEPROP IN_FIFO_X1Y6 NUM_ARCS 0 SITEPROP IN_FIFO_X1Y6 NUM_BELS 1 SITEPROP IN_FIFO_X1Y6 NUM_INPUTS 61 SITEPROP IN_FIFO_X1Y6 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X1Y6 NUM_PINS 149 SITEPROP IN_FIFO_X1Y6 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X1Y6 PROHIBIT 0 SITEPROP IN_FIFO_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X1Y6 RPM_X 173 SITEPROP IN_FIFO_X1Y6 RPM_Y 163 SITEPROP IN_FIFO_X1Y6 SITE_PIPS SITEPROP IN_FIFO_X1Y6 SITE_TYPE IN_FIFO SITEPROP IN_FIFO_X1Y7 ALTERNATE_SITE_TYPES SITEPROP IN_FIFO_X1Y7 CLASS site SITEPROP IN_FIFO_X1Y7 CLOCK_REGION X1Y1 SITEPROP IN_FIFO_X1Y7 IS_BONDED 0 SITEPROP IN_FIFO_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y7 IS_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y7 IS_PAD 0 SITEPROP IN_FIFO_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IN_FIFO_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IN_FIFO_X1Y7 IS_RESERVED 0 SITEPROP IN_FIFO_X1Y7 IS_TEST 0 SITEPROP IN_FIFO_X1Y7 IS_USED 0 SITEPROP IN_FIFO_X1Y7 MANUAL_ROUTING SITEPROP IN_FIFO_X1Y7 NAME IN_FIFO_X1Y7 SITEPROP IN_FIFO_X1Y7 NUM_ARCS 0 SITEPROP IN_FIFO_X1Y7 NUM_BELS 1 SITEPROP IN_FIFO_X1Y7 NUM_INPUTS 61 SITEPROP IN_FIFO_X1Y7 NUM_OUTPUTS 88 SITEPROP IN_FIFO_X1Y7 NUM_PINS 149 SITEPROP IN_FIFO_X1Y7 PRIMITIVE_COUNT 0 SITEPROP IN_FIFO_X1Y7 PROHIBIT 0 SITEPROP IN_FIFO_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP IN_FIFO_X1Y7 RPM_X 173 SITEPROP IN_FIFO_X1Y7 RPM_Y 187 SITEPROP IN_FIFO_X1Y7 SITE_PIPS SITEPROP IN_FIFO_X1Y7 SITE_TYPE IN_FIFO SITEPROP IOB_X0Y0 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X0Y0 CLASS site SITEPROP IOB_X0Y0 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y0 IS_BONDED 1 SITEPROP IOB_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y0 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y0 IS_PAD 1 SITEPROP IOB_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y0 IS_RESERVED 0 SITEPROP IOB_X0Y0 IS_TEST 0 SITEPROP IOB_X0Y0 IS_USED 0 SITEPROP IOB_X0Y0 MANUAL_ROUTING SITEPROP IOB_X0Y0 NAME IOB_X0Y0 SITEPROP IOB_X0Y0 NUM_ARCS 9 SITEPROP IOB_X0Y0 NUM_BELS 7 SITEPROP IOB_X0Y0 NUM_INPUTS 12 SITEPROP IOB_X0Y0 NUM_OUTPUTS 5 SITEPROP IOB_X0Y0 NUM_PINS 17 SITEPROP IOB_X0Y0 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y0 PROHIBIT 0 SITEPROP IOB_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y0 RPM_X 1 SITEPROP IOB_X0Y0 RPM_Y 0 SITEPROP IOB_X0Y0 SITE_PIPS SITEPROP IOB_X0Y0 SITE_TYPE IOB33 SITEPROP IOB_X0Y1 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y1 CLASS site SITEPROP IOB_X0Y1 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y1 IS_BONDED 1 SITEPROP IOB_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y1 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y1 IS_PAD 1 SITEPROP IOB_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y1 IS_RESERVED 0 SITEPROP IOB_X0Y1 IS_TEST 0 SITEPROP IOB_X0Y1 IS_USED 0 SITEPROP IOB_X0Y1 MANUAL_ROUTING SITEPROP IOB_X0Y1 NAME IOB_X0Y1 SITEPROP IOB_X0Y1 NUM_ARCS 14 SITEPROP IOB_X0Y1 NUM_BELS 8 SITEPROP IOB_X0Y1 NUM_INPUTS 12 SITEPROP IOB_X0Y1 NUM_OUTPUTS 5 SITEPROP IOB_X0Y1 NUM_PINS 17 SITEPROP IOB_X0Y1 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y1 PROHIBIT 0 SITEPROP IOB_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y1 RPM_X 1 SITEPROP IOB_X0Y1 RPM_Y 3 SITEPROP IOB_X0Y1 SITE_PIPS SITEPROP IOB_X0Y1 SITE_TYPE IOB33S SITEPROP IOB_X0Y2 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y2 CLASS site SITEPROP IOB_X0Y2 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y2 IS_BONDED 1 SITEPROP IOB_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y2 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y2 IS_PAD 1 SITEPROP IOB_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y2 IS_RESERVED 0 SITEPROP IOB_X0Y2 IS_TEST 0 SITEPROP IOB_X0Y2 IS_USED 0 SITEPROP IOB_X0Y2 MANUAL_ROUTING SITEPROP IOB_X0Y2 NAME IOB_X0Y2 SITEPROP IOB_X0Y2 NUM_ARCS 12 SITEPROP IOB_X0Y2 NUM_BELS 7 SITEPROP IOB_X0Y2 NUM_INPUTS 12 SITEPROP IOB_X0Y2 NUM_OUTPUTS 5 SITEPROP IOB_X0Y2 NUM_PINS 17 SITEPROP IOB_X0Y2 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y2 PROHIBIT 0 SITEPROP IOB_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y2 RPM_X 1 SITEPROP IOB_X0Y2 RPM_Y 2 SITEPROP IOB_X0Y2 SITE_PIPS SITEPROP IOB_X0Y2 SITE_TYPE IOB33M SITEPROP IOB_X0Y3 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y3 CLASS site SITEPROP IOB_X0Y3 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y3 IS_BONDED 1 SITEPROP IOB_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y3 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y3 IS_PAD 1 SITEPROP IOB_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y3 IS_RESERVED 0 SITEPROP IOB_X0Y3 IS_TEST 0 SITEPROP IOB_X0Y3 IS_USED 0 SITEPROP IOB_X0Y3 MANUAL_ROUTING SITEPROP IOB_X0Y3 NAME IOB_X0Y3 SITEPROP IOB_X0Y3 NUM_ARCS 14 SITEPROP IOB_X0Y3 NUM_BELS 8 SITEPROP IOB_X0Y3 NUM_INPUTS 12 SITEPROP IOB_X0Y3 NUM_OUTPUTS 5 SITEPROP IOB_X0Y3 NUM_PINS 17 SITEPROP IOB_X0Y3 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y3 PROHIBIT 0 SITEPROP IOB_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y3 RPM_X 1 SITEPROP IOB_X0Y3 RPM_Y 7 SITEPROP IOB_X0Y3 SITE_PIPS SITEPROP IOB_X0Y3 SITE_TYPE IOB33S SITEPROP IOB_X0Y4 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y4 CLASS site SITEPROP IOB_X0Y4 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y4 IS_BONDED 1 SITEPROP IOB_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y4 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y4 IS_PAD 1 SITEPROP IOB_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y4 IS_RESERVED 0 SITEPROP IOB_X0Y4 IS_TEST 0 SITEPROP IOB_X0Y4 IS_USED 0 SITEPROP IOB_X0Y4 MANUAL_ROUTING SITEPROP IOB_X0Y4 NAME IOB_X0Y4 SITEPROP IOB_X0Y4 NUM_ARCS 12 SITEPROP IOB_X0Y4 NUM_BELS 7 SITEPROP IOB_X0Y4 NUM_INPUTS 12 SITEPROP IOB_X0Y4 NUM_OUTPUTS 5 SITEPROP IOB_X0Y4 NUM_PINS 17 SITEPROP IOB_X0Y4 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y4 PROHIBIT 0 SITEPROP IOB_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y4 RPM_X 1 SITEPROP IOB_X0Y4 RPM_Y 6 SITEPROP IOB_X0Y4 SITE_PIPS SITEPROP IOB_X0Y4 SITE_TYPE IOB33M SITEPROP IOB_X0Y5 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y5 CLASS site SITEPROP IOB_X0Y5 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y5 IS_BONDED 1 SITEPROP IOB_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y5 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y5 IS_PAD 1 SITEPROP IOB_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y5 IS_RESERVED 0 SITEPROP IOB_X0Y5 IS_TEST 0 SITEPROP IOB_X0Y5 IS_USED 0 SITEPROP IOB_X0Y5 MANUAL_ROUTING SITEPROP IOB_X0Y5 NAME IOB_X0Y5 SITEPROP IOB_X0Y5 NUM_ARCS 14 SITEPROP IOB_X0Y5 NUM_BELS 8 SITEPROP IOB_X0Y5 NUM_INPUTS 12 SITEPROP IOB_X0Y5 NUM_OUTPUTS 5 SITEPROP IOB_X0Y5 NUM_PINS 17 SITEPROP IOB_X0Y5 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y5 PROHIBIT 0 SITEPROP IOB_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y5 RPM_X 1 SITEPROP IOB_X0Y5 RPM_Y 11 SITEPROP IOB_X0Y5 SITE_PIPS SITEPROP IOB_X0Y5 SITE_TYPE IOB33S SITEPROP IOB_X0Y6 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y6 CLASS site SITEPROP IOB_X0Y6 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y6 IS_BONDED 1 SITEPROP IOB_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y6 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y6 IS_PAD 1 SITEPROP IOB_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y6 IS_RESERVED 0 SITEPROP IOB_X0Y6 IS_TEST 0 SITEPROP IOB_X0Y6 IS_USED 0 SITEPROP IOB_X0Y6 MANUAL_ROUTING SITEPROP IOB_X0Y6 NAME IOB_X0Y6 SITEPROP IOB_X0Y6 NUM_ARCS 12 SITEPROP IOB_X0Y6 NUM_BELS 7 SITEPROP IOB_X0Y6 NUM_INPUTS 12 SITEPROP IOB_X0Y6 NUM_OUTPUTS 5 SITEPROP IOB_X0Y6 NUM_PINS 17 SITEPROP IOB_X0Y6 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y6 PROHIBIT 0 SITEPROP IOB_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y6 RPM_X 1 SITEPROP IOB_X0Y6 RPM_Y 10 SITEPROP IOB_X0Y6 SITE_PIPS SITEPROP IOB_X0Y6 SITE_TYPE IOB33M SITEPROP IOB_X0Y7 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y7 CLASS site SITEPROP IOB_X0Y7 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y7 IS_BONDED 1 SITEPROP IOB_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y7 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y7 IS_PAD 1 SITEPROP IOB_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y7 IS_RESERVED 0 SITEPROP IOB_X0Y7 IS_TEST 0 SITEPROP IOB_X0Y7 IS_USED 0 SITEPROP IOB_X0Y7 MANUAL_ROUTING SITEPROP IOB_X0Y7 NAME IOB_X0Y7 SITEPROP IOB_X0Y7 NUM_ARCS 14 SITEPROP IOB_X0Y7 NUM_BELS 8 SITEPROP IOB_X0Y7 NUM_INPUTS 12 SITEPROP IOB_X0Y7 NUM_OUTPUTS 5 SITEPROP IOB_X0Y7 NUM_PINS 17 SITEPROP IOB_X0Y7 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y7 PROHIBIT 0 SITEPROP IOB_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y7 RPM_X 1 SITEPROP IOB_X0Y7 RPM_Y 15 SITEPROP IOB_X0Y7 SITE_PIPS SITEPROP IOB_X0Y7 SITE_TYPE IOB33S SITEPROP IOB_X0Y8 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y8 CLASS site SITEPROP IOB_X0Y8 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y8 IS_BONDED 1 SITEPROP IOB_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y8 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y8 IS_PAD 1 SITEPROP IOB_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y8 IS_RESERVED 0 SITEPROP IOB_X0Y8 IS_TEST 0 SITEPROP IOB_X0Y8 IS_USED 0 SITEPROP IOB_X0Y8 MANUAL_ROUTING SITEPROP IOB_X0Y8 NAME IOB_X0Y8 SITEPROP IOB_X0Y8 NUM_ARCS 12 SITEPROP IOB_X0Y8 NUM_BELS 7 SITEPROP IOB_X0Y8 NUM_INPUTS 12 SITEPROP IOB_X0Y8 NUM_OUTPUTS 5 SITEPROP IOB_X0Y8 NUM_PINS 17 SITEPROP IOB_X0Y8 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y8 PROHIBIT 0 SITEPROP IOB_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y8 RPM_X 1 SITEPROP IOB_X0Y8 RPM_Y 14 SITEPROP IOB_X0Y8 SITE_PIPS SITEPROP IOB_X0Y8 SITE_TYPE IOB33M SITEPROP IOB_X0Y9 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y9 CLASS site SITEPROP IOB_X0Y9 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y9 IS_BONDED 1 SITEPROP IOB_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y9 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y9 IS_PAD 1 SITEPROP IOB_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y9 IS_RESERVED 0 SITEPROP IOB_X0Y9 IS_TEST 0 SITEPROP IOB_X0Y9 IS_USED 0 SITEPROP IOB_X0Y9 MANUAL_ROUTING SITEPROP IOB_X0Y9 NAME IOB_X0Y9 SITEPROP IOB_X0Y9 NUM_ARCS 14 SITEPROP IOB_X0Y9 NUM_BELS 8 SITEPROP IOB_X0Y9 NUM_INPUTS 12 SITEPROP IOB_X0Y9 NUM_OUTPUTS 5 SITEPROP IOB_X0Y9 NUM_PINS 17 SITEPROP IOB_X0Y9 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y9 PROHIBIT 0 SITEPROP IOB_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y9 RPM_X 1 SITEPROP IOB_X0Y9 RPM_Y 19 SITEPROP IOB_X0Y9 SITE_PIPS SITEPROP IOB_X0Y9 SITE_TYPE IOB33S SITEPROP IOB_X0Y10 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y10 CLASS site SITEPROP IOB_X0Y10 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y10 IS_BONDED 1 SITEPROP IOB_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y10 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y10 IS_PAD 1 SITEPROP IOB_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y10 IS_RESERVED 0 SITEPROP IOB_X0Y10 IS_TEST 0 SITEPROP IOB_X0Y10 IS_USED 0 SITEPROP IOB_X0Y10 MANUAL_ROUTING SITEPROP IOB_X0Y10 NAME IOB_X0Y10 SITEPROP IOB_X0Y10 NUM_ARCS 12 SITEPROP IOB_X0Y10 NUM_BELS 7 SITEPROP IOB_X0Y10 NUM_INPUTS 12 SITEPROP IOB_X0Y10 NUM_OUTPUTS 5 SITEPROP IOB_X0Y10 NUM_PINS 17 SITEPROP IOB_X0Y10 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y10 PROHIBIT 0 SITEPROP IOB_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y10 RPM_X 1 SITEPROP IOB_X0Y10 RPM_Y 18 SITEPROP IOB_X0Y10 SITE_PIPS SITEPROP IOB_X0Y10 SITE_TYPE IOB33M SITEPROP IOB_X0Y11 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y11 CLASS site SITEPROP IOB_X0Y11 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y11 IS_BONDED 1 SITEPROP IOB_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y11 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y11 IS_PAD 1 SITEPROP IOB_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y11 IS_RESERVED 0 SITEPROP IOB_X0Y11 IS_TEST 0 SITEPROP IOB_X0Y11 IS_USED 0 SITEPROP IOB_X0Y11 MANUAL_ROUTING SITEPROP IOB_X0Y11 NAME IOB_X0Y11 SITEPROP IOB_X0Y11 NUM_ARCS 14 SITEPROP IOB_X0Y11 NUM_BELS 8 SITEPROP IOB_X0Y11 NUM_INPUTS 12 SITEPROP IOB_X0Y11 NUM_OUTPUTS 5 SITEPROP IOB_X0Y11 NUM_PINS 17 SITEPROP IOB_X0Y11 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y11 PROHIBIT 0 SITEPROP IOB_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y11 RPM_X 1 SITEPROP IOB_X0Y11 RPM_Y 23 SITEPROP IOB_X0Y11 SITE_PIPS SITEPROP IOB_X0Y11 SITE_TYPE IOB33S SITEPROP IOB_X0Y12 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y12 CLASS site SITEPROP IOB_X0Y12 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y12 IS_BONDED 1 SITEPROP IOB_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y12 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y12 IS_PAD 1 SITEPROP IOB_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y12 IS_RESERVED 0 SITEPROP IOB_X0Y12 IS_TEST 0 SITEPROP IOB_X0Y12 IS_USED 0 SITEPROP IOB_X0Y12 MANUAL_ROUTING SITEPROP IOB_X0Y12 NAME IOB_X0Y12 SITEPROP IOB_X0Y12 NUM_ARCS 12 SITEPROP IOB_X0Y12 NUM_BELS 7 SITEPROP IOB_X0Y12 NUM_INPUTS 12 SITEPROP IOB_X0Y12 NUM_OUTPUTS 5 SITEPROP IOB_X0Y12 NUM_PINS 17 SITEPROP IOB_X0Y12 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y12 PROHIBIT 0 SITEPROP IOB_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y12 RPM_X 1 SITEPROP IOB_X0Y12 RPM_Y 22 SITEPROP IOB_X0Y12 SITE_PIPS SITEPROP IOB_X0Y12 SITE_TYPE IOB33M SITEPROP IOB_X0Y13 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y13 CLASS site SITEPROP IOB_X0Y13 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y13 IS_BONDED 1 SITEPROP IOB_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y13 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y13 IS_PAD 1 SITEPROP IOB_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y13 IS_RESERVED 0 SITEPROP IOB_X0Y13 IS_TEST 0 SITEPROP IOB_X0Y13 IS_USED 0 SITEPROP IOB_X0Y13 MANUAL_ROUTING SITEPROP IOB_X0Y13 NAME IOB_X0Y13 SITEPROP IOB_X0Y13 NUM_ARCS 14 SITEPROP IOB_X0Y13 NUM_BELS 8 SITEPROP IOB_X0Y13 NUM_INPUTS 12 SITEPROP IOB_X0Y13 NUM_OUTPUTS 5 SITEPROP IOB_X0Y13 NUM_PINS 17 SITEPROP IOB_X0Y13 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y13 PROHIBIT 0 SITEPROP IOB_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y13 RPM_X 1 SITEPROP IOB_X0Y13 RPM_Y 27 SITEPROP IOB_X0Y13 SITE_PIPS SITEPROP IOB_X0Y13 SITE_TYPE IOB33S SITEPROP IOB_X0Y14 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y14 CLASS site SITEPROP IOB_X0Y14 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y14 IS_BONDED 1 SITEPROP IOB_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y14 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y14 IS_PAD 1 SITEPROP IOB_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y14 IS_RESERVED 0 SITEPROP IOB_X0Y14 IS_TEST 0 SITEPROP IOB_X0Y14 IS_USED 0 SITEPROP IOB_X0Y14 MANUAL_ROUTING SITEPROP IOB_X0Y14 NAME IOB_X0Y14 SITEPROP IOB_X0Y14 NUM_ARCS 12 SITEPROP IOB_X0Y14 NUM_BELS 7 SITEPROP IOB_X0Y14 NUM_INPUTS 12 SITEPROP IOB_X0Y14 NUM_OUTPUTS 5 SITEPROP IOB_X0Y14 NUM_PINS 17 SITEPROP IOB_X0Y14 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y14 PROHIBIT 0 SITEPROP IOB_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y14 RPM_X 1 SITEPROP IOB_X0Y14 RPM_Y 26 SITEPROP IOB_X0Y14 SITE_PIPS SITEPROP IOB_X0Y14 SITE_TYPE IOB33M SITEPROP IOB_X0Y15 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y15 CLASS site SITEPROP IOB_X0Y15 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y15 IS_BONDED 1 SITEPROP IOB_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y15 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y15 IS_PAD 1 SITEPROP IOB_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y15 IS_RESERVED 0 SITEPROP IOB_X0Y15 IS_TEST 0 SITEPROP IOB_X0Y15 IS_USED 0 SITEPROP IOB_X0Y15 MANUAL_ROUTING SITEPROP IOB_X0Y15 NAME IOB_X0Y15 SITEPROP IOB_X0Y15 NUM_ARCS 14 SITEPROP IOB_X0Y15 NUM_BELS 8 SITEPROP IOB_X0Y15 NUM_INPUTS 12 SITEPROP IOB_X0Y15 NUM_OUTPUTS 5 SITEPROP IOB_X0Y15 NUM_PINS 17 SITEPROP IOB_X0Y15 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y15 PROHIBIT 0 SITEPROP IOB_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y15 RPM_X 1 SITEPROP IOB_X0Y15 RPM_Y 31 SITEPROP IOB_X0Y15 SITE_PIPS SITEPROP IOB_X0Y15 SITE_TYPE IOB33S SITEPROP IOB_X0Y16 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y16 CLASS site SITEPROP IOB_X0Y16 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y16 IS_BONDED 1 SITEPROP IOB_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y16 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y16 IS_PAD 1 SITEPROP IOB_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y16 IS_RESERVED 0 SITEPROP IOB_X0Y16 IS_TEST 0 SITEPROP IOB_X0Y16 IS_USED 0 SITEPROP IOB_X0Y16 MANUAL_ROUTING SITEPROP IOB_X0Y16 NAME IOB_X0Y16 SITEPROP IOB_X0Y16 NUM_ARCS 12 SITEPROP IOB_X0Y16 NUM_BELS 7 SITEPROP IOB_X0Y16 NUM_INPUTS 12 SITEPROP IOB_X0Y16 NUM_OUTPUTS 5 SITEPROP IOB_X0Y16 NUM_PINS 17 SITEPROP IOB_X0Y16 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y16 PROHIBIT 0 SITEPROP IOB_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y16 RPM_X 1 SITEPROP IOB_X0Y16 RPM_Y 30 SITEPROP IOB_X0Y16 SITE_PIPS SITEPROP IOB_X0Y16 SITE_TYPE IOB33M SITEPROP IOB_X0Y17 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y17 CLASS site SITEPROP IOB_X0Y17 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y17 IS_BONDED 1 SITEPROP IOB_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y17 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y17 IS_PAD 1 SITEPROP IOB_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y17 IS_RESERVED 0 SITEPROP IOB_X0Y17 IS_TEST 0 SITEPROP IOB_X0Y17 IS_USED 0 SITEPROP IOB_X0Y17 MANUAL_ROUTING SITEPROP IOB_X0Y17 NAME IOB_X0Y17 SITEPROP IOB_X0Y17 NUM_ARCS 14 SITEPROP IOB_X0Y17 NUM_BELS 8 SITEPROP IOB_X0Y17 NUM_INPUTS 12 SITEPROP IOB_X0Y17 NUM_OUTPUTS 5 SITEPROP IOB_X0Y17 NUM_PINS 17 SITEPROP IOB_X0Y17 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y17 PROHIBIT 0 SITEPROP IOB_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y17 RPM_X 1 SITEPROP IOB_X0Y17 RPM_Y 35 SITEPROP IOB_X0Y17 SITE_PIPS SITEPROP IOB_X0Y17 SITE_TYPE IOB33S SITEPROP IOB_X0Y18 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y18 CLASS site SITEPROP IOB_X0Y18 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y18 IS_BONDED 1 SITEPROP IOB_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y18 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y18 IS_PAD 1 SITEPROP IOB_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y18 IS_RESERVED 0 SITEPROP IOB_X0Y18 IS_TEST 0 SITEPROP IOB_X0Y18 IS_USED 0 SITEPROP IOB_X0Y18 MANUAL_ROUTING SITEPROP IOB_X0Y18 NAME IOB_X0Y18 SITEPROP IOB_X0Y18 NUM_ARCS 12 SITEPROP IOB_X0Y18 NUM_BELS 7 SITEPROP IOB_X0Y18 NUM_INPUTS 12 SITEPROP IOB_X0Y18 NUM_OUTPUTS 5 SITEPROP IOB_X0Y18 NUM_PINS 17 SITEPROP IOB_X0Y18 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y18 PROHIBIT 0 SITEPROP IOB_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y18 RPM_X 1 SITEPROP IOB_X0Y18 RPM_Y 34 SITEPROP IOB_X0Y18 SITE_PIPS SITEPROP IOB_X0Y18 SITE_TYPE IOB33M SITEPROP IOB_X0Y19 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y19 CLASS site SITEPROP IOB_X0Y19 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y19 IS_BONDED 1 SITEPROP IOB_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y19 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y19 IS_PAD 1 SITEPROP IOB_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y19 IS_RESERVED 0 SITEPROP IOB_X0Y19 IS_TEST 0 SITEPROP IOB_X0Y19 IS_USED 0 SITEPROP IOB_X0Y19 MANUAL_ROUTING SITEPROP IOB_X0Y19 NAME IOB_X0Y19 SITEPROP IOB_X0Y19 NUM_ARCS 14 SITEPROP IOB_X0Y19 NUM_BELS 8 SITEPROP IOB_X0Y19 NUM_INPUTS 12 SITEPROP IOB_X0Y19 NUM_OUTPUTS 5 SITEPROP IOB_X0Y19 NUM_PINS 17 SITEPROP IOB_X0Y19 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y19 PROHIBIT 0 SITEPROP IOB_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y19 RPM_X 1 SITEPROP IOB_X0Y19 RPM_Y 39 SITEPROP IOB_X0Y19 SITE_PIPS SITEPROP IOB_X0Y19 SITE_TYPE IOB33S SITEPROP IOB_X0Y20 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y20 CLASS site SITEPROP IOB_X0Y20 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y20 IS_BONDED 1 SITEPROP IOB_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y20 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y20 IS_PAD 1 SITEPROP IOB_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y20 IS_RESERVED 0 SITEPROP IOB_X0Y20 IS_TEST 0 SITEPROP IOB_X0Y20 IS_USED 0 SITEPROP IOB_X0Y20 MANUAL_ROUTING SITEPROP IOB_X0Y20 NAME IOB_X0Y20 SITEPROP IOB_X0Y20 NUM_ARCS 12 SITEPROP IOB_X0Y20 NUM_BELS 7 SITEPROP IOB_X0Y20 NUM_INPUTS 12 SITEPROP IOB_X0Y20 NUM_OUTPUTS 5 SITEPROP IOB_X0Y20 NUM_PINS 17 SITEPROP IOB_X0Y20 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y20 PROHIBIT 0 SITEPROP IOB_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y20 RPM_X 1 SITEPROP IOB_X0Y20 RPM_Y 38 SITEPROP IOB_X0Y20 SITE_PIPS SITEPROP IOB_X0Y20 SITE_TYPE IOB33M SITEPROP IOB_X0Y21 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y21 CLASS site SITEPROP IOB_X0Y21 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y21 IS_BONDED 1 SITEPROP IOB_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y21 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y21 IS_PAD 1 SITEPROP IOB_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y21 IS_RESERVED 0 SITEPROP IOB_X0Y21 IS_TEST 0 SITEPROP IOB_X0Y21 IS_USED 0 SITEPROP IOB_X0Y21 MANUAL_ROUTING SITEPROP IOB_X0Y21 NAME IOB_X0Y21 SITEPROP IOB_X0Y21 NUM_ARCS 14 SITEPROP IOB_X0Y21 NUM_BELS 8 SITEPROP IOB_X0Y21 NUM_INPUTS 12 SITEPROP IOB_X0Y21 NUM_OUTPUTS 5 SITEPROP IOB_X0Y21 NUM_PINS 17 SITEPROP IOB_X0Y21 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y21 PROHIBIT 0 SITEPROP IOB_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y21 RPM_X 1 SITEPROP IOB_X0Y21 RPM_Y 43 SITEPROP IOB_X0Y21 SITE_PIPS SITEPROP IOB_X0Y21 SITE_TYPE IOB33S SITEPROP IOB_X0Y22 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y22 CLASS site SITEPROP IOB_X0Y22 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y22 IS_BONDED 1 SITEPROP IOB_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y22 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y22 IS_PAD 1 SITEPROP IOB_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y22 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y22 IS_RESERVED 0 SITEPROP IOB_X0Y22 IS_TEST 0 SITEPROP IOB_X0Y22 IS_USED 0 SITEPROP IOB_X0Y22 MANUAL_ROUTING SITEPROP IOB_X0Y22 NAME IOB_X0Y22 SITEPROP IOB_X0Y22 NUM_ARCS 12 SITEPROP IOB_X0Y22 NUM_BELS 7 SITEPROP IOB_X0Y22 NUM_INPUTS 12 SITEPROP IOB_X0Y22 NUM_OUTPUTS 5 SITEPROP IOB_X0Y22 NUM_PINS 17 SITEPROP IOB_X0Y22 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y22 PROHIBIT 0 SITEPROP IOB_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y22 RPM_X 1 SITEPROP IOB_X0Y22 RPM_Y 42 SITEPROP IOB_X0Y22 SITE_PIPS SITEPROP IOB_X0Y22 SITE_TYPE IOB33M SITEPROP IOB_X0Y23 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y23 CLASS site SITEPROP IOB_X0Y23 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y23 IS_BONDED 1 SITEPROP IOB_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y23 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y23 IS_PAD 1 SITEPROP IOB_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y23 IS_RESERVED 0 SITEPROP IOB_X0Y23 IS_TEST 0 SITEPROP IOB_X0Y23 IS_USED 0 SITEPROP IOB_X0Y23 MANUAL_ROUTING SITEPROP IOB_X0Y23 NAME IOB_X0Y23 SITEPROP IOB_X0Y23 NUM_ARCS 14 SITEPROP IOB_X0Y23 NUM_BELS 8 SITEPROP IOB_X0Y23 NUM_INPUTS 12 SITEPROP IOB_X0Y23 NUM_OUTPUTS 5 SITEPROP IOB_X0Y23 NUM_PINS 17 SITEPROP IOB_X0Y23 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y23 PROHIBIT 0 SITEPROP IOB_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y23 RPM_X 1 SITEPROP IOB_X0Y23 RPM_Y 47 SITEPROP IOB_X0Y23 SITE_PIPS SITEPROP IOB_X0Y23 SITE_TYPE IOB33S SITEPROP IOB_X0Y24 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y24 CLASS site SITEPROP IOB_X0Y24 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y24 IS_BONDED 1 SITEPROP IOB_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y24 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y24 IS_PAD 1 SITEPROP IOB_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y24 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y24 IS_RESERVED 0 SITEPROP IOB_X0Y24 IS_TEST 0 SITEPROP IOB_X0Y24 IS_USED 0 SITEPROP IOB_X0Y24 MANUAL_ROUTING SITEPROP IOB_X0Y24 NAME IOB_X0Y24 SITEPROP IOB_X0Y24 NUM_ARCS 12 SITEPROP IOB_X0Y24 NUM_BELS 7 SITEPROP IOB_X0Y24 NUM_INPUTS 12 SITEPROP IOB_X0Y24 NUM_OUTPUTS 5 SITEPROP IOB_X0Y24 NUM_PINS 17 SITEPROP IOB_X0Y24 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y24 PROHIBIT 0 SITEPROP IOB_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y24 RPM_X 1 SITEPROP IOB_X0Y24 RPM_Y 46 SITEPROP IOB_X0Y24 SITE_PIPS SITEPROP IOB_X0Y24 SITE_TYPE IOB33M SITEPROP IOB_X0Y25 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y25 CLASS site SITEPROP IOB_X0Y25 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y25 IS_BONDED 1 SITEPROP IOB_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y25 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y25 IS_PAD 1 SITEPROP IOB_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y25 IS_RESERVED 0 SITEPROP IOB_X0Y25 IS_TEST 0 SITEPROP IOB_X0Y25 IS_USED 0 SITEPROP IOB_X0Y25 MANUAL_ROUTING SITEPROP IOB_X0Y25 NAME IOB_X0Y25 SITEPROP IOB_X0Y25 NUM_ARCS 14 SITEPROP IOB_X0Y25 NUM_BELS 8 SITEPROP IOB_X0Y25 NUM_INPUTS 12 SITEPROP IOB_X0Y25 NUM_OUTPUTS 5 SITEPROP IOB_X0Y25 NUM_PINS 17 SITEPROP IOB_X0Y25 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y25 PROHIBIT 0 SITEPROP IOB_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y25 RPM_X 1 SITEPROP IOB_X0Y25 RPM_Y 51 SITEPROP IOB_X0Y25 SITE_PIPS SITEPROP IOB_X0Y25 SITE_TYPE IOB33S SITEPROP IOB_X0Y26 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y26 CLASS site SITEPROP IOB_X0Y26 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y26 IS_BONDED 1 SITEPROP IOB_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y26 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y26 IS_PAD 1 SITEPROP IOB_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y26 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y26 IS_RESERVED 0 SITEPROP IOB_X0Y26 IS_TEST 0 SITEPROP IOB_X0Y26 IS_USED 0 SITEPROP IOB_X0Y26 MANUAL_ROUTING SITEPROP IOB_X0Y26 NAME IOB_X0Y26 SITEPROP IOB_X0Y26 NUM_ARCS 12 SITEPROP IOB_X0Y26 NUM_BELS 7 SITEPROP IOB_X0Y26 NUM_INPUTS 12 SITEPROP IOB_X0Y26 NUM_OUTPUTS 5 SITEPROP IOB_X0Y26 NUM_PINS 17 SITEPROP IOB_X0Y26 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y26 PROHIBIT 0 SITEPROP IOB_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y26 RPM_X 1 SITEPROP IOB_X0Y26 RPM_Y 50 SITEPROP IOB_X0Y26 SITE_PIPS SITEPROP IOB_X0Y26 SITE_TYPE IOB33M SITEPROP IOB_X0Y27 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y27 CLASS site SITEPROP IOB_X0Y27 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y27 IS_BONDED 1 SITEPROP IOB_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y27 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y27 IS_PAD 1 SITEPROP IOB_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y27 IS_RESERVED 0 SITEPROP IOB_X0Y27 IS_TEST 0 SITEPROP IOB_X0Y27 IS_USED 0 SITEPROP IOB_X0Y27 MANUAL_ROUTING SITEPROP IOB_X0Y27 NAME IOB_X0Y27 SITEPROP IOB_X0Y27 NUM_ARCS 14 SITEPROP IOB_X0Y27 NUM_BELS 8 SITEPROP IOB_X0Y27 NUM_INPUTS 12 SITEPROP IOB_X0Y27 NUM_OUTPUTS 5 SITEPROP IOB_X0Y27 NUM_PINS 17 SITEPROP IOB_X0Y27 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y27 PROHIBIT 0 SITEPROP IOB_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y27 RPM_X 1 SITEPROP IOB_X0Y27 RPM_Y 55 SITEPROP IOB_X0Y27 SITE_PIPS SITEPROP IOB_X0Y27 SITE_TYPE IOB33S SITEPROP IOB_X0Y28 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y28 CLASS site SITEPROP IOB_X0Y28 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y28 IS_BONDED 1 SITEPROP IOB_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y28 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y28 IS_PAD 1 SITEPROP IOB_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y28 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y28 IS_RESERVED 0 SITEPROP IOB_X0Y28 IS_TEST 0 SITEPROP IOB_X0Y28 IS_USED 0 SITEPROP IOB_X0Y28 MANUAL_ROUTING SITEPROP IOB_X0Y28 NAME IOB_X0Y28 SITEPROP IOB_X0Y28 NUM_ARCS 12 SITEPROP IOB_X0Y28 NUM_BELS 7 SITEPROP IOB_X0Y28 NUM_INPUTS 12 SITEPROP IOB_X0Y28 NUM_OUTPUTS 5 SITEPROP IOB_X0Y28 NUM_PINS 17 SITEPROP IOB_X0Y28 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y28 PROHIBIT 0 SITEPROP IOB_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y28 RPM_X 1 SITEPROP IOB_X0Y28 RPM_Y 54 SITEPROP IOB_X0Y28 SITE_PIPS SITEPROP IOB_X0Y28 SITE_TYPE IOB33M SITEPROP IOB_X0Y29 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y29 CLASS site SITEPROP IOB_X0Y29 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y29 IS_BONDED 1 SITEPROP IOB_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y29 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y29 IS_PAD 1 SITEPROP IOB_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y29 IS_RESERVED 0 SITEPROP IOB_X0Y29 IS_TEST 0 SITEPROP IOB_X0Y29 IS_USED 0 SITEPROP IOB_X0Y29 MANUAL_ROUTING SITEPROP IOB_X0Y29 NAME IOB_X0Y29 SITEPROP IOB_X0Y29 NUM_ARCS 14 SITEPROP IOB_X0Y29 NUM_BELS 8 SITEPROP IOB_X0Y29 NUM_INPUTS 12 SITEPROP IOB_X0Y29 NUM_OUTPUTS 5 SITEPROP IOB_X0Y29 NUM_PINS 17 SITEPROP IOB_X0Y29 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y29 PROHIBIT 0 SITEPROP IOB_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y29 RPM_X 1 SITEPROP IOB_X0Y29 RPM_Y 59 SITEPROP IOB_X0Y29 SITE_PIPS SITEPROP IOB_X0Y29 SITE_TYPE IOB33S SITEPROP IOB_X0Y30 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y30 CLASS site SITEPROP IOB_X0Y30 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y30 IS_BONDED 1 SITEPROP IOB_X0Y30 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y30 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y30 IS_PAD 1 SITEPROP IOB_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y30 IS_RESERVED 0 SITEPROP IOB_X0Y30 IS_TEST 0 SITEPROP IOB_X0Y30 IS_USED 0 SITEPROP IOB_X0Y30 MANUAL_ROUTING SITEPROP IOB_X0Y30 NAME IOB_X0Y30 SITEPROP IOB_X0Y30 NUM_ARCS 12 SITEPROP IOB_X0Y30 NUM_BELS 7 SITEPROP IOB_X0Y30 NUM_INPUTS 12 SITEPROP IOB_X0Y30 NUM_OUTPUTS 5 SITEPROP IOB_X0Y30 NUM_PINS 17 SITEPROP IOB_X0Y30 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y30 PROHIBIT 0 SITEPROP IOB_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y30 RPM_X 1 SITEPROP IOB_X0Y30 RPM_Y 58 SITEPROP IOB_X0Y30 SITE_PIPS SITEPROP IOB_X0Y30 SITE_TYPE IOB33M SITEPROP IOB_X0Y31 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y31 CLASS site SITEPROP IOB_X0Y31 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y31 IS_BONDED 1 SITEPROP IOB_X0Y31 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y31 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y31 IS_PAD 1 SITEPROP IOB_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y31 IS_RESERVED 0 SITEPROP IOB_X0Y31 IS_TEST 0 SITEPROP IOB_X0Y31 IS_USED 0 SITEPROP IOB_X0Y31 MANUAL_ROUTING SITEPROP IOB_X0Y31 NAME IOB_X0Y31 SITEPROP IOB_X0Y31 NUM_ARCS 14 SITEPROP IOB_X0Y31 NUM_BELS 8 SITEPROP IOB_X0Y31 NUM_INPUTS 12 SITEPROP IOB_X0Y31 NUM_OUTPUTS 5 SITEPROP IOB_X0Y31 NUM_PINS 17 SITEPROP IOB_X0Y31 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y31 PROHIBIT 0 SITEPROP IOB_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y31 RPM_X 1 SITEPROP IOB_X0Y31 RPM_Y 63 SITEPROP IOB_X0Y31 SITE_PIPS SITEPROP IOB_X0Y31 SITE_TYPE IOB33S SITEPROP IOB_X0Y32 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y32 CLASS site SITEPROP IOB_X0Y32 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y32 IS_BONDED 1 SITEPROP IOB_X0Y32 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y32 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y32 IS_PAD 1 SITEPROP IOB_X0Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y32 IS_RESERVED 0 SITEPROP IOB_X0Y32 IS_TEST 0 SITEPROP IOB_X0Y32 IS_USED 0 SITEPROP IOB_X0Y32 MANUAL_ROUTING SITEPROP IOB_X0Y32 NAME IOB_X0Y32 SITEPROP IOB_X0Y32 NUM_ARCS 12 SITEPROP IOB_X0Y32 NUM_BELS 7 SITEPROP IOB_X0Y32 NUM_INPUTS 12 SITEPROP IOB_X0Y32 NUM_OUTPUTS 5 SITEPROP IOB_X0Y32 NUM_PINS 17 SITEPROP IOB_X0Y32 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y32 PROHIBIT 0 SITEPROP IOB_X0Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y32 RPM_X 1 SITEPROP IOB_X0Y32 RPM_Y 62 SITEPROP IOB_X0Y32 SITE_PIPS SITEPROP IOB_X0Y32 SITE_TYPE IOB33M SITEPROP IOB_X0Y33 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y33 CLASS site SITEPROP IOB_X0Y33 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y33 IS_BONDED 1 SITEPROP IOB_X0Y33 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y33 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y33 IS_PAD 1 SITEPROP IOB_X0Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y33 IS_RESERVED 0 SITEPROP IOB_X0Y33 IS_TEST 0 SITEPROP IOB_X0Y33 IS_USED 0 SITEPROP IOB_X0Y33 MANUAL_ROUTING SITEPROP IOB_X0Y33 NAME IOB_X0Y33 SITEPROP IOB_X0Y33 NUM_ARCS 14 SITEPROP IOB_X0Y33 NUM_BELS 8 SITEPROP IOB_X0Y33 NUM_INPUTS 12 SITEPROP IOB_X0Y33 NUM_OUTPUTS 5 SITEPROP IOB_X0Y33 NUM_PINS 17 SITEPROP IOB_X0Y33 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y33 PROHIBIT 0 SITEPROP IOB_X0Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y33 RPM_X 1 SITEPROP IOB_X0Y33 RPM_Y 67 SITEPROP IOB_X0Y33 SITE_PIPS SITEPROP IOB_X0Y33 SITE_TYPE IOB33S SITEPROP IOB_X0Y34 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y34 CLASS site SITEPROP IOB_X0Y34 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y34 IS_BONDED 1 SITEPROP IOB_X0Y34 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y34 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y34 IS_PAD 1 SITEPROP IOB_X0Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y34 IS_RESERVED 0 SITEPROP IOB_X0Y34 IS_TEST 0 SITEPROP IOB_X0Y34 IS_USED 0 SITEPROP IOB_X0Y34 MANUAL_ROUTING SITEPROP IOB_X0Y34 NAME IOB_X0Y34 SITEPROP IOB_X0Y34 NUM_ARCS 12 SITEPROP IOB_X0Y34 NUM_BELS 7 SITEPROP IOB_X0Y34 NUM_INPUTS 12 SITEPROP IOB_X0Y34 NUM_OUTPUTS 5 SITEPROP IOB_X0Y34 NUM_PINS 17 SITEPROP IOB_X0Y34 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y34 PROHIBIT 0 SITEPROP IOB_X0Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y34 RPM_X 1 SITEPROP IOB_X0Y34 RPM_Y 66 SITEPROP IOB_X0Y34 SITE_PIPS SITEPROP IOB_X0Y34 SITE_TYPE IOB33M SITEPROP IOB_X0Y35 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y35 CLASS site SITEPROP IOB_X0Y35 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y35 IS_BONDED 1 SITEPROP IOB_X0Y35 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y35 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y35 IS_PAD 1 SITEPROP IOB_X0Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y35 IS_RESERVED 0 SITEPROP IOB_X0Y35 IS_TEST 0 SITEPROP IOB_X0Y35 IS_USED 0 SITEPROP IOB_X0Y35 MANUAL_ROUTING SITEPROP IOB_X0Y35 NAME IOB_X0Y35 SITEPROP IOB_X0Y35 NUM_ARCS 14 SITEPROP IOB_X0Y35 NUM_BELS 8 SITEPROP IOB_X0Y35 NUM_INPUTS 12 SITEPROP IOB_X0Y35 NUM_OUTPUTS 5 SITEPROP IOB_X0Y35 NUM_PINS 17 SITEPROP IOB_X0Y35 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y35 PROHIBIT 0 SITEPROP IOB_X0Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y35 RPM_X 1 SITEPROP IOB_X0Y35 RPM_Y 71 SITEPROP IOB_X0Y35 SITE_PIPS SITEPROP IOB_X0Y35 SITE_TYPE IOB33S SITEPROP IOB_X0Y36 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y36 CLASS site SITEPROP IOB_X0Y36 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y36 IS_BONDED 1 SITEPROP IOB_X0Y36 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y36 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y36 IS_PAD 1 SITEPROP IOB_X0Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y36 IS_RESERVED 0 SITEPROP IOB_X0Y36 IS_TEST 0 SITEPROP IOB_X0Y36 IS_USED 0 SITEPROP IOB_X0Y36 MANUAL_ROUTING SITEPROP IOB_X0Y36 NAME IOB_X0Y36 SITEPROP IOB_X0Y36 NUM_ARCS 12 SITEPROP IOB_X0Y36 NUM_BELS 7 SITEPROP IOB_X0Y36 NUM_INPUTS 12 SITEPROP IOB_X0Y36 NUM_OUTPUTS 5 SITEPROP IOB_X0Y36 NUM_PINS 17 SITEPROP IOB_X0Y36 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y36 PROHIBIT 0 SITEPROP IOB_X0Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y36 RPM_X 1 SITEPROP IOB_X0Y36 RPM_Y 70 SITEPROP IOB_X0Y36 SITE_PIPS SITEPROP IOB_X0Y36 SITE_TYPE IOB33M SITEPROP IOB_X0Y37 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y37 CLASS site SITEPROP IOB_X0Y37 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y37 IS_BONDED 1 SITEPROP IOB_X0Y37 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y37 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y37 IS_PAD 1 SITEPROP IOB_X0Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y37 IS_RESERVED 0 SITEPROP IOB_X0Y37 IS_TEST 0 SITEPROP IOB_X0Y37 IS_USED 0 SITEPROP IOB_X0Y37 MANUAL_ROUTING SITEPROP IOB_X0Y37 NAME IOB_X0Y37 SITEPROP IOB_X0Y37 NUM_ARCS 14 SITEPROP IOB_X0Y37 NUM_BELS 8 SITEPROP IOB_X0Y37 NUM_INPUTS 12 SITEPROP IOB_X0Y37 NUM_OUTPUTS 5 SITEPROP IOB_X0Y37 NUM_PINS 17 SITEPROP IOB_X0Y37 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y37 PROHIBIT 0 SITEPROP IOB_X0Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y37 RPM_X 1 SITEPROP IOB_X0Y37 RPM_Y 75 SITEPROP IOB_X0Y37 SITE_PIPS SITEPROP IOB_X0Y37 SITE_TYPE IOB33S SITEPROP IOB_X0Y38 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y38 CLASS site SITEPROP IOB_X0Y38 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y38 IS_BONDED 1 SITEPROP IOB_X0Y38 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y38 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y38 IS_PAD 1 SITEPROP IOB_X0Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y38 IS_RESERVED 0 SITEPROP IOB_X0Y38 IS_TEST 0 SITEPROP IOB_X0Y38 IS_USED 0 SITEPROP IOB_X0Y38 MANUAL_ROUTING SITEPROP IOB_X0Y38 NAME IOB_X0Y38 SITEPROP IOB_X0Y38 NUM_ARCS 12 SITEPROP IOB_X0Y38 NUM_BELS 7 SITEPROP IOB_X0Y38 NUM_INPUTS 12 SITEPROP IOB_X0Y38 NUM_OUTPUTS 5 SITEPROP IOB_X0Y38 NUM_PINS 17 SITEPROP IOB_X0Y38 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y38 PROHIBIT 0 SITEPROP IOB_X0Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y38 RPM_X 1 SITEPROP IOB_X0Y38 RPM_Y 74 SITEPROP IOB_X0Y38 SITE_PIPS SITEPROP IOB_X0Y38 SITE_TYPE IOB33M SITEPROP IOB_X0Y39 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y39 CLASS site SITEPROP IOB_X0Y39 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y39 IS_BONDED 1 SITEPROP IOB_X0Y39 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y39 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y39 IS_PAD 1 SITEPROP IOB_X0Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y39 IS_RESERVED 0 SITEPROP IOB_X0Y39 IS_TEST 0 SITEPROP IOB_X0Y39 IS_USED 0 SITEPROP IOB_X0Y39 MANUAL_ROUTING SITEPROP IOB_X0Y39 NAME IOB_X0Y39 SITEPROP IOB_X0Y39 NUM_ARCS 14 SITEPROP IOB_X0Y39 NUM_BELS 8 SITEPROP IOB_X0Y39 NUM_INPUTS 12 SITEPROP IOB_X0Y39 NUM_OUTPUTS 5 SITEPROP IOB_X0Y39 NUM_PINS 17 SITEPROP IOB_X0Y39 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y39 PROHIBIT 0 SITEPROP IOB_X0Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y39 RPM_X 1 SITEPROP IOB_X0Y39 RPM_Y 79 SITEPROP IOB_X0Y39 SITE_PIPS SITEPROP IOB_X0Y39 SITE_TYPE IOB33S SITEPROP IOB_X0Y40 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y40 CLASS site SITEPROP IOB_X0Y40 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y40 IS_BONDED 1 SITEPROP IOB_X0Y40 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y40 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y40 IS_PAD 1 SITEPROP IOB_X0Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y40 IS_RESERVED 0 SITEPROP IOB_X0Y40 IS_TEST 0 SITEPROP IOB_X0Y40 IS_USED 0 SITEPROP IOB_X0Y40 MANUAL_ROUTING SITEPROP IOB_X0Y40 NAME IOB_X0Y40 SITEPROP IOB_X0Y40 NUM_ARCS 12 SITEPROP IOB_X0Y40 NUM_BELS 7 SITEPROP IOB_X0Y40 NUM_INPUTS 12 SITEPROP IOB_X0Y40 NUM_OUTPUTS 5 SITEPROP IOB_X0Y40 NUM_PINS 17 SITEPROP IOB_X0Y40 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y40 PROHIBIT 0 SITEPROP IOB_X0Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y40 RPM_X 1 SITEPROP IOB_X0Y40 RPM_Y 78 SITEPROP IOB_X0Y40 SITE_PIPS SITEPROP IOB_X0Y40 SITE_TYPE IOB33M SITEPROP IOB_X0Y41 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y41 CLASS site SITEPROP IOB_X0Y41 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y41 IS_BONDED 1 SITEPROP IOB_X0Y41 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y41 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y41 IS_PAD 1 SITEPROP IOB_X0Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y41 IS_RESERVED 0 SITEPROP IOB_X0Y41 IS_TEST 0 SITEPROP IOB_X0Y41 IS_USED 0 SITEPROP IOB_X0Y41 MANUAL_ROUTING SITEPROP IOB_X0Y41 NAME IOB_X0Y41 SITEPROP IOB_X0Y41 NUM_ARCS 14 SITEPROP IOB_X0Y41 NUM_BELS 8 SITEPROP IOB_X0Y41 NUM_INPUTS 12 SITEPROP IOB_X0Y41 NUM_OUTPUTS 5 SITEPROP IOB_X0Y41 NUM_PINS 17 SITEPROP IOB_X0Y41 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y41 PROHIBIT 0 SITEPROP IOB_X0Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y41 RPM_X 1 SITEPROP IOB_X0Y41 RPM_Y 83 SITEPROP IOB_X0Y41 SITE_PIPS SITEPROP IOB_X0Y41 SITE_TYPE IOB33S SITEPROP IOB_X0Y42 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y42 CLASS site SITEPROP IOB_X0Y42 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y42 IS_BONDED 1 SITEPROP IOB_X0Y42 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y42 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y42 IS_PAD 1 SITEPROP IOB_X0Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y42 IS_RESERVED 0 SITEPROP IOB_X0Y42 IS_TEST 0 SITEPROP IOB_X0Y42 IS_USED 0 SITEPROP IOB_X0Y42 MANUAL_ROUTING SITEPROP IOB_X0Y42 NAME IOB_X0Y42 SITEPROP IOB_X0Y42 NUM_ARCS 12 SITEPROP IOB_X0Y42 NUM_BELS 7 SITEPROP IOB_X0Y42 NUM_INPUTS 12 SITEPROP IOB_X0Y42 NUM_OUTPUTS 5 SITEPROP IOB_X0Y42 NUM_PINS 17 SITEPROP IOB_X0Y42 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y42 PROHIBIT 0 SITEPROP IOB_X0Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y42 RPM_X 1 SITEPROP IOB_X0Y42 RPM_Y 82 SITEPROP IOB_X0Y42 SITE_PIPS SITEPROP IOB_X0Y42 SITE_TYPE IOB33M SITEPROP IOB_X0Y43 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y43 CLASS site SITEPROP IOB_X0Y43 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y43 IS_BONDED 1 SITEPROP IOB_X0Y43 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y43 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y43 IS_PAD 1 SITEPROP IOB_X0Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y43 IS_RESERVED 0 SITEPROP IOB_X0Y43 IS_TEST 0 SITEPROP IOB_X0Y43 IS_USED 0 SITEPROP IOB_X0Y43 MANUAL_ROUTING SITEPROP IOB_X0Y43 NAME IOB_X0Y43 SITEPROP IOB_X0Y43 NUM_ARCS 14 SITEPROP IOB_X0Y43 NUM_BELS 8 SITEPROP IOB_X0Y43 NUM_INPUTS 12 SITEPROP IOB_X0Y43 NUM_OUTPUTS 5 SITEPROP IOB_X0Y43 NUM_PINS 17 SITEPROP IOB_X0Y43 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y43 PROHIBIT 0 SITEPROP IOB_X0Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y43 RPM_X 1 SITEPROP IOB_X0Y43 RPM_Y 87 SITEPROP IOB_X0Y43 SITE_PIPS SITEPROP IOB_X0Y43 SITE_TYPE IOB33S SITEPROP IOB_X0Y44 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y44 CLASS site SITEPROP IOB_X0Y44 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y44 IS_BONDED 1 SITEPROP IOB_X0Y44 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y44 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y44 IS_PAD 1 SITEPROP IOB_X0Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y44 IS_RESERVED 0 SITEPROP IOB_X0Y44 IS_TEST 0 SITEPROP IOB_X0Y44 IS_USED 0 SITEPROP IOB_X0Y44 MANUAL_ROUTING SITEPROP IOB_X0Y44 NAME IOB_X0Y44 SITEPROP IOB_X0Y44 NUM_ARCS 12 SITEPROP IOB_X0Y44 NUM_BELS 7 SITEPROP IOB_X0Y44 NUM_INPUTS 12 SITEPROP IOB_X0Y44 NUM_OUTPUTS 5 SITEPROP IOB_X0Y44 NUM_PINS 17 SITEPROP IOB_X0Y44 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y44 PROHIBIT 0 SITEPROP IOB_X0Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y44 RPM_X 1 SITEPROP IOB_X0Y44 RPM_Y 86 SITEPROP IOB_X0Y44 SITE_PIPS SITEPROP IOB_X0Y44 SITE_TYPE IOB33M SITEPROP IOB_X0Y45 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y45 CLASS site SITEPROP IOB_X0Y45 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y45 IS_BONDED 1 SITEPROP IOB_X0Y45 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y45 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y45 IS_PAD 1 SITEPROP IOB_X0Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y45 IS_RESERVED 0 SITEPROP IOB_X0Y45 IS_TEST 0 SITEPROP IOB_X0Y45 IS_USED 0 SITEPROP IOB_X0Y45 MANUAL_ROUTING SITEPROP IOB_X0Y45 NAME IOB_X0Y45 SITEPROP IOB_X0Y45 NUM_ARCS 14 SITEPROP IOB_X0Y45 NUM_BELS 8 SITEPROP IOB_X0Y45 NUM_INPUTS 12 SITEPROP IOB_X0Y45 NUM_OUTPUTS 5 SITEPROP IOB_X0Y45 NUM_PINS 17 SITEPROP IOB_X0Y45 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y45 PROHIBIT 0 SITEPROP IOB_X0Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y45 RPM_X 1 SITEPROP IOB_X0Y45 RPM_Y 91 SITEPROP IOB_X0Y45 SITE_PIPS SITEPROP IOB_X0Y45 SITE_TYPE IOB33S SITEPROP IOB_X0Y46 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y46 CLASS site SITEPROP IOB_X0Y46 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y46 IS_BONDED 1 SITEPROP IOB_X0Y46 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y46 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y46 IS_PAD 1 SITEPROP IOB_X0Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y46 IS_RESERVED 0 SITEPROP IOB_X0Y46 IS_TEST 0 SITEPROP IOB_X0Y46 IS_USED 0 SITEPROP IOB_X0Y46 MANUAL_ROUTING SITEPROP IOB_X0Y46 NAME IOB_X0Y46 SITEPROP IOB_X0Y46 NUM_ARCS 12 SITEPROP IOB_X0Y46 NUM_BELS 7 SITEPROP IOB_X0Y46 NUM_INPUTS 12 SITEPROP IOB_X0Y46 NUM_OUTPUTS 5 SITEPROP IOB_X0Y46 NUM_PINS 17 SITEPROP IOB_X0Y46 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y46 PROHIBIT 0 SITEPROP IOB_X0Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y46 RPM_X 1 SITEPROP IOB_X0Y46 RPM_Y 90 SITEPROP IOB_X0Y46 SITE_PIPS SITEPROP IOB_X0Y46 SITE_TYPE IOB33M SITEPROP IOB_X0Y47 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y47 CLASS site SITEPROP IOB_X0Y47 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y47 IS_BONDED 1 SITEPROP IOB_X0Y47 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y47 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y47 IS_PAD 1 SITEPROP IOB_X0Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y47 IS_RESERVED 0 SITEPROP IOB_X0Y47 IS_TEST 0 SITEPROP IOB_X0Y47 IS_USED 0 SITEPROP IOB_X0Y47 MANUAL_ROUTING SITEPROP IOB_X0Y47 NAME IOB_X0Y47 SITEPROP IOB_X0Y47 NUM_ARCS 14 SITEPROP IOB_X0Y47 NUM_BELS 8 SITEPROP IOB_X0Y47 NUM_INPUTS 12 SITEPROP IOB_X0Y47 NUM_OUTPUTS 5 SITEPROP IOB_X0Y47 NUM_PINS 17 SITEPROP IOB_X0Y47 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y47 PROHIBIT 0 SITEPROP IOB_X0Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y47 RPM_X 1 SITEPROP IOB_X0Y47 RPM_Y 95 SITEPROP IOB_X0Y47 SITE_PIPS SITEPROP IOB_X0Y47 SITE_TYPE IOB33S SITEPROP IOB_X0Y48 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y48 CLASS site SITEPROP IOB_X0Y48 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y48 IS_BONDED 1 SITEPROP IOB_X0Y48 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y48 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y48 IS_PAD 1 SITEPROP IOB_X0Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y48 IS_RESERVED 0 SITEPROP IOB_X0Y48 IS_TEST 0 SITEPROP IOB_X0Y48 IS_USED 0 SITEPROP IOB_X0Y48 MANUAL_ROUTING SITEPROP IOB_X0Y48 NAME IOB_X0Y48 SITEPROP IOB_X0Y48 NUM_ARCS 12 SITEPROP IOB_X0Y48 NUM_BELS 7 SITEPROP IOB_X0Y48 NUM_INPUTS 12 SITEPROP IOB_X0Y48 NUM_OUTPUTS 5 SITEPROP IOB_X0Y48 NUM_PINS 17 SITEPROP IOB_X0Y48 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y48 PROHIBIT 0 SITEPROP IOB_X0Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y48 RPM_X 1 SITEPROP IOB_X0Y48 RPM_Y 94 SITEPROP IOB_X0Y48 SITE_PIPS SITEPROP IOB_X0Y48 SITE_TYPE IOB33M SITEPROP IOB_X0Y49 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X0Y49 CLASS site SITEPROP IOB_X0Y49 CLOCK_REGION X0Y0 SITEPROP IOB_X0Y49 IS_BONDED 1 SITEPROP IOB_X0Y49 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y49 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y49 IS_PAD 1 SITEPROP IOB_X0Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y49 IS_RESERVED 0 SITEPROP IOB_X0Y49 IS_TEST 0 SITEPROP IOB_X0Y49 IS_USED 0 SITEPROP IOB_X0Y49 MANUAL_ROUTING SITEPROP IOB_X0Y49 NAME IOB_X0Y49 SITEPROP IOB_X0Y49 NUM_ARCS 9 SITEPROP IOB_X0Y49 NUM_BELS 7 SITEPROP IOB_X0Y49 NUM_INPUTS 12 SITEPROP IOB_X0Y49 NUM_OUTPUTS 5 SITEPROP IOB_X0Y49 NUM_PINS 17 SITEPROP IOB_X0Y49 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y49 PROHIBIT 0 SITEPROP IOB_X0Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y49 RPM_X 1 SITEPROP IOB_X0Y49 RPM_Y 98 SITEPROP IOB_X0Y49 SITE_PIPS SITEPROP IOB_X0Y49 SITE_TYPE IOB33 SITEPROP IOB_X0Y50 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X0Y50 CLASS site SITEPROP IOB_X0Y50 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y50 IS_BONDED 1 SITEPROP IOB_X0Y50 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y50 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y50 IS_PAD 1 SITEPROP IOB_X0Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y50 IS_RESERVED 0 SITEPROP IOB_X0Y50 IS_TEST 0 SITEPROP IOB_X0Y50 IS_USED 0 SITEPROP IOB_X0Y50 MANUAL_ROUTING SITEPROP IOB_X0Y50 NAME IOB_X0Y50 SITEPROP IOB_X0Y50 NUM_ARCS 9 SITEPROP IOB_X0Y50 NUM_BELS 7 SITEPROP IOB_X0Y50 NUM_INPUTS 12 SITEPROP IOB_X0Y50 NUM_OUTPUTS 5 SITEPROP IOB_X0Y50 NUM_PINS 17 SITEPROP IOB_X0Y50 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y50 PROHIBIT 0 SITEPROP IOB_X0Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y50 RPM_X 1 SITEPROP IOB_X0Y50 RPM_Y 100 SITEPROP IOB_X0Y50 SITE_PIPS SITEPROP IOB_X0Y50 SITE_TYPE IOB33 SITEPROP IOB_X0Y51 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y51 CLASS site SITEPROP IOB_X0Y51 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y51 IS_BONDED 1 SITEPROP IOB_X0Y51 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y51 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y51 IS_PAD 1 SITEPROP IOB_X0Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y51 IS_RESERVED 0 SITEPROP IOB_X0Y51 IS_TEST 0 SITEPROP IOB_X0Y51 IS_USED 0 SITEPROP IOB_X0Y51 MANUAL_ROUTING SITEPROP IOB_X0Y51 NAME IOB_X0Y51 SITEPROP IOB_X0Y51 NUM_ARCS 14 SITEPROP IOB_X0Y51 NUM_BELS 8 SITEPROP IOB_X0Y51 NUM_INPUTS 12 SITEPROP IOB_X0Y51 NUM_OUTPUTS 5 SITEPROP IOB_X0Y51 NUM_PINS 17 SITEPROP IOB_X0Y51 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y51 PROHIBIT 0 SITEPROP IOB_X0Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y51 RPM_X 1 SITEPROP IOB_X0Y51 RPM_Y 103 SITEPROP IOB_X0Y51 SITE_PIPS SITEPROP IOB_X0Y51 SITE_TYPE IOB33S SITEPROP IOB_X0Y52 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y52 CLASS site SITEPROP IOB_X0Y52 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y52 IS_BONDED 1 SITEPROP IOB_X0Y52 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y52 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y52 IS_PAD 1 SITEPROP IOB_X0Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y52 IS_RESERVED 0 SITEPROP IOB_X0Y52 IS_TEST 0 SITEPROP IOB_X0Y52 IS_USED 0 SITEPROP IOB_X0Y52 MANUAL_ROUTING SITEPROP IOB_X0Y52 NAME IOB_X0Y52 SITEPROP IOB_X0Y52 NUM_ARCS 12 SITEPROP IOB_X0Y52 NUM_BELS 7 SITEPROP IOB_X0Y52 NUM_INPUTS 12 SITEPROP IOB_X0Y52 NUM_OUTPUTS 5 SITEPROP IOB_X0Y52 NUM_PINS 17 SITEPROP IOB_X0Y52 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y52 PROHIBIT 0 SITEPROP IOB_X0Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y52 RPM_X 1 SITEPROP IOB_X0Y52 RPM_Y 102 SITEPROP IOB_X0Y52 SITE_PIPS SITEPROP IOB_X0Y52 SITE_TYPE IOB33M SITEPROP IOB_X0Y53 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y53 CLASS site SITEPROP IOB_X0Y53 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y53 IS_BONDED 1 SITEPROP IOB_X0Y53 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y53 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y53 IS_PAD 1 SITEPROP IOB_X0Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y53 IS_RESERVED 0 SITEPROP IOB_X0Y53 IS_TEST 0 SITEPROP IOB_X0Y53 IS_USED 0 SITEPROP IOB_X0Y53 MANUAL_ROUTING SITEPROP IOB_X0Y53 NAME IOB_X0Y53 SITEPROP IOB_X0Y53 NUM_ARCS 14 SITEPROP IOB_X0Y53 NUM_BELS 8 SITEPROP IOB_X0Y53 NUM_INPUTS 12 SITEPROP IOB_X0Y53 NUM_OUTPUTS 5 SITEPROP IOB_X0Y53 NUM_PINS 17 SITEPROP IOB_X0Y53 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y53 PROHIBIT 0 SITEPROP IOB_X0Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y53 RPM_X 1 SITEPROP IOB_X0Y53 RPM_Y 107 SITEPROP IOB_X0Y53 SITE_PIPS SITEPROP IOB_X0Y53 SITE_TYPE IOB33S SITEPROP IOB_X0Y54 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y54 CLASS site SITEPROP IOB_X0Y54 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y54 IS_BONDED 1 SITEPROP IOB_X0Y54 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y54 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y54 IS_PAD 1 SITEPROP IOB_X0Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y54 IS_RESERVED 0 SITEPROP IOB_X0Y54 IS_TEST 0 SITEPROP IOB_X0Y54 IS_USED 0 SITEPROP IOB_X0Y54 MANUAL_ROUTING SITEPROP IOB_X0Y54 NAME IOB_X0Y54 SITEPROP IOB_X0Y54 NUM_ARCS 12 SITEPROP IOB_X0Y54 NUM_BELS 7 SITEPROP IOB_X0Y54 NUM_INPUTS 12 SITEPROP IOB_X0Y54 NUM_OUTPUTS 5 SITEPROP IOB_X0Y54 NUM_PINS 17 SITEPROP IOB_X0Y54 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y54 PROHIBIT 0 SITEPROP IOB_X0Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y54 RPM_X 1 SITEPROP IOB_X0Y54 RPM_Y 106 SITEPROP IOB_X0Y54 SITE_PIPS SITEPROP IOB_X0Y54 SITE_TYPE IOB33M SITEPROP IOB_X0Y55 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y55 CLASS site SITEPROP IOB_X0Y55 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y55 IS_BONDED 1 SITEPROP IOB_X0Y55 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y55 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y55 IS_PAD 1 SITEPROP IOB_X0Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y55 IS_RESERVED 0 SITEPROP IOB_X0Y55 IS_TEST 0 SITEPROP IOB_X0Y55 IS_USED 0 SITEPROP IOB_X0Y55 MANUAL_ROUTING SITEPROP IOB_X0Y55 NAME IOB_X0Y55 SITEPROP IOB_X0Y55 NUM_ARCS 14 SITEPROP IOB_X0Y55 NUM_BELS 8 SITEPROP IOB_X0Y55 NUM_INPUTS 12 SITEPROP IOB_X0Y55 NUM_OUTPUTS 5 SITEPROP IOB_X0Y55 NUM_PINS 17 SITEPROP IOB_X0Y55 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y55 PROHIBIT 0 SITEPROP IOB_X0Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y55 RPM_X 1 SITEPROP IOB_X0Y55 RPM_Y 111 SITEPROP IOB_X0Y55 SITE_PIPS SITEPROP IOB_X0Y55 SITE_TYPE IOB33S SITEPROP IOB_X0Y56 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y56 CLASS site SITEPROP IOB_X0Y56 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y56 IS_BONDED 1 SITEPROP IOB_X0Y56 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y56 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y56 IS_PAD 1 SITEPROP IOB_X0Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y56 IS_RESERVED 0 SITEPROP IOB_X0Y56 IS_TEST 0 SITEPROP IOB_X0Y56 IS_USED 0 SITEPROP IOB_X0Y56 MANUAL_ROUTING SITEPROP IOB_X0Y56 NAME IOB_X0Y56 SITEPROP IOB_X0Y56 NUM_ARCS 12 SITEPROP IOB_X0Y56 NUM_BELS 7 SITEPROP IOB_X0Y56 NUM_INPUTS 12 SITEPROP IOB_X0Y56 NUM_OUTPUTS 5 SITEPROP IOB_X0Y56 NUM_PINS 17 SITEPROP IOB_X0Y56 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y56 PROHIBIT 0 SITEPROP IOB_X0Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y56 RPM_X 1 SITEPROP IOB_X0Y56 RPM_Y 110 SITEPROP IOB_X0Y56 SITE_PIPS SITEPROP IOB_X0Y56 SITE_TYPE IOB33M SITEPROP IOB_X0Y57 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y57 CLASS site SITEPROP IOB_X0Y57 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y57 IS_BONDED 1 SITEPROP IOB_X0Y57 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y57 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y57 IS_PAD 1 SITEPROP IOB_X0Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y57 IS_RESERVED 0 SITEPROP IOB_X0Y57 IS_TEST 0 SITEPROP IOB_X0Y57 IS_USED 0 SITEPROP IOB_X0Y57 MANUAL_ROUTING SITEPROP IOB_X0Y57 NAME IOB_X0Y57 SITEPROP IOB_X0Y57 NUM_ARCS 14 SITEPROP IOB_X0Y57 NUM_BELS 8 SITEPROP IOB_X0Y57 NUM_INPUTS 12 SITEPROP IOB_X0Y57 NUM_OUTPUTS 5 SITEPROP IOB_X0Y57 NUM_PINS 17 SITEPROP IOB_X0Y57 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y57 PROHIBIT 0 SITEPROP IOB_X0Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y57 RPM_X 1 SITEPROP IOB_X0Y57 RPM_Y 115 SITEPROP IOB_X0Y57 SITE_PIPS SITEPROP IOB_X0Y57 SITE_TYPE IOB33S SITEPROP IOB_X0Y58 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y58 CLASS site SITEPROP IOB_X0Y58 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y58 IS_BONDED 1 SITEPROP IOB_X0Y58 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y58 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y58 IS_PAD 1 SITEPROP IOB_X0Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y58 IS_RESERVED 0 SITEPROP IOB_X0Y58 IS_TEST 0 SITEPROP IOB_X0Y58 IS_USED 0 SITEPROP IOB_X0Y58 MANUAL_ROUTING SITEPROP IOB_X0Y58 NAME IOB_X0Y58 SITEPROP IOB_X0Y58 NUM_ARCS 12 SITEPROP IOB_X0Y58 NUM_BELS 7 SITEPROP IOB_X0Y58 NUM_INPUTS 12 SITEPROP IOB_X0Y58 NUM_OUTPUTS 5 SITEPROP IOB_X0Y58 NUM_PINS 17 SITEPROP IOB_X0Y58 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y58 PROHIBIT 0 SITEPROP IOB_X0Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y58 RPM_X 1 SITEPROP IOB_X0Y58 RPM_Y 114 SITEPROP IOB_X0Y58 SITE_PIPS SITEPROP IOB_X0Y58 SITE_TYPE IOB33M SITEPROP IOB_X0Y59 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y59 CLASS site SITEPROP IOB_X0Y59 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y59 IS_BONDED 1 SITEPROP IOB_X0Y59 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y59 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y59 IS_PAD 1 SITEPROP IOB_X0Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y59 IS_RESERVED 0 SITEPROP IOB_X0Y59 IS_TEST 0 SITEPROP IOB_X0Y59 IS_USED 0 SITEPROP IOB_X0Y59 MANUAL_ROUTING SITEPROP IOB_X0Y59 NAME IOB_X0Y59 SITEPROP IOB_X0Y59 NUM_ARCS 14 SITEPROP IOB_X0Y59 NUM_BELS 8 SITEPROP IOB_X0Y59 NUM_INPUTS 12 SITEPROP IOB_X0Y59 NUM_OUTPUTS 5 SITEPROP IOB_X0Y59 NUM_PINS 17 SITEPROP IOB_X0Y59 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y59 PROHIBIT 0 SITEPROP IOB_X0Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y59 RPM_X 1 SITEPROP IOB_X0Y59 RPM_Y 119 SITEPROP IOB_X0Y59 SITE_PIPS SITEPROP IOB_X0Y59 SITE_TYPE IOB33S SITEPROP IOB_X0Y60 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y60 CLASS site SITEPROP IOB_X0Y60 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y60 IS_BONDED 1 SITEPROP IOB_X0Y60 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y60 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y60 IS_PAD 1 SITEPROP IOB_X0Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y60 IS_RESERVED 0 SITEPROP IOB_X0Y60 IS_TEST 0 SITEPROP IOB_X0Y60 IS_USED 0 SITEPROP IOB_X0Y60 MANUAL_ROUTING SITEPROP IOB_X0Y60 NAME IOB_X0Y60 SITEPROP IOB_X0Y60 NUM_ARCS 12 SITEPROP IOB_X0Y60 NUM_BELS 7 SITEPROP IOB_X0Y60 NUM_INPUTS 12 SITEPROP IOB_X0Y60 NUM_OUTPUTS 5 SITEPROP IOB_X0Y60 NUM_PINS 17 SITEPROP IOB_X0Y60 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y60 PROHIBIT 0 SITEPROP IOB_X0Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y60 RPM_X 1 SITEPROP IOB_X0Y60 RPM_Y 118 SITEPROP IOB_X0Y60 SITE_PIPS SITEPROP IOB_X0Y60 SITE_TYPE IOB33M SITEPROP IOB_X0Y61 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y61 CLASS site SITEPROP IOB_X0Y61 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y61 IS_BONDED 1 SITEPROP IOB_X0Y61 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y61 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y61 IS_PAD 1 SITEPROP IOB_X0Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y61 IS_RESERVED 0 SITEPROP IOB_X0Y61 IS_TEST 0 SITEPROP IOB_X0Y61 IS_USED 0 SITEPROP IOB_X0Y61 MANUAL_ROUTING SITEPROP IOB_X0Y61 NAME IOB_X0Y61 SITEPROP IOB_X0Y61 NUM_ARCS 14 SITEPROP IOB_X0Y61 NUM_BELS 8 SITEPROP IOB_X0Y61 NUM_INPUTS 12 SITEPROP IOB_X0Y61 NUM_OUTPUTS 5 SITEPROP IOB_X0Y61 NUM_PINS 17 SITEPROP IOB_X0Y61 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y61 PROHIBIT 0 SITEPROP IOB_X0Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y61 RPM_X 1 SITEPROP IOB_X0Y61 RPM_Y 123 SITEPROP IOB_X0Y61 SITE_PIPS SITEPROP IOB_X0Y61 SITE_TYPE IOB33S SITEPROP IOB_X0Y62 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y62 CLASS site SITEPROP IOB_X0Y62 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y62 IS_BONDED 1 SITEPROP IOB_X0Y62 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y62 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y62 IS_PAD 1 SITEPROP IOB_X0Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y62 IS_RESERVED 0 SITEPROP IOB_X0Y62 IS_TEST 0 SITEPROP IOB_X0Y62 IS_USED 0 SITEPROP IOB_X0Y62 MANUAL_ROUTING SITEPROP IOB_X0Y62 NAME IOB_X0Y62 SITEPROP IOB_X0Y62 NUM_ARCS 12 SITEPROP IOB_X0Y62 NUM_BELS 7 SITEPROP IOB_X0Y62 NUM_INPUTS 12 SITEPROP IOB_X0Y62 NUM_OUTPUTS 5 SITEPROP IOB_X0Y62 NUM_PINS 17 SITEPROP IOB_X0Y62 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y62 PROHIBIT 0 SITEPROP IOB_X0Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y62 RPM_X 1 SITEPROP IOB_X0Y62 RPM_Y 122 SITEPROP IOB_X0Y62 SITE_PIPS SITEPROP IOB_X0Y62 SITE_TYPE IOB33M SITEPROP IOB_X0Y63 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y63 CLASS site SITEPROP IOB_X0Y63 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y63 IS_BONDED 1 SITEPROP IOB_X0Y63 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y63 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y63 IS_PAD 1 SITEPROP IOB_X0Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y63 IS_RESERVED 0 SITEPROP IOB_X0Y63 IS_TEST 0 SITEPROP IOB_X0Y63 IS_USED 0 SITEPROP IOB_X0Y63 MANUAL_ROUTING SITEPROP IOB_X0Y63 NAME IOB_X0Y63 SITEPROP IOB_X0Y63 NUM_ARCS 14 SITEPROP IOB_X0Y63 NUM_BELS 8 SITEPROP IOB_X0Y63 NUM_INPUTS 12 SITEPROP IOB_X0Y63 NUM_OUTPUTS 5 SITEPROP IOB_X0Y63 NUM_PINS 17 SITEPROP IOB_X0Y63 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y63 PROHIBIT 0 SITEPROP IOB_X0Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y63 RPM_X 1 SITEPROP IOB_X0Y63 RPM_Y 127 SITEPROP IOB_X0Y63 SITE_PIPS SITEPROP IOB_X0Y63 SITE_TYPE IOB33S SITEPROP IOB_X0Y64 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y64 CLASS site SITEPROP IOB_X0Y64 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y64 IS_BONDED 1 SITEPROP IOB_X0Y64 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y64 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y64 IS_PAD 1 SITEPROP IOB_X0Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y64 IS_RESERVED 0 SITEPROP IOB_X0Y64 IS_TEST 0 SITEPROP IOB_X0Y64 IS_USED 0 SITEPROP IOB_X0Y64 MANUAL_ROUTING SITEPROP IOB_X0Y64 NAME IOB_X0Y64 SITEPROP IOB_X0Y64 NUM_ARCS 12 SITEPROP IOB_X0Y64 NUM_BELS 7 SITEPROP IOB_X0Y64 NUM_INPUTS 12 SITEPROP IOB_X0Y64 NUM_OUTPUTS 5 SITEPROP IOB_X0Y64 NUM_PINS 17 SITEPROP IOB_X0Y64 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y64 PROHIBIT 0 SITEPROP IOB_X0Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y64 RPM_X 1 SITEPROP IOB_X0Y64 RPM_Y 126 SITEPROP IOB_X0Y64 SITE_PIPS SITEPROP IOB_X0Y64 SITE_TYPE IOB33M SITEPROP IOB_X0Y65 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y65 CLASS site SITEPROP IOB_X0Y65 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y65 IS_BONDED 1 SITEPROP IOB_X0Y65 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y65 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y65 IS_PAD 1 SITEPROP IOB_X0Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y65 IS_RESERVED 0 SITEPROP IOB_X0Y65 IS_TEST 0 SITEPROP IOB_X0Y65 IS_USED 0 SITEPROP IOB_X0Y65 MANUAL_ROUTING SITEPROP IOB_X0Y65 NAME IOB_X0Y65 SITEPROP IOB_X0Y65 NUM_ARCS 14 SITEPROP IOB_X0Y65 NUM_BELS 8 SITEPROP IOB_X0Y65 NUM_INPUTS 12 SITEPROP IOB_X0Y65 NUM_OUTPUTS 5 SITEPROP IOB_X0Y65 NUM_PINS 17 SITEPROP IOB_X0Y65 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y65 PROHIBIT 0 SITEPROP IOB_X0Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y65 RPM_X 1 SITEPROP IOB_X0Y65 RPM_Y 131 SITEPROP IOB_X0Y65 SITE_PIPS SITEPROP IOB_X0Y65 SITE_TYPE IOB33S SITEPROP IOB_X0Y66 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y66 CLASS site SITEPROP IOB_X0Y66 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y66 IS_BONDED 1 SITEPROP IOB_X0Y66 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y66 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y66 IS_PAD 1 SITEPROP IOB_X0Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y66 IS_RESERVED 0 SITEPROP IOB_X0Y66 IS_TEST 0 SITEPROP IOB_X0Y66 IS_USED 0 SITEPROP IOB_X0Y66 MANUAL_ROUTING SITEPROP IOB_X0Y66 NAME IOB_X0Y66 SITEPROP IOB_X0Y66 NUM_ARCS 12 SITEPROP IOB_X0Y66 NUM_BELS 7 SITEPROP IOB_X0Y66 NUM_INPUTS 12 SITEPROP IOB_X0Y66 NUM_OUTPUTS 5 SITEPROP IOB_X0Y66 NUM_PINS 17 SITEPROP IOB_X0Y66 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y66 PROHIBIT 0 SITEPROP IOB_X0Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y66 RPM_X 1 SITEPROP IOB_X0Y66 RPM_Y 130 SITEPROP IOB_X0Y66 SITE_PIPS SITEPROP IOB_X0Y66 SITE_TYPE IOB33M SITEPROP IOB_X0Y67 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y67 CLASS site SITEPROP IOB_X0Y67 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y67 IS_BONDED 1 SITEPROP IOB_X0Y67 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y67 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y67 IS_PAD 1 SITEPROP IOB_X0Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y67 IS_RESERVED 0 SITEPROP IOB_X0Y67 IS_TEST 0 SITEPROP IOB_X0Y67 IS_USED 0 SITEPROP IOB_X0Y67 MANUAL_ROUTING SITEPROP IOB_X0Y67 NAME IOB_X0Y67 SITEPROP IOB_X0Y67 NUM_ARCS 14 SITEPROP IOB_X0Y67 NUM_BELS 8 SITEPROP IOB_X0Y67 NUM_INPUTS 12 SITEPROP IOB_X0Y67 NUM_OUTPUTS 5 SITEPROP IOB_X0Y67 NUM_PINS 17 SITEPROP IOB_X0Y67 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y67 PROHIBIT 0 SITEPROP IOB_X0Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y67 RPM_X 1 SITEPROP IOB_X0Y67 RPM_Y 135 SITEPROP IOB_X0Y67 SITE_PIPS SITEPROP IOB_X0Y67 SITE_TYPE IOB33S SITEPROP IOB_X0Y68 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y68 CLASS site SITEPROP IOB_X0Y68 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y68 IS_BONDED 1 SITEPROP IOB_X0Y68 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y68 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y68 IS_PAD 1 SITEPROP IOB_X0Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y68 IS_RESERVED 0 SITEPROP IOB_X0Y68 IS_TEST 0 SITEPROP IOB_X0Y68 IS_USED 0 SITEPROP IOB_X0Y68 MANUAL_ROUTING SITEPROP IOB_X0Y68 NAME IOB_X0Y68 SITEPROP IOB_X0Y68 NUM_ARCS 12 SITEPROP IOB_X0Y68 NUM_BELS 7 SITEPROP IOB_X0Y68 NUM_INPUTS 12 SITEPROP IOB_X0Y68 NUM_OUTPUTS 5 SITEPROP IOB_X0Y68 NUM_PINS 17 SITEPROP IOB_X0Y68 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y68 PROHIBIT 0 SITEPROP IOB_X0Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y68 RPM_X 1 SITEPROP IOB_X0Y68 RPM_Y 134 SITEPROP IOB_X0Y68 SITE_PIPS SITEPROP IOB_X0Y68 SITE_TYPE IOB33M SITEPROP IOB_X0Y69 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y69 CLASS site SITEPROP IOB_X0Y69 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y69 IS_BONDED 1 SITEPROP IOB_X0Y69 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y69 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y69 IS_PAD 1 SITEPROP IOB_X0Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y69 IS_RESERVED 0 SITEPROP IOB_X0Y69 IS_TEST 0 SITEPROP IOB_X0Y69 IS_USED 0 SITEPROP IOB_X0Y69 MANUAL_ROUTING SITEPROP IOB_X0Y69 NAME IOB_X0Y69 SITEPROP IOB_X0Y69 NUM_ARCS 14 SITEPROP IOB_X0Y69 NUM_BELS 8 SITEPROP IOB_X0Y69 NUM_INPUTS 12 SITEPROP IOB_X0Y69 NUM_OUTPUTS 5 SITEPROP IOB_X0Y69 NUM_PINS 17 SITEPROP IOB_X0Y69 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y69 PROHIBIT 0 SITEPROP IOB_X0Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y69 RPM_X 1 SITEPROP IOB_X0Y69 RPM_Y 139 SITEPROP IOB_X0Y69 SITE_PIPS SITEPROP IOB_X0Y69 SITE_TYPE IOB33S SITEPROP IOB_X0Y70 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y70 CLASS site SITEPROP IOB_X0Y70 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y70 IS_BONDED 1 SITEPROP IOB_X0Y70 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y70 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y70 IS_PAD 1 SITEPROP IOB_X0Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y70 IS_RESERVED 0 SITEPROP IOB_X0Y70 IS_TEST 0 SITEPROP IOB_X0Y70 IS_USED 0 SITEPROP IOB_X0Y70 MANUAL_ROUTING SITEPROP IOB_X0Y70 NAME IOB_X0Y70 SITEPROP IOB_X0Y70 NUM_ARCS 12 SITEPROP IOB_X0Y70 NUM_BELS 7 SITEPROP IOB_X0Y70 NUM_INPUTS 12 SITEPROP IOB_X0Y70 NUM_OUTPUTS 5 SITEPROP IOB_X0Y70 NUM_PINS 17 SITEPROP IOB_X0Y70 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y70 PROHIBIT 0 SITEPROP IOB_X0Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y70 RPM_X 1 SITEPROP IOB_X0Y70 RPM_Y 138 SITEPROP IOB_X0Y70 SITE_PIPS SITEPROP IOB_X0Y70 SITE_TYPE IOB33M SITEPROP IOB_X0Y71 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y71 CLASS site SITEPROP IOB_X0Y71 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y71 IS_BONDED 1 SITEPROP IOB_X0Y71 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y71 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y71 IS_PAD 1 SITEPROP IOB_X0Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y71 IS_RESERVED 0 SITEPROP IOB_X0Y71 IS_TEST 0 SITEPROP IOB_X0Y71 IS_USED 0 SITEPROP IOB_X0Y71 MANUAL_ROUTING SITEPROP IOB_X0Y71 NAME IOB_X0Y71 SITEPROP IOB_X0Y71 NUM_ARCS 14 SITEPROP IOB_X0Y71 NUM_BELS 8 SITEPROP IOB_X0Y71 NUM_INPUTS 12 SITEPROP IOB_X0Y71 NUM_OUTPUTS 5 SITEPROP IOB_X0Y71 NUM_PINS 17 SITEPROP IOB_X0Y71 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y71 PROHIBIT 0 SITEPROP IOB_X0Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y71 RPM_X 1 SITEPROP IOB_X0Y71 RPM_Y 143 SITEPROP IOB_X0Y71 SITE_PIPS SITEPROP IOB_X0Y71 SITE_TYPE IOB33S SITEPROP IOB_X0Y72 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y72 CLASS site SITEPROP IOB_X0Y72 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y72 IS_BONDED 1 SITEPROP IOB_X0Y72 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y72 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y72 IS_PAD 1 SITEPROP IOB_X0Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y72 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y72 IS_RESERVED 0 SITEPROP IOB_X0Y72 IS_TEST 0 SITEPROP IOB_X0Y72 IS_USED 0 SITEPROP IOB_X0Y72 MANUAL_ROUTING SITEPROP IOB_X0Y72 NAME IOB_X0Y72 SITEPROP IOB_X0Y72 NUM_ARCS 12 SITEPROP IOB_X0Y72 NUM_BELS 7 SITEPROP IOB_X0Y72 NUM_INPUTS 12 SITEPROP IOB_X0Y72 NUM_OUTPUTS 5 SITEPROP IOB_X0Y72 NUM_PINS 17 SITEPROP IOB_X0Y72 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y72 PROHIBIT 0 SITEPROP IOB_X0Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y72 RPM_X 1 SITEPROP IOB_X0Y72 RPM_Y 142 SITEPROP IOB_X0Y72 SITE_PIPS SITEPROP IOB_X0Y72 SITE_TYPE IOB33M SITEPROP IOB_X0Y73 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y73 CLASS site SITEPROP IOB_X0Y73 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y73 IS_BONDED 1 SITEPROP IOB_X0Y73 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y73 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y73 IS_PAD 1 SITEPROP IOB_X0Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y73 IS_RESERVED 0 SITEPROP IOB_X0Y73 IS_TEST 0 SITEPROP IOB_X0Y73 IS_USED 0 SITEPROP IOB_X0Y73 MANUAL_ROUTING SITEPROP IOB_X0Y73 NAME IOB_X0Y73 SITEPROP IOB_X0Y73 NUM_ARCS 14 SITEPROP IOB_X0Y73 NUM_BELS 8 SITEPROP IOB_X0Y73 NUM_INPUTS 12 SITEPROP IOB_X0Y73 NUM_OUTPUTS 5 SITEPROP IOB_X0Y73 NUM_PINS 17 SITEPROP IOB_X0Y73 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y73 PROHIBIT 0 SITEPROP IOB_X0Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y73 RPM_X 1 SITEPROP IOB_X0Y73 RPM_Y 147 SITEPROP IOB_X0Y73 SITE_PIPS SITEPROP IOB_X0Y73 SITE_TYPE IOB33S SITEPROP IOB_X0Y74 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y74 CLASS site SITEPROP IOB_X0Y74 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y74 IS_BONDED 1 SITEPROP IOB_X0Y74 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y74 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y74 IS_PAD 1 SITEPROP IOB_X0Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y74 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y74 IS_RESERVED 0 SITEPROP IOB_X0Y74 IS_TEST 0 SITEPROP IOB_X0Y74 IS_USED 0 SITEPROP IOB_X0Y74 MANUAL_ROUTING SITEPROP IOB_X0Y74 NAME IOB_X0Y74 SITEPROP IOB_X0Y74 NUM_ARCS 12 SITEPROP IOB_X0Y74 NUM_BELS 7 SITEPROP IOB_X0Y74 NUM_INPUTS 12 SITEPROP IOB_X0Y74 NUM_OUTPUTS 5 SITEPROP IOB_X0Y74 NUM_PINS 17 SITEPROP IOB_X0Y74 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y74 PROHIBIT 0 SITEPROP IOB_X0Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y74 RPM_X 1 SITEPROP IOB_X0Y74 RPM_Y 146 SITEPROP IOB_X0Y74 SITE_PIPS SITEPROP IOB_X0Y74 SITE_TYPE IOB33M SITEPROP IOB_X0Y75 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y75 CLASS site SITEPROP IOB_X0Y75 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y75 IS_BONDED 1 SITEPROP IOB_X0Y75 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y75 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y75 IS_PAD 1 SITEPROP IOB_X0Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y75 IS_RESERVED 0 SITEPROP IOB_X0Y75 IS_TEST 0 SITEPROP IOB_X0Y75 IS_USED 0 SITEPROP IOB_X0Y75 MANUAL_ROUTING SITEPROP IOB_X0Y75 NAME IOB_X0Y75 SITEPROP IOB_X0Y75 NUM_ARCS 14 SITEPROP IOB_X0Y75 NUM_BELS 8 SITEPROP IOB_X0Y75 NUM_INPUTS 12 SITEPROP IOB_X0Y75 NUM_OUTPUTS 5 SITEPROP IOB_X0Y75 NUM_PINS 17 SITEPROP IOB_X0Y75 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y75 PROHIBIT 0 SITEPROP IOB_X0Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y75 RPM_X 1 SITEPROP IOB_X0Y75 RPM_Y 151 SITEPROP IOB_X0Y75 SITE_PIPS SITEPROP IOB_X0Y75 SITE_TYPE IOB33S SITEPROP IOB_X0Y76 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y76 CLASS site SITEPROP IOB_X0Y76 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y76 IS_BONDED 1 SITEPROP IOB_X0Y76 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y76 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y76 IS_PAD 1 SITEPROP IOB_X0Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y76 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y76 IS_RESERVED 0 SITEPROP IOB_X0Y76 IS_TEST 0 SITEPROP IOB_X0Y76 IS_USED 0 SITEPROP IOB_X0Y76 MANUAL_ROUTING SITEPROP IOB_X0Y76 NAME IOB_X0Y76 SITEPROP IOB_X0Y76 NUM_ARCS 12 SITEPROP IOB_X0Y76 NUM_BELS 7 SITEPROP IOB_X0Y76 NUM_INPUTS 12 SITEPROP IOB_X0Y76 NUM_OUTPUTS 5 SITEPROP IOB_X0Y76 NUM_PINS 17 SITEPROP IOB_X0Y76 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y76 PROHIBIT 0 SITEPROP IOB_X0Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y76 RPM_X 1 SITEPROP IOB_X0Y76 RPM_Y 150 SITEPROP IOB_X0Y76 SITE_PIPS SITEPROP IOB_X0Y76 SITE_TYPE IOB33M SITEPROP IOB_X0Y77 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y77 CLASS site SITEPROP IOB_X0Y77 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y77 IS_BONDED 1 SITEPROP IOB_X0Y77 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y77 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y77 IS_PAD 1 SITEPROP IOB_X0Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y77 IS_RESERVED 0 SITEPROP IOB_X0Y77 IS_TEST 0 SITEPROP IOB_X0Y77 IS_USED 0 SITEPROP IOB_X0Y77 MANUAL_ROUTING SITEPROP IOB_X0Y77 NAME IOB_X0Y77 SITEPROP IOB_X0Y77 NUM_ARCS 14 SITEPROP IOB_X0Y77 NUM_BELS 8 SITEPROP IOB_X0Y77 NUM_INPUTS 12 SITEPROP IOB_X0Y77 NUM_OUTPUTS 5 SITEPROP IOB_X0Y77 NUM_PINS 17 SITEPROP IOB_X0Y77 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y77 PROHIBIT 0 SITEPROP IOB_X0Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y77 RPM_X 1 SITEPROP IOB_X0Y77 RPM_Y 155 SITEPROP IOB_X0Y77 SITE_PIPS SITEPROP IOB_X0Y77 SITE_TYPE IOB33S SITEPROP IOB_X0Y78 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y78 CLASS site SITEPROP IOB_X0Y78 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y78 IS_BONDED 1 SITEPROP IOB_X0Y78 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y78 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y78 IS_PAD 1 SITEPROP IOB_X0Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y78 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y78 IS_RESERVED 0 SITEPROP IOB_X0Y78 IS_TEST 0 SITEPROP IOB_X0Y78 IS_USED 0 SITEPROP IOB_X0Y78 MANUAL_ROUTING SITEPROP IOB_X0Y78 NAME IOB_X0Y78 SITEPROP IOB_X0Y78 NUM_ARCS 12 SITEPROP IOB_X0Y78 NUM_BELS 7 SITEPROP IOB_X0Y78 NUM_INPUTS 12 SITEPROP IOB_X0Y78 NUM_OUTPUTS 5 SITEPROP IOB_X0Y78 NUM_PINS 17 SITEPROP IOB_X0Y78 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y78 PROHIBIT 0 SITEPROP IOB_X0Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y78 RPM_X 1 SITEPROP IOB_X0Y78 RPM_Y 154 SITEPROP IOB_X0Y78 SITE_PIPS SITEPROP IOB_X0Y78 SITE_TYPE IOB33M SITEPROP IOB_X0Y79 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y79 CLASS site SITEPROP IOB_X0Y79 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y79 IS_BONDED 1 SITEPROP IOB_X0Y79 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y79 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y79 IS_PAD 1 SITEPROP IOB_X0Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y79 IS_RESERVED 0 SITEPROP IOB_X0Y79 IS_TEST 0 SITEPROP IOB_X0Y79 IS_USED 0 SITEPROP IOB_X0Y79 MANUAL_ROUTING SITEPROP IOB_X0Y79 NAME IOB_X0Y79 SITEPROP IOB_X0Y79 NUM_ARCS 14 SITEPROP IOB_X0Y79 NUM_BELS 8 SITEPROP IOB_X0Y79 NUM_INPUTS 12 SITEPROP IOB_X0Y79 NUM_OUTPUTS 5 SITEPROP IOB_X0Y79 NUM_PINS 17 SITEPROP IOB_X0Y79 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y79 PROHIBIT 0 SITEPROP IOB_X0Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y79 RPM_X 1 SITEPROP IOB_X0Y79 RPM_Y 159 SITEPROP IOB_X0Y79 SITE_PIPS SITEPROP IOB_X0Y79 SITE_TYPE IOB33S SITEPROP IOB_X0Y80 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y80 CLASS site SITEPROP IOB_X0Y80 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y80 IS_BONDED 1 SITEPROP IOB_X0Y80 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y80 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y80 IS_PAD 1 SITEPROP IOB_X0Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y80 IS_RESERVED 0 SITEPROP IOB_X0Y80 IS_TEST 0 SITEPROP IOB_X0Y80 IS_USED 0 SITEPROP IOB_X0Y80 MANUAL_ROUTING SITEPROP IOB_X0Y80 NAME IOB_X0Y80 SITEPROP IOB_X0Y80 NUM_ARCS 12 SITEPROP IOB_X0Y80 NUM_BELS 7 SITEPROP IOB_X0Y80 NUM_INPUTS 12 SITEPROP IOB_X0Y80 NUM_OUTPUTS 5 SITEPROP IOB_X0Y80 NUM_PINS 17 SITEPROP IOB_X0Y80 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y80 PROHIBIT 0 SITEPROP IOB_X0Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y80 RPM_X 1 SITEPROP IOB_X0Y80 RPM_Y 158 SITEPROP IOB_X0Y80 SITE_PIPS SITEPROP IOB_X0Y80 SITE_TYPE IOB33M SITEPROP IOB_X0Y81 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y81 CLASS site SITEPROP IOB_X0Y81 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y81 IS_BONDED 1 SITEPROP IOB_X0Y81 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y81 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y81 IS_PAD 1 SITEPROP IOB_X0Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y81 IS_RESERVED 0 SITEPROP IOB_X0Y81 IS_TEST 0 SITEPROP IOB_X0Y81 IS_USED 0 SITEPROP IOB_X0Y81 MANUAL_ROUTING SITEPROP IOB_X0Y81 NAME IOB_X0Y81 SITEPROP IOB_X0Y81 NUM_ARCS 14 SITEPROP IOB_X0Y81 NUM_BELS 8 SITEPROP IOB_X0Y81 NUM_INPUTS 12 SITEPROP IOB_X0Y81 NUM_OUTPUTS 5 SITEPROP IOB_X0Y81 NUM_PINS 17 SITEPROP IOB_X0Y81 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y81 PROHIBIT 0 SITEPROP IOB_X0Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y81 RPM_X 1 SITEPROP IOB_X0Y81 RPM_Y 163 SITEPROP IOB_X0Y81 SITE_PIPS SITEPROP IOB_X0Y81 SITE_TYPE IOB33S SITEPROP IOB_X0Y82 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y82 CLASS site SITEPROP IOB_X0Y82 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y82 IS_BONDED 1 SITEPROP IOB_X0Y82 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y82 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y82 IS_PAD 1 SITEPROP IOB_X0Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y82 IS_RESERVED 0 SITEPROP IOB_X0Y82 IS_TEST 0 SITEPROP IOB_X0Y82 IS_USED 0 SITEPROP IOB_X0Y82 MANUAL_ROUTING SITEPROP IOB_X0Y82 NAME IOB_X0Y82 SITEPROP IOB_X0Y82 NUM_ARCS 12 SITEPROP IOB_X0Y82 NUM_BELS 7 SITEPROP IOB_X0Y82 NUM_INPUTS 12 SITEPROP IOB_X0Y82 NUM_OUTPUTS 5 SITEPROP IOB_X0Y82 NUM_PINS 17 SITEPROP IOB_X0Y82 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y82 PROHIBIT 0 SITEPROP IOB_X0Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y82 RPM_X 1 SITEPROP IOB_X0Y82 RPM_Y 162 SITEPROP IOB_X0Y82 SITE_PIPS SITEPROP IOB_X0Y82 SITE_TYPE IOB33M SITEPROP IOB_X0Y83 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y83 CLASS site SITEPROP IOB_X0Y83 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y83 IS_BONDED 1 SITEPROP IOB_X0Y83 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y83 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y83 IS_PAD 1 SITEPROP IOB_X0Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y83 IS_RESERVED 0 SITEPROP IOB_X0Y83 IS_TEST 0 SITEPROP IOB_X0Y83 IS_USED 0 SITEPROP IOB_X0Y83 MANUAL_ROUTING SITEPROP IOB_X0Y83 NAME IOB_X0Y83 SITEPROP IOB_X0Y83 NUM_ARCS 14 SITEPROP IOB_X0Y83 NUM_BELS 8 SITEPROP IOB_X0Y83 NUM_INPUTS 12 SITEPROP IOB_X0Y83 NUM_OUTPUTS 5 SITEPROP IOB_X0Y83 NUM_PINS 17 SITEPROP IOB_X0Y83 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y83 PROHIBIT 0 SITEPROP IOB_X0Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y83 RPM_X 1 SITEPROP IOB_X0Y83 RPM_Y 167 SITEPROP IOB_X0Y83 SITE_PIPS SITEPROP IOB_X0Y83 SITE_TYPE IOB33S SITEPROP IOB_X0Y84 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y84 CLASS site SITEPROP IOB_X0Y84 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y84 IS_BONDED 1 SITEPROP IOB_X0Y84 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y84 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y84 IS_PAD 1 SITEPROP IOB_X0Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y84 IS_RESERVED 0 SITEPROP IOB_X0Y84 IS_TEST 0 SITEPROP IOB_X0Y84 IS_USED 0 SITEPROP IOB_X0Y84 MANUAL_ROUTING SITEPROP IOB_X0Y84 NAME IOB_X0Y84 SITEPROP IOB_X0Y84 NUM_ARCS 12 SITEPROP IOB_X0Y84 NUM_BELS 7 SITEPROP IOB_X0Y84 NUM_INPUTS 12 SITEPROP IOB_X0Y84 NUM_OUTPUTS 5 SITEPROP IOB_X0Y84 NUM_PINS 17 SITEPROP IOB_X0Y84 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y84 PROHIBIT 0 SITEPROP IOB_X0Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y84 RPM_X 1 SITEPROP IOB_X0Y84 RPM_Y 166 SITEPROP IOB_X0Y84 SITE_PIPS SITEPROP IOB_X0Y84 SITE_TYPE IOB33M SITEPROP IOB_X0Y85 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y85 CLASS site SITEPROP IOB_X0Y85 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y85 IS_BONDED 1 SITEPROP IOB_X0Y85 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y85 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y85 IS_PAD 1 SITEPROP IOB_X0Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y85 IS_RESERVED 0 SITEPROP IOB_X0Y85 IS_TEST 0 SITEPROP IOB_X0Y85 IS_USED 0 SITEPROP IOB_X0Y85 MANUAL_ROUTING SITEPROP IOB_X0Y85 NAME IOB_X0Y85 SITEPROP IOB_X0Y85 NUM_ARCS 14 SITEPROP IOB_X0Y85 NUM_BELS 8 SITEPROP IOB_X0Y85 NUM_INPUTS 12 SITEPROP IOB_X0Y85 NUM_OUTPUTS 5 SITEPROP IOB_X0Y85 NUM_PINS 17 SITEPROP IOB_X0Y85 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y85 PROHIBIT 0 SITEPROP IOB_X0Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y85 RPM_X 1 SITEPROP IOB_X0Y85 RPM_Y 171 SITEPROP IOB_X0Y85 SITE_PIPS SITEPROP IOB_X0Y85 SITE_TYPE IOB33S SITEPROP IOB_X0Y86 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y86 CLASS site SITEPROP IOB_X0Y86 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y86 IS_BONDED 1 SITEPROP IOB_X0Y86 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y86 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y86 IS_PAD 1 SITEPROP IOB_X0Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y86 IS_RESERVED 0 SITEPROP IOB_X0Y86 IS_TEST 0 SITEPROP IOB_X0Y86 IS_USED 0 SITEPROP IOB_X0Y86 MANUAL_ROUTING SITEPROP IOB_X0Y86 NAME IOB_X0Y86 SITEPROP IOB_X0Y86 NUM_ARCS 12 SITEPROP IOB_X0Y86 NUM_BELS 7 SITEPROP IOB_X0Y86 NUM_INPUTS 12 SITEPROP IOB_X0Y86 NUM_OUTPUTS 5 SITEPROP IOB_X0Y86 NUM_PINS 17 SITEPROP IOB_X0Y86 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y86 PROHIBIT 0 SITEPROP IOB_X0Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y86 RPM_X 1 SITEPROP IOB_X0Y86 RPM_Y 170 SITEPROP IOB_X0Y86 SITE_PIPS SITEPROP IOB_X0Y86 SITE_TYPE IOB33M SITEPROP IOB_X0Y87 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y87 CLASS site SITEPROP IOB_X0Y87 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y87 IS_BONDED 1 SITEPROP IOB_X0Y87 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y87 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y87 IS_PAD 1 SITEPROP IOB_X0Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y87 IS_RESERVED 0 SITEPROP IOB_X0Y87 IS_TEST 0 SITEPROP IOB_X0Y87 IS_USED 0 SITEPROP IOB_X0Y87 MANUAL_ROUTING SITEPROP IOB_X0Y87 NAME IOB_X0Y87 SITEPROP IOB_X0Y87 NUM_ARCS 14 SITEPROP IOB_X0Y87 NUM_BELS 8 SITEPROP IOB_X0Y87 NUM_INPUTS 12 SITEPROP IOB_X0Y87 NUM_OUTPUTS 5 SITEPROP IOB_X0Y87 NUM_PINS 17 SITEPROP IOB_X0Y87 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y87 PROHIBIT 0 SITEPROP IOB_X0Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y87 RPM_X 1 SITEPROP IOB_X0Y87 RPM_Y 175 SITEPROP IOB_X0Y87 SITE_PIPS SITEPROP IOB_X0Y87 SITE_TYPE IOB33S SITEPROP IOB_X0Y88 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y88 CLASS site SITEPROP IOB_X0Y88 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y88 IS_BONDED 1 SITEPROP IOB_X0Y88 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y88 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y88 IS_PAD 1 SITEPROP IOB_X0Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y88 IS_RESERVED 0 SITEPROP IOB_X0Y88 IS_TEST 0 SITEPROP IOB_X0Y88 IS_USED 0 SITEPROP IOB_X0Y88 MANUAL_ROUTING SITEPROP IOB_X0Y88 NAME IOB_X0Y88 SITEPROP IOB_X0Y88 NUM_ARCS 12 SITEPROP IOB_X0Y88 NUM_BELS 7 SITEPROP IOB_X0Y88 NUM_INPUTS 12 SITEPROP IOB_X0Y88 NUM_OUTPUTS 5 SITEPROP IOB_X0Y88 NUM_PINS 17 SITEPROP IOB_X0Y88 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y88 PROHIBIT 0 SITEPROP IOB_X0Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y88 RPM_X 1 SITEPROP IOB_X0Y88 RPM_Y 174 SITEPROP IOB_X0Y88 SITE_PIPS SITEPROP IOB_X0Y88 SITE_TYPE IOB33M SITEPROP IOB_X0Y89 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y89 CLASS site SITEPROP IOB_X0Y89 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y89 IS_BONDED 1 SITEPROP IOB_X0Y89 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y89 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y89 IS_PAD 1 SITEPROP IOB_X0Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y89 IS_RESERVED 0 SITEPROP IOB_X0Y89 IS_TEST 0 SITEPROP IOB_X0Y89 IS_USED 0 SITEPROP IOB_X0Y89 MANUAL_ROUTING SITEPROP IOB_X0Y89 NAME IOB_X0Y89 SITEPROP IOB_X0Y89 NUM_ARCS 14 SITEPROP IOB_X0Y89 NUM_BELS 8 SITEPROP IOB_X0Y89 NUM_INPUTS 12 SITEPROP IOB_X0Y89 NUM_OUTPUTS 5 SITEPROP IOB_X0Y89 NUM_PINS 17 SITEPROP IOB_X0Y89 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y89 PROHIBIT 0 SITEPROP IOB_X0Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y89 RPM_X 1 SITEPROP IOB_X0Y89 RPM_Y 179 SITEPROP IOB_X0Y89 SITE_PIPS SITEPROP IOB_X0Y89 SITE_TYPE IOB33S SITEPROP IOB_X0Y90 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y90 CLASS site SITEPROP IOB_X0Y90 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y90 IS_BONDED 1 SITEPROP IOB_X0Y90 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y90 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y90 IS_PAD 1 SITEPROP IOB_X0Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y90 IS_RESERVED 0 SITEPROP IOB_X0Y90 IS_TEST 0 SITEPROP IOB_X0Y90 IS_USED 0 SITEPROP IOB_X0Y90 MANUAL_ROUTING SITEPROP IOB_X0Y90 NAME IOB_X0Y90 SITEPROP IOB_X0Y90 NUM_ARCS 12 SITEPROP IOB_X0Y90 NUM_BELS 7 SITEPROP IOB_X0Y90 NUM_INPUTS 12 SITEPROP IOB_X0Y90 NUM_OUTPUTS 5 SITEPROP IOB_X0Y90 NUM_PINS 17 SITEPROP IOB_X0Y90 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y90 PROHIBIT 0 SITEPROP IOB_X0Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y90 RPM_X 1 SITEPROP IOB_X0Y90 RPM_Y 178 SITEPROP IOB_X0Y90 SITE_PIPS SITEPROP IOB_X0Y90 SITE_TYPE IOB33M SITEPROP IOB_X0Y91 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y91 CLASS site SITEPROP IOB_X0Y91 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y91 IS_BONDED 1 SITEPROP IOB_X0Y91 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y91 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y91 IS_PAD 1 SITEPROP IOB_X0Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y91 IS_RESERVED 0 SITEPROP IOB_X0Y91 IS_TEST 0 SITEPROP IOB_X0Y91 IS_USED 0 SITEPROP IOB_X0Y91 MANUAL_ROUTING SITEPROP IOB_X0Y91 NAME IOB_X0Y91 SITEPROP IOB_X0Y91 NUM_ARCS 14 SITEPROP IOB_X0Y91 NUM_BELS 8 SITEPROP IOB_X0Y91 NUM_INPUTS 12 SITEPROP IOB_X0Y91 NUM_OUTPUTS 5 SITEPROP IOB_X0Y91 NUM_PINS 17 SITEPROP IOB_X0Y91 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y91 PROHIBIT 0 SITEPROP IOB_X0Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y91 RPM_X 1 SITEPROP IOB_X0Y91 RPM_Y 183 SITEPROP IOB_X0Y91 SITE_PIPS SITEPROP IOB_X0Y91 SITE_TYPE IOB33S SITEPROP IOB_X0Y92 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y92 CLASS site SITEPROP IOB_X0Y92 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y92 IS_BONDED 1 SITEPROP IOB_X0Y92 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y92 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y92 IS_PAD 1 SITEPROP IOB_X0Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y92 IS_RESERVED 0 SITEPROP IOB_X0Y92 IS_TEST 0 SITEPROP IOB_X0Y92 IS_USED 0 SITEPROP IOB_X0Y92 MANUAL_ROUTING SITEPROP IOB_X0Y92 NAME IOB_X0Y92 SITEPROP IOB_X0Y92 NUM_ARCS 12 SITEPROP IOB_X0Y92 NUM_BELS 7 SITEPROP IOB_X0Y92 NUM_INPUTS 12 SITEPROP IOB_X0Y92 NUM_OUTPUTS 5 SITEPROP IOB_X0Y92 NUM_PINS 17 SITEPROP IOB_X0Y92 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y92 PROHIBIT 0 SITEPROP IOB_X0Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y92 RPM_X 1 SITEPROP IOB_X0Y92 RPM_Y 182 SITEPROP IOB_X0Y92 SITE_PIPS SITEPROP IOB_X0Y92 SITE_TYPE IOB33M SITEPROP IOB_X0Y93 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y93 CLASS site SITEPROP IOB_X0Y93 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y93 IS_BONDED 1 SITEPROP IOB_X0Y93 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y93 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y93 IS_PAD 1 SITEPROP IOB_X0Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y93 IS_RESERVED 0 SITEPROP IOB_X0Y93 IS_TEST 0 SITEPROP IOB_X0Y93 IS_USED 0 SITEPROP IOB_X0Y93 MANUAL_ROUTING SITEPROP IOB_X0Y93 NAME IOB_X0Y93 SITEPROP IOB_X0Y93 NUM_ARCS 14 SITEPROP IOB_X0Y93 NUM_BELS 8 SITEPROP IOB_X0Y93 NUM_INPUTS 12 SITEPROP IOB_X0Y93 NUM_OUTPUTS 5 SITEPROP IOB_X0Y93 NUM_PINS 17 SITEPROP IOB_X0Y93 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y93 PROHIBIT 0 SITEPROP IOB_X0Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y93 RPM_X 1 SITEPROP IOB_X0Y93 RPM_Y 187 SITEPROP IOB_X0Y93 SITE_PIPS SITEPROP IOB_X0Y93 SITE_TYPE IOB33S SITEPROP IOB_X0Y94 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y94 CLASS site SITEPROP IOB_X0Y94 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y94 IS_BONDED 1 SITEPROP IOB_X0Y94 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y94 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y94 IS_PAD 1 SITEPROP IOB_X0Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y94 IS_RESERVED 0 SITEPROP IOB_X0Y94 IS_TEST 0 SITEPROP IOB_X0Y94 IS_USED 0 SITEPROP IOB_X0Y94 MANUAL_ROUTING SITEPROP IOB_X0Y94 NAME IOB_X0Y94 SITEPROP IOB_X0Y94 NUM_ARCS 12 SITEPROP IOB_X0Y94 NUM_BELS 7 SITEPROP IOB_X0Y94 NUM_INPUTS 12 SITEPROP IOB_X0Y94 NUM_OUTPUTS 5 SITEPROP IOB_X0Y94 NUM_PINS 17 SITEPROP IOB_X0Y94 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y94 PROHIBIT 0 SITEPROP IOB_X0Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y94 RPM_X 1 SITEPROP IOB_X0Y94 RPM_Y 186 SITEPROP IOB_X0Y94 SITE_PIPS SITEPROP IOB_X0Y94 SITE_TYPE IOB33M SITEPROP IOB_X0Y95 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y95 CLASS site SITEPROP IOB_X0Y95 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y95 IS_BONDED 1 SITEPROP IOB_X0Y95 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y95 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y95 IS_PAD 1 SITEPROP IOB_X0Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y95 IS_RESERVED 0 SITEPROP IOB_X0Y95 IS_TEST 0 SITEPROP IOB_X0Y95 IS_USED 0 SITEPROP IOB_X0Y95 MANUAL_ROUTING SITEPROP IOB_X0Y95 NAME IOB_X0Y95 SITEPROP IOB_X0Y95 NUM_ARCS 14 SITEPROP IOB_X0Y95 NUM_BELS 8 SITEPROP IOB_X0Y95 NUM_INPUTS 12 SITEPROP IOB_X0Y95 NUM_OUTPUTS 5 SITEPROP IOB_X0Y95 NUM_PINS 17 SITEPROP IOB_X0Y95 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y95 PROHIBIT 0 SITEPROP IOB_X0Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y95 RPM_X 1 SITEPROP IOB_X0Y95 RPM_Y 191 SITEPROP IOB_X0Y95 SITE_PIPS SITEPROP IOB_X0Y95 SITE_TYPE IOB33S SITEPROP IOB_X0Y96 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y96 CLASS site SITEPROP IOB_X0Y96 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y96 IS_BONDED 1 SITEPROP IOB_X0Y96 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y96 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y96 IS_PAD 1 SITEPROP IOB_X0Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y96 IS_RESERVED 0 SITEPROP IOB_X0Y96 IS_TEST 0 SITEPROP IOB_X0Y96 IS_USED 0 SITEPROP IOB_X0Y96 MANUAL_ROUTING SITEPROP IOB_X0Y96 NAME IOB_X0Y96 SITEPROP IOB_X0Y96 NUM_ARCS 12 SITEPROP IOB_X0Y96 NUM_BELS 7 SITEPROP IOB_X0Y96 NUM_INPUTS 12 SITEPROP IOB_X0Y96 NUM_OUTPUTS 5 SITEPROP IOB_X0Y96 NUM_PINS 17 SITEPROP IOB_X0Y96 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y96 PROHIBIT 0 SITEPROP IOB_X0Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y96 RPM_X 1 SITEPROP IOB_X0Y96 RPM_Y 190 SITEPROP IOB_X0Y96 SITE_PIPS SITEPROP IOB_X0Y96 SITE_TYPE IOB33M SITEPROP IOB_X0Y97 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y97 CLASS site SITEPROP IOB_X0Y97 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y97 IS_BONDED 1 SITEPROP IOB_X0Y97 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y97 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y97 IS_PAD 1 SITEPROP IOB_X0Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y97 IS_RESERVED 0 SITEPROP IOB_X0Y97 IS_TEST 0 SITEPROP IOB_X0Y97 IS_USED 0 SITEPROP IOB_X0Y97 MANUAL_ROUTING SITEPROP IOB_X0Y97 NAME IOB_X0Y97 SITEPROP IOB_X0Y97 NUM_ARCS 14 SITEPROP IOB_X0Y97 NUM_BELS 8 SITEPROP IOB_X0Y97 NUM_INPUTS 12 SITEPROP IOB_X0Y97 NUM_OUTPUTS 5 SITEPROP IOB_X0Y97 NUM_PINS 17 SITEPROP IOB_X0Y97 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y97 PROHIBIT 0 SITEPROP IOB_X0Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y97 RPM_X 1 SITEPROP IOB_X0Y97 RPM_Y 195 SITEPROP IOB_X0Y97 SITE_PIPS SITEPROP IOB_X0Y97 SITE_TYPE IOB33S SITEPROP IOB_X0Y98 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y98 CLASS site SITEPROP IOB_X0Y98 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y98 IS_BONDED 1 SITEPROP IOB_X0Y98 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y98 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y98 IS_PAD 1 SITEPROP IOB_X0Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y98 IS_RESERVED 0 SITEPROP IOB_X0Y98 IS_TEST 0 SITEPROP IOB_X0Y98 IS_USED 0 SITEPROP IOB_X0Y98 MANUAL_ROUTING SITEPROP IOB_X0Y98 NAME IOB_X0Y98 SITEPROP IOB_X0Y98 NUM_ARCS 12 SITEPROP IOB_X0Y98 NUM_BELS 7 SITEPROP IOB_X0Y98 NUM_INPUTS 12 SITEPROP IOB_X0Y98 NUM_OUTPUTS 5 SITEPROP IOB_X0Y98 NUM_PINS 17 SITEPROP IOB_X0Y98 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y98 PROHIBIT 0 SITEPROP IOB_X0Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y98 RPM_X 1 SITEPROP IOB_X0Y98 RPM_Y 194 SITEPROP IOB_X0Y98 SITE_PIPS SITEPROP IOB_X0Y98 SITE_TYPE IOB33M SITEPROP IOB_X0Y99 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X0Y99 CLASS site SITEPROP IOB_X0Y99 CLOCK_REGION X0Y1 SITEPROP IOB_X0Y99 IS_BONDED 1 SITEPROP IOB_X0Y99 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y99 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y99 IS_PAD 1 SITEPROP IOB_X0Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y99 IS_RESERVED 0 SITEPROP IOB_X0Y99 IS_TEST 0 SITEPROP IOB_X0Y99 IS_USED 0 SITEPROP IOB_X0Y99 MANUAL_ROUTING SITEPROP IOB_X0Y99 NAME IOB_X0Y99 SITEPROP IOB_X0Y99 NUM_ARCS 9 SITEPROP IOB_X0Y99 NUM_BELS 7 SITEPROP IOB_X0Y99 NUM_INPUTS 12 SITEPROP IOB_X0Y99 NUM_OUTPUTS 5 SITEPROP IOB_X0Y99 NUM_PINS 17 SITEPROP IOB_X0Y99 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y99 PROHIBIT 0 SITEPROP IOB_X0Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y99 RPM_X 1 SITEPROP IOB_X0Y99 RPM_Y 198 SITEPROP IOB_X0Y99 SITE_PIPS SITEPROP IOB_X0Y99 SITE_TYPE IOB33 SITEPROP IOB_X0Y100 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X0Y100 CLASS site SITEPROP IOB_X0Y100 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y100 IS_BONDED 1 SITEPROP IOB_X0Y100 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y100 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y100 IS_PAD 1 SITEPROP IOB_X0Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y100 IS_RESERVED 0 SITEPROP IOB_X0Y100 IS_TEST 0 SITEPROP IOB_X0Y100 IS_USED 1 SITEPROP IOB_X0Y100 MANUAL_ROUTING SITEPROP IOB_X0Y100 NAME IOB_X0Y100 SITEPROP IOB_X0Y100 NUM_ARCS 9 SITEPROP IOB_X0Y100 NUM_BELS 7 SITEPROP IOB_X0Y100 NUM_INPUTS 12 SITEPROP IOB_X0Y100 NUM_OUTPUTS 5 SITEPROP IOB_X0Y100 NUM_PINS 17 SITEPROP IOB_X0Y100 PRIMITIVE_COUNT 1 SITEPROP IOB_X0Y100 PROHIBIT 0 SITEPROP IOB_X0Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y100 RPM_X 1 SITEPROP IOB_X0Y100 RPM_Y 200 SITEPROP IOB_X0Y100 SITE_PIPS SITEPROP IOB_X0Y100 SITE_TYPE IOB33 SITEPROP IOB_X0Y101 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y101 CLASS site SITEPROP IOB_X0Y101 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y101 IS_BONDED 1 SITEPROP IOB_X0Y101 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y101 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y101 IS_PAD 1 SITEPROP IOB_X0Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y101 IS_RESERVED 0 SITEPROP IOB_X0Y101 IS_TEST 0 SITEPROP IOB_X0Y101 IS_USED 1 SITEPROP IOB_X0Y101 MANUAL_ROUTING SITEPROP IOB_X0Y101 NAME IOB_X0Y101 SITEPROP IOB_X0Y101 NUM_ARCS 14 SITEPROP IOB_X0Y101 NUM_BELS 8 SITEPROP IOB_X0Y101 NUM_INPUTS 12 SITEPROP IOB_X0Y101 NUM_OUTPUTS 5 SITEPROP IOB_X0Y101 NUM_PINS 17 SITEPROP IOB_X0Y101 PRIMITIVE_COUNT 1 SITEPROP IOB_X0Y101 PROHIBIT 0 SITEPROP IOB_X0Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y101 RPM_X 1 SITEPROP IOB_X0Y101 RPM_Y 203 SITEPROP IOB_X0Y101 SITE_PIPS SITEPROP IOB_X0Y101 SITE_TYPE IOB33S SITEPROP IOB_X0Y102 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y102 CLASS site SITEPROP IOB_X0Y102 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y102 IS_BONDED 1 SITEPROP IOB_X0Y102 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y102 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y102 IS_PAD 1 SITEPROP IOB_X0Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y102 IS_RESERVED 0 SITEPROP IOB_X0Y102 IS_TEST 0 SITEPROP IOB_X0Y102 IS_USED 1 SITEPROP IOB_X0Y102 MANUAL_ROUTING SITEPROP IOB_X0Y102 NAME IOB_X0Y102 SITEPROP IOB_X0Y102 NUM_ARCS 12 SITEPROP IOB_X0Y102 NUM_BELS 7 SITEPROP IOB_X0Y102 NUM_INPUTS 12 SITEPROP IOB_X0Y102 NUM_OUTPUTS 5 SITEPROP IOB_X0Y102 NUM_PINS 17 SITEPROP IOB_X0Y102 PRIMITIVE_COUNT 1 SITEPROP IOB_X0Y102 PROHIBIT 0 SITEPROP IOB_X0Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y102 RPM_X 1 SITEPROP IOB_X0Y102 RPM_Y 202 SITEPROP IOB_X0Y102 SITE_PIPS SITEPROP IOB_X0Y102 SITE_TYPE IOB33M SITEPROP IOB_X0Y103 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y103 CLASS site SITEPROP IOB_X0Y103 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y103 IS_BONDED 1 SITEPROP IOB_X0Y103 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y103 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y103 IS_PAD 1 SITEPROP IOB_X0Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y103 IS_RESERVED 0 SITEPROP IOB_X0Y103 IS_TEST 0 SITEPROP IOB_X0Y103 IS_USED 1 SITEPROP IOB_X0Y103 MANUAL_ROUTING SITEPROP IOB_X0Y103 NAME IOB_X0Y103 SITEPROP IOB_X0Y103 NUM_ARCS 14 SITEPROP IOB_X0Y103 NUM_BELS 8 SITEPROP IOB_X0Y103 NUM_INPUTS 12 SITEPROP IOB_X0Y103 NUM_OUTPUTS 5 SITEPROP IOB_X0Y103 NUM_PINS 17 SITEPROP IOB_X0Y103 PRIMITIVE_COUNT 1 SITEPROP IOB_X0Y103 PROHIBIT 0 SITEPROP IOB_X0Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y103 RPM_X 1 SITEPROP IOB_X0Y103 RPM_Y 207 SITEPROP IOB_X0Y103 SITE_PIPS SITEPROP IOB_X0Y103 SITE_TYPE IOB33S SITEPROP IOB_X0Y104 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y104 CLASS site SITEPROP IOB_X0Y104 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y104 IS_BONDED 1 SITEPROP IOB_X0Y104 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y104 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y104 IS_PAD 1 SITEPROP IOB_X0Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y104 IS_RESERVED 0 SITEPROP IOB_X0Y104 IS_TEST 0 SITEPROP IOB_X0Y104 IS_USED 1 SITEPROP IOB_X0Y104 MANUAL_ROUTING SITEPROP IOB_X0Y104 NAME IOB_X0Y104 SITEPROP IOB_X0Y104 NUM_ARCS 12 SITEPROP IOB_X0Y104 NUM_BELS 7 SITEPROP IOB_X0Y104 NUM_INPUTS 12 SITEPROP IOB_X0Y104 NUM_OUTPUTS 5 SITEPROP IOB_X0Y104 NUM_PINS 17 SITEPROP IOB_X0Y104 PRIMITIVE_COUNT 1 SITEPROP IOB_X0Y104 PROHIBIT 0 SITEPROP IOB_X0Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y104 RPM_X 1 SITEPROP IOB_X0Y104 RPM_Y 206 SITEPROP IOB_X0Y104 SITE_PIPS SITEPROP IOB_X0Y104 SITE_TYPE IOB33M SITEPROP IOB_X0Y105 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y105 CLASS site SITEPROP IOB_X0Y105 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y105 IS_BONDED 1 SITEPROP IOB_X0Y105 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y105 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y105 IS_PAD 1 SITEPROP IOB_X0Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y105 IS_RESERVED 0 SITEPROP IOB_X0Y105 IS_TEST 0 SITEPROP IOB_X0Y105 IS_USED 1 SITEPROP IOB_X0Y105 MANUAL_ROUTING SITEPROP IOB_X0Y105 NAME IOB_X0Y105 SITEPROP IOB_X0Y105 NUM_ARCS 14 SITEPROP IOB_X0Y105 NUM_BELS 8 SITEPROP IOB_X0Y105 NUM_INPUTS 12 SITEPROP IOB_X0Y105 NUM_OUTPUTS 5 SITEPROP IOB_X0Y105 NUM_PINS 17 SITEPROP IOB_X0Y105 PRIMITIVE_COUNT 1 SITEPROP IOB_X0Y105 PROHIBIT 0 SITEPROP IOB_X0Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y105 RPM_X 1 SITEPROP IOB_X0Y105 RPM_Y 211 SITEPROP IOB_X0Y105 SITE_PIPS SITEPROP IOB_X0Y105 SITE_TYPE IOB33S SITEPROP IOB_X0Y106 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y106 CLASS site SITEPROP IOB_X0Y106 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y106 IS_BONDED 1 SITEPROP IOB_X0Y106 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y106 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y106 IS_PAD 1 SITEPROP IOB_X0Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y106 IS_RESERVED 0 SITEPROP IOB_X0Y106 IS_TEST 0 SITEPROP IOB_X0Y106 IS_USED 1 SITEPROP IOB_X0Y106 MANUAL_ROUTING SITEPROP IOB_X0Y106 NAME IOB_X0Y106 SITEPROP IOB_X0Y106 NUM_ARCS 12 SITEPROP IOB_X0Y106 NUM_BELS 7 SITEPROP IOB_X0Y106 NUM_INPUTS 12 SITEPROP IOB_X0Y106 NUM_OUTPUTS 5 SITEPROP IOB_X0Y106 NUM_PINS 17 SITEPROP IOB_X0Y106 PRIMITIVE_COUNT 1 SITEPROP IOB_X0Y106 PROHIBIT 0 SITEPROP IOB_X0Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y106 RPM_X 1 SITEPROP IOB_X0Y106 RPM_Y 210 SITEPROP IOB_X0Y106 SITE_PIPS SITEPROP IOB_X0Y106 SITE_TYPE IOB33M SITEPROP IOB_X0Y107 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y107 CLASS site SITEPROP IOB_X0Y107 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y107 IS_BONDED 1 SITEPROP IOB_X0Y107 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y107 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y107 IS_PAD 1 SITEPROP IOB_X0Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y107 IS_RESERVED 0 SITEPROP IOB_X0Y107 IS_TEST 0 SITEPROP IOB_X0Y107 IS_USED 0 SITEPROP IOB_X0Y107 MANUAL_ROUTING SITEPROP IOB_X0Y107 NAME IOB_X0Y107 SITEPROP IOB_X0Y107 NUM_ARCS 14 SITEPROP IOB_X0Y107 NUM_BELS 8 SITEPROP IOB_X0Y107 NUM_INPUTS 12 SITEPROP IOB_X0Y107 NUM_OUTPUTS 5 SITEPROP IOB_X0Y107 NUM_PINS 17 SITEPROP IOB_X0Y107 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y107 PROHIBIT 0 SITEPROP IOB_X0Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y107 RPM_X 1 SITEPROP IOB_X0Y107 RPM_Y 215 SITEPROP IOB_X0Y107 SITE_PIPS SITEPROP IOB_X0Y107 SITE_TYPE IOB33S SITEPROP IOB_X0Y108 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y108 CLASS site SITEPROP IOB_X0Y108 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y108 IS_BONDED 1 SITEPROP IOB_X0Y108 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y108 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y108 IS_PAD 1 SITEPROP IOB_X0Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y108 IS_RESERVED 0 SITEPROP IOB_X0Y108 IS_TEST 0 SITEPROP IOB_X0Y108 IS_USED 0 SITEPROP IOB_X0Y108 MANUAL_ROUTING SITEPROP IOB_X0Y108 NAME IOB_X0Y108 SITEPROP IOB_X0Y108 NUM_ARCS 12 SITEPROP IOB_X0Y108 NUM_BELS 7 SITEPROP IOB_X0Y108 NUM_INPUTS 12 SITEPROP IOB_X0Y108 NUM_OUTPUTS 5 SITEPROP IOB_X0Y108 NUM_PINS 17 SITEPROP IOB_X0Y108 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y108 PROHIBIT 0 SITEPROP IOB_X0Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y108 RPM_X 1 SITEPROP IOB_X0Y108 RPM_Y 214 SITEPROP IOB_X0Y108 SITE_PIPS SITEPROP IOB_X0Y108 SITE_TYPE IOB33M SITEPROP IOB_X0Y109 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y109 CLASS site SITEPROP IOB_X0Y109 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y109 IS_BONDED 1 SITEPROP IOB_X0Y109 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y109 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y109 IS_PAD 1 SITEPROP IOB_X0Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y109 IS_RESERVED 0 SITEPROP IOB_X0Y109 IS_TEST 0 SITEPROP IOB_X0Y109 IS_USED 0 SITEPROP IOB_X0Y109 MANUAL_ROUTING SITEPROP IOB_X0Y109 NAME IOB_X0Y109 SITEPROP IOB_X0Y109 NUM_ARCS 14 SITEPROP IOB_X0Y109 NUM_BELS 8 SITEPROP IOB_X0Y109 NUM_INPUTS 12 SITEPROP IOB_X0Y109 NUM_OUTPUTS 5 SITEPROP IOB_X0Y109 NUM_PINS 17 SITEPROP IOB_X0Y109 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y109 PROHIBIT 0 SITEPROP IOB_X0Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y109 RPM_X 1 SITEPROP IOB_X0Y109 RPM_Y 219 SITEPROP IOB_X0Y109 SITE_PIPS SITEPROP IOB_X0Y109 SITE_TYPE IOB33S SITEPROP IOB_X0Y110 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y110 CLASS site SITEPROP IOB_X0Y110 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y110 IS_BONDED 1 SITEPROP IOB_X0Y110 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y110 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y110 IS_PAD 1 SITEPROP IOB_X0Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y110 IS_RESERVED 0 SITEPROP IOB_X0Y110 IS_TEST 0 SITEPROP IOB_X0Y110 IS_USED 0 SITEPROP IOB_X0Y110 MANUAL_ROUTING SITEPROP IOB_X0Y110 NAME IOB_X0Y110 SITEPROP IOB_X0Y110 NUM_ARCS 12 SITEPROP IOB_X0Y110 NUM_BELS 7 SITEPROP IOB_X0Y110 NUM_INPUTS 12 SITEPROP IOB_X0Y110 NUM_OUTPUTS 5 SITEPROP IOB_X0Y110 NUM_PINS 17 SITEPROP IOB_X0Y110 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y110 PROHIBIT 0 SITEPROP IOB_X0Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y110 RPM_X 1 SITEPROP IOB_X0Y110 RPM_Y 218 SITEPROP IOB_X0Y110 SITE_PIPS SITEPROP IOB_X0Y110 SITE_TYPE IOB33M SITEPROP IOB_X0Y111 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y111 CLASS site SITEPROP IOB_X0Y111 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y111 IS_BONDED 1 SITEPROP IOB_X0Y111 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y111 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y111 IS_PAD 1 SITEPROP IOB_X0Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y111 IS_RESERVED 0 SITEPROP IOB_X0Y111 IS_TEST 0 SITEPROP IOB_X0Y111 IS_USED 0 SITEPROP IOB_X0Y111 MANUAL_ROUTING SITEPROP IOB_X0Y111 NAME IOB_X0Y111 SITEPROP IOB_X0Y111 NUM_ARCS 14 SITEPROP IOB_X0Y111 NUM_BELS 8 SITEPROP IOB_X0Y111 NUM_INPUTS 12 SITEPROP IOB_X0Y111 NUM_OUTPUTS 5 SITEPROP IOB_X0Y111 NUM_PINS 17 SITEPROP IOB_X0Y111 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y111 PROHIBIT 0 SITEPROP IOB_X0Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y111 RPM_X 1 SITEPROP IOB_X0Y111 RPM_Y 223 SITEPROP IOB_X0Y111 SITE_PIPS SITEPROP IOB_X0Y111 SITE_TYPE IOB33S SITEPROP IOB_X0Y112 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y112 CLASS site SITEPROP IOB_X0Y112 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y112 IS_BONDED 1 SITEPROP IOB_X0Y112 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y112 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y112 IS_PAD 1 SITEPROP IOB_X0Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y112 IS_RESERVED 0 SITEPROP IOB_X0Y112 IS_TEST 0 SITEPROP IOB_X0Y112 IS_USED 0 SITEPROP IOB_X0Y112 MANUAL_ROUTING SITEPROP IOB_X0Y112 NAME IOB_X0Y112 SITEPROP IOB_X0Y112 NUM_ARCS 12 SITEPROP IOB_X0Y112 NUM_BELS 7 SITEPROP IOB_X0Y112 NUM_INPUTS 12 SITEPROP IOB_X0Y112 NUM_OUTPUTS 5 SITEPROP IOB_X0Y112 NUM_PINS 17 SITEPROP IOB_X0Y112 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y112 PROHIBIT 0 SITEPROP IOB_X0Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y112 RPM_X 1 SITEPROP IOB_X0Y112 RPM_Y 222 SITEPROP IOB_X0Y112 SITE_PIPS SITEPROP IOB_X0Y112 SITE_TYPE IOB33M SITEPROP IOB_X0Y113 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y113 CLASS site SITEPROP IOB_X0Y113 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y113 IS_BONDED 1 SITEPROP IOB_X0Y113 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y113 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y113 IS_PAD 1 SITEPROP IOB_X0Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y113 IS_RESERVED 0 SITEPROP IOB_X0Y113 IS_TEST 0 SITEPROP IOB_X0Y113 IS_USED 0 SITEPROP IOB_X0Y113 MANUAL_ROUTING SITEPROP IOB_X0Y113 NAME IOB_X0Y113 SITEPROP IOB_X0Y113 NUM_ARCS 14 SITEPROP IOB_X0Y113 NUM_BELS 8 SITEPROP IOB_X0Y113 NUM_INPUTS 12 SITEPROP IOB_X0Y113 NUM_OUTPUTS 5 SITEPROP IOB_X0Y113 NUM_PINS 17 SITEPROP IOB_X0Y113 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y113 PROHIBIT 0 SITEPROP IOB_X0Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y113 RPM_X 1 SITEPROP IOB_X0Y113 RPM_Y 227 SITEPROP IOB_X0Y113 SITE_PIPS SITEPROP IOB_X0Y113 SITE_TYPE IOB33S SITEPROP IOB_X0Y114 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y114 CLASS site SITEPROP IOB_X0Y114 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y114 IS_BONDED 1 SITEPROP IOB_X0Y114 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y114 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y114 IS_PAD 1 SITEPROP IOB_X0Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y114 IS_RESERVED 0 SITEPROP IOB_X0Y114 IS_TEST 0 SITEPROP IOB_X0Y114 IS_USED 0 SITEPROP IOB_X0Y114 MANUAL_ROUTING SITEPROP IOB_X0Y114 NAME IOB_X0Y114 SITEPROP IOB_X0Y114 NUM_ARCS 12 SITEPROP IOB_X0Y114 NUM_BELS 7 SITEPROP IOB_X0Y114 NUM_INPUTS 12 SITEPROP IOB_X0Y114 NUM_OUTPUTS 5 SITEPROP IOB_X0Y114 NUM_PINS 17 SITEPROP IOB_X0Y114 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y114 PROHIBIT 0 SITEPROP IOB_X0Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y114 RPM_X 1 SITEPROP IOB_X0Y114 RPM_Y 226 SITEPROP IOB_X0Y114 SITE_PIPS SITEPROP IOB_X0Y114 SITE_TYPE IOB33M SITEPROP IOB_X0Y115 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y115 CLASS site SITEPROP IOB_X0Y115 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y115 IS_BONDED 1 SITEPROP IOB_X0Y115 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y115 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y115 IS_PAD 1 SITEPROP IOB_X0Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y115 IS_RESERVED 0 SITEPROP IOB_X0Y115 IS_TEST 0 SITEPROP IOB_X0Y115 IS_USED 0 SITEPROP IOB_X0Y115 MANUAL_ROUTING SITEPROP IOB_X0Y115 NAME IOB_X0Y115 SITEPROP IOB_X0Y115 NUM_ARCS 14 SITEPROP IOB_X0Y115 NUM_BELS 8 SITEPROP IOB_X0Y115 NUM_INPUTS 12 SITEPROP IOB_X0Y115 NUM_OUTPUTS 5 SITEPROP IOB_X0Y115 NUM_PINS 17 SITEPROP IOB_X0Y115 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y115 PROHIBIT 0 SITEPROP IOB_X0Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y115 RPM_X 1 SITEPROP IOB_X0Y115 RPM_Y 231 SITEPROP IOB_X0Y115 SITE_PIPS SITEPROP IOB_X0Y115 SITE_TYPE IOB33S SITEPROP IOB_X0Y116 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y116 CLASS site SITEPROP IOB_X0Y116 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y116 IS_BONDED 1 SITEPROP IOB_X0Y116 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y116 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y116 IS_PAD 1 SITEPROP IOB_X0Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y116 IS_RESERVED 0 SITEPROP IOB_X0Y116 IS_TEST 0 SITEPROP IOB_X0Y116 IS_USED 0 SITEPROP IOB_X0Y116 MANUAL_ROUTING SITEPROP IOB_X0Y116 NAME IOB_X0Y116 SITEPROP IOB_X0Y116 NUM_ARCS 12 SITEPROP IOB_X0Y116 NUM_BELS 7 SITEPROP IOB_X0Y116 NUM_INPUTS 12 SITEPROP IOB_X0Y116 NUM_OUTPUTS 5 SITEPROP IOB_X0Y116 NUM_PINS 17 SITEPROP IOB_X0Y116 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y116 PROHIBIT 0 SITEPROP IOB_X0Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y116 RPM_X 1 SITEPROP IOB_X0Y116 RPM_Y 230 SITEPROP IOB_X0Y116 SITE_PIPS SITEPROP IOB_X0Y116 SITE_TYPE IOB33M SITEPROP IOB_X0Y117 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y117 CLASS site SITEPROP IOB_X0Y117 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y117 IS_BONDED 1 SITEPROP IOB_X0Y117 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y117 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y117 IS_PAD 1 SITEPROP IOB_X0Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y117 IS_RESERVED 0 SITEPROP IOB_X0Y117 IS_TEST 0 SITEPROP IOB_X0Y117 IS_USED 0 SITEPROP IOB_X0Y117 MANUAL_ROUTING SITEPROP IOB_X0Y117 NAME IOB_X0Y117 SITEPROP IOB_X0Y117 NUM_ARCS 14 SITEPROP IOB_X0Y117 NUM_BELS 8 SITEPROP IOB_X0Y117 NUM_INPUTS 12 SITEPROP IOB_X0Y117 NUM_OUTPUTS 5 SITEPROP IOB_X0Y117 NUM_PINS 17 SITEPROP IOB_X0Y117 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y117 PROHIBIT 0 SITEPROP IOB_X0Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y117 RPM_X 1 SITEPROP IOB_X0Y117 RPM_Y 235 SITEPROP IOB_X0Y117 SITE_PIPS SITEPROP IOB_X0Y117 SITE_TYPE IOB33S SITEPROP IOB_X0Y118 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y118 CLASS site SITEPROP IOB_X0Y118 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y118 IS_BONDED 1 SITEPROP IOB_X0Y118 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y118 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y118 IS_PAD 1 SITEPROP IOB_X0Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y118 IS_RESERVED 0 SITEPROP IOB_X0Y118 IS_TEST 0 SITEPROP IOB_X0Y118 IS_USED 0 SITEPROP IOB_X0Y118 MANUAL_ROUTING SITEPROP IOB_X0Y118 NAME IOB_X0Y118 SITEPROP IOB_X0Y118 NUM_ARCS 12 SITEPROP IOB_X0Y118 NUM_BELS 7 SITEPROP IOB_X0Y118 NUM_INPUTS 12 SITEPROP IOB_X0Y118 NUM_OUTPUTS 5 SITEPROP IOB_X0Y118 NUM_PINS 17 SITEPROP IOB_X0Y118 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y118 PROHIBIT 0 SITEPROP IOB_X0Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y118 RPM_X 1 SITEPROP IOB_X0Y118 RPM_Y 234 SITEPROP IOB_X0Y118 SITE_PIPS SITEPROP IOB_X0Y118 SITE_TYPE IOB33M SITEPROP IOB_X0Y119 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y119 CLASS site SITEPROP IOB_X0Y119 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y119 IS_BONDED 1 SITEPROP IOB_X0Y119 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y119 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y119 IS_PAD 1 SITEPROP IOB_X0Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y119 IS_RESERVED 0 SITEPROP IOB_X0Y119 IS_TEST 0 SITEPROP IOB_X0Y119 IS_USED 0 SITEPROP IOB_X0Y119 MANUAL_ROUTING SITEPROP IOB_X0Y119 NAME IOB_X0Y119 SITEPROP IOB_X0Y119 NUM_ARCS 14 SITEPROP IOB_X0Y119 NUM_BELS 8 SITEPROP IOB_X0Y119 NUM_INPUTS 12 SITEPROP IOB_X0Y119 NUM_OUTPUTS 5 SITEPROP IOB_X0Y119 NUM_PINS 17 SITEPROP IOB_X0Y119 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y119 PROHIBIT 0 SITEPROP IOB_X0Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y119 RPM_X 1 SITEPROP IOB_X0Y119 RPM_Y 239 SITEPROP IOB_X0Y119 SITE_PIPS SITEPROP IOB_X0Y119 SITE_TYPE IOB33S SITEPROP IOB_X0Y120 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y120 CLASS site SITEPROP IOB_X0Y120 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y120 IS_BONDED 1 SITEPROP IOB_X0Y120 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y120 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y120 IS_PAD 1 SITEPROP IOB_X0Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y120 IS_RESERVED 0 SITEPROP IOB_X0Y120 IS_TEST 0 SITEPROP IOB_X0Y120 IS_USED 0 SITEPROP IOB_X0Y120 MANUAL_ROUTING SITEPROP IOB_X0Y120 NAME IOB_X0Y120 SITEPROP IOB_X0Y120 NUM_ARCS 12 SITEPROP IOB_X0Y120 NUM_BELS 7 SITEPROP IOB_X0Y120 NUM_INPUTS 12 SITEPROP IOB_X0Y120 NUM_OUTPUTS 5 SITEPROP IOB_X0Y120 NUM_PINS 17 SITEPROP IOB_X0Y120 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y120 PROHIBIT 0 SITEPROP IOB_X0Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y120 RPM_X 1 SITEPROP IOB_X0Y120 RPM_Y 238 SITEPROP IOB_X0Y120 SITE_PIPS SITEPROP IOB_X0Y120 SITE_TYPE IOB33M SITEPROP IOB_X0Y121 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y121 CLASS site SITEPROP IOB_X0Y121 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y121 IS_BONDED 1 SITEPROP IOB_X0Y121 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y121 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y121 IS_PAD 1 SITEPROP IOB_X0Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y121 IS_RESERVED 0 SITEPROP IOB_X0Y121 IS_TEST 0 SITEPROP IOB_X0Y121 IS_USED 0 SITEPROP IOB_X0Y121 MANUAL_ROUTING SITEPROP IOB_X0Y121 NAME IOB_X0Y121 SITEPROP IOB_X0Y121 NUM_ARCS 14 SITEPROP IOB_X0Y121 NUM_BELS 8 SITEPROP IOB_X0Y121 NUM_INPUTS 12 SITEPROP IOB_X0Y121 NUM_OUTPUTS 5 SITEPROP IOB_X0Y121 NUM_PINS 17 SITEPROP IOB_X0Y121 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y121 PROHIBIT 0 SITEPROP IOB_X0Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y121 RPM_X 1 SITEPROP IOB_X0Y121 RPM_Y 243 SITEPROP IOB_X0Y121 SITE_PIPS SITEPROP IOB_X0Y121 SITE_TYPE IOB33S SITEPROP IOB_X0Y122 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y122 CLASS site SITEPROP IOB_X0Y122 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y122 IS_BONDED 1 SITEPROP IOB_X0Y122 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y122 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y122 IS_PAD 1 SITEPROP IOB_X0Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y122 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y122 IS_RESERVED 0 SITEPROP IOB_X0Y122 IS_TEST 0 SITEPROP IOB_X0Y122 IS_USED 0 SITEPROP IOB_X0Y122 MANUAL_ROUTING SITEPROP IOB_X0Y122 NAME IOB_X0Y122 SITEPROP IOB_X0Y122 NUM_ARCS 12 SITEPROP IOB_X0Y122 NUM_BELS 7 SITEPROP IOB_X0Y122 NUM_INPUTS 12 SITEPROP IOB_X0Y122 NUM_OUTPUTS 5 SITEPROP IOB_X0Y122 NUM_PINS 17 SITEPROP IOB_X0Y122 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y122 PROHIBIT 0 SITEPROP IOB_X0Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y122 RPM_X 1 SITEPROP IOB_X0Y122 RPM_Y 242 SITEPROP IOB_X0Y122 SITE_PIPS SITEPROP IOB_X0Y122 SITE_TYPE IOB33M SITEPROP IOB_X0Y123 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y123 CLASS site SITEPROP IOB_X0Y123 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y123 IS_BONDED 1 SITEPROP IOB_X0Y123 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y123 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y123 IS_PAD 1 SITEPROP IOB_X0Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y123 IS_RESERVED 0 SITEPROP IOB_X0Y123 IS_TEST 0 SITEPROP IOB_X0Y123 IS_USED 0 SITEPROP IOB_X0Y123 MANUAL_ROUTING SITEPROP IOB_X0Y123 NAME IOB_X0Y123 SITEPROP IOB_X0Y123 NUM_ARCS 14 SITEPROP IOB_X0Y123 NUM_BELS 8 SITEPROP IOB_X0Y123 NUM_INPUTS 12 SITEPROP IOB_X0Y123 NUM_OUTPUTS 5 SITEPROP IOB_X0Y123 NUM_PINS 17 SITEPROP IOB_X0Y123 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y123 PROHIBIT 0 SITEPROP IOB_X0Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y123 RPM_X 1 SITEPROP IOB_X0Y123 RPM_Y 247 SITEPROP IOB_X0Y123 SITE_PIPS SITEPROP IOB_X0Y123 SITE_TYPE IOB33S SITEPROP IOB_X0Y124 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y124 CLASS site SITEPROP IOB_X0Y124 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y124 IS_BONDED 1 SITEPROP IOB_X0Y124 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y124 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y124 IS_PAD 1 SITEPROP IOB_X0Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y124 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y124 IS_RESERVED 0 SITEPROP IOB_X0Y124 IS_TEST 0 SITEPROP IOB_X0Y124 IS_USED 0 SITEPROP IOB_X0Y124 MANUAL_ROUTING SITEPROP IOB_X0Y124 NAME IOB_X0Y124 SITEPROP IOB_X0Y124 NUM_ARCS 12 SITEPROP IOB_X0Y124 NUM_BELS 7 SITEPROP IOB_X0Y124 NUM_INPUTS 12 SITEPROP IOB_X0Y124 NUM_OUTPUTS 5 SITEPROP IOB_X0Y124 NUM_PINS 17 SITEPROP IOB_X0Y124 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y124 PROHIBIT 0 SITEPROP IOB_X0Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y124 RPM_X 1 SITEPROP IOB_X0Y124 RPM_Y 246 SITEPROP IOB_X0Y124 SITE_PIPS SITEPROP IOB_X0Y124 SITE_TYPE IOB33M SITEPROP IOB_X0Y125 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y125 CLASS site SITEPROP IOB_X0Y125 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y125 IS_BONDED 1 SITEPROP IOB_X0Y125 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y125 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y125 IS_PAD 1 SITEPROP IOB_X0Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y125 IS_RESERVED 0 SITEPROP IOB_X0Y125 IS_TEST 0 SITEPROP IOB_X0Y125 IS_USED 0 SITEPROP IOB_X0Y125 MANUAL_ROUTING SITEPROP IOB_X0Y125 NAME IOB_X0Y125 SITEPROP IOB_X0Y125 NUM_ARCS 14 SITEPROP IOB_X0Y125 NUM_BELS 8 SITEPROP IOB_X0Y125 NUM_INPUTS 12 SITEPROP IOB_X0Y125 NUM_OUTPUTS 5 SITEPROP IOB_X0Y125 NUM_PINS 17 SITEPROP IOB_X0Y125 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y125 PROHIBIT 0 SITEPROP IOB_X0Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y125 RPM_X 1 SITEPROP IOB_X0Y125 RPM_Y 251 SITEPROP IOB_X0Y125 SITE_PIPS SITEPROP IOB_X0Y125 SITE_TYPE IOB33S SITEPROP IOB_X0Y126 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y126 CLASS site SITEPROP IOB_X0Y126 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y126 IS_BONDED 1 SITEPROP IOB_X0Y126 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y126 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y126 IS_PAD 1 SITEPROP IOB_X0Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y126 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y126 IS_RESERVED 0 SITEPROP IOB_X0Y126 IS_TEST 0 SITEPROP IOB_X0Y126 IS_USED 0 SITEPROP IOB_X0Y126 MANUAL_ROUTING SITEPROP IOB_X0Y126 NAME IOB_X0Y126 SITEPROP IOB_X0Y126 NUM_ARCS 12 SITEPROP IOB_X0Y126 NUM_BELS 7 SITEPROP IOB_X0Y126 NUM_INPUTS 12 SITEPROP IOB_X0Y126 NUM_OUTPUTS 5 SITEPROP IOB_X0Y126 NUM_PINS 17 SITEPROP IOB_X0Y126 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y126 PROHIBIT 0 SITEPROP IOB_X0Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y126 RPM_X 1 SITEPROP IOB_X0Y126 RPM_Y 250 SITEPROP IOB_X0Y126 SITE_PIPS SITEPROP IOB_X0Y126 SITE_TYPE IOB33M SITEPROP IOB_X0Y127 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y127 CLASS site SITEPROP IOB_X0Y127 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y127 IS_BONDED 1 SITEPROP IOB_X0Y127 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y127 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y127 IS_PAD 1 SITEPROP IOB_X0Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y127 IS_RESERVED 0 SITEPROP IOB_X0Y127 IS_TEST 0 SITEPROP IOB_X0Y127 IS_USED 0 SITEPROP IOB_X0Y127 MANUAL_ROUTING SITEPROP IOB_X0Y127 NAME IOB_X0Y127 SITEPROP IOB_X0Y127 NUM_ARCS 14 SITEPROP IOB_X0Y127 NUM_BELS 8 SITEPROP IOB_X0Y127 NUM_INPUTS 12 SITEPROP IOB_X0Y127 NUM_OUTPUTS 5 SITEPROP IOB_X0Y127 NUM_PINS 17 SITEPROP IOB_X0Y127 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y127 PROHIBIT 0 SITEPROP IOB_X0Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y127 RPM_X 1 SITEPROP IOB_X0Y127 RPM_Y 255 SITEPROP IOB_X0Y127 SITE_PIPS SITEPROP IOB_X0Y127 SITE_TYPE IOB33S SITEPROP IOB_X0Y128 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y128 CLASS site SITEPROP IOB_X0Y128 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y128 IS_BONDED 1 SITEPROP IOB_X0Y128 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y128 IS_CLOCK_PAD 1 SITEPROP IOB_X0Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y128 IS_PAD 1 SITEPROP IOB_X0Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y128 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X0Y128 IS_RESERVED 0 SITEPROP IOB_X0Y128 IS_TEST 0 SITEPROP IOB_X0Y128 IS_USED 0 SITEPROP IOB_X0Y128 MANUAL_ROUTING SITEPROP IOB_X0Y128 NAME IOB_X0Y128 SITEPROP IOB_X0Y128 NUM_ARCS 12 SITEPROP IOB_X0Y128 NUM_BELS 7 SITEPROP IOB_X0Y128 NUM_INPUTS 12 SITEPROP IOB_X0Y128 NUM_OUTPUTS 5 SITEPROP IOB_X0Y128 NUM_PINS 17 SITEPROP IOB_X0Y128 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y128 PROHIBIT 0 SITEPROP IOB_X0Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y128 RPM_X 1 SITEPROP IOB_X0Y128 RPM_Y 254 SITEPROP IOB_X0Y128 SITE_PIPS SITEPROP IOB_X0Y128 SITE_TYPE IOB33M SITEPROP IOB_X0Y129 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y129 CLASS site SITEPROP IOB_X0Y129 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y129 IS_BONDED 1 SITEPROP IOB_X0Y129 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y129 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y129 IS_PAD 1 SITEPROP IOB_X0Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y129 IS_RESERVED 0 SITEPROP IOB_X0Y129 IS_TEST 0 SITEPROP IOB_X0Y129 IS_USED 0 SITEPROP IOB_X0Y129 MANUAL_ROUTING SITEPROP IOB_X0Y129 NAME IOB_X0Y129 SITEPROP IOB_X0Y129 NUM_ARCS 14 SITEPROP IOB_X0Y129 NUM_BELS 8 SITEPROP IOB_X0Y129 NUM_INPUTS 12 SITEPROP IOB_X0Y129 NUM_OUTPUTS 5 SITEPROP IOB_X0Y129 NUM_PINS 17 SITEPROP IOB_X0Y129 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y129 PROHIBIT 0 SITEPROP IOB_X0Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y129 RPM_X 1 SITEPROP IOB_X0Y129 RPM_Y 259 SITEPROP IOB_X0Y129 SITE_PIPS SITEPROP IOB_X0Y129 SITE_TYPE IOB33S SITEPROP IOB_X0Y130 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y130 CLASS site SITEPROP IOB_X0Y130 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y130 IS_BONDED 1 SITEPROP IOB_X0Y130 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y130 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y130 IS_PAD 1 SITEPROP IOB_X0Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y130 IS_RESERVED 0 SITEPROP IOB_X0Y130 IS_TEST 0 SITEPROP IOB_X0Y130 IS_USED 0 SITEPROP IOB_X0Y130 MANUAL_ROUTING SITEPROP IOB_X0Y130 NAME IOB_X0Y130 SITEPROP IOB_X0Y130 NUM_ARCS 12 SITEPROP IOB_X0Y130 NUM_BELS 7 SITEPROP IOB_X0Y130 NUM_INPUTS 12 SITEPROP IOB_X0Y130 NUM_OUTPUTS 5 SITEPROP IOB_X0Y130 NUM_PINS 17 SITEPROP IOB_X0Y130 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y130 PROHIBIT 0 SITEPROP IOB_X0Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y130 RPM_X 1 SITEPROP IOB_X0Y130 RPM_Y 258 SITEPROP IOB_X0Y130 SITE_PIPS SITEPROP IOB_X0Y130 SITE_TYPE IOB33M SITEPROP IOB_X0Y131 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y131 CLASS site SITEPROP IOB_X0Y131 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y131 IS_BONDED 1 SITEPROP IOB_X0Y131 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y131 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y131 IS_PAD 1 SITEPROP IOB_X0Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y131 IS_RESERVED 0 SITEPROP IOB_X0Y131 IS_TEST 0 SITEPROP IOB_X0Y131 IS_USED 0 SITEPROP IOB_X0Y131 MANUAL_ROUTING SITEPROP IOB_X0Y131 NAME IOB_X0Y131 SITEPROP IOB_X0Y131 NUM_ARCS 14 SITEPROP IOB_X0Y131 NUM_BELS 8 SITEPROP IOB_X0Y131 NUM_INPUTS 12 SITEPROP IOB_X0Y131 NUM_OUTPUTS 5 SITEPROP IOB_X0Y131 NUM_PINS 17 SITEPROP IOB_X0Y131 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y131 PROHIBIT 0 SITEPROP IOB_X0Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y131 RPM_X 1 SITEPROP IOB_X0Y131 RPM_Y 263 SITEPROP IOB_X0Y131 SITE_PIPS SITEPROP IOB_X0Y131 SITE_TYPE IOB33S SITEPROP IOB_X0Y132 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y132 CLASS site SITEPROP IOB_X0Y132 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y132 IS_BONDED 1 SITEPROP IOB_X0Y132 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y132 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y132 IS_PAD 1 SITEPROP IOB_X0Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y132 IS_RESERVED 0 SITEPROP IOB_X0Y132 IS_TEST 0 SITEPROP IOB_X0Y132 IS_USED 0 SITEPROP IOB_X0Y132 MANUAL_ROUTING SITEPROP IOB_X0Y132 NAME IOB_X0Y132 SITEPROP IOB_X0Y132 NUM_ARCS 12 SITEPROP IOB_X0Y132 NUM_BELS 7 SITEPROP IOB_X0Y132 NUM_INPUTS 12 SITEPROP IOB_X0Y132 NUM_OUTPUTS 5 SITEPROP IOB_X0Y132 NUM_PINS 17 SITEPROP IOB_X0Y132 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y132 PROHIBIT 0 SITEPROP IOB_X0Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y132 RPM_X 1 SITEPROP IOB_X0Y132 RPM_Y 262 SITEPROP IOB_X0Y132 SITE_PIPS SITEPROP IOB_X0Y132 SITE_TYPE IOB33M SITEPROP IOB_X0Y133 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y133 CLASS site SITEPROP IOB_X0Y133 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y133 IS_BONDED 1 SITEPROP IOB_X0Y133 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y133 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y133 IS_PAD 1 SITEPROP IOB_X0Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y133 IS_RESERVED 0 SITEPROP IOB_X0Y133 IS_TEST 0 SITEPROP IOB_X0Y133 IS_USED 0 SITEPROP IOB_X0Y133 MANUAL_ROUTING SITEPROP IOB_X0Y133 NAME IOB_X0Y133 SITEPROP IOB_X0Y133 NUM_ARCS 14 SITEPROP IOB_X0Y133 NUM_BELS 8 SITEPROP IOB_X0Y133 NUM_INPUTS 12 SITEPROP IOB_X0Y133 NUM_OUTPUTS 5 SITEPROP IOB_X0Y133 NUM_PINS 17 SITEPROP IOB_X0Y133 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y133 PROHIBIT 0 SITEPROP IOB_X0Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y133 RPM_X 1 SITEPROP IOB_X0Y133 RPM_Y 267 SITEPROP IOB_X0Y133 SITE_PIPS SITEPROP IOB_X0Y133 SITE_TYPE IOB33S SITEPROP IOB_X0Y134 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y134 CLASS site SITEPROP IOB_X0Y134 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y134 IS_BONDED 1 SITEPROP IOB_X0Y134 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y134 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y134 IS_PAD 1 SITEPROP IOB_X0Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y134 IS_RESERVED 0 SITEPROP IOB_X0Y134 IS_TEST 0 SITEPROP IOB_X0Y134 IS_USED 0 SITEPROP IOB_X0Y134 MANUAL_ROUTING SITEPROP IOB_X0Y134 NAME IOB_X0Y134 SITEPROP IOB_X0Y134 NUM_ARCS 12 SITEPROP IOB_X0Y134 NUM_BELS 7 SITEPROP IOB_X0Y134 NUM_INPUTS 12 SITEPROP IOB_X0Y134 NUM_OUTPUTS 5 SITEPROP IOB_X0Y134 NUM_PINS 17 SITEPROP IOB_X0Y134 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y134 PROHIBIT 0 SITEPROP IOB_X0Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y134 RPM_X 1 SITEPROP IOB_X0Y134 RPM_Y 266 SITEPROP IOB_X0Y134 SITE_PIPS SITEPROP IOB_X0Y134 SITE_TYPE IOB33M SITEPROP IOB_X0Y135 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y135 CLASS site SITEPROP IOB_X0Y135 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y135 IS_BONDED 1 SITEPROP IOB_X0Y135 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y135 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y135 IS_PAD 1 SITEPROP IOB_X0Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y135 IS_RESERVED 0 SITEPROP IOB_X0Y135 IS_TEST 0 SITEPROP IOB_X0Y135 IS_USED 0 SITEPROP IOB_X0Y135 MANUAL_ROUTING SITEPROP IOB_X0Y135 NAME IOB_X0Y135 SITEPROP IOB_X0Y135 NUM_ARCS 14 SITEPROP IOB_X0Y135 NUM_BELS 8 SITEPROP IOB_X0Y135 NUM_INPUTS 12 SITEPROP IOB_X0Y135 NUM_OUTPUTS 5 SITEPROP IOB_X0Y135 NUM_PINS 17 SITEPROP IOB_X0Y135 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y135 PROHIBIT 0 SITEPROP IOB_X0Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y135 RPM_X 1 SITEPROP IOB_X0Y135 RPM_Y 271 SITEPROP IOB_X0Y135 SITE_PIPS SITEPROP IOB_X0Y135 SITE_TYPE IOB33S SITEPROP IOB_X0Y136 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y136 CLASS site SITEPROP IOB_X0Y136 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y136 IS_BONDED 1 SITEPROP IOB_X0Y136 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y136 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y136 IS_PAD 1 SITEPROP IOB_X0Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y136 IS_RESERVED 0 SITEPROP IOB_X0Y136 IS_TEST 0 SITEPROP IOB_X0Y136 IS_USED 0 SITEPROP IOB_X0Y136 MANUAL_ROUTING SITEPROP IOB_X0Y136 NAME IOB_X0Y136 SITEPROP IOB_X0Y136 NUM_ARCS 12 SITEPROP IOB_X0Y136 NUM_BELS 7 SITEPROP IOB_X0Y136 NUM_INPUTS 12 SITEPROP IOB_X0Y136 NUM_OUTPUTS 5 SITEPROP IOB_X0Y136 NUM_PINS 17 SITEPROP IOB_X0Y136 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y136 PROHIBIT 0 SITEPROP IOB_X0Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y136 RPM_X 1 SITEPROP IOB_X0Y136 RPM_Y 270 SITEPROP IOB_X0Y136 SITE_PIPS SITEPROP IOB_X0Y136 SITE_TYPE IOB33M SITEPROP IOB_X0Y137 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y137 CLASS site SITEPROP IOB_X0Y137 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y137 IS_BONDED 1 SITEPROP IOB_X0Y137 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y137 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y137 IS_PAD 1 SITEPROP IOB_X0Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y137 IS_RESERVED 0 SITEPROP IOB_X0Y137 IS_TEST 0 SITEPROP IOB_X0Y137 IS_USED 0 SITEPROP IOB_X0Y137 MANUAL_ROUTING SITEPROP IOB_X0Y137 NAME IOB_X0Y137 SITEPROP IOB_X0Y137 NUM_ARCS 14 SITEPROP IOB_X0Y137 NUM_BELS 8 SITEPROP IOB_X0Y137 NUM_INPUTS 12 SITEPROP IOB_X0Y137 NUM_OUTPUTS 5 SITEPROP IOB_X0Y137 NUM_PINS 17 SITEPROP IOB_X0Y137 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y137 PROHIBIT 0 SITEPROP IOB_X0Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y137 RPM_X 1 SITEPROP IOB_X0Y137 RPM_Y 275 SITEPROP IOB_X0Y137 SITE_PIPS SITEPROP IOB_X0Y137 SITE_TYPE IOB33S SITEPROP IOB_X0Y138 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y138 CLASS site SITEPROP IOB_X0Y138 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y138 IS_BONDED 1 SITEPROP IOB_X0Y138 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y138 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y138 IS_PAD 1 SITEPROP IOB_X0Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y138 IS_RESERVED 0 SITEPROP IOB_X0Y138 IS_TEST 0 SITEPROP IOB_X0Y138 IS_USED 0 SITEPROP IOB_X0Y138 MANUAL_ROUTING SITEPROP IOB_X0Y138 NAME IOB_X0Y138 SITEPROP IOB_X0Y138 NUM_ARCS 12 SITEPROP IOB_X0Y138 NUM_BELS 7 SITEPROP IOB_X0Y138 NUM_INPUTS 12 SITEPROP IOB_X0Y138 NUM_OUTPUTS 5 SITEPROP IOB_X0Y138 NUM_PINS 17 SITEPROP IOB_X0Y138 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y138 PROHIBIT 0 SITEPROP IOB_X0Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y138 RPM_X 1 SITEPROP IOB_X0Y138 RPM_Y 274 SITEPROP IOB_X0Y138 SITE_PIPS SITEPROP IOB_X0Y138 SITE_TYPE IOB33M SITEPROP IOB_X0Y139 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y139 CLASS site SITEPROP IOB_X0Y139 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y139 IS_BONDED 1 SITEPROP IOB_X0Y139 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y139 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y139 IS_PAD 1 SITEPROP IOB_X0Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y139 IS_RESERVED 0 SITEPROP IOB_X0Y139 IS_TEST 0 SITEPROP IOB_X0Y139 IS_USED 0 SITEPROP IOB_X0Y139 MANUAL_ROUTING SITEPROP IOB_X0Y139 NAME IOB_X0Y139 SITEPROP IOB_X0Y139 NUM_ARCS 14 SITEPROP IOB_X0Y139 NUM_BELS 8 SITEPROP IOB_X0Y139 NUM_INPUTS 12 SITEPROP IOB_X0Y139 NUM_OUTPUTS 5 SITEPROP IOB_X0Y139 NUM_PINS 17 SITEPROP IOB_X0Y139 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y139 PROHIBIT 0 SITEPROP IOB_X0Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y139 RPM_X 1 SITEPROP IOB_X0Y139 RPM_Y 279 SITEPROP IOB_X0Y139 SITE_PIPS SITEPROP IOB_X0Y139 SITE_TYPE IOB33S SITEPROP IOB_X0Y140 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y140 CLASS site SITEPROP IOB_X0Y140 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y140 IS_BONDED 1 SITEPROP IOB_X0Y140 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y140 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y140 IS_PAD 1 SITEPROP IOB_X0Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y140 IS_RESERVED 0 SITEPROP IOB_X0Y140 IS_TEST 0 SITEPROP IOB_X0Y140 IS_USED 0 SITEPROP IOB_X0Y140 MANUAL_ROUTING SITEPROP IOB_X0Y140 NAME IOB_X0Y140 SITEPROP IOB_X0Y140 NUM_ARCS 12 SITEPROP IOB_X0Y140 NUM_BELS 7 SITEPROP IOB_X0Y140 NUM_INPUTS 12 SITEPROP IOB_X0Y140 NUM_OUTPUTS 5 SITEPROP IOB_X0Y140 NUM_PINS 17 SITEPROP IOB_X0Y140 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y140 PROHIBIT 0 SITEPROP IOB_X0Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y140 RPM_X 1 SITEPROP IOB_X0Y140 RPM_Y 278 SITEPROP IOB_X0Y140 SITE_PIPS SITEPROP IOB_X0Y140 SITE_TYPE IOB33M SITEPROP IOB_X0Y141 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y141 CLASS site SITEPROP IOB_X0Y141 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y141 IS_BONDED 1 SITEPROP IOB_X0Y141 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y141 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y141 IS_PAD 1 SITEPROP IOB_X0Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y141 IS_RESERVED 0 SITEPROP IOB_X0Y141 IS_TEST 0 SITEPROP IOB_X0Y141 IS_USED 0 SITEPROP IOB_X0Y141 MANUAL_ROUTING SITEPROP IOB_X0Y141 NAME IOB_X0Y141 SITEPROP IOB_X0Y141 NUM_ARCS 14 SITEPROP IOB_X0Y141 NUM_BELS 8 SITEPROP IOB_X0Y141 NUM_INPUTS 12 SITEPROP IOB_X0Y141 NUM_OUTPUTS 5 SITEPROP IOB_X0Y141 NUM_PINS 17 SITEPROP IOB_X0Y141 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y141 PROHIBIT 0 SITEPROP IOB_X0Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y141 RPM_X 1 SITEPROP IOB_X0Y141 RPM_Y 283 SITEPROP IOB_X0Y141 SITE_PIPS SITEPROP IOB_X0Y141 SITE_TYPE IOB33S SITEPROP IOB_X0Y142 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y142 CLASS site SITEPROP IOB_X0Y142 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y142 IS_BONDED 1 SITEPROP IOB_X0Y142 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y142 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y142 IS_PAD 1 SITEPROP IOB_X0Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y142 IS_RESERVED 0 SITEPROP IOB_X0Y142 IS_TEST 0 SITEPROP IOB_X0Y142 IS_USED 0 SITEPROP IOB_X0Y142 MANUAL_ROUTING SITEPROP IOB_X0Y142 NAME IOB_X0Y142 SITEPROP IOB_X0Y142 NUM_ARCS 12 SITEPROP IOB_X0Y142 NUM_BELS 7 SITEPROP IOB_X0Y142 NUM_INPUTS 12 SITEPROP IOB_X0Y142 NUM_OUTPUTS 5 SITEPROP IOB_X0Y142 NUM_PINS 17 SITEPROP IOB_X0Y142 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y142 PROHIBIT 0 SITEPROP IOB_X0Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y142 RPM_X 1 SITEPROP IOB_X0Y142 RPM_Y 282 SITEPROP IOB_X0Y142 SITE_PIPS SITEPROP IOB_X0Y142 SITE_TYPE IOB33M SITEPROP IOB_X0Y143 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y143 CLASS site SITEPROP IOB_X0Y143 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y143 IS_BONDED 1 SITEPROP IOB_X0Y143 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y143 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y143 IS_PAD 1 SITEPROP IOB_X0Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y143 IS_RESERVED 0 SITEPROP IOB_X0Y143 IS_TEST 0 SITEPROP IOB_X0Y143 IS_USED 0 SITEPROP IOB_X0Y143 MANUAL_ROUTING SITEPROP IOB_X0Y143 NAME IOB_X0Y143 SITEPROP IOB_X0Y143 NUM_ARCS 14 SITEPROP IOB_X0Y143 NUM_BELS 8 SITEPROP IOB_X0Y143 NUM_INPUTS 12 SITEPROP IOB_X0Y143 NUM_OUTPUTS 5 SITEPROP IOB_X0Y143 NUM_PINS 17 SITEPROP IOB_X0Y143 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y143 PROHIBIT 0 SITEPROP IOB_X0Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y143 RPM_X 1 SITEPROP IOB_X0Y143 RPM_Y 287 SITEPROP IOB_X0Y143 SITE_PIPS SITEPROP IOB_X0Y143 SITE_TYPE IOB33S SITEPROP IOB_X0Y144 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y144 CLASS site SITEPROP IOB_X0Y144 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y144 IS_BONDED 1 SITEPROP IOB_X0Y144 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y144 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y144 IS_PAD 1 SITEPROP IOB_X0Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y144 IS_RESERVED 0 SITEPROP IOB_X0Y144 IS_TEST 0 SITEPROP IOB_X0Y144 IS_USED 0 SITEPROP IOB_X0Y144 MANUAL_ROUTING SITEPROP IOB_X0Y144 NAME IOB_X0Y144 SITEPROP IOB_X0Y144 NUM_ARCS 12 SITEPROP IOB_X0Y144 NUM_BELS 7 SITEPROP IOB_X0Y144 NUM_INPUTS 12 SITEPROP IOB_X0Y144 NUM_OUTPUTS 5 SITEPROP IOB_X0Y144 NUM_PINS 17 SITEPROP IOB_X0Y144 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y144 PROHIBIT 0 SITEPROP IOB_X0Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y144 RPM_X 1 SITEPROP IOB_X0Y144 RPM_Y 286 SITEPROP IOB_X0Y144 SITE_PIPS SITEPROP IOB_X0Y144 SITE_TYPE IOB33M SITEPROP IOB_X0Y145 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y145 CLASS site SITEPROP IOB_X0Y145 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y145 IS_BONDED 1 SITEPROP IOB_X0Y145 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y145 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y145 IS_PAD 1 SITEPROP IOB_X0Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y145 IS_RESERVED 0 SITEPROP IOB_X0Y145 IS_TEST 0 SITEPROP IOB_X0Y145 IS_USED 0 SITEPROP IOB_X0Y145 MANUAL_ROUTING SITEPROP IOB_X0Y145 NAME IOB_X0Y145 SITEPROP IOB_X0Y145 NUM_ARCS 14 SITEPROP IOB_X0Y145 NUM_BELS 8 SITEPROP IOB_X0Y145 NUM_INPUTS 12 SITEPROP IOB_X0Y145 NUM_OUTPUTS 5 SITEPROP IOB_X0Y145 NUM_PINS 17 SITEPROP IOB_X0Y145 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y145 PROHIBIT 0 SITEPROP IOB_X0Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y145 RPM_X 1 SITEPROP IOB_X0Y145 RPM_Y 291 SITEPROP IOB_X0Y145 SITE_PIPS SITEPROP IOB_X0Y145 SITE_TYPE IOB33S SITEPROP IOB_X0Y146 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y146 CLASS site SITEPROP IOB_X0Y146 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y146 IS_BONDED 1 SITEPROP IOB_X0Y146 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y146 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y146 IS_PAD 1 SITEPROP IOB_X0Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y146 IS_RESERVED 0 SITEPROP IOB_X0Y146 IS_TEST 0 SITEPROP IOB_X0Y146 IS_USED 0 SITEPROP IOB_X0Y146 MANUAL_ROUTING SITEPROP IOB_X0Y146 NAME IOB_X0Y146 SITEPROP IOB_X0Y146 NUM_ARCS 12 SITEPROP IOB_X0Y146 NUM_BELS 7 SITEPROP IOB_X0Y146 NUM_INPUTS 12 SITEPROP IOB_X0Y146 NUM_OUTPUTS 5 SITEPROP IOB_X0Y146 NUM_PINS 17 SITEPROP IOB_X0Y146 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y146 PROHIBIT 0 SITEPROP IOB_X0Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y146 RPM_X 1 SITEPROP IOB_X0Y146 RPM_Y 290 SITEPROP IOB_X0Y146 SITE_PIPS SITEPROP IOB_X0Y146 SITE_TYPE IOB33M SITEPROP IOB_X0Y147 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y147 CLASS site SITEPROP IOB_X0Y147 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y147 IS_BONDED 1 SITEPROP IOB_X0Y147 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y147 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y147 IS_PAD 1 SITEPROP IOB_X0Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y147 IS_RESERVED 0 SITEPROP IOB_X0Y147 IS_TEST 0 SITEPROP IOB_X0Y147 IS_USED 0 SITEPROP IOB_X0Y147 MANUAL_ROUTING SITEPROP IOB_X0Y147 NAME IOB_X0Y147 SITEPROP IOB_X0Y147 NUM_ARCS 14 SITEPROP IOB_X0Y147 NUM_BELS 8 SITEPROP IOB_X0Y147 NUM_INPUTS 12 SITEPROP IOB_X0Y147 NUM_OUTPUTS 5 SITEPROP IOB_X0Y147 NUM_PINS 17 SITEPROP IOB_X0Y147 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y147 PROHIBIT 0 SITEPROP IOB_X0Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y147 RPM_X 1 SITEPROP IOB_X0Y147 RPM_Y 295 SITEPROP IOB_X0Y147 SITE_PIPS SITEPROP IOB_X0Y147 SITE_TYPE IOB33S SITEPROP IOB_X0Y148 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X0Y148 CLASS site SITEPROP IOB_X0Y148 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y148 IS_BONDED 1 SITEPROP IOB_X0Y148 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y148 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y148 IS_PAD 1 SITEPROP IOB_X0Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y148 IS_RESERVED 0 SITEPROP IOB_X0Y148 IS_TEST 0 SITEPROP IOB_X0Y148 IS_USED 0 SITEPROP IOB_X0Y148 MANUAL_ROUTING SITEPROP IOB_X0Y148 NAME IOB_X0Y148 SITEPROP IOB_X0Y148 NUM_ARCS 12 SITEPROP IOB_X0Y148 NUM_BELS 7 SITEPROP IOB_X0Y148 NUM_INPUTS 12 SITEPROP IOB_X0Y148 NUM_OUTPUTS 5 SITEPROP IOB_X0Y148 NUM_PINS 17 SITEPROP IOB_X0Y148 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y148 PROHIBIT 0 SITEPROP IOB_X0Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y148 RPM_X 1 SITEPROP IOB_X0Y148 RPM_Y 294 SITEPROP IOB_X0Y148 SITE_PIPS SITEPROP IOB_X0Y148 SITE_TYPE IOB33M SITEPROP IOB_X0Y149 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X0Y149 CLASS site SITEPROP IOB_X0Y149 CLOCK_REGION X0Y2 SITEPROP IOB_X0Y149 IS_BONDED 1 SITEPROP IOB_X0Y149 IS_CLOCK_BUFFER 0 SITEPROP IOB_X0Y149 IS_CLOCK_PAD 0 SITEPROP IOB_X0Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X0Y149 IS_PAD 1 SITEPROP IOB_X0Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X0Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X0Y149 IS_RESERVED 0 SITEPROP IOB_X0Y149 IS_TEST 0 SITEPROP IOB_X0Y149 IS_USED 0 SITEPROP IOB_X0Y149 MANUAL_ROUTING SITEPROP IOB_X0Y149 NAME IOB_X0Y149 SITEPROP IOB_X0Y149 NUM_ARCS 9 SITEPROP IOB_X0Y149 NUM_BELS 7 SITEPROP IOB_X0Y149 NUM_INPUTS 12 SITEPROP IOB_X0Y149 NUM_OUTPUTS 5 SITEPROP IOB_X0Y149 NUM_PINS 17 SITEPROP IOB_X0Y149 PRIMITIVE_COUNT 0 SITEPROP IOB_X0Y149 PROHIBIT 0 SITEPROP IOB_X0Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X0Y149 RPM_X 1 SITEPROP IOB_X0Y149 RPM_Y 298 SITEPROP IOB_X0Y149 SITE_PIPS SITEPROP IOB_X0Y149 SITE_TYPE IOB33 SITEPROP IOB_X1Y0 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X1Y0 CLASS site SITEPROP IOB_X1Y0 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y0 IS_BONDED 1 SITEPROP IOB_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y0 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y0 IS_PAD 1 SITEPROP IOB_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y0 IS_RESERVED 0 SITEPROP IOB_X1Y0 IS_TEST 0 SITEPROP IOB_X1Y0 IS_USED 0 SITEPROP IOB_X1Y0 MANUAL_ROUTING SITEPROP IOB_X1Y0 NAME IOB_X1Y0 SITEPROP IOB_X1Y0 NUM_ARCS 9 SITEPROP IOB_X1Y0 NUM_BELS 7 SITEPROP IOB_X1Y0 NUM_INPUTS 12 SITEPROP IOB_X1Y0 NUM_OUTPUTS 5 SITEPROP IOB_X1Y0 NUM_PINS 17 SITEPROP IOB_X1Y0 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y0 PROHIBIT 0 SITEPROP IOB_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y0 RPM_X 181 SITEPROP IOB_X1Y0 RPM_Y 0 SITEPROP IOB_X1Y0 SITE_PIPS SITEPROP IOB_X1Y0 SITE_TYPE IOB33 SITEPROP IOB_X1Y1 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y1 CLASS site SITEPROP IOB_X1Y1 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y1 IS_BONDED 1 SITEPROP IOB_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y1 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y1 IS_PAD 1 SITEPROP IOB_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y1 IS_RESERVED 0 SITEPROP IOB_X1Y1 IS_TEST 0 SITEPROP IOB_X1Y1 IS_USED 0 SITEPROP IOB_X1Y1 MANUAL_ROUTING SITEPROP IOB_X1Y1 NAME IOB_X1Y1 SITEPROP IOB_X1Y1 NUM_ARCS 14 SITEPROP IOB_X1Y1 NUM_BELS 8 SITEPROP IOB_X1Y1 NUM_INPUTS 12 SITEPROP IOB_X1Y1 NUM_OUTPUTS 5 SITEPROP IOB_X1Y1 NUM_PINS 17 SITEPROP IOB_X1Y1 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y1 PROHIBIT 0 SITEPROP IOB_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y1 RPM_X 181 SITEPROP IOB_X1Y1 RPM_Y 3 SITEPROP IOB_X1Y1 SITE_PIPS SITEPROP IOB_X1Y1 SITE_TYPE IOB33S SITEPROP IOB_X1Y2 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y2 CLASS site SITEPROP IOB_X1Y2 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y2 IS_BONDED 1 SITEPROP IOB_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y2 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y2 IS_PAD 1 SITEPROP IOB_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y2 IS_RESERVED 0 SITEPROP IOB_X1Y2 IS_TEST 0 SITEPROP IOB_X1Y2 IS_USED 0 SITEPROP IOB_X1Y2 MANUAL_ROUTING SITEPROP IOB_X1Y2 NAME IOB_X1Y2 SITEPROP IOB_X1Y2 NUM_ARCS 12 SITEPROP IOB_X1Y2 NUM_BELS 7 SITEPROP IOB_X1Y2 NUM_INPUTS 12 SITEPROP IOB_X1Y2 NUM_OUTPUTS 5 SITEPROP IOB_X1Y2 NUM_PINS 17 SITEPROP IOB_X1Y2 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y2 PROHIBIT 0 SITEPROP IOB_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y2 RPM_X 181 SITEPROP IOB_X1Y2 RPM_Y 2 SITEPROP IOB_X1Y2 SITE_PIPS SITEPROP IOB_X1Y2 SITE_TYPE IOB33M SITEPROP IOB_X1Y3 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y3 CLASS site SITEPROP IOB_X1Y3 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y3 IS_BONDED 1 SITEPROP IOB_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y3 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y3 IS_PAD 1 SITEPROP IOB_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y3 IS_RESERVED 0 SITEPROP IOB_X1Y3 IS_TEST 0 SITEPROP IOB_X1Y3 IS_USED 0 SITEPROP IOB_X1Y3 MANUAL_ROUTING SITEPROP IOB_X1Y3 NAME IOB_X1Y3 SITEPROP IOB_X1Y3 NUM_ARCS 14 SITEPROP IOB_X1Y3 NUM_BELS 8 SITEPROP IOB_X1Y3 NUM_INPUTS 12 SITEPROP IOB_X1Y3 NUM_OUTPUTS 5 SITEPROP IOB_X1Y3 NUM_PINS 17 SITEPROP IOB_X1Y3 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y3 PROHIBIT 0 SITEPROP IOB_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y3 RPM_X 181 SITEPROP IOB_X1Y3 RPM_Y 7 SITEPROP IOB_X1Y3 SITE_PIPS SITEPROP IOB_X1Y3 SITE_TYPE IOB33S SITEPROP IOB_X1Y4 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y4 CLASS site SITEPROP IOB_X1Y4 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y4 IS_BONDED 1 SITEPROP IOB_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y4 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y4 IS_PAD 1 SITEPROP IOB_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y4 IS_RESERVED 0 SITEPROP IOB_X1Y4 IS_TEST 0 SITEPROP IOB_X1Y4 IS_USED 0 SITEPROP IOB_X1Y4 MANUAL_ROUTING SITEPROP IOB_X1Y4 NAME IOB_X1Y4 SITEPROP IOB_X1Y4 NUM_ARCS 12 SITEPROP IOB_X1Y4 NUM_BELS 7 SITEPROP IOB_X1Y4 NUM_INPUTS 12 SITEPROP IOB_X1Y4 NUM_OUTPUTS 5 SITEPROP IOB_X1Y4 NUM_PINS 17 SITEPROP IOB_X1Y4 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y4 PROHIBIT 0 SITEPROP IOB_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y4 RPM_X 181 SITEPROP IOB_X1Y4 RPM_Y 6 SITEPROP IOB_X1Y4 SITE_PIPS SITEPROP IOB_X1Y4 SITE_TYPE IOB33M SITEPROP IOB_X1Y5 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y5 CLASS site SITEPROP IOB_X1Y5 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y5 IS_BONDED 1 SITEPROP IOB_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y5 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y5 IS_PAD 1 SITEPROP IOB_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y5 IS_RESERVED 0 SITEPROP IOB_X1Y5 IS_TEST 0 SITEPROP IOB_X1Y5 IS_USED 0 SITEPROP IOB_X1Y5 MANUAL_ROUTING SITEPROP IOB_X1Y5 NAME IOB_X1Y5 SITEPROP IOB_X1Y5 NUM_ARCS 14 SITEPROP IOB_X1Y5 NUM_BELS 8 SITEPROP IOB_X1Y5 NUM_INPUTS 12 SITEPROP IOB_X1Y5 NUM_OUTPUTS 5 SITEPROP IOB_X1Y5 NUM_PINS 17 SITEPROP IOB_X1Y5 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y5 PROHIBIT 0 SITEPROP IOB_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y5 RPM_X 181 SITEPROP IOB_X1Y5 RPM_Y 11 SITEPROP IOB_X1Y5 SITE_PIPS SITEPROP IOB_X1Y5 SITE_TYPE IOB33S SITEPROP IOB_X1Y6 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y6 CLASS site SITEPROP IOB_X1Y6 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y6 IS_BONDED 1 SITEPROP IOB_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y6 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y6 IS_PAD 1 SITEPROP IOB_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y6 IS_RESERVED 0 SITEPROP IOB_X1Y6 IS_TEST 0 SITEPROP IOB_X1Y6 IS_USED 0 SITEPROP IOB_X1Y6 MANUAL_ROUTING SITEPROP IOB_X1Y6 NAME IOB_X1Y6 SITEPROP IOB_X1Y6 NUM_ARCS 12 SITEPROP IOB_X1Y6 NUM_BELS 7 SITEPROP IOB_X1Y6 NUM_INPUTS 12 SITEPROP IOB_X1Y6 NUM_OUTPUTS 5 SITEPROP IOB_X1Y6 NUM_PINS 17 SITEPROP IOB_X1Y6 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y6 PROHIBIT 0 SITEPROP IOB_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y6 RPM_X 181 SITEPROP IOB_X1Y6 RPM_Y 10 SITEPROP IOB_X1Y6 SITE_PIPS SITEPROP IOB_X1Y6 SITE_TYPE IOB33M SITEPROP IOB_X1Y7 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y7 CLASS site SITEPROP IOB_X1Y7 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y7 IS_BONDED 1 SITEPROP IOB_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y7 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y7 IS_PAD 1 SITEPROP IOB_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y7 IS_RESERVED 0 SITEPROP IOB_X1Y7 IS_TEST 0 SITEPROP IOB_X1Y7 IS_USED 0 SITEPROP IOB_X1Y7 MANUAL_ROUTING SITEPROP IOB_X1Y7 NAME IOB_X1Y7 SITEPROP IOB_X1Y7 NUM_ARCS 14 SITEPROP IOB_X1Y7 NUM_BELS 8 SITEPROP IOB_X1Y7 NUM_INPUTS 12 SITEPROP IOB_X1Y7 NUM_OUTPUTS 5 SITEPROP IOB_X1Y7 NUM_PINS 17 SITEPROP IOB_X1Y7 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y7 PROHIBIT 0 SITEPROP IOB_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y7 RPM_X 181 SITEPROP IOB_X1Y7 RPM_Y 15 SITEPROP IOB_X1Y7 SITE_PIPS SITEPROP IOB_X1Y7 SITE_TYPE IOB33S SITEPROP IOB_X1Y8 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y8 CLASS site SITEPROP IOB_X1Y8 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y8 IS_BONDED 1 SITEPROP IOB_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y8 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y8 IS_PAD 1 SITEPROP IOB_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y8 IS_RESERVED 0 SITEPROP IOB_X1Y8 IS_TEST 0 SITEPROP IOB_X1Y8 IS_USED 0 SITEPROP IOB_X1Y8 MANUAL_ROUTING SITEPROP IOB_X1Y8 NAME IOB_X1Y8 SITEPROP IOB_X1Y8 NUM_ARCS 12 SITEPROP IOB_X1Y8 NUM_BELS 7 SITEPROP IOB_X1Y8 NUM_INPUTS 12 SITEPROP IOB_X1Y8 NUM_OUTPUTS 5 SITEPROP IOB_X1Y8 NUM_PINS 17 SITEPROP IOB_X1Y8 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y8 PROHIBIT 0 SITEPROP IOB_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y8 RPM_X 181 SITEPROP IOB_X1Y8 RPM_Y 14 SITEPROP IOB_X1Y8 SITE_PIPS SITEPROP IOB_X1Y8 SITE_TYPE IOB33M SITEPROP IOB_X1Y9 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y9 CLASS site SITEPROP IOB_X1Y9 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y9 IS_BONDED 1 SITEPROP IOB_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y9 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y9 IS_PAD 1 SITEPROP IOB_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y9 IS_RESERVED 0 SITEPROP IOB_X1Y9 IS_TEST 0 SITEPROP IOB_X1Y9 IS_USED 0 SITEPROP IOB_X1Y9 MANUAL_ROUTING SITEPROP IOB_X1Y9 NAME IOB_X1Y9 SITEPROP IOB_X1Y9 NUM_ARCS 14 SITEPROP IOB_X1Y9 NUM_BELS 8 SITEPROP IOB_X1Y9 NUM_INPUTS 12 SITEPROP IOB_X1Y9 NUM_OUTPUTS 5 SITEPROP IOB_X1Y9 NUM_PINS 17 SITEPROP IOB_X1Y9 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y9 PROHIBIT 0 SITEPROP IOB_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y9 RPM_X 181 SITEPROP IOB_X1Y9 RPM_Y 19 SITEPROP IOB_X1Y9 SITE_PIPS SITEPROP IOB_X1Y9 SITE_TYPE IOB33S SITEPROP IOB_X1Y10 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y10 CLASS site SITEPROP IOB_X1Y10 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y10 IS_BONDED 1 SITEPROP IOB_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y10 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y10 IS_PAD 1 SITEPROP IOB_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y10 IS_RESERVED 0 SITEPROP IOB_X1Y10 IS_TEST 0 SITEPROP IOB_X1Y10 IS_USED 0 SITEPROP IOB_X1Y10 MANUAL_ROUTING SITEPROP IOB_X1Y10 NAME IOB_X1Y10 SITEPROP IOB_X1Y10 NUM_ARCS 12 SITEPROP IOB_X1Y10 NUM_BELS 7 SITEPROP IOB_X1Y10 NUM_INPUTS 12 SITEPROP IOB_X1Y10 NUM_OUTPUTS 5 SITEPROP IOB_X1Y10 NUM_PINS 17 SITEPROP IOB_X1Y10 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y10 PROHIBIT 0 SITEPROP IOB_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y10 RPM_X 181 SITEPROP IOB_X1Y10 RPM_Y 18 SITEPROP IOB_X1Y10 SITE_PIPS SITEPROP IOB_X1Y10 SITE_TYPE IOB33M SITEPROP IOB_X1Y11 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y11 CLASS site SITEPROP IOB_X1Y11 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y11 IS_BONDED 1 SITEPROP IOB_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y11 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y11 IS_PAD 1 SITEPROP IOB_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y11 IS_RESERVED 0 SITEPROP IOB_X1Y11 IS_TEST 0 SITEPROP IOB_X1Y11 IS_USED 0 SITEPROP IOB_X1Y11 MANUAL_ROUTING SITEPROP IOB_X1Y11 NAME IOB_X1Y11 SITEPROP IOB_X1Y11 NUM_ARCS 14 SITEPROP IOB_X1Y11 NUM_BELS 8 SITEPROP IOB_X1Y11 NUM_INPUTS 12 SITEPROP IOB_X1Y11 NUM_OUTPUTS 5 SITEPROP IOB_X1Y11 NUM_PINS 17 SITEPROP IOB_X1Y11 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y11 PROHIBIT 0 SITEPROP IOB_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y11 RPM_X 181 SITEPROP IOB_X1Y11 RPM_Y 23 SITEPROP IOB_X1Y11 SITE_PIPS SITEPROP IOB_X1Y11 SITE_TYPE IOB33S SITEPROP IOB_X1Y12 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y12 CLASS site SITEPROP IOB_X1Y12 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y12 IS_BONDED 1 SITEPROP IOB_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y12 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y12 IS_PAD 1 SITEPROP IOB_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y12 IS_RESERVED 0 SITEPROP IOB_X1Y12 IS_TEST 0 SITEPROP IOB_X1Y12 IS_USED 0 SITEPROP IOB_X1Y12 MANUAL_ROUTING SITEPROP IOB_X1Y12 NAME IOB_X1Y12 SITEPROP IOB_X1Y12 NUM_ARCS 12 SITEPROP IOB_X1Y12 NUM_BELS 7 SITEPROP IOB_X1Y12 NUM_INPUTS 12 SITEPROP IOB_X1Y12 NUM_OUTPUTS 5 SITEPROP IOB_X1Y12 NUM_PINS 17 SITEPROP IOB_X1Y12 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y12 PROHIBIT 0 SITEPROP IOB_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y12 RPM_X 181 SITEPROP IOB_X1Y12 RPM_Y 22 SITEPROP IOB_X1Y12 SITE_PIPS SITEPROP IOB_X1Y12 SITE_TYPE IOB33M SITEPROP IOB_X1Y13 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y13 CLASS site SITEPROP IOB_X1Y13 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y13 IS_BONDED 1 SITEPROP IOB_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y13 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y13 IS_PAD 1 SITEPROP IOB_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y13 IS_RESERVED 0 SITEPROP IOB_X1Y13 IS_TEST 0 SITEPROP IOB_X1Y13 IS_USED 0 SITEPROP IOB_X1Y13 MANUAL_ROUTING SITEPROP IOB_X1Y13 NAME IOB_X1Y13 SITEPROP IOB_X1Y13 NUM_ARCS 14 SITEPROP IOB_X1Y13 NUM_BELS 8 SITEPROP IOB_X1Y13 NUM_INPUTS 12 SITEPROP IOB_X1Y13 NUM_OUTPUTS 5 SITEPROP IOB_X1Y13 NUM_PINS 17 SITEPROP IOB_X1Y13 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y13 PROHIBIT 0 SITEPROP IOB_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y13 RPM_X 181 SITEPROP IOB_X1Y13 RPM_Y 27 SITEPROP IOB_X1Y13 SITE_PIPS SITEPROP IOB_X1Y13 SITE_TYPE IOB33S SITEPROP IOB_X1Y14 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y14 CLASS site SITEPROP IOB_X1Y14 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y14 IS_BONDED 1 SITEPROP IOB_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y14 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y14 IS_PAD 1 SITEPROP IOB_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y14 IS_RESERVED 0 SITEPROP IOB_X1Y14 IS_TEST 0 SITEPROP IOB_X1Y14 IS_USED 0 SITEPROP IOB_X1Y14 MANUAL_ROUTING SITEPROP IOB_X1Y14 NAME IOB_X1Y14 SITEPROP IOB_X1Y14 NUM_ARCS 12 SITEPROP IOB_X1Y14 NUM_BELS 7 SITEPROP IOB_X1Y14 NUM_INPUTS 12 SITEPROP IOB_X1Y14 NUM_OUTPUTS 5 SITEPROP IOB_X1Y14 NUM_PINS 17 SITEPROP IOB_X1Y14 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y14 PROHIBIT 0 SITEPROP IOB_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y14 RPM_X 181 SITEPROP IOB_X1Y14 RPM_Y 26 SITEPROP IOB_X1Y14 SITE_PIPS SITEPROP IOB_X1Y14 SITE_TYPE IOB33M SITEPROP IOB_X1Y15 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y15 CLASS site SITEPROP IOB_X1Y15 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y15 IS_BONDED 1 SITEPROP IOB_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y15 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y15 IS_PAD 1 SITEPROP IOB_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y15 IS_RESERVED 0 SITEPROP IOB_X1Y15 IS_TEST 0 SITEPROP IOB_X1Y15 IS_USED 0 SITEPROP IOB_X1Y15 MANUAL_ROUTING SITEPROP IOB_X1Y15 NAME IOB_X1Y15 SITEPROP IOB_X1Y15 NUM_ARCS 14 SITEPROP IOB_X1Y15 NUM_BELS 8 SITEPROP IOB_X1Y15 NUM_INPUTS 12 SITEPROP IOB_X1Y15 NUM_OUTPUTS 5 SITEPROP IOB_X1Y15 NUM_PINS 17 SITEPROP IOB_X1Y15 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y15 PROHIBIT 0 SITEPROP IOB_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y15 RPM_X 181 SITEPROP IOB_X1Y15 RPM_Y 31 SITEPROP IOB_X1Y15 SITE_PIPS SITEPROP IOB_X1Y15 SITE_TYPE IOB33S SITEPROP IOB_X1Y16 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y16 CLASS site SITEPROP IOB_X1Y16 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y16 IS_BONDED 1 SITEPROP IOB_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y16 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y16 IS_PAD 1 SITEPROP IOB_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y16 IS_RESERVED 0 SITEPROP IOB_X1Y16 IS_TEST 0 SITEPROP IOB_X1Y16 IS_USED 0 SITEPROP IOB_X1Y16 MANUAL_ROUTING SITEPROP IOB_X1Y16 NAME IOB_X1Y16 SITEPROP IOB_X1Y16 NUM_ARCS 12 SITEPROP IOB_X1Y16 NUM_BELS 7 SITEPROP IOB_X1Y16 NUM_INPUTS 12 SITEPROP IOB_X1Y16 NUM_OUTPUTS 5 SITEPROP IOB_X1Y16 NUM_PINS 17 SITEPROP IOB_X1Y16 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y16 PROHIBIT 0 SITEPROP IOB_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y16 RPM_X 181 SITEPROP IOB_X1Y16 RPM_Y 30 SITEPROP IOB_X1Y16 SITE_PIPS SITEPROP IOB_X1Y16 SITE_TYPE IOB33M SITEPROP IOB_X1Y17 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y17 CLASS site SITEPROP IOB_X1Y17 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y17 IS_BONDED 1 SITEPROP IOB_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y17 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y17 IS_PAD 1 SITEPROP IOB_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y17 IS_RESERVED 0 SITEPROP IOB_X1Y17 IS_TEST 0 SITEPROP IOB_X1Y17 IS_USED 0 SITEPROP IOB_X1Y17 MANUAL_ROUTING SITEPROP IOB_X1Y17 NAME IOB_X1Y17 SITEPROP IOB_X1Y17 NUM_ARCS 14 SITEPROP IOB_X1Y17 NUM_BELS 8 SITEPROP IOB_X1Y17 NUM_INPUTS 12 SITEPROP IOB_X1Y17 NUM_OUTPUTS 5 SITEPROP IOB_X1Y17 NUM_PINS 17 SITEPROP IOB_X1Y17 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y17 PROHIBIT 0 SITEPROP IOB_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y17 RPM_X 181 SITEPROP IOB_X1Y17 RPM_Y 35 SITEPROP IOB_X1Y17 SITE_PIPS SITEPROP IOB_X1Y17 SITE_TYPE IOB33S SITEPROP IOB_X1Y18 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y18 CLASS site SITEPROP IOB_X1Y18 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y18 IS_BONDED 1 SITEPROP IOB_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y18 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y18 IS_PAD 1 SITEPROP IOB_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y18 IS_RESERVED 0 SITEPROP IOB_X1Y18 IS_TEST 0 SITEPROP IOB_X1Y18 IS_USED 0 SITEPROP IOB_X1Y18 MANUAL_ROUTING SITEPROP IOB_X1Y18 NAME IOB_X1Y18 SITEPROP IOB_X1Y18 NUM_ARCS 12 SITEPROP IOB_X1Y18 NUM_BELS 7 SITEPROP IOB_X1Y18 NUM_INPUTS 12 SITEPROP IOB_X1Y18 NUM_OUTPUTS 5 SITEPROP IOB_X1Y18 NUM_PINS 17 SITEPROP IOB_X1Y18 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y18 PROHIBIT 0 SITEPROP IOB_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y18 RPM_X 181 SITEPROP IOB_X1Y18 RPM_Y 34 SITEPROP IOB_X1Y18 SITE_PIPS SITEPROP IOB_X1Y18 SITE_TYPE IOB33M SITEPROP IOB_X1Y19 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y19 CLASS site SITEPROP IOB_X1Y19 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y19 IS_BONDED 1 SITEPROP IOB_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y19 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y19 IS_PAD 1 SITEPROP IOB_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y19 IS_RESERVED 0 SITEPROP IOB_X1Y19 IS_TEST 0 SITEPROP IOB_X1Y19 IS_USED 0 SITEPROP IOB_X1Y19 MANUAL_ROUTING SITEPROP IOB_X1Y19 NAME IOB_X1Y19 SITEPROP IOB_X1Y19 NUM_ARCS 14 SITEPROP IOB_X1Y19 NUM_BELS 8 SITEPROP IOB_X1Y19 NUM_INPUTS 12 SITEPROP IOB_X1Y19 NUM_OUTPUTS 5 SITEPROP IOB_X1Y19 NUM_PINS 17 SITEPROP IOB_X1Y19 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y19 PROHIBIT 0 SITEPROP IOB_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y19 RPM_X 181 SITEPROP IOB_X1Y19 RPM_Y 39 SITEPROP IOB_X1Y19 SITE_PIPS SITEPROP IOB_X1Y19 SITE_TYPE IOB33S SITEPROP IOB_X1Y20 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y20 CLASS site SITEPROP IOB_X1Y20 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y20 IS_BONDED 1 SITEPROP IOB_X1Y20 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y20 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y20 IS_PAD 1 SITEPROP IOB_X1Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y20 IS_RESERVED 0 SITEPROP IOB_X1Y20 IS_TEST 0 SITEPROP IOB_X1Y20 IS_USED 0 SITEPROP IOB_X1Y20 MANUAL_ROUTING SITEPROP IOB_X1Y20 NAME IOB_X1Y20 SITEPROP IOB_X1Y20 NUM_ARCS 12 SITEPROP IOB_X1Y20 NUM_BELS 7 SITEPROP IOB_X1Y20 NUM_INPUTS 12 SITEPROP IOB_X1Y20 NUM_OUTPUTS 5 SITEPROP IOB_X1Y20 NUM_PINS 17 SITEPROP IOB_X1Y20 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y20 PROHIBIT 0 SITEPROP IOB_X1Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y20 RPM_X 181 SITEPROP IOB_X1Y20 RPM_Y 38 SITEPROP IOB_X1Y20 SITE_PIPS SITEPROP IOB_X1Y20 SITE_TYPE IOB33M SITEPROP IOB_X1Y21 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y21 CLASS site SITEPROP IOB_X1Y21 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y21 IS_BONDED 1 SITEPROP IOB_X1Y21 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y21 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y21 IS_PAD 1 SITEPROP IOB_X1Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y21 IS_RESERVED 0 SITEPROP IOB_X1Y21 IS_TEST 0 SITEPROP IOB_X1Y21 IS_USED 0 SITEPROP IOB_X1Y21 MANUAL_ROUTING SITEPROP IOB_X1Y21 NAME IOB_X1Y21 SITEPROP IOB_X1Y21 NUM_ARCS 14 SITEPROP IOB_X1Y21 NUM_BELS 8 SITEPROP IOB_X1Y21 NUM_INPUTS 12 SITEPROP IOB_X1Y21 NUM_OUTPUTS 5 SITEPROP IOB_X1Y21 NUM_PINS 17 SITEPROP IOB_X1Y21 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y21 PROHIBIT 0 SITEPROP IOB_X1Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y21 RPM_X 181 SITEPROP IOB_X1Y21 RPM_Y 43 SITEPROP IOB_X1Y21 SITE_PIPS SITEPROP IOB_X1Y21 SITE_TYPE IOB33S SITEPROP IOB_X1Y22 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y22 CLASS site SITEPROP IOB_X1Y22 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y22 IS_BONDED 1 SITEPROP IOB_X1Y22 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y22 IS_CLOCK_PAD 1 SITEPROP IOB_X1Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y22 IS_PAD 1 SITEPROP IOB_X1Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y22 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X1Y22 IS_RESERVED 0 SITEPROP IOB_X1Y22 IS_TEST 0 SITEPROP IOB_X1Y22 IS_USED 0 SITEPROP IOB_X1Y22 MANUAL_ROUTING SITEPROP IOB_X1Y22 NAME IOB_X1Y22 SITEPROP IOB_X1Y22 NUM_ARCS 12 SITEPROP IOB_X1Y22 NUM_BELS 7 SITEPROP IOB_X1Y22 NUM_INPUTS 12 SITEPROP IOB_X1Y22 NUM_OUTPUTS 5 SITEPROP IOB_X1Y22 NUM_PINS 17 SITEPROP IOB_X1Y22 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y22 PROHIBIT 0 SITEPROP IOB_X1Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y22 RPM_X 181 SITEPROP IOB_X1Y22 RPM_Y 42 SITEPROP IOB_X1Y22 SITE_PIPS SITEPROP IOB_X1Y22 SITE_TYPE IOB33M SITEPROP IOB_X1Y23 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y23 CLASS site SITEPROP IOB_X1Y23 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y23 IS_BONDED 1 SITEPROP IOB_X1Y23 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y23 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y23 IS_PAD 1 SITEPROP IOB_X1Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y23 IS_RESERVED 0 SITEPROP IOB_X1Y23 IS_TEST 0 SITEPROP IOB_X1Y23 IS_USED 0 SITEPROP IOB_X1Y23 MANUAL_ROUTING SITEPROP IOB_X1Y23 NAME IOB_X1Y23 SITEPROP IOB_X1Y23 NUM_ARCS 14 SITEPROP IOB_X1Y23 NUM_BELS 8 SITEPROP IOB_X1Y23 NUM_INPUTS 12 SITEPROP IOB_X1Y23 NUM_OUTPUTS 5 SITEPROP IOB_X1Y23 NUM_PINS 17 SITEPROP IOB_X1Y23 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y23 PROHIBIT 0 SITEPROP IOB_X1Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y23 RPM_X 181 SITEPROP IOB_X1Y23 RPM_Y 47 SITEPROP IOB_X1Y23 SITE_PIPS SITEPROP IOB_X1Y23 SITE_TYPE IOB33S SITEPROP IOB_X1Y24 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y24 CLASS site SITEPROP IOB_X1Y24 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y24 IS_BONDED 1 SITEPROP IOB_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y24 IS_CLOCK_PAD 1 SITEPROP IOB_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y24 IS_PAD 1 SITEPROP IOB_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y24 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X1Y24 IS_RESERVED 0 SITEPROP IOB_X1Y24 IS_TEST 0 SITEPROP IOB_X1Y24 IS_USED 0 SITEPROP IOB_X1Y24 MANUAL_ROUTING SITEPROP IOB_X1Y24 NAME IOB_X1Y24 SITEPROP IOB_X1Y24 NUM_ARCS 12 SITEPROP IOB_X1Y24 NUM_BELS 7 SITEPROP IOB_X1Y24 NUM_INPUTS 12 SITEPROP IOB_X1Y24 NUM_OUTPUTS 5 SITEPROP IOB_X1Y24 NUM_PINS 17 SITEPROP IOB_X1Y24 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y24 PROHIBIT 0 SITEPROP IOB_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y24 RPM_X 181 SITEPROP IOB_X1Y24 RPM_Y 46 SITEPROP IOB_X1Y24 SITE_PIPS SITEPROP IOB_X1Y24 SITE_TYPE IOB33M SITEPROP IOB_X1Y25 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y25 CLASS site SITEPROP IOB_X1Y25 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y25 IS_BONDED 1 SITEPROP IOB_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y25 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y25 IS_PAD 1 SITEPROP IOB_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y25 IS_RESERVED 0 SITEPROP IOB_X1Y25 IS_TEST 0 SITEPROP IOB_X1Y25 IS_USED 0 SITEPROP IOB_X1Y25 MANUAL_ROUTING SITEPROP IOB_X1Y25 NAME IOB_X1Y25 SITEPROP IOB_X1Y25 NUM_ARCS 14 SITEPROP IOB_X1Y25 NUM_BELS 8 SITEPROP IOB_X1Y25 NUM_INPUTS 12 SITEPROP IOB_X1Y25 NUM_OUTPUTS 5 SITEPROP IOB_X1Y25 NUM_PINS 17 SITEPROP IOB_X1Y25 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y25 PROHIBIT 0 SITEPROP IOB_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y25 RPM_X 181 SITEPROP IOB_X1Y25 RPM_Y 51 SITEPROP IOB_X1Y25 SITE_PIPS SITEPROP IOB_X1Y25 SITE_TYPE IOB33S SITEPROP IOB_X1Y26 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y26 CLASS site SITEPROP IOB_X1Y26 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y26 IS_BONDED 1 SITEPROP IOB_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y26 IS_CLOCK_PAD 1 SITEPROP IOB_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y26 IS_PAD 1 SITEPROP IOB_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y26 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X1Y26 IS_RESERVED 0 SITEPROP IOB_X1Y26 IS_TEST 0 SITEPROP IOB_X1Y26 IS_USED 0 SITEPROP IOB_X1Y26 MANUAL_ROUTING SITEPROP IOB_X1Y26 NAME IOB_X1Y26 SITEPROP IOB_X1Y26 NUM_ARCS 12 SITEPROP IOB_X1Y26 NUM_BELS 7 SITEPROP IOB_X1Y26 NUM_INPUTS 12 SITEPROP IOB_X1Y26 NUM_OUTPUTS 5 SITEPROP IOB_X1Y26 NUM_PINS 17 SITEPROP IOB_X1Y26 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y26 PROHIBIT 0 SITEPROP IOB_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y26 RPM_X 181 SITEPROP IOB_X1Y26 RPM_Y 50 SITEPROP IOB_X1Y26 SITE_PIPS SITEPROP IOB_X1Y26 SITE_TYPE IOB33M SITEPROP IOB_X1Y27 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y27 CLASS site SITEPROP IOB_X1Y27 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y27 IS_BONDED 1 SITEPROP IOB_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y27 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y27 IS_PAD 1 SITEPROP IOB_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y27 IS_RESERVED 0 SITEPROP IOB_X1Y27 IS_TEST 0 SITEPROP IOB_X1Y27 IS_USED 0 SITEPROP IOB_X1Y27 MANUAL_ROUTING SITEPROP IOB_X1Y27 NAME IOB_X1Y27 SITEPROP IOB_X1Y27 NUM_ARCS 14 SITEPROP IOB_X1Y27 NUM_BELS 8 SITEPROP IOB_X1Y27 NUM_INPUTS 12 SITEPROP IOB_X1Y27 NUM_OUTPUTS 5 SITEPROP IOB_X1Y27 NUM_PINS 17 SITEPROP IOB_X1Y27 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y27 PROHIBIT 0 SITEPROP IOB_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y27 RPM_X 181 SITEPROP IOB_X1Y27 RPM_Y 55 SITEPROP IOB_X1Y27 SITE_PIPS SITEPROP IOB_X1Y27 SITE_TYPE IOB33S SITEPROP IOB_X1Y28 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y28 CLASS site SITEPROP IOB_X1Y28 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y28 IS_BONDED 1 SITEPROP IOB_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y28 IS_CLOCK_PAD 1 SITEPROP IOB_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y28 IS_PAD 1 SITEPROP IOB_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y28 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X1Y28 IS_RESERVED 0 SITEPROP IOB_X1Y28 IS_TEST 0 SITEPROP IOB_X1Y28 IS_USED 0 SITEPROP IOB_X1Y28 MANUAL_ROUTING SITEPROP IOB_X1Y28 NAME IOB_X1Y28 SITEPROP IOB_X1Y28 NUM_ARCS 12 SITEPROP IOB_X1Y28 NUM_BELS 7 SITEPROP IOB_X1Y28 NUM_INPUTS 12 SITEPROP IOB_X1Y28 NUM_OUTPUTS 5 SITEPROP IOB_X1Y28 NUM_PINS 17 SITEPROP IOB_X1Y28 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y28 PROHIBIT 0 SITEPROP IOB_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y28 RPM_X 181 SITEPROP IOB_X1Y28 RPM_Y 54 SITEPROP IOB_X1Y28 SITE_PIPS SITEPROP IOB_X1Y28 SITE_TYPE IOB33M SITEPROP IOB_X1Y29 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y29 CLASS site SITEPROP IOB_X1Y29 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y29 IS_BONDED 1 SITEPROP IOB_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y29 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y29 IS_PAD 1 SITEPROP IOB_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y29 IS_RESERVED 0 SITEPROP IOB_X1Y29 IS_TEST 0 SITEPROP IOB_X1Y29 IS_USED 0 SITEPROP IOB_X1Y29 MANUAL_ROUTING SITEPROP IOB_X1Y29 NAME IOB_X1Y29 SITEPROP IOB_X1Y29 NUM_ARCS 14 SITEPROP IOB_X1Y29 NUM_BELS 8 SITEPROP IOB_X1Y29 NUM_INPUTS 12 SITEPROP IOB_X1Y29 NUM_OUTPUTS 5 SITEPROP IOB_X1Y29 NUM_PINS 17 SITEPROP IOB_X1Y29 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y29 PROHIBIT 0 SITEPROP IOB_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y29 RPM_X 181 SITEPROP IOB_X1Y29 RPM_Y 59 SITEPROP IOB_X1Y29 SITE_PIPS SITEPROP IOB_X1Y29 SITE_TYPE IOB33S SITEPROP IOB_X1Y30 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y30 CLASS site SITEPROP IOB_X1Y30 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y30 IS_BONDED 1 SITEPROP IOB_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y30 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y30 IS_PAD 1 SITEPROP IOB_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y30 IS_RESERVED 0 SITEPROP IOB_X1Y30 IS_TEST 0 SITEPROP IOB_X1Y30 IS_USED 0 SITEPROP IOB_X1Y30 MANUAL_ROUTING SITEPROP IOB_X1Y30 NAME IOB_X1Y30 SITEPROP IOB_X1Y30 NUM_ARCS 12 SITEPROP IOB_X1Y30 NUM_BELS 7 SITEPROP IOB_X1Y30 NUM_INPUTS 12 SITEPROP IOB_X1Y30 NUM_OUTPUTS 5 SITEPROP IOB_X1Y30 NUM_PINS 17 SITEPROP IOB_X1Y30 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y30 PROHIBIT 0 SITEPROP IOB_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y30 RPM_X 181 SITEPROP IOB_X1Y30 RPM_Y 58 SITEPROP IOB_X1Y30 SITE_PIPS SITEPROP IOB_X1Y30 SITE_TYPE IOB33M SITEPROP IOB_X1Y31 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y31 CLASS site SITEPROP IOB_X1Y31 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y31 IS_BONDED 1 SITEPROP IOB_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y31 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y31 IS_PAD 1 SITEPROP IOB_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y31 IS_RESERVED 0 SITEPROP IOB_X1Y31 IS_TEST 0 SITEPROP IOB_X1Y31 IS_USED 0 SITEPROP IOB_X1Y31 MANUAL_ROUTING SITEPROP IOB_X1Y31 NAME IOB_X1Y31 SITEPROP IOB_X1Y31 NUM_ARCS 14 SITEPROP IOB_X1Y31 NUM_BELS 8 SITEPROP IOB_X1Y31 NUM_INPUTS 12 SITEPROP IOB_X1Y31 NUM_OUTPUTS 5 SITEPROP IOB_X1Y31 NUM_PINS 17 SITEPROP IOB_X1Y31 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y31 PROHIBIT 0 SITEPROP IOB_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y31 RPM_X 181 SITEPROP IOB_X1Y31 RPM_Y 63 SITEPROP IOB_X1Y31 SITE_PIPS SITEPROP IOB_X1Y31 SITE_TYPE IOB33S SITEPROP IOB_X1Y32 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y32 CLASS site SITEPROP IOB_X1Y32 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y32 IS_BONDED 1 SITEPROP IOB_X1Y32 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y32 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y32 IS_PAD 1 SITEPROP IOB_X1Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y32 IS_RESERVED 0 SITEPROP IOB_X1Y32 IS_TEST 0 SITEPROP IOB_X1Y32 IS_USED 0 SITEPROP IOB_X1Y32 MANUAL_ROUTING SITEPROP IOB_X1Y32 NAME IOB_X1Y32 SITEPROP IOB_X1Y32 NUM_ARCS 12 SITEPROP IOB_X1Y32 NUM_BELS 7 SITEPROP IOB_X1Y32 NUM_INPUTS 12 SITEPROP IOB_X1Y32 NUM_OUTPUTS 5 SITEPROP IOB_X1Y32 NUM_PINS 17 SITEPROP IOB_X1Y32 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y32 PROHIBIT 0 SITEPROP IOB_X1Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y32 RPM_X 181 SITEPROP IOB_X1Y32 RPM_Y 62 SITEPROP IOB_X1Y32 SITE_PIPS SITEPROP IOB_X1Y32 SITE_TYPE IOB33M SITEPROP IOB_X1Y33 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y33 CLASS site SITEPROP IOB_X1Y33 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y33 IS_BONDED 1 SITEPROP IOB_X1Y33 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y33 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y33 IS_PAD 1 SITEPROP IOB_X1Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y33 IS_RESERVED 0 SITEPROP IOB_X1Y33 IS_TEST 0 SITEPROP IOB_X1Y33 IS_USED 0 SITEPROP IOB_X1Y33 MANUAL_ROUTING SITEPROP IOB_X1Y33 NAME IOB_X1Y33 SITEPROP IOB_X1Y33 NUM_ARCS 14 SITEPROP IOB_X1Y33 NUM_BELS 8 SITEPROP IOB_X1Y33 NUM_INPUTS 12 SITEPROP IOB_X1Y33 NUM_OUTPUTS 5 SITEPROP IOB_X1Y33 NUM_PINS 17 SITEPROP IOB_X1Y33 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y33 PROHIBIT 0 SITEPROP IOB_X1Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y33 RPM_X 181 SITEPROP IOB_X1Y33 RPM_Y 67 SITEPROP IOB_X1Y33 SITE_PIPS SITEPROP IOB_X1Y33 SITE_TYPE IOB33S SITEPROP IOB_X1Y34 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y34 CLASS site SITEPROP IOB_X1Y34 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y34 IS_BONDED 1 SITEPROP IOB_X1Y34 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y34 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y34 IS_PAD 1 SITEPROP IOB_X1Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y34 IS_RESERVED 0 SITEPROP IOB_X1Y34 IS_TEST 0 SITEPROP IOB_X1Y34 IS_USED 0 SITEPROP IOB_X1Y34 MANUAL_ROUTING SITEPROP IOB_X1Y34 NAME IOB_X1Y34 SITEPROP IOB_X1Y34 NUM_ARCS 12 SITEPROP IOB_X1Y34 NUM_BELS 7 SITEPROP IOB_X1Y34 NUM_INPUTS 12 SITEPROP IOB_X1Y34 NUM_OUTPUTS 5 SITEPROP IOB_X1Y34 NUM_PINS 17 SITEPROP IOB_X1Y34 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y34 PROHIBIT 0 SITEPROP IOB_X1Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y34 RPM_X 181 SITEPROP IOB_X1Y34 RPM_Y 66 SITEPROP IOB_X1Y34 SITE_PIPS SITEPROP IOB_X1Y34 SITE_TYPE IOB33M SITEPROP IOB_X1Y35 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y35 CLASS site SITEPROP IOB_X1Y35 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y35 IS_BONDED 1 SITEPROP IOB_X1Y35 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y35 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y35 IS_PAD 1 SITEPROP IOB_X1Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y35 IS_RESERVED 0 SITEPROP IOB_X1Y35 IS_TEST 0 SITEPROP IOB_X1Y35 IS_USED 0 SITEPROP IOB_X1Y35 MANUAL_ROUTING SITEPROP IOB_X1Y35 NAME IOB_X1Y35 SITEPROP IOB_X1Y35 NUM_ARCS 14 SITEPROP IOB_X1Y35 NUM_BELS 8 SITEPROP IOB_X1Y35 NUM_INPUTS 12 SITEPROP IOB_X1Y35 NUM_OUTPUTS 5 SITEPROP IOB_X1Y35 NUM_PINS 17 SITEPROP IOB_X1Y35 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y35 PROHIBIT 0 SITEPROP IOB_X1Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y35 RPM_X 181 SITEPROP IOB_X1Y35 RPM_Y 71 SITEPROP IOB_X1Y35 SITE_PIPS SITEPROP IOB_X1Y35 SITE_TYPE IOB33S SITEPROP IOB_X1Y36 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y36 CLASS site SITEPROP IOB_X1Y36 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y36 IS_BONDED 1 SITEPROP IOB_X1Y36 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y36 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y36 IS_PAD 1 SITEPROP IOB_X1Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y36 IS_RESERVED 0 SITEPROP IOB_X1Y36 IS_TEST 0 SITEPROP IOB_X1Y36 IS_USED 0 SITEPROP IOB_X1Y36 MANUAL_ROUTING SITEPROP IOB_X1Y36 NAME IOB_X1Y36 SITEPROP IOB_X1Y36 NUM_ARCS 12 SITEPROP IOB_X1Y36 NUM_BELS 7 SITEPROP IOB_X1Y36 NUM_INPUTS 12 SITEPROP IOB_X1Y36 NUM_OUTPUTS 5 SITEPROP IOB_X1Y36 NUM_PINS 17 SITEPROP IOB_X1Y36 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y36 PROHIBIT 0 SITEPROP IOB_X1Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y36 RPM_X 181 SITEPROP IOB_X1Y36 RPM_Y 70 SITEPROP IOB_X1Y36 SITE_PIPS SITEPROP IOB_X1Y36 SITE_TYPE IOB33M SITEPROP IOB_X1Y37 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y37 CLASS site SITEPROP IOB_X1Y37 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y37 IS_BONDED 1 SITEPROP IOB_X1Y37 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y37 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y37 IS_PAD 1 SITEPROP IOB_X1Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y37 IS_RESERVED 0 SITEPROP IOB_X1Y37 IS_TEST 0 SITEPROP IOB_X1Y37 IS_USED 0 SITEPROP IOB_X1Y37 MANUAL_ROUTING SITEPROP IOB_X1Y37 NAME IOB_X1Y37 SITEPROP IOB_X1Y37 NUM_ARCS 14 SITEPROP IOB_X1Y37 NUM_BELS 8 SITEPROP IOB_X1Y37 NUM_INPUTS 12 SITEPROP IOB_X1Y37 NUM_OUTPUTS 5 SITEPROP IOB_X1Y37 NUM_PINS 17 SITEPROP IOB_X1Y37 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y37 PROHIBIT 0 SITEPROP IOB_X1Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y37 RPM_X 181 SITEPROP IOB_X1Y37 RPM_Y 75 SITEPROP IOB_X1Y37 SITE_PIPS SITEPROP IOB_X1Y37 SITE_TYPE IOB33S SITEPROP IOB_X1Y38 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y38 CLASS site SITEPROP IOB_X1Y38 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y38 IS_BONDED 1 SITEPROP IOB_X1Y38 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y38 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y38 IS_PAD 1 SITEPROP IOB_X1Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y38 IS_RESERVED 0 SITEPROP IOB_X1Y38 IS_TEST 0 SITEPROP IOB_X1Y38 IS_USED 0 SITEPROP IOB_X1Y38 MANUAL_ROUTING SITEPROP IOB_X1Y38 NAME IOB_X1Y38 SITEPROP IOB_X1Y38 NUM_ARCS 12 SITEPROP IOB_X1Y38 NUM_BELS 7 SITEPROP IOB_X1Y38 NUM_INPUTS 12 SITEPROP IOB_X1Y38 NUM_OUTPUTS 5 SITEPROP IOB_X1Y38 NUM_PINS 17 SITEPROP IOB_X1Y38 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y38 PROHIBIT 0 SITEPROP IOB_X1Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y38 RPM_X 181 SITEPROP IOB_X1Y38 RPM_Y 74 SITEPROP IOB_X1Y38 SITE_PIPS SITEPROP IOB_X1Y38 SITE_TYPE IOB33M SITEPROP IOB_X1Y39 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y39 CLASS site SITEPROP IOB_X1Y39 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y39 IS_BONDED 1 SITEPROP IOB_X1Y39 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y39 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y39 IS_PAD 1 SITEPROP IOB_X1Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y39 IS_RESERVED 0 SITEPROP IOB_X1Y39 IS_TEST 0 SITEPROP IOB_X1Y39 IS_USED 0 SITEPROP IOB_X1Y39 MANUAL_ROUTING SITEPROP IOB_X1Y39 NAME IOB_X1Y39 SITEPROP IOB_X1Y39 NUM_ARCS 14 SITEPROP IOB_X1Y39 NUM_BELS 8 SITEPROP IOB_X1Y39 NUM_INPUTS 12 SITEPROP IOB_X1Y39 NUM_OUTPUTS 5 SITEPROP IOB_X1Y39 NUM_PINS 17 SITEPROP IOB_X1Y39 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y39 PROHIBIT 0 SITEPROP IOB_X1Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y39 RPM_X 181 SITEPROP IOB_X1Y39 RPM_Y 79 SITEPROP IOB_X1Y39 SITE_PIPS SITEPROP IOB_X1Y39 SITE_TYPE IOB33S SITEPROP IOB_X1Y40 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y40 CLASS site SITEPROP IOB_X1Y40 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y40 IS_BONDED 1 SITEPROP IOB_X1Y40 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y40 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y40 IS_PAD 1 SITEPROP IOB_X1Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y40 IS_RESERVED 0 SITEPROP IOB_X1Y40 IS_TEST 0 SITEPROP IOB_X1Y40 IS_USED 0 SITEPROP IOB_X1Y40 MANUAL_ROUTING SITEPROP IOB_X1Y40 NAME IOB_X1Y40 SITEPROP IOB_X1Y40 NUM_ARCS 12 SITEPROP IOB_X1Y40 NUM_BELS 7 SITEPROP IOB_X1Y40 NUM_INPUTS 12 SITEPROP IOB_X1Y40 NUM_OUTPUTS 5 SITEPROP IOB_X1Y40 NUM_PINS 17 SITEPROP IOB_X1Y40 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y40 PROHIBIT 0 SITEPROP IOB_X1Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y40 RPM_X 181 SITEPROP IOB_X1Y40 RPM_Y 78 SITEPROP IOB_X1Y40 SITE_PIPS SITEPROP IOB_X1Y40 SITE_TYPE IOB33M SITEPROP IOB_X1Y41 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y41 CLASS site SITEPROP IOB_X1Y41 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y41 IS_BONDED 1 SITEPROP IOB_X1Y41 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y41 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y41 IS_PAD 1 SITEPROP IOB_X1Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y41 IS_RESERVED 0 SITEPROP IOB_X1Y41 IS_TEST 0 SITEPROP IOB_X1Y41 IS_USED 0 SITEPROP IOB_X1Y41 MANUAL_ROUTING SITEPROP IOB_X1Y41 NAME IOB_X1Y41 SITEPROP IOB_X1Y41 NUM_ARCS 14 SITEPROP IOB_X1Y41 NUM_BELS 8 SITEPROP IOB_X1Y41 NUM_INPUTS 12 SITEPROP IOB_X1Y41 NUM_OUTPUTS 5 SITEPROP IOB_X1Y41 NUM_PINS 17 SITEPROP IOB_X1Y41 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y41 PROHIBIT 0 SITEPROP IOB_X1Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y41 RPM_X 181 SITEPROP IOB_X1Y41 RPM_Y 83 SITEPROP IOB_X1Y41 SITE_PIPS SITEPROP IOB_X1Y41 SITE_TYPE IOB33S SITEPROP IOB_X1Y42 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y42 CLASS site SITEPROP IOB_X1Y42 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y42 IS_BONDED 1 SITEPROP IOB_X1Y42 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y42 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y42 IS_PAD 1 SITEPROP IOB_X1Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y42 IS_RESERVED 0 SITEPROP IOB_X1Y42 IS_TEST 0 SITEPROP IOB_X1Y42 IS_USED 0 SITEPROP IOB_X1Y42 MANUAL_ROUTING SITEPROP IOB_X1Y42 NAME IOB_X1Y42 SITEPROP IOB_X1Y42 NUM_ARCS 12 SITEPROP IOB_X1Y42 NUM_BELS 7 SITEPROP IOB_X1Y42 NUM_INPUTS 12 SITEPROP IOB_X1Y42 NUM_OUTPUTS 5 SITEPROP IOB_X1Y42 NUM_PINS 17 SITEPROP IOB_X1Y42 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y42 PROHIBIT 0 SITEPROP IOB_X1Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y42 RPM_X 181 SITEPROP IOB_X1Y42 RPM_Y 82 SITEPROP IOB_X1Y42 SITE_PIPS SITEPROP IOB_X1Y42 SITE_TYPE IOB33M SITEPROP IOB_X1Y43 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y43 CLASS site SITEPROP IOB_X1Y43 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y43 IS_BONDED 1 SITEPROP IOB_X1Y43 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y43 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y43 IS_PAD 1 SITEPROP IOB_X1Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y43 IS_RESERVED 0 SITEPROP IOB_X1Y43 IS_TEST 0 SITEPROP IOB_X1Y43 IS_USED 0 SITEPROP IOB_X1Y43 MANUAL_ROUTING SITEPROP IOB_X1Y43 NAME IOB_X1Y43 SITEPROP IOB_X1Y43 NUM_ARCS 14 SITEPROP IOB_X1Y43 NUM_BELS 8 SITEPROP IOB_X1Y43 NUM_INPUTS 12 SITEPROP IOB_X1Y43 NUM_OUTPUTS 5 SITEPROP IOB_X1Y43 NUM_PINS 17 SITEPROP IOB_X1Y43 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y43 PROHIBIT 0 SITEPROP IOB_X1Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y43 RPM_X 181 SITEPROP IOB_X1Y43 RPM_Y 87 SITEPROP IOB_X1Y43 SITE_PIPS SITEPROP IOB_X1Y43 SITE_TYPE IOB33S SITEPROP IOB_X1Y44 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y44 CLASS site SITEPROP IOB_X1Y44 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y44 IS_BONDED 1 SITEPROP IOB_X1Y44 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y44 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y44 IS_PAD 1 SITEPROP IOB_X1Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y44 IS_RESERVED 0 SITEPROP IOB_X1Y44 IS_TEST 0 SITEPROP IOB_X1Y44 IS_USED 0 SITEPROP IOB_X1Y44 MANUAL_ROUTING SITEPROP IOB_X1Y44 NAME IOB_X1Y44 SITEPROP IOB_X1Y44 NUM_ARCS 12 SITEPROP IOB_X1Y44 NUM_BELS 7 SITEPROP IOB_X1Y44 NUM_INPUTS 12 SITEPROP IOB_X1Y44 NUM_OUTPUTS 5 SITEPROP IOB_X1Y44 NUM_PINS 17 SITEPROP IOB_X1Y44 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y44 PROHIBIT 0 SITEPROP IOB_X1Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y44 RPM_X 181 SITEPROP IOB_X1Y44 RPM_Y 86 SITEPROP IOB_X1Y44 SITE_PIPS SITEPROP IOB_X1Y44 SITE_TYPE IOB33M SITEPROP IOB_X1Y45 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y45 CLASS site SITEPROP IOB_X1Y45 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y45 IS_BONDED 1 SITEPROP IOB_X1Y45 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y45 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y45 IS_PAD 1 SITEPROP IOB_X1Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y45 IS_RESERVED 0 SITEPROP IOB_X1Y45 IS_TEST 0 SITEPROP IOB_X1Y45 IS_USED 0 SITEPROP IOB_X1Y45 MANUAL_ROUTING SITEPROP IOB_X1Y45 NAME IOB_X1Y45 SITEPROP IOB_X1Y45 NUM_ARCS 14 SITEPROP IOB_X1Y45 NUM_BELS 8 SITEPROP IOB_X1Y45 NUM_INPUTS 12 SITEPROP IOB_X1Y45 NUM_OUTPUTS 5 SITEPROP IOB_X1Y45 NUM_PINS 17 SITEPROP IOB_X1Y45 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y45 PROHIBIT 0 SITEPROP IOB_X1Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y45 RPM_X 181 SITEPROP IOB_X1Y45 RPM_Y 91 SITEPROP IOB_X1Y45 SITE_PIPS SITEPROP IOB_X1Y45 SITE_TYPE IOB33S SITEPROP IOB_X1Y46 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y46 CLASS site SITEPROP IOB_X1Y46 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y46 IS_BONDED 1 SITEPROP IOB_X1Y46 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y46 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y46 IS_PAD 1 SITEPROP IOB_X1Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y46 IS_RESERVED 0 SITEPROP IOB_X1Y46 IS_TEST 0 SITEPROP IOB_X1Y46 IS_USED 0 SITEPROP IOB_X1Y46 MANUAL_ROUTING SITEPROP IOB_X1Y46 NAME IOB_X1Y46 SITEPROP IOB_X1Y46 NUM_ARCS 12 SITEPROP IOB_X1Y46 NUM_BELS 7 SITEPROP IOB_X1Y46 NUM_INPUTS 12 SITEPROP IOB_X1Y46 NUM_OUTPUTS 5 SITEPROP IOB_X1Y46 NUM_PINS 17 SITEPROP IOB_X1Y46 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y46 PROHIBIT 0 SITEPROP IOB_X1Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y46 RPM_X 181 SITEPROP IOB_X1Y46 RPM_Y 90 SITEPROP IOB_X1Y46 SITE_PIPS SITEPROP IOB_X1Y46 SITE_TYPE IOB33M SITEPROP IOB_X1Y47 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y47 CLASS site SITEPROP IOB_X1Y47 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y47 IS_BONDED 1 SITEPROP IOB_X1Y47 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y47 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y47 IS_PAD 1 SITEPROP IOB_X1Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y47 IS_RESERVED 0 SITEPROP IOB_X1Y47 IS_TEST 0 SITEPROP IOB_X1Y47 IS_USED 0 SITEPROP IOB_X1Y47 MANUAL_ROUTING SITEPROP IOB_X1Y47 NAME IOB_X1Y47 SITEPROP IOB_X1Y47 NUM_ARCS 14 SITEPROP IOB_X1Y47 NUM_BELS 8 SITEPROP IOB_X1Y47 NUM_INPUTS 12 SITEPROP IOB_X1Y47 NUM_OUTPUTS 5 SITEPROP IOB_X1Y47 NUM_PINS 17 SITEPROP IOB_X1Y47 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y47 PROHIBIT 0 SITEPROP IOB_X1Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y47 RPM_X 181 SITEPROP IOB_X1Y47 RPM_Y 95 SITEPROP IOB_X1Y47 SITE_PIPS SITEPROP IOB_X1Y47 SITE_TYPE IOB33S SITEPROP IOB_X1Y48 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y48 CLASS site SITEPROP IOB_X1Y48 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y48 IS_BONDED 1 SITEPROP IOB_X1Y48 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y48 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y48 IS_PAD 1 SITEPROP IOB_X1Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y48 IS_RESERVED 0 SITEPROP IOB_X1Y48 IS_TEST 0 SITEPROP IOB_X1Y48 IS_USED 0 SITEPROP IOB_X1Y48 MANUAL_ROUTING SITEPROP IOB_X1Y48 NAME IOB_X1Y48 SITEPROP IOB_X1Y48 NUM_ARCS 12 SITEPROP IOB_X1Y48 NUM_BELS 7 SITEPROP IOB_X1Y48 NUM_INPUTS 12 SITEPROP IOB_X1Y48 NUM_OUTPUTS 5 SITEPROP IOB_X1Y48 NUM_PINS 17 SITEPROP IOB_X1Y48 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y48 PROHIBIT 0 SITEPROP IOB_X1Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y48 RPM_X 181 SITEPROP IOB_X1Y48 RPM_Y 94 SITEPROP IOB_X1Y48 SITE_PIPS SITEPROP IOB_X1Y48 SITE_TYPE IOB33M SITEPROP IOB_X1Y49 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X1Y49 CLASS site SITEPROP IOB_X1Y49 CLOCK_REGION X1Y0 SITEPROP IOB_X1Y49 IS_BONDED 1 SITEPROP IOB_X1Y49 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y49 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y49 IS_PAD 1 SITEPROP IOB_X1Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y49 IS_RESERVED 0 SITEPROP IOB_X1Y49 IS_TEST 0 SITEPROP IOB_X1Y49 IS_USED 0 SITEPROP IOB_X1Y49 MANUAL_ROUTING SITEPROP IOB_X1Y49 NAME IOB_X1Y49 SITEPROP IOB_X1Y49 NUM_ARCS 9 SITEPROP IOB_X1Y49 NUM_BELS 7 SITEPROP IOB_X1Y49 NUM_INPUTS 12 SITEPROP IOB_X1Y49 NUM_OUTPUTS 5 SITEPROP IOB_X1Y49 NUM_PINS 17 SITEPROP IOB_X1Y49 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y49 PROHIBIT 0 SITEPROP IOB_X1Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y49 RPM_X 181 SITEPROP IOB_X1Y49 RPM_Y 98 SITEPROP IOB_X1Y49 SITE_PIPS SITEPROP IOB_X1Y49 SITE_TYPE IOB33 SITEPROP IOB_X1Y50 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X1Y50 CLASS site SITEPROP IOB_X1Y50 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y50 IS_BONDED 1 SITEPROP IOB_X1Y50 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y50 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y50 IS_PAD 1 SITEPROP IOB_X1Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y50 IS_RESERVED 0 SITEPROP IOB_X1Y50 IS_TEST 0 SITEPROP IOB_X1Y50 IS_USED 0 SITEPROP IOB_X1Y50 MANUAL_ROUTING SITEPROP IOB_X1Y50 NAME IOB_X1Y50 SITEPROP IOB_X1Y50 NUM_ARCS 9 SITEPROP IOB_X1Y50 NUM_BELS 7 SITEPROP IOB_X1Y50 NUM_INPUTS 12 SITEPROP IOB_X1Y50 NUM_OUTPUTS 5 SITEPROP IOB_X1Y50 NUM_PINS 17 SITEPROP IOB_X1Y50 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y50 PROHIBIT 0 SITEPROP IOB_X1Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y50 RPM_X 181 SITEPROP IOB_X1Y50 RPM_Y 100 SITEPROP IOB_X1Y50 SITE_PIPS SITEPROP IOB_X1Y50 SITE_TYPE IOB33 SITEPROP IOB_X1Y51 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y51 CLASS site SITEPROP IOB_X1Y51 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y51 IS_BONDED 1 SITEPROP IOB_X1Y51 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y51 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y51 IS_PAD 1 SITEPROP IOB_X1Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y51 IS_RESERVED 0 SITEPROP IOB_X1Y51 IS_TEST 0 SITEPROP IOB_X1Y51 IS_USED 0 SITEPROP IOB_X1Y51 MANUAL_ROUTING SITEPROP IOB_X1Y51 NAME IOB_X1Y51 SITEPROP IOB_X1Y51 NUM_ARCS 14 SITEPROP IOB_X1Y51 NUM_BELS 8 SITEPROP IOB_X1Y51 NUM_INPUTS 12 SITEPROP IOB_X1Y51 NUM_OUTPUTS 5 SITEPROP IOB_X1Y51 NUM_PINS 17 SITEPROP IOB_X1Y51 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y51 PROHIBIT 0 SITEPROP IOB_X1Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y51 RPM_X 181 SITEPROP IOB_X1Y51 RPM_Y 103 SITEPROP IOB_X1Y51 SITE_PIPS SITEPROP IOB_X1Y51 SITE_TYPE IOB33S SITEPROP IOB_X1Y52 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y52 CLASS site SITEPROP IOB_X1Y52 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y52 IS_BONDED 1 SITEPROP IOB_X1Y52 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y52 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y52 IS_PAD 1 SITEPROP IOB_X1Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y52 IS_RESERVED 0 SITEPROP IOB_X1Y52 IS_TEST 0 SITEPROP IOB_X1Y52 IS_USED 0 SITEPROP IOB_X1Y52 MANUAL_ROUTING SITEPROP IOB_X1Y52 NAME IOB_X1Y52 SITEPROP IOB_X1Y52 NUM_ARCS 12 SITEPROP IOB_X1Y52 NUM_BELS 7 SITEPROP IOB_X1Y52 NUM_INPUTS 12 SITEPROP IOB_X1Y52 NUM_OUTPUTS 5 SITEPROP IOB_X1Y52 NUM_PINS 17 SITEPROP IOB_X1Y52 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y52 PROHIBIT 0 SITEPROP IOB_X1Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y52 RPM_X 181 SITEPROP IOB_X1Y52 RPM_Y 102 SITEPROP IOB_X1Y52 SITE_PIPS SITEPROP IOB_X1Y52 SITE_TYPE IOB33M SITEPROP IOB_X1Y53 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y53 CLASS site SITEPROP IOB_X1Y53 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y53 IS_BONDED 1 SITEPROP IOB_X1Y53 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y53 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y53 IS_PAD 1 SITEPROP IOB_X1Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y53 IS_RESERVED 0 SITEPROP IOB_X1Y53 IS_TEST 0 SITEPROP IOB_X1Y53 IS_USED 0 SITEPROP IOB_X1Y53 MANUAL_ROUTING SITEPROP IOB_X1Y53 NAME IOB_X1Y53 SITEPROP IOB_X1Y53 NUM_ARCS 14 SITEPROP IOB_X1Y53 NUM_BELS 8 SITEPROP IOB_X1Y53 NUM_INPUTS 12 SITEPROP IOB_X1Y53 NUM_OUTPUTS 5 SITEPROP IOB_X1Y53 NUM_PINS 17 SITEPROP IOB_X1Y53 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y53 PROHIBIT 0 SITEPROP IOB_X1Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y53 RPM_X 181 SITEPROP IOB_X1Y53 RPM_Y 107 SITEPROP IOB_X1Y53 SITE_PIPS SITEPROP IOB_X1Y53 SITE_TYPE IOB33S SITEPROP IOB_X1Y54 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y54 CLASS site SITEPROP IOB_X1Y54 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y54 IS_BONDED 1 SITEPROP IOB_X1Y54 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y54 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y54 IS_PAD 1 SITEPROP IOB_X1Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y54 IS_RESERVED 0 SITEPROP IOB_X1Y54 IS_TEST 0 SITEPROP IOB_X1Y54 IS_USED 0 SITEPROP IOB_X1Y54 MANUAL_ROUTING SITEPROP IOB_X1Y54 NAME IOB_X1Y54 SITEPROP IOB_X1Y54 NUM_ARCS 12 SITEPROP IOB_X1Y54 NUM_BELS 7 SITEPROP IOB_X1Y54 NUM_INPUTS 12 SITEPROP IOB_X1Y54 NUM_OUTPUTS 5 SITEPROP IOB_X1Y54 NUM_PINS 17 SITEPROP IOB_X1Y54 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y54 PROHIBIT 0 SITEPROP IOB_X1Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y54 RPM_X 181 SITEPROP IOB_X1Y54 RPM_Y 106 SITEPROP IOB_X1Y54 SITE_PIPS SITEPROP IOB_X1Y54 SITE_TYPE IOB33M SITEPROP IOB_X1Y55 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y55 CLASS site SITEPROP IOB_X1Y55 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y55 IS_BONDED 1 SITEPROP IOB_X1Y55 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y55 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y55 IS_PAD 1 SITEPROP IOB_X1Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y55 IS_RESERVED 0 SITEPROP IOB_X1Y55 IS_TEST 0 SITEPROP IOB_X1Y55 IS_USED 0 SITEPROP IOB_X1Y55 MANUAL_ROUTING SITEPROP IOB_X1Y55 NAME IOB_X1Y55 SITEPROP IOB_X1Y55 NUM_ARCS 14 SITEPROP IOB_X1Y55 NUM_BELS 8 SITEPROP IOB_X1Y55 NUM_INPUTS 12 SITEPROP IOB_X1Y55 NUM_OUTPUTS 5 SITEPROP IOB_X1Y55 NUM_PINS 17 SITEPROP IOB_X1Y55 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y55 PROHIBIT 0 SITEPROP IOB_X1Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y55 RPM_X 181 SITEPROP IOB_X1Y55 RPM_Y 111 SITEPROP IOB_X1Y55 SITE_PIPS SITEPROP IOB_X1Y55 SITE_TYPE IOB33S SITEPROP IOB_X1Y56 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y56 CLASS site SITEPROP IOB_X1Y56 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y56 IS_BONDED 1 SITEPROP IOB_X1Y56 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y56 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y56 IS_PAD 1 SITEPROP IOB_X1Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y56 IS_RESERVED 0 SITEPROP IOB_X1Y56 IS_TEST 0 SITEPROP IOB_X1Y56 IS_USED 0 SITEPROP IOB_X1Y56 MANUAL_ROUTING SITEPROP IOB_X1Y56 NAME IOB_X1Y56 SITEPROP IOB_X1Y56 NUM_ARCS 12 SITEPROP IOB_X1Y56 NUM_BELS 7 SITEPROP IOB_X1Y56 NUM_INPUTS 12 SITEPROP IOB_X1Y56 NUM_OUTPUTS 5 SITEPROP IOB_X1Y56 NUM_PINS 17 SITEPROP IOB_X1Y56 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y56 PROHIBIT 0 SITEPROP IOB_X1Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y56 RPM_X 181 SITEPROP IOB_X1Y56 RPM_Y 110 SITEPROP IOB_X1Y56 SITE_PIPS SITEPROP IOB_X1Y56 SITE_TYPE IOB33M SITEPROP IOB_X1Y57 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y57 CLASS site SITEPROP IOB_X1Y57 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y57 IS_BONDED 1 SITEPROP IOB_X1Y57 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y57 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y57 IS_PAD 1 SITEPROP IOB_X1Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y57 IS_RESERVED 0 SITEPROP IOB_X1Y57 IS_TEST 0 SITEPROP IOB_X1Y57 IS_USED 0 SITEPROP IOB_X1Y57 MANUAL_ROUTING SITEPROP IOB_X1Y57 NAME IOB_X1Y57 SITEPROP IOB_X1Y57 NUM_ARCS 14 SITEPROP IOB_X1Y57 NUM_BELS 8 SITEPROP IOB_X1Y57 NUM_INPUTS 12 SITEPROP IOB_X1Y57 NUM_OUTPUTS 5 SITEPROP IOB_X1Y57 NUM_PINS 17 SITEPROP IOB_X1Y57 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y57 PROHIBIT 0 SITEPROP IOB_X1Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y57 RPM_X 181 SITEPROP IOB_X1Y57 RPM_Y 115 SITEPROP IOB_X1Y57 SITE_PIPS SITEPROP IOB_X1Y57 SITE_TYPE IOB33S SITEPROP IOB_X1Y58 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y58 CLASS site SITEPROP IOB_X1Y58 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y58 IS_BONDED 1 SITEPROP IOB_X1Y58 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y58 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y58 IS_PAD 1 SITEPROP IOB_X1Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y58 IS_RESERVED 0 SITEPROP IOB_X1Y58 IS_TEST 0 SITEPROP IOB_X1Y58 IS_USED 0 SITEPROP IOB_X1Y58 MANUAL_ROUTING SITEPROP IOB_X1Y58 NAME IOB_X1Y58 SITEPROP IOB_X1Y58 NUM_ARCS 12 SITEPROP IOB_X1Y58 NUM_BELS 7 SITEPROP IOB_X1Y58 NUM_INPUTS 12 SITEPROP IOB_X1Y58 NUM_OUTPUTS 5 SITEPROP IOB_X1Y58 NUM_PINS 17 SITEPROP IOB_X1Y58 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y58 PROHIBIT 0 SITEPROP IOB_X1Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y58 RPM_X 181 SITEPROP IOB_X1Y58 RPM_Y 114 SITEPROP IOB_X1Y58 SITE_PIPS SITEPROP IOB_X1Y58 SITE_TYPE IOB33M SITEPROP IOB_X1Y59 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y59 CLASS site SITEPROP IOB_X1Y59 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y59 IS_BONDED 1 SITEPROP IOB_X1Y59 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y59 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y59 IS_PAD 1 SITEPROP IOB_X1Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y59 IS_RESERVED 0 SITEPROP IOB_X1Y59 IS_TEST 0 SITEPROP IOB_X1Y59 IS_USED 0 SITEPROP IOB_X1Y59 MANUAL_ROUTING SITEPROP IOB_X1Y59 NAME IOB_X1Y59 SITEPROP IOB_X1Y59 NUM_ARCS 14 SITEPROP IOB_X1Y59 NUM_BELS 8 SITEPROP IOB_X1Y59 NUM_INPUTS 12 SITEPROP IOB_X1Y59 NUM_OUTPUTS 5 SITEPROP IOB_X1Y59 NUM_PINS 17 SITEPROP IOB_X1Y59 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y59 PROHIBIT 0 SITEPROP IOB_X1Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y59 RPM_X 181 SITEPROP IOB_X1Y59 RPM_Y 119 SITEPROP IOB_X1Y59 SITE_PIPS SITEPROP IOB_X1Y59 SITE_TYPE IOB33S SITEPROP IOB_X1Y60 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y60 CLASS site SITEPROP IOB_X1Y60 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y60 IS_BONDED 1 SITEPROP IOB_X1Y60 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y60 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y60 IS_PAD 1 SITEPROP IOB_X1Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y60 IS_RESERVED 0 SITEPROP IOB_X1Y60 IS_TEST 0 SITEPROP IOB_X1Y60 IS_USED 0 SITEPROP IOB_X1Y60 MANUAL_ROUTING SITEPROP IOB_X1Y60 NAME IOB_X1Y60 SITEPROP IOB_X1Y60 NUM_ARCS 12 SITEPROP IOB_X1Y60 NUM_BELS 7 SITEPROP IOB_X1Y60 NUM_INPUTS 12 SITEPROP IOB_X1Y60 NUM_OUTPUTS 5 SITEPROP IOB_X1Y60 NUM_PINS 17 SITEPROP IOB_X1Y60 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y60 PROHIBIT 0 SITEPROP IOB_X1Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y60 RPM_X 181 SITEPROP IOB_X1Y60 RPM_Y 118 SITEPROP IOB_X1Y60 SITE_PIPS SITEPROP IOB_X1Y60 SITE_TYPE IOB33M SITEPROP IOB_X1Y61 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y61 CLASS site SITEPROP IOB_X1Y61 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y61 IS_BONDED 1 SITEPROP IOB_X1Y61 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y61 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y61 IS_PAD 1 SITEPROP IOB_X1Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y61 IS_RESERVED 0 SITEPROP IOB_X1Y61 IS_TEST 0 SITEPROP IOB_X1Y61 IS_USED 0 SITEPROP IOB_X1Y61 MANUAL_ROUTING SITEPROP IOB_X1Y61 NAME IOB_X1Y61 SITEPROP IOB_X1Y61 NUM_ARCS 14 SITEPROP IOB_X1Y61 NUM_BELS 8 SITEPROP IOB_X1Y61 NUM_INPUTS 12 SITEPROP IOB_X1Y61 NUM_OUTPUTS 5 SITEPROP IOB_X1Y61 NUM_PINS 17 SITEPROP IOB_X1Y61 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y61 PROHIBIT 0 SITEPROP IOB_X1Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y61 RPM_X 181 SITEPROP IOB_X1Y61 RPM_Y 123 SITEPROP IOB_X1Y61 SITE_PIPS SITEPROP IOB_X1Y61 SITE_TYPE IOB33S SITEPROP IOB_X1Y62 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y62 CLASS site SITEPROP IOB_X1Y62 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y62 IS_BONDED 1 SITEPROP IOB_X1Y62 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y62 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y62 IS_PAD 1 SITEPROP IOB_X1Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y62 IS_RESERVED 0 SITEPROP IOB_X1Y62 IS_TEST 0 SITEPROP IOB_X1Y62 IS_USED 0 SITEPROP IOB_X1Y62 MANUAL_ROUTING SITEPROP IOB_X1Y62 NAME IOB_X1Y62 SITEPROP IOB_X1Y62 NUM_ARCS 12 SITEPROP IOB_X1Y62 NUM_BELS 7 SITEPROP IOB_X1Y62 NUM_INPUTS 12 SITEPROP IOB_X1Y62 NUM_OUTPUTS 5 SITEPROP IOB_X1Y62 NUM_PINS 17 SITEPROP IOB_X1Y62 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y62 PROHIBIT 0 SITEPROP IOB_X1Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y62 RPM_X 181 SITEPROP IOB_X1Y62 RPM_Y 122 SITEPROP IOB_X1Y62 SITE_PIPS SITEPROP IOB_X1Y62 SITE_TYPE IOB33M SITEPROP IOB_X1Y63 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y63 CLASS site SITEPROP IOB_X1Y63 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y63 IS_BONDED 1 SITEPROP IOB_X1Y63 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y63 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y63 IS_PAD 1 SITEPROP IOB_X1Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y63 IS_RESERVED 0 SITEPROP IOB_X1Y63 IS_TEST 0 SITEPROP IOB_X1Y63 IS_USED 0 SITEPROP IOB_X1Y63 MANUAL_ROUTING SITEPROP IOB_X1Y63 NAME IOB_X1Y63 SITEPROP IOB_X1Y63 NUM_ARCS 14 SITEPROP IOB_X1Y63 NUM_BELS 8 SITEPROP IOB_X1Y63 NUM_INPUTS 12 SITEPROP IOB_X1Y63 NUM_OUTPUTS 5 SITEPROP IOB_X1Y63 NUM_PINS 17 SITEPROP IOB_X1Y63 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y63 PROHIBIT 0 SITEPROP IOB_X1Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y63 RPM_X 181 SITEPROP IOB_X1Y63 RPM_Y 127 SITEPROP IOB_X1Y63 SITE_PIPS SITEPROP IOB_X1Y63 SITE_TYPE IOB33S SITEPROP IOB_X1Y64 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y64 CLASS site SITEPROP IOB_X1Y64 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y64 IS_BONDED 1 SITEPROP IOB_X1Y64 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y64 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y64 IS_PAD 1 SITEPROP IOB_X1Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y64 IS_RESERVED 0 SITEPROP IOB_X1Y64 IS_TEST 0 SITEPROP IOB_X1Y64 IS_USED 0 SITEPROP IOB_X1Y64 MANUAL_ROUTING SITEPROP IOB_X1Y64 NAME IOB_X1Y64 SITEPROP IOB_X1Y64 NUM_ARCS 12 SITEPROP IOB_X1Y64 NUM_BELS 7 SITEPROP IOB_X1Y64 NUM_INPUTS 12 SITEPROP IOB_X1Y64 NUM_OUTPUTS 5 SITEPROP IOB_X1Y64 NUM_PINS 17 SITEPROP IOB_X1Y64 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y64 PROHIBIT 0 SITEPROP IOB_X1Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y64 RPM_X 181 SITEPROP IOB_X1Y64 RPM_Y 126 SITEPROP IOB_X1Y64 SITE_PIPS SITEPROP IOB_X1Y64 SITE_TYPE IOB33M SITEPROP IOB_X1Y65 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y65 CLASS site SITEPROP IOB_X1Y65 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y65 IS_BONDED 1 SITEPROP IOB_X1Y65 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y65 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y65 IS_PAD 1 SITEPROP IOB_X1Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y65 IS_RESERVED 0 SITEPROP IOB_X1Y65 IS_TEST 0 SITEPROP IOB_X1Y65 IS_USED 0 SITEPROP IOB_X1Y65 MANUAL_ROUTING SITEPROP IOB_X1Y65 NAME IOB_X1Y65 SITEPROP IOB_X1Y65 NUM_ARCS 14 SITEPROP IOB_X1Y65 NUM_BELS 8 SITEPROP IOB_X1Y65 NUM_INPUTS 12 SITEPROP IOB_X1Y65 NUM_OUTPUTS 5 SITEPROP IOB_X1Y65 NUM_PINS 17 SITEPROP IOB_X1Y65 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y65 PROHIBIT 0 SITEPROP IOB_X1Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y65 RPM_X 181 SITEPROP IOB_X1Y65 RPM_Y 131 SITEPROP IOB_X1Y65 SITE_PIPS SITEPROP IOB_X1Y65 SITE_TYPE IOB33S SITEPROP IOB_X1Y66 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y66 CLASS site SITEPROP IOB_X1Y66 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y66 IS_BONDED 1 SITEPROP IOB_X1Y66 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y66 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y66 IS_PAD 1 SITEPROP IOB_X1Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y66 IS_RESERVED 0 SITEPROP IOB_X1Y66 IS_TEST 0 SITEPROP IOB_X1Y66 IS_USED 0 SITEPROP IOB_X1Y66 MANUAL_ROUTING SITEPROP IOB_X1Y66 NAME IOB_X1Y66 SITEPROP IOB_X1Y66 NUM_ARCS 12 SITEPROP IOB_X1Y66 NUM_BELS 7 SITEPROP IOB_X1Y66 NUM_INPUTS 12 SITEPROP IOB_X1Y66 NUM_OUTPUTS 5 SITEPROP IOB_X1Y66 NUM_PINS 17 SITEPROP IOB_X1Y66 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y66 PROHIBIT 0 SITEPROP IOB_X1Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y66 RPM_X 181 SITEPROP IOB_X1Y66 RPM_Y 130 SITEPROP IOB_X1Y66 SITE_PIPS SITEPROP IOB_X1Y66 SITE_TYPE IOB33M SITEPROP IOB_X1Y67 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y67 CLASS site SITEPROP IOB_X1Y67 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y67 IS_BONDED 1 SITEPROP IOB_X1Y67 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y67 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y67 IS_PAD 1 SITEPROP IOB_X1Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y67 IS_RESERVED 0 SITEPROP IOB_X1Y67 IS_TEST 0 SITEPROP IOB_X1Y67 IS_USED 0 SITEPROP IOB_X1Y67 MANUAL_ROUTING SITEPROP IOB_X1Y67 NAME IOB_X1Y67 SITEPROP IOB_X1Y67 NUM_ARCS 14 SITEPROP IOB_X1Y67 NUM_BELS 8 SITEPROP IOB_X1Y67 NUM_INPUTS 12 SITEPROP IOB_X1Y67 NUM_OUTPUTS 5 SITEPROP IOB_X1Y67 NUM_PINS 17 SITEPROP IOB_X1Y67 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y67 PROHIBIT 0 SITEPROP IOB_X1Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y67 RPM_X 181 SITEPROP IOB_X1Y67 RPM_Y 135 SITEPROP IOB_X1Y67 SITE_PIPS SITEPROP IOB_X1Y67 SITE_TYPE IOB33S SITEPROP IOB_X1Y68 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y68 CLASS site SITEPROP IOB_X1Y68 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y68 IS_BONDED 1 SITEPROP IOB_X1Y68 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y68 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y68 IS_PAD 1 SITEPROP IOB_X1Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y68 IS_RESERVED 0 SITEPROP IOB_X1Y68 IS_TEST 0 SITEPROP IOB_X1Y68 IS_USED 0 SITEPROP IOB_X1Y68 MANUAL_ROUTING SITEPROP IOB_X1Y68 NAME IOB_X1Y68 SITEPROP IOB_X1Y68 NUM_ARCS 12 SITEPROP IOB_X1Y68 NUM_BELS 7 SITEPROP IOB_X1Y68 NUM_INPUTS 12 SITEPROP IOB_X1Y68 NUM_OUTPUTS 5 SITEPROP IOB_X1Y68 NUM_PINS 17 SITEPROP IOB_X1Y68 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y68 PROHIBIT 0 SITEPROP IOB_X1Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y68 RPM_X 181 SITEPROP IOB_X1Y68 RPM_Y 134 SITEPROP IOB_X1Y68 SITE_PIPS SITEPROP IOB_X1Y68 SITE_TYPE IOB33M SITEPROP IOB_X1Y69 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y69 CLASS site SITEPROP IOB_X1Y69 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y69 IS_BONDED 1 SITEPROP IOB_X1Y69 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y69 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y69 IS_PAD 1 SITEPROP IOB_X1Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y69 IS_RESERVED 0 SITEPROP IOB_X1Y69 IS_TEST 0 SITEPROP IOB_X1Y69 IS_USED 0 SITEPROP IOB_X1Y69 MANUAL_ROUTING SITEPROP IOB_X1Y69 NAME IOB_X1Y69 SITEPROP IOB_X1Y69 NUM_ARCS 14 SITEPROP IOB_X1Y69 NUM_BELS 8 SITEPROP IOB_X1Y69 NUM_INPUTS 12 SITEPROP IOB_X1Y69 NUM_OUTPUTS 5 SITEPROP IOB_X1Y69 NUM_PINS 17 SITEPROP IOB_X1Y69 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y69 PROHIBIT 0 SITEPROP IOB_X1Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y69 RPM_X 181 SITEPROP IOB_X1Y69 RPM_Y 139 SITEPROP IOB_X1Y69 SITE_PIPS SITEPROP IOB_X1Y69 SITE_TYPE IOB33S SITEPROP IOB_X1Y70 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y70 CLASS site SITEPROP IOB_X1Y70 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y70 IS_BONDED 1 SITEPROP IOB_X1Y70 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y70 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y70 IS_PAD 1 SITEPROP IOB_X1Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y70 IS_RESERVED 0 SITEPROP IOB_X1Y70 IS_TEST 0 SITEPROP IOB_X1Y70 IS_USED 0 SITEPROP IOB_X1Y70 MANUAL_ROUTING SITEPROP IOB_X1Y70 NAME IOB_X1Y70 SITEPROP IOB_X1Y70 NUM_ARCS 12 SITEPROP IOB_X1Y70 NUM_BELS 7 SITEPROP IOB_X1Y70 NUM_INPUTS 12 SITEPROP IOB_X1Y70 NUM_OUTPUTS 5 SITEPROP IOB_X1Y70 NUM_PINS 17 SITEPROP IOB_X1Y70 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y70 PROHIBIT 0 SITEPROP IOB_X1Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y70 RPM_X 181 SITEPROP IOB_X1Y70 RPM_Y 138 SITEPROP IOB_X1Y70 SITE_PIPS SITEPROP IOB_X1Y70 SITE_TYPE IOB33M SITEPROP IOB_X1Y71 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y71 CLASS site SITEPROP IOB_X1Y71 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y71 IS_BONDED 1 SITEPROP IOB_X1Y71 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y71 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y71 IS_PAD 1 SITEPROP IOB_X1Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y71 IS_RESERVED 0 SITEPROP IOB_X1Y71 IS_TEST 0 SITEPROP IOB_X1Y71 IS_USED 0 SITEPROP IOB_X1Y71 MANUAL_ROUTING SITEPROP IOB_X1Y71 NAME IOB_X1Y71 SITEPROP IOB_X1Y71 NUM_ARCS 14 SITEPROP IOB_X1Y71 NUM_BELS 8 SITEPROP IOB_X1Y71 NUM_INPUTS 12 SITEPROP IOB_X1Y71 NUM_OUTPUTS 5 SITEPROP IOB_X1Y71 NUM_PINS 17 SITEPROP IOB_X1Y71 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y71 PROHIBIT 0 SITEPROP IOB_X1Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y71 RPM_X 181 SITEPROP IOB_X1Y71 RPM_Y 143 SITEPROP IOB_X1Y71 SITE_PIPS SITEPROP IOB_X1Y71 SITE_TYPE IOB33S SITEPROP IOB_X1Y72 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y72 CLASS site SITEPROP IOB_X1Y72 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y72 IS_BONDED 1 SITEPROP IOB_X1Y72 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y72 IS_CLOCK_PAD 1 SITEPROP IOB_X1Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y72 IS_PAD 1 SITEPROP IOB_X1Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y72 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X1Y72 IS_RESERVED 0 SITEPROP IOB_X1Y72 IS_TEST 0 SITEPROP IOB_X1Y72 IS_USED 0 SITEPROP IOB_X1Y72 MANUAL_ROUTING SITEPROP IOB_X1Y72 NAME IOB_X1Y72 SITEPROP IOB_X1Y72 NUM_ARCS 12 SITEPROP IOB_X1Y72 NUM_BELS 7 SITEPROP IOB_X1Y72 NUM_INPUTS 12 SITEPROP IOB_X1Y72 NUM_OUTPUTS 5 SITEPROP IOB_X1Y72 NUM_PINS 17 SITEPROP IOB_X1Y72 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y72 PROHIBIT 0 SITEPROP IOB_X1Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y72 RPM_X 181 SITEPROP IOB_X1Y72 RPM_Y 142 SITEPROP IOB_X1Y72 SITE_PIPS SITEPROP IOB_X1Y72 SITE_TYPE IOB33M SITEPROP IOB_X1Y73 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y73 CLASS site SITEPROP IOB_X1Y73 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y73 IS_BONDED 1 SITEPROP IOB_X1Y73 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y73 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y73 IS_PAD 1 SITEPROP IOB_X1Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y73 IS_RESERVED 0 SITEPROP IOB_X1Y73 IS_TEST 0 SITEPROP IOB_X1Y73 IS_USED 0 SITEPROP IOB_X1Y73 MANUAL_ROUTING SITEPROP IOB_X1Y73 NAME IOB_X1Y73 SITEPROP IOB_X1Y73 NUM_ARCS 14 SITEPROP IOB_X1Y73 NUM_BELS 8 SITEPROP IOB_X1Y73 NUM_INPUTS 12 SITEPROP IOB_X1Y73 NUM_OUTPUTS 5 SITEPROP IOB_X1Y73 NUM_PINS 17 SITEPROP IOB_X1Y73 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y73 PROHIBIT 0 SITEPROP IOB_X1Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y73 RPM_X 181 SITEPROP IOB_X1Y73 RPM_Y 147 SITEPROP IOB_X1Y73 SITE_PIPS SITEPROP IOB_X1Y73 SITE_TYPE IOB33S SITEPROP IOB_X1Y74 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y74 CLASS site SITEPROP IOB_X1Y74 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y74 IS_BONDED 1 SITEPROP IOB_X1Y74 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y74 IS_CLOCK_PAD 1 SITEPROP IOB_X1Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y74 IS_PAD 1 SITEPROP IOB_X1Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y74 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X1Y74 IS_RESERVED 0 SITEPROP IOB_X1Y74 IS_TEST 0 SITEPROP IOB_X1Y74 IS_USED 0 SITEPROP IOB_X1Y74 MANUAL_ROUTING SITEPROP IOB_X1Y74 NAME IOB_X1Y74 SITEPROP IOB_X1Y74 NUM_ARCS 12 SITEPROP IOB_X1Y74 NUM_BELS 7 SITEPROP IOB_X1Y74 NUM_INPUTS 12 SITEPROP IOB_X1Y74 NUM_OUTPUTS 5 SITEPROP IOB_X1Y74 NUM_PINS 17 SITEPROP IOB_X1Y74 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y74 PROHIBIT 0 SITEPROP IOB_X1Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y74 RPM_X 181 SITEPROP IOB_X1Y74 RPM_Y 146 SITEPROP IOB_X1Y74 SITE_PIPS SITEPROP IOB_X1Y74 SITE_TYPE IOB33M SITEPROP IOB_X1Y75 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y75 CLASS site SITEPROP IOB_X1Y75 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y75 IS_BONDED 1 SITEPROP IOB_X1Y75 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y75 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y75 IS_PAD 1 SITEPROP IOB_X1Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y75 IS_RESERVED 0 SITEPROP IOB_X1Y75 IS_TEST 0 SITEPROP IOB_X1Y75 IS_USED 0 SITEPROP IOB_X1Y75 MANUAL_ROUTING SITEPROP IOB_X1Y75 NAME IOB_X1Y75 SITEPROP IOB_X1Y75 NUM_ARCS 14 SITEPROP IOB_X1Y75 NUM_BELS 8 SITEPROP IOB_X1Y75 NUM_INPUTS 12 SITEPROP IOB_X1Y75 NUM_OUTPUTS 5 SITEPROP IOB_X1Y75 NUM_PINS 17 SITEPROP IOB_X1Y75 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y75 PROHIBIT 0 SITEPROP IOB_X1Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y75 RPM_X 181 SITEPROP IOB_X1Y75 RPM_Y 151 SITEPROP IOB_X1Y75 SITE_PIPS SITEPROP IOB_X1Y75 SITE_TYPE IOB33S SITEPROP IOB_X1Y76 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y76 CLASS site SITEPROP IOB_X1Y76 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y76 IS_BONDED 1 SITEPROP IOB_X1Y76 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y76 IS_CLOCK_PAD 1 SITEPROP IOB_X1Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y76 IS_PAD 1 SITEPROP IOB_X1Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y76 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X1Y76 IS_RESERVED 0 SITEPROP IOB_X1Y76 IS_TEST 0 SITEPROP IOB_X1Y76 IS_USED 0 SITEPROP IOB_X1Y76 MANUAL_ROUTING SITEPROP IOB_X1Y76 NAME IOB_X1Y76 SITEPROP IOB_X1Y76 NUM_ARCS 12 SITEPROP IOB_X1Y76 NUM_BELS 7 SITEPROP IOB_X1Y76 NUM_INPUTS 12 SITEPROP IOB_X1Y76 NUM_OUTPUTS 5 SITEPROP IOB_X1Y76 NUM_PINS 17 SITEPROP IOB_X1Y76 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y76 PROHIBIT 0 SITEPROP IOB_X1Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y76 RPM_X 181 SITEPROP IOB_X1Y76 RPM_Y 150 SITEPROP IOB_X1Y76 SITE_PIPS SITEPROP IOB_X1Y76 SITE_TYPE IOB33M SITEPROP IOB_X1Y77 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y77 CLASS site SITEPROP IOB_X1Y77 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y77 IS_BONDED 1 SITEPROP IOB_X1Y77 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y77 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y77 IS_PAD 1 SITEPROP IOB_X1Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y77 IS_RESERVED 0 SITEPROP IOB_X1Y77 IS_TEST 0 SITEPROP IOB_X1Y77 IS_USED 0 SITEPROP IOB_X1Y77 MANUAL_ROUTING SITEPROP IOB_X1Y77 NAME IOB_X1Y77 SITEPROP IOB_X1Y77 NUM_ARCS 14 SITEPROP IOB_X1Y77 NUM_BELS 8 SITEPROP IOB_X1Y77 NUM_INPUTS 12 SITEPROP IOB_X1Y77 NUM_OUTPUTS 5 SITEPROP IOB_X1Y77 NUM_PINS 17 SITEPROP IOB_X1Y77 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y77 PROHIBIT 0 SITEPROP IOB_X1Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y77 RPM_X 181 SITEPROP IOB_X1Y77 RPM_Y 155 SITEPROP IOB_X1Y77 SITE_PIPS SITEPROP IOB_X1Y77 SITE_TYPE IOB33S SITEPROP IOB_X1Y78 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y78 CLASS site SITEPROP IOB_X1Y78 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y78 IS_BONDED 1 SITEPROP IOB_X1Y78 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y78 IS_CLOCK_PAD 1 SITEPROP IOB_X1Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y78 IS_PAD 1 SITEPROP IOB_X1Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y78 IS_REGIONAL_CLOCK_PAD 1 SITEPROP IOB_X1Y78 IS_RESERVED 0 SITEPROP IOB_X1Y78 IS_TEST 0 SITEPROP IOB_X1Y78 IS_USED 0 SITEPROP IOB_X1Y78 MANUAL_ROUTING SITEPROP IOB_X1Y78 NAME IOB_X1Y78 SITEPROP IOB_X1Y78 NUM_ARCS 12 SITEPROP IOB_X1Y78 NUM_BELS 7 SITEPROP IOB_X1Y78 NUM_INPUTS 12 SITEPROP IOB_X1Y78 NUM_OUTPUTS 5 SITEPROP IOB_X1Y78 NUM_PINS 17 SITEPROP IOB_X1Y78 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y78 PROHIBIT 0 SITEPROP IOB_X1Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y78 RPM_X 181 SITEPROP IOB_X1Y78 RPM_Y 154 SITEPROP IOB_X1Y78 SITE_PIPS SITEPROP IOB_X1Y78 SITE_TYPE IOB33M SITEPROP IOB_X1Y79 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y79 CLASS site SITEPROP IOB_X1Y79 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y79 IS_BONDED 1 SITEPROP IOB_X1Y79 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y79 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y79 IS_PAD 1 SITEPROP IOB_X1Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y79 IS_RESERVED 0 SITEPROP IOB_X1Y79 IS_TEST 0 SITEPROP IOB_X1Y79 IS_USED 0 SITEPROP IOB_X1Y79 MANUAL_ROUTING SITEPROP IOB_X1Y79 NAME IOB_X1Y79 SITEPROP IOB_X1Y79 NUM_ARCS 14 SITEPROP IOB_X1Y79 NUM_BELS 8 SITEPROP IOB_X1Y79 NUM_INPUTS 12 SITEPROP IOB_X1Y79 NUM_OUTPUTS 5 SITEPROP IOB_X1Y79 NUM_PINS 17 SITEPROP IOB_X1Y79 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y79 PROHIBIT 0 SITEPROP IOB_X1Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y79 RPM_X 181 SITEPROP IOB_X1Y79 RPM_Y 159 SITEPROP IOB_X1Y79 SITE_PIPS SITEPROP IOB_X1Y79 SITE_TYPE IOB33S SITEPROP IOB_X1Y80 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y80 CLASS site SITEPROP IOB_X1Y80 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y80 IS_BONDED 1 SITEPROP IOB_X1Y80 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y80 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y80 IS_PAD 1 SITEPROP IOB_X1Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y80 IS_RESERVED 0 SITEPROP IOB_X1Y80 IS_TEST 0 SITEPROP IOB_X1Y80 IS_USED 0 SITEPROP IOB_X1Y80 MANUAL_ROUTING SITEPROP IOB_X1Y80 NAME IOB_X1Y80 SITEPROP IOB_X1Y80 NUM_ARCS 12 SITEPROP IOB_X1Y80 NUM_BELS 7 SITEPROP IOB_X1Y80 NUM_INPUTS 12 SITEPROP IOB_X1Y80 NUM_OUTPUTS 5 SITEPROP IOB_X1Y80 NUM_PINS 17 SITEPROP IOB_X1Y80 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y80 PROHIBIT 0 SITEPROP IOB_X1Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y80 RPM_X 181 SITEPROP IOB_X1Y80 RPM_Y 158 SITEPROP IOB_X1Y80 SITE_PIPS SITEPROP IOB_X1Y80 SITE_TYPE IOB33M SITEPROP IOB_X1Y81 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y81 CLASS site SITEPROP IOB_X1Y81 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y81 IS_BONDED 1 SITEPROP IOB_X1Y81 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y81 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y81 IS_PAD 1 SITEPROP IOB_X1Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y81 IS_RESERVED 0 SITEPROP IOB_X1Y81 IS_TEST 0 SITEPROP IOB_X1Y81 IS_USED 0 SITEPROP IOB_X1Y81 MANUAL_ROUTING SITEPROP IOB_X1Y81 NAME IOB_X1Y81 SITEPROP IOB_X1Y81 NUM_ARCS 14 SITEPROP IOB_X1Y81 NUM_BELS 8 SITEPROP IOB_X1Y81 NUM_INPUTS 12 SITEPROP IOB_X1Y81 NUM_OUTPUTS 5 SITEPROP IOB_X1Y81 NUM_PINS 17 SITEPROP IOB_X1Y81 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y81 PROHIBIT 0 SITEPROP IOB_X1Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y81 RPM_X 181 SITEPROP IOB_X1Y81 RPM_Y 163 SITEPROP IOB_X1Y81 SITE_PIPS SITEPROP IOB_X1Y81 SITE_TYPE IOB33S SITEPROP IOB_X1Y82 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y82 CLASS site SITEPROP IOB_X1Y82 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y82 IS_BONDED 1 SITEPROP IOB_X1Y82 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y82 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y82 IS_PAD 1 SITEPROP IOB_X1Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y82 IS_RESERVED 0 SITEPROP IOB_X1Y82 IS_TEST 0 SITEPROP IOB_X1Y82 IS_USED 0 SITEPROP IOB_X1Y82 MANUAL_ROUTING SITEPROP IOB_X1Y82 NAME IOB_X1Y82 SITEPROP IOB_X1Y82 NUM_ARCS 12 SITEPROP IOB_X1Y82 NUM_BELS 7 SITEPROP IOB_X1Y82 NUM_INPUTS 12 SITEPROP IOB_X1Y82 NUM_OUTPUTS 5 SITEPROP IOB_X1Y82 NUM_PINS 17 SITEPROP IOB_X1Y82 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y82 PROHIBIT 0 SITEPROP IOB_X1Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y82 RPM_X 181 SITEPROP IOB_X1Y82 RPM_Y 162 SITEPROP IOB_X1Y82 SITE_PIPS SITEPROP IOB_X1Y82 SITE_TYPE IOB33M SITEPROP IOB_X1Y83 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y83 CLASS site SITEPROP IOB_X1Y83 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y83 IS_BONDED 1 SITEPROP IOB_X1Y83 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y83 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y83 IS_PAD 1 SITEPROP IOB_X1Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y83 IS_RESERVED 0 SITEPROP IOB_X1Y83 IS_TEST 0 SITEPROP IOB_X1Y83 IS_USED 0 SITEPROP IOB_X1Y83 MANUAL_ROUTING SITEPROP IOB_X1Y83 NAME IOB_X1Y83 SITEPROP IOB_X1Y83 NUM_ARCS 14 SITEPROP IOB_X1Y83 NUM_BELS 8 SITEPROP IOB_X1Y83 NUM_INPUTS 12 SITEPROP IOB_X1Y83 NUM_OUTPUTS 5 SITEPROP IOB_X1Y83 NUM_PINS 17 SITEPROP IOB_X1Y83 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y83 PROHIBIT 0 SITEPROP IOB_X1Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y83 RPM_X 181 SITEPROP IOB_X1Y83 RPM_Y 167 SITEPROP IOB_X1Y83 SITE_PIPS SITEPROP IOB_X1Y83 SITE_TYPE IOB33S SITEPROP IOB_X1Y84 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y84 CLASS site SITEPROP IOB_X1Y84 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y84 IS_BONDED 1 SITEPROP IOB_X1Y84 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y84 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y84 IS_PAD 1 SITEPROP IOB_X1Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y84 IS_RESERVED 0 SITEPROP IOB_X1Y84 IS_TEST 0 SITEPROP IOB_X1Y84 IS_USED 0 SITEPROP IOB_X1Y84 MANUAL_ROUTING SITEPROP IOB_X1Y84 NAME IOB_X1Y84 SITEPROP IOB_X1Y84 NUM_ARCS 12 SITEPROP IOB_X1Y84 NUM_BELS 7 SITEPROP IOB_X1Y84 NUM_INPUTS 12 SITEPROP IOB_X1Y84 NUM_OUTPUTS 5 SITEPROP IOB_X1Y84 NUM_PINS 17 SITEPROP IOB_X1Y84 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y84 PROHIBIT 0 SITEPROP IOB_X1Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y84 RPM_X 181 SITEPROP IOB_X1Y84 RPM_Y 166 SITEPROP IOB_X1Y84 SITE_PIPS SITEPROP IOB_X1Y84 SITE_TYPE IOB33M SITEPROP IOB_X1Y85 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y85 CLASS site SITEPROP IOB_X1Y85 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y85 IS_BONDED 1 SITEPROP IOB_X1Y85 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y85 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y85 IS_PAD 1 SITEPROP IOB_X1Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y85 IS_RESERVED 0 SITEPROP IOB_X1Y85 IS_TEST 0 SITEPROP IOB_X1Y85 IS_USED 0 SITEPROP IOB_X1Y85 MANUAL_ROUTING SITEPROP IOB_X1Y85 NAME IOB_X1Y85 SITEPROP IOB_X1Y85 NUM_ARCS 14 SITEPROP IOB_X1Y85 NUM_BELS 8 SITEPROP IOB_X1Y85 NUM_INPUTS 12 SITEPROP IOB_X1Y85 NUM_OUTPUTS 5 SITEPROP IOB_X1Y85 NUM_PINS 17 SITEPROP IOB_X1Y85 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y85 PROHIBIT 0 SITEPROP IOB_X1Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y85 RPM_X 181 SITEPROP IOB_X1Y85 RPM_Y 171 SITEPROP IOB_X1Y85 SITE_PIPS SITEPROP IOB_X1Y85 SITE_TYPE IOB33S SITEPROP IOB_X1Y86 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y86 CLASS site SITEPROP IOB_X1Y86 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y86 IS_BONDED 1 SITEPROP IOB_X1Y86 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y86 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y86 IS_PAD 1 SITEPROP IOB_X1Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y86 IS_RESERVED 0 SITEPROP IOB_X1Y86 IS_TEST 0 SITEPROP IOB_X1Y86 IS_USED 0 SITEPROP IOB_X1Y86 MANUAL_ROUTING SITEPROP IOB_X1Y86 NAME IOB_X1Y86 SITEPROP IOB_X1Y86 NUM_ARCS 12 SITEPROP IOB_X1Y86 NUM_BELS 7 SITEPROP IOB_X1Y86 NUM_INPUTS 12 SITEPROP IOB_X1Y86 NUM_OUTPUTS 5 SITEPROP IOB_X1Y86 NUM_PINS 17 SITEPROP IOB_X1Y86 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y86 PROHIBIT 0 SITEPROP IOB_X1Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y86 RPM_X 181 SITEPROP IOB_X1Y86 RPM_Y 170 SITEPROP IOB_X1Y86 SITE_PIPS SITEPROP IOB_X1Y86 SITE_TYPE IOB33M SITEPROP IOB_X1Y87 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y87 CLASS site SITEPROP IOB_X1Y87 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y87 IS_BONDED 1 SITEPROP IOB_X1Y87 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y87 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y87 IS_PAD 1 SITEPROP IOB_X1Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y87 IS_RESERVED 0 SITEPROP IOB_X1Y87 IS_TEST 0 SITEPROP IOB_X1Y87 IS_USED 0 SITEPROP IOB_X1Y87 MANUAL_ROUTING SITEPROP IOB_X1Y87 NAME IOB_X1Y87 SITEPROP IOB_X1Y87 NUM_ARCS 14 SITEPROP IOB_X1Y87 NUM_BELS 8 SITEPROP IOB_X1Y87 NUM_INPUTS 12 SITEPROP IOB_X1Y87 NUM_OUTPUTS 5 SITEPROP IOB_X1Y87 NUM_PINS 17 SITEPROP IOB_X1Y87 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y87 PROHIBIT 0 SITEPROP IOB_X1Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y87 RPM_X 181 SITEPROP IOB_X1Y87 RPM_Y 175 SITEPROP IOB_X1Y87 SITE_PIPS SITEPROP IOB_X1Y87 SITE_TYPE IOB33S SITEPROP IOB_X1Y88 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y88 CLASS site SITEPROP IOB_X1Y88 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y88 IS_BONDED 1 SITEPROP IOB_X1Y88 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y88 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y88 IS_PAD 1 SITEPROP IOB_X1Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y88 IS_RESERVED 0 SITEPROP IOB_X1Y88 IS_TEST 0 SITEPROP IOB_X1Y88 IS_USED 0 SITEPROP IOB_X1Y88 MANUAL_ROUTING SITEPROP IOB_X1Y88 NAME IOB_X1Y88 SITEPROP IOB_X1Y88 NUM_ARCS 12 SITEPROP IOB_X1Y88 NUM_BELS 7 SITEPROP IOB_X1Y88 NUM_INPUTS 12 SITEPROP IOB_X1Y88 NUM_OUTPUTS 5 SITEPROP IOB_X1Y88 NUM_PINS 17 SITEPROP IOB_X1Y88 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y88 PROHIBIT 0 SITEPROP IOB_X1Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y88 RPM_X 181 SITEPROP IOB_X1Y88 RPM_Y 174 SITEPROP IOB_X1Y88 SITE_PIPS SITEPROP IOB_X1Y88 SITE_TYPE IOB33M SITEPROP IOB_X1Y89 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y89 CLASS site SITEPROP IOB_X1Y89 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y89 IS_BONDED 1 SITEPROP IOB_X1Y89 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y89 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y89 IS_PAD 1 SITEPROP IOB_X1Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y89 IS_RESERVED 0 SITEPROP IOB_X1Y89 IS_TEST 0 SITEPROP IOB_X1Y89 IS_USED 0 SITEPROP IOB_X1Y89 MANUAL_ROUTING SITEPROP IOB_X1Y89 NAME IOB_X1Y89 SITEPROP IOB_X1Y89 NUM_ARCS 14 SITEPROP IOB_X1Y89 NUM_BELS 8 SITEPROP IOB_X1Y89 NUM_INPUTS 12 SITEPROP IOB_X1Y89 NUM_OUTPUTS 5 SITEPROP IOB_X1Y89 NUM_PINS 17 SITEPROP IOB_X1Y89 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y89 PROHIBIT 0 SITEPROP IOB_X1Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y89 RPM_X 181 SITEPROP IOB_X1Y89 RPM_Y 179 SITEPROP IOB_X1Y89 SITE_PIPS SITEPROP IOB_X1Y89 SITE_TYPE IOB33S SITEPROP IOB_X1Y90 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y90 CLASS site SITEPROP IOB_X1Y90 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y90 IS_BONDED 1 SITEPROP IOB_X1Y90 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y90 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y90 IS_PAD 1 SITEPROP IOB_X1Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y90 IS_RESERVED 0 SITEPROP IOB_X1Y90 IS_TEST 0 SITEPROP IOB_X1Y90 IS_USED 0 SITEPROP IOB_X1Y90 MANUAL_ROUTING SITEPROP IOB_X1Y90 NAME IOB_X1Y90 SITEPROP IOB_X1Y90 NUM_ARCS 12 SITEPROP IOB_X1Y90 NUM_BELS 7 SITEPROP IOB_X1Y90 NUM_INPUTS 12 SITEPROP IOB_X1Y90 NUM_OUTPUTS 5 SITEPROP IOB_X1Y90 NUM_PINS 17 SITEPROP IOB_X1Y90 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y90 PROHIBIT 0 SITEPROP IOB_X1Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y90 RPM_X 181 SITEPROP IOB_X1Y90 RPM_Y 178 SITEPROP IOB_X1Y90 SITE_PIPS SITEPROP IOB_X1Y90 SITE_TYPE IOB33M SITEPROP IOB_X1Y91 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y91 CLASS site SITEPROP IOB_X1Y91 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y91 IS_BONDED 1 SITEPROP IOB_X1Y91 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y91 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y91 IS_PAD 1 SITEPROP IOB_X1Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y91 IS_RESERVED 0 SITEPROP IOB_X1Y91 IS_TEST 0 SITEPROP IOB_X1Y91 IS_USED 0 SITEPROP IOB_X1Y91 MANUAL_ROUTING SITEPROP IOB_X1Y91 NAME IOB_X1Y91 SITEPROP IOB_X1Y91 NUM_ARCS 14 SITEPROP IOB_X1Y91 NUM_BELS 8 SITEPROP IOB_X1Y91 NUM_INPUTS 12 SITEPROP IOB_X1Y91 NUM_OUTPUTS 5 SITEPROP IOB_X1Y91 NUM_PINS 17 SITEPROP IOB_X1Y91 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y91 PROHIBIT 0 SITEPROP IOB_X1Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y91 RPM_X 181 SITEPROP IOB_X1Y91 RPM_Y 183 SITEPROP IOB_X1Y91 SITE_PIPS SITEPROP IOB_X1Y91 SITE_TYPE IOB33S SITEPROP IOB_X1Y92 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y92 CLASS site SITEPROP IOB_X1Y92 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y92 IS_BONDED 1 SITEPROP IOB_X1Y92 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y92 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y92 IS_PAD 1 SITEPROP IOB_X1Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y92 IS_RESERVED 0 SITEPROP IOB_X1Y92 IS_TEST 0 SITEPROP IOB_X1Y92 IS_USED 0 SITEPROP IOB_X1Y92 MANUAL_ROUTING SITEPROP IOB_X1Y92 NAME IOB_X1Y92 SITEPROP IOB_X1Y92 NUM_ARCS 12 SITEPROP IOB_X1Y92 NUM_BELS 7 SITEPROP IOB_X1Y92 NUM_INPUTS 12 SITEPROP IOB_X1Y92 NUM_OUTPUTS 5 SITEPROP IOB_X1Y92 NUM_PINS 17 SITEPROP IOB_X1Y92 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y92 PROHIBIT 0 SITEPROP IOB_X1Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y92 RPM_X 181 SITEPROP IOB_X1Y92 RPM_Y 182 SITEPROP IOB_X1Y92 SITE_PIPS SITEPROP IOB_X1Y92 SITE_TYPE IOB33M SITEPROP IOB_X1Y93 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y93 CLASS site SITEPROP IOB_X1Y93 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y93 IS_BONDED 1 SITEPROP IOB_X1Y93 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y93 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y93 IS_PAD 1 SITEPROP IOB_X1Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y93 IS_RESERVED 0 SITEPROP IOB_X1Y93 IS_TEST 0 SITEPROP IOB_X1Y93 IS_USED 0 SITEPROP IOB_X1Y93 MANUAL_ROUTING SITEPROP IOB_X1Y93 NAME IOB_X1Y93 SITEPROP IOB_X1Y93 NUM_ARCS 14 SITEPROP IOB_X1Y93 NUM_BELS 8 SITEPROP IOB_X1Y93 NUM_INPUTS 12 SITEPROP IOB_X1Y93 NUM_OUTPUTS 5 SITEPROP IOB_X1Y93 NUM_PINS 17 SITEPROP IOB_X1Y93 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y93 PROHIBIT 0 SITEPROP IOB_X1Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y93 RPM_X 181 SITEPROP IOB_X1Y93 RPM_Y 187 SITEPROP IOB_X1Y93 SITE_PIPS SITEPROP IOB_X1Y93 SITE_TYPE IOB33S SITEPROP IOB_X1Y94 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y94 CLASS site SITEPROP IOB_X1Y94 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y94 IS_BONDED 1 SITEPROP IOB_X1Y94 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y94 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y94 IS_PAD 1 SITEPROP IOB_X1Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y94 IS_RESERVED 0 SITEPROP IOB_X1Y94 IS_TEST 0 SITEPROP IOB_X1Y94 IS_USED 0 SITEPROP IOB_X1Y94 MANUAL_ROUTING SITEPROP IOB_X1Y94 NAME IOB_X1Y94 SITEPROP IOB_X1Y94 NUM_ARCS 12 SITEPROP IOB_X1Y94 NUM_BELS 7 SITEPROP IOB_X1Y94 NUM_INPUTS 12 SITEPROP IOB_X1Y94 NUM_OUTPUTS 5 SITEPROP IOB_X1Y94 NUM_PINS 17 SITEPROP IOB_X1Y94 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y94 PROHIBIT 0 SITEPROP IOB_X1Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y94 RPM_X 181 SITEPROP IOB_X1Y94 RPM_Y 186 SITEPROP IOB_X1Y94 SITE_PIPS SITEPROP IOB_X1Y94 SITE_TYPE IOB33M SITEPROP IOB_X1Y95 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y95 CLASS site SITEPROP IOB_X1Y95 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y95 IS_BONDED 1 SITEPROP IOB_X1Y95 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y95 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y95 IS_PAD 1 SITEPROP IOB_X1Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y95 IS_RESERVED 0 SITEPROP IOB_X1Y95 IS_TEST 0 SITEPROP IOB_X1Y95 IS_USED 0 SITEPROP IOB_X1Y95 MANUAL_ROUTING SITEPROP IOB_X1Y95 NAME IOB_X1Y95 SITEPROP IOB_X1Y95 NUM_ARCS 14 SITEPROP IOB_X1Y95 NUM_BELS 8 SITEPROP IOB_X1Y95 NUM_INPUTS 12 SITEPROP IOB_X1Y95 NUM_OUTPUTS 5 SITEPROP IOB_X1Y95 NUM_PINS 17 SITEPROP IOB_X1Y95 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y95 PROHIBIT 0 SITEPROP IOB_X1Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y95 RPM_X 181 SITEPROP IOB_X1Y95 RPM_Y 191 SITEPROP IOB_X1Y95 SITE_PIPS SITEPROP IOB_X1Y95 SITE_TYPE IOB33S SITEPROP IOB_X1Y96 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y96 CLASS site SITEPROP IOB_X1Y96 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y96 IS_BONDED 1 SITEPROP IOB_X1Y96 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y96 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y96 IS_PAD 1 SITEPROP IOB_X1Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y96 IS_RESERVED 0 SITEPROP IOB_X1Y96 IS_TEST 0 SITEPROP IOB_X1Y96 IS_USED 0 SITEPROP IOB_X1Y96 MANUAL_ROUTING SITEPROP IOB_X1Y96 NAME IOB_X1Y96 SITEPROP IOB_X1Y96 NUM_ARCS 12 SITEPROP IOB_X1Y96 NUM_BELS 7 SITEPROP IOB_X1Y96 NUM_INPUTS 12 SITEPROP IOB_X1Y96 NUM_OUTPUTS 5 SITEPROP IOB_X1Y96 NUM_PINS 17 SITEPROP IOB_X1Y96 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y96 PROHIBIT 0 SITEPROP IOB_X1Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y96 RPM_X 181 SITEPROP IOB_X1Y96 RPM_Y 190 SITEPROP IOB_X1Y96 SITE_PIPS SITEPROP IOB_X1Y96 SITE_TYPE IOB33M SITEPROP IOB_X1Y97 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y97 CLASS site SITEPROP IOB_X1Y97 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y97 IS_BONDED 1 SITEPROP IOB_X1Y97 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y97 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y97 IS_PAD 1 SITEPROP IOB_X1Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y97 IS_RESERVED 0 SITEPROP IOB_X1Y97 IS_TEST 0 SITEPROP IOB_X1Y97 IS_USED 0 SITEPROP IOB_X1Y97 MANUAL_ROUTING SITEPROP IOB_X1Y97 NAME IOB_X1Y97 SITEPROP IOB_X1Y97 NUM_ARCS 14 SITEPROP IOB_X1Y97 NUM_BELS 8 SITEPROP IOB_X1Y97 NUM_INPUTS 12 SITEPROP IOB_X1Y97 NUM_OUTPUTS 5 SITEPROP IOB_X1Y97 NUM_PINS 17 SITEPROP IOB_X1Y97 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y97 PROHIBIT 0 SITEPROP IOB_X1Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y97 RPM_X 181 SITEPROP IOB_X1Y97 RPM_Y 195 SITEPROP IOB_X1Y97 SITE_PIPS SITEPROP IOB_X1Y97 SITE_TYPE IOB33S SITEPROP IOB_X1Y98 ALTERNATE_SITE_TYPES IOB33 IPAD SITEPROP IOB_X1Y98 CLASS site SITEPROP IOB_X1Y98 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y98 IS_BONDED 1 SITEPROP IOB_X1Y98 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y98 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y98 IS_PAD 1 SITEPROP IOB_X1Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y98 IS_RESERVED 0 SITEPROP IOB_X1Y98 IS_TEST 0 SITEPROP IOB_X1Y98 IS_USED 0 SITEPROP IOB_X1Y98 MANUAL_ROUTING SITEPROP IOB_X1Y98 NAME IOB_X1Y98 SITEPROP IOB_X1Y98 NUM_ARCS 12 SITEPROP IOB_X1Y98 NUM_BELS 7 SITEPROP IOB_X1Y98 NUM_INPUTS 12 SITEPROP IOB_X1Y98 NUM_OUTPUTS 5 SITEPROP IOB_X1Y98 NUM_PINS 17 SITEPROP IOB_X1Y98 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y98 PROHIBIT 0 SITEPROP IOB_X1Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y98 RPM_X 181 SITEPROP IOB_X1Y98 RPM_Y 194 SITEPROP IOB_X1Y98 SITE_PIPS SITEPROP IOB_X1Y98 SITE_TYPE IOB33M SITEPROP IOB_X1Y99 ALTERNATE_SITE_TYPES IOB33S IOB33M SITEPROP IOB_X1Y99 CLASS site SITEPROP IOB_X1Y99 CLOCK_REGION X1Y1 SITEPROP IOB_X1Y99 IS_BONDED 1 SITEPROP IOB_X1Y99 IS_CLOCK_BUFFER 0 SITEPROP IOB_X1Y99 IS_CLOCK_PAD 0 SITEPROP IOB_X1Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IOB_X1Y99 IS_PAD 1 SITEPROP IOB_X1Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IOB_X1Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IOB_X1Y99 IS_RESERVED 0 SITEPROP IOB_X1Y99 IS_TEST 0 SITEPROP IOB_X1Y99 IS_USED 0 SITEPROP IOB_X1Y99 MANUAL_ROUTING SITEPROP IOB_X1Y99 NAME IOB_X1Y99 SITEPROP IOB_X1Y99 NUM_ARCS 9 SITEPROP IOB_X1Y99 NUM_BELS 7 SITEPROP IOB_X1Y99 NUM_INPUTS 12 SITEPROP IOB_X1Y99 NUM_OUTPUTS 5 SITEPROP IOB_X1Y99 NUM_PINS 17 SITEPROP IOB_X1Y99 PRIMITIVE_COUNT 0 SITEPROP IOB_X1Y99 PROHIBIT 0 SITEPROP IOB_X1Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP IOB_X1Y99 RPM_X 181 SITEPROP IOB_X1Y99 RPM_Y 198 SITEPROP IOB_X1Y99 SITE_PIPS SITEPROP IOB_X1Y99 SITE_TYPE IOB33 SITEPROP IPAD_X0Y0 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X0Y0 CLASS site SITEPROP IPAD_X0Y0 CLOCK_REGION X0Y1 SITEPROP IPAD_X0Y0 IS_BONDED 1 SITEPROP IPAD_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X0Y0 IS_CLOCK_PAD 0 SITEPROP IPAD_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X0Y0 IS_PAD 1 SITEPROP IPAD_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X0Y0 IS_RESERVED 0 SITEPROP IPAD_X0Y0 IS_TEST 0 SITEPROP IPAD_X0Y0 IS_USED 0 SITEPROP IPAD_X0Y0 MANUAL_ROUTING SITEPROP IPAD_X0Y0 NAME IPAD_X0Y0 SITEPROP IPAD_X0Y0 NUM_ARCS 0 SITEPROP IPAD_X0Y0 NUM_BELS 2 SITEPROP IPAD_X0Y0 NUM_INPUTS 0 SITEPROP IPAD_X0Y0 NUM_OUTPUTS 1 SITEPROP IPAD_X0Y0 NUM_PINS 1 SITEPROP IPAD_X0Y0 PRIMITIVE_COUNT 0 SITEPROP IPAD_X0Y0 PROHIBIT 0 SITEPROP IPAD_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X0Y0 RPM_X 76 SITEPROP IPAD_X0Y0 RPM_Y 151 SITEPROP IPAD_X0Y0 SITE_PIPS SITEPROP IPAD_X0Y0 SITE_TYPE IPAD SITEPROP IPAD_X0Y1 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X0Y1 CLASS site SITEPROP IPAD_X0Y1 CLOCK_REGION X0Y1 SITEPROP IPAD_X0Y1 IS_BONDED 1 SITEPROP IPAD_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X0Y1 IS_CLOCK_PAD 0 SITEPROP IPAD_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X0Y1 IS_PAD 1 SITEPROP IPAD_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X0Y1 IS_RESERVED 0 SITEPROP IPAD_X0Y1 IS_TEST 0 SITEPROP IPAD_X0Y1 IS_USED 0 SITEPROP IPAD_X0Y1 MANUAL_ROUTING SITEPROP IPAD_X0Y1 NAME IPAD_X0Y1 SITEPROP IPAD_X0Y1 NUM_ARCS 0 SITEPROP IPAD_X0Y1 NUM_BELS 2 SITEPROP IPAD_X0Y1 NUM_INPUTS 0 SITEPROP IPAD_X0Y1 NUM_OUTPUTS 1 SITEPROP IPAD_X0Y1 NUM_PINS 1 SITEPROP IPAD_X0Y1 PRIMITIVE_COUNT 0 SITEPROP IPAD_X0Y1 PROHIBIT 0 SITEPROP IPAD_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X0Y1 RPM_X 76 SITEPROP IPAD_X0Y1 RPM_Y 152 SITEPROP IPAD_X0Y1 SITE_PIPS SITEPROP IPAD_X0Y1 SITE_TYPE IPAD SITEPROP IPAD_X1Y6 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y6 CLASS site SITEPROP IPAD_X1Y6 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y6 IS_BONDED 1 SITEPROP IPAD_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y6 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y6 IS_PAD 1 SITEPROP IPAD_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y6 IS_RESERVED 0 SITEPROP IPAD_X1Y6 IS_TEST 0 SITEPROP IPAD_X1Y6 IS_USED 0 SITEPROP IPAD_X1Y6 MANUAL_ROUTING SITEPROP IPAD_X1Y6 NAME IPAD_X1Y6 SITEPROP IPAD_X1Y6 NUM_ARCS 0 SITEPROP IPAD_X1Y6 NUM_BELS 2 SITEPROP IPAD_X1Y6 NUM_INPUTS 0 SITEPROP IPAD_X1Y6 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y6 NUM_PINS 1 SITEPROP IPAD_X1Y6 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y6 PROHIBIT 0 SITEPROP IPAD_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y6 RPM_X 154 SITEPROP IPAD_X1Y6 RPM_Y 210 SITEPROP IPAD_X1Y6 SITE_PIPS SITEPROP IPAD_X1Y6 SITE_TYPE IPAD SITEPROP IPAD_X1Y7 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y7 CLASS site SITEPROP IPAD_X1Y7 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y7 IS_BONDED 1 SITEPROP IPAD_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y7 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y7 IS_PAD 1 SITEPROP IPAD_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y7 IS_RESERVED 0 SITEPROP IPAD_X1Y7 IS_TEST 0 SITEPROP IPAD_X1Y7 IS_USED 0 SITEPROP IPAD_X1Y7 MANUAL_ROUTING SITEPROP IPAD_X1Y7 NAME IPAD_X1Y7 SITEPROP IPAD_X1Y7 NUM_ARCS 0 SITEPROP IPAD_X1Y7 NUM_BELS 2 SITEPROP IPAD_X1Y7 NUM_INPUTS 0 SITEPROP IPAD_X1Y7 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y7 NUM_PINS 1 SITEPROP IPAD_X1Y7 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y7 PROHIBIT 0 SITEPROP IPAD_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y7 RPM_X 154 SITEPROP IPAD_X1Y7 RPM_Y 211 SITEPROP IPAD_X1Y7 SITE_PIPS SITEPROP IPAD_X1Y7 SITE_TYPE IPAD SITEPROP IPAD_X1Y12 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y12 CLASS site SITEPROP IPAD_X1Y12 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y12 IS_BONDED 1 SITEPROP IPAD_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y12 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y12 IS_PAD 1 SITEPROP IPAD_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y12 IS_RESERVED 0 SITEPROP IPAD_X1Y12 IS_TEST 0 SITEPROP IPAD_X1Y12 IS_USED 0 SITEPROP IPAD_X1Y12 MANUAL_ROUTING SITEPROP IPAD_X1Y12 NAME IPAD_X1Y12 SITEPROP IPAD_X1Y12 NUM_ARCS 0 SITEPROP IPAD_X1Y12 NUM_BELS 2 SITEPROP IPAD_X1Y12 NUM_INPUTS 0 SITEPROP IPAD_X1Y12 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y12 NUM_PINS 1 SITEPROP IPAD_X1Y12 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y12 PROHIBIT 0 SITEPROP IPAD_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y12 RPM_X 154 SITEPROP IPAD_X1Y12 RPM_Y 232 SITEPROP IPAD_X1Y12 SITE_PIPS SITEPROP IPAD_X1Y12 SITE_TYPE IPAD SITEPROP IPAD_X1Y13 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y13 CLASS site SITEPROP IPAD_X1Y13 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y13 IS_BONDED 1 SITEPROP IPAD_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y13 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y13 IS_PAD 1 SITEPROP IPAD_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y13 IS_RESERVED 0 SITEPROP IPAD_X1Y13 IS_TEST 0 SITEPROP IPAD_X1Y13 IS_USED 0 SITEPROP IPAD_X1Y13 MANUAL_ROUTING SITEPROP IPAD_X1Y13 NAME IPAD_X1Y13 SITEPROP IPAD_X1Y13 NUM_ARCS 0 SITEPROP IPAD_X1Y13 NUM_BELS 2 SITEPROP IPAD_X1Y13 NUM_INPUTS 0 SITEPROP IPAD_X1Y13 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y13 NUM_PINS 1 SITEPROP IPAD_X1Y13 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y13 PROHIBIT 0 SITEPROP IPAD_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y13 RPM_X 154 SITEPROP IPAD_X1Y13 RPM_Y 233 SITEPROP IPAD_X1Y13 SITE_PIPS SITEPROP IPAD_X1Y13 SITE_TYPE IPAD SITEPROP IPAD_X1Y14 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y14 CLASS site SITEPROP IPAD_X1Y14 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y14 IS_BONDED 1 SITEPROP IPAD_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y14 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y14 IS_PAD 1 SITEPROP IPAD_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y14 IS_RESERVED 0 SITEPROP IPAD_X1Y14 IS_TEST 0 SITEPROP IPAD_X1Y14 IS_USED 0 SITEPROP IPAD_X1Y14 MANUAL_ROUTING SITEPROP IPAD_X1Y14 NAME IPAD_X1Y14 SITEPROP IPAD_X1Y14 NUM_ARCS 0 SITEPROP IPAD_X1Y14 NUM_BELS 2 SITEPROP IPAD_X1Y14 NUM_INPUTS 0 SITEPROP IPAD_X1Y14 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y14 NUM_PINS 1 SITEPROP IPAD_X1Y14 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y14 PROHIBIT 0 SITEPROP IPAD_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y14 RPM_X 154 SITEPROP IPAD_X1Y14 RPM_Y 248 SITEPROP IPAD_X1Y14 SITE_PIPS SITEPROP IPAD_X1Y14 SITE_TYPE IPAD SITEPROP IPAD_X1Y15 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y15 CLASS site SITEPROP IPAD_X1Y15 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y15 IS_BONDED 1 SITEPROP IPAD_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y15 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y15 IS_PAD 1 SITEPROP IPAD_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y15 IS_RESERVED 0 SITEPROP IPAD_X1Y15 IS_TEST 0 SITEPROP IPAD_X1Y15 IS_USED 0 SITEPROP IPAD_X1Y15 MANUAL_ROUTING SITEPROP IPAD_X1Y15 NAME IPAD_X1Y15 SITEPROP IPAD_X1Y15 NUM_ARCS 0 SITEPROP IPAD_X1Y15 NUM_BELS 2 SITEPROP IPAD_X1Y15 NUM_INPUTS 0 SITEPROP IPAD_X1Y15 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y15 NUM_PINS 1 SITEPROP IPAD_X1Y15 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y15 PROHIBIT 0 SITEPROP IPAD_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y15 RPM_X 155 SITEPROP IPAD_X1Y15 RPM_Y 248 SITEPROP IPAD_X1Y15 SITE_PIPS SITEPROP IPAD_X1Y15 SITE_TYPE IPAD SITEPROP IPAD_X1Y16 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y16 CLASS site SITEPROP IPAD_X1Y16 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y16 IS_BONDED 1 SITEPROP IPAD_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y16 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y16 IS_PAD 1 SITEPROP IPAD_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y16 IS_RESERVED 0 SITEPROP IPAD_X1Y16 IS_TEST 0 SITEPROP IPAD_X1Y16 IS_USED 0 SITEPROP IPAD_X1Y16 MANUAL_ROUTING SITEPROP IPAD_X1Y16 NAME IPAD_X1Y16 SITEPROP IPAD_X1Y16 NUM_ARCS 0 SITEPROP IPAD_X1Y16 NUM_BELS 2 SITEPROP IPAD_X1Y16 NUM_INPUTS 0 SITEPROP IPAD_X1Y16 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y16 NUM_PINS 1 SITEPROP IPAD_X1Y16 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y16 PROHIBIT 0 SITEPROP IPAD_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y16 RPM_X 154 SITEPROP IPAD_X1Y16 RPM_Y 249 SITEPROP IPAD_X1Y16 SITE_PIPS SITEPROP IPAD_X1Y16 SITE_TYPE IPAD SITEPROP IPAD_X1Y17 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y17 CLASS site SITEPROP IPAD_X1Y17 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y17 IS_BONDED 1 SITEPROP IPAD_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y17 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y17 IS_PAD 1 SITEPROP IPAD_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y17 IS_RESERVED 0 SITEPROP IPAD_X1Y17 IS_TEST 0 SITEPROP IPAD_X1Y17 IS_USED 0 SITEPROP IPAD_X1Y17 MANUAL_ROUTING SITEPROP IPAD_X1Y17 NAME IPAD_X1Y17 SITEPROP IPAD_X1Y17 NUM_ARCS 0 SITEPROP IPAD_X1Y17 NUM_BELS 2 SITEPROP IPAD_X1Y17 NUM_INPUTS 0 SITEPROP IPAD_X1Y17 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y17 NUM_PINS 1 SITEPROP IPAD_X1Y17 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y17 PROHIBIT 0 SITEPROP IPAD_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y17 RPM_X 155 SITEPROP IPAD_X1Y17 RPM_Y 249 SITEPROP IPAD_X1Y17 SITE_PIPS SITEPROP IPAD_X1Y17 SITE_TYPE IPAD SITEPROP IPAD_X1Y24 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y24 CLASS site SITEPROP IPAD_X1Y24 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y24 IS_BONDED 1 SITEPROP IPAD_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y24 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y24 IS_PAD 1 SITEPROP IPAD_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y24 IS_RESERVED 0 SITEPROP IPAD_X1Y24 IS_TEST 0 SITEPROP IPAD_X1Y24 IS_USED 0 SITEPROP IPAD_X1Y24 MANUAL_ROUTING SITEPROP IPAD_X1Y24 NAME IPAD_X1Y24 SITEPROP IPAD_X1Y24 NUM_ARCS 0 SITEPROP IPAD_X1Y24 NUM_BELS 2 SITEPROP IPAD_X1Y24 NUM_INPUTS 0 SITEPROP IPAD_X1Y24 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y24 NUM_PINS 1 SITEPROP IPAD_X1Y24 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y24 PROHIBIT 0 SITEPROP IPAD_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y24 RPM_X 154 SITEPROP IPAD_X1Y24 RPM_Y 266 SITEPROP IPAD_X1Y24 SITE_PIPS SITEPROP IPAD_X1Y24 SITE_TYPE IPAD SITEPROP IPAD_X1Y25 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y25 CLASS site SITEPROP IPAD_X1Y25 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y25 IS_BONDED 1 SITEPROP IPAD_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y25 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y25 IS_PAD 1 SITEPROP IPAD_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y25 IS_RESERVED 0 SITEPROP IPAD_X1Y25 IS_TEST 0 SITEPROP IPAD_X1Y25 IS_USED 0 SITEPROP IPAD_X1Y25 MANUAL_ROUTING SITEPROP IPAD_X1Y25 NAME IPAD_X1Y25 SITEPROP IPAD_X1Y25 NUM_ARCS 0 SITEPROP IPAD_X1Y25 NUM_BELS 2 SITEPROP IPAD_X1Y25 NUM_INPUTS 0 SITEPROP IPAD_X1Y25 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y25 NUM_PINS 1 SITEPROP IPAD_X1Y25 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y25 PROHIBIT 0 SITEPROP IPAD_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y25 RPM_X 154 SITEPROP IPAD_X1Y25 RPM_Y 267 SITEPROP IPAD_X1Y25 SITE_PIPS SITEPROP IPAD_X1Y25 SITE_TYPE IPAD SITEPROP IPAD_X1Y30 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y30 CLASS site SITEPROP IPAD_X1Y30 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y30 IS_BONDED 1 SITEPROP IPAD_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y30 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y30 IS_PAD 1 SITEPROP IPAD_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y30 IS_RESERVED 0 SITEPROP IPAD_X1Y30 IS_TEST 0 SITEPROP IPAD_X1Y30 IS_USED 0 SITEPROP IPAD_X1Y30 MANUAL_ROUTING SITEPROP IPAD_X1Y30 NAME IPAD_X1Y30 SITEPROP IPAD_X1Y30 NUM_ARCS 0 SITEPROP IPAD_X1Y30 NUM_BELS 2 SITEPROP IPAD_X1Y30 NUM_INPUTS 0 SITEPROP IPAD_X1Y30 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y30 NUM_PINS 1 SITEPROP IPAD_X1Y30 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y30 PROHIBIT 0 SITEPROP IPAD_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y30 RPM_X 154 SITEPROP IPAD_X1Y30 RPM_Y 288 SITEPROP IPAD_X1Y30 SITE_PIPS SITEPROP IPAD_X1Y30 SITE_TYPE IPAD SITEPROP IPAD_X1Y31 ALTERNATE_SITE_TYPES IOB18M IOB33S IOB33M IOB18S SITEPROP IPAD_X1Y31 CLASS site SITEPROP IPAD_X1Y31 CLOCK_REGION X1Y2 SITEPROP IPAD_X1Y31 IS_BONDED 1 SITEPROP IPAD_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y31 IS_CLOCK_PAD 0 SITEPROP IPAD_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y31 IS_PAD 1 SITEPROP IPAD_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP IPAD_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP IPAD_X1Y31 IS_RESERVED 0 SITEPROP IPAD_X1Y31 IS_TEST 0 SITEPROP IPAD_X1Y31 IS_USED 0 SITEPROP IPAD_X1Y31 MANUAL_ROUTING SITEPROP IPAD_X1Y31 NAME IPAD_X1Y31 SITEPROP IPAD_X1Y31 NUM_ARCS 0 SITEPROP IPAD_X1Y31 NUM_BELS 2 SITEPROP IPAD_X1Y31 NUM_INPUTS 0 SITEPROP IPAD_X1Y31 NUM_OUTPUTS 1 SITEPROP IPAD_X1Y31 NUM_PINS 1 SITEPROP IPAD_X1Y31 PRIMITIVE_COUNT 0 SITEPROP IPAD_X1Y31 PROHIBIT 0 SITEPROP IPAD_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP IPAD_X1Y31 RPM_X 154 SITEPROP IPAD_X1Y31 RPM_Y 289 SITEPROP IPAD_X1Y31 SITE_PIPS SITEPROP IPAD_X1Y31 SITE_TYPE IPAD SITEPROP MMCME2_ADV_X0Y0 ALTERNATE_SITE_TYPES SITEPROP MMCME2_ADV_X0Y0 CLASS site SITEPROP MMCME2_ADV_X0Y0 CLOCK_REGION X0Y0 SITEPROP MMCME2_ADV_X0Y0 IS_BONDED 0 SITEPROP MMCME2_ADV_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X0Y0 IS_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X0Y0 IS_PAD 0 SITEPROP MMCME2_ADV_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X0Y0 IS_RESERVED 0 SITEPROP MMCME2_ADV_X0Y0 IS_TEST 0 SITEPROP MMCME2_ADV_X0Y0 IS_USED 0 SITEPROP MMCME2_ADV_X0Y0 MANUAL_ROUTING SITEPROP MMCME2_ADV_X0Y0 NAME MMCME2_ADV_X0Y0 SITEPROP MMCME2_ADV_X0Y0 NUM_ARCS 10 SITEPROP MMCME2_ADV_X0Y0 NUM_BELS 1 SITEPROP MMCME2_ADV_X0Y0 NUM_INPUTS 67 SITEPROP MMCME2_ADV_X0Y0 NUM_OUTPUTS 99 SITEPROP MMCME2_ADV_X0Y0 NUM_PINS 166 SITEPROP MMCME2_ADV_X0Y0 PRIMITIVE_COUNT 0 SITEPROP MMCME2_ADV_X0Y0 PROHIBIT 0 SITEPROP MMCME2_ADV_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP MMCME2_ADV_X0Y0 RPM_X 10 SITEPROP MMCME2_ADV_X0Y0 RPM_Y 17 SITEPROP MMCME2_ADV_X0Y0 SITE_PIPS SITEPROP MMCME2_ADV_X0Y0 SITE_TYPE MMCME2_ADV SITEPROP MMCME2_ADV_X0Y1 ALTERNATE_SITE_TYPES SITEPROP MMCME2_ADV_X0Y1 CLASS site SITEPROP MMCME2_ADV_X0Y1 CLOCK_REGION X0Y1 SITEPROP MMCME2_ADV_X0Y1 IS_BONDED 0 SITEPROP MMCME2_ADV_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X0Y1 IS_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X0Y1 IS_PAD 0 SITEPROP MMCME2_ADV_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X0Y1 IS_RESERVED 0 SITEPROP MMCME2_ADV_X0Y1 IS_TEST 0 SITEPROP MMCME2_ADV_X0Y1 IS_USED 0 SITEPROP MMCME2_ADV_X0Y1 MANUAL_ROUTING SITEPROP MMCME2_ADV_X0Y1 NAME MMCME2_ADV_X0Y1 SITEPROP MMCME2_ADV_X0Y1 NUM_ARCS 10 SITEPROP MMCME2_ADV_X0Y1 NUM_BELS 1 SITEPROP MMCME2_ADV_X0Y1 NUM_INPUTS 67 SITEPROP MMCME2_ADV_X0Y1 NUM_OUTPUTS 99 SITEPROP MMCME2_ADV_X0Y1 NUM_PINS 166 SITEPROP MMCME2_ADV_X0Y1 PRIMITIVE_COUNT 0 SITEPROP MMCME2_ADV_X0Y1 PROHIBIT 0 SITEPROP MMCME2_ADV_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP MMCME2_ADV_X0Y1 RPM_X 10 SITEPROP MMCME2_ADV_X0Y1 RPM_Y 117 SITEPROP MMCME2_ADV_X0Y1 SITE_PIPS SITEPROP MMCME2_ADV_X0Y1 SITE_TYPE MMCME2_ADV SITEPROP MMCME2_ADV_X0Y2 ALTERNATE_SITE_TYPES SITEPROP MMCME2_ADV_X0Y2 CLASS site SITEPROP MMCME2_ADV_X0Y2 CLOCK_REGION X0Y2 SITEPROP MMCME2_ADV_X0Y2 IS_BONDED 0 SITEPROP MMCME2_ADV_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X0Y2 IS_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X0Y2 IS_PAD 0 SITEPROP MMCME2_ADV_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X0Y2 IS_RESERVED 0 SITEPROP MMCME2_ADV_X0Y2 IS_TEST 0 SITEPROP MMCME2_ADV_X0Y2 IS_USED 0 SITEPROP MMCME2_ADV_X0Y2 MANUAL_ROUTING SITEPROP MMCME2_ADV_X0Y2 NAME MMCME2_ADV_X0Y2 SITEPROP MMCME2_ADV_X0Y2 NUM_ARCS 10 SITEPROP MMCME2_ADV_X0Y2 NUM_BELS 1 SITEPROP MMCME2_ADV_X0Y2 NUM_INPUTS 67 SITEPROP MMCME2_ADV_X0Y2 NUM_OUTPUTS 99 SITEPROP MMCME2_ADV_X0Y2 NUM_PINS 166 SITEPROP MMCME2_ADV_X0Y2 PRIMITIVE_COUNT 0 SITEPROP MMCME2_ADV_X0Y2 PROHIBIT 0 SITEPROP MMCME2_ADV_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP MMCME2_ADV_X0Y2 RPM_X 10 SITEPROP MMCME2_ADV_X0Y2 RPM_Y 217 SITEPROP MMCME2_ADV_X0Y2 SITE_PIPS SITEPROP MMCME2_ADV_X0Y2 SITE_TYPE MMCME2_ADV SITEPROP MMCME2_ADV_X1Y0 ALTERNATE_SITE_TYPES SITEPROP MMCME2_ADV_X1Y0 CLASS site SITEPROP MMCME2_ADV_X1Y0 CLOCK_REGION X1Y0 SITEPROP MMCME2_ADV_X1Y0 IS_BONDED 0 SITEPROP MMCME2_ADV_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X1Y0 IS_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X1Y0 IS_PAD 0 SITEPROP MMCME2_ADV_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X1Y0 IS_RESERVED 0 SITEPROP MMCME2_ADV_X1Y0 IS_TEST 0 SITEPROP MMCME2_ADV_X1Y0 IS_USED 0 SITEPROP MMCME2_ADV_X1Y0 MANUAL_ROUTING SITEPROP MMCME2_ADV_X1Y0 NAME MMCME2_ADV_X1Y0 SITEPROP MMCME2_ADV_X1Y0 NUM_ARCS 10 SITEPROP MMCME2_ADV_X1Y0 NUM_BELS 1 SITEPROP MMCME2_ADV_X1Y0 NUM_INPUTS 67 SITEPROP MMCME2_ADV_X1Y0 NUM_OUTPUTS 99 SITEPROP MMCME2_ADV_X1Y0 NUM_PINS 166 SITEPROP MMCME2_ADV_X1Y0 PRIMITIVE_COUNT 0 SITEPROP MMCME2_ADV_X1Y0 PROHIBIT 0 SITEPROP MMCME2_ADV_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP MMCME2_ADV_X1Y0 RPM_X 174 SITEPROP MMCME2_ADV_X1Y0 RPM_Y 17 SITEPROP MMCME2_ADV_X1Y0 SITE_PIPS SITEPROP MMCME2_ADV_X1Y0 SITE_TYPE MMCME2_ADV SITEPROP MMCME2_ADV_X1Y1 ALTERNATE_SITE_TYPES SITEPROP MMCME2_ADV_X1Y1 CLASS site SITEPROP MMCME2_ADV_X1Y1 CLOCK_REGION X1Y1 SITEPROP MMCME2_ADV_X1Y1 IS_BONDED 0 SITEPROP MMCME2_ADV_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X1Y1 IS_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X1Y1 IS_PAD 0 SITEPROP MMCME2_ADV_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP MMCME2_ADV_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP MMCME2_ADV_X1Y1 IS_RESERVED 0 SITEPROP MMCME2_ADV_X1Y1 IS_TEST 0 SITEPROP MMCME2_ADV_X1Y1 IS_USED 0 SITEPROP MMCME2_ADV_X1Y1 MANUAL_ROUTING SITEPROP MMCME2_ADV_X1Y1 NAME MMCME2_ADV_X1Y1 SITEPROP MMCME2_ADV_X1Y1 NUM_ARCS 10 SITEPROP MMCME2_ADV_X1Y1 NUM_BELS 1 SITEPROP MMCME2_ADV_X1Y1 NUM_INPUTS 67 SITEPROP MMCME2_ADV_X1Y1 NUM_OUTPUTS 99 SITEPROP MMCME2_ADV_X1Y1 NUM_PINS 166 SITEPROP MMCME2_ADV_X1Y1 PRIMITIVE_COUNT 0 SITEPROP MMCME2_ADV_X1Y1 PROHIBIT 0 SITEPROP MMCME2_ADV_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP MMCME2_ADV_X1Y1 RPM_X 174 SITEPROP MMCME2_ADV_X1Y1 RPM_Y 117 SITEPROP MMCME2_ADV_X1Y1 SITE_PIPS SITEPROP MMCME2_ADV_X1Y1 SITE_TYPE MMCME2_ADV SITEPROP OLOGIC_X0Y0 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y0 CLASS site SITEPROP OLOGIC_X0Y0 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y0 IS_BONDED 0 SITEPROP OLOGIC_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y0 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y0 IS_PAD 0 SITEPROP OLOGIC_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y0 IS_RESERVED 0 SITEPROP OLOGIC_X0Y0 IS_TEST 0 SITEPROP OLOGIC_X0Y0 IS_USED 0 SITEPROP OLOGIC_X0Y0 MANUAL_ROUTING SITEPROP OLOGIC_X0Y0 NAME OLOGIC_X0Y0 SITEPROP OLOGIC_X0Y0 NUM_ARCS 26 SITEPROP OLOGIC_X0Y0 NUM_BELS 3 SITEPROP OLOGIC_X0Y0 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y0 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y0 NUM_PINS 33 SITEPROP OLOGIC_X0Y0 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y0 PROHIBIT 0 SITEPROP OLOGIC_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y0 RPM_X 3 SITEPROP OLOGIC_X0Y0 RPM_Y 0 SITEPROP OLOGIC_X0Y0 SITE_PIPS SITEPROP OLOGIC_X0Y0 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y1 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y1 CLASS site SITEPROP OLOGIC_X0Y1 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y1 IS_BONDED 0 SITEPROP OLOGIC_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y1 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y1 IS_PAD 0 SITEPROP OLOGIC_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y1 IS_RESERVED 0 SITEPROP OLOGIC_X0Y1 IS_TEST 0 SITEPROP OLOGIC_X0Y1 IS_USED 0 SITEPROP OLOGIC_X0Y1 MANUAL_ROUTING SITEPROP OLOGIC_X0Y1 NAME OLOGIC_X0Y1 SITEPROP OLOGIC_X0Y1 NUM_ARCS 26 SITEPROP OLOGIC_X0Y1 NUM_BELS 3 SITEPROP OLOGIC_X0Y1 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y1 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y1 NUM_PINS 33 SITEPROP OLOGIC_X0Y1 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y1 PROHIBIT 0 SITEPROP OLOGIC_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y1 RPM_X 3 SITEPROP OLOGIC_X0Y1 RPM_Y 3 SITEPROP OLOGIC_X0Y1 SITE_PIPS SITEPROP OLOGIC_X0Y1 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y2 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y2 CLASS site SITEPROP OLOGIC_X0Y2 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y2 IS_BONDED 0 SITEPROP OLOGIC_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y2 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y2 IS_PAD 0 SITEPROP OLOGIC_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y2 IS_RESERVED 0 SITEPROP OLOGIC_X0Y2 IS_TEST 0 SITEPROP OLOGIC_X0Y2 IS_USED 0 SITEPROP OLOGIC_X0Y2 MANUAL_ROUTING SITEPROP OLOGIC_X0Y2 NAME OLOGIC_X0Y2 SITEPROP OLOGIC_X0Y2 NUM_ARCS 26 SITEPROP OLOGIC_X0Y2 NUM_BELS 3 SITEPROP OLOGIC_X0Y2 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y2 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y2 NUM_PINS 33 SITEPROP OLOGIC_X0Y2 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y2 PROHIBIT 0 SITEPROP OLOGIC_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y2 RPM_X 3 SITEPROP OLOGIC_X0Y2 RPM_Y 2 SITEPROP OLOGIC_X0Y2 SITE_PIPS SITEPROP OLOGIC_X0Y2 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y3 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y3 CLASS site SITEPROP OLOGIC_X0Y3 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y3 IS_BONDED 0 SITEPROP OLOGIC_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y3 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y3 IS_PAD 0 SITEPROP OLOGIC_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y3 IS_RESERVED 0 SITEPROP OLOGIC_X0Y3 IS_TEST 0 SITEPROP OLOGIC_X0Y3 IS_USED 0 SITEPROP OLOGIC_X0Y3 MANUAL_ROUTING SITEPROP OLOGIC_X0Y3 NAME OLOGIC_X0Y3 SITEPROP OLOGIC_X0Y3 NUM_ARCS 26 SITEPROP OLOGIC_X0Y3 NUM_BELS 3 SITEPROP OLOGIC_X0Y3 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y3 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y3 NUM_PINS 33 SITEPROP OLOGIC_X0Y3 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y3 PROHIBIT 0 SITEPROP OLOGIC_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y3 RPM_X 3 SITEPROP OLOGIC_X0Y3 RPM_Y 7 SITEPROP OLOGIC_X0Y3 SITE_PIPS SITEPROP OLOGIC_X0Y3 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y4 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y4 CLASS site SITEPROP OLOGIC_X0Y4 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y4 IS_BONDED 0 SITEPROP OLOGIC_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y4 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y4 IS_PAD 0 SITEPROP OLOGIC_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y4 IS_RESERVED 0 SITEPROP OLOGIC_X0Y4 IS_TEST 0 SITEPROP OLOGIC_X0Y4 IS_USED 0 SITEPROP OLOGIC_X0Y4 MANUAL_ROUTING SITEPROP OLOGIC_X0Y4 NAME OLOGIC_X0Y4 SITEPROP OLOGIC_X0Y4 NUM_ARCS 26 SITEPROP OLOGIC_X0Y4 NUM_BELS 3 SITEPROP OLOGIC_X0Y4 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y4 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y4 NUM_PINS 33 SITEPROP OLOGIC_X0Y4 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y4 PROHIBIT 0 SITEPROP OLOGIC_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y4 RPM_X 3 SITEPROP OLOGIC_X0Y4 RPM_Y 6 SITEPROP OLOGIC_X0Y4 SITE_PIPS SITEPROP OLOGIC_X0Y4 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y5 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y5 CLASS site SITEPROP OLOGIC_X0Y5 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y5 IS_BONDED 0 SITEPROP OLOGIC_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y5 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y5 IS_PAD 0 SITEPROP OLOGIC_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y5 IS_RESERVED 0 SITEPROP OLOGIC_X0Y5 IS_TEST 0 SITEPROP OLOGIC_X0Y5 IS_USED 0 SITEPROP OLOGIC_X0Y5 MANUAL_ROUTING SITEPROP OLOGIC_X0Y5 NAME OLOGIC_X0Y5 SITEPROP OLOGIC_X0Y5 NUM_ARCS 26 SITEPROP OLOGIC_X0Y5 NUM_BELS 3 SITEPROP OLOGIC_X0Y5 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y5 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y5 NUM_PINS 33 SITEPROP OLOGIC_X0Y5 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y5 PROHIBIT 0 SITEPROP OLOGIC_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y5 RPM_X 3 SITEPROP OLOGIC_X0Y5 RPM_Y 11 SITEPROP OLOGIC_X0Y5 SITE_PIPS SITEPROP OLOGIC_X0Y5 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y6 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y6 CLASS site SITEPROP OLOGIC_X0Y6 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y6 IS_BONDED 0 SITEPROP OLOGIC_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y6 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y6 IS_PAD 0 SITEPROP OLOGIC_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y6 IS_RESERVED 0 SITEPROP OLOGIC_X0Y6 IS_TEST 0 SITEPROP OLOGIC_X0Y6 IS_USED 0 SITEPROP OLOGIC_X0Y6 MANUAL_ROUTING SITEPROP OLOGIC_X0Y6 NAME OLOGIC_X0Y6 SITEPROP OLOGIC_X0Y6 NUM_ARCS 26 SITEPROP OLOGIC_X0Y6 NUM_BELS 3 SITEPROP OLOGIC_X0Y6 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y6 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y6 NUM_PINS 33 SITEPROP OLOGIC_X0Y6 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y6 PROHIBIT 0 SITEPROP OLOGIC_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y6 RPM_X 3 SITEPROP OLOGIC_X0Y6 RPM_Y 10 SITEPROP OLOGIC_X0Y6 SITE_PIPS SITEPROP OLOGIC_X0Y6 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y7 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y7 CLASS site SITEPROP OLOGIC_X0Y7 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y7 IS_BONDED 0 SITEPROP OLOGIC_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y7 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y7 IS_PAD 0 SITEPROP OLOGIC_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y7 IS_RESERVED 0 SITEPROP OLOGIC_X0Y7 IS_TEST 0 SITEPROP OLOGIC_X0Y7 IS_USED 0 SITEPROP OLOGIC_X0Y7 MANUAL_ROUTING SITEPROP OLOGIC_X0Y7 NAME OLOGIC_X0Y7 SITEPROP OLOGIC_X0Y7 NUM_ARCS 26 SITEPROP OLOGIC_X0Y7 NUM_BELS 3 SITEPROP OLOGIC_X0Y7 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y7 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y7 NUM_PINS 33 SITEPROP OLOGIC_X0Y7 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y7 PROHIBIT 0 SITEPROP OLOGIC_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y7 RPM_X 3 SITEPROP OLOGIC_X0Y7 RPM_Y 15 SITEPROP OLOGIC_X0Y7 SITE_PIPS SITEPROP OLOGIC_X0Y7 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y8 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y8 CLASS site SITEPROP OLOGIC_X0Y8 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y8 IS_BONDED 0 SITEPROP OLOGIC_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y8 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y8 IS_PAD 0 SITEPROP OLOGIC_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y8 IS_RESERVED 0 SITEPROP OLOGIC_X0Y8 IS_TEST 0 SITEPROP OLOGIC_X0Y8 IS_USED 0 SITEPROP OLOGIC_X0Y8 MANUAL_ROUTING SITEPROP OLOGIC_X0Y8 NAME OLOGIC_X0Y8 SITEPROP OLOGIC_X0Y8 NUM_ARCS 26 SITEPROP OLOGIC_X0Y8 NUM_BELS 3 SITEPROP OLOGIC_X0Y8 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y8 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y8 NUM_PINS 33 SITEPROP OLOGIC_X0Y8 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y8 PROHIBIT 0 SITEPROP OLOGIC_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y8 RPM_X 3 SITEPROP OLOGIC_X0Y8 RPM_Y 14 SITEPROP OLOGIC_X0Y8 SITE_PIPS SITEPROP OLOGIC_X0Y8 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y9 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y9 CLASS site SITEPROP OLOGIC_X0Y9 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y9 IS_BONDED 0 SITEPROP OLOGIC_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y9 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y9 IS_PAD 0 SITEPROP OLOGIC_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y9 IS_RESERVED 0 SITEPROP OLOGIC_X0Y9 IS_TEST 0 SITEPROP OLOGIC_X0Y9 IS_USED 0 SITEPROP OLOGIC_X0Y9 MANUAL_ROUTING SITEPROP OLOGIC_X0Y9 NAME OLOGIC_X0Y9 SITEPROP OLOGIC_X0Y9 NUM_ARCS 26 SITEPROP OLOGIC_X0Y9 NUM_BELS 3 SITEPROP OLOGIC_X0Y9 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y9 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y9 NUM_PINS 33 SITEPROP OLOGIC_X0Y9 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y9 PROHIBIT 0 SITEPROP OLOGIC_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y9 RPM_X 3 SITEPROP OLOGIC_X0Y9 RPM_Y 19 SITEPROP OLOGIC_X0Y9 SITE_PIPS SITEPROP OLOGIC_X0Y9 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y10 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y10 CLASS site SITEPROP OLOGIC_X0Y10 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y10 IS_BONDED 0 SITEPROP OLOGIC_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y10 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y10 IS_PAD 0 SITEPROP OLOGIC_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y10 IS_RESERVED 0 SITEPROP OLOGIC_X0Y10 IS_TEST 0 SITEPROP OLOGIC_X0Y10 IS_USED 0 SITEPROP OLOGIC_X0Y10 MANUAL_ROUTING SITEPROP OLOGIC_X0Y10 NAME OLOGIC_X0Y10 SITEPROP OLOGIC_X0Y10 NUM_ARCS 26 SITEPROP OLOGIC_X0Y10 NUM_BELS 3 SITEPROP OLOGIC_X0Y10 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y10 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y10 NUM_PINS 33 SITEPROP OLOGIC_X0Y10 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y10 PROHIBIT 0 SITEPROP OLOGIC_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y10 RPM_X 3 SITEPROP OLOGIC_X0Y10 RPM_Y 18 SITEPROP OLOGIC_X0Y10 SITE_PIPS SITEPROP OLOGIC_X0Y10 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y11 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y11 CLASS site SITEPROP OLOGIC_X0Y11 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y11 IS_BONDED 0 SITEPROP OLOGIC_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y11 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y11 IS_PAD 0 SITEPROP OLOGIC_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y11 IS_RESERVED 0 SITEPROP OLOGIC_X0Y11 IS_TEST 0 SITEPROP OLOGIC_X0Y11 IS_USED 0 SITEPROP OLOGIC_X0Y11 MANUAL_ROUTING SITEPROP OLOGIC_X0Y11 NAME OLOGIC_X0Y11 SITEPROP OLOGIC_X0Y11 NUM_ARCS 26 SITEPROP OLOGIC_X0Y11 NUM_BELS 3 SITEPROP OLOGIC_X0Y11 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y11 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y11 NUM_PINS 33 SITEPROP OLOGIC_X0Y11 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y11 PROHIBIT 0 SITEPROP OLOGIC_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y11 RPM_X 3 SITEPROP OLOGIC_X0Y11 RPM_Y 23 SITEPROP OLOGIC_X0Y11 SITE_PIPS SITEPROP OLOGIC_X0Y11 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y12 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y12 CLASS site SITEPROP OLOGIC_X0Y12 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y12 IS_BONDED 0 SITEPROP OLOGIC_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y12 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y12 IS_PAD 0 SITEPROP OLOGIC_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y12 IS_RESERVED 0 SITEPROP OLOGIC_X0Y12 IS_TEST 0 SITEPROP OLOGIC_X0Y12 IS_USED 0 SITEPROP OLOGIC_X0Y12 MANUAL_ROUTING SITEPROP OLOGIC_X0Y12 NAME OLOGIC_X0Y12 SITEPROP OLOGIC_X0Y12 NUM_ARCS 26 SITEPROP OLOGIC_X0Y12 NUM_BELS 3 SITEPROP OLOGIC_X0Y12 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y12 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y12 NUM_PINS 33 SITEPROP OLOGIC_X0Y12 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y12 PROHIBIT 0 SITEPROP OLOGIC_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y12 RPM_X 3 SITEPROP OLOGIC_X0Y12 RPM_Y 22 SITEPROP OLOGIC_X0Y12 SITE_PIPS SITEPROP OLOGIC_X0Y12 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y13 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y13 CLASS site SITEPROP OLOGIC_X0Y13 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y13 IS_BONDED 0 SITEPROP OLOGIC_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y13 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y13 IS_PAD 0 SITEPROP OLOGIC_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y13 IS_RESERVED 0 SITEPROP OLOGIC_X0Y13 IS_TEST 0 SITEPROP OLOGIC_X0Y13 IS_USED 0 SITEPROP OLOGIC_X0Y13 MANUAL_ROUTING SITEPROP OLOGIC_X0Y13 NAME OLOGIC_X0Y13 SITEPROP OLOGIC_X0Y13 NUM_ARCS 26 SITEPROP OLOGIC_X0Y13 NUM_BELS 3 SITEPROP OLOGIC_X0Y13 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y13 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y13 NUM_PINS 33 SITEPROP OLOGIC_X0Y13 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y13 PROHIBIT 0 SITEPROP OLOGIC_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y13 RPM_X 3 SITEPROP OLOGIC_X0Y13 RPM_Y 27 SITEPROP OLOGIC_X0Y13 SITE_PIPS SITEPROP OLOGIC_X0Y13 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y14 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y14 CLASS site SITEPROP OLOGIC_X0Y14 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y14 IS_BONDED 0 SITEPROP OLOGIC_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y14 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y14 IS_PAD 0 SITEPROP OLOGIC_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y14 IS_RESERVED 0 SITEPROP OLOGIC_X0Y14 IS_TEST 0 SITEPROP OLOGIC_X0Y14 IS_USED 0 SITEPROP OLOGIC_X0Y14 MANUAL_ROUTING SITEPROP OLOGIC_X0Y14 NAME OLOGIC_X0Y14 SITEPROP OLOGIC_X0Y14 NUM_ARCS 26 SITEPROP OLOGIC_X0Y14 NUM_BELS 3 SITEPROP OLOGIC_X0Y14 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y14 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y14 NUM_PINS 33 SITEPROP OLOGIC_X0Y14 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y14 PROHIBIT 0 SITEPROP OLOGIC_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y14 RPM_X 3 SITEPROP OLOGIC_X0Y14 RPM_Y 26 SITEPROP OLOGIC_X0Y14 SITE_PIPS SITEPROP OLOGIC_X0Y14 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y15 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y15 CLASS site SITEPROP OLOGIC_X0Y15 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y15 IS_BONDED 0 SITEPROP OLOGIC_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y15 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y15 IS_PAD 0 SITEPROP OLOGIC_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y15 IS_RESERVED 0 SITEPROP OLOGIC_X0Y15 IS_TEST 0 SITEPROP OLOGIC_X0Y15 IS_USED 0 SITEPROP OLOGIC_X0Y15 MANUAL_ROUTING SITEPROP OLOGIC_X0Y15 NAME OLOGIC_X0Y15 SITEPROP OLOGIC_X0Y15 NUM_ARCS 26 SITEPROP OLOGIC_X0Y15 NUM_BELS 3 SITEPROP OLOGIC_X0Y15 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y15 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y15 NUM_PINS 33 SITEPROP OLOGIC_X0Y15 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y15 PROHIBIT 0 SITEPROP OLOGIC_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y15 RPM_X 3 SITEPROP OLOGIC_X0Y15 RPM_Y 31 SITEPROP OLOGIC_X0Y15 SITE_PIPS SITEPROP OLOGIC_X0Y15 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y16 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y16 CLASS site SITEPROP OLOGIC_X0Y16 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y16 IS_BONDED 0 SITEPROP OLOGIC_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y16 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y16 IS_PAD 0 SITEPROP OLOGIC_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y16 IS_RESERVED 0 SITEPROP OLOGIC_X0Y16 IS_TEST 0 SITEPROP OLOGIC_X0Y16 IS_USED 0 SITEPROP OLOGIC_X0Y16 MANUAL_ROUTING SITEPROP OLOGIC_X0Y16 NAME OLOGIC_X0Y16 SITEPROP OLOGIC_X0Y16 NUM_ARCS 26 SITEPROP OLOGIC_X0Y16 NUM_BELS 3 SITEPROP OLOGIC_X0Y16 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y16 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y16 NUM_PINS 33 SITEPROP OLOGIC_X0Y16 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y16 PROHIBIT 0 SITEPROP OLOGIC_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y16 RPM_X 3 SITEPROP OLOGIC_X0Y16 RPM_Y 30 SITEPROP OLOGIC_X0Y16 SITE_PIPS SITEPROP OLOGIC_X0Y16 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y17 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y17 CLASS site SITEPROP OLOGIC_X0Y17 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y17 IS_BONDED 0 SITEPROP OLOGIC_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y17 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y17 IS_PAD 0 SITEPROP OLOGIC_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y17 IS_RESERVED 0 SITEPROP OLOGIC_X0Y17 IS_TEST 0 SITEPROP OLOGIC_X0Y17 IS_USED 0 SITEPROP OLOGIC_X0Y17 MANUAL_ROUTING SITEPROP OLOGIC_X0Y17 NAME OLOGIC_X0Y17 SITEPROP OLOGIC_X0Y17 NUM_ARCS 26 SITEPROP OLOGIC_X0Y17 NUM_BELS 3 SITEPROP OLOGIC_X0Y17 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y17 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y17 NUM_PINS 33 SITEPROP OLOGIC_X0Y17 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y17 PROHIBIT 0 SITEPROP OLOGIC_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y17 RPM_X 3 SITEPROP OLOGIC_X0Y17 RPM_Y 35 SITEPROP OLOGIC_X0Y17 SITE_PIPS SITEPROP OLOGIC_X0Y17 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y18 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y18 CLASS site SITEPROP OLOGIC_X0Y18 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y18 IS_BONDED 0 SITEPROP OLOGIC_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y18 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y18 IS_PAD 0 SITEPROP OLOGIC_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y18 IS_RESERVED 0 SITEPROP OLOGIC_X0Y18 IS_TEST 0 SITEPROP OLOGIC_X0Y18 IS_USED 0 SITEPROP OLOGIC_X0Y18 MANUAL_ROUTING SITEPROP OLOGIC_X0Y18 NAME OLOGIC_X0Y18 SITEPROP OLOGIC_X0Y18 NUM_ARCS 26 SITEPROP OLOGIC_X0Y18 NUM_BELS 3 SITEPROP OLOGIC_X0Y18 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y18 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y18 NUM_PINS 33 SITEPROP OLOGIC_X0Y18 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y18 PROHIBIT 0 SITEPROP OLOGIC_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y18 RPM_X 3 SITEPROP OLOGIC_X0Y18 RPM_Y 34 SITEPROP OLOGIC_X0Y18 SITE_PIPS SITEPROP OLOGIC_X0Y18 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y19 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y19 CLASS site SITEPROP OLOGIC_X0Y19 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y19 IS_BONDED 0 SITEPROP OLOGIC_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y19 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y19 IS_PAD 0 SITEPROP OLOGIC_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y19 IS_RESERVED 0 SITEPROP OLOGIC_X0Y19 IS_TEST 0 SITEPROP OLOGIC_X0Y19 IS_USED 0 SITEPROP OLOGIC_X0Y19 MANUAL_ROUTING SITEPROP OLOGIC_X0Y19 NAME OLOGIC_X0Y19 SITEPROP OLOGIC_X0Y19 NUM_ARCS 26 SITEPROP OLOGIC_X0Y19 NUM_BELS 3 SITEPROP OLOGIC_X0Y19 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y19 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y19 NUM_PINS 33 SITEPROP OLOGIC_X0Y19 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y19 PROHIBIT 0 SITEPROP OLOGIC_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y19 RPM_X 3 SITEPROP OLOGIC_X0Y19 RPM_Y 39 SITEPROP OLOGIC_X0Y19 SITE_PIPS SITEPROP OLOGIC_X0Y19 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y20 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y20 CLASS site SITEPROP OLOGIC_X0Y20 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y20 IS_BONDED 0 SITEPROP OLOGIC_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y20 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y20 IS_PAD 0 SITEPROP OLOGIC_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y20 IS_RESERVED 0 SITEPROP OLOGIC_X0Y20 IS_TEST 0 SITEPROP OLOGIC_X0Y20 IS_USED 0 SITEPROP OLOGIC_X0Y20 MANUAL_ROUTING SITEPROP OLOGIC_X0Y20 NAME OLOGIC_X0Y20 SITEPROP OLOGIC_X0Y20 NUM_ARCS 26 SITEPROP OLOGIC_X0Y20 NUM_BELS 3 SITEPROP OLOGIC_X0Y20 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y20 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y20 NUM_PINS 33 SITEPROP OLOGIC_X0Y20 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y20 PROHIBIT 0 SITEPROP OLOGIC_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y20 RPM_X 3 SITEPROP OLOGIC_X0Y20 RPM_Y 38 SITEPROP OLOGIC_X0Y20 SITE_PIPS SITEPROP OLOGIC_X0Y20 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y21 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y21 CLASS site SITEPROP OLOGIC_X0Y21 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y21 IS_BONDED 0 SITEPROP OLOGIC_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y21 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y21 IS_PAD 0 SITEPROP OLOGIC_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y21 IS_RESERVED 0 SITEPROP OLOGIC_X0Y21 IS_TEST 0 SITEPROP OLOGIC_X0Y21 IS_USED 0 SITEPROP OLOGIC_X0Y21 MANUAL_ROUTING SITEPROP OLOGIC_X0Y21 NAME OLOGIC_X0Y21 SITEPROP OLOGIC_X0Y21 NUM_ARCS 26 SITEPROP OLOGIC_X0Y21 NUM_BELS 3 SITEPROP OLOGIC_X0Y21 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y21 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y21 NUM_PINS 33 SITEPROP OLOGIC_X0Y21 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y21 PROHIBIT 0 SITEPROP OLOGIC_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y21 RPM_X 3 SITEPROP OLOGIC_X0Y21 RPM_Y 43 SITEPROP OLOGIC_X0Y21 SITE_PIPS SITEPROP OLOGIC_X0Y21 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y22 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y22 CLASS site SITEPROP OLOGIC_X0Y22 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y22 IS_BONDED 0 SITEPROP OLOGIC_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y22 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y22 IS_PAD 0 SITEPROP OLOGIC_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y22 IS_RESERVED 0 SITEPROP OLOGIC_X0Y22 IS_TEST 0 SITEPROP OLOGIC_X0Y22 IS_USED 0 SITEPROP OLOGIC_X0Y22 MANUAL_ROUTING SITEPROP OLOGIC_X0Y22 NAME OLOGIC_X0Y22 SITEPROP OLOGIC_X0Y22 NUM_ARCS 26 SITEPROP OLOGIC_X0Y22 NUM_BELS 3 SITEPROP OLOGIC_X0Y22 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y22 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y22 NUM_PINS 33 SITEPROP OLOGIC_X0Y22 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y22 PROHIBIT 0 SITEPROP OLOGIC_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y22 RPM_X 3 SITEPROP OLOGIC_X0Y22 RPM_Y 42 SITEPROP OLOGIC_X0Y22 SITE_PIPS SITEPROP OLOGIC_X0Y22 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y23 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y23 CLASS site SITEPROP OLOGIC_X0Y23 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y23 IS_BONDED 0 SITEPROP OLOGIC_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y23 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y23 IS_PAD 0 SITEPROP OLOGIC_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y23 IS_RESERVED 0 SITEPROP OLOGIC_X0Y23 IS_TEST 0 SITEPROP OLOGIC_X0Y23 IS_USED 0 SITEPROP OLOGIC_X0Y23 MANUAL_ROUTING SITEPROP OLOGIC_X0Y23 NAME OLOGIC_X0Y23 SITEPROP OLOGIC_X0Y23 NUM_ARCS 26 SITEPROP OLOGIC_X0Y23 NUM_BELS 3 SITEPROP OLOGIC_X0Y23 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y23 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y23 NUM_PINS 33 SITEPROP OLOGIC_X0Y23 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y23 PROHIBIT 0 SITEPROP OLOGIC_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y23 RPM_X 3 SITEPROP OLOGIC_X0Y23 RPM_Y 47 SITEPROP OLOGIC_X0Y23 SITE_PIPS SITEPROP OLOGIC_X0Y23 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y24 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y24 CLASS site SITEPROP OLOGIC_X0Y24 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y24 IS_BONDED 0 SITEPROP OLOGIC_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y24 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y24 IS_PAD 0 SITEPROP OLOGIC_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y24 IS_RESERVED 0 SITEPROP OLOGIC_X0Y24 IS_TEST 0 SITEPROP OLOGIC_X0Y24 IS_USED 0 SITEPROP OLOGIC_X0Y24 MANUAL_ROUTING SITEPROP OLOGIC_X0Y24 NAME OLOGIC_X0Y24 SITEPROP OLOGIC_X0Y24 NUM_ARCS 26 SITEPROP OLOGIC_X0Y24 NUM_BELS 3 SITEPROP OLOGIC_X0Y24 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y24 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y24 NUM_PINS 33 SITEPROP OLOGIC_X0Y24 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y24 PROHIBIT 0 SITEPROP OLOGIC_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y24 RPM_X 3 SITEPROP OLOGIC_X0Y24 RPM_Y 46 SITEPROP OLOGIC_X0Y24 SITE_PIPS SITEPROP OLOGIC_X0Y24 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y25 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y25 CLASS site SITEPROP OLOGIC_X0Y25 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y25 IS_BONDED 0 SITEPROP OLOGIC_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y25 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y25 IS_PAD 0 SITEPROP OLOGIC_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y25 IS_RESERVED 0 SITEPROP OLOGIC_X0Y25 IS_TEST 0 SITEPROP OLOGIC_X0Y25 IS_USED 0 SITEPROP OLOGIC_X0Y25 MANUAL_ROUTING SITEPROP OLOGIC_X0Y25 NAME OLOGIC_X0Y25 SITEPROP OLOGIC_X0Y25 NUM_ARCS 26 SITEPROP OLOGIC_X0Y25 NUM_BELS 3 SITEPROP OLOGIC_X0Y25 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y25 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y25 NUM_PINS 33 SITEPROP OLOGIC_X0Y25 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y25 PROHIBIT 0 SITEPROP OLOGIC_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y25 RPM_X 3 SITEPROP OLOGIC_X0Y25 RPM_Y 51 SITEPROP OLOGIC_X0Y25 SITE_PIPS SITEPROP OLOGIC_X0Y25 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y26 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y26 CLASS site SITEPROP OLOGIC_X0Y26 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y26 IS_BONDED 0 SITEPROP OLOGIC_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y26 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y26 IS_PAD 0 SITEPROP OLOGIC_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y26 IS_RESERVED 0 SITEPROP OLOGIC_X0Y26 IS_TEST 0 SITEPROP OLOGIC_X0Y26 IS_USED 0 SITEPROP OLOGIC_X0Y26 MANUAL_ROUTING SITEPROP OLOGIC_X0Y26 NAME OLOGIC_X0Y26 SITEPROP OLOGIC_X0Y26 NUM_ARCS 26 SITEPROP OLOGIC_X0Y26 NUM_BELS 3 SITEPROP OLOGIC_X0Y26 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y26 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y26 NUM_PINS 33 SITEPROP OLOGIC_X0Y26 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y26 PROHIBIT 0 SITEPROP OLOGIC_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y26 RPM_X 3 SITEPROP OLOGIC_X0Y26 RPM_Y 50 SITEPROP OLOGIC_X0Y26 SITE_PIPS SITEPROP OLOGIC_X0Y26 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y27 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y27 CLASS site SITEPROP OLOGIC_X0Y27 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y27 IS_BONDED 0 SITEPROP OLOGIC_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y27 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y27 IS_PAD 0 SITEPROP OLOGIC_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y27 IS_RESERVED 0 SITEPROP OLOGIC_X0Y27 IS_TEST 0 SITEPROP OLOGIC_X0Y27 IS_USED 0 SITEPROP OLOGIC_X0Y27 MANUAL_ROUTING SITEPROP OLOGIC_X0Y27 NAME OLOGIC_X0Y27 SITEPROP OLOGIC_X0Y27 NUM_ARCS 26 SITEPROP OLOGIC_X0Y27 NUM_BELS 3 SITEPROP OLOGIC_X0Y27 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y27 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y27 NUM_PINS 33 SITEPROP OLOGIC_X0Y27 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y27 PROHIBIT 0 SITEPROP OLOGIC_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y27 RPM_X 3 SITEPROP OLOGIC_X0Y27 RPM_Y 55 SITEPROP OLOGIC_X0Y27 SITE_PIPS SITEPROP OLOGIC_X0Y27 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y28 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y28 CLASS site SITEPROP OLOGIC_X0Y28 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y28 IS_BONDED 0 SITEPROP OLOGIC_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y28 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y28 IS_PAD 0 SITEPROP OLOGIC_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y28 IS_RESERVED 0 SITEPROP OLOGIC_X0Y28 IS_TEST 0 SITEPROP OLOGIC_X0Y28 IS_USED 0 SITEPROP OLOGIC_X0Y28 MANUAL_ROUTING SITEPROP OLOGIC_X0Y28 NAME OLOGIC_X0Y28 SITEPROP OLOGIC_X0Y28 NUM_ARCS 26 SITEPROP OLOGIC_X0Y28 NUM_BELS 3 SITEPROP OLOGIC_X0Y28 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y28 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y28 NUM_PINS 33 SITEPROP OLOGIC_X0Y28 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y28 PROHIBIT 0 SITEPROP OLOGIC_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y28 RPM_X 3 SITEPROP OLOGIC_X0Y28 RPM_Y 54 SITEPROP OLOGIC_X0Y28 SITE_PIPS SITEPROP OLOGIC_X0Y28 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y29 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y29 CLASS site SITEPROP OLOGIC_X0Y29 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y29 IS_BONDED 0 SITEPROP OLOGIC_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y29 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y29 IS_PAD 0 SITEPROP OLOGIC_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y29 IS_RESERVED 0 SITEPROP OLOGIC_X0Y29 IS_TEST 0 SITEPROP OLOGIC_X0Y29 IS_USED 0 SITEPROP OLOGIC_X0Y29 MANUAL_ROUTING SITEPROP OLOGIC_X0Y29 NAME OLOGIC_X0Y29 SITEPROP OLOGIC_X0Y29 NUM_ARCS 26 SITEPROP OLOGIC_X0Y29 NUM_BELS 3 SITEPROP OLOGIC_X0Y29 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y29 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y29 NUM_PINS 33 SITEPROP OLOGIC_X0Y29 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y29 PROHIBIT 0 SITEPROP OLOGIC_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y29 RPM_X 3 SITEPROP OLOGIC_X0Y29 RPM_Y 59 SITEPROP OLOGIC_X0Y29 SITE_PIPS SITEPROP OLOGIC_X0Y29 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y30 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y30 CLASS site SITEPROP OLOGIC_X0Y30 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y30 IS_BONDED 0 SITEPROP OLOGIC_X0Y30 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y30 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y30 IS_PAD 0 SITEPROP OLOGIC_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y30 IS_RESERVED 0 SITEPROP OLOGIC_X0Y30 IS_TEST 0 SITEPROP OLOGIC_X0Y30 IS_USED 0 SITEPROP OLOGIC_X0Y30 MANUAL_ROUTING SITEPROP OLOGIC_X0Y30 NAME OLOGIC_X0Y30 SITEPROP OLOGIC_X0Y30 NUM_ARCS 26 SITEPROP OLOGIC_X0Y30 NUM_BELS 3 SITEPROP OLOGIC_X0Y30 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y30 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y30 NUM_PINS 33 SITEPROP OLOGIC_X0Y30 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y30 PROHIBIT 0 SITEPROP OLOGIC_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y30 RPM_X 3 SITEPROP OLOGIC_X0Y30 RPM_Y 58 SITEPROP OLOGIC_X0Y30 SITE_PIPS SITEPROP OLOGIC_X0Y30 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y31 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y31 CLASS site SITEPROP OLOGIC_X0Y31 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y31 IS_BONDED 0 SITEPROP OLOGIC_X0Y31 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y31 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y31 IS_PAD 0 SITEPROP OLOGIC_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y31 IS_RESERVED 0 SITEPROP OLOGIC_X0Y31 IS_TEST 0 SITEPROP OLOGIC_X0Y31 IS_USED 0 SITEPROP OLOGIC_X0Y31 MANUAL_ROUTING SITEPROP OLOGIC_X0Y31 NAME OLOGIC_X0Y31 SITEPROP OLOGIC_X0Y31 NUM_ARCS 26 SITEPROP OLOGIC_X0Y31 NUM_BELS 3 SITEPROP OLOGIC_X0Y31 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y31 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y31 NUM_PINS 33 SITEPROP OLOGIC_X0Y31 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y31 PROHIBIT 0 SITEPROP OLOGIC_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y31 RPM_X 3 SITEPROP OLOGIC_X0Y31 RPM_Y 63 SITEPROP OLOGIC_X0Y31 SITE_PIPS SITEPROP OLOGIC_X0Y31 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y32 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y32 CLASS site SITEPROP OLOGIC_X0Y32 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y32 IS_BONDED 0 SITEPROP OLOGIC_X0Y32 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y32 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y32 IS_PAD 0 SITEPROP OLOGIC_X0Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y32 IS_RESERVED 0 SITEPROP OLOGIC_X0Y32 IS_TEST 0 SITEPROP OLOGIC_X0Y32 IS_USED 0 SITEPROP OLOGIC_X0Y32 MANUAL_ROUTING SITEPROP OLOGIC_X0Y32 NAME OLOGIC_X0Y32 SITEPROP OLOGIC_X0Y32 NUM_ARCS 26 SITEPROP OLOGIC_X0Y32 NUM_BELS 3 SITEPROP OLOGIC_X0Y32 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y32 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y32 NUM_PINS 33 SITEPROP OLOGIC_X0Y32 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y32 PROHIBIT 0 SITEPROP OLOGIC_X0Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y32 RPM_X 3 SITEPROP OLOGIC_X0Y32 RPM_Y 62 SITEPROP OLOGIC_X0Y32 SITE_PIPS SITEPROP OLOGIC_X0Y32 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y33 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y33 CLASS site SITEPROP OLOGIC_X0Y33 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y33 IS_BONDED 0 SITEPROP OLOGIC_X0Y33 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y33 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y33 IS_PAD 0 SITEPROP OLOGIC_X0Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y33 IS_RESERVED 0 SITEPROP OLOGIC_X0Y33 IS_TEST 0 SITEPROP OLOGIC_X0Y33 IS_USED 0 SITEPROP OLOGIC_X0Y33 MANUAL_ROUTING SITEPROP OLOGIC_X0Y33 NAME OLOGIC_X0Y33 SITEPROP OLOGIC_X0Y33 NUM_ARCS 26 SITEPROP OLOGIC_X0Y33 NUM_BELS 3 SITEPROP OLOGIC_X0Y33 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y33 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y33 NUM_PINS 33 SITEPROP OLOGIC_X0Y33 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y33 PROHIBIT 0 SITEPROP OLOGIC_X0Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y33 RPM_X 3 SITEPROP OLOGIC_X0Y33 RPM_Y 67 SITEPROP OLOGIC_X0Y33 SITE_PIPS SITEPROP OLOGIC_X0Y33 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y34 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y34 CLASS site SITEPROP OLOGIC_X0Y34 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y34 IS_BONDED 0 SITEPROP OLOGIC_X0Y34 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y34 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y34 IS_PAD 0 SITEPROP OLOGIC_X0Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y34 IS_RESERVED 0 SITEPROP OLOGIC_X0Y34 IS_TEST 0 SITEPROP OLOGIC_X0Y34 IS_USED 0 SITEPROP OLOGIC_X0Y34 MANUAL_ROUTING SITEPROP OLOGIC_X0Y34 NAME OLOGIC_X0Y34 SITEPROP OLOGIC_X0Y34 NUM_ARCS 26 SITEPROP OLOGIC_X0Y34 NUM_BELS 3 SITEPROP OLOGIC_X0Y34 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y34 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y34 NUM_PINS 33 SITEPROP OLOGIC_X0Y34 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y34 PROHIBIT 0 SITEPROP OLOGIC_X0Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y34 RPM_X 3 SITEPROP OLOGIC_X0Y34 RPM_Y 66 SITEPROP OLOGIC_X0Y34 SITE_PIPS SITEPROP OLOGIC_X0Y34 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y35 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y35 CLASS site SITEPROP OLOGIC_X0Y35 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y35 IS_BONDED 0 SITEPROP OLOGIC_X0Y35 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y35 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y35 IS_PAD 0 SITEPROP OLOGIC_X0Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y35 IS_RESERVED 0 SITEPROP OLOGIC_X0Y35 IS_TEST 0 SITEPROP OLOGIC_X0Y35 IS_USED 0 SITEPROP OLOGIC_X0Y35 MANUAL_ROUTING SITEPROP OLOGIC_X0Y35 NAME OLOGIC_X0Y35 SITEPROP OLOGIC_X0Y35 NUM_ARCS 26 SITEPROP OLOGIC_X0Y35 NUM_BELS 3 SITEPROP OLOGIC_X0Y35 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y35 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y35 NUM_PINS 33 SITEPROP OLOGIC_X0Y35 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y35 PROHIBIT 0 SITEPROP OLOGIC_X0Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y35 RPM_X 3 SITEPROP OLOGIC_X0Y35 RPM_Y 71 SITEPROP OLOGIC_X0Y35 SITE_PIPS SITEPROP OLOGIC_X0Y35 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y36 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y36 CLASS site SITEPROP OLOGIC_X0Y36 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y36 IS_BONDED 0 SITEPROP OLOGIC_X0Y36 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y36 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y36 IS_PAD 0 SITEPROP OLOGIC_X0Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y36 IS_RESERVED 0 SITEPROP OLOGIC_X0Y36 IS_TEST 0 SITEPROP OLOGIC_X0Y36 IS_USED 0 SITEPROP OLOGIC_X0Y36 MANUAL_ROUTING SITEPROP OLOGIC_X0Y36 NAME OLOGIC_X0Y36 SITEPROP OLOGIC_X0Y36 NUM_ARCS 26 SITEPROP OLOGIC_X0Y36 NUM_BELS 3 SITEPROP OLOGIC_X0Y36 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y36 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y36 NUM_PINS 33 SITEPROP OLOGIC_X0Y36 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y36 PROHIBIT 0 SITEPROP OLOGIC_X0Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y36 RPM_X 3 SITEPROP OLOGIC_X0Y36 RPM_Y 70 SITEPROP OLOGIC_X0Y36 SITE_PIPS SITEPROP OLOGIC_X0Y36 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y37 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y37 CLASS site SITEPROP OLOGIC_X0Y37 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y37 IS_BONDED 0 SITEPROP OLOGIC_X0Y37 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y37 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y37 IS_PAD 0 SITEPROP OLOGIC_X0Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y37 IS_RESERVED 0 SITEPROP OLOGIC_X0Y37 IS_TEST 0 SITEPROP OLOGIC_X0Y37 IS_USED 0 SITEPROP OLOGIC_X0Y37 MANUAL_ROUTING SITEPROP OLOGIC_X0Y37 NAME OLOGIC_X0Y37 SITEPROP OLOGIC_X0Y37 NUM_ARCS 26 SITEPROP OLOGIC_X0Y37 NUM_BELS 3 SITEPROP OLOGIC_X0Y37 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y37 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y37 NUM_PINS 33 SITEPROP OLOGIC_X0Y37 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y37 PROHIBIT 0 SITEPROP OLOGIC_X0Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y37 RPM_X 3 SITEPROP OLOGIC_X0Y37 RPM_Y 75 SITEPROP OLOGIC_X0Y37 SITE_PIPS SITEPROP OLOGIC_X0Y37 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y38 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y38 CLASS site SITEPROP OLOGIC_X0Y38 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y38 IS_BONDED 0 SITEPROP OLOGIC_X0Y38 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y38 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y38 IS_PAD 0 SITEPROP OLOGIC_X0Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y38 IS_RESERVED 0 SITEPROP OLOGIC_X0Y38 IS_TEST 0 SITEPROP OLOGIC_X0Y38 IS_USED 0 SITEPROP OLOGIC_X0Y38 MANUAL_ROUTING SITEPROP OLOGIC_X0Y38 NAME OLOGIC_X0Y38 SITEPROP OLOGIC_X0Y38 NUM_ARCS 26 SITEPROP OLOGIC_X0Y38 NUM_BELS 3 SITEPROP OLOGIC_X0Y38 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y38 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y38 NUM_PINS 33 SITEPROP OLOGIC_X0Y38 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y38 PROHIBIT 0 SITEPROP OLOGIC_X0Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y38 RPM_X 3 SITEPROP OLOGIC_X0Y38 RPM_Y 74 SITEPROP OLOGIC_X0Y38 SITE_PIPS SITEPROP OLOGIC_X0Y38 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y39 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y39 CLASS site SITEPROP OLOGIC_X0Y39 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y39 IS_BONDED 0 SITEPROP OLOGIC_X0Y39 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y39 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y39 IS_PAD 0 SITEPROP OLOGIC_X0Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y39 IS_RESERVED 0 SITEPROP OLOGIC_X0Y39 IS_TEST 0 SITEPROP OLOGIC_X0Y39 IS_USED 0 SITEPROP OLOGIC_X0Y39 MANUAL_ROUTING SITEPROP OLOGIC_X0Y39 NAME OLOGIC_X0Y39 SITEPROP OLOGIC_X0Y39 NUM_ARCS 26 SITEPROP OLOGIC_X0Y39 NUM_BELS 3 SITEPROP OLOGIC_X0Y39 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y39 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y39 NUM_PINS 33 SITEPROP OLOGIC_X0Y39 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y39 PROHIBIT 0 SITEPROP OLOGIC_X0Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y39 RPM_X 3 SITEPROP OLOGIC_X0Y39 RPM_Y 79 SITEPROP OLOGIC_X0Y39 SITE_PIPS SITEPROP OLOGIC_X0Y39 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y40 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y40 CLASS site SITEPROP OLOGIC_X0Y40 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y40 IS_BONDED 0 SITEPROP OLOGIC_X0Y40 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y40 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y40 IS_PAD 0 SITEPROP OLOGIC_X0Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y40 IS_RESERVED 0 SITEPROP OLOGIC_X0Y40 IS_TEST 0 SITEPROP OLOGIC_X0Y40 IS_USED 0 SITEPROP OLOGIC_X0Y40 MANUAL_ROUTING SITEPROP OLOGIC_X0Y40 NAME OLOGIC_X0Y40 SITEPROP OLOGIC_X0Y40 NUM_ARCS 26 SITEPROP OLOGIC_X0Y40 NUM_BELS 3 SITEPROP OLOGIC_X0Y40 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y40 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y40 NUM_PINS 33 SITEPROP OLOGIC_X0Y40 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y40 PROHIBIT 0 SITEPROP OLOGIC_X0Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y40 RPM_X 3 SITEPROP OLOGIC_X0Y40 RPM_Y 78 SITEPROP OLOGIC_X0Y40 SITE_PIPS SITEPROP OLOGIC_X0Y40 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y41 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y41 CLASS site SITEPROP OLOGIC_X0Y41 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y41 IS_BONDED 0 SITEPROP OLOGIC_X0Y41 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y41 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y41 IS_PAD 0 SITEPROP OLOGIC_X0Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y41 IS_RESERVED 0 SITEPROP OLOGIC_X0Y41 IS_TEST 0 SITEPROP OLOGIC_X0Y41 IS_USED 0 SITEPROP OLOGIC_X0Y41 MANUAL_ROUTING SITEPROP OLOGIC_X0Y41 NAME OLOGIC_X0Y41 SITEPROP OLOGIC_X0Y41 NUM_ARCS 26 SITEPROP OLOGIC_X0Y41 NUM_BELS 3 SITEPROP OLOGIC_X0Y41 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y41 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y41 NUM_PINS 33 SITEPROP OLOGIC_X0Y41 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y41 PROHIBIT 0 SITEPROP OLOGIC_X0Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y41 RPM_X 3 SITEPROP OLOGIC_X0Y41 RPM_Y 83 SITEPROP OLOGIC_X0Y41 SITE_PIPS SITEPROP OLOGIC_X0Y41 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y42 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y42 CLASS site SITEPROP OLOGIC_X0Y42 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y42 IS_BONDED 0 SITEPROP OLOGIC_X0Y42 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y42 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y42 IS_PAD 0 SITEPROP OLOGIC_X0Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y42 IS_RESERVED 0 SITEPROP OLOGIC_X0Y42 IS_TEST 0 SITEPROP OLOGIC_X0Y42 IS_USED 0 SITEPROP OLOGIC_X0Y42 MANUAL_ROUTING SITEPROP OLOGIC_X0Y42 NAME OLOGIC_X0Y42 SITEPROP OLOGIC_X0Y42 NUM_ARCS 26 SITEPROP OLOGIC_X0Y42 NUM_BELS 3 SITEPROP OLOGIC_X0Y42 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y42 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y42 NUM_PINS 33 SITEPROP OLOGIC_X0Y42 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y42 PROHIBIT 0 SITEPROP OLOGIC_X0Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y42 RPM_X 3 SITEPROP OLOGIC_X0Y42 RPM_Y 82 SITEPROP OLOGIC_X0Y42 SITE_PIPS SITEPROP OLOGIC_X0Y42 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y43 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y43 CLASS site SITEPROP OLOGIC_X0Y43 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y43 IS_BONDED 0 SITEPROP OLOGIC_X0Y43 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y43 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y43 IS_PAD 0 SITEPROP OLOGIC_X0Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y43 IS_RESERVED 0 SITEPROP OLOGIC_X0Y43 IS_TEST 0 SITEPROP OLOGIC_X0Y43 IS_USED 0 SITEPROP OLOGIC_X0Y43 MANUAL_ROUTING SITEPROP OLOGIC_X0Y43 NAME OLOGIC_X0Y43 SITEPROP OLOGIC_X0Y43 NUM_ARCS 26 SITEPROP OLOGIC_X0Y43 NUM_BELS 3 SITEPROP OLOGIC_X0Y43 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y43 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y43 NUM_PINS 33 SITEPROP OLOGIC_X0Y43 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y43 PROHIBIT 0 SITEPROP OLOGIC_X0Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y43 RPM_X 3 SITEPROP OLOGIC_X0Y43 RPM_Y 87 SITEPROP OLOGIC_X0Y43 SITE_PIPS SITEPROP OLOGIC_X0Y43 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y44 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y44 CLASS site SITEPROP OLOGIC_X0Y44 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y44 IS_BONDED 0 SITEPROP OLOGIC_X0Y44 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y44 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y44 IS_PAD 0 SITEPROP OLOGIC_X0Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y44 IS_RESERVED 0 SITEPROP OLOGIC_X0Y44 IS_TEST 0 SITEPROP OLOGIC_X0Y44 IS_USED 0 SITEPROP OLOGIC_X0Y44 MANUAL_ROUTING SITEPROP OLOGIC_X0Y44 NAME OLOGIC_X0Y44 SITEPROP OLOGIC_X0Y44 NUM_ARCS 26 SITEPROP OLOGIC_X0Y44 NUM_BELS 3 SITEPROP OLOGIC_X0Y44 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y44 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y44 NUM_PINS 33 SITEPROP OLOGIC_X0Y44 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y44 PROHIBIT 0 SITEPROP OLOGIC_X0Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y44 RPM_X 3 SITEPROP OLOGIC_X0Y44 RPM_Y 86 SITEPROP OLOGIC_X0Y44 SITE_PIPS SITEPROP OLOGIC_X0Y44 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y45 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y45 CLASS site SITEPROP OLOGIC_X0Y45 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y45 IS_BONDED 0 SITEPROP OLOGIC_X0Y45 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y45 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y45 IS_PAD 0 SITEPROP OLOGIC_X0Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y45 IS_RESERVED 0 SITEPROP OLOGIC_X0Y45 IS_TEST 0 SITEPROP OLOGIC_X0Y45 IS_USED 0 SITEPROP OLOGIC_X0Y45 MANUAL_ROUTING SITEPROP OLOGIC_X0Y45 NAME OLOGIC_X0Y45 SITEPROP OLOGIC_X0Y45 NUM_ARCS 26 SITEPROP OLOGIC_X0Y45 NUM_BELS 3 SITEPROP OLOGIC_X0Y45 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y45 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y45 NUM_PINS 33 SITEPROP OLOGIC_X0Y45 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y45 PROHIBIT 0 SITEPROP OLOGIC_X0Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y45 RPM_X 3 SITEPROP OLOGIC_X0Y45 RPM_Y 91 SITEPROP OLOGIC_X0Y45 SITE_PIPS SITEPROP OLOGIC_X0Y45 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y46 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y46 CLASS site SITEPROP OLOGIC_X0Y46 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y46 IS_BONDED 0 SITEPROP OLOGIC_X0Y46 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y46 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y46 IS_PAD 0 SITEPROP OLOGIC_X0Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y46 IS_RESERVED 0 SITEPROP OLOGIC_X0Y46 IS_TEST 0 SITEPROP OLOGIC_X0Y46 IS_USED 0 SITEPROP OLOGIC_X0Y46 MANUAL_ROUTING SITEPROP OLOGIC_X0Y46 NAME OLOGIC_X0Y46 SITEPROP OLOGIC_X0Y46 NUM_ARCS 26 SITEPROP OLOGIC_X0Y46 NUM_BELS 3 SITEPROP OLOGIC_X0Y46 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y46 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y46 NUM_PINS 33 SITEPROP OLOGIC_X0Y46 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y46 PROHIBIT 0 SITEPROP OLOGIC_X0Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y46 RPM_X 3 SITEPROP OLOGIC_X0Y46 RPM_Y 90 SITEPROP OLOGIC_X0Y46 SITE_PIPS SITEPROP OLOGIC_X0Y46 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y47 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y47 CLASS site SITEPROP OLOGIC_X0Y47 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y47 IS_BONDED 0 SITEPROP OLOGIC_X0Y47 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y47 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y47 IS_PAD 0 SITEPROP OLOGIC_X0Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y47 IS_RESERVED 0 SITEPROP OLOGIC_X0Y47 IS_TEST 0 SITEPROP OLOGIC_X0Y47 IS_USED 0 SITEPROP OLOGIC_X0Y47 MANUAL_ROUTING SITEPROP OLOGIC_X0Y47 NAME OLOGIC_X0Y47 SITEPROP OLOGIC_X0Y47 NUM_ARCS 26 SITEPROP OLOGIC_X0Y47 NUM_BELS 3 SITEPROP OLOGIC_X0Y47 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y47 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y47 NUM_PINS 33 SITEPROP OLOGIC_X0Y47 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y47 PROHIBIT 0 SITEPROP OLOGIC_X0Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y47 RPM_X 3 SITEPROP OLOGIC_X0Y47 RPM_Y 95 SITEPROP OLOGIC_X0Y47 SITE_PIPS SITEPROP OLOGIC_X0Y47 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y48 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y48 CLASS site SITEPROP OLOGIC_X0Y48 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y48 IS_BONDED 0 SITEPROP OLOGIC_X0Y48 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y48 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y48 IS_PAD 0 SITEPROP OLOGIC_X0Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y48 IS_RESERVED 0 SITEPROP OLOGIC_X0Y48 IS_TEST 0 SITEPROP OLOGIC_X0Y48 IS_USED 0 SITEPROP OLOGIC_X0Y48 MANUAL_ROUTING SITEPROP OLOGIC_X0Y48 NAME OLOGIC_X0Y48 SITEPROP OLOGIC_X0Y48 NUM_ARCS 26 SITEPROP OLOGIC_X0Y48 NUM_BELS 3 SITEPROP OLOGIC_X0Y48 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y48 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y48 NUM_PINS 33 SITEPROP OLOGIC_X0Y48 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y48 PROHIBIT 0 SITEPROP OLOGIC_X0Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y48 RPM_X 3 SITEPROP OLOGIC_X0Y48 RPM_Y 94 SITEPROP OLOGIC_X0Y48 SITE_PIPS SITEPROP OLOGIC_X0Y48 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y49 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y49 CLASS site SITEPROP OLOGIC_X0Y49 CLOCK_REGION X0Y0 SITEPROP OLOGIC_X0Y49 IS_BONDED 0 SITEPROP OLOGIC_X0Y49 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y49 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y49 IS_PAD 0 SITEPROP OLOGIC_X0Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y49 IS_RESERVED 0 SITEPROP OLOGIC_X0Y49 IS_TEST 0 SITEPROP OLOGIC_X0Y49 IS_USED 0 SITEPROP OLOGIC_X0Y49 MANUAL_ROUTING SITEPROP OLOGIC_X0Y49 NAME OLOGIC_X0Y49 SITEPROP OLOGIC_X0Y49 NUM_ARCS 26 SITEPROP OLOGIC_X0Y49 NUM_BELS 3 SITEPROP OLOGIC_X0Y49 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y49 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y49 NUM_PINS 33 SITEPROP OLOGIC_X0Y49 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y49 PROHIBIT 0 SITEPROP OLOGIC_X0Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y49 RPM_X 3 SITEPROP OLOGIC_X0Y49 RPM_Y 98 SITEPROP OLOGIC_X0Y49 SITE_PIPS SITEPROP OLOGIC_X0Y49 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y50 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y50 CLASS site SITEPROP OLOGIC_X0Y50 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y50 IS_BONDED 0 SITEPROP OLOGIC_X0Y50 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y50 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y50 IS_PAD 0 SITEPROP OLOGIC_X0Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y50 IS_RESERVED 0 SITEPROP OLOGIC_X0Y50 IS_TEST 0 SITEPROP OLOGIC_X0Y50 IS_USED 0 SITEPROP OLOGIC_X0Y50 MANUAL_ROUTING SITEPROP OLOGIC_X0Y50 NAME OLOGIC_X0Y50 SITEPROP OLOGIC_X0Y50 NUM_ARCS 26 SITEPROP OLOGIC_X0Y50 NUM_BELS 3 SITEPROP OLOGIC_X0Y50 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y50 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y50 NUM_PINS 33 SITEPROP OLOGIC_X0Y50 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y50 PROHIBIT 0 SITEPROP OLOGIC_X0Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y50 RPM_X 3 SITEPROP OLOGIC_X0Y50 RPM_Y 100 SITEPROP OLOGIC_X0Y50 SITE_PIPS SITEPROP OLOGIC_X0Y50 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y51 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y51 CLASS site SITEPROP OLOGIC_X0Y51 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y51 IS_BONDED 0 SITEPROP OLOGIC_X0Y51 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y51 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y51 IS_PAD 0 SITEPROP OLOGIC_X0Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y51 IS_RESERVED 0 SITEPROP OLOGIC_X0Y51 IS_TEST 0 SITEPROP OLOGIC_X0Y51 IS_USED 0 SITEPROP OLOGIC_X0Y51 MANUAL_ROUTING SITEPROP OLOGIC_X0Y51 NAME OLOGIC_X0Y51 SITEPROP OLOGIC_X0Y51 NUM_ARCS 26 SITEPROP OLOGIC_X0Y51 NUM_BELS 3 SITEPROP OLOGIC_X0Y51 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y51 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y51 NUM_PINS 33 SITEPROP OLOGIC_X0Y51 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y51 PROHIBIT 0 SITEPROP OLOGIC_X0Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y51 RPM_X 3 SITEPROP OLOGIC_X0Y51 RPM_Y 103 SITEPROP OLOGIC_X0Y51 SITE_PIPS SITEPROP OLOGIC_X0Y51 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y52 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y52 CLASS site SITEPROP OLOGIC_X0Y52 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y52 IS_BONDED 0 SITEPROP OLOGIC_X0Y52 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y52 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y52 IS_PAD 0 SITEPROP OLOGIC_X0Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y52 IS_RESERVED 0 SITEPROP OLOGIC_X0Y52 IS_TEST 0 SITEPROP OLOGIC_X0Y52 IS_USED 0 SITEPROP OLOGIC_X0Y52 MANUAL_ROUTING SITEPROP OLOGIC_X0Y52 NAME OLOGIC_X0Y52 SITEPROP OLOGIC_X0Y52 NUM_ARCS 26 SITEPROP OLOGIC_X0Y52 NUM_BELS 3 SITEPROP OLOGIC_X0Y52 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y52 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y52 NUM_PINS 33 SITEPROP OLOGIC_X0Y52 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y52 PROHIBIT 0 SITEPROP OLOGIC_X0Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y52 RPM_X 3 SITEPROP OLOGIC_X0Y52 RPM_Y 102 SITEPROP OLOGIC_X0Y52 SITE_PIPS SITEPROP OLOGIC_X0Y52 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y53 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y53 CLASS site SITEPROP OLOGIC_X0Y53 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y53 IS_BONDED 0 SITEPROP OLOGIC_X0Y53 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y53 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y53 IS_PAD 0 SITEPROP OLOGIC_X0Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y53 IS_RESERVED 0 SITEPROP OLOGIC_X0Y53 IS_TEST 0 SITEPROP OLOGIC_X0Y53 IS_USED 0 SITEPROP OLOGIC_X0Y53 MANUAL_ROUTING SITEPROP OLOGIC_X0Y53 NAME OLOGIC_X0Y53 SITEPROP OLOGIC_X0Y53 NUM_ARCS 26 SITEPROP OLOGIC_X0Y53 NUM_BELS 3 SITEPROP OLOGIC_X0Y53 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y53 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y53 NUM_PINS 33 SITEPROP OLOGIC_X0Y53 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y53 PROHIBIT 0 SITEPROP OLOGIC_X0Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y53 RPM_X 3 SITEPROP OLOGIC_X0Y53 RPM_Y 107 SITEPROP OLOGIC_X0Y53 SITE_PIPS SITEPROP OLOGIC_X0Y53 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y54 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y54 CLASS site SITEPROP OLOGIC_X0Y54 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y54 IS_BONDED 0 SITEPROP OLOGIC_X0Y54 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y54 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y54 IS_PAD 0 SITEPROP OLOGIC_X0Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y54 IS_RESERVED 0 SITEPROP OLOGIC_X0Y54 IS_TEST 0 SITEPROP OLOGIC_X0Y54 IS_USED 0 SITEPROP OLOGIC_X0Y54 MANUAL_ROUTING SITEPROP OLOGIC_X0Y54 NAME OLOGIC_X0Y54 SITEPROP OLOGIC_X0Y54 NUM_ARCS 26 SITEPROP OLOGIC_X0Y54 NUM_BELS 3 SITEPROP OLOGIC_X0Y54 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y54 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y54 NUM_PINS 33 SITEPROP OLOGIC_X0Y54 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y54 PROHIBIT 0 SITEPROP OLOGIC_X0Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y54 RPM_X 3 SITEPROP OLOGIC_X0Y54 RPM_Y 106 SITEPROP OLOGIC_X0Y54 SITE_PIPS SITEPROP OLOGIC_X0Y54 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y55 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y55 CLASS site SITEPROP OLOGIC_X0Y55 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y55 IS_BONDED 0 SITEPROP OLOGIC_X0Y55 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y55 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y55 IS_PAD 0 SITEPROP OLOGIC_X0Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y55 IS_RESERVED 0 SITEPROP OLOGIC_X0Y55 IS_TEST 0 SITEPROP OLOGIC_X0Y55 IS_USED 0 SITEPROP OLOGIC_X0Y55 MANUAL_ROUTING SITEPROP OLOGIC_X0Y55 NAME OLOGIC_X0Y55 SITEPROP OLOGIC_X0Y55 NUM_ARCS 26 SITEPROP OLOGIC_X0Y55 NUM_BELS 3 SITEPROP OLOGIC_X0Y55 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y55 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y55 NUM_PINS 33 SITEPROP OLOGIC_X0Y55 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y55 PROHIBIT 0 SITEPROP OLOGIC_X0Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y55 RPM_X 3 SITEPROP OLOGIC_X0Y55 RPM_Y 111 SITEPROP OLOGIC_X0Y55 SITE_PIPS SITEPROP OLOGIC_X0Y55 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y56 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y56 CLASS site SITEPROP OLOGIC_X0Y56 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y56 IS_BONDED 0 SITEPROP OLOGIC_X0Y56 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y56 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y56 IS_PAD 0 SITEPROP OLOGIC_X0Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y56 IS_RESERVED 0 SITEPROP OLOGIC_X0Y56 IS_TEST 0 SITEPROP OLOGIC_X0Y56 IS_USED 0 SITEPROP OLOGIC_X0Y56 MANUAL_ROUTING SITEPROP OLOGIC_X0Y56 NAME OLOGIC_X0Y56 SITEPROP OLOGIC_X0Y56 NUM_ARCS 26 SITEPROP OLOGIC_X0Y56 NUM_BELS 3 SITEPROP OLOGIC_X0Y56 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y56 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y56 NUM_PINS 33 SITEPROP OLOGIC_X0Y56 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y56 PROHIBIT 0 SITEPROP OLOGIC_X0Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y56 RPM_X 3 SITEPROP OLOGIC_X0Y56 RPM_Y 110 SITEPROP OLOGIC_X0Y56 SITE_PIPS SITEPROP OLOGIC_X0Y56 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y57 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y57 CLASS site SITEPROP OLOGIC_X0Y57 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y57 IS_BONDED 0 SITEPROP OLOGIC_X0Y57 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y57 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y57 IS_PAD 0 SITEPROP OLOGIC_X0Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y57 IS_RESERVED 0 SITEPROP OLOGIC_X0Y57 IS_TEST 0 SITEPROP OLOGIC_X0Y57 IS_USED 0 SITEPROP OLOGIC_X0Y57 MANUAL_ROUTING SITEPROP OLOGIC_X0Y57 NAME OLOGIC_X0Y57 SITEPROP OLOGIC_X0Y57 NUM_ARCS 26 SITEPROP OLOGIC_X0Y57 NUM_BELS 3 SITEPROP OLOGIC_X0Y57 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y57 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y57 NUM_PINS 33 SITEPROP OLOGIC_X0Y57 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y57 PROHIBIT 0 SITEPROP OLOGIC_X0Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y57 RPM_X 3 SITEPROP OLOGIC_X0Y57 RPM_Y 115 SITEPROP OLOGIC_X0Y57 SITE_PIPS SITEPROP OLOGIC_X0Y57 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y58 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y58 CLASS site SITEPROP OLOGIC_X0Y58 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y58 IS_BONDED 0 SITEPROP OLOGIC_X0Y58 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y58 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y58 IS_PAD 0 SITEPROP OLOGIC_X0Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y58 IS_RESERVED 0 SITEPROP OLOGIC_X0Y58 IS_TEST 0 SITEPROP OLOGIC_X0Y58 IS_USED 0 SITEPROP OLOGIC_X0Y58 MANUAL_ROUTING SITEPROP OLOGIC_X0Y58 NAME OLOGIC_X0Y58 SITEPROP OLOGIC_X0Y58 NUM_ARCS 26 SITEPROP OLOGIC_X0Y58 NUM_BELS 3 SITEPROP OLOGIC_X0Y58 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y58 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y58 NUM_PINS 33 SITEPROP OLOGIC_X0Y58 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y58 PROHIBIT 0 SITEPROP OLOGIC_X0Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y58 RPM_X 3 SITEPROP OLOGIC_X0Y58 RPM_Y 114 SITEPROP OLOGIC_X0Y58 SITE_PIPS SITEPROP OLOGIC_X0Y58 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y59 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y59 CLASS site SITEPROP OLOGIC_X0Y59 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y59 IS_BONDED 0 SITEPROP OLOGIC_X0Y59 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y59 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y59 IS_PAD 0 SITEPROP OLOGIC_X0Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y59 IS_RESERVED 0 SITEPROP OLOGIC_X0Y59 IS_TEST 0 SITEPROP OLOGIC_X0Y59 IS_USED 0 SITEPROP OLOGIC_X0Y59 MANUAL_ROUTING SITEPROP OLOGIC_X0Y59 NAME OLOGIC_X0Y59 SITEPROP OLOGIC_X0Y59 NUM_ARCS 26 SITEPROP OLOGIC_X0Y59 NUM_BELS 3 SITEPROP OLOGIC_X0Y59 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y59 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y59 NUM_PINS 33 SITEPROP OLOGIC_X0Y59 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y59 PROHIBIT 0 SITEPROP OLOGIC_X0Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y59 RPM_X 3 SITEPROP OLOGIC_X0Y59 RPM_Y 119 SITEPROP OLOGIC_X0Y59 SITE_PIPS SITEPROP OLOGIC_X0Y59 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y60 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y60 CLASS site SITEPROP OLOGIC_X0Y60 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y60 IS_BONDED 0 SITEPROP OLOGIC_X0Y60 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y60 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y60 IS_PAD 0 SITEPROP OLOGIC_X0Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y60 IS_RESERVED 0 SITEPROP OLOGIC_X0Y60 IS_TEST 0 SITEPROP OLOGIC_X0Y60 IS_USED 0 SITEPROP OLOGIC_X0Y60 MANUAL_ROUTING SITEPROP OLOGIC_X0Y60 NAME OLOGIC_X0Y60 SITEPROP OLOGIC_X0Y60 NUM_ARCS 26 SITEPROP OLOGIC_X0Y60 NUM_BELS 3 SITEPROP OLOGIC_X0Y60 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y60 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y60 NUM_PINS 33 SITEPROP OLOGIC_X0Y60 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y60 PROHIBIT 0 SITEPROP OLOGIC_X0Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y60 RPM_X 3 SITEPROP OLOGIC_X0Y60 RPM_Y 118 SITEPROP OLOGIC_X0Y60 SITE_PIPS SITEPROP OLOGIC_X0Y60 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y61 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y61 CLASS site SITEPROP OLOGIC_X0Y61 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y61 IS_BONDED 0 SITEPROP OLOGIC_X0Y61 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y61 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y61 IS_PAD 0 SITEPROP OLOGIC_X0Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y61 IS_RESERVED 0 SITEPROP OLOGIC_X0Y61 IS_TEST 0 SITEPROP OLOGIC_X0Y61 IS_USED 0 SITEPROP OLOGIC_X0Y61 MANUAL_ROUTING SITEPROP OLOGIC_X0Y61 NAME OLOGIC_X0Y61 SITEPROP OLOGIC_X0Y61 NUM_ARCS 26 SITEPROP OLOGIC_X0Y61 NUM_BELS 3 SITEPROP OLOGIC_X0Y61 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y61 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y61 NUM_PINS 33 SITEPROP OLOGIC_X0Y61 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y61 PROHIBIT 0 SITEPROP OLOGIC_X0Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y61 RPM_X 3 SITEPROP OLOGIC_X0Y61 RPM_Y 123 SITEPROP OLOGIC_X0Y61 SITE_PIPS SITEPROP OLOGIC_X0Y61 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y62 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y62 CLASS site SITEPROP OLOGIC_X0Y62 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y62 IS_BONDED 0 SITEPROP OLOGIC_X0Y62 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y62 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y62 IS_PAD 0 SITEPROP OLOGIC_X0Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y62 IS_RESERVED 0 SITEPROP OLOGIC_X0Y62 IS_TEST 0 SITEPROP OLOGIC_X0Y62 IS_USED 0 SITEPROP OLOGIC_X0Y62 MANUAL_ROUTING SITEPROP OLOGIC_X0Y62 NAME OLOGIC_X0Y62 SITEPROP OLOGIC_X0Y62 NUM_ARCS 26 SITEPROP OLOGIC_X0Y62 NUM_BELS 3 SITEPROP OLOGIC_X0Y62 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y62 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y62 NUM_PINS 33 SITEPROP OLOGIC_X0Y62 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y62 PROHIBIT 0 SITEPROP OLOGIC_X0Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y62 RPM_X 3 SITEPROP OLOGIC_X0Y62 RPM_Y 122 SITEPROP OLOGIC_X0Y62 SITE_PIPS SITEPROP OLOGIC_X0Y62 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y63 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y63 CLASS site SITEPROP OLOGIC_X0Y63 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y63 IS_BONDED 0 SITEPROP OLOGIC_X0Y63 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y63 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y63 IS_PAD 0 SITEPROP OLOGIC_X0Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y63 IS_RESERVED 0 SITEPROP OLOGIC_X0Y63 IS_TEST 0 SITEPROP OLOGIC_X0Y63 IS_USED 0 SITEPROP OLOGIC_X0Y63 MANUAL_ROUTING SITEPROP OLOGIC_X0Y63 NAME OLOGIC_X0Y63 SITEPROP OLOGIC_X0Y63 NUM_ARCS 26 SITEPROP OLOGIC_X0Y63 NUM_BELS 3 SITEPROP OLOGIC_X0Y63 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y63 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y63 NUM_PINS 33 SITEPROP OLOGIC_X0Y63 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y63 PROHIBIT 0 SITEPROP OLOGIC_X0Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y63 RPM_X 3 SITEPROP OLOGIC_X0Y63 RPM_Y 127 SITEPROP OLOGIC_X0Y63 SITE_PIPS SITEPROP OLOGIC_X0Y63 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y64 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y64 CLASS site SITEPROP OLOGIC_X0Y64 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y64 IS_BONDED 0 SITEPROP OLOGIC_X0Y64 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y64 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y64 IS_PAD 0 SITEPROP OLOGIC_X0Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y64 IS_RESERVED 0 SITEPROP OLOGIC_X0Y64 IS_TEST 0 SITEPROP OLOGIC_X0Y64 IS_USED 0 SITEPROP OLOGIC_X0Y64 MANUAL_ROUTING SITEPROP OLOGIC_X0Y64 NAME OLOGIC_X0Y64 SITEPROP OLOGIC_X0Y64 NUM_ARCS 26 SITEPROP OLOGIC_X0Y64 NUM_BELS 3 SITEPROP OLOGIC_X0Y64 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y64 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y64 NUM_PINS 33 SITEPROP OLOGIC_X0Y64 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y64 PROHIBIT 0 SITEPROP OLOGIC_X0Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y64 RPM_X 3 SITEPROP OLOGIC_X0Y64 RPM_Y 126 SITEPROP OLOGIC_X0Y64 SITE_PIPS SITEPROP OLOGIC_X0Y64 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y65 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y65 CLASS site SITEPROP OLOGIC_X0Y65 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y65 IS_BONDED 0 SITEPROP OLOGIC_X0Y65 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y65 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y65 IS_PAD 0 SITEPROP OLOGIC_X0Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y65 IS_RESERVED 0 SITEPROP OLOGIC_X0Y65 IS_TEST 0 SITEPROP OLOGIC_X0Y65 IS_USED 0 SITEPROP OLOGIC_X0Y65 MANUAL_ROUTING SITEPROP OLOGIC_X0Y65 NAME OLOGIC_X0Y65 SITEPROP OLOGIC_X0Y65 NUM_ARCS 26 SITEPROP OLOGIC_X0Y65 NUM_BELS 3 SITEPROP OLOGIC_X0Y65 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y65 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y65 NUM_PINS 33 SITEPROP OLOGIC_X0Y65 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y65 PROHIBIT 0 SITEPROP OLOGIC_X0Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y65 RPM_X 3 SITEPROP OLOGIC_X0Y65 RPM_Y 131 SITEPROP OLOGIC_X0Y65 SITE_PIPS SITEPROP OLOGIC_X0Y65 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y66 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y66 CLASS site SITEPROP OLOGIC_X0Y66 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y66 IS_BONDED 0 SITEPROP OLOGIC_X0Y66 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y66 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y66 IS_PAD 0 SITEPROP OLOGIC_X0Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y66 IS_RESERVED 0 SITEPROP OLOGIC_X0Y66 IS_TEST 0 SITEPROP OLOGIC_X0Y66 IS_USED 0 SITEPROP OLOGIC_X0Y66 MANUAL_ROUTING SITEPROP OLOGIC_X0Y66 NAME OLOGIC_X0Y66 SITEPROP OLOGIC_X0Y66 NUM_ARCS 26 SITEPROP OLOGIC_X0Y66 NUM_BELS 3 SITEPROP OLOGIC_X0Y66 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y66 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y66 NUM_PINS 33 SITEPROP OLOGIC_X0Y66 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y66 PROHIBIT 0 SITEPROP OLOGIC_X0Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y66 RPM_X 3 SITEPROP OLOGIC_X0Y66 RPM_Y 130 SITEPROP OLOGIC_X0Y66 SITE_PIPS SITEPROP OLOGIC_X0Y66 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y67 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y67 CLASS site SITEPROP OLOGIC_X0Y67 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y67 IS_BONDED 0 SITEPROP OLOGIC_X0Y67 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y67 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y67 IS_PAD 0 SITEPROP OLOGIC_X0Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y67 IS_RESERVED 0 SITEPROP OLOGIC_X0Y67 IS_TEST 0 SITEPROP OLOGIC_X0Y67 IS_USED 0 SITEPROP OLOGIC_X0Y67 MANUAL_ROUTING SITEPROP OLOGIC_X0Y67 NAME OLOGIC_X0Y67 SITEPROP OLOGIC_X0Y67 NUM_ARCS 26 SITEPROP OLOGIC_X0Y67 NUM_BELS 3 SITEPROP OLOGIC_X0Y67 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y67 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y67 NUM_PINS 33 SITEPROP OLOGIC_X0Y67 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y67 PROHIBIT 0 SITEPROP OLOGIC_X0Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y67 RPM_X 3 SITEPROP OLOGIC_X0Y67 RPM_Y 135 SITEPROP OLOGIC_X0Y67 SITE_PIPS SITEPROP OLOGIC_X0Y67 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y68 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y68 CLASS site SITEPROP OLOGIC_X0Y68 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y68 IS_BONDED 0 SITEPROP OLOGIC_X0Y68 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y68 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y68 IS_PAD 0 SITEPROP OLOGIC_X0Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y68 IS_RESERVED 0 SITEPROP OLOGIC_X0Y68 IS_TEST 0 SITEPROP OLOGIC_X0Y68 IS_USED 0 SITEPROP OLOGIC_X0Y68 MANUAL_ROUTING SITEPROP OLOGIC_X0Y68 NAME OLOGIC_X0Y68 SITEPROP OLOGIC_X0Y68 NUM_ARCS 26 SITEPROP OLOGIC_X0Y68 NUM_BELS 3 SITEPROP OLOGIC_X0Y68 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y68 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y68 NUM_PINS 33 SITEPROP OLOGIC_X0Y68 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y68 PROHIBIT 0 SITEPROP OLOGIC_X0Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y68 RPM_X 3 SITEPROP OLOGIC_X0Y68 RPM_Y 134 SITEPROP OLOGIC_X0Y68 SITE_PIPS SITEPROP OLOGIC_X0Y68 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y69 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y69 CLASS site SITEPROP OLOGIC_X0Y69 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y69 IS_BONDED 0 SITEPROP OLOGIC_X0Y69 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y69 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y69 IS_PAD 0 SITEPROP OLOGIC_X0Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y69 IS_RESERVED 0 SITEPROP OLOGIC_X0Y69 IS_TEST 0 SITEPROP OLOGIC_X0Y69 IS_USED 0 SITEPROP OLOGIC_X0Y69 MANUAL_ROUTING SITEPROP OLOGIC_X0Y69 NAME OLOGIC_X0Y69 SITEPROP OLOGIC_X0Y69 NUM_ARCS 26 SITEPROP OLOGIC_X0Y69 NUM_BELS 3 SITEPROP OLOGIC_X0Y69 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y69 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y69 NUM_PINS 33 SITEPROP OLOGIC_X0Y69 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y69 PROHIBIT 0 SITEPROP OLOGIC_X0Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y69 RPM_X 3 SITEPROP OLOGIC_X0Y69 RPM_Y 139 SITEPROP OLOGIC_X0Y69 SITE_PIPS SITEPROP OLOGIC_X0Y69 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y70 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y70 CLASS site SITEPROP OLOGIC_X0Y70 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y70 IS_BONDED 0 SITEPROP OLOGIC_X0Y70 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y70 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y70 IS_PAD 0 SITEPROP OLOGIC_X0Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y70 IS_RESERVED 0 SITEPROP OLOGIC_X0Y70 IS_TEST 0 SITEPROP OLOGIC_X0Y70 IS_USED 0 SITEPROP OLOGIC_X0Y70 MANUAL_ROUTING SITEPROP OLOGIC_X0Y70 NAME OLOGIC_X0Y70 SITEPROP OLOGIC_X0Y70 NUM_ARCS 26 SITEPROP OLOGIC_X0Y70 NUM_BELS 3 SITEPROP OLOGIC_X0Y70 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y70 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y70 NUM_PINS 33 SITEPROP OLOGIC_X0Y70 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y70 PROHIBIT 0 SITEPROP OLOGIC_X0Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y70 RPM_X 3 SITEPROP OLOGIC_X0Y70 RPM_Y 138 SITEPROP OLOGIC_X0Y70 SITE_PIPS SITEPROP OLOGIC_X0Y70 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y71 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y71 CLASS site SITEPROP OLOGIC_X0Y71 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y71 IS_BONDED 0 SITEPROP OLOGIC_X0Y71 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y71 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y71 IS_PAD 0 SITEPROP OLOGIC_X0Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y71 IS_RESERVED 0 SITEPROP OLOGIC_X0Y71 IS_TEST 0 SITEPROP OLOGIC_X0Y71 IS_USED 0 SITEPROP OLOGIC_X0Y71 MANUAL_ROUTING SITEPROP OLOGIC_X0Y71 NAME OLOGIC_X0Y71 SITEPROP OLOGIC_X0Y71 NUM_ARCS 26 SITEPROP OLOGIC_X0Y71 NUM_BELS 3 SITEPROP OLOGIC_X0Y71 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y71 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y71 NUM_PINS 33 SITEPROP OLOGIC_X0Y71 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y71 PROHIBIT 0 SITEPROP OLOGIC_X0Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y71 RPM_X 3 SITEPROP OLOGIC_X0Y71 RPM_Y 143 SITEPROP OLOGIC_X0Y71 SITE_PIPS SITEPROP OLOGIC_X0Y71 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y72 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y72 CLASS site SITEPROP OLOGIC_X0Y72 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y72 IS_BONDED 0 SITEPROP OLOGIC_X0Y72 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y72 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y72 IS_PAD 0 SITEPROP OLOGIC_X0Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y72 IS_RESERVED 0 SITEPROP OLOGIC_X0Y72 IS_TEST 0 SITEPROP OLOGIC_X0Y72 IS_USED 0 SITEPROP OLOGIC_X0Y72 MANUAL_ROUTING SITEPROP OLOGIC_X0Y72 NAME OLOGIC_X0Y72 SITEPROP OLOGIC_X0Y72 NUM_ARCS 26 SITEPROP OLOGIC_X0Y72 NUM_BELS 3 SITEPROP OLOGIC_X0Y72 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y72 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y72 NUM_PINS 33 SITEPROP OLOGIC_X0Y72 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y72 PROHIBIT 0 SITEPROP OLOGIC_X0Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y72 RPM_X 3 SITEPROP OLOGIC_X0Y72 RPM_Y 142 SITEPROP OLOGIC_X0Y72 SITE_PIPS SITEPROP OLOGIC_X0Y72 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y73 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y73 CLASS site SITEPROP OLOGIC_X0Y73 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y73 IS_BONDED 0 SITEPROP OLOGIC_X0Y73 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y73 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y73 IS_PAD 0 SITEPROP OLOGIC_X0Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y73 IS_RESERVED 0 SITEPROP OLOGIC_X0Y73 IS_TEST 0 SITEPROP OLOGIC_X0Y73 IS_USED 0 SITEPROP OLOGIC_X0Y73 MANUAL_ROUTING SITEPROP OLOGIC_X0Y73 NAME OLOGIC_X0Y73 SITEPROP OLOGIC_X0Y73 NUM_ARCS 26 SITEPROP OLOGIC_X0Y73 NUM_BELS 3 SITEPROP OLOGIC_X0Y73 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y73 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y73 NUM_PINS 33 SITEPROP OLOGIC_X0Y73 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y73 PROHIBIT 0 SITEPROP OLOGIC_X0Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y73 RPM_X 3 SITEPROP OLOGIC_X0Y73 RPM_Y 147 SITEPROP OLOGIC_X0Y73 SITE_PIPS SITEPROP OLOGIC_X0Y73 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y74 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y74 CLASS site SITEPROP OLOGIC_X0Y74 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y74 IS_BONDED 0 SITEPROP OLOGIC_X0Y74 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y74 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y74 IS_PAD 0 SITEPROP OLOGIC_X0Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y74 IS_RESERVED 0 SITEPROP OLOGIC_X0Y74 IS_TEST 0 SITEPROP OLOGIC_X0Y74 IS_USED 0 SITEPROP OLOGIC_X0Y74 MANUAL_ROUTING SITEPROP OLOGIC_X0Y74 NAME OLOGIC_X0Y74 SITEPROP OLOGIC_X0Y74 NUM_ARCS 26 SITEPROP OLOGIC_X0Y74 NUM_BELS 3 SITEPROP OLOGIC_X0Y74 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y74 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y74 NUM_PINS 33 SITEPROP OLOGIC_X0Y74 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y74 PROHIBIT 0 SITEPROP OLOGIC_X0Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y74 RPM_X 3 SITEPROP OLOGIC_X0Y74 RPM_Y 146 SITEPROP OLOGIC_X0Y74 SITE_PIPS SITEPROP OLOGIC_X0Y74 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y75 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y75 CLASS site SITEPROP OLOGIC_X0Y75 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y75 IS_BONDED 0 SITEPROP OLOGIC_X0Y75 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y75 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y75 IS_PAD 0 SITEPROP OLOGIC_X0Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y75 IS_RESERVED 0 SITEPROP OLOGIC_X0Y75 IS_TEST 0 SITEPROP OLOGIC_X0Y75 IS_USED 0 SITEPROP OLOGIC_X0Y75 MANUAL_ROUTING SITEPROP OLOGIC_X0Y75 NAME OLOGIC_X0Y75 SITEPROP OLOGIC_X0Y75 NUM_ARCS 26 SITEPROP OLOGIC_X0Y75 NUM_BELS 3 SITEPROP OLOGIC_X0Y75 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y75 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y75 NUM_PINS 33 SITEPROP OLOGIC_X0Y75 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y75 PROHIBIT 0 SITEPROP OLOGIC_X0Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y75 RPM_X 3 SITEPROP OLOGIC_X0Y75 RPM_Y 151 SITEPROP OLOGIC_X0Y75 SITE_PIPS SITEPROP OLOGIC_X0Y75 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y76 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y76 CLASS site SITEPROP OLOGIC_X0Y76 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y76 IS_BONDED 0 SITEPROP OLOGIC_X0Y76 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y76 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y76 IS_PAD 0 SITEPROP OLOGIC_X0Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y76 IS_RESERVED 0 SITEPROP OLOGIC_X0Y76 IS_TEST 0 SITEPROP OLOGIC_X0Y76 IS_USED 0 SITEPROP OLOGIC_X0Y76 MANUAL_ROUTING SITEPROP OLOGIC_X0Y76 NAME OLOGIC_X0Y76 SITEPROP OLOGIC_X0Y76 NUM_ARCS 26 SITEPROP OLOGIC_X0Y76 NUM_BELS 3 SITEPROP OLOGIC_X0Y76 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y76 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y76 NUM_PINS 33 SITEPROP OLOGIC_X0Y76 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y76 PROHIBIT 0 SITEPROP OLOGIC_X0Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y76 RPM_X 3 SITEPROP OLOGIC_X0Y76 RPM_Y 150 SITEPROP OLOGIC_X0Y76 SITE_PIPS SITEPROP OLOGIC_X0Y76 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y77 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y77 CLASS site SITEPROP OLOGIC_X0Y77 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y77 IS_BONDED 0 SITEPROP OLOGIC_X0Y77 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y77 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y77 IS_PAD 0 SITEPROP OLOGIC_X0Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y77 IS_RESERVED 0 SITEPROP OLOGIC_X0Y77 IS_TEST 0 SITEPROP OLOGIC_X0Y77 IS_USED 0 SITEPROP OLOGIC_X0Y77 MANUAL_ROUTING SITEPROP OLOGIC_X0Y77 NAME OLOGIC_X0Y77 SITEPROP OLOGIC_X0Y77 NUM_ARCS 26 SITEPROP OLOGIC_X0Y77 NUM_BELS 3 SITEPROP OLOGIC_X0Y77 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y77 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y77 NUM_PINS 33 SITEPROP OLOGIC_X0Y77 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y77 PROHIBIT 0 SITEPROP OLOGIC_X0Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y77 RPM_X 3 SITEPROP OLOGIC_X0Y77 RPM_Y 155 SITEPROP OLOGIC_X0Y77 SITE_PIPS SITEPROP OLOGIC_X0Y77 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y78 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y78 CLASS site SITEPROP OLOGIC_X0Y78 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y78 IS_BONDED 0 SITEPROP OLOGIC_X0Y78 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y78 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y78 IS_PAD 0 SITEPROP OLOGIC_X0Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y78 IS_RESERVED 0 SITEPROP OLOGIC_X0Y78 IS_TEST 0 SITEPROP OLOGIC_X0Y78 IS_USED 0 SITEPROP OLOGIC_X0Y78 MANUAL_ROUTING SITEPROP OLOGIC_X0Y78 NAME OLOGIC_X0Y78 SITEPROP OLOGIC_X0Y78 NUM_ARCS 26 SITEPROP OLOGIC_X0Y78 NUM_BELS 3 SITEPROP OLOGIC_X0Y78 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y78 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y78 NUM_PINS 33 SITEPROP OLOGIC_X0Y78 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y78 PROHIBIT 0 SITEPROP OLOGIC_X0Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y78 RPM_X 3 SITEPROP OLOGIC_X0Y78 RPM_Y 154 SITEPROP OLOGIC_X0Y78 SITE_PIPS SITEPROP OLOGIC_X0Y78 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y79 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y79 CLASS site SITEPROP OLOGIC_X0Y79 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y79 IS_BONDED 0 SITEPROP OLOGIC_X0Y79 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y79 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y79 IS_PAD 0 SITEPROP OLOGIC_X0Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y79 IS_RESERVED 0 SITEPROP OLOGIC_X0Y79 IS_TEST 0 SITEPROP OLOGIC_X0Y79 IS_USED 0 SITEPROP OLOGIC_X0Y79 MANUAL_ROUTING SITEPROP OLOGIC_X0Y79 NAME OLOGIC_X0Y79 SITEPROP OLOGIC_X0Y79 NUM_ARCS 26 SITEPROP OLOGIC_X0Y79 NUM_BELS 3 SITEPROP OLOGIC_X0Y79 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y79 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y79 NUM_PINS 33 SITEPROP OLOGIC_X0Y79 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y79 PROHIBIT 0 SITEPROP OLOGIC_X0Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y79 RPM_X 3 SITEPROP OLOGIC_X0Y79 RPM_Y 159 SITEPROP OLOGIC_X0Y79 SITE_PIPS SITEPROP OLOGIC_X0Y79 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y80 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y80 CLASS site SITEPROP OLOGIC_X0Y80 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y80 IS_BONDED 0 SITEPROP OLOGIC_X0Y80 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y80 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y80 IS_PAD 0 SITEPROP OLOGIC_X0Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y80 IS_RESERVED 0 SITEPROP OLOGIC_X0Y80 IS_TEST 0 SITEPROP OLOGIC_X0Y80 IS_USED 0 SITEPROP OLOGIC_X0Y80 MANUAL_ROUTING SITEPROP OLOGIC_X0Y80 NAME OLOGIC_X0Y80 SITEPROP OLOGIC_X0Y80 NUM_ARCS 26 SITEPROP OLOGIC_X0Y80 NUM_BELS 3 SITEPROP OLOGIC_X0Y80 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y80 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y80 NUM_PINS 33 SITEPROP OLOGIC_X0Y80 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y80 PROHIBIT 0 SITEPROP OLOGIC_X0Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y80 RPM_X 3 SITEPROP OLOGIC_X0Y80 RPM_Y 158 SITEPROP OLOGIC_X0Y80 SITE_PIPS SITEPROP OLOGIC_X0Y80 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y81 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y81 CLASS site SITEPROP OLOGIC_X0Y81 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y81 IS_BONDED 0 SITEPROP OLOGIC_X0Y81 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y81 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y81 IS_PAD 0 SITEPROP OLOGIC_X0Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y81 IS_RESERVED 0 SITEPROP OLOGIC_X0Y81 IS_TEST 0 SITEPROP OLOGIC_X0Y81 IS_USED 0 SITEPROP OLOGIC_X0Y81 MANUAL_ROUTING SITEPROP OLOGIC_X0Y81 NAME OLOGIC_X0Y81 SITEPROP OLOGIC_X0Y81 NUM_ARCS 26 SITEPROP OLOGIC_X0Y81 NUM_BELS 3 SITEPROP OLOGIC_X0Y81 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y81 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y81 NUM_PINS 33 SITEPROP OLOGIC_X0Y81 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y81 PROHIBIT 0 SITEPROP OLOGIC_X0Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y81 RPM_X 3 SITEPROP OLOGIC_X0Y81 RPM_Y 163 SITEPROP OLOGIC_X0Y81 SITE_PIPS SITEPROP OLOGIC_X0Y81 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y82 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y82 CLASS site SITEPROP OLOGIC_X0Y82 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y82 IS_BONDED 0 SITEPROP OLOGIC_X0Y82 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y82 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y82 IS_PAD 0 SITEPROP OLOGIC_X0Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y82 IS_RESERVED 0 SITEPROP OLOGIC_X0Y82 IS_TEST 0 SITEPROP OLOGIC_X0Y82 IS_USED 0 SITEPROP OLOGIC_X0Y82 MANUAL_ROUTING SITEPROP OLOGIC_X0Y82 NAME OLOGIC_X0Y82 SITEPROP OLOGIC_X0Y82 NUM_ARCS 26 SITEPROP OLOGIC_X0Y82 NUM_BELS 3 SITEPROP OLOGIC_X0Y82 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y82 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y82 NUM_PINS 33 SITEPROP OLOGIC_X0Y82 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y82 PROHIBIT 0 SITEPROP OLOGIC_X0Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y82 RPM_X 3 SITEPROP OLOGIC_X0Y82 RPM_Y 162 SITEPROP OLOGIC_X0Y82 SITE_PIPS SITEPROP OLOGIC_X0Y82 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y83 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y83 CLASS site SITEPROP OLOGIC_X0Y83 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y83 IS_BONDED 0 SITEPROP OLOGIC_X0Y83 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y83 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y83 IS_PAD 0 SITEPROP OLOGIC_X0Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y83 IS_RESERVED 0 SITEPROP OLOGIC_X0Y83 IS_TEST 0 SITEPROP OLOGIC_X0Y83 IS_USED 0 SITEPROP OLOGIC_X0Y83 MANUAL_ROUTING SITEPROP OLOGIC_X0Y83 NAME OLOGIC_X0Y83 SITEPROP OLOGIC_X0Y83 NUM_ARCS 26 SITEPROP OLOGIC_X0Y83 NUM_BELS 3 SITEPROP OLOGIC_X0Y83 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y83 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y83 NUM_PINS 33 SITEPROP OLOGIC_X0Y83 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y83 PROHIBIT 0 SITEPROP OLOGIC_X0Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y83 RPM_X 3 SITEPROP OLOGIC_X0Y83 RPM_Y 167 SITEPROP OLOGIC_X0Y83 SITE_PIPS SITEPROP OLOGIC_X0Y83 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y84 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y84 CLASS site SITEPROP OLOGIC_X0Y84 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y84 IS_BONDED 0 SITEPROP OLOGIC_X0Y84 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y84 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y84 IS_PAD 0 SITEPROP OLOGIC_X0Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y84 IS_RESERVED 0 SITEPROP OLOGIC_X0Y84 IS_TEST 0 SITEPROP OLOGIC_X0Y84 IS_USED 0 SITEPROP OLOGIC_X0Y84 MANUAL_ROUTING SITEPROP OLOGIC_X0Y84 NAME OLOGIC_X0Y84 SITEPROP OLOGIC_X0Y84 NUM_ARCS 26 SITEPROP OLOGIC_X0Y84 NUM_BELS 3 SITEPROP OLOGIC_X0Y84 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y84 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y84 NUM_PINS 33 SITEPROP OLOGIC_X0Y84 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y84 PROHIBIT 0 SITEPROP OLOGIC_X0Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y84 RPM_X 3 SITEPROP OLOGIC_X0Y84 RPM_Y 166 SITEPROP OLOGIC_X0Y84 SITE_PIPS SITEPROP OLOGIC_X0Y84 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y85 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y85 CLASS site SITEPROP OLOGIC_X0Y85 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y85 IS_BONDED 0 SITEPROP OLOGIC_X0Y85 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y85 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y85 IS_PAD 0 SITEPROP OLOGIC_X0Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y85 IS_RESERVED 0 SITEPROP OLOGIC_X0Y85 IS_TEST 0 SITEPROP OLOGIC_X0Y85 IS_USED 0 SITEPROP OLOGIC_X0Y85 MANUAL_ROUTING SITEPROP OLOGIC_X0Y85 NAME OLOGIC_X0Y85 SITEPROP OLOGIC_X0Y85 NUM_ARCS 26 SITEPROP OLOGIC_X0Y85 NUM_BELS 3 SITEPROP OLOGIC_X0Y85 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y85 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y85 NUM_PINS 33 SITEPROP OLOGIC_X0Y85 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y85 PROHIBIT 0 SITEPROP OLOGIC_X0Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y85 RPM_X 3 SITEPROP OLOGIC_X0Y85 RPM_Y 171 SITEPROP OLOGIC_X0Y85 SITE_PIPS SITEPROP OLOGIC_X0Y85 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y86 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y86 CLASS site SITEPROP OLOGIC_X0Y86 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y86 IS_BONDED 0 SITEPROP OLOGIC_X0Y86 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y86 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y86 IS_PAD 0 SITEPROP OLOGIC_X0Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y86 IS_RESERVED 0 SITEPROP OLOGIC_X0Y86 IS_TEST 0 SITEPROP OLOGIC_X0Y86 IS_USED 0 SITEPROP OLOGIC_X0Y86 MANUAL_ROUTING SITEPROP OLOGIC_X0Y86 NAME OLOGIC_X0Y86 SITEPROP OLOGIC_X0Y86 NUM_ARCS 26 SITEPROP OLOGIC_X0Y86 NUM_BELS 3 SITEPROP OLOGIC_X0Y86 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y86 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y86 NUM_PINS 33 SITEPROP OLOGIC_X0Y86 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y86 PROHIBIT 0 SITEPROP OLOGIC_X0Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y86 RPM_X 3 SITEPROP OLOGIC_X0Y86 RPM_Y 170 SITEPROP OLOGIC_X0Y86 SITE_PIPS SITEPROP OLOGIC_X0Y86 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y87 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y87 CLASS site SITEPROP OLOGIC_X0Y87 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y87 IS_BONDED 0 SITEPROP OLOGIC_X0Y87 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y87 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y87 IS_PAD 0 SITEPROP OLOGIC_X0Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y87 IS_RESERVED 0 SITEPROP OLOGIC_X0Y87 IS_TEST 0 SITEPROP OLOGIC_X0Y87 IS_USED 0 SITEPROP OLOGIC_X0Y87 MANUAL_ROUTING SITEPROP OLOGIC_X0Y87 NAME OLOGIC_X0Y87 SITEPROP OLOGIC_X0Y87 NUM_ARCS 26 SITEPROP OLOGIC_X0Y87 NUM_BELS 3 SITEPROP OLOGIC_X0Y87 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y87 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y87 NUM_PINS 33 SITEPROP OLOGIC_X0Y87 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y87 PROHIBIT 0 SITEPROP OLOGIC_X0Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y87 RPM_X 3 SITEPROP OLOGIC_X0Y87 RPM_Y 175 SITEPROP OLOGIC_X0Y87 SITE_PIPS SITEPROP OLOGIC_X0Y87 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y88 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y88 CLASS site SITEPROP OLOGIC_X0Y88 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y88 IS_BONDED 0 SITEPROP OLOGIC_X0Y88 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y88 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y88 IS_PAD 0 SITEPROP OLOGIC_X0Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y88 IS_RESERVED 0 SITEPROP OLOGIC_X0Y88 IS_TEST 0 SITEPROP OLOGIC_X0Y88 IS_USED 0 SITEPROP OLOGIC_X0Y88 MANUAL_ROUTING SITEPROP OLOGIC_X0Y88 NAME OLOGIC_X0Y88 SITEPROP OLOGIC_X0Y88 NUM_ARCS 26 SITEPROP OLOGIC_X0Y88 NUM_BELS 3 SITEPROP OLOGIC_X0Y88 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y88 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y88 NUM_PINS 33 SITEPROP OLOGIC_X0Y88 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y88 PROHIBIT 0 SITEPROP OLOGIC_X0Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y88 RPM_X 3 SITEPROP OLOGIC_X0Y88 RPM_Y 174 SITEPROP OLOGIC_X0Y88 SITE_PIPS SITEPROP OLOGIC_X0Y88 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y89 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y89 CLASS site SITEPROP OLOGIC_X0Y89 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y89 IS_BONDED 0 SITEPROP OLOGIC_X0Y89 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y89 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y89 IS_PAD 0 SITEPROP OLOGIC_X0Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y89 IS_RESERVED 0 SITEPROP OLOGIC_X0Y89 IS_TEST 0 SITEPROP OLOGIC_X0Y89 IS_USED 0 SITEPROP OLOGIC_X0Y89 MANUAL_ROUTING SITEPROP OLOGIC_X0Y89 NAME OLOGIC_X0Y89 SITEPROP OLOGIC_X0Y89 NUM_ARCS 26 SITEPROP OLOGIC_X0Y89 NUM_BELS 3 SITEPROP OLOGIC_X0Y89 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y89 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y89 NUM_PINS 33 SITEPROP OLOGIC_X0Y89 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y89 PROHIBIT 0 SITEPROP OLOGIC_X0Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y89 RPM_X 3 SITEPROP OLOGIC_X0Y89 RPM_Y 179 SITEPROP OLOGIC_X0Y89 SITE_PIPS SITEPROP OLOGIC_X0Y89 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y90 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y90 CLASS site SITEPROP OLOGIC_X0Y90 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y90 IS_BONDED 0 SITEPROP OLOGIC_X0Y90 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y90 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y90 IS_PAD 0 SITEPROP OLOGIC_X0Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y90 IS_RESERVED 0 SITEPROP OLOGIC_X0Y90 IS_TEST 0 SITEPROP OLOGIC_X0Y90 IS_USED 0 SITEPROP OLOGIC_X0Y90 MANUAL_ROUTING SITEPROP OLOGIC_X0Y90 NAME OLOGIC_X0Y90 SITEPROP OLOGIC_X0Y90 NUM_ARCS 26 SITEPROP OLOGIC_X0Y90 NUM_BELS 3 SITEPROP OLOGIC_X0Y90 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y90 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y90 NUM_PINS 33 SITEPROP OLOGIC_X0Y90 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y90 PROHIBIT 0 SITEPROP OLOGIC_X0Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y90 RPM_X 3 SITEPROP OLOGIC_X0Y90 RPM_Y 178 SITEPROP OLOGIC_X0Y90 SITE_PIPS SITEPROP OLOGIC_X0Y90 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y91 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y91 CLASS site SITEPROP OLOGIC_X0Y91 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y91 IS_BONDED 0 SITEPROP OLOGIC_X0Y91 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y91 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y91 IS_PAD 0 SITEPROP OLOGIC_X0Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y91 IS_RESERVED 0 SITEPROP OLOGIC_X0Y91 IS_TEST 0 SITEPROP OLOGIC_X0Y91 IS_USED 0 SITEPROP OLOGIC_X0Y91 MANUAL_ROUTING SITEPROP OLOGIC_X0Y91 NAME OLOGIC_X0Y91 SITEPROP OLOGIC_X0Y91 NUM_ARCS 26 SITEPROP OLOGIC_X0Y91 NUM_BELS 3 SITEPROP OLOGIC_X0Y91 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y91 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y91 NUM_PINS 33 SITEPROP OLOGIC_X0Y91 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y91 PROHIBIT 0 SITEPROP OLOGIC_X0Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y91 RPM_X 3 SITEPROP OLOGIC_X0Y91 RPM_Y 183 SITEPROP OLOGIC_X0Y91 SITE_PIPS SITEPROP OLOGIC_X0Y91 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y92 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y92 CLASS site SITEPROP OLOGIC_X0Y92 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y92 IS_BONDED 0 SITEPROP OLOGIC_X0Y92 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y92 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y92 IS_PAD 0 SITEPROP OLOGIC_X0Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y92 IS_RESERVED 0 SITEPROP OLOGIC_X0Y92 IS_TEST 0 SITEPROP OLOGIC_X0Y92 IS_USED 0 SITEPROP OLOGIC_X0Y92 MANUAL_ROUTING SITEPROP OLOGIC_X0Y92 NAME OLOGIC_X0Y92 SITEPROP OLOGIC_X0Y92 NUM_ARCS 26 SITEPROP OLOGIC_X0Y92 NUM_BELS 3 SITEPROP OLOGIC_X0Y92 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y92 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y92 NUM_PINS 33 SITEPROP OLOGIC_X0Y92 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y92 PROHIBIT 0 SITEPROP OLOGIC_X0Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y92 RPM_X 3 SITEPROP OLOGIC_X0Y92 RPM_Y 182 SITEPROP OLOGIC_X0Y92 SITE_PIPS SITEPROP OLOGIC_X0Y92 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y93 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y93 CLASS site SITEPROP OLOGIC_X0Y93 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y93 IS_BONDED 0 SITEPROP OLOGIC_X0Y93 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y93 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y93 IS_PAD 0 SITEPROP OLOGIC_X0Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y93 IS_RESERVED 0 SITEPROP OLOGIC_X0Y93 IS_TEST 0 SITEPROP OLOGIC_X0Y93 IS_USED 0 SITEPROP OLOGIC_X0Y93 MANUAL_ROUTING SITEPROP OLOGIC_X0Y93 NAME OLOGIC_X0Y93 SITEPROP OLOGIC_X0Y93 NUM_ARCS 26 SITEPROP OLOGIC_X0Y93 NUM_BELS 3 SITEPROP OLOGIC_X0Y93 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y93 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y93 NUM_PINS 33 SITEPROP OLOGIC_X0Y93 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y93 PROHIBIT 0 SITEPROP OLOGIC_X0Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y93 RPM_X 3 SITEPROP OLOGIC_X0Y93 RPM_Y 187 SITEPROP OLOGIC_X0Y93 SITE_PIPS SITEPROP OLOGIC_X0Y93 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y94 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y94 CLASS site SITEPROP OLOGIC_X0Y94 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y94 IS_BONDED 0 SITEPROP OLOGIC_X0Y94 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y94 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y94 IS_PAD 0 SITEPROP OLOGIC_X0Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y94 IS_RESERVED 0 SITEPROP OLOGIC_X0Y94 IS_TEST 0 SITEPROP OLOGIC_X0Y94 IS_USED 0 SITEPROP OLOGIC_X0Y94 MANUAL_ROUTING SITEPROP OLOGIC_X0Y94 NAME OLOGIC_X0Y94 SITEPROP OLOGIC_X0Y94 NUM_ARCS 26 SITEPROP OLOGIC_X0Y94 NUM_BELS 3 SITEPROP OLOGIC_X0Y94 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y94 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y94 NUM_PINS 33 SITEPROP OLOGIC_X0Y94 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y94 PROHIBIT 0 SITEPROP OLOGIC_X0Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y94 RPM_X 3 SITEPROP OLOGIC_X0Y94 RPM_Y 186 SITEPROP OLOGIC_X0Y94 SITE_PIPS SITEPROP OLOGIC_X0Y94 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y95 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y95 CLASS site SITEPROP OLOGIC_X0Y95 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y95 IS_BONDED 0 SITEPROP OLOGIC_X0Y95 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y95 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y95 IS_PAD 0 SITEPROP OLOGIC_X0Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y95 IS_RESERVED 0 SITEPROP OLOGIC_X0Y95 IS_TEST 0 SITEPROP OLOGIC_X0Y95 IS_USED 0 SITEPROP OLOGIC_X0Y95 MANUAL_ROUTING SITEPROP OLOGIC_X0Y95 NAME OLOGIC_X0Y95 SITEPROP OLOGIC_X0Y95 NUM_ARCS 26 SITEPROP OLOGIC_X0Y95 NUM_BELS 3 SITEPROP OLOGIC_X0Y95 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y95 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y95 NUM_PINS 33 SITEPROP OLOGIC_X0Y95 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y95 PROHIBIT 0 SITEPROP OLOGIC_X0Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y95 RPM_X 3 SITEPROP OLOGIC_X0Y95 RPM_Y 191 SITEPROP OLOGIC_X0Y95 SITE_PIPS SITEPROP OLOGIC_X0Y95 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y96 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y96 CLASS site SITEPROP OLOGIC_X0Y96 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y96 IS_BONDED 0 SITEPROP OLOGIC_X0Y96 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y96 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y96 IS_PAD 0 SITEPROP OLOGIC_X0Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y96 IS_RESERVED 0 SITEPROP OLOGIC_X0Y96 IS_TEST 0 SITEPROP OLOGIC_X0Y96 IS_USED 0 SITEPROP OLOGIC_X0Y96 MANUAL_ROUTING SITEPROP OLOGIC_X0Y96 NAME OLOGIC_X0Y96 SITEPROP OLOGIC_X0Y96 NUM_ARCS 26 SITEPROP OLOGIC_X0Y96 NUM_BELS 3 SITEPROP OLOGIC_X0Y96 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y96 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y96 NUM_PINS 33 SITEPROP OLOGIC_X0Y96 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y96 PROHIBIT 0 SITEPROP OLOGIC_X0Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y96 RPM_X 3 SITEPROP OLOGIC_X0Y96 RPM_Y 190 SITEPROP OLOGIC_X0Y96 SITE_PIPS SITEPROP OLOGIC_X0Y96 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y97 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y97 CLASS site SITEPROP OLOGIC_X0Y97 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y97 IS_BONDED 0 SITEPROP OLOGIC_X0Y97 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y97 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y97 IS_PAD 0 SITEPROP OLOGIC_X0Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y97 IS_RESERVED 0 SITEPROP OLOGIC_X0Y97 IS_TEST 0 SITEPROP OLOGIC_X0Y97 IS_USED 0 SITEPROP OLOGIC_X0Y97 MANUAL_ROUTING SITEPROP OLOGIC_X0Y97 NAME OLOGIC_X0Y97 SITEPROP OLOGIC_X0Y97 NUM_ARCS 26 SITEPROP OLOGIC_X0Y97 NUM_BELS 3 SITEPROP OLOGIC_X0Y97 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y97 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y97 NUM_PINS 33 SITEPROP OLOGIC_X0Y97 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y97 PROHIBIT 0 SITEPROP OLOGIC_X0Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y97 RPM_X 3 SITEPROP OLOGIC_X0Y97 RPM_Y 195 SITEPROP OLOGIC_X0Y97 SITE_PIPS SITEPROP OLOGIC_X0Y97 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y98 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y98 CLASS site SITEPROP OLOGIC_X0Y98 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y98 IS_BONDED 0 SITEPROP OLOGIC_X0Y98 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y98 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y98 IS_PAD 0 SITEPROP OLOGIC_X0Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y98 IS_RESERVED 0 SITEPROP OLOGIC_X0Y98 IS_TEST 0 SITEPROP OLOGIC_X0Y98 IS_USED 0 SITEPROP OLOGIC_X0Y98 MANUAL_ROUTING SITEPROP OLOGIC_X0Y98 NAME OLOGIC_X0Y98 SITEPROP OLOGIC_X0Y98 NUM_ARCS 26 SITEPROP OLOGIC_X0Y98 NUM_BELS 3 SITEPROP OLOGIC_X0Y98 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y98 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y98 NUM_PINS 33 SITEPROP OLOGIC_X0Y98 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y98 PROHIBIT 0 SITEPROP OLOGIC_X0Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y98 RPM_X 3 SITEPROP OLOGIC_X0Y98 RPM_Y 194 SITEPROP OLOGIC_X0Y98 SITE_PIPS SITEPROP OLOGIC_X0Y98 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y99 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y99 CLASS site SITEPROP OLOGIC_X0Y99 CLOCK_REGION X0Y1 SITEPROP OLOGIC_X0Y99 IS_BONDED 0 SITEPROP OLOGIC_X0Y99 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y99 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y99 IS_PAD 0 SITEPROP OLOGIC_X0Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y99 IS_RESERVED 0 SITEPROP OLOGIC_X0Y99 IS_TEST 0 SITEPROP OLOGIC_X0Y99 IS_USED 0 SITEPROP OLOGIC_X0Y99 MANUAL_ROUTING SITEPROP OLOGIC_X0Y99 NAME OLOGIC_X0Y99 SITEPROP OLOGIC_X0Y99 NUM_ARCS 26 SITEPROP OLOGIC_X0Y99 NUM_BELS 3 SITEPROP OLOGIC_X0Y99 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y99 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y99 NUM_PINS 33 SITEPROP OLOGIC_X0Y99 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y99 PROHIBIT 0 SITEPROP OLOGIC_X0Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y99 RPM_X 3 SITEPROP OLOGIC_X0Y99 RPM_Y 198 SITEPROP OLOGIC_X0Y99 SITE_PIPS SITEPROP OLOGIC_X0Y99 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y100 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y100 CLASS site SITEPROP OLOGIC_X0Y100 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y100 IS_BONDED 0 SITEPROP OLOGIC_X0Y100 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y100 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y100 IS_PAD 0 SITEPROP OLOGIC_X0Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y100 IS_RESERVED 0 SITEPROP OLOGIC_X0Y100 IS_TEST 0 SITEPROP OLOGIC_X0Y100 IS_USED 0 SITEPROP OLOGIC_X0Y100 MANUAL_ROUTING SITEPROP OLOGIC_X0Y100 NAME OLOGIC_X0Y100 SITEPROP OLOGIC_X0Y100 NUM_ARCS 26 SITEPROP OLOGIC_X0Y100 NUM_BELS 3 SITEPROP OLOGIC_X0Y100 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y100 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y100 NUM_PINS 33 SITEPROP OLOGIC_X0Y100 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y100 PROHIBIT 0 SITEPROP OLOGIC_X0Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y100 RPM_X 3 SITEPROP OLOGIC_X0Y100 RPM_Y 200 SITEPROP OLOGIC_X0Y100 SITE_PIPS SITEPROP OLOGIC_X0Y100 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y101 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y101 CLASS site SITEPROP OLOGIC_X0Y101 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y101 IS_BONDED 0 SITEPROP OLOGIC_X0Y101 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y101 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y101 IS_PAD 0 SITEPROP OLOGIC_X0Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y101 IS_RESERVED 0 SITEPROP OLOGIC_X0Y101 IS_TEST 0 SITEPROP OLOGIC_X0Y101 IS_USED 0 SITEPROP OLOGIC_X0Y101 MANUAL_ROUTING SITEPROP OLOGIC_X0Y101 NAME OLOGIC_X0Y101 SITEPROP OLOGIC_X0Y101 NUM_ARCS 26 SITEPROP OLOGIC_X0Y101 NUM_BELS 3 SITEPROP OLOGIC_X0Y101 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y101 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y101 NUM_PINS 33 SITEPROP OLOGIC_X0Y101 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y101 PROHIBIT 0 SITEPROP OLOGIC_X0Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y101 RPM_X 3 SITEPROP OLOGIC_X0Y101 RPM_Y 203 SITEPROP OLOGIC_X0Y101 SITE_PIPS SITEPROP OLOGIC_X0Y101 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y102 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y102 CLASS site SITEPROP OLOGIC_X0Y102 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y102 IS_BONDED 0 SITEPROP OLOGIC_X0Y102 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y102 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y102 IS_PAD 0 SITEPROP OLOGIC_X0Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y102 IS_RESERVED 0 SITEPROP OLOGIC_X0Y102 IS_TEST 0 SITEPROP OLOGIC_X0Y102 IS_USED 0 SITEPROP OLOGIC_X0Y102 MANUAL_ROUTING SITEPROP OLOGIC_X0Y102 NAME OLOGIC_X0Y102 SITEPROP OLOGIC_X0Y102 NUM_ARCS 26 SITEPROP OLOGIC_X0Y102 NUM_BELS 3 SITEPROP OLOGIC_X0Y102 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y102 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y102 NUM_PINS 33 SITEPROP OLOGIC_X0Y102 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y102 PROHIBIT 0 SITEPROP OLOGIC_X0Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y102 RPM_X 3 SITEPROP OLOGIC_X0Y102 RPM_Y 202 SITEPROP OLOGIC_X0Y102 SITE_PIPS SITEPROP OLOGIC_X0Y102 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y103 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y103 CLASS site SITEPROP OLOGIC_X0Y103 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y103 IS_BONDED 0 SITEPROP OLOGIC_X0Y103 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y103 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y103 IS_PAD 0 SITEPROP OLOGIC_X0Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y103 IS_RESERVED 0 SITEPROP OLOGIC_X0Y103 IS_TEST 0 SITEPROP OLOGIC_X0Y103 IS_USED 0 SITEPROP OLOGIC_X0Y103 MANUAL_ROUTING SITEPROP OLOGIC_X0Y103 NAME OLOGIC_X0Y103 SITEPROP OLOGIC_X0Y103 NUM_ARCS 26 SITEPROP OLOGIC_X0Y103 NUM_BELS 3 SITEPROP OLOGIC_X0Y103 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y103 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y103 NUM_PINS 33 SITEPROP OLOGIC_X0Y103 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y103 PROHIBIT 0 SITEPROP OLOGIC_X0Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y103 RPM_X 3 SITEPROP OLOGIC_X0Y103 RPM_Y 207 SITEPROP OLOGIC_X0Y103 SITE_PIPS SITEPROP OLOGIC_X0Y103 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y104 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y104 CLASS site SITEPROP OLOGIC_X0Y104 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y104 IS_BONDED 0 SITEPROP OLOGIC_X0Y104 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y104 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y104 IS_PAD 0 SITEPROP OLOGIC_X0Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y104 IS_RESERVED 0 SITEPROP OLOGIC_X0Y104 IS_TEST 0 SITEPROP OLOGIC_X0Y104 IS_USED 0 SITEPROP OLOGIC_X0Y104 MANUAL_ROUTING SITEPROP OLOGIC_X0Y104 NAME OLOGIC_X0Y104 SITEPROP OLOGIC_X0Y104 NUM_ARCS 26 SITEPROP OLOGIC_X0Y104 NUM_BELS 3 SITEPROP OLOGIC_X0Y104 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y104 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y104 NUM_PINS 33 SITEPROP OLOGIC_X0Y104 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y104 PROHIBIT 0 SITEPROP OLOGIC_X0Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y104 RPM_X 3 SITEPROP OLOGIC_X0Y104 RPM_Y 206 SITEPROP OLOGIC_X0Y104 SITE_PIPS SITEPROP OLOGIC_X0Y104 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y105 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y105 CLASS site SITEPROP OLOGIC_X0Y105 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y105 IS_BONDED 0 SITEPROP OLOGIC_X0Y105 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y105 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y105 IS_PAD 0 SITEPROP OLOGIC_X0Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y105 IS_RESERVED 0 SITEPROP OLOGIC_X0Y105 IS_TEST 0 SITEPROP OLOGIC_X0Y105 IS_USED 0 SITEPROP OLOGIC_X0Y105 MANUAL_ROUTING SITEPROP OLOGIC_X0Y105 NAME OLOGIC_X0Y105 SITEPROP OLOGIC_X0Y105 NUM_ARCS 26 SITEPROP OLOGIC_X0Y105 NUM_BELS 3 SITEPROP OLOGIC_X0Y105 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y105 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y105 NUM_PINS 33 SITEPROP OLOGIC_X0Y105 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y105 PROHIBIT 0 SITEPROP OLOGIC_X0Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y105 RPM_X 3 SITEPROP OLOGIC_X0Y105 RPM_Y 211 SITEPROP OLOGIC_X0Y105 SITE_PIPS SITEPROP OLOGIC_X0Y105 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y106 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y106 CLASS site SITEPROP OLOGIC_X0Y106 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y106 IS_BONDED 0 SITEPROP OLOGIC_X0Y106 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y106 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y106 IS_PAD 0 SITEPROP OLOGIC_X0Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y106 IS_RESERVED 0 SITEPROP OLOGIC_X0Y106 IS_TEST 0 SITEPROP OLOGIC_X0Y106 IS_USED 0 SITEPROP OLOGIC_X0Y106 MANUAL_ROUTING SITEPROP OLOGIC_X0Y106 NAME OLOGIC_X0Y106 SITEPROP OLOGIC_X0Y106 NUM_ARCS 26 SITEPROP OLOGIC_X0Y106 NUM_BELS 3 SITEPROP OLOGIC_X0Y106 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y106 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y106 NUM_PINS 33 SITEPROP OLOGIC_X0Y106 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y106 PROHIBIT 0 SITEPROP OLOGIC_X0Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y106 RPM_X 3 SITEPROP OLOGIC_X0Y106 RPM_Y 210 SITEPROP OLOGIC_X0Y106 SITE_PIPS SITEPROP OLOGIC_X0Y106 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y107 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y107 CLASS site SITEPROP OLOGIC_X0Y107 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y107 IS_BONDED 0 SITEPROP OLOGIC_X0Y107 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y107 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y107 IS_PAD 0 SITEPROP OLOGIC_X0Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y107 IS_RESERVED 0 SITEPROP OLOGIC_X0Y107 IS_TEST 0 SITEPROP OLOGIC_X0Y107 IS_USED 0 SITEPROP OLOGIC_X0Y107 MANUAL_ROUTING SITEPROP OLOGIC_X0Y107 NAME OLOGIC_X0Y107 SITEPROP OLOGIC_X0Y107 NUM_ARCS 26 SITEPROP OLOGIC_X0Y107 NUM_BELS 3 SITEPROP OLOGIC_X0Y107 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y107 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y107 NUM_PINS 33 SITEPROP OLOGIC_X0Y107 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y107 PROHIBIT 0 SITEPROP OLOGIC_X0Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y107 RPM_X 3 SITEPROP OLOGIC_X0Y107 RPM_Y 215 SITEPROP OLOGIC_X0Y107 SITE_PIPS SITEPROP OLOGIC_X0Y107 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y108 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y108 CLASS site SITEPROP OLOGIC_X0Y108 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y108 IS_BONDED 0 SITEPROP OLOGIC_X0Y108 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y108 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y108 IS_PAD 0 SITEPROP OLOGIC_X0Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y108 IS_RESERVED 0 SITEPROP OLOGIC_X0Y108 IS_TEST 0 SITEPROP OLOGIC_X0Y108 IS_USED 0 SITEPROP OLOGIC_X0Y108 MANUAL_ROUTING SITEPROP OLOGIC_X0Y108 NAME OLOGIC_X0Y108 SITEPROP OLOGIC_X0Y108 NUM_ARCS 26 SITEPROP OLOGIC_X0Y108 NUM_BELS 3 SITEPROP OLOGIC_X0Y108 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y108 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y108 NUM_PINS 33 SITEPROP OLOGIC_X0Y108 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y108 PROHIBIT 0 SITEPROP OLOGIC_X0Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y108 RPM_X 3 SITEPROP OLOGIC_X0Y108 RPM_Y 214 SITEPROP OLOGIC_X0Y108 SITE_PIPS SITEPROP OLOGIC_X0Y108 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y109 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y109 CLASS site SITEPROP OLOGIC_X0Y109 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y109 IS_BONDED 0 SITEPROP OLOGIC_X0Y109 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y109 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y109 IS_PAD 0 SITEPROP OLOGIC_X0Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y109 IS_RESERVED 0 SITEPROP OLOGIC_X0Y109 IS_TEST 0 SITEPROP OLOGIC_X0Y109 IS_USED 0 SITEPROP OLOGIC_X0Y109 MANUAL_ROUTING SITEPROP OLOGIC_X0Y109 NAME OLOGIC_X0Y109 SITEPROP OLOGIC_X0Y109 NUM_ARCS 26 SITEPROP OLOGIC_X0Y109 NUM_BELS 3 SITEPROP OLOGIC_X0Y109 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y109 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y109 NUM_PINS 33 SITEPROP OLOGIC_X0Y109 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y109 PROHIBIT 0 SITEPROP OLOGIC_X0Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y109 RPM_X 3 SITEPROP OLOGIC_X0Y109 RPM_Y 219 SITEPROP OLOGIC_X0Y109 SITE_PIPS SITEPROP OLOGIC_X0Y109 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y110 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y110 CLASS site SITEPROP OLOGIC_X0Y110 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y110 IS_BONDED 0 SITEPROP OLOGIC_X0Y110 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y110 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y110 IS_PAD 0 SITEPROP OLOGIC_X0Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y110 IS_RESERVED 0 SITEPROP OLOGIC_X0Y110 IS_TEST 0 SITEPROP OLOGIC_X0Y110 IS_USED 0 SITEPROP OLOGIC_X0Y110 MANUAL_ROUTING SITEPROP OLOGIC_X0Y110 NAME OLOGIC_X0Y110 SITEPROP OLOGIC_X0Y110 NUM_ARCS 26 SITEPROP OLOGIC_X0Y110 NUM_BELS 3 SITEPROP OLOGIC_X0Y110 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y110 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y110 NUM_PINS 33 SITEPROP OLOGIC_X0Y110 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y110 PROHIBIT 0 SITEPROP OLOGIC_X0Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y110 RPM_X 3 SITEPROP OLOGIC_X0Y110 RPM_Y 218 SITEPROP OLOGIC_X0Y110 SITE_PIPS SITEPROP OLOGIC_X0Y110 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y111 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y111 CLASS site SITEPROP OLOGIC_X0Y111 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y111 IS_BONDED 0 SITEPROP OLOGIC_X0Y111 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y111 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y111 IS_PAD 0 SITEPROP OLOGIC_X0Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y111 IS_RESERVED 0 SITEPROP OLOGIC_X0Y111 IS_TEST 0 SITEPROP OLOGIC_X0Y111 IS_USED 0 SITEPROP OLOGIC_X0Y111 MANUAL_ROUTING SITEPROP OLOGIC_X0Y111 NAME OLOGIC_X0Y111 SITEPROP OLOGIC_X0Y111 NUM_ARCS 26 SITEPROP OLOGIC_X0Y111 NUM_BELS 3 SITEPROP OLOGIC_X0Y111 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y111 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y111 NUM_PINS 33 SITEPROP OLOGIC_X0Y111 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y111 PROHIBIT 0 SITEPROP OLOGIC_X0Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y111 RPM_X 3 SITEPROP OLOGIC_X0Y111 RPM_Y 223 SITEPROP OLOGIC_X0Y111 SITE_PIPS SITEPROP OLOGIC_X0Y111 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y112 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y112 CLASS site SITEPROP OLOGIC_X0Y112 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y112 IS_BONDED 0 SITEPROP OLOGIC_X0Y112 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y112 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y112 IS_PAD 0 SITEPROP OLOGIC_X0Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y112 IS_RESERVED 0 SITEPROP OLOGIC_X0Y112 IS_TEST 0 SITEPROP OLOGIC_X0Y112 IS_USED 0 SITEPROP OLOGIC_X0Y112 MANUAL_ROUTING SITEPROP OLOGIC_X0Y112 NAME OLOGIC_X0Y112 SITEPROP OLOGIC_X0Y112 NUM_ARCS 26 SITEPROP OLOGIC_X0Y112 NUM_BELS 3 SITEPROP OLOGIC_X0Y112 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y112 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y112 NUM_PINS 33 SITEPROP OLOGIC_X0Y112 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y112 PROHIBIT 0 SITEPROP OLOGIC_X0Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y112 RPM_X 3 SITEPROP OLOGIC_X0Y112 RPM_Y 222 SITEPROP OLOGIC_X0Y112 SITE_PIPS SITEPROP OLOGIC_X0Y112 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y113 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y113 CLASS site SITEPROP OLOGIC_X0Y113 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y113 IS_BONDED 0 SITEPROP OLOGIC_X0Y113 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y113 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y113 IS_PAD 0 SITEPROP OLOGIC_X0Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y113 IS_RESERVED 0 SITEPROP OLOGIC_X0Y113 IS_TEST 0 SITEPROP OLOGIC_X0Y113 IS_USED 0 SITEPROP OLOGIC_X0Y113 MANUAL_ROUTING SITEPROP OLOGIC_X0Y113 NAME OLOGIC_X0Y113 SITEPROP OLOGIC_X0Y113 NUM_ARCS 26 SITEPROP OLOGIC_X0Y113 NUM_BELS 3 SITEPROP OLOGIC_X0Y113 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y113 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y113 NUM_PINS 33 SITEPROP OLOGIC_X0Y113 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y113 PROHIBIT 0 SITEPROP OLOGIC_X0Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y113 RPM_X 3 SITEPROP OLOGIC_X0Y113 RPM_Y 227 SITEPROP OLOGIC_X0Y113 SITE_PIPS SITEPROP OLOGIC_X0Y113 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y114 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y114 CLASS site SITEPROP OLOGIC_X0Y114 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y114 IS_BONDED 0 SITEPROP OLOGIC_X0Y114 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y114 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y114 IS_PAD 0 SITEPROP OLOGIC_X0Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y114 IS_RESERVED 0 SITEPROP OLOGIC_X0Y114 IS_TEST 0 SITEPROP OLOGIC_X0Y114 IS_USED 0 SITEPROP OLOGIC_X0Y114 MANUAL_ROUTING SITEPROP OLOGIC_X0Y114 NAME OLOGIC_X0Y114 SITEPROP OLOGIC_X0Y114 NUM_ARCS 26 SITEPROP OLOGIC_X0Y114 NUM_BELS 3 SITEPROP OLOGIC_X0Y114 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y114 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y114 NUM_PINS 33 SITEPROP OLOGIC_X0Y114 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y114 PROHIBIT 0 SITEPROP OLOGIC_X0Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y114 RPM_X 3 SITEPROP OLOGIC_X0Y114 RPM_Y 226 SITEPROP OLOGIC_X0Y114 SITE_PIPS SITEPROP OLOGIC_X0Y114 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y115 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y115 CLASS site SITEPROP OLOGIC_X0Y115 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y115 IS_BONDED 0 SITEPROP OLOGIC_X0Y115 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y115 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y115 IS_PAD 0 SITEPROP OLOGIC_X0Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y115 IS_RESERVED 0 SITEPROP OLOGIC_X0Y115 IS_TEST 0 SITEPROP OLOGIC_X0Y115 IS_USED 0 SITEPROP OLOGIC_X0Y115 MANUAL_ROUTING SITEPROP OLOGIC_X0Y115 NAME OLOGIC_X0Y115 SITEPROP OLOGIC_X0Y115 NUM_ARCS 26 SITEPROP OLOGIC_X0Y115 NUM_BELS 3 SITEPROP OLOGIC_X0Y115 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y115 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y115 NUM_PINS 33 SITEPROP OLOGIC_X0Y115 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y115 PROHIBIT 0 SITEPROP OLOGIC_X0Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y115 RPM_X 3 SITEPROP OLOGIC_X0Y115 RPM_Y 231 SITEPROP OLOGIC_X0Y115 SITE_PIPS SITEPROP OLOGIC_X0Y115 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y116 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y116 CLASS site SITEPROP OLOGIC_X0Y116 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y116 IS_BONDED 0 SITEPROP OLOGIC_X0Y116 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y116 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y116 IS_PAD 0 SITEPROP OLOGIC_X0Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y116 IS_RESERVED 0 SITEPROP OLOGIC_X0Y116 IS_TEST 0 SITEPROP OLOGIC_X0Y116 IS_USED 0 SITEPROP OLOGIC_X0Y116 MANUAL_ROUTING SITEPROP OLOGIC_X0Y116 NAME OLOGIC_X0Y116 SITEPROP OLOGIC_X0Y116 NUM_ARCS 26 SITEPROP OLOGIC_X0Y116 NUM_BELS 3 SITEPROP OLOGIC_X0Y116 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y116 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y116 NUM_PINS 33 SITEPROP OLOGIC_X0Y116 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y116 PROHIBIT 0 SITEPROP OLOGIC_X0Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y116 RPM_X 3 SITEPROP OLOGIC_X0Y116 RPM_Y 230 SITEPROP OLOGIC_X0Y116 SITE_PIPS SITEPROP OLOGIC_X0Y116 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y117 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y117 CLASS site SITEPROP OLOGIC_X0Y117 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y117 IS_BONDED 0 SITEPROP OLOGIC_X0Y117 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y117 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y117 IS_PAD 0 SITEPROP OLOGIC_X0Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y117 IS_RESERVED 0 SITEPROP OLOGIC_X0Y117 IS_TEST 0 SITEPROP OLOGIC_X0Y117 IS_USED 0 SITEPROP OLOGIC_X0Y117 MANUAL_ROUTING SITEPROP OLOGIC_X0Y117 NAME OLOGIC_X0Y117 SITEPROP OLOGIC_X0Y117 NUM_ARCS 26 SITEPROP OLOGIC_X0Y117 NUM_BELS 3 SITEPROP OLOGIC_X0Y117 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y117 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y117 NUM_PINS 33 SITEPROP OLOGIC_X0Y117 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y117 PROHIBIT 0 SITEPROP OLOGIC_X0Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y117 RPM_X 3 SITEPROP OLOGIC_X0Y117 RPM_Y 235 SITEPROP OLOGIC_X0Y117 SITE_PIPS SITEPROP OLOGIC_X0Y117 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y118 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y118 CLASS site SITEPROP OLOGIC_X0Y118 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y118 IS_BONDED 0 SITEPROP OLOGIC_X0Y118 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y118 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y118 IS_PAD 0 SITEPROP OLOGIC_X0Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y118 IS_RESERVED 0 SITEPROP OLOGIC_X0Y118 IS_TEST 0 SITEPROP OLOGIC_X0Y118 IS_USED 0 SITEPROP OLOGIC_X0Y118 MANUAL_ROUTING SITEPROP OLOGIC_X0Y118 NAME OLOGIC_X0Y118 SITEPROP OLOGIC_X0Y118 NUM_ARCS 26 SITEPROP OLOGIC_X0Y118 NUM_BELS 3 SITEPROP OLOGIC_X0Y118 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y118 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y118 NUM_PINS 33 SITEPROP OLOGIC_X0Y118 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y118 PROHIBIT 0 SITEPROP OLOGIC_X0Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y118 RPM_X 3 SITEPROP OLOGIC_X0Y118 RPM_Y 234 SITEPROP OLOGIC_X0Y118 SITE_PIPS SITEPROP OLOGIC_X0Y118 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y119 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y119 CLASS site SITEPROP OLOGIC_X0Y119 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y119 IS_BONDED 0 SITEPROP OLOGIC_X0Y119 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y119 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y119 IS_PAD 0 SITEPROP OLOGIC_X0Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y119 IS_RESERVED 0 SITEPROP OLOGIC_X0Y119 IS_TEST 0 SITEPROP OLOGIC_X0Y119 IS_USED 0 SITEPROP OLOGIC_X0Y119 MANUAL_ROUTING SITEPROP OLOGIC_X0Y119 NAME OLOGIC_X0Y119 SITEPROP OLOGIC_X0Y119 NUM_ARCS 26 SITEPROP OLOGIC_X0Y119 NUM_BELS 3 SITEPROP OLOGIC_X0Y119 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y119 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y119 NUM_PINS 33 SITEPROP OLOGIC_X0Y119 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y119 PROHIBIT 0 SITEPROP OLOGIC_X0Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y119 RPM_X 3 SITEPROP OLOGIC_X0Y119 RPM_Y 239 SITEPROP OLOGIC_X0Y119 SITE_PIPS SITEPROP OLOGIC_X0Y119 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y120 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y120 CLASS site SITEPROP OLOGIC_X0Y120 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y120 IS_BONDED 0 SITEPROP OLOGIC_X0Y120 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y120 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y120 IS_PAD 0 SITEPROP OLOGIC_X0Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y120 IS_RESERVED 0 SITEPROP OLOGIC_X0Y120 IS_TEST 0 SITEPROP OLOGIC_X0Y120 IS_USED 0 SITEPROP OLOGIC_X0Y120 MANUAL_ROUTING SITEPROP OLOGIC_X0Y120 NAME OLOGIC_X0Y120 SITEPROP OLOGIC_X0Y120 NUM_ARCS 26 SITEPROP OLOGIC_X0Y120 NUM_BELS 3 SITEPROP OLOGIC_X0Y120 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y120 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y120 NUM_PINS 33 SITEPROP OLOGIC_X0Y120 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y120 PROHIBIT 0 SITEPROP OLOGIC_X0Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y120 RPM_X 3 SITEPROP OLOGIC_X0Y120 RPM_Y 238 SITEPROP OLOGIC_X0Y120 SITE_PIPS SITEPROP OLOGIC_X0Y120 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y121 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y121 CLASS site SITEPROP OLOGIC_X0Y121 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y121 IS_BONDED 0 SITEPROP OLOGIC_X0Y121 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y121 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y121 IS_PAD 0 SITEPROP OLOGIC_X0Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y121 IS_RESERVED 0 SITEPROP OLOGIC_X0Y121 IS_TEST 0 SITEPROP OLOGIC_X0Y121 IS_USED 0 SITEPROP OLOGIC_X0Y121 MANUAL_ROUTING SITEPROP OLOGIC_X0Y121 NAME OLOGIC_X0Y121 SITEPROP OLOGIC_X0Y121 NUM_ARCS 26 SITEPROP OLOGIC_X0Y121 NUM_BELS 3 SITEPROP OLOGIC_X0Y121 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y121 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y121 NUM_PINS 33 SITEPROP OLOGIC_X0Y121 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y121 PROHIBIT 0 SITEPROP OLOGIC_X0Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y121 RPM_X 3 SITEPROP OLOGIC_X0Y121 RPM_Y 243 SITEPROP OLOGIC_X0Y121 SITE_PIPS SITEPROP OLOGIC_X0Y121 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y122 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y122 CLASS site SITEPROP OLOGIC_X0Y122 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y122 IS_BONDED 0 SITEPROP OLOGIC_X0Y122 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y122 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y122 IS_PAD 0 SITEPROP OLOGIC_X0Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y122 IS_RESERVED 0 SITEPROP OLOGIC_X0Y122 IS_TEST 0 SITEPROP OLOGIC_X0Y122 IS_USED 0 SITEPROP OLOGIC_X0Y122 MANUAL_ROUTING SITEPROP OLOGIC_X0Y122 NAME OLOGIC_X0Y122 SITEPROP OLOGIC_X0Y122 NUM_ARCS 26 SITEPROP OLOGIC_X0Y122 NUM_BELS 3 SITEPROP OLOGIC_X0Y122 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y122 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y122 NUM_PINS 33 SITEPROP OLOGIC_X0Y122 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y122 PROHIBIT 0 SITEPROP OLOGIC_X0Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y122 RPM_X 3 SITEPROP OLOGIC_X0Y122 RPM_Y 242 SITEPROP OLOGIC_X0Y122 SITE_PIPS SITEPROP OLOGIC_X0Y122 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y123 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y123 CLASS site SITEPROP OLOGIC_X0Y123 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y123 IS_BONDED 0 SITEPROP OLOGIC_X0Y123 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y123 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y123 IS_PAD 0 SITEPROP OLOGIC_X0Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y123 IS_RESERVED 0 SITEPROP OLOGIC_X0Y123 IS_TEST 0 SITEPROP OLOGIC_X0Y123 IS_USED 0 SITEPROP OLOGIC_X0Y123 MANUAL_ROUTING SITEPROP OLOGIC_X0Y123 NAME OLOGIC_X0Y123 SITEPROP OLOGIC_X0Y123 NUM_ARCS 26 SITEPROP OLOGIC_X0Y123 NUM_BELS 3 SITEPROP OLOGIC_X0Y123 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y123 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y123 NUM_PINS 33 SITEPROP OLOGIC_X0Y123 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y123 PROHIBIT 0 SITEPROP OLOGIC_X0Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y123 RPM_X 3 SITEPROP OLOGIC_X0Y123 RPM_Y 247 SITEPROP OLOGIC_X0Y123 SITE_PIPS SITEPROP OLOGIC_X0Y123 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y124 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y124 CLASS site SITEPROP OLOGIC_X0Y124 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y124 IS_BONDED 0 SITEPROP OLOGIC_X0Y124 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y124 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y124 IS_PAD 0 SITEPROP OLOGIC_X0Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y124 IS_RESERVED 0 SITEPROP OLOGIC_X0Y124 IS_TEST 0 SITEPROP OLOGIC_X0Y124 IS_USED 0 SITEPROP OLOGIC_X0Y124 MANUAL_ROUTING SITEPROP OLOGIC_X0Y124 NAME OLOGIC_X0Y124 SITEPROP OLOGIC_X0Y124 NUM_ARCS 26 SITEPROP OLOGIC_X0Y124 NUM_BELS 3 SITEPROP OLOGIC_X0Y124 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y124 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y124 NUM_PINS 33 SITEPROP OLOGIC_X0Y124 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y124 PROHIBIT 0 SITEPROP OLOGIC_X0Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y124 RPM_X 3 SITEPROP OLOGIC_X0Y124 RPM_Y 246 SITEPROP OLOGIC_X0Y124 SITE_PIPS SITEPROP OLOGIC_X0Y124 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y125 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y125 CLASS site SITEPROP OLOGIC_X0Y125 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y125 IS_BONDED 0 SITEPROP OLOGIC_X0Y125 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y125 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y125 IS_PAD 0 SITEPROP OLOGIC_X0Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y125 IS_RESERVED 0 SITEPROP OLOGIC_X0Y125 IS_TEST 0 SITEPROP OLOGIC_X0Y125 IS_USED 0 SITEPROP OLOGIC_X0Y125 MANUAL_ROUTING SITEPROP OLOGIC_X0Y125 NAME OLOGIC_X0Y125 SITEPROP OLOGIC_X0Y125 NUM_ARCS 26 SITEPROP OLOGIC_X0Y125 NUM_BELS 3 SITEPROP OLOGIC_X0Y125 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y125 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y125 NUM_PINS 33 SITEPROP OLOGIC_X0Y125 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y125 PROHIBIT 0 SITEPROP OLOGIC_X0Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y125 RPM_X 3 SITEPROP OLOGIC_X0Y125 RPM_Y 251 SITEPROP OLOGIC_X0Y125 SITE_PIPS SITEPROP OLOGIC_X0Y125 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y126 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y126 CLASS site SITEPROP OLOGIC_X0Y126 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y126 IS_BONDED 0 SITEPROP OLOGIC_X0Y126 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y126 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y126 IS_PAD 0 SITEPROP OLOGIC_X0Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y126 IS_RESERVED 0 SITEPROP OLOGIC_X0Y126 IS_TEST 0 SITEPROP OLOGIC_X0Y126 IS_USED 0 SITEPROP OLOGIC_X0Y126 MANUAL_ROUTING SITEPROP OLOGIC_X0Y126 NAME OLOGIC_X0Y126 SITEPROP OLOGIC_X0Y126 NUM_ARCS 26 SITEPROP OLOGIC_X0Y126 NUM_BELS 3 SITEPROP OLOGIC_X0Y126 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y126 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y126 NUM_PINS 33 SITEPROP OLOGIC_X0Y126 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y126 PROHIBIT 0 SITEPROP OLOGIC_X0Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y126 RPM_X 3 SITEPROP OLOGIC_X0Y126 RPM_Y 250 SITEPROP OLOGIC_X0Y126 SITE_PIPS SITEPROP OLOGIC_X0Y126 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y127 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y127 CLASS site SITEPROP OLOGIC_X0Y127 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y127 IS_BONDED 0 SITEPROP OLOGIC_X0Y127 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y127 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y127 IS_PAD 0 SITEPROP OLOGIC_X0Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y127 IS_RESERVED 0 SITEPROP OLOGIC_X0Y127 IS_TEST 0 SITEPROP OLOGIC_X0Y127 IS_USED 0 SITEPROP OLOGIC_X0Y127 MANUAL_ROUTING SITEPROP OLOGIC_X0Y127 NAME OLOGIC_X0Y127 SITEPROP OLOGIC_X0Y127 NUM_ARCS 26 SITEPROP OLOGIC_X0Y127 NUM_BELS 3 SITEPROP OLOGIC_X0Y127 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y127 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y127 NUM_PINS 33 SITEPROP OLOGIC_X0Y127 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y127 PROHIBIT 0 SITEPROP OLOGIC_X0Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y127 RPM_X 3 SITEPROP OLOGIC_X0Y127 RPM_Y 255 SITEPROP OLOGIC_X0Y127 SITE_PIPS SITEPROP OLOGIC_X0Y127 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y128 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y128 CLASS site SITEPROP OLOGIC_X0Y128 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y128 IS_BONDED 0 SITEPROP OLOGIC_X0Y128 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y128 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y128 IS_PAD 0 SITEPROP OLOGIC_X0Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y128 IS_RESERVED 0 SITEPROP OLOGIC_X0Y128 IS_TEST 0 SITEPROP OLOGIC_X0Y128 IS_USED 0 SITEPROP OLOGIC_X0Y128 MANUAL_ROUTING SITEPROP OLOGIC_X0Y128 NAME OLOGIC_X0Y128 SITEPROP OLOGIC_X0Y128 NUM_ARCS 26 SITEPROP OLOGIC_X0Y128 NUM_BELS 3 SITEPROP OLOGIC_X0Y128 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y128 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y128 NUM_PINS 33 SITEPROP OLOGIC_X0Y128 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y128 PROHIBIT 0 SITEPROP OLOGIC_X0Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y128 RPM_X 3 SITEPROP OLOGIC_X0Y128 RPM_Y 254 SITEPROP OLOGIC_X0Y128 SITE_PIPS SITEPROP OLOGIC_X0Y128 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y129 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y129 CLASS site SITEPROP OLOGIC_X0Y129 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y129 IS_BONDED 0 SITEPROP OLOGIC_X0Y129 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y129 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y129 IS_PAD 0 SITEPROP OLOGIC_X0Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y129 IS_RESERVED 0 SITEPROP OLOGIC_X0Y129 IS_TEST 0 SITEPROP OLOGIC_X0Y129 IS_USED 0 SITEPROP OLOGIC_X0Y129 MANUAL_ROUTING SITEPROP OLOGIC_X0Y129 NAME OLOGIC_X0Y129 SITEPROP OLOGIC_X0Y129 NUM_ARCS 26 SITEPROP OLOGIC_X0Y129 NUM_BELS 3 SITEPROP OLOGIC_X0Y129 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y129 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y129 NUM_PINS 33 SITEPROP OLOGIC_X0Y129 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y129 PROHIBIT 0 SITEPROP OLOGIC_X0Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y129 RPM_X 3 SITEPROP OLOGIC_X0Y129 RPM_Y 259 SITEPROP OLOGIC_X0Y129 SITE_PIPS SITEPROP OLOGIC_X0Y129 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y130 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y130 CLASS site SITEPROP OLOGIC_X0Y130 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y130 IS_BONDED 0 SITEPROP OLOGIC_X0Y130 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y130 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y130 IS_PAD 0 SITEPROP OLOGIC_X0Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y130 IS_RESERVED 0 SITEPROP OLOGIC_X0Y130 IS_TEST 0 SITEPROP OLOGIC_X0Y130 IS_USED 0 SITEPROP OLOGIC_X0Y130 MANUAL_ROUTING SITEPROP OLOGIC_X0Y130 NAME OLOGIC_X0Y130 SITEPROP OLOGIC_X0Y130 NUM_ARCS 26 SITEPROP OLOGIC_X0Y130 NUM_BELS 3 SITEPROP OLOGIC_X0Y130 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y130 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y130 NUM_PINS 33 SITEPROP OLOGIC_X0Y130 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y130 PROHIBIT 0 SITEPROP OLOGIC_X0Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y130 RPM_X 3 SITEPROP OLOGIC_X0Y130 RPM_Y 258 SITEPROP OLOGIC_X0Y130 SITE_PIPS SITEPROP OLOGIC_X0Y130 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y131 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y131 CLASS site SITEPROP OLOGIC_X0Y131 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y131 IS_BONDED 0 SITEPROP OLOGIC_X0Y131 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y131 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y131 IS_PAD 0 SITEPROP OLOGIC_X0Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y131 IS_RESERVED 0 SITEPROP OLOGIC_X0Y131 IS_TEST 0 SITEPROP OLOGIC_X0Y131 IS_USED 0 SITEPROP OLOGIC_X0Y131 MANUAL_ROUTING SITEPROP OLOGIC_X0Y131 NAME OLOGIC_X0Y131 SITEPROP OLOGIC_X0Y131 NUM_ARCS 26 SITEPROP OLOGIC_X0Y131 NUM_BELS 3 SITEPROP OLOGIC_X0Y131 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y131 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y131 NUM_PINS 33 SITEPROP OLOGIC_X0Y131 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y131 PROHIBIT 0 SITEPROP OLOGIC_X0Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y131 RPM_X 3 SITEPROP OLOGIC_X0Y131 RPM_Y 263 SITEPROP OLOGIC_X0Y131 SITE_PIPS SITEPROP OLOGIC_X0Y131 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y132 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y132 CLASS site SITEPROP OLOGIC_X0Y132 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y132 IS_BONDED 0 SITEPROP OLOGIC_X0Y132 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y132 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y132 IS_PAD 0 SITEPROP OLOGIC_X0Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y132 IS_RESERVED 0 SITEPROP OLOGIC_X0Y132 IS_TEST 0 SITEPROP OLOGIC_X0Y132 IS_USED 0 SITEPROP OLOGIC_X0Y132 MANUAL_ROUTING SITEPROP OLOGIC_X0Y132 NAME OLOGIC_X0Y132 SITEPROP OLOGIC_X0Y132 NUM_ARCS 26 SITEPROP OLOGIC_X0Y132 NUM_BELS 3 SITEPROP OLOGIC_X0Y132 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y132 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y132 NUM_PINS 33 SITEPROP OLOGIC_X0Y132 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y132 PROHIBIT 0 SITEPROP OLOGIC_X0Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y132 RPM_X 3 SITEPROP OLOGIC_X0Y132 RPM_Y 262 SITEPROP OLOGIC_X0Y132 SITE_PIPS SITEPROP OLOGIC_X0Y132 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y133 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y133 CLASS site SITEPROP OLOGIC_X0Y133 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y133 IS_BONDED 0 SITEPROP OLOGIC_X0Y133 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y133 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y133 IS_PAD 0 SITEPROP OLOGIC_X0Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y133 IS_RESERVED 0 SITEPROP OLOGIC_X0Y133 IS_TEST 0 SITEPROP OLOGIC_X0Y133 IS_USED 0 SITEPROP OLOGIC_X0Y133 MANUAL_ROUTING SITEPROP OLOGIC_X0Y133 NAME OLOGIC_X0Y133 SITEPROP OLOGIC_X0Y133 NUM_ARCS 26 SITEPROP OLOGIC_X0Y133 NUM_BELS 3 SITEPROP OLOGIC_X0Y133 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y133 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y133 NUM_PINS 33 SITEPROP OLOGIC_X0Y133 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y133 PROHIBIT 0 SITEPROP OLOGIC_X0Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y133 RPM_X 3 SITEPROP OLOGIC_X0Y133 RPM_Y 267 SITEPROP OLOGIC_X0Y133 SITE_PIPS SITEPROP OLOGIC_X0Y133 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y134 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y134 CLASS site SITEPROP OLOGIC_X0Y134 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y134 IS_BONDED 0 SITEPROP OLOGIC_X0Y134 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y134 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y134 IS_PAD 0 SITEPROP OLOGIC_X0Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y134 IS_RESERVED 0 SITEPROP OLOGIC_X0Y134 IS_TEST 0 SITEPROP OLOGIC_X0Y134 IS_USED 0 SITEPROP OLOGIC_X0Y134 MANUAL_ROUTING SITEPROP OLOGIC_X0Y134 NAME OLOGIC_X0Y134 SITEPROP OLOGIC_X0Y134 NUM_ARCS 26 SITEPROP OLOGIC_X0Y134 NUM_BELS 3 SITEPROP OLOGIC_X0Y134 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y134 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y134 NUM_PINS 33 SITEPROP OLOGIC_X0Y134 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y134 PROHIBIT 0 SITEPROP OLOGIC_X0Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y134 RPM_X 3 SITEPROP OLOGIC_X0Y134 RPM_Y 266 SITEPROP OLOGIC_X0Y134 SITE_PIPS SITEPROP OLOGIC_X0Y134 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y135 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y135 CLASS site SITEPROP OLOGIC_X0Y135 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y135 IS_BONDED 0 SITEPROP OLOGIC_X0Y135 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y135 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y135 IS_PAD 0 SITEPROP OLOGIC_X0Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y135 IS_RESERVED 0 SITEPROP OLOGIC_X0Y135 IS_TEST 0 SITEPROP OLOGIC_X0Y135 IS_USED 0 SITEPROP OLOGIC_X0Y135 MANUAL_ROUTING SITEPROP OLOGIC_X0Y135 NAME OLOGIC_X0Y135 SITEPROP OLOGIC_X0Y135 NUM_ARCS 26 SITEPROP OLOGIC_X0Y135 NUM_BELS 3 SITEPROP OLOGIC_X0Y135 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y135 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y135 NUM_PINS 33 SITEPROP OLOGIC_X0Y135 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y135 PROHIBIT 0 SITEPROP OLOGIC_X0Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y135 RPM_X 3 SITEPROP OLOGIC_X0Y135 RPM_Y 271 SITEPROP OLOGIC_X0Y135 SITE_PIPS SITEPROP OLOGIC_X0Y135 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y136 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y136 CLASS site SITEPROP OLOGIC_X0Y136 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y136 IS_BONDED 0 SITEPROP OLOGIC_X0Y136 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y136 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y136 IS_PAD 0 SITEPROP OLOGIC_X0Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y136 IS_RESERVED 0 SITEPROP OLOGIC_X0Y136 IS_TEST 0 SITEPROP OLOGIC_X0Y136 IS_USED 0 SITEPROP OLOGIC_X0Y136 MANUAL_ROUTING SITEPROP OLOGIC_X0Y136 NAME OLOGIC_X0Y136 SITEPROP OLOGIC_X0Y136 NUM_ARCS 26 SITEPROP OLOGIC_X0Y136 NUM_BELS 3 SITEPROP OLOGIC_X0Y136 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y136 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y136 NUM_PINS 33 SITEPROP OLOGIC_X0Y136 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y136 PROHIBIT 0 SITEPROP OLOGIC_X0Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y136 RPM_X 3 SITEPROP OLOGIC_X0Y136 RPM_Y 270 SITEPROP OLOGIC_X0Y136 SITE_PIPS SITEPROP OLOGIC_X0Y136 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y137 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y137 CLASS site SITEPROP OLOGIC_X0Y137 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y137 IS_BONDED 0 SITEPROP OLOGIC_X0Y137 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y137 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y137 IS_PAD 0 SITEPROP OLOGIC_X0Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y137 IS_RESERVED 0 SITEPROP OLOGIC_X0Y137 IS_TEST 0 SITEPROP OLOGIC_X0Y137 IS_USED 0 SITEPROP OLOGIC_X0Y137 MANUAL_ROUTING SITEPROP OLOGIC_X0Y137 NAME OLOGIC_X0Y137 SITEPROP OLOGIC_X0Y137 NUM_ARCS 26 SITEPROP OLOGIC_X0Y137 NUM_BELS 3 SITEPROP OLOGIC_X0Y137 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y137 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y137 NUM_PINS 33 SITEPROP OLOGIC_X0Y137 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y137 PROHIBIT 0 SITEPROP OLOGIC_X0Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y137 RPM_X 3 SITEPROP OLOGIC_X0Y137 RPM_Y 275 SITEPROP OLOGIC_X0Y137 SITE_PIPS SITEPROP OLOGIC_X0Y137 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y138 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y138 CLASS site SITEPROP OLOGIC_X0Y138 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y138 IS_BONDED 0 SITEPROP OLOGIC_X0Y138 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y138 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y138 IS_PAD 0 SITEPROP OLOGIC_X0Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y138 IS_RESERVED 0 SITEPROP OLOGIC_X0Y138 IS_TEST 0 SITEPROP OLOGIC_X0Y138 IS_USED 0 SITEPROP OLOGIC_X0Y138 MANUAL_ROUTING SITEPROP OLOGIC_X0Y138 NAME OLOGIC_X0Y138 SITEPROP OLOGIC_X0Y138 NUM_ARCS 26 SITEPROP OLOGIC_X0Y138 NUM_BELS 3 SITEPROP OLOGIC_X0Y138 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y138 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y138 NUM_PINS 33 SITEPROP OLOGIC_X0Y138 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y138 PROHIBIT 0 SITEPROP OLOGIC_X0Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y138 RPM_X 3 SITEPROP OLOGIC_X0Y138 RPM_Y 274 SITEPROP OLOGIC_X0Y138 SITE_PIPS SITEPROP OLOGIC_X0Y138 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y139 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y139 CLASS site SITEPROP OLOGIC_X0Y139 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y139 IS_BONDED 0 SITEPROP OLOGIC_X0Y139 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y139 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y139 IS_PAD 0 SITEPROP OLOGIC_X0Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y139 IS_RESERVED 0 SITEPROP OLOGIC_X0Y139 IS_TEST 0 SITEPROP OLOGIC_X0Y139 IS_USED 0 SITEPROP OLOGIC_X0Y139 MANUAL_ROUTING SITEPROP OLOGIC_X0Y139 NAME OLOGIC_X0Y139 SITEPROP OLOGIC_X0Y139 NUM_ARCS 26 SITEPROP OLOGIC_X0Y139 NUM_BELS 3 SITEPROP OLOGIC_X0Y139 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y139 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y139 NUM_PINS 33 SITEPROP OLOGIC_X0Y139 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y139 PROHIBIT 0 SITEPROP OLOGIC_X0Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y139 RPM_X 3 SITEPROP OLOGIC_X0Y139 RPM_Y 279 SITEPROP OLOGIC_X0Y139 SITE_PIPS SITEPROP OLOGIC_X0Y139 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y140 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y140 CLASS site SITEPROP OLOGIC_X0Y140 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y140 IS_BONDED 0 SITEPROP OLOGIC_X0Y140 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y140 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y140 IS_PAD 0 SITEPROP OLOGIC_X0Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y140 IS_RESERVED 0 SITEPROP OLOGIC_X0Y140 IS_TEST 0 SITEPROP OLOGIC_X0Y140 IS_USED 0 SITEPROP OLOGIC_X0Y140 MANUAL_ROUTING SITEPROP OLOGIC_X0Y140 NAME OLOGIC_X0Y140 SITEPROP OLOGIC_X0Y140 NUM_ARCS 26 SITEPROP OLOGIC_X0Y140 NUM_BELS 3 SITEPROP OLOGIC_X0Y140 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y140 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y140 NUM_PINS 33 SITEPROP OLOGIC_X0Y140 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y140 PROHIBIT 0 SITEPROP OLOGIC_X0Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y140 RPM_X 3 SITEPROP OLOGIC_X0Y140 RPM_Y 278 SITEPROP OLOGIC_X0Y140 SITE_PIPS SITEPROP OLOGIC_X0Y140 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y141 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y141 CLASS site SITEPROP OLOGIC_X0Y141 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y141 IS_BONDED 0 SITEPROP OLOGIC_X0Y141 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y141 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y141 IS_PAD 0 SITEPROP OLOGIC_X0Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y141 IS_RESERVED 0 SITEPROP OLOGIC_X0Y141 IS_TEST 0 SITEPROP OLOGIC_X0Y141 IS_USED 0 SITEPROP OLOGIC_X0Y141 MANUAL_ROUTING SITEPROP OLOGIC_X0Y141 NAME OLOGIC_X0Y141 SITEPROP OLOGIC_X0Y141 NUM_ARCS 26 SITEPROP OLOGIC_X0Y141 NUM_BELS 3 SITEPROP OLOGIC_X0Y141 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y141 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y141 NUM_PINS 33 SITEPROP OLOGIC_X0Y141 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y141 PROHIBIT 0 SITEPROP OLOGIC_X0Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y141 RPM_X 3 SITEPROP OLOGIC_X0Y141 RPM_Y 283 SITEPROP OLOGIC_X0Y141 SITE_PIPS SITEPROP OLOGIC_X0Y141 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y142 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y142 CLASS site SITEPROP OLOGIC_X0Y142 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y142 IS_BONDED 0 SITEPROP OLOGIC_X0Y142 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y142 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y142 IS_PAD 0 SITEPROP OLOGIC_X0Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y142 IS_RESERVED 0 SITEPROP OLOGIC_X0Y142 IS_TEST 0 SITEPROP OLOGIC_X0Y142 IS_USED 0 SITEPROP OLOGIC_X0Y142 MANUAL_ROUTING SITEPROP OLOGIC_X0Y142 NAME OLOGIC_X0Y142 SITEPROP OLOGIC_X0Y142 NUM_ARCS 26 SITEPROP OLOGIC_X0Y142 NUM_BELS 3 SITEPROP OLOGIC_X0Y142 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y142 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y142 NUM_PINS 33 SITEPROP OLOGIC_X0Y142 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y142 PROHIBIT 0 SITEPROP OLOGIC_X0Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y142 RPM_X 3 SITEPROP OLOGIC_X0Y142 RPM_Y 282 SITEPROP OLOGIC_X0Y142 SITE_PIPS SITEPROP OLOGIC_X0Y142 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y143 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y143 CLASS site SITEPROP OLOGIC_X0Y143 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y143 IS_BONDED 0 SITEPROP OLOGIC_X0Y143 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y143 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y143 IS_PAD 0 SITEPROP OLOGIC_X0Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y143 IS_RESERVED 0 SITEPROP OLOGIC_X0Y143 IS_TEST 0 SITEPROP OLOGIC_X0Y143 IS_USED 0 SITEPROP OLOGIC_X0Y143 MANUAL_ROUTING SITEPROP OLOGIC_X0Y143 NAME OLOGIC_X0Y143 SITEPROP OLOGIC_X0Y143 NUM_ARCS 26 SITEPROP OLOGIC_X0Y143 NUM_BELS 3 SITEPROP OLOGIC_X0Y143 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y143 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y143 NUM_PINS 33 SITEPROP OLOGIC_X0Y143 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y143 PROHIBIT 0 SITEPROP OLOGIC_X0Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y143 RPM_X 3 SITEPROP OLOGIC_X0Y143 RPM_Y 287 SITEPROP OLOGIC_X0Y143 SITE_PIPS SITEPROP OLOGIC_X0Y143 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y144 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y144 CLASS site SITEPROP OLOGIC_X0Y144 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y144 IS_BONDED 0 SITEPROP OLOGIC_X0Y144 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y144 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y144 IS_PAD 0 SITEPROP OLOGIC_X0Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y144 IS_RESERVED 0 SITEPROP OLOGIC_X0Y144 IS_TEST 0 SITEPROP OLOGIC_X0Y144 IS_USED 0 SITEPROP OLOGIC_X0Y144 MANUAL_ROUTING SITEPROP OLOGIC_X0Y144 NAME OLOGIC_X0Y144 SITEPROP OLOGIC_X0Y144 NUM_ARCS 26 SITEPROP OLOGIC_X0Y144 NUM_BELS 3 SITEPROP OLOGIC_X0Y144 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y144 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y144 NUM_PINS 33 SITEPROP OLOGIC_X0Y144 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y144 PROHIBIT 0 SITEPROP OLOGIC_X0Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y144 RPM_X 3 SITEPROP OLOGIC_X0Y144 RPM_Y 286 SITEPROP OLOGIC_X0Y144 SITE_PIPS SITEPROP OLOGIC_X0Y144 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y145 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y145 CLASS site SITEPROP OLOGIC_X0Y145 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y145 IS_BONDED 0 SITEPROP OLOGIC_X0Y145 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y145 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y145 IS_PAD 0 SITEPROP OLOGIC_X0Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y145 IS_RESERVED 0 SITEPROP OLOGIC_X0Y145 IS_TEST 0 SITEPROP OLOGIC_X0Y145 IS_USED 0 SITEPROP OLOGIC_X0Y145 MANUAL_ROUTING SITEPROP OLOGIC_X0Y145 NAME OLOGIC_X0Y145 SITEPROP OLOGIC_X0Y145 NUM_ARCS 26 SITEPROP OLOGIC_X0Y145 NUM_BELS 3 SITEPROP OLOGIC_X0Y145 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y145 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y145 NUM_PINS 33 SITEPROP OLOGIC_X0Y145 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y145 PROHIBIT 0 SITEPROP OLOGIC_X0Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y145 RPM_X 3 SITEPROP OLOGIC_X0Y145 RPM_Y 291 SITEPROP OLOGIC_X0Y145 SITE_PIPS SITEPROP OLOGIC_X0Y145 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y146 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y146 CLASS site SITEPROP OLOGIC_X0Y146 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y146 IS_BONDED 0 SITEPROP OLOGIC_X0Y146 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y146 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y146 IS_PAD 0 SITEPROP OLOGIC_X0Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y146 IS_RESERVED 0 SITEPROP OLOGIC_X0Y146 IS_TEST 0 SITEPROP OLOGIC_X0Y146 IS_USED 0 SITEPROP OLOGIC_X0Y146 MANUAL_ROUTING SITEPROP OLOGIC_X0Y146 NAME OLOGIC_X0Y146 SITEPROP OLOGIC_X0Y146 NUM_ARCS 26 SITEPROP OLOGIC_X0Y146 NUM_BELS 3 SITEPROP OLOGIC_X0Y146 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y146 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y146 NUM_PINS 33 SITEPROP OLOGIC_X0Y146 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y146 PROHIBIT 0 SITEPROP OLOGIC_X0Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y146 RPM_X 3 SITEPROP OLOGIC_X0Y146 RPM_Y 290 SITEPROP OLOGIC_X0Y146 SITE_PIPS SITEPROP OLOGIC_X0Y146 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y147 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y147 CLASS site SITEPROP OLOGIC_X0Y147 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y147 IS_BONDED 0 SITEPROP OLOGIC_X0Y147 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y147 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y147 IS_PAD 0 SITEPROP OLOGIC_X0Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y147 IS_RESERVED 0 SITEPROP OLOGIC_X0Y147 IS_TEST 0 SITEPROP OLOGIC_X0Y147 IS_USED 0 SITEPROP OLOGIC_X0Y147 MANUAL_ROUTING SITEPROP OLOGIC_X0Y147 NAME OLOGIC_X0Y147 SITEPROP OLOGIC_X0Y147 NUM_ARCS 26 SITEPROP OLOGIC_X0Y147 NUM_BELS 3 SITEPROP OLOGIC_X0Y147 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y147 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y147 NUM_PINS 33 SITEPROP OLOGIC_X0Y147 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y147 PROHIBIT 0 SITEPROP OLOGIC_X0Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y147 RPM_X 3 SITEPROP OLOGIC_X0Y147 RPM_Y 295 SITEPROP OLOGIC_X0Y147 SITE_PIPS SITEPROP OLOGIC_X0Y147 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y148 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y148 CLASS site SITEPROP OLOGIC_X0Y148 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y148 IS_BONDED 0 SITEPROP OLOGIC_X0Y148 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y148 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y148 IS_PAD 0 SITEPROP OLOGIC_X0Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y148 IS_RESERVED 0 SITEPROP OLOGIC_X0Y148 IS_TEST 0 SITEPROP OLOGIC_X0Y148 IS_USED 0 SITEPROP OLOGIC_X0Y148 MANUAL_ROUTING SITEPROP OLOGIC_X0Y148 NAME OLOGIC_X0Y148 SITEPROP OLOGIC_X0Y148 NUM_ARCS 26 SITEPROP OLOGIC_X0Y148 NUM_BELS 3 SITEPROP OLOGIC_X0Y148 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y148 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y148 NUM_PINS 33 SITEPROP OLOGIC_X0Y148 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y148 PROHIBIT 0 SITEPROP OLOGIC_X0Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y148 RPM_X 3 SITEPROP OLOGIC_X0Y148 RPM_Y 294 SITEPROP OLOGIC_X0Y148 SITE_PIPS SITEPROP OLOGIC_X0Y148 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X0Y149 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X0Y149 CLASS site SITEPROP OLOGIC_X0Y149 CLOCK_REGION X0Y2 SITEPROP OLOGIC_X0Y149 IS_BONDED 0 SITEPROP OLOGIC_X0Y149 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y149 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y149 IS_PAD 0 SITEPROP OLOGIC_X0Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X0Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X0Y149 IS_RESERVED 0 SITEPROP OLOGIC_X0Y149 IS_TEST 0 SITEPROP OLOGIC_X0Y149 IS_USED 0 SITEPROP OLOGIC_X0Y149 MANUAL_ROUTING SITEPROP OLOGIC_X0Y149 NAME OLOGIC_X0Y149 SITEPROP OLOGIC_X0Y149 NUM_ARCS 26 SITEPROP OLOGIC_X0Y149 NUM_BELS 3 SITEPROP OLOGIC_X0Y149 NUM_INPUTS 25 SITEPROP OLOGIC_X0Y149 NUM_OUTPUTS 8 SITEPROP OLOGIC_X0Y149 NUM_PINS 33 SITEPROP OLOGIC_X0Y149 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X0Y149 PROHIBIT 0 SITEPROP OLOGIC_X0Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X0Y149 RPM_X 3 SITEPROP OLOGIC_X0Y149 RPM_Y 298 SITEPROP OLOGIC_X0Y149 SITE_PIPS SITEPROP OLOGIC_X0Y149 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y0 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y0 CLASS site SITEPROP OLOGIC_X1Y0 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y0 IS_BONDED 0 SITEPROP OLOGIC_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y0 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y0 IS_PAD 0 SITEPROP OLOGIC_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y0 IS_RESERVED 0 SITEPROP OLOGIC_X1Y0 IS_TEST 0 SITEPROP OLOGIC_X1Y0 IS_USED 0 SITEPROP OLOGIC_X1Y0 MANUAL_ROUTING SITEPROP OLOGIC_X1Y0 NAME OLOGIC_X1Y0 SITEPROP OLOGIC_X1Y0 NUM_ARCS 26 SITEPROP OLOGIC_X1Y0 NUM_BELS 3 SITEPROP OLOGIC_X1Y0 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y0 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y0 NUM_PINS 33 SITEPROP OLOGIC_X1Y0 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y0 PROHIBIT 0 SITEPROP OLOGIC_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y0 RPM_X 183 SITEPROP OLOGIC_X1Y0 RPM_Y 0 SITEPROP OLOGIC_X1Y0 SITE_PIPS SITEPROP OLOGIC_X1Y0 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y1 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y1 CLASS site SITEPROP OLOGIC_X1Y1 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y1 IS_BONDED 0 SITEPROP OLOGIC_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y1 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y1 IS_PAD 0 SITEPROP OLOGIC_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y1 IS_RESERVED 0 SITEPROP OLOGIC_X1Y1 IS_TEST 0 SITEPROP OLOGIC_X1Y1 IS_USED 0 SITEPROP OLOGIC_X1Y1 MANUAL_ROUTING SITEPROP OLOGIC_X1Y1 NAME OLOGIC_X1Y1 SITEPROP OLOGIC_X1Y1 NUM_ARCS 26 SITEPROP OLOGIC_X1Y1 NUM_BELS 3 SITEPROP OLOGIC_X1Y1 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y1 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y1 NUM_PINS 33 SITEPROP OLOGIC_X1Y1 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y1 PROHIBIT 0 SITEPROP OLOGIC_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y1 RPM_X 183 SITEPROP OLOGIC_X1Y1 RPM_Y 3 SITEPROP OLOGIC_X1Y1 SITE_PIPS SITEPROP OLOGIC_X1Y1 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y2 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y2 CLASS site SITEPROP OLOGIC_X1Y2 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y2 IS_BONDED 0 SITEPROP OLOGIC_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y2 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y2 IS_PAD 0 SITEPROP OLOGIC_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y2 IS_RESERVED 0 SITEPROP OLOGIC_X1Y2 IS_TEST 0 SITEPROP OLOGIC_X1Y2 IS_USED 0 SITEPROP OLOGIC_X1Y2 MANUAL_ROUTING SITEPROP OLOGIC_X1Y2 NAME OLOGIC_X1Y2 SITEPROP OLOGIC_X1Y2 NUM_ARCS 26 SITEPROP OLOGIC_X1Y2 NUM_BELS 3 SITEPROP OLOGIC_X1Y2 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y2 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y2 NUM_PINS 33 SITEPROP OLOGIC_X1Y2 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y2 PROHIBIT 0 SITEPROP OLOGIC_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y2 RPM_X 183 SITEPROP OLOGIC_X1Y2 RPM_Y 2 SITEPROP OLOGIC_X1Y2 SITE_PIPS SITEPROP OLOGIC_X1Y2 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y3 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y3 CLASS site SITEPROP OLOGIC_X1Y3 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y3 IS_BONDED 0 SITEPROP OLOGIC_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y3 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y3 IS_PAD 0 SITEPROP OLOGIC_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y3 IS_RESERVED 0 SITEPROP OLOGIC_X1Y3 IS_TEST 0 SITEPROP OLOGIC_X1Y3 IS_USED 0 SITEPROP OLOGIC_X1Y3 MANUAL_ROUTING SITEPROP OLOGIC_X1Y3 NAME OLOGIC_X1Y3 SITEPROP OLOGIC_X1Y3 NUM_ARCS 26 SITEPROP OLOGIC_X1Y3 NUM_BELS 3 SITEPROP OLOGIC_X1Y3 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y3 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y3 NUM_PINS 33 SITEPROP OLOGIC_X1Y3 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y3 PROHIBIT 0 SITEPROP OLOGIC_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y3 RPM_X 183 SITEPROP OLOGIC_X1Y3 RPM_Y 7 SITEPROP OLOGIC_X1Y3 SITE_PIPS SITEPROP OLOGIC_X1Y3 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y4 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y4 CLASS site SITEPROP OLOGIC_X1Y4 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y4 IS_BONDED 0 SITEPROP OLOGIC_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y4 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y4 IS_PAD 0 SITEPROP OLOGIC_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y4 IS_RESERVED 0 SITEPROP OLOGIC_X1Y4 IS_TEST 0 SITEPROP OLOGIC_X1Y4 IS_USED 0 SITEPROP OLOGIC_X1Y4 MANUAL_ROUTING SITEPROP OLOGIC_X1Y4 NAME OLOGIC_X1Y4 SITEPROP OLOGIC_X1Y4 NUM_ARCS 26 SITEPROP OLOGIC_X1Y4 NUM_BELS 3 SITEPROP OLOGIC_X1Y4 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y4 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y4 NUM_PINS 33 SITEPROP OLOGIC_X1Y4 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y4 PROHIBIT 0 SITEPROP OLOGIC_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y4 RPM_X 183 SITEPROP OLOGIC_X1Y4 RPM_Y 6 SITEPROP OLOGIC_X1Y4 SITE_PIPS SITEPROP OLOGIC_X1Y4 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y5 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y5 CLASS site SITEPROP OLOGIC_X1Y5 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y5 IS_BONDED 0 SITEPROP OLOGIC_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y5 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y5 IS_PAD 0 SITEPROP OLOGIC_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y5 IS_RESERVED 0 SITEPROP OLOGIC_X1Y5 IS_TEST 0 SITEPROP OLOGIC_X1Y5 IS_USED 0 SITEPROP OLOGIC_X1Y5 MANUAL_ROUTING SITEPROP OLOGIC_X1Y5 NAME OLOGIC_X1Y5 SITEPROP OLOGIC_X1Y5 NUM_ARCS 26 SITEPROP OLOGIC_X1Y5 NUM_BELS 3 SITEPROP OLOGIC_X1Y5 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y5 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y5 NUM_PINS 33 SITEPROP OLOGIC_X1Y5 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y5 PROHIBIT 0 SITEPROP OLOGIC_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y5 RPM_X 183 SITEPROP OLOGIC_X1Y5 RPM_Y 11 SITEPROP OLOGIC_X1Y5 SITE_PIPS SITEPROP OLOGIC_X1Y5 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y6 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y6 CLASS site SITEPROP OLOGIC_X1Y6 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y6 IS_BONDED 0 SITEPROP OLOGIC_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y6 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y6 IS_PAD 0 SITEPROP OLOGIC_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y6 IS_RESERVED 0 SITEPROP OLOGIC_X1Y6 IS_TEST 0 SITEPROP OLOGIC_X1Y6 IS_USED 0 SITEPROP OLOGIC_X1Y6 MANUAL_ROUTING SITEPROP OLOGIC_X1Y6 NAME OLOGIC_X1Y6 SITEPROP OLOGIC_X1Y6 NUM_ARCS 26 SITEPROP OLOGIC_X1Y6 NUM_BELS 3 SITEPROP OLOGIC_X1Y6 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y6 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y6 NUM_PINS 33 SITEPROP OLOGIC_X1Y6 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y6 PROHIBIT 0 SITEPROP OLOGIC_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y6 RPM_X 183 SITEPROP OLOGIC_X1Y6 RPM_Y 10 SITEPROP OLOGIC_X1Y6 SITE_PIPS SITEPROP OLOGIC_X1Y6 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y7 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y7 CLASS site SITEPROP OLOGIC_X1Y7 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y7 IS_BONDED 0 SITEPROP OLOGIC_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y7 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y7 IS_PAD 0 SITEPROP OLOGIC_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y7 IS_RESERVED 0 SITEPROP OLOGIC_X1Y7 IS_TEST 0 SITEPROP OLOGIC_X1Y7 IS_USED 0 SITEPROP OLOGIC_X1Y7 MANUAL_ROUTING SITEPROP OLOGIC_X1Y7 NAME OLOGIC_X1Y7 SITEPROP OLOGIC_X1Y7 NUM_ARCS 26 SITEPROP OLOGIC_X1Y7 NUM_BELS 3 SITEPROP OLOGIC_X1Y7 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y7 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y7 NUM_PINS 33 SITEPROP OLOGIC_X1Y7 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y7 PROHIBIT 0 SITEPROP OLOGIC_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y7 RPM_X 183 SITEPROP OLOGIC_X1Y7 RPM_Y 15 SITEPROP OLOGIC_X1Y7 SITE_PIPS SITEPROP OLOGIC_X1Y7 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y8 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y8 CLASS site SITEPROP OLOGIC_X1Y8 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y8 IS_BONDED 0 SITEPROP OLOGIC_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y8 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y8 IS_PAD 0 SITEPROP OLOGIC_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y8 IS_RESERVED 0 SITEPROP OLOGIC_X1Y8 IS_TEST 0 SITEPROP OLOGIC_X1Y8 IS_USED 0 SITEPROP OLOGIC_X1Y8 MANUAL_ROUTING SITEPROP OLOGIC_X1Y8 NAME OLOGIC_X1Y8 SITEPROP OLOGIC_X1Y8 NUM_ARCS 26 SITEPROP OLOGIC_X1Y8 NUM_BELS 3 SITEPROP OLOGIC_X1Y8 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y8 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y8 NUM_PINS 33 SITEPROP OLOGIC_X1Y8 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y8 PROHIBIT 0 SITEPROP OLOGIC_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y8 RPM_X 183 SITEPROP OLOGIC_X1Y8 RPM_Y 14 SITEPROP OLOGIC_X1Y8 SITE_PIPS SITEPROP OLOGIC_X1Y8 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y9 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y9 CLASS site SITEPROP OLOGIC_X1Y9 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y9 IS_BONDED 0 SITEPROP OLOGIC_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y9 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y9 IS_PAD 0 SITEPROP OLOGIC_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y9 IS_RESERVED 0 SITEPROP OLOGIC_X1Y9 IS_TEST 0 SITEPROP OLOGIC_X1Y9 IS_USED 0 SITEPROP OLOGIC_X1Y9 MANUAL_ROUTING SITEPROP OLOGIC_X1Y9 NAME OLOGIC_X1Y9 SITEPROP OLOGIC_X1Y9 NUM_ARCS 26 SITEPROP OLOGIC_X1Y9 NUM_BELS 3 SITEPROP OLOGIC_X1Y9 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y9 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y9 NUM_PINS 33 SITEPROP OLOGIC_X1Y9 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y9 PROHIBIT 0 SITEPROP OLOGIC_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y9 RPM_X 183 SITEPROP OLOGIC_X1Y9 RPM_Y 19 SITEPROP OLOGIC_X1Y9 SITE_PIPS SITEPROP OLOGIC_X1Y9 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y10 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y10 CLASS site SITEPROP OLOGIC_X1Y10 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y10 IS_BONDED 0 SITEPROP OLOGIC_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y10 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y10 IS_PAD 0 SITEPROP OLOGIC_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y10 IS_RESERVED 0 SITEPROP OLOGIC_X1Y10 IS_TEST 0 SITEPROP OLOGIC_X1Y10 IS_USED 0 SITEPROP OLOGIC_X1Y10 MANUAL_ROUTING SITEPROP OLOGIC_X1Y10 NAME OLOGIC_X1Y10 SITEPROP OLOGIC_X1Y10 NUM_ARCS 26 SITEPROP OLOGIC_X1Y10 NUM_BELS 3 SITEPROP OLOGIC_X1Y10 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y10 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y10 NUM_PINS 33 SITEPROP OLOGIC_X1Y10 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y10 PROHIBIT 0 SITEPROP OLOGIC_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y10 RPM_X 183 SITEPROP OLOGIC_X1Y10 RPM_Y 18 SITEPROP OLOGIC_X1Y10 SITE_PIPS SITEPROP OLOGIC_X1Y10 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y11 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y11 CLASS site SITEPROP OLOGIC_X1Y11 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y11 IS_BONDED 0 SITEPROP OLOGIC_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y11 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y11 IS_PAD 0 SITEPROP OLOGIC_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y11 IS_RESERVED 0 SITEPROP OLOGIC_X1Y11 IS_TEST 0 SITEPROP OLOGIC_X1Y11 IS_USED 0 SITEPROP OLOGIC_X1Y11 MANUAL_ROUTING SITEPROP OLOGIC_X1Y11 NAME OLOGIC_X1Y11 SITEPROP OLOGIC_X1Y11 NUM_ARCS 26 SITEPROP OLOGIC_X1Y11 NUM_BELS 3 SITEPROP OLOGIC_X1Y11 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y11 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y11 NUM_PINS 33 SITEPROP OLOGIC_X1Y11 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y11 PROHIBIT 0 SITEPROP OLOGIC_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y11 RPM_X 183 SITEPROP OLOGIC_X1Y11 RPM_Y 23 SITEPROP OLOGIC_X1Y11 SITE_PIPS SITEPROP OLOGIC_X1Y11 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y12 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y12 CLASS site SITEPROP OLOGIC_X1Y12 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y12 IS_BONDED 0 SITEPROP OLOGIC_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y12 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y12 IS_PAD 0 SITEPROP OLOGIC_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y12 IS_RESERVED 0 SITEPROP OLOGIC_X1Y12 IS_TEST 0 SITEPROP OLOGIC_X1Y12 IS_USED 0 SITEPROP OLOGIC_X1Y12 MANUAL_ROUTING SITEPROP OLOGIC_X1Y12 NAME OLOGIC_X1Y12 SITEPROP OLOGIC_X1Y12 NUM_ARCS 26 SITEPROP OLOGIC_X1Y12 NUM_BELS 3 SITEPROP OLOGIC_X1Y12 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y12 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y12 NUM_PINS 33 SITEPROP OLOGIC_X1Y12 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y12 PROHIBIT 0 SITEPROP OLOGIC_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y12 RPM_X 183 SITEPROP OLOGIC_X1Y12 RPM_Y 22 SITEPROP OLOGIC_X1Y12 SITE_PIPS SITEPROP OLOGIC_X1Y12 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y13 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y13 CLASS site SITEPROP OLOGIC_X1Y13 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y13 IS_BONDED 0 SITEPROP OLOGIC_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y13 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y13 IS_PAD 0 SITEPROP OLOGIC_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y13 IS_RESERVED 0 SITEPROP OLOGIC_X1Y13 IS_TEST 0 SITEPROP OLOGIC_X1Y13 IS_USED 0 SITEPROP OLOGIC_X1Y13 MANUAL_ROUTING SITEPROP OLOGIC_X1Y13 NAME OLOGIC_X1Y13 SITEPROP OLOGIC_X1Y13 NUM_ARCS 26 SITEPROP OLOGIC_X1Y13 NUM_BELS 3 SITEPROP OLOGIC_X1Y13 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y13 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y13 NUM_PINS 33 SITEPROP OLOGIC_X1Y13 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y13 PROHIBIT 0 SITEPROP OLOGIC_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y13 RPM_X 183 SITEPROP OLOGIC_X1Y13 RPM_Y 27 SITEPROP OLOGIC_X1Y13 SITE_PIPS SITEPROP OLOGIC_X1Y13 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y14 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y14 CLASS site SITEPROP OLOGIC_X1Y14 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y14 IS_BONDED 0 SITEPROP OLOGIC_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y14 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y14 IS_PAD 0 SITEPROP OLOGIC_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y14 IS_RESERVED 0 SITEPROP OLOGIC_X1Y14 IS_TEST 0 SITEPROP OLOGIC_X1Y14 IS_USED 0 SITEPROP OLOGIC_X1Y14 MANUAL_ROUTING SITEPROP OLOGIC_X1Y14 NAME OLOGIC_X1Y14 SITEPROP OLOGIC_X1Y14 NUM_ARCS 26 SITEPROP OLOGIC_X1Y14 NUM_BELS 3 SITEPROP OLOGIC_X1Y14 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y14 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y14 NUM_PINS 33 SITEPROP OLOGIC_X1Y14 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y14 PROHIBIT 0 SITEPROP OLOGIC_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y14 RPM_X 183 SITEPROP OLOGIC_X1Y14 RPM_Y 26 SITEPROP OLOGIC_X1Y14 SITE_PIPS SITEPROP OLOGIC_X1Y14 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y15 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y15 CLASS site SITEPROP OLOGIC_X1Y15 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y15 IS_BONDED 0 SITEPROP OLOGIC_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y15 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y15 IS_PAD 0 SITEPROP OLOGIC_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y15 IS_RESERVED 0 SITEPROP OLOGIC_X1Y15 IS_TEST 0 SITEPROP OLOGIC_X1Y15 IS_USED 0 SITEPROP OLOGIC_X1Y15 MANUAL_ROUTING SITEPROP OLOGIC_X1Y15 NAME OLOGIC_X1Y15 SITEPROP OLOGIC_X1Y15 NUM_ARCS 26 SITEPROP OLOGIC_X1Y15 NUM_BELS 3 SITEPROP OLOGIC_X1Y15 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y15 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y15 NUM_PINS 33 SITEPROP OLOGIC_X1Y15 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y15 PROHIBIT 0 SITEPROP OLOGIC_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y15 RPM_X 183 SITEPROP OLOGIC_X1Y15 RPM_Y 31 SITEPROP OLOGIC_X1Y15 SITE_PIPS SITEPROP OLOGIC_X1Y15 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y16 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y16 CLASS site SITEPROP OLOGIC_X1Y16 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y16 IS_BONDED 0 SITEPROP OLOGIC_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y16 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y16 IS_PAD 0 SITEPROP OLOGIC_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y16 IS_RESERVED 0 SITEPROP OLOGIC_X1Y16 IS_TEST 0 SITEPROP OLOGIC_X1Y16 IS_USED 0 SITEPROP OLOGIC_X1Y16 MANUAL_ROUTING SITEPROP OLOGIC_X1Y16 NAME OLOGIC_X1Y16 SITEPROP OLOGIC_X1Y16 NUM_ARCS 26 SITEPROP OLOGIC_X1Y16 NUM_BELS 3 SITEPROP OLOGIC_X1Y16 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y16 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y16 NUM_PINS 33 SITEPROP OLOGIC_X1Y16 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y16 PROHIBIT 0 SITEPROP OLOGIC_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y16 RPM_X 183 SITEPROP OLOGIC_X1Y16 RPM_Y 30 SITEPROP OLOGIC_X1Y16 SITE_PIPS SITEPROP OLOGIC_X1Y16 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y17 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y17 CLASS site SITEPROP OLOGIC_X1Y17 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y17 IS_BONDED 0 SITEPROP OLOGIC_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y17 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y17 IS_PAD 0 SITEPROP OLOGIC_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y17 IS_RESERVED 0 SITEPROP OLOGIC_X1Y17 IS_TEST 0 SITEPROP OLOGIC_X1Y17 IS_USED 0 SITEPROP OLOGIC_X1Y17 MANUAL_ROUTING SITEPROP OLOGIC_X1Y17 NAME OLOGIC_X1Y17 SITEPROP OLOGIC_X1Y17 NUM_ARCS 26 SITEPROP OLOGIC_X1Y17 NUM_BELS 3 SITEPROP OLOGIC_X1Y17 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y17 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y17 NUM_PINS 33 SITEPROP OLOGIC_X1Y17 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y17 PROHIBIT 0 SITEPROP OLOGIC_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y17 RPM_X 183 SITEPROP OLOGIC_X1Y17 RPM_Y 35 SITEPROP OLOGIC_X1Y17 SITE_PIPS SITEPROP OLOGIC_X1Y17 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y18 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y18 CLASS site SITEPROP OLOGIC_X1Y18 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y18 IS_BONDED 0 SITEPROP OLOGIC_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y18 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y18 IS_PAD 0 SITEPROP OLOGIC_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y18 IS_RESERVED 0 SITEPROP OLOGIC_X1Y18 IS_TEST 0 SITEPROP OLOGIC_X1Y18 IS_USED 0 SITEPROP OLOGIC_X1Y18 MANUAL_ROUTING SITEPROP OLOGIC_X1Y18 NAME OLOGIC_X1Y18 SITEPROP OLOGIC_X1Y18 NUM_ARCS 26 SITEPROP OLOGIC_X1Y18 NUM_BELS 3 SITEPROP OLOGIC_X1Y18 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y18 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y18 NUM_PINS 33 SITEPROP OLOGIC_X1Y18 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y18 PROHIBIT 0 SITEPROP OLOGIC_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y18 RPM_X 183 SITEPROP OLOGIC_X1Y18 RPM_Y 34 SITEPROP OLOGIC_X1Y18 SITE_PIPS SITEPROP OLOGIC_X1Y18 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y19 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y19 CLASS site SITEPROP OLOGIC_X1Y19 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y19 IS_BONDED 0 SITEPROP OLOGIC_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y19 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y19 IS_PAD 0 SITEPROP OLOGIC_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y19 IS_RESERVED 0 SITEPROP OLOGIC_X1Y19 IS_TEST 0 SITEPROP OLOGIC_X1Y19 IS_USED 0 SITEPROP OLOGIC_X1Y19 MANUAL_ROUTING SITEPROP OLOGIC_X1Y19 NAME OLOGIC_X1Y19 SITEPROP OLOGIC_X1Y19 NUM_ARCS 26 SITEPROP OLOGIC_X1Y19 NUM_BELS 3 SITEPROP OLOGIC_X1Y19 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y19 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y19 NUM_PINS 33 SITEPROP OLOGIC_X1Y19 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y19 PROHIBIT 0 SITEPROP OLOGIC_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y19 RPM_X 183 SITEPROP OLOGIC_X1Y19 RPM_Y 39 SITEPROP OLOGIC_X1Y19 SITE_PIPS SITEPROP OLOGIC_X1Y19 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y20 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y20 CLASS site SITEPROP OLOGIC_X1Y20 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y20 IS_BONDED 0 SITEPROP OLOGIC_X1Y20 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y20 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y20 IS_PAD 0 SITEPROP OLOGIC_X1Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y20 IS_RESERVED 0 SITEPROP OLOGIC_X1Y20 IS_TEST 0 SITEPROP OLOGIC_X1Y20 IS_USED 0 SITEPROP OLOGIC_X1Y20 MANUAL_ROUTING SITEPROP OLOGIC_X1Y20 NAME OLOGIC_X1Y20 SITEPROP OLOGIC_X1Y20 NUM_ARCS 26 SITEPROP OLOGIC_X1Y20 NUM_BELS 3 SITEPROP OLOGIC_X1Y20 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y20 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y20 NUM_PINS 33 SITEPROP OLOGIC_X1Y20 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y20 PROHIBIT 0 SITEPROP OLOGIC_X1Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y20 RPM_X 183 SITEPROP OLOGIC_X1Y20 RPM_Y 38 SITEPROP OLOGIC_X1Y20 SITE_PIPS SITEPROP OLOGIC_X1Y20 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y21 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y21 CLASS site SITEPROP OLOGIC_X1Y21 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y21 IS_BONDED 0 SITEPROP OLOGIC_X1Y21 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y21 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y21 IS_PAD 0 SITEPROP OLOGIC_X1Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y21 IS_RESERVED 0 SITEPROP OLOGIC_X1Y21 IS_TEST 0 SITEPROP OLOGIC_X1Y21 IS_USED 0 SITEPROP OLOGIC_X1Y21 MANUAL_ROUTING SITEPROP OLOGIC_X1Y21 NAME OLOGIC_X1Y21 SITEPROP OLOGIC_X1Y21 NUM_ARCS 26 SITEPROP OLOGIC_X1Y21 NUM_BELS 3 SITEPROP OLOGIC_X1Y21 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y21 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y21 NUM_PINS 33 SITEPROP OLOGIC_X1Y21 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y21 PROHIBIT 0 SITEPROP OLOGIC_X1Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y21 RPM_X 183 SITEPROP OLOGIC_X1Y21 RPM_Y 43 SITEPROP OLOGIC_X1Y21 SITE_PIPS SITEPROP OLOGIC_X1Y21 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y22 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y22 CLASS site SITEPROP OLOGIC_X1Y22 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y22 IS_BONDED 0 SITEPROP OLOGIC_X1Y22 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y22 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y22 IS_PAD 0 SITEPROP OLOGIC_X1Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y22 IS_RESERVED 0 SITEPROP OLOGIC_X1Y22 IS_TEST 0 SITEPROP OLOGIC_X1Y22 IS_USED 0 SITEPROP OLOGIC_X1Y22 MANUAL_ROUTING SITEPROP OLOGIC_X1Y22 NAME OLOGIC_X1Y22 SITEPROP OLOGIC_X1Y22 NUM_ARCS 26 SITEPROP OLOGIC_X1Y22 NUM_BELS 3 SITEPROP OLOGIC_X1Y22 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y22 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y22 NUM_PINS 33 SITEPROP OLOGIC_X1Y22 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y22 PROHIBIT 0 SITEPROP OLOGIC_X1Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y22 RPM_X 183 SITEPROP OLOGIC_X1Y22 RPM_Y 42 SITEPROP OLOGIC_X1Y22 SITE_PIPS SITEPROP OLOGIC_X1Y22 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y23 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y23 CLASS site SITEPROP OLOGIC_X1Y23 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y23 IS_BONDED 0 SITEPROP OLOGIC_X1Y23 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y23 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y23 IS_PAD 0 SITEPROP OLOGIC_X1Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y23 IS_RESERVED 0 SITEPROP OLOGIC_X1Y23 IS_TEST 0 SITEPROP OLOGIC_X1Y23 IS_USED 0 SITEPROP OLOGIC_X1Y23 MANUAL_ROUTING SITEPROP OLOGIC_X1Y23 NAME OLOGIC_X1Y23 SITEPROP OLOGIC_X1Y23 NUM_ARCS 26 SITEPROP OLOGIC_X1Y23 NUM_BELS 3 SITEPROP OLOGIC_X1Y23 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y23 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y23 NUM_PINS 33 SITEPROP OLOGIC_X1Y23 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y23 PROHIBIT 0 SITEPROP OLOGIC_X1Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y23 RPM_X 183 SITEPROP OLOGIC_X1Y23 RPM_Y 47 SITEPROP OLOGIC_X1Y23 SITE_PIPS SITEPROP OLOGIC_X1Y23 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y24 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y24 CLASS site SITEPROP OLOGIC_X1Y24 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y24 IS_BONDED 0 SITEPROP OLOGIC_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y24 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y24 IS_PAD 0 SITEPROP OLOGIC_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y24 IS_RESERVED 0 SITEPROP OLOGIC_X1Y24 IS_TEST 0 SITEPROP OLOGIC_X1Y24 IS_USED 0 SITEPROP OLOGIC_X1Y24 MANUAL_ROUTING SITEPROP OLOGIC_X1Y24 NAME OLOGIC_X1Y24 SITEPROP OLOGIC_X1Y24 NUM_ARCS 26 SITEPROP OLOGIC_X1Y24 NUM_BELS 3 SITEPROP OLOGIC_X1Y24 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y24 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y24 NUM_PINS 33 SITEPROP OLOGIC_X1Y24 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y24 PROHIBIT 0 SITEPROP OLOGIC_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y24 RPM_X 183 SITEPROP OLOGIC_X1Y24 RPM_Y 46 SITEPROP OLOGIC_X1Y24 SITE_PIPS SITEPROP OLOGIC_X1Y24 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y25 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y25 CLASS site SITEPROP OLOGIC_X1Y25 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y25 IS_BONDED 0 SITEPROP OLOGIC_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y25 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y25 IS_PAD 0 SITEPROP OLOGIC_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y25 IS_RESERVED 0 SITEPROP OLOGIC_X1Y25 IS_TEST 0 SITEPROP OLOGIC_X1Y25 IS_USED 0 SITEPROP OLOGIC_X1Y25 MANUAL_ROUTING SITEPROP OLOGIC_X1Y25 NAME OLOGIC_X1Y25 SITEPROP OLOGIC_X1Y25 NUM_ARCS 26 SITEPROP OLOGIC_X1Y25 NUM_BELS 3 SITEPROP OLOGIC_X1Y25 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y25 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y25 NUM_PINS 33 SITEPROP OLOGIC_X1Y25 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y25 PROHIBIT 0 SITEPROP OLOGIC_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y25 RPM_X 183 SITEPROP OLOGIC_X1Y25 RPM_Y 51 SITEPROP OLOGIC_X1Y25 SITE_PIPS SITEPROP OLOGIC_X1Y25 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y26 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y26 CLASS site SITEPROP OLOGIC_X1Y26 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y26 IS_BONDED 0 SITEPROP OLOGIC_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y26 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y26 IS_PAD 0 SITEPROP OLOGIC_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y26 IS_RESERVED 0 SITEPROP OLOGIC_X1Y26 IS_TEST 0 SITEPROP OLOGIC_X1Y26 IS_USED 0 SITEPROP OLOGIC_X1Y26 MANUAL_ROUTING SITEPROP OLOGIC_X1Y26 NAME OLOGIC_X1Y26 SITEPROP OLOGIC_X1Y26 NUM_ARCS 26 SITEPROP OLOGIC_X1Y26 NUM_BELS 3 SITEPROP OLOGIC_X1Y26 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y26 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y26 NUM_PINS 33 SITEPROP OLOGIC_X1Y26 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y26 PROHIBIT 0 SITEPROP OLOGIC_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y26 RPM_X 183 SITEPROP OLOGIC_X1Y26 RPM_Y 50 SITEPROP OLOGIC_X1Y26 SITE_PIPS SITEPROP OLOGIC_X1Y26 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y27 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y27 CLASS site SITEPROP OLOGIC_X1Y27 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y27 IS_BONDED 0 SITEPROP OLOGIC_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y27 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y27 IS_PAD 0 SITEPROP OLOGIC_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y27 IS_RESERVED 0 SITEPROP OLOGIC_X1Y27 IS_TEST 0 SITEPROP OLOGIC_X1Y27 IS_USED 0 SITEPROP OLOGIC_X1Y27 MANUAL_ROUTING SITEPROP OLOGIC_X1Y27 NAME OLOGIC_X1Y27 SITEPROP OLOGIC_X1Y27 NUM_ARCS 26 SITEPROP OLOGIC_X1Y27 NUM_BELS 3 SITEPROP OLOGIC_X1Y27 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y27 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y27 NUM_PINS 33 SITEPROP OLOGIC_X1Y27 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y27 PROHIBIT 0 SITEPROP OLOGIC_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y27 RPM_X 183 SITEPROP OLOGIC_X1Y27 RPM_Y 55 SITEPROP OLOGIC_X1Y27 SITE_PIPS SITEPROP OLOGIC_X1Y27 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y28 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y28 CLASS site SITEPROP OLOGIC_X1Y28 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y28 IS_BONDED 0 SITEPROP OLOGIC_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y28 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y28 IS_PAD 0 SITEPROP OLOGIC_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y28 IS_RESERVED 0 SITEPROP OLOGIC_X1Y28 IS_TEST 0 SITEPROP OLOGIC_X1Y28 IS_USED 0 SITEPROP OLOGIC_X1Y28 MANUAL_ROUTING SITEPROP OLOGIC_X1Y28 NAME OLOGIC_X1Y28 SITEPROP OLOGIC_X1Y28 NUM_ARCS 26 SITEPROP OLOGIC_X1Y28 NUM_BELS 3 SITEPROP OLOGIC_X1Y28 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y28 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y28 NUM_PINS 33 SITEPROP OLOGIC_X1Y28 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y28 PROHIBIT 0 SITEPROP OLOGIC_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y28 RPM_X 183 SITEPROP OLOGIC_X1Y28 RPM_Y 54 SITEPROP OLOGIC_X1Y28 SITE_PIPS SITEPROP OLOGIC_X1Y28 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y29 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y29 CLASS site SITEPROP OLOGIC_X1Y29 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y29 IS_BONDED 0 SITEPROP OLOGIC_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y29 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y29 IS_PAD 0 SITEPROP OLOGIC_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y29 IS_RESERVED 0 SITEPROP OLOGIC_X1Y29 IS_TEST 0 SITEPROP OLOGIC_X1Y29 IS_USED 0 SITEPROP OLOGIC_X1Y29 MANUAL_ROUTING SITEPROP OLOGIC_X1Y29 NAME OLOGIC_X1Y29 SITEPROP OLOGIC_X1Y29 NUM_ARCS 26 SITEPROP OLOGIC_X1Y29 NUM_BELS 3 SITEPROP OLOGIC_X1Y29 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y29 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y29 NUM_PINS 33 SITEPROP OLOGIC_X1Y29 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y29 PROHIBIT 0 SITEPROP OLOGIC_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y29 RPM_X 183 SITEPROP OLOGIC_X1Y29 RPM_Y 59 SITEPROP OLOGIC_X1Y29 SITE_PIPS SITEPROP OLOGIC_X1Y29 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y30 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y30 CLASS site SITEPROP OLOGIC_X1Y30 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y30 IS_BONDED 0 SITEPROP OLOGIC_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y30 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y30 IS_PAD 0 SITEPROP OLOGIC_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y30 IS_RESERVED 0 SITEPROP OLOGIC_X1Y30 IS_TEST 0 SITEPROP OLOGIC_X1Y30 IS_USED 0 SITEPROP OLOGIC_X1Y30 MANUAL_ROUTING SITEPROP OLOGIC_X1Y30 NAME OLOGIC_X1Y30 SITEPROP OLOGIC_X1Y30 NUM_ARCS 26 SITEPROP OLOGIC_X1Y30 NUM_BELS 3 SITEPROP OLOGIC_X1Y30 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y30 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y30 NUM_PINS 33 SITEPROP OLOGIC_X1Y30 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y30 PROHIBIT 0 SITEPROP OLOGIC_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y30 RPM_X 183 SITEPROP OLOGIC_X1Y30 RPM_Y 58 SITEPROP OLOGIC_X1Y30 SITE_PIPS SITEPROP OLOGIC_X1Y30 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y31 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y31 CLASS site SITEPROP OLOGIC_X1Y31 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y31 IS_BONDED 0 SITEPROP OLOGIC_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y31 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y31 IS_PAD 0 SITEPROP OLOGIC_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y31 IS_RESERVED 0 SITEPROP OLOGIC_X1Y31 IS_TEST 0 SITEPROP OLOGIC_X1Y31 IS_USED 0 SITEPROP OLOGIC_X1Y31 MANUAL_ROUTING SITEPROP OLOGIC_X1Y31 NAME OLOGIC_X1Y31 SITEPROP OLOGIC_X1Y31 NUM_ARCS 26 SITEPROP OLOGIC_X1Y31 NUM_BELS 3 SITEPROP OLOGIC_X1Y31 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y31 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y31 NUM_PINS 33 SITEPROP OLOGIC_X1Y31 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y31 PROHIBIT 0 SITEPROP OLOGIC_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y31 RPM_X 183 SITEPROP OLOGIC_X1Y31 RPM_Y 63 SITEPROP OLOGIC_X1Y31 SITE_PIPS SITEPROP OLOGIC_X1Y31 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y32 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y32 CLASS site SITEPROP OLOGIC_X1Y32 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y32 IS_BONDED 0 SITEPROP OLOGIC_X1Y32 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y32 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y32 IS_PAD 0 SITEPROP OLOGIC_X1Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y32 IS_RESERVED 0 SITEPROP OLOGIC_X1Y32 IS_TEST 0 SITEPROP OLOGIC_X1Y32 IS_USED 0 SITEPROP OLOGIC_X1Y32 MANUAL_ROUTING SITEPROP OLOGIC_X1Y32 NAME OLOGIC_X1Y32 SITEPROP OLOGIC_X1Y32 NUM_ARCS 26 SITEPROP OLOGIC_X1Y32 NUM_BELS 3 SITEPROP OLOGIC_X1Y32 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y32 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y32 NUM_PINS 33 SITEPROP OLOGIC_X1Y32 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y32 PROHIBIT 0 SITEPROP OLOGIC_X1Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y32 RPM_X 183 SITEPROP OLOGIC_X1Y32 RPM_Y 62 SITEPROP OLOGIC_X1Y32 SITE_PIPS SITEPROP OLOGIC_X1Y32 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y33 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y33 CLASS site SITEPROP OLOGIC_X1Y33 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y33 IS_BONDED 0 SITEPROP OLOGIC_X1Y33 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y33 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y33 IS_PAD 0 SITEPROP OLOGIC_X1Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y33 IS_RESERVED 0 SITEPROP OLOGIC_X1Y33 IS_TEST 0 SITEPROP OLOGIC_X1Y33 IS_USED 0 SITEPROP OLOGIC_X1Y33 MANUAL_ROUTING SITEPROP OLOGIC_X1Y33 NAME OLOGIC_X1Y33 SITEPROP OLOGIC_X1Y33 NUM_ARCS 26 SITEPROP OLOGIC_X1Y33 NUM_BELS 3 SITEPROP OLOGIC_X1Y33 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y33 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y33 NUM_PINS 33 SITEPROP OLOGIC_X1Y33 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y33 PROHIBIT 0 SITEPROP OLOGIC_X1Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y33 RPM_X 183 SITEPROP OLOGIC_X1Y33 RPM_Y 67 SITEPROP OLOGIC_X1Y33 SITE_PIPS SITEPROP OLOGIC_X1Y33 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y34 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y34 CLASS site SITEPROP OLOGIC_X1Y34 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y34 IS_BONDED 0 SITEPROP OLOGIC_X1Y34 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y34 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y34 IS_PAD 0 SITEPROP OLOGIC_X1Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y34 IS_RESERVED 0 SITEPROP OLOGIC_X1Y34 IS_TEST 0 SITEPROP OLOGIC_X1Y34 IS_USED 0 SITEPROP OLOGIC_X1Y34 MANUAL_ROUTING SITEPROP OLOGIC_X1Y34 NAME OLOGIC_X1Y34 SITEPROP OLOGIC_X1Y34 NUM_ARCS 26 SITEPROP OLOGIC_X1Y34 NUM_BELS 3 SITEPROP OLOGIC_X1Y34 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y34 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y34 NUM_PINS 33 SITEPROP OLOGIC_X1Y34 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y34 PROHIBIT 0 SITEPROP OLOGIC_X1Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y34 RPM_X 183 SITEPROP OLOGIC_X1Y34 RPM_Y 66 SITEPROP OLOGIC_X1Y34 SITE_PIPS SITEPROP OLOGIC_X1Y34 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y35 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y35 CLASS site SITEPROP OLOGIC_X1Y35 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y35 IS_BONDED 0 SITEPROP OLOGIC_X1Y35 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y35 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y35 IS_PAD 0 SITEPROP OLOGIC_X1Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y35 IS_RESERVED 0 SITEPROP OLOGIC_X1Y35 IS_TEST 0 SITEPROP OLOGIC_X1Y35 IS_USED 0 SITEPROP OLOGIC_X1Y35 MANUAL_ROUTING SITEPROP OLOGIC_X1Y35 NAME OLOGIC_X1Y35 SITEPROP OLOGIC_X1Y35 NUM_ARCS 26 SITEPROP OLOGIC_X1Y35 NUM_BELS 3 SITEPROP OLOGIC_X1Y35 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y35 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y35 NUM_PINS 33 SITEPROP OLOGIC_X1Y35 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y35 PROHIBIT 0 SITEPROP OLOGIC_X1Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y35 RPM_X 183 SITEPROP OLOGIC_X1Y35 RPM_Y 71 SITEPROP OLOGIC_X1Y35 SITE_PIPS SITEPROP OLOGIC_X1Y35 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y36 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y36 CLASS site SITEPROP OLOGIC_X1Y36 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y36 IS_BONDED 0 SITEPROP OLOGIC_X1Y36 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y36 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y36 IS_PAD 0 SITEPROP OLOGIC_X1Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y36 IS_RESERVED 0 SITEPROP OLOGIC_X1Y36 IS_TEST 0 SITEPROP OLOGIC_X1Y36 IS_USED 0 SITEPROP OLOGIC_X1Y36 MANUAL_ROUTING SITEPROP OLOGIC_X1Y36 NAME OLOGIC_X1Y36 SITEPROP OLOGIC_X1Y36 NUM_ARCS 26 SITEPROP OLOGIC_X1Y36 NUM_BELS 3 SITEPROP OLOGIC_X1Y36 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y36 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y36 NUM_PINS 33 SITEPROP OLOGIC_X1Y36 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y36 PROHIBIT 0 SITEPROP OLOGIC_X1Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y36 RPM_X 183 SITEPROP OLOGIC_X1Y36 RPM_Y 70 SITEPROP OLOGIC_X1Y36 SITE_PIPS SITEPROP OLOGIC_X1Y36 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y37 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y37 CLASS site SITEPROP OLOGIC_X1Y37 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y37 IS_BONDED 0 SITEPROP OLOGIC_X1Y37 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y37 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y37 IS_PAD 0 SITEPROP OLOGIC_X1Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y37 IS_RESERVED 0 SITEPROP OLOGIC_X1Y37 IS_TEST 0 SITEPROP OLOGIC_X1Y37 IS_USED 0 SITEPROP OLOGIC_X1Y37 MANUAL_ROUTING SITEPROP OLOGIC_X1Y37 NAME OLOGIC_X1Y37 SITEPROP OLOGIC_X1Y37 NUM_ARCS 26 SITEPROP OLOGIC_X1Y37 NUM_BELS 3 SITEPROP OLOGIC_X1Y37 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y37 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y37 NUM_PINS 33 SITEPROP OLOGIC_X1Y37 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y37 PROHIBIT 0 SITEPROP OLOGIC_X1Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y37 RPM_X 183 SITEPROP OLOGIC_X1Y37 RPM_Y 75 SITEPROP OLOGIC_X1Y37 SITE_PIPS SITEPROP OLOGIC_X1Y37 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y38 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y38 CLASS site SITEPROP OLOGIC_X1Y38 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y38 IS_BONDED 0 SITEPROP OLOGIC_X1Y38 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y38 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y38 IS_PAD 0 SITEPROP OLOGIC_X1Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y38 IS_RESERVED 0 SITEPROP OLOGIC_X1Y38 IS_TEST 0 SITEPROP OLOGIC_X1Y38 IS_USED 0 SITEPROP OLOGIC_X1Y38 MANUAL_ROUTING SITEPROP OLOGIC_X1Y38 NAME OLOGIC_X1Y38 SITEPROP OLOGIC_X1Y38 NUM_ARCS 26 SITEPROP OLOGIC_X1Y38 NUM_BELS 3 SITEPROP OLOGIC_X1Y38 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y38 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y38 NUM_PINS 33 SITEPROP OLOGIC_X1Y38 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y38 PROHIBIT 0 SITEPROP OLOGIC_X1Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y38 RPM_X 183 SITEPROP OLOGIC_X1Y38 RPM_Y 74 SITEPROP OLOGIC_X1Y38 SITE_PIPS SITEPROP OLOGIC_X1Y38 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y39 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y39 CLASS site SITEPROP OLOGIC_X1Y39 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y39 IS_BONDED 0 SITEPROP OLOGIC_X1Y39 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y39 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y39 IS_PAD 0 SITEPROP OLOGIC_X1Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y39 IS_RESERVED 0 SITEPROP OLOGIC_X1Y39 IS_TEST 0 SITEPROP OLOGIC_X1Y39 IS_USED 0 SITEPROP OLOGIC_X1Y39 MANUAL_ROUTING SITEPROP OLOGIC_X1Y39 NAME OLOGIC_X1Y39 SITEPROP OLOGIC_X1Y39 NUM_ARCS 26 SITEPROP OLOGIC_X1Y39 NUM_BELS 3 SITEPROP OLOGIC_X1Y39 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y39 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y39 NUM_PINS 33 SITEPROP OLOGIC_X1Y39 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y39 PROHIBIT 0 SITEPROP OLOGIC_X1Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y39 RPM_X 183 SITEPROP OLOGIC_X1Y39 RPM_Y 79 SITEPROP OLOGIC_X1Y39 SITE_PIPS SITEPROP OLOGIC_X1Y39 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y40 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y40 CLASS site SITEPROP OLOGIC_X1Y40 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y40 IS_BONDED 0 SITEPROP OLOGIC_X1Y40 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y40 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y40 IS_PAD 0 SITEPROP OLOGIC_X1Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y40 IS_RESERVED 0 SITEPROP OLOGIC_X1Y40 IS_TEST 0 SITEPROP OLOGIC_X1Y40 IS_USED 0 SITEPROP OLOGIC_X1Y40 MANUAL_ROUTING SITEPROP OLOGIC_X1Y40 NAME OLOGIC_X1Y40 SITEPROP OLOGIC_X1Y40 NUM_ARCS 26 SITEPROP OLOGIC_X1Y40 NUM_BELS 3 SITEPROP OLOGIC_X1Y40 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y40 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y40 NUM_PINS 33 SITEPROP OLOGIC_X1Y40 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y40 PROHIBIT 0 SITEPROP OLOGIC_X1Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y40 RPM_X 183 SITEPROP OLOGIC_X1Y40 RPM_Y 78 SITEPROP OLOGIC_X1Y40 SITE_PIPS SITEPROP OLOGIC_X1Y40 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y41 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y41 CLASS site SITEPROP OLOGIC_X1Y41 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y41 IS_BONDED 0 SITEPROP OLOGIC_X1Y41 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y41 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y41 IS_PAD 0 SITEPROP OLOGIC_X1Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y41 IS_RESERVED 0 SITEPROP OLOGIC_X1Y41 IS_TEST 0 SITEPROP OLOGIC_X1Y41 IS_USED 0 SITEPROP OLOGIC_X1Y41 MANUAL_ROUTING SITEPROP OLOGIC_X1Y41 NAME OLOGIC_X1Y41 SITEPROP OLOGIC_X1Y41 NUM_ARCS 26 SITEPROP OLOGIC_X1Y41 NUM_BELS 3 SITEPROP OLOGIC_X1Y41 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y41 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y41 NUM_PINS 33 SITEPROP OLOGIC_X1Y41 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y41 PROHIBIT 0 SITEPROP OLOGIC_X1Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y41 RPM_X 183 SITEPROP OLOGIC_X1Y41 RPM_Y 83 SITEPROP OLOGIC_X1Y41 SITE_PIPS SITEPROP OLOGIC_X1Y41 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y42 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y42 CLASS site SITEPROP OLOGIC_X1Y42 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y42 IS_BONDED 0 SITEPROP OLOGIC_X1Y42 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y42 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y42 IS_PAD 0 SITEPROP OLOGIC_X1Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y42 IS_RESERVED 0 SITEPROP OLOGIC_X1Y42 IS_TEST 0 SITEPROP OLOGIC_X1Y42 IS_USED 0 SITEPROP OLOGIC_X1Y42 MANUAL_ROUTING SITEPROP OLOGIC_X1Y42 NAME OLOGIC_X1Y42 SITEPROP OLOGIC_X1Y42 NUM_ARCS 26 SITEPROP OLOGIC_X1Y42 NUM_BELS 3 SITEPROP OLOGIC_X1Y42 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y42 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y42 NUM_PINS 33 SITEPROP OLOGIC_X1Y42 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y42 PROHIBIT 0 SITEPROP OLOGIC_X1Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y42 RPM_X 183 SITEPROP OLOGIC_X1Y42 RPM_Y 82 SITEPROP OLOGIC_X1Y42 SITE_PIPS SITEPROP OLOGIC_X1Y42 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y43 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y43 CLASS site SITEPROP OLOGIC_X1Y43 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y43 IS_BONDED 0 SITEPROP OLOGIC_X1Y43 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y43 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y43 IS_PAD 0 SITEPROP OLOGIC_X1Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y43 IS_RESERVED 0 SITEPROP OLOGIC_X1Y43 IS_TEST 0 SITEPROP OLOGIC_X1Y43 IS_USED 0 SITEPROP OLOGIC_X1Y43 MANUAL_ROUTING SITEPROP OLOGIC_X1Y43 NAME OLOGIC_X1Y43 SITEPROP OLOGIC_X1Y43 NUM_ARCS 26 SITEPROP OLOGIC_X1Y43 NUM_BELS 3 SITEPROP OLOGIC_X1Y43 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y43 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y43 NUM_PINS 33 SITEPROP OLOGIC_X1Y43 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y43 PROHIBIT 0 SITEPROP OLOGIC_X1Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y43 RPM_X 183 SITEPROP OLOGIC_X1Y43 RPM_Y 87 SITEPROP OLOGIC_X1Y43 SITE_PIPS SITEPROP OLOGIC_X1Y43 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y44 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y44 CLASS site SITEPROP OLOGIC_X1Y44 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y44 IS_BONDED 0 SITEPROP OLOGIC_X1Y44 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y44 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y44 IS_PAD 0 SITEPROP OLOGIC_X1Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y44 IS_RESERVED 0 SITEPROP OLOGIC_X1Y44 IS_TEST 0 SITEPROP OLOGIC_X1Y44 IS_USED 0 SITEPROP OLOGIC_X1Y44 MANUAL_ROUTING SITEPROP OLOGIC_X1Y44 NAME OLOGIC_X1Y44 SITEPROP OLOGIC_X1Y44 NUM_ARCS 26 SITEPROP OLOGIC_X1Y44 NUM_BELS 3 SITEPROP OLOGIC_X1Y44 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y44 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y44 NUM_PINS 33 SITEPROP OLOGIC_X1Y44 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y44 PROHIBIT 0 SITEPROP OLOGIC_X1Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y44 RPM_X 183 SITEPROP OLOGIC_X1Y44 RPM_Y 86 SITEPROP OLOGIC_X1Y44 SITE_PIPS SITEPROP OLOGIC_X1Y44 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y45 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y45 CLASS site SITEPROP OLOGIC_X1Y45 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y45 IS_BONDED 0 SITEPROP OLOGIC_X1Y45 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y45 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y45 IS_PAD 0 SITEPROP OLOGIC_X1Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y45 IS_RESERVED 0 SITEPROP OLOGIC_X1Y45 IS_TEST 0 SITEPROP OLOGIC_X1Y45 IS_USED 0 SITEPROP OLOGIC_X1Y45 MANUAL_ROUTING SITEPROP OLOGIC_X1Y45 NAME OLOGIC_X1Y45 SITEPROP OLOGIC_X1Y45 NUM_ARCS 26 SITEPROP OLOGIC_X1Y45 NUM_BELS 3 SITEPROP OLOGIC_X1Y45 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y45 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y45 NUM_PINS 33 SITEPROP OLOGIC_X1Y45 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y45 PROHIBIT 0 SITEPROP OLOGIC_X1Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y45 RPM_X 183 SITEPROP OLOGIC_X1Y45 RPM_Y 91 SITEPROP OLOGIC_X1Y45 SITE_PIPS SITEPROP OLOGIC_X1Y45 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y46 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y46 CLASS site SITEPROP OLOGIC_X1Y46 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y46 IS_BONDED 0 SITEPROP OLOGIC_X1Y46 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y46 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y46 IS_PAD 0 SITEPROP OLOGIC_X1Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y46 IS_RESERVED 0 SITEPROP OLOGIC_X1Y46 IS_TEST 0 SITEPROP OLOGIC_X1Y46 IS_USED 0 SITEPROP OLOGIC_X1Y46 MANUAL_ROUTING SITEPROP OLOGIC_X1Y46 NAME OLOGIC_X1Y46 SITEPROP OLOGIC_X1Y46 NUM_ARCS 26 SITEPROP OLOGIC_X1Y46 NUM_BELS 3 SITEPROP OLOGIC_X1Y46 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y46 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y46 NUM_PINS 33 SITEPROP OLOGIC_X1Y46 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y46 PROHIBIT 0 SITEPROP OLOGIC_X1Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y46 RPM_X 183 SITEPROP OLOGIC_X1Y46 RPM_Y 90 SITEPROP OLOGIC_X1Y46 SITE_PIPS SITEPROP OLOGIC_X1Y46 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y47 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y47 CLASS site SITEPROP OLOGIC_X1Y47 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y47 IS_BONDED 0 SITEPROP OLOGIC_X1Y47 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y47 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y47 IS_PAD 0 SITEPROP OLOGIC_X1Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y47 IS_RESERVED 0 SITEPROP OLOGIC_X1Y47 IS_TEST 0 SITEPROP OLOGIC_X1Y47 IS_USED 0 SITEPROP OLOGIC_X1Y47 MANUAL_ROUTING SITEPROP OLOGIC_X1Y47 NAME OLOGIC_X1Y47 SITEPROP OLOGIC_X1Y47 NUM_ARCS 26 SITEPROP OLOGIC_X1Y47 NUM_BELS 3 SITEPROP OLOGIC_X1Y47 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y47 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y47 NUM_PINS 33 SITEPROP OLOGIC_X1Y47 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y47 PROHIBIT 0 SITEPROP OLOGIC_X1Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y47 RPM_X 183 SITEPROP OLOGIC_X1Y47 RPM_Y 95 SITEPROP OLOGIC_X1Y47 SITE_PIPS SITEPROP OLOGIC_X1Y47 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y48 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y48 CLASS site SITEPROP OLOGIC_X1Y48 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y48 IS_BONDED 0 SITEPROP OLOGIC_X1Y48 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y48 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y48 IS_PAD 0 SITEPROP OLOGIC_X1Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y48 IS_RESERVED 0 SITEPROP OLOGIC_X1Y48 IS_TEST 0 SITEPROP OLOGIC_X1Y48 IS_USED 0 SITEPROP OLOGIC_X1Y48 MANUAL_ROUTING SITEPROP OLOGIC_X1Y48 NAME OLOGIC_X1Y48 SITEPROP OLOGIC_X1Y48 NUM_ARCS 26 SITEPROP OLOGIC_X1Y48 NUM_BELS 3 SITEPROP OLOGIC_X1Y48 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y48 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y48 NUM_PINS 33 SITEPROP OLOGIC_X1Y48 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y48 PROHIBIT 0 SITEPROP OLOGIC_X1Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y48 RPM_X 183 SITEPROP OLOGIC_X1Y48 RPM_Y 94 SITEPROP OLOGIC_X1Y48 SITE_PIPS SITEPROP OLOGIC_X1Y48 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y49 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y49 CLASS site SITEPROP OLOGIC_X1Y49 CLOCK_REGION X1Y0 SITEPROP OLOGIC_X1Y49 IS_BONDED 0 SITEPROP OLOGIC_X1Y49 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y49 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y49 IS_PAD 0 SITEPROP OLOGIC_X1Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y49 IS_RESERVED 0 SITEPROP OLOGIC_X1Y49 IS_TEST 0 SITEPROP OLOGIC_X1Y49 IS_USED 0 SITEPROP OLOGIC_X1Y49 MANUAL_ROUTING SITEPROP OLOGIC_X1Y49 NAME OLOGIC_X1Y49 SITEPROP OLOGIC_X1Y49 NUM_ARCS 26 SITEPROP OLOGIC_X1Y49 NUM_BELS 3 SITEPROP OLOGIC_X1Y49 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y49 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y49 NUM_PINS 33 SITEPROP OLOGIC_X1Y49 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y49 PROHIBIT 0 SITEPROP OLOGIC_X1Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y49 RPM_X 183 SITEPROP OLOGIC_X1Y49 RPM_Y 98 SITEPROP OLOGIC_X1Y49 SITE_PIPS SITEPROP OLOGIC_X1Y49 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y50 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y50 CLASS site SITEPROP OLOGIC_X1Y50 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y50 IS_BONDED 0 SITEPROP OLOGIC_X1Y50 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y50 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y50 IS_PAD 0 SITEPROP OLOGIC_X1Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y50 IS_RESERVED 0 SITEPROP OLOGIC_X1Y50 IS_TEST 0 SITEPROP OLOGIC_X1Y50 IS_USED 0 SITEPROP OLOGIC_X1Y50 MANUAL_ROUTING SITEPROP OLOGIC_X1Y50 NAME OLOGIC_X1Y50 SITEPROP OLOGIC_X1Y50 NUM_ARCS 26 SITEPROP OLOGIC_X1Y50 NUM_BELS 3 SITEPROP OLOGIC_X1Y50 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y50 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y50 NUM_PINS 33 SITEPROP OLOGIC_X1Y50 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y50 PROHIBIT 0 SITEPROP OLOGIC_X1Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y50 RPM_X 183 SITEPROP OLOGIC_X1Y50 RPM_Y 100 SITEPROP OLOGIC_X1Y50 SITE_PIPS SITEPROP OLOGIC_X1Y50 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y51 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y51 CLASS site SITEPROP OLOGIC_X1Y51 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y51 IS_BONDED 0 SITEPROP OLOGIC_X1Y51 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y51 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y51 IS_PAD 0 SITEPROP OLOGIC_X1Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y51 IS_RESERVED 0 SITEPROP OLOGIC_X1Y51 IS_TEST 0 SITEPROP OLOGIC_X1Y51 IS_USED 0 SITEPROP OLOGIC_X1Y51 MANUAL_ROUTING SITEPROP OLOGIC_X1Y51 NAME OLOGIC_X1Y51 SITEPROP OLOGIC_X1Y51 NUM_ARCS 26 SITEPROP OLOGIC_X1Y51 NUM_BELS 3 SITEPROP OLOGIC_X1Y51 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y51 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y51 NUM_PINS 33 SITEPROP OLOGIC_X1Y51 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y51 PROHIBIT 0 SITEPROP OLOGIC_X1Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y51 RPM_X 183 SITEPROP OLOGIC_X1Y51 RPM_Y 103 SITEPROP OLOGIC_X1Y51 SITE_PIPS SITEPROP OLOGIC_X1Y51 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y52 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y52 CLASS site SITEPROP OLOGIC_X1Y52 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y52 IS_BONDED 0 SITEPROP OLOGIC_X1Y52 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y52 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y52 IS_PAD 0 SITEPROP OLOGIC_X1Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y52 IS_RESERVED 0 SITEPROP OLOGIC_X1Y52 IS_TEST 0 SITEPROP OLOGIC_X1Y52 IS_USED 0 SITEPROP OLOGIC_X1Y52 MANUAL_ROUTING SITEPROP OLOGIC_X1Y52 NAME OLOGIC_X1Y52 SITEPROP OLOGIC_X1Y52 NUM_ARCS 26 SITEPROP OLOGIC_X1Y52 NUM_BELS 3 SITEPROP OLOGIC_X1Y52 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y52 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y52 NUM_PINS 33 SITEPROP OLOGIC_X1Y52 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y52 PROHIBIT 0 SITEPROP OLOGIC_X1Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y52 RPM_X 183 SITEPROP OLOGIC_X1Y52 RPM_Y 102 SITEPROP OLOGIC_X1Y52 SITE_PIPS SITEPROP OLOGIC_X1Y52 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y53 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y53 CLASS site SITEPROP OLOGIC_X1Y53 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y53 IS_BONDED 0 SITEPROP OLOGIC_X1Y53 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y53 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y53 IS_PAD 0 SITEPROP OLOGIC_X1Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y53 IS_RESERVED 0 SITEPROP OLOGIC_X1Y53 IS_TEST 0 SITEPROP OLOGIC_X1Y53 IS_USED 0 SITEPROP OLOGIC_X1Y53 MANUAL_ROUTING SITEPROP OLOGIC_X1Y53 NAME OLOGIC_X1Y53 SITEPROP OLOGIC_X1Y53 NUM_ARCS 26 SITEPROP OLOGIC_X1Y53 NUM_BELS 3 SITEPROP OLOGIC_X1Y53 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y53 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y53 NUM_PINS 33 SITEPROP OLOGIC_X1Y53 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y53 PROHIBIT 0 SITEPROP OLOGIC_X1Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y53 RPM_X 183 SITEPROP OLOGIC_X1Y53 RPM_Y 107 SITEPROP OLOGIC_X1Y53 SITE_PIPS SITEPROP OLOGIC_X1Y53 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y54 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y54 CLASS site SITEPROP OLOGIC_X1Y54 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y54 IS_BONDED 0 SITEPROP OLOGIC_X1Y54 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y54 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y54 IS_PAD 0 SITEPROP OLOGIC_X1Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y54 IS_RESERVED 0 SITEPROP OLOGIC_X1Y54 IS_TEST 0 SITEPROP OLOGIC_X1Y54 IS_USED 0 SITEPROP OLOGIC_X1Y54 MANUAL_ROUTING SITEPROP OLOGIC_X1Y54 NAME OLOGIC_X1Y54 SITEPROP OLOGIC_X1Y54 NUM_ARCS 26 SITEPROP OLOGIC_X1Y54 NUM_BELS 3 SITEPROP OLOGIC_X1Y54 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y54 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y54 NUM_PINS 33 SITEPROP OLOGIC_X1Y54 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y54 PROHIBIT 0 SITEPROP OLOGIC_X1Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y54 RPM_X 183 SITEPROP OLOGIC_X1Y54 RPM_Y 106 SITEPROP OLOGIC_X1Y54 SITE_PIPS SITEPROP OLOGIC_X1Y54 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y55 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y55 CLASS site SITEPROP OLOGIC_X1Y55 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y55 IS_BONDED 0 SITEPROP OLOGIC_X1Y55 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y55 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y55 IS_PAD 0 SITEPROP OLOGIC_X1Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y55 IS_RESERVED 0 SITEPROP OLOGIC_X1Y55 IS_TEST 0 SITEPROP OLOGIC_X1Y55 IS_USED 0 SITEPROP OLOGIC_X1Y55 MANUAL_ROUTING SITEPROP OLOGIC_X1Y55 NAME OLOGIC_X1Y55 SITEPROP OLOGIC_X1Y55 NUM_ARCS 26 SITEPROP OLOGIC_X1Y55 NUM_BELS 3 SITEPROP OLOGIC_X1Y55 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y55 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y55 NUM_PINS 33 SITEPROP OLOGIC_X1Y55 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y55 PROHIBIT 0 SITEPROP OLOGIC_X1Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y55 RPM_X 183 SITEPROP OLOGIC_X1Y55 RPM_Y 111 SITEPROP OLOGIC_X1Y55 SITE_PIPS SITEPROP OLOGIC_X1Y55 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y56 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y56 CLASS site SITEPROP OLOGIC_X1Y56 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y56 IS_BONDED 0 SITEPROP OLOGIC_X1Y56 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y56 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y56 IS_PAD 0 SITEPROP OLOGIC_X1Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y56 IS_RESERVED 0 SITEPROP OLOGIC_X1Y56 IS_TEST 0 SITEPROP OLOGIC_X1Y56 IS_USED 0 SITEPROP OLOGIC_X1Y56 MANUAL_ROUTING SITEPROP OLOGIC_X1Y56 NAME OLOGIC_X1Y56 SITEPROP OLOGIC_X1Y56 NUM_ARCS 26 SITEPROP OLOGIC_X1Y56 NUM_BELS 3 SITEPROP OLOGIC_X1Y56 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y56 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y56 NUM_PINS 33 SITEPROP OLOGIC_X1Y56 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y56 PROHIBIT 0 SITEPROP OLOGIC_X1Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y56 RPM_X 183 SITEPROP OLOGIC_X1Y56 RPM_Y 110 SITEPROP OLOGIC_X1Y56 SITE_PIPS SITEPROP OLOGIC_X1Y56 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y57 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y57 CLASS site SITEPROP OLOGIC_X1Y57 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y57 IS_BONDED 0 SITEPROP OLOGIC_X1Y57 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y57 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y57 IS_PAD 0 SITEPROP OLOGIC_X1Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y57 IS_RESERVED 0 SITEPROP OLOGIC_X1Y57 IS_TEST 0 SITEPROP OLOGIC_X1Y57 IS_USED 0 SITEPROP OLOGIC_X1Y57 MANUAL_ROUTING SITEPROP OLOGIC_X1Y57 NAME OLOGIC_X1Y57 SITEPROP OLOGIC_X1Y57 NUM_ARCS 26 SITEPROP OLOGIC_X1Y57 NUM_BELS 3 SITEPROP OLOGIC_X1Y57 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y57 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y57 NUM_PINS 33 SITEPROP OLOGIC_X1Y57 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y57 PROHIBIT 0 SITEPROP OLOGIC_X1Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y57 RPM_X 183 SITEPROP OLOGIC_X1Y57 RPM_Y 115 SITEPROP OLOGIC_X1Y57 SITE_PIPS SITEPROP OLOGIC_X1Y57 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y58 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y58 CLASS site SITEPROP OLOGIC_X1Y58 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y58 IS_BONDED 0 SITEPROP OLOGIC_X1Y58 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y58 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y58 IS_PAD 0 SITEPROP OLOGIC_X1Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y58 IS_RESERVED 0 SITEPROP OLOGIC_X1Y58 IS_TEST 0 SITEPROP OLOGIC_X1Y58 IS_USED 0 SITEPROP OLOGIC_X1Y58 MANUAL_ROUTING SITEPROP OLOGIC_X1Y58 NAME OLOGIC_X1Y58 SITEPROP OLOGIC_X1Y58 NUM_ARCS 26 SITEPROP OLOGIC_X1Y58 NUM_BELS 3 SITEPROP OLOGIC_X1Y58 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y58 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y58 NUM_PINS 33 SITEPROP OLOGIC_X1Y58 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y58 PROHIBIT 0 SITEPROP OLOGIC_X1Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y58 RPM_X 183 SITEPROP OLOGIC_X1Y58 RPM_Y 114 SITEPROP OLOGIC_X1Y58 SITE_PIPS SITEPROP OLOGIC_X1Y58 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y59 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y59 CLASS site SITEPROP OLOGIC_X1Y59 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y59 IS_BONDED 0 SITEPROP OLOGIC_X1Y59 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y59 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y59 IS_PAD 0 SITEPROP OLOGIC_X1Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y59 IS_RESERVED 0 SITEPROP OLOGIC_X1Y59 IS_TEST 0 SITEPROP OLOGIC_X1Y59 IS_USED 0 SITEPROP OLOGIC_X1Y59 MANUAL_ROUTING SITEPROP OLOGIC_X1Y59 NAME OLOGIC_X1Y59 SITEPROP OLOGIC_X1Y59 NUM_ARCS 26 SITEPROP OLOGIC_X1Y59 NUM_BELS 3 SITEPROP OLOGIC_X1Y59 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y59 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y59 NUM_PINS 33 SITEPROP OLOGIC_X1Y59 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y59 PROHIBIT 0 SITEPROP OLOGIC_X1Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y59 RPM_X 183 SITEPROP OLOGIC_X1Y59 RPM_Y 119 SITEPROP OLOGIC_X1Y59 SITE_PIPS SITEPROP OLOGIC_X1Y59 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y60 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y60 CLASS site SITEPROP OLOGIC_X1Y60 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y60 IS_BONDED 0 SITEPROP OLOGIC_X1Y60 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y60 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y60 IS_PAD 0 SITEPROP OLOGIC_X1Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y60 IS_RESERVED 0 SITEPROP OLOGIC_X1Y60 IS_TEST 0 SITEPROP OLOGIC_X1Y60 IS_USED 0 SITEPROP OLOGIC_X1Y60 MANUAL_ROUTING SITEPROP OLOGIC_X1Y60 NAME OLOGIC_X1Y60 SITEPROP OLOGIC_X1Y60 NUM_ARCS 26 SITEPROP OLOGIC_X1Y60 NUM_BELS 3 SITEPROP OLOGIC_X1Y60 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y60 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y60 NUM_PINS 33 SITEPROP OLOGIC_X1Y60 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y60 PROHIBIT 0 SITEPROP OLOGIC_X1Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y60 RPM_X 183 SITEPROP OLOGIC_X1Y60 RPM_Y 118 SITEPROP OLOGIC_X1Y60 SITE_PIPS SITEPROP OLOGIC_X1Y60 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y61 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y61 CLASS site SITEPROP OLOGIC_X1Y61 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y61 IS_BONDED 0 SITEPROP OLOGIC_X1Y61 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y61 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y61 IS_PAD 0 SITEPROP OLOGIC_X1Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y61 IS_RESERVED 0 SITEPROP OLOGIC_X1Y61 IS_TEST 0 SITEPROP OLOGIC_X1Y61 IS_USED 0 SITEPROP OLOGIC_X1Y61 MANUAL_ROUTING SITEPROP OLOGIC_X1Y61 NAME OLOGIC_X1Y61 SITEPROP OLOGIC_X1Y61 NUM_ARCS 26 SITEPROP OLOGIC_X1Y61 NUM_BELS 3 SITEPROP OLOGIC_X1Y61 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y61 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y61 NUM_PINS 33 SITEPROP OLOGIC_X1Y61 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y61 PROHIBIT 0 SITEPROP OLOGIC_X1Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y61 RPM_X 183 SITEPROP OLOGIC_X1Y61 RPM_Y 123 SITEPROP OLOGIC_X1Y61 SITE_PIPS SITEPROP OLOGIC_X1Y61 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y62 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y62 CLASS site SITEPROP OLOGIC_X1Y62 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y62 IS_BONDED 0 SITEPROP OLOGIC_X1Y62 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y62 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y62 IS_PAD 0 SITEPROP OLOGIC_X1Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y62 IS_RESERVED 0 SITEPROP OLOGIC_X1Y62 IS_TEST 0 SITEPROP OLOGIC_X1Y62 IS_USED 0 SITEPROP OLOGIC_X1Y62 MANUAL_ROUTING SITEPROP OLOGIC_X1Y62 NAME OLOGIC_X1Y62 SITEPROP OLOGIC_X1Y62 NUM_ARCS 26 SITEPROP OLOGIC_X1Y62 NUM_BELS 3 SITEPROP OLOGIC_X1Y62 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y62 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y62 NUM_PINS 33 SITEPROP OLOGIC_X1Y62 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y62 PROHIBIT 0 SITEPROP OLOGIC_X1Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y62 RPM_X 183 SITEPROP OLOGIC_X1Y62 RPM_Y 122 SITEPROP OLOGIC_X1Y62 SITE_PIPS SITEPROP OLOGIC_X1Y62 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y63 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y63 CLASS site SITEPROP OLOGIC_X1Y63 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y63 IS_BONDED 0 SITEPROP OLOGIC_X1Y63 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y63 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y63 IS_PAD 0 SITEPROP OLOGIC_X1Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y63 IS_RESERVED 0 SITEPROP OLOGIC_X1Y63 IS_TEST 0 SITEPROP OLOGIC_X1Y63 IS_USED 0 SITEPROP OLOGIC_X1Y63 MANUAL_ROUTING SITEPROP OLOGIC_X1Y63 NAME OLOGIC_X1Y63 SITEPROP OLOGIC_X1Y63 NUM_ARCS 26 SITEPROP OLOGIC_X1Y63 NUM_BELS 3 SITEPROP OLOGIC_X1Y63 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y63 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y63 NUM_PINS 33 SITEPROP OLOGIC_X1Y63 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y63 PROHIBIT 0 SITEPROP OLOGIC_X1Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y63 RPM_X 183 SITEPROP OLOGIC_X1Y63 RPM_Y 127 SITEPROP OLOGIC_X1Y63 SITE_PIPS SITEPROP OLOGIC_X1Y63 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y64 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y64 CLASS site SITEPROP OLOGIC_X1Y64 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y64 IS_BONDED 0 SITEPROP OLOGIC_X1Y64 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y64 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y64 IS_PAD 0 SITEPROP OLOGIC_X1Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y64 IS_RESERVED 0 SITEPROP OLOGIC_X1Y64 IS_TEST 0 SITEPROP OLOGIC_X1Y64 IS_USED 0 SITEPROP OLOGIC_X1Y64 MANUAL_ROUTING SITEPROP OLOGIC_X1Y64 NAME OLOGIC_X1Y64 SITEPROP OLOGIC_X1Y64 NUM_ARCS 26 SITEPROP OLOGIC_X1Y64 NUM_BELS 3 SITEPROP OLOGIC_X1Y64 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y64 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y64 NUM_PINS 33 SITEPROP OLOGIC_X1Y64 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y64 PROHIBIT 0 SITEPROP OLOGIC_X1Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y64 RPM_X 183 SITEPROP OLOGIC_X1Y64 RPM_Y 126 SITEPROP OLOGIC_X1Y64 SITE_PIPS SITEPROP OLOGIC_X1Y64 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y65 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y65 CLASS site SITEPROP OLOGIC_X1Y65 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y65 IS_BONDED 0 SITEPROP OLOGIC_X1Y65 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y65 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y65 IS_PAD 0 SITEPROP OLOGIC_X1Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y65 IS_RESERVED 0 SITEPROP OLOGIC_X1Y65 IS_TEST 0 SITEPROP OLOGIC_X1Y65 IS_USED 0 SITEPROP OLOGIC_X1Y65 MANUAL_ROUTING SITEPROP OLOGIC_X1Y65 NAME OLOGIC_X1Y65 SITEPROP OLOGIC_X1Y65 NUM_ARCS 26 SITEPROP OLOGIC_X1Y65 NUM_BELS 3 SITEPROP OLOGIC_X1Y65 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y65 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y65 NUM_PINS 33 SITEPROP OLOGIC_X1Y65 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y65 PROHIBIT 0 SITEPROP OLOGIC_X1Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y65 RPM_X 183 SITEPROP OLOGIC_X1Y65 RPM_Y 131 SITEPROP OLOGIC_X1Y65 SITE_PIPS SITEPROP OLOGIC_X1Y65 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y66 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y66 CLASS site SITEPROP OLOGIC_X1Y66 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y66 IS_BONDED 0 SITEPROP OLOGIC_X1Y66 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y66 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y66 IS_PAD 0 SITEPROP OLOGIC_X1Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y66 IS_RESERVED 0 SITEPROP OLOGIC_X1Y66 IS_TEST 0 SITEPROP OLOGIC_X1Y66 IS_USED 0 SITEPROP OLOGIC_X1Y66 MANUAL_ROUTING SITEPROP OLOGIC_X1Y66 NAME OLOGIC_X1Y66 SITEPROP OLOGIC_X1Y66 NUM_ARCS 26 SITEPROP OLOGIC_X1Y66 NUM_BELS 3 SITEPROP OLOGIC_X1Y66 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y66 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y66 NUM_PINS 33 SITEPROP OLOGIC_X1Y66 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y66 PROHIBIT 0 SITEPROP OLOGIC_X1Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y66 RPM_X 183 SITEPROP OLOGIC_X1Y66 RPM_Y 130 SITEPROP OLOGIC_X1Y66 SITE_PIPS SITEPROP OLOGIC_X1Y66 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y67 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y67 CLASS site SITEPROP OLOGIC_X1Y67 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y67 IS_BONDED 0 SITEPROP OLOGIC_X1Y67 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y67 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y67 IS_PAD 0 SITEPROP OLOGIC_X1Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y67 IS_RESERVED 0 SITEPROP OLOGIC_X1Y67 IS_TEST 0 SITEPROP OLOGIC_X1Y67 IS_USED 0 SITEPROP OLOGIC_X1Y67 MANUAL_ROUTING SITEPROP OLOGIC_X1Y67 NAME OLOGIC_X1Y67 SITEPROP OLOGIC_X1Y67 NUM_ARCS 26 SITEPROP OLOGIC_X1Y67 NUM_BELS 3 SITEPROP OLOGIC_X1Y67 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y67 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y67 NUM_PINS 33 SITEPROP OLOGIC_X1Y67 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y67 PROHIBIT 0 SITEPROP OLOGIC_X1Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y67 RPM_X 183 SITEPROP OLOGIC_X1Y67 RPM_Y 135 SITEPROP OLOGIC_X1Y67 SITE_PIPS SITEPROP OLOGIC_X1Y67 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y68 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y68 CLASS site SITEPROP OLOGIC_X1Y68 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y68 IS_BONDED 0 SITEPROP OLOGIC_X1Y68 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y68 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y68 IS_PAD 0 SITEPROP OLOGIC_X1Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y68 IS_RESERVED 0 SITEPROP OLOGIC_X1Y68 IS_TEST 0 SITEPROP OLOGIC_X1Y68 IS_USED 0 SITEPROP OLOGIC_X1Y68 MANUAL_ROUTING SITEPROP OLOGIC_X1Y68 NAME OLOGIC_X1Y68 SITEPROP OLOGIC_X1Y68 NUM_ARCS 26 SITEPROP OLOGIC_X1Y68 NUM_BELS 3 SITEPROP OLOGIC_X1Y68 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y68 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y68 NUM_PINS 33 SITEPROP OLOGIC_X1Y68 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y68 PROHIBIT 0 SITEPROP OLOGIC_X1Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y68 RPM_X 183 SITEPROP OLOGIC_X1Y68 RPM_Y 134 SITEPROP OLOGIC_X1Y68 SITE_PIPS SITEPROP OLOGIC_X1Y68 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y69 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y69 CLASS site SITEPROP OLOGIC_X1Y69 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y69 IS_BONDED 0 SITEPROP OLOGIC_X1Y69 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y69 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y69 IS_PAD 0 SITEPROP OLOGIC_X1Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y69 IS_RESERVED 0 SITEPROP OLOGIC_X1Y69 IS_TEST 0 SITEPROP OLOGIC_X1Y69 IS_USED 0 SITEPROP OLOGIC_X1Y69 MANUAL_ROUTING SITEPROP OLOGIC_X1Y69 NAME OLOGIC_X1Y69 SITEPROP OLOGIC_X1Y69 NUM_ARCS 26 SITEPROP OLOGIC_X1Y69 NUM_BELS 3 SITEPROP OLOGIC_X1Y69 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y69 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y69 NUM_PINS 33 SITEPROP OLOGIC_X1Y69 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y69 PROHIBIT 0 SITEPROP OLOGIC_X1Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y69 RPM_X 183 SITEPROP OLOGIC_X1Y69 RPM_Y 139 SITEPROP OLOGIC_X1Y69 SITE_PIPS SITEPROP OLOGIC_X1Y69 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y70 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y70 CLASS site SITEPROP OLOGIC_X1Y70 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y70 IS_BONDED 0 SITEPROP OLOGIC_X1Y70 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y70 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y70 IS_PAD 0 SITEPROP OLOGIC_X1Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y70 IS_RESERVED 0 SITEPROP OLOGIC_X1Y70 IS_TEST 0 SITEPROP OLOGIC_X1Y70 IS_USED 0 SITEPROP OLOGIC_X1Y70 MANUAL_ROUTING SITEPROP OLOGIC_X1Y70 NAME OLOGIC_X1Y70 SITEPROP OLOGIC_X1Y70 NUM_ARCS 26 SITEPROP OLOGIC_X1Y70 NUM_BELS 3 SITEPROP OLOGIC_X1Y70 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y70 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y70 NUM_PINS 33 SITEPROP OLOGIC_X1Y70 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y70 PROHIBIT 0 SITEPROP OLOGIC_X1Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y70 RPM_X 183 SITEPROP OLOGIC_X1Y70 RPM_Y 138 SITEPROP OLOGIC_X1Y70 SITE_PIPS SITEPROP OLOGIC_X1Y70 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y71 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y71 CLASS site SITEPROP OLOGIC_X1Y71 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y71 IS_BONDED 0 SITEPROP OLOGIC_X1Y71 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y71 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y71 IS_PAD 0 SITEPROP OLOGIC_X1Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y71 IS_RESERVED 0 SITEPROP OLOGIC_X1Y71 IS_TEST 0 SITEPROP OLOGIC_X1Y71 IS_USED 0 SITEPROP OLOGIC_X1Y71 MANUAL_ROUTING SITEPROP OLOGIC_X1Y71 NAME OLOGIC_X1Y71 SITEPROP OLOGIC_X1Y71 NUM_ARCS 26 SITEPROP OLOGIC_X1Y71 NUM_BELS 3 SITEPROP OLOGIC_X1Y71 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y71 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y71 NUM_PINS 33 SITEPROP OLOGIC_X1Y71 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y71 PROHIBIT 0 SITEPROP OLOGIC_X1Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y71 RPM_X 183 SITEPROP OLOGIC_X1Y71 RPM_Y 143 SITEPROP OLOGIC_X1Y71 SITE_PIPS SITEPROP OLOGIC_X1Y71 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y72 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y72 CLASS site SITEPROP OLOGIC_X1Y72 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y72 IS_BONDED 0 SITEPROP OLOGIC_X1Y72 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y72 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y72 IS_PAD 0 SITEPROP OLOGIC_X1Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y72 IS_RESERVED 0 SITEPROP OLOGIC_X1Y72 IS_TEST 0 SITEPROP OLOGIC_X1Y72 IS_USED 0 SITEPROP OLOGIC_X1Y72 MANUAL_ROUTING SITEPROP OLOGIC_X1Y72 NAME OLOGIC_X1Y72 SITEPROP OLOGIC_X1Y72 NUM_ARCS 26 SITEPROP OLOGIC_X1Y72 NUM_BELS 3 SITEPROP OLOGIC_X1Y72 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y72 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y72 NUM_PINS 33 SITEPROP OLOGIC_X1Y72 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y72 PROHIBIT 0 SITEPROP OLOGIC_X1Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y72 RPM_X 183 SITEPROP OLOGIC_X1Y72 RPM_Y 142 SITEPROP OLOGIC_X1Y72 SITE_PIPS SITEPROP OLOGIC_X1Y72 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y73 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y73 CLASS site SITEPROP OLOGIC_X1Y73 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y73 IS_BONDED 0 SITEPROP OLOGIC_X1Y73 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y73 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y73 IS_PAD 0 SITEPROP OLOGIC_X1Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y73 IS_RESERVED 0 SITEPROP OLOGIC_X1Y73 IS_TEST 0 SITEPROP OLOGIC_X1Y73 IS_USED 0 SITEPROP OLOGIC_X1Y73 MANUAL_ROUTING SITEPROP OLOGIC_X1Y73 NAME OLOGIC_X1Y73 SITEPROP OLOGIC_X1Y73 NUM_ARCS 26 SITEPROP OLOGIC_X1Y73 NUM_BELS 3 SITEPROP OLOGIC_X1Y73 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y73 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y73 NUM_PINS 33 SITEPROP OLOGIC_X1Y73 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y73 PROHIBIT 0 SITEPROP OLOGIC_X1Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y73 RPM_X 183 SITEPROP OLOGIC_X1Y73 RPM_Y 147 SITEPROP OLOGIC_X1Y73 SITE_PIPS SITEPROP OLOGIC_X1Y73 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y74 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y74 CLASS site SITEPROP OLOGIC_X1Y74 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y74 IS_BONDED 0 SITEPROP OLOGIC_X1Y74 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y74 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y74 IS_PAD 0 SITEPROP OLOGIC_X1Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y74 IS_RESERVED 0 SITEPROP OLOGIC_X1Y74 IS_TEST 0 SITEPROP OLOGIC_X1Y74 IS_USED 0 SITEPROP OLOGIC_X1Y74 MANUAL_ROUTING SITEPROP OLOGIC_X1Y74 NAME OLOGIC_X1Y74 SITEPROP OLOGIC_X1Y74 NUM_ARCS 26 SITEPROP OLOGIC_X1Y74 NUM_BELS 3 SITEPROP OLOGIC_X1Y74 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y74 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y74 NUM_PINS 33 SITEPROP OLOGIC_X1Y74 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y74 PROHIBIT 0 SITEPROP OLOGIC_X1Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y74 RPM_X 183 SITEPROP OLOGIC_X1Y74 RPM_Y 146 SITEPROP OLOGIC_X1Y74 SITE_PIPS SITEPROP OLOGIC_X1Y74 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y75 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y75 CLASS site SITEPROP OLOGIC_X1Y75 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y75 IS_BONDED 0 SITEPROP OLOGIC_X1Y75 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y75 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y75 IS_PAD 0 SITEPROP OLOGIC_X1Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y75 IS_RESERVED 0 SITEPROP OLOGIC_X1Y75 IS_TEST 0 SITEPROP OLOGIC_X1Y75 IS_USED 0 SITEPROP OLOGIC_X1Y75 MANUAL_ROUTING SITEPROP OLOGIC_X1Y75 NAME OLOGIC_X1Y75 SITEPROP OLOGIC_X1Y75 NUM_ARCS 26 SITEPROP OLOGIC_X1Y75 NUM_BELS 3 SITEPROP OLOGIC_X1Y75 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y75 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y75 NUM_PINS 33 SITEPROP OLOGIC_X1Y75 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y75 PROHIBIT 0 SITEPROP OLOGIC_X1Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y75 RPM_X 183 SITEPROP OLOGIC_X1Y75 RPM_Y 151 SITEPROP OLOGIC_X1Y75 SITE_PIPS SITEPROP OLOGIC_X1Y75 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y76 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y76 CLASS site SITEPROP OLOGIC_X1Y76 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y76 IS_BONDED 0 SITEPROP OLOGIC_X1Y76 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y76 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y76 IS_PAD 0 SITEPROP OLOGIC_X1Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y76 IS_RESERVED 0 SITEPROP OLOGIC_X1Y76 IS_TEST 0 SITEPROP OLOGIC_X1Y76 IS_USED 0 SITEPROP OLOGIC_X1Y76 MANUAL_ROUTING SITEPROP OLOGIC_X1Y76 NAME OLOGIC_X1Y76 SITEPROP OLOGIC_X1Y76 NUM_ARCS 26 SITEPROP OLOGIC_X1Y76 NUM_BELS 3 SITEPROP OLOGIC_X1Y76 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y76 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y76 NUM_PINS 33 SITEPROP OLOGIC_X1Y76 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y76 PROHIBIT 0 SITEPROP OLOGIC_X1Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y76 RPM_X 183 SITEPROP OLOGIC_X1Y76 RPM_Y 150 SITEPROP OLOGIC_X1Y76 SITE_PIPS SITEPROP OLOGIC_X1Y76 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y77 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y77 CLASS site SITEPROP OLOGIC_X1Y77 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y77 IS_BONDED 0 SITEPROP OLOGIC_X1Y77 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y77 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y77 IS_PAD 0 SITEPROP OLOGIC_X1Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y77 IS_RESERVED 0 SITEPROP OLOGIC_X1Y77 IS_TEST 0 SITEPROP OLOGIC_X1Y77 IS_USED 0 SITEPROP OLOGIC_X1Y77 MANUAL_ROUTING SITEPROP OLOGIC_X1Y77 NAME OLOGIC_X1Y77 SITEPROP OLOGIC_X1Y77 NUM_ARCS 26 SITEPROP OLOGIC_X1Y77 NUM_BELS 3 SITEPROP OLOGIC_X1Y77 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y77 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y77 NUM_PINS 33 SITEPROP OLOGIC_X1Y77 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y77 PROHIBIT 0 SITEPROP OLOGIC_X1Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y77 RPM_X 183 SITEPROP OLOGIC_X1Y77 RPM_Y 155 SITEPROP OLOGIC_X1Y77 SITE_PIPS SITEPROP OLOGIC_X1Y77 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y78 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y78 CLASS site SITEPROP OLOGIC_X1Y78 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y78 IS_BONDED 0 SITEPROP OLOGIC_X1Y78 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y78 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y78 IS_PAD 0 SITEPROP OLOGIC_X1Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y78 IS_RESERVED 0 SITEPROP OLOGIC_X1Y78 IS_TEST 0 SITEPROP OLOGIC_X1Y78 IS_USED 0 SITEPROP OLOGIC_X1Y78 MANUAL_ROUTING SITEPROP OLOGIC_X1Y78 NAME OLOGIC_X1Y78 SITEPROP OLOGIC_X1Y78 NUM_ARCS 26 SITEPROP OLOGIC_X1Y78 NUM_BELS 3 SITEPROP OLOGIC_X1Y78 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y78 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y78 NUM_PINS 33 SITEPROP OLOGIC_X1Y78 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y78 PROHIBIT 0 SITEPROP OLOGIC_X1Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y78 RPM_X 183 SITEPROP OLOGIC_X1Y78 RPM_Y 154 SITEPROP OLOGIC_X1Y78 SITE_PIPS SITEPROP OLOGIC_X1Y78 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y79 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y79 CLASS site SITEPROP OLOGIC_X1Y79 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y79 IS_BONDED 0 SITEPROP OLOGIC_X1Y79 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y79 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y79 IS_PAD 0 SITEPROP OLOGIC_X1Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y79 IS_RESERVED 0 SITEPROP OLOGIC_X1Y79 IS_TEST 0 SITEPROP OLOGIC_X1Y79 IS_USED 0 SITEPROP OLOGIC_X1Y79 MANUAL_ROUTING SITEPROP OLOGIC_X1Y79 NAME OLOGIC_X1Y79 SITEPROP OLOGIC_X1Y79 NUM_ARCS 26 SITEPROP OLOGIC_X1Y79 NUM_BELS 3 SITEPROP OLOGIC_X1Y79 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y79 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y79 NUM_PINS 33 SITEPROP OLOGIC_X1Y79 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y79 PROHIBIT 0 SITEPROP OLOGIC_X1Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y79 RPM_X 183 SITEPROP OLOGIC_X1Y79 RPM_Y 159 SITEPROP OLOGIC_X1Y79 SITE_PIPS SITEPROP OLOGIC_X1Y79 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y80 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y80 CLASS site SITEPROP OLOGIC_X1Y80 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y80 IS_BONDED 0 SITEPROP OLOGIC_X1Y80 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y80 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y80 IS_PAD 0 SITEPROP OLOGIC_X1Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y80 IS_RESERVED 0 SITEPROP OLOGIC_X1Y80 IS_TEST 0 SITEPROP OLOGIC_X1Y80 IS_USED 0 SITEPROP OLOGIC_X1Y80 MANUAL_ROUTING SITEPROP OLOGIC_X1Y80 NAME OLOGIC_X1Y80 SITEPROP OLOGIC_X1Y80 NUM_ARCS 26 SITEPROP OLOGIC_X1Y80 NUM_BELS 3 SITEPROP OLOGIC_X1Y80 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y80 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y80 NUM_PINS 33 SITEPROP OLOGIC_X1Y80 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y80 PROHIBIT 0 SITEPROP OLOGIC_X1Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y80 RPM_X 183 SITEPROP OLOGIC_X1Y80 RPM_Y 158 SITEPROP OLOGIC_X1Y80 SITE_PIPS SITEPROP OLOGIC_X1Y80 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y81 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y81 CLASS site SITEPROP OLOGIC_X1Y81 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y81 IS_BONDED 0 SITEPROP OLOGIC_X1Y81 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y81 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y81 IS_PAD 0 SITEPROP OLOGIC_X1Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y81 IS_RESERVED 0 SITEPROP OLOGIC_X1Y81 IS_TEST 0 SITEPROP OLOGIC_X1Y81 IS_USED 0 SITEPROP OLOGIC_X1Y81 MANUAL_ROUTING SITEPROP OLOGIC_X1Y81 NAME OLOGIC_X1Y81 SITEPROP OLOGIC_X1Y81 NUM_ARCS 26 SITEPROP OLOGIC_X1Y81 NUM_BELS 3 SITEPROP OLOGIC_X1Y81 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y81 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y81 NUM_PINS 33 SITEPROP OLOGIC_X1Y81 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y81 PROHIBIT 0 SITEPROP OLOGIC_X1Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y81 RPM_X 183 SITEPROP OLOGIC_X1Y81 RPM_Y 163 SITEPROP OLOGIC_X1Y81 SITE_PIPS SITEPROP OLOGIC_X1Y81 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y82 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y82 CLASS site SITEPROP OLOGIC_X1Y82 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y82 IS_BONDED 0 SITEPROP OLOGIC_X1Y82 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y82 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y82 IS_PAD 0 SITEPROP OLOGIC_X1Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y82 IS_RESERVED 0 SITEPROP OLOGIC_X1Y82 IS_TEST 0 SITEPROP OLOGIC_X1Y82 IS_USED 0 SITEPROP OLOGIC_X1Y82 MANUAL_ROUTING SITEPROP OLOGIC_X1Y82 NAME OLOGIC_X1Y82 SITEPROP OLOGIC_X1Y82 NUM_ARCS 26 SITEPROP OLOGIC_X1Y82 NUM_BELS 3 SITEPROP OLOGIC_X1Y82 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y82 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y82 NUM_PINS 33 SITEPROP OLOGIC_X1Y82 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y82 PROHIBIT 0 SITEPROP OLOGIC_X1Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y82 RPM_X 183 SITEPROP OLOGIC_X1Y82 RPM_Y 162 SITEPROP OLOGIC_X1Y82 SITE_PIPS SITEPROP OLOGIC_X1Y82 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y83 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y83 CLASS site SITEPROP OLOGIC_X1Y83 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y83 IS_BONDED 0 SITEPROP OLOGIC_X1Y83 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y83 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y83 IS_PAD 0 SITEPROP OLOGIC_X1Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y83 IS_RESERVED 0 SITEPROP OLOGIC_X1Y83 IS_TEST 0 SITEPROP OLOGIC_X1Y83 IS_USED 0 SITEPROP OLOGIC_X1Y83 MANUAL_ROUTING SITEPROP OLOGIC_X1Y83 NAME OLOGIC_X1Y83 SITEPROP OLOGIC_X1Y83 NUM_ARCS 26 SITEPROP OLOGIC_X1Y83 NUM_BELS 3 SITEPROP OLOGIC_X1Y83 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y83 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y83 NUM_PINS 33 SITEPROP OLOGIC_X1Y83 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y83 PROHIBIT 0 SITEPROP OLOGIC_X1Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y83 RPM_X 183 SITEPROP OLOGIC_X1Y83 RPM_Y 167 SITEPROP OLOGIC_X1Y83 SITE_PIPS SITEPROP OLOGIC_X1Y83 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y84 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y84 CLASS site SITEPROP OLOGIC_X1Y84 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y84 IS_BONDED 0 SITEPROP OLOGIC_X1Y84 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y84 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y84 IS_PAD 0 SITEPROP OLOGIC_X1Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y84 IS_RESERVED 0 SITEPROP OLOGIC_X1Y84 IS_TEST 0 SITEPROP OLOGIC_X1Y84 IS_USED 0 SITEPROP OLOGIC_X1Y84 MANUAL_ROUTING SITEPROP OLOGIC_X1Y84 NAME OLOGIC_X1Y84 SITEPROP OLOGIC_X1Y84 NUM_ARCS 26 SITEPROP OLOGIC_X1Y84 NUM_BELS 3 SITEPROP OLOGIC_X1Y84 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y84 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y84 NUM_PINS 33 SITEPROP OLOGIC_X1Y84 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y84 PROHIBIT 0 SITEPROP OLOGIC_X1Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y84 RPM_X 183 SITEPROP OLOGIC_X1Y84 RPM_Y 166 SITEPROP OLOGIC_X1Y84 SITE_PIPS SITEPROP OLOGIC_X1Y84 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y85 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y85 CLASS site SITEPROP OLOGIC_X1Y85 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y85 IS_BONDED 0 SITEPROP OLOGIC_X1Y85 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y85 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y85 IS_PAD 0 SITEPROP OLOGIC_X1Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y85 IS_RESERVED 0 SITEPROP OLOGIC_X1Y85 IS_TEST 0 SITEPROP OLOGIC_X1Y85 IS_USED 0 SITEPROP OLOGIC_X1Y85 MANUAL_ROUTING SITEPROP OLOGIC_X1Y85 NAME OLOGIC_X1Y85 SITEPROP OLOGIC_X1Y85 NUM_ARCS 26 SITEPROP OLOGIC_X1Y85 NUM_BELS 3 SITEPROP OLOGIC_X1Y85 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y85 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y85 NUM_PINS 33 SITEPROP OLOGIC_X1Y85 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y85 PROHIBIT 0 SITEPROP OLOGIC_X1Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y85 RPM_X 183 SITEPROP OLOGIC_X1Y85 RPM_Y 171 SITEPROP OLOGIC_X1Y85 SITE_PIPS SITEPROP OLOGIC_X1Y85 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y86 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y86 CLASS site SITEPROP OLOGIC_X1Y86 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y86 IS_BONDED 0 SITEPROP OLOGIC_X1Y86 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y86 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y86 IS_PAD 0 SITEPROP OLOGIC_X1Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y86 IS_RESERVED 0 SITEPROP OLOGIC_X1Y86 IS_TEST 0 SITEPROP OLOGIC_X1Y86 IS_USED 0 SITEPROP OLOGIC_X1Y86 MANUAL_ROUTING SITEPROP OLOGIC_X1Y86 NAME OLOGIC_X1Y86 SITEPROP OLOGIC_X1Y86 NUM_ARCS 26 SITEPROP OLOGIC_X1Y86 NUM_BELS 3 SITEPROP OLOGIC_X1Y86 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y86 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y86 NUM_PINS 33 SITEPROP OLOGIC_X1Y86 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y86 PROHIBIT 0 SITEPROP OLOGIC_X1Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y86 RPM_X 183 SITEPROP OLOGIC_X1Y86 RPM_Y 170 SITEPROP OLOGIC_X1Y86 SITE_PIPS SITEPROP OLOGIC_X1Y86 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y87 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y87 CLASS site SITEPROP OLOGIC_X1Y87 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y87 IS_BONDED 0 SITEPROP OLOGIC_X1Y87 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y87 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y87 IS_PAD 0 SITEPROP OLOGIC_X1Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y87 IS_RESERVED 0 SITEPROP OLOGIC_X1Y87 IS_TEST 0 SITEPROP OLOGIC_X1Y87 IS_USED 0 SITEPROP OLOGIC_X1Y87 MANUAL_ROUTING SITEPROP OLOGIC_X1Y87 NAME OLOGIC_X1Y87 SITEPROP OLOGIC_X1Y87 NUM_ARCS 26 SITEPROP OLOGIC_X1Y87 NUM_BELS 3 SITEPROP OLOGIC_X1Y87 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y87 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y87 NUM_PINS 33 SITEPROP OLOGIC_X1Y87 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y87 PROHIBIT 0 SITEPROP OLOGIC_X1Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y87 RPM_X 183 SITEPROP OLOGIC_X1Y87 RPM_Y 175 SITEPROP OLOGIC_X1Y87 SITE_PIPS SITEPROP OLOGIC_X1Y87 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y88 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y88 CLASS site SITEPROP OLOGIC_X1Y88 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y88 IS_BONDED 0 SITEPROP OLOGIC_X1Y88 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y88 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y88 IS_PAD 0 SITEPROP OLOGIC_X1Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y88 IS_RESERVED 0 SITEPROP OLOGIC_X1Y88 IS_TEST 0 SITEPROP OLOGIC_X1Y88 IS_USED 0 SITEPROP OLOGIC_X1Y88 MANUAL_ROUTING SITEPROP OLOGIC_X1Y88 NAME OLOGIC_X1Y88 SITEPROP OLOGIC_X1Y88 NUM_ARCS 26 SITEPROP OLOGIC_X1Y88 NUM_BELS 3 SITEPROP OLOGIC_X1Y88 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y88 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y88 NUM_PINS 33 SITEPROP OLOGIC_X1Y88 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y88 PROHIBIT 0 SITEPROP OLOGIC_X1Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y88 RPM_X 183 SITEPROP OLOGIC_X1Y88 RPM_Y 174 SITEPROP OLOGIC_X1Y88 SITE_PIPS SITEPROP OLOGIC_X1Y88 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y89 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y89 CLASS site SITEPROP OLOGIC_X1Y89 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y89 IS_BONDED 0 SITEPROP OLOGIC_X1Y89 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y89 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y89 IS_PAD 0 SITEPROP OLOGIC_X1Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y89 IS_RESERVED 0 SITEPROP OLOGIC_X1Y89 IS_TEST 0 SITEPROP OLOGIC_X1Y89 IS_USED 0 SITEPROP OLOGIC_X1Y89 MANUAL_ROUTING SITEPROP OLOGIC_X1Y89 NAME OLOGIC_X1Y89 SITEPROP OLOGIC_X1Y89 NUM_ARCS 26 SITEPROP OLOGIC_X1Y89 NUM_BELS 3 SITEPROP OLOGIC_X1Y89 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y89 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y89 NUM_PINS 33 SITEPROP OLOGIC_X1Y89 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y89 PROHIBIT 0 SITEPROP OLOGIC_X1Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y89 RPM_X 183 SITEPROP OLOGIC_X1Y89 RPM_Y 179 SITEPROP OLOGIC_X1Y89 SITE_PIPS SITEPROP OLOGIC_X1Y89 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y90 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y90 CLASS site SITEPROP OLOGIC_X1Y90 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y90 IS_BONDED 0 SITEPROP OLOGIC_X1Y90 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y90 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y90 IS_PAD 0 SITEPROP OLOGIC_X1Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y90 IS_RESERVED 0 SITEPROP OLOGIC_X1Y90 IS_TEST 0 SITEPROP OLOGIC_X1Y90 IS_USED 0 SITEPROP OLOGIC_X1Y90 MANUAL_ROUTING SITEPROP OLOGIC_X1Y90 NAME OLOGIC_X1Y90 SITEPROP OLOGIC_X1Y90 NUM_ARCS 26 SITEPROP OLOGIC_X1Y90 NUM_BELS 3 SITEPROP OLOGIC_X1Y90 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y90 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y90 NUM_PINS 33 SITEPROP OLOGIC_X1Y90 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y90 PROHIBIT 0 SITEPROP OLOGIC_X1Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y90 RPM_X 183 SITEPROP OLOGIC_X1Y90 RPM_Y 178 SITEPROP OLOGIC_X1Y90 SITE_PIPS SITEPROP OLOGIC_X1Y90 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y91 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y91 CLASS site SITEPROP OLOGIC_X1Y91 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y91 IS_BONDED 0 SITEPROP OLOGIC_X1Y91 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y91 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y91 IS_PAD 0 SITEPROP OLOGIC_X1Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y91 IS_RESERVED 0 SITEPROP OLOGIC_X1Y91 IS_TEST 0 SITEPROP OLOGIC_X1Y91 IS_USED 0 SITEPROP OLOGIC_X1Y91 MANUAL_ROUTING SITEPROP OLOGIC_X1Y91 NAME OLOGIC_X1Y91 SITEPROP OLOGIC_X1Y91 NUM_ARCS 26 SITEPROP OLOGIC_X1Y91 NUM_BELS 3 SITEPROP OLOGIC_X1Y91 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y91 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y91 NUM_PINS 33 SITEPROP OLOGIC_X1Y91 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y91 PROHIBIT 0 SITEPROP OLOGIC_X1Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y91 RPM_X 183 SITEPROP OLOGIC_X1Y91 RPM_Y 183 SITEPROP OLOGIC_X1Y91 SITE_PIPS SITEPROP OLOGIC_X1Y91 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y92 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y92 CLASS site SITEPROP OLOGIC_X1Y92 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y92 IS_BONDED 0 SITEPROP OLOGIC_X1Y92 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y92 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y92 IS_PAD 0 SITEPROP OLOGIC_X1Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y92 IS_RESERVED 0 SITEPROP OLOGIC_X1Y92 IS_TEST 0 SITEPROP OLOGIC_X1Y92 IS_USED 0 SITEPROP OLOGIC_X1Y92 MANUAL_ROUTING SITEPROP OLOGIC_X1Y92 NAME OLOGIC_X1Y92 SITEPROP OLOGIC_X1Y92 NUM_ARCS 26 SITEPROP OLOGIC_X1Y92 NUM_BELS 3 SITEPROP OLOGIC_X1Y92 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y92 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y92 NUM_PINS 33 SITEPROP OLOGIC_X1Y92 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y92 PROHIBIT 0 SITEPROP OLOGIC_X1Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y92 RPM_X 183 SITEPROP OLOGIC_X1Y92 RPM_Y 182 SITEPROP OLOGIC_X1Y92 SITE_PIPS SITEPROP OLOGIC_X1Y92 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y93 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y93 CLASS site SITEPROP OLOGIC_X1Y93 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y93 IS_BONDED 0 SITEPROP OLOGIC_X1Y93 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y93 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y93 IS_PAD 0 SITEPROP OLOGIC_X1Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y93 IS_RESERVED 0 SITEPROP OLOGIC_X1Y93 IS_TEST 0 SITEPROP OLOGIC_X1Y93 IS_USED 0 SITEPROP OLOGIC_X1Y93 MANUAL_ROUTING SITEPROP OLOGIC_X1Y93 NAME OLOGIC_X1Y93 SITEPROP OLOGIC_X1Y93 NUM_ARCS 26 SITEPROP OLOGIC_X1Y93 NUM_BELS 3 SITEPROP OLOGIC_X1Y93 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y93 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y93 NUM_PINS 33 SITEPROP OLOGIC_X1Y93 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y93 PROHIBIT 0 SITEPROP OLOGIC_X1Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y93 RPM_X 183 SITEPROP OLOGIC_X1Y93 RPM_Y 187 SITEPROP OLOGIC_X1Y93 SITE_PIPS SITEPROP OLOGIC_X1Y93 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y94 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y94 CLASS site SITEPROP OLOGIC_X1Y94 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y94 IS_BONDED 0 SITEPROP OLOGIC_X1Y94 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y94 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y94 IS_PAD 0 SITEPROP OLOGIC_X1Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y94 IS_RESERVED 0 SITEPROP OLOGIC_X1Y94 IS_TEST 0 SITEPROP OLOGIC_X1Y94 IS_USED 0 SITEPROP OLOGIC_X1Y94 MANUAL_ROUTING SITEPROP OLOGIC_X1Y94 NAME OLOGIC_X1Y94 SITEPROP OLOGIC_X1Y94 NUM_ARCS 26 SITEPROP OLOGIC_X1Y94 NUM_BELS 3 SITEPROP OLOGIC_X1Y94 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y94 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y94 NUM_PINS 33 SITEPROP OLOGIC_X1Y94 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y94 PROHIBIT 0 SITEPROP OLOGIC_X1Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y94 RPM_X 183 SITEPROP OLOGIC_X1Y94 RPM_Y 186 SITEPROP OLOGIC_X1Y94 SITE_PIPS SITEPROP OLOGIC_X1Y94 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y95 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y95 CLASS site SITEPROP OLOGIC_X1Y95 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y95 IS_BONDED 0 SITEPROP OLOGIC_X1Y95 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y95 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y95 IS_PAD 0 SITEPROP OLOGIC_X1Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y95 IS_RESERVED 0 SITEPROP OLOGIC_X1Y95 IS_TEST 0 SITEPROP OLOGIC_X1Y95 IS_USED 0 SITEPROP OLOGIC_X1Y95 MANUAL_ROUTING SITEPROP OLOGIC_X1Y95 NAME OLOGIC_X1Y95 SITEPROP OLOGIC_X1Y95 NUM_ARCS 26 SITEPROP OLOGIC_X1Y95 NUM_BELS 3 SITEPROP OLOGIC_X1Y95 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y95 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y95 NUM_PINS 33 SITEPROP OLOGIC_X1Y95 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y95 PROHIBIT 0 SITEPROP OLOGIC_X1Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y95 RPM_X 183 SITEPROP OLOGIC_X1Y95 RPM_Y 191 SITEPROP OLOGIC_X1Y95 SITE_PIPS SITEPROP OLOGIC_X1Y95 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y96 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y96 CLASS site SITEPROP OLOGIC_X1Y96 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y96 IS_BONDED 0 SITEPROP OLOGIC_X1Y96 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y96 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y96 IS_PAD 0 SITEPROP OLOGIC_X1Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y96 IS_RESERVED 0 SITEPROP OLOGIC_X1Y96 IS_TEST 0 SITEPROP OLOGIC_X1Y96 IS_USED 0 SITEPROP OLOGIC_X1Y96 MANUAL_ROUTING SITEPROP OLOGIC_X1Y96 NAME OLOGIC_X1Y96 SITEPROP OLOGIC_X1Y96 NUM_ARCS 26 SITEPROP OLOGIC_X1Y96 NUM_BELS 3 SITEPROP OLOGIC_X1Y96 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y96 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y96 NUM_PINS 33 SITEPROP OLOGIC_X1Y96 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y96 PROHIBIT 0 SITEPROP OLOGIC_X1Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y96 RPM_X 183 SITEPROP OLOGIC_X1Y96 RPM_Y 190 SITEPROP OLOGIC_X1Y96 SITE_PIPS SITEPROP OLOGIC_X1Y96 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y97 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y97 CLASS site SITEPROP OLOGIC_X1Y97 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y97 IS_BONDED 0 SITEPROP OLOGIC_X1Y97 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y97 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y97 IS_PAD 0 SITEPROP OLOGIC_X1Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y97 IS_RESERVED 0 SITEPROP OLOGIC_X1Y97 IS_TEST 0 SITEPROP OLOGIC_X1Y97 IS_USED 0 SITEPROP OLOGIC_X1Y97 MANUAL_ROUTING SITEPROP OLOGIC_X1Y97 NAME OLOGIC_X1Y97 SITEPROP OLOGIC_X1Y97 NUM_ARCS 26 SITEPROP OLOGIC_X1Y97 NUM_BELS 3 SITEPROP OLOGIC_X1Y97 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y97 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y97 NUM_PINS 33 SITEPROP OLOGIC_X1Y97 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y97 PROHIBIT 0 SITEPROP OLOGIC_X1Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y97 RPM_X 183 SITEPROP OLOGIC_X1Y97 RPM_Y 195 SITEPROP OLOGIC_X1Y97 SITE_PIPS SITEPROP OLOGIC_X1Y97 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y98 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y98 CLASS site SITEPROP OLOGIC_X1Y98 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y98 IS_BONDED 0 SITEPROP OLOGIC_X1Y98 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y98 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y98 IS_PAD 0 SITEPROP OLOGIC_X1Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y98 IS_RESERVED 0 SITEPROP OLOGIC_X1Y98 IS_TEST 0 SITEPROP OLOGIC_X1Y98 IS_USED 0 SITEPROP OLOGIC_X1Y98 MANUAL_ROUTING SITEPROP OLOGIC_X1Y98 NAME OLOGIC_X1Y98 SITEPROP OLOGIC_X1Y98 NUM_ARCS 26 SITEPROP OLOGIC_X1Y98 NUM_BELS 3 SITEPROP OLOGIC_X1Y98 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y98 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y98 NUM_PINS 33 SITEPROP OLOGIC_X1Y98 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y98 PROHIBIT 0 SITEPROP OLOGIC_X1Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y98 RPM_X 183 SITEPROP OLOGIC_X1Y98 RPM_Y 194 SITEPROP OLOGIC_X1Y98 SITE_PIPS SITEPROP OLOGIC_X1Y98 SITE_TYPE OLOGICE3 SITEPROP OLOGIC_X1Y99 ALTERNATE_SITE_TYPES OLOGICE2 OSERDESE2 SITEPROP OLOGIC_X1Y99 CLASS site SITEPROP OLOGIC_X1Y99 CLOCK_REGION X1Y1 SITEPROP OLOGIC_X1Y99 IS_BONDED 0 SITEPROP OLOGIC_X1Y99 IS_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y99 IS_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y99 IS_PAD 0 SITEPROP OLOGIC_X1Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OLOGIC_X1Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OLOGIC_X1Y99 IS_RESERVED 0 SITEPROP OLOGIC_X1Y99 IS_TEST 0 SITEPROP OLOGIC_X1Y99 IS_USED 0 SITEPROP OLOGIC_X1Y99 MANUAL_ROUTING SITEPROP OLOGIC_X1Y99 NAME OLOGIC_X1Y99 SITEPROP OLOGIC_X1Y99 NUM_ARCS 26 SITEPROP OLOGIC_X1Y99 NUM_BELS 3 SITEPROP OLOGIC_X1Y99 NUM_INPUTS 25 SITEPROP OLOGIC_X1Y99 NUM_OUTPUTS 8 SITEPROP OLOGIC_X1Y99 NUM_PINS 33 SITEPROP OLOGIC_X1Y99 PRIMITIVE_COUNT 0 SITEPROP OLOGIC_X1Y99 PROHIBIT 0 SITEPROP OLOGIC_X1Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP OLOGIC_X1Y99 RPM_X 183 SITEPROP OLOGIC_X1Y99 RPM_Y 198 SITEPROP OLOGIC_X1Y99 SITE_PIPS SITEPROP OLOGIC_X1Y99 SITE_TYPE OLOGICE3 SITEPROP OPAD_X0Y0 ALTERNATE_SITE_TYPES SITEPROP OPAD_X0Y0 CLASS site SITEPROP OPAD_X0Y0 CLOCK_REGION X1Y2 SITEPROP OPAD_X0Y0 IS_BONDED 1 SITEPROP OPAD_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y0 IS_CLOCK_PAD 0 SITEPROP OPAD_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y0 IS_PAD 1 SITEPROP OPAD_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y0 IS_RESERVED 0 SITEPROP OPAD_X0Y0 IS_TEST 0 SITEPROP OPAD_X0Y0 IS_USED 0 SITEPROP OPAD_X0Y0 MANUAL_ROUTING SITEPROP OPAD_X0Y0 NAME OPAD_X0Y0 SITEPROP OPAD_X0Y0 NUM_ARCS 0 SITEPROP OPAD_X0Y0 NUM_BELS 2 SITEPROP OPAD_X0Y0 NUM_INPUTS 1 SITEPROP OPAD_X0Y0 NUM_OUTPUTS 0 SITEPROP OPAD_X0Y0 NUM_PINS 1 SITEPROP OPAD_X0Y0 PRIMITIVE_COUNT 0 SITEPROP OPAD_X0Y0 PROHIBIT 0 SITEPROP OPAD_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP OPAD_X0Y0 RPM_X 155 SITEPROP OPAD_X0Y0 RPM_Y 210 SITEPROP OPAD_X0Y0 SITE_PIPS SITEPROP OPAD_X0Y0 SITE_TYPE OPAD SITEPROP OPAD_X0Y1 ALTERNATE_SITE_TYPES SITEPROP OPAD_X0Y1 CLASS site SITEPROP OPAD_X0Y1 CLOCK_REGION X1Y2 SITEPROP OPAD_X0Y1 IS_BONDED 1 SITEPROP OPAD_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y1 IS_CLOCK_PAD 0 SITEPROP OPAD_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y1 IS_PAD 1 SITEPROP OPAD_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y1 IS_RESERVED 0 SITEPROP OPAD_X0Y1 IS_TEST 0 SITEPROP OPAD_X0Y1 IS_USED 0 SITEPROP OPAD_X0Y1 MANUAL_ROUTING SITEPROP OPAD_X0Y1 NAME OPAD_X0Y1 SITEPROP OPAD_X0Y1 NUM_ARCS 0 SITEPROP OPAD_X0Y1 NUM_BELS 2 SITEPROP OPAD_X0Y1 NUM_INPUTS 1 SITEPROP OPAD_X0Y1 NUM_OUTPUTS 0 SITEPROP OPAD_X0Y1 NUM_PINS 1 SITEPROP OPAD_X0Y1 PRIMITIVE_COUNT 0 SITEPROP OPAD_X0Y1 PROHIBIT 0 SITEPROP OPAD_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP OPAD_X0Y1 RPM_X 155 SITEPROP OPAD_X0Y1 RPM_Y 211 SITEPROP OPAD_X0Y1 SITE_PIPS SITEPROP OPAD_X0Y1 SITE_TYPE OPAD SITEPROP OPAD_X0Y2 ALTERNATE_SITE_TYPES SITEPROP OPAD_X0Y2 CLASS site SITEPROP OPAD_X0Y2 CLOCK_REGION X1Y2 SITEPROP OPAD_X0Y2 IS_BONDED 1 SITEPROP OPAD_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y2 IS_CLOCK_PAD 0 SITEPROP OPAD_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y2 IS_PAD 1 SITEPROP OPAD_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y2 IS_RESERVED 0 SITEPROP OPAD_X0Y2 IS_TEST 0 SITEPROP OPAD_X0Y2 IS_USED 0 SITEPROP OPAD_X0Y2 MANUAL_ROUTING SITEPROP OPAD_X0Y2 NAME OPAD_X0Y2 SITEPROP OPAD_X0Y2 NUM_ARCS 0 SITEPROP OPAD_X0Y2 NUM_BELS 2 SITEPROP OPAD_X0Y2 NUM_INPUTS 1 SITEPROP OPAD_X0Y2 NUM_OUTPUTS 0 SITEPROP OPAD_X0Y2 NUM_PINS 1 SITEPROP OPAD_X0Y2 PRIMITIVE_COUNT 0 SITEPROP OPAD_X0Y2 PROHIBIT 0 SITEPROP OPAD_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP OPAD_X0Y2 RPM_X 155 SITEPROP OPAD_X0Y2 RPM_Y 232 SITEPROP OPAD_X0Y2 SITE_PIPS SITEPROP OPAD_X0Y2 SITE_TYPE OPAD SITEPROP OPAD_X0Y3 ALTERNATE_SITE_TYPES SITEPROP OPAD_X0Y3 CLASS site SITEPROP OPAD_X0Y3 CLOCK_REGION X1Y2 SITEPROP OPAD_X0Y3 IS_BONDED 1 SITEPROP OPAD_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y3 IS_CLOCK_PAD 0 SITEPROP OPAD_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y3 IS_PAD 1 SITEPROP OPAD_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y3 IS_RESERVED 0 SITEPROP OPAD_X0Y3 IS_TEST 0 SITEPROP OPAD_X0Y3 IS_USED 0 SITEPROP OPAD_X0Y3 MANUAL_ROUTING SITEPROP OPAD_X0Y3 NAME OPAD_X0Y3 SITEPROP OPAD_X0Y3 NUM_ARCS 0 SITEPROP OPAD_X0Y3 NUM_BELS 2 SITEPROP OPAD_X0Y3 NUM_INPUTS 1 SITEPROP OPAD_X0Y3 NUM_OUTPUTS 0 SITEPROP OPAD_X0Y3 NUM_PINS 1 SITEPROP OPAD_X0Y3 PRIMITIVE_COUNT 0 SITEPROP OPAD_X0Y3 PROHIBIT 0 SITEPROP OPAD_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP OPAD_X0Y3 RPM_X 155 SITEPROP OPAD_X0Y3 RPM_Y 233 SITEPROP OPAD_X0Y3 SITE_PIPS SITEPROP OPAD_X0Y3 SITE_TYPE OPAD SITEPROP OPAD_X0Y4 ALTERNATE_SITE_TYPES SITEPROP OPAD_X0Y4 CLASS site SITEPROP OPAD_X0Y4 CLOCK_REGION X1Y2 SITEPROP OPAD_X0Y4 IS_BONDED 1 SITEPROP OPAD_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y4 IS_CLOCK_PAD 0 SITEPROP OPAD_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y4 IS_PAD 1 SITEPROP OPAD_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y4 IS_RESERVED 0 SITEPROP OPAD_X0Y4 IS_TEST 0 SITEPROP OPAD_X0Y4 IS_USED 0 SITEPROP OPAD_X0Y4 MANUAL_ROUTING SITEPROP OPAD_X0Y4 NAME OPAD_X0Y4 SITEPROP OPAD_X0Y4 NUM_ARCS 0 SITEPROP OPAD_X0Y4 NUM_BELS 2 SITEPROP OPAD_X0Y4 NUM_INPUTS 1 SITEPROP OPAD_X0Y4 NUM_OUTPUTS 0 SITEPROP OPAD_X0Y4 NUM_PINS 1 SITEPROP OPAD_X0Y4 PRIMITIVE_COUNT 0 SITEPROP OPAD_X0Y4 PROHIBIT 0 SITEPROP OPAD_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP OPAD_X0Y4 RPM_X 155 SITEPROP OPAD_X0Y4 RPM_Y 266 SITEPROP OPAD_X0Y4 SITE_PIPS SITEPROP OPAD_X0Y4 SITE_TYPE OPAD SITEPROP OPAD_X0Y5 ALTERNATE_SITE_TYPES SITEPROP OPAD_X0Y5 CLASS site SITEPROP OPAD_X0Y5 CLOCK_REGION X1Y2 SITEPROP OPAD_X0Y5 IS_BONDED 1 SITEPROP OPAD_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y5 IS_CLOCK_PAD 0 SITEPROP OPAD_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y5 IS_PAD 1 SITEPROP OPAD_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y5 IS_RESERVED 0 SITEPROP OPAD_X0Y5 IS_TEST 0 SITEPROP OPAD_X0Y5 IS_USED 0 SITEPROP OPAD_X0Y5 MANUAL_ROUTING SITEPROP OPAD_X0Y5 NAME OPAD_X0Y5 SITEPROP OPAD_X0Y5 NUM_ARCS 0 SITEPROP OPAD_X0Y5 NUM_BELS 2 SITEPROP OPAD_X0Y5 NUM_INPUTS 1 SITEPROP OPAD_X0Y5 NUM_OUTPUTS 0 SITEPROP OPAD_X0Y5 NUM_PINS 1 SITEPROP OPAD_X0Y5 PRIMITIVE_COUNT 0 SITEPROP OPAD_X0Y5 PROHIBIT 0 SITEPROP OPAD_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP OPAD_X0Y5 RPM_X 155 SITEPROP OPAD_X0Y5 RPM_Y 267 SITEPROP OPAD_X0Y5 SITE_PIPS SITEPROP OPAD_X0Y5 SITE_TYPE OPAD SITEPROP OPAD_X0Y6 ALTERNATE_SITE_TYPES SITEPROP OPAD_X0Y6 CLASS site SITEPROP OPAD_X0Y6 CLOCK_REGION X1Y2 SITEPROP OPAD_X0Y6 IS_BONDED 1 SITEPROP OPAD_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y6 IS_CLOCK_PAD 0 SITEPROP OPAD_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y6 IS_PAD 1 SITEPROP OPAD_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y6 IS_RESERVED 0 SITEPROP OPAD_X0Y6 IS_TEST 0 SITEPROP OPAD_X0Y6 IS_USED 0 SITEPROP OPAD_X0Y6 MANUAL_ROUTING SITEPROP OPAD_X0Y6 NAME OPAD_X0Y6 SITEPROP OPAD_X0Y6 NUM_ARCS 0 SITEPROP OPAD_X0Y6 NUM_BELS 2 SITEPROP OPAD_X0Y6 NUM_INPUTS 1 SITEPROP OPAD_X0Y6 NUM_OUTPUTS 0 SITEPROP OPAD_X0Y6 NUM_PINS 1 SITEPROP OPAD_X0Y6 PRIMITIVE_COUNT 0 SITEPROP OPAD_X0Y6 PROHIBIT 0 SITEPROP OPAD_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP OPAD_X0Y6 RPM_X 155 SITEPROP OPAD_X0Y6 RPM_Y 288 SITEPROP OPAD_X0Y6 SITE_PIPS SITEPROP OPAD_X0Y6 SITE_TYPE OPAD SITEPROP OPAD_X0Y7 ALTERNATE_SITE_TYPES SITEPROP OPAD_X0Y7 CLASS site SITEPROP OPAD_X0Y7 CLOCK_REGION X1Y2 SITEPROP OPAD_X0Y7 IS_BONDED 1 SITEPROP OPAD_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y7 IS_CLOCK_PAD 0 SITEPROP OPAD_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y7 IS_PAD 1 SITEPROP OPAD_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OPAD_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OPAD_X0Y7 IS_RESERVED 0 SITEPROP OPAD_X0Y7 IS_TEST 0 SITEPROP OPAD_X0Y7 IS_USED 0 SITEPROP OPAD_X0Y7 MANUAL_ROUTING SITEPROP OPAD_X0Y7 NAME OPAD_X0Y7 SITEPROP OPAD_X0Y7 NUM_ARCS 0 SITEPROP OPAD_X0Y7 NUM_BELS 2 SITEPROP OPAD_X0Y7 NUM_INPUTS 1 SITEPROP OPAD_X0Y7 NUM_OUTPUTS 0 SITEPROP OPAD_X0Y7 NUM_PINS 1 SITEPROP OPAD_X0Y7 PRIMITIVE_COUNT 0 SITEPROP OPAD_X0Y7 PROHIBIT 0 SITEPROP OPAD_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP OPAD_X0Y7 RPM_X 155 SITEPROP OPAD_X0Y7 RPM_Y 289 SITEPROP OPAD_X0Y7 SITE_PIPS SITEPROP OPAD_X0Y7 SITE_TYPE OPAD SITEPROP OUT_FIFO_X0Y0 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y0 CLASS site SITEPROP OUT_FIFO_X0Y0 CLOCK_REGION X0Y0 SITEPROP OUT_FIFO_X0Y0 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y0 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y0 IS_PAD 0 SITEPROP OUT_FIFO_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y0 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y0 IS_TEST 0 SITEPROP OUT_FIFO_X0Y0 IS_USED 0 SITEPROP OUT_FIFO_X0Y0 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y0 NAME OUT_FIFO_X0Y0 SITEPROP OUT_FIFO_X0Y0 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y0 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y0 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y0 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y0 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y0 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y0 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y0 RPM_X 9 SITEPROP OUT_FIFO_X0Y0 RPM_Y 14 SITEPROP OUT_FIFO_X0Y0 SITE_PIPS SITEPROP OUT_FIFO_X0Y0 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y1 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y1 CLASS site SITEPROP OUT_FIFO_X0Y1 CLOCK_REGION X0Y0 SITEPROP OUT_FIFO_X0Y1 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y1 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y1 IS_PAD 0 SITEPROP OUT_FIFO_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y1 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y1 IS_TEST 0 SITEPROP OUT_FIFO_X0Y1 IS_USED 0 SITEPROP OUT_FIFO_X0Y1 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y1 NAME OUT_FIFO_X0Y1 SITEPROP OUT_FIFO_X0Y1 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y1 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y1 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y1 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y1 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y1 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y1 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y1 RPM_X 9 SITEPROP OUT_FIFO_X0Y1 RPM_Y 38 SITEPROP OUT_FIFO_X0Y1 SITE_PIPS SITEPROP OUT_FIFO_X0Y1 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y2 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y2 CLASS site SITEPROP OUT_FIFO_X0Y2 CLOCK_REGION X0Y0 SITEPROP OUT_FIFO_X0Y2 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y2 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y2 IS_PAD 0 SITEPROP OUT_FIFO_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y2 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y2 IS_TEST 0 SITEPROP OUT_FIFO_X0Y2 IS_USED 0 SITEPROP OUT_FIFO_X0Y2 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y2 NAME OUT_FIFO_X0Y2 SITEPROP OUT_FIFO_X0Y2 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y2 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y2 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y2 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y2 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y2 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y2 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y2 RPM_X 9 SITEPROP OUT_FIFO_X0Y2 RPM_Y 62 SITEPROP OUT_FIFO_X0Y2 SITE_PIPS SITEPROP OUT_FIFO_X0Y2 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y3 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y3 CLASS site SITEPROP OUT_FIFO_X0Y3 CLOCK_REGION X0Y0 SITEPROP OUT_FIFO_X0Y3 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y3 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y3 IS_PAD 0 SITEPROP OUT_FIFO_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y3 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y3 IS_TEST 0 SITEPROP OUT_FIFO_X0Y3 IS_USED 0 SITEPROP OUT_FIFO_X0Y3 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y3 NAME OUT_FIFO_X0Y3 SITEPROP OUT_FIFO_X0Y3 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y3 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y3 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y3 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y3 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y3 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y3 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y3 RPM_X 9 SITEPROP OUT_FIFO_X0Y3 RPM_Y 86 SITEPROP OUT_FIFO_X0Y3 SITE_PIPS SITEPROP OUT_FIFO_X0Y3 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y4 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y4 CLASS site SITEPROP OUT_FIFO_X0Y4 CLOCK_REGION X0Y1 SITEPROP OUT_FIFO_X0Y4 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y4 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y4 IS_PAD 0 SITEPROP OUT_FIFO_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y4 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y4 IS_TEST 0 SITEPROP OUT_FIFO_X0Y4 IS_USED 0 SITEPROP OUT_FIFO_X0Y4 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y4 NAME OUT_FIFO_X0Y4 SITEPROP OUT_FIFO_X0Y4 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y4 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y4 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y4 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y4 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y4 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y4 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y4 RPM_X 9 SITEPROP OUT_FIFO_X0Y4 RPM_Y 114 SITEPROP OUT_FIFO_X0Y4 SITE_PIPS SITEPROP OUT_FIFO_X0Y4 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y5 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y5 CLASS site SITEPROP OUT_FIFO_X0Y5 CLOCK_REGION X0Y1 SITEPROP OUT_FIFO_X0Y5 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y5 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y5 IS_PAD 0 SITEPROP OUT_FIFO_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y5 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y5 IS_TEST 0 SITEPROP OUT_FIFO_X0Y5 IS_USED 0 SITEPROP OUT_FIFO_X0Y5 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y5 NAME OUT_FIFO_X0Y5 SITEPROP OUT_FIFO_X0Y5 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y5 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y5 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y5 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y5 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y5 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y5 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y5 RPM_X 9 SITEPROP OUT_FIFO_X0Y5 RPM_Y 138 SITEPROP OUT_FIFO_X0Y5 SITE_PIPS SITEPROP OUT_FIFO_X0Y5 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y6 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y6 CLASS site SITEPROP OUT_FIFO_X0Y6 CLOCK_REGION X0Y1 SITEPROP OUT_FIFO_X0Y6 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y6 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y6 IS_PAD 0 SITEPROP OUT_FIFO_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y6 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y6 IS_TEST 0 SITEPROP OUT_FIFO_X0Y6 IS_USED 0 SITEPROP OUT_FIFO_X0Y6 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y6 NAME OUT_FIFO_X0Y6 SITEPROP OUT_FIFO_X0Y6 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y6 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y6 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y6 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y6 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y6 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y6 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y6 RPM_X 9 SITEPROP OUT_FIFO_X0Y6 RPM_Y 162 SITEPROP OUT_FIFO_X0Y6 SITE_PIPS SITEPROP OUT_FIFO_X0Y6 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y7 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y7 CLASS site SITEPROP OUT_FIFO_X0Y7 CLOCK_REGION X0Y1 SITEPROP OUT_FIFO_X0Y7 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y7 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y7 IS_PAD 0 SITEPROP OUT_FIFO_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y7 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y7 IS_TEST 0 SITEPROP OUT_FIFO_X0Y7 IS_USED 0 SITEPROP OUT_FIFO_X0Y7 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y7 NAME OUT_FIFO_X0Y7 SITEPROP OUT_FIFO_X0Y7 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y7 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y7 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y7 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y7 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y7 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y7 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y7 RPM_X 9 SITEPROP OUT_FIFO_X0Y7 RPM_Y 186 SITEPROP OUT_FIFO_X0Y7 SITE_PIPS SITEPROP OUT_FIFO_X0Y7 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y8 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y8 CLASS site SITEPROP OUT_FIFO_X0Y8 CLOCK_REGION X0Y2 SITEPROP OUT_FIFO_X0Y8 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y8 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y8 IS_PAD 0 SITEPROP OUT_FIFO_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y8 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y8 IS_TEST 0 SITEPROP OUT_FIFO_X0Y8 IS_USED 0 SITEPROP OUT_FIFO_X0Y8 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y8 NAME OUT_FIFO_X0Y8 SITEPROP OUT_FIFO_X0Y8 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y8 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y8 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y8 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y8 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y8 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y8 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y8 RPM_X 9 SITEPROP OUT_FIFO_X0Y8 RPM_Y 214 SITEPROP OUT_FIFO_X0Y8 SITE_PIPS SITEPROP OUT_FIFO_X0Y8 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y9 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y9 CLASS site SITEPROP OUT_FIFO_X0Y9 CLOCK_REGION X0Y2 SITEPROP OUT_FIFO_X0Y9 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y9 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y9 IS_PAD 0 SITEPROP OUT_FIFO_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y9 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y9 IS_TEST 0 SITEPROP OUT_FIFO_X0Y9 IS_USED 0 SITEPROP OUT_FIFO_X0Y9 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y9 NAME OUT_FIFO_X0Y9 SITEPROP OUT_FIFO_X0Y9 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y9 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y9 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y9 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y9 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y9 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y9 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y9 RPM_X 9 SITEPROP OUT_FIFO_X0Y9 RPM_Y 238 SITEPROP OUT_FIFO_X0Y9 SITE_PIPS SITEPROP OUT_FIFO_X0Y9 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y10 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y10 CLASS site SITEPROP OUT_FIFO_X0Y10 CLOCK_REGION X0Y2 SITEPROP OUT_FIFO_X0Y10 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y10 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y10 IS_PAD 0 SITEPROP OUT_FIFO_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y10 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y10 IS_TEST 0 SITEPROP OUT_FIFO_X0Y10 IS_USED 0 SITEPROP OUT_FIFO_X0Y10 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y10 NAME OUT_FIFO_X0Y10 SITEPROP OUT_FIFO_X0Y10 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y10 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y10 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y10 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y10 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y10 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y10 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y10 RPM_X 9 SITEPROP OUT_FIFO_X0Y10 RPM_Y 262 SITEPROP OUT_FIFO_X0Y10 SITE_PIPS SITEPROP OUT_FIFO_X0Y10 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X0Y11 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X0Y11 CLASS site SITEPROP OUT_FIFO_X0Y11 CLOCK_REGION X0Y2 SITEPROP OUT_FIFO_X0Y11 IS_BONDED 0 SITEPROP OUT_FIFO_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y11 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y11 IS_PAD 0 SITEPROP OUT_FIFO_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X0Y11 IS_RESERVED 0 SITEPROP OUT_FIFO_X0Y11 IS_TEST 0 SITEPROP OUT_FIFO_X0Y11 IS_USED 0 SITEPROP OUT_FIFO_X0Y11 MANUAL_ROUTING SITEPROP OUT_FIFO_X0Y11 NAME OUT_FIFO_X0Y11 SITEPROP OUT_FIFO_X0Y11 NUM_ARCS 0 SITEPROP OUT_FIFO_X0Y11 NUM_BELS 1 SITEPROP OUT_FIFO_X0Y11 NUM_INPUTS 93 SITEPROP OUT_FIFO_X0Y11 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X0Y11 NUM_PINS 149 SITEPROP OUT_FIFO_X0Y11 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X0Y11 PROHIBIT 0 SITEPROP OUT_FIFO_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X0Y11 RPM_X 9 SITEPROP OUT_FIFO_X0Y11 RPM_Y 286 SITEPROP OUT_FIFO_X0Y11 SITE_PIPS SITEPROP OUT_FIFO_X0Y11 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X1Y0 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X1Y0 CLASS site SITEPROP OUT_FIFO_X1Y0 CLOCK_REGION X1Y0 SITEPROP OUT_FIFO_X1Y0 IS_BONDED 0 SITEPROP OUT_FIFO_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y0 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y0 IS_PAD 0 SITEPROP OUT_FIFO_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y0 IS_RESERVED 0 SITEPROP OUT_FIFO_X1Y0 IS_TEST 0 SITEPROP OUT_FIFO_X1Y0 IS_USED 0 SITEPROP OUT_FIFO_X1Y0 MANUAL_ROUTING SITEPROP OUT_FIFO_X1Y0 NAME OUT_FIFO_X1Y0 SITEPROP OUT_FIFO_X1Y0 NUM_ARCS 0 SITEPROP OUT_FIFO_X1Y0 NUM_BELS 1 SITEPROP OUT_FIFO_X1Y0 NUM_INPUTS 93 SITEPROP OUT_FIFO_X1Y0 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X1Y0 NUM_PINS 149 SITEPROP OUT_FIFO_X1Y0 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X1Y0 PROHIBIT 0 SITEPROP OUT_FIFO_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X1Y0 RPM_X 173 SITEPROP OUT_FIFO_X1Y0 RPM_Y 14 SITEPROP OUT_FIFO_X1Y0 SITE_PIPS SITEPROP OUT_FIFO_X1Y0 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X1Y1 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X1Y1 CLASS site SITEPROP OUT_FIFO_X1Y1 CLOCK_REGION X1Y0 SITEPROP OUT_FIFO_X1Y1 IS_BONDED 0 SITEPROP OUT_FIFO_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y1 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y1 IS_PAD 0 SITEPROP OUT_FIFO_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y1 IS_RESERVED 0 SITEPROP OUT_FIFO_X1Y1 IS_TEST 0 SITEPROP OUT_FIFO_X1Y1 IS_USED 0 SITEPROP OUT_FIFO_X1Y1 MANUAL_ROUTING SITEPROP OUT_FIFO_X1Y1 NAME OUT_FIFO_X1Y1 SITEPROP OUT_FIFO_X1Y1 NUM_ARCS 0 SITEPROP OUT_FIFO_X1Y1 NUM_BELS 1 SITEPROP OUT_FIFO_X1Y1 NUM_INPUTS 93 SITEPROP OUT_FIFO_X1Y1 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X1Y1 NUM_PINS 149 SITEPROP OUT_FIFO_X1Y1 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X1Y1 PROHIBIT 0 SITEPROP OUT_FIFO_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X1Y1 RPM_X 173 SITEPROP OUT_FIFO_X1Y1 RPM_Y 38 SITEPROP OUT_FIFO_X1Y1 SITE_PIPS SITEPROP OUT_FIFO_X1Y1 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X1Y2 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X1Y2 CLASS site SITEPROP OUT_FIFO_X1Y2 CLOCK_REGION X1Y0 SITEPROP OUT_FIFO_X1Y2 IS_BONDED 0 SITEPROP OUT_FIFO_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y2 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y2 IS_PAD 0 SITEPROP OUT_FIFO_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y2 IS_RESERVED 0 SITEPROP OUT_FIFO_X1Y2 IS_TEST 0 SITEPROP OUT_FIFO_X1Y2 IS_USED 0 SITEPROP OUT_FIFO_X1Y2 MANUAL_ROUTING SITEPROP OUT_FIFO_X1Y2 NAME OUT_FIFO_X1Y2 SITEPROP OUT_FIFO_X1Y2 NUM_ARCS 0 SITEPROP OUT_FIFO_X1Y2 NUM_BELS 1 SITEPROP OUT_FIFO_X1Y2 NUM_INPUTS 93 SITEPROP OUT_FIFO_X1Y2 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X1Y2 NUM_PINS 149 SITEPROP OUT_FIFO_X1Y2 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X1Y2 PROHIBIT 0 SITEPROP OUT_FIFO_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X1Y2 RPM_X 173 SITEPROP OUT_FIFO_X1Y2 RPM_Y 62 SITEPROP OUT_FIFO_X1Y2 SITE_PIPS SITEPROP OUT_FIFO_X1Y2 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X1Y3 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X1Y3 CLASS site SITEPROP OUT_FIFO_X1Y3 CLOCK_REGION X1Y0 SITEPROP OUT_FIFO_X1Y3 IS_BONDED 0 SITEPROP OUT_FIFO_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y3 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y3 IS_PAD 0 SITEPROP OUT_FIFO_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y3 IS_RESERVED 0 SITEPROP OUT_FIFO_X1Y3 IS_TEST 0 SITEPROP OUT_FIFO_X1Y3 IS_USED 0 SITEPROP OUT_FIFO_X1Y3 MANUAL_ROUTING SITEPROP OUT_FIFO_X1Y3 NAME OUT_FIFO_X1Y3 SITEPROP OUT_FIFO_X1Y3 NUM_ARCS 0 SITEPROP OUT_FIFO_X1Y3 NUM_BELS 1 SITEPROP OUT_FIFO_X1Y3 NUM_INPUTS 93 SITEPROP OUT_FIFO_X1Y3 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X1Y3 NUM_PINS 149 SITEPROP OUT_FIFO_X1Y3 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X1Y3 PROHIBIT 0 SITEPROP OUT_FIFO_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X1Y3 RPM_X 173 SITEPROP OUT_FIFO_X1Y3 RPM_Y 86 SITEPROP OUT_FIFO_X1Y3 SITE_PIPS SITEPROP OUT_FIFO_X1Y3 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X1Y4 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X1Y4 CLASS site SITEPROP OUT_FIFO_X1Y4 CLOCK_REGION X1Y1 SITEPROP OUT_FIFO_X1Y4 IS_BONDED 0 SITEPROP OUT_FIFO_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y4 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y4 IS_PAD 0 SITEPROP OUT_FIFO_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y4 IS_RESERVED 0 SITEPROP OUT_FIFO_X1Y4 IS_TEST 0 SITEPROP OUT_FIFO_X1Y4 IS_USED 0 SITEPROP OUT_FIFO_X1Y4 MANUAL_ROUTING SITEPROP OUT_FIFO_X1Y4 NAME OUT_FIFO_X1Y4 SITEPROP OUT_FIFO_X1Y4 NUM_ARCS 0 SITEPROP OUT_FIFO_X1Y4 NUM_BELS 1 SITEPROP OUT_FIFO_X1Y4 NUM_INPUTS 93 SITEPROP OUT_FIFO_X1Y4 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X1Y4 NUM_PINS 149 SITEPROP OUT_FIFO_X1Y4 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X1Y4 PROHIBIT 0 SITEPROP OUT_FIFO_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X1Y4 RPM_X 173 SITEPROP OUT_FIFO_X1Y4 RPM_Y 114 SITEPROP OUT_FIFO_X1Y4 SITE_PIPS SITEPROP OUT_FIFO_X1Y4 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X1Y5 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X1Y5 CLASS site SITEPROP OUT_FIFO_X1Y5 CLOCK_REGION X1Y1 SITEPROP OUT_FIFO_X1Y5 IS_BONDED 0 SITEPROP OUT_FIFO_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y5 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y5 IS_PAD 0 SITEPROP OUT_FIFO_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y5 IS_RESERVED 0 SITEPROP OUT_FIFO_X1Y5 IS_TEST 0 SITEPROP OUT_FIFO_X1Y5 IS_USED 0 SITEPROP OUT_FIFO_X1Y5 MANUAL_ROUTING SITEPROP OUT_FIFO_X1Y5 NAME OUT_FIFO_X1Y5 SITEPROP OUT_FIFO_X1Y5 NUM_ARCS 0 SITEPROP OUT_FIFO_X1Y5 NUM_BELS 1 SITEPROP OUT_FIFO_X1Y5 NUM_INPUTS 93 SITEPROP OUT_FIFO_X1Y5 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X1Y5 NUM_PINS 149 SITEPROP OUT_FIFO_X1Y5 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X1Y5 PROHIBIT 0 SITEPROP OUT_FIFO_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X1Y5 RPM_X 173 SITEPROP OUT_FIFO_X1Y5 RPM_Y 138 SITEPROP OUT_FIFO_X1Y5 SITE_PIPS SITEPROP OUT_FIFO_X1Y5 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X1Y6 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X1Y6 CLASS site SITEPROP OUT_FIFO_X1Y6 CLOCK_REGION X1Y1 SITEPROP OUT_FIFO_X1Y6 IS_BONDED 0 SITEPROP OUT_FIFO_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y6 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y6 IS_PAD 0 SITEPROP OUT_FIFO_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y6 IS_RESERVED 0 SITEPROP OUT_FIFO_X1Y6 IS_TEST 0 SITEPROP OUT_FIFO_X1Y6 IS_USED 0 SITEPROP OUT_FIFO_X1Y6 MANUAL_ROUTING SITEPROP OUT_FIFO_X1Y6 NAME OUT_FIFO_X1Y6 SITEPROP OUT_FIFO_X1Y6 NUM_ARCS 0 SITEPROP OUT_FIFO_X1Y6 NUM_BELS 1 SITEPROP OUT_FIFO_X1Y6 NUM_INPUTS 93 SITEPROP OUT_FIFO_X1Y6 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X1Y6 NUM_PINS 149 SITEPROP OUT_FIFO_X1Y6 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X1Y6 PROHIBIT 0 SITEPROP OUT_FIFO_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X1Y6 RPM_X 173 SITEPROP OUT_FIFO_X1Y6 RPM_Y 162 SITEPROP OUT_FIFO_X1Y6 SITE_PIPS SITEPROP OUT_FIFO_X1Y6 SITE_TYPE OUT_FIFO SITEPROP OUT_FIFO_X1Y7 ALTERNATE_SITE_TYPES SITEPROP OUT_FIFO_X1Y7 CLASS site SITEPROP OUT_FIFO_X1Y7 CLOCK_REGION X1Y1 SITEPROP OUT_FIFO_X1Y7 IS_BONDED 0 SITEPROP OUT_FIFO_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y7 IS_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y7 IS_PAD 0 SITEPROP OUT_FIFO_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP OUT_FIFO_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP OUT_FIFO_X1Y7 IS_RESERVED 0 SITEPROP OUT_FIFO_X1Y7 IS_TEST 0 SITEPROP OUT_FIFO_X1Y7 IS_USED 0 SITEPROP OUT_FIFO_X1Y7 MANUAL_ROUTING SITEPROP OUT_FIFO_X1Y7 NAME OUT_FIFO_X1Y7 SITEPROP OUT_FIFO_X1Y7 NUM_ARCS 0 SITEPROP OUT_FIFO_X1Y7 NUM_BELS 1 SITEPROP OUT_FIFO_X1Y7 NUM_INPUTS 93 SITEPROP OUT_FIFO_X1Y7 NUM_OUTPUTS 56 SITEPROP OUT_FIFO_X1Y7 NUM_PINS 149 SITEPROP OUT_FIFO_X1Y7 PRIMITIVE_COUNT 0 SITEPROP OUT_FIFO_X1Y7 PROHIBIT 0 SITEPROP OUT_FIFO_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP OUT_FIFO_X1Y7 RPM_X 173 SITEPROP OUT_FIFO_X1Y7 RPM_Y 186 SITEPROP OUT_FIFO_X1Y7 SITE_PIPS SITEPROP OUT_FIFO_X1Y7 SITE_TYPE OUT_FIFO SITEPROP PCIE_X0Y0 ALTERNATE_SITE_TYPES SITEPROP PCIE_X0Y0 CLASS site SITEPROP PCIE_X0Y0 CLOCK_REGION X1Y2 SITEPROP PCIE_X0Y0 IS_BONDED 0 SITEPROP PCIE_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP PCIE_X0Y0 IS_CLOCK_PAD 0 SITEPROP PCIE_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PCIE_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PCIE_X0Y0 IS_PAD 0 SITEPROP PCIE_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PCIE_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PCIE_X0Y0 IS_RESERVED 0 SITEPROP PCIE_X0Y0 IS_TEST 0 SITEPROP PCIE_X0Y0 IS_USED 0 SITEPROP PCIE_X0Y0 MANUAL_ROUTING SITEPROP PCIE_X0Y0 NAME PCIE_X0Y0 SITEPROP PCIE_X0Y0 NUM_ARCS 0 SITEPROP PCIE_X0Y0 NUM_BELS 1 SITEPROP PCIE_X0Y0 NUM_INPUTS 1049 SITEPROP PCIE_X0Y0 NUM_OUTPUTS 1200 SITEPROP PCIE_X0Y0 NUM_PINS 2249 SITEPROP PCIE_X0Y0 PRIMITIVE_COUNT 0 SITEPROP PCIE_X0Y0 PROHIBIT 0 SITEPROP PCIE_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PCIE_X0Y0 RPM_X 117 SITEPROP PCIE_X0Y0 RPM_Y 220 SITEPROP PCIE_X0Y0 SITE_PIPS SITEPROP PCIE_X0Y0 SITE_TYPE PCIE_2_1 SITEPROP PHASER_IN_PHY_X0Y0 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y0 CLASS site SITEPROP PHASER_IN_PHY_X0Y0 CLOCK_REGION X0Y0 SITEPROP PHASER_IN_PHY_X0Y0 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y0 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y0 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y0 NAME PHASER_IN_PHY_X0Y0 SITEPROP PHASER_IN_PHY_X0Y0 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y0 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y0 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y0 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y0 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y0 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y0 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y0 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y0 RPM_Y 34 SITEPROP PHASER_IN_PHY_X0Y0 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y0 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y1 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y1 CLASS site SITEPROP PHASER_IN_PHY_X0Y1 CLOCK_REGION X0Y0 SITEPROP PHASER_IN_PHY_X0Y1 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y1 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y1 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y1 NAME PHASER_IN_PHY_X0Y1 SITEPROP PHASER_IN_PHY_X0Y1 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y1 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y1 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y1 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y1 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y1 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y1 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y1 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y1 RPM_Y 35 SITEPROP PHASER_IN_PHY_X0Y1 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y1 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y2 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y2 CLASS site SITEPROP PHASER_IN_PHY_X0Y2 CLOCK_REGION X0Y0 SITEPROP PHASER_IN_PHY_X0Y2 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y2 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y2 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y2 NAME PHASER_IN_PHY_X0Y2 SITEPROP PHASER_IN_PHY_X0Y2 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y2 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y2 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y2 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y2 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y2 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y2 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y2 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y2 RPM_Y 58 SITEPROP PHASER_IN_PHY_X0Y2 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y2 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y3 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y3 CLASS site SITEPROP PHASER_IN_PHY_X0Y3 CLOCK_REGION X0Y0 SITEPROP PHASER_IN_PHY_X0Y3 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y3 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y3 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y3 NAME PHASER_IN_PHY_X0Y3 SITEPROP PHASER_IN_PHY_X0Y3 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y3 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y3 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y3 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y3 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y3 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y3 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y3 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y3 RPM_Y 59 SITEPROP PHASER_IN_PHY_X0Y3 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y3 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y4 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y4 CLASS site SITEPROP PHASER_IN_PHY_X0Y4 CLOCK_REGION X0Y1 SITEPROP PHASER_IN_PHY_X0Y4 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y4 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y4 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y4 NAME PHASER_IN_PHY_X0Y4 SITEPROP PHASER_IN_PHY_X0Y4 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y4 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y4 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y4 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y4 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y4 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y4 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y4 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y4 RPM_Y 134 SITEPROP PHASER_IN_PHY_X0Y4 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y4 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y5 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y5 CLASS site SITEPROP PHASER_IN_PHY_X0Y5 CLOCK_REGION X0Y1 SITEPROP PHASER_IN_PHY_X0Y5 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y5 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y5 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y5 NAME PHASER_IN_PHY_X0Y5 SITEPROP PHASER_IN_PHY_X0Y5 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y5 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y5 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y5 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y5 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y5 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y5 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y5 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y5 RPM_Y 135 SITEPROP PHASER_IN_PHY_X0Y5 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y5 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y6 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y6 CLASS site SITEPROP PHASER_IN_PHY_X0Y6 CLOCK_REGION X0Y1 SITEPROP PHASER_IN_PHY_X0Y6 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y6 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y6 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y6 NAME PHASER_IN_PHY_X0Y6 SITEPROP PHASER_IN_PHY_X0Y6 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y6 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y6 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y6 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y6 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y6 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y6 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y6 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y6 RPM_Y 158 SITEPROP PHASER_IN_PHY_X0Y6 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y6 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y7 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y7 CLASS site SITEPROP PHASER_IN_PHY_X0Y7 CLOCK_REGION X0Y1 SITEPROP PHASER_IN_PHY_X0Y7 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y7 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y7 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y7 NAME PHASER_IN_PHY_X0Y7 SITEPROP PHASER_IN_PHY_X0Y7 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y7 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y7 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y7 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y7 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y7 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y7 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y7 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y7 RPM_Y 159 SITEPROP PHASER_IN_PHY_X0Y7 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y7 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y8 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y8 CLASS site SITEPROP PHASER_IN_PHY_X0Y8 CLOCK_REGION X0Y2 SITEPROP PHASER_IN_PHY_X0Y8 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y8 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y8 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y8 NAME PHASER_IN_PHY_X0Y8 SITEPROP PHASER_IN_PHY_X0Y8 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y8 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y8 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y8 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y8 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y8 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y8 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y8 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y8 RPM_Y 234 SITEPROP PHASER_IN_PHY_X0Y8 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y8 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y9 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y9 CLASS site SITEPROP PHASER_IN_PHY_X0Y9 CLOCK_REGION X0Y2 SITEPROP PHASER_IN_PHY_X0Y9 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y9 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y9 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y9 NAME PHASER_IN_PHY_X0Y9 SITEPROP PHASER_IN_PHY_X0Y9 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y9 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y9 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y9 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y9 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y9 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y9 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y9 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y9 RPM_Y 235 SITEPROP PHASER_IN_PHY_X0Y9 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y9 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y10 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y10 CLASS site SITEPROP PHASER_IN_PHY_X0Y10 CLOCK_REGION X0Y2 SITEPROP PHASER_IN_PHY_X0Y10 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y10 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y10 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y10 NAME PHASER_IN_PHY_X0Y10 SITEPROP PHASER_IN_PHY_X0Y10 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y10 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y10 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y10 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y10 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y10 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y10 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y10 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y10 RPM_Y 258 SITEPROP PHASER_IN_PHY_X0Y10 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y10 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X0Y11 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X0Y11 CLASS site SITEPROP PHASER_IN_PHY_X0Y11 CLOCK_REGION X0Y2 SITEPROP PHASER_IN_PHY_X0Y11 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_PAD 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_TEST 0 SITEPROP PHASER_IN_PHY_X0Y11 IS_USED 0 SITEPROP PHASER_IN_PHY_X0Y11 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X0Y11 NAME PHASER_IN_PHY_X0Y11 SITEPROP PHASER_IN_PHY_X0Y11 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X0Y11 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X0Y11 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X0Y11 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X0Y11 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X0Y11 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X0Y11 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X0Y11 RPM_X 10 SITEPROP PHASER_IN_PHY_X0Y11 RPM_Y 259 SITEPROP PHASER_IN_PHY_X0Y11 SITE_PIPS SITEPROP PHASER_IN_PHY_X0Y11 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X1Y0 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X1Y0 CLASS site SITEPROP PHASER_IN_PHY_X1Y0 CLOCK_REGION X1Y0 SITEPROP PHASER_IN_PHY_X1Y0 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_PAD 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_TEST 0 SITEPROP PHASER_IN_PHY_X1Y0 IS_USED 0 SITEPROP PHASER_IN_PHY_X1Y0 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X1Y0 NAME PHASER_IN_PHY_X1Y0 SITEPROP PHASER_IN_PHY_X1Y0 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X1Y0 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X1Y0 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X1Y0 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X1Y0 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X1Y0 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X1Y0 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X1Y0 RPM_X 174 SITEPROP PHASER_IN_PHY_X1Y0 RPM_Y 34 SITEPROP PHASER_IN_PHY_X1Y0 SITE_PIPS SITEPROP PHASER_IN_PHY_X1Y0 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X1Y1 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X1Y1 CLASS site SITEPROP PHASER_IN_PHY_X1Y1 CLOCK_REGION X1Y0 SITEPROP PHASER_IN_PHY_X1Y1 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_PAD 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_TEST 0 SITEPROP PHASER_IN_PHY_X1Y1 IS_USED 0 SITEPROP PHASER_IN_PHY_X1Y1 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X1Y1 NAME PHASER_IN_PHY_X1Y1 SITEPROP PHASER_IN_PHY_X1Y1 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X1Y1 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X1Y1 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X1Y1 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X1Y1 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X1Y1 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X1Y1 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X1Y1 RPM_X 174 SITEPROP PHASER_IN_PHY_X1Y1 RPM_Y 35 SITEPROP PHASER_IN_PHY_X1Y1 SITE_PIPS SITEPROP PHASER_IN_PHY_X1Y1 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X1Y2 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X1Y2 CLASS site SITEPROP PHASER_IN_PHY_X1Y2 CLOCK_REGION X1Y0 SITEPROP PHASER_IN_PHY_X1Y2 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_PAD 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_TEST 0 SITEPROP PHASER_IN_PHY_X1Y2 IS_USED 0 SITEPROP PHASER_IN_PHY_X1Y2 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X1Y2 NAME PHASER_IN_PHY_X1Y2 SITEPROP PHASER_IN_PHY_X1Y2 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X1Y2 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X1Y2 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X1Y2 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X1Y2 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X1Y2 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X1Y2 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X1Y2 RPM_X 174 SITEPROP PHASER_IN_PHY_X1Y2 RPM_Y 58 SITEPROP PHASER_IN_PHY_X1Y2 SITE_PIPS SITEPROP PHASER_IN_PHY_X1Y2 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X1Y3 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X1Y3 CLASS site SITEPROP PHASER_IN_PHY_X1Y3 CLOCK_REGION X1Y0 SITEPROP PHASER_IN_PHY_X1Y3 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_PAD 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_TEST 0 SITEPROP PHASER_IN_PHY_X1Y3 IS_USED 0 SITEPROP PHASER_IN_PHY_X1Y3 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X1Y3 NAME PHASER_IN_PHY_X1Y3 SITEPROP PHASER_IN_PHY_X1Y3 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X1Y3 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X1Y3 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X1Y3 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X1Y3 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X1Y3 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X1Y3 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X1Y3 RPM_X 174 SITEPROP PHASER_IN_PHY_X1Y3 RPM_Y 59 SITEPROP PHASER_IN_PHY_X1Y3 SITE_PIPS SITEPROP PHASER_IN_PHY_X1Y3 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X1Y4 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X1Y4 CLASS site SITEPROP PHASER_IN_PHY_X1Y4 CLOCK_REGION X1Y1 SITEPROP PHASER_IN_PHY_X1Y4 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_PAD 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_TEST 0 SITEPROP PHASER_IN_PHY_X1Y4 IS_USED 0 SITEPROP PHASER_IN_PHY_X1Y4 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X1Y4 NAME PHASER_IN_PHY_X1Y4 SITEPROP PHASER_IN_PHY_X1Y4 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X1Y4 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X1Y4 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X1Y4 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X1Y4 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X1Y4 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X1Y4 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X1Y4 RPM_X 174 SITEPROP PHASER_IN_PHY_X1Y4 RPM_Y 134 SITEPROP PHASER_IN_PHY_X1Y4 SITE_PIPS SITEPROP PHASER_IN_PHY_X1Y4 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X1Y5 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X1Y5 CLASS site SITEPROP PHASER_IN_PHY_X1Y5 CLOCK_REGION X1Y1 SITEPROP PHASER_IN_PHY_X1Y5 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_PAD 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_TEST 0 SITEPROP PHASER_IN_PHY_X1Y5 IS_USED 0 SITEPROP PHASER_IN_PHY_X1Y5 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X1Y5 NAME PHASER_IN_PHY_X1Y5 SITEPROP PHASER_IN_PHY_X1Y5 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X1Y5 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X1Y5 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X1Y5 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X1Y5 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X1Y5 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X1Y5 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X1Y5 RPM_X 174 SITEPROP PHASER_IN_PHY_X1Y5 RPM_Y 135 SITEPROP PHASER_IN_PHY_X1Y5 SITE_PIPS SITEPROP PHASER_IN_PHY_X1Y5 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X1Y6 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X1Y6 CLASS site SITEPROP PHASER_IN_PHY_X1Y6 CLOCK_REGION X1Y1 SITEPROP PHASER_IN_PHY_X1Y6 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_PAD 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_TEST 0 SITEPROP PHASER_IN_PHY_X1Y6 IS_USED 0 SITEPROP PHASER_IN_PHY_X1Y6 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X1Y6 NAME PHASER_IN_PHY_X1Y6 SITEPROP PHASER_IN_PHY_X1Y6 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X1Y6 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X1Y6 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X1Y6 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X1Y6 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X1Y6 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X1Y6 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X1Y6 RPM_X 174 SITEPROP PHASER_IN_PHY_X1Y6 RPM_Y 158 SITEPROP PHASER_IN_PHY_X1Y6 SITE_PIPS SITEPROP PHASER_IN_PHY_X1Y6 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_IN_PHY_X1Y7 ALTERNATE_SITE_TYPES PHASER_IN PHASER_IN_ADV SITEPROP PHASER_IN_PHY_X1Y7 CLASS site SITEPROP PHASER_IN_PHY_X1Y7 CLOCK_REGION X1Y1 SITEPROP PHASER_IN_PHY_X1Y7 IS_BONDED 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_PAD 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_RESERVED 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_TEST 0 SITEPROP PHASER_IN_PHY_X1Y7 IS_USED 0 SITEPROP PHASER_IN_PHY_X1Y7 MANUAL_ROUTING SITEPROP PHASER_IN_PHY_X1Y7 NAME PHASER_IN_PHY_X1Y7 SITEPROP PHASER_IN_PHY_X1Y7 NUM_ARCS 2 SITEPROP PHASER_IN_PHY_X1Y7 NUM_BELS 1 SITEPROP PHASER_IN_PHY_X1Y7 NUM_INPUTS 62 SITEPROP PHASER_IN_PHY_X1Y7 NUM_OUTPUTS 30 SITEPROP PHASER_IN_PHY_X1Y7 NUM_PINS 92 SITEPROP PHASER_IN_PHY_X1Y7 PRIMITIVE_COUNT 0 SITEPROP PHASER_IN_PHY_X1Y7 PROHIBIT 0 SITEPROP PHASER_IN_PHY_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_IN_PHY_X1Y7 RPM_X 174 SITEPROP PHASER_IN_PHY_X1Y7 RPM_Y 159 SITEPROP PHASER_IN_PHY_X1Y7 SITE_PIPS SITEPROP PHASER_IN_PHY_X1Y7 SITE_TYPE PHASER_IN_PHY SITEPROP PHASER_OUT_PHY_X0Y0 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y0 CLASS site SITEPROP PHASER_OUT_PHY_X0Y0 CLOCK_REGION X0Y0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y0 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y0 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y0 NAME PHASER_OUT_PHY_X0Y0 SITEPROP PHASER_OUT_PHY_X0Y0 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y0 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y0 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y0 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y0 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y0 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y0 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y0 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y0 RPM_Y 34 SITEPROP PHASER_OUT_PHY_X0Y0 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y0 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y1 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y1 CLASS site SITEPROP PHASER_OUT_PHY_X0Y1 CLOCK_REGION X0Y0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y1 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y1 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y1 NAME PHASER_OUT_PHY_X0Y1 SITEPROP PHASER_OUT_PHY_X0Y1 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y1 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y1 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y1 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y1 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y1 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y1 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y1 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y1 RPM_Y 35 SITEPROP PHASER_OUT_PHY_X0Y1 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y1 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y2 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y2 CLASS site SITEPROP PHASER_OUT_PHY_X0Y2 CLOCK_REGION X0Y0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y2 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y2 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y2 NAME PHASER_OUT_PHY_X0Y2 SITEPROP PHASER_OUT_PHY_X0Y2 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y2 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y2 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y2 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y2 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y2 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y2 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y2 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y2 RPM_Y 58 SITEPROP PHASER_OUT_PHY_X0Y2 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y2 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y3 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y3 CLASS site SITEPROP PHASER_OUT_PHY_X0Y3 CLOCK_REGION X0Y0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y3 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y3 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y3 NAME PHASER_OUT_PHY_X0Y3 SITEPROP PHASER_OUT_PHY_X0Y3 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y3 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y3 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y3 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y3 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y3 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y3 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y3 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y3 RPM_Y 59 SITEPROP PHASER_OUT_PHY_X0Y3 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y3 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y4 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y4 CLASS site SITEPROP PHASER_OUT_PHY_X0Y4 CLOCK_REGION X0Y1 SITEPROP PHASER_OUT_PHY_X0Y4 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y4 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y4 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y4 NAME PHASER_OUT_PHY_X0Y4 SITEPROP PHASER_OUT_PHY_X0Y4 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y4 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y4 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y4 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y4 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y4 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y4 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y4 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y4 RPM_Y 134 SITEPROP PHASER_OUT_PHY_X0Y4 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y4 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y5 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y5 CLASS site SITEPROP PHASER_OUT_PHY_X0Y5 CLOCK_REGION X0Y1 SITEPROP PHASER_OUT_PHY_X0Y5 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y5 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y5 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y5 NAME PHASER_OUT_PHY_X0Y5 SITEPROP PHASER_OUT_PHY_X0Y5 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y5 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y5 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y5 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y5 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y5 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y5 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y5 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y5 RPM_Y 135 SITEPROP PHASER_OUT_PHY_X0Y5 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y5 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y6 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y6 CLASS site SITEPROP PHASER_OUT_PHY_X0Y6 CLOCK_REGION X0Y1 SITEPROP PHASER_OUT_PHY_X0Y6 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y6 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y6 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y6 NAME PHASER_OUT_PHY_X0Y6 SITEPROP PHASER_OUT_PHY_X0Y6 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y6 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y6 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y6 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y6 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y6 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y6 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y6 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y6 RPM_Y 158 SITEPROP PHASER_OUT_PHY_X0Y6 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y6 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y7 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y7 CLASS site SITEPROP PHASER_OUT_PHY_X0Y7 CLOCK_REGION X0Y1 SITEPROP PHASER_OUT_PHY_X0Y7 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y7 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y7 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y7 NAME PHASER_OUT_PHY_X0Y7 SITEPROP PHASER_OUT_PHY_X0Y7 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y7 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y7 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y7 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y7 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y7 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y7 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y7 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y7 RPM_Y 159 SITEPROP PHASER_OUT_PHY_X0Y7 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y7 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y8 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y8 CLASS site SITEPROP PHASER_OUT_PHY_X0Y8 CLOCK_REGION X0Y2 SITEPROP PHASER_OUT_PHY_X0Y8 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y8 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y8 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y8 NAME PHASER_OUT_PHY_X0Y8 SITEPROP PHASER_OUT_PHY_X0Y8 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y8 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y8 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y8 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y8 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y8 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y8 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y8 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y8 RPM_Y 234 SITEPROP PHASER_OUT_PHY_X0Y8 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y8 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y9 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y9 CLASS site SITEPROP PHASER_OUT_PHY_X0Y9 CLOCK_REGION X0Y2 SITEPROP PHASER_OUT_PHY_X0Y9 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y9 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y9 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y9 NAME PHASER_OUT_PHY_X0Y9 SITEPROP PHASER_OUT_PHY_X0Y9 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y9 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y9 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y9 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y9 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y9 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y9 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y9 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y9 RPM_Y 235 SITEPROP PHASER_OUT_PHY_X0Y9 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y9 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y10 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y10 CLASS site SITEPROP PHASER_OUT_PHY_X0Y10 CLOCK_REGION X0Y2 SITEPROP PHASER_OUT_PHY_X0Y10 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y10 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y10 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y10 NAME PHASER_OUT_PHY_X0Y10 SITEPROP PHASER_OUT_PHY_X0Y10 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y10 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y10 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y10 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y10 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y10 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y10 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y10 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y10 RPM_Y 258 SITEPROP PHASER_OUT_PHY_X0Y10 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y10 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X0Y11 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X0Y11 CLASS site SITEPROP PHASER_OUT_PHY_X0Y11 CLOCK_REGION X0Y2 SITEPROP PHASER_OUT_PHY_X0Y11 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X0Y11 IS_USED 0 SITEPROP PHASER_OUT_PHY_X0Y11 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X0Y11 NAME PHASER_OUT_PHY_X0Y11 SITEPROP PHASER_OUT_PHY_X0Y11 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X0Y11 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X0Y11 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X0Y11 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X0Y11 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X0Y11 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X0Y11 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X0Y11 RPM_X 11 SITEPROP PHASER_OUT_PHY_X0Y11 RPM_Y 259 SITEPROP PHASER_OUT_PHY_X0Y11 SITE_PIPS SITEPROP PHASER_OUT_PHY_X0Y11 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X1Y0 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X1Y0 CLASS site SITEPROP PHASER_OUT_PHY_X1Y0 CLOCK_REGION X1Y0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X1Y0 IS_USED 0 SITEPROP PHASER_OUT_PHY_X1Y0 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X1Y0 NAME PHASER_OUT_PHY_X1Y0 SITEPROP PHASER_OUT_PHY_X1Y0 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X1Y0 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X1Y0 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X1Y0 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X1Y0 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X1Y0 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X1Y0 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X1Y0 RPM_X 175 SITEPROP PHASER_OUT_PHY_X1Y0 RPM_Y 34 SITEPROP PHASER_OUT_PHY_X1Y0 SITE_PIPS SITEPROP PHASER_OUT_PHY_X1Y0 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X1Y1 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X1Y1 CLASS site SITEPROP PHASER_OUT_PHY_X1Y1 CLOCK_REGION X1Y0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X1Y1 IS_USED 0 SITEPROP PHASER_OUT_PHY_X1Y1 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X1Y1 NAME PHASER_OUT_PHY_X1Y1 SITEPROP PHASER_OUT_PHY_X1Y1 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X1Y1 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X1Y1 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X1Y1 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X1Y1 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X1Y1 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X1Y1 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X1Y1 RPM_X 175 SITEPROP PHASER_OUT_PHY_X1Y1 RPM_Y 35 SITEPROP PHASER_OUT_PHY_X1Y1 SITE_PIPS SITEPROP PHASER_OUT_PHY_X1Y1 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X1Y2 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X1Y2 CLASS site SITEPROP PHASER_OUT_PHY_X1Y2 CLOCK_REGION X1Y0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X1Y2 IS_USED 0 SITEPROP PHASER_OUT_PHY_X1Y2 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X1Y2 NAME PHASER_OUT_PHY_X1Y2 SITEPROP PHASER_OUT_PHY_X1Y2 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X1Y2 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X1Y2 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X1Y2 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X1Y2 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X1Y2 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X1Y2 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X1Y2 RPM_X 175 SITEPROP PHASER_OUT_PHY_X1Y2 RPM_Y 58 SITEPROP PHASER_OUT_PHY_X1Y2 SITE_PIPS SITEPROP PHASER_OUT_PHY_X1Y2 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X1Y3 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X1Y3 CLASS site SITEPROP PHASER_OUT_PHY_X1Y3 CLOCK_REGION X1Y0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X1Y3 IS_USED 0 SITEPROP PHASER_OUT_PHY_X1Y3 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X1Y3 NAME PHASER_OUT_PHY_X1Y3 SITEPROP PHASER_OUT_PHY_X1Y3 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X1Y3 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X1Y3 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X1Y3 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X1Y3 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X1Y3 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X1Y3 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X1Y3 RPM_X 175 SITEPROP PHASER_OUT_PHY_X1Y3 RPM_Y 59 SITEPROP PHASER_OUT_PHY_X1Y3 SITE_PIPS SITEPROP PHASER_OUT_PHY_X1Y3 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X1Y4 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X1Y4 CLASS site SITEPROP PHASER_OUT_PHY_X1Y4 CLOCK_REGION X1Y1 SITEPROP PHASER_OUT_PHY_X1Y4 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X1Y4 IS_USED 0 SITEPROP PHASER_OUT_PHY_X1Y4 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X1Y4 NAME PHASER_OUT_PHY_X1Y4 SITEPROP PHASER_OUT_PHY_X1Y4 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X1Y4 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X1Y4 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X1Y4 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X1Y4 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X1Y4 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X1Y4 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X1Y4 RPM_X 175 SITEPROP PHASER_OUT_PHY_X1Y4 RPM_Y 134 SITEPROP PHASER_OUT_PHY_X1Y4 SITE_PIPS SITEPROP PHASER_OUT_PHY_X1Y4 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X1Y5 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X1Y5 CLASS site SITEPROP PHASER_OUT_PHY_X1Y5 CLOCK_REGION X1Y1 SITEPROP PHASER_OUT_PHY_X1Y5 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X1Y5 IS_USED 0 SITEPROP PHASER_OUT_PHY_X1Y5 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X1Y5 NAME PHASER_OUT_PHY_X1Y5 SITEPROP PHASER_OUT_PHY_X1Y5 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X1Y5 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X1Y5 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X1Y5 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X1Y5 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X1Y5 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X1Y5 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X1Y5 RPM_X 175 SITEPROP PHASER_OUT_PHY_X1Y5 RPM_Y 135 SITEPROP PHASER_OUT_PHY_X1Y5 SITE_PIPS SITEPROP PHASER_OUT_PHY_X1Y5 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X1Y6 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X1Y6 CLASS site SITEPROP PHASER_OUT_PHY_X1Y6 CLOCK_REGION X1Y1 SITEPROP PHASER_OUT_PHY_X1Y6 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X1Y6 IS_USED 0 SITEPROP PHASER_OUT_PHY_X1Y6 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X1Y6 NAME PHASER_OUT_PHY_X1Y6 SITEPROP PHASER_OUT_PHY_X1Y6 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X1Y6 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X1Y6 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X1Y6 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X1Y6 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X1Y6 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X1Y6 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X1Y6 RPM_X 175 SITEPROP PHASER_OUT_PHY_X1Y6 RPM_Y 158 SITEPROP PHASER_OUT_PHY_X1Y6 SITE_PIPS SITEPROP PHASER_OUT_PHY_X1Y6 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_OUT_PHY_X1Y7 ALTERNATE_SITE_TYPES PHASER_OUT PHASER_OUT_ADV SITEPROP PHASER_OUT_PHY_X1Y7 CLASS site SITEPROP PHASER_OUT_PHY_X1Y7 CLOCK_REGION X1Y1 SITEPROP PHASER_OUT_PHY_X1Y7 IS_BONDED 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_RESERVED 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_TEST 0 SITEPROP PHASER_OUT_PHY_X1Y7 IS_USED 0 SITEPROP PHASER_OUT_PHY_X1Y7 MANUAL_ROUTING SITEPROP PHASER_OUT_PHY_X1Y7 NAME PHASER_OUT_PHY_X1Y7 SITEPROP PHASER_OUT_PHY_X1Y7 NUM_ARCS 2 SITEPROP PHASER_OUT_PHY_X1Y7 NUM_BELS 1 SITEPROP PHASER_OUT_PHY_X1Y7 NUM_INPUTS 50 SITEPROP PHASER_OUT_PHY_X1Y7 NUM_OUTPUTS 27 SITEPROP PHASER_OUT_PHY_X1Y7 NUM_PINS 77 SITEPROP PHASER_OUT_PHY_X1Y7 PRIMITIVE_COUNT 0 SITEPROP PHASER_OUT_PHY_X1Y7 PROHIBIT 0 SITEPROP PHASER_OUT_PHY_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_OUT_PHY_X1Y7 RPM_X 175 SITEPROP PHASER_OUT_PHY_X1Y7 RPM_Y 159 SITEPROP PHASER_OUT_PHY_X1Y7 SITE_PIPS SITEPROP PHASER_OUT_PHY_X1Y7 SITE_TYPE PHASER_OUT_PHY SITEPROP PHASER_REF_X0Y0 ALTERNATE_SITE_TYPES SITEPROP PHASER_REF_X0Y0 CLASS site SITEPROP PHASER_REF_X0Y0 CLOCK_REGION X0Y0 SITEPROP PHASER_REF_X0Y0 IS_BONDED 0 SITEPROP PHASER_REF_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X0Y0 IS_CLOCK_PAD 0 SITEPROP PHASER_REF_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X0Y0 IS_PAD 0 SITEPROP PHASER_REF_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X0Y0 IS_RESERVED 0 SITEPROP PHASER_REF_X0Y0 IS_TEST 0 SITEPROP PHASER_REF_X0Y0 IS_USED 0 SITEPROP PHASER_REF_X0Y0 MANUAL_ROUTING SITEPROP PHASER_REF_X0Y0 NAME PHASER_REF_X0Y0 SITEPROP PHASER_REF_X0Y0 NUM_ARCS 4 SITEPROP PHASER_REF_X0Y0 NUM_BELS 1 SITEPROP PHASER_REF_X0Y0 NUM_INPUTS 11 SITEPROP PHASER_REF_X0Y0 NUM_OUTPUTS 11 SITEPROP PHASER_REF_X0Y0 NUM_PINS 22 SITEPROP PHASER_REF_X0Y0 PRIMITIVE_COUNT 0 SITEPROP PHASER_REF_X0Y0 PROHIBIT 0 SITEPROP PHASER_REF_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_REF_X0Y0 RPM_X 9 SITEPROP PHASER_REF_X0Y0 RPM_Y 58 SITEPROP PHASER_REF_X0Y0 SITE_PIPS SITEPROP PHASER_REF_X0Y0 SITE_TYPE PHASER_REF SITEPROP PHASER_REF_X0Y1 ALTERNATE_SITE_TYPES SITEPROP PHASER_REF_X0Y1 CLASS site SITEPROP PHASER_REF_X0Y1 CLOCK_REGION X0Y1 SITEPROP PHASER_REF_X0Y1 IS_BONDED 0 SITEPROP PHASER_REF_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X0Y1 IS_CLOCK_PAD 0 SITEPROP PHASER_REF_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X0Y1 IS_PAD 0 SITEPROP PHASER_REF_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X0Y1 IS_RESERVED 0 SITEPROP PHASER_REF_X0Y1 IS_TEST 0 SITEPROP PHASER_REF_X0Y1 IS_USED 0 SITEPROP PHASER_REF_X0Y1 MANUAL_ROUTING SITEPROP PHASER_REF_X0Y1 NAME PHASER_REF_X0Y1 SITEPROP PHASER_REF_X0Y1 NUM_ARCS 4 SITEPROP PHASER_REF_X0Y1 NUM_BELS 1 SITEPROP PHASER_REF_X0Y1 NUM_INPUTS 11 SITEPROP PHASER_REF_X0Y1 NUM_OUTPUTS 11 SITEPROP PHASER_REF_X0Y1 NUM_PINS 22 SITEPROP PHASER_REF_X0Y1 PRIMITIVE_COUNT 0 SITEPROP PHASER_REF_X0Y1 PROHIBIT 0 SITEPROP PHASER_REF_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_REF_X0Y1 RPM_X 9 SITEPROP PHASER_REF_X0Y1 RPM_Y 158 SITEPROP PHASER_REF_X0Y1 SITE_PIPS SITEPROP PHASER_REF_X0Y1 SITE_TYPE PHASER_REF SITEPROP PHASER_REF_X0Y2 ALTERNATE_SITE_TYPES SITEPROP PHASER_REF_X0Y2 CLASS site SITEPROP PHASER_REF_X0Y2 CLOCK_REGION X0Y2 SITEPROP PHASER_REF_X0Y2 IS_BONDED 0 SITEPROP PHASER_REF_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X0Y2 IS_CLOCK_PAD 0 SITEPROP PHASER_REF_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X0Y2 IS_PAD 0 SITEPROP PHASER_REF_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X0Y2 IS_RESERVED 0 SITEPROP PHASER_REF_X0Y2 IS_TEST 0 SITEPROP PHASER_REF_X0Y2 IS_USED 0 SITEPROP PHASER_REF_X0Y2 MANUAL_ROUTING SITEPROP PHASER_REF_X0Y2 NAME PHASER_REF_X0Y2 SITEPROP PHASER_REF_X0Y2 NUM_ARCS 4 SITEPROP PHASER_REF_X0Y2 NUM_BELS 1 SITEPROP PHASER_REF_X0Y2 NUM_INPUTS 11 SITEPROP PHASER_REF_X0Y2 NUM_OUTPUTS 11 SITEPROP PHASER_REF_X0Y2 NUM_PINS 22 SITEPROP PHASER_REF_X0Y2 PRIMITIVE_COUNT 0 SITEPROP PHASER_REF_X0Y2 PROHIBIT 0 SITEPROP PHASER_REF_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_REF_X0Y2 RPM_X 9 SITEPROP PHASER_REF_X0Y2 RPM_Y 258 SITEPROP PHASER_REF_X0Y2 SITE_PIPS SITEPROP PHASER_REF_X0Y2 SITE_TYPE PHASER_REF SITEPROP PHASER_REF_X1Y0 ALTERNATE_SITE_TYPES SITEPROP PHASER_REF_X1Y0 CLASS site SITEPROP PHASER_REF_X1Y0 CLOCK_REGION X1Y0 SITEPROP PHASER_REF_X1Y0 IS_BONDED 0 SITEPROP PHASER_REF_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X1Y0 IS_CLOCK_PAD 0 SITEPROP PHASER_REF_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X1Y0 IS_PAD 0 SITEPROP PHASER_REF_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X1Y0 IS_RESERVED 0 SITEPROP PHASER_REF_X1Y0 IS_TEST 0 SITEPROP PHASER_REF_X1Y0 IS_USED 0 SITEPROP PHASER_REF_X1Y0 MANUAL_ROUTING SITEPROP PHASER_REF_X1Y0 NAME PHASER_REF_X1Y0 SITEPROP PHASER_REF_X1Y0 NUM_ARCS 4 SITEPROP PHASER_REF_X1Y0 NUM_BELS 1 SITEPROP PHASER_REF_X1Y0 NUM_INPUTS 11 SITEPROP PHASER_REF_X1Y0 NUM_OUTPUTS 11 SITEPROP PHASER_REF_X1Y0 NUM_PINS 22 SITEPROP PHASER_REF_X1Y0 PRIMITIVE_COUNT 0 SITEPROP PHASER_REF_X1Y0 PROHIBIT 0 SITEPROP PHASER_REF_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_REF_X1Y0 RPM_X 173 SITEPROP PHASER_REF_X1Y0 RPM_Y 58 SITEPROP PHASER_REF_X1Y0 SITE_PIPS SITEPROP PHASER_REF_X1Y0 SITE_TYPE PHASER_REF SITEPROP PHASER_REF_X1Y1 ALTERNATE_SITE_TYPES SITEPROP PHASER_REF_X1Y1 CLASS site SITEPROP PHASER_REF_X1Y1 CLOCK_REGION X1Y1 SITEPROP PHASER_REF_X1Y1 IS_BONDED 0 SITEPROP PHASER_REF_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X1Y1 IS_CLOCK_PAD 0 SITEPROP PHASER_REF_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X1Y1 IS_PAD 0 SITEPROP PHASER_REF_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHASER_REF_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHASER_REF_X1Y1 IS_RESERVED 0 SITEPROP PHASER_REF_X1Y1 IS_TEST 0 SITEPROP PHASER_REF_X1Y1 IS_USED 0 SITEPROP PHASER_REF_X1Y1 MANUAL_ROUTING SITEPROP PHASER_REF_X1Y1 NAME PHASER_REF_X1Y1 SITEPROP PHASER_REF_X1Y1 NUM_ARCS 4 SITEPROP PHASER_REF_X1Y1 NUM_BELS 1 SITEPROP PHASER_REF_X1Y1 NUM_INPUTS 11 SITEPROP PHASER_REF_X1Y1 NUM_OUTPUTS 11 SITEPROP PHASER_REF_X1Y1 NUM_PINS 22 SITEPROP PHASER_REF_X1Y1 PRIMITIVE_COUNT 0 SITEPROP PHASER_REF_X1Y1 PROHIBIT 0 SITEPROP PHASER_REF_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PHASER_REF_X1Y1 RPM_X 173 SITEPROP PHASER_REF_X1Y1 RPM_Y 158 SITEPROP PHASER_REF_X1Y1 SITE_PIPS SITEPROP PHASER_REF_X1Y1 SITE_TYPE PHASER_REF SITEPROP PHY_CONTROL_X0Y0 ALTERNATE_SITE_TYPES SITEPROP PHY_CONTROL_X0Y0 CLASS site SITEPROP PHY_CONTROL_X0Y0 CLOCK_REGION X0Y0 SITEPROP PHY_CONTROL_X0Y0 IS_BONDED 0 SITEPROP PHY_CONTROL_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X0Y0 IS_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X0Y0 IS_PAD 0 SITEPROP PHY_CONTROL_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X0Y0 IS_RESERVED 0 SITEPROP PHY_CONTROL_X0Y0 IS_TEST 0 SITEPROP PHY_CONTROL_X0Y0 IS_USED 0 SITEPROP PHY_CONTROL_X0Y0 MANUAL_ROUTING SITEPROP PHY_CONTROL_X0Y0 NAME PHY_CONTROL_X0Y0 SITEPROP PHY_CONTROL_X0Y0 NUM_ARCS 0 SITEPROP PHY_CONTROL_X0Y0 NUM_BELS 1 SITEPROP PHY_CONTROL_X0Y0 NUM_INPUTS 62 SITEPROP PHY_CONTROL_X0Y0 NUM_OUTPUTS 42 SITEPROP PHY_CONTROL_X0Y0 NUM_PINS 104 SITEPROP PHY_CONTROL_X0Y0 PRIMITIVE_COUNT 0 SITEPROP PHY_CONTROL_X0Y0 PROHIBIT 0 SITEPROP PHY_CONTROL_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PHY_CONTROL_X0Y0 RPM_X 9 SITEPROP PHY_CONTROL_X0Y0 RPM_Y 59 SITEPROP PHY_CONTROL_X0Y0 SITE_PIPS SITEPROP PHY_CONTROL_X0Y0 SITE_TYPE PHY_CONTROL SITEPROP PHY_CONTROL_X0Y1 ALTERNATE_SITE_TYPES SITEPROP PHY_CONTROL_X0Y1 CLASS site SITEPROP PHY_CONTROL_X0Y1 CLOCK_REGION X0Y1 SITEPROP PHY_CONTROL_X0Y1 IS_BONDED 0 SITEPROP PHY_CONTROL_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X0Y1 IS_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X0Y1 IS_PAD 0 SITEPROP PHY_CONTROL_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X0Y1 IS_RESERVED 0 SITEPROP PHY_CONTROL_X0Y1 IS_TEST 0 SITEPROP PHY_CONTROL_X0Y1 IS_USED 0 SITEPROP PHY_CONTROL_X0Y1 MANUAL_ROUTING SITEPROP PHY_CONTROL_X0Y1 NAME PHY_CONTROL_X0Y1 SITEPROP PHY_CONTROL_X0Y1 NUM_ARCS 0 SITEPROP PHY_CONTROL_X0Y1 NUM_BELS 1 SITEPROP PHY_CONTROL_X0Y1 NUM_INPUTS 62 SITEPROP PHY_CONTROL_X0Y1 NUM_OUTPUTS 42 SITEPROP PHY_CONTROL_X0Y1 NUM_PINS 104 SITEPROP PHY_CONTROL_X0Y1 PRIMITIVE_COUNT 0 SITEPROP PHY_CONTROL_X0Y1 PROHIBIT 0 SITEPROP PHY_CONTROL_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PHY_CONTROL_X0Y1 RPM_X 9 SITEPROP PHY_CONTROL_X0Y1 RPM_Y 159 SITEPROP PHY_CONTROL_X0Y1 SITE_PIPS SITEPROP PHY_CONTROL_X0Y1 SITE_TYPE PHY_CONTROL SITEPROP PHY_CONTROL_X0Y2 ALTERNATE_SITE_TYPES SITEPROP PHY_CONTROL_X0Y2 CLASS site SITEPROP PHY_CONTROL_X0Y2 CLOCK_REGION X0Y2 SITEPROP PHY_CONTROL_X0Y2 IS_BONDED 0 SITEPROP PHY_CONTROL_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X0Y2 IS_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X0Y2 IS_PAD 0 SITEPROP PHY_CONTROL_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X0Y2 IS_RESERVED 0 SITEPROP PHY_CONTROL_X0Y2 IS_TEST 0 SITEPROP PHY_CONTROL_X0Y2 IS_USED 0 SITEPROP PHY_CONTROL_X0Y2 MANUAL_ROUTING SITEPROP PHY_CONTROL_X0Y2 NAME PHY_CONTROL_X0Y2 SITEPROP PHY_CONTROL_X0Y2 NUM_ARCS 0 SITEPROP PHY_CONTROL_X0Y2 NUM_BELS 1 SITEPROP PHY_CONTROL_X0Y2 NUM_INPUTS 62 SITEPROP PHY_CONTROL_X0Y2 NUM_OUTPUTS 42 SITEPROP PHY_CONTROL_X0Y2 NUM_PINS 104 SITEPROP PHY_CONTROL_X0Y2 PRIMITIVE_COUNT 0 SITEPROP PHY_CONTROL_X0Y2 PROHIBIT 0 SITEPROP PHY_CONTROL_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP PHY_CONTROL_X0Y2 RPM_X 9 SITEPROP PHY_CONTROL_X0Y2 RPM_Y 259 SITEPROP PHY_CONTROL_X0Y2 SITE_PIPS SITEPROP PHY_CONTROL_X0Y2 SITE_TYPE PHY_CONTROL SITEPROP PHY_CONTROL_X1Y0 ALTERNATE_SITE_TYPES SITEPROP PHY_CONTROL_X1Y0 CLASS site SITEPROP PHY_CONTROL_X1Y0 CLOCK_REGION X1Y0 SITEPROP PHY_CONTROL_X1Y0 IS_BONDED 0 SITEPROP PHY_CONTROL_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X1Y0 IS_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X1Y0 IS_PAD 0 SITEPROP PHY_CONTROL_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X1Y0 IS_RESERVED 0 SITEPROP PHY_CONTROL_X1Y0 IS_TEST 0 SITEPROP PHY_CONTROL_X1Y0 IS_USED 0 SITEPROP PHY_CONTROL_X1Y0 MANUAL_ROUTING SITEPROP PHY_CONTROL_X1Y0 NAME PHY_CONTROL_X1Y0 SITEPROP PHY_CONTROL_X1Y0 NUM_ARCS 0 SITEPROP PHY_CONTROL_X1Y0 NUM_BELS 1 SITEPROP PHY_CONTROL_X1Y0 NUM_INPUTS 62 SITEPROP PHY_CONTROL_X1Y0 NUM_OUTPUTS 42 SITEPROP PHY_CONTROL_X1Y0 NUM_PINS 104 SITEPROP PHY_CONTROL_X1Y0 PRIMITIVE_COUNT 0 SITEPROP PHY_CONTROL_X1Y0 PROHIBIT 0 SITEPROP PHY_CONTROL_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PHY_CONTROL_X1Y0 RPM_X 173 SITEPROP PHY_CONTROL_X1Y0 RPM_Y 59 SITEPROP PHY_CONTROL_X1Y0 SITE_PIPS SITEPROP PHY_CONTROL_X1Y0 SITE_TYPE PHY_CONTROL SITEPROP PHY_CONTROL_X1Y1 ALTERNATE_SITE_TYPES SITEPROP PHY_CONTROL_X1Y1 CLASS site SITEPROP PHY_CONTROL_X1Y1 CLOCK_REGION X1Y1 SITEPROP PHY_CONTROL_X1Y1 IS_BONDED 0 SITEPROP PHY_CONTROL_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X1Y1 IS_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X1Y1 IS_PAD 0 SITEPROP PHY_CONTROL_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PHY_CONTROL_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PHY_CONTROL_X1Y1 IS_RESERVED 0 SITEPROP PHY_CONTROL_X1Y1 IS_TEST 0 SITEPROP PHY_CONTROL_X1Y1 IS_USED 0 SITEPROP PHY_CONTROL_X1Y1 MANUAL_ROUTING SITEPROP PHY_CONTROL_X1Y1 NAME PHY_CONTROL_X1Y1 SITEPROP PHY_CONTROL_X1Y1 NUM_ARCS 0 SITEPROP PHY_CONTROL_X1Y1 NUM_BELS 1 SITEPROP PHY_CONTROL_X1Y1 NUM_INPUTS 62 SITEPROP PHY_CONTROL_X1Y1 NUM_OUTPUTS 42 SITEPROP PHY_CONTROL_X1Y1 NUM_PINS 104 SITEPROP PHY_CONTROL_X1Y1 PRIMITIVE_COUNT 0 SITEPROP PHY_CONTROL_X1Y1 PROHIBIT 0 SITEPROP PHY_CONTROL_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PHY_CONTROL_X1Y1 RPM_X 173 SITEPROP PHY_CONTROL_X1Y1 RPM_Y 159 SITEPROP PHY_CONTROL_X1Y1 SITE_PIPS SITEPROP PHY_CONTROL_X1Y1 SITE_TYPE PHY_CONTROL SITEPROP PLLE2_ADV_X0Y0 ALTERNATE_SITE_TYPES SITEPROP PLLE2_ADV_X0Y0 CLASS site SITEPROP PLLE2_ADV_X0Y0 CLOCK_REGION X0Y0 SITEPROP PLLE2_ADV_X0Y0 IS_BONDED 0 SITEPROP PLLE2_ADV_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X0Y0 IS_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X0Y0 IS_PAD 0 SITEPROP PLLE2_ADV_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X0Y0 IS_RESERVED 0 SITEPROP PLLE2_ADV_X0Y0 IS_TEST 0 SITEPROP PLLE2_ADV_X0Y0 IS_USED 0 SITEPROP PLLE2_ADV_X0Y0 MANUAL_ROUTING SITEPROP PLLE2_ADV_X0Y0 NAME PLLE2_ADV_X0Y0 SITEPROP PLLE2_ADV_X0Y0 NUM_ARCS 6 SITEPROP PLLE2_ADV_X0Y0 NUM_BELS 1 SITEPROP PLLE2_ADV_X0Y0 NUM_INPUTS 64 SITEPROP PLLE2_ADV_X0Y0 NUM_OUTPUTS 90 SITEPROP PLLE2_ADV_X0Y0 NUM_PINS 154 SITEPROP PLLE2_ADV_X0Y0 PRIMITIVE_COUNT 0 SITEPROP PLLE2_ADV_X0Y0 PROHIBIT 0 SITEPROP PLLE2_ADV_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PLLE2_ADV_X0Y0 RPM_X 10 SITEPROP PLLE2_ADV_X0Y0 RPM_Y 85 SITEPROP PLLE2_ADV_X0Y0 SITE_PIPS SITEPROP PLLE2_ADV_X0Y0 SITE_TYPE PLLE2_ADV SITEPROP PLLE2_ADV_X0Y1 ALTERNATE_SITE_TYPES SITEPROP PLLE2_ADV_X0Y1 CLASS site SITEPROP PLLE2_ADV_X0Y1 CLOCK_REGION X0Y1 SITEPROP PLLE2_ADV_X0Y1 IS_BONDED 0 SITEPROP PLLE2_ADV_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X0Y1 IS_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X0Y1 IS_PAD 0 SITEPROP PLLE2_ADV_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X0Y1 IS_RESERVED 0 SITEPROP PLLE2_ADV_X0Y1 IS_TEST 0 SITEPROP PLLE2_ADV_X0Y1 IS_USED 0 SITEPROP PLLE2_ADV_X0Y1 MANUAL_ROUTING SITEPROP PLLE2_ADV_X0Y1 NAME PLLE2_ADV_X0Y1 SITEPROP PLLE2_ADV_X0Y1 NUM_ARCS 6 SITEPROP PLLE2_ADV_X0Y1 NUM_BELS 1 SITEPROP PLLE2_ADV_X0Y1 NUM_INPUTS 64 SITEPROP PLLE2_ADV_X0Y1 NUM_OUTPUTS 90 SITEPROP PLLE2_ADV_X0Y1 NUM_PINS 154 SITEPROP PLLE2_ADV_X0Y1 PRIMITIVE_COUNT 0 SITEPROP PLLE2_ADV_X0Y1 PROHIBIT 0 SITEPROP PLLE2_ADV_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PLLE2_ADV_X0Y1 RPM_X 10 SITEPROP PLLE2_ADV_X0Y1 RPM_Y 185 SITEPROP PLLE2_ADV_X0Y1 SITE_PIPS SITEPROP PLLE2_ADV_X0Y1 SITE_TYPE PLLE2_ADV SITEPROP PLLE2_ADV_X0Y2 ALTERNATE_SITE_TYPES SITEPROP PLLE2_ADV_X0Y2 CLASS site SITEPROP PLLE2_ADV_X0Y2 CLOCK_REGION X0Y2 SITEPROP PLLE2_ADV_X0Y2 IS_BONDED 0 SITEPROP PLLE2_ADV_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X0Y2 IS_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X0Y2 IS_PAD 0 SITEPROP PLLE2_ADV_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X0Y2 IS_RESERVED 0 SITEPROP PLLE2_ADV_X0Y2 IS_TEST 0 SITEPROP PLLE2_ADV_X0Y2 IS_USED 0 SITEPROP PLLE2_ADV_X0Y2 MANUAL_ROUTING SITEPROP PLLE2_ADV_X0Y2 NAME PLLE2_ADV_X0Y2 SITEPROP PLLE2_ADV_X0Y2 NUM_ARCS 6 SITEPROP PLLE2_ADV_X0Y2 NUM_BELS 1 SITEPROP PLLE2_ADV_X0Y2 NUM_INPUTS 64 SITEPROP PLLE2_ADV_X0Y2 NUM_OUTPUTS 90 SITEPROP PLLE2_ADV_X0Y2 NUM_PINS 154 SITEPROP PLLE2_ADV_X0Y2 PRIMITIVE_COUNT 0 SITEPROP PLLE2_ADV_X0Y2 PROHIBIT 0 SITEPROP PLLE2_ADV_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP PLLE2_ADV_X0Y2 RPM_X 10 SITEPROP PLLE2_ADV_X0Y2 RPM_Y 285 SITEPROP PLLE2_ADV_X0Y2 SITE_PIPS SITEPROP PLLE2_ADV_X0Y2 SITE_TYPE PLLE2_ADV SITEPROP PLLE2_ADV_X1Y0 ALTERNATE_SITE_TYPES SITEPROP PLLE2_ADV_X1Y0 CLASS site SITEPROP PLLE2_ADV_X1Y0 CLOCK_REGION X1Y0 SITEPROP PLLE2_ADV_X1Y0 IS_BONDED 0 SITEPROP PLLE2_ADV_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X1Y0 IS_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X1Y0 IS_PAD 0 SITEPROP PLLE2_ADV_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X1Y0 IS_RESERVED 0 SITEPROP PLLE2_ADV_X1Y0 IS_TEST 0 SITEPROP PLLE2_ADV_X1Y0 IS_USED 0 SITEPROP PLLE2_ADV_X1Y0 MANUAL_ROUTING SITEPROP PLLE2_ADV_X1Y0 NAME PLLE2_ADV_X1Y0 SITEPROP PLLE2_ADV_X1Y0 NUM_ARCS 6 SITEPROP PLLE2_ADV_X1Y0 NUM_BELS 1 SITEPROP PLLE2_ADV_X1Y0 NUM_INPUTS 64 SITEPROP PLLE2_ADV_X1Y0 NUM_OUTPUTS 90 SITEPROP PLLE2_ADV_X1Y0 NUM_PINS 154 SITEPROP PLLE2_ADV_X1Y0 PRIMITIVE_COUNT 0 SITEPROP PLLE2_ADV_X1Y0 PROHIBIT 0 SITEPROP PLLE2_ADV_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP PLLE2_ADV_X1Y0 RPM_X 174 SITEPROP PLLE2_ADV_X1Y0 RPM_Y 85 SITEPROP PLLE2_ADV_X1Y0 SITE_PIPS SITEPROP PLLE2_ADV_X1Y0 SITE_TYPE PLLE2_ADV SITEPROP PLLE2_ADV_X1Y1 ALTERNATE_SITE_TYPES SITEPROP PLLE2_ADV_X1Y1 CLASS site SITEPROP PLLE2_ADV_X1Y1 CLOCK_REGION X1Y1 SITEPROP PLLE2_ADV_X1Y1 IS_BONDED 0 SITEPROP PLLE2_ADV_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X1Y1 IS_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X1Y1 IS_PAD 0 SITEPROP PLLE2_ADV_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PLLE2_ADV_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PLLE2_ADV_X1Y1 IS_RESERVED 0 SITEPROP PLLE2_ADV_X1Y1 IS_TEST 0 SITEPROP PLLE2_ADV_X1Y1 IS_USED 0 SITEPROP PLLE2_ADV_X1Y1 MANUAL_ROUTING SITEPROP PLLE2_ADV_X1Y1 NAME PLLE2_ADV_X1Y1 SITEPROP PLLE2_ADV_X1Y1 NUM_ARCS 6 SITEPROP PLLE2_ADV_X1Y1 NUM_BELS 1 SITEPROP PLLE2_ADV_X1Y1 NUM_INPUTS 64 SITEPROP PLLE2_ADV_X1Y1 NUM_OUTPUTS 90 SITEPROP PLLE2_ADV_X1Y1 NUM_PINS 154 SITEPROP PLLE2_ADV_X1Y1 PRIMITIVE_COUNT 0 SITEPROP PLLE2_ADV_X1Y1 PROHIBIT 0 SITEPROP PLLE2_ADV_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP PLLE2_ADV_X1Y1 RPM_X 174 SITEPROP PLLE2_ADV_X1Y1 RPM_Y 185 SITEPROP PLLE2_ADV_X1Y1 SITE_PIPS SITEPROP PLLE2_ADV_X1Y1 SITE_TYPE PLLE2_ADV SITEPROP PMV_X0Y2 ALTERNATE_SITE_TYPES SITEPROP PMV_X0Y2 CLASS site SITEPROP PMV_X0Y2 CLOCK_REGION X0Y0 SITEPROP PMV_X0Y2 IS_BONDED 0 SITEPROP PMV_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP PMV_X0Y2 IS_CLOCK_PAD 0 SITEPROP PMV_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP PMV_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP PMV_X0Y2 IS_PAD 0 SITEPROP PMV_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP PMV_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP PMV_X0Y2 IS_RESERVED 0 SITEPROP PMV_X0Y2 IS_TEST 0 SITEPROP PMV_X0Y2 IS_USED 0 SITEPROP PMV_X0Y2 MANUAL_ROUTING SITEPROP PMV_X0Y2 NAME PMV_X0Y2 SITEPROP PMV_X0Y2 NUM_ARCS 0 SITEPROP PMV_X0Y2 NUM_BELS 1 SITEPROP PMV_X0Y2 NUM_INPUTS 4 SITEPROP PMV_X0Y2 NUM_OUTPUTS 3 SITEPROP PMV_X0Y2 NUM_PINS 7 SITEPROP PMV_X0Y2 PRIMITIVE_COUNT 0 SITEPROP PMV_X0Y2 PROHIBIT 0 SITEPROP PMV_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP PMV_X0Y2 RPM_X 96 SITEPROP PMV_X0Y2 RPM_Y 83 SITEPROP PMV_X0Y2 SITE_PIPS SITEPROP PMV_X0Y2 SITE_TYPE PMV2 SITEPROP RAMB18_X0Y0 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y0 CLASS site SITEPROP RAMB18_X0Y0 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y0 IS_BONDED 0 SITEPROP RAMB18_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y0 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y0 IS_PAD 0 SITEPROP RAMB18_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y0 IS_RESERVED 0 SITEPROP RAMB18_X0Y0 IS_TEST 0 SITEPROP RAMB18_X0Y0 IS_USED 0 SITEPROP RAMB18_X0Y0 MANUAL_ROUTING SITEPROP RAMB18_X0Y0 NAME RAMB18_X0Y0 SITEPROP RAMB18_X0Y0 NUM_ARCS 14 SITEPROP RAMB18_X0Y0 NUM_BELS 1 SITEPROP RAMB18_X0Y0 NUM_INPUTS 92 SITEPROP RAMB18_X0Y0 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y0 NUM_PINS 158 SITEPROP RAMB18_X0Y0 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y0 PROHIBIT 0 SITEPROP RAMB18_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y0 RPM_X 34 SITEPROP RAMB18_X0Y0 RPM_Y 0 SITEPROP RAMB18_X0Y0 SITE_PIPS SITEPROP RAMB18_X0Y0 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y1 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y1 CLASS site SITEPROP RAMB18_X0Y1 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y1 IS_BONDED 0 SITEPROP RAMB18_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y1 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y1 IS_PAD 0 SITEPROP RAMB18_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y1 IS_RESERVED 0 SITEPROP RAMB18_X0Y1 IS_TEST 0 SITEPROP RAMB18_X0Y1 IS_USED 0 SITEPROP RAMB18_X0Y1 MANUAL_ROUTING SITEPROP RAMB18_X0Y1 NAME RAMB18_X0Y1 SITEPROP RAMB18_X0Y1 NUM_ARCS 20 SITEPROP RAMB18_X0Y1 NUM_BELS 1 SITEPROP RAMB18_X0Y1 NUM_INPUTS 92 SITEPROP RAMB18_X0Y1 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y1 NUM_PINS 158 SITEPROP RAMB18_X0Y1 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y1 PROHIBIT 0 SITEPROP RAMB18_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y1 RPM_X 34 SITEPROP RAMB18_X0Y1 RPM_Y 1 SITEPROP RAMB18_X0Y1 SITE_PIPS SITEPROP RAMB18_X0Y1 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y2 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y2 CLASS site SITEPROP RAMB18_X0Y2 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y2 IS_BONDED 0 SITEPROP RAMB18_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y2 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y2 IS_PAD 0 SITEPROP RAMB18_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y2 IS_RESERVED 0 SITEPROP RAMB18_X0Y2 IS_TEST 0 SITEPROP RAMB18_X0Y2 IS_USED 0 SITEPROP RAMB18_X0Y2 MANUAL_ROUTING SITEPROP RAMB18_X0Y2 NAME RAMB18_X0Y2 SITEPROP RAMB18_X0Y2 NUM_ARCS 14 SITEPROP RAMB18_X0Y2 NUM_BELS 1 SITEPROP RAMB18_X0Y2 NUM_INPUTS 92 SITEPROP RAMB18_X0Y2 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y2 NUM_PINS 158 SITEPROP RAMB18_X0Y2 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y2 PROHIBIT 0 SITEPROP RAMB18_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y2 RPM_X 34 SITEPROP RAMB18_X0Y2 RPM_Y 10 SITEPROP RAMB18_X0Y2 SITE_PIPS SITEPROP RAMB18_X0Y2 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y3 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y3 CLASS site SITEPROP RAMB18_X0Y3 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y3 IS_BONDED 0 SITEPROP RAMB18_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y3 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y3 IS_PAD 0 SITEPROP RAMB18_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y3 IS_RESERVED 0 SITEPROP RAMB18_X0Y3 IS_TEST 0 SITEPROP RAMB18_X0Y3 IS_USED 0 SITEPROP RAMB18_X0Y3 MANUAL_ROUTING SITEPROP RAMB18_X0Y3 NAME RAMB18_X0Y3 SITEPROP RAMB18_X0Y3 NUM_ARCS 20 SITEPROP RAMB18_X0Y3 NUM_BELS 1 SITEPROP RAMB18_X0Y3 NUM_INPUTS 92 SITEPROP RAMB18_X0Y3 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y3 NUM_PINS 158 SITEPROP RAMB18_X0Y3 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y3 PROHIBIT 0 SITEPROP RAMB18_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y3 RPM_X 34 SITEPROP RAMB18_X0Y3 RPM_Y 11 SITEPROP RAMB18_X0Y3 SITE_PIPS SITEPROP RAMB18_X0Y3 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y4 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y4 CLASS site SITEPROP RAMB18_X0Y4 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y4 IS_BONDED 0 SITEPROP RAMB18_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y4 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y4 IS_PAD 0 SITEPROP RAMB18_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y4 IS_RESERVED 0 SITEPROP RAMB18_X0Y4 IS_TEST 0 SITEPROP RAMB18_X0Y4 IS_USED 0 SITEPROP RAMB18_X0Y4 MANUAL_ROUTING SITEPROP RAMB18_X0Y4 NAME RAMB18_X0Y4 SITEPROP RAMB18_X0Y4 NUM_ARCS 14 SITEPROP RAMB18_X0Y4 NUM_BELS 1 SITEPROP RAMB18_X0Y4 NUM_INPUTS 92 SITEPROP RAMB18_X0Y4 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y4 NUM_PINS 158 SITEPROP RAMB18_X0Y4 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y4 PROHIBIT 0 SITEPROP RAMB18_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y4 RPM_X 34 SITEPROP RAMB18_X0Y4 RPM_Y 20 SITEPROP RAMB18_X0Y4 SITE_PIPS SITEPROP RAMB18_X0Y4 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y5 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y5 CLASS site SITEPROP RAMB18_X0Y5 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y5 IS_BONDED 0 SITEPROP RAMB18_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y5 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y5 IS_PAD 0 SITEPROP RAMB18_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y5 IS_RESERVED 0 SITEPROP RAMB18_X0Y5 IS_TEST 0 SITEPROP RAMB18_X0Y5 IS_USED 0 SITEPROP RAMB18_X0Y5 MANUAL_ROUTING SITEPROP RAMB18_X0Y5 NAME RAMB18_X0Y5 SITEPROP RAMB18_X0Y5 NUM_ARCS 20 SITEPROP RAMB18_X0Y5 NUM_BELS 1 SITEPROP RAMB18_X0Y5 NUM_INPUTS 92 SITEPROP RAMB18_X0Y5 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y5 NUM_PINS 158 SITEPROP RAMB18_X0Y5 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y5 PROHIBIT 0 SITEPROP RAMB18_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y5 RPM_X 34 SITEPROP RAMB18_X0Y5 RPM_Y 21 SITEPROP RAMB18_X0Y5 SITE_PIPS SITEPROP RAMB18_X0Y5 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y6 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y6 CLASS site SITEPROP RAMB18_X0Y6 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y6 IS_BONDED 0 SITEPROP RAMB18_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y6 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y6 IS_PAD 0 SITEPROP RAMB18_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y6 IS_RESERVED 0 SITEPROP RAMB18_X0Y6 IS_TEST 0 SITEPROP RAMB18_X0Y6 IS_USED 0 SITEPROP RAMB18_X0Y6 MANUAL_ROUTING SITEPROP RAMB18_X0Y6 NAME RAMB18_X0Y6 SITEPROP RAMB18_X0Y6 NUM_ARCS 14 SITEPROP RAMB18_X0Y6 NUM_BELS 1 SITEPROP RAMB18_X0Y6 NUM_INPUTS 92 SITEPROP RAMB18_X0Y6 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y6 NUM_PINS 158 SITEPROP RAMB18_X0Y6 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y6 PROHIBIT 0 SITEPROP RAMB18_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y6 RPM_X 34 SITEPROP RAMB18_X0Y6 RPM_Y 30 SITEPROP RAMB18_X0Y6 SITE_PIPS SITEPROP RAMB18_X0Y6 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y7 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y7 CLASS site SITEPROP RAMB18_X0Y7 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y7 IS_BONDED 0 SITEPROP RAMB18_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y7 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y7 IS_PAD 0 SITEPROP RAMB18_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y7 IS_RESERVED 0 SITEPROP RAMB18_X0Y7 IS_TEST 0 SITEPROP RAMB18_X0Y7 IS_USED 0 SITEPROP RAMB18_X0Y7 MANUAL_ROUTING SITEPROP RAMB18_X0Y7 NAME RAMB18_X0Y7 SITEPROP RAMB18_X0Y7 NUM_ARCS 20 SITEPROP RAMB18_X0Y7 NUM_BELS 1 SITEPROP RAMB18_X0Y7 NUM_INPUTS 92 SITEPROP RAMB18_X0Y7 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y7 NUM_PINS 158 SITEPROP RAMB18_X0Y7 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y7 PROHIBIT 0 SITEPROP RAMB18_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y7 RPM_X 34 SITEPROP RAMB18_X0Y7 RPM_Y 31 SITEPROP RAMB18_X0Y7 SITE_PIPS SITEPROP RAMB18_X0Y7 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y8 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y8 CLASS site SITEPROP RAMB18_X0Y8 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y8 IS_BONDED 0 SITEPROP RAMB18_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y8 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y8 IS_PAD 0 SITEPROP RAMB18_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y8 IS_RESERVED 0 SITEPROP RAMB18_X0Y8 IS_TEST 0 SITEPROP RAMB18_X0Y8 IS_USED 0 SITEPROP RAMB18_X0Y8 MANUAL_ROUTING SITEPROP RAMB18_X0Y8 NAME RAMB18_X0Y8 SITEPROP RAMB18_X0Y8 NUM_ARCS 14 SITEPROP RAMB18_X0Y8 NUM_BELS 1 SITEPROP RAMB18_X0Y8 NUM_INPUTS 92 SITEPROP RAMB18_X0Y8 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y8 NUM_PINS 158 SITEPROP RAMB18_X0Y8 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y8 PROHIBIT 0 SITEPROP RAMB18_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y8 RPM_X 34 SITEPROP RAMB18_X0Y8 RPM_Y 40 SITEPROP RAMB18_X0Y8 SITE_PIPS SITEPROP RAMB18_X0Y8 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y9 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y9 CLASS site SITEPROP RAMB18_X0Y9 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y9 IS_BONDED 0 SITEPROP RAMB18_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y9 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y9 IS_PAD 0 SITEPROP RAMB18_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y9 IS_RESERVED 0 SITEPROP RAMB18_X0Y9 IS_TEST 0 SITEPROP RAMB18_X0Y9 IS_USED 0 SITEPROP RAMB18_X0Y9 MANUAL_ROUTING SITEPROP RAMB18_X0Y9 NAME RAMB18_X0Y9 SITEPROP RAMB18_X0Y9 NUM_ARCS 20 SITEPROP RAMB18_X0Y9 NUM_BELS 1 SITEPROP RAMB18_X0Y9 NUM_INPUTS 92 SITEPROP RAMB18_X0Y9 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y9 NUM_PINS 158 SITEPROP RAMB18_X0Y9 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y9 PROHIBIT 0 SITEPROP RAMB18_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y9 RPM_X 34 SITEPROP RAMB18_X0Y9 RPM_Y 41 SITEPROP RAMB18_X0Y9 SITE_PIPS SITEPROP RAMB18_X0Y9 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y10 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y10 CLASS site SITEPROP RAMB18_X0Y10 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y10 IS_BONDED 0 SITEPROP RAMB18_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y10 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y10 IS_PAD 0 SITEPROP RAMB18_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y10 IS_RESERVED 0 SITEPROP RAMB18_X0Y10 IS_TEST 0 SITEPROP RAMB18_X0Y10 IS_USED 0 SITEPROP RAMB18_X0Y10 MANUAL_ROUTING SITEPROP RAMB18_X0Y10 NAME RAMB18_X0Y10 SITEPROP RAMB18_X0Y10 NUM_ARCS 14 SITEPROP RAMB18_X0Y10 NUM_BELS 1 SITEPROP RAMB18_X0Y10 NUM_INPUTS 92 SITEPROP RAMB18_X0Y10 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y10 NUM_PINS 158 SITEPROP RAMB18_X0Y10 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y10 PROHIBIT 0 SITEPROP RAMB18_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y10 RPM_X 34 SITEPROP RAMB18_X0Y10 RPM_Y 50 SITEPROP RAMB18_X0Y10 SITE_PIPS SITEPROP RAMB18_X0Y10 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y11 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y11 CLASS site SITEPROP RAMB18_X0Y11 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y11 IS_BONDED 0 SITEPROP RAMB18_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y11 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y11 IS_PAD 0 SITEPROP RAMB18_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y11 IS_RESERVED 0 SITEPROP RAMB18_X0Y11 IS_TEST 0 SITEPROP RAMB18_X0Y11 IS_USED 0 SITEPROP RAMB18_X0Y11 MANUAL_ROUTING SITEPROP RAMB18_X0Y11 NAME RAMB18_X0Y11 SITEPROP RAMB18_X0Y11 NUM_ARCS 20 SITEPROP RAMB18_X0Y11 NUM_BELS 1 SITEPROP RAMB18_X0Y11 NUM_INPUTS 92 SITEPROP RAMB18_X0Y11 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y11 NUM_PINS 158 SITEPROP RAMB18_X0Y11 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y11 PROHIBIT 0 SITEPROP RAMB18_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y11 RPM_X 34 SITEPROP RAMB18_X0Y11 RPM_Y 51 SITEPROP RAMB18_X0Y11 SITE_PIPS SITEPROP RAMB18_X0Y11 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y12 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y12 CLASS site SITEPROP RAMB18_X0Y12 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y12 IS_BONDED 0 SITEPROP RAMB18_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y12 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y12 IS_PAD 0 SITEPROP RAMB18_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y12 IS_RESERVED 0 SITEPROP RAMB18_X0Y12 IS_TEST 0 SITEPROP RAMB18_X0Y12 IS_USED 0 SITEPROP RAMB18_X0Y12 MANUAL_ROUTING SITEPROP RAMB18_X0Y12 NAME RAMB18_X0Y12 SITEPROP RAMB18_X0Y12 NUM_ARCS 14 SITEPROP RAMB18_X0Y12 NUM_BELS 1 SITEPROP RAMB18_X0Y12 NUM_INPUTS 92 SITEPROP RAMB18_X0Y12 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y12 NUM_PINS 158 SITEPROP RAMB18_X0Y12 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y12 PROHIBIT 0 SITEPROP RAMB18_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y12 RPM_X 34 SITEPROP RAMB18_X0Y12 RPM_Y 60 SITEPROP RAMB18_X0Y12 SITE_PIPS SITEPROP RAMB18_X0Y12 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y13 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y13 CLASS site SITEPROP RAMB18_X0Y13 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y13 IS_BONDED 0 SITEPROP RAMB18_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y13 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y13 IS_PAD 0 SITEPROP RAMB18_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y13 IS_RESERVED 0 SITEPROP RAMB18_X0Y13 IS_TEST 0 SITEPROP RAMB18_X0Y13 IS_USED 0 SITEPROP RAMB18_X0Y13 MANUAL_ROUTING SITEPROP RAMB18_X0Y13 NAME RAMB18_X0Y13 SITEPROP RAMB18_X0Y13 NUM_ARCS 20 SITEPROP RAMB18_X0Y13 NUM_BELS 1 SITEPROP RAMB18_X0Y13 NUM_INPUTS 92 SITEPROP RAMB18_X0Y13 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y13 NUM_PINS 158 SITEPROP RAMB18_X0Y13 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y13 PROHIBIT 0 SITEPROP RAMB18_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y13 RPM_X 34 SITEPROP RAMB18_X0Y13 RPM_Y 61 SITEPROP RAMB18_X0Y13 SITE_PIPS SITEPROP RAMB18_X0Y13 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y14 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y14 CLASS site SITEPROP RAMB18_X0Y14 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y14 IS_BONDED 0 SITEPROP RAMB18_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y14 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y14 IS_PAD 0 SITEPROP RAMB18_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y14 IS_RESERVED 0 SITEPROP RAMB18_X0Y14 IS_TEST 0 SITEPROP RAMB18_X0Y14 IS_USED 0 SITEPROP RAMB18_X0Y14 MANUAL_ROUTING SITEPROP RAMB18_X0Y14 NAME RAMB18_X0Y14 SITEPROP RAMB18_X0Y14 NUM_ARCS 14 SITEPROP RAMB18_X0Y14 NUM_BELS 1 SITEPROP RAMB18_X0Y14 NUM_INPUTS 92 SITEPROP RAMB18_X0Y14 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y14 NUM_PINS 158 SITEPROP RAMB18_X0Y14 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y14 PROHIBIT 0 SITEPROP RAMB18_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y14 RPM_X 34 SITEPROP RAMB18_X0Y14 RPM_Y 70 SITEPROP RAMB18_X0Y14 SITE_PIPS SITEPROP RAMB18_X0Y14 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y15 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y15 CLASS site SITEPROP RAMB18_X0Y15 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y15 IS_BONDED 0 SITEPROP RAMB18_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y15 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y15 IS_PAD 0 SITEPROP RAMB18_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y15 IS_RESERVED 0 SITEPROP RAMB18_X0Y15 IS_TEST 0 SITEPROP RAMB18_X0Y15 IS_USED 0 SITEPROP RAMB18_X0Y15 MANUAL_ROUTING SITEPROP RAMB18_X0Y15 NAME RAMB18_X0Y15 SITEPROP RAMB18_X0Y15 NUM_ARCS 20 SITEPROP RAMB18_X0Y15 NUM_BELS 1 SITEPROP RAMB18_X0Y15 NUM_INPUTS 92 SITEPROP RAMB18_X0Y15 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y15 NUM_PINS 158 SITEPROP RAMB18_X0Y15 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y15 PROHIBIT 0 SITEPROP RAMB18_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y15 RPM_X 34 SITEPROP RAMB18_X0Y15 RPM_Y 71 SITEPROP RAMB18_X0Y15 SITE_PIPS SITEPROP RAMB18_X0Y15 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y16 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y16 CLASS site SITEPROP RAMB18_X0Y16 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y16 IS_BONDED 0 SITEPROP RAMB18_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y16 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y16 IS_PAD 0 SITEPROP RAMB18_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y16 IS_RESERVED 0 SITEPROP RAMB18_X0Y16 IS_TEST 0 SITEPROP RAMB18_X0Y16 IS_USED 0 SITEPROP RAMB18_X0Y16 MANUAL_ROUTING SITEPROP RAMB18_X0Y16 NAME RAMB18_X0Y16 SITEPROP RAMB18_X0Y16 NUM_ARCS 14 SITEPROP RAMB18_X0Y16 NUM_BELS 1 SITEPROP RAMB18_X0Y16 NUM_INPUTS 92 SITEPROP RAMB18_X0Y16 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y16 NUM_PINS 158 SITEPROP RAMB18_X0Y16 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y16 PROHIBIT 0 SITEPROP RAMB18_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y16 RPM_X 34 SITEPROP RAMB18_X0Y16 RPM_Y 80 SITEPROP RAMB18_X0Y16 SITE_PIPS SITEPROP RAMB18_X0Y16 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y17 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y17 CLASS site SITEPROP RAMB18_X0Y17 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y17 IS_BONDED 0 SITEPROP RAMB18_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y17 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y17 IS_PAD 0 SITEPROP RAMB18_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y17 IS_RESERVED 0 SITEPROP RAMB18_X0Y17 IS_TEST 0 SITEPROP RAMB18_X0Y17 IS_USED 0 SITEPROP RAMB18_X0Y17 MANUAL_ROUTING SITEPROP RAMB18_X0Y17 NAME RAMB18_X0Y17 SITEPROP RAMB18_X0Y17 NUM_ARCS 20 SITEPROP RAMB18_X0Y17 NUM_BELS 1 SITEPROP RAMB18_X0Y17 NUM_INPUTS 92 SITEPROP RAMB18_X0Y17 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y17 NUM_PINS 158 SITEPROP RAMB18_X0Y17 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y17 PROHIBIT 0 SITEPROP RAMB18_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y17 RPM_X 34 SITEPROP RAMB18_X0Y17 RPM_Y 81 SITEPROP RAMB18_X0Y17 SITE_PIPS SITEPROP RAMB18_X0Y17 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y18 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y18 CLASS site SITEPROP RAMB18_X0Y18 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y18 IS_BONDED 0 SITEPROP RAMB18_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y18 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y18 IS_PAD 0 SITEPROP RAMB18_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y18 IS_RESERVED 0 SITEPROP RAMB18_X0Y18 IS_TEST 0 SITEPROP RAMB18_X0Y18 IS_USED 0 SITEPROP RAMB18_X0Y18 MANUAL_ROUTING SITEPROP RAMB18_X0Y18 NAME RAMB18_X0Y18 SITEPROP RAMB18_X0Y18 NUM_ARCS 14 SITEPROP RAMB18_X0Y18 NUM_BELS 1 SITEPROP RAMB18_X0Y18 NUM_INPUTS 92 SITEPROP RAMB18_X0Y18 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y18 NUM_PINS 158 SITEPROP RAMB18_X0Y18 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y18 PROHIBIT 0 SITEPROP RAMB18_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y18 RPM_X 34 SITEPROP RAMB18_X0Y18 RPM_Y 90 SITEPROP RAMB18_X0Y18 SITE_PIPS SITEPROP RAMB18_X0Y18 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y19 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y19 CLASS site SITEPROP RAMB18_X0Y19 CLOCK_REGION X0Y0 SITEPROP RAMB18_X0Y19 IS_BONDED 0 SITEPROP RAMB18_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y19 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y19 IS_PAD 0 SITEPROP RAMB18_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y19 IS_RESERVED 0 SITEPROP RAMB18_X0Y19 IS_TEST 0 SITEPROP RAMB18_X0Y19 IS_USED 0 SITEPROP RAMB18_X0Y19 MANUAL_ROUTING SITEPROP RAMB18_X0Y19 NAME RAMB18_X0Y19 SITEPROP RAMB18_X0Y19 NUM_ARCS 20 SITEPROP RAMB18_X0Y19 NUM_BELS 1 SITEPROP RAMB18_X0Y19 NUM_INPUTS 92 SITEPROP RAMB18_X0Y19 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y19 NUM_PINS 158 SITEPROP RAMB18_X0Y19 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y19 PROHIBIT 0 SITEPROP RAMB18_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y19 RPM_X 34 SITEPROP RAMB18_X0Y19 RPM_Y 91 SITEPROP RAMB18_X0Y19 SITE_PIPS SITEPROP RAMB18_X0Y19 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y20 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y20 CLASS site SITEPROP RAMB18_X0Y20 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y20 IS_BONDED 0 SITEPROP RAMB18_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y20 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y20 IS_PAD 0 SITEPROP RAMB18_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y20 IS_RESERVED 0 SITEPROP RAMB18_X0Y20 IS_TEST 0 SITEPROP RAMB18_X0Y20 IS_USED 0 SITEPROP RAMB18_X0Y20 MANUAL_ROUTING SITEPROP RAMB18_X0Y20 NAME RAMB18_X0Y20 SITEPROP RAMB18_X0Y20 NUM_ARCS 14 SITEPROP RAMB18_X0Y20 NUM_BELS 1 SITEPROP RAMB18_X0Y20 NUM_INPUTS 92 SITEPROP RAMB18_X0Y20 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y20 NUM_PINS 158 SITEPROP RAMB18_X0Y20 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y20 PROHIBIT 0 SITEPROP RAMB18_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y20 RPM_X 34 SITEPROP RAMB18_X0Y20 RPM_Y 100 SITEPROP RAMB18_X0Y20 SITE_PIPS SITEPROP RAMB18_X0Y20 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y21 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y21 CLASS site SITEPROP RAMB18_X0Y21 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y21 IS_BONDED 0 SITEPROP RAMB18_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y21 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y21 IS_PAD 0 SITEPROP RAMB18_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y21 IS_RESERVED 0 SITEPROP RAMB18_X0Y21 IS_TEST 0 SITEPROP RAMB18_X0Y21 IS_USED 0 SITEPROP RAMB18_X0Y21 MANUAL_ROUTING SITEPROP RAMB18_X0Y21 NAME RAMB18_X0Y21 SITEPROP RAMB18_X0Y21 NUM_ARCS 20 SITEPROP RAMB18_X0Y21 NUM_BELS 1 SITEPROP RAMB18_X0Y21 NUM_INPUTS 92 SITEPROP RAMB18_X0Y21 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y21 NUM_PINS 158 SITEPROP RAMB18_X0Y21 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y21 PROHIBIT 0 SITEPROP RAMB18_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y21 RPM_X 34 SITEPROP RAMB18_X0Y21 RPM_Y 101 SITEPROP RAMB18_X0Y21 SITE_PIPS SITEPROP RAMB18_X0Y21 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y22 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y22 CLASS site SITEPROP RAMB18_X0Y22 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y22 IS_BONDED 0 SITEPROP RAMB18_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y22 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y22 IS_PAD 0 SITEPROP RAMB18_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y22 IS_RESERVED 0 SITEPROP RAMB18_X0Y22 IS_TEST 0 SITEPROP RAMB18_X0Y22 IS_USED 0 SITEPROP RAMB18_X0Y22 MANUAL_ROUTING SITEPROP RAMB18_X0Y22 NAME RAMB18_X0Y22 SITEPROP RAMB18_X0Y22 NUM_ARCS 14 SITEPROP RAMB18_X0Y22 NUM_BELS 1 SITEPROP RAMB18_X0Y22 NUM_INPUTS 92 SITEPROP RAMB18_X0Y22 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y22 NUM_PINS 158 SITEPROP RAMB18_X0Y22 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y22 PROHIBIT 0 SITEPROP RAMB18_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y22 RPM_X 34 SITEPROP RAMB18_X0Y22 RPM_Y 110 SITEPROP RAMB18_X0Y22 SITE_PIPS SITEPROP RAMB18_X0Y22 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y23 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y23 CLASS site SITEPROP RAMB18_X0Y23 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y23 IS_BONDED 0 SITEPROP RAMB18_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y23 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y23 IS_PAD 0 SITEPROP RAMB18_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y23 IS_RESERVED 0 SITEPROP RAMB18_X0Y23 IS_TEST 0 SITEPROP RAMB18_X0Y23 IS_USED 0 SITEPROP RAMB18_X0Y23 MANUAL_ROUTING SITEPROP RAMB18_X0Y23 NAME RAMB18_X0Y23 SITEPROP RAMB18_X0Y23 NUM_ARCS 20 SITEPROP RAMB18_X0Y23 NUM_BELS 1 SITEPROP RAMB18_X0Y23 NUM_INPUTS 92 SITEPROP RAMB18_X0Y23 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y23 NUM_PINS 158 SITEPROP RAMB18_X0Y23 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y23 PROHIBIT 0 SITEPROP RAMB18_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y23 RPM_X 34 SITEPROP RAMB18_X0Y23 RPM_Y 111 SITEPROP RAMB18_X0Y23 SITE_PIPS SITEPROP RAMB18_X0Y23 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y24 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y24 CLASS site SITEPROP RAMB18_X0Y24 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y24 IS_BONDED 0 SITEPROP RAMB18_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y24 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y24 IS_PAD 0 SITEPROP RAMB18_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y24 IS_RESERVED 0 SITEPROP RAMB18_X0Y24 IS_TEST 0 SITEPROP RAMB18_X0Y24 IS_USED 0 SITEPROP RAMB18_X0Y24 MANUAL_ROUTING SITEPROP RAMB18_X0Y24 NAME RAMB18_X0Y24 SITEPROP RAMB18_X0Y24 NUM_ARCS 14 SITEPROP RAMB18_X0Y24 NUM_BELS 1 SITEPROP RAMB18_X0Y24 NUM_INPUTS 92 SITEPROP RAMB18_X0Y24 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y24 NUM_PINS 158 SITEPROP RAMB18_X0Y24 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y24 PROHIBIT 0 SITEPROP RAMB18_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y24 RPM_X 34 SITEPROP RAMB18_X0Y24 RPM_Y 120 SITEPROP RAMB18_X0Y24 SITE_PIPS SITEPROP RAMB18_X0Y24 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y25 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y25 CLASS site SITEPROP RAMB18_X0Y25 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y25 IS_BONDED 0 SITEPROP RAMB18_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y25 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y25 IS_PAD 0 SITEPROP RAMB18_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y25 IS_RESERVED 0 SITEPROP RAMB18_X0Y25 IS_TEST 0 SITEPROP RAMB18_X0Y25 IS_USED 0 SITEPROP RAMB18_X0Y25 MANUAL_ROUTING SITEPROP RAMB18_X0Y25 NAME RAMB18_X0Y25 SITEPROP RAMB18_X0Y25 NUM_ARCS 20 SITEPROP RAMB18_X0Y25 NUM_BELS 1 SITEPROP RAMB18_X0Y25 NUM_INPUTS 92 SITEPROP RAMB18_X0Y25 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y25 NUM_PINS 158 SITEPROP RAMB18_X0Y25 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y25 PROHIBIT 0 SITEPROP RAMB18_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y25 RPM_X 34 SITEPROP RAMB18_X0Y25 RPM_Y 121 SITEPROP RAMB18_X0Y25 SITE_PIPS SITEPROP RAMB18_X0Y25 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y26 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y26 CLASS site SITEPROP RAMB18_X0Y26 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y26 IS_BONDED 0 SITEPROP RAMB18_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y26 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y26 IS_PAD 0 SITEPROP RAMB18_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y26 IS_RESERVED 0 SITEPROP RAMB18_X0Y26 IS_TEST 0 SITEPROP RAMB18_X0Y26 IS_USED 0 SITEPROP RAMB18_X0Y26 MANUAL_ROUTING SITEPROP RAMB18_X0Y26 NAME RAMB18_X0Y26 SITEPROP RAMB18_X0Y26 NUM_ARCS 14 SITEPROP RAMB18_X0Y26 NUM_BELS 1 SITEPROP RAMB18_X0Y26 NUM_INPUTS 92 SITEPROP RAMB18_X0Y26 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y26 NUM_PINS 158 SITEPROP RAMB18_X0Y26 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y26 PROHIBIT 0 SITEPROP RAMB18_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y26 RPM_X 34 SITEPROP RAMB18_X0Y26 RPM_Y 130 SITEPROP RAMB18_X0Y26 SITE_PIPS SITEPROP RAMB18_X0Y26 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y27 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y27 CLASS site SITEPROP RAMB18_X0Y27 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y27 IS_BONDED 0 SITEPROP RAMB18_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y27 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y27 IS_PAD 0 SITEPROP RAMB18_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y27 IS_RESERVED 0 SITEPROP RAMB18_X0Y27 IS_TEST 0 SITEPROP RAMB18_X0Y27 IS_USED 0 SITEPROP RAMB18_X0Y27 MANUAL_ROUTING SITEPROP RAMB18_X0Y27 NAME RAMB18_X0Y27 SITEPROP RAMB18_X0Y27 NUM_ARCS 20 SITEPROP RAMB18_X0Y27 NUM_BELS 1 SITEPROP RAMB18_X0Y27 NUM_INPUTS 92 SITEPROP RAMB18_X0Y27 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y27 NUM_PINS 158 SITEPROP RAMB18_X0Y27 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y27 PROHIBIT 0 SITEPROP RAMB18_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y27 RPM_X 34 SITEPROP RAMB18_X0Y27 RPM_Y 131 SITEPROP RAMB18_X0Y27 SITE_PIPS SITEPROP RAMB18_X0Y27 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y28 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y28 CLASS site SITEPROP RAMB18_X0Y28 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y28 IS_BONDED 0 SITEPROP RAMB18_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y28 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y28 IS_PAD 0 SITEPROP RAMB18_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y28 IS_RESERVED 0 SITEPROP RAMB18_X0Y28 IS_TEST 0 SITEPROP RAMB18_X0Y28 IS_USED 0 SITEPROP RAMB18_X0Y28 MANUAL_ROUTING SITEPROP RAMB18_X0Y28 NAME RAMB18_X0Y28 SITEPROP RAMB18_X0Y28 NUM_ARCS 14 SITEPROP RAMB18_X0Y28 NUM_BELS 1 SITEPROP RAMB18_X0Y28 NUM_INPUTS 92 SITEPROP RAMB18_X0Y28 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y28 NUM_PINS 158 SITEPROP RAMB18_X0Y28 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y28 PROHIBIT 0 SITEPROP RAMB18_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y28 RPM_X 34 SITEPROP RAMB18_X0Y28 RPM_Y 140 SITEPROP RAMB18_X0Y28 SITE_PIPS SITEPROP RAMB18_X0Y28 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y29 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y29 CLASS site SITEPROP RAMB18_X0Y29 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y29 IS_BONDED 0 SITEPROP RAMB18_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y29 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y29 IS_PAD 0 SITEPROP RAMB18_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y29 IS_RESERVED 0 SITEPROP RAMB18_X0Y29 IS_TEST 0 SITEPROP RAMB18_X0Y29 IS_USED 0 SITEPROP RAMB18_X0Y29 MANUAL_ROUTING SITEPROP RAMB18_X0Y29 NAME RAMB18_X0Y29 SITEPROP RAMB18_X0Y29 NUM_ARCS 20 SITEPROP RAMB18_X0Y29 NUM_BELS 1 SITEPROP RAMB18_X0Y29 NUM_INPUTS 92 SITEPROP RAMB18_X0Y29 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y29 NUM_PINS 158 SITEPROP RAMB18_X0Y29 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y29 PROHIBIT 0 SITEPROP RAMB18_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y29 RPM_X 34 SITEPROP RAMB18_X0Y29 RPM_Y 141 SITEPROP RAMB18_X0Y29 SITE_PIPS SITEPROP RAMB18_X0Y29 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y30 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y30 CLASS site SITEPROP RAMB18_X0Y30 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y30 IS_BONDED 0 SITEPROP RAMB18_X0Y30 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y30 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y30 IS_PAD 0 SITEPROP RAMB18_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y30 IS_RESERVED 0 SITEPROP RAMB18_X0Y30 IS_TEST 0 SITEPROP RAMB18_X0Y30 IS_USED 0 SITEPROP RAMB18_X0Y30 MANUAL_ROUTING SITEPROP RAMB18_X0Y30 NAME RAMB18_X0Y30 SITEPROP RAMB18_X0Y30 NUM_ARCS 14 SITEPROP RAMB18_X0Y30 NUM_BELS 1 SITEPROP RAMB18_X0Y30 NUM_INPUTS 92 SITEPROP RAMB18_X0Y30 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y30 NUM_PINS 158 SITEPROP RAMB18_X0Y30 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y30 PROHIBIT 0 SITEPROP RAMB18_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y30 RPM_X 34 SITEPROP RAMB18_X0Y30 RPM_Y 150 SITEPROP RAMB18_X0Y30 SITE_PIPS SITEPROP RAMB18_X0Y30 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y31 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y31 CLASS site SITEPROP RAMB18_X0Y31 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y31 IS_BONDED 0 SITEPROP RAMB18_X0Y31 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y31 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y31 IS_PAD 0 SITEPROP RAMB18_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y31 IS_RESERVED 0 SITEPROP RAMB18_X0Y31 IS_TEST 0 SITEPROP RAMB18_X0Y31 IS_USED 0 SITEPROP RAMB18_X0Y31 MANUAL_ROUTING SITEPROP RAMB18_X0Y31 NAME RAMB18_X0Y31 SITEPROP RAMB18_X0Y31 NUM_ARCS 20 SITEPROP RAMB18_X0Y31 NUM_BELS 1 SITEPROP RAMB18_X0Y31 NUM_INPUTS 92 SITEPROP RAMB18_X0Y31 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y31 NUM_PINS 158 SITEPROP RAMB18_X0Y31 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y31 PROHIBIT 0 SITEPROP RAMB18_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y31 RPM_X 34 SITEPROP RAMB18_X0Y31 RPM_Y 151 SITEPROP RAMB18_X0Y31 SITE_PIPS SITEPROP RAMB18_X0Y31 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y32 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y32 CLASS site SITEPROP RAMB18_X0Y32 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y32 IS_BONDED 0 SITEPROP RAMB18_X0Y32 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y32 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y32 IS_PAD 0 SITEPROP RAMB18_X0Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y32 IS_RESERVED 0 SITEPROP RAMB18_X0Y32 IS_TEST 0 SITEPROP RAMB18_X0Y32 IS_USED 0 SITEPROP RAMB18_X0Y32 MANUAL_ROUTING SITEPROP RAMB18_X0Y32 NAME RAMB18_X0Y32 SITEPROP RAMB18_X0Y32 NUM_ARCS 14 SITEPROP RAMB18_X0Y32 NUM_BELS 1 SITEPROP RAMB18_X0Y32 NUM_INPUTS 92 SITEPROP RAMB18_X0Y32 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y32 NUM_PINS 158 SITEPROP RAMB18_X0Y32 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y32 PROHIBIT 0 SITEPROP RAMB18_X0Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y32 RPM_X 34 SITEPROP RAMB18_X0Y32 RPM_Y 160 SITEPROP RAMB18_X0Y32 SITE_PIPS SITEPROP RAMB18_X0Y32 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y33 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y33 CLASS site SITEPROP RAMB18_X0Y33 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y33 IS_BONDED 0 SITEPROP RAMB18_X0Y33 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y33 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y33 IS_PAD 0 SITEPROP RAMB18_X0Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y33 IS_RESERVED 0 SITEPROP RAMB18_X0Y33 IS_TEST 0 SITEPROP RAMB18_X0Y33 IS_USED 0 SITEPROP RAMB18_X0Y33 MANUAL_ROUTING SITEPROP RAMB18_X0Y33 NAME RAMB18_X0Y33 SITEPROP RAMB18_X0Y33 NUM_ARCS 20 SITEPROP RAMB18_X0Y33 NUM_BELS 1 SITEPROP RAMB18_X0Y33 NUM_INPUTS 92 SITEPROP RAMB18_X0Y33 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y33 NUM_PINS 158 SITEPROP RAMB18_X0Y33 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y33 PROHIBIT 0 SITEPROP RAMB18_X0Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y33 RPM_X 34 SITEPROP RAMB18_X0Y33 RPM_Y 161 SITEPROP RAMB18_X0Y33 SITE_PIPS SITEPROP RAMB18_X0Y33 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y34 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y34 CLASS site SITEPROP RAMB18_X0Y34 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y34 IS_BONDED 0 SITEPROP RAMB18_X0Y34 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y34 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y34 IS_PAD 0 SITEPROP RAMB18_X0Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y34 IS_RESERVED 0 SITEPROP RAMB18_X0Y34 IS_TEST 0 SITEPROP RAMB18_X0Y34 IS_USED 0 SITEPROP RAMB18_X0Y34 MANUAL_ROUTING SITEPROP RAMB18_X0Y34 NAME RAMB18_X0Y34 SITEPROP RAMB18_X0Y34 NUM_ARCS 14 SITEPROP RAMB18_X0Y34 NUM_BELS 1 SITEPROP RAMB18_X0Y34 NUM_INPUTS 92 SITEPROP RAMB18_X0Y34 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y34 NUM_PINS 158 SITEPROP RAMB18_X0Y34 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y34 PROHIBIT 0 SITEPROP RAMB18_X0Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y34 RPM_X 34 SITEPROP RAMB18_X0Y34 RPM_Y 170 SITEPROP RAMB18_X0Y34 SITE_PIPS SITEPROP RAMB18_X0Y34 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y35 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y35 CLASS site SITEPROP RAMB18_X0Y35 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y35 IS_BONDED 0 SITEPROP RAMB18_X0Y35 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y35 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y35 IS_PAD 0 SITEPROP RAMB18_X0Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y35 IS_RESERVED 0 SITEPROP RAMB18_X0Y35 IS_TEST 0 SITEPROP RAMB18_X0Y35 IS_USED 0 SITEPROP RAMB18_X0Y35 MANUAL_ROUTING SITEPROP RAMB18_X0Y35 NAME RAMB18_X0Y35 SITEPROP RAMB18_X0Y35 NUM_ARCS 20 SITEPROP RAMB18_X0Y35 NUM_BELS 1 SITEPROP RAMB18_X0Y35 NUM_INPUTS 92 SITEPROP RAMB18_X0Y35 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y35 NUM_PINS 158 SITEPROP RAMB18_X0Y35 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y35 PROHIBIT 0 SITEPROP RAMB18_X0Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y35 RPM_X 34 SITEPROP RAMB18_X0Y35 RPM_Y 171 SITEPROP RAMB18_X0Y35 SITE_PIPS SITEPROP RAMB18_X0Y35 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y36 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y36 CLASS site SITEPROP RAMB18_X0Y36 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y36 IS_BONDED 0 SITEPROP RAMB18_X0Y36 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y36 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y36 IS_PAD 0 SITEPROP RAMB18_X0Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y36 IS_RESERVED 0 SITEPROP RAMB18_X0Y36 IS_TEST 0 SITEPROP RAMB18_X0Y36 IS_USED 0 SITEPROP RAMB18_X0Y36 MANUAL_ROUTING SITEPROP RAMB18_X0Y36 NAME RAMB18_X0Y36 SITEPROP RAMB18_X0Y36 NUM_ARCS 14 SITEPROP RAMB18_X0Y36 NUM_BELS 1 SITEPROP RAMB18_X0Y36 NUM_INPUTS 92 SITEPROP RAMB18_X0Y36 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y36 NUM_PINS 158 SITEPROP RAMB18_X0Y36 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y36 PROHIBIT 0 SITEPROP RAMB18_X0Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y36 RPM_X 34 SITEPROP RAMB18_X0Y36 RPM_Y 180 SITEPROP RAMB18_X0Y36 SITE_PIPS SITEPROP RAMB18_X0Y36 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y37 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y37 CLASS site SITEPROP RAMB18_X0Y37 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y37 IS_BONDED 0 SITEPROP RAMB18_X0Y37 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y37 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y37 IS_PAD 0 SITEPROP RAMB18_X0Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y37 IS_RESERVED 0 SITEPROP RAMB18_X0Y37 IS_TEST 0 SITEPROP RAMB18_X0Y37 IS_USED 0 SITEPROP RAMB18_X0Y37 MANUAL_ROUTING SITEPROP RAMB18_X0Y37 NAME RAMB18_X0Y37 SITEPROP RAMB18_X0Y37 NUM_ARCS 20 SITEPROP RAMB18_X0Y37 NUM_BELS 1 SITEPROP RAMB18_X0Y37 NUM_INPUTS 92 SITEPROP RAMB18_X0Y37 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y37 NUM_PINS 158 SITEPROP RAMB18_X0Y37 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y37 PROHIBIT 0 SITEPROP RAMB18_X0Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y37 RPM_X 34 SITEPROP RAMB18_X0Y37 RPM_Y 181 SITEPROP RAMB18_X0Y37 SITE_PIPS SITEPROP RAMB18_X0Y37 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y38 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y38 CLASS site SITEPROP RAMB18_X0Y38 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y38 IS_BONDED 0 SITEPROP RAMB18_X0Y38 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y38 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y38 IS_PAD 0 SITEPROP RAMB18_X0Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y38 IS_RESERVED 0 SITEPROP RAMB18_X0Y38 IS_TEST 0 SITEPROP RAMB18_X0Y38 IS_USED 0 SITEPROP RAMB18_X0Y38 MANUAL_ROUTING SITEPROP RAMB18_X0Y38 NAME RAMB18_X0Y38 SITEPROP RAMB18_X0Y38 NUM_ARCS 14 SITEPROP RAMB18_X0Y38 NUM_BELS 1 SITEPROP RAMB18_X0Y38 NUM_INPUTS 92 SITEPROP RAMB18_X0Y38 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y38 NUM_PINS 158 SITEPROP RAMB18_X0Y38 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y38 PROHIBIT 0 SITEPROP RAMB18_X0Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y38 RPM_X 34 SITEPROP RAMB18_X0Y38 RPM_Y 190 SITEPROP RAMB18_X0Y38 SITE_PIPS SITEPROP RAMB18_X0Y38 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y39 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y39 CLASS site SITEPROP RAMB18_X0Y39 CLOCK_REGION X0Y1 SITEPROP RAMB18_X0Y39 IS_BONDED 0 SITEPROP RAMB18_X0Y39 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y39 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y39 IS_PAD 0 SITEPROP RAMB18_X0Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y39 IS_RESERVED 0 SITEPROP RAMB18_X0Y39 IS_TEST 0 SITEPROP RAMB18_X0Y39 IS_USED 0 SITEPROP RAMB18_X0Y39 MANUAL_ROUTING SITEPROP RAMB18_X0Y39 NAME RAMB18_X0Y39 SITEPROP RAMB18_X0Y39 NUM_ARCS 20 SITEPROP RAMB18_X0Y39 NUM_BELS 1 SITEPROP RAMB18_X0Y39 NUM_INPUTS 92 SITEPROP RAMB18_X0Y39 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y39 NUM_PINS 158 SITEPROP RAMB18_X0Y39 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y39 PROHIBIT 0 SITEPROP RAMB18_X0Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y39 RPM_X 34 SITEPROP RAMB18_X0Y39 RPM_Y 191 SITEPROP RAMB18_X0Y39 SITE_PIPS SITEPROP RAMB18_X0Y39 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y40 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y40 CLASS site SITEPROP RAMB18_X0Y40 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y40 IS_BONDED 0 SITEPROP RAMB18_X0Y40 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y40 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y40 IS_PAD 0 SITEPROP RAMB18_X0Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y40 IS_RESERVED 0 SITEPROP RAMB18_X0Y40 IS_TEST 0 SITEPROP RAMB18_X0Y40 IS_USED 0 SITEPROP RAMB18_X0Y40 MANUAL_ROUTING SITEPROP RAMB18_X0Y40 NAME RAMB18_X0Y40 SITEPROP RAMB18_X0Y40 NUM_ARCS 14 SITEPROP RAMB18_X0Y40 NUM_BELS 1 SITEPROP RAMB18_X0Y40 NUM_INPUTS 92 SITEPROP RAMB18_X0Y40 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y40 NUM_PINS 158 SITEPROP RAMB18_X0Y40 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y40 PROHIBIT 0 SITEPROP RAMB18_X0Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y40 RPM_X 34 SITEPROP RAMB18_X0Y40 RPM_Y 200 SITEPROP RAMB18_X0Y40 SITE_PIPS SITEPROP RAMB18_X0Y40 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y41 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y41 CLASS site SITEPROP RAMB18_X0Y41 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y41 IS_BONDED 0 SITEPROP RAMB18_X0Y41 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y41 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y41 IS_PAD 0 SITEPROP RAMB18_X0Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y41 IS_RESERVED 0 SITEPROP RAMB18_X0Y41 IS_TEST 0 SITEPROP RAMB18_X0Y41 IS_USED 0 SITEPROP RAMB18_X0Y41 MANUAL_ROUTING SITEPROP RAMB18_X0Y41 NAME RAMB18_X0Y41 SITEPROP RAMB18_X0Y41 NUM_ARCS 20 SITEPROP RAMB18_X0Y41 NUM_BELS 1 SITEPROP RAMB18_X0Y41 NUM_INPUTS 92 SITEPROP RAMB18_X0Y41 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y41 NUM_PINS 158 SITEPROP RAMB18_X0Y41 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y41 PROHIBIT 0 SITEPROP RAMB18_X0Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y41 RPM_X 34 SITEPROP RAMB18_X0Y41 RPM_Y 201 SITEPROP RAMB18_X0Y41 SITE_PIPS SITEPROP RAMB18_X0Y41 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y42 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y42 CLASS site SITEPROP RAMB18_X0Y42 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y42 IS_BONDED 0 SITEPROP RAMB18_X0Y42 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y42 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y42 IS_PAD 0 SITEPROP RAMB18_X0Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y42 IS_RESERVED 0 SITEPROP RAMB18_X0Y42 IS_TEST 0 SITEPROP RAMB18_X0Y42 IS_USED 0 SITEPROP RAMB18_X0Y42 MANUAL_ROUTING SITEPROP RAMB18_X0Y42 NAME RAMB18_X0Y42 SITEPROP RAMB18_X0Y42 NUM_ARCS 14 SITEPROP RAMB18_X0Y42 NUM_BELS 1 SITEPROP RAMB18_X0Y42 NUM_INPUTS 92 SITEPROP RAMB18_X0Y42 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y42 NUM_PINS 158 SITEPROP RAMB18_X0Y42 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y42 PROHIBIT 0 SITEPROP RAMB18_X0Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y42 RPM_X 34 SITEPROP RAMB18_X0Y42 RPM_Y 210 SITEPROP RAMB18_X0Y42 SITE_PIPS SITEPROP RAMB18_X0Y42 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y43 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y43 CLASS site SITEPROP RAMB18_X0Y43 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y43 IS_BONDED 0 SITEPROP RAMB18_X0Y43 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y43 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y43 IS_PAD 0 SITEPROP RAMB18_X0Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y43 IS_RESERVED 0 SITEPROP RAMB18_X0Y43 IS_TEST 0 SITEPROP RAMB18_X0Y43 IS_USED 0 SITEPROP RAMB18_X0Y43 MANUAL_ROUTING SITEPROP RAMB18_X0Y43 NAME RAMB18_X0Y43 SITEPROP RAMB18_X0Y43 NUM_ARCS 20 SITEPROP RAMB18_X0Y43 NUM_BELS 1 SITEPROP RAMB18_X0Y43 NUM_INPUTS 92 SITEPROP RAMB18_X0Y43 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y43 NUM_PINS 158 SITEPROP RAMB18_X0Y43 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y43 PROHIBIT 0 SITEPROP RAMB18_X0Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y43 RPM_X 34 SITEPROP RAMB18_X0Y43 RPM_Y 211 SITEPROP RAMB18_X0Y43 SITE_PIPS SITEPROP RAMB18_X0Y43 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y44 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y44 CLASS site SITEPROP RAMB18_X0Y44 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y44 IS_BONDED 0 SITEPROP RAMB18_X0Y44 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y44 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y44 IS_PAD 0 SITEPROP RAMB18_X0Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y44 IS_RESERVED 0 SITEPROP RAMB18_X0Y44 IS_TEST 0 SITEPROP RAMB18_X0Y44 IS_USED 0 SITEPROP RAMB18_X0Y44 MANUAL_ROUTING SITEPROP RAMB18_X0Y44 NAME RAMB18_X0Y44 SITEPROP RAMB18_X0Y44 NUM_ARCS 14 SITEPROP RAMB18_X0Y44 NUM_BELS 1 SITEPROP RAMB18_X0Y44 NUM_INPUTS 92 SITEPROP RAMB18_X0Y44 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y44 NUM_PINS 158 SITEPROP RAMB18_X0Y44 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y44 PROHIBIT 0 SITEPROP RAMB18_X0Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y44 RPM_X 34 SITEPROP RAMB18_X0Y44 RPM_Y 220 SITEPROP RAMB18_X0Y44 SITE_PIPS SITEPROP RAMB18_X0Y44 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y45 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y45 CLASS site SITEPROP RAMB18_X0Y45 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y45 IS_BONDED 0 SITEPROP RAMB18_X0Y45 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y45 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y45 IS_PAD 0 SITEPROP RAMB18_X0Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y45 IS_RESERVED 0 SITEPROP RAMB18_X0Y45 IS_TEST 0 SITEPROP RAMB18_X0Y45 IS_USED 0 SITEPROP RAMB18_X0Y45 MANUAL_ROUTING SITEPROP RAMB18_X0Y45 NAME RAMB18_X0Y45 SITEPROP RAMB18_X0Y45 NUM_ARCS 20 SITEPROP RAMB18_X0Y45 NUM_BELS 1 SITEPROP RAMB18_X0Y45 NUM_INPUTS 92 SITEPROP RAMB18_X0Y45 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y45 NUM_PINS 158 SITEPROP RAMB18_X0Y45 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y45 PROHIBIT 0 SITEPROP RAMB18_X0Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y45 RPM_X 34 SITEPROP RAMB18_X0Y45 RPM_Y 221 SITEPROP RAMB18_X0Y45 SITE_PIPS SITEPROP RAMB18_X0Y45 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y46 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y46 CLASS site SITEPROP RAMB18_X0Y46 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y46 IS_BONDED 0 SITEPROP RAMB18_X0Y46 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y46 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y46 IS_PAD 0 SITEPROP RAMB18_X0Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y46 IS_RESERVED 0 SITEPROP RAMB18_X0Y46 IS_TEST 0 SITEPROP RAMB18_X0Y46 IS_USED 0 SITEPROP RAMB18_X0Y46 MANUAL_ROUTING SITEPROP RAMB18_X0Y46 NAME RAMB18_X0Y46 SITEPROP RAMB18_X0Y46 NUM_ARCS 14 SITEPROP RAMB18_X0Y46 NUM_BELS 1 SITEPROP RAMB18_X0Y46 NUM_INPUTS 92 SITEPROP RAMB18_X0Y46 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y46 NUM_PINS 158 SITEPROP RAMB18_X0Y46 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y46 PROHIBIT 0 SITEPROP RAMB18_X0Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y46 RPM_X 34 SITEPROP RAMB18_X0Y46 RPM_Y 230 SITEPROP RAMB18_X0Y46 SITE_PIPS SITEPROP RAMB18_X0Y46 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y47 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y47 CLASS site SITEPROP RAMB18_X0Y47 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y47 IS_BONDED 0 SITEPROP RAMB18_X0Y47 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y47 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y47 IS_PAD 0 SITEPROP RAMB18_X0Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y47 IS_RESERVED 0 SITEPROP RAMB18_X0Y47 IS_TEST 0 SITEPROP RAMB18_X0Y47 IS_USED 0 SITEPROP RAMB18_X0Y47 MANUAL_ROUTING SITEPROP RAMB18_X0Y47 NAME RAMB18_X0Y47 SITEPROP RAMB18_X0Y47 NUM_ARCS 20 SITEPROP RAMB18_X0Y47 NUM_BELS 1 SITEPROP RAMB18_X0Y47 NUM_INPUTS 92 SITEPROP RAMB18_X0Y47 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y47 NUM_PINS 158 SITEPROP RAMB18_X0Y47 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y47 PROHIBIT 0 SITEPROP RAMB18_X0Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y47 RPM_X 34 SITEPROP RAMB18_X0Y47 RPM_Y 231 SITEPROP RAMB18_X0Y47 SITE_PIPS SITEPROP RAMB18_X0Y47 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y48 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y48 CLASS site SITEPROP RAMB18_X0Y48 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y48 IS_BONDED 0 SITEPROP RAMB18_X0Y48 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y48 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y48 IS_PAD 0 SITEPROP RAMB18_X0Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y48 IS_RESERVED 0 SITEPROP RAMB18_X0Y48 IS_TEST 0 SITEPROP RAMB18_X0Y48 IS_USED 0 SITEPROP RAMB18_X0Y48 MANUAL_ROUTING SITEPROP RAMB18_X0Y48 NAME RAMB18_X0Y48 SITEPROP RAMB18_X0Y48 NUM_ARCS 14 SITEPROP RAMB18_X0Y48 NUM_BELS 1 SITEPROP RAMB18_X0Y48 NUM_INPUTS 92 SITEPROP RAMB18_X0Y48 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y48 NUM_PINS 158 SITEPROP RAMB18_X0Y48 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y48 PROHIBIT 0 SITEPROP RAMB18_X0Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y48 RPM_X 34 SITEPROP RAMB18_X0Y48 RPM_Y 240 SITEPROP RAMB18_X0Y48 SITE_PIPS SITEPROP RAMB18_X0Y48 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y49 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y49 CLASS site SITEPROP RAMB18_X0Y49 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y49 IS_BONDED 0 SITEPROP RAMB18_X0Y49 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y49 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y49 IS_PAD 0 SITEPROP RAMB18_X0Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y49 IS_RESERVED 0 SITEPROP RAMB18_X0Y49 IS_TEST 0 SITEPROP RAMB18_X0Y49 IS_USED 0 SITEPROP RAMB18_X0Y49 MANUAL_ROUTING SITEPROP RAMB18_X0Y49 NAME RAMB18_X0Y49 SITEPROP RAMB18_X0Y49 NUM_ARCS 20 SITEPROP RAMB18_X0Y49 NUM_BELS 1 SITEPROP RAMB18_X0Y49 NUM_INPUTS 92 SITEPROP RAMB18_X0Y49 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y49 NUM_PINS 158 SITEPROP RAMB18_X0Y49 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y49 PROHIBIT 0 SITEPROP RAMB18_X0Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y49 RPM_X 34 SITEPROP RAMB18_X0Y49 RPM_Y 241 SITEPROP RAMB18_X0Y49 SITE_PIPS SITEPROP RAMB18_X0Y49 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y50 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y50 CLASS site SITEPROP RAMB18_X0Y50 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y50 IS_BONDED 0 SITEPROP RAMB18_X0Y50 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y50 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y50 IS_PAD 0 SITEPROP RAMB18_X0Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y50 IS_RESERVED 0 SITEPROP RAMB18_X0Y50 IS_TEST 0 SITEPROP RAMB18_X0Y50 IS_USED 0 SITEPROP RAMB18_X0Y50 MANUAL_ROUTING SITEPROP RAMB18_X0Y50 NAME RAMB18_X0Y50 SITEPROP RAMB18_X0Y50 NUM_ARCS 14 SITEPROP RAMB18_X0Y50 NUM_BELS 1 SITEPROP RAMB18_X0Y50 NUM_INPUTS 92 SITEPROP RAMB18_X0Y50 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y50 NUM_PINS 158 SITEPROP RAMB18_X0Y50 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y50 PROHIBIT 0 SITEPROP RAMB18_X0Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y50 RPM_X 34 SITEPROP RAMB18_X0Y50 RPM_Y 250 SITEPROP RAMB18_X0Y50 SITE_PIPS SITEPROP RAMB18_X0Y50 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y51 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y51 CLASS site SITEPROP RAMB18_X0Y51 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y51 IS_BONDED 0 SITEPROP RAMB18_X0Y51 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y51 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y51 IS_PAD 0 SITEPROP RAMB18_X0Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y51 IS_RESERVED 0 SITEPROP RAMB18_X0Y51 IS_TEST 0 SITEPROP RAMB18_X0Y51 IS_USED 0 SITEPROP RAMB18_X0Y51 MANUAL_ROUTING SITEPROP RAMB18_X0Y51 NAME RAMB18_X0Y51 SITEPROP RAMB18_X0Y51 NUM_ARCS 20 SITEPROP RAMB18_X0Y51 NUM_BELS 1 SITEPROP RAMB18_X0Y51 NUM_INPUTS 92 SITEPROP RAMB18_X0Y51 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y51 NUM_PINS 158 SITEPROP RAMB18_X0Y51 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y51 PROHIBIT 0 SITEPROP RAMB18_X0Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y51 RPM_X 34 SITEPROP RAMB18_X0Y51 RPM_Y 251 SITEPROP RAMB18_X0Y51 SITE_PIPS SITEPROP RAMB18_X0Y51 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y52 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y52 CLASS site SITEPROP RAMB18_X0Y52 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y52 IS_BONDED 0 SITEPROP RAMB18_X0Y52 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y52 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y52 IS_PAD 0 SITEPROP RAMB18_X0Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y52 IS_RESERVED 0 SITEPROP RAMB18_X0Y52 IS_TEST 0 SITEPROP RAMB18_X0Y52 IS_USED 0 SITEPROP RAMB18_X0Y52 MANUAL_ROUTING SITEPROP RAMB18_X0Y52 NAME RAMB18_X0Y52 SITEPROP RAMB18_X0Y52 NUM_ARCS 14 SITEPROP RAMB18_X0Y52 NUM_BELS 1 SITEPROP RAMB18_X0Y52 NUM_INPUTS 92 SITEPROP RAMB18_X0Y52 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y52 NUM_PINS 158 SITEPROP RAMB18_X0Y52 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y52 PROHIBIT 0 SITEPROP RAMB18_X0Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y52 RPM_X 34 SITEPROP RAMB18_X0Y52 RPM_Y 260 SITEPROP RAMB18_X0Y52 SITE_PIPS SITEPROP RAMB18_X0Y52 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y53 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y53 CLASS site SITEPROP RAMB18_X0Y53 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y53 IS_BONDED 0 SITEPROP RAMB18_X0Y53 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y53 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y53 IS_PAD 0 SITEPROP RAMB18_X0Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y53 IS_RESERVED 0 SITEPROP RAMB18_X0Y53 IS_TEST 0 SITEPROP RAMB18_X0Y53 IS_USED 0 SITEPROP RAMB18_X0Y53 MANUAL_ROUTING SITEPROP RAMB18_X0Y53 NAME RAMB18_X0Y53 SITEPROP RAMB18_X0Y53 NUM_ARCS 20 SITEPROP RAMB18_X0Y53 NUM_BELS 1 SITEPROP RAMB18_X0Y53 NUM_INPUTS 92 SITEPROP RAMB18_X0Y53 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y53 NUM_PINS 158 SITEPROP RAMB18_X0Y53 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y53 PROHIBIT 0 SITEPROP RAMB18_X0Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y53 RPM_X 34 SITEPROP RAMB18_X0Y53 RPM_Y 261 SITEPROP RAMB18_X0Y53 SITE_PIPS SITEPROP RAMB18_X0Y53 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y54 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y54 CLASS site SITEPROP RAMB18_X0Y54 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y54 IS_BONDED 0 SITEPROP RAMB18_X0Y54 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y54 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y54 IS_PAD 0 SITEPROP RAMB18_X0Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y54 IS_RESERVED 0 SITEPROP RAMB18_X0Y54 IS_TEST 0 SITEPROP RAMB18_X0Y54 IS_USED 0 SITEPROP RAMB18_X0Y54 MANUAL_ROUTING SITEPROP RAMB18_X0Y54 NAME RAMB18_X0Y54 SITEPROP RAMB18_X0Y54 NUM_ARCS 14 SITEPROP RAMB18_X0Y54 NUM_BELS 1 SITEPROP RAMB18_X0Y54 NUM_INPUTS 92 SITEPROP RAMB18_X0Y54 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y54 NUM_PINS 158 SITEPROP RAMB18_X0Y54 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y54 PROHIBIT 0 SITEPROP RAMB18_X0Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y54 RPM_X 34 SITEPROP RAMB18_X0Y54 RPM_Y 270 SITEPROP RAMB18_X0Y54 SITE_PIPS SITEPROP RAMB18_X0Y54 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y55 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y55 CLASS site SITEPROP RAMB18_X0Y55 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y55 IS_BONDED 0 SITEPROP RAMB18_X0Y55 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y55 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y55 IS_PAD 0 SITEPROP RAMB18_X0Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y55 IS_RESERVED 0 SITEPROP RAMB18_X0Y55 IS_TEST 0 SITEPROP RAMB18_X0Y55 IS_USED 0 SITEPROP RAMB18_X0Y55 MANUAL_ROUTING SITEPROP RAMB18_X0Y55 NAME RAMB18_X0Y55 SITEPROP RAMB18_X0Y55 NUM_ARCS 20 SITEPROP RAMB18_X0Y55 NUM_BELS 1 SITEPROP RAMB18_X0Y55 NUM_INPUTS 92 SITEPROP RAMB18_X0Y55 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y55 NUM_PINS 158 SITEPROP RAMB18_X0Y55 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y55 PROHIBIT 0 SITEPROP RAMB18_X0Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y55 RPM_X 34 SITEPROP RAMB18_X0Y55 RPM_Y 271 SITEPROP RAMB18_X0Y55 SITE_PIPS SITEPROP RAMB18_X0Y55 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y56 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y56 CLASS site SITEPROP RAMB18_X0Y56 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y56 IS_BONDED 0 SITEPROP RAMB18_X0Y56 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y56 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y56 IS_PAD 0 SITEPROP RAMB18_X0Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y56 IS_RESERVED 0 SITEPROP RAMB18_X0Y56 IS_TEST 0 SITEPROP RAMB18_X0Y56 IS_USED 0 SITEPROP RAMB18_X0Y56 MANUAL_ROUTING SITEPROP RAMB18_X0Y56 NAME RAMB18_X0Y56 SITEPROP RAMB18_X0Y56 NUM_ARCS 14 SITEPROP RAMB18_X0Y56 NUM_BELS 1 SITEPROP RAMB18_X0Y56 NUM_INPUTS 92 SITEPROP RAMB18_X0Y56 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y56 NUM_PINS 158 SITEPROP RAMB18_X0Y56 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y56 PROHIBIT 0 SITEPROP RAMB18_X0Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y56 RPM_X 34 SITEPROP RAMB18_X0Y56 RPM_Y 280 SITEPROP RAMB18_X0Y56 SITE_PIPS SITEPROP RAMB18_X0Y56 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y57 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y57 CLASS site SITEPROP RAMB18_X0Y57 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y57 IS_BONDED 0 SITEPROP RAMB18_X0Y57 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y57 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y57 IS_PAD 0 SITEPROP RAMB18_X0Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y57 IS_RESERVED 0 SITEPROP RAMB18_X0Y57 IS_TEST 0 SITEPROP RAMB18_X0Y57 IS_USED 0 SITEPROP RAMB18_X0Y57 MANUAL_ROUTING SITEPROP RAMB18_X0Y57 NAME RAMB18_X0Y57 SITEPROP RAMB18_X0Y57 NUM_ARCS 20 SITEPROP RAMB18_X0Y57 NUM_BELS 1 SITEPROP RAMB18_X0Y57 NUM_INPUTS 92 SITEPROP RAMB18_X0Y57 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y57 NUM_PINS 158 SITEPROP RAMB18_X0Y57 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y57 PROHIBIT 0 SITEPROP RAMB18_X0Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y57 RPM_X 34 SITEPROP RAMB18_X0Y57 RPM_Y 281 SITEPROP RAMB18_X0Y57 SITE_PIPS SITEPROP RAMB18_X0Y57 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X0Y58 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X0Y58 CLASS site SITEPROP RAMB18_X0Y58 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y58 IS_BONDED 0 SITEPROP RAMB18_X0Y58 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y58 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y58 IS_PAD 0 SITEPROP RAMB18_X0Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y58 IS_RESERVED 0 SITEPROP RAMB18_X0Y58 IS_TEST 0 SITEPROP RAMB18_X0Y58 IS_USED 0 SITEPROP RAMB18_X0Y58 MANUAL_ROUTING SITEPROP RAMB18_X0Y58 NAME RAMB18_X0Y58 SITEPROP RAMB18_X0Y58 NUM_ARCS 14 SITEPROP RAMB18_X0Y58 NUM_BELS 1 SITEPROP RAMB18_X0Y58 NUM_INPUTS 92 SITEPROP RAMB18_X0Y58 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y58 NUM_PINS 158 SITEPROP RAMB18_X0Y58 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y58 PROHIBIT 0 SITEPROP RAMB18_X0Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y58 RPM_X 34 SITEPROP RAMB18_X0Y58 RPM_Y 290 SITEPROP RAMB18_X0Y58 SITE_PIPS SITEPROP RAMB18_X0Y58 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X0Y59 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X0Y59 CLASS site SITEPROP RAMB18_X0Y59 CLOCK_REGION X0Y2 SITEPROP RAMB18_X0Y59 IS_BONDED 0 SITEPROP RAMB18_X0Y59 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y59 IS_CLOCK_PAD 0 SITEPROP RAMB18_X0Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y59 IS_PAD 0 SITEPROP RAMB18_X0Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X0Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X0Y59 IS_RESERVED 0 SITEPROP RAMB18_X0Y59 IS_TEST 0 SITEPROP RAMB18_X0Y59 IS_USED 0 SITEPROP RAMB18_X0Y59 MANUAL_ROUTING SITEPROP RAMB18_X0Y59 NAME RAMB18_X0Y59 SITEPROP RAMB18_X0Y59 NUM_ARCS 20 SITEPROP RAMB18_X0Y59 NUM_BELS 1 SITEPROP RAMB18_X0Y59 NUM_INPUTS 92 SITEPROP RAMB18_X0Y59 NUM_OUTPUTS 66 SITEPROP RAMB18_X0Y59 NUM_PINS 158 SITEPROP RAMB18_X0Y59 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X0Y59 PROHIBIT 0 SITEPROP RAMB18_X0Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X0Y59 RPM_X 34 SITEPROP RAMB18_X0Y59 RPM_Y 291 SITEPROP RAMB18_X0Y59 SITE_PIPS SITEPROP RAMB18_X0Y59 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y0 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y0 CLASS site SITEPROP RAMB18_X1Y0 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y0 IS_BONDED 0 SITEPROP RAMB18_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y0 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y0 IS_PAD 0 SITEPROP RAMB18_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y0 IS_RESERVED 0 SITEPROP RAMB18_X1Y0 IS_TEST 0 SITEPROP RAMB18_X1Y0 IS_USED 0 SITEPROP RAMB18_X1Y0 MANUAL_ROUTING SITEPROP RAMB18_X1Y0 NAME RAMB18_X1Y0 SITEPROP RAMB18_X1Y0 NUM_ARCS 14 SITEPROP RAMB18_X1Y0 NUM_BELS 1 SITEPROP RAMB18_X1Y0 NUM_INPUTS 92 SITEPROP RAMB18_X1Y0 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y0 NUM_PINS 158 SITEPROP RAMB18_X1Y0 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y0 PROHIBIT 0 SITEPROP RAMB18_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y0 RPM_X 126 SITEPROP RAMB18_X1Y0 RPM_Y 0 SITEPROP RAMB18_X1Y0 SITE_PIPS SITEPROP RAMB18_X1Y0 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y1 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y1 CLASS site SITEPROP RAMB18_X1Y1 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y1 IS_BONDED 0 SITEPROP RAMB18_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y1 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y1 IS_PAD 0 SITEPROP RAMB18_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y1 IS_RESERVED 0 SITEPROP RAMB18_X1Y1 IS_TEST 0 SITEPROP RAMB18_X1Y1 IS_USED 0 SITEPROP RAMB18_X1Y1 MANUAL_ROUTING SITEPROP RAMB18_X1Y1 NAME RAMB18_X1Y1 SITEPROP RAMB18_X1Y1 NUM_ARCS 20 SITEPROP RAMB18_X1Y1 NUM_BELS 1 SITEPROP RAMB18_X1Y1 NUM_INPUTS 92 SITEPROP RAMB18_X1Y1 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y1 NUM_PINS 158 SITEPROP RAMB18_X1Y1 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y1 PROHIBIT 0 SITEPROP RAMB18_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y1 RPM_X 126 SITEPROP RAMB18_X1Y1 RPM_Y 1 SITEPROP RAMB18_X1Y1 SITE_PIPS SITEPROP RAMB18_X1Y1 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y2 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y2 CLASS site SITEPROP RAMB18_X1Y2 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y2 IS_BONDED 0 SITEPROP RAMB18_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y2 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y2 IS_PAD 0 SITEPROP RAMB18_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y2 IS_RESERVED 0 SITEPROP RAMB18_X1Y2 IS_TEST 0 SITEPROP RAMB18_X1Y2 IS_USED 0 SITEPROP RAMB18_X1Y2 MANUAL_ROUTING SITEPROP RAMB18_X1Y2 NAME RAMB18_X1Y2 SITEPROP RAMB18_X1Y2 NUM_ARCS 14 SITEPROP RAMB18_X1Y2 NUM_BELS 1 SITEPROP RAMB18_X1Y2 NUM_INPUTS 92 SITEPROP RAMB18_X1Y2 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y2 NUM_PINS 158 SITEPROP RAMB18_X1Y2 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y2 PROHIBIT 0 SITEPROP RAMB18_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y2 RPM_X 126 SITEPROP RAMB18_X1Y2 RPM_Y 10 SITEPROP RAMB18_X1Y2 SITE_PIPS SITEPROP RAMB18_X1Y2 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y3 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y3 CLASS site SITEPROP RAMB18_X1Y3 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y3 IS_BONDED 0 SITEPROP RAMB18_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y3 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y3 IS_PAD 0 SITEPROP RAMB18_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y3 IS_RESERVED 0 SITEPROP RAMB18_X1Y3 IS_TEST 0 SITEPROP RAMB18_X1Y3 IS_USED 0 SITEPROP RAMB18_X1Y3 MANUAL_ROUTING SITEPROP RAMB18_X1Y3 NAME RAMB18_X1Y3 SITEPROP RAMB18_X1Y3 NUM_ARCS 20 SITEPROP RAMB18_X1Y3 NUM_BELS 1 SITEPROP RAMB18_X1Y3 NUM_INPUTS 92 SITEPROP RAMB18_X1Y3 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y3 NUM_PINS 158 SITEPROP RAMB18_X1Y3 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y3 PROHIBIT 0 SITEPROP RAMB18_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y3 RPM_X 126 SITEPROP RAMB18_X1Y3 RPM_Y 11 SITEPROP RAMB18_X1Y3 SITE_PIPS SITEPROP RAMB18_X1Y3 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y4 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y4 CLASS site SITEPROP RAMB18_X1Y4 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y4 IS_BONDED 0 SITEPROP RAMB18_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y4 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y4 IS_PAD 0 SITEPROP RAMB18_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y4 IS_RESERVED 0 SITEPROP RAMB18_X1Y4 IS_TEST 0 SITEPROP RAMB18_X1Y4 IS_USED 0 SITEPROP RAMB18_X1Y4 MANUAL_ROUTING SITEPROP RAMB18_X1Y4 NAME RAMB18_X1Y4 SITEPROP RAMB18_X1Y4 NUM_ARCS 14 SITEPROP RAMB18_X1Y4 NUM_BELS 1 SITEPROP RAMB18_X1Y4 NUM_INPUTS 92 SITEPROP RAMB18_X1Y4 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y4 NUM_PINS 158 SITEPROP RAMB18_X1Y4 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y4 PROHIBIT 0 SITEPROP RAMB18_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y4 RPM_X 126 SITEPROP RAMB18_X1Y4 RPM_Y 20 SITEPROP RAMB18_X1Y4 SITE_PIPS SITEPROP RAMB18_X1Y4 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y5 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y5 CLASS site SITEPROP RAMB18_X1Y5 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y5 IS_BONDED 0 SITEPROP RAMB18_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y5 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y5 IS_PAD 0 SITEPROP RAMB18_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y5 IS_RESERVED 0 SITEPROP RAMB18_X1Y5 IS_TEST 0 SITEPROP RAMB18_X1Y5 IS_USED 0 SITEPROP RAMB18_X1Y5 MANUAL_ROUTING SITEPROP RAMB18_X1Y5 NAME RAMB18_X1Y5 SITEPROP RAMB18_X1Y5 NUM_ARCS 20 SITEPROP RAMB18_X1Y5 NUM_BELS 1 SITEPROP RAMB18_X1Y5 NUM_INPUTS 92 SITEPROP RAMB18_X1Y5 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y5 NUM_PINS 158 SITEPROP RAMB18_X1Y5 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y5 PROHIBIT 0 SITEPROP RAMB18_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y5 RPM_X 126 SITEPROP RAMB18_X1Y5 RPM_Y 21 SITEPROP RAMB18_X1Y5 SITE_PIPS SITEPROP RAMB18_X1Y5 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y6 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y6 CLASS site SITEPROP RAMB18_X1Y6 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y6 IS_BONDED 0 SITEPROP RAMB18_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y6 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y6 IS_PAD 0 SITEPROP RAMB18_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y6 IS_RESERVED 0 SITEPROP RAMB18_X1Y6 IS_TEST 0 SITEPROP RAMB18_X1Y6 IS_USED 0 SITEPROP RAMB18_X1Y6 MANUAL_ROUTING SITEPROP RAMB18_X1Y6 NAME RAMB18_X1Y6 SITEPROP RAMB18_X1Y6 NUM_ARCS 14 SITEPROP RAMB18_X1Y6 NUM_BELS 1 SITEPROP RAMB18_X1Y6 NUM_INPUTS 92 SITEPROP RAMB18_X1Y6 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y6 NUM_PINS 158 SITEPROP RAMB18_X1Y6 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y6 PROHIBIT 0 SITEPROP RAMB18_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y6 RPM_X 126 SITEPROP RAMB18_X1Y6 RPM_Y 30 SITEPROP RAMB18_X1Y6 SITE_PIPS SITEPROP RAMB18_X1Y6 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y7 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y7 CLASS site SITEPROP RAMB18_X1Y7 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y7 IS_BONDED 0 SITEPROP RAMB18_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y7 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y7 IS_PAD 0 SITEPROP RAMB18_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y7 IS_RESERVED 0 SITEPROP RAMB18_X1Y7 IS_TEST 0 SITEPROP RAMB18_X1Y7 IS_USED 0 SITEPROP RAMB18_X1Y7 MANUAL_ROUTING SITEPROP RAMB18_X1Y7 NAME RAMB18_X1Y7 SITEPROP RAMB18_X1Y7 NUM_ARCS 20 SITEPROP RAMB18_X1Y7 NUM_BELS 1 SITEPROP RAMB18_X1Y7 NUM_INPUTS 92 SITEPROP RAMB18_X1Y7 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y7 NUM_PINS 158 SITEPROP RAMB18_X1Y7 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y7 PROHIBIT 0 SITEPROP RAMB18_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y7 RPM_X 126 SITEPROP RAMB18_X1Y7 RPM_Y 31 SITEPROP RAMB18_X1Y7 SITE_PIPS SITEPROP RAMB18_X1Y7 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y8 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y8 CLASS site SITEPROP RAMB18_X1Y8 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y8 IS_BONDED 0 SITEPROP RAMB18_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y8 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y8 IS_PAD 0 SITEPROP RAMB18_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y8 IS_RESERVED 0 SITEPROP RAMB18_X1Y8 IS_TEST 0 SITEPROP RAMB18_X1Y8 IS_USED 0 SITEPROP RAMB18_X1Y8 MANUAL_ROUTING SITEPROP RAMB18_X1Y8 NAME RAMB18_X1Y8 SITEPROP RAMB18_X1Y8 NUM_ARCS 14 SITEPROP RAMB18_X1Y8 NUM_BELS 1 SITEPROP RAMB18_X1Y8 NUM_INPUTS 92 SITEPROP RAMB18_X1Y8 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y8 NUM_PINS 158 SITEPROP RAMB18_X1Y8 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y8 PROHIBIT 0 SITEPROP RAMB18_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y8 RPM_X 126 SITEPROP RAMB18_X1Y8 RPM_Y 40 SITEPROP RAMB18_X1Y8 SITE_PIPS SITEPROP RAMB18_X1Y8 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y9 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y9 CLASS site SITEPROP RAMB18_X1Y9 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y9 IS_BONDED 0 SITEPROP RAMB18_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y9 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y9 IS_PAD 0 SITEPROP RAMB18_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y9 IS_RESERVED 0 SITEPROP RAMB18_X1Y9 IS_TEST 0 SITEPROP RAMB18_X1Y9 IS_USED 0 SITEPROP RAMB18_X1Y9 MANUAL_ROUTING SITEPROP RAMB18_X1Y9 NAME RAMB18_X1Y9 SITEPROP RAMB18_X1Y9 NUM_ARCS 20 SITEPROP RAMB18_X1Y9 NUM_BELS 1 SITEPROP RAMB18_X1Y9 NUM_INPUTS 92 SITEPROP RAMB18_X1Y9 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y9 NUM_PINS 158 SITEPROP RAMB18_X1Y9 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y9 PROHIBIT 0 SITEPROP RAMB18_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y9 RPM_X 126 SITEPROP RAMB18_X1Y9 RPM_Y 41 SITEPROP RAMB18_X1Y9 SITE_PIPS SITEPROP RAMB18_X1Y9 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y10 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y10 CLASS site SITEPROP RAMB18_X1Y10 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y10 IS_BONDED 0 SITEPROP RAMB18_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y10 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y10 IS_PAD 0 SITEPROP RAMB18_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y10 IS_RESERVED 0 SITEPROP RAMB18_X1Y10 IS_TEST 0 SITEPROP RAMB18_X1Y10 IS_USED 0 SITEPROP RAMB18_X1Y10 MANUAL_ROUTING SITEPROP RAMB18_X1Y10 NAME RAMB18_X1Y10 SITEPROP RAMB18_X1Y10 NUM_ARCS 14 SITEPROP RAMB18_X1Y10 NUM_BELS 1 SITEPROP RAMB18_X1Y10 NUM_INPUTS 92 SITEPROP RAMB18_X1Y10 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y10 NUM_PINS 158 SITEPROP RAMB18_X1Y10 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y10 PROHIBIT 0 SITEPROP RAMB18_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y10 RPM_X 126 SITEPROP RAMB18_X1Y10 RPM_Y 50 SITEPROP RAMB18_X1Y10 SITE_PIPS SITEPROP RAMB18_X1Y10 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y11 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y11 CLASS site SITEPROP RAMB18_X1Y11 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y11 IS_BONDED 0 SITEPROP RAMB18_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y11 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y11 IS_PAD 0 SITEPROP RAMB18_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y11 IS_RESERVED 0 SITEPROP RAMB18_X1Y11 IS_TEST 0 SITEPROP RAMB18_X1Y11 IS_USED 0 SITEPROP RAMB18_X1Y11 MANUAL_ROUTING SITEPROP RAMB18_X1Y11 NAME RAMB18_X1Y11 SITEPROP RAMB18_X1Y11 NUM_ARCS 20 SITEPROP RAMB18_X1Y11 NUM_BELS 1 SITEPROP RAMB18_X1Y11 NUM_INPUTS 92 SITEPROP RAMB18_X1Y11 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y11 NUM_PINS 158 SITEPROP RAMB18_X1Y11 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y11 PROHIBIT 0 SITEPROP RAMB18_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y11 RPM_X 126 SITEPROP RAMB18_X1Y11 RPM_Y 51 SITEPROP RAMB18_X1Y11 SITE_PIPS SITEPROP RAMB18_X1Y11 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y12 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y12 CLASS site SITEPROP RAMB18_X1Y12 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y12 IS_BONDED 0 SITEPROP RAMB18_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y12 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y12 IS_PAD 0 SITEPROP RAMB18_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y12 IS_RESERVED 0 SITEPROP RAMB18_X1Y12 IS_TEST 0 SITEPROP RAMB18_X1Y12 IS_USED 0 SITEPROP RAMB18_X1Y12 MANUAL_ROUTING SITEPROP RAMB18_X1Y12 NAME RAMB18_X1Y12 SITEPROP RAMB18_X1Y12 NUM_ARCS 14 SITEPROP RAMB18_X1Y12 NUM_BELS 1 SITEPROP RAMB18_X1Y12 NUM_INPUTS 92 SITEPROP RAMB18_X1Y12 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y12 NUM_PINS 158 SITEPROP RAMB18_X1Y12 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y12 PROHIBIT 0 SITEPROP RAMB18_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y12 RPM_X 126 SITEPROP RAMB18_X1Y12 RPM_Y 60 SITEPROP RAMB18_X1Y12 SITE_PIPS SITEPROP RAMB18_X1Y12 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y13 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y13 CLASS site SITEPROP RAMB18_X1Y13 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y13 IS_BONDED 0 SITEPROP RAMB18_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y13 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y13 IS_PAD 0 SITEPROP RAMB18_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y13 IS_RESERVED 0 SITEPROP RAMB18_X1Y13 IS_TEST 0 SITEPROP RAMB18_X1Y13 IS_USED 0 SITEPROP RAMB18_X1Y13 MANUAL_ROUTING SITEPROP RAMB18_X1Y13 NAME RAMB18_X1Y13 SITEPROP RAMB18_X1Y13 NUM_ARCS 20 SITEPROP RAMB18_X1Y13 NUM_BELS 1 SITEPROP RAMB18_X1Y13 NUM_INPUTS 92 SITEPROP RAMB18_X1Y13 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y13 NUM_PINS 158 SITEPROP RAMB18_X1Y13 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y13 PROHIBIT 0 SITEPROP RAMB18_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y13 RPM_X 126 SITEPROP RAMB18_X1Y13 RPM_Y 61 SITEPROP RAMB18_X1Y13 SITE_PIPS SITEPROP RAMB18_X1Y13 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y14 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y14 CLASS site SITEPROP RAMB18_X1Y14 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y14 IS_BONDED 0 SITEPROP RAMB18_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y14 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y14 IS_PAD 0 SITEPROP RAMB18_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y14 IS_RESERVED 0 SITEPROP RAMB18_X1Y14 IS_TEST 0 SITEPROP RAMB18_X1Y14 IS_USED 0 SITEPROP RAMB18_X1Y14 MANUAL_ROUTING SITEPROP RAMB18_X1Y14 NAME RAMB18_X1Y14 SITEPROP RAMB18_X1Y14 NUM_ARCS 14 SITEPROP RAMB18_X1Y14 NUM_BELS 1 SITEPROP RAMB18_X1Y14 NUM_INPUTS 92 SITEPROP RAMB18_X1Y14 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y14 NUM_PINS 158 SITEPROP RAMB18_X1Y14 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y14 PROHIBIT 0 SITEPROP RAMB18_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y14 RPM_X 126 SITEPROP RAMB18_X1Y14 RPM_Y 70 SITEPROP RAMB18_X1Y14 SITE_PIPS SITEPROP RAMB18_X1Y14 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y15 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y15 CLASS site SITEPROP RAMB18_X1Y15 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y15 IS_BONDED 0 SITEPROP RAMB18_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y15 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y15 IS_PAD 0 SITEPROP RAMB18_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y15 IS_RESERVED 0 SITEPROP RAMB18_X1Y15 IS_TEST 0 SITEPROP RAMB18_X1Y15 IS_USED 0 SITEPROP RAMB18_X1Y15 MANUAL_ROUTING SITEPROP RAMB18_X1Y15 NAME RAMB18_X1Y15 SITEPROP RAMB18_X1Y15 NUM_ARCS 20 SITEPROP RAMB18_X1Y15 NUM_BELS 1 SITEPROP RAMB18_X1Y15 NUM_INPUTS 92 SITEPROP RAMB18_X1Y15 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y15 NUM_PINS 158 SITEPROP RAMB18_X1Y15 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y15 PROHIBIT 0 SITEPROP RAMB18_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y15 RPM_X 126 SITEPROP RAMB18_X1Y15 RPM_Y 71 SITEPROP RAMB18_X1Y15 SITE_PIPS SITEPROP RAMB18_X1Y15 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y16 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y16 CLASS site SITEPROP RAMB18_X1Y16 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y16 IS_BONDED 0 SITEPROP RAMB18_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y16 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y16 IS_PAD 0 SITEPROP RAMB18_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y16 IS_RESERVED 0 SITEPROP RAMB18_X1Y16 IS_TEST 0 SITEPROP RAMB18_X1Y16 IS_USED 0 SITEPROP RAMB18_X1Y16 MANUAL_ROUTING SITEPROP RAMB18_X1Y16 NAME RAMB18_X1Y16 SITEPROP RAMB18_X1Y16 NUM_ARCS 14 SITEPROP RAMB18_X1Y16 NUM_BELS 1 SITEPROP RAMB18_X1Y16 NUM_INPUTS 92 SITEPROP RAMB18_X1Y16 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y16 NUM_PINS 158 SITEPROP RAMB18_X1Y16 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y16 PROHIBIT 0 SITEPROP RAMB18_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y16 RPM_X 126 SITEPROP RAMB18_X1Y16 RPM_Y 80 SITEPROP RAMB18_X1Y16 SITE_PIPS SITEPROP RAMB18_X1Y16 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y17 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y17 CLASS site SITEPROP RAMB18_X1Y17 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y17 IS_BONDED 0 SITEPROP RAMB18_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y17 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y17 IS_PAD 0 SITEPROP RAMB18_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y17 IS_RESERVED 0 SITEPROP RAMB18_X1Y17 IS_TEST 0 SITEPROP RAMB18_X1Y17 IS_USED 0 SITEPROP RAMB18_X1Y17 MANUAL_ROUTING SITEPROP RAMB18_X1Y17 NAME RAMB18_X1Y17 SITEPROP RAMB18_X1Y17 NUM_ARCS 20 SITEPROP RAMB18_X1Y17 NUM_BELS 1 SITEPROP RAMB18_X1Y17 NUM_INPUTS 92 SITEPROP RAMB18_X1Y17 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y17 NUM_PINS 158 SITEPROP RAMB18_X1Y17 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y17 PROHIBIT 0 SITEPROP RAMB18_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y17 RPM_X 126 SITEPROP RAMB18_X1Y17 RPM_Y 81 SITEPROP RAMB18_X1Y17 SITE_PIPS SITEPROP RAMB18_X1Y17 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y18 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y18 CLASS site SITEPROP RAMB18_X1Y18 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y18 IS_BONDED 0 SITEPROP RAMB18_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y18 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y18 IS_PAD 0 SITEPROP RAMB18_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y18 IS_RESERVED 0 SITEPROP RAMB18_X1Y18 IS_TEST 0 SITEPROP RAMB18_X1Y18 IS_USED 0 SITEPROP RAMB18_X1Y18 MANUAL_ROUTING SITEPROP RAMB18_X1Y18 NAME RAMB18_X1Y18 SITEPROP RAMB18_X1Y18 NUM_ARCS 14 SITEPROP RAMB18_X1Y18 NUM_BELS 1 SITEPROP RAMB18_X1Y18 NUM_INPUTS 92 SITEPROP RAMB18_X1Y18 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y18 NUM_PINS 158 SITEPROP RAMB18_X1Y18 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y18 PROHIBIT 0 SITEPROP RAMB18_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y18 RPM_X 126 SITEPROP RAMB18_X1Y18 RPM_Y 90 SITEPROP RAMB18_X1Y18 SITE_PIPS SITEPROP RAMB18_X1Y18 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y19 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y19 CLASS site SITEPROP RAMB18_X1Y19 CLOCK_REGION X1Y0 SITEPROP RAMB18_X1Y19 IS_BONDED 0 SITEPROP RAMB18_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y19 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y19 IS_PAD 0 SITEPROP RAMB18_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y19 IS_RESERVED 0 SITEPROP RAMB18_X1Y19 IS_TEST 0 SITEPROP RAMB18_X1Y19 IS_USED 0 SITEPROP RAMB18_X1Y19 MANUAL_ROUTING SITEPROP RAMB18_X1Y19 NAME RAMB18_X1Y19 SITEPROP RAMB18_X1Y19 NUM_ARCS 20 SITEPROP RAMB18_X1Y19 NUM_BELS 1 SITEPROP RAMB18_X1Y19 NUM_INPUTS 92 SITEPROP RAMB18_X1Y19 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y19 NUM_PINS 158 SITEPROP RAMB18_X1Y19 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y19 PROHIBIT 0 SITEPROP RAMB18_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y19 RPM_X 126 SITEPROP RAMB18_X1Y19 RPM_Y 91 SITEPROP RAMB18_X1Y19 SITE_PIPS SITEPROP RAMB18_X1Y19 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y20 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y20 CLASS site SITEPROP RAMB18_X1Y20 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y20 IS_BONDED 0 SITEPROP RAMB18_X1Y20 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y20 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y20 IS_PAD 0 SITEPROP RAMB18_X1Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y20 IS_RESERVED 0 SITEPROP RAMB18_X1Y20 IS_TEST 0 SITEPROP RAMB18_X1Y20 IS_USED 0 SITEPROP RAMB18_X1Y20 MANUAL_ROUTING SITEPROP RAMB18_X1Y20 NAME RAMB18_X1Y20 SITEPROP RAMB18_X1Y20 NUM_ARCS 14 SITEPROP RAMB18_X1Y20 NUM_BELS 1 SITEPROP RAMB18_X1Y20 NUM_INPUTS 92 SITEPROP RAMB18_X1Y20 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y20 NUM_PINS 158 SITEPROP RAMB18_X1Y20 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y20 PROHIBIT 0 SITEPROP RAMB18_X1Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y20 RPM_X 126 SITEPROP RAMB18_X1Y20 RPM_Y 100 SITEPROP RAMB18_X1Y20 SITE_PIPS SITEPROP RAMB18_X1Y20 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y21 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y21 CLASS site SITEPROP RAMB18_X1Y21 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y21 IS_BONDED 0 SITEPROP RAMB18_X1Y21 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y21 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y21 IS_PAD 0 SITEPROP RAMB18_X1Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y21 IS_RESERVED 0 SITEPROP RAMB18_X1Y21 IS_TEST 0 SITEPROP RAMB18_X1Y21 IS_USED 0 SITEPROP RAMB18_X1Y21 MANUAL_ROUTING SITEPROP RAMB18_X1Y21 NAME RAMB18_X1Y21 SITEPROP RAMB18_X1Y21 NUM_ARCS 20 SITEPROP RAMB18_X1Y21 NUM_BELS 1 SITEPROP RAMB18_X1Y21 NUM_INPUTS 92 SITEPROP RAMB18_X1Y21 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y21 NUM_PINS 158 SITEPROP RAMB18_X1Y21 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y21 PROHIBIT 0 SITEPROP RAMB18_X1Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y21 RPM_X 126 SITEPROP RAMB18_X1Y21 RPM_Y 101 SITEPROP RAMB18_X1Y21 SITE_PIPS SITEPROP RAMB18_X1Y21 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y22 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y22 CLASS site SITEPROP RAMB18_X1Y22 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y22 IS_BONDED 0 SITEPROP RAMB18_X1Y22 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y22 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y22 IS_PAD 0 SITEPROP RAMB18_X1Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y22 IS_RESERVED 0 SITEPROP RAMB18_X1Y22 IS_TEST 0 SITEPROP RAMB18_X1Y22 IS_USED 0 SITEPROP RAMB18_X1Y22 MANUAL_ROUTING SITEPROP RAMB18_X1Y22 NAME RAMB18_X1Y22 SITEPROP RAMB18_X1Y22 NUM_ARCS 14 SITEPROP RAMB18_X1Y22 NUM_BELS 1 SITEPROP RAMB18_X1Y22 NUM_INPUTS 92 SITEPROP RAMB18_X1Y22 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y22 NUM_PINS 158 SITEPROP RAMB18_X1Y22 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y22 PROHIBIT 0 SITEPROP RAMB18_X1Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y22 RPM_X 126 SITEPROP RAMB18_X1Y22 RPM_Y 110 SITEPROP RAMB18_X1Y22 SITE_PIPS SITEPROP RAMB18_X1Y22 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y23 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y23 CLASS site SITEPROP RAMB18_X1Y23 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y23 IS_BONDED 0 SITEPROP RAMB18_X1Y23 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y23 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y23 IS_PAD 0 SITEPROP RAMB18_X1Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y23 IS_RESERVED 0 SITEPROP RAMB18_X1Y23 IS_TEST 0 SITEPROP RAMB18_X1Y23 IS_USED 0 SITEPROP RAMB18_X1Y23 MANUAL_ROUTING SITEPROP RAMB18_X1Y23 NAME RAMB18_X1Y23 SITEPROP RAMB18_X1Y23 NUM_ARCS 20 SITEPROP RAMB18_X1Y23 NUM_BELS 1 SITEPROP RAMB18_X1Y23 NUM_INPUTS 92 SITEPROP RAMB18_X1Y23 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y23 NUM_PINS 158 SITEPROP RAMB18_X1Y23 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y23 PROHIBIT 0 SITEPROP RAMB18_X1Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y23 RPM_X 126 SITEPROP RAMB18_X1Y23 RPM_Y 111 SITEPROP RAMB18_X1Y23 SITE_PIPS SITEPROP RAMB18_X1Y23 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y24 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y24 CLASS site SITEPROP RAMB18_X1Y24 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y24 IS_BONDED 0 SITEPROP RAMB18_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y24 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y24 IS_PAD 0 SITEPROP RAMB18_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y24 IS_RESERVED 0 SITEPROP RAMB18_X1Y24 IS_TEST 0 SITEPROP RAMB18_X1Y24 IS_USED 0 SITEPROP RAMB18_X1Y24 MANUAL_ROUTING SITEPROP RAMB18_X1Y24 NAME RAMB18_X1Y24 SITEPROP RAMB18_X1Y24 NUM_ARCS 14 SITEPROP RAMB18_X1Y24 NUM_BELS 1 SITEPROP RAMB18_X1Y24 NUM_INPUTS 92 SITEPROP RAMB18_X1Y24 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y24 NUM_PINS 158 SITEPROP RAMB18_X1Y24 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y24 PROHIBIT 0 SITEPROP RAMB18_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y24 RPM_X 126 SITEPROP RAMB18_X1Y24 RPM_Y 120 SITEPROP RAMB18_X1Y24 SITE_PIPS SITEPROP RAMB18_X1Y24 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y25 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y25 CLASS site SITEPROP RAMB18_X1Y25 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y25 IS_BONDED 0 SITEPROP RAMB18_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y25 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y25 IS_PAD 0 SITEPROP RAMB18_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y25 IS_RESERVED 0 SITEPROP RAMB18_X1Y25 IS_TEST 0 SITEPROP RAMB18_X1Y25 IS_USED 0 SITEPROP RAMB18_X1Y25 MANUAL_ROUTING SITEPROP RAMB18_X1Y25 NAME RAMB18_X1Y25 SITEPROP RAMB18_X1Y25 NUM_ARCS 20 SITEPROP RAMB18_X1Y25 NUM_BELS 1 SITEPROP RAMB18_X1Y25 NUM_INPUTS 92 SITEPROP RAMB18_X1Y25 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y25 NUM_PINS 158 SITEPROP RAMB18_X1Y25 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y25 PROHIBIT 0 SITEPROP RAMB18_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y25 RPM_X 126 SITEPROP RAMB18_X1Y25 RPM_Y 121 SITEPROP RAMB18_X1Y25 SITE_PIPS SITEPROP RAMB18_X1Y25 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y26 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y26 CLASS site SITEPROP RAMB18_X1Y26 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y26 IS_BONDED 0 SITEPROP RAMB18_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y26 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y26 IS_PAD 0 SITEPROP RAMB18_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y26 IS_RESERVED 0 SITEPROP RAMB18_X1Y26 IS_TEST 0 SITEPROP RAMB18_X1Y26 IS_USED 0 SITEPROP RAMB18_X1Y26 MANUAL_ROUTING SITEPROP RAMB18_X1Y26 NAME RAMB18_X1Y26 SITEPROP RAMB18_X1Y26 NUM_ARCS 14 SITEPROP RAMB18_X1Y26 NUM_BELS 1 SITEPROP RAMB18_X1Y26 NUM_INPUTS 92 SITEPROP RAMB18_X1Y26 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y26 NUM_PINS 158 SITEPROP RAMB18_X1Y26 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y26 PROHIBIT 0 SITEPROP RAMB18_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y26 RPM_X 126 SITEPROP RAMB18_X1Y26 RPM_Y 130 SITEPROP RAMB18_X1Y26 SITE_PIPS SITEPROP RAMB18_X1Y26 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y27 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y27 CLASS site SITEPROP RAMB18_X1Y27 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y27 IS_BONDED 0 SITEPROP RAMB18_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y27 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y27 IS_PAD 0 SITEPROP RAMB18_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y27 IS_RESERVED 0 SITEPROP RAMB18_X1Y27 IS_TEST 0 SITEPROP RAMB18_X1Y27 IS_USED 0 SITEPROP RAMB18_X1Y27 MANUAL_ROUTING SITEPROP RAMB18_X1Y27 NAME RAMB18_X1Y27 SITEPROP RAMB18_X1Y27 NUM_ARCS 20 SITEPROP RAMB18_X1Y27 NUM_BELS 1 SITEPROP RAMB18_X1Y27 NUM_INPUTS 92 SITEPROP RAMB18_X1Y27 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y27 NUM_PINS 158 SITEPROP RAMB18_X1Y27 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y27 PROHIBIT 0 SITEPROP RAMB18_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y27 RPM_X 126 SITEPROP RAMB18_X1Y27 RPM_Y 131 SITEPROP RAMB18_X1Y27 SITE_PIPS SITEPROP RAMB18_X1Y27 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y28 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y28 CLASS site SITEPROP RAMB18_X1Y28 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y28 IS_BONDED 0 SITEPROP RAMB18_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y28 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y28 IS_PAD 0 SITEPROP RAMB18_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y28 IS_RESERVED 0 SITEPROP RAMB18_X1Y28 IS_TEST 0 SITEPROP RAMB18_X1Y28 IS_USED 0 SITEPROP RAMB18_X1Y28 MANUAL_ROUTING SITEPROP RAMB18_X1Y28 NAME RAMB18_X1Y28 SITEPROP RAMB18_X1Y28 NUM_ARCS 14 SITEPROP RAMB18_X1Y28 NUM_BELS 1 SITEPROP RAMB18_X1Y28 NUM_INPUTS 92 SITEPROP RAMB18_X1Y28 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y28 NUM_PINS 158 SITEPROP RAMB18_X1Y28 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y28 PROHIBIT 0 SITEPROP RAMB18_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y28 RPM_X 126 SITEPROP RAMB18_X1Y28 RPM_Y 140 SITEPROP RAMB18_X1Y28 SITE_PIPS SITEPROP RAMB18_X1Y28 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y29 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y29 CLASS site SITEPROP RAMB18_X1Y29 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y29 IS_BONDED 0 SITEPROP RAMB18_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y29 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y29 IS_PAD 0 SITEPROP RAMB18_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y29 IS_RESERVED 0 SITEPROP RAMB18_X1Y29 IS_TEST 0 SITEPROP RAMB18_X1Y29 IS_USED 0 SITEPROP RAMB18_X1Y29 MANUAL_ROUTING SITEPROP RAMB18_X1Y29 NAME RAMB18_X1Y29 SITEPROP RAMB18_X1Y29 NUM_ARCS 20 SITEPROP RAMB18_X1Y29 NUM_BELS 1 SITEPROP RAMB18_X1Y29 NUM_INPUTS 92 SITEPROP RAMB18_X1Y29 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y29 NUM_PINS 158 SITEPROP RAMB18_X1Y29 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y29 PROHIBIT 0 SITEPROP RAMB18_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y29 RPM_X 126 SITEPROP RAMB18_X1Y29 RPM_Y 141 SITEPROP RAMB18_X1Y29 SITE_PIPS SITEPROP RAMB18_X1Y29 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y30 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y30 CLASS site SITEPROP RAMB18_X1Y30 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y30 IS_BONDED 0 SITEPROP RAMB18_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y30 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y30 IS_PAD 0 SITEPROP RAMB18_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y30 IS_RESERVED 0 SITEPROP RAMB18_X1Y30 IS_TEST 0 SITEPROP RAMB18_X1Y30 IS_USED 0 SITEPROP RAMB18_X1Y30 MANUAL_ROUTING SITEPROP RAMB18_X1Y30 NAME RAMB18_X1Y30 SITEPROP RAMB18_X1Y30 NUM_ARCS 14 SITEPROP RAMB18_X1Y30 NUM_BELS 1 SITEPROP RAMB18_X1Y30 NUM_INPUTS 92 SITEPROP RAMB18_X1Y30 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y30 NUM_PINS 158 SITEPROP RAMB18_X1Y30 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y30 PROHIBIT 0 SITEPROP RAMB18_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y30 RPM_X 126 SITEPROP RAMB18_X1Y30 RPM_Y 150 SITEPROP RAMB18_X1Y30 SITE_PIPS SITEPROP RAMB18_X1Y30 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y31 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y31 CLASS site SITEPROP RAMB18_X1Y31 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y31 IS_BONDED 0 SITEPROP RAMB18_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y31 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y31 IS_PAD 0 SITEPROP RAMB18_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y31 IS_RESERVED 0 SITEPROP RAMB18_X1Y31 IS_TEST 0 SITEPROP RAMB18_X1Y31 IS_USED 0 SITEPROP RAMB18_X1Y31 MANUAL_ROUTING SITEPROP RAMB18_X1Y31 NAME RAMB18_X1Y31 SITEPROP RAMB18_X1Y31 NUM_ARCS 20 SITEPROP RAMB18_X1Y31 NUM_BELS 1 SITEPROP RAMB18_X1Y31 NUM_INPUTS 92 SITEPROP RAMB18_X1Y31 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y31 NUM_PINS 158 SITEPROP RAMB18_X1Y31 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y31 PROHIBIT 0 SITEPROP RAMB18_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y31 RPM_X 126 SITEPROP RAMB18_X1Y31 RPM_Y 151 SITEPROP RAMB18_X1Y31 SITE_PIPS SITEPROP RAMB18_X1Y31 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y32 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y32 CLASS site SITEPROP RAMB18_X1Y32 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y32 IS_BONDED 0 SITEPROP RAMB18_X1Y32 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y32 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y32 IS_PAD 0 SITEPROP RAMB18_X1Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y32 IS_RESERVED 0 SITEPROP RAMB18_X1Y32 IS_TEST 0 SITEPROP RAMB18_X1Y32 IS_USED 0 SITEPROP RAMB18_X1Y32 MANUAL_ROUTING SITEPROP RAMB18_X1Y32 NAME RAMB18_X1Y32 SITEPROP RAMB18_X1Y32 NUM_ARCS 14 SITEPROP RAMB18_X1Y32 NUM_BELS 1 SITEPROP RAMB18_X1Y32 NUM_INPUTS 92 SITEPROP RAMB18_X1Y32 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y32 NUM_PINS 158 SITEPROP RAMB18_X1Y32 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y32 PROHIBIT 0 SITEPROP RAMB18_X1Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y32 RPM_X 126 SITEPROP RAMB18_X1Y32 RPM_Y 160 SITEPROP RAMB18_X1Y32 SITE_PIPS SITEPROP RAMB18_X1Y32 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y33 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y33 CLASS site SITEPROP RAMB18_X1Y33 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y33 IS_BONDED 0 SITEPROP RAMB18_X1Y33 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y33 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y33 IS_PAD 0 SITEPROP RAMB18_X1Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y33 IS_RESERVED 0 SITEPROP RAMB18_X1Y33 IS_TEST 0 SITEPROP RAMB18_X1Y33 IS_USED 0 SITEPROP RAMB18_X1Y33 MANUAL_ROUTING SITEPROP RAMB18_X1Y33 NAME RAMB18_X1Y33 SITEPROP RAMB18_X1Y33 NUM_ARCS 20 SITEPROP RAMB18_X1Y33 NUM_BELS 1 SITEPROP RAMB18_X1Y33 NUM_INPUTS 92 SITEPROP RAMB18_X1Y33 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y33 NUM_PINS 158 SITEPROP RAMB18_X1Y33 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y33 PROHIBIT 0 SITEPROP RAMB18_X1Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y33 RPM_X 126 SITEPROP RAMB18_X1Y33 RPM_Y 161 SITEPROP RAMB18_X1Y33 SITE_PIPS SITEPROP RAMB18_X1Y33 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y34 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y34 CLASS site SITEPROP RAMB18_X1Y34 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y34 IS_BONDED 0 SITEPROP RAMB18_X1Y34 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y34 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y34 IS_PAD 0 SITEPROP RAMB18_X1Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y34 IS_RESERVED 0 SITEPROP RAMB18_X1Y34 IS_TEST 0 SITEPROP RAMB18_X1Y34 IS_USED 0 SITEPROP RAMB18_X1Y34 MANUAL_ROUTING SITEPROP RAMB18_X1Y34 NAME RAMB18_X1Y34 SITEPROP RAMB18_X1Y34 NUM_ARCS 14 SITEPROP RAMB18_X1Y34 NUM_BELS 1 SITEPROP RAMB18_X1Y34 NUM_INPUTS 92 SITEPROP RAMB18_X1Y34 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y34 NUM_PINS 158 SITEPROP RAMB18_X1Y34 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y34 PROHIBIT 0 SITEPROP RAMB18_X1Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y34 RPM_X 126 SITEPROP RAMB18_X1Y34 RPM_Y 170 SITEPROP RAMB18_X1Y34 SITE_PIPS SITEPROP RAMB18_X1Y34 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y35 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y35 CLASS site SITEPROP RAMB18_X1Y35 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y35 IS_BONDED 0 SITEPROP RAMB18_X1Y35 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y35 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y35 IS_PAD 0 SITEPROP RAMB18_X1Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y35 IS_RESERVED 0 SITEPROP RAMB18_X1Y35 IS_TEST 0 SITEPROP RAMB18_X1Y35 IS_USED 0 SITEPROP RAMB18_X1Y35 MANUAL_ROUTING SITEPROP RAMB18_X1Y35 NAME RAMB18_X1Y35 SITEPROP RAMB18_X1Y35 NUM_ARCS 20 SITEPROP RAMB18_X1Y35 NUM_BELS 1 SITEPROP RAMB18_X1Y35 NUM_INPUTS 92 SITEPROP RAMB18_X1Y35 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y35 NUM_PINS 158 SITEPROP RAMB18_X1Y35 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y35 PROHIBIT 0 SITEPROP RAMB18_X1Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y35 RPM_X 126 SITEPROP RAMB18_X1Y35 RPM_Y 171 SITEPROP RAMB18_X1Y35 SITE_PIPS SITEPROP RAMB18_X1Y35 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y36 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y36 CLASS site SITEPROP RAMB18_X1Y36 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y36 IS_BONDED 0 SITEPROP RAMB18_X1Y36 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y36 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y36 IS_PAD 0 SITEPROP RAMB18_X1Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y36 IS_RESERVED 0 SITEPROP RAMB18_X1Y36 IS_TEST 0 SITEPROP RAMB18_X1Y36 IS_USED 0 SITEPROP RAMB18_X1Y36 MANUAL_ROUTING SITEPROP RAMB18_X1Y36 NAME RAMB18_X1Y36 SITEPROP RAMB18_X1Y36 NUM_ARCS 14 SITEPROP RAMB18_X1Y36 NUM_BELS 1 SITEPROP RAMB18_X1Y36 NUM_INPUTS 92 SITEPROP RAMB18_X1Y36 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y36 NUM_PINS 158 SITEPROP RAMB18_X1Y36 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y36 PROHIBIT 0 SITEPROP RAMB18_X1Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y36 RPM_X 126 SITEPROP RAMB18_X1Y36 RPM_Y 180 SITEPROP RAMB18_X1Y36 SITE_PIPS SITEPROP RAMB18_X1Y36 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y37 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y37 CLASS site SITEPROP RAMB18_X1Y37 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y37 IS_BONDED 0 SITEPROP RAMB18_X1Y37 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y37 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y37 IS_PAD 0 SITEPROP RAMB18_X1Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y37 IS_RESERVED 0 SITEPROP RAMB18_X1Y37 IS_TEST 0 SITEPROP RAMB18_X1Y37 IS_USED 0 SITEPROP RAMB18_X1Y37 MANUAL_ROUTING SITEPROP RAMB18_X1Y37 NAME RAMB18_X1Y37 SITEPROP RAMB18_X1Y37 NUM_ARCS 20 SITEPROP RAMB18_X1Y37 NUM_BELS 1 SITEPROP RAMB18_X1Y37 NUM_INPUTS 92 SITEPROP RAMB18_X1Y37 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y37 NUM_PINS 158 SITEPROP RAMB18_X1Y37 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y37 PROHIBIT 0 SITEPROP RAMB18_X1Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y37 RPM_X 126 SITEPROP RAMB18_X1Y37 RPM_Y 181 SITEPROP RAMB18_X1Y37 SITE_PIPS SITEPROP RAMB18_X1Y37 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y38 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y38 CLASS site SITEPROP RAMB18_X1Y38 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y38 IS_BONDED 0 SITEPROP RAMB18_X1Y38 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y38 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y38 IS_PAD 0 SITEPROP RAMB18_X1Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y38 IS_RESERVED 0 SITEPROP RAMB18_X1Y38 IS_TEST 0 SITEPROP RAMB18_X1Y38 IS_USED 0 SITEPROP RAMB18_X1Y38 MANUAL_ROUTING SITEPROP RAMB18_X1Y38 NAME RAMB18_X1Y38 SITEPROP RAMB18_X1Y38 NUM_ARCS 14 SITEPROP RAMB18_X1Y38 NUM_BELS 1 SITEPROP RAMB18_X1Y38 NUM_INPUTS 92 SITEPROP RAMB18_X1Y38 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y38 NUM_PINS 158 SITEPROP RAMB18_X1Y38 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y38 PROHIBIT 0 SITEPROP RAMB18_X1Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y38 RPM_X 126 SITEPROP RAMB18_X1Y38 RPM_Y 190 SITEPROP RAMB18_X1Y38 SITE_PIPS SITEPROP RAMB18_X1Y38 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y39 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y39 CLASS site SITEPROP RAMB18_X1Y39 CLOCK_REGION X1Y1 SITEPROP RAMB18_X1Y39 IS_BONDED 0 SITEPROP RAMB18_X1Y39 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y39 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y39 IS_PAD 0 SITEPROP RAMB18_X1Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y39 IS_RESERVED 0 SITEPROP RAMB18_X1Y39 IS_TEST 0 SITEPROP RAMB18_X1Y39 IS_USED 0 SITEPROP RAMB18_X1Y39 MANUAL_ROUTING SITEPROP RAMB18_X1Y39 NAME RAMB18_X1Y39 SITEPROP RAMB18_X1Y39 NUM_ARCS 20 SITEPROP RAMB18_X1Y39 NUM_BELS 1 SITEPROP RAMB18_X1Y39 NUM_INPUTS 92 SITEPROP RAMB18_X1Y39 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y39 NUM_PINS 158 SITEPROP RAMB18_X1Y39 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y39 PROHIBIT 0 SITEPROP RAMB18_X1Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y39 RPM_X 126 SITEPROP RAMB18_X1Y39 RPM_Y 191 SITEPROP RAMB18_X1Y39 SITE_PIPS SITEPROP RAMB18_X1Y39 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y50 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y50 CLASS site SITEPROP RAMB18_X1Y50 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y50 IS_BONDED 0 SITEPROP RAMB18_X1Y50 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y50 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y50 IS_PAD 0 SITEPROP RAMB18_X1Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y50 IS_RESERVED 0 SITEPROP RAMB18_X1Y50 IS_TEST 0 SITEPROP RAMB18_X1Y50 IS_USED 0 SITEPROP RAMB18_X1Y50 MANUAL_ROUTING SITEPROP RAMB18_X1Y50 NAME RAMB18_X1Y50 SITEPROP RAMB18_X1Y50 NUM_ARCS 14 SITEPROP RAMB18_X1Y50 NUM_BELS 1 SITEPROP RAMB18_X1Y50 NUM_INPUTS 92 SITEPROP RAMB18_X1Y50 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y50 NUM_PINS 158 SITEPROP RAMB18_X1Y50 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y50 PROHIBIT 0 SITEPROP RAMB18_X1Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y50 RPM_X 126 SITEPROP RAMB18_X1Y50 RPM_Y 250 SITEPROP RAMB18_X1Y50 SITE_PIPS SITEPROP RAMB18_X1Y50 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y51 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y51 CLASS site SITEPROP RAMB18_X1Y51 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y51 IS_BONDED 0 SITEPROP RAMB18_X1Y51 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y51 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y51 IS_PAD 0 SITEPROP RAMB18_X1Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y51 IS_RESERVED 0 SITEPROP RAMB18_X1Y51 IS_TEST 0 SITEPROP RAMB18_X1Y51 IS_USED 0 SITEPROP RAMB18_X1Y51 MANUAL_ROUTING SITEPROP RAMB18_X1Y51 NAME RAMB18_X1Y51 SITEPROP RAMB18_X1Y51 NUM_ARCS 20 SITEPROP RAMB18_X1Y51 NUM_BELS 1 SITEPROP RAMB18_X1Y51 NUM_INPUTS 92 SITEPROP RAMB18_X1Y51 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y51 NUM_PINS 158 SITEPROP RAMB18_X1Y51 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y51 PROHIBIT 0 SITEPROP RAMB18_X1Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y51 RPM_X 126 SITEPROP RAMB18_X1Y51 RPM_Y 251 SITEPROP RAMB18_X1Y51 SITE_PIPS SITEPROP RAMB18_X1Y51 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y52 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y52 CLASS site SITEPROP RAMB18_X1Y52 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y52 IS_BONDED 0 SITEPROP RAMB18_X1Y52 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y52 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y52 IS_PAD 0 SITEPROP RAMB18_X1Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y52 IS_RESERVED 0 SITEPROP RAMB18_X1Y52 IS_TEST 0 SITEPROP RAMB18_X1Y52 IS_USED 0 SITEPROP RAMB18_X1Y52 MANUAL_ROUTING SITEPROP RAMB18_X1Y52 NAME RAMB18_X1Y52 SITEPROP RAMB18_X1Y52 NUM_ARCS 14 SITEPROP RAMB18_X1Y52 NUM_BELS 1 SITEPROP RAMB18_X1Y52 NUM_INPUTS 92 SITEPROP RAMB18_X1Y52 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y52 NUM_PINS 158 SITEPROP RAMB18_X1Y52 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y52 PROHIBIT 0 SITEPROP RAMB18_X1Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y52 RPM_X 126 SITEPROP RAMB18_X1Y52 RPM_Y 260 SITEPROP RAMB18_X1Y52 SITE_PIPS SITEPROP RAMB18_X1Y52 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y53 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y53 CLASS site SITEPROP RAMB18_X1Y53 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y53 IS_BONDED 0 SITEPROP RAMB18_X1Y53 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y53 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y53 IS_PAD 0 SITEPROP RAMB18_X1Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y53 IS_RESERVED 0 SITEPROP RAMB18_X1Y53 IS_TEST 0 SITEPROP RAMB18_X1Y53 IS_USED 0 SITEPROP RAMB18_X1Y53 MANUAL_ROUTING SITEPROP RAMB18_X1Y53 NAME RAMB18_X1Y53 SITEPROP RAMB18_X1Y53 NUM_ARCS 20 SITEPROP RAMB18_X1Y53 NUM_BELS 1 SITEPROP RAMB18_X1Y53 NUM_INPUTS 92 SITEPROP RAMB18_X1Y53 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y53 NUM_PINS 158 SITEPROP RAMB18_X1Y53 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y53 PROHIBIT 0 SITEPROP RAMB18_X1Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y53 RPM_X 126 SITEPROP RAMB18_X1Y53 RPM_Y 261 SITEPROP RAMB18_X1Y53 SITE_PIPS SITEPROP RAMB18_X1Y53 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y54 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y54 CLASS site SITEPROP RAMB18_X1Y54 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y54 IS_BONDED 0 SITEPROP RAMB18_X1Y54 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y54 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y54 IS_PAD 0 SITEPROP RAMB18_X1Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y54 IS_RESERVED 0 SITEPROP RAMB18_X1Y54 IS_TEST 0 SITEPROP RAMB18_X1Y54 IS_USED 0 SITEPROP RAMB18_X1Y54 MANUAL_ROUTING SITEPROP RAMB18_X1Y54 NAME RAMB18_X1Y54 SITEPROP RAMB18_X1Y54 NUM_ARCS 14 SITEPROP RAMB18_X1Y54 NUM_BELS 1 SITEPROP RAMB18_X1Y54 NUM_INPUTS 92 SITEPROP RAMB18_X1Y54 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y54 NUM_PINS 158 SITEPROP RAMB18_X1Y54 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y54 PROHIBIT 0 SITEPROP RAMB18_X1Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y54 RPM_X 126 SITEPROP RAMB18_X1Y54 RPM_Y 270 SITEPROP RAMB18_X1Y54 SITE_PIPS SITEPROP RAMB18_X1Y54 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y55 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y55 CLASS site SITEPROP RAMB18_X1Y55 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y55 IS_BONDED 0 SITEPROP RAMB18_X1Y55 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y55 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y55 IS_PAD 0 SITEPROP RAMB18_X1Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y55 IS_RESERVED 0 SITEPROP RAMB18_X1Y55 IS_TEST 0 SITEPROP RAMB18_X1Y55 IS_USED 0 SITEPROP RAMB18_X1Y55 MANUAL_ROUTING SITEPROP RAMB18_X1Y55 NAME RAMB18_X1Y55 SITEPROP RAMB18_X1Y55 NUM_ARCS 20 SITEPROP RAMB18_X1Y55 NUM_BELS 1 SITEPROP RAMB18_X1Y55 NUM_INPUTS 92 SITEPROP RAMB18_X1Y55 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y55 NUM_PINS 158 SITEPROP RAMB18_X1Y55 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y55 PROHIBIT 0 SITEPROP RAMB18_X1Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y55 RPM_X 126 SITEPROP RAMB18_X1Y55 RPM_Y 271 SITEPROP RAMB18_X1Y55 SITE_PIPS SITEPROP RAMB18_X1Y55 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y56 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y56 CLASS site SITEPROP RAMB18_X1Y56 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y56 IS_BONDED 0 SITEPROP RAMB18_X1Y56 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y56 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y56 IS_PAD 0 SITEPROP RAMB18_X1Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y56 IS_RESERVED 0 SITEPROP RAMB18_X1Y56 IS_TEST 0 SITEPROP RAMB18_X1Y56 IS_USED 0 SITEPROP RAMB18_X1Y56 MANUAL_ROUTING SITEPROP RAMB18_X1Y56 NAME RAMB18_X1Y56 SITEPROP RAMB18_X1Y56 NUM_ARCS 14 SITEPROP RAMB18_X1Y56 NUM_BELS 1 SITEPROP RAMB18_X1Y56 NUM_INPUTS 92 SITEPROP RAMB18_X1Y56 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y56 NUM_PINS 158 SITEPROP RAMB18_X1Y56 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y56 PROHIBIT 0 SITEPROP RAMB18_X1Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y56 RPM_X 126 SITEPROP RAMB18_X1Y56 RPM_Y 280 SITEPROP RAMB18_X1Y56 SITE_PIPS SITEPROP RAMB18_X1Y56 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y57 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y57 CLASS site SITEPROP RAMB18_X1Y57 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y57 IS_BONDED 0 SITEPROP RAMB18_X1Y57 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y57 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y57 IS_PAD 0 SITEPROP RAMB18_X1Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y57 IS_RESERVED 0 SITEPROP RAMB18_X1Y57 IS_TEST 0 SITEPROP RAMB18_X1Y57 IS_USED 0 SITEPROP RAMB18_X1Y57 MANUAL_ROUTING SITEPROP RAMB18_X1Y57 NAME RAMB18_X1Y57 SITEPROP RAMB18_X1Y57 NUM_ARCS 20 SITEPROP RAMB18_X1Y57 NUM_BELS 1 SITEPROP RAMB18_X1Y57 NUM_INPUTS 92 SITEPROP RAMB18_X1Y57 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y57 NUM_PINS 158 SITEPROP RAMB18_X1Y57 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y57 PROHIBIT 0 SITEPROP RAMB18_X1Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y57 RPM_X 126 SITEPROP RAMB18_X1Y57 RPM_Y 281 SITEPROP RAMB18_X1Y57 SITE_PIPS SITEPROP RAMB18_X1Y57 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X1Y58 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X1Y58 CLASS site SITEPROP RAMB18_X1Y58 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y58 IS_BONDED 0 SITEPROP RAMB18_X1Y58 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y58 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y58 IS_PAD 0 SITEPROP RAMB18_X1Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y58 IS_RESERVED 0 SITEPROP RAMB18_X1Y58 IS_TEST 0 SITEPROP RAMB18_X1Y58 IS_USED 0 SITEPROP RAMB18_X1Y58 MANUAL_ROUTING SITEPROP RAMB18_X1Y58 NAME RAMB18_X1Y58 SITEPROP RAMB18_X1Y58 NUM_ARCS 14 SITEPROP RAMB18_X1Y58 NUM_BELS 1 SITEPROP RAMB18_X1Y58 NUM_INPUTS 92 SITEPROP RAMB18_X1Y58 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y58 NUM_PINS 158 SITEPROP RAMB18_X1Y58 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y58 PROHIBIT 0 SITEPROP RAMB18_X1Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y58 RPM_X 126 SITEPROP RAMB18_X1Y58 RPM_Y 290 SITEPROP RAMB18_X1Y58 SITE_PIPS SITEPROP RAMB18_X1Y58 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X1Y59 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X1Y59 CLASS site SITEPROP RAMB18_X1Y59 CLOCK_REGION X1Y2 SITEPROP RAMB18_X1Y59 IS_BONDED 0 SITEPROP RAMB18_X1Y59 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y59 IS_CLOCK_PAD 0 SITEPROP RAMB18_X1Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y59 IS_PAD 0 SITEPROP RAMB18_X1Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X1Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X1Y59 IS_RESERVED 0 SITEPROP RAMB18_X1Y59 IS_TEST 0 SITEPROP RAMB18_X1Y59 IS_USED 0 SITEPROP RAMB18_X1Y59 MANUAL_ROUTING SITEPROP RAMB18_X1Y59 NAME RAMB18_X1Y59 SITEPROP RAMB18_X1Y59 NUM_ARCS 20 SITEPROP RAMB18_X1Y59 NUM_BELS 1 SITEPROP RAMB18_X1Y59 NUM_INPUTS 92 SITEPROP RAMB18_X1Y59 NUM_OUTPUTS 66 SITEPROP RAMB18_X1Y59 NUM_PINS 158 SITEPROP RAMB18_X1Y59 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X1Y59 PROHIBIT 0 SITEPROP RAMB18_X1Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X1Y59 RPM_X 126 SITEPROP RAMB18_X1Y59 RPM_Y 291 SITEPROP RAMB18_X1Y59 SITE_PIPS SITEPROP RAMB18_X1Y59 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y0 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y0 CLASS site SITEPROP RAMB18_X2Y0 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y0 IS_BONDED 0 SITEPROP RAMB18_X2Y0 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y0 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y0 IS_PAD 0 SITEPROP RAMB18_X2Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y0 IS_RESERVED 0 SITEPROP RAMB18_X2Y0 IS_TEST 0 SITEPROP RAMB18_X2Y0 IS_USED 0 SITEPROP RAMB18_X2Y0 MANUAL_ROUTING SITEPROP RAMB18_X2Y0 NAME RAMB18_X2Y0 SITEPROP RAMB18_X2Y0 NUM_ARCS 14 SITEPROP RAMB18_X2Y0 NUM_BELS 1 SITEPROP RAMB18_X2Y0 NUM_INPUTS 92 SITEPROP RAMB18_X2Y0 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y0 NUM_PINS 158 SITEPROP RAMB18_X2Y0 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y0 PROHIBIT 0 SITEPROP RAMB18_X2Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y0 RPM_X 154 SITEPROP RAMB18_X2Y0 RPM_Y 0 SITEPROP RAMB18_X2Y0 SITE_PIPS SITEPROP RAMB18_X2Y0 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y1 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y1 CLASS site SITEPROP RAMB18_X2Y1 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y1 IS_BONDED 0 SITEPROP RAMB18_X2Y1 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y1 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y1 IS_PAD 0 SITEPROP RAMB18_X2Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y1 IS_RESERVED 0 SITEPROP RAMB18_X2Y1 IS_TEST 0 SITEPROP RAMB18_X2Y1 IS_USED 0 SITEPROP RAMB18_X2Y1 MANUAL_ROUTING SITEPROP RAMB18_X2Y1 NAME RAMB18_X2Y1 SITEPROP RAMB18_X2Y1 NUM_ARCS 20 SITEPROP RAMB18_X2Y1 NUM_BELS 1 SITEPROP RAMB18_X2Y1 NUM_INPUTS 92 SITEPROP RAMB18_X2Y1 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y1 NUM_PINS 158 SITEPROP RAMB18_X2Y1 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y1 PROHIBIT 0 SITEPROP RAMB18_X2Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y1 RPM_X 154 SITEPROP RAMB18_X2Y1 RPM_Y 1 SITEPROP RAMB18_X2Y1 SITE_PIPS SITEPROP RAMB18_X2Y1 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y2 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y2 CLASS site SITEPROP RAMB18_X2Y2 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y2 IS_BONDED 0 SITEPROP RAMB18_X2Y2 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y2 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y2 IS_PAD 0 SITEPROP RAMB18_X2Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y2 IS_RESERVED 0 SITEPROP RAMB18_X2Y2 IS_TEST 0 SITEPROP RAMB18_X2Y2 IS_USED 0 SITEPROP RAMB18_X2Y2 MANUAL_ROUTING SITEPROP RAMB18_X2Y2 NAME RAMB18_X2Y2 SITEPROP RAMB18_X2Y2 NUM_ARCS 14 SITEPROP RAMB18_X2Y2 NUM_BELS 1 SITEPROP RAMB18_X2Y2 NUM_INPUTS 92 SITEPROP RAMB18_X2Y2 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y2 NUM_PINS 158 SITEPROP RAMB18_X2Y2 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y2 PROHIBIT 0 SITEPROP RAMB18_X2Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y2 RPM_X 154 SITEPROP RAMB18_X2Y2 RPM_Y 10 SITEPROP RAMB18_X2Y2 SITE_PIPS SITEPROP RAMB18_X2Y2 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y3 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y3 CLASS site SITEPROP RAMB18_X2Y3 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y3 IS_BONDED 0 SITEPROP RAMB18_X2Y3 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y3 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y3 IS_PAD 0 SITEPROP RAMB18_X2Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y3 IS_RESERVED 0 SITEPROP RAMB18_X2Y3 IS_TEST 0 SITEPROP RAMB18_X2Y3 IS_USED 0 SITEPROP RAMB18_X2Y3 MANUAL_ROUTING SITEPROP RAMB18_X2Y3 NAME RAMB18_X2Y3 SITEPROP RAMB18_X2Y3 NUM_ARCS 20 SITEPROP RAMB18_X2Y3 NUM_BELS 1 SITEPROP RAMB18_X2Y3 NUM_INPUTS 92 SITEPROP RAMB18_X2Y3 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y3 NUM_PINS 158 SITEPROP RAMB18_X2Y3 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y3 PROHIBIT 0 SITEPROP RAMB18_X2Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y3 RPM_X 154 SITEPROP RAMB18_X2Y3 RPM_Y 11 SITEPROP RAMB18_X2Y3 SITE_PIPS SITEPROP RAMB18_X2Y3 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y4 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y4 CLASS site SITEPROP RAMB18_X2Y4 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y4 IS_BONDED 0 SITEPROP RAMB18_X2Y4 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y4 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y4 IS_PAD 0 SITEPROP RAMB18_X2Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y4 IS_RESERVED 0 SITEPROP RAMB18_X2Y4 IS_TEST 0 SITEPROP RAMB18_X2Y4 IS_USED 0 SITEPROP RAMB18_X2Y4 MANUAL_ROUTING SITEPROP RAMB18_X2Y4 NAME RAMB18_X2Y4 SITEPROP RAMB18_X2Y4 NUM_ARCS 14 SITEPROP RAMB18_X2Y4 NUM_BELS 1 SITEPROP RAMB18_X2Y4 NUM_INPUTS 92 SITEPROP RAMB18_X2Y4 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y4 NUM_PINS 158 SITEPROP RAMB18_X2Y4 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y4 PROHIBIT 0 SITEPROP RAMB18_X2Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y4 RPM_X 154 SITEPROP RAMB18_X2Y4 RPM_Y 20 SITEPROP RAMB18_X2Y4 SITE_PIPS SITEPROP RAMB18_X2Y4 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y5 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y5 CLASS site SITEPROP RAMB18_X2Y5 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y5 IS_BONDED 0 SITEPROP RAMB18_X2Y5 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y5 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y5 IS_PAD 0 SITEPROP RAMB18_X2Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y5 IS_RESERVED 0 SITEPROP RAMB18_X2Y5 IS_TEST 0 SITEPROP RAMB18_X2Y5 IS_USED 0 SITEPROP RAMB18_X2Y5 MANUAL_ROUTING SITEPROP RAMB18_X2Y5 NAME RAMB18_X2Y5 SITEPROP RAMB18_X2Y5 NUM_ARCS 20 SITEPROP RAMB18_X2Y5 NUM_BELS 1 SITEPROP RAMB18_X2Y5 NUM_INPUTS 92 SITEPROP RAMB18_X2Y5 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y5 NUM_PINS 158 SITEPROP RAMB18_X2Y5 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y5 PROHIBIT 0 SITEPROP RAMB18_X2Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y5 RPM_X 154 SITEPROP RAMB18_X2Y5 RPM_Y 21 SITEPROP RAMB18_X2Y5 SITE_PIPS SITEPROP RAMB18_X2Y5 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y6 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y6 CLASS site SITEPROP RAMB18_X2Y6 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y6 IS_BONDED 0 SITEPROP RAMB18_X2Y6 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y6 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y6 IS_PAD 0 SITEPROP RAMB18_X2Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y6 IS_RESERVED 0 SITEPROP RAMB18_X2Y6 IS_TEST 0 SITEPROP RAMB18_X2Y6 IS_USED 0 SITEPROP RAMB18_X2Y6 MANUAL_ROUTING SITEPROP RAMB18_X2Y6 NAME RAMB18_X2Y6 SITEPROP RAMB18_X2Y6 NUM_ARCS 14 SITEPROP RAMB18_X2Y6 NUM_BELS 1 SITEPROP RAMB18_X2Y6 NUM_INPUTS 92 SITEPROP RAMB18_X2Y6 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y6 NUM_PINS 158 SITEPROP RAMB18_X2Y6 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y6 PROHIBIT 0 SITEPROP RAMB18_X2Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y6 RPM_X 154 SITEPROP RAMB18_X2Y6 RPM_Y 30 SITEPROP RAMB18_X2Y6 SITE_PIPS SITEPROP RAMB18_X2Y6 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y7 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y7 CLASS site SITEPROP RAMB18_X2Y7 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y7 IS_BONDED 0 SITEPROP RAMB18_X2Y7 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y7 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y7 IS_PAD 0 SITEPROP RAMB18_X2Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y7 IS_RESERVED 0 SITEPROP RAMB18_X2Y7 IS_TEST 0 SITEPROP RAMB18_X2Y7 IS_USED 0 SITEPROP RAMB18_X2Y7 MANUAL_ROUTING SITEPROP RAMB18_X2Y7 NAME RAMB18_X2Y7 SITEPROP RAMB18_X2Y7 NUM_ARCS 20 SITEPROP RAMB18_X2Y7 NUM_BELS 1 SITEPROP RAMB18_X2Y7 NUM_INPUTS 92 SITEPROP RAMB18_X2Y7 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y7 NUM_PINS 158 SITEPROP RAMB18_X2Y7 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y7 PROHIBIT 0 SITEPROP RAMB18_X2Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y7 RPM_X 154 SITEPROP RAMB18_X2Y7 RPM_Y 31 SITEPROP RAMB18_X2Y7 SITE_PIPS SITEPROP RAMB18_X2Y7 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y8 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y8 CLASS site SITEPROP RAMB18_X2Y8 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y8 IS_BONDED 0 SITEPROP RAMB18_X2Y8 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y8 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y8 IS_PAD 0 SITEPROP RAMB18_X2Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y8 IS_RESERVED 0 SITEPROP RAMB18_X2Y8 IS_TEST 0 SITEPROP RAMB18_X2Y8 IS_USED 0 SITEPROP RAMB18_X2Y8 MANUAL_ROUTING SITEPROP RAMB18_X2Y8 NAME RAMB18_X2Y8 SITEPROP RAMB18_X2Y8 NUM_ARCS 14 SITEPROP RAMB18_X2Y8 NUM_BELS 1 SITEPROP RAMB18_X2Y8 NUM_INPUTS 92 SITEPROP RAMB18_X2Y8 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y8 NUM_PINS 158 SITEPROP RAMB18_X2Y8 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y8 PROHIBIT 0 SITEPROP RAMB18_X2Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y8 RPM_X 154 SITEPROP RAMB18_X2Y8 RPM_Y 40 SITEPROP RAMB18_X2Y8 SITE_PIPS SITEPROP RAMB18_X2Y8 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y9 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y9 CLASS site SITEPROP RAMB18_X2Y9 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y9 IS_BONDED 0 SITEPROP RAMB18_X2Y9 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y9 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y9 IS_PAD 0 SITEPROP RAMB18_X2Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y9 IS_RESERVED 0 SITEPROP RAMB18_X2Y9 IS_TEST 0 SITEPROP RAMB18_X2Y9 IS_USED 0 SITEPROP RAMB18_X2Y9 MANUAL_ROUTING SITEPROP RAMB18_X2Y9 NAME RAMB18_X2Y9 SITEPROP RAMB18_X2Y9 NUM_ARCS 20 SITEPROP RAMB18_X2Y9 NUM_BELS 1 SITEPROP RAMB18_X2Y9 NUM_INPUTS 92 SITEPROP RAMB18_X2Y9 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y9 NUM_PINS 158 SITEPROP RAMB18_X2Y9 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y9 PROHIBIT 0 SITEPROP RAMB18_X2Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y9 RPM_X 154 SITEPROP RAMB18_X2Y9 RPM_Y 41 SITEPROP RAMB18_X2Y9 SITE_PIPS SITEPROP RAMB18_X2Y9 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y10 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y10 CLASS site SITEPROP RAMB18_X2Y10 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y10 IS_BONDED 0 SITEPROP RAMB18_X2Y10 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y10 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y10 IS_PAD 0 SITEPROP RAMB18_X2Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y10 IS_RESERVED 0 SITEPROP RAMB18_X2Y10 IS_TEST 0 SITEPROP RAMB18_X2Y10 IS_USED 0 SITEPROP RAMB18_X2Y10 MANUAL_ROUTING SITEPROP RAMB18_X2Y10 NAME RAMB18_X2Y10 SITEPROP RAMB18_X2Y10 NUM_ARCS 14 SITEPROP RAMB18_X2Y10 NUM_BELS 1 SITEPROP RAMB18_X2Y10 NUM_INPUTS 92 SITEPROP RAMB18_X2Y10 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y10 NUM_PINS 158 SITEPROP RAMB18_X2Y10 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y10 PROHIBIT 0 SITEPROP RAMB18_X2Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y10 RPM_X 154 SITEPROP RAMB18_X2Y10 RPM_Y 50 SITEPROP RAMB18_X2Y10 SITE_PIPS SITEPROP RAMB18_X2Y10 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y11 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y11 CLASS site SITEPROP RAMB18_X2Y11 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y11 IS_BONDED 0 SITEPROP RAMB18_X2Y11 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y11 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y11 IS_PAD 0 SITEPROP RAMB18_X2Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y11 IS_RESERVED 0 SITEPROP RAMB18_X2Y11 IS_TEST 0 SITEPROP RAMB18_X2Y11 IS_USED 0 SITEPROP RAMB18_X2Y11 MANUAL_ROUTING SITEPROP RAMB18_X2Y11 NAME RAMB18_X2Y11 SITEPROP RAMB18_X2Y11 NUM_ARCS 20 SITEPROP RAMB18_X2Y11 NUM_BELS 1 SITEPROP RAMB18_X2Y11 NUM_INPUTS 92 SITEPROP RAMB18_X2Y11 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y11 NUM_PINS 158 SITEPROP RAMB18_X2Y11 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y11 PROHIBIT 0 SITEPROP RAMB18_X2Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y11 RPM_X 154 SITEPROP RAMB18_X2Y11 RPM_Y 51 SITEPROP RAMB18_X2Y11 SITE_PIPS SITEPROP RAMB18_X2Y11 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y12 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y12 CLASS site SITEPROP RAMB18_X2Y12 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y12 IS_BONDED 0 SITEPROP RAMB18_X2Y12 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y12 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y12 IS_PAD 0 SITEPROP RAMB18_X2Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y12 IS_RESERVED 0 SITEPROP RAMB18_X2Y12 IS_TEST 0 SITEPROP RAMB18_X2Y12 IS_USED 0 SITEPROP RAMB18_X2Y12 MANUAL_ROUTING SITEPROP RAMB18_X2Y12 NAME RAMB18_X2Y12 SITEPROP RAMB18_X2Y12 NUM_ARCS 14 SITEPROP RAMB18_X2Y12 NUM_BELS 1 SITEPROP RAMB18_X2Y12 NUM_INPUTS 92 SITEPROP RAMB18_X2Y12 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y12 NUM_PINS 158 SITEPROP RAMB18_X2Y12 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y12 PROHIBIT 0 SITEPROP RAMB18_X2Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y12 RPM_X 154 SITEPROP RAMB18_X2Y12 RPM_Y 60 SITEPROP RAMB18_X2Y12 SITE_PIPS SITEPROP RAMB18_X2Y12 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y13 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y13 CLASS site SITEPROP RAMB18_X2Y13 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y13 IS_BONDED 0 SITEPROP RAMB18_X2Y13 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y13 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y13 IS_PAD 0 SITEPROP RAMB18_X2Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y13 IS_RESERVED 0 SITEPROP RAMB18_X2Y13 IS_TEST 0 SITEPROP RAMB18_X2Y13 IS_USED 0 SITEPROP RAMB18_X2Y13 MANUAL_ROUTING SITEPROP RAMB18_X2Y13 NAME RAMB18_X2Y13 SITEPROP RAMB18_X2Y13 NUM_ARCS 20 SITEPROP RAMB18_X2Y13 NUM_BELS 1 SITEPROP RAMB18_X2Y13 NUM_INPUTS 92 SITEPROP RAMB18_X2Y13 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y13 NUM_PINS 158 SITEPROP RAMB18_X2Y13 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y13 PROHIBIT 0 SITEPROP RAMB18_X2Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y13 RPM_X 154 SITEPROP RAMB18_X2Y13 RPM_Y 61 SITEPROP RAMB18_X2Y13 SITE_PIPS SITEPROP RAMB18_X2Y13 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y14 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y14 CLASS site SITEPROP RAMB18_X2Y14 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y14 IS_BONDED 0 SITEPROP RAMB18_X2Y14 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y14 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y14 IS_PAD 0 SITEPROP RAMB18_X2Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y14 IS_RESERVED 0 SITEPROP RAMB18_X2Y14 IS_TEST 0 SITEPROP RAMB18_X2Y14 IS_USED 0 SITEPROP RAMB18_X2Y14 MANUAL_ROUTING SITEPROP RAMB18_X2Y14 NAME RAMB18_X2Y14 SITEPROP RAMB18_X2Y14 NUM_ARCS 14 SITEPROP RAMB18_X2Y14 NUM_BELS 1 SITEPROP RAMB18_X2Y14 NUM_INPUTS 92 SITEPROP RAMB18_X2Y14 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y14 NUM_PINS 158 SITEPROP RAMB18_X2Y14 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y14 PROHIBIT 0 SITEPROP RAMB18_X2Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y14 RPM_X 154 SITEPROP RAMB18_X2Y14 RPM_Y 70 SITEPROP RAMB18_X2Y14 SITE_PIPS SITEPROP RAMB18_X2Y14 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y15 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y15 CLASS site SITEPROP RAMB18_X2Y15 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y15 IS_BONDED 0 SITEPROP RAMB18_X2Y15 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y15 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y15 IS_PAD 0 SITEPROP RAMB18_X2Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y15 IS_RESERVED 0 SITEPROP RAMB18_X2Y15 IS_TEST 0 SITEPROP RAMB18_X2Y15 IS_USED 0 SITEPROP RAMB18_X2Y15 MANUAL_ROUTING SITEPROP RAMB18_X2Y15 NAME RAMB18_X2Y15 SITEPROP RAMB18_X2Y15 NUM_ARCS 20 SITEPROP RAMB18_X2Y15 NUM_BELS 1 SITEPROP RAMB18_X2Y15 NUM_INPUTS 92 SITEPROP RAMB18_X2Y15 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y15 NUM_PINS 158 SITEPROP RAMB18_X2Y15 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y15 PROHIBIT 0 SITEPROP RAMB18_X2Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y15 RPM_X 154 SITEPROP RAMB18_X2Y15 RPM_Y 71 SITEPROP RAMB18_X2Y15 SITE_PIPS SITEPROP RAMB18_X2Y15 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y16 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y16 CLASS site SITEPROP RAMB18_X2Y16 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y16 IS_BONDED 0 SITEPROP RAMB18_X2Y16 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y16 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y16 IS_PAD 0 SITEPROP RAMB18_X2Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y16 IS_RESERVED 0 SITEPROP RAMB18_X2Y16 IS_TEST 0 SITEPROP RAMB18_X2Y16 IS_USED 0 SITEPROP RAMB18_X2Y16 MANUAL_ROUTING SITEPROP RAMB18_X2Y16 NAME RAMB18_X2Y16 SITEPROP RAMB18_X2Y16 NUM_ARCS 14 SITEPROP RAMB18_X2Y16 NUM_BELS 1 SITEPROP RAMB18_X2Y16 NUM_INPUTS 92 SITEPROP RAMB18_X2Y16 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y16 NUM_PINS 158 SITEPROP RAMB18_X2Y16 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y16 PROHIBIT 0 SITEPROP RAMB18_X2Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y16 RPM_X 154 SITEPROP RAMB18_X2Y16 RPM_Y 80 SITEPROP RAMB18_X2Y16 SITE_PIPS SITEPROP RAMB18_X2Y16 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y17 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y17 CLASS site SITEPROP RAMB18_X2Y17 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y17 IS_BONDED 0 SITEPROP RAMB18_X2Y17 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y17 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y17 IS_PAD 0 SITEPROP RAMB18_X2Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y17 IS_RESERVED 0 SITEPROP RAMB18_X2Y17 IS_TEST 0 SITEPROP RAMB18_X2Y17 IS_USED 0 SITEPROP RAMB18_X2Y17 MANUAL_ROUTING SITEPROP RAMB18_X2Y17 NAME RAMB18_X2Y17 SITEPROP RAMB18_X2Y17 NUM_ARCS 20 SITEPROP RAMB18_X2Y17 NUM_BELS 1 SITEPROP RAMB18_X2Y17 NUM_INPUTS 92 SITEPROP RAMB18_X2Y17 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y17 NUM_PINS 158 SITEPROP RAMB18_X2Y17 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y17 PROHIBIT 0 SITEPROP RAMB18_X2Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y17 RPM_X 154 SITEPROP RAMB18_X2Y17 RPM_Y 81 SITEPROP RAMB18_X2Y17 SITE_PIPS SITEPROP RAMB18_X2Y17 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y18 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y18 CLASS site SITEPROP RAMB18_X2Y18 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y18 IS_BONDED 0 SITEPROP RAMB18_X2Y18 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y18 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y18 IS_PAD 0 SITEPROP RAMB18_X2Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y18 IS_RESERVED 0 SITEPROP RAMB18_X2Y18 IS_TEST 0 SITEPROP RAMB18_X2Y18 IS_USED 0 SITEPROP RAMB18_X2Y18 MANUAL_ROUTING SITEPROP RAMB18_X2Y18 NAME RAMB18_X2Y18 SITEPROP RAMB18_X2Y18 NUM_ARCS 14 SITEPROP RAMB18_X2Y18 NUM_BELS 1 SITEPROP RAMB18_X2Y18 NUM_INPUTS 92 SITEPROP RAMB18_X2Y18 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y18 NUM_PINS 158 SITEPROP RAMB18_X2Y18 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y18 PROHIBIT 0 SITEPROP RAMB18_X2Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y18 RPM_X 154 SITEPROP RAMB18_X2Y18 RPM_Y 90 SITEPROP RAMB18_X2Y18 SITE_PIPS SITEPROP RAMB18_X2Y18 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y19 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y19 CLASS site SITEPROP RAMB18_X2Y19 CLOCK_REGION X1Y0 SITEPROP RAMB18_X2Y19 IS_BONDED 0 SITEPROP RAMB18_X2Y19 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y19 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y19 IS_PAD 0 SITEPROP RAMB18_X2Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y19 IS_RESERVED 0 SITEPROP RAMB18_X2Y19 IS_TEST 0 SITEPROP RAMB18_X2Y19 IS_USED 0 SITEPROP RAMB18_X2Y19 MANUAL_ROUTING SITEPROP RAMB18_X2Y19 NAME RAMB18_X2Y19 SITEPROP RAMB18_X2Y19 NUM_ARCS 20 SITEPROP RAMB18_X2Y19 NUM_BELS 1 SITEPROP RAMB18_X2Y19 NUM_INPUTS 92 SITEPROP RAMB18_X2Y19 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y19 NUM_PINS 158 SITEPROP RAMB18_X2Y19 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y19 PROHIBIT 0 SITEPROP RAMB18_X2Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y19 RPM_X 154 SITEPROP RAMB18_X2Y19 RPM_Y 91 SITEPROP RAMB18_X2Y19 SITE_PIPS SITEPROP RAMB18_X2Y19 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y20 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y20 CLASS site SITEPROP RAMB18_X2Y20 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y20 IS_BONDED 0 SITEPROP RAMB18_X2Y20 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y20 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y20 IS_PAD 0 SITEPROP RAMB18_X2Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y20 IS_RESERVED 0 SITEPROP RAMB18_X2Y20 IS_TEST 0 SITEPROP RAMB18_X2Y20 IS_USED 0 SITEPROP RAMB18_X2Y20 MANUAL_ROUTING SITEPROP RAMB18_X2Y20 NAME RAMB18_X2Y20 SITEPROP RAMB18_X2Y20 NUM_ARCS 14 SITEPROP RAMB18_X2Y20 NUM_BELS 1 SITEPROP RAMB18_X2Y20 NUM_INPUTS 92 SITEPROP RAMB18_X2Y20 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y20 NUM_PINS 158 SITEPROP RAMB18_X2Y20 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y20 PROHIBIT 0 SITEPROP RAMB18_X2Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y20 RPM_X 154 SITEPROP RAMB18_X2Y20 RPM_Y 100 SITEPROP RAMB18_X2Y20 SITE_PIPS SITEPROP RAMB18_X2Y20 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y21 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y21 CLASS site SITEPROP RAMB18_X2Y21 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y21 IS_BONDED 0 SITEPROP RAMB18_X2Y21 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y21 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y21 IS_PAD 0 SITEPROP RAMB18_X2Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y21 IS_RESERVED 0 SITEPROP RAMB18_X2Y21 IS_TEST 0 SITEPROP RAMB18_X2Y21 IS_USED 0 SITEPROP RAMB18_X2Y21 MANUAL_ROUTING SITEPROP RAMB18_X2Y21 NAME RAMB18_X2Y21 SITEPROP RAMB18_X2Y21 NUM_ARCS 20 SITEPROP RAMB18_X2Y21 NUM_BELS 1 SITEPROP RAMB18_X2Y21 NUM_INPUTS 92 SITEPROP RAMB18_X2Y21 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y21 NUM_PINS 158 SITEPROP RAMB18_X2Y21 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y21 PROHIBIT 0 SITEPROP RAMB18_X2Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y21 RPM_X 154 SITEPROP RAMB18_X2Y21 RPM_Y 101 SITEPROP RAMB18_X2Y21 SITE_PIPS SITEPROP RAMB18_X2Y21 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y22 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y22 CLASS site SITEPROP RAMB18_X2Y22 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y22 IS_BONDED 0 SITEPROP RAMB18_X2Y22 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y22 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y22 IS_PAD 0 SITEPROP RAMB18_X2Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y22 IS_RESERVED 0 SITEPROP RAMB18_X2Y22 IS_TEST 0 SITEPROP RAMB18_X2Y22 IS_USED 0 SITEPROP RAMB18_X2Y22 MANUAL_ROUTING SITEPROP RAMB18_X2Y22 NAME RAMB18_X2Y22 SITEPROP RAMB18_X2Y22 NUM_ARCS 14 SITEPROP RAMB18_X2Y22 NUM_BELS 1 SITEPROP RAMB18_X2Y22 NUM_INPUTS 92 SITEPROP RAMB18_X2Y22 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y22 NUM_PINS 158 SITEPROP RAMB18_X2Y22 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y22 PROHIBIT 0 SITEPROP RAMB18_X2Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y22 RPM_X 154 SITEPROP RAMB18_X2Y22 RPM_Y 110 SITEPROP RAMB18_X2Y22 SITE_PIPS SITEPROP RAMB18_X2Y22 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y23 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y23 CLASS site SITEPROP RAMB18_X2Y23 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y23 IS_BONDED 0 SITEPROP RAMB18_X2Y23 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y23 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y23 IS_PAD 0 SITEPROP RAMB18_X2Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y23 IS_RESERVED 0 SITEPROP RAMB18_X2Y23 IS_TEST 0 SITEPROP RAMB18_X2Y23 IS_USED 0 SITEPROP RAMB18_X2Y23 MANUAL_ROUTING SITEPROP RAMB18_X2Y23 NAME RAMB18_X2Y23 SITEPROP RAMB18_X2Y23 NUM_ARCS 20 SITEPROP RAMB18_X2Y23 NUM_BELS 1 SITEPROP RAMB18_X2Y23 NUM_INPUTS 92 SITEPROP RAMB18_X2Y23 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y23 NUM_PINS 158 SITEPROP RAMB18_X2Y23 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y23 PROHIBIT 0 SITEPROP RAMB18_X2Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y23 RPM_X 154 SITEPROP RAMB18_X2Y23 RPM_Y 111 SITEPROP RAMB18_X2Y23 SITE_PIPS SITEPROP RAMB18_X2Y23 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y24 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y24 CLASS site SITEPROP RAMB18_X2Y24 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y24 IS_BONDED 0 SITEPROP RAMB18_X2Y24 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y24 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y24 IS_PAD 0 SITEPROP RAMB18_X2Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y24 IS_RESERVED 0 SITEPROP RAMB18_X2Y24 IS_TEST 0 SITEPROP RAMB18_X2Y24 IS_USED 0 SITEPROP RAMB18_X2Y24 MANUAL_ROUTING SITEPROP RAMB18_X2Y24 NAME RAMB18_X2Y24 SITEPROP RAMB18_X2Y24 NUM_ARCS 14 SITEPROP RAMB18_X2Y24 NUM_BELS 1 SITEPROP RAMB18_X2Y24 NUM_INPUTS 92 SITEPROP RAMB18_X2Y24 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y24 NUM_PINS 158 SITEPROP RAMB18_X2Y24 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y24 PROHIBIT 0 SITEPROP RAMB18_X2Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y24 RPM_X 154 SITEPROP RAMB18_X2Y24 RPM_Y 120 SITEPROP RAMB18_X2Y24 SITE_PIPS SITEPROP RAMB18_X2Y24 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y25 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y25 CLASS site SITEPROP RAMB18_X2Y25 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y25 IS_BONDED 0 SITEPROP RAMB18_X2Y25 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y25 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y25 IS_PAD 0 SITEPROP RAMB18_X2Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y25 IS_RESERVED 0 SITEPROP RAMB18_X2Y25 IS_TEST 0 SITEPROP RAMB18_X2Y25 IS_USED 0 SITEPROP RAMB18_X2Y25 MANUAL_ROUTING SITEPROP RAMB18_X2Y25 NAME RAMB18_X2Y25 SITEPROP RAMB18_X2Y25 NUM_ARCS 20 SITEPROP RAMB18_X2Y25 NUM_BELS 1 SITEPROP RAMB18_X2Y25 NUM_INPUTS 92 SITEPROP RAMB18_X2Y25 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y25 NUM_PINS 158 SITEPROP RAMB18_X2Y25 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y25 PROHIBIT 0 SITEPROP RAMB18_X2Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y25 RPM_X 154 SITEPROP RAMB18_X2Y25 RPM_Y 121 SITEPROP RAMB18_X2Y25 SITE_PIPS SITEPROP RAMB18_X2Y25 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y26 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y26 CLASS site SITEPROP RAMB18_X2Y26 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y26 IS_BONDED 0 SITEPROP RAMB18_X2Y26 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y26 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y26 IS_PAD 0 SITEPROP RAMB18_X2Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y26 IS_RESERVED 0 SITEPROP RAMB18_X2Y26 IS_TEST 0 SITEPROP RAMB18_X2Y26 IS_USED 0 SITEPROP RAMB18_X2Y26 MANUAL_ROUTING SITEPROP RAMB18_X2Y26 NAME RAMB18_X2Y26 SITEPROP RAMB18_X2Y26 NUM_ARCS 14 SITEPROP RAMB18_X2Y26 NUM_BELS 1 SITEPROP RAMB18_X2Y26 NUM_INPUTS 92 SITEPROP RAMB18_X2Y26 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y26 NUM_PINS 158 SITEPROP RAMB18_X2Y26 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y26 PROHIBIT 0 SITEPROP RAMB18_X2Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y26 RPM_X 154 SITEPROP RAMB18_X2Y26 RPM_Y 130 SITEPROP RAMB18_X2Y26 SITE_PIPS SITEPROP RAMB18_X2Y26 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y27 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y27 CLASS site SITEPROP RAMB18_X2Y27 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y27 IS_BONDED 0 SITEPROP RAMB18_X2Y27 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y27 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y27 IS_PAD 0 SITEPROP RAMB18_X2Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y27 IS_RESERVED 0 SITEPROP RAMB18_X2Y27 IS_TEST 0 SITEPROP RAMB18_X2Y27 IS_USED 0 SITEPROP RAMB18_X2Y27 MANUAL_ROUTING SITEPROP RAMB18_X2Y27 NAME RAMB18_X2Y27 SITEPROP RAMB18_X2Y27 NUM_ARCS 20 SITEPROP RAMB18_X2Y27 NUM_BELS 1 SITEPROP RAMB18_X2Y27 NUM_INPUTS 92 SITEPROP RAMB18_X2Y27 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y27 NUM_PINS 158 SITEPROP RAMB18_X2Y27 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y27 PROHIBIT 0 SITEPROP RAMB18_X2Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y27 RPM_X 154 SITEPROP RAMB18_X2Y27 RPM_Y 131 SITEPROP RAMB18_X2Y27 SITE_PIPS SITEPROP RAMB18_X2Y27 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y28 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y28 CLASS site SITEPROP RAMB18_X2Y28 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y28 IS_BONDED 0 SITEPROP RAMB18_X2Y28 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y28 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y28 IS_PAD 0 SITEPROP RAMB18_X2Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y28 IS_RESERVED 0 SITEPROP RAMB18_X2Y28 IS_TEST 0 SITEPROP RAMB18_X2Y28 IS_USED 0 SITEPROP RAMB18_X2Y28 MANUAL_ROUTING SITEPROP RAMB18_X2Y28 NAME RAMB18_X2Y28 SITEPROP RAMB18_X2Y28 NUM_ARCS 14 SITEPROP RAMB18_X2Y28 NUM_BELS 1 SITEPROP RAMB18_X2Y28 NUM_INPUTS 92 SITEPROP RAMB18_X2Y28 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y28 NUM_PINS 158 SITEPROP RAMB18_X2Y28 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y28 PROHIBIT 0 SITEPROP RAMB18_X2Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y28 RPM_X 154 SITEPROP RAMB18_X2Y28 RPM_Y 140 SITEPROP RAMB18_X2Y28 SITE_PIPS SITEPROP RAMB18_X2Y28 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y29 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y29 CLASS site SITEPROP RAMB18_X2Y29 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y29 IS_BONDED 0 SITEPROP RAMB18_X2Y29 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y29 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y29 IS_PAD 0 SITEPROP RAMB18_X2Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y29 IS_RESERVED 0 SITEPROP RAMB18_X2Y29 IS_TEST 0 SITEPROP RAMB18_X2Y29 IS_USED 0 SITEPROP RAMB18_X2Y29 MANUAL_ROUTING SITEPROP RAMB18_X2Y29 NAME RAMB18_X2Y29 SITEPROP RAMB18_X2Y29 NUM_ARCS 20 SITEPROP RAMB18_X2Y29 NUM_BELS 1 SITEPROP RAMB18_X2Y29 NUM_INPUTS 92 SITEPROP RAMB18_X2Y29 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y29 NUM_PINS 158 SITEPROP RAMB18_X2Y29 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y29 PROHIBIT 0 SITEPROP RAMB18_X2Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y29 RPM_X 154 SITEPROP RAMB18_X2Y29 RPM_Y 141 SITEPROP RAMB18_X2Y29 SITE_PIPS SITEPROP RAMB18_X2Y29 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y30 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y30 CLASS site SITEPROP RAMB18_X2Y30 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y30 IS_BONDED 0 SITEPROP RAMB18_X2Y30 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y30 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y30 IS_PAD 0 SITEPROP RAMB18_X2Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y30 IS_RESERVED 0 SITEPROP RAMB18_X2Y30 IS_TEST 0 SITEPROP RAMB18_X2Y30 IS_USED 0 SITEPROP RAMB18_X2Y30 MANUAL_ROUTING SITEPROP RAMB18_X2Y30 NAME RAMB18_X2Y30 SITEPROP RAMB18_X2Y30 NUM_ARCS 14 SITEPROP RAMB18_X2Y30 NUM_BELS 1 SITEPROP RAMB18_X2Y30 NUM_INPUTS 92 SITEPROP RAMB18_X2Y30 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y30 NUM_PINS 158 SITEPROP RAMB18_X2Y30 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y30 PROHIBIT 0 SITEPROP RAMB18_X2Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y30 RPM_X 154 SITEPROP RAMB18_X2Y30 RPM_Y 150 SITEPROP RAMB18_X2Y30 SITE_PIPS SITEPROP RAMB18_X2Y30 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y31 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y31 CLASS site SITEPROP RAMB18_X2Y31 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y31 IS_BONDED 0 SITEPROP RAMB18_X2Y31 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y31 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y31 IS_PAD 0 SITEPROP RAMB18_X2Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y31 IS_RESERVED 0 SITEPROP RAMB18_X2Y31 IS_TEST 0 SITEPROP RAMB18_X2Y31 IS_USED 0 SITEPROP RAMB18_X2Y31 MANUAL_ROUTING SITEPROP RAMB18_X2Y31 NAME RAMB18_X2Y31 SITEPROP RAMB18_X2Y31 NUM_ARCS 20 SITEPROP RAMB18_X2Y31 NUM_BELS 1 SITEPROP RAMB18_X2Y31 NUM_INPUTS 92 SITEPROP RAMB18_X2Y31 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y31 NUM_PINS 158 SITEPROP RAMB18_X2Y31 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y31 PROHIBIT 0 SITEPROP RAMB18_X2Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y31 RPM_X 154 SITEPROP RAMB18_X2Y31 RPM_Y 151 SITEPROP RAMB18_X2Y31 SITE_PIPS SITEPROP RAMB18_X2Y31 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y32 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y32 CLASS site SITEPROP RAMB18_X2Y32 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y32 IS_BONDED 0 SITEPROP RAMB18_X2Y32 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y32 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y32 IS_PAD 0 SITEPROP RAMB18_X2Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y32 IS_RESERVED 0 SITEPROP RAMB18_X2Y32 IS_TEST 0 SITEPROP RAMB18_X2Y32 IS_USED 0 SITEPROP RAMB18_X2Y32 MANUAL_ROUTING SITEPROP RAMB18_X2Y32 NAME RAMB18_X2Y32 SITEPROP RAMB18_X2Y32 NUM_ARCS 14 SITEPROP RAMB18_X2Y32 NUM_BELS 1 SITEPROP RAMB18_X2Y32 NUM_INPUTS 92 SITEPROP RAMB18_X2Y32 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y32 NUM_PINS 158 SITEPROP RAMB18_X2Y32 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y32 PROHIBIT 0 SITEPROP RAMB18_X2Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y32 RPM_X 154 SITEPROP RAMB18_X2Y32 RPM_Y 160 SITEPROP RAMB18_X2Y32 SITE_PIPS SITEPROP RAMB18_X2Y32 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y33 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y33 CLASS site SITEPROP RAMB18_X2Y33 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y33 IS_BONDED 0 SITEPROP RAMB18_X2Y33 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y33 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y33 IS_PAD 0 SITEPROP RAMB18_X2Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y33 IS_RESERVED 0 SITEPROP RAMB18_X2Y33 IS_TEST 0 SITEPROP RAMB18_X2Y33 IS_USED 0 SITEPROP RAMB18_X2Y33 MANUAL_ROUTING SITEPROP RAMB18_X2Y33 NAME RAMB18_X2Y33 SITEPROP RAMB18_X2Y33 NUM_ARCS 20 SITEPROP RAMB18_X2Y33 NUM_BELS 1 SITEPROP RAMB18_X2Y33 NUM_INPUTS 92 SITEPROP RAMB18_X2Y33 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y33 NUM_PINS 158 SITEPROP RAMB18_X2Y33 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y33 PROHIBIT 0 SITEPROP RAMB18_X2Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y33 RPM_X 154 SITEPROP RAMB18_X2Y33 RPM_Y 161 SITEPROP RAMB18_X2Y33 SITE_PIPS SITEPROP RAMB18_X2Y33 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y34 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y34 CLASS site SITEPROP RAMB18_X2Y34 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y34 IS_BONDED 0 SITEPROP RAMB18_X2Y34 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y34 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y34 IS_PAD 0 SITEPROP RAMB18_X2Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y34 IS_RESERVED 0 SITEPROP RAMB18_X2Y34 IS_TEST 0 SITEPROP RAMB18_X2Y34 IS_USED 0 SITEPROP RAMB18_X2Y34 MANUAL_ROUTING SITEPROP RAMB18_X2Y34 NAME RAMB18_X2Y34 SITEPROP RAMB18_X2Y34 NUM_ARCS 14 SITEPROP RAMB18_X2Y34 NUM_BELS 1 SITEPROP RAMB18_X2Y34 NUM_INPUTS 92 SITEPROP RAMB18_X2Y34 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y34 NUM_PINS 158 SITEPROP RAMB18_X2Y34 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y34 PROHIBIT 0 SITEPROP RAMB18_X2Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y34 RPM_X 154 SITEPROP RAMB18_X2Y34 RPM_Y 170 SITEPROP RAMB18_X2Y34 SITE_PIPS SITEPROP RAMB18_X2Y34 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y35 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y35 CLASS site SITEPROP RAMB18_X2Y35 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y35 IS_BONDED 0 SITEPROP RAMB18_X2Y35 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y35 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y35 IS_PAD 0 SITEPROP RAMB18_X2Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y35 IS_RESERVED 0 SITEPROP RAMB18_X2Y35 IS_TEST 0 SITEPROP RAMB18_X2Y35 IS_USED 0 SITEPROP RAMB18_X2Y35 MANUAL_ROUTING SITEPROP RAMB18_X2Y35 NAME RAMB18_X2Y35 SITEPROP RAMB18_X2Y35 NUM_ARCS 20 SITEPROP RAMB18_X2Y35 NUM_BELS 1 SITEPROP RAMB18_X2Y35 NUM_INPUTS 92 SITEPROP RAMB18_X2Y35 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y35 NUM_PINS 158 SITEPROP RAMB18_X2Y35 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y35 PROHIBIT 0 SITEPROP RAMB18_X2Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y35 RPM_X 154 SITEPROP RAMB18_X2Y35 RPM_Y 171 SITEPROP RAMB18_X2Y35 SITE_PIPS SITEPROP RAMB18_X2Y35 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y36 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y36 CLASS site SITEPROP RAMB18_X2Y36 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y36 IS_BONDED 0 SITEPROP RAMB18_X2Y36 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y36 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y36 IS_PAD 0 SITEPROP RAMB18_X2Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y36 IS_RESERVED 0 SITEPROP RAMB18_X2Y36 IS_TEST 0 SITEPROP RAMB18_X2Y36 IS_USED 0 SITEPROP RAMB18_X2Y36 MANUAL_ROUTING SITEPROP RAMB18_X2Y36 NAME RAMB18_X2Y36 SITEPROP RAMB18_X2Y36 NUM_ARCS 14 SITEPROP RAMB18_X2Y36 NUM_BELS 1 SITEPROP RAMB18_X2Y36 NUM_INPUTS 92 SITEPROP RAMB18_X2Y36 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y36 NUM_PINS 158 SITEPROP RAMB18_X2Y36 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y36 PROHIBIT 0 SITEPROP RAMB18_X2Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y36 RPM_X 154 SITEPROP RAMB18_X2Y36 RPM_Y 180 SITEPROP RAMB18_X2Y36 SITE_PIPS SITEPROP RAMB18_X2Y36 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y37 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y37 CLASS site SITEPROP RAMB18_X2Y37 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y37 IS_BONDED 0 SITEPROP RAMB18_X2Y37 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y37 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y37 IS_PAD 0 SITEPROP RAMB18_X2Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y37 IS_RESERVED 0 SITEPROP RAMB18_X2Y37 IS_TEST 0 SITEPROP RAMB18_X2Y37 IS_USED 0 SITEPROP RAMB18_X2Y37 MANUAL_ROUTING SITEPROP RAMB18_X2Y37 NAME RAMB18_X2Y37 SITEPROP RAMB18_X2Y37 NUM_ARCS 20 SITEPROP RAMB18_X2Y37 NUM_BELS 1 SITEPROP RAMB18_X2Y37 NUM_INPUTS 92 SITEPROP RAMB18_X2Y37 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y37 NUM_PINS 158 SITEPROP RAMB18_X2Y37 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y37 PROHIBIT 0 SITEPROP RAMB18_X2Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y37 RPM_X 154 SITEPROP RAMB18_X2Y37 RPM_Y 181 SITEPROP RAMB18_X2Y37 SITE_PIPS SITEPROP RAMB18_X2Y37 SITE_TYPE RAMB18E1 SITEPROP RAMB18_X2Y38 ALTERNATE_SITE_TYPES RAMB18E1 SITEPROP RAMB18_X2Y38 CLASS site SITEPROP RAMB18_X2Y38 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y38 IS_BONDED 0 SITEPROP RAMB18_X2Y38 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y38 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y38 IS_PAD 0 SITEPROP RAMB18_X2Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y38 IS_RESERVED 0 SITEPROP RAMB18_X2Y38 IS_TEST 0 SITEPROP RAMB18_X2Y38 IS_USED 0 SITEPROP RAMB18_X2Y38 MANUAL_ROUTING SITEPROP RAMB18_X2Y38 NAME RAMB18_X2Y38 SITEPROP RAMB18_X2Y38 NUM_ARCS 14 SITEPROP RAMB18_X2Y38 NUM_BELS 1 SITEPROP RAMB18_X2Y38 NUM_INPUTS 92 SITEPROP RAMB18_X2Y38 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y38 NUM_PINS 158 SITEPROP RAMB18_X2Y38 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y38 PROHIBIT 0 SITEPROP RAMB18_X2Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y38 RPM_X 154 SITEPROP RAMB18_X2Y38 RPM_Y 190 SITEPROP RAMB18_X2Y38 SITE_PIPS SITEPROP RAMB18_X2Y38 SITE_TYPE FIFO18E1 SITEPROP RAMB18_X2Y39 ALTERNATE_SITE_TYPES FIFO18E1 SITEPROP RAMB18_X2Y39 CLASS site SITEPROP RAMB18_X2Y39 CLOCK_REGION X1Y1 SITEPROP RAMB18_X2Y39 IS_BONDED 0 SITEPROP RAMB18_X2Y39 IS_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y39 IS_CLOCK_PAD 0 SITEPROP RAMB18_X2Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y39 IS_PAD 0 SITEPROP RAMB18_X2Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB18_X2Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB18_X2Y39 IS_RESERVED 0 SITEPROP RAMB18_X2Y39 IS_TEST 0 SITEPROP RAMB18_X2Y39 IS_USED 0 SITEPROP RAMB18_X2Y39 MANUAL_ROUTING SITEPROP RAMB18_X2Y39 NAME RAMB18_X2Y39 SITEPROP RAMB18_X2Y39 NUM_ARCS 20 SITEPROP RAMB18_X2Y39 NUM_BELS 1 SITEPROP RAMB18_X2Y39 NUM_INPUTS 92 SITEPROP RAMB18_X2Y39 NUM_OUTPUTS 66 SITEPROP RAMB18_X2Y39 NUM_PINS 158 SITEPROP RAMB18_X2Y39 PRIMITIVE_COUNT 0 SITEPROP RAMB18_X2Y39 PROHIBIT 0 SITEPROP RAMB18_X2Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB18_X2Y39 RPM_X 154 SITEPROP RAMB18_X2Y39 RPM_Y 191 SITEPROP RAMB18_X2Y39 SITE_PIPS SITEPROP RAMB18_X2Y39 SITE_TYPE RAMB18E1 SITEPROP RAMB36_X0Y0 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y0 CLASS site SITEPROP RAMB36_X0Y0 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y0 IS_BONDED 0 SITEPROP RAMB36_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y0 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y0 IS_PAD 0 SITEPROP RAMB36_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y0 IS_RESERVED 0 SITEPROP RAMB36_X0Y0 IS_TEST 0 SITEPROP RAMB36_X0Y0 IS_USED 0 SITEPROP RAMB36_X0Y0 MANUAL_ROUTING SITEPROP RAMB36_X0Y0 NAME RAMB36_X0Y0 SITEPROP RAMB36_X0Y0 NUM_ARCS 40 SITEPROP RAMB36_X0Y0 NUM_BELS 1 SITEPROP RAMB36_X0Y0 NUM_INPUTS 235 SITEPROP RAMB36_X0Y0 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y0 NUM_PINS 356 SITEPROP RAMB36_X0Y0 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y0 PROHIBIT 0 SITEPROP RAMB36_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y0 RPM_X 33 SITEPROP RAMB36_X0Y0 RPM_Y 0 SITEPROP RAMB36_X0Y0 SITE_PIPS SITEPROP RAMB36_X0Y0 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y1 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y1 CLASS site SITEPROP RAMB36_X0Y1 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y1 IS_BONDED 0 SITEPROP RAMB36_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y1 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y1 IS_PAD 0 SITEPROP RAMB36_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y1 IS_RESERVED 0 SITEPROP RAMB36_X0Y1 IS_TEST 0 SITEPROP RAMB36_X0Y1 IS_USED 0 SITEPROP RAMB36_X0Y1 MANUAL_ROUTING SITEPROP RAMB36_X0Y1 NAME RAMB36_X0Y1 SITEPROP RAMB36_X0Y1 NUM_ARCS 40 SITEPROP RAMB36_X0Y1 NUM_BELS 1 SITEPROP RAMB36_X0Y1 NUM_INPUTS 235 SITEPROP RAMB36_X0Y1 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y1 NUM_PINS 356 SITEPROP RAMB36_X0Y1 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y1 PROHIBIT 0 SITEPROP RAMB36_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y1 RPM_X 33 SITEPROP RAMB36_X0Y1 RPM_Y 10 SITEPROP RAMB36_X0Y1 SITE_PIPS SITEPROP RAMB36_X0Y1 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y2 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y2 CLASS site SITEPROP RAMB36_X0Y2 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y2 IS_BONDED 0 SITEPROP RAMB36_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y2 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y2 IS_PAD 0 SITEPROP RAMB36_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y2 IS_RESERVED 0 SITEPROP RAMB36_X0Y2 IS_TEST 0 SITEPROP RAMB36_X0Y2 IS_USED 0 SITEPROP RAMB36_X0Y2 MANUAL_ROUTING SITEPROP RAMB36_X0Y2 NAME RAMB36_X0Y2 SITEPROP RAMB36_X0Y2 NUM_ARCS 40 SITEPROP RAMB36_X0Y2 NUM_BELS 1 SITEPROP RAMB36_X0Y2 NUM_INPUTS 235 SITEPROP RAMB36_X0Y2 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y2 NUM_PINS 356 SITEPROP RAMB36_X0Y2 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y2 PROHIBIT 0 SITEPROP RAMB36_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y2 RPM_X 33 SITEPROP RAMB36_X0Y2 RPM_Y 20 SITEPROP RAMB36_X0Y2 SITE_PIPS SITEPROP RAMB36_X0Y2 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y3 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y3 CLASS site SITEPROP RAMB36_X0Y3 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y3 IS_BONDED 0 SITEPROP RAMB36_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y3 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y3 IS_PAD 0 SITEPROP RAMB36_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y3 IS_RESERVED 0 SITEPROP RAMB36_X0Y3 IS_TEST 0 SITEPROP RAMB36_X0Y3 IS_USED 0 SITEPROP RAMB36_X0Y3 MANUAL_ROUTING SITEPROP RAMB36_X0Y3 NAME RAMB36_X0Y3 SITEPROP RAMB36_X0Y3 NUM_ARCS 40 SITEPROP RAMB36_X0Y3 NUM_BELS 1 SITEPROP RAMB36_X0Y3 NUM_INPUTS 235 SITEPROP RAMB36_X0Y3 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y3 NUM_PINS 356 SITEPROP RAMB36_X0Y3 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y3 PROHIBIT 0 SITEPROP RAMB36_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y3 RPM_X 33 SITEPROP RAMB36_X0Y3 RPM_Y 30 SITEPROP RAMB36_X0Y3 SITE_PIPS SITEPROP RAMB36_X0Y3 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y4 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y4 CLASS site SITEPROP RAMB36_X0Y4 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y4 IS_BONDED 0 SITEPROP RAMB36_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y4 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y4 IS_PAD 0 SITEPROP RAMB36_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y4 IS_RESERVED 0 SITEPROP RAMB36_X0Y4 IS_TEST 0 SITEPROP RAMB36_X0Y4 IS_USED 0 SITEPROP RAMB36_X0Y4 MANUAL_ROUTING SITEPROP RAMB36_X0Y4 NAME RAMB36_X0Y4 SITEPROP RAMB36_X0Y4 NUM_ARCS 40 SITEPROP RAMB36_X0Y4 NUM_BELS 1 SITEPROP RAMB36_X0Y4 NUM_INPUTS 235 SITEPROP RAMB36_X0Y4 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y4 NUM_PINS 356 SITEPROP RAMB36_X0Y4 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y4 PROHIBIT 0 SITEPROP RAMB36_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y4 RPM_X 33 SITEPROP RAMB36_X0Y4 RPM_Y 40 SITEPROP RAMB36_X0Y4 SITE_PIPS SITEPROP RAMB36_X0Y4 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y5 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y5 CLASS site SITEPROP RAMB36_X0Y5 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y5 IS_BONDED 0 SITEPROP RAMB36_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y5 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y5 IS_PAD 0 SITEPROP RAMB36_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y5 IS_RESERVED 0 SITEPROP RAMB36_X0Y5 IS_TEST 0 SITEPROP RAMB36_X0Y5 IS_USED 0 SITEPROP RAMB36_X0Y5 MANUAL_ROUTING SITEPROP RAMB36_X0Y5 NAME RAMB36_X0Y5 SITEPROP RAMB36_X0Y5 NUM_ARCS 40 SITEPROP RAMB36_X0Y5 NUM_BELS 1 SITEPROP RAMB36_X0Y5 NUM_INPUTS 235 SITEPROP RAMB36_X0Y5 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y5 NUM_PINS 356 SITEPROP RAMB36_X0Y5 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y5 PROHIBIT 0 SITEPROP RAMB36_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y5 RPM_X 33 SITEPROP RAMB36_X0Y5 RPM_Y 50 SITEPROP RAMB36_X0Y5 SITE_PIPS SITEPROP RAMB36_X0Y5 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y6 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y6 CLASS site SITEPROP RAMB36_X0Y6 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y6 IS_BONDED 0 SITEPROP RAMB36_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y6 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y6 IS_PAD 0 SITEPROP RAMB36_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y6 IS_RESERVED 0 SITEPROP RAMB36_X0Y6 IS_TEST 0 SITEPROP RAMB36_X0Y6 IS_USED 0 SITEPROP RAMB36_X0Y6 MANUAL_ROUTING SITEPROP RAMB36_X0Y6 NAME RAMB36_X0Y6 SITEPROP RAMB36_X0Y6 NUM_ARCS 40 SITEPROP RAMB36_X0Y6 NUM_BELS 1 SITEPROP RAMB36_X0Y6 NUM_INPUTS 235 SITEPROP RAMB36_X0Y6 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y6 NUM_PINS 356 SITEPROP RAMB36_X0Y6 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y6 PROHIBIT 0 SITEPROP RAMB36_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y6 RPM_X 33 SITEPROP RAMB36_X0Y6 RPM_Y 60 SITEPROP RAMB36_X0Y6 SITE_PIPS SITEPROP RAMB36_X0Y6 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y7 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y7 CLASS site SITEPROP RAMB36_X0Y7 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y7 IS_BONDED 0 SITEPROP RAMB36_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y7 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y7 IS_PAD 0 SITEPROP RAMB36_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y7 IS_RESERVED 0 SITEPROP RAMB36_X0Y7 IS_TEST 0 SITEPROP RAMB36_X0Y7 IS_USED 0 SITEPROP RAMB36_X0Y7 MANUAL_ROUTING SITEPROP RAMB36_X0Y7 NAME RAMB36_X0Y7 SITEPROP RAMB36_X0Y7 NUM_ARCS 40 SITEPROP RAMB36_X0Y7 NUM_BELS 1 SITEPROP RAMB36_X0Y7 NUM_INPUTS 235 SITEPROP RAMB36_X0Y7 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y7 NUM_PINS 356 SITEPROP RAMB36_X0Y7 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y7 PROHIBIT 0 SITEPROP RAMB36_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y7 RPM_X 33 SITEPROP RAMB36_X0Y7 RPM_Y 70 SITEPROP RAMB36_X0Y7 SITE_PIPS SITEPROP RAMB36_X0Y7 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y8 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y8 CLASS site SITEPROP RAMB36_X0Y8 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y8 IS_BONDED 0 SITEPROP RAMB36_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y8 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y8 IS_PAD 0 SITEPROP RAMB36_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y8 IS_RESERVED 0 SITEPROP RAMB36_X0Y8 IS_TEST 0 SITEPROP RAMB36_X0Y8 IS_USED 0 SITEPROP RAMB36_X0Y8 MANUAL_ROUTING SITEPROP RAMB36_X0Y8 NAME RAMB36_X0Y8 SITEPROP RAMB36_X0Y8 NUM_ARCS 40 SITEPROP RAMB36_X0Y8 NUM_BELS 1 SITEPROP RAMB36_X0Y8 NUM_INPUTS 235 SITEPROP RAMB36_X0Y8 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y8 NUM_PINS 356 SITEPROP RAMB36_X0Y8 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y8 PROHIBIT 0 SITEPROP RAMB36_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y8 RPM_X 33 SITEPROP RAMB36_X0Y8 RPM_Y 80 SITEPROP RAMB36_X0Y8 SITE_PIPS SITEPROP RAMB36_X0Y8 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y9 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y9 CLASS site SITEPROP RAMB36_X0Y9 CLOCK_REGION X0Y0 SITEPROP RAMB36_X0Y9 IS_BONDED 0 SITEPROP RAMB36_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y9 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y9 IS_PAD 0 SITEPROP RAMB36_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y9 IS_RESERVED 0 SITEPROP RAMB36_X0Y9 IS_TEST 0 SITEPROP RAMB36_X0Y9 IS_USED 0 SITEPROP RAMB36_X0Y9 MANUAL_ROUTING SITEPROP RAMB36_X0Y9 NAME RAMB36_X0Y9 SITEPROP RAMB36_X0Y9 NUM_ARCS 40 SITEPROP RAMB36_X0Y9 NUM_BELS 1 SITEPROP RAMB36_X0Y9 NUM_INPUTS 235 SITEPROP RAMB36_X0Y9 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y9 NUM_PINS 356 SITEPROP RAMB36_X0Y9 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y9 PROHIBIT 0 SITEPROP RAMB36_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y9 RPM_X 33 SITEPROP RAMB36_X0Y9 RPM_Y 90 SITEPROP RAMB36_X0Y9 SITE_PIPS SITEPROP RAMB36_X0Y9 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y10 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y10 CLASS site SITEPROP RAMB36_X0Y10 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y10 IS_BONDED 0 SITEPROP RAMB36_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y10 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y10 IS_PAD 0 SITEPROP RAMB36_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y10 IS_RESERVED 0 SITEPROP RAMB36_X0Y10 IS_TEST 0 SITEPROP RAMB36_X0Y10 IS_USED 0 SITEPROP RAMB36_X0Y10 MANUAL_ROUTING SITEPROP RAMB36_X0Y10 NAME RAMB36_X0Y10 SITEPROP RAMB36_X0Y10 NUM_ARCS 40 SITEPROP RAMB36_X0Y10 NUM_BELS 1 SITEPROP RAMB36_X0Y10 NUM_INPUTS 235 SITEPROP RAMB36_X0Y10 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y10 NUM_PINS 356 SITEPROP RAMB36_X0Y10 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y10 PROHIBIT 0 SITEPROP RAMB36_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y10 RPM_X 33 SITEPROP RAMB36_X0Y10 RPM_Y 100 SITEPROP RAMB36_X0Y10 SITE_PIPS SITEPROP RAMB36_X0Y10 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y11 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y11 CLASS site SITEPROP RAMB36_X0Y11 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y11 IS_BONDED 0 SITEPROP RAMB36_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y11 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y11 IS_PAD 0 SITEPROP RAMB36_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y11 IS_RESERVED 0 SITEPROP RAMB36_X0Y11 IS_TEST 0 SITEPROP RAMB36_X0Y11 IS_USED 0 SITEPROP RAMB36_X0Y11 MANUAL_ROUTING SITEPROP RAMB36_X0Y11 NAME RAMB36_X0Y11 SITEPROP RAMB36_X0Y11 NUM_ARCS 40 SITEPROP RAMB36_X0Y11 NUM_BELS 1 SITEPROP RAMB36_X0Y11 NUM_INPUTS 235 SITEPROP RAMB36_X0Y11 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y11 NUM_PINS 356 SITEPROP RAMB36_X0Y11 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y11 PROHIBIT 0 SITEPROP RAMB36_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y11 RPM_X 33 SITEPROP RAMB36_X0Y11 RPM_Y 110 SITEPROP RAMB36_X0Y11 SITE_PIPS SITEPROP RAMB36_X0Y11 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y12 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y12 CLASS site SITEPROP RAMB36_X0Y12 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y12 IS_BONDED 0 SITEPROP RAMB36_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y12 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y12 IS_PAD 0 SITEPROP RAMB36_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y12 IS_RESERVED 0 SITEPROP RAMB36_X0Y12 IS_TEST 0 SITEPROP RAMB36_X0Y12 IS_USED 0 SITEPROP RAMB36_X0Y12 MANUAL_ROUTING SITEPROP RAMB36_X0Y12 NAME RAMB36_X0Y12 SITEPROP RAMB36_X0Y12 NUM_ARCS 40 SITEPROP RAMB36_X0Y12 NUM_BELS 1 SITEPROP RAMB36_X0Y12 NUM_INPUTS 235 SITEPROP RAMB36_X0Y12 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y12 NUM_PINS 356 SITEPROP RAMB36_X0Y12 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y12 PROHIBIT 0 SITEPROP RAMB36_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y12 RPM_X 33 SITEPROP RAMB36_X0Y12 RPM_Y 120 SITEPROP RAMB36_X0Y12 SITE_PIPS SITEPROP RAMB36_X0Y12 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y13 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y13 CLASS site SITEPROP RAMB36_X0Y13 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y13 IS_BONDED 0 SITEPROP RAMB36_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y13 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y13 IS_PAD 0 SITEPROP RAMB36_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y13 IS_RESERVED 0 SITEPROP RAMB36_X0Y13 IS_TEST 0 SITEPROP RAMB36_X0Y13 IS_USED 0 SITEPROP RAMB36_X0Y13 MANUAL_ROUTING SITEPROP RAMB36_X0Y13 NAME RAMB36_X0Y13 SITEPROP RAMB36_X0Y13 NUM_ARCS 40 SITEPROP RAMB36_X0Y13 NUM_BELS 1 SITEPROP RAMB36_X0Y13 NUM_INPUTS 235 SITEPROP RAMB36_X0Y13 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y13 NUM_PINS 356 SITEPROP RAMB36_X0Y13 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y13 PROHIBIT 0 SITEPROP RAMB36_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y13 RPM_X 33 SITEPROP RAMB36_X0Y13 RPM_Y 130 SITEPROP RAMB36_X0Y13 SITE_PIPS SITEPROP RAMB36_X0Y13 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y14 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y14 CLASS site SITEPROP RAMB36_X0Y14 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y14 IS_BONDED 0 SITEPROP RAMB36_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y14 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y14 IS_PAD 0 SITEPROP RAMB36_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y14 IS_RESERVED 0 SITEPROP RAMB36_X0Y14 IS_TEST 0 SITEPROP RAMB36_X0Y14 IS_USED 0 SITEPROP RAMB36_X0Y14 MANUAL_ROUTING SITEPROP RAMB36_X0Y14 NAME RAMB36_X0Y14 SITEPROP RAMB36_X0Y14 NUM_ARCS 40 SITEPROP RAMB36_X0Y14 NUM_BELS 1 SITEPROP RAMB36_X0Y14 NUM_INPUTS 235 SITEPROP RAMB36_X0Y14 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y14 NUM_PINS 356 SITEPROP RAMB36_X0Y14 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y14 PROHIBIT 0 SITEPROP RAMB36_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y14 RPM_X 33 SITEPROP RAMB36_X0Y14 RPM_Y 140 SITEPROP RAMB36_X0Y14 SITE_PIPS SITEPROP RAMB36_X0Y14 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y15 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y15 CLASS site SITEPROP RAMB36_X0Y15 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y15 IS_BONDED 0 SITEPROP RAMB36_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y15 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y15 IS_PAD 0 SITEPROP RAMB36_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y15 IS_RESERVED 0 SITEPROP RAMB36_X0Y15 IS_TEST 0 SITEPROP RAMB36_X0Y15 IS_USED 0 SITEPROP RAMB36_X0Y15 MANUAL_ROUTING SITEPROP RAMB36_X0Y15 NAME RAMB36_X0Y15 SITEPROP RAMB36_X0Y15 NUM_ARCS 40 SITEPROP RAMB36_X0Y15 NUM_BELS 1 SITEPROP RAMB36_X0Y15 NUM_INPUTS 235 SITEPROP RAMB36_X0Y15 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y15 NUM_PINS 356 SITEPROP RAMB36_X0Y15 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y15 PROHIBIT 0 SITEPROP RAMB36_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y15 RPM_X 33 SITEPROP RAMB36_X0Y15 RPM_Y 150 SITEPROP RAMB36_X0Y15 SITE_PIPS SITEPROP RAMB36_X0Y15 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y16 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y16 CLASS site SITEPROP RAMB36_X0Y16 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y16 IS_BONDED 0 SITEPROP RAMB36_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y16 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y16 IS_PAD 0 SITEPROP RAMB36_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y16 IS_RESERVED 0 SITEPROP RAMB36_X0Y16 IS_TEST 0 SITEPROP RAMB36_X0Y16 IS_USED 0 SITEPROP RAMB36_X0Y16 MANUAL_ROUTING SITEPROP RAMB36_X0Y16 NAME RAMB36_X0Y16 SITEPROP RAMB36_X0Y16 NUM_ARCS 40 SITEPROP RAMB36_X0Y16 NUM_BELS 1 SITEPROP RAMB36_X0Y16 NUM_INPUTS 235 SITEPROP RAMB36_X0Y16 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y16 NUM_PINS 356 SITEPROP RAMB36_X0Y16 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y16 PROHIBIT 0 SITEPROP RAMB36_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y16 RPM_X 33 SITEPROP RAMB36_X0Y16 RPM_Y 160 SITEPROP RAMB36_X0Y16 SITE_PIPS SITEPROP RAMB36_X0Y16 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y17 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y17 CLASS site SITEPROP RAMB36_X0Y17 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y17 IS_BONDED 0 SITEPROP RAMB36_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y17 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y17 IS_PAD 0 SITEPROP RAMB36_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y17 IS_RESERVED 0 SITEPROP RAMB36_X0Y17 IS_TEST 0 SITEPROP RAMB36_X0Y17 IS_USED 0 SITEPROP RAMB36_X0Y17 MANUAL_ROUTING SITEPROP RAMB36_X0Y17 NAME RAMB36_X0Y17 SITEPROP RAMB36_X0Y17 NUM_ARCS 40 SITEPROP RAMB36_X0Y17 NUM_BELS 1 SITEPROP RAMB36_X0Y17 NUM_INPUTS 235 SITEPROP RAMB36_X0Y17 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y17 NUM_PINS 356 SITEPROP RAMB36_X0Y17 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y17 PROHIBIT 0 SITEPROP RAMB36_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y17 RPM_X 33 SITEPROP RAMB36_X0Y17 RPM_Y 170 SITEPROP RAMB36_X0Y17 SITE_PIPS SITEPROP RAMB36_X0Y17 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y18 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y18 CLASS site SITEPROP RAMB36_X0Y18 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y18 IS_BONDED 0 SITEPROP RAMB36_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y18 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y18 IS_PAD 0 SITEPROP RAMB36_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y18 IS_RESERVED 0 SITEPROP RAMB36_X0Y18 IS_TEST 0 SITEPROP RAMB36_X0Y18 IS_USED 0 SITEPROP RAMB36_X0Y18 MANUAL_ROUTING SITEPROP RAMB36_X0Y18 NAME RAMB36_X0Y18 SITEPROP RAMB36_X0Y18 NUM_ARCS 40 SITEPROP RAMB36_X0Y18 NUM_BELS 1 SITEPROP RAMB36_X0Y18 NUM_INPUTS 235 SITEPROP RAMB36_X0Y18 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y18 NUM_PINS 356 SITEPROP RAMB36_X0Y18 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y18 PROHIBIT 0 SITEPROP RAMB36_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y18 RPM_X 33 SITEPROP RAMB36_X0Y18 RPM_Y 180 SITEPROP RAMB36_X0Y18 SITE_PIPS SITEPROP RAMB36_X0Y18 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y19 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y19 CLASS site SITEPROP RAMB36_X0Y19 CLOCK_REGION X0Y1 SITEPROP RAMB36_X0Y19 IS_BONDED 0 SITEPROP RAMB36_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y19 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y19 IS_PAD 0 SITEPROP RAMB36_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y19 IS_RESERVED 0 SITEPROP RAMB36_X0Y19 IS_TEST 0 SITEPROP RAMB36_X0Y19 IS_USED 0 SITEPROP RAMB36_X0Y19 MANUAL_ROUTING SITEPROP RAMB36_X0Y19 NAME RAMB36_X0Y19 SITEPROP RAMB36_X0Y19 NUM_ARCS 40 SITEPROP RAMB36_X0Y19 NUM_BELS 1 SITEPROP RAMB36_X0Y19 NUM_INPUTS 235 SITEPROP RAMB36_X0Y19 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y19 NUM_PINS 356 SITEPROP RAMB36_X0Y19 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y19 PROHIBIT 0 SITEPROP RAMB36_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y19 RPM_X 33 SITEPROP RAMB36_X0Y19 RPM_Y 190 SITEPROP RAMB36_X0Y19 SITE_PIPS SITEPROP RAMB36_X0Y19 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y20 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y20 CLASS site SITEPROP RAMB36_X0Y20 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y20 IS_BONDED 0 SITEPROP RAMB36_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y20 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y20 IS_PAD 0 SITEPROP RAMB36_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y20 IS_RESERVED 0 SITEPROP RAMB36_X0Y20 IS_TEST 0 SITEPROP RAMB36_X0Y20 IS_USED 0 SITEPROP RAMB36_X0Y20 MANUAL_ROUTING SITEPROP RAMB36_X0Y20 NAME RAMB36_X0Y20 SITEPROP RAMB36_X0Y20 NUM_ARCS 40 SITEPROP RAMB36_X0Y20 NUM_BELS 1 SITEPROP RAMB36_X0Y20 NUM_INPUTS 235 SITEPROP RAMB36_X0Y20 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y20 NUM_PINS 356 SITEPROP RAMB36_X0Y20 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y20 PROHIBIT 0 SITEPROP RAMB36_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y20 RPM_X 33 SITEPROP RAMB36_X0Y20 RPM_Y 200 SITEPROP RAMB36_X0Y20 SITE_PIPS SITEPROP RAMB36_X0Y20 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y21 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y21 CLASS site SITEPROP RAMB36_X0Y21 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y21 IS_BONDED 0 SITEPROP RAMB36_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y21 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y21 IS_PAD 0 SITEPROP RAMB36_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y21 IS_RESERVED 0 SITEPROP RAMB36_X0Y21 IS_TEST 0 SITEPROP RAMB36_X0Y21 IS_USED 0 SITEPROP RAMB36_X0Y21 MANUAL_ROUTING SITEPROP RAMB36_X0Y21 NAME RAMB36_X0Y21 SITEPROP RAMB36_X0Y21 NUM_ARCS 40 SITEPROP RAMB36_X0Y21 NUM_BELS 1 SITEPROP RAMB36_X0Y21 NUM_INPUTS 235 SITEPROP RAMB36_X0Y21 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y21 NUM_PINS 356 SITEPROP RAMB36_X0Y21 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y21 PROHIBIT 0 SITEPROP RAMB36_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y21 RPM_X 33 SITEPROP RAMB36_X0Y21 RPM_Y 210 SITEPROP RAMB36_X0Y21 SITE_PIPS SITEPROP RAMB36_X0Y21 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y22 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y22 CLASS site SITEPROP RAMB36_X0Y22 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y22 IS_BONDED 0 SITEPROP RAMB36_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y22 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y22 IS_PAD 0 SITEPROP RAMB36_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y22 IS_RESERVED 0 SITEPROP RAMB36_X0Y22 IS_TEST 0 SITEPROP RAMB36_X0Y22 IS_USED 0 SITEPROP RAMB36_X0Y22 MANUAL_ROUTING SITEPROP RAMB36_X0Y22 NAME RAMB36_X0Y22 SITEPROP RAMB36_X0Y22 NUM_ARCS 40 SITEPROP RAMB36_X0Y22 NUM_BELS 1 SITEPROP RAMB36_X0Y22 NUM_INPUTS 235 SITEPROP RAMB36_X0Y22 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y22 NUM_PINS 356 SITEPROP RAMB36_X0Y22 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y22 PROHIBIT 0 SITEPROP RAMB36_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y22 RPM_X 33 SITEPROP RAMB36_X0Y22 RPM_Y 220 SITEPROP RAMB36_X0Y22 SITE_PIPS SITEPROP RAMB36_X0Y22 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y23 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y23 CLASS site SITEPROP RAMB36_X0Y23 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y23 IS_BONDED 0 SITEPROP RAMB36_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y23 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y23 IS_PAD 0 SITEPROP RAMB36_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y23 IS_RESERVED 0 SITEPROP RAMB36_X0Y23 IS_TEST 0 SITEPROP RAMB36_X0Y23 IS_USED 0 SITEPROP RAMB36_X0Y23 MANUAL_ROUTING SITEPROP RAMB36_X0Y23 NAME RAMB36_X0Y23 SITEPROP RAMB36_X0Y23 NUM_ARCS 40 SITEPROP RAMB36_X0Y23 NUM_BELS 1 SITEPROP RAMB36_X0Y23 NUM_INPUTS 235 SITEPROP RAMB36_X0Y23 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y23 NUM_PINS 356 SITEPROP RAMB36_X0Y23 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y23 PROHIBIT 0 SITEPROP RAMB36_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y23 RPM_X 33 SITEPROP RAMB36_X0Y23 RPM_Y 230 SITEPROP RAMB36_X0Y23 SITE_PIPS SITEPROP RAMB36_X0Y23 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y24 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y24 CLASS site SITEPROP RAMB36_X0Y24 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y24 IS_BONDED 0 SITEPROP RAMB36_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y24 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y24 IS_PAD 0 SITEPROP RAMB36_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y24 IS_RESERVED 0 SITEPROP RAMB36_X0Y24 IS_TEST 0 SITEPROP RAMB36_X0Y24 IS_USED 0 SITEPROP RAMB36_X0Y24 MANUAL_ROUTING SITEPROP RAMB36_X0Y24 NAME RAMB36_X0Y24 SITEPROP RAMB36_X0Y24 NUM_ARCS 40 SITEPROP RAMB36_X0Y24 NUM_BELS 1 SITEPROP RAMB36_X0Y24 NUM_INPUTS 235 SITEPROP RAMB36_X0Y24 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y24 NUM_PINS 356 SITEPROP RAMB36_X0Y24 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y24 PROHIBIT 0 SITEPROP RAMB36_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y24 RPM_X 33 SITEPROP RAMB36_X0Y24 RPM_Y 240 SITEPROP RAMB36_X0Y24 SITE_PIPS SITEPROP RAMB36_X0Y24 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y25 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y25 CLASS site SITEPROP RAMB36_X0Y25 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y25 IS_BONDED 0 SITEPROP RAMB36_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y25 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y25 IS_PAD 0 SITEPROP RAMB36_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y25 IS_RESERVED 0 SITEPROP RAMB36_X0Y25 IS_TEST 0 SITEPROP RAMB36_X0Y25 IS_USED 0 SITEPROP RAMB36_X0Y25 MANUAL_ROUTING SITEPROP RAMB36_X0Y25 NAME RAMB36_X0Y25 SITEPROP RAMB36_X0Y25 NUM_ARCS 40 SITEPROP RAMB36_X0Y25 NUM_BELS 1 SITEPROP RAMB36_X0Y25 NUM_INPUTS 235 SITEPROP RAMB36_X0Y25 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y25 NUM_PINS 356 SITEPROP RAMB36_X0Y25 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y25 PROHIBIT 0 SITEPROP RAMB36_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y25 RPM_X 33 SITEPROP RAMB36_X0Y25 RPM_Y 250 SITEPROP RAMB36_X0Y25 SITE_PIPS SITEPROP RAMB36_X0Y25 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y26 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y26 CLASS site SITEPROP RAMB36_X0Y26 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y26 IS_BONDED 0 SITEPROP RAMB36_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y26 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y26 IS_PAD 0 SITEPROP RAMB36_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y26 IS_RESERVED 0 SITEPROP RAMB36_X0Y26 IS_TEST 0 SITEPROP RAMB36_X0Y26 IS_USED 0 SITEPROP RAMB36_X0Y26 MANUAL_ROUTING SITEPROP RAMB36_X0Y26 NAME RAMB36_X0Y26 SITEPROP RAMB36_X0Y26 NUM_ARCS 40 SITEPROP RAMB36_X0Y26 NUM_BELS 1 SITEPROP RAMB36_X0Y26 NUM_INPUTS 235 SITEPROP RAMB36_X0Y26 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y26 NUM_PINS 356 SITEPROP RAMB36_X0Y26 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y26 PROHIBIT 0 SITEPROP RAMB36_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y26 RPM_X 33 SITEPROP RAMB36_X0Y26 RPM_Y 260 SITEPROP RAMB36_X0Y26 SITE_PIPS SITEPROP RAMB36_X0Y26 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y27 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y27 CLASS site SITEPROP RAMB36_X0Y27 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y27 IS_BONDED 0 SITEPROP RAMB36_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y27 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y27 IS_PAD 0 SITEPROP RAMB36_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y27 IS_RESERVED 0 SITEPROP RAMB36_X0Y27 IS_TEST 0 SITEPROP RAMB36_X0Y27 IS_USED 0 SITEPROP RAMB36_X0Y27 MANUAL_ROUTING SITEPROP RAMB36_X0Y27 NAME RAMB36_X0Y27 SITEPROP RAMB36_X0Y27 NUM_ARCS 40 SITEPROP RAMB36_X0Y27 NUM_BELS 1 SITEPROP RAMB36_X0Y27 NUM_INPUTS 235 SITEPROP RAMB36_X0Y27 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y27 NUM_PINS 356 SITEPROP RAMB36_X0Y27 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y27 PROHIBIT 0 SITEPROP RAMB36_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y27 RPM_X 33 SITEPROP RAMB36_X0Y27 RPM_Y 270 SITEPROP RAMB36_X0Y27 SITE_PIPS SITEPROP RAMB36_X0Y27 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y28 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y28 CLASS site SITEPROP RAMB36_X0Y28 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y28 IS_BONDED 0 SITEPROP RAMB36_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y28 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y28 IS_PAD 0 SITEPROP RAMB36_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y28 IS_RESERVED 0 SITEPROP RAMB36_X0Y28 IS_TEST 0 SITEPROP RAMB36_X0Y28 IS_USED 0 SITEPROP RAMB36_X0Y28 MANUAL_ROUTING SITEPROP RAMB36_X0Y28 NAME RAMB36_X0Y28 SITEPROP RAMB36_X0Y28 NUM_ARCS 40 SITEPROP RAMB36_X0Y28 NUM_BELS 1 SITEPROP RAMB36_X0Y28 NUM_INPUTS 235 SITEPROP RAMB36_X0Y28 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y28 NUM_PINS 356 SITEPROP RAMB36_X0Y28 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y28 PROHIBIT 0 SITEPROP RAMB36_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y28 RPM_X 33 SITEPROP RAMB36_X0Y28 RPM_Y 280 SITEPROP RAMB36_X0Y28 SITE_PIPS SITEPROP RAMB36_X0Y28 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X0Y29 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X0Y29 CLASS site SITEPROP RAMB36_X0Y29 CLOCK_REGION X0Y2 SITEPROP RAMB36_X0Y29 IS_BONDED 0 SITEPROP RAMB36_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y29 IS_CLOCK_PAD 0 SITEPROP RAMB36_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y29 IS_PAD 0 SITEPROP RAMB36_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X0Y29 IS_RESERVED 0 SITEPROP RAMB36_X0Y29 IS_TEST 0 SITEPROP RAMB36_X0Y29 IS_USED 0 SITEPROP RAMB36_X0Y29 MANUAL_ROUTING SITEPROP RAMB36_X0Y29 NAME RAMB36_X0Y29 SITEPROP RAMB36_X0Y29 NUM_ARCS 40 SITEPROP RAMB36_X0Y29 NUM_BELS 1 SITEPROP RAMB36_X0Y29 NUM_INPUTS 235 SITEPROP RAMB36_X0Y29 NUM_OUTPUTS 121 SITEPROP RAMB36_X0Y29 NUM_PINS 356 SITEPROP RAMB36_X0Y29 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X0Y29 PROHIBIT 0 SITEPROP RAMB36_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X0Y29 RPM_X 33 SITEPROP RAMB36_X0Y29 RPM_Y 290 SITEPROP RAMB36_X0Y29 SITE_PIPS SITEPROP RAMB36_X0Y29 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y0 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y0 CLASS site SITEPROP RAMB36_X1Y0 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y0 IS_BONDED 0 SITEPROP RAMB36_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y0 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y0 IS_PAD 0 SITEPROP RAMB36_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y0 IS_RESERVED 0 SITEPROP RAMB36_X1Y0 IS_TEST 0 SITEPROP RAMB36_X1Y0 IS_USED 0 SITEPROP RAMB36_X1Y0 MANUAL_ROUTING SITEPROP RAMB36_X1Y0 NAME RAMB36_X1Y0 SITEPROP RAMB36_X1Y0 NUM_ARCS 40 SITEPROP RAMB36_X1Y0 NUM_BELS 1 SITEPROP RAMB36_X1Y0 NUM_INPUTS 235 SITEPROP RAMB36_X1Y0 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y0 NUM_PINS 356 SITEPROP RAMB36_X1Y0 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y0 PROHIBIT 0 SITEPROP RAMB36_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y0 RPM_X 125 SITEPROP RAMB36_X1Y0 RPM_Y 0 SITEPROP RAMB36_X1Y0 SITE_PIPS SITEPROP RAMB36_X1Y0 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y1 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y1 CLASS site SITEPROP RAMB36_X1Y1 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y1 IS_BONDED 0 SITEPROP RAMB36_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y1 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y1 IS_PAD 0 SITEPROP RAMB36_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y1 IS_RESERVED 0 SITEPROP RAMB36_X1Y1 IS_TEST 0 SITEPROP RAMB36_X1Y1 IS_USED 0 SITEPROP RAMB36_X1Y1 MANUAL_ROUTING SITEPROP RAMB36_X1Y1 NAME RAMB36_X1Y1 SITEPROP RAMB36_X1Y1 NUM_ARCS 40 SITEPROP RAMB36_X1Y1 NUM_BELS 1 SITEPROP RAMB36_X1Y1 NUM_INPUTS 235 SITEPROP RAMB36_X1Y1 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y1 NUM_PINS 356 SITEPROP RAMB36_X1Y1 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y1 PROHIBIT 0 SITEPROP RAMB36_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y1 RPM_X 125 SITEPROP RAMB36_X1Y1 RPM_Y 10 SITEPROP RAMB36_X1Y1 SITE_PIPS SITEPROP RAMB36_X1Y1 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y2 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y2 CLASS site SITEPROP RAMB36_X1Y2 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y2 IS_BONDED 0 SITEPROP RAMB36_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y2 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y2 IS_PAD 0 SITEPROP RAMB36_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y2 IS_RESERVED 0 SITEPROP RAMB36_X1Y2 IS_TEST 0 SITEPROP RAMB36_X1Y2 IS_USED 0 SITEPROP RAMB36_X1Y2 MANUAL_ROUTING SITEPROP RAMB36_X1Y2 NAME RAMB36_X1Y2 SITEPROP RAMB36_X1Y2 NUM_ARCS 40 SITEPROP RAMB36_X1Y2 NUM_BELS 1 SITEPROP RAMB36_X1Y2 NUM_INPUTS 235 SITEPROP RAMB36_X1Y2 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y2 NUM_PINS 356 SITEPROP RAMB36_X1Y2 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y2 PROHIBIT 0 SITEPROP RAMB36_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y2 RPM_X 125 SITEPROP RAMB36_X1Y2 RPM_Y 20 SITEPROP RAMB36_X1Y2 SITE_PIPS SITEPROP RAMB36_X1Y2 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y3 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y3 CLASS site SITEPROP RAMB36_X1Y3 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y3 IS_BONDED 0 SITEPROP RAMB36_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y3 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y3 IS_PAD 0 SITEPROP RAMB36_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y3 IS_RESERVED 0 SITEPROP RAMB36_X1Y3 IS_TEST 0 SITEPROP RAMB36_X1Y3 IS_USED 0 SITEPROP RAMB36_X1Y3 MANUAL_ROUTING SITEPROP RAMB36_X1Y3 NAME RAMB36_X1Y3 SITEPROP RAMB36_X1Y3 NUM_ARCS 40 SITEPROP RAMB36_X1Y3 NUM_BELS 1 SITEPROP RAMB36_X1Y3 NUM_INPUTS 235 SITEPROP RAMB36_X1Y3 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y3 NUM_PINS 356 SITEPROP RAMB36_X1Y3 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y3 PROHIBIT 0 SITEPROP RAMB36_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y3 RPM_X 125 SITEPROP RAMB36_X1Y3 RPM_Y 30 SITEPROP RAMB36_X1Y3 SITE_PIPS SITEPROP RAMB36_X1Y3 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y4 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y4 CLASS site SITEPROP RAMB36_X1Y4 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y4 IS_BONDED 0 SITEPROP RAMB36_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y4 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y4 IS_PAD 0 SITEPROP RAMB36_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y4 IS_RESERVED 0 SITEPROP RAMB36_X1Y4 IS_TEST 0 SITEPROP RAMB36_X1Y4 IS_USED 0 SITEPROP RAMB36_X1Y4 MANUAL_ROUTING SITEPROP RAMB36_X1Y4 NAME RAMB36_X1Y4 SITEPROP RAMB36_X1Y4 NUM_ARCS 40 SITEPROP RAMB36_X1Y4 NUM_BELS 1 SITEPROP RAMB36_X1Y4 NUM_INPUTS 235 SITEPROP RAMB36_X1Y4 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y4 NUM_PINS 356 SITEPROP RAMB36_X1Y4 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y4 PROHIBIT 0 SITEPROP RAMB36_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y4 RPM_X 125 SITEPROP RAMB36_X1Y4 RPM_Y 40 SITEPROP RAMB36_X1Y4 SITE_PIPS SITEPROP RAMB36_X1Y4 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y5 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y5 CLASS site SITEPROP RAMB36_X1Y5 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y5 IS_BONDED 0 SITEPROP RAMB36_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y5 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y5 IS_PAD 0 SITEPROP RAMB36_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y5 IS_RESERVED 0 SITEPROP RAMB36_X1Y5 IS_TEST 0 SITEPROP RAMB36_X1Y5 IS_USED 0 SITEPROP RAMB36_X1Y5 MANUAL_ROUTING SITEPROP RAMB36_X1Y5 NAME RAMB36_X1Y5 SITEPROP RAMB36_X1Y5 NUM_ARCS 40 SITEPROP RAMB36_X1Y5 NUM_BELS 1 SITEPROP RAMB36_X1Y5 NUM_INPUTS 235 SITEPROP RAMB36_X1Y5 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y5 NUM_PINS 356 SITEPROP RAMB36_X1Y5 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y5 PROHIBIT 0 SITEPROP RAMB36_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y5 RPM_X 125 SITEPROP RAMB36_X1Y5 RPM_Y 50 SITEPROP RAMB36_X1Y5 SITE_PIPS SITEPROP RAMB36_X1Y5 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y6 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y6 CLASS site SITEPROP RAMB36_X1Y6 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y6 IS_BONDED 0 SITEPROP RAMB36_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y6 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y6 IS_PAD 0 SITEPROP RAMB36_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y6 IS_RESERVED 0 SITEPROP RAMB36_X1Y6 IS_TEST 0 SITEPROP RAMB36_X1Y6 IS_USED 0 SITEPROP RAMB36_X1Y6 MANUAL_ROUTING SITEPROP RAMB36_X1Y6 NAME RAMB36_X1Y6 SITEPROP RAMB36_X1Y6 NUM_ARCS 40 SITEPROP RAMB36_X1Y6 NUM_BELS 1 SITEPROP RAMB36_X1Y6 NUM_INPUTS 235 SITEPROP RAMB36_X1Y6 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y6 NUM_PINS 356 SITEPROP RAMB36_X1Y6 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y6 PROHIBIT 0 SITEPROP RAMB36_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y6 RPM_X 125 SITEPROP RAMB36_X1Y6 RPM_Y 60 SITEPROP RAMB36_X1Y6 SITE_PIPS SITEPROP RAMB36_X1Y6 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y7 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y7 CLASS site SITEPROP RAMB36_X1Y7 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y7 IS_BONDED 0 SITEPROP RAMB36_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y7 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y7 IS_PAD 0 SITEPROP RAMB36_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y7 IS_RESERVED 0 SITEPROP RAMB36_X1Y7 IS_TEST 0 SITEPROP RAMB36_X1Y7 IS_USED 0 SITEPROP RAMB36_X1Y7 MANUAL_ROUTING SITEPROP RAMB36_X1Y7 NAME RAMB36_X1Y7 SITEPROP RAMB36_X1Y7 NUM_ARCS 40 SITEPROP RAMB36_X1Y7 NUM_BELS 1 SITEPROP RAMB36_X1Y7 NUM_INPUTS 235 SITEPROP RAMB36_X1Y7 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y7 NUM_PINS 356 SITEPROP RAMB36_X1Y7 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y7 PROHIBIT 0 SITEPROP RAMB36_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y7 RPM_X 125 SITEPROP RAMB36_X1Y7 RPM_Y 70 SITEPROP RAMB36_X1Y7 SITE_PIPS SITEPROP RAMB36_X1Y7 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y8 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y8 CLASS site SITEPROP RAMB36_X1Y8 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y8 IS_BONDED 0 SITEPROP RAMB36_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y8 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y8 IS_PAD 0 SITEPROP RAMB36_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y8 IS_RESERVED 0 SITEPROP RAMB36_X1Y8 IS_TEST 0 SITEPROP RAMB36_X1Y8 IS_USED 0 SITEPROP RAMB36_X1Y8 MANUAL_ROUTING SITEPROP RAMB36_X1Y8 NAME RAMB36_X1Y8 SITEPROP RAMB36_X1Y8 NUM_ARCS 40 SITEPROP RAMB36_X1Y8 NUM_BELS 1 SITEPROP RAMB36_X1Y8 NUM_INPUTS 235 SITEPROP RAMB36_X1Y8 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y8 NUM_PINS 356 SITEPROP RAMB36_X1Y8 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y8 PROHIBIT 0 SITEPROP RAMB36_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y8 RPM_X 125 SITEPROP RAMB36_X1Y8 RPM_Y 80 SITEPROP RAMB36_X1Y8 SITE_PIPS SITEPROP RAMB36_X1Y8 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y9 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y9 CLASS site SITEPROP RAMB36_X1Y9 CLOCK_REGION X1Y0 SITEPROP RAMB36_X1Y9 IS_BONDED 0 SITEPROP RAMB36_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y9 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y9 IS_PAD 0 SITEPROP RAMB36_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y9 IS_RESERVED 0 SITEPROP RAMB36_X1Y9 IS_TEST 0 SITEPROP RAMB36_X1Y9 IS_USED 0 SITEPROP RAMB36_X1Y9 MANUAL_ROUTING SITEPROP RAMB36_X1Y9 NAME RAMB36_X1Y9 SITEPROP RAMB36_X1Y9 NUM_ARCS 40 SITEPROP RAMB36_X1Y9 NUM_BELS 1 SITEPROP RAMB36_X1Y9 NUM_INPUTS 235 SITEPROP RAMB36_X1Y9 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y9 NUM_PINS 356 SITEPROP RAMB36_X1Y9 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y9 PROHIBIT 0 SITEPROP RAMB36_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y9 RPM_X 125 SITEPROP RAMB36_X1Y9 RPM_Y 90 SITEPROP RAMB36_X1Y9 SITE_PIPS SITEPROP RAMB36_X1Y9 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y10 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y10 CLASS site SITEPROP RAMB36_X1Y10 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y10 IS_BONDED 0 SITEPROP RAMB36_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y10 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y10 IS_PAD 0 SITEPROP RAMB36_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y10 IS_RESERVED 0 SITEPROP RAMB36_X1Y10 IS_TEST 0 SITEPROP RAMB36_X1Y10 IS_USED 0 SITEPROP RAMB36_X1Y10 MANUAL_ROUTING SITEPROP RAMB36_X1Y10 NAME RAMB36_X1Y10 SITEPROP RAMB36_X1Y10 NUM_ARCS 40 SITEPROP RAMB36_X1Y10 NUM_BELS 1 SITEPROP RAMB36_X1Y10 NUM_INPUTS 235 SITEPROP RAMB36_X1Y10 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y10 NUM_PINS 356 SITEPROP RAMB36_X1Y10 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y10 PROHIBIT 0 SITEPROP RAMB36_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y10 RPM_X 125 SITEPROP RAMB36_X1Y10 RPM_Y 100 SITEPROP RAMB36_X1Y10 SITE_PIPS SITEPROP RAMB36_X1Y10 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y11 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y11 CLASS site SITEPROP RAMB36_X1Y11 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y11 IS_BONDED 0 SITEPROP RAMB36_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y11 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y11 IS_PAD 0 SITEPROP RAMB36_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y11 IS_RESERVED 0 SITEPROP RAMB36_X1Y11 IS_TEST 0 SITEPROP RAMB36_X1Y11 IS_USED 0 SITEPROP RAMB36_X1Y11 MANUAL_ROUTING SITEPROP RAMB36_X1Y11 NAME RAMB36_X1Y11 SITEPROP RAMB36_X1Y11 NUM_ARCS 40 SITEPROP RAMB36_X1Y11 NUM_BELS 1 SITEPROP RAMB36_X1Y11 NUM_INPUTS 235 SITEPROP RAMB36_X1Y11 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y11 NUM_PINS 356 SITEPROP RAMB36_X1Y11 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y11 PROHIBIT 0 SITEPROP RAMB36_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y11 RPM_X 125 SITEPROP RAMB36_X1Y11 RPM_Y 110 SITEPROP RAMB36_X1Y11 SITE_PIPS SITEPROP RAMB36_X1Y11 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y12 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y12 CLASS site SITEPROP RAMB36_X1Y12 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y12 IS_BONDED 0 SITEPROP RAMB36_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y12 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y12 IS_PAD 0 SITEPROP RAMB36_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y12 IS_RESERVED 0 SITEPROP RAMB36_X1Y12 IS_TEST 0 SITEPROP RAMB36_X1Y12 IS_USED 0 SITEPROP RAMB36_X1Y12 MANUAL_ROUTING SITEPROP RAMB36_X1Y12 NAME RAMB36_X1Y12 SITEPROP RAMB36_X1Y12 NUM_ARCS 40 SITEPROP RAMB36_X1Y12 NUM_BELS 1 SITEPROP RAMB36_X1Y12 NUM_INPUTS 235 SITEPROP RAMB36_X1Y12 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y12 NUM_PINS 356 SITEPROP RAMB36_X1Y12 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y12 PROHIBIT 0 SITEPROP RAMB36_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y12 RPM_X 125 SITEPROP RAMB36_X1Y12 RPM_Y 120 SITEPROP RAMB36_X1Y12 SITE_PIPS SITEPROP RAMB36_X1Y12 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y13 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y13 CLASS site SITEPROP RAMB36_X1Y13 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y13 IS_BONDED 0 SITEPROP RAMB36_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y13 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y13 IS_PAD 0 SITEPROP RAMB36_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y13 IS_RESERVED 0 SITEPROP RAMB36_X1Y13 IS_TEST 0 SITEPROP RAMB36_X1Y13 IS_USED 0 SITEPROP RAMB36_X1Y13 MANUAL_ROUTING SITEPROP RAMB36_X1Y13 NAME RAMB36_X1Y13 SITEPROP RAMB36_X1Y13 NUM_ARCS 40 SITEPROP RAMB36_X1Y13 NUM_BELS 1 SITEPROP RAMB36_X1Y13 NUM_INPUTS 235 SITEPROP RAMB36_X1Y13 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y13 NUM_PINS 356 SITEPROP RAMB36_X1Y13 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y13 PROHIBIT 0 SITEPROP RAMB36_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y13 RPM_X 125 SITEPROP RAMB36_X1Y13 RPM_Y 130 SITEPROP RAMB36_X1Y13 SITE_PIPS SITEPROP RAMB36_X1Y13 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y14 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y14 CLASS site SITEPROP RAMB36_X1Y14 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y14 IS_BONDED 0 SITEPROP RAMB36_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y14 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y14 IS_PAD 0 SITEPROP RAMB36_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y14 IS_RESERVED 0 SITEPROP RAMB36_X1Y14 IS_TEST 0 SITEPROP RAMB36_X1Y14 IS_USED 0 SITEPROP RAMB36_X1Y14 MANUAL_ROUTING SITEPROP RAMB36_X1Y14 NAME RAMB36_X1Y14 SITEPROP RAMB36_X1Y14 NUM_ARCS 40 SITEPROP RAMB36_X1Y14 NUM_BELS 1 SITEPROP RAMB36_X1Y14 NUM_INPUTS 235 SITEPROP RAMB36_X1Y14 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y14 NUM_PINS 356 SITEPROP RAMB36_X1Y14 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y14 PROHIBIT 0 SITEPROP RAMB36_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y14 RPM_X 125 SITEPROP RAMB36_X1Y14 RPM_Y 140 SITEPROP RAMB36_X1Y14 SITE_PIPS SITEPROP RAMB36_X1Y14 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y15 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y15 CLASS site SITEPROP RAMB36_X1Y15 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y15 IS_BONDED 0 SITEPROP RAMB36_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y15 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y15 IS_PAD 0 SITEPROP RAMB36_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y15 IS_RESERVED 0 SITEPROP RAMB36_X1Y15 IS_TEST 0 SITEPROP RAMB36_X1Y15 IS_USED 0 SITEPROP RAMB36_X1Y15 MANUAL_ROUTING SITEPROP RAMB36_X1Y15 NAME RAMB36_X1Y15 SITEPROP RAMB36_X1Y15 NUM_ARCS 40 SITEPROP RAMB36_X1Y15 NUM_BELS 1 SITEPROP RAMB36_X1Y15 NUM_INPUTS 235 SITEPROP RAMB36_X1Y15 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y15 NUM_PINS 356 SITEPROP RAMB36_X1Y15 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y15 PROHIBIT 0 SITEPROP RAMB36_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y15 RPM_X 125 SITEPROP RAMB36_X1Y15 RPM_Y 150 SITEPROP RAMB36_X1Y15 SITE_PIPS SITEPROP RAMB36_X1Y15 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y16 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y16 CLASS site SITEPROP RAMB36_X1Y16 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y16 IS_BONDED 0 SITEPROP RAMB36_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y16 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y16 IS_PAD 0 SITEPROP RAMB36_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y16 IS_RESERVED 0 SITEPROP RAMB36_X1Y16 IS_TEST 0 SITEPROP RAMB36_X1Y16 IS_USED 0 SITEPROP RAMB36_X1Y16 MANUAL_ROUTING SITEPROP RAMB36_X1Y16 NAME RAMB36_X1Y16 SITEPROP RAMB36_X1Y16 NUM_ARCS 40 SITEPROP RAMB36_X1Y16 NUM_BELS 1 SITEPROP RAMB36_X1Y16 NUM_INPUTS 235 SITEPROP RAMB36_X1Y16 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y16 NUM_PINS 356 SITEPROP RAMB36_X1Y16 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y16 PROHIBIT 0 SITEPROP RAMB36_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y16 RPM_X 125 SITEPROP RAMB36_X1Y16 RPM_Y 160 SITEPROP RAMB36_X1Y16 SITE_PIPS SITEPROP RAMB36_X1Y16 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y17 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y17 CLASS site SITEPROP RAMB36_X1Y17 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y17 IS_BONDED 0 SITEPROP RAMB36_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y17 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y17 IS_PAD 0 SITEPROP RAMB36_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y17 IS_RESERVED 0 SITEPROP RAMB36_X1Y17 IS_TEST 0 SITEPROP RAMB36_X1Y17 IS_USED 0 SITEPROP RAMB36_X1Y17 MANUAL_ROUTING SITEPROP RAMB36_X1Y17 NAME RAMB36_X1Y17 SITEPROP RAMB36_X1Y17 NUM_ARCS 40 SITEPROP RAMB36_X1Y17 NUM_BELS 1 SITEPROP RAMB36_X1Y17 NUM_INPUTS 235 SITEPROP RAMB36_X1Y17 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y17 NUM_PINS 356 SITEPROP RAMB36_X1Y17 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y17 PROHIBIT 0 SITEPROP RAMB36_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y17 RPM_X 125 SITEPROP RAMB36_X1Y17 RPM_Y 170 SITEPROP RAMB36_X1Y17 SITE_PIPS SITEPROP RAMB36_X1Y17 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y18 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y18 CLASS site SITEPROP RAMB36_X1Y18 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y18 IS_BONDED 0 SITEPROP RAMB36_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y18 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y18 IS_PAD 0 SITEPROP RAMB36_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y18 IS_RESERVED 0 SITEPROP RAMB36_X1Y18 IS_TEST 0 SITEPROP RAMB36_X1Y18 IS_USED 0 SITEPROP RAMB36_X1Y18 MANUAL_ROUTING SITEPROP RAMB36_X1Y18 NAME RAMB36_X1Y18 SITEPROP RAMB36_X1Y18 NUM_ARCS 40 SITEPROP RAMB36_X1Y18 NUM_BELS 1 SITEPROP RAMB36_X1Y18 NUM_INPUTS 235 SITEPROP RAMB36_X1Y18 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y18 NUM_PINS 356 SITEPROP RAMB36_X1Y18 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y18 PROHIBIT 0 SITEPROP RAMB36_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y18 RPM_X 125 SITEPROP RAMB36_X1Y18 RPM_Y 180 SITEPROP RAMB36_X1Y18 SITE_PIPS SITEPROP RAMB36_X1Y18 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y19 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y19 CLASS site SITEPROP RAMB36_X1Y19 CLOCK_REGION X1Y1 SITEPROP RAMB36_X1Y19 IS_BONDED 0 SITEPROP RAMB36_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y19 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y19 IS_PAD 0 SITEPROP RAMB36_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y19 IS_RESERVED 0 SITEPROP RAMB36_X1Y19 IS_TEST 0 SITEPROP RAMB36_X1Y19 IS_USED 0 SITEPROP RAMB36_X1Y19 MANUAL_ROUTING SITEPROP RAMB36_X1Y19 NAME RAMB36_X1Y19 SITEPROP RAMB36_X1Y19 NUM_ARCS 40 SITEPROP RAMB36_X1Y19 NUM_BELS 1 SITEPROP RAMB36_X1Y19 NUM_INPUTS 235 SITEPROP RAMB36_X1Y19 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y19 NUM_PINS 356 SITEPROP RAMB36_X1Y19 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y19 PROHIBIT 0 SITEPROP RAMB36_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y19 RPM_X 125 SITEPROP RAMB36_X1Y19 RPM_Y 190 SITEPROP RAMB36_X1Y19 SITE_PIPS SITEPROP RAMB36_X1Y19 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y25 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y25 CLASS site SITEPROP RAMB36_X1Y25 CLOCK_REGION X1Y2 SITEPROP RAMB36_X1Y25 IS_BONDED 0 SITEPROP RAMB36_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y25 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y25 IS_PAD 0 SITEPROP RAMB36_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y25 IS_RESERVED 0 SITEPROP RAMB36_X1Y25 IS_TEST 0 SITEPROP RAMB36_X1Y25 IS_USED 0 SITEPROP RAMB36_X1Y25 MANUAL_ROUTING SITEPROP RAMB36_X1Y25 NAME RAMB36_X1Y25 SITEPROP RAMB36_X1Y25 NUM_ARCS 40 SITEPROP RAMB36_X1Y25 NUM_BELS 1 SITEPROP RAMB36_X1Y25 NUM_INPUTS 235 SITEPROP RAMB36_X1Y25 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y25 NUM_PINS 356 SITEPROP RAMB36_X1Y25 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y25 PROHIBIT 0 SITEPROP RAMB36_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y25 RPM_X 125 SITEPROP RAMB36_X1Y25 RPM_Y 250 SITEPROP RAMB36_X1Y25 SITE_PIPS SITEPROP RAMB36_X1Y25 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y26 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y26 CLASS site SITEPROP RAMB36_X1Y26 CLOCK_REGION X1Y2 SITEPROP RAMB36_X1Y26 IS_BONDED 0 SITEPROP RAMB36_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y26 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y26 IS_PAD 0 SITEPROP RAMB36_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y26 IS_RESERVED 0 SITEPROP RAMB36_X1Y26 IS_TEST 0 SITEPROP RAMB36_X1Y26 IS_USED 0 SITEPROP RAMB36_X1Y26 MANUAL_ROUTING SITEPROP RAMB36_X1Y26 NAME RAMB36_X1Y26 SITEPROP RAMB36_X1Y26 NUM_ARCS 40 SITEPROP RAMB36_X1Y26 NUM_BELS 1 SITEPROP RAMB36_X1Y26 NUM_INPUTS 235 SITEPROP RAMB36_X1Y26 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y26 NUM_PINS 356 SITEPROP RAMB36_X1Y26 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y26 PROHIBIT 0 SITEPROP RAMB36_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y26 RPM_X 125 SITEPROP RAMB36_X1Y26 RPM_Y 260 SITEPROP RAMB36_X1Y26 SITE_PIPS SITEPROP RAMB36_X1Y26 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y27 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y27 CLASS site SITEPROP RAMB36_X1Y27 CLOCK_REGION X1Y2 SITEPROP RAMB36_X1Y27 IS_BONDED 0 SITEPROP RAMB36_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y27 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y27 IS_PAD 0 SITEPROP RAMB36_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y27 IS_RESERVED 0 SITEPROP RAMB36_X1Y27 IS_TEST 0 SITEPROP RAMB36_X1Y27 IS_USED 0 SITEPROP RAMB36_X1Y27 MANUAL_ROUTING SITEPROP RAMB36_X1Y27 NAME RAMB36_X1Y27 SITEPROP RAMB36_X1Y27 NUM_ARCS 40 SITEPROP RAMB36_X1Y27 NUM_BELS 1 SITEPROP RAMB36_X1Y27 NUM_INPUTS 235 SITEPROP RAMB36_X1Y27 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y27 NUM_PINS 356 SITEPROP RAMB36_X1Y27 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y27 PROHIBIT 0 SITEPROP RAMB36_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y27 RPM_X 125 SITEPROP RAMB36_X1Y27 RPM_Y 270 SITEPROP RAMB36_X1Y27 SITE_PIPS SITEPROP RAMB36_X1Y27 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y28 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y28 CLASS site SITEPROP RAMB36_X1Y28 CLOCK_REGION X1Y2 SITEPROP RAMB36_X1Y28 IS_BONDED 0 SITEPROP RAMB36_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y28 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y28 IS_PAD 0 SITEPROP RAMB36_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y28 IS_RESERVED 0 SITEPROP RAMB36_X1Y28 IS_TEST 0 SITEPROP RAMB36_X1Y28 IS_USED 0 SITEPROP RAMB36_X1Y28 MANUAL_ROUTING SITEPROP RAMB36_X1Y28 NAME RAMB36_X1Y28 SITEPROP RAMB36_X1Y28 NUM_ARCS 40 SITEPROP RAMB36_X1Y28 NUM_BELS 1 SITEPROP RAMB36_X1Y28 NUM_INPUTS 235 SITEPROP RAMB36_X1Y28 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y28 NUM_PINS 356 SITEPROP RAMB36_X1Y28 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y28 PROHIBIT 0 SITEPROP RAMB36_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y28 RPM_X 125 SITEPROP RAMB36_X1Y28 RPM_Y 280 SITEPROP RAMB36_X1Y28 SITE_PIPS SITEPROP RAMB36_X1Y28 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X1Y29 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X1Y29 CLASS site SITEPROP RAMB36_X1Y29 CLOCK_REGION X1Y2 SITEPROP RAMB36_X1Y29 IS_BONDED 0 SITEPROP RAMB36_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y29 IS_CLOCK_PAD 0 SITEPROP RAMB36_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y29 IS_PAD 0 SITEPROP RAMB36_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X1Y29 IS_RESERVED 0 SITEPROP RAMB36_X1Y29 IS_TEST 0 SITEPROP RAMB36_X1Y29 IS_USED 0 SITEPROP RAMB36_X1Y29 MANUAL_ROUTING SITEPROP RAMB36_X1Y29 NAME RAMB36_X1Y29 SITEPROP RAMB36_X1Y29 NUM_ARCS 40 SITEPROP RAMB36_X1Y29 NUM_BELS 1 SITEPROP RAMB36_X1Y29 NUM_INPUTS 235 SITEPROP RAMB36_X1Y29 NUM_OUTPUTS 121 SITEPROP RAMB36_X1Y29 NUM_PINS 356 SITEPROP RAMB36_X1Y29 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X1Y29 PROHIBIT 0 SITEPROP RAMB36_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X1Y29 RPM_X 125 SITEPROP RAMB36_X1Y29 RPM_Y 290 SITEPROP RAMB36_X1Y29 SITE_PIPS SITEPROP RAMB36_X1Y29 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y0 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y0 CLASS site SITEPROP RAMB36_X2Y0 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y0 IS_BONDED 0 SITEPROP RAMB36_X2Y0 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y0 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y0 IS_PAD 0 SITEPROP RAMB36_X2Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y0 IS_RESERVED 0 SITEPROP RAMB36_X2Y0 IS_TEST 0 SITEPROP RAMB36_X2Y0 IS_USED 0 SITEPROP RAMB36_X2Y0 MANUAL_ROUTING SITEPROP RAMB36_X2Y0 NAME RAMB36_X2Y0 SITEPROP RAMB36_X2Y0 NUM_ARCS 40 SITEPROP RAMB36_X2Y0 NUM_BELS 1 SITEPROP RAMB36_X2Y0 NUM_INPUTS 235 SITEPROP RAMB36_X2Y0 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y0 NUM_PINS 356 SITEPROP RAMB36_X2Y0 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y0 PROHIBIT 0 SITEPROP RAMB36_X2Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y0 RPM_X 153 SITEPROP RAMB36_X2Y0 RPM_Y 0 SITEPROP RAMB36_X2Y0 SITE_PIPS SITEPROP RAMB36_X2Y0 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y1 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y1 CLASS site SITEPROP RAMB36_X2Y1 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y1 IS_BONDED 0 SITEPROP RAMB36_X2Y1 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y1 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y1 IS_PAD 0 SITEPROP RAMB36_X2Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y1 IS_RESERVED 0 SITEPROP RAMB36_X2Y1 IS_TEST 0 SITEPROP RAMB36_X2Y1 IS_USED 0 SITEPROP RAMB36_X2Y1 MANUAL_ROUTING SITEPROP RAMB36_X2Y1 NAME RAMB36_X2Y1 SITEPROP RAMB36_X2Y1 NUM_ARCS 40 SITEPROP RAMB36_X2Y1 NUM_BELS 1 SITEPROP RAMB36_X2Y1 NUM_INPUTS 235 SITEPROP RAMB36_X2Y1 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y1 NUM_PINS 356 SITEPROP RAMB36_X2Y1 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y1 PROHIBIT 0 SITEPROP RAMB36_X2Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y1 RPM_X 153 SITEPROP RAMB36_X2Y1 RPM_Y 10 SITEPROP RAMB36_X2Y1 SITE_PIPS SITEPROP RAMB36_X2Y1 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y2 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y2 CLASS site SITEPROP RAMB36_X2Y2 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y2 IS_BONDED 0 SITEPROP RAMB36_X2Y2 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y2 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y2 IS_PAD 0 SITEPROP RAMB36_X2Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y2 IS_RESERVED 0 SITEPROP RAMB36_X2Y2 IS_TEST 0 SITEPROP RAMB36_X2Y2 IS_USED 0 SITEPROP RAMB36_X2Y2 MANUAL_ROUTING SITEPROP RAMB36_X2Y2 NAME RAMB36_X2Y2 SITEPROP RAMB36_X2Y2 NUM_ARCS 40 SITEPROP RAMB36_X2Y2 NUM_BELS 1 SITEPROP RAMB36_X2Y2 NUM_INPUTS 235 SITEPROP RAMB36_X2Y2 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y2 NUM_PINS 356 SITEPROP RAMB36_X2Y2 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y2 PROHIBIT 0 SITEPROP RAMB36_X2Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y2 RPM_X 153 SITEPROP RAMB36_X2Y2 RPM_Y 20 SITEPROP RAMB36_X2Y2 SITE_PIPS SITEPROP RAMB36_X2Y2 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y3 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y3 CLASS site SITEPROP RAMB36_X2Y3 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y3 IS_BONDED 0 SITEPROP RAMB36_X2Y3 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y3 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y3 IS_PAD 0 SITEPROP RAMB36_X2Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y3 IS_RESERVED 0 SITEPROP RAMB36_X2Y3 IS_TEST 0 SITEPROP RAMB36_X2Y3 IS_USED 0 SITEPROP RAMB36_X2Y3 MANUAL_ROUTING SITEPROP RAMB36_X2Y3 NAME RAMB36_X2Y3 SITEPROP RAMB36_X2Y3 NUM_ARCS 40 SITEPROP RAMB36_X2Y3 NUM_BELS 1 SITEPROP RAMB36_X2Y3 NUM_INPUTS 235 SITEPROP RAMB36_X2Y3 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y3 NUM_PINS 356 SITEPROP RAMB36_X2Y3 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y3 PROHIBIT 0 SITEPROP RAMB36_X2Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y3 RPM_X 153 SITEPROP RAMB36_X2Y3 RPM_Y 30 SITEPROP RAMB36_X2Y3 SITE_PIPS SITEPROP RAMB36_X2Y3 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y4 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y4 CLASS site SITEPROP RAMB36_X2Y4 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y4 IS_BONDED 0 SITEPROP RAMB36_X2Y4 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y4 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y4 IS_PAD 0 SITEPROP RAMB36_X2Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y4 IS_RESERVED 0 SITEPROP RAMB36_X2Y4 IS_TEST 0 SITEPROP RAMB36_X2Y4 IS_USED 0 SITEPROP RAMB36_X2Y4 MANUAL_ROUTING SITEPROP RAMB36_X2Y4 NAME RAMB36_X2Y4 SITEPROP RAMB36_X2Y4 NUM_ARCS 40 SITEPROP RAMB36_X2Y4 NUM_BELS 1 SITEPROP RAMB36_X2Y4 NUM_INPUTS 235 SITEPROP RAMB36_X2Y4 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y4 NUM_PINS 356 SITEPROP RAMB36_X2Y4 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y4 PROHIBIT 0 SITEPROP RAMB36_X2Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y4 RPM_X 153 SITEPROP RAMB36_X2Y4 RPM_Y 40 SITEPROP RAMB36_X2Y4 SITE_PIPS SITEPROP RAMB36_X2Y4 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y5 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y5 CLASS site SITEPROP RAMB36_X2Y5 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y5 IS_BONDED 0 SITEPROP RAMB36_X2Y5 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y5 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y5 IS_PAD 0 SITEPROP RAMB36_X2Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y5 IS_RESERVED 0 SITEPROP RAMB36_X2Y5 IS_TEST 0 SITEPROP RAMB36_X2Y5 IS_USED 0 SITEPROP RAMB36_X2Y5 MANUAL_ROUTING SITEPROP RAMB36_X2Y5 NAME RAMB36_X2Y5 SITEPROP RAMB36_X2Y5 NUM_ARCS 40 SITEPROP RAMB36_X2Y5 NUM_BELS 1 SITEPROP RAMB36_X2Y5 NUM_INPUTS 235 SITEPROP RAMB36_X2Y5 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y5 NUM_PINS 356 SITEPROP RAMB36_X2Y5 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y5 PROHIBIT 0 SITEPROP RAMB36_X2Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y5 RPM_X 153 SITEPROP RAMB36_X2Y5 RPM_Y 50 SITEPROP RAMB36_X2Y5 SITE_PIPS SITEPROP RAMB36_X2Y5 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y6 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y6 CLASS site SITEPROP RAMB36_X2Y6 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y6 IS_BONDED 0 SITEPROP RAMB36_X2Y6 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y6 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y6 IS_PAD 0 SITEPROP RAMB36_X2Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y6 IS_RESERVED 0 SITEPROP RAMB36_X2Y6 IS_TEST 0 SITEPROP RAMB36_X2Y6 IS_USED 0 SITEPROP RAMB36_X2Y6 MANUAL_ROUTING SITEPROP RAMB36_X2Y6 NAME RAMB36_X2Y6 SITEPROP RAMB36_X2Y6 NUM_ARCS 40 SITEPROP RAMB36_X2Y6 NUM_BELS 1 SITEPROP RAMB36_X2Y6 NUM_INPUTS 235 SITEPROP RAMB36_X2Y6 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y6 NUM_PINS 356 SITEPROP RAMB36_X2Y6 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y6 PROHIBIT 0 SITEPROP RAMB36_X2Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y6 RPM_X 153 SITEPROP RAMB36_X2Y6 RPM_Y 60 SITEPROP RAMB36_X2Y6 SITE_PIPS SITEPROP RAMB36_X2Y6 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y7 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y7 CLASS site SITEPROP RAMB36_X2Y7 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y7 IS_BONDED 0 SITEPROP RAMB36_X2Y7 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y7 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y7 IS_PAD 0 SITEPROP RAMB36_X2Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y7 IS_RESERVED 0 SITEPROP RAMB36_X2Y7 IS_TEST 0 SITEPROP RAMB36_X2Y7 IS_USED 0 SITEPROP RAMB36_X2Y7 MANUAL_ROUTING SITEPROP RAMB36_X2Y7 NAME RAMB36_X2Y7 SITEPROP RAMB36_X2Y7 NUM_ARCS 40 SITEPROP RAMB36_X2Y7 NUM_BELS 1 SITEPROP RAMB36_X2Y7 NUM_INPUTS 235 SITEPROP RAMB36_X2Y7 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y7 NUM_PINS 356 SITEPROP RAMB36_X2Y7 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y7 PROHIBIT 0 SITEPROP RAMB36_X2Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y7 RPM_X 153 SITEPROP RAMB36_X2Y7 RPM_Y 70 SITEPROP RAMB36_X2Y7 SITE_PIPS SITEPROP RAMB36_X2Y7 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y8 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y8 CLASS site SITEPROP RAMB36_X2Y8 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y8 IS_BONDED 0 SITEPROP RAMB36_X2Y8 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y8 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y8 IS_PAD 0 SITEPROP RAMB36_X2Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y8 IS_RESERVED 0 SITEPROP RAMB36_X2Y8 IS_TEST 0 SITEPROP RAMB36_X2Y8 IS_USED 0 SITEPROP RAMB36_X2Y8 MANUAL_ROUTING SITEPROP RAMB36_X2Y8 NAME RAMB36_X2Y8 SITEPROP RAMB36_X2Y8 NUM_ARCS 40 SITEPROP RAMB36_X2Y8 NUM_BELS 1 SITEPROP RAMB36_X2Y8 NUM_INPUTS 235 SITEPROP RAMB36_X2Y8 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y8 NUM_PINS 356 SITEPROP RAMB36_X2Y8 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y8 PROHIBIT 0 SITEPROP RAMB36_X2Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y8 RPM_X 153 SITEPROP RAMB36_X2Y8 RPM_Y 80 SITEPROP RAMB36_X2Y8 SITE_PIPS SITEPROP RAMB36_X2Y8 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y9 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y9 CLASS site SITEPROP RAMB36_X2Y9 CLOCK_REGION X1Y0 SITEPROP RAMB36_X2Y9 IS_BONDED 0 SITEPROP RAMB36_X2Y9 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y9 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y9 IS_PAD 0 SITEPROP RAMB36_X2Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y9 IS_RESERVED 0 SITEPROP RAMB36_X2Y9 IS_TEST 0 SITEPROP RAMB36_X2Y9 IS_USED 0 SITEPROP RAMB36_X2Y9 MANUAL_ROUTING SITEPROP RAMB36_X2Y9 NAME RAMB36_X2Y9 SITEPROP RAMB36_X2Y9 NUM_ARCS 40 SITEPROP RAMB36_X2Y9 NUM_BELS 1 SITEPROP RAMB36_X2Y9 NUM_INPUTS 235 SITEPROP RAMB36_X2Y9 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y9 NUM_PINS 356 SITEPROP RAMB36_X2Y9 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y9 PROHIBIT 0 SITEPROP RAMB36_X2Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y9 RPM_X 153 SITEPROP RAMB36_X2Y9 RPM_Y 90 SITEPROP RAMB36_X2Y9 SITE_PIPS SITEPROP RAMB36_X2Y9 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y10 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y10 CLASS site SITEPROP RAMB36_X2Y10 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y10 IS_BONDED 0 SITEPROP RAMB36_X2Y10 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y10 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y10 IS_PAD 0 SITEPROP RAMB36_X2Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y10 IS_RESERVED 0 SITEPROP RAMB36_X2Y10 IS_TEST 0 SITEPROP RAMB36_X2Y10 IS_USED 0 SITEPROP RAMB36_X2Y10 MANUAL_ROUTING SITEPROP RAMB36_X2Y10 NAME RAMB36_X2Y10 SITEPROP RAMB36_X2Y10 NUM_ARCS 40 SITEPROP RAMB36_X2Y10 NUM_BELS 1 SITEPROP RAMB36_X2Y10 NUM_INPUTS 235 SITEPROP RAMB36_X2Y10 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y10 NUM_PINS 356 SITEPROP RAMB36_X2Y10 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y10 PROHIBIT 0 SITEPROP RAMB36_X2Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y10 RPM_X 153 SITEPROP RAMB36_X2Y10 RPM_Y 100 SITEPROP RAMB36_X2Y10 SITE_PIPS SITEPROP RAMB36_X2Y10 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y11 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y11 CLASS site SITEPROP RAMB36_X2Y11 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y11 IS_BONDED 0 SITEPROP RAMB36_X2Y11 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y11 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y11 IS_PAD 0 SITEPROP RAMB36_X2Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y11 IS_RESERVED 0 SITEPROP RAMB36_X2Y11 IS_TEST 0 SITEPROP RAMB36_X2Y11 IS_USED 0 SITEPROP RAMB36_X2Y11 MANUAL_ROUTING SITEPROP RAMB36_X2Y11 NAME RAMB36_X2Y11 SITEPROP RAMB36_X2Y11 NUM_ARCS 40 SITEPROP RAMB36_X2Y11 NUM_BELS 1 SITEPROP RAMB36_X2Y11 NUM_INPUTS 235 SITEPROP RAMB36_X2Y11 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y11 NUM_PINS 356 SITEPROP RAMB36_X2Y11 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y11 PROHIBIT 0 SITEPROP RAMB36_X2Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y11 RPM_X 153 SITEPROP RAMB36_X2Y11 RPM_Y 110 SITEPROP RAMB36_X2Y11 SITE_PIPS SITEPROP RAMB36_X2Y11 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y12 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y12 CLASS site SITEPROP RAMB36_X2Y12 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y12 IS_BONDED 0 SITEPROP RAMB36_X2Y12 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y12 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y12 IS_PAD 0 SITEPROP RAMB36_X2Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y12 IS_RESERVED 0 SITEPROP RAMB36_X2Y12 IS_TEST 0 SITEPROP RAMB36_X2Y12 IS_USED 0 SITEPROP RAMB36_X2Y12 MANUAL_ROUTING SITEPROP RAMB36_X2Y12 NAME RAMB36_X2Y12 SITEPROP RAMB36_X2Y12 NUM_ARCS 40 SITEPROP RAMB36_X2Y12 NUM_BELS 1 SITEPROP RAMB36_X2Y12 NUM_INPUTS 235 SITEPROP RAMB36_X2Y12 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y12 NUM_PINS 356 SITEPROP RAMB36_X2Y12 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y12 PROHIBIT 0 SITEPROP RAMB36_X2Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y12 RPM_X 153 SITEPROP RAMB36_X2Y12 RPM_Y 120 SITEPROP RAMB36_X2Y12 SITE_PIPS SITEPROP RAMB36_X2Y12 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y13 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y13 CLASS site SITEPROP RAMB36_X2Y13 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y13 IS_BONDED 0 SITEPROP RAMB36_X2Y13 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y13 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y13 IS_PAD 0 SITEPROP RAMB36_X2Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y13 IS_RESERVED 0 SITEPROP RAMB36_X2Y13 IS_TEST 0 SITEPROP RAMB36_X2Y13 IS_USED 0 SITEPROP RAMB36_X2Y13 MANUAL_ROUTING SITEPROP RAMB36_X2Y13 NAME RAMB36_X2Y13 SITEPROP RAMB36_X2Y13 NUM_ARCS 40 SITEPROP RAMB36_X2Y13 NUM_BELS 1 SITEPROP RAMB36_X2Y13 NUM_INPUTS 235 SITEPROP RAMB36_X2Y13 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y13 NUM_PINS 356 SITEPROP RAMB36_X2Y13 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y13 PROHIBIT 0 SITEPROP RAMB36_X2Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y13 RPM_X 153 SITEPROP RAMB36_X2Y13 RPM_Y 130 SITEPROP RAMB36_X2Y13 SITE_PIPS SITEPROP RAMB36_X2Y13 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y14 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y14 CLASS site SITEPROP RAMB36_X2Y14 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y14 IS_BONDED 0 SITEPROP RAMB36_X2Y14 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y14 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y14 IS_PAD 0 SITEPROP RAMB36_X2Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y14 IS_RESERVED 0 SITEPROP RAMB36_X2Y14 IS_TEST 0 SITEPROP RAMB36_X2Y14 IS_USED 0 SITEPROP RAMB36_X2Y14 MANUAL_ROUTING SITEPROP RAMB36_X2Y14 NAME RAMB36_X2Y14 SITEPROP RAMB36_X2Y14 NUM_ARCS 40 SITEPROP RAMB36_X2Y14 NUM_BELS 1 SITEPROP RAMB36_X2Y14 NUM_INPUTS 235 SITEPROP RAMB36_X2Y14 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y14 NUM_PINS 356 SITEPROP RAMB36_X2Y14 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y14 PROHIBIT 0 SITEPROP RAMB36_X2Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y14 RPM_X 153 SITEPROP RAMB36_X2Y14 RPM_Y 140 SITEPROP RAMB36_X2Y14 SITE_PIPS SITEPROP RAMB36_X2Y14 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y15 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y15 CLASS site SITEPROP RAMB36_X2Y15 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y15 IS_BONDED 0 SITEPROP RAMB36_X2Y15 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y15 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y15 IS_PAD 0 SITEPROP RAMB36_X2Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y15 IS_RESERVED 0 SITEPROP RAMB36_X2Y15 IS_TEST 0 SITEPROP RAMB36_X2Y15 IS_USED 0 SITEPROP RAMB36_X2Y15 MANUAL_ROUTING SITEPROP RAMB36_X2Y15 NAME RAMB36_X2Y15 SITEPROP RAMB36_X2Y15 NUM_ARCS 40 SITEPROP RAMB36_X2Y15 NUM_BELS 1 SITEPROP RAMB36_X2Y15 NUM_INPUTS 235 SITEPROP RAMB36_X2Y15 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y15 NUM_PINS 356 SITEPROP RAMB36_X2Y15 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y15 PROHIBIT 0 SITEPROP RAMB36_X2Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y15 RPM_X 153 SITEPROP RAMB36_X2Y15 RPM_Y 150 SITEPROP RAMB36_X2Y15 SITE_PIPS SITEPROP RAMB36_X2Y15 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y16 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y16 CLASS site SITEPROP RAMB36_X2Y16 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y16 IS_BONDED 0 SITEPROP RAMB36_X2Y16 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y16 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y16 IS_PAD 0 SITEPROP RAMB36_X2Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y16 IS_RESERVED 0 SITEPROP RAMB36_X2Y16 IS_TEST 0 SITEPROP RAMB36_X2Y16 IS_USED 0 SITEPROP RAMB36_X2Y16 MANUAL_ROUTING SITEPROP RAMB36_X2Y16 NAME RAMB36_X2Y16 SITEPROP RAMB36_X2Y16 NUM_ARCS 40 SITEPROP RAMB36_X2Y16 NUM_BELS 1 SITEPROP RAMB36_X2Y16 NUM_INPUTS 235 SITEPROP RAMB36_X2Y16 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y16 NUM_PINS 356 SITEPROP RAMB36_X2Y16 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y16 PROHIBIT 0 SITEPROP RAMB36_X2Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y16 RPM_X 153 SITEPROP RAMB36_X2Y16 RPM_Y 160 SITEPROP RAMB36_X2Y16 SITE_PIPS SITEPROP RAMB36_X2Y16 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y17 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y17 CLASS site SITEPROP RAMB36_X2Y17 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y17 IS_BONDED 0 SITEPROP RAMB36_X2Y17 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y17 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y17 IS_PAD 0 SITEPROP RAMB36_X2Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y17 IS_RESERVED 0 SITEPROP RAMB36_X2Y17 IS_TEST 0 SITEPROP RAMB36_X2Y17 IS_USED 0 SITEPROP RAMB36_X2Y17 MANUAL_ROUTING SITEPROP RAMB36_X2Y17 NAME RAMB36_X2Y17 SITEPROP RAMB36_X2Y17 NUM_ARCS 40 SITEPROP RAMB36_X2Y17 NUM_BELS 1 SITEPROP RAMB36_X2Y17 NUM_INPUTS 235 SITEPROP RAMB36_X2Y17 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y17 NUM_PINS 356 SITEPROP RAMB36_X2Y17 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y17 PROHIBIT 0 SITEPROP RAMB36_X2Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y17 RPM_X 153 SITEPROP RAMB36_X2Y17 RPM_Y 170 SITEPROP RAMB36_X2Y17 SITE_PIPS SITEPROP RAMB36_X2Y17 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y18 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y18 CLASS site SITEPROP RAMB36_X2Y18 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y18 IS_BONDED 0 SITEPROP RAMB36_X2Y18 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y18 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y18 IS_PAD 0 SITEPROP RAMB36_X2Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y18 IS_RESERVED 0 SITEPROP RAMB36_X2Y18 IS_TEST 0 SITEPROP RAMB36_X2Y18 IS_USED 0 SITEPROP RAMB36_X2Y18 MANUAL_ROUTING SITEPROP RAMB36_X2Y18 NAME RAMB36_X2Y18 SITEPROP RAMB36_X2Y18 NUM_ARCS 40 SITEPROP RAMB36_X2Y18 NUM_BELS 1 SITEPROP RAMB36_X2Y18 NUM_INPUTS 235 SITEPROP RAMB36_X2Y18 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y18 NUM_PINS 356 SITEPROP RAMB36_X2Y18 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y18 PROHIBIT 0 SITEPROP RAMB36_X2Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y18 RPM_X 153 SITEPROP RAMB36_X2Y18 RPM_Y 180 SITEPROP RAMB36_X2Y18 SITE_PIPS SITEPROP RAMB36_X2Y18 SITE_TYPE RAMBFIFO36E1 SITEPROP RAMB36_X2Y19 ALTERNATE_SITE_TYPES FIFO36E1 RAMB36E1 SITEPROP RAMB36_X2Y19 CLASS site SITEPROP RAMB36_X2Y19 CLOCK_REGION X1Y1 SITEPROP RAMB36_X2Y19 IS_BONDED 0 SITEPROP RAMB36_X2Y19 IS_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y19 IS_CLOCK_PAD 0 SITEPROP RAMB36_X2Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y19 IS_PAD 0 SITEPROP RAMB36_X2Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP RAMB36_X2Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP RAMB36_X2Y19 IS_RESERVED 0 SITEPROP RAMB36_X2Y19 IS_TEST 0 SITEPROP RAMB36_X2Y19 IS_USED 0 SITEPROP RAMB36_X2Y19 MANUAL_ROUTING SITEPROP RAMB36_X2Y19 NAME RAMB36_X2Y19 SITEPROP RAMB36_X2Y19 NUM_ARCS 40 SITEPROP RAMB36_X2Y19 NUM_BELS 1 SITEPROP RAMB36_X2Y19 NUM_INPUTS 235 SITEPROP RAMB36_X2Y19 NUM_OUTPUTS 121 SITEPROP RAMB36_X2Y19 NUM_PINS 356 SITEPROP RAMB36_X2Y19 PRIMITIVE_COUNT 0 SITEPROP RAMB36_X2Y19 PROHIBIT 0 SITEPROP RAMB36_X2Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP RAMB36_X2Y19 RPM_X 153 SITEPROP RAMB36_X2Y19 RPM_Y 190 SITEPROP RAMB36_X2Y19 SITE_PIPS SITEPROP RAMB36_X2Y19 SITE_TYPE RAMBFIFO36E1 SITEPROP SLICE_X0Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y0 CLASS site SITEPROP SLICE_X0Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y0 IS_BONDED 0 SITEPROP SLICE_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y0 IS_PAD 0 SITEPROP SLICE_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y0 IS_RESERVED 0 SITEPROP SLICE_X0Y0 IS_TEST 0 SITEPROP SLICE_X0Y0 IS_USED 0 SITEPROP SLICE_X0Y0 MANUAL_ROUTING SITEPROP SLICE_X0Y0 NAME SLICE_X0Y0 SITEPROP SLICE_X0Y0 NUM_ARCS 138 SITEPROP SLICE_X0Y0 NUM_BELS 32 SITEPROP SLICE_X0Y0 NUM_INPUTS 32 SITEPROP SLICE_X0Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y0 NUM_PINS 45 SITEPROP SLICE_X0Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y0 PROHIBIT 0 SITEPROP SLICE_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y0 RPM_X 17 SITEPROP SLICE_X0Y0 RPM_Y 0 SITEPROP SLICE_X0Y0 SITE_PIPS SITEPROP SLICE_X0Y0 SITE_TYPE SLICEL SITEPROP SLICE_X0Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y1 CLASS site SITEPROP SLICE_X0Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y1 IS_BONDED 0 SITEPROP SLICE_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y1 IS_PAD 0 SITEPROP SLICE_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y1 IS_RESERVED 0 SITEPROP SLICE_X0Y1 IS_TEST 0 SITEPROP SLICE_X0Y1 IS_USED 0 SITEPROP SLICE_X0Y1 MANUAL_ROUTING SITEPROP SLICE_X0Y1 NAME SLICE_X0Y1 SITEPROP SLICE_X0Y1 NUM_ARCS 138 SITEPROP SLICE_X0Y1 NUM_BELS 32 SITEPROP SLICE_X0Y1 NUM_INPUTS 32 SITEPROP SLICE_X0Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y1 NUM_PINS 45 SITEPROP SLICE_X0Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y1 PROHIBIT 0 SITEPROP SLICE_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y1 RPM_X 17 SITEPROP SLICE_X0Y1 RPM_Y 2 SITEPROP SLICE_X0Y1 SITE_PIPS SITEPROP SLICE_X0Y1 SITE_TYPE SLICEL SITEPROP SLICE_X0Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y2 CLASS site SITEPROP SLICE_X0Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y2 IS_BONDED 0 SITEPROP SLICE_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y2 IS_PAD 0 SITEPROP SLICE_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y2 IS_RESERVED 0 SITEPROP SLICE_X0Y2 IS_TEST 0 SITEPROP SLICE_X0Y2 IS_USED 0 SITEPROP SLICE_X0Y2 MANUAL_ROUTING SITEPROP SLICE_X0Y2 NAME SLICE_X0Y2 SITEPROP SLICE_X0Y2 NUM_ARCS 138 SITEPROP SLICE_X0Y2 NUM_BELS 32 SITEPROP SLICE_X0Y2 NUM_INPUTS 32 SITEPROP SLICE_X0Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y2 NUM_PINS 45 SITEPROP SLICE_X0Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y2 PROHIBIT 0 SITEPROP SLICE_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y2 RPM_X 17 SITEPROP SLICE_X0Y2 RPM_Y 4 SITEPROP SLICE_X0Y2 SITE_PIPS SITEPROP SLICE_X0Y2 SITE_TYPE SLICEL SITEPROP SLICE_X0Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y3 CLASS site SITEPROP SLICE_X0Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y3 IS_BONDED 0 SITEPROP SLICE_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y3 IS_PAD 0 SITEPROP SLICE_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y3 IS_RESERVED 0 SITEPROP SLICE_X0Y3 IS_TEST 0 SITEPROP SLICE_X0Y3 IS_USED 0 SITEPROP SLICE_X0Y3 MANUAL_ROUTING SITEPROP SLICE_X0Y3 NAME SLICE_X0Y3 SITEPROP SLICE_X0Y3 NUM_ARCS 138 SITEPROP SLICE_X0Y3 NUM_BELS 32 SITEPROP SLICE_X0Y3 NUM_INPUTS 32 SITEPROP SLICE_X0Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y3 NUM_PINS 45 SITEPROP SLICE_X0Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y3 PROHIBIT 0 SITEPROP SLICE_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y3 RPM_X 17 SITEPROP SLICE_X0Y3 RPM_Y 6 SITEPROP SLICE_X0Y3 SITE_PIPS SITEPROP SLICE_X0Y3 SITE_TYPE SLICEL SITEPROP SLICE_X0Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y4 CLASS site SITEPROP SLICE_X0Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y4 IS_BONDED 0 SITEPROP SLICE_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y4 IS_PAD 0 SITEPROP SLICE_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y4 IS_RESERVED 0 SITEPROP SLICE_X0Y4 IS_TEST 0 SITEPROP SLICE_X0Y4 IS_USED 0 SITEPROP SLICE_X0Y4 MANUAL_ROUTING SITEPROP SLICE_X0Y4 NAME SLICE_X0Y4 SITEPROP SLICE_X0Y4 NUM_ARCS 138 SITEPROP SLICE_X0Y4 NUM_BELS 32 SITEPROP SLICE_X0Y4 NUM_INPUTS 32 SITEPROP SLICE_X0Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y4 NUM_PINS 45 SITEPROP SLICE_X0Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y4 PROHIBIT 0 SITEPROP SLICE_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y4 RPM_X 17 SITEPROP SLICE_X0Y4 RPM_Y 8 SITEPROP SLICE_X0Y4 SITE_PIPS SITEPROP SLICE_X0Y4 SITE_TYPE SLICEL SITEPROP SLICE_X0Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y5 CLASS site SITEPROP SLICE_X0Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y5 IS_BONDED 0 SITEPROP SLICE_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y5 IS_PAD 0 SITEPROP SLICE_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y5 IS_RESERVED 0 SITEPROP SLICE_X0Y5 IS_TEST 0 SITEPROP SLICE_X0Y5 IS_USED 0 SITEPROP SLICE_X0Y5 MANUAL_ROUTING SITEPROP SLICE_X0Y5 NAME SLICE_X0Y5 SITEPROP SLICE_X0Y5 NUM_ARCS 138 SITEPROP SLICE_X0Y5 NUM_BELS 32 SITEPROP SLICE_X0Y5 NUM_INPUTS 32 SITEPROP SLICE_X0Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y5 NUM_PINS 45 SITEPROP SLICE_X0Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y5 PROHIBIT 0 SITEPROP SLICE_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y5 RPM_X 17 SITEPROP SLICE_X0Y5 RPM_Y 10 SITEPROP SLICE_X0Y5 SITE_PIPS SITEPROP SLICE_X0Y5 SITE_TYPE SLICEL SITEPROP SLICE_X0Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y6 CLASS site SITEPROP SLICE_X0Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y6 IS_BONDED 0 SITEPROP SLICE_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y6 IS_PAD 0 SITEPROP SLICE_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y6 IS_RESERVED 0 SITEPROP SLICE_X0Y6 IS_TEST 0 SITEPROP SLICE_X0Y6 IS_USED 0 SITEPROP SLICE_X0Y6 MANUAL_ROUTING SITEPROP SLICE_X0Y6 NAME SLICE_X0Y6 SITEPROP SLICE_X0Y6 NUM_ARCS 138 SITEPROP SLICE_X0Y6 NUM_BELS 32 SITEPROP SLICE_X0Y6 NUM_INPUTS 32 SITEPROP SLICE_X0Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y6 NUM_PINS 45 SITEPROP SLICE_X0Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y6 PROHIBIT 0 SITEPROP SLICE_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y6 RPM_X 17 SITEPROP SLICE_X0Y6 RPM_Y 12 SITEPROP SLICE_X0Y6 SITE_PIPS SITEPROP SLICE_X0Y6 SITE_TYPE SLICEL SITEPROP SLICE_X0Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y7 CLASS site SITEPROP SLICE_X0Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y7 IS_BONDED 0 SITEPROP SLICE_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y7 IS_PAD 0 SITEPROP SLICE_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y7 IS_RESERVED 0 SITEPROP SLICE_X0Y7 IS_TEST 0 SITEPROP SLICE_X0Y7 IS_USED 0 SITEPROP SLICE_X0Y7 MANUAL_ROUTING SITEPROP SLICE_X0Y7 NAME SLICE_X0Y7 SITEPROP SLICE_X0Y7 NUM_ARCS 138 SITEPROP SLICE_X0Y7 NUM_BELS 32 SITEPROP SLICE_X0Y7 NUM_INPUTS 32 SITEPROP SLICE_X0Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y7 NUM_PINS 45 SITEPROP SLICE_X0Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y7 PROHIBIT 0 SITEPROP SLICE_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y7 RPM_X 17 SITEPROP SLICE_X0Y7 RPM_Y 14 SITEPROP SLICE_X0Y7 SITE_PIPS SITEPROP SLICE_X0Y7 SITE_TYPE SLICEL SITEPROP SLICE_X0Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y8 CLASS site SITEPROP SLICE_X0Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y8 IS_BONDED 0 SITEPROP SLICE_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y8 IS_PAD 0 SITEPROP SLICE_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y8 IS_RESERVED 0 SITEPROP SLICE_X0Y8 IS_TEST 0 SITEPROP SLICE_X0Y8 IS_USED 0 SITEPROP SLICE_X0Y8 MANUAL_ROUTING SITEPROP SLICE_X0Y8 NAME SLICE_X0Y8 SITEPROP SLICE_X0Y8 NUM_ARCS 138 SITEPROP SLICE_X0Y8 NUM_BELS 32 SITEPROP SLICE_X0Y8 NUM_INPUTS 32 SITEPROP SLICE_X0Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y8 NUM_PINS 45 SITEPROP SLICE_X0Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y8 PROHIBIT 0 SITEPROP SLICE_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y8 RPM_X 17 SITEPROP SLICE_X0Y8 RPM_Y 16 SITEPROP SLICE_X0Y8 SITE_PIPS SITEPROP SLICE_X0Y8 SITE_TYPE SLICEL SITEPROP SLICE_X0Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y9 CLASS site SITEPROP SLICE_X0Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y9 IS_BONDED 0 SITEPROP SLICE_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y9 IS_PAD 0 SITEPROP SLICE_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y9 IS_RESERVED 0 SITEPROP SLICE_X0Y9 IS_TEST 0 SITEPROP SLICE_X0Y9 IS_USED 0 SITEPROP SLICE_X0Y9 MANUAL_ROUTING SITEPROP SLICE_X0Y9 NAME SLICE_X0Y9 SITEPROP SLICE_X0Y9 NUM_ARCS 138 SITEPROP SLICE_X0Y9 NUM_BELS 32 SITEPROP SLICE_X0Y9 NUM_INPUTS 32 SITEPROP SLICE_X0Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y9 NUM_PINS 45 SITEPROP SLICE_X0Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y9 PROHIBIT 0 SITEPROP SLICE_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y9 RPM_X 17 SITEPROP SLICE_X0Y9 RPM_Y 18 SITEPROP SLICE_X0Y9 SITE_PIPS SITEPROP SLICE_X0Y9 SITE_TYPE SLICEL SITEPROP SLICE_X0Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y10 CLASS site SITEPROP SLICE_X0Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y10 IS_BONDED 0 SITEPROP SLICE_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y10 IS_PAD 0 SITEPROP SLICE_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y10 IS_RESERVED 0 SITEPROP SLICE_X0Y10 IS_TEST 0 SITEPROP SLICE_X0Y10 IS_USED 0 SITEPROP SLICE_X0Y10 MANUAL_ROUTING SITEPROP SLICE_X0Y10 NAME SLICE_X0Y10 SITEPROP SLICE_X0Y10 NUM_ARCS 138 SITEPROP SLICE_X0Y10 NUM_BELS 32 SITEPROP SLICE_X0Y10 NUM_INPUTS 32 SITEPROP SLICE_X0Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y10 NUM_PINS 45 SITEPROP SLICE_X0Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y10 PROHIBIT 0 SITEPROP SLICE_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y10 RPM_X 17 SITEPROP SLICE_X0Y10 RPM_Y 20 SITEPROP SLICE_X0Y10 SITE_PIPS SITEPROP SLICE_X0Y10 SITE_TYPE SLICEL SITEPROP SLICE_X0Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y11 CLASS site SITEPROP SLICE_X0Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y11 IS_BONDED 0 SITEPROP SLICE_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y11 IS_PAD 0 SITEPROP SLICE_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y11 IS_RESERVED 0 SITEPROP SLICE_X0Y11 IS_TEST 0 SITEPROP SLICE_X0Y11 IS_USED 0 SITEPROP SLICE_X0Y11 MANUAL_ROUTING SITEPROP SLICE_X0Y11 NAME SLICE_X0Y11 SITEPROP SLICE_X0Y11 NUM_ARCS 138 SITEPROP SLICE_X0Y11 NUM_BELS 32 SITEPROP SLICE_X0Y11 NUM_INPUTS 32 SITEPROP SLICE_X0Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y11 NUM_PINS 45 SITEPROP SLICE_X0Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y11 PROHIBIT 0 SITEPROP SLICE_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y11 RPM_X 17 SITEPROP SLICE_X0Y11 RPM_Y 22 SITEPROP SLICE_X0Y11 SITE_PIPS SITEPROP SLICE_X0Y11 SITE_TYPE SLICEL SITEPROP SLICE_X0Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y12 CLASS site SITEPROP SLICE_X0Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y12 IS_BONDED 0 SITEPROP SLICE_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y12 IS_PAD 0 SITEPROP SLICE_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y12 IS_RESERVED 0 SITEPROP SLICE_X0Y12 IS_TEST 0 SITEPROP SLICE_X0Y12 IS_USED 0 SITEPROP SLICE_X0Y12 MANUAL_ROUTING SITEPROP SLICE_X0Y12 NAME SLICE_X0Y12 SITEPROP SLICE_X0Y12 NUM_ARCS 138 SITEPROP SLICE_X0Y12 NUM_BELS 32 SITEPROP SLICE_X0Y12 NUM_INPUTS 32 SITEPROP SLICE_X0Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y12 NUM_PINS 45 SITEPROP SLICE_X0Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y12 PROHIBIT 0 SITEPROP SLICE_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y12 RPM_X 17 SITEPROP SLICE_X0Y12 RPM_Y 24 SITEPROP SLICE_X0Y12 SITE_PIPS SITEPROP SLICE_X0Y12 SITE_TYPE SLICEL SITEPROP SLICE_X0Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y13 CLASS site SITEPROP SLICE_X0Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y13 IS_BONDED 0 SITEPROP SLICE_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y13 IS_PAD 0 SITEPROP SLICE_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y13 IS_RESERVED 0 SITEPROP SLICE_X0Y13 IS_TEST 0 SITEPROP SLICE_X0Y13 IS_USED 0 SITEPROP SLICE_X0Y13 MANUAL_ROUTING SITEPROP SLICE_X0Y13 NAME SLICE_X0Y13 SITEPROP SLICE_X0Y13 NUM_ARCS 138 SITEPROP SLICE_X0Y13 NUM_BELS 32 SITEPROP SLICE_X0Y13 NUM_INPUTS 32 SITEPROP SLICE_X0Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y13 NUM_PINS 45 SITEPROP SLICE_X0Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y13 PROHIBIT 0 SITEPROP SLICE_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y13 RPM_X 17 SITEPROP SLICE_X0Y13 RPM_Y 26 SITEPROP SLICE_X0Y13 SITE_PIPS SITEPROP SLICE_X0Y13 SITE_TYPE SLICEL SITEPROP SLICE_X0Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y14 CLASS site SITEPROP SLICE_X0Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y14 IS_BONDED 0 SITEPROP SLICE_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y14 IS_PAD 0 SITEPROP SLICE_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y14 IS_RESERVED 0 SITEPROP SLICE_X0Y14 IS_TEST 0 SITEPROP SLICE_X0Y14 IS_USED 0 SITEPROP SLICE_X0Y14 MANUAL_ROUTING SITEPROP SLICE_X0Y14 NAME SLICE_X0Y14 SITEPROP SLICE_X0Y14 NUM_ARCS 138 SITEPROP SLICE_X0Y14 NUM_BELS 32 SITEPROP SLICE_X0Y14 NUM_INPUTS 32 SITEPROP SLICE_X0Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y14 NUM_PINS 45 SITEPROP SLICE_X0Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y14 PROHIBIT 0 SITEPROP SLICE_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y14 RPM_X 17 SITEPROP SLICE_X0Y14 RPM_Y 28 SITEPROP SLICE_X0Y14 SITE_PIPS SITEPROP SLICE_X0Y14 SITE_TYPE SLICEL SITEPROP SLICE_X0Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y15 CLASS site SITEPROP SLICE_X0Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y15 IS_BONDED 0 SITEPROP SLICE_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y15 IS_PAD 0 SITEPROP SLICE_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y15 IS_RESERVED 0 SITEPROP SLICE_X0Y15 IS_TEST 0 SITEPROP SLICE_X0Y15 IS_USED 0 SITEPROP SLICE_X0Y15 MANUAL_ROUTING SITEPROP SLICE_X0Y15 NAME SLICE_X0Y15 SITEPROP SLICE_X0Y15 NUM_ARCS 138 SITEPROP SLICE_X0Y15 NUM_BELS 32 SITEPROP SLICE_X0Y15 NUM_INPUTS 32 SITEPROP SLICE_X0Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y15 NUM_PINS 45 SITEPROP SLICE_X0Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y15 PROHIBIT 0 SITEPROP SLICE_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y15 RPM_X 17 SITEPROP SLICE_X0Y15 RPM_Y 30 SITEPROP SLICE_X0Y15 SITE_PIPS SITEPROP SLICE_X0Y15 SITE_TYPE SLICEL SITEPROP SLICE_X0Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y16 CLASS site SITEPROP SLICE_X0Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y16 IS_BONDED 0 SITEPROP SLICE_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y16 IS_PAD 0 SITEPROP SLICE_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y16 IS_RESERVED 0 SITEPROP SLICE_X0Y16 IS_TEST 0 SITEPROP SLICE_X0Y16 IS_USED 0 SITEPROP SLICE_X0Y16 MANUAL_ROUTING SITEPROP SLICE_X0Y16 NAME SLICE_X0Y16 SITEPROP SLICE_X0Y16 NUM_ARCS 138 SITEPROP SLICE_X0Y16 NUM_BELS 32 SITEPROP SLICE_X0Y16 NUM_INPUTS 32 SITEPROP SLICE_X0Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y16 NUM_PINS 45 SITEPROP SLICE_X0Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y16 PROHIBIT 0 SITEPROP SLICE_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y16 RPM_X 17 SITEPROP SLICE_X0Y16 RPM_Y 32 SITEPROP SLICE_X0Y16 SITE_PIPS SITEPROP SLICE_X0Y16 SITE_TYPE SLICEL SITEPROP SLICE_X0Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y17 CLASS site SITEPROP SLICE_X0Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y17 IS_BONDED 0 SITEPROP SLICE_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y17 IS_PAD 0 SITEPROP SLICE_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y17 IS_RESERVED 0 SITEPROP SLICE_X0Y17 IS_TEST 0 SITEPROP SLICE_X0Y17 IS_USED 0 SITEPROP SLICE_X0Y17 MANUAL_ROUTING SITEPROP SLICE_X0Y17 NAME SLICE_X0Y17 SITEPROP SLICE_X0Y17 NUM_ARCS 138 SITEPROP SLICE_X0Y17 NUM_BELS 32 SITEPROP SLICE_X0Y17 NUM_INPUTS 32 SITEPROP SLICE_X0Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y17 NUM_PINS 45 SITEPROP SLICE_X0Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y17 PROHIBIT 0 SITEPROP SLICE_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y17 RPM_X 17 SITEPROP SLICE_X0Y17 RPM_Y 34 SITEPROP SLICE_X0Y17 SITE_PIPS SITEPROP SLICE_X0Y17 SITE_TYPE SLICEL SITEPROP SLICE_X0Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y18 CLASS site SITEPROP SLICE_X0Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y18 IS_BONDED 0 SITEPROP SLICE_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y18 IS_PAD 0 SITEPROP SLICE_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y18 IS_RESERVED 0 SITEPROP SLICE_X0Y18 IS_TEST 0 SITEPROP SLICE_X0Y18 IS_USED 0 SITEPROP SLICE_X0Y18 MANUAL_ROUTING SITEPROP SLICE_X0Y18 NAME SLICE_X0Y18 SITEPROP SLICE_X0Y18 NUM_ARCS 138 SITEPROP SLICE_X0Y18 NUM_BELS 32 SITEPROP SLICE_X0Y18 NUM_INPUTS 32 SITEPROP SLICE_X0Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y18 NUM_PINS 45 SITEPROP SLICE_X0Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y18 PROHIBIT 0 SITEPROP SLICE_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y18 RPM_X 17 SITEPROP SLICE_X0Y18 RPM_Y 36 SITEPROP SLICE_X0Y18 SITE_PIPS SITEPROP SLICE_X0Y18 SITE_TYPE SLICEL SITEPROP SLICE_X0Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y19 CLASS site SITEPROP SLICE_X0Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y19 IS_BONDED 0 SITEPROP SLICE_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y19 IS_PAD 0 SITEPROP SLICE_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y19 IS_RESERVED 0 SITEPROP SLICE_X0Y19 IS_TEST 0 SITEPROP SLICE_X0Y19 IS_USED 0 SITEPROP SLICE_X0Y19 MANUAL_ROUTING SITEPROP SLICE_X0Y19 NAME SLICE_X0Y19 SITEPROP SLICE_X0Y19 NUM_ARCS 138 SITEPROP SLICE_X0Y19 NUM_BELS 32 SITEPROP SLICE_X0Y19 NUM_INPUTS 32 SITEPROP SLICE_X0Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y19 NUM_PINS 45 SITEPROP SLICE_X0Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y19 PROHIBIT 0 SITEPROP SLICE_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y19 RPM_X 17 SITEPROP SLICE_X0Y19 RPM_Y 38 SITEPROP SLICE_X0Y19 SITE_PIPS SITEPROP SLICE_X0Y19 SITE_TYPE SLICEL SITEPROP SLICE_X0Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y20 CLASS site SITEPROP SLICE_X0Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y20 IS_BONDED 0 SITEPROP SLICE_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y20 IS_PAD 0 SITEPROP SLICE_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y20 IS_RESERVED 0 SITEPROP SLICE_X0Y20 IS_TEST 0 SITEPROP SLICE_X0Y20 IS_USED 0 SITEPROP SLICE_X0Y20 MANUAL_ROUTING SITEPROP SLICE_X0Y20 NAME SLICE_X0Y20 SITEPROP SLICE_X0Y20 NUM_ARCS 138 SITEPROP SLICE_X0Y20 NUM_BELS 32 SITEPROP SLICE_X0Y20 NUM_INPUTS 32 SITEPROP SLICE_X0Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y20 NUM_PINS 45 SITEPROP SLICE_X0Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y20 PROHIBIT 0 SITEPROP SLICE_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y20 RPM_X 17 SITEPROP SLICE_X0Y20 RPM_Y 40 SITEPROP SLICE_X0Y20 SITE_PIPS SITEPROP SLICE_X0Y20 SITE_TYPE SLICEL SITEPROP SLICE_X0Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y21 CLASS site SITEPROP SLICE_X0Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y21 IS_BONDED 0 SITEPROP SLICE_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y21 IS_PAD 0 SITEPROP SLICE_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y21 IS_RESERVED 0 SITEPROP SLICE_X0Y21 IS_TEST 0 SITEPROP SLICE_X0Y21 IS_USED 0 SITEPROP SLICE_X0Y21 MANUAL_ROUTING SITEPROP SLICE_X0Y21 NAME SLICE_X0Y21 SITEPROP SLICE_X0Y21 NUM_ARCS 138 SITEPROP SLICE_X0Y21 NUM_BELS 32 SITEPROP SLICE_X0Y21 NUM_INPUTS 32 SITEPROP SLICE_X0Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y21 NUM_PINS 45 SITEPROP SLICE_X0Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y21 PROHIBIT 0 SITEPROP SLICE_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y21 RPM_X 17 SITEPROP SLICE_X0Y21 RPM_Y 42 SITEPROP SLICE_X0Y21 SITE_PIPS SITEPROP SLICE_X0Y21 SITE_TYPE SLICEL SITEPROP SLICE_X0Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y22 CLASS site SITEPROP SLICE_X0Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y22 IS_BONDED 0 SITEPROP SLICE_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y22 IS_PAD 0 SITEPROP SLICE_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y22 IS_RESERVED 0 SITEPROP SLICE_X0Y22 IS_TEST 0 SITEPROP SLICE_X0Y22 IS_USED 0 SITEPROP SLICE_X0Y22 MANUAL_ROUTING SITEPROP SLICE_X0Y22 NAME SLICE_X0Y22 SITEPROP SLICE_X0Y22 NUM_ARCS 138 SITEPROP SLICE_X0Y22 NUM_BELS 32 SITEPROP SLICE_X0Y22 NUM_INPUTS 32 SITEPROP SLICE_X0Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y22 NUM_PINS 45 SITEPROP SLICE_X0Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y22 PROHIBIT 0 SITEPROP SLICE_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y22 RPM_X 17 SITEPROP SLICE_X0Y22 RPM_Y 44 SITEPROP SLICE_X0Y22 SITE_PIPS SITEPROP SLICE_X0Y22 SITE_TYPE SLICEL SITEPROP SLICE_X0Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y23 CLASS site SITEPROP SLICE_X0Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y23 IS_BONDED 0 SITEPROP SLICE_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y23 IS_PAD 0 SITEPROP SLICE_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y23 IS_RESERVED 0 SITEPROP SLICE_X0Y23 IS_TEST 0 SITEPROP SLICE_X0Y23 IS_USED 0 SITEPROP SLICE_X0Y23 MANUAL_ROUTING SITEPROP SLICE_X0Y23 NAME SLICE_X0Y23 SITEPROP SLICE_X0Y23 NUM_ARCS 138 SITEPROP SLICE_X0Y23 NUM_BELS 32 SITEPROP SLICE_X0Y23 NUM_INPUTS 32 SITEPROP SLICE_X0Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y23 NUM_PINS 45 SITEPROP SLICE_X0Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y23 PROHIBIT 0 SITEPROP SLICE_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y23 RPM_X 17 SITEPROP SLICE_X0Y23 RPM_Y 46 SITEPROP SLICE_X0Y23 SITE_PIPS SITEPROP SLICE_X0Y23 SITE_TYPE SLICEL SITEPROP SLICE_X0Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y24 CLASS site SITEPROP SLICE_X0Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y24 IS_BONDED 0 SITEPROP SLICE_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y24 IS_PAD 0 SITEPROP SLICE_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y24 IS_RESERVED 0 SITEPROP SLICE_X0Y24 IS_TEST 0 SITEPROP SLICE_X0Y24 IS_USED 0 SITEPROP SLICE_X0Y24 MANUAL_ROUTING SITEPROP SLICE_X0Y24 NAME SLICE_X0Y24 SITEPROP SLICE_X0Y24 NUM_ARCS 138 SITEPROP SLICE_X0Y24 NUM_BELS 32 SITEPROP SLICE_X0Y24 NUM_INPUTS 32 SITEPROP SLICE_X0Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y24 NUM_PINS 45 SITEPROP SLICE_X0Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y24 PROHIBIT 0 SITEPROP SLICE_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y24 RPM_X 17 SITEPROP SLICE_X0Y24 RPM_Y 48 SITEPROP SLICE_X0Y24 SITE_PIPS SITEPROP SLICE_X0Y24 SITE_TYPE SLICEL SITEPROP SLICE_X0Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y25 CLASS site SITEPROP SLICE_X0Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y25 IS_BONDED 0 SITEPROP SLICE_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y25 IS_PAD 0 SITEPROP SLICE_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y25 IS_RESERVED 0 SITEPROP SLICE_X0Y25 IS_TEST 0 SITEPROP SLICE_X0Y25 IS_USED 0 SITEPROP SLICE_X0Y25 MANUAL_ROUTING SITEPROP SLICE_X0Y25 NAME SLICE_X0Y25 SITEPROP SLICE_X0Y25 NUM_ARCS 138 SITEPROP SLICE_X0Y25 NUM_BELS 32 SITEPROP SLICE_X0Y25 NUM_INPUTS 32 SITEPROP SLICE_X0Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y25 NUM_PINS 45 SITEPROP SLICE_X0Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y25 PROHIBIT 0 SITEPROP SLICE_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y25 RPM_X 17 SITEPROP SLICE_X0Y25 RPM_Y 50 SITEPROP SLICE_X0Y25 SITE_PIPS SITEPROP SLICE_X0Y25 SITE_TYPE SLICEL SITEPROP SLICE_X0Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y26 CLASS site SITEPROP SLICE_X0Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y26 IS_BONDED 0 SITEPROP SLICE_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y26 IS_PAD 0 SITEPROP SLICE_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y26 IS_RESERVED 0 SITEPROP SLICE_X0Y26 IS_TEST 0 SITEPROP SLICE_X0Y26 IS_USED 0 SITEPROP SLICE_X0Y26 MANUAL_ROUTING SITEPROP SLICE_X0Y26 NAME SLICE_X0Y26 SITEPROP SLICE_X0Y26 NUM_ARCS 138 SITEPROP SLICE_X0Y26 NUM_BELS 32 SITEPROP SLICE_X0Y26 NUM_INPUTS 32 SITEPROP SLICE_X0Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y26 NUM_PINS 45 SITEPROP SLICE_X0Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y26 PROHIBIT 0 SITEPROP SLICE_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y26 RPM_X 17 SITEPROP SLICE_X0Y26 RPM_Y 52 SITEPROP SLICE_X0Y26 SITE_PIPS SITEPROP SLICE_X0Y26 SITE_TYPE SLICEL SITEPROP SLICE_X0Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y27 CLASS site SITEPROP SLICE_X0Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y27 IS_BONDED 0 SITEPROP SLICE_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y27 IS_PAD 0 SITEPROP SLICE_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y27 IS_RESERVED 0 SITEPROP SLICE_X0Y27 IS_TEST 0 SITEPROP SLICE_X0Y27 IS_USED 0 SITEPROP SLICE_X0Y27 MANUAL_ROUTING SITEPROP SLICE_X0Y27 NAME SLICE_X0Y27 SITEPROP SLICE_X0Y27 NUM_ARCS 138 SITEPROP SLICE_X0Y27 NUM_BELS 32 SITEPROP SLICE_X0Y27 NUM_INPUTS 32 SITEPROP SLICE_X0Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y27 NUM_PINS 45 SITEPROP SLICE_X0Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y27 PROHIBIT 0 SITEPROP SLICE_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y27 RPM_X 17 SITEPROP SLICE_X0Y27 RPM_Y 54 SITEPROP SLICE_X0Y27 SITE_PIPS SITEPROP SLICE_X0Y27 SITE_TYPE SLICEL SITEPROP SLICE_X0Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y28 CLASS site SITEPROP SLICE_X0Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y28 IS_BONDED 0 SITEPROP SLICE_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y28 IS_PAD 0 SITEPROP SLICE_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y28 IS_RESERVED 0 SITEPROP SLICE_X0Y28 IS_TEST 0 SITEPROP SLICE_X0Y28 IS_USED 0 SITEPROP SLICE_X0Y28 MANUAL_ROUTING SITEPROP SLICE_X0Y28 NAME SLICE_X0Y28 SITEPROP SLICE_X0Y28 NUM_ARCS 138 SITEPROP SLICE_X0Y28 NUM_BELS 32 SITEPROP SLICE_X0Y28 NUM_INPUTS 32 SITEPROP SLICE_X0Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y28 NUM_PINS 45 SITEPROP SLICE_X0Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y28 PROHIBIT 0 SITEPROP SLICE_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y28 RPM_X 17 SITEPROP SLICE_X0Y28 RPM_Y 56 SITEPROP SLICE_X0Y28 SITE_PIPS SITEPROP SLICE_X0Y28 SITE_TYPE SLICEL SITEPROP SLICE_X0Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y29 CLASS site SITEPROP SLICE_X0Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y29 IS_BONDED 0 SITEPROP SLICE_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y29 IS_PAD 0 SITEPROP SLICE_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y29 IS_RESERVED 0 SITEPROP SLICE_X0Y29 IS_TEST 0 SITEPROP SLICE_X0Y29 IS_USED 0 SITEPROP SLICE_X0Y29 MANUAL_ROUTING SITEPROP SLICE_X0Y29 NAME SLICE_X0Y29 SITEPROP SLICE_X0Y29 NUM_ARCS 138 SITEPROP SLICE_X0Y29 NUM_BELS 32 SITEPROP SLICE_X0Y29 NUM_INPUTS 32 SITEPROP SLICE_X0Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y29 NUM_PINS 45 SITEPROP SLICE_X0Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y29 PROHIBIT 0 SITEPROP SLICE_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y29 RPM_X 17 SITEPROP SLICE_X0Y29 RPM_Y 58 SITEPROP SLICE_X0Y29 SITE_PIPS SITEPROP SLICE_X0Y29 SITE_TYPE SLICEL SITEPROP SLICE_X0Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y30 CLASS site SITEPROP SLICE_X0Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y30 IS_BONDED 0 SITEPROP SLICE_X0Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y30 IS_PAD 0 SITEPROP SLICE_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y30 IS_RESERVED 0 SITEPROP SLICE_X0Y30 IS_TEST 0 SITEPROP SLICE_X0Y30 IS_USED 0 SITEPROP SLICE_X0Y30 MANUAL_ROUTING SITEPROP SLICE_X0Y30 NAME SLICE_X0Y30 SITEPROP SLICE_X0Y30 NUM_ARCS 138 SITEPROP SLICE_X0Y30 NUM_BELS 32 SITEPROP SLICE_X0Y30 NUM_INPUTS 32 SITEPROP SLICE_X0Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y30 NUM_PINS 45 SITEPROP SLICE_X0Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y30 PROHIBIT 0 SITEPROP SLICE_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y30 RPM_X 17 SITEPROP SLICE_X0Y30 RPM_Y 60 SITEPROP SLICE_X0Y30 SITE_PIPS SITEPROP SLICE_X0Y30 SITE_TYPE SLICEL SITEPROP SLICE_X0Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y31 CLASS site SITEPROP SLICE_X0Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y31 IS_BONDED 0 SITEPROP SLICE_X0Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y31 IS_PAD 0 SITEPROP SLICE_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y31 IS_RESERVED 0 SITEPROP SLICE_X0Y31 IS_TEST 0 SITEPROP SLICE_X0Y31 IS_USED 0 SITEPROP SLICE_X0Y31 MANUAL_ROUTING SITEPROP SLICE_X0Y31 NAME SLICE_X0Y31 SITEPROP SLICE_X0Y31 NUM_ARCS 138 SITEPROP SLICE_X0Y31 NUM_BELS 32 SITEPROP SLICE_X0Y31 NUM_INPUTS 32 SITEPROP SLICE_X0Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y31 NUM_PINS 45 SITEPROP SLICE_X0Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y31 PROHIBIT 0 SITEPROP SLICE_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y31 RPM_X 17 SITEPROP SLICE_X0Y31 RPM_Y 62 SITEPROP SLICE_X0Y31 SITE_PIPS SITEPROP SLICE_X0Y31 SITE_TYPE SLICEL SITEPROP SLICE_X0Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y32 CLASS site SITEPROP SLICE_X0Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y32 IS_BONDED 0 SITEPROP SLICE_X0Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y32 IS_PAD 0 SITEPROP SLICE_X0Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y32 IS_RESERVED 0 SITEPROP SLICE_X0Y32 IS_TEST 0 SITEPROP SLICE_X0Y32 IS_USED 0 SITEPROP SLICE_X0Y32 MANUAL_ROUTING SITEPROP SLICE_X0Y32 NAME SLICE_X0Y32 SITEPROP SLICE_X0Y32 NUM_ARCS 138 SITEPROP SLICE_X0Y32 NUM_BELS 32 SITEPROP SLICE_X0Y32 NUM_INPUTS 32 SITEPROP SLICE_X0Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y32 NUM_PINS 45 SITEPROP SLICE_X0Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y32 PROHIBIT 0 SITEPROP SLICE_X0Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y32 RPM_X 17 SITEPROP SLICE_X0Y32 RPM_Y 64 SITEPROP SLICE_X0Y32 SITE_PIPS SITEPROP SLICE_X0Y32 SITE_TYPE SLICEL SITEPROP SLICE_X0Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y33 CLASS site SITEPROP SLICE_X0Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y33 IS_BONDED 0 SITEPROP SLICE_X0Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y33 IS_PAD 0 SITEPROP SLICE_X0Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y33 IS_RESERVED 0 SITEPROP SLICE_X0Y33 IS_TEST 0 SITEPROP SLICE_X0Y33 IS_USED 0 SITEPROP SLICE_X0Y33 MANUAL_ROUTING SITEPROP SLICE_X0Y33 NAME SLICE_X0Y33 SITEPROP SLICE_X0Y33 NUM_ARCS 138 SITEPROP SLICE_X0Y33 NUM_BELS 32 SITEPROP SLICE_X0Y33 NUM_INPUTS 32 SITEPROP SLICE_X0Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y33 NUM_PINS 45 SITEPROP SLICE_X0Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y33 PROHIBIT 0 SITEPROP SLICE_X0Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y33 RPM_X 17 SITEPROP SLICE_X0Y33 RPM_Y 66 SITEPROP SLICE_X0Y33 SITE_PIPS SITEPROP SLICE_X0Y33 SITE_TYPE SLICEL SITEPROP SLICE_X0Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y34 CLASS site SITEPROP SLICE_X0Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y34 IS_BONDED 0 SITEPROP SLICE_X0Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y34 IS_PAD 0 SITEPROP SLICE_X0Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y34 IS_RESERVED 0 SITEPROP SLICE_X0Y34 IS_TEST 0 SITEPROP SLICE_X0Y34 IS_USED 0 SITEPROP SLICE_X0Y34 MANUAL_ROUTING SITEPROP SLICE_X0Y34 NAME SLICE_X0Y34 SITEPROP SLICE_X0Y34 NUM_ARCS 138 SITEPROP SLICE_X0Y34 NUM_BELS 32 SITEPROP SLICE_X0Y34 NUM_INPUTS 32 SITEPROP SLICE_X0Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y34 NUM_PINS 45 SITEPROP SLICE_X0Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y34 PROHIBIT 0 SITEPROP SLICE_X0Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y34 RPM_X 17 SITEPROP SLICE_X0Y34 RPM_Y 68 SITEPROP SLICE_X0Y34 SITE_PIPS SITEPROP SLICE_X0Y34 SITE_TYPE SLICEL SITEPROP SLICE_X0Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y35 CLASS site SITEPROP SLICE_X0Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y35 IS_BONDED 0 SITEPROP SLICE_X0Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y35 IS_PAD 0 SITEPROP SLICE_X0Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y35 IS_RESERVED 0 SITEPROP SLICE_X0Y35 IS_TEST 0 SITEPROP SLICE_X0Y35 IS_USED 0 SITEPROP SLICE_X0Y35 MANUAL_ROUTING SITEPROP SLICE_X0Y35 NAME SLICE_X0Y35 SITEPROP SLICE_X0Y35 NUM_ARCS 138 SITEPROP SLICE_X0Y35 NUM_BELS 32 SITEPROP SLICE_X0Y35 NUM_INPUTS 32 SITEPROP SLICE_X0Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y35 NUM_PINS 45 SITEPROP SLICE_X0Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y35 PROHIBIT 0 SITEPROP SLICE_X0Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y35 RPM_X 17 SITEPROP SLICE_X0Y35 RPM_Y 70 SITEPROP SLICE_X0Y35 SITE_PIPS SITEPROP SLICE_X0Y35 SITE_TYPE SLICEL SITEPROP SLICE_X0Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y36 CLASS site SITEPROP SLICE_X0Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y36 IS_BONDED 0 SITEPROP SLICE_X0Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y36 IS_PAD 0 SITEPROP SLICE_X0Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y36 IS_RESERVED 0 SITEPROP SLICE_X0Y36 IS_TEST 0 SITEPROP SLICE_X0Y36 IS_USED 0 SITEPROP SLICE_X0Y36 MANUAL_ROUTING SITEPROP SLICE_X0Y36 NAME SLICE_X0Y36 SITEPROP SLICE_X0Y36 NUM_ARCS 138 SITEPROP SLICE_X0Y36 NUM_BELS 32 SITEPROP SLICE_X0Y36 NUM_INPUTS 32 SITEPROP SLICE_X0Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y36 NUM_PINS 45 SITEPROP SLICE_X0Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y36 PROHIBIT 0 SITEPROP SLICE_X0Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y36 RPM_X 17 SITEPROP SLICE_X0Y36 RPM_Y 72 SITEPROP SLICE_X0Y36 SITE_PIPS SITEPROP SLICE_X0Y36 SITE_TYPE SLICEL SITEPROP SLICE_X0Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y37 CLASS site SITEPROP SLICE_X0Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y37 IS_BONDED 0 SITEPROP SLICE_X0Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y37 IS_PAD 0 SITEPROP SLICE_X0Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y37 IS_RESERVED 0 SITEPROP SLICE_X0Y37 IS_TEST 0 SITEPROP SLICE_X0Y37 IS_USED 0 SITEPROP SLICE_X0Y37 MANUAL_ROUTING SITEPROP SLICE_X0Y37 NAME SLICE_X0Y37 SITEPROP SLICE_X0Y37 NUM_ARCS 138 SITEPROP SLICE_X0Y37 NUM_BELS 32 SITEPROP SLICE_X0Y37 NUM_INPUTS 32 SITEPROP SLICE_X0Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y37 NUM_PINS 45 SITEPROP SLICE_X0Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y37 PROHIBIT 0 SITEPROP SLICE_X0Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y37 RPM_X 17 SITEPROP SLICE_X0Y37 RPM_Y 74 SITEPROP SLICE_X0Y37 SITE_PIPS SITEPROP SLICE_X0Y37 SITE_TYPE SLICEL SITEPROP SLICE_X0Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y38 CLASS site SITEPROP SLICE_X0Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y38 IS_BONDED 0 SITEPROP SLICE_X0Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y38 IS_PAD 0 SITEPROP SLICE_X0Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y38 IS_RESERVED 0 SITEPROP SLICE_X0Y38 IS_TEST 0 SITEPROP SLICE_X0Y38 IS_USED 0 SITEPROP SLICE_X0Y38 MANUAL_ROUTING SITEPROP SLICE_X0Y38 NAME SLICE_X0Y38 SITEPROP SLICE_X0Y38 NUM_ARCS 138 SITEPROP SLICE_X0Y38 NUM_BELS 32 SITEPROP SLICE_X0Y38 NUM_INPUTS 32 SITEPROP SLICE_X0Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y38 NUM_PINS 45 SITEPROP SLICE_X0Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y38 PROHIBIT 0 SITEPROP SLICE_X0Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y38 RPM_X 17 SITEPROP SLICE_X0Y38 RPM_Y 76 SITEPROP SLICE_X0Y38 SITE_PIPS SITEPROP SLICE_X0Y38 SITE_TYPE SLICEL SITEPROP SLICE_X0Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y39 CLASS site SITEPROP SLICE_X0Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y39 IS_BONDED 0 SITEPROP SLICE_X0Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y39 IS_PAD 0 SITEPROP SLICE_X0Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y39 IS_RESERVED 0 SITEPROP SLICE_X0Y39 IS_TEST 0 SITEPROP SLICE_X0Y39 IS_USED 0 SITEPROP SLICE_X0Y39 MANUAL_ROUTING SITEPROP SLICE_X0Y39 NAME SLICE_X0Y39 SITEPROP SLICE_X0Y39 NUM_ARCS 138 SITEPROP SLICE_X0Y39 NUM_BELS 32 SITEPROP SLICE_X0Y39 NUM_INPUTS 32 SITEPROP SLICE_X0Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y39 NUM_PINS 45 SITEPROP SLICE_X0Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y39 PROHIBIT 0 SITEPROP SLICE_X0Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y39 RPM_X 17 SITEPROP SLICE_X0Y39 RPM_Y 78 SITEPROP SLICE_X0Y39 SITE_PIPS SITEPROP SLICE_X0Y39 SITE_TYPE SLICEL SITEPROP SLICE_X0Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y40 CLASS site SITEPROP SLICE_X0Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y40 IS_BONDED 0 SITEPROP SLICE_X0Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y40 IS_PAD 0 SITEPROP SLICE_X0Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y40 IS_RESERVED 0 SITEPROP SLICE_X0Y40 IS_TEST 0 SITEPROP SLICE_X0Y40 IS_USED 0 SITEPROP SLICE_X0Y40 MANUAL_ROUTING SITEPROP SLICE_X0Y40 NAME SLICE_X0Y40 SITEPROP SLICE_X0Y40 NUM_ARCS 138 SITEPROP SLICE_X0Y40 NUM_BELS 32 SITEPROP SLICE_X0Y40 NUM_INPUTS 32 SITEPROP SLICE_X0Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y40 NUM_PINS 45 SITEPROP SLICE_X0Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y40 PROHIBIT 0 SITEPROP SLICE_X0Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y40 RPM_X 17 SITEPROP SLICE_X0Y40 RPM_Y 80 SITEPROP SLICE_X0Y40 SITE_PIPS SITEPROP SLICE_X0Y40 SITE_TYPE SLICEL SITEPROP SLICE_X0Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y41 CLASS site SITEPROP SLICE_X0Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y41 IS_BONDED 0 SITEPROP SLICE_X0Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y41 IS_PAD 0 SITEPROP SLICE_X0Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y41 IS_RESERVED 0 SITEPROP SLICE_X0Y41 IS_TEST 0 SITEPROP SLICE_X0Y41 IS_USED 0 SITEPROP SLICE_X0Y41 MANUAL_ROUTING SITEPROP SLICE_X0Y41 NAME SLICE_X0Y41 SITEPROP SLICE_X0Y41 NUM_ARCS 138 SITEPROP SLICE_X0Y41 NUM_BELS 32 SITEPROP SLICE_X0Y41 NUM_INPUTS 32 SITEPROP SLICE_X0Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y41 NUM_PINS 45 SITEPROP SLICE_X0Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y41 PROHIBIT 0 SITEPROP SLICE_X0Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y41 RPM_X 17 SITEPROP SLICE_X0Y41 RPM_Y 82 SITEPROP SLICE_X0Y41 SITE_PIPS SITEPROP SLICE_X0Y41 SITE_TYPE SLICEL SITEPROP SLICE_X0Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y42 CLASS site SITEPROP SLICE_X0Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y42 IS_BONDED 0 SITEPROP SLICE_X0Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y42 IS_PAD 0 SITEPROP SLICE_X0Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y42 IS_RESERVED 0 SITEPROP SLICE_X0Y42 IS_TEST 0 SITEPROP SLICE_X0Y42 IS_USED 0 SITEPROP SLICE_X0Y42 MANUAL_ROUTING SITEPROP SLICE_X0Y42 NAME SLICE_X0Y42 SITEPROP SLICE_X0Y42 NUM_ARCS 138 SITEPROP SLICE_X0Y42 NUM_BELS 32 SITEPROP SLICE_X0Y42 NUM_INPUTS 32 SITEPROP SLICE_X0Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y42 NUM_PINS 45 SITEPROP SLICE_X0Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y42 PROHIBIT 0 SITEPROP SLICE_X0Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y42 RPM_X 17 SITEPROP SLICE_X0Y42 RPM_Y 84 SITEPROP SLICE_X0Y42 SITE_PIPS SITEPROP SLICE_X0Y42 SITE_TYPE SLICEL SITEPROP SLICE_X0Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y43 CLASS site SITEPROP SLICE_X0Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y43 IS_BONDED 0 SITEPROP SLICE_X0Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y43 IS_PAD 0 SITEPROP SLICE_X0Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y43 IS_RESERVED 0 SITEPROP SLICE_X0Y43 IS_TEST 0 SITEPROP SLICE_X0Y43 IS_USED 0 SITEPROP SLICE_X0Y43 MANUAL_ROUTING SITEPROP SLICE_X0Y43 NAME SLICE_X0Y43 SITEPROP SLICE_X0Y43 NUM_ARCS 138 SITEPROP SLICE_X0Y43 NUM_BELS 32 SITEPROP SLICE_X0Y43 NUM_INPUTS 32 SITEPROP SLICE_X0Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y43 NUM_PINS 45 SITEPROP SLICE_X0Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y43 PROHIBIT 0 SITEPROP SLICE_X0Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y43 RPM_X 17 SITEPROP SLICE_X0Y43 RPM_Y 86 SITEPROP SLICE_X0Y43 SITE_PIPS SITEPROP SLICE_X0Y43 SITE_TYPE SLICEL SITEPROP SLICE_X0Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y44 CLASS site SITEPROP SLICE_X0Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y44 IS_BONDED 0 SITEPROP SLICE_X0Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y44 IS_PAD 0 SITEPROP SLICE_X0Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y44 IS_RESERVED 0 SITEPROP SLICE_X0Y44 IS_TEST 0 SITEPROP SLICE_X0Y44 IS_USED 0 SITEPROP SLICE_X0Y44 MANUAL_ROUTING SITEPROP SLICE_X0Y44 NAME SLICE_X0Y44 SITEPROP SLICE_X0Y44 NUM_ARCS 138 SITEPROP SLICE_X0Y44 NUM_BELS 32 SITEPROP SLICE_X0Y44 NUM_INPUTS 32 SITEPROP SLICE_X0Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y44 NUM_PINS 45 SITEPROP SLICE_X0Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y44 PROHIBIT 0 SITEPROP SLICE_X0Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y44 RPM_X 17 SITEPROP SLICE_X0Y44 RPM_Y 88 SITEPROP SLICE_X0Y44 SITE_PIPS SITEPROP SLICE_X0Y44 SITE_TYPE SLICEL SITEPROP SLICE_X0Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y45 CLASS site SITEPROP SLICE_X0Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y45 IS_BONDED 0 SITEPROP SLICE_X0Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y45 IS_PAD 0 SITEPROP SLICE_X0Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y45 IS_RESERVED 0 SITEPROP SLICE_X0Y45 IS_TEST 0 SITEPROP SLICE_X0Y45 IS_USED 0 SITEPROP SLICE_X0Y45 MANUAL_ROUTING SITEPROP SLICE_X0Y45 NAME SLICE_X0Y45 SITEPROP SLICE_X0Y45 NUM_ARCS 138 SITEPROP SLICE_X0Y45 NUM_BELS 32 SITEPROP SLICE_X0Y45 NUM_INPUTS 32 SITEPROP SLICE_X0Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y45 NUM_PINS 45 SITEPROP SLICE_X0Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y45 PROHIBIT 0 SITEPROP SLICE_X0Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y45 RPM_X 17 SITEPROP SLICE_X0Y45 RPM_Y 90 SITEPROP SLICE_X0Y45 SITE_PIPS SITEPROP SLICE_X0Y45 SITE_TYPE SLICEL SITEPROP SLICE_X0Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y46 CLASS site SITEPROP SLICE_X0Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y46 IS_BONDED 0 SITEPROP SLICE_X0Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y46 IS_PAD 0 SITEPROP SLICE_X0Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y46 IS_RESERVED 0 SITEPROP SLICE_X0Y46 IS_TEST 0 SITEPROP SLICE_X0Y46 IS_USED 0 SITEPROP SLICE_X0Y46 MANUAL_ROUTING SITEPROP SLICE_X0Y46 NAME SLICE_X0Y46 SITEPROP SLICE_X0Y46 NUM_ARCS 138 SITEPROP SLICE_X0Y46 NUM_BELS 32 SITEPROP SLICE_X0Y46 NUM_INPUTS 32 SITEPROP SLICE_X0Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y46 NUM_PINS 45 SITEPROP SLICE_X0Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y46 PROHIBIT 0 SITEPROP SLICE_X0Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y46 RPM_X 17 SITEPROP SLICE_X0Y46 RPM_Y 92 SITEPROP SLICE_X0Y46 SITE_PIPS SITEPROP SLICE_X0Y46 SITE_TYPE SLICEL SITEPROP SLICE_X0Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y47 CLASS site SITEPROP SLICE_X0Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y47 IS_BONDED 0 SITEPROP SLICE_X0Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y47 IS_PAD 0 SITEPROP SLICE_X0Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y47 IS_RESERVED 0 SITEPROP SLICE_X0Y47 IS_TEST 0 SITEPROP SLICE_X0Y47 IS_USED 0 SITEPROP SLICE_X0Y47 MANUAL_ROUTING SITEPROP SLICE_X0Y47 NAME SLICE_X0Y47 SITEPROP SLICE_X0Y47 NUM_ARCS 138 SITEPROP SLICE_X0Y47 NUM_BELS 32 SITEPROP SLICE_X0Y47 NUM_INPUTS 32 SITEPROP SLICE_X0Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y47 NUM_PINS 45 SITEPROP SLICE_X0Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y47 PROHIBIT 0 SITEPROP SLICE_X0Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y47 RPM_X 17 SITEPROP SLICE_X0Y47 RPM_Y 94 SITEPROP SLICE_X0Y47 SITE_PIPS SITEPROP SLICE_X0Y47 SITE_TYPE SLICEL SITEPROP SLICE_X0Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y48 CLASS site SITEPROP SLICE_X0Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y48 IS_BONDED 0 SITEPROP SLICE_X0Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y48 IS_PAD 0 SITEPROP SLICE_X0Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y48 IS_RESERVED 0 SITEPROP SLICE_X0Y48 IS_TEST 0 SITEPROP SLICE_X0Y48 IS_USED 0 SITEPROP SLICE_X0Y48 MANUAL_ROUTING SITEPROP SLICE_X0Y48 NAME SLICE_X0Y48 SITEPROP SLICE_X0Y48 NUM_ARCS 138 SITEPROP SLICE_X0Y48 NUM_BELS 32 SITEPROP SLICE_X0Y48 NUM_INPUTS 32 SITEPROP SLICE_X0Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y48 NUM_PINS 45 SITEPROP SLICE_X0Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y48 PROHIBIT 0 SITEPROP SLICE_X0Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y48 RPM_X 17 SITEPROP SLICE_X0Y48 RPM_Y 96 SITEPROP SLICE_X0Y48 SITE_PIPS SITEPROP SLICE_X0Y48 SITE_TYPE SLICEL SITEPROP SLICE_X0Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y49 CLASS site SITEPROP SLICE_X0Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X0Y49 IS_BONDED 0 SITEPROP SLICE_X0Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y49 IS_PAD 0 SITEPROP SLICE_X0Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y49 IS_RESERVED 0 SITEPROP SLICE_X0Y49 IS_TEST 0 SITEPROP SLICE_X0Y49 IS_USED 0 SITEPROP SLICE_X0Y49 MANUAL_ROUTING SITEPROP SLICE_X0Y49 NAME SLICE_X0Y49 SITEPROP SLICE_X0Y49 NUM_ARCS 138 SITEPROP SLICE_X0Y49 NUM_BELS 32 SITEPROP SLICE_X0Y49 NUM_INPUTS 32 SITEPROP SLICE_X0Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y49 NUM_PINS 45 SITEPROP SLICE_X0Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y49 PROHIBIT 0 SITEPROP SLICE_X0Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y49 RPM_X 17 SITEPROP SLICE_X0Y49 RPM_Y 98 SITEPROP SLICE_X0Y49 SITE_PIPS SITEPROP SLICE_X0Y49 SITE_TYPE SLICEL SITEPROP SLICE_X0Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y50 CLASS site SITEPROP SLICE_X0Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y50 IS_BONDED 0 SITEPROP SLICE_X0Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y50 IS_PAD 0 SITEPROP SLICE_X0Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y50 IS_RESERVED 0 SITEPROP SLICE_X0Y50 IS_TEST 0 SITEPROP SLICE_X0Y50 IS_USED 0 SITEPROP SLICE_X0Y50 MANUAL_ROUTING SITEPROP SLICE_X0Y50 NAME SLICE_X0Y50 SITEPROP SLICE_X0Y50 NUM_ARCS 138 SITEPROP SLICE_X0Y50 NUM_BELS 32 SITEPROP SLICE_X0Y50 NUM_INPUTS 32 SITEPROP SLICE_X0Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y50 NUM_PINS 45 SITEPROP SLICE_X0Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y50 PROHIBIT 0 SITEPROP SLICE_X0Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y50 RPM_X 17 SITEPROP SLICE_X0Y50 RPM_Y 100 SITEPROP SLICE_X0Y50 SITE_PIPS SITEPROP SLICE_X0Y50 SITE_TYPE SLICEL SITEPROP SLICE_X0Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y51 CLASS site SITEPROP SLICE_X0Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y51 IS_BONDED 0 SITEPROP SLICE_X0Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y51 IS_PAD 0 SITEPROP SLICE_X0Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y51 IS_RESERVED 0 SITEPROP SLICE_X0Y51 IS_TEST 0 SITEPROP SLICE_X0Y51 IS_USED 0 SITEPROP SLICE_X0Y51 MANUAL_ROUTING SITEPROP SLICE_X0Y51 NAME SLICE_X0Y51 SITEPROP SLICE_X0Y51 NUM_ARCS 138 SITEPROP SLICE_X0Y51 NUM_BELS 32 SITEPROP SLICE_X0Y51 NUM_INPUTS 32 SITEPROP SLICE_X0Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y51 NUM_PINS 45 SITEPROP SLICE_X0Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y51 PROHIBIT 0 SITEPROP SLICE_X0Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y51 RPM_X 17 SITEPROP SLICE_X0Y51 RPM_Y 102 SITEPROP SLICE_X0Y51 SITE_PIPS SITEPROP SLICE_X0Y51 SITE_TYPE SLICEL SITEPROP SLICE_X0Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y52 CLASS site SITEPROP SLICE_X0Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y52 IS_BONDED 0 SITEPROP SLICE_X0Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y52 IS_PAD 0 SITEPROP SLICE_X0Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y52 IS_RESERVED 0 SITEPROP SLICE_X0Y52 IS_TEST 0 SITEPROP SLICE_X0Y52 IS_USED 0 SITEPROP SLICE_X0Y52 MANUAL_ROUTING SITEPROP SLICE_X0Y52 NAME SLICE_X0Y52 SITEPROP SLICE_X0Y52 NUM_ARCS 138 SITEPROP SLICE_X0Y52 NUM_BELS 32 SITEPROP SLICE_X0Y52 NUM_INPUTS 32 SITEPROP SLICE_X0Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y52 NUM_PINS 45 SITEPROP SLICE_X0Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y52 PROHIBIT 0 SITEPROP SLICE_X0Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y52 RPM_X 17 SITEPROP SLICE_X0Y52 RPM_Y 104 SITEPROP SLICE_X0Y52 SITE_PIPS SITEPROP SLICE_X0Y52 SITE_TYPE SLICEL SITEPROP SLICE_X0Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y53 CLASS site SITEPROP SLICE_X0Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y53 IS_BONDED 0 SITEPROP SLICE_X0Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y53 IS_PAD 0 SITEPROP SLICE_X0Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y53 IS_RESERVED 0 SITEPROP SLICE_X0Y53 IS_TEST 0 SITEPROP SLICE_X0Y53 IS_USED 0 SITEPROP SLICE_X0Y53 MANUAL_ROUTING SITEPROP SLICE_X0Y53 NAME SLICE_X0Y53 SITEPROP SLICE_X0Y53 NUM_ARCS 138 SITEPROP SLICE_X0Y53 NUM_BELS 32 SITEPROP SLICE_X0Y53 NUM_INPUTS 32 SITEPROP SLICE_X0Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y53 NUM_PINS 45 SITEPROP SLICE_X0Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y53 PROHIBIT 0 SITEPROP SLICE_X0Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y53 RPM_X 17 SITEPROP SLICE_X0Y53 RPM_Y 106 SITEPROP SLICE_X0Y53 SITE_PIPS SITEPROP SLICE_X0Y53 SITE_TYPE SLICEL SITEPROP SLICE_X0Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y54 CLASS site SITEPROP SLICE_X0Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y54 IS_BONDED 0 SITEPROP SLICE_X0Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y54 IS_PAD 0 SITEPROP SLICE_X0Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y54 IS_RESERVED 0 SITEPROP SLICE_X0Y54 IS_TEST 0 SITEPROP SLICE_X0Y54 IS_USED 0 SITEPROP SLICE_X0Y54 MANUAL_ROUTING SITEPROP SLICE_X0Y54 NAME SLICE_X0Y54 SITEPROP SLICE_X0Y54 NUM_ARCS 138 SITEPROP SLICE_X0Y54 NUM_BELS 32 SITEPROP SLICE_X0Y54 NUM_INPUTS 32 SITEPROP SLICE_X0Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y54 NUM_PINS 45 SITEPROP SLICE_X0Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y54 PROHIBIT 0 SITEPROP SLICE_X0Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y54 RPM_X 17 SITEPROP SLICE_X0Y54 RPM_Y 108 SITEPROP SLICE_X0Y54 SITE_PIPS SITEPROP SLICE_X0Y54 SITE_TYPE SLICEL SITEPROP SLICE_X0Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y55 CLASS site SITEPROP SLICE_X0Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y55 IS_BONDED 0 SITEPROP SLICE_X0Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y55 IS_PAD 0 SITEPROP SLICE_X0Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y55 IS_RESERVED 0 SITEPROP SLICE_X0Y55 IS_TEST 0 SITEPROP SLICE_X0Y55 IS_USED 0 SITEPROP SLICE_X0Y55 MANUAL_ROUTING SITEPROP SLICE_X0Y55 NAME SLICE_X0Y55 SITEPROP SLICE_X0Y55 NUM_ARCS 138 SITEPROP SLICE_X0Y55 NUM_BELS 32 SITEPROP SLICE_X0Y55 NUM_INPUTS 32 SITEPROP SLICE_X0Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y55 NUM_PINS 45 SITEPROP SLICE_X0Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y55 PROHIBIT 0 SITEPROP SLICE_X0Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y55 RPM_X 17 SITEPROP SLICE_X0Y55 RPM_Y 110 SITEPROP SLICE_X0Y55 SITE_PIPS SITEPROP SLICE_X0Y55 SITE_TYPE SLICEL SITEPROP SLICE_X0Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y56 CLASS site SITEPROP SLICE_X0Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y56 IS_BONDED 0 SITEPROP SLICE_X0Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y56 IS_PAD 0 SITEPROP SLICE_X0Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y56 IS_RESERVED 0 SITEPROP SLICE_X0Y56 IS_TEST 0 SITEPROP SLICE_X0Y56 IS_USED 0 SITEPROP SLICE_X0Y56 MANUAL_ROUTING SITEPROP SLICE_X0Y56 NAME SLICE_X0Y56 SITEPROP SLICE_X0Y56 NUM_ARCS 138 SITEPROP SLICE_X0Y56 NUM_BELS 32 SITEPROP SLICE_X0Y56 NUM_INPUTS 32 SITEPROP SLICE_X0Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y56 NUM_PINS 45 SITEPROP SLICE_X0Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y56 PROHIBIT 0 SITEPROP SLICE_X0Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y56 RPM_X 17 SITEPROP SLICE_X0Y56 RPM_Y 112 SITEPROP SLICE_X0Y56 SITE_PIPS SITEPROP SLICE_X0Y56 SITE_TYPE SLICEL SITEPROP SLICE_X0Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y57 CLASS site SITEPROP SLICE_X0Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y57 IS_BONDED 0 SITEPROP SLICE_X0Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y57 IS_PAD 0 SITEPROP SLICE_X0Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y57 IS_RESERVED 0 SITEPROP SLICE_X0Y57 IS_TEST 0 SITEPROP SLICE_X0Y57 IS_USED 0 SITEPROP SLICE_X0Y57 MANUAL_ROUTING SITEPROP SLICE_X0Y57 NAME SLICE_X0Y57 SITEPROP SLICE_X0Y57 NUM_ARCS 138 SITEPROP SLICE_X0Y57 NUM_BELS 32 SITEPROP SLICE_X0Y57 NUM_INPUTS 32 SITEPROP SLICE_X0Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y57 NUM_PINS 45 SITEPROP SLICE_X0Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y57 PROHIBIT 0 SITEPROP SLICE_X0Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y57 RPM_X 17 SITEPROP SLICE_X0Y57 RPM_Y 114 SITEPROP SLICE_X0Y57 SITE_PIPS SITEPROP SLICE_X0Y57 SITE_TYPE SLICEL SITEPROP SLICE_X0Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y58 CLASS site SITEPROP SLICE_X0Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y58 IS_BONDED 0 SITEPROP SLICE_X0Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y58 IS_PAD 0 SITEPROP SLICE_X0Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y58 IS_RESERVED 0 SITEPROP SLICE_X0Y58 IS_TEST 0 SITEPROP SLICE_X0Y58 IS_USED 0 SITEPROP SLICE_X0Y58 MANUAL_ROUTING SITEPROP SLICE_X0Y58 NAME SLICE_X0Y58 SITEPROP SLICE_X0Y58 NUM_ARCS 138 SITEPROP SLICE_X0Y58 NUM_BELS 32 SITEPROP SLICE_X0Y58 NUM_INPUTS 32 SITEPROP SLICE_X0Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y58 NUM_PINS 45 SITEPROP SLICE_X0Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y58 PROHIBIT 0 SITEPROP SLICE_X0Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y58 RPM_X 17 SITEPROP SLICE_X0Y58 RPM_Y 116 SITEPROP SLICE_X0Y58 SITE_PIPS SITEPROP SLICE_X0Y58 SITE_TYPE SLICEL SITEPROP SLICE_X0Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y59 CLASS site SITEPROP SLICE_X0Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y59 IS_BONDED 0 SITEPROP SLICE_X0Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y59 IS_PAD 0 SITEPROP SLICE_X0Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y59 IS_RESERVED 0 SITEPROP SLICE_X0Y59 IS_TEST 0 SITEPROP SLICE_X0Y59 IS_USED 0 SITEPROP SLICE_X0Y59 MANUAL_ROUTING SITEPROP SLICE_X0Y59 NAME SLICE_X0Y59 SITEPROP SLICE_X0Y59 NUM_ARCS 138 SITEPROP SLICE_X0Y59 NUM_BELS 32 SITEPROP SLICE_X0Y59 NUM_INPUTS 32 SITEPROP SLICE_X0Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y59 NUM_PINS 45 SITEPROP SLICE_X0Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y59 PROHIBIT 0 SITEPROP SLICE_X0Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y59 RPM_X 17 SITEPROP SLICE_X0Y59 RPM_Y 118 SITEPROP SLICE_X0Y59 SITE_PIPS SITEPROP SLICE_X0Y59 SITE_TYPE SLICEL SITEPROP SLICE_X0Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y60 CLASS site SITEPROP SLICE_X0Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y60 IS_BONDED 0 SITEPROP SLICE_X0Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y60 IS_PAD 0 SITEPROP SLICE_X0Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y60 IS_RESERVED 0 SITEPROP SLICE_X0Y60 IS_TEST 0 SITEPROP SLICE_X0Y60 IS_USED 0 SITEPROP SLICE_X0Y60 MANUAL_ROUTING SITEPROP SLICE_X0Y60 NAME SLICE_X0Y60 SITEPROP SLICE_X0Y60 NUM_ARCS 138 SITEPROP SLICE_X0Y60 NUM_BELS 32 SITEPROP SLICE_X0Y60 NUM_INPUTS 32 SITEPROP SLICE_X0Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y60 NUM_PINS 45 SITEPROP SLICE_X0Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y60 PROHIBIT 0 SITEPROP SLICE_X0Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y60 RPM_X 17 SITEPROP SLICE_X0Y60 RPM_Y 120 SITEPROP SLICE_X0Y60 SITE_PIPS SITEPROP SLICE_X0Y60 SITE_TYPE SLICEL SITEPROP SLICE_X0Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y61 CLASS site SITEPROP SLICE_X0Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y61 IS_BONDED 0 SITEPROP SLICE_X0Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y61 IS_PAD 0 SITEPROP SLICE_X0Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y61 IS_RESERVED 0 SITEPROP SLICE_X0Y61 IS_TEST 0 SITEPROP SLICE_X0Y61 IS_USED 0 SITEPROP SLICE_X0Y61 MANUAL_ROUTING SITEPROP SLICE_X0Y61 NAME SLICE_X0Y61 SITEPROP SLICE_X0Y61 NUM_ARCS 138 SITEPROP SLICE_X0Y61 NUM_BELS 32 SITEPROP SLICE_X0Y61 NUM_INPUTS 32 SITEPROP SLICE_X0Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y61 NUM_PINS 45 SITEPROP SLICE_X0Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y61 PROHIBIT 0 SITEPROP SLICE_X0Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y61 RPM_X 17 SITEPROP SLICE_X0Y61 RPM_Y 122 SITEPROP SLICE_X0Y61 SITE_PIPS SITEPROP SLICE_X0Y61 SITE_TYPE SLICEL SITEPROP SLICE_X0Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y62 CLASS site SITEPROP SLICE_X0Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y62 IS_BONDED 0 SITEPROP SLICE_X0Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y62 IS_PAD 0 SITEPROP SLICE_X0Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y62 IS_RESERVED 0 SITEPROP SLICE_X0Y62 IS_TEST 0 SITEPROP SLICE_X0Y62 IS_USED 0 SITEPROP SLICE_X0Y62 MANUAL_ROUTING SITEPROP SLICE_X0Y62 NAME SLICE_X0Y62 SITEPROP SLICE_X0Y62 NUM_ARCS 138 SITEPROP SLICE_X0Y62 NUM_BELS 32 SITEPROP SLICE_X0Y62 NUM_INPUTS 32 SITEPROP SLICE_X0Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y62 NUM_PINS 45 SITEPROP SLICE_X0Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y62 PROHIBIT 0 SITEPROP SLICE_X0Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y62 RPM_X 17 SITEPROP SLICE_X0Y62 RPM_Y 124 SITEPROP SLICE_X0Y62 SITE_PIPS SITEPROP SLICE_X0Y62 SITE_TYPE SLICEL SITEPROP SLICE_X0Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y63 CLASS site SITEPROP SLICE_X0Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y63 IS_BONDED 0 SITEPROP SLICE_X0Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y63 IS_PAD 0 SITEPROP SLICE_X0Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y63 IS_RESERVED 0 SITEPROP SLICE_X0Y63 IS_TEST 0 SITEPROP SLICE_X0Y63 IS_USED 0 SITEPROP SLICE_X0Y63 MANUAL_ROUTING SITEPROP SLICE_X0Y63 NAME SLICE_X0Y63 SITEPROP SLICE_X0Y63 NUM_ARCS 138 SITEPROP SLICE_X0Y63 NUM_BELS 32 SITEPROP SLICE_X0Y63 NUM_INPUTS 32 SITEPROP SLICE_X0Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y63 NUM_PINS 45 SITEPROP SLICE_X0Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y63 PROHIBIT 0 SITEPROP SLICE_X0Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y63 RPM_X 17 SITEPROP SLICE_X0Y63 RPM_Y 126 SITEPROP SLICE_X0Y63 SITE_PIPS SITEPROP SLICE_X0Y63 SITE_TYPE SLICEL SITEPROP SLICE_X0Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y64 CLASS site SITEPROP SLICE_X0Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y64 IS_BONDED 0 SITEPROP SLICE_X0Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y64 IS_PAD 0 SITEPROP SLICE_X0Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y64 IS_RESERVED 0 SITEPROP SLICE_X0Y64 IS_TEST 0 SITEPROP SLICE_X0Y64 IS_USED 0 SITEPROP SLICE_X0Y64 MANUAL_ROUTING SITEPROP SLICE_X0Y64 NAME SLICE_X0Y64 SITEPROP SLICE_X0Y64 NUM_ARCS 138 SITEPROP SLICE_X0Y64 NUM_BELS 32 SITEPROP SLICE_X0Y64 NUM_INPUTS 32 SITEPROP SLICE_X0Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y64 NUM_PINS 45 SITEPROP SLICE_X0Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y64 PROHIBIT 0 SITEPROP SLICE_X0Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y64 RPM_X 17 SITEPROP SLICE_X0Y64 RPM_Y 128 SITEPROP SLICE_X0Y64 SITE_PIPS SITEPROP SLICE_X0Y64 SITE_TYPE SLICEL SITEPROP SLICE_X0Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y65 CLASS site SITEPROP SLICE_X0Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y65 IS_BONDED 0 SITEPROP SLICE_X0Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y65 IS_PAD 0 SITEPROP SLICE_X0Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y65 IS_RESERVED 0 SITEPROP SLICE_X0Y65 IS_TEST 0 SITEPROP SLICE_X0Y65 IS_USED 0 SITEPROP SLICE_X0Y65 MANUAL_ROUTING SITEPROP SLICE_X0Y65 NAME SLICE_X0Y65 SITEPROP SLICE_X0Y65 NUM_ARCS 138 SITEPROP SLICE_X0Y65 NUM_BELS 32 SITEPROP SLICE_X0Y65 NUM_INPUTS 32 SITEPROP SLICE_X0Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y65 NUM_PINS 45 SITEPROP SLICE_X0Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y65 PROHIBIT 0 SITEPROP SLICE_X0Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y65 RPM_X 17 SITEPROP SLICE_X0Y65 RPM_Y 130 SITEPROP SLICE_X0Y65 SITE_PIPS SITEPROP SLICE_X0Y65 SITE_TYPE SLICEL SITEPROP SLICE_X0Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y66 CLASS site SITEPROP SLICE_X0Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y66 IS_BONDED 0 SITEPROP SLICE_X0Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y66 IS_PAD 0 SITEPROP SLICE_X0Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y66 IS_RESERVED 0 SITEPROP SLICE_X0Y66 IS_TEST 0 SITEPROP SLICE_X0Y66 IS_USED 0 SITEPROP SLICE_X0Y66 MANUAL_ROUTING SITEPROP SLICE_X0Y66 NAME SLICE_X0Y66 SITEPROP SLICE_X0Y66 NUM_ARCS 138 SITEPROP SLICE_X0Y66 NUM_BELS 32 SITEPROP SLICE_X0Y66 NUM_INPUTS 32 SITEPROP SLICE_X0Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y66 NUM_PINS 45 SITEPROP SLICE_X0Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y66 PROHIBIT 0 SITEPROP SLICE_X0Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y66 RPM_X 17 SITEPROP SLICE_X0Y66 RPM_Y 132 SITEPROP SLICE_X0Y66 SITE_PIPS SITEPROP SLICE_X0Y66 SITE_TYPE SLICEL SITEPROP SLICE_X0Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y67 CLASS site SITEPROP SLICE_X0Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y67 IS_BONDED 0 SITEPROP SLICE_X0Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y67 IS_PAD 0 SITEPROP SLICE_X0Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y67 IS_RESERVED 0 SITEPROP SLICE_X0Y67 IS_TEST 0 SITEPROP SLICE_X0Y67 IS_USED 0 SITEPROP SLICE_X0Y67 MANUAL_ROUTING SITEPROP SLICE_X0Y67 NAME SLICE_X0Y67 SITEPROP SLICE_X0Y67 NUM_ARCS 138 SITEPROP SLICE_X0Y67 NUM_BELS 32 SITEPROP SLICE_X0Y67 NUM_INPUTS 32 SITEPROP SLICE_X0Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y67 NUM_PINS 45 SITEPROP SLICE_X0Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y67 PROHIBIT 0 SITEPROP SLICE_X0Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y67 RPM_X 17 SITEPROP SLICE_X0Y67 RPM_Y 134 SITEPROP SLICE_X0Y67 SITE_PIPS SITEPROP SLICE_X0Y67 SITE_TYPE SLICEL SITEPROP SLICE_X0Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y68 CLASS site SITEPROP SLICE_X0Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y68 IS_BONDED 0 SITEPROP SLICE_X0Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y68 IS_PAD 0 SITEPROP SLICE_X0Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y68 IS_RESERVED 0 SITEPROP SLICE_X0Y68 IS_TEST 0 SITEPROP SLICE_X0Y68 IS_USED 0 SITEPROP SLICE_X0Y68 MANUAL_ROUTING SITEPROP SLICE_X0Y68 NAME SLICE_X0Y68 SITEPROP SLICE_X0Y68 NUM_ARCS 138 SITEPROP SLICE_X0Y68 NUM_BELS 32 SITEPROP SLICE_X0Y68 NUM_INPUTS 32 SITEPROP SLICE_X0Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y68 NUM_PINS 45 SITEPROP SLICE_X0Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y68 PROHIBIT 0 SITEPROP SLICE_X0Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y68 RPM_X 17 SITEPROP SLICE_X0Y68 RPM_Y 136 SITEPROP SLICE_X0Y68 SITE_PIPS SITEPROP SLICE_X0Y68 SITE_TYPE SLICEL SITEPROP SLICE_X0Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y69 CLASS site SITEPROP SLICE_X0Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y69 IS_BONDED 0 SITEPROP SLICE_X0Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y69 IS_PAD 0 SITEPROP SLICE_X0Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y69 IS_RESERVED 0 SITEPROP SLICE_X0Y69 IS_TEST 0 SITEPROP SLICE_X0Y69 IS_USED 0 SITEPROP SLICE_X0Y69 MANUAL_ROUTING SITEPROP SLICE_X0Y69 NAME SLICE_X0Y69 SITEPROP SLICE_X0Y69 NUM_ARCS 138 SITEPROP SLICE_X0Y69 NUM_BELS 32 SITEPROP SLICE_X0Y69 NUM_INPUTS 32 SITEPROP SLICE_X0Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y69 NUM_PINS 45 SITEPROP SLICE_X0Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y69 PROHIBIT 0 SITEPROP SLICE_X0Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y69 RPM_X 17 SITEPROP SLICE_X0Y69 RPM_Y 138 SITEPROP SLICE_X0Y69 SITE_PIPS SITEPROP SLICE_X0Y69 SITE_TYPE SLICEL SITEPROP SLICE_X0Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y70 CLASS site SITEPROP SLICE_X0Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y70 IS_BONDED 0 SITEPROP SLICE_X0Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y70 IS_PAD 0 SITEPROP SLICE_X0Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y70 IS_RESERVED 0 SITEPROP SLICE_X0Y70 IS_TEST 0 SITEPROP SLICE_X0Y70 IS_USED 0 SITEPROP SLICE_X0Y70 MANUAL_ROUTING SITEPROP SLICE_X0Y70 NAME SLICE_X0Y70 SITEPROP SLICE_X0Y70 NUM_ARCS 138 SITEPROP SLICE_X0Y70 NUM_BELS 32 SITEPROP SLICE_X0Y70 NUM_INPUTS 32 SITEPROP SLICE_X0Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y70 NUM_PINS 45 SITEPROP SLICE_X0Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y70 PROHIBIT 0 SITEPROP SLICE_X0Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y70 RPM_X 17 SITEPROP SLICE_X0Y70 RPM_Y 140 SITEPROP SLICE_X0Y70 SITE_PIPS SITEPROP SLICE_X0Y70 SITE_TYPE SLICEL SITEPROP SLICE_X0Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y71 CLASS site SITEPROP SLICE_X0Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y71 IS_BONDED 0 SITEPROP SLICE_X0Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y71 IS_PAD 0 SITEPROP SLICE_X0Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y71 IS_RESERVED 0 SITEPROP SLICE_X0Y71 IS_TEST 0 SITEPROP SLICE_X0Y71 IS_USED 0 SITEPROP SLICE_X0Y71 MANUAL_ROUTING SITEPROP SLICE_X0Y71 NAME SLICE_X0Y71 SITEPROP SLICE_X0Y71 NUM_ARCS 138 SITEPROP SLICE_X0Y71 NUM_BELS 32 SITEPROP SLICE_X0Y71 NUM_INPUTS 32 SITEPROP SLICE_X0Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y71 NUM_PINS 45 SITEPROP SLICE_X0Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y71 PROHIBIT 0 SITEPROP SLICE_X0Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y71 RPM_X 17 SITEPROP SLICE_X0Y71 RPM_Y 142 SITEPROP SLICE_X0Y71 SITE_PIPS SITEPROP SLICE_X0Y71 SITE_TYPE SLICEL SITEPROP SLICE_X0Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y72 CLASS site SITEPROP SLICE_X0Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y72 IS_BONDED 0 SITEPROP SLICE_X0Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y72 IS_PAD 0 SITEPROP SLICE_X0Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y72 IS_RESERVED 0 SITEPROP SLICE_X0Y72 IS_TEST 0 SITEPROP SLICE_X0Y72 IS_USED 0 SITEPROP SLICE_X0Y72 MANUAL_ROUTING SITEPROP SLICE_X0Y72 NAME SLICE_X0Y72 SITEPROP SLICE_X0Y72 NUM_ARCS 138 SITEPROP SLICE_X0Y72 NUM_BELS 32 SITEPROP SLICE_X0Y72 NUM_INPUTS 32 SITEPROP SLICE_X0Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y72 NUM_PINS 45 SITEPROP SLICE_X0Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y72 PROHIBIT 0 SITEPROP SLICE_X0Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y72 RPM_X 17 SITEPROP SLICE_X0Y72 RPM_Y 144 SITEPROP SLICE_X0Y72 SITE_PIPS SITEPROP SLICE_X0Y72 SITE_TYPE SLICEL SITEPROP SLICE_X0Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y73 CLASS site SITEPROP SLICE_X0Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y73 IS_BONDED 0 SITEPROP SLICE_X0Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y73 IS_PAD 0 SITEPROP SLICE_X0Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y73 IS_RESERVED 0 SITEPROP SLICE_X0Y73 IS_TEST 0 SITEPROP SLICE_X0Y73 IS_USED 0 SITEPROP SLICE_X0Y73 MANUAL_ROUTING SITEPROP SLICE_X0Y73 NAME SLICE_X0Y73 SITEPROP SLICE_X0Y73 NUM_ARCS 138 SITEPROP SLICE_X0Y73 NUM_BELS 32 SITEPROP SLICE_X0Y73 NUM_INPUTS 32 SITEPROP SLICE_X0Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y73 NUM_PINS 45 SITEPROP SLICE_X0Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y73 PROHIBIT 0 SITEPROP SLICE_X0Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y73 RPM_X 17 SITEPROP SLICE_X0Y73 RPM_Y 146 SITEPROP SLICE_X0Y73 SITE_PIPS SITEPROP SLICE_X0Y73 SITE_TYPE SLICEL SITEPROP SLICE_X0Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y74 CLASS site SITEPROP SLICE_X0Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y74 IS_BONDED 0 SITEPROP SLICE_X0Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y74 IS_PAD 0 SITEPROP SLICE_X0Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y74 IS_RESERVED 0 SITEPROP SLICE_X0Y74 IS_TEST 0 SITEPROP SLICE_X0Y74 IS_USED 0 SITEPROP SLICE_X0Y74 MANUAL_ROUTING SITEPROP SLICE_X0Y74 NAME SLICE_X0Y74 SITEPROP SLICE_X0Y74 NUM_ARCS 138 SITEPROP SLICE_X0Y74 NUM_BELS 32 SITEPROP SLICE_X0Y74 NUM_INPUTS 32 SITEPROP SLICE_X0Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y74 NUM_PINS 45 SITEPROP SLICE_X0Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y74 PROHIBIT 0 SITEPROP SLICE_X0Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y74 RPM_X 17 SITEPROP SLICE_X0Y74 RPM_Y 148 SITEPROP SLICE_X0Y74 SITE_PIPS SITEPROP SLICE_X0Y74 SITE_TYPE SLICEL SITEPROP SLICE_X0Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y75 CLASS site SITEPROP SLICE_X0Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y75 IS_BONDED 0 SITEPROP SLICE_X0Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y75 IS_PAD 0 SITEPROP SLICE_X0Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y75 IS_RESERVED 0 SITEPROP SLICE_X0Y75 IS_TEST 0 SITEPROP SLICE_X0Y75 IS_USED 0 SITEPROP SLICE_X0Y75 MANUAL_ROUTING SITEPROP SLICE_X0Y75 NAME SLICE_X0Y75 SITEPROP SLICE_X0Y75 NUM_ARCS 138 SITEPROP SLICE_X0Y75 NUM_BELS 32 SITEPROP SLICE_X0Y75 NUM_INPUTS 32 SITEPROP SLICE_X0Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y75 NUM_PINS 45 SITEPROP SLICE_X0Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y75 PROHIBIT 0 SITEPROP SLICE_X0Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y75 RPM_X 17 SITEPROP SLICE_X0Y75 RPM_Y 150 SITEPROP SLICE_X0Y75 SITE_PIPS SITEPROP SLICE_X0Y75 SITE_TYPE SLICEL SITEPROP SLICE_X0Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y76 CLASS site SITEPROP SLICE_X0Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y76 IS_BONDED 0 SITEPROP SLICE_X0Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y76 IS_PAD 0 SITEPROP SLICE_X0Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y76 IS_RESERVED 0 SITEPROP SLICE_X0Y76 IS_TEST 0 SITEPROP SLICE_X0Y76 IS_USED 0 SITEPROP SLICE_X0Y76 MANUAL_ROUTING SITEPROP SLICE_X0Y76 NAME SLICE_X0Y76 SITEPROP SLICE_X0Y76 NUM_ARCS 138 SITEPROP SLICE_X0Y76 NUM_BELS 32 SITEPROP SLICE_X0Y76 NUM_INPUTS 32 SITEPROP SLICE_X0Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y76 NUM_PINS 45 SITEPROP SLICE_X0Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y76 PROHIBIT 0 SITEPROP SLICE_X0Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y76 RPM_X 17 SITEPROP SLICE_X0Y76 RPM_Y 152 SITEPROP SLICE_X0Y76 SITE_PIPS SITEPROP SLICE_X0Y76 SITE_TYPE SLICEL SITEPROP SLICE_X0Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y77 CLASS site SITEPROP SLICE_X0Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y77 IS_BONDED 0 SITEPROP SLICE_X0Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y77 IS_PAD 0 SITEPROP SLICE_X0Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y77 IS_RESERVED 0 SITEPROP SLICE_X0Y77 IS_TEST 0 SITEPROP SLICE_X0Y77 IS_USED 0 SITEPROP SLICE_X0Y77 MANUAL_ROUTING SITEPROP SLICE_X0Y77 NAME SLICE_X0Y77 SITEPROP SLICE_X0Y77 NUM_ARCS 138 SITEPROP SLICE_X0Y77 NUM_BELS 32 SITEPROP SLICE_X0Y77 NUM_INPUTS 32 SITEPROP SLICE_X0Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y77 NUM_PINS 45 SITEPROP SLICE_X0Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y77 PROHIBIT 0 SITEPROP SLICE_X0Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y77 RPM_X 17 SITEPROP SLICE_X0Y77 RPM_Y 154 SITEPROP SLICE_X0Y77 SITE_PIPS SITEPROP SLICE_X0Y77 SITE_TYPE SLICEL SITEPROP SLICE_X0Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y78 CLASS site SITEPROP SLICE_X0Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y78 IS_BONDED 0 SITEPROP SLICE_X0Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y78 IS_PAD 0 SITEPROP SLICE_X0Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y78 IS_RESERVED 0 SITEPROP SLICE_X0Y78 IS_TEST 0 SITEPROP SLICE_X0Y78 IS_USED 0 SITEPROP SLICE_X0Y78 MANUAL_ROUTING SITEPROP SLICE_X0Y78 NAME SLICE_X0Y78 SITEPROP SLICE_X0Y78 NUM_ARCS 138 SITEPROP SLICE_X0Y78 NUM_BELS 32 SITEPROP SLICE_X0Y78 NUM_INPUTS 32 SITEPROP SLICE_X0Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y78 NUM_PINS 45 SITEPROP SLICE_X0Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y78 PROHIBIT 0 SITEPROP SLICE_X0Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y78 RPM_X 17 SITEPROP SLICE_X0Y78 RPM_Y 156 SITEPROP SLICE_X0Y78 SITE_PIPS SITEPROP SLICE_X0Y78 SITE_TYPE SLICEL SITEPROP SLICE_X0Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y79 CLASS site SITEPROP SLICE_X0Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y79 IS_BONDED 0 SITEPROP SLICE_X0Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y79 IS_PAD 0 SITEPROP SLICE_X0Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y79 IS_RESERVED 0 SITEPROP SLICE_X0Y79 IS_TEST 0 SITEPROP SLICE_X0Y79 IS_USED 0 SITEPROP SLICE_X0Y79 MANUAL_ROUTING SITEPROP SLICE_X0Y79 NAME SLICE_X0Y79 SITEPROP SLICE_X0Y79 NUM_ARCS 138 SITEPROP SLICE_X0Y79 NUM_BELS 32 SITEPROP SLICE_X0Y79 NUM_INPUTS 32 SITEPROP SLICE_X0Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y79 NUM_PINS 45 SITEPROP SLICE_X0Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y79 PROHIBIT 0 SITEPROP SLICE_X0Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y79 RPM_X 17 SITEPROP SLICE_X0Y79 RPM_Y 158 SITEPROP SLICE_X0Y79 SITE_PIPS SITEPROP SLICE_X0Y79 SITE_TYPE SLICEL SITEPROP SLICE_X0Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y80 CLASS site SITEPROP SLICE_X0Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y80 IS_BONDED 0 SITEPROP SLICE_X0Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y80 IS_PAD 0 SITEPROP SLICE_X0Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y80 IS_RESERVED 0 SITEPROP SLICE_X0Y80 IS_TEST 0 SITEPROP SLICE_X0Y80 IS_USED 0 SITEPROP SLICE_X0Y80 MANUAL_ROUTING SITEPROP SLICE_X0Y80 NAME SLICE_X0Y80 SITEPROP SLICE_X0Y80 NUM_ARCS 138 SITEPROP SLICE_X0Y80 NUM_BELS 32 SITEPROP SLICE_X0Y80 NUM_INPUTS 32 SITEPROP SLICE_X0Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y80 NUM_PINS 45 SITEPROP SLICE_X0Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y80 PROHIBIT 0 SITEPROP SLICE_X0Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y80 RPM_X 17 SITEPROP SLICE_X0Y80 RPM_Y 160 SITEPROP SLICE_X0Y80 SITE_PIPS SITEPROP SLICE_X0Y80 SITE_TYPE SLICEL SITEPROP SLICE_X0Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y81 CLASS site SITEPROP SLICE_X0Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y81 IS_BONDED 0 SITEPROP SLICE_X0Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y81 IS_PAD 0 SITEPROP SLICE_X0Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y81 IS_RESERVED 0 SITEPROP SLICE_X0Y81 IS_TEST 0 SITEPROP SLICE_X0Y81 IS_USED 0 SITEPROP SLICE_X0Y81 MANUAL_ROUTING SITEPROP SLICE_X0Y81 NAME SLICE_X0Y81 SITEPROP SLICE_X0Y81 NUM_ARCS 138 SITEPROP SLICE_X0Y81 NUM_BELS 32 SITEPROP SLICE_X0Y81 NUM_INPUTS 32 SITEPROP SLICE_X0Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y81 NUM_PINS 45 SITEPROP SLICE_X0Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y81 PROHIBIT 0 SITEPROP SLICE_X0Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y81 RPM_X 17 SITEPROP SLICE_X0Y81 RPM_Y 162 SITEPROP SLICE_X0Y81 SITE_PIPS SITEPROP SLICE_X0Y81 SITE_TYPE SLICEL SITEPROP SLICE_X0Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y82 CLASS site SITEPROP SLICE_X0Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y82 IS_BONDED 0 SITEPROP SLICE_X0Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y82 IS_PAD 0 SITEPROP SLICE_X0Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y82 IS_RESERVED 0 SITEPROP SLICE_X0Y82 IS_TEST 0 SITEPROP SLICE_X0Y82 IS_USED 0 SITEPROP SLICE_X0Y82 MANUAL_ROUTING SITEPROP SLICE_X0Y82 NAME SLICE_X0Y82 SITEPROP SLICE_X0Y82 NUM_ARCS 138 SITEPROP SLICE_X0Y82 NUM_BELS 32 SITEPROP SLICE_X0Y82 NUM_INPUTS 32 SITEPROP SLICE_X0Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y82 NUM_PINS 45 SITEPROP SLICE_X0Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y82 PROHIBIT 0 SITEPROP SLICE_X0Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y82 RPM_X 17 SITEPROP SLICE_X0Y82 RPM_Y 164 SITEPROP SLICE_X0Y82 SITE_PIPS SITEPROP SLICE_X0Y82 SITE_TYPE SLICEL SITEPROP SLICE_X0Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y83 CLASS site SITEPROP SLICE_X0Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y83 IS_BONDED 0 SITEPROP SLICE_X0Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y83 IS_PAD 0 SITEPROP SLICE_X0Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y83 IS_RESERVED 0 SITEPROP SLICE_X0Y83 IS_TEST 0 SITEPROP SLICE_X0Y83 IS_USED 0 SITEPROP SLICE_X0Y83 MANUAL_ROUTING SITEPROP SLICE_X0Y83 NAME SLICE_X0Y83 SITEPROP SLICE_X0Y83 NUM_ARCS 138 SITEPROP SLICE_X0Y83 NUM_BELS 32 SITEPROP SLICE_X0Y83 NUM_INPUTS 32 SITEPROP SLICE_X0Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y83 NUM_PINS 45 SITEPROP SLICE_X0Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y83 PROHIBIT 0 SITEPROP SLICE_X0Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y83 RPM_X 17 SITEPROP SLICE_X0Y83 RPM_Y 166 SITEPROP SLICE_X0Y83 SITE_PIPS SITEPROP SLICE_X0Y83 SITE_TYPE SLICEL SITEPROP SLICE_X0Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y84 CLASS site SITEPROP SLICE_X0Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y84 IS_BONDED 0 SITEPROP SLICE_X0Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y84 IS_PAD 0 SITEPROP SLICE_X0Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y84 IS_RESERVED 0 SITEPROP SLICE_X0Y84 IS_TEST 0 SITEPROP SLICE_X0Y84 IS_USED 0 SITEPROP SLICE_X0Y84 MANUAL_ROUTING SITEPROP SLICE_X0Y84 NAME SLICE_X0Y84 SITEPROP SLICE_X0Y84 NUM_ARCS 138 SITEPROP SLICE_X0Y84 NUM_BELS 32 SITEPROP SLICE_X0Y84 NUM_INPUTS 32 SITEPROP SLICE_X0Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y84 NUM_PINS 45 SITEPROP SLICE_X0Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y84 PROHIBIT 0 SITEPROP SLICE_X0Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y84 RPM_X 17 SITEPROP SLICE_X0Y84 RPM_Y 168 SITEPROP SLICE_X0Y84 SITE_PIPS SITEPROP SLICE_X0Y84 SITE_TYPE SLICEL SITEPROP SLICE_X0Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y85 CLASS site SITEPROP SLICE_X0Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y85 IS_BONDED 0 SITEPROP SLICE_X0Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y85 IS_PAD 0 SITEPROP SLICE_X0Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y85 IS_RESERVED 0 SITEPROP SLICE_X0Y85 IS_TEST 0 SITEPROP SLICE_X0Y85 IS_USED 0 SITEPROP SLICE_X0Y85 MANUAL_ROUTING SITEPROP SLICE_X0Y85 NAME SLICE_X0Y85 SITEPROP SLICE_X0Y85 NUM_ARCS 138 SITEPROP SLICE_X0Y85 NUM_BELS 32 SITEPROP SLICE_X0Y85 NUM_INPUTS 32 SITEPROP SLICE_X0Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y85 NUM_PINS 45 SITEPROP SLICE_X0Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y85 PROHIBIT 0 SITEPROP SLICE_X0Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y85 RPM_X 17 SITEPROP SLICE_X0Y85 RPM_Y 170 SITEPROP SLICE_X0Y85 SITE_PIPS SITEPROP SLICE_X0Y85 SITE_TYPE SLICEL SITEPROP SLICE_X0Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y86 CLASS site SITEPROP SLICE_X0Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y86 IS_BONDED 0 SITEPROP SLICE_X0Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y86 IS_PAD 0 SITEPROP SLICE_X0Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y86 IS_RESERVED 0 SITEPROP SLICE_X0Y86 IS_TEST 0 SITEPROP SLICE_X0Y86 IS_USED 0 SITEPROP SLICE_X0Y86 MANUAL_ROUTING SITEPROP SLICE_X0Y86 NAME SLICE_X0Y86 SITEPROP SLICE_X0Y86 NUM_ARCS 138 SITEPROP SLICE_X0Y86 NUM_BELS 32 SITEPROP SLICE_X0Y86 NUM_INPUTS 32 SITEPROP SLICE_X0Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y86 NUM_PINS 45 SITEPROP SLICE_X0Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y86 PROHIBIT 0 SITEPROP SLICE_X0Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y86 RPM_X 17 SITEPROP SLICE_X0Y86 RPM_Y 172 SITEPROP SLICE_X0Y86 SITE_PIPS SITEPROP SLICE_X0Y86 SITE_TYPE SLICEL SITEPROP SLICE_X0Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y87 CLASS site SITEPROP SLICE_X0Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y87 IS_BONDED 0 SITEPROP SLICE_X0Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y87 IS_PAD 0 SITEPROP SLICE_X0Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y87 IS_RESERVED 0 SITEPROP SLICE_X0Y87 IS_TEST 0 SITEPROP SLICE_X0Y87 IS_USED 0 SITEPROP SLICE_X0Y87 MANUAL_ROUTING SITEPROP SLICE_X0Y87 NAME SLICE_X0Y87 SITEPROP SLICE_X0Y87 NUM_ARCS 138 SITEPROP SLICE_X0Y87 NUM_BELS 32 SITEPROP SLICE_X0Y87 NUM_INPUTS 32 SITEPROP SLICE_X0Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y87 NUM_PINS 45 SITEPROP SLICE_X0Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y87 PROHIBIT 0 SITEPROP SLICE_X0Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y87 RPM_X 17 SITEPROP SLICE_X0Y87 RPM_Y 174 SITEPROP SLICE_X0Y87 SITE_PIPS SITEPROP SLICE_X0Y87 SITE_TYPE SLICEL SITEPROP SLICE_X0Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y88 CLASS site SITEPROP SLICE_X0Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y88 IS_BONDED 0 SITEPROP SLICE_X0Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y88 IS_PAD 0 SITEPROP SLICE_X0Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y88 IS_RESERVED 0 SITEPROP SLICE_X0Y88 IS_TEST 0 SITEPROP SLICE_X0Y88 IS_USED 0 SITEPROP SLICE_X0Y88 MANUAL_ROUTING SITEPROP SLICE_X0Y88 NAME SLICE_X0Y88 SITEPROP SLICE_X0Y88 NUM_ARCS 138 SITEPROP SLICE_X0Y88 NUM_BELS 32 SITEPROP SLICE_X0Y88 NUM_INPUTS 32 SITEPROP SLICE_X0Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y88 NUM_PINS 45 SITEPROP SLICE_X0Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y88 PROHIBIT 0 SITEPROP SLICE_X0Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y88 RPM_X 17 SITEPROP SLICE_X0Y88 RPM_Y 176 SITEPROP SLICE_X0Y88 SITE_PIPS SITEPROP SLICE_X0Y88 SITE_TYPE SLICEL SITEPROP SLICE_X0Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y89 CLASS site SITEPROP SLICE_X0Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y89 IS_BONDED 0 SITEPROP SLICE_X0Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y89 IS_PAD 0 SITEPROP SLICE_X0Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y89 IS_RESERVED 0 SITEPROP SLICE_X0Y89 IS_TEST 0 SITEPROP SLICE_X0Y89 IS_USED 0 SITEPROP SLICE_X0Y89 MANUAL_ROUTING SITEPROP SLICE_X0Y89 NAME SLICE_X0Y89 SITEPROP SLICE_X0Y89 NUM_ARCS 138 SITEPROP SLICE_X0Y89 NUM_BELS 32 SITEPROP SLICE_X0Y89 NUM_INPUTS 32 SITEPROP SLICE_X0Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y89 NUM_PINS 45 SITEPROP SLICE_X0Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y89 PROHIBIT 0 SITEPROP SLICE_X0Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y89 RPM_X 17 SITEPROP SLICE_X0Y89 RPM_Y 178 SITEPROP SLICE_X0Y89 SITE_PIPS SITEPROP SLICE_X0Y89 SITE_TYPE SLICEL SITEPROP SLICE_X0Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y90 CLASS site SITEPROP SLICE_X0Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y90 IS_BONDED 0 SITEPROP SLICE_X0Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y90 IS_PAD 0 SITEPROP SLICE_X0Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y90 IS_RESERVED 0 SITEPROP SLICE_X0Y90 IS_TEST 0 SITEPROP SLICE_X0Y90 IS_USED 0 SITEPROP SLICE_X0Y90 MANUAL_ROUTING SITEPROP SLICE_X0Y90 NAME SLICE_X0Y90 SITEPROP SLICE_X0Y90 NUM_ARCS 138 SITEPROP SLICE_X0Y90 NUM_BELS 32 SITEPROP SLICE_X0Y90 NUM_INPUTS 32 SITEPROP SLICE_X0Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y90 NUM_PINS 45 SITEPROP SLICE_X0Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y90 PROHIBIT 0 SITEPROP SLICE_X0Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y90 RPM_X 17 SITEPROP SLICE_X0Y90 RPM_Y 180 SITEPROP SLICE_X0Y90 SITE_PIPS SITEPROP SLICE_X0Y90 SITE_TYPE SLICEL SITEPROP SLICE_X0Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y91 CLASS site SITEPROP SLICE_X0Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y91 IS_BONDED 0 SITEPROP SLICE_X0Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y91 IS_PAD 0 SITEPROP SLICE_X0Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y91 IS_RESERVED 0 SITEPROP SLICE_X0Y91 IS_TEST 0 SITEPROP SLICE_X0Y91 IS_USED 0 SITEPROP SLICE_X0Y91 MANUAL_ROUTING SITEPROP SLICE_X0Y91 NAME SLICE_X0Y91 SITEPROP SLICE_X0Y91 NUM_ARCS 138 SITEPROP SLICE_X0Y91 NUM_BELS 32 SITEPROP SLICE_X0Y91 NUM_INPUTS 32 SITEPROP SLICE_X0Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y91 NUM_PINS 45 SITEPROP SLICE_X0Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y91 PROHIBIT 0 SITEPROP SLICE_X0Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y91 RPM_X 17 SITEPROP SLICE_X0Y91 RPM_Y 182 SITEPROP SLICE_X0Y91 SITE_PIPS SITEPROP SLICE_X0Y91 SITE_TYPE SLICEL SITEPROP SLICE_X0Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y92 CLASS site SITEPROP SLICE_X0Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y92 IS_BONDED 0 SITEPROP SLICE_X0Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y92 IS_PAD 0 SITEPROP SLICE_X0Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y92 IS_RESERVED 0 SITEPROP SLICE_X0Y92 IS_TEST 0 SITEPROP SLICE_X0Y92 IS_USED 0 SITEPROP SLICE_X0Y92 MANUAL_ROUTING SITEPROP SLICE_X0Y92 NAME SLICE_X0Y92 SITEPROP SLICE_X0Y92 NUM_ARCS 138 SITEPROP SLICE_X0Y92 NUM_BELS 32 SITEPROP SLICE_X0Y92 NUM_INPUTS 32 SITEPROP SLICE_X0Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y92 NUM_PINS 45 SITEPROP SLICE_X0Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y92 PROHIBIT 0 SITEPROP SLICE_X0Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y92 RPM_X 17 SITEPROP SLICE_X0Y92 RPM_Y 184 SITEPROP SLICE_X0Y92 SITE_PIPS SITEPROP SLICE_X0Y92 SITE_TYPE SLICEL SITEPROP SLICE_X0Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y93 CLASS site SITEPROP SLICE_X0Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y93 IS_BONDED 0 SITEPROP SLICE_X0Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y93 IS_PAD 0 SITEPROP SLICE_X0Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y93 IS_RESERVED 0 SITEPROP SLICE_X0Y93 IS_TEST 0 SITEPROP SLICE_X0Y93 IS_USED 0 SITEPROP SLICE_X0Y93 MANUAL_ROUTING SITEPROP SLICE_X0Y93 NAME SLICE_X0Y93 SITEPROP SLICE_X0Y93 NUM_ARCS 138 SITEPROP SLICE_X0Y93 NUM_BELS 32 SITEPROP SLICE_X0Y93 NUM_INPUTS 32 SITEPROP SLICE_X0Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y93 NUM_PINS 45 SITEPROP SLICE_X0Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y93 PROHIBIT 0 SITEPROP SLICE_X0Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y93 RPM_X 17 SITEPROP SLICE_X0Y93 RPM_Y 186 SITEPROP SLICE_X0Y93 SITE_PIPS SITEPROP SLICE_X0Y93 SITE_TYPE SLICEL SITEPROP SLICE_X0Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y94 CLASS site SITEPROP SLICE_X0Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y94 IS_BONDED 0 SITEPROP SLICE_X0Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y94 IS_PAD 0 SITEPROP SLICE_X0Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y94 IS_RESERVED 0 SITEPROP SLICE_X0Y94 IS_TEST 0 SITEPROP SLICE_X0Y94 IS_USED 0 SITEPROP SLICE_X0Y94 MANUAL_ROUTING SITEPROP SLICE_X0Y94 NAME SLICE_X0Y94 SITEPROP SLICE_X0Y94 NUM_ARCS 138 SITEPROP SLICE_X0Y94 NUM_BELS 32 SITEPROP SLICE_X0Y94 NUM_INPUTS 32 SITEPROP SLICE_X0Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y94 NUM_PINS 45 SITEPROP SLICE_X0Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y94 PROHIBIT 0 SITEPROP SLICE_X0Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y94 RPM_X 17 SITEPROP SLICE_X0Y94 RPM_Y 188 SITEPROP SLICE_X0Y94 SITE_PIPS SITEPROP SLICE_X0Y94 SITE_TYPE SLICEL SITEPROP SLICE_X0Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y95 CLASS site SITEPROP SLICE_X0Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y95 IS_BONDED 0 SITEPROP SLICE_X0Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y95 IS_PAD 0 SITEPROP SLICE_X0Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y95 IS_RESERVED 0 SITEPROP SLICE_X0Y95 IS_TEST 0 SITEPROP SLICE_X0Y95 IS_USED 0 SITEPROP SLICE_X0Y95 MANUAL_ROUTING SITEPROP SLICE_X0Y95 NAME SLICE_X0Y95 SITEPROP SLICE_X0Y95 NUM_ARCS 138 SITEPROP SLICE_X0Y95 NUM_BELS 32 SITEPROP SLICE_X0Y95 NUM_INPUTS 32 SITEPROP SLICE_X0Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y95 NUM_PINS 45 SITEPROP SLICE_X0Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y95 PROHIBIT 0 SITEPROP SLICE_X0Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y95 RPM_X 17 SITEPROP SLICE_X0Y95 RPM_Y 190 SITEPROP SLICE_X0Y95 SITE_PIPS SITEPROP SLICE_X0Y95 SITE_TYPE SLICEL SITEPROP SLICE_X0Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y96 CLASS site SITEPROP SLICE_X0Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y96 IS_BONDED 0 SITEPROP SLICE_X0Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y96 IS_PAD 0 SITEPROP SLICE_X0Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y96 IS_RESERVED 0 SITEPROP SLICE_X0Y96 IS_TEST 0 SITEPROP SLICE_X0Y96 IS_USED 0 SITEPROP SLICE_X0Y96 MANUAL_ROUTING SITEPROP SLICE_X0Y96 NAME SLICE_X0Y96 SITEPROP SLICE_X0Y96 NUM_ARCS 138 SITEPROP SLICE_X0Y96 NUM_BELS 32 SITEPROP SLICE_X0Y96 NUM_INPUTS 32 SITEPROP SLICE_X0Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y96 NUM_PINS 45 SITEPROP SLICE_X0Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y96 PROHIBIT 0 SITEPROP SLICE_X0Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y96 RPM_X 17 SITEPROP SLICE_X0Y96 RPM_Y 192 SITEPROP SLICE_X0Y96 SITE_PIPS SITEPROP SLICE_X0Y96 SITE_TYPE SLICEL SITEPROP SLICE_X0Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y97 CLASS site SITEPROP SLICE_X0Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y97 IS_BONDED 0 SITEPROP SLICE_X0Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y97 IS_PAD 0 SITEPROP SLICE_X0Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y97 IS_RESERVED 0 SITEPROP SLICE_X0Y97 IS_TEST 0 SITEPROP SLICE_X0Y97 IS_USED 0 SITEPROP SLICE_X0Y97 MANUAL_ROUTING SITEPROP SLICE_X0Y97 NAME SLICE_X0Y97 SITEPROP SLICE_X0Y97 NUM_ARCS 138 SITEPROP SLICE_X0Y97 NUM_BELS 32 SITEPROP SLICE_X0Y97 NUM_INPUTS 32 SITEPROP SLICE_X0Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y97 NUM_PINS 45 SITEPROP SLICE_X0Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y97 PROHIBIT 0 SITEPROP SLICE_X0Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y97 RPM_X 17 SITEPROP SLICE_X0Y97 RPM_Y 194 SITEPROP SLICE_X0Y97 SITE_PIPS SITEPROP SLICE_X0Y97 SITE_TYPE SLICEL SITEPROP SLICE_X0Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y98 CLASS site SITEPROP SLICE_X0Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y98 IS_BONDED 0 SITEPROP SLICE_X0Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y98 IS_PAD 0 SITEPROP SLICE_X0Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y98 IS_RESERVED 0 SITEPROP SLICE_X0Y98 IS_TEST 0 SITEPROP SLICE_X0Y98 IS_USED 0 SITEPROP SLICE_X0Y98 MANUAL_ROUTING SITEPROP SLICE_X0Y98 NAME SLICE_X0Y98 SITEPROP SLICE_X0Y98 NUM_ARCS 138 SITEPROP SLICE_X0Y98 NUM_BELS 32 SITEPROP SLICE_X0Y98 NUM_INPUTS 32 SITEPROP SLICE_X0Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y98 NUM_PINS 45 SITEPROP SLICE_X0Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y98 PROHIBIT 0 SITEPROP SLICE_X0Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y98 RPM_X 17 SITEPROP SLICE_X0Y98 RPM_Y 196 SITEPROP SLICE_X0Y98 SITE_PIPS SITEPROP SLICE_X0Y98 SITE_TYPE SLICEL SITEPROP SLICE_X0Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y99 CLASS site SITEPROP SLICE_X0Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X0Y99 IS_BONDED 0 SITEPROP SLICE_X0Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y99 IS_PAD 0 SITEPROP SLICE_X0Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y99 IS_RESERVED 0 SITEPROP SLICE_X0Y99 IS_TEST 0 SITEPROP SLICE_X0Y99 IS_USED 0 SITEPROP SLICE_X0Y99 MANUAL_ROUTING SITEPROP SLICE_X0Y99 NAME SLICE_X0Y99 SITEPROP SLICE_X0Y99 NUM_ARCS 138 SITEPROP SLICE_X0Y99 NUM_BELS 32 SITEPROP SLICE_X0Y99 NUM_INPUTS 32 SITEPROP SLICE_X0Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y99 NUM_PINS 45 SITEPROP SLICE_X0Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y99 PROHIBIT 0 SITEPROP SLICE_X0Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y99 RPM_X 17 SITEPROP SLICE_X0Y99 RPM_Y 198 SITEPROP SLICE_X0Y99 SITE_PIPS SITEPROP SLICE_X0Y99 SITE_TYPE SLICEL SITEPROP SLICE_X0Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y100 CLASS site SITEPROP SLICE_X0Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y100 IS_BONDED 0 SITEPROP SLICE_X0Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y100 IS_PAD 0 SITEPROP SLICE_X0Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y100 IS_RESERVED 0 SITEPROP SLICE_X0Y100 IS_TEST 0 SITEPROP SLICE_X0Y100 IS_USED 0 SITEPROP SLICE_X0Y100 MANUAL_ROUTING SITEPROP SLICE_X0Y100 NAME SLICE_X0Y100 SITEPROP SLICE_X0Y100 NUM_ARCS 138 SITEPROP SLICE_X0Y100 NUM_BELS 32 SITEPROP SLICE_X0Y100 NUM_INPUTS 32 SITEPROP SLICE_X0Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y100 NUM_PINS 45 SITEPROP SLICE_X0Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y100 PROHIBIT 0 SITEPROP SLICE_X0Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y100 RPM_X 17 SITEPROP SLICE_X0Y100 RPM_Y 200 SITEPROP SLICE_X0Y100 SITE_PIPS SITEPROP SLICE_X0Y100 SITE_TYPE SLICEL SITEPROP SLICE_X0Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y101 CLASS site SITEPROP SLICE_X0Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y101 IS_BONDED 0 SITEPROP SLICE_X0Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y101 IS_PAD 0 SITEPROP SLICE_X0Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y101 IS_RESERVED 0 SITEPROP SLICE_X0Y101 IS_TEST 0 SITEPROP SLICE_X0Y101 IS_USED 0 SITEPROP SLICE_X0Y101 MANUAL_ROUTING SITEPROP SLICE_X0Y101 NAME SLICE_X0Y101 SITEPROP SLICE_X0Y101 NUM_ARCS 138 SITEPROP SLICE_X0Y101 NUM_BELS 32 SITEPROP SLICE_X0Y101 NUM_INPUTS 32 SITEPROP SLICE_X0Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y101 NUM_PINS 45 SITEPROP SLICE_X0Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y101 PROHIBIT 0 SITEPROP SLICE_X0Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y101 RPM_X 17 SITEPROP SLICE_X0Y101 RPM_Y 202 SITEPROP SLICE_X0Y101 SITE_PIPS SITEPROP SLICE_X0Y101 SITE_TYPE SLICEL SITEPROP SLICE_X0Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y102 CLASS site SITEPROP SLICE_X0Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y102 IS_BONDED 0 SITEPROP SLICE_X0Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y102 IS_PAD 0 SITEPROP SLICE_X0Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y102 IS_RESERVED 0 SITEPROP SLICE_X0Y102 IS_TEST 0 SITEPROP SLICE_X0Y102 IS_USED 0 SITEPROP SLICE_X0Y102 MANUAL_ROUTING SITEPROP SLICE_X0Y102 NAME SLICE_X0Y102 SITEPROP SLICE_X0Y102 NUM_ARCS 138 SITEPROP SLICE_X0Y102 NUM_BELS 32 SITEPROP SLICE_X0Y102 NUM_INPUTS 32 SITEPROP SLICE_X0Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y102 NUM_PINS 45 SITEPROP SLICE_X0Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y102 PROHIBIT 0 SITEPROP SLICE_X0Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y102 RPM_X 17 SITEPROP SLICE_X0Y102 RPM_Y 204 SITEPROP SLICE_X0Y102 SITE_PIPS SITEPROP SLICE_X0Y102 SITE_TYPE SLICEL SITEPROP SLICE_X0Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y103 CLASS site SITEPROP SLICE_X0Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y103 IS_BONDED 0 SITEPROP SLICE_X0Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y103 IS_PAD 0 SITEPROP SLICE_X0Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y103 IS_RESERVED 0 SITEPROP SLICE_X0Y103 IS_TEST 0 SITEPROP SLICE_X0Y103 IS_USED 0 SITEPROP SLICE_X0Y103 MANUAL_ROUTING SITEPROP SLICE_X0Y103 NAME SLICE_X0Y103 SITEPROP SLICE_X0Y103 NUM_ARCS 138 SITEPROP SLICE_X0Y103 NUM_BELS 32 SITEPROP SLICE_X0Y103 NUM_INPUTS 32 SITEPROP SLICE_X0Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y103 NUM_PINS 45 SITEPROP SLICE_X0Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y103 PROHIBIT 0 SITEPROP SLICE_X0Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y103 RPM_X 17 SITEPROP SLICE_X0Y103 RPM_Y 206 SITEPROP SLICE_X0Y103 SITE_PIPS SITEPROP SLICE_X0Y103 SITE_TYPE SLICEL SITEPROP SLICE_X0Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y104 CLASS site SITEPROP SLICE_X0Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y104 IS_BONDED 0 SITEPROP SLICE_X0Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y104 IS_PAD 0 SITEPROP SLICE_X0Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y104 IS_RESERVED 0 SITEPROP SLICE_X0Y104 IS_TEST 0 SITEPROP SLICE_X0Y104 IS_USED 0 SITEPROP SLICE_X0Y104 MANUAL_ROUTING SITEPROP SLICE_X0Y104 NAME SLICE_X0Y104 SITEPROP SLICE_X0Y104 NUM_ARCS 138 SITEPROP SLICE_X0Y104 NUM_BELS 32 SITEPROP SLICE_X0Y104 NUM_INPUTS 32 SITEPROP SLICE_X0Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y104 NUM_PINS 45 SITEPROP SLICE_X0Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y104 PROHIBIT 0 SITEPROP SLICE_X0Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y104 RPM_X 17 SITEPROP SLICE_X0Y104 RPM_Y 208 SITEPROP SLICE_X0Y104 SITE_PIPS SITEPROP SLICE_X0Y104 SITE_TYPE SLICEL SITEPROP SLICE_X0Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y105 CLASS site SITEPROP SLICE_X0Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y105 IS_BONDED 0 SITEPROP SLICE_X0Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y105 IS_PAD 0 SITEPROP SLICE_X0Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y105 IS_RESERVED 0 SITEPROP SLICE_X0Y105 IS_TEST 0 SITEPROP SLICE_X0Y105 IS_USED 0 SITEPROP SLICE_X0Y105 MANUAL_ROUTING SITEPROP SLICE_X0Y105 NAME SLICE_X0Y105 SITEPROP SLICE_X0Y105 NUM_ARCS 138 SITEPROP SLICE_X0Y105 NUM_BELS 32 SITEPROP SLICE_X0Y105 NUM_INPUTS 32 SITEPROP SLICE_X0Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y105 NUM_PINS 45 SITEPROP SLICE_X0Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y105 PROHIBIT 0 SITEPROP SLICE_X0Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y105 RPM_X 17 SITEPROP SLICE_X0Y105 RPM_Y 210 SITEPROP SLICE_X0Y105 SITE_PIPS SITEPROP SLICE_X0Y105 SITE_TYPE SLICEL SITEPROP SLICE_X0Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y106 CLASS site SITEPROP SLICE_X0Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y106 IS_BONDED 0 SITEPROP SLICE_X0Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y106 IS_PAD 0 SITEPROP SLICE_X0Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y106 IS_RESERVED 0 SITEPROP SLICE_X0Y106 IS_TEST 0 SITEPROP SLICE_X0Y106 IS_USED 0 SITEPROP SLICE_X0Y106 MANUAL_ROUTING SITEPROP SLICE_X0Y106 NAME SLICE_X0Y106 SITEPROP SLICE_X0Y106 NUM_ARCS 138 SITEPROP SLICE_X0Y106 NUM_BELS 32 SITEPROP SLICE_X0Y106 NUM_INPUTS 32 SITEPROP SLICE_X0Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y106 NUM_PINS 45 SITEPROP SLICE_X0Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y106 PROHIBIT 0 SITEPROP SLICE_X0Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y106 RPM_X 17 SITEPROP SLICE_X0Y106 RPM_Y 212 SITEPROP SLICE_X0Y106 SITE_PIPS SITEPROP SLICE_X0Y106 SITE_TYPE SLICEL SITEPROP SLICE_X0Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y107 CLASS site SITEPROP SLICE_X0Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y107 IS_BONDED 0 SITEPROP SLICE_X0Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y107 IS_PAD 0 SITEPROP SLICE_X0Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y107 IS_RESERVED 0 SITEPROP SLICE_X0Y107 IS_TEST 0 SITEPROP SLICE_X0Y107 IS_USED 0 SITEPROP SLICE_X0Y107 MANUAL_ROUTING SITEPROP SLICE_X0Y107 NAME SLICE_X0Y107 SITEPROP SLICE_X0Y107 NUM_ARCS 138 SITEPROP SLICE_X0Y107 NUM_BELS 32 SITEPROP SLICE_X0Y107 NUM_INPUTS 32 SITEPROP SLICE_X0Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y107 NUM_PINS 45 SITEPROP SLICE_X0Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y107 PROHIBIT 0 SITEPROP SLICE_X0Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y107 RPM_X 17 SITEPROP SLICE_X0Y107 RPM_Y 214 SITEPROP SLICE_X0Y107 SITE_PIPS SITEPROP SLICE_X0Y107 SITE_TYPE SLICEL SITEPROP SLICE_X0Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y108 CLASS site SITEPROP SLICE_X0Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y108 IS_BONDED 0 SITEPROP SLICE_X0Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y108 IS_PAD 0 SITEPROP SLICE_X0Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y108 IS_RESERVED 0 SITEPROP SLICE_X0Y108 IS_TEST 0 SITEPROP SLICE_X0Y108 IS_USED 0 SITEPROP SLICE_X0Y108 MANUAL_ROUTING SITEPROP SLICE_X0Y108 NAME SLICE_X0Y108 SITEPROP SLICE_X0Y108 NUM_ARCS 138 SITEPROP SLICE_X0Y108 NUM_BELS 32 SITEPROP SLICE_X0Y108 NUM_INPUTS 32 SITEPROP SLICE_X0Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y108 NUM_PINS 45 SITEPROP SLICE_X0Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y108 PROHIBIT 0 SITEPROP SLICE_X0Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y108 RPM_X 17 SITEPROP SLICE_X0Y108 RPM_Y 216 SITEPROP SLICE_X0Y108 SITE_PIPS SITEPROP SLICE_X0Y108 SITE_TYPE SLICEL SITEPROP SLICE_X0Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y109 CLASS site SITEPROP SLICE_X0Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y109 IS_BONDED 0 SITEPROP SLICE_X0Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y109 IS_PAD 0 SITEPROP SLICE_X0Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y109 IS_RESERVED 0 SITEPROP SLICE_X0Y109 IS_TEST 0 SITEPROP SLICE_X0Y109 IS_USED 0 SITEPROP SLICE_X0Y109 MANUAL_ROUTING SITEPROP SLICE_X0Y109 NAME SLICE_X0Y109 SITEPROP SLICE_X0Y109 NUM_ARCS 138 SITEPROP SLICE_X0Y109 NUM_BELS 32 SITEPROP SLICE_X0Y109 NUM_INPUTS 32 SITEPROP SLICE_X0Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y109 NUM_PINS 45 SITEPROP SLICE_X0Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y109 PROHIBIT 0 SITEPROP SLICE_X0Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y109 RPM_X 17 SITEPROP SLICE_X0Y109 RPM_Y 218 SITEPROP SLICE_X0Y109 SITE_PIPS SITEPROP SLICE_X0Y109 SITE_TYPE SLICEL SITEPROP SLICE_X0Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y110 CLASS site SITEPROP SLICE_X0Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y110 IS_BONDED 0 SITEPROP SLICE_X0Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y110 IS_PAD 0 SITEPROP SLICE_X0Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y110 IS_RESERVED 0 SITEPROP SLICE_X0Y110 IS_TEST 0 SITEPROP SLICE_X0Y110 IS_USED 0 SITEPROP SLICE_X0Y110 MANUAL_ROUTING SITEPROP SLICE_X0Y110 NAME SLICE_X0Y110 SITEPROP SLICE_X0Y110 NUM_ARCS 138 SITEPROP SLICE_X0Y110 NUM_BELS 32 SITEPROP SLICE_X0Y110 NUM_INPUTS 32 SITEPROP SLICE_X0Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y110 NUM_PINS 45 SITEPROP SLICE_X0Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y110 PROHIBIT 0 SITEPROP SLICE_X0Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y110 RPM_X 17 SITEPROP SLICE_X0Y110 RPM_Y 220 SITEPROP SLICE_X0Y110 SITE_PIPS SITEPROP SLICE_X0Y110 SITE_TYPE SLICEL SITEPROP SLICE_X0Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y111 CLASS site SITEPROP SLICE_X0Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y111 IS_BONDED 0 SITEPROP SLICE_X0Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y111 IS_PAD 0 SITEPROP SLICE_X0Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y111 IS_RESERVED 0 SITEPROP SLICE_X0Y111 IS_TEST 0 SITEPROP SLICE_X0Y111 IS_USED 0 SITEPROP SLICE_X0Y111 MANUAL_ROUTING SITEPROP SLICE_X0Y111 NAME SLICE_X0Y111 SITEPROP SLICE_X0Y111 NUM_ARCS 138 SITEPROP SLICE_X0Y111 NUM_BELS 32 SITEPROP SLICE_X0Y111 NUM_INPUTS 32 SITEPROP SLICE_X0Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y111 NUM_PINS 45 SITEPROP SLICE_X0Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y111 PROHIBIT 0 SITEPROP SLICE_X0Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y111 RPM_X 17 SITEPROP SLICE_X0Y111 RPM_Y 222 SITEPROP SLICE_X0Y111 SITE_PIPS SITEPROP SLICE_X0Y111 SITE_TYPE SLICEL SITEPROP SLICE_X0Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y112 CLASS site SITEPROP SLICE_X0Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y112 IS_BONDED 0 SITEPROP SLICE_X0Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y112 IS_PAD 0 SITEPROP SLICE_X0Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y112 IS_RESERVED 0 SITEPROP SLICE_X0Y112 IS_TEST 0 SITEPROP SLICE_X0Y112 IS_USED 0 SITEPROP SLICE_X0Y112 MANUAL_ROUTING SITEPROP SLICE_X0Y112 NAME SLICE_X0Y112 SITEPROP SLICE_X0Y112 NUM_ARCS 138 SITEPROP SLICE_X0Y112 NUM_BELS 32 SITEPROP SLICE_X0Y112 NUM_INPUTS 32 SITEPROP SLICE_X0Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y112 NUM_PINS 45 SITEPROP SLICE_X0Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y112 PROHIBIT 0 SITEPROP SLICE_X0Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y112 RPM_X 17 SITEPROP SLICE_X0Y112 RPM_Y 224 SITEPROP SLICE_X0Y112 SITE_PIPS SITEPROP SLICE_X0Y112 SITE_TYPE SLICEL SITEPROP SLICE_X0Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y113 CLASS site SITEPROP SLICE_X0Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y113 IS_BONDED 0 SITEPROP SLICE_X0Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y113 IS_PAD 0 SITEPROP SLICE_X0Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y113 IS_RESERVED 0 SITEPROP SLICE_X0Y113 IS_TEST 0 SITEPROP SLICE_X0Y113 IS_USED 0 SITEPROP SLICE_X0Y113 MANUAL_ROUTING SITEPROP SLICE_X0Y113 NAME SLICE_X0Y113 SITEPROP SLICE_X0Y113 NUM_ARCS 138 SITEPROP SLICE_X0Y113 NUM_BELS 32 SITEPROP SLICE_X0Y113 NUM_INPUTS 32 SITEPROP SLICE_X0Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y113 NUM_PINS 45 SITEPROP SLICE_X0Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y113 PROHIBIT 0 SITEPROP SLICE_X0Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y113 RPM_X 17 SITEPROP SLICE_X0Y113 RPM_Y 226 SITEPROP SLICE_X0Y113 SITE_PIPS SITEPROP SLICE_X0Y113 SITE_TYPE SLICEL SITEPROP SLICE_X0Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y114 CLASS site SITEPROP SLICE_X0Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y114 IS_BONDED 0 SITEPROP SLICE_X0Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y114 IS_PAD 0 SITEPROP SLICE_X0Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y114 IS_RESERVED 0 SITEPROP SLICE_X0Y114 IS_TEST 0 SITEPROP SLICE_X0Y114 IS_USED 0 SITEPROP SLICE_X0Y114 MANUAL_ROUTING SITEPROP SLICE_X0Y114 NAME SLICE_X0Y114 SITEPROP SLICE_X0Y114 NUM_ARCS 138 SITEPROP SLICE_X0Y114 NUM_BELS 32 SITEPROP SLICE_X0Y114 NUM_INPUTS 32 SITEPROP SLICE_X0Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y114 NUM_PINS 45 SITEPROP SLICE_X0Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y114 PROHIBIT 0 SITEPROP SLICE_X0Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y114 RPM_X 17 SITEPROP SLICE_X0Y114 RPM_Y 228 SITEPROP SLICE_X0Y114 SITE_PIPS SITEPROP SLICE_X0Y114 SITE_TYPE SLICEL SITEPROP SLICE_X0Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y115 CLASS site SITEPROP SLICE_X0Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y115 IS_BONDED 0 SITEPROP SLICE_X0Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y115 IS_PAD 0 SITEPROP SLICE_X0Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y115 IS_RESERVED 0 SITEPROP SLICE_X0Y115 IS_TEST 0 SITEPROP SLICE_X0Y115 IS_USED 0 SITEPROP SLICE_X0Y115 MANUAL_ROUTING SITEPROP SLICE_X0Y115 NAME SLICE_X0Y115 SITEPROP SLICE_X0Y115 NUM_ARCS 138 SITEPROP SLICE_X0Y115 NUM_BELS 32 SITEPROP SLICE_X0Y115 NUM_INPUTS 32 SITEPROP SLICE_X0Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y115 NUM_PINS 45 SITEPROP SLICE_X0Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y115 PROHIBIT 0 SITEPROP SLICE_X0Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y115 RPM_X 17 SITEPROP SLICE_X0Y115 RPM_Y 230 SITEPROP SLICE_X0Y115 SITE_PIPS SITEPROP SLICE_X0Y115 SITE_TYPE SLICEL SITEPROP SLICE_X0Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y116 CLASS site SITEPROP SLICE_X0Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y116 IS_BONDED 0 SITEPROP SLICE_X0Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y116 IS_PAD 0 SITEPROP SLICE_X0Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y116 IS_RESERVED 0 SITEPROP SLICE_X0Y116 IS_TEST 0 SITEPROP SLICE_X0Y116 IS_USED 0 SITEPROP SLICE_X0Y116 MANUAL_ROUTING SITEPROP SLICE_X0Y116 NAME SLICE_X0Y116 SITEPROP SLICE_X0Y116 NUM_ARCS 138 SITEPROP SLICE_X0Y116 NUM_BELS 32 SITEPROP SLICE_X0Y116 NUM_INPUTS 32 SITEPROP SLICE_X0Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y116 NUM_PINS 45 SITEPROP SLICE_X0Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y116 PROHIBIT 0 SITEPROP SLICE_X0Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y116 RPM_X 17 SITEPROP SLICE_X0Y116 RPM_Y 232 SITEPROP SLICE_X0Y116 SITE_PIPS SITEPROP SLICE_X0Y116 SITE_TYPE SLICEL SITEPROP SLICE_X0Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y117 CLASS site SITEPROP SLICE_X0Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y117 IS_BONDED 0 SITEPROP SLICE_X0Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y117 IS_PAD 0 SITEPROP SLICE_X0Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y117 IS_RESERVED 0 SITEPROP SLICE_X0Y117 IS_TEST 0 SITEPROP SLICE_X0Y117 IS_USED 0 SITEPROP SLICE_X0Y117 MANUAL_ROUTING SITEPROP SLICE_X0Y117 NAME SLICE_X0Y117 SITEPROP SLICE_X0Y117 NUM_ARCS 138 SITEPROP SLICE_X0Y117 NUM_BELS 32 SITEPROP SLICE_X0Y117 NUM_INPUTS 32 SITEPROP SLICE_X0Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y117 NUM_PINS 45 SITEPROP SLICE_X0Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y117 PROHIBIT 0 SITEPROP SLICE_X0Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y117 RPM_X 17 SITEPROP SLICE_X0Y117 RPM_Y 234 SITEPROP SLICE_X0Y117 SITE_PIPS SITEPROP SLICE_X0Y117 SITE_TYPE SLICEL SITEPROP SLICE_X0Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y118 CLASS site SITEPROP SLICE_X0Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y118 IS_BONDED 0 SITEPROP SLICE_X0Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y118 IS_PAD 0 SITEPROP SLICE_X0Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y118 IS_RESERVED 0 SITEPROP SLICE_X0Y118 IS_TEST 0 SITEPROP SLICE_X0Y118 IS_USED 0 SITEPROP SLICE_X0Y118 MANUAL_ROUTING SITEPROP SLICE_X0Y118 NAME SLICE_X0Y118 SITEPROP SLICE_X0Y118 NUM_ARCS 138 SITEPROP SLICE_X0Y118 NUM_BELS 32 SITEPROP SLICE_X0Y118 NUM_INPUTS 32 SITEPROP SLICE_X0Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y118 NUM_PINS 45 SITEPROP SLICE_X0Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y118 PROHIBIT 0 SITEPROP SLICE_X0Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y118 RPM_X 17 SITEPROP SLICE_X0Y118 RPM_Y 236 SITEPROP SLICE_X0Y118 SITE_PIPS SITEPROP SLICE_X0Y118 SITE_TYPE SLICEL SITEPROP SLICE_X0Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y119 CLASS site SITEPROP SLICE_X0Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y119 IS_BONDED 0 SITEPROP SLICE_X0Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y119 IS_PAD 0 SITEPROP SLICE_X0Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y119 IS_RESERVED 0 SITEPROP SLICE_X0Y119 IS_TEST 0 SITEPROP SLICE_X0Y119 IS_USED 0 SITEPROP SLICE_X0Y119 MANUAL_ROUTING SITEPROP SLICE_X0Y119 NAME SLICE_X0Y119 SITEPROP SLICE_X0Y119 NUM_ARCS 138 SITEPROP SLICE_X0Y119 NUM_BELS 32 SITEPROP SLICE_X0Y119 NUM_INPUTS 32 SITEPROP SLICE_X0Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y119 NUM_PINS 45 SITEPROP SLICE_X0Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y119 PROHIBIT 0 SITEPROP SLICE_X0Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y119 RPM_X 17 SITEPROP SLICE_X0Y119 RPM_Y 238 SITEPROP SLICE_X0Y119 SITE_PIPS SITEPROP SLICE_X0Y119 SITE_TYPE SLICEL SITEPROP SLICE_X0Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y120 CLASS site SITEPROP SLICE_X0Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y120 IS_BONDED 0 SITEPROP SLICE_X0Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y120 IS_PAD 0 SITEPROP SLICE_X0Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y120 IS_RESERVED 0 SITEPROP SLICE_X0Y120 IS_TEST 0 SITEPROP SLICE_X0Y120 IS_USED 0 SITEPROP SLICE_X0Y120 MANUAL_ROUTING SITEPROP SLICE_X0Y120 NAME SLICE_X0Y120 SITEPROP SLICE_X0Y120 NUM_ARCS 138 SITEPROP SLICE_X0Y120 NUM_BELS 32 SITEPROP SLICE_X0Y120 NUM_INPUTS 32 SITEPROP SLICE_X0Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y120 NUM_PINS 45 SITEPROP SLICE_X0Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y120 PROHIBIT 0 SITEPROP SLICE_X0Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y120 RPM_X 17 SITEPROP SLICE_X0Y120 RPM_Y 240 SITEPROP SLICE_X0Y120 SITE_PIPS SITEPROP SLICE_X0Y120 SITE_TYPE SLICEL SITEPROP SLICE_X0Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y121 CLASS site SITEPROP SLICE_X0Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y121 IS_BONDED 0 SITEPROP SLICE_X0Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y121 IS_PAD 0 SITEPROP SLICE_X0Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y121 IS_RESERVED 0 SITEPROP SLICE_X0Y121 IS_TEST 0 SITEPROP SLICE_X0Y121 IS_USED 0 SITEPROP SLICE_X0Y121 MANUAL_ROUTING SITEPROP SLICE_X0Y121 NAME SLICE_X0Y121 SITEPROP SLICE_X0Y121 NUM_ARCS 138 SITEPROP SLICE_X0Y121 NUM_BELS 32 SITEPROP SLICE_X0Y121 NUM_INPUTS 32 SITEPROP SLICE_X0Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y121 NUM_PINS 45 SITEPROP SLICE_X0Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y121 PROHIBIT 0 SITEPROP SLICE_X0Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y121 RPM_X 17 SITEPROP SLICE_X0Y121 RPM_Y 242 SITEPROP SLICE_X0Y121 SITE_PIPS SITEPROP SLICE_X0Y121 SITE_TYPE SLICEL SITEPROP SLICE_X0Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y122 CLASS site SITEPROP SLICE_X0Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y122 IS_BONDED 0 SITEPROP SLICE_X0Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y122 IS_PAD 0 SITEPROP SLICE_X0Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y122 IS_RESERVED 0 SITEPROP SLICE_X0Y122 IS_TEST 0 SITEPROP SLICE_X0Y122 IS_USED 0 SITEPROP SLICE_X0Y122 MANUAL_ROUTING SITEPROP SLICE_X0Y122 NAME SLICE_X0Y122 SITEPROP SLICE_X0Y122 NUM_ARCS 138 SITEPROP SLICE_X0Y122 NUM_BELS 32 SITEPROP SLICE_X0Y122 NUM_INPUTS 32 SITEPROP SLICE_X0Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y122 NUM_PINS 45 SITEPROP SLICE_X0Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y122 PROHIBIT 0 SITEPROP SLICE_X0Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y122 RPM_X 17 SITEPROP SLICE_X0Y122 RPM_Y 244 SITEPROP SLICE_X0Y122 SITE_PIPS SITEPROP SLICE_X0Y122 SITE_TYPE SLICEL SITEPROP SLICE_X0Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y123 CLASS site SITEPROP SLICE_X0Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y123 IS_BONDED 0 SITEPROP SLICE_X0Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y123 IS_PAD 0 SITEPROP SLICE_X0Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y123 IS_RESERVED 0 SITEPROP SLICE_X0Y123 IS_TEST 0 SITEPROP SLICE_X0Y123 IS_USED 0 SITEPROP SLICE_X0Y123 MANUAL_ROUTING SITEPROP SLICE_X0Y123 NAME SLICE_X0Y123 SITEPROP SLICE_X0Y123 NUM_ARCS 138 SITEPROP SLICE_X0Y123 NUM_BELS 32 SITEPROP SLICE_X0Y123 NUM_INPUTS 32 SITEPROP SLICE_X0Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y123 NUM_PINS 45 SITEPROP SLICE_X0Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y123 PROHIBIT 0 SITEPROP SLICE_X0Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y123 RPM_X 17 SITEPROP SLICE_X0Y123 RPM_Y 246 SITEPROP SLICE_X0Y123 SITE_PIPS SITEPROP SLICE_X0Y123 SITE_TYPE SLICEL SITEPROP SLICE_X0Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y124 CLASS site SITEPROP SLICE_X0Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y124 IS_BONDED 0 SITEPROP SLICE_X0Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y124 IS_PAD 0 SITEPROP SLICE_X0Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y124 IS_RESERVED 0 SITEPROP SLICE_X0Y124 IS_TEST 0 SITEPROP SLICE_X0Y124 IS_USED 0 SITEPROP SLICE_X0Y124 MANUAL_ROUTING SITEPROP SLICE_X0Y124 NAME SLICE_X0Y124 SITEPROP SLICE_X0Y124 NUM_ARCS 138 SITEPROP SLICE_X0Y124 NUM_BELS 32 SITEPROP SLICE_X0Y124 NUM_INPUTS 32 SITEPROP SLICE_X0Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y124 NUM_PINS 45 SITEPROP SLICE_X0Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y124 PROHIBIT 0 SITEPROP SLICE_X0Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y124 RPM_X 17 SITEPROP SLICE_X0Y124 RPM_Y 248 SITEPROP SLICE_X0Y124 SITE_PIPS SITEPROP SLICE_X0Y124 SITE_TYPE SLICEL SITEPROP SLICE_X0Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y125 CLASS site SITEPROP SLICE_X0Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y125 IS_BONDED 0 SITEPROP SLICE_X0Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y125 IS_PAD 0 SITEPROP SLICE_X0Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y125 IS_RESERVED 0 SITEPROP SLICE_X0Y125 IS_TEST 0 SITEPROP SLICE_X0Y125 IS_USED 0 SITEPROP SLICE_X0Y125 MANUAL_ROUTING SITEPROP SLICE_X0Y125 NAME SLICE_X0Y125 SITEPROP SLICE_X0Y125 NUM_ARCS 138 SITEPROP SLICE_X0Y125 NUM_BELS 32 SITEPROP SLICE_X0Y125 NUM_INPUTS 32 SITEPROP SLICE_X0Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y125 NUM_PINS 45 SITEPROP SLICE_X0Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y125 PROHIBIT 0 SITEPROP SLICE_X0Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y125 RPM_X 17 SITEPROP SLICE_X0Y125 RPM_Y 250 SITEPROP SLICE_X0Y125 SITE_PIPS SITEPROP SLICE_X0Y125 SITE_TYPE SLICEL SITEPROP SLICE_X0Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y126 CLASS site SITEPROP SLICE_X0Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y126 IS_BONDED 0 SITEPROP SLICE_X0Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y126 IS_PAD 0 SITEPROP SLICE_X0Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y126 IS_RESERVED 0 SITEPROP SLICE_X0Y126 IS_TEST 0 SITEPROP SLICE_X0Y126 IS_USED 0 SITEPROP SLICE_X0Y126 MANUAL_ROUTING SITEPROP SLICE_X0Y126 NAME SLICE_X0Y126 SITEPROP SLICE_X0Y126 NUM_ARCS 138 SITEPROP SLICE_X0Y126 NUM_BELS 32 SITEPROP SLICE_X0Y126 NUM_INPUTS 32 SITEPROP SLICE_X0Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y126 NUM_PINS 45 SITEPROP SLICE_X0Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y126 PROHIBIT 0 SITEPROP SLICE_X0Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y126 RPM_X 17 SITEPROP SLICE_X0Y126 RPM_Y 252 SITEPROP SLICE_X0Y126 SITE_PIPS SITEPROP SLICE_X0Y126 SITE_TYPE SLICEL SITEPROP SLICE_X0Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y127 CLASS site SITEPROP SLICE_X0Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y127 IS_BONDED 0 SITEPROP SLICE_X0Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y127 IS_PAD 0 SITEPROP SLICE_X0Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y127 IS_RESERVED 0 SITEPROP SLICE_X0Y127 IS_TEST 0 SITEPROP SLICE_X0Y127 IS_USED 0 SITEPROP SLICE_X0Y127 MANUAL_ROUTING SITEPROP SLICE_X0Y127 NAME SLICE_X0Y127 SITEPROP SLICE_X0Y127 NUM_ARCS 138 SITEPROP SLICE_X0Y127 NUM_BELS 32 SITEPROP SLICE_X0Y127 NUM_INPUTS 32 SITEPROP SLICE_X0Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y127 NUM_PINS 45 SITEPROP SLICE_X0Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y127 PROHIBIT 0 SITEPROP SLICE_X0Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y127 RPM_X 17 SITEPROP SLICE_X0Y127 RPM_Y 254 SITEPROP SLICE_X0Y127 SITE_PIPS SITEPROP SLICE_X0Y127 SITE_TYPE SLICEL SITEPROP SLICE_X0Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y128 CLASS site SITEPROP SLICE_X0Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y128 IS_BONDED 0 SITEPROP SLICE_X0Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y128 IS_PAD 0 SITEPROP SLICE_X0Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y128 IS_RESERVED 0 SITEPROP SLICE_X0Y128 IS_TEST 0 SITEPROP SLICE_X0Y128 IS_USED 0 SITEPROP SLICE_X0Y128 MANUAL_ROUTING SITEPROP SLICE_X0Y128 NAME SLICE_X0Y128 SITEPROP SLICE_X0Y128 NUM_ARCS 138 SITEPROP SLICE_X0Y128 NUM_BELS 32 SITEPROP SLICE_X0Y128 NUM_INPUTS 32 SITEPROP SLICE_X0Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y128 NUM_PINS 45 SITEPROP SLICE_X0Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y128 PROHIBIT 0 SITEPROP SLICE_X0Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y128 RPM_X 17 SITEPROP SLICE_X0Y128 RPM_Y 256 SITEPROP SLICE_X0Y128 SITE_PIPS SITEPROP SLICE_X0Y128 SITE_TYPE SLICEL SITEPROP SLICE_X0Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y129 CLASS site SITEPROP SLICE_X0Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y129 IS_BONDED 0 SITEPROP SLICE_X0Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y129 IS_PAD 0 SITEPROP SLICE_X0Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y129 IS_RESERVED 0 SITEPROP SLICE_X0Y129 IS_TEST 0 SITEPROP SLICE_X0Y129 IS_USED 0 SITEPROP SLICE_X0Y129 MANUAL_ROUTING SITEPROP SLICE_X0Y129 NAME SLICE_X0Y129 SITEPROP SLICE_X0Y129 NUM_ARCS 138 SITEPROP SLICE_X0Y129 NUM_BELS 32 SITEPROP SLICE_X0Y129 NUM_INPUTS 32 SITEPROP SLICE_X0Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y129 NUM_PINS 45 SITEPROP SLICE_X0Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y129 PROHIBIT 0 SITEPROP SLICE_X0Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y129 RPM_X 17 SITEPROP SLICE_X0Y129 RPM_Y 258 SITEPROP SLICE_X0Y129 SITE_PIPS SITEPROP SLICE_X0Y129 SITE_TYPE SLICEL SITEPROP SLICE_X0Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y130 CLASS site SITEPROP SLICE_X0Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y130 IS_BONDED 0 SITEPROP SLICE_X0Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y130 IS_PAD 0 SITEPROP SLICE_X0Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y130 IS_RESERVED 0 SITEPROP SLICE_X0Y130 IS_TEST 0 SITEPROP SLICE_X0Y130 IS_USED 0 SITEPROP SLICE_X0Y130 MANUAL_ROUTING SITEPROP SLICE_X0Y130 NAME SLICE_X0Y130 SITEPROP SLICE_X0Y130 NUM_ARCS 138 SITEPROP SLICE_X0Y130 NUM_BELS 32 SITEPROP SLICE_X0Y130 NUM_INPUTS 32 SITEPROP SLICE_X0Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y130 NUM_PINS 45 SITEPROP SLICE_X0Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y130 PROHIBIT 0 SITEPROP SLICE_X0Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y130 RPM_X 17 SITEPROP SLICE_X0Y130 RPM_Y 260 SITEPROP SLICE_X0Y130 SITE_PIPS SITEPROP SLICE_X0Y130 SITE_TYPE SLICEL SITEPROP SLICE_X0Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y131 CLASS site SITEPROP SLICE_X0Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y131 IS_BONDED 0 SITEPROP SLICE_X0Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y131 IS_PAD 0 SITEPROP SLICE_X0Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y131 IS_RESERVED 0 SITEPROP SLICE_X0Y131 IS_TEST 0 SITEPROP SLICE_X0Y131 IS_USED 0 SITEPROP SLICE_X0Y131 MANUAL_ROUTING SITEPROP SLICE_X0Y131 NAME SLICE_X0Y131 SITEPROP SLICE_X0Y131 NUM_ARCS 138 SITEPROP SLICE_X0Y131 NUM_BELS 32 SITEPROP SLICE_X0Y131 NUM_INPUTS 32 SITEPROP SLICE_X0Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y131 NUM_PINS 45 SITEPROP SLICE_X0Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y131 PROHIBIT 0 SITEPROP SLICE_X0Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y131 RPM_X 17 SITEPROP SLICE_X0Y131 RPM_Y 262 SITEPROP SLICE_X0Y131 SITE_PIPS SITEPROP SLICE_X0Y131 SITE_TYPE SLICEL SITEPROP SLICE_X0Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y132 CLASS site SITEPROP SLICE_X0Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y132 IS_BONDED 0 SITEPROP SLICE_X0Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y132 IS_PAD 0 SITEPROP SLICE_X0Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y132 IS_RESERVED 0 SITEPROP SLICE_X0Y132 IS_TEST 0 SITEPROP SLICE_X0Y132 IS_USED 0 SITEPROP SLICE_X0Y132 MANUAL_ROUTING SITEPROP SLICE_X0Y132 NAME SLICE_X0Y132 SITEPROP SLICE_X0Y132 NUM_ARCS 138 SITEPROP SLICE_X0Y132 NUM_BELS 32 SITEPROP SLICE_X0Y132 NUM_INPUTS 32 SITEPROP SLICE_X0Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y132 NUM_PINS 45 SITEPROP SLICE_X0Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y132 PROHIBIT 0 SITEPROP SLICE_X0Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y132 RPM_X 17 SITEPROP SLICE_X0Y132 RPM_Y 264 SITEPROP SLICE_X0Y132 SITE_PIPS SITEPROP SLICE_X0Y132 SITE_TYPE SLICEL SITEPROP SLICE_X0Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y133 CLASS site SITEPROP SLICE_X0Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y133 IS_BONDED 0 SITEPROP SLICE_X0Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y133 IS_PAD 0 SITEPROP SLICE_X0Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y133 IS_RESERVED 0 SITEPROP SLICE_X0Y133 IS_TEST 0 SITEPROP SLICE_X0Y133 IS_USED 0 SITEPROP SLICE_X0Y133 MANUAL_ROUTING SITEPROP SLICE_X0Y133 NAME SLICE_X0Y133 SITEPROP SLICE_X0Y133 NUM_ARCS 138 SITEPROP SLICE_X0Y133 NUM_BELS 32 SITEPROP SLICE_X0Y133 NUM_INPUTS 32 SITEPROP SLICE_X0Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y133 NUM_PINS 45 SITEPROP SLICE_X0Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y133 PROHIBIT 0 SITEPROP SLICE_X0Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y133 RPM_X 17 SITEPROP SLICE_X0Y133 RPM_Y 266 SITEPROP SLICE_X0Y133 SITE_PIPS SITEPROP SLICE_X0Y133 SITE_TYPE SLICEL SITEPROP SLICE_X0Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y134 CLASS site SITEPROP SLICE_X0Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y134 IS_BONDED 0 SITEPROP SLICE_X0Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y134 IS_PAD 0 SITEPROP SLICE_X0Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y134 IS_RESERVED 0 SITEPROP SLICE_X0Y134 IS_TEST 0 SITEPROP SLICE_X0Y134 IS_USED 0 SITEPROP SLICE_X0Y134 MANUAL_ROUTING SITEPROP SLICE_X0Y134 NAME SLICE_X0Y134 SITEPROP SLICE_X0Y134 NUM_ARCS 138 SITEPROP SLICE_X0Y134 NUM_BELS 32 SITEPROP SLICE_X0Y134 NUM_INPUTS 32 SITEPROP SLICE_X0Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y134 NUM_PINS 45 SITEPROP SLICE_X0Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y134 PROHIBIT 0 SITEPROP SLICE_X0Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y134 RPM_X 17 SITEPROP SLICE_X0Y134 RPM_Y 268 SITEPROP SLICE_X0Y134 SITE_PIPS SITEPROP SLICE_X0Y134 SITE_TYPE SLICEL SITEPROP SLICE_X0Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y135 CLASS site SITEPROP SLICE_X0Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y135 IS_BONDED 0 SITEPROP SLICE_X0Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y135 IS_PAD 0 SITEPROP SLICE_X0Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y135 IS_RESERVED 0 SITEPROP SLICE_X0Y135 IS_TEST 0 SITEPROP SLICE_X0Y135 IS_USED 0 SITEPROP SLICE_X0Y135 MANUAL_ROUTING SITEPROP SLICE_X0Y135 NAME SLICE_X0Y135 SITEPROP SLICE_X0Y135 NUM_ARCS 138 SITEPROP SLICE_X0Y135 NUM_BELS 32 SITEPROP SLICE_X0Y135 NUM_INPUTS 32 SITEPROP SLICE_X0Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y135 NUM_PINS 45 SITEPROP SLICE_X0Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y135 PROHIBIT 0 SITEPROP SLICE_X0Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y135 RPM_X 17 SITEPROP SLICE_X0Y135 RPM_Y 270 SITEPROP SLICE_X0Y135 SITE_PIPS SITEPROP SLICE_X0Y135 SITE_TYPE SLICEL SITEPROP SLICE_X0Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y136 CLASS site SITEPROP SLICE_X0Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y136 IS_BONDED 0 SITEPROP SLICE_X0Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y136 IS_PAD 0 SITEPROP SLICE_X0Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y136 IS_RESERVED 0 SITEPROP SLICE_X0Y136 IS_TEST 0 SITEPROP SLICE_X0Y136 IS_USED 0 SITEPROP SLICE_X0Y136 MANUAL_ROUTING SITEPROP SLICE_X0Y136 NAME SLICE_X0Y136 SITEPROP SLICE_X0Y136 NUM_ARCS 138 SITEPROP SLICE_X0Y136 NUM_BELS 32 SITEPROP SLICE_X0Y136 NUM_INPUTS 32 SITEPROP SLICE_X0Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y136 NUM_PINS 45 SITEPROP SLICE_X0Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y136 PROHIBIT 0 SITEPROP SLICE_X0Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y136 RPM_X 17 SITEPROP SLICE_X0Y136 RPM_Y 272 SITEPROP SLICE_X0Y136 SITE_PIPS SITEPROP SLICE_X0Y136 SITE_TYPE SLICEL SITEPROP SLICE_X0Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y137 CLASS site SITEPROP SLICE_X0Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y137 IS_BONDED 0 SITEPROP SLICE_X0Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y137 IS_PAD 0 SITEPROP SLICE_X0Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y137 IS_RESERVED 0 SITEPROP SLICE_X0Y137 IS_TEST 0 SITEPROP SLICE_X0Y137 IS_USED 0 SITEPROP SLICE_X0Y137 MANUAL_ROUTING SITEPROP SLICE_X0Y137 NAME SLICE_X0Y137 SITEPROP SLICE_X0Y137 NUM_ARCS 138 SITEPROP SLICE_X0Y137 NUM_BELS 32 SITEPROP SLICE_X0Y137 NUM_INPUTS 32 SITEPROP SLICE_X0Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y137 NUM_PINS 45 SITEPROP SLICE_X0Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y137 PROHIBIT 0 SITEPROP SLICE_X0Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y137 RPM_X 17 SITEPROP SLICE_X0Y137 RPM_Y 274 SITEPROP SLICE_X0Y137 SITE_PIPS SITEPROP SLICE_X0Y137 SITE_TYPE SLICEL SITEPROP SLICE_X0Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y138 CLASS site SITEPROP SLICE_X0Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y138 IS_BONDED 0 SITEPROP SLICE_X0Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y138 IS_PAD 0 SITEPROP SLICE_X0Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y138 IS_RESERVED 0 SITEPROP SLICE_X0Y138 IS_TEST 0 SITEPROP SLICE_X0Y138 IS_USED 0 SITEPROP SLICE_X0Y138 MANUAL_ROUTING SITEPROP SLICE_X0Y138 NAME SLICE_X0Y138 SITEPROP SLICE_X0Y138 NUM_ARCS 138 SITEPROP SLICE_X0Y138 NUM_BELS 32 SITEPROP SLICE_X0Y138 NUM_INPUTS 32 SITEPROP SLICE_X0Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y138 NUM_PINS 45 SITEPROP SLICE_X0Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y138 PROHIBIT 0 SITEPROP SLICE_X0Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y138 RPM_X 17 SITEPROP SLICE_X0Y138 RPM_Y 276 SITEPROP SLICE_X0Y138 SITE_PIPS SITEPROP SLICE_X0Y138 SITE_TYPE SLICEL SITEPROP SLICE_X0Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y139 CLASS site SITEPROP SLICE_X0Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y139 IS_BONDED 0 SITEPROP SLICE_X0Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y139 IS_PAD 0 SITEPROP SLICE_X0Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y139 IS_RESERVED 0 SITEPROP SLICE_X0Y139 IS_TEST 0 SITEPROP SLICE_X0Y139 IS_USED 0 SITEPROP SLICE_X0Y139 MANUAL_ROUTING SITEPROP SLICE_X0Y139 NAME SLICE_X0Y139 SITEPROP SLICE_X0Y139 NUM_ARCS 138 SITEPROP SLICE_X0Y139 NUM_BELS 32 SITEPROP SLICE_X0Y139 NUM_INPUTS 32 SITEPROP SLICE_X0Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y139 NUM_PINS 45 SITEPROP SLICE_X0Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y139 PROHIBIT 0 SITEPROP SLICE_X0Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y139 RPM_X 17 SITEPROP SLICE_X0Y139 RPM_Y 278 SITEPROP SLICE_X0Y139 SITE_PIPS SITEPROP SLICE_X0Y139 SITE_TYPE SLICEL SITEPROP SLICE_X0Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y140 CLASS site SITEPROP SLICE_X0Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y140 IS_BONDED 0 SITEPROP SLICE_X0Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y140 IS_PAD 0 SITEPROP SLICE_X0Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y140 IS_RESERVED 0 SITEPROP SLICE_X0Y140 IS_TEST 0 SITEPROP SLICE_X0Y140 IS_USED 0 SITEPROP SLICE_X0Y140 MANUAL_ROUTING SITEPROP SLICE_X0Y140 NAME SLICE_X0Y140 SITEPROP SLICE_X0Y140 NUM_ARCS 138 SITEPROP SLICE_X0Y140 NUM_BELS 32 SITEPROP SLICE_X0Y140 NUM_INPUTS 32 SITEPROP SLICE_X0Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y140 NUM_PINS 45 SITEPROP SLICE_X0Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y140 PROHIBIT 0 SITEPROP SLICE_X0Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y140 RPM_X 17 SITEPROP SLICE_X0Y140 RPM_Y 280 SITEPROP SLICE_X0Y140 SITE_PIPS SITEPROP SLICE_X0Y140 SITE_TYPE SLICEL SITEPROP SLICE_X0Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y141 CLASS site SITEPROP SLICE_X0Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y141 IS_BONDED 0 SITEPROP SLICE_X0Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y141 IS_PAD 0 SITEPROP SLICE_X0Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y141 IS_RESERVED 0 SITEPROP SLICE_X0Y141 IS_TEST 0 SITEPROP SLICE_X0Y141 IS_USED 0 SITEPROP SLICE_X0Y141 MANUAL_ROUTING SITEPROP SLICE_X0Y141 NAME SLICE_X0Y141 SITEPROP SLICE_X0Y141 NUM_ARCS 138 SITEPROP SLICE_X0Y141 NUM_BELS 32 SITEPROP SLICE_X0Y141 NUM_INPUTS 32 SITEPROP SLICE_X0Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y141 NUM_PINS 45 SITEPROP SLICE_X0Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y141 PROHIBIT 0 SITEPROP SLICE_X0Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y141 RPM_X 17 SITEPROP SLICE_X0Y141 RPM_Y 282 SITEPROP SLICE_X0Y141 SITE_PIPS SITEPROP SLICE_X0Y141 SITE_TYPE SLICEL SITEPROP SLICE_X0Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y142 CLASS site SITEPROP SLICE_X0Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y142 IS_BONDED 0 SITEPROP SLICE_X0Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y142 IS_PAD 0 SITEPROP SLICE_X0Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y142 IS_RESERVED 0 SITEPROP SLICE_X0Y142 IS_TEST 0 SITEPROP SLICE_X0Y142 IS_USED 0 SITEPROP SLICE_X0Y142 MANUAL_ROUTING SITEPROP SLICE_X0Y142 NAME SLICE_X0Y142 SITEPROP SLICE_X0Y142 NUM_ARCS 138 SITEPROP SLICE_X0Y142 NUM_BELS 32 SITEPROP SLICE_X0Y142 NUM_INPUTS 32 SITEPROP SLICE_X0Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y142 NUM_PINS 45 SITEPROP SLICE_X0Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y142 PROHIBIT 0 SITEPROP SLICE_X0Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y142 RPM_X 17 SITEPROP SLICE_X0Y142 RPM_Y 284 SITEPROP SLICE_X0Y142 SITE_PIPS SITEPROP SLICE_X0Y142 SITE_TYPE SLICEL SITEPROP SLICE_X0Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y143 CLASS site SITEPROP SLICE_X0Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y143 IS_BONDED 0 SITEPROP SLICE_X0Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y143 IS_PAD 0 SITEPROP SLICE_X0Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y143 IS_RESERVED 0 SITEPROP SLICE_X0Y143 IS_TEST 0 SITEPROP SLICE_X0Y143 IS_USED 0 SITEPROP SLICE_X0Y143 MANUAL_ROUTING SITEPROP SLICE_X0Y143 NAME SLICE_X0Y143 SITEPROP SLICE_X0Y143 NUM_ARCS 138 SITEPROP SLICE_X0Y143 NUM_BELS 32 SITEPROP SLICE_X0Y143 NUM_INPUTS 32 SITEPROP SLICE_X0Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y143 NUM_PINS 45 SITEPROP SLICE_X0Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y143 PROHIBIT 0 SITEPROP SLICE_X0Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y143 RPM_X 17 SITEPROP SLICE_X0Y143 RPM_Y 286 SITEPROP SLICE_X0Y143 SITE_PIPS SITEPROP SLICE_X0Y143 SITE_TYPE SLICEL SITEPROP SLICE_X0Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y144 CLASS site SITEPROP SLICE_X0Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y144 IS_BONDED 0 SITEPROP SLICE_X0Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y144 IS_PAD 0 SITEPROP SLICE_X0Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y144 IS_RESERVED 0 SITEPROP SLICE_X0Y144 IS_TEST 0 SITEPROP SLICE_X0Y144 IS_USED 0 SITEPROP SLICE_X0Y144 MANUAL_ROUTING SITEPROP SLICE_X0Y144 NAME SLICE_X0Y144 SITEPROP SLICE_X0Y144 NUM_ARCS 138 SITEPROP SLICE_X0Y144 NUM_BELS 32 SITEPROP SLICE_X0Y144 NUM_INPUTS 32 SITEPROP SLICE_X0Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y144 NUM_PINS 45 SITEPROP SLICE_X0Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y144 PROHIBIT 0 SITEPROP SLICE_X0Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y144 RPM_X 17 SITEPROP SLICE_X0Y144 RPM_Y 288 SITEPROP SLICE_X0Y144 SITE_PIPS SITEPROP SLICE_X0Y144 SITE_TYPE SLICEL SITEPROP SLICE_X0Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y145 CLASS site SITEPROP SLICE_X0Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y145 IS_BONDED 0 SITEPROP SLICE_X0Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y145 IS_PAD 0 SITEPROP SLICE_X0Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y145 IS_RESERVED 0 SITEPROP SLICE_X0Y145 IS_TEST 0 SITEPROP SLICE_X0Y145 IS_USED 0 SITEPROP SLICE_X0Y145 MANUAL_ROUTING SITEPROP SLICE_X0Y145 NAME SLICE_X0Y145 SITEPROP SLICE_X0Y145 NUM_ARCS 138 SITEPROP SLICE_X0Y145 NUM_BELS 32 SITEPROP SLICE_X0Y145 NUM_INPUTS 32 SITEPROP SLICE_X0Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y145 NUM_PINS 45 SITEPROP SLICE_X0Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y145 PROHIBIT 0 SITEPROP SLICE_X0Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y145 RPM_X 17 SITEPROP SLICE_X0Y145 RPM_Y 290 SITEPROP SLICE_X0Y145 SITE_PIPS SITEPROP SLICE_X0Y145 SITE_TYPE SLICEL SITEPROP SLICE_X0Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y146 CLASS site SITEPROP SLICE_X0Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y146 IS_BONDED 0 SITEPROP SLICE_X0Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y146 IS_PAD 0 SITEPROP SLICE_X0Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y146 IS_RESERVED 0 SITEPROP SLICE_X0Y146 IS_TEST 0 SITEPROP SLICE_X0Y146 IS_USED 0 SITEPROP SLICE_X0Y146 MANUAL_ROUTING SITEPROP SLICE_X0Y146 NAME SLICE_X0Y146 SITEPROP SLICE_X0Y146 NUM_ARCS 138 SITEPROP SLICE_X0Y146 NUM_BELS 32 SITEPROP SLICE_X0Y146 NUM_INPUTS 32 SITEPROP SLICE_X0Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y146 NUM_PINS 45 SITEPROP SLICE_X0Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y146 PROHIBIT 0 SITEPROP SLICE_X0Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y146 RPM_X 17 SITEPROP SLICE_X0Y146 RPM_Y 292 SITEPROP SLICE_X0Y146 SITE_PIPS SITEPROP SLICE_X0Y146 SITE_TYPE SLICEL SITEPROP SLICE_X0Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y147 CLASS site SITEPROP SLICE_X0Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y147 IS_BONDED 0 SITEPROP SLICE_X0Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y147 IS_PAD 0 SITEPROP SLICE_X0Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y147 IS_RESERVED 0 SITEPROP SLICE_X0Y147 IS_TEST 0 SITEPROP SLICE_X0Y147 IS_USED 0 SITEPROP SLICE_X0Y147 MANUAL_ROUTING SITEPROP SLICE_X0Y147 NAME SLICE_X0Y147 SITEPROP SLICE_X0Y147 NUM_ARCS 138 SITEPROP SLICE_X0Y147 NUM_BELS 32 SITEPROP SLICE_X0Y147 NUM_INPUTS 32 SITEPROP SLICE_X0Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y147 NUM_PINS 45 SITEPROP SLICE_X0Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y147 PROHIBIT 0 SITEPROP SLICE_X0Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y147 RPM_X 17 SITEPROP SLICE_X0Y147 RPM_Y 294 SITEPROP SLICE_X0Y147 SITE_PIPS SITEPROP SLICE_X0Y147 SITE_TYPE SLICEL SITEPROP SLICE_X0Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y148 CLASS site SITEPROP SLICE_X0Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y148 IS_BONDED 0 SITEPROP SLICE_X0Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y148 IS_PAD 0 SITEPROP SLICE_X0Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y148 IS_RESERVED 0 SITEPROP SLICE_X0Y148 IS_TEST 0 SITEPROP SLICE_X0Y148 IS_USED 0 SITEPROP SLICE_X0Y148 MANUAL_ROUTING SITEPROP SLICE_X0Y148 NAME SLICE_X0Y148 SITEPROP SLICE_X0Y148 NUM_ARCS 138 SITEPROP SLICE_X0Y148 NUM_BELS 32 SITEPROP SLICE_X0Y148 NUM_INPUTS 32 SITEPROP SLICE_X0Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y148 NUM_PINS 45 SITEPROP SLICE_X0Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y148 PROHIBIT 0 SITEPROP SLICE_X0Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y148 RPM_X 17 SITEPROP SLICE_X0Y148 RPM_Y 296 SITEPROP SLICE_X0Y148 SITE_PIPS SITEPROP SLICE_X0Y148 SITE_TYPE SLICEL SITEPROP SLICE_X0Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X0Y149 CLASS site SITEPROP SLICE_X0Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X0Y149 IS_BONDED 0 SITEPROP SLICE_X0Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X0Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y149 IS_PAD 0 SITEPROP SLICE_X0Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X0Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X0Y149 IS_RESERVED 0 SITEPROP SLICE_X0Y149 IS_TEST 0 SITEPROP SLICE_X0Y149 IS_USED 0 SITEPROP SLICE_X0Y149 MANUAL_ROUTING SITEPROP SLICE_X0Y149 NAME SLICE_X0Y149 SITEPROP SLICE_X0Y149 NUM_ARCS 138 SITEPROP SLICE_X0Y149 NUM_BELS 32 SITEPROP SLICE_X0Y149 NUM_INPUTS 32 SITEPROP SLICE_X0Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X0Y149 NUM_PINS 45 SITEPROP SLICE_X0Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X0Y149 PROHIBIT 0 SITEPROP SLICE_X0Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X0Y149 RPM_X 17 SITEPROP SLICE_X0Y149 RPM_Y 298 SITEPROP SLICE_X0Y149 SITE_PIPS SITEPROP SLICE_X0Y149 SITE_TYPE SLICEL SITEPROP SLICE_X10Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y0 CLASS site SITEPROP SLICE_X10Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y0 IS_BONDED 0 SITEPROP SLICE_X10Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y0 IS_PAD 0 SITEPROP SLICE_X10Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y0 IS_RESERVED 0 SITEPROP SLICE_X10Y0 IS_TEST 0 SITEPROP SLICE_X10Y0 IS_USED 0 SITEPROP SLICE_X10Y0 MANUAL_ROUTING SITEPROP SLICE_X10Y0 NAME SLICE_X10Y0 SITEPROP SLICE_X10Y0 NUM_ARCS 153 SITEPROP SLICE_X10Y0 NUM_BELS 32 SITEPROP SLICE_X10Y0 NUM_INPUTS 37 SITEPROP SLICE_X10Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y0 NUM_PINS 50 SITEPROP SLICE_X10Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y0 PROHIBIT 0 SITEPROP SLICE_X10Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y0 RPM_X 41 SITEPROP SLICE_X10Y0 RPM_Y 0 SITEPROP SLICE_X10Y0 SITE_PIPS SITEPROP SLICE_X10Y0 SITE_TYPE SLICEM SITEPROP SLICE_X10Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y1 CLASS site SITEPROP SLICE_X10Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y1 IS_BONDED 0 SITEPROP SLICE_X10Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y1 IS_PAD 0 SITEPROP SLICE_X10Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y1 IS_RESERVED 0 SITEPROP SLICE_X10Y1 IS_TEST 0 SITEPROP SLICE_X10Y1 IS_USED 0 SITEPROP SLICE_X10Y1 MANUAL_ROUTING SITEPROP SLICE_X10Y1 NAME SLICE_X10Y1 SITEPROP SLICE_X10Y1 NUM_ARCS 153 SITEPROP SLICE_X10Y1 NUM_BELS 32 SITEPROP SLICE_X10Y1 NUM_INPUTS 37 SITEPROP SLICE_X10Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y1 NUM_PINS 50 SITEPROP SLICE_X10Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y1 PROHIBIT 0 SITEPROP SLICE_X10Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y1 RPM_X 41 SITEPROP SLICE_X10Y1 RPM_Y 2 SITEPROP SLICE_X10Y1 SITE_PIPS SITEPROP SLICE_X10Y1 SITE_TYPE SLICEM SITEPROP SLICE_X10Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y2 CLASS site SITEPROP SLICE_X10Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y2 IS_BONDED 0 SITEPROP SLICE_X10Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y2 IS_PAD 0 SITEPROP SLICE_X10Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y2 IS_RESERVED 0 SITEPROP SLICE_X10Y2 IS_TEST 0 SITEPROP SLICE_X10Y2 IS_USED 0 SITEPROP SLICE_X10Y2 MANUAL_ROUTING SITEPROP SLICE_X10Y2 NAME SLICE_X10Y2 SITEPROP SLICE_X10Y2 NUM_ARCS 153 SITEPROP SLICE_X10Y2 NUM_BELS 32 SITEPROP SLICE_X10Y2 NUM_INPUTS 37 SITEPROP SLICE_X10Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y2 NUM_PINS 50 SITEPROP SLICE_X10Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y2 PROHIBIT 0 SITEPROP SLICE_X10Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y2 RPM_X 41 SITEPROP SLICE_X10Y2 RPM_Y 4 SITEPROP SLICE_X10Y2 SITE_PIPS SITEPROP SLICE_X10Y2 SITE_TYPE SLICEM SITEPROP SLICE_X10Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y3 CLASS site SITEPROP SLICE_X10Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y3 IS_BONDED 0 SITEPROP SLICE_X10Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y3 IS_PAD 0 SITEPROP SLICE_X10Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y3 IS_RESERVED 0 SITEPROP SLICE_X10Y3 IS_TEST 0 SITEPROP SLICE_X10Y3 IS_USED 0 SITEPROP SLICE_X10Y3 MANUAL_ROUTING SITEPROP SLICE_X10Y3 NAME SLICE_X10Y3 SITEPROP SLICE_X10Y3 NUM_ARCS 153 SITEPROP SLICE_X10Y3 NUM_BELS 32 SITEPROP SLICE_X10Y3 NUM_INPUTS 37 SITEPROP SLICE_X10Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y3 NUM_PINS 50 SITEPROP SLICE_X10Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y3 PROHIBIT 0 SITEPROP SLICE_X10Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y3 RPM_X 41 SITEPROP SLICE_X10Y3 RPM_Y 6 SITEPROP SLICE_X10Y3 SITE_PIPS SITEPROP SLICE_X10Y3 SITE_TYPE SLICEM SITEPROP SLICE_X10Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y4 CLASS site SITEPROP SLICE_X10Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y4 IS_BONDED 0 SITEPROP SLICE_X10Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y4 IS_PAD 0 SITEPROP SLICE_X10Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y4 IS_RESERVED 0 SITEPROP SLICE_X10Y4 IS_TEST 0 SITEPROP SLICE_X10Y4 IS_USED 0 SITEPROP SLICE_X10Y4 MANUAL_ROUTING SITEPROP SLICE_X10Y4 NAME SLICE_X10Y4 SITEPROP SLICE_X10Y4 NUM_ARCS 153 SITEPROP SLICE_X10Y4 NUM_BELS 32 SITEPROP SLICE_X10Y4 NUM_INPUTS 37 SITEPROP SLICE_X10Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y4 NUM_PINS 50 SITEPROP SLICE_X10Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y4 PROHIBIT 0 SITEPROP SLICE_X10Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y4 RPM_X 41 SITEPROP SLICE_X10Y4 RPM_Y 8 SITEPROP SLICE_X10Y4 SITE_PIPS SITEPROP SLICE_X10Y4 SITE_TYPE SLICEM SITEPROP SLICE_X10Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y5 CLASS site SITEPROP SLICE_X10Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y5 IS_BONDED 0 SITEPROP SLICE_X10Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y5 IS_PAD 0 SITEPROP SLICE_X10Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y5 IS_RESERVED 0 SITEPROP SLICE_X10Y5 IS_TEST 0 SITEPROP SLICE_X10Y5 IS_USED 0 SITEPROP SLICE_X10Y5 MANUAL_ROUTING SITEPROP SLICE_X10Y5 NAME SLICE_X10Y5 SITEPROP SLICE_X10Y5 NUM_ARCS 153 SITEPROP SLICE_X10Y5 NUM_BELS 32 SITEPROP SLICE_X10Y5 NUM_INPUTS 37 SITEPROP SLICE_X10Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y5 NUM_PINS 50 SITEPROP SLICE_X10Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y5 PROHIBIT 0 SITEPROP SLICE_X10Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y5 RPM_X 41 SITEPROP SLICE_X10Y5 RPM_Y 10 SITEPROP SLICE_X10Y5 SITE_PIPS SITEPROP SLICE_X10Y5 SITE_TYPE SLICEM SITEPROP SLICE_X10Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y6 CLASS site SITEPROP SLICE_X10Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y6 IS_BONDED 0 SITEPROP SLICE_X10Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y6 IS_PAD 0 SITEPROP SLICE_X10Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y6 IS_RESERVED 0 SITEPROP SLICE_X10Y6 IS_TEST 0 SITEPROP SLICE_X10Y6 IS_USED 0 SITEPROP SLICE_X10Y6 MANUAL_ROUTING SITEPROP SLICE_X10Y6 NAME SLICE_X10Y6 SITEPROP SLICE_X10Y6 NUM_ARCS 153 SITEPROP SLICE_X10Y6 NUM_BELS 32 SITEPROP SLICE_X10Y6 NUM_INPUTS 37 SITEPROP SLICE_X10Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y6 NUM_PINS 50 SITEPROP SLICE_X10Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y6 PROHIBIT 0 SITEPROP SLICE_X10Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y6 RPM_X 41 SITEPROP SLICE_X10Y6 RPM_Y 12 SITEPROP SLICE_X10Y6 SITE_PIPS SITEPROP SLICE_X10Y6 SITE_TYPE SLICEM SITEPROP SLICE_X10Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y7 CLASS site SITEPROP SLICE_X10Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y7 IS_BONDED 0 SITEPROP SLICE_X10Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y7 IS_PAD 0 SITEPROP SLICE_X10Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y7 IS_RESERVED 0 SITEPROP SLICE_X10Y7 IS_TEST 0 SITEPROP SLICE_X10Y7 IS_USED 0 SITEPROP SLICE_X10Y7 MANUAL_ROUTING SITEPROP SLICE_X10Y7 NAME SLICE_X10Y7 SITEPROP SLICE_X10Y7 NUM_ARCS 153 SITEPROP SLICE_X10Y7 NUM_BELS 32 SITEPROP SLICE_X10Y7 NUM_INPUTS 37 SITEPROP SLICE_X10Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y7 NUM_PINS 50 SITEPROP SLICE_X10Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y7 PROHIBIT 0 SITEPROP SLICE_X10Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y7 RPM_X 41 SITEPROP SLICE_X10Y7 RPM_Y 14 SITEPROP SLICE_X10Y7 SITE_PIPS SITEPROP SLICE_X10Y7 SITE_TYPE SLICEM SITEPROP SLICE_X10Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y8 CLASS site SITEPROP SLICE_X10Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y8 IS_BONDED 0 SITEPROP SLICE_X10Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y8 IS_PAD 0 SITEPROP SLICE_X10Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y8 IS_RESERVED 0 SITEPROP SLICE_X10Y8 IS_TEST 0 SITEPROP SLICE_X10Y8 IS_USED 0 SITEPROP SLICE_X10Y8 MANUAL_ROUTING SITEPROP SLICE_X10Y8 NAME SLICE_X10Y8 SITEPROP SLICE_X10Y8 NUM_ARCS 153 SITEPROP SLICE_X10Y8 NUM_BELS 32 SITEPROP SLICE_X10Y8 NUM_INPUTS 37 SITEPROP SLICE_X10Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y8 NUM_PINS 50 SITEPROP SLICE_X10Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y8 PROHIBIT 0 SITEPROP SLICE_X10Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y8 RPM_X 41 SITEPROP SLICE_X10Y8 RPM_Y 16 SITEPROP SLICE_X10Y8 SITE_PIPS SITEPROP SLICE_X10Y8 SITE_TYPE SLICEM SITEPROP SLICE_X10Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y9 CLASS site SITEPROP SLICE_X10Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y9 IS_BONDED 0 SITEPROP SLICE_X10Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y9 IS_PAD 0 SITEPROP SLICE_X10Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y9 IS_RESERVED 0 SITEPROP SLICE_X10Y9 IS_TEST 0 SITEPROP SLICE_X10Y9 IS_USED 0 SITEPROP SLICE_X10Y9 MANUAL_ROUTING SITEPROP SLICE_X10Y9 NAME SLICE_X10Y9 SITEPROP SLICE_X10Y9 NUM_ARCS 153 SITEPROP SLICE_X10Y9 NUM_BELS 32 SITEPROP SLICE_X10Y9 NUM_INPUTS 37 SITEPROP SLICE_X10Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y9 NUM_PINS 50 SITEPROP SLICE_X10Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y9 PROHIBIT 0 SITEPROP SLICE_X10Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y9 RPM_X 41 SITEPROP SLICE_X10Y9 RPM_Y 18 SITEPROP SLICE_X10Y9 SITE_PIPS SITEPROP SLICE_X10Y9 SITE_TYPE SLICEM SITEPROP SLICE_X10Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y10 CLASS site SITEPROP SLICE_X10Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y10 IS_BONDED 0 SITEPROP SLICE_X10Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y10 IS_PAD 0 SITEPROP SLICE_X10Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y10 IS_RESERVED 0 SITEPROP SLICE_X10Y10 IS_TEST 0 SITEPROP SLICE_X10Y10 IS_USED 0 SITEPROP SLICE_X10Y10 MANUAL_ROUTING SITEPROP SLICE_X10Y10 NAME SLICE_X10Y10 SITEPROP SLICE_X10Y10 NUM_ARCS 153 SITEPROP SLICE_X10Y10 NUM_BELS 32 SITEPROP SLICE_X10Y10 NUM_INPUTS 37 SITEPROP SLICE_X10Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y10 NUM_PINS 50 SITEPROP SLICE_X10Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y10 PROHIBIT 0 SITEPROP SLICE_X10Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y10 RPM_X 41 SITEPROP SLICE_X10Y10 RPM_Y 20 SITEPROP SLICE_X10Y10 SITE_PIPS SITEPROP SLICE_X10Y10 SITE_TYPE SLICEM SITEPROP SLICE_X10Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y11 CLASS site SITEPROP SLICE_X10Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y11 IS_BONDED 0 SITEPROP SLICE_X10Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y11 IS_PAD 0 SITEPROP SLICE_X10Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y11 IS_RESERVED 0 SITEPROP SLICE_X10Y11 IS_TEST 0 SITEPROP SLICE_X10Y11 IS_USED 0 SITEPROP SLICE_X10Y11 MANUAL_ROUTING SITEPROP SLICE_X10Y11 NAME SLICE_X10Y11 SITEPROP SLICE_X10Y11 NUM_ARCS 153 SITEPROP SLICE_X10Y11 NUM_BELS 32 SITEPROP SLICE_X10Y11 NUM_INPUTS 37 SITEPROP SLICE_X10Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y11 NUM_PINS 50 SITEPROP SLICE_X10Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y11 PROHIBIT 0 SITEPROP SLICE_X10Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y11 RPM_X 41 SITEPROP SLICE_X10Y11 RPM_Y 22 SITEPROP SLICE_X10Y11 SITE_PIPS SITEPROP SLICE_X10Y11 SITE_TYPE SLICEM SITEPROP SLICE_X10Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y12 CLASS site SITEPROP SLICE_X10Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y12 IS_BONDED 0 SITEPROP SLICE_X10Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y12 IS_PAD 0 SITEPROP SLICE_X10Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y12 IS_RESERVED 0 SITEPROP SLICE_X10Y12 IS_TEST 0 SITEPROP SLICE_X10Y12 IS_USED 0 SITEPROP SLICE_X10Y12 MANUAL_ROUTING SITEPROP SLICE_X10Y12 NAME SLICE_X10Y12 SITEPROP SLICE_X10Y12 NUM_ARCS 153 SITEPROP SLICE_X10Y12 NUM_BELS 32 SITEPROP SLICE_X10Y12 NUM_INPUTS 37 SITEPROP SLICE_X10Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y12 NUM_PINS 50 SITEPROP SLICE_X10Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y12 PROHIBIT 0 SITEPROP SLICE_X10Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y12 RPM_X 41 SITEPROP SLICE_X10Y12 RPM_Y 24 SITEPROP SLICE_X10Y12 SITE_PIPS SITEPROP SLICE_X10Y12 SITE_TYPE SLICEM SITEPROP SLICE_X10Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y13 CLASS site SITEPROP SLICE_X10Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y13 IS_BONDED 0 SITEPROP SLICE_X10Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y13 IS_PAD 0 SITEPROP SLICE_X10Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y13 IS_RESERVED 0 SITEPROP SLICE_X10Y13 IS_TEST 0 SITEPROP SLICE_X10Y13 IS_USED 0 SITEPROP SLICE_X10Y13 MANUAL_ROUTING SITEPROP SLICE_X10Y13 NAME SLICE_X10Y13 SITEPROP SLICE_X10Y13 NUM_ARCS 153 SITEPROP SLICE_X10Y13 NUM_BELS 32 SITEPROP SLICE_X10Y13 NUM_INPUTS 37 SITEPROP SLICE_X10Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y13 NUM_PINS 50 SITEPROP SLICE_X10Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y13 PROHIBIT 0 SITEPROP SLICE_X10Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y13 RPM_X 41 SITEPROP SLICE_X10Y13 RPM_Y 26 SITEPROP SLICE_X10Y13 SITE_PIPS SITEPROP SLICE_X10Y13 SITE_TYPE SLICEM SITEPROP SLICE_X10Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y14 CLASS site SITEPROP SLICE_X10Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y14 IS_BONDED 0 SITEPROP SLICE_X10Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y14 IS_PAD 0 SITEPROP SLICE_X10Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y14 IS_RESERVED 0 SITEPROP SLICE_X10Y14 IS_TEST 0 SITEPROP SLICE_X10Y14 IS_USED 0 SITEPROP SLICE_X10Y14 MANUAL_ROUTING SITEPROP SLICE_X10Y14 NAME SLICE_X10Y14 SITEPROP SLICE_X10Y14 NUM_ARCS 153 SITEPROP SLICE_X10Y14 NUM_BELS 32 SITEPROP SLICE_X10Y14 NUM_INPUTS 37 SITEPROP SLICE_X10Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y14 NUM_PINS 50 SITEPROP SLICE_X10Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y14 PROHIBIT 0 SITEPROP SLICE_X10Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y14 RPM_X 41 SITEPROP SLICE_X10Y14 RPM_Y 28 SITEPROP SLICE_X10Y14 SITE_PIPS SITEPROP SLICE_X10Y14 SITE_TYPE SLICEM SITEPROP SLICE_X10Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y15 CLASS site SITEPROP SLICE_X10Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y15 IS_BONDED 0 SITEPROP SLICE_X10Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y15 IS_PAD 0 SITEPROP SLICE_X10Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y15 IS_RESERVED 0 SITEPROP SLICE_X10Y15 IS_TEST 0 SITEPROP SLICE_X10Y15 IS_USED 0 SITEPROP SLICE_X10Y15 MANUAL_ROUTING SITEPROP SLICE_X10Y15 NAME SLICE_X10Y15 SITEPROP SLICE_X10Y15 NUM_ARCS 153 SITEPROP SLICE_X10Y15 NUM_BELS 32 SITEPROP SLICE_X10Y15 NUM_INPUTS 37 SITEPROP SLICE_X10Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y15 NUM_PINS 50 SITEPROP SLICE_X10Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y15 PROHIBIT 0 SITEPROP SLICE_X10Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y15 RPM_X 41 SITEPROP SLICE_X10Y15 RPM_Y 30 SITEPROP SLICE_X10Y15 SITE_PIPS SITEPROP SLICE_X10Y15 SITE_TYPE SLICEM SITEPROP SLICE_X10Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y16 CLASS site SITEPROP SLICE_X10Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y16 IS_BONDED 0 SITEPROP SLICE_X10Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y16 IS_PAD 0 SITEPROP SLICE_X10Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y16 IS_RESERVED 0 SITEPROP SLICE_X10Y16 IS_TEST 0 SITEPROP SLICE_X10Y16 IS_USED 0 SITEPROP SLICE_X10Y16 MANUAL_ROUTING SITEPROP SLICE_X10Y16 NAME SLICE_X10Y16 SITEPROP SLICE_X10Y16 NUM_ARCS 153 SITEPROP SLICE_X10Y16 NUM_BELS 32 SITEPROP SLICE_X10Y16 NUM_INPUTS 37 SITEPROP SLICE_X10Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y16 NUM_PINS 50 SITEPROP SLICE_X10Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y16 PROHIBIT 0 SITEPROP SLICE_X10Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y16 RPM_X 41 SITEPROP SLICE_X10Y16 RPM_Y 32 SITEPROP SLICE_X10Y16 SITE_PIPS SITEPROP SLICE_X10Y16 SITE_TYPE SLICEM SITEPROP SLICE_X10Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y17 CLASS site SITEPROP SLICE_X10Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y17 IS_BONDED 0 SITEPROP SLICE_X10Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y17 IS_PAD 0 SITEPROP SLICE_X10Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y17 IS_RESERVED 0 SITEPROP SLICE_X10Y17 IS_TEST 0 SITEPROP SLICE_X10Y17 IS_USED 0 SITEPROP SLICE_X10Y17 MANUAL_ROUTING SITEPROP SLICE_X10Y17 NAME SLICE_X10Y17 SITEPROP SLICE_X10Y17 NUM_ARCS 153 SITEPROP SLICE_X10Y17 NUM_BELS 32 SITEPROP SLICE_X10Y17 NUM_INPUTS 37 SITEPROP SLICE_X10Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y17 NUM_PINS 50 SITEPROP SLICE_X10Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y17 PROHIBIT 0 SITEPROP SLICE_X10Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y17 RPM_X 41 SITEPROP SLICE_X10Y17 RPM_Y 34 SITEPROP SLICE_X10Y17 SITE_PIPS SITEPROP SLICE_X10Y17 SITE_TYPE SLICEM SITEPROP SLICE_X10Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y18 CLASS site SITEPROP SLICE_X10Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y18 IS_BONDED 0 SITEPROP SLICE_X10Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y18 IS_PAD 0 SITEPROP SLICE_X10Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y18 IS_RESERVED 0 SITEPROP SLICE_X10Y18 IS_TEST 0 SITEPROP SLICE_X10Y18 IS_USED 0 SITEPROP SLICE_X10Y18 MANUAL_ROUTING SITEPROP SLICE_X10Y18 NAME SLICE_X10Y18 SITEPROP SLICE_X10Y18 NUM_ARCS 153 SITEPROP SLICE_X10Y18 NUM_BELS 32 SITEPROP SLICE_X10Y18 NUM_INPUTS 37 SITEPROP SLICE_X10Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y18 NUM_PINS 50 SITEPROP SLICE_X10Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y18 PROHIBIT 0 SITEPROP SLICE_X10Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y18 RPM_X 41 SITEPROP SLICE_X10Y18 RPM_Y 36 SITEPROP SLICE_X10Y18 SITE_PIPS SITEPROP SLICE_X10Y18 SITE_TYPE SLICEM SITEPROP SLICE_X10Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y19 CLASS site SITEPROP SLICE_X10Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y19 IS_BONDED 0 SITEPROP SLICE_X10Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y19 IS_PAD 0 SITEPROP SLICE_X10Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y19 IS_RESERVED 0 SITEPROP SLICE_X10Y19 IS_TEST 0 SITEPROP SLICE_X10Y19 IS_USED 0 SITEPROP SLICE_X10Y19 MANUAL_ROUTING SITEPROP SLICE_X10Y19 NAME SLICE_X10Y19 SITEPROP SLICE_X10Y19 NUM_ARCS 153 SITEPROP SLICE_X10Y19 NUM_BELS 32 SITEPROP SLICE_X10Y19 NUM_INPUTS 37 SITEPROP SLICE_X10Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y19 NUM_PINS 50 SITEPROP SLICE_X10Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y19 PROHIBIT 0 SITEPROP SLICE_X10Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y19 RPM_X 41 SITEPROP SLICE_X10Y19 RPM_Y 38 SITEPROP SLICE_X10Y19 SITE_PIPS SITEPROP SLICE_X10Y19 SITE_TYPE SLICEM SITEPROP SLICE_X10Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y20 CLASS site SITEPROP SLICE_X10Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y20 IS_BONDED 0 SITEPROP SLICE_X10Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y20 IS_PAD 0 SITEPROP SLICE_X10Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y20 IS_RESERVED 0 SITEPROP SLICE_X10Y20 IS_TEST 0 SITEPROP SLICE_X10Y20 IS_USED 0 SITEPROP SLICE_X10Y20 MANUAL_ROUTING SITEPROP SLICE_X10Y20 NAME SLICE_X10Y20 SITEPROP SLICE_X10Y20 NUM_ARCS 153 SITEPROP SLICE_X10Y20 NUM_BELS 32 SITEPROP SLICE_X10Y20 NUM_INPUTS 37 SITEPROP SLICE_X10Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y20 NUM_PINS 50 SITEPROP SLICE_X10Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y20 PROHIBIT 0 SITEPROP SLICE_X10Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y20 RPM_X 41 SITEPROP SLICE_X10Y20 RPM_Y 40 SITEPROP SLICE_X10Y20 SITE_PIPS SITEPROP SLICE_X10Y20 SITE_TYPE SLICEM SITEPROP SLICE_X10Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y21 CLASS site SITEPROP SLICE_X10Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y21 IS_BONDED 0 SITEPROP SLICE_X10Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y21 IS_PAD 0 SITEPROP SLICE_X10Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y21 IS_RESERVED 0 SITEPROP SLICE_X10Y21 IS_TEST 0 SITEPROP SLICE_X10Y21 IS_USED 0 SITEPROP SLICE_X10Y21 MANUAL_ROUTING SITEPROP SLICE_X10Y21 NAME SLICE_X10Y21 SITEPROP SLICE_X10Y21 NUM_ARCS 153 SITEPROP SLICE_X10Y21 NUM_BELS 32 SITEPROP SLICE_X10Y21 NUM_INPUTS 37 SITEPROP SLICE_X10Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y21 NUM_PINS 50 SITEPROP SLICE_X10Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y21 PROHIBIT 0 SITEPROP SLICE_X10Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y21 RPM_X 41 SITEPROP SLICE_X10Y21 RPM_Y 42 SITEPROP SLICE_X10Y21 SITE_PIPS SITEPROP SLICE_X10Y21 SITE_TYPE SLICEM SITEPROP SLICE_X10Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y22 CLASS site SITEPROP SLICE_X10Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y22 IS_BONDED 0 SITEPROP SLICE_X10Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y22 IS_PAD 0 SITEPROP SLICE_X10Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y22 IS_RESERVED 0 SITEPROP SLICE_X10Y22 IS_TEST 0 SITEPROP SLICE_X10Y22 IS_USED 0 SITEPROP SLICE_X10Y22 MANUAL_ROUTING SITEPROP SLICE_X10Y22 NAME SLICE_X10Y22 SITEPROP SLICE_X10Y22 NUM_ARCS 153 SITEPROP SLICE_X10Y22 NUM_BELS 32 SITEPROP SLICE_X10Y22 NUM_INPUTS 37 SITEPROP SLICE_X10Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y22 NUM_PINS 50 SITEPROP SLICE_X10Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y22 PROHIBIT 0 SITEPROP SLICE_X10Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y22 RPM_X 41 SITEPROP SLICE_X10Y22 RPM_Y 44 SITEPROP SLICE_X10Y22 SITE_PIPS SITEPROP SLICE_X10Y22 SITE_TYPE SLICEM SITEPROP SLICE_X10Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y23 CLASS site SITEPROP SLICE_X10Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y23 IS_BONDED 0 SITEPROP SLICE_X10Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y23 IS_PAD 0 SITEPROP SLICE_X10Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y23 IS_RESERVED 0 SITEPROP SLICE_X10Y23 IS_TEST 0 SITEPROP SLICE_X10Y23 IS_USED 0 SITEPROP SLICE_X10Y23 MANUAL_ROUTING SITEPROP SLICE_X10Y23 NAME SLICE_X10Y23 SITEPROP SLICE_X10Y23 NUM_ARCS 153 SITEPROP SLICE_X10Y23 NUM_BELS 32 SITEPROP SLICE_X10Y23 NUM_INPUTS 37 SITEPROP SLICE_X10Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y23 NUM_PINS 50 SITEPROP SLICE_X10Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y23 PROHIBIT 0 SITEPROP SLICE_X10Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y23 RPM_X 41 SITEPROP SLICE_X10Y23 RPM_Y 46 SITEPROP SLICE_X10Y23 SITE_PIPS SITEPROP SLICE_X10Y23 SITE_TYPE SLICEM SITEPROP SLICE_X10Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y24 CLASS site SITEPROP SLICE_X10Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y24 IS_BONDED 0 SITEPROP SLICE_X10Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y24 IS_PAD 0 SITEPROP SLICE_X10Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y24 IS_RESERVED 0 SITEPROP SLICE_X10Y24 IS_TEST 0 SITEPROP SLICE_X10Y24 IS_USED 0 SITEPROP SLICE_X10Y24 MANUAL_ROUTING SITEPROP SLICE_X10Y24 NAME SLICE_X10Y24 SITEPROP SLICE_X10Y24 NUM_ARCS 153 SITEPROP SLICE_X10Y24 NUM_BELS 32 SITEPROP SLICE_X10Y24 NUM_INPUTS 37 SITEPROP SLICE_X10Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y24 NUM_PINS 50 SITEPROP SLICE_X10Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y24 PROHIBIT 0 SITEPROP SLICE_X10Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y24 RPM_X 41 SITEPROP SLICE_X10Y24 RPM_Y 48 SITEPROP SLICE_X10Y24 SITE_PIPS SITEPROP SLICE_X10Y24 SITE_TYPE SLICEM SITEPROP SLICE_X10Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y25 CLASS site SITEPROP SLICE_X10Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y25 IS_BONDED 0 SITEPROP SLICE_X10Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y25 IS_PAD 0 SITEPROP SLICE_X10Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y25 IS_RESERVED 0 SITEPROP SLICE_X10Y25 IS_TEST 0 SITEPROP SLICE_X10Y25 IS_USED 0 SITEPROP SLICE_X10Y25 MANUAL_ROUTING SITEPROP SLICE_X10Y25 NAME SLICE_X10Y25 SITEPROP SLICE_X10Y25 NUM_ARCS 153 SITEPROP SLICE_X10Y25 NUM_BELS 32 SITEPROP SLICE_X10Y25 NUM_INPUTS 37 SITEPROP SLICE_X10Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y25 NUM_PINS 50 SITEPROP SLICE_X10Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y25 PROHIBIT 0 SITEPROP SLICE_X10Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y25 RPM_X 41 SITEPROP SLICE_X10Y25 RPM_Y 50 SITEPROP SLICE_X10Y25 SITE_PIPS SITEPROP SLICE_X10Y25 SITE_TYPE SLICEM SITEPROP SLICE_X10Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y26 CLASS site SITEPROP SLICE_X10Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y26 IS_BONDED 0 SITEPROP SLICE_X10Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y26 IS_PAD 0 SITEPROP SLICE_X10Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y26 IS_RESERVED 0 SITEPROP SLICE_X10Y26 IS_TEST 0 SITEPROP SLICE_X10Y26 IS_USED 0 SITEPROP SLICE_X10Y26 MANUAL_ROUTING SITEPROP SLICE_X10Y26 NAME SLICE_X10Y26 SITEPROP SLICE_X10Y26 NUM_ARCS 153 SITEPROP SLICE_X10Y26 NUM_BELS 32 SITEPROP SLICE_X10Y26 NUM_INPUTS 37 SITEPROP SLICE_X10Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y26 NUM_PINS 50 SITEPROP SLICE_X10Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y26 PROHIBIT 0 SITEPROP SLICE_X10Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y26 RPM_X 41 SITEPROP SLICE_X10Y26 RPM_Y 52 SITEPROP SLICE_X10Y26 SITE_PIPS SITEPROP SLICE_X10Y26 SITE_TYPE SLICEM SITEPROP SLICE_X10Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y27 CLASS site SITEPROP SLICE_X10Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y27 IS_BONDED 0 SITEPROP SLICE_X10Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y27 IS_PAD 0 SITEPROP SLICE_X10Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y27 IS_RESERVED 0 SITEPROP SLICE_X10Y27 IS_TEST 0 SITEPROP SLICE_X10Y27 IS_USED 0 SITEPROP SLICE_X10Y27 MANUAL_ROUTING SITEPROP SLICE_X10Y27 NAME SLICE_X10Y27 SITEPROP SLICE_X10Y27 NUM_ARCS 153 SITEPROP SLICE_X10Y27 NUM_BELS 32 SITEPROP SLICE_X10Y27 NUM_INPUTS 37 SITEPROP SLICE_X10Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y27 NUM_PINS 50 SITEPROP SLICE_X10Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y27 PROHIBIT 0 SITEPROP SLICE_X10Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y27 RPM_X 41 SITEPROP SLICE_X10Y27 RPM_Y 54 SITEPROP SLICE_X10Y27 SITE_PIPS SITEPROP SLICE_X10Y27 SITE_TYPE SLICEM SITEPROP SLICE_X10Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y28 CLASS site SITEPROP SLICE_X10Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y28 IS_BONDED 0 SITEPROP SLICE_X10Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y28 IS_PAD 0 SITEPROP SLICE_X10Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y28 IS_RESERVED 0 SITEPROP SLICE_X10Y28 IS_TEST 0 SITEPROP SLICE_X10Y28 IS_USED 0 SITEPROP SLICE_X10Y28 MANUAL_ROUTING SITEPROP SLICE_X10Y28 NAME SLICE_X10Y28 SITEPROP SLICE_X10Y28 NUM_ARCS 153 SITEPROP SLICE_X10Y28 NUM_BELS 32 SITEPROP SLICE_X10Y28 NUM_INPUTS 37 SITEPROP SLICE_X10Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y28 NUM_PINS 50 SITEPROP SLICE_X10Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y28 PROHIBIT 0 SITEPROP SLICE_X10Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y28 RPM_X 41 SITEPROP SLICE_X10Y28 RPM_Y 56 SITEPROP SLICE_X10Y28 SITE_PIPS SITEPROP SLICE_X10Y28 SITE_TYPE SLICEM SITEPROP SLICE_X10Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y29 CLASS site SITEPROP SLICE_X10Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y29 IS_BONDED 0 SITEPROP SLICE_X10Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y29 IS_PAD 0 SITEPROP SLICE_X10Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y29 IS_RESERVED 0 SITEPROP SLICE_X10Y29 IS_TEST 0 SITEPROP SLICE_X10Y29 IS_USED 0 SITEPROP SLICE_X10Y29 MANUAL_ROUTING SITEPROP SLICE_X10Y29 NAME SLICE_X10Y29 SITEPROP SLICE_X10Y29 NUM_ARCS 153 SITEPROP SLICE_X10Y29 NUM_BELS 32 SITEPROP SLICE_X10Y29 NUM_INPUTS 37 SITEPROP SLICE_X10Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y29 NUM_PINS 50 SITEPROP SLICE_X10Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y29 PROHIBIT 0 SITEPROP SLICE_X10Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y29 RPM_X 41 SITEPROP SLICE_X10Y29 RPM_Y 58 SITEPROP SLICE_X10Y29 SITE_PIPS SITEPROP SLICE_X10Y29 SITE_TYPE SLICEM SITEPROP SLICE_X10Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y30 CLASS site SITEPROP SLICE_X10Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y30 IS_BONDED 0 SITEPROP SLICE_X10Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y30 IS_PAD 0 SITEPROP SLICE_X10Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y30 IS_RESERVED 0 SITEPROP SLICE_X10Y30 IS_TEST 0 SITEPROP SLICE_X10Y30 IS_USED 0 SITEPROP SLICE_X10Y30 MANUAL_ROUTING SITEPROP SLICE_X10Y30 NAME SLICE_X10Y30 SITEPROP SLICE_X10Y30 NUM_ARCS 153 SITEPROP SLICE_X10Y30 NUM_BELS 32 SITEPROP SLICE_X10Y30 NUM_INPUTS 37 SITEPROP SLICE_X10Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y30 NUM_PINS 50 SITEPROP SLICE_X10Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y30 PROHIBIT 0 SITEPROP SLICE_X10Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y30 RPM_X 41 SITEPROP SLICE_X10Y30 RPM_Y 60 SITEPROP SLICE_X10Y30 SITE_PIPS SITEPROP SLICE_X10Y30 SITE_TYPE SLICEM SITEPROP SLICE_X10Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y31 CLASS site SITEPROP SLICE_X10Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y31 IS_BONDED 0 SITEPROP SLICE_X10Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y31 IS_PAD 0 SITEPROP SLICE_X10Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y31 IS_RESERVED 0 SITEPROP SLICE_X10Y31 IS_TEST 0 SITEPROP SLICE_X10Y31 IS_USED 0 SITEPROP SLICE_X10Y31 MANUAL_ROUTING SITEPROP SLICE_X10Y31 NAME SLICE_X10Y31 SITEPROP SLICE_X10Y31 NUM_ARCS 153 SITEPROP SLICE_X10Y31 NUM_BELS 32 SITEPROP SLICE_X10Y31 NUM_INPUTS 37 SITEPROP SLICE_X10Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y31 NUM_PINS 50 SITEPROP SLICE_X10Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y31 PROHIBIT 0 SITEPROP SLICE_X10Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y31 RPM_X 41 SITEPROP SLICE_X10Y31 RPM_Y 62 SITEPROP SLICE_X10Y31 SITE_PIPS SITEPROP SLICE_X10Y31 SITE_TYPE SLICEM SITEPROP SLICE_X10Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y32 CLASS site SITEPROP SLICE_X10Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y32 IS_BONDED 0 SITEPROP SLICE_X10Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y32 IS_PAD 0 SITEPROP SLICE_X10Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y32 IS_RESERVED 0 SITEPROP SLICE_X10Y32 IS_TEST 0 SITEPROP SLICE_X10Y32 IS_USED 0 SITEPROP SLICE_X10Y32 MANUAL_ROUTING SITEPROP SLICE_X10Y32 NAME SLICE_X10Y32 SITEPROP SLICE_X10Y32 NUM_ARCS 153 SITEPROP SLICE_X10Y32 NUM_BELS 32 SITEPROP SLICE_X10Y32 NUM_INPUTS 37 SITEPROP SLICE_X10Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y32 NUM_PINS 50 SITEPROP SLICE_X10Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y32 PROHIBIT 0 SITEPROP SLICE_X10Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y32 RPM_X 41 SITEPROP SLICE_X10Y32 RPM_Y 64 SITEPROP SLICE_X10Y32 SITE_PIPS SITEPROP SLICE_X10Y32 SITE_TYPE SLICEM SITEPROP SLICE_X10Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y33 CLASS site SITEPROP SLICE_X10Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y33 IS_BONDED 0 SITEPROP SLICE_X10Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y33 IS_PAD 0 SITEPROP SLICE_X10Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y33 IS_RESERVED 0 SITEPROP SLICE_X10Y33 IS_TEST 0 SITEPROP SLICE_X10Y33 IS_USED 0 SITEPROP SLICE_X10Y33 MANUAL_ROUTING SITEPROP SLICE_X10Y33 NAME SLICE_X10Y33 SITEPROP SLICE_X10Y33 NUM_ARCS 153 SITEPROP SLICE_X10Y33 NUM_BELS 32 SITEPROP SLICE_X10Y33 NUM_INPUTS 37 SITEPROP SLICE_X10Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y33 NUM_PINS 50 SITEPROP SLICE_X10Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y33 PROHIBIT 0 SITEPROP SLICE_X10Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y33 RPM_X 41 SITEPROP SLICE_X10Y33 RPM_Y 66 SITEPROP SLICE_X10Y33 SITE_PIPS SITEPROP SLICE_X10Y33 SITE_TYPE SLICEM SITEPROP SLICE_X10Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y34 CLASS site SITEPROP SLICE_X10Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y34 IS_BONDED 0 SITEPROP SLICE_X10Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y34 IS_PAD 0 SITEPROP SLICE_X10Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y34 IS_RESERVED 0 SITEPROP SLICE_X10Y34 IS_TEST 0 SITEPROP SLICE_X10Y34 IS_USED 0 SITEPROP SLICE_X10Y34 MANUAL_ROUTING SITEPROP SLICE_X10Y34 NAME SLICE_X10Y34 SITEPROP SLICE_X10Y34 NUM_ARCS 153 SITEPROP SLICE_X10Y34 NUM_BELS 32 SITEPROP SLICE_X10Y34 NUM_INPUTS 37 SITEPROP SLICE_X10Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y34 NUM_PINS 50 SITEPROP SLICE_X10Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y34 PROHIBIT 0 SITEPROP SLICE_X10Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y34 RPM_X 41 SITEPROP SLICE_X10Y34 RPM_Y 68 SITEPROP SLICE_X10Y34 SITE_PIPS SITEPROP SLICE_X10Y34 SITE_TYPE SLICEM SITEPROP SLICE_X10Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y35 CLASS site SITEPROP SLICE_X10Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y35 IS_BONDED 0 SITEPROP SLICE_X10Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y35 IS_PAD 0 SITEPROP SLICE_X10Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y35 IS_RESERVED 0 SITEPROP SLICE_X10Y35 IS_TEST 0 SITEPROP SLICE_X10Y35 IS_USED 0 SITEPROP SLICE_X10Y35 MANUAL_ROUTING SITEPROP SLICE_X10Y35 NAME SLICE_X10Y35 SITEPROP SLICE_X10Y35 NUM_ARCS 153 SITEPROP SLICE_X10Y35 NUM_BELS 32 SITEPROP SLICE_X10Y35 NUM_INPUTS 37 SITEPROP SLICE_X10Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y35 NUM_PINS 50 SITEPROP SLICE_X10Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y35 PROHIBIT 0 SITEPROP SLICE_X10Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y35 RPM_X 41 SITEPROP SLICE_X10Y35 RPM_Y 70 SITEPROP SLICE_X10Y35 SITE_PIPS SITEPROP SLICE_X10Y35 SITE_TYPE SLICEM SITEPROP SLICE_X10Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y36 CLASS site SITEPROP SLICE_X10Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y36 IS_BONDED 0 SITEPROP SLICE_X10Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y36 IS_PAD 0 SITEPROP SLICE_X10Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y36 IS_RESERVED 0 SITEPROP SLICE_X10Y36 IS_TEST 0 SITEPROP SLICE_X10Y36 IS_USED 0 SITEPROP SLICE_X10Y36 MANUAL_ROUTING SITEPROP SLICE_X10Y36 NAME SLICE_X10Y36 SITEPROP SLICE_X10Y36 NUM_ARCS 153 SITEPROP SLICE_X10Y36 NUM_BELS 32 SITEPROP SLICE_X10Y36 NUM_INPUTS 37 SITEPROP SLICE_X10Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y36 NUM_PINS 50 SITEPROP SLICE_X10Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y36 PROHIBIT 0 SITEPROP SLICE_X10Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y36 RPM_X 41 SITEPROP SLICE_X10Y36 RPM_Y 72 SITEPROP SLICE_X10Y36 SITE_PIPS SITEPROP SLICE_X10Y36 SITE_TYPE SLICEM SITEPROP SLICE_X10Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y37 CLASS site SITEPROP SLICE_X10Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y37 IS_BONDED 0 SITEPROP SLICE_X10Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y37 IS_PAD 0 SITEPROP SLICE_X10Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y37 IS_RESERVED 0 SITEPROP SLICE_X10Y37 IS_TEST 0 SITEPROP SLICE_X10Y37 IS_USED 0 SITEPROP SLICE_X10Y37 MANUAL_ROUTING SITEPROP SLICE_X10Y37 NAME SLICE_X10Y37 SITEPROP SLICE_X10Y37 NUM_ARCS 153 SITEPROP SLICE_X10Y37 NUM_BELS 32 SITEPROP SLICE_X10Y37 NUM_INPUTS 37 SITEPROP SLICE_X10Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y37 NUM_PINS 50 SITEPROP SLICE_X10Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y37 PROHIBIT 0 SITEPROP SLICE_X10Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y37 RPM_X 41 SITEPROP SLICE_X10Y37 RPM_Y 74 SITEPROP SLICE_X10Y37 SITE_PIPS SITEPROP SLICE_X10Y37 SITE_TYPE SLICEM SITEPROP SLICE_X10Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y38 CLASS site SITEPROP SLICE_X10Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y38 IS_BONDED 0 SITEPROP SLICE_X10Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y38 IS_PAD 0 SITEPROP SLICE_X10Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y38 IS_RESERVED 0 SITEPROP SLICE_X10Y38 IS_TEST 0 SITEPROP SLICE_X10Y38 IS_USED 0 SITEPROP SLICE_X10Y38 MANUAL_ROUTING SITEPROP SLICE_X10Y38 NAME SLICE_X10Y38 SITEPROP SLICE_X10Y38 NUM_ARCS 153 SITEPROP SLICE_X10Y38 NUM_BELS 32 SITEPROP SLICE_X10Y38 NUM_INPUTS 37 SITEPROP SLICE_X10Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y38 NUM_PINS 50 SITEPROP SLICE_X10Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y38 PROHIBIT 0 SITEPROP SLICE_X10Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y38 RPM_X 41 SITEPROP SLICE_X10Y38 RPM_Y 76 SITEPROP SLICE_X10Y38 SITE_PIPS SITEPROP SLICE_X10Y38 SITE_TYPE SLICEM SITEPROP SLICE_X10Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y39 CLASS site SITEPROP SLICE_X10Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y39 IS_BONDED 0 SITEPROP SLICE_X10Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y39 IS_PAD 0 SITEPROP SLICE_X10Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y39 IS_RESERVED 0 SITEPROP SLICE_X10Y39 IS_TEST 0 SITEPROP SLICE_X10Y39 IS_USED 0 SITEPROP SLICE_X10Y39 MANUAL_ROUTING SITEPROP SLICE_X10Y39 NAME SLICE_X10Y39 SITEPROP SLICE_X10Y39 NUM_ARCS 153 SITEPROP SLICE_X10Y39 NUM_BELS 32 SITEPROP SLICE_X10Y39 NUM_INPUTS 37 SITEPROP SLICE_X10Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y39 NUM_PINS 50 SITEPROP SLICE_X10Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y39 PROHIBIT 0 SITEPROP SLICE_X10Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y39 RPM_X 41 SITEPROP SLICE_X10Y39 RPM_Y 78 SITEPROP SLICE_X10Y39 SITE_PIPS SITEPROP SLICE_X10Y39 SITE_TYPE SLICEM SITEPROP SLICE_X10Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y40 CLASS site SITEPROP SLICE_X10Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y40 IS_BONDED 0 SITEPROP SLICE_X10Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y40 IS_PAD 0 SITEPROP SLICE_X10Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y40 IS_RESERVED 0 SITEPROP SLICE_X10Y40 IS_TEST 0 SITEPROP SLICE_X10Y40 IS_USED 0 SITEPROP SLICE_X10Y40 MANUAL_ROUTING SITEPROP SLICE_X10Y40 NAME SLICE_X10Y40 SITEPROP SLICE_X10Y40 NUM_ARCS 153 SITEPROP SLICE_X10Y40 NUM_BELS 32 SITEPROP SLICE_X10Y40 NUM_INPUTS 37 SITEPROP SLICE_X10Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y40 NUM_PINS 50 SITEPROP SLICE_X10Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y40 PROHIBIT 0 SITEPROP SLICE_X10Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y40 RPM_X 41 SITEPROP SLICE_X10Y40 RPM_Y 80 SITEPROP SLICE_X10Y40 SITE_PIPS SITEPROP SLICE_X10Y40 SITE_TYPE SLICEM SITEPROP SLICE_X10Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y41 CLASS site SITEPROP SLICE_X10Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y41 IS_BONDED 0 SITEPROP SLICE_X10Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y41 IS_PAD 0 SITEPROP SLICE_X10Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y41 IS_RESERVED 0 SITEPROP SLICE_X10Y41 IS_TEST 0 SITEPROP SLICE_X10Y41 IS_USED 0 SITEPROP SLICE_X10Y41 MANUAL_ROUTING SITEPROP SLICE_X10Y41 NAME SLICE_X10Y41 SITEPROP SLICE_X10Y41 NUM_ARCS 153 SITEPROP SLICE_X10Y41 NUM_BELS 32 SITEPROP SLICE_X10Y41 NUM_INPUTS 37 SITEPROP SLICE_X10Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y41 NUM_PINS 50 SITEPROP SLICE_X10Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y41 PROHIBIT 0 SITEPROP SLICE_X10Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y41 RPM_X 41 SITEPROP SLICE_X10Y41 RPM_Y 82 SITEPROP SLICE_X10Y41 SITE_PIPS SITEPROP SLICE_X10Y41 SITE_TYPE SLICEM SITEPROP SLICE_X10Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y42 CLASS site SITEPROP SLICE_X10Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y42 IS_BONDED 0 SITEPROP SLICE_X10Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y42 IS_PAD 0 SITEPROP SLICE_X10Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y42 IS_RESERVED 0 SITEPROP SLICE_X10Y42 IS_TEST 0 SITEPROP SLICE_X10Y42 IS_USED 0 SITEPROP SLICE_X10Y42 MANUAL_ROUTING SITEPROP SLICE_X10Y42 NAME SLICE_X10Y42 SITEPROP SLICE_X10Y42 NUM_ARCS 153 SITEPROP SLICE_X10Y42 NUM_BELS 32 SITEPROP SLICE_X10Y42 NUM_INPUTS 37 SITEPROP SLICE_X10Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y42 NUM_PINS 50 SITEPROP SLICE_X10Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y42 PROHIBIT 0 SITEPROP SLICE_X10Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y42 RPM_X 41 SITEPROP SLICE_X10Y42 RPM_Y 84 SITEPROP SLICE_X10Y42 SITE_PIPS SITEPROP SLICE_X10Y42 SITE_TYPE SLICEM SITEPROP SLICE_X10Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y43 CLASS site SITEPROP SLICE_X10Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y43 IS_BONDED 0 SITEPROP SLICE_X10Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y43 IS_PAD 0 SITEPROP SLICE_X10Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y43 IS_RESERVED 0 SITEPROP SLICE_X10Y43 IS_TEST 0 SITEPROP SLICE_X10Y43 IS_USED 0 SITEPROP SLICE_X10Y43 MANUAL_ROUTING SITEPROP SLICE_X10Y43 NAME SLICE_X10Y43 SITEPROP SLICE_X10Y43 NUM_ARCS 153 SITEPROP SLICE_X10Y43 NUM_BELS 32 SITEPROP SLICE_X10Y43 NUM_INPUTS 37 SITEPROP SLICE_X10Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y43 NUM_PINS 50 SITEPROP SLICE_X10Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y43 PROHIBIT 0 SITEPROP SLICE_X10Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y43 RPM_X 41 SITEPROP SLICE_X10Y43 RPM_Y 86 SITEPROP SLICE_X10Y43 SITE_PIPS SITEPROP SLICE_X10Y43 SITE_TYPE SLICEM SITEPROP SLICE_X10Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y44 CLASS site SITEPROP SLICE_X10Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y44 IS_BONDED 0 SITEPROP SLICE_X10Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y44 IS_PAD 0 SITEPROP SLICE_X10Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y44 IS_RESERVED 0 SITEPROP SLICE_X10Y44 IS_TEST 0 SITEPROP SLICE_X10Y44 IS_USED 0 SITEPROP SLICE_X10Y44 MANUAL_ROUTING SITEPROP SLICE_X10Y44 NAME SLICE_X10Y44 SITEPROP SLICE_X10Y44 NUM_ARCS 153 SITEPROP SLICE_X10Y44 NUM_BELS 32 SITEPROP SLICE_X10Y44 NUM_INPUTS 37 SITEPROP SLICE_X10Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y44 NUM_PINS 50 SITEPROP SLICE_X10Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y44 PROHIBIT 0 SITEPROP SLICE_X10Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y44 RPM_X 41 SITEPROP SLICE_X10Y44 RPM_Y 88 SITEPROP SLICE_X10Y44 SITE_PIPS SITEPROP SLICE_X10Y44 SITE_TYPE SLICEM SITEPROP SLICE_X10Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y45 CLASS site SITEPROP SLICE_X10Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y45 IS_BONDED 0 SITEPROP SLICE_X10Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y45 IS_PAD 0 SITEPROP SLICE_X10Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y45 IS_RESERVED 0 SITEPROP SLICE_X10Y45 IS_TEST 0 SITEPROP SLICE_X10Y45 IS_USED 0 SITEPROP SLICE_X10Y45 MANUAL_ROUTING SITEPROP SLICE_X10Y45 NAME SLICE_X10Y45 SITEPROP SLICE_X10Y45 NUM_ARCS 153 SITEPROP SLICE_X10Y45 NUM_BELS 32 SITEPROP SLICE_X10Y45 NUM_INPUTS 37 SITEPROP SLICE_X10Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y45 NUM_PINS 50 SITEPROP SLICE_X10Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y45 PROHIBIT 0 SITEPROP SLICE_X10Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y45 RPM_X 41 SITEPROP SLICE_X10Y45 RPM_Y 90 SITEPROP SLICE_X10Y45 SITE_PIPS SITEPROP SLICE_X10Y45 SITE_TYPE SLICEM SITEPROP SLICE_X10Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y46 CLASS site SITEPROP SLICE_X10Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y46 IS_BONDED 0 SITEPROP SLICE_X10Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y46 IS_PAD 0 SITEPROP SLICE_X10Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y46 IS_RESERVED 0 SITEPROP SLICE_X10Y46 IS_TEST 0 SITEPROP SLICE_X10Y46 IS_USED 0 SITEPROP SLICE_X10Y46 MANUAL_ROUTING SITEPROP SLICE_X10Y46 NAME SLICE_X10Y46 SITEPROP SLICE_X10Y46 NUM_ARCS 153 SITEPROP SLICE_X10Y46 NUM_BELS 32 SITEPROP SLICE_X10Y46 NUM_INPUTS 37 SITEPROP SLICE_X10Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y46 NUM_PINS 50 SITEPROP SLICE_X10Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y46 PROHIBIT 0 SITEPROP SLICE_X10Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y46 RPM_X 41 SITEPROP SLICE_X10Y46 RPM_Y 92 SITEPROP SLICE_X10Y46 SITE_PIPS SITEPROP SLICE_X10Y46 SITE_TYPE SLICEM SITEPROP SLICE_X10Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y47 CLASS site SITEPROP SLICE_X10Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y47 IS_BONDED 0 SITEPROP SLICE_X10Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y47 IS_PAD 0 SITEPROP SLICE_X10Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y47 IS_RESERVED 0 SITEPROP SLICE_X10Y47 IS_TEST 0 SITEPROP SLICE_X10Y47 IS_USED 0 SITEPROP SLICE_X10Y47 MANUAL_ROUTING SITEPROP SLICE_X10Y47 NAME SLICE_X10Y47 SITEPROP SLICE_X10Y47 NUM_ARCS 153 SITEPROP SLICE_X10Y47 NUM_BELS 32 SITEPROP SLICE_X10Y47 NUM_INPUTS 37 SITEPROP SLICE_X10Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y47 NUM_PINS 50 SITEPROP SLICE_X10Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y47 PROHIBIT 0 SITEPROP SLICE_X10Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y47 RPM_X 41 SITEPROP SLICE_X10Y47 RPM_Y 94 SITEPROP SLICE_X10Y47 SITE_PIPS SITEPROP SLICE_X10Y47 SITE_TYPE SLICEM SITEPROP SLICE_X10Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y48 CLASS site SITEPROP SLICE_X10Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y48 IS_BONDED 0 SITEPROP SLICE_X10Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y48 IS_PAD 0 SITEPROP SLICE_X10Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y48 IS_RESERVED 0 SITEPROP SLICE_X10Y48 IS_TEST 0 SITEPROP SLICE_X10Y48 IS_USED 0 SITEPROP SLICE_X10Y48 MANUAL_ROUTING SITEPROP SLICE_X10Y48 NAME SLICE_X10Y48 SITEPROP SLICE_X10Y48 NUM_ARCS 153 SITEPROP SLICE_X10Y48 NUM_BELS 32 SITEPROP SLICE_X10Y48 NUM_INPUTS 37 SITEPROP SLICE_X10Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y48 NUM_PINS 50 SITEPROP SLICE_X10Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y48 PROHIBIT 0 SITEPROP SLICE_X10Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y48 RPM_X 41 SITEPROP SLICE_X10Y48 RPM_Y 96 SITEPROP SLICE_X10Y48 SITE_PIPS SITEPROP SLICE_X10Y48 SITE_TYPE SLICEM SITEPROP SLICE_X10Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y49 CLASS site SITEPROP SLICE_X10Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X10Y49 IS_BONDED 0 SITEPROP SLICE_X10Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y49 IS_PAD 0 SITEPROP SLICE_X10Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y49 IS_RESERVED 0 SITEPROP SLICE_X10Y49 IS_TEST 0 SITEPROP SLICE_X10Y49 IS_USED 0 SITEPROP SLICE_X10Y49 MANUAL_ROUTING SITEPROP SLICE_X10Y49 NAME SLICE_X10Y49 SITEPROP SLICE_X10Y49 NUM_ARCS 153 SITEPROP SLICE_X10Y49 NUM_BELS 32 SITEPROP SLICE_X10Y49 NUM_INPUTS 37 SITEPROP SLICE_X10Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y49 NUM_PINS 50 SITEPROP SLICE_X10Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y49 PROHIBIT 0 SITEPROP SLICE_X10Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y49 RPM_X 41 SITEPROP SLICE_X10Y49 RPM_Y 98 SITEPROP SLICE_X10Y49 SITE_PIPS SITEPROP SLICE_X10Y49 SITE_TYPE SLICEM SITEPROP SLICE_X10Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y50 CLASS site SITEPROP SLICE_X10Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y50 IS_BONDED 0 SITEPROP SLICE_X10Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y50 IS_PAD 0 SITEPROP SLICE_X10Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y50 IS_RESERVED 0 SITEPROP SLICE_X10Y50 IS_TEST 0 SITEPROP SLICE_X10Y50 IS_USED 0 SITEPROP SLICE_X10Y50 MANUAL_ROUTING SITEPROP SLICE_X10Y50 NAME SLICE_X10Y50 SITEPROP SLICE_X10Y50 NUM_ARCS 153 SITEPROP SLICE_X10Y50 NUM_BELS 32 SITEPROP SLICE_X10Y50 NUM_INPUTS 37 SITEPROP SLICE_X10Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y50 NUM_PINS 50 SITEPROP SLICE_X10Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y50 PROHIBIT 0 SITEPROP SLICE_X10Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y50 RPM_X 41 SITEPROP SLICE_X10Y50 RPM_Y 100 SITEPROP SLICE_X10Y50 SITE_PIPS SITEPROP SLICE_X10Y50 SITE_TYPE SLICEM SITEPROP SLICE_X10Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y51 CLASS site SITEPROP SLICE_X10Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y51 IS_BONDED 0 SITEPROP SLICE_X10Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y51 IS_PAD 0 SITEPROP SLICE_X10Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y51 IS_RESERVED 0 SITEPROP SLICE_X10Y51 IS_TEST 0 SITEPROP SLICE_X10Y51 IS_USED 0 SITEPROP SLICE_X10Y51 MANUAL_ROUTING SITEPROP SLICE_X10Y51 NAME SLICE_X10Y51 SITEPROP SLICE_X10Y51 NUM_ARCS 153 SITEPROP SLICE_X10Y51 NUM_BELS 32 SITEPROP SLICE_X10Y51 NUM_INPUTS 37 SITEPROP SLICE_X10Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y51 NUM_PINS 50 SITEPROP SLICE_X10Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y51 PROHIBIT 0 SITEPROP SLICE_X10Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y51 RPM_X 41 SITEPROP SLICE_X10Y51 RPM_Y 102 SITEPROP SLICE_X10Y51 SITE_PIPS SITEPROP SLICE_X10Y51 SITE_TYPE SLICEM SITEPROP SLICE_X10Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y52 CLASS site SITEPROP SLICE_X10Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y52 IS_BONDED 0 SITEPROP SLICE_X10Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y52 IS_PAD 0 SITEPROP SLICE_X10Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y52 IS_RESERVED 0 SITEPROP SLICE_X10Y52 IS_TEST 0 SITEPROP SLICE_X10Y52 IS_USED 0 SITEPROP SLICE_X10Y52 MANUAL_ROUTING SITEPROP SLICE_X10Y52 NAME SLICE_X10Y52 SITEPROP SLICE_X10Y52 NUM_ARCS 153 SITEPROP SLICE_X10Y52 NUM_BELS 32 SITEPROP SLICE_X10Y52 NUM_INPUTS 37 SITEPROP SLICE_X10Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y52 NUM_PINS 50 SITEPROP SLICE_X10Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y52 PROHIBIT 0 SITEPROP SLICE_X10Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y52 RPM_X 41 SITEPROP SLICE_X10Y52 RPM_Y 104 SITEPROP SLICE_X10Y52 SITE_PIPS SITEPROP SLICE_X10Y52 SITE_TYPE SLICEM SITEPROP SLICE_X10Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y53 CLASS site SITEPROP SLICE_X10Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y53 IS_BONDED 0 SITEPROP SLICE_X10Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y53 IS_PAD 0 SITEPROP SLICE_X10Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y53 IS_RESERVED 0 SITEPROP SLICE_X10Y53 IS_TEST 0 SITEPROP SLICE_X10Y53 IS_USED 0 SITEPROP SLICE_X10Y53 MANUAL_ROUTING SITEPROP SLICE_X10Y53 NAME SLICE_X10Y53 SITEPROP SLICE_X10Y53 NUM_ARCS 153 SITEPROP SLICE_X10Y53 NUM_BELS 32 SITEPROP SLICE_X10Y53 NUM_INPUTS 37 SITEPROP SLICE_X10Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y53 NUM_PINS 50 SITEPROP SLICE_X10Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y53 PROHIBIT 0 SITEPROP SLICE_X10Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y53 RPM_X 41 SITEPROP SLICE_X10Y53 RPM_Y 106 SITEPROP SLICE_X10Y53 SITE_PIPS SITEPROP SLICE_X10Y53 SITE_TYPE SLICEM SITEPROP SLICE_X10Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y54 CLASS site SITEPROP SLICE_X10Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y54 IS_BONDED 0 SITEPROP SLICE_X10Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y54 IS_PAD 0 SITEPROP SLICE_X10Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y54 IS_RESERVED 0 SITEPROP SLICE_X10Y54 IS_TEST 0 SITEPROP SLICE_X10Y54 IS_USED 0 SITEPROP SLICE_X10Y54 MANUAL_ROUTING SITEPROP SLICE_X10Y54 NAME SLICE_X10Y54 SITEPROP SLICE_X10Y54 NUM_ARCS 153 SITEPROP SLICE_X10Y54 NUM_BELS 32 SITEPROP SLICE_X10Y54 NUM_INPUTS 37 SITEPROP SLICE_X10Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y54 NUM_PINS 50 SITEPROP SLICE_X10Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y54 PROHIBIT 0 SITEPROP SLICE_X10Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y54 RPM_X 41 SITEPROP SLICE_X10Y54 RPM_Y 108 SITEPROP SLICE_X10Y54 SITE_PIPS SITEPROP SLICE_X10Y54 SITE_TYPE SLICEM SITEPROP SLICE_X10Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y55 CLASS site SITEPROP SLICE_X10Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y55 IS_BONDED 0 SITEPROP SLICE_X10Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y55 IS_PAD 0 SITEPROP SLICE_X10Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y55 IS_RESERVED 0 SITEPROP SLICE_X10Y55 IS_TEST 0 SITEPROP SLICE_X10Y55 IS_USED 0 SITEPROP SLICE_X10Y55 MANUAL_ROUTING SITEPROP SLICE_X10Y55 NAME SLICE_X10Y55 SITEPROP SLICE_X10Y55 NUM_ARCS 153 SITEPROP SLICE_X10Y55 NUM_BELS 32 SITEPROP SLICE_X10Y55 NUM_INPUTS 37 SITEPROP SLICE_X10Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y55 NUM_PINS 50 SITEPROP SLICE_X10Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y55 PROHIBIT 0 SITEPROP SLICE_X10Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y55 RPM_X 41 SITEPROP SLICE_X10Y55 RPM_Y 110 SITEPROP SLICE_X10Y55 SITE_PIPS SITEPROP SLICE_X10Y55 SITE_TYPE SLICEM SITEPROP SLICE_X10Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y56 CLASS site SITEPROP SLICE_X10Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y56 IS_BONDED 0 SITEPROP SLICE_X10Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y56 IS_PAD 0 SITEPROP SLICE_X10Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y56 IS_RESERVED 0 SITEPROP SLICE_X10Y56 IS_TEST 0 SITEPROP SLICE_X10Y56 IS_USED 0 SITEPROP SLICE_X10Y56 MANUAL_ROUTING SITEPROP SLICE_X10Y56 NAME SLICE_X10Y56 SITEPROP SLICE_X10Y56 NUM_ARCS 153 SITEPROP SLICE_X10Y56 NUM_BELS 32 SITEPROP SLICE_X10Y56 NUM_INPUTS 37 SITEPROP SLICE_X10Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y56 NUM_PINS 50 SITEPROP SLICE_X10Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y56 PROHIBIT 0 SITEPROP SLICE_X10Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y56 RPM_X 41 SITEPROP SLICE_X10Y56 RPM_Y 112 SITEPROP SLICE_X10Y56 SITE_PIPS SITEPROP SLICE_X10Y56 SITE_TYPE SLICEM SITEPROP SLICE_X10Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y57 CLASS site SITEPROP SLICE_X10Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y57 IS_BONDED 0 SITEPROP SLICE_X10Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y57 IS_PAD 0 SITEPROP SLICE_X10Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y57 IS_RESERVED 0 SITEPROP SLICE_X10Y57 IS_TEST 0 SITEPROP SLICE_X10Y57 IS_USED 0 SITEPROP SLICE_X10Y57 MANUAL_ROUTING SITEPROP SLICE_X10Y57 NAME SLICE_X10Y57 SITEPROP SLICE_X10Y57 NUM_ARCS 153 SITEPROP SLICE_X10Y57 NUM_BELS 32 SITEPROP SLICE_X10Y57 NUM_INPUTS 37 SITEPROP SLICE_X10Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y57 NUM_PINS 50 SITEPROP SLICE_X10Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y57 PROHIBIT 0 SITEPROP SLICE_X10Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y57 RPM_X 41 SITEPROP SLICE_X10Y57 RPM_Y 114 SITEPROP SLICE_X10Y57 SITE_PIPS SITEPROP SLICE_X10Y57 SITE_TYPE SLICEM SITEPROP SLICE_X10Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y58 CLASS site SITEPROP SLICE_X10Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y58 IS_BONDED 0 SITEPROP SLICE_X10Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y58 IS_PAD 0 SITEPROP SLICE_X10Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y58 IS_RESERVED 0 SITEPROP SLICE_X10Y58 IS_TEST 0 SITEPROP SLICE_X10Y58 IS_USED 0 SITEPROP SLICE_X10Y58 MANUAL_ROUTING SITEPROP SLICE_X10Y58 NAME SLICE_X10Y58 SITEPROP SLICE_X10Y58 NUM_ARCS 153 SITEPROP SLICE_X10Y58 NUM_BELS 32 SITEPROP SLICE_X10Y58 NUM_INPUTS 37 SITEPROP SLICE_X10Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y58 NUM_PINS 50 SITEPROP SLICE_X10Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y58 PROHIBIT 0 SITEPROP SLICE_X10Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y58 RPM_X 41 SITEPROP SLICE_X10Y58 RPM_Y 116 SITEPROP SLICE_X10Y58 SITE_PIPS SITEPROP SLICE_X10Y58 SITE_TYPE SLICEM SITEPROP SLICE_X10Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y59 CLASS site SITEPROP SLICE_X10Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y59 IS_BONDED 0 SITEPROP SLICE_X10Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y59 IS_PAD 0 SITEPROP SLICE_X10Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y59 IS_RESERVED 0 SITEPROP SLICE_X10Y59 IS_TEST 0 SITEPROP SLICE_X10Y59 IS_USED 0 SITEPROP SLICE_X10Y59 MANUAL_ROUTING SITEPROP SLICE_X10Y59 NAME SLICE_X10Y59 SITEPROP SLICE_X10Y59 NUM_ARCS 153 SITEPROP SLICE_X10Y59 NUM_BELS 32 SITEPROP SLICE_X10Y59 NUM_INPUTS 37 SITEPROP SLICE_X10Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y59 NUM_PINS 50 SITEPROP SLICE_X10Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y59 PROHIBIT 0 SITEPROP SLICE_X10Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y59 RPM_X 41 SITEPROP SLICE_X10Y59 RPM_Y 118 SITEPROP SLICE_X10Y59 SITE_PIPS SITEPROP SLICE_X10Y59 SITE_TYPE SLICEM SITEPROP SLICE_X10Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y60 CLASS site SITEPROP SLICE_X10Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y60 IS_BONDED 0 SITEPROP SLICE_X10Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y60 IS_PAD 0 SITEPROP SLICE_X10Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y60 IS_RESERVED 0 SITEPROP SLICE_X10Y60 IS_TEST 0 SITEPROP SLICE_X10Y60 IS_USED 0 SITEPROP SLICE_X10Y60 MANUAL_ROUTING SITEPROP SLICE_X10Y60 NAME SLICE_X10Y60 SITEPROP SLICE_X10Y60 NUM_ARCS 153 SITEPROP SLICE_X10Y60 NUM_BELS 32 SITEPROP SLICE_X10Y60 NUM_INPUTS 37 SITEPROP SLICE_X10Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y60 NUM_PINS 50 SITEPROP SLICE_X10Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y60 PROHIBIT 0 SITEPROP SLICE_X10Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y60 RPM_X 41 SITEPROP SLICE_X10Y60 RPM_Y 120 SITEPROP SLICE_X10Y60 SITE_PIPS SITEPROP SLICE_X10Y60 SITE_TYPE SLICEM SITEPROP SLICE_X10Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y61 CLASS site SITEPROP SLICE_X10Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y61 IS_BONDED 0 SITEPROP SLICE_X10Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y61 IS_PAD 0 SITEPROP SLICE_X10Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y61 IS_RESERVED 0 SITEPROP SLICE_X10Y61 IS_TEST 0 SITEPROP SLICE_X10Y61 IS_USED 0 SITEPROP SLICE_X10Y61 MANUAL_ROUTING SITEPROP SLICE_X10Y61 NAME SLICE_X10Y61 SITEPROP SLICE_X10Y61 NUM_ARCS 153 SITEPROP SLICE_X10Y61 NUM_BELS 32 SITEPROP SLICE_X10Y61 NUM_INPUTS 37 SITEPROP SLICE_X10Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y61 NUM_PINS 50 SITEPROP SLICE_X10Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y61 PROHIBIT 0 SITEPROP SLICE_X10Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y61 RPM_X 41 SITEPROP SLICE_X10Y61 RPM_Y 122 SITEPROP SLICE_X10Y61 SITE_PIPS SITEPROP SLICE_X10Y61 SITE_TYPE SLICEM SITEPROP SLICE_X10Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y62 CLASS site SITEPROP SLICE_X10Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y62 IS_BONDED 0 SITEPROP SLICE_X10Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y62 IS_PAD 0 SITEPROP SLICE_X10Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y62 IS_RESERVED 0 SITEPROP SLICE_X10Y62 IS_TEST 0 SITEPROP SLICE_X10Y62 IS_USED 0 SITEPROP SLICE_X10Y62 MANUAL_ROUTING SITEPROP SLICE_X10Y62 NAME SLICE_X10Y62 SITEPROP SLICE_X10Y62 NUM_ARCS 153 SITEPROP SLICE_X10Y62 NUM_BELS 32 SITEPROP SLICE_X10Y62 NUM_INPUTS 37 SITEPROP SLICE_X10Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y62 NUM_PINS 50 SITEPROP SLICE_X10Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y62 PROHIBIT 0 SITEPROP SLICE_X10Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y62 RPM_X 41 SITEPROP SLICE_X10Y62 RPM_Y 124 SITEPROP SLICE_X10Y62 SITE_PIPS SITEPROP SLICE_X10Y62 SITE_TYPE SLICEM SITEPROP SLICE_X10Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y63 CLASS site SITEPROP SLICE_X10Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y63 IS_BONDED 0 SITEPROP SLICE_X10Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y63 IS_PAD 0 SITEPROP SLICE_X10Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y63 IS_RESERVED 0 SITEPROP SLICE_X10Y63 IS_TEST 0 SITEPROP SLICE_X10Y63 IS_USED 0 SITEPROP SLICE_X10Y63 MANUAL_ROUTING SITEPROP SLICE_X10Y63 NAME SLICE_X10Y63 SITEPROP SLICE_X10Y63 NUM_ARCS 153 SITEPROP SLICE_X10Y63 NUM_BELS 32 SITEPROP SLICE_X10Y63 NUM_INPUTS 37 SITEPROP SLICE_X10Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y63 NUM_PINS 50 SITEPROP SLICE_X10Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y63 PROHIBIT 0 SITEPROP SLICE_X10Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y63 RPM_X 41 SITEPROP SLICE_X10Y63 RPM_Y 126 SITEPROP SLICE_X10Y63 SITE_PIPS SITEPROP SLICE_X10Y63 SITE_TYPE SLICEM SITEPROP SLICE_X10Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y64 CLASS site SITEPROP SLICE_X10Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y64 IS_BONDED 0 SITEPROP SLICE_X10Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y64 IS_PAD 0 SITEPROP SLICE_X10Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y64 IS_RESERVED 0 SITEPROP SLICE_X10Y64 IS_TEST 0 SITEPROP SLICE_X10Y64 IS_USED 0 SITEPROP SLICE_X10Y64 MANUAL_ROUTING SITEPROP SLICE_X10Y64 NAME SLICE_X10Y64 SITEPROP SLICE_X10Y64 NUM_ARCS 153 SITEPROP SLICE_X10Y64 NUM_BELS 32 SITEPROP SLICE_X10Y64 NUM_INPUTS 37 SITEPROP SLICE_X10Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y64 NUM_PINS 50 SITEPROP SLICE_X10Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y64 PROHIBIT 0 SITEPROP SLICE_X10Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y64 RPM_X 41 SITEPROP SLICE_X10Y64 RPM_Y 128 SITEPROP SLICE_X10Y64 SITE_PIPS SITEPROP SLICE_X10Y64 SITE_TYPE SLICEM SITEPROP SLICE_X10Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y65 CLASS site SITEPROP SLICE_X10Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y65 IS_BONDED 0 SITEPROP SLICE_X10Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y65 IS_PAD 0 SITEPROP SLICE_X10Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y65 IS_RESERVED 0 SITEPROP SLICE_X10Y65 IS_TEST 0 SITEPROP SLICE_X10Y65 IS_USED 0 SITEPROP SLICE_X10Y65 MANUAL_ROUTING SITEPROP SLICE_X10Y65 NAME SLICE_X10Y65 SITEPROP SLICE_X10Y65 NUM_ARCS 153 SITEPROP SLICE_X10Y65 NUM_BELS 32 SITEPROP SLICE_X10Y65 NUM_INPUTS 37 SITEPROP SLICE_X10Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y65 NUM_PINS 50 SITEPROP SLICE_X10Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y65 PROHIBIT 0 SITEPROP SLICE_X10Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y65 RPM_X 41 SITEPROP SLICE_X10Y65 RPM_Y 130 SITEPROP SLICE_X10Y65 SITE_PIPS SITEPROP SLICE_X10Y65 SITE_TYPE SLICEM SITEPROP SLICE_X10Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y66 CLASS site SITEPROP SLICE_X10Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y66 IS_BONDED 0 SITEPROP SLICE_X10Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y66 IS_PAD 0 SITEPROP SLICE_X10Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y66 IS_RESERVED 0 SITEPROP SLICE_X10Y66 IS_TEST 0 SITEPROP SLICE_X10Y66 IS_USED 0 SITEPROP SLICE_X10Y66 MANUAL_ROUTING SITEPROP SLICE_X10Y66 NAME SLICE_X10Y66 SITEPROP SLICE_X10Y66 NUM_ARCS 153 SITEPROP SLICE_X10Y66 NUM_BELS 32 SITEPROP SLICE_X10Y66 NUM_INPUTS 37 SITEPROP SLICE_X10Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y66 NUM_PINS 50 SITEPROP SLICE_X10Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y66 PROHIBIT 0 SITEPROP SLICE_X10Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y66 RPM_X 41 SITEPROP SLICE_X10Y66 RPM_Y 132 SITEPROP SLICE_X10Y66 SITE_PIPS SITEPROP SLICE_X10Y66 SITE_TYPE SLICEM SITEPROP SLICE_X10Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y67 CLASS site SITEPROP SLICE_X10Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y67 IS_BONDED 0 SITEPROP SLICE_X10Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y67 IS_PAD 0 SITEPROP SLICE_X10Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y67 IS_RESERVED 0 SITEPROP SLICE_X10Y67 IS_TEST 0 SITEPROP SLICE_X10Y67 IS_USED 0 SITEPROP SLICE_X10Y67 MANUAL_ROUTING SITEPROP SLICE_X10Y67 NAME SLICE_X10Y67 SITEPROP SLICE_X10Y67 NUM_ARCS 153 SITEPROP SLICE_X10Y67 NUM_BELS 32 SITEPROP SLICE_X10Y67 NUM_INPUTS 37 SITEPROP SLICE_X10Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y67 NUM_PINS 50 SITEPROP SLICE_X10Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y67 PROHIBIT 0 SITEPROP SLICE_X10Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y67 RPM_X 41 SITEPROP SLICE_X10Y67 RPM_Y 134 SITEPROP SLICE_X10Y67 SITE_PIPS SITEPROP SLICE_X10Y67 SITE_TYPE SLICEM SITEPROP SLICE_X10Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y68 CLASS site SITEPROP SLICE_X10Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y68 IS_BONDED 0 SITEPROP SLICE_X10Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y68 IS_PAD 0 SITEPROP SLICE_X10Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y68 IS_RESERVED 0 SITEPROP SLICE_X10Y68 IS_TEST 0 SITEPROP SLICE_X10Y68 IS_USED 0 SITEPROP SLICE_X10Y68 MANUAL_ROUTING SITEPROP SLICE_X10Y68 NAME SLICE_X10Y68 SITEPROP SLICE_X10Y68 NUM_ARCS 153 SITEPROP SLICE_X10Y68 NUM_BELS 32 SITEPROP SLICE_X10Y68 NUM_INPUTS 37 SITEPROP SLICE_X10Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y68 NUM_PINS 50 SITEPROP SLICE_X10Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y68 PROHIBIT 0 SITEPROP SLICE_X10Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y68 RPM_X 41 SITEPROP SLICE_X10Y68 RPM_Y 136 SITEPROP SLICE_X10Y68 SITE_PIPS SITEPROP SLICE_X10Y68 SITE_TYPE SLICEM SITEPROP SLICE_X10Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y69 CLASS site SITEPROP SLICE_X10Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y69 IS_BONDED 0 SITEPROP SLICE_X10Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y69 IS_PAD 0 SITEPROP SLICE_X10Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y69 IS_RESERVED 0 SITEPROP SLICE_X10Y69 IS_TEST 0 SITEPROP SLICE_X10Y69 IS_USED 0 SITEPROP SLICE_X10Y69 MANUAL_ROUTING SITEPROP SLICE_X10Y69 NAME SLICE_X10Y69 SITEPROP SLICE_X10Y69 NUM_ARCS 153 SITEPROP SLICE_X10Y69 NUM_BELS 32 SITEPROP SLICE_X10Y69 NUM_INPUTS 37 SITEPROP SLICE_X10Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y69 NUM_PINS 50 SITEPROP SLICE_X10Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y69 PROHIBIT 0 SITEPROP SLICE_X10Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y69 RPM_X 41 SITEPROP SLICE_X10Y69 RPM_Y 138 SITEPROP SLICE_X10Y69 SITE_PIPS SITEPROP SLICE_X10Y69 SITE_TYPE SLICEM SITEPROP SLICE_X10Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y70 CLASS site SITEPROP SLICE_X10Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y70 IS_BONDED 0 SITEPROP SLICE_X10Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y70 IS_PAD 0 SITEPROP SLICE_X10Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y70 IS_RESERVED 0 SITEPROP SLICE_X10Y70 IS_TEST 0 SITEPROP SLICE_X10Y70 IS_USED 0 SITEPROP SLICE_X10Y70 MANUAL_ROUTING SITEPROP SLICE_X10Y70 NAME SLICE_X10Y70 SITEPROP SLICE_X10Y70 NUM_ARCS 153 SITEPROP SLICE_X10Y70 NUM_BELS 32 SITEPROP SLICE_X10Y70 NUM_INPUTS 37 SITEPROP SLICE_X10Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y70 NUM_PINS 50 SITEPROP SLICE_X10Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y70 PROHIBIT 0 SITEPROP SLICE_X10Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y70 RPM_X 41 SITEPROP SLICE_X10Y70 RPM_Y 140 SITEPROP SLICE_X10Y70 SITE_PIPS SITEPROP SLICE_X10Y70 SITE_TYPE SLICEM SITEPROP SLICE_X10Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y71 CLASS site SITEPROP SLICE_X10Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y71 IS_BONDED 0 SITEPROP SLICE_X10Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y71 IS_PAD 0 SITEPROP SLICE_X10Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y71 IS_RESERVED 0 SITEPROP SLICE_X10Y71 IS_TEST 0 SITEPROP SLICE_X10Y71 IS_USED 0 SITEPROP SLICE_X10Y71 MANUAL_ROUTING SITEPROP SLICE_X10Y71 NAME SLICE_X10Y71 SITEPROP SLICE_X10Y71 NUM_ARCS 153 SITEPROP SLICE_X10Y71 NUM_BELS 32 SITEPROP SLICE_X10Y71 NUM_INPUTS 37 SITEPROP SLICE_X10Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y71 NUM_PINS 50 SITEPROP SLICE_X10Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y71 PROHIBIT 0 SITEPROP SLICE_X10Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y71 RPM_X 41 SITEPROP SLICE_X10Y71 RPM_Y 142 SITEPROP SLICE_X10Y71 SITE_PIPS SITEPROP SLICE_X10Y71 SITE_TYPE SLICEM SITEPROP SLICE_X10Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y72 CLASS site SITEPROP SLICE_X10Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y72 IS_BONDED 0 SITEPROP SLICE_X10Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y72 IS_PAD 0 SITEPROP SLICE_X10Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y72 IS_RESERVED 0 SITEPROP SLICE_X10Y72 IS_TEST 0 SITEPROP SLICE_X10Y72 IS_USED 0 SITEPROP SLICE_X10Y72 MANUAL_ROUTING SITEPROP SLICE_X10Y72 NAME SLICE_X10Y72 SITEPROP SLICE_X10Y72 NUM_ARCS 153 SITEPROP SLICE_X10Y72 NUM_BELS 32 SITEPROP SLICE_X10Y72 NUM_INPUTS 37 SITEPROP SLICE_X10Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y72 NUM_PINS 50 SITEPROP SLICE_X10Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y72 PROHIBIT 0 SITEPROP SLICE_X10Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y72 RPM_X 41 SITEPROP SLICE_X10Y72 RPM_Y 144 SITEPROP SLICE_X10Y72 SITE_PIPS SITEPROP SLICE_X10Y72 SITE_TYPE SLICEM SITEPROP SLICE_X10Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y73 CLASS site SITEPROP SLICE_X10Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y73 IS_BONDED 0 SITEPROP SLICE_X10Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y73 IS_PAD 0 SITEPROP SLICE_X10Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y73 IS_RESERVED 0 SITEPROP SLICE_X10Y73 IS_TEST 0 SITEPROP SLICE_X10Y73 IS_USED 0 SITEPROP SLICE_X10Y73 MANUAL_ROUTING SITEPROP SLICE_X10Y73 NAME SLICE_X10Y73 SITEPROP SLICE_X10Y73 NUM_ARCS 153 SITEPROP SLICE_X10Y73 NUM_BELS 32 SITEPROP SLICE_X10Y73 NUM_INPUTS 37 SITEPROP SLICE_X10Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y73 NUM_PINS 50 SITEPROP SLICE_X10Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y73 PROHIBIT 0 SITEPROP SLICE_X10Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y73 RPM_X 41 SITEPROP SLICE_X10Y73 RPM_Y 146 SITEPROP SLICE_X10Y73 SITE_PIPS SITEPROP SLICE_X10Y73 SITE_TYPE SLICEM SITEPROP SLICE_X10Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y74 CLASS site SITEPROP SLICE_X10Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y74 IS_BONDED 0 SITEPROP SLICE_X10Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y74 IS_PAD 0 SITEPROP SLICE_X10Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y74 IS_RESERVED 0 SITEPROP SLICE_X10Y74 IS_TEST 0 SITEPROP SLICE_X10Y74 IS_USED 0 SITEPROP SLICE_X10Y74 MANUAL_ROUTING SITEPROP SLICE_X10Y74 NAME SLICE_X10Y74 SITEPROP SLICE_X10Y74 NUM_ARCS 153 SITEPROP SLICE_X10Y74 NUM_BELS 32 SITEPROP SLICE_X10Y74 NUM_INPUTS 37 SITEPROP SLICE_X10Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y74 NUM_PINS 50 SITEPROP SLICE_X10Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y74 PROHIBIT 0 SITEPROP SLICE_X10Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y74 RPM_X 41 SITEPROP SLICE_X10Y74 RPM_Y 148 SITEPROP SLICE_X10Y74 SITE_PIPS SITEPROP SLICE_X10Y74 SITE_TYPE SLICEM SITEPROP SLICE_X10Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y75 CLASS site SITEPROP SLICE_X10Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y75 IS_BONDED 0 SITEPROP SLICE_X10Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y75 IS_PAD 0 SITEPROP SLICE_X10Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y75 IS_RESERVED 0 SITEPROP SLICE_X10Y75 IS_TEST 0 SITEPROP SLICE_X10Y75 IS_USED 0 SITEPROP SLICE_X10Y75 MANUAL_ROUTING SITEPROP SLICE_X10Y75 NAME SLICE_X10Y75 SITEPROP SLICE_X10Y75 NUM_ARCS 153 SITEPROP SLICE_X10Y75 NUM_BELS 32 SITEPROP SLICE_X10Y75 NUM_INPUTS 37 SITEPROP SLICE_X10Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y75 NUM_PINS 50 SITEPROP SLICE_X10Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y75 PROHIBIT 0 SITEPROP SLICE_X10Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y75 RPM_X 41 SITEPROP SLICE_X10Y75 RPM_Y 150 SITEPROP SLICE_X10Y75 SITE_PIPS SITEPROP SLICE_X10Y75 SITE_TYPE SLICEM SITEPROP SLICE_X10Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y76 CLASS site SITEPROP SLICE_X10Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y76 IS_BONDED 0 SITEPROP SLICE_X10Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y76 IS_PAD 0 SITEPROP SLICE_X10Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y76 IS_RESERVED 0 SITEPROP SLICE_X10Y76 IS_TEST 0 SITEPROP SLICE_X10Y76 IS_USED 0 SITEPROP SLICE_X10Y76 MANUAL_ROUTING SITEPROP SLICE_X10Y76 NAME SLICE_X10Y76 SITEPROP SLICE_X10Y76 NUM_ARCS 153 SITEPROP SLICE_X10Y76 NUM_BELS 32 SITEPROP SLICE_X10Y76 NUM_INPUTS 37 SITEPROP SLICE_X10Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y76 NUM_PINS 50 SITEPROP SLICE_X10Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y76 PROHIBIT 0 SITEPROP SLICE_X10Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y76 RPM_X 41 SITEPROP SLICE_X10Y76 RPM_Y 152 SITEPROP SLICE_X10Y76 SITE_PIPS SITEPROP SLICE_X10Y76 SITE_TYPE SLICEM SITEPROP SLICE_X10Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y77 CLASS site SITEPROP SLICE_X10Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y77 IS_BONDED 0 SITEPROP SLICE_X10Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y77 IS_PAD 0 SITEPROP SLICE_X10Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y77 IS_RESERVED 0 SITEPROP SLICE_X10Y77 IS_TEST 0 SITEPROP SLICE_X10Y77 IS_USED 0 SITEPROP SLICE_X10Y77 MANUAL_ROUTING SITEPROP SLICE_X10Y77 NAME SLICE_X10Y77 SITEPROP SLICE_X10Y77 NUM_ARCS 153 SITEPROP SLICE_X10Y77 NUM_BELS 32 SITEPROP SLICE_X10Y77 NUM_INPUTS 37 SITEPROP SLICE_X10Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y77 NUM_PINS 50 SITEPROP SLICE_X10Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y77 PROHIBIT 0 SITEPROP SLICE_X10Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y77 RPM_X 41 SITEPROP SLICE_X10Y77 RPM_Y 154 SITEPROP SLICE_X10Y77 SITE_PIPS SITEPROP SLICE_X10Y77 SITE_TYPE SLICEM SITEPROP SLICE_X10Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y78 CLASS site SITEPROP SLICE_X10Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y78 IS_BONDED 0 SITEPROP SLICE_X10Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y78 IS_PAD 0 SITEPROP SLICE_X10Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y78 IS_RESERVED 0 SITEPROP SLICE_X10Y78 IS_TEST 0 SITEPROP SLICE_X10Y78 IS_USED 0 SITEPROP SLICE_X10Y78 MANUAL_ROUTING SITEPROP SLICE_X10Y78 NAME SLICE_X10Y78 SITEPROP SLICE_X10Y78 NUM_ARCS 153 SITEPROP SLICE_X10Y78 NUM_BELS 32 SITEPROP SLICE_X10Y78 NUM_INPUTS 37 SITEPROP SLICE_X10Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y78 NUM_PINS 50 SITEPROP SLICE_X10Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y78 PROHIBIT 0 SITEPROP SLICE_X10Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y78 RPM_X 41 SITEPROP SLICE_X10Y78 RPM_Y 156 SITEPROP SLICE_X10Y78 SITE_PIPS SITEPROP SLICE_X10Y78 SITE_TYPE SLICEM SITEPROP SLICE_X10Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y79 CLASS site SITEPROP SLICE_X10Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y79 IS_BONDED 0 SITEPROP SLICE_X10Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y79 IS_PAD 0 SITEPROP SLICE_X10Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y79 IS_RESERVED 0 SITEPROP SLICE_X10Y79 IS_TEST 0 SITEPROP SLICE_X10Y79 IS_USED 0 SITEPROP SLICE_X10Y79 MANUAL_ROUTING SITEPROP SLICE_X10Y79 NAME SLICE_X10Y79 SITEPROP SLICE_X10Y79 NUM_ARCS 153 SITEPROP SLICE_X10Y79 NUM_BELS 32 SITEPROP SLICE_X10Y79 NUM_INPUTS 37 SITEPROP SLICE_X10Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y79 NUM_PINS 50 SITEPROP SLICE_X10Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y79 PROHIBIT 0 SITEPROP SLICE_X10Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y79 RPM_X 41 SITEPROP SLICE_X10Y79 RPM_Y 158 SITEPROP SLICE_X10Y79 SITE_PIPS SITEPROP SLICE_X10Y79 SITE_TYPE SLICEM SITEPROP SLICE_X10Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y80 CLASS site SITEPROP SLICE_X10Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y80 IS_BONDED 0 SITEPROP SLICE_X10Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y80 IS_PAD 0 SITEPROP SLICE_X10Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y80 IS_RESERVED 0 SITEPROP SLICE_X10Y80 IS_TEST 0 SITEPROP SLICE_X10Y80 IS_USED 0 SITEPROP SLICE_X10Y80 MANUAL_ROUTING SITEPROP SLICE_X10Y80 NAME SLICE_X10Y80 SITEPROP SLICE_X10Y80 NUM_ARCS 153 SITEPROP SLICE_X10Y80 NUM_BELS 32 SITEPROP SLICE_X10Y80 NUM_INPUTS 37 SITEPROP SLICE_X10Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y80 NUM_PINS 50 SITEPROP SLICE_X10Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y80 PROHIBIT 0 SITEPROP SLICE_X10Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y80 RPM_X 41 SITEPROP SLICE_X10Y80 RPM_Y 160 SITEPROP SLICE_X10Y80 SITE_PIPS SITEPROP SLICE_X10Y80 SITE_TYPE SLICEM SITEPROP SLICE_X10Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y81 CLASS site SITEPROP SLICE_X10Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y81 IS_BONDED 0 SITEPROP SLICE_X10Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y81 IS_PAD 0 SITEPROP SLICE_X10Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y81 IS_RESERVED 0 SITEPROP SLICE_X10Y81 IS_TEST 0 SITEPROP SLICE_X10Y81 IS_USED 0 SITEPROP SLICE_X10Y81 MANUAL_ROUTING SITEPROP SLICE_X10Y81 NAME SLICE_X10Y81 SITEPROP SLICE_X10Y81 NUM_ARCS 153 SITEPROP SLICE_X10Y81 NUM_BELS 32 SITEPROP SLICE_X10Y81 NUM_INPUTS 37 SITEPROP SLICE_X10Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y81 NUM_PINS 50 SITEPROP SLICE_X10Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y81 PROHIBIT 0 SITEPROP SLICE_X10Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y81 RPM_X 41 SITEPROP SLICE_X10Y81 RPM_Y 162 SITEPROP SLICE_X10Y81 SITE_PIPS SITEPROP SLICE_X10Y81 SITE_TYPE SLICEM SITEPROP SLICE_X10Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y82 CLASS site SITEPROP SLICE_X10Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y82 IS_BONDED 0 SITEPROP SLICE_X10Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y82 IS_PAD 0 SITEPROP SLICE_X10Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y82 IS_RESERVED 0 SITEPROP SLICE_X10Y82 IS_TEST 0 SITEPROP SLICE_X10Y82 IS_USED 0 SITEPROP SLICE_X10Y82 MANUAL_ROUTING SITEPROP SLICE_X10Y82 NAME SLICE_X10Y82 SITEPROP SLICE_X10Y82 NUM_ARCS 153 SITEPROP SLICE_X10Y82 NUM_BELS 32 SITEPROP SLICE_X10Y82 NUM_INPUTS 37 SITEPROP SLICE_X10Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y82 NUM_PINS 50 SITEPROP SLICE_X10Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y82 PROHIBIT 0 SITEPROP SLICE_X10Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y82 RPM_X 41 SITEPROP SLICE_X10Y82 RPM_Y 164 SITEPROP SLICE_X10Y82 SITE_PIPS SITEPROP SLICE_X10Y82 SITE_TYPE SLICEM SITEPROP SLICE_X10Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y83 CLASS site SITEPROP SLICE_X10Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y83 IS_BONDED 0 SITEPROP SLICE_X10Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y83 IS_PAD 0 SITEPROP SLICE_X10Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y83 IS_RESERVED 0 SITEPROP SLICE_X10Y83 IS_TEST 0 SITEPROP SLICE_X10Y83 IS_USED 0 SITEPROP SLICE_X10Y83 MANUAL_ROUTING SITEPROP SLICE_X10Y83 NAME SLICE_X10Y83 SITEPROP SLICE_X10Y83 NUM_ARCS 153 SITEPROP SLICE_X10Y83 NUM_BELS 32 SITEPROP SLICE_X10Y83 NUM_INPUTS 37 SITEPROP SLICE_X10Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y83 NUM_PINS 50 SITEPROP SLICE_X10Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y83 PROHIBIT 0 SITEPROP SLICE_X10Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y83 RPM_X 41 SITEPROP SLICE_X10Y83 RPM_Y 166 SITEPROP SLICE_X10Y83 SITE_PIPS SITEPROP SLICE_X10Y83 SITE_TYPE SLICEM SITEPROP SLICE_X10Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y84 CLASS site SITEPROP SLICE_X10Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y84 IS_BONDED 0 SITEPROP SLICE_X10Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y84 IS_PAD 0 SITEPROP SLICE_X10Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y84 IS_RESERVED 0 SITEPROP SLICE_X10Y84 IS_TEST 0 SITEPROP SLICE_X10Y84 IS_USED 0 SITEPROP SLICE_X10Y84 MANUAL_ROUTING SITEPROP SLICE_X10Y84 NAME SLICE_X10Y84 SITEPROP SLICE_X10Y84 NUM_ARCS 153 SITEPROP SLICE_X10Y84 NUM_BELS 32 SITEPROP SLICE_X10Y84 NUM_INPUTS 37 SITEPROP SLICE_X10Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y84 NUM_PINS 50 SITEPROP SLICE_X10Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y84 PROHIBIT 0 SITEPROP SLICE_X10Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y84 RPM_X 41 SITEPROP SLICE_X10Y84 RPM_Y 168 SITEPROP SLICE_X10Y84 SITE_PIPS SITEPROP SLICE_X10Y84 SITE_TYPE SLICEM SITEPROP SLICE_X10Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y85 CLASS site SITEPROP SLICE_X10Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y85 IS_BONDED 0 SITEPROP SLICE_X10Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y85 IS_PAD 0 SITEPROP SLICE_X10Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y85 IS_RESERVED 0 SITEPROP SLICE_X10Y85 IS_TEST 0 SITEPROP SLICE_X10Y85 IS_USED 0 SITEPROP SLICE_X10Y85 MANUAL_ROUTING SITEPROP SLICE_X10Y85 NAME SLICE_X10Y85 SITEPROP SLICE_X10Y85 NUM_ARCS 153 SITEPROP SLICE_X10Y85 NUM_BELS 32 SITEPROP SLICE_X10Y85 NUM_INPUTS 37 SITEPROP SLICE_X10Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y85 NUM_PINS 50 SITEPROP SLICE_X10Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y85 PROHIBIT 0 SITEPROP SLICE_X10Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y85 RPM_X 41 SITEPROP SLICE_X10Y85 RPM_Y 170 SITEPROP SLICE_X10Y85 SITE_PIPS SITEPROP SLICE_X10Y85 SITE_TYPE SLICEM SITEPROP SLICE_X10Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y86 CLASS site SITEPROP SLICE_X10Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y86 IS_BONDED 0 SITEPROP SLICE_X10Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y86 IS_PAD 0 SITEPROP SLICE_X10Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y86 IS_RESERVED 0 SITEPROP SLICE_X10Y86 IS_TEST 0 SITEPROP SLICE_X10Y86 IS_USED 0 SITEPROP SLICE_X10Y86 MANUAL_ROUTING SITEPROP SLICE_X10Y86 NAME SLICE_X10Y86 SITEPROP SLICE_X10Y86 NUM_ARCS 153 SITEPROP SLICE_X10Y86 NUM_BELS 32 SITEPROP SLICE_X10Y86 NUM_INPUTS 37 SITEPROP SLICE_X10Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y86 NUM_PINS 50 SITEPROP SLICE_X10Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y86 PROHIBIT 0 SITEPROP SLICE_X10Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y86 RPM_X 41 SITEPROP SLICE_X10Y86 RPM_Y 172 SITEPROP SLICE_X10Y86 SITE_PIPS SITEPROP SLICE_X10Y86 SITE_TYPE SLICEM SITEPROP SLICE_X10Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y87 CLASS site SITEPROP SLICE_X10Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y87 IS_BONDED 0 SITEPROP SLICE_X10Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y87 IS_PAD 0 SITEPROP SLICE_X10Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y87 IS_RESERVED 0 SITEPROP SLICE_X10Y87 IS_TEST 0 SITEPROP SLICE_X10Y87 IS_USED 0 SITEPROP SLICE_X10Y87 MANUAL_ROUTING SITEPROP SLICE_X10Y87 NAME SLICE_X10Y87 SITEPROP SLICE_X10Y87 NUM_ARCS 153 SITEPROP SLICE_X10Y87 NUM_BELS 32 SITEPROP SLICE_X10Y87 NUM_INPUTS 37 SITEPROP SLICE_X10Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y87 NUM_PINS 50 SITEPROP SLICE_X10Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y87 PROHIBIT 0 SITEPROP SLICE_X10Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y87 RPM_X 41 SITEPROP SLICE_X10Y87 RPM_Y 174 SITEPROP SLICE_X10Y87 SITE_PIPS SITEPROP SLICE_X10Y87 SITE_TYPE SLICEM SITEPROP SLICE_X10Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y88 CLASS site SITEPROP SLICE_X10Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y88 IS_BONDED 0 SITEPROP SLICE_X10Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y88 IS_PAD 0 SITEPROP SLICE_X10Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y88 IS_RESERVED 0 SITEPROP SLICE_X10Y88 IS_TEST 0 SITEPROP SLICE_X10Y88 IS_USED 0 SITEPROP SLICE_X10Y88 MANUAL_ROUTING SITEPROP SLICE_X10Y88 NAME SLICE_X10Y88 SITEPROP SLICE_X10Y88 NUM_ARCS 153 SITEPROP SLICE_X10Y88 NUM_BELS 32 SITEPROP SLICE_X10Y88 NUM_INPUTS 37 SITEPROP SLICE_X10Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y88 NUM_PINS 50 SITEPROP SLICE_X10Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y88 PROHIBIT 0 SITEPROP SLICE_X10Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y88 RPM_X 41 SITEPROP SLICE_X10Y88 RPM_Y 176 SITEPROP SLICE_X10Y88 SITE_PIPS SITEPROP SLICE_X10Y88 SITE_TYPE SLICEM SITEPROP SLICE_X10Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y89 CLASS site SITEPROP SLICE_X10Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y89 IS_BONDED 0 SITEPROP SLICE_X10Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y89 IS_PAD 0 SITEPROP SLICE_X10Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y89 IS_RESERVED 0 SITEPROP SLICE_X10Y89 IS_TEST 0 SITEPROP SLICE_X10Y89 IS_USED 0 SITEPROP SLICE_X10Y89 MANUAL_ROUTING SITEPROP SLICE_X10Y89 NAME SLICE_X10Y89 SITEPROP SLICE_X10Y89 NUM_ARCS 153 SITEPROP SLICE_X10Y89 NUM_BELS 32 SITEPROP SLICE_X10Y89 NUM_INPUTS 37 SITEPROP SLICE_X10Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y89 NUM_PINS 50 SITEPROP SLICE_X10Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y89 PROHIBIT 0 SITEPROP SLICE_X10Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y89 RPM_X 41 SITEPROP SLICE_X10Y89 RPM_Y 178 SITEPROP SLICE_X10Y89 SITE_PIPS SITEPROP SLICE_X10Y89 SITE_TYPE SLICEM SITEPROP SLICE_X10Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y90 CLASS site SITEPROP SLICE_X10Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y90 IS_BONDED 0 SITEPROP SLICE_X10Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y90 IS_PAD 0 SITEPROP SLICE_X10Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y90 IS_RESERVED 0 SITEPROP SLICE_X10Y90 IS_TEST 0 SITEPROP SLICE_X10Y90 IS_USED 0 SITEPROP SLICE_X10Y90 MANUAL_ROUTING SITEPROP SLICE_X10Y90 NAME SLICE_X10Y90 SITEPROP SLICE_X10Y90 NUM_ARCS 153 SITEPROP SLICE_X10Y90 NUM_BELS 32 SITEPROP SLICE_X10Y90 NUM_INPUTS 37 SITEPROP SLICE_X10Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y90 NUM_PINS 50 SITEPROP SLICE_X10Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y90 PROHIBIT 0 SITEPROP SLICE_X10Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y90 RPM_X 41 SITEPROP SLICE_X10Y90 RPM_Y 180 SITEPROP SLICE_X10Y90 SITE_PIPS SITEPROP SLICE_X10Y90 SITE_TYPE SLICEM SITEPROP SLICE_X10Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y91 CLASS site SITEPROP SLICE_X10Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y91 IS_BONDED 0 SITEPROP SLICE_X10Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y91 IS_PAD 0 SITEPROP SLICE_X10Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y91 IS_RESERVED 0 SITEPROP SLICE_X10Y91 IS_TEST 0 SITEPROP SLICE_X10Y91 IS_USED 0 SITEPROP SLICE_X10Y91 MANUAL_ROUTING SITEPROP SLICE_X10Y91 NAME SLICE_X10Y91 SITEPROP SLICE_X10Y91 NUM_ARCS 153 SITEPROP SLICE_X10Y91 NUM_BELS 32 SITEPROP SLICE_X10Y91 NUM_INPUTS 37 SITEPROP SLICE_X10Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y91 NUM_PINS 50 SITEPROP SLICE_X10Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y91 PROHIBIT 0 SITEPROP SLICE_X10Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y91 RPM_X 41 SITEPROP SLICE_X10Y91 RPM_Y 182 SITEPROP SLICE_X10Y91 SITE_PIPS SITEPROP SLICE_X10Y91 SITE_TYPE SLICEM SITEPROP SLICE_X10Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y92 CLASS site SITEPROP SLICE_X10Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y92 IS_BONDED 0 SITEPROP SLICE_X10Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y92 IS_PAD 0 SITEPROP SLICE_X10Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y92 IS_RESERVED 0 SITEPROP SLICE_X10Y92 IS_TEST 0 SITEPROP SLICE_X10Y92 IS_USED 0 SITEPROP SLICE_X10Y92 MANUAL_ROUTING SITEPROP SLICE_X10Y92 NAME SLICE_X10Y92 SITEPROP SLICE_X10Y92 NUM_ARCS 153 SITEPROP SLICE_X10Y92 NUM_BELS 32 SITEPROP SLICE_X10Y92 NUM_INPUTS 37 SITEPROP SLICE_X10Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y92 NUM_PINS 50 SITEPROP SLICE_X10Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y92 PROHIBIT 0 SITEPROP SLICE_X10Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y92 RPM_X 41 SITEPROP SLICE_X10Y92 RPM_Y 184 SITEPROP SLICE_X10Y92 SITE_PIPS SITEPROP SLICE_X10Y92 SITE_TYPE SLICEM SITEPROP SLICE_X10Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y93 CLASS site SITEPROP SLICE_X10Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y93 IS_BONDED 0 SITEPROP SLICE_X10Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y93 IS_PAD 0 SITEPROP SLICE_X10Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y93 IS_RESERVED 0 SITEPROP SLICE_X10Y93 IS_TEST 0 SITEPROP SLICE_X10Y93 IS_USED 0 SITEPROP SLICE_X10Y93 MANUAL_ROUTING SITEPROP SLICE_X10Y93 NAME SLICE_X10Y93 SITEPROP SLICE_X10Y93 NUM_ARCS 153 SITEPROP SLICE_X10Y93 NUM_BELS 32 SITEPROP SLICE_X10Y93 NUM_INPUTS 37 SITEPROP SLICE_X10Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y93 NUM_PINS 50 SITEPROP SLICE_X10Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y93 PROHIBIT 0 SITEPROP SLICE_X10Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y93 RPM_X 41 SITEPROP SLICE_X10Y93 RPM_Y 186 SITEPROP SLICE_X10Y93 SITE_PIPS SITEPROP SLICE_X10Y93 SITE_TYPE SLICEM SITEPROP SLICE_X10Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y94 CLASS site SITEPROP SLICE_X10Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y94 IS_BONDED 0 SITEPROP SLICE_X10Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y94 IS_PAD 0 SITEPROP SLICE_X10Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y94 IS_RESERVED 0 SITEPROP SLICE_X10Y94 IS_TEST 0 SITEPROP SLICE_X10Y94 IS_USED 0 SITEPROP SLICE_X10Y94 MANUAL_ROUTING SITEPROP SLICE_X10Y94 NAME SLICE_X10Y94 SITEPROP SLICE_X10Y94 NUM_ARCS 153 SITEPROP SLICE_X10Y94 NUM_BELS 32 SITEPROP SLICE_X10Y94 NUM_INPUTS 37 SITEPROP SLICE_X10Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y94 NUM_PINS 50 SITEPROP SLICE_X10Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y94 PROHIBIT 0 SITEPROP SLICE_X10Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y94 RPM_X 41 SITEPROP SLICE_X10Y94 RPM_Y 188 SITEPROP SLICE_X10Y94 SITE_PIPS SITEPROP SLICE_X10Y94 SITE_TYPE SLICEM SITEPROP SLICE_X10Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y95 CLASS site SITEPROP SLICE_X10Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y95 IS_BONDED 0 SITEPROP SLICE_X10Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y95 IS_PAD 0 SITEPROP SLICE_X10Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y95 IS_RESERVED 0 SITEPROP SLICE_X10Y95 IS_TEST 0 SITEPROP SLICE_X10Y95 IS_USED 0 SITEPROP SLICE_X10Y95 MANUAL_ROUTING SITEPROP SLICE_X10Y95 NAME SLICE_X10Y95 SITEPROP SLICE_X10Y95 NUM_ARCS 153 SITEPROP SLICE_X10Y95 NUM_BELS 32 SITEPROP SLICE_X10Y95 NUM_INPUTS 37 SITEPROP SLICE_X10Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y95 NUM_PINS 50 SITEPROP SLICE_X10Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y95 PROHIBIT 0 SITEPROP SLICE_X10Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y95 RPM_X 41 SITEPROP SLICE_X10Y95 RPM_Y 190 SITEPROP SLICE_X10Y95 SITE_PIPS SITEPROP SLICE_X10Y95 SITE_TYPE SLICEM SITEPROP SLICE_X10Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y96 CLASS site SITEPROP SLICE_X10Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y96 IS_BONDED 0 SITEPROP SLICE_X10Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y96 IS_PAD 0 SITEPROP SLICE_X10Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y96 IS_RESERVED 0 SITEPROP SLICE_X10Y96 IS_TEST 0 SITEPROP SLICE_X10Y96 IS_USED 0 SITEPROP SLICE_X10Y96 MANUAL_ROUTING SITEPROP SLICE_X10Y96 NAME SLICE_X10Y96 SITEPROP SLICE_X10Y96 NUM_ARCS 153 SITEPROP SLICE_X10Y96 NUM_BELS 32 SITEPROP SLICE_X10Y96 NUM_INPUTS 37 SITEPROP SLICE_X10Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y96 NUM_PINS 50 SITEPROP SLICE_X10Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y96 PROHIBIT 0 SITEPROP SLICE_X10Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y96 RPM_X 41 SITEPROP SLICE_X10Y96 RPM_Y 192 SITEPROP SLICE_X10Y96 SITE_PIPS SITEPROP SLICE_X10Y96 SITE_TYPE SLICEM SITEPROP SLICE_X10Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y97 CLASS site SITEPROP SLICE_X10Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y97 IS_BONDED 0 SITEPROP SLICE_X10Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y97 IS_PAD 0 SITEPROP SLICE_X10Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y97 IS_RESERVED 0 SITEPROP SLICE_X10Y97 IS_TEST 0 SITEPROP SLICE_X10Y97 IS_USED 0 SITEPROP SLICE_X10Y97 MANUAL_ROUTING SITEPROP SLICE_X10Y97 NAME SLICE_X10Y97 SITEPROP SLICE_X10Y97 NUM_ARCS 153 SITEPROP SLICE_X10Y97 NUM_BELS 32 SITEPROP SLICE_X10Y97 NUM_INPUTS 37 SITEPROP SLICE_X10Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y97 NUM_PINS 50 SITEPROP SLICE_X10Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y97 PROHIBIT 0 SITEPROP SLICE_X10Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y97 RPM_X 41 SITEPROP SLICE_X10Y97 RPM_Y 194 SITEPROP SLICE_X10Y97 SITE_PIPS SITEPROP SLICE_X10Y97 SITE_TYPE SLICEM SITEPROP SLICE_X10Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y98 CLASS site SITEPROP SLICE_X10Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y98 IS_BONDED 0 SITEPROP SLICE_X10Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y98 IS_PAD 0 SITEPROP SLICE_X10Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y98 IS_RESERVED 0 SITEPROP SLICE_X10Y98 IS_TEST 0 SITEPROP SLICE_X10Y98 IS_USED 0 SITEPROP SLICE_X10Y98 MANUAL_ROUTING SITEPROP SLICE_X10Y98 NAME SLICE_X10Y98 SITEPROP SLICE_X10Y98 NUM_ARCS 153 SITEPROP SLICE_X10Y98 NUM_BELS 32 SITEPROP SLICE_X10Y98 NUM_INPUTS 37 SITEPROP SLICE_X10Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y98 NUM_PINS 50 SITEPROP SLICE_X10Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y98 PROHIBIT 0 SITEPROP SLICE_X10Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y98 RPM_X 41 SITEPROP SLICE_X10Y98 RPM_Y 196 SITEPROP SLICE_X10Y98 SITE_PIPS SITEPROP SLICE_X10Y98 SITE_TYPE SLICEM SITEPROP SLICE_X10Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y99 CLASS site SITEPROP SLICE_X10Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X10Y99 IS_BONDED 0 SITEPROP SLICE_X10Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y99 IS_PAD 0 SITEPROP SLICE_X10Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y99 IS_RESERVED 0 SITEPROP SLICE_X10Y99 IS_TEST 0 SITEPROP SLICE_X10Y99 IS_USED 0 SITEPROP SLICE_X10Y99 MANUAL_ROUTING SITEPROP SLICE_X10Y99 NAME SLICE_X10Y99 SITEPROP SLICE_X10Y99 NUM_ARCS 153 SITEPROP SLICE_X10Y99 NUM_BELS 32 SITEPROP SLICE_X10Y99 NUM_INPUTS 37 SITEPROP SLICE_X10Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y99 NUM_PINS 50 SITEPROP SLICE_X10Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y99 PROHIBIT 0 SITEPROP SLICE_X10Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y99 RPM_X 41 SITEPROP SLICE_X10Y99 RPM_Y 198 SITEPROP SLICE_X10Y99 SITE_PIPS SITEPROP SLICE_X10Y99 SITE_TYPE SLICEM SITEPROP SLICE_X10Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y100 CLASS site SITEPROP SLICE_X10Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y100 IS_BONDED 0 SITEPROP SLICE_X10Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y100 IS_PAD 0 SITEPROP SLICE_X10Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y100 IS_RESERVED 0 SITEPROP SLICE_X10Y100 IS_TEST 0 SITEPROP SLICE_X10Y100 IS_USED 0 SITEPROP SLICE_X10Y100 MANUAL_ROUTING SITEPROP SLICE_X10Y100 NAME SLICE_X10Y100 SITEPROP SLICE_X10Y100 NUM_ARCS 153 SITEPROP SLICE_X10Y100 NUM_BELS 32 SITEPROP SLICE_X10Y100 NUM_INPUTS 37 SITEPROP SLICE_X10Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y100 NUM_PINS 50 SITEPROP SLICE_X10Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y100 PROHIBIT 0 SITEPROP SLICE_X10Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y100 RPM_X 41 SITEPROP SLICE_X10Y100 RPM_Y 200 SITEPROP SLICE_X10Y100 SITE_PIPS SITEPROP SLICE_X10Y100 SITE_TYPE SLICEM SITEPROP SLICE_X10Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y101 CLASS site SITEPROP SLICE_X10Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y101 IS_BONDED 0 SITEPROP SLICE_X10Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y101 IS_PAD 0 SITEPROP SLICE_X10Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y101 IS_RESERVED 0 SITEPROP SLICE_X10Y101 IS_TEST 0 SITEPROP SLICE_X10Y101 IS_USED 0 SITEPROP SLICE_X10Y101 MANUAL_ROUTING SITEPROP SLICE_X10Y101 NAME SLICE_X10Y101 SITEPROP SLICE_X10Y101 NUM_ARCS 153 SITEPROP SLICE_X10Y101 NUM_BELS 32 SITEPROP SLICE_X10Y101 NUM_INPUTS 37 SITEPROP SLICE_X10Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y101 NUM_PINS 50 SITEPROP SLICE_X10Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y101 PROHIBIT 0 SITEPROP SLICE_X10Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y101 RPM_X 41 SITEPROP SLICE_X10Y101 RPM_Y 202 SITEPROP SLICE_X10Y101 SITE_PIPS SITEPROP SLICE_X10Y101 SITE_TYPE SLICEM SITEPROP SLICE_X10Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y102 CLASS site SITEPROP SLICE_X10Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y102 IS_BONDED 0 SITEPROP SLICE_X10Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y102 IS_PAD 0 SITEPROP SLICE_X10Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y102 IS_RESERVED 0 SITEPROP SLICE_X10Y102 IS_TEST 0 SITEPROP SLICE_X10Y102 IS_USED 0 SITEPROP SLICE_X10Y102 MANUAL_ROUTING SITEPROP SLICE_X10Y102 NAME SLICE_X10Y102 SITEPROP SLICE_X10Y102 NUM_ARCS 153 SITEPROP SLICE_X10Y102 NUM_BELS 32 SITEPROP SLICE_X10Y102 NUM_INPUTS 37 SITEPROP SLICE_X10Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y102 NUM_PINS 50 SITEPROP SLICE_X10Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y102 PROHIBIT 0 SITEPROP SLICE_X10Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y102 RPM_X 41 SITEPROP SLICE_X10Y102 RPM_Y 204 SITEPROP SLICE_X10Y102 SITE_PIPS SITEPROP SLICE_X10Y102 SITE_TYPE SLICEM SITEPROP SLICE_X10Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y103 CLASS site SITEPROP SLICE_X10Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y103 IS_BONDED 0 SITEPROP SLICE_X10Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y103 IS_PAD 0 SITEPROP SLICE_X10Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y103 IS_RESERVED 0 SITEPROP SLICE_X10Y103 IS_TEST 0 SITEPROP SLICE_X10Y103 IS_USED 0 SITEPROP SLICE_X10Y103 MANUAL_ROUTING SITEPROP SLICE_X10Y103 NAME SLICE_X10Y103 SITEPROP SLICE_X10Y103 NUM_ARCS 153 SITEPROP SLICE_X10Y103 NUM_BELS 32 SITEPROP SLICE_X10Y103 NUM_INPUTS 37 SITEPROP SLICE_X10Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y103 NUM_PINS 50 SITEPROP SLICE_X10Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y103 PROHIBIT 0 SITEPROP SLICE_X10Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y103 RPM_X 41 SITEPROP SLICE_X10Y103 RPM_Y 206 SITEPROP SLICE_X10Y103 SITE_PIPS SITEPROP SLICE_X10Y103 SITE_TYPE SLICEM SITEPROP SLICE_X10Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y104 CLASS site SITEPROP SLICE_X10Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y104 IS_BONDED 0 SITEPROP SLICE_X10Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y104 IS_PAD 0 SITEPROP SLICE_X10Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y104 IS_RESERVED 0 SITEPROP SLICE_X10Y104 IS_TEST 0 SITEPROP SLICE_X10Y104 IS_USED 0 SITEPROP SLICE_X10Y104 MANUAL_ROUTING SITEPROP SLICE_X10Y104 NAME SLICE_X10Y104 SITEPROP SLICE_X10Y104 NUM_ARCS 153 SITEPROP SLICE_X10Y104 NUM_BELS 32 SITEPROP SLICE_X10Y104 NUM_INPUTS 37 SITEPROP SLICE_X10Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y104 NUM_PINS 50 SITEPROP SLICE_X10Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y104 PROHIBIT 0 SITEPROP SLICE_X10Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y104 RPM_X 41 SITEPROP SLICE_X10Y104 RPM_Y 208 SITEPROP SLICE_X10Y104 SITE_PIPS SITEPROP SLICE_X10Y104 SITE_TYPE SLICEM SITEPROP SLICE_X10Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y105 CLASS site SITEPROP SLICE_X10Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y105 IS_BONDED 0 SITEPROP SLICE_X10Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y105 IS_PAD 0 SITEPROP SLICE_X10Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y105 IS_RESERVED 0 SITEPROP SLICE_X10Y105 IS_TEST 0 SITEPROP SLICE_X10Y105 IS_USED 0 SITEPROP SLICE_X10Y105 MANUAL_ROUTING SITEPROP SLICE_X10Y105 NAME SLICE_X10Y105 SITEPROP SLICE_X10Y105 NUM_ARCS 153 SITEPROP SLICE_X10Y105 NUM_BELS 32 SITEPROP SLICE_X10Y105 NUM_INPUTS 37 SITEPROP SLICE_X10Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y105 NUM_PINS 50 SITEPROP SLICE_X10Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y105 PROHIBIT 0 SITEPROP SLICE_X10Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y105 RPM_X 41 SITEPROP SLICE_X10Y105 RPM_Y 210 SITEPROP SLICE_X10Y105 SITE_PIPS SITEPROP SLICE_X10Y105 SITE_TYPE SLICEM SITEPROP SLICE_X10Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y106 CLASS site SITEPROP SLICE_X10Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y106 IS_BONDED 0 SITEPROP SLICE_X10Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y106 IS_PAD 0 SITEPROP SLICE_X10Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y106 IS_RESERVED 0 SITEPROP SLICE_X10Y106 IS_TEST 0 SITEPROP SLICE_X10Y106 IS_USED 0 SITEPROP SLICE_X10Y106 MANUAL_ROUTING SITEPROP SLICE_X10Y106 NAME SLICE_X10Y106 SITEPROP SLICE_X10Y106 NUM_ARCS 153 SITEPROP SLICE_X10Y106 NUM_BELS 32 SITEPROP SLICE_X10Y106 NUM_INPUTS 37 SITEPROP SLICE_X10Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y106 NUM_PINS 50 SITEPROP SLICE_X10Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y106 PROHIBIT 0 SITEPROP SLICE_X10Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y106 RPM_X 41 SITEPROP SLICE_X10Y106 RPM_Y 212 SITEPROP SLICE_X10Y106 SITE_PIPS SITEPROP SLICE_X10Y106 SITE_TYPE SLICEM SITEPROP SLICE_X10Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y107 CLASS site SITEPROP SLICE_X10Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y107 IS_BONDED 0 SITEPROP SLICE_X10Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y107 IS_PAD 0 SITEPROP SLICE_X10Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y107 IS_RESERVED 0 SITEPROP SLICE_X10Y107 IS_TEST 0 SITEPROP SLICE_X10Y107 IS_USED 0 SITEPROP SLICE_X10Y107 MANUAL_ROUTING SITEPROP SLICE_X10Y107 NAME SLICE_X10Y107 SITEPROP SLICE_X10Y107 NUM_ARCS 153 SITEPROP SLICE_X10Y107 NUM_BELS 32 SITEPROP SLICE_X10Y107 NUM_INPUTS 37 SITEPROP SLICE_X10Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y107 NUM_PINS 50 SITEPROP SLICE_X10Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y107 PROHIBIT 0 SITEPROP SLICE_X10Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y107 RPM_X 41 SITEPROP SLICE_X10Y107 RPM_Y 214 SITEPROP SLICE_X10Y107 SITE_PIPS SITEPROP SLICE_X10Y107 SITE_TYPE SLICEM SITEPROP SLICE_X10Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y108 CLASS site SITEPROP SLICE_X10Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y108 IS_BONDED 0 SITEPROP SLICE_X10Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y108 IS_PAD 0 SITEPROP SLICE_X10Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y108 IS_RESERVED 0 SITEPROP SLICE_X10Y108 IS_TEST 0 SITEPROP SLICE_X10Y108 IS_USED 0 SITEPROP SLICE_X10Y108 MANUAL_ROUTING SITEPROP SLICE_X10Y108 NAME SLICE_X10Y108 SITEPROP SLICE_X10Y108 NUM_ARCS 153 SITEPROP SLICE_X10Y108 NUM_BELS 32 SITEPROP SLICE_X10Y108 NUM_INPUTS 37 SITEPROP SLICE_X10Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y108 NUM_PINS 50 SITEPROP SLICE_X10Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y108 PROHIBIT 0 SITEPROP SLICE_X10Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y108 RPM_X 41 SITEPROP SLICE_X10Y108 RPM_Y 216 SITEPROP SLICE_X10Y108 SITE_PIPS SITEPROP SLICE_X10Y108 SITE_TYPE SLICEM SITEPROP SLICE_X10Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y109 CLASS site SITEPROP SLICE_X10Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y109 IS_BONDED 0 SITEPROP SLICE_X10Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y109 IS_PAD 0 SITEPROP SLICE_X10Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y109 IS_RESERVED 0 SITEPROP SLICE_X10Y109 IS_TEST 0 SITEPROP SLICE_X10Y109 IS_USED 0 SITEPROP SLICE_X10Y109 MANUAL_ROUTING SITEPROP SLICE_X10Y109 NAME SLICE_X10Y109 SITEPROP SLICE_X10Y109 NUM_ARCS 153 SITEPROP SLICE_X10Y109 NUM_BELS 32 SITEPROP SLICE_X10Y109 NUM_INPUTS 37 SITEPROP SLICE_X10Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y109 NUM_PINS 50 SITEPROP SLICE_X10Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y109 PROHIBIT 0 SITEPROP SLICE_X10Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y109 RPM_X 41 SITEPROP SLICE_X10Y109 RPM_Y 218 SITEPROP SLICE_X10Y109 SITE_PIPS SITEPROP SLICE_X10Y109 SITE_TYPE SLICEM SITEPROP SLICE_X10Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y110 CLASS site SITEPROP SLICE_X10Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y110 IS_BONDED 0 SITEPROP SLICE_X10Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y110 IS_PAD 0 SITEPROP SLICE_X10Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y110 IS_RESERVED 0 SITEPROP SLICE_X10Y110 IS_TEST 0 SITEPROP SLICE_X10Y110 IS_USED 0 SITEPROP SLICE_X10Y110 MANUAL_ROUTING SITEPROP SLICE_X10Y110 NAME SLICE_X10Y110 SITEPROP SLICE_X10Y110 NUM_ARCS 153 SITEPROP SLICE_X10Y110 NUM_BELS 32 SITEPROP SLICE_X10Y110 NUM_INPUTS 37 SITEPROP SLICE_X10Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y110 NUM_PINS 50 SITEPROP SLICE_X10Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y110 PROHIBIT 0 SITEPROP SLICE_X10Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y110 RPM_X 41 SITEPROP SLICE_X10Y110 RPM_Y 220 SITEPROP SLICE_X10Y110 SITE_PIPS SITEPROP SLICE_X10Y110 SITE_TYPE SLICEM SITEPROP SLICE_X10Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y111 CLASS site SITEPROP SLICE_X10Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y111 IS_BONDED 0 SITEPROP SLICE_X10Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y111 IS_PAD 0 SITEPROP SLICE_X10Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y111 IS_RESERVED 0 SITEPROP SLICE_X10Y111 IS_TEST 0 SITEPROP SLICE_X10Y111 IS_USED 0 SITEPROP SLICE_X10Y111 MANUAL_ROUTING SITEPROP SLICE_X10Y111 NAME SLICE_X10Y111 SITEPROP SLICE_X10Y111 NUM_ARCS 153 SITEPROP SLICE_X10Y111 NUM_BELS 32 SITEPROP SLICE_X10Y111 NUM_INPUTS 37 SITEPROP SLICE_X10Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y111 NUM_PINS 50 SITEPROP SLICE_X10Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y111 PROHIBIT 0 SITEPROP SLICE_X10Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y111 RPM_X 41 SITEPROP SLICE_X10Y111 RPM_Y 222 SITEPROP SLICE_X10Y111 SITE_PIPS SITEPROP SLICE_X10Y111 SITE_TYPE SLICEM SITEPROP SLICE_X10Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y112 CLASS site SITEPROP SLICE_X10Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y112 IS_BONDED 0 SITEPROP SLICE_X10Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y112 IS_PAD 0 SITEPROP SLICE_X10Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y112 IS_RESERVED 0 SITEPROP SLICE_X10Y112 IS_TEST 0 SITEPROP SLICE_X10Y112 IS_USED 0 SITEPROP SLICE_X10Y112 MANUAL_ROUTING SITEPROP SLICE_X10Y112 NAME SLICE_X10Y112 SITEPROP SLICE_X10Y112 NUM_ARCS 153 SITEPROP SLICE_X10Y112 NUM_BELS 32 SITEPROP SLICE_X10Y112 NUM_INPUTS 37 SITEPROP SLICE_X10Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y112 NUM_PINS 50 SITEPROP SLICE_X10Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y112 PROHIBIT 0 SITEPROP SLICE_X10Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y112 RPM_X 41 SITEPROP SLICE_X10Y112 RPM_Y 224 SITEPROP SLICE_X10Y112 SITE_PIPS SITEPROP SLICE_X10Y112 SITE_TYPE SLICEM SITEPROP SLICE_X10Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y113 CLASS site SITEPROP SLICE_X10Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y113 IS_BONDED 0 SITEPROP SLICE_X10Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y113 IS_PAD 0 SITEPROP SLICE_X10Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y113 IS_RESERVED 0 SITEPROP SLICE_X10Y113 IS_TEST 0 SITEPROP SLICE_X10Y113 IS_USED 0 SITEPROP SLICE_X10Y113 MANUAL_ROUTING SITEPROP SLICE_X10Y113 NAME SLICE_X10Y113 SITEPROP SLICE_X10Y113 NUM_ARCS 153 SITEPROP SLICE_X10Y113 NUM_BELS 32 SITEPROP SLICE_X10Y113 NUM_INPUTS 37 SITEPROP SLICE_X10Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y113 NUM_PINS 50 SITEPROP SLICE_X10Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y113 PROHIBIT 0 SITEPROP SLICE_X10Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y113 RPM_X 41 SITEPROP SLICE_X10Y113 RPM_Y 226 SITEPROP SLICE_X10Y113 SITE_PIPS SITEPROP SLICE_X10Y113 SITE_TYPE SLICEM SITEPROP SLICE_X10Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y114 CLASS site SITEPROP SLICE_X10Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y114 IS_BONDED 0 SITEPROP SLICE_X10Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y114 IS_PAD 0 SITEPROP SLICE_X10Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y114 IS_RESERVED 0 SITEPROP SLICE_X10Y114 IS_TEST 0 SITEPROP SLICE_X10Y114 IS_USED 0 SITEPROP SLICE_X10Y114 MANUAL_ROUTING SITEPROP SLICE_X10Y114 NAME SLICE_X10Y114 SITEPROP SLICE_X10Y114 NUM_ARCS 153 SITEPROP SLICE_X10Y114 NUM_BELS 32 SITEPROP SLICE_X10Y114 NUM_INPUTS 37 SITEPROP SLICE_X10Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y114 NUM_PINS 50 SITEPROP SLICE_X10Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y114 PROHIBIT 0 SITEPROP SLICE_X10Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y114 RPM_X 41 SITEPROP SLICE_X10Y114 RPM_Y 228 SITEPROP SLICE_X10Y114 SITE_PIPS SITEPROP SLICE_X10Y114 SITE_TYPE SLICEM SITEPROP SLICE_X10Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y115 CLASS site SITEPROP SLICE_X10Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y115 IS_BONDED 0 SITEPROP SLICE_X10Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y115 IS_PAD 0 SITEPROP SLICE_X10Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y115 IS_RESERVED 0 SITEPROP SLICE_X10Y115 IS_TEST 0 SITEPROP SLICE_X10Y115 IS_USED 0 SITEPROP SLICE_X10Y115 MANUAL_ROUTING SITEPROP SLICE_X10Y115 NAME SLICE_X10Y115 SITEPROP SLICE_X10Y115 NUM_ARCS 153 SITEPROP SLICE_X10Y115 NUM_BELS 32 SITEPROP SLICE_X10Y115 NUM_INPUTS 37 SITEPROP SLICE_X10Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y115 NUM_PINS 50 SITEPROP SLICE_X10Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y115 PROHIBIT 0 SITEPROP SLICE_X10Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y115 RPM_X 41 SITEPROP SLICE_X10Y115 RPM_Y 230 SITEPROP SLICE_X10Y115 SITE_PIPS SITEPROP SLICE_X10Y115 SITE_TYPE SLICEM SITEPROP SLICE_X10Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y116 CLASS site SITEPROP SLICE_X10Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y116 IS_BONDED 0 SITEPROP SLICE_X10Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y116 IS_PAD 0 SITEPROP SLICE_X10Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y116 IS_RESERVED 0 SITEPROP SLICE_X10Y116 IS_TEST 0 SITEPROP SLICE_X10Y116 IS_USED 0 SITEPROP SLICE_X10Y116 MANUAL_ROUTING SITEPROP SLICE_X10Y116 NAME SLICE_X10Y116 SITEPROP SLICE_X10Y116 NUM_ARCS 153 SITEPROP SLICE_X10Y116 NUM_BELS 32 SITEPROP SLICE_X10Y116 NUM_INPUTS 37 SITEPROP SLICE_X10Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y116 NUM_PINS 50 SITEPROP SLICE_X10Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y116 PROHIBIT 0 SITEPROP SLICE_X10Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y116 RPM_X 41 SITEPROP SLICE_X10Y116 RPM_Y 232 SITEPROP SLICE_X10Y116 SITE_PIPS SITEPROP SLICE_X10Y116 SITE_TYPE SLICEM SITEPROP SLICE_X10Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y117 CLASS site SITEPROP SLICE_X10Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y117 IS_BONDED 0 SITEPROP SLICE_X10Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y117 IS_PAD 0 SITEPROP SLICE_X10Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y117 IS_RESERVED 0 SITEPROP SLICE_X10Y117 IS_TEST 0 SITEPROP SLICE_X10Y117 IS_USED 0 SITEPROP SLICE_X10Y117 MANUAL_ROUTING SITEPROP SLICE_X10Y117 NAME SLICE_X10Y117 SITEPROP SLICE_X10Y117 NUM_ARCS 153 SITEPROP SLICE_X10Y117 NUM_BELS 32 SITEPROP SLICE_X10Y117 NUM_INPUTS 37 SITEPROP SLICE_X10Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y117 NUM_PINS 50 SITEPROP SLICE_X10Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y117 PROHIBIT 0 SITEPROP SLICE_X10Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y117 RPM_X 41 SITEPROP SLICE_X10Y117 RPM_Y 234 SITEPROP SLICE_X10Y117 SITE_PIPS SITEPROP SLICE_X10Y117 SITE_TYPE SLICEM SITEPROP SLICE_X10Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y118 CLASS site SITEPROP SLICE_X10Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y118 IS_BONDED 0 SITEPROP SLICE_X10Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y118 IS_PAD 0 SITEPROP SLICE_X10Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y118 IS_RESERVED 0 SITEPROP SLICE_X10Y118 IS_TEST 0 SITEPROP SLICE_X10Y118 IS_USED 0 SITEPROP SLICE_X10Y118 MANUAL_ROUTING SITEPROP SLICE_X10Y118 NAME SLICE_X10Y118 SITEPROP SLICE_X10Y118 NUM_ARCS 153 SITEPROP SLICE_X10Y118 NUM_BELS 32 SITEPROP SLICE_X10Y118 NUM_INPUTS 37 SITEPROP SLICE_X10Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y118 NUM_PINS 50 SITEPROP SLICE_X10Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y118 PROHIBIT 0 SITEPROP SLICE_X10Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y118 RPM_X 41 SITEPROP SLICE_X10Y118 RPM_Y 236 SITEPROP SLICE_X10Y118 SITE_PIPS SITEPROP SLICE_X10Y118 SITE_TYPE SLICEM SITEPROP SLICE_X10Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y119 CLASS site SITEPROP SLICE_X10Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y119 IS_BONDED 0 SITEPROP SLICE_X10Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y119 IS_PAD 0 SITEPROP SLICE_X10Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y119 IS_RESERVED 0 SITEPROP SLICE_X10Y119 IS_TEST 0 SITEPROP SLICE_X10Y119 IS_USED 0 SITEPROP SLICE_X10Y119 MANUAL_ROUTING SITEPROP SLICE_X10Y119 NAME SLICE_X10Y119 SITEPROP SLICE_X10Y119 NUM_ARCS 153 SITEPROP SLICE_X10Y119 NUM_BELS 32 SITEPROP SLICE_X10Y119 NUM_INPUTS 37 SITEPROP SLICE_X10Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y119 NUM_PINS 50 SITEPROP SLICE_X10Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y119 PROHIBIT 0 SITEPROP SLICE_X10Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y119 RPM_X 41 SITEPROP SLICE_X10Y119 RPM_Y 238 SITEPROP SLICE_X10Y119 SITE_PIPS SITEPROP SLICE_X10Y119 SITE_TYPE SLICEM SITEPROP SLICE_X10Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y120 CLASS site SITEPROP SLICE_X10Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y120 IS_BONDED 0 SITEPROP SLICE_X10Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y120 IS_PAD 0 SITEPROP SLICE_X10Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y120 IS_RESERVED 0 SITEPROP SLICE_X10Y120 IS_TEST 0 SITEPROP SLICE_X10Y120 IS_USED 0 SITEPROP SLICE_X10Y120 MANUAL_ROUTING SITEPROP SLICE_X10Y120 NAME SLICE_X10Y120 SITEPROP SLICE_X10Y120 NUM_ARCS 153 SITEPROP SLICE_X10Y120 NUM_BELS 32 SITEPROP SLICE_X10Y120 NUM_INPUTS 37 SITEPROP SLICE_X10Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y120 NUM_PINS 50 SITEPROP SLICE_X10Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y120 PROHIBIT 0 SITEPROP SLICE_X10Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y120 RPM_X 41 SITEPROP SLICE_X10Y120 RPM_Y 240 SITEPROP SLICE_X10Y120 SITE_PIPS SITEPROP SLICE_X10Y120 SITE_TYPE SLICEM SITEPROP SLICE_X10Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y121 CLASS site SITEPROP SLICE_X10Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y121 IS_BONDED 0 SITEPROP SLICE_X10Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y121 IS_PAD 0 SITEPROP SLICE_X10Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y121 IS_RESERVED 0 SITEPROP SLICE_X10Y121 IS_TEST 0 SITEPROP SLICE_X10Y121 IS_USED 0 SITEPROP SLICE_X10Y121 MANUAL_ROUTING SITEPROP SLICE_X10Y121 NAME SLICE_X10Y121 SITEPROP SLICE_X10Y121 NUM_ARCS 153 SITEPROP SLICE_X10Y121 NUM_BELS 32 SITEPROP SLICE_X10Y121 NUM_INPUTS 37 SITEPROP SLICE_X10Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y121 NUM_PINS 50 SITEPROP SLICE_X10Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y121 PROHIBIT 0 SITEPROP SLICE_X10Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y121 RPM_X 41 SITEPROP SLICE_X10Y121 RPM_Y 242 SITEPROP SLICE_X10Y121 SITE_PIPS SITEPROP SLICE_X10Y121 SITE_TYPE SLICEM SITEPROP SLICE_X10Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y122 CLASS site SITEPROP SLICE_X10Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y122 IS_BONDED 0 SITEPROP SLICE_X10Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y122 IS_PAD 0 SITEPROP SLICE_X10Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y122 IS_RESERVED 0 SITEPROP SLICE_X10Y122 IS_TEST 0 SITEPROP SLICE_X10Y122 IS_USED 0 SITEPROP SLICE_X10Y122 MANUAL_ROUTING SITEPROP SLICE_X10Y122 NAME SLICE_X10Y122 SITEPROP SLICE_X10Y122 NUM_ARCS 153 SITEPROP SLICE_X10Y122 NUM_BELS 32 SITEPROP SLICE_X10Y122 NUM_INPUTS 37 SITEPROP SLICE_X10Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y122 NUM_PINS 50 SITEPROP SLICE_X10Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y122 PROHIBIT 0 SITEPROP SLICE_X10Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y122 RPM_X 41 SITEPROP SLICE_X10Y122 RPM_Y 244 SITEPROP SLICE_X10Y122 SITE_PIPS SITEPROP SLICE_X10Y122 SITE_TYPE SLICEM SITEPROP SLICE_X10Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y123 CLASS site SITEPROP SLICE_X10Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y123 IS_BONDED 0 SITEPROP SLICE_X10Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y123 IS_PAD 0 SITEPROP SLICE_X10Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y123 IS_RESERVED 0 SITEPROP SLICE_X10Y123 IS_TEST 0 SITEPROP SLICE_X10Y123 IS_USED 0 SITEPROP SLICE_X10Y123 MANUAL_ROUTING SITEPROP SLICE_X10Y123 NAME SLICE_X10Y123 SITEPROP SLICE_X10Y123 NUM_ARCS 153 SITEPROP SLICE_X10Y123 NUM_BELS 32 SITEPROP SLICE_X10Y123 NUM_INPUTS 37 SITEPROP SLICE_X10Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y123 NUM_PINS 50 SITEPROP SLICE_X10Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y123 PROHIBIT 0 SITEPROP SLICE_X10Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y123 RPM_X 41 SITEPROP SLICE_X10Y123 RPM_Y 246 SITEPROP SLICE_X10Y123 SITE_PIPS SITEPROP SLICE_X10Y123 SITE_TYPE SLICEM SITEPROP SLICE_X10Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y124 CLASS site SITEPROP SLICE_X10Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y124 IS_BONDED 0 SITEPROP SLICE_X10Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y124 IS_PAD 0 SITEPROP SLICE_X10Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y124 IS_RESERVED 0 SITEPROP SLICE_X10Y124 IS_TEST 0 SITEPROP SLICE_X10Y124 IS_USED 0 SITEPROP SLICE_X10Y124 MANUAL_ROUTING SITEPROP SLICE_X10Y124 NAME SLICE_X10Y124 SITEPROP SLICE_X10Y124 NUM_ARCS 153 SITEPROP SLICE_X10Y124 NUM_BELS 32 SITEPROP SLICE_X10Y124 NUM_INPUTS 37 SITEPROP SLICE_X10Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y124 NUM_PINS 50 SITEPROP SLICE_X10Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y124 PROHIBIT 0 SITEPROP SLICE_X10Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y124 RPM_X 41 SITEPROP SLICE_X10Y124 RPM_Y 248 SITEPROP SLICE_X10Y124 SITE_PIPS SITEPROP SLICE_X10Y124 SITE_TYPE SLICEM SITEPROP SLICE_X10Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y125 CLASS site SITEPROP SLICE_X10Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y125 IS_BONDED 0 SITEPROP SLICE_X10Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y125 IS_PAD 0 SITEPROP SLICE_X10Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y125 IS_RESERVED 0 SITEPROP SLICE_X10Y125 IS_TEST 0 SITEPROP SLICE_X10Y125 IS_USED 0 SITEPROP SLICE_X10Y125 MANUAL_ROUTING SITEPROP SLICE_X10Y125 NAME SLICE_X10Y125 SITEPROP SLICE_X10Y125 NUM_ARCS 153 SITEPROP SLICE_X10Y125 NUM_BELS 32 SITEPROP SLICE_X10Y125 NUM_INPUTS 37 SITEPROP SLICE_X10Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y125 NUM_PINS 50 SITEPROP SLICE_X10Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y125 PROHIBIT 0 SITEPROP SLICE_X10Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y125 RPM_X 41 SITEPROP SLICE_X10Y125 RPM_Y 250 SITEPROP SLICE_X10Y125 SITE_PIPS SITEPROP SLICE_X10Y125 SITE_TYPE SLICEM SITEPROP SLICE_X10Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y126 CLASS site SITEPROP SLICE_X10Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y126 IS_BONDED 0 SITEPROP SLICE_X10Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y126 IS_PAD 0 SITEPROP SLICE_X10Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y126 IS_RESERVED 0 SITEPROP SLICE_X10Y126 IS_TEST 0 SITEPROP SLICE_X10Y126 IS_USED 0 SITEPROP SLICE_X10Y126 MANUAL_ROUTING SITEPROP SLICE_X10Y126 NAME SLICE_X10Y126 SITEPROP SLICE_X10Y126 NUM_ARCS 153 SITEPROP SLICE_X10Y126 NUM_BELS 32 SITEPROP SLICE_X10Y126 NUM_INPUTS 37 SITEPROP SLICE_X10Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y126 NUM_PINS 50 SITEPROP SLICE_X10Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y126 PROHIBIT 0 SITEPROP SLICE_X10Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y126 RPM_X 41 SITEPROP SLICE_X10Y126 RPM_Y 252 SITEPROP SLICE_X10Y126 SITE_PIPS SITEPROP SLICE_X10Y126 SITE_TYPE SLICEM SITEPROP SLICE_X10Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y127 CLASS site SITEPROP SLICE_X10Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y127 IS_BONDED 0 SITEPROP SLICE_X10Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y127 IS_PAD 0 SITEPROP SLICE_X10Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y127 IS_RESERVED 0 SITEPROP SLICE_X10Y127 IS_TEST 0 SITEPROP SLICE_X10Y127 IS_USED 0 SITEPROP SLICE_X10Y127 MANUAL_ROUTING SITEPROP SLICE_X10Y127 NAME SLICE_X10Y127 SITEPROP SLICE_X10Y127 NUM_ARCS 153 SITEPROP SLICE_X10Y127 NUM_BELS 32 SITEPROP SLICE_X10Y127 NUM_INPUTS 37 SITEPROP SLICE_X10Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y127 NUM_PINS 50 SITEPROP SLICE_X10Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y127 PROHIBIT 0 SITEPROP SLICE_X10Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y127 RPM_X 41 SITEPROP SLICE_X10Y127 RPM_Y 254 SITEPROP SLICE_X10Y127 SITE_PIPS SITEPROP SLICE_X10Y127 SITE_TYPE SLICEM SITEPROP SLICE_X10Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y128 CLASS site SITEPROP SLICE_X10Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y128 IS_BONDED 0 SITEPROP SLICE_X10Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y128 IS_PAD 0 SITEPROP SLICE_X10Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y128 IS_RESERVED 0 SITEPROP SLICE_X10Y128 IS_TEST 0 SITEPROP SLICE_X10Y128 IS_USED 0 SITEPROP SLICE_X10Y128 MANUAL_ROUTING SITEPROP SLICE_X10Y128 NAME SLICE_X10Y128 SITEPROP SLICE_X10Y128 NUM_ARCS 153 SITEPROP SLICE_X10Y128 NUM_BELS 32 SITEPROP SLICE_X10Y128 NUM_INPUTS 37 SITEPROP SLICE_X10Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y128 NUM_PINS 50 SITEPROP SLICE_X10Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y128 PROHIBIT 0 SITEPROP SLICE_X10Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y128 RPM_X 41 SITEPROP SLICE_X10Y128 RPM_Y 256 SITEPROP SLICE_X10Y128 SITE_PIPS SITEPROP SLICE_X10Y128 SITE_TYPE SLICEM SITEPROP SLICE_X10Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y129 CLASS site SITEPROP SLICE_X10Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y129 IS_BONDED 0 SITEPROP SLICE_X10Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y129 IS_PAD 0 SITEPROP SLICE_X10Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y129 IS_RESERVED 0 SITEPROP SLICE_X10Y129 IS_TEST 0 SITEPROP SLICE_X10Y129 IS_USED 0 SITEPROP SLICE_X10Y129 MANUAL_ROUTING SITEPROP SLICE_X10Y129 NAME SLICE_X10Y129 SITEPROP SLICE_X10Y129 NUM_ARCS 153 SITEPROP SLICE_X10Y129 NUM_BELS 32 SITEPROP SLICE_X10Y129 NUM_INPUTS 37 SITEPROP SLICE_X10Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y129 NUM_PINS 50 SITEPROP SLICE_X10Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y129 PROHIBIT 0 SITEPROP SLICE_X10Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y129 RPM_X 41 SITEPROP SLICE_X10Y129 RPM_Y 258 SITEPROP SLICE_X10Y129 SITE_PIPS SITEPROP SLICE_X10Y129 SITE_TYPE SLICEM SITEPROP SLICE_X10Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y130 CLASS site SITEPROP SLICE_X10Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y130 IS_BONDED 0 SITEPROP SLICE_X10Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y130 IS_PAD 0 SITEPROP SLICE_X10Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y130 IS_RESERVED 0 SITEPROP SLICE_X10Y130 IS_TEST 0 SITEPROP SLICE_X10Y130 IS_USED 0 SITEPROP SLICE_X10Y130 MANUAL_ROUTING SITEPROP SLICE_X10Y130 NAME SLICE_X10Y130 SITEPROP SLICE_X10Y130 NUM_ARCS 153 SITEPROP SLICE_X10Y130 NUM_BELS 32 SITEPROP SLICE_X10Y130 NUM_INPUTS 37 SITEPROP SLICE_X10Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y130 NUM_PINS 50 SITEPROP SLICE_X10Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y130 PROHIBIT 0 SITEPROP SLICE_X10Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y130 RPM_X 41 SITEPROP SLICE_X10Y130 RPM_Y 260 SITEPROP SLICE_X10Y130 SITE_PIPS SITEPROP SLICE_X10Y130 SITE_TYPE SLICEM SITEPROP SLICE_X10Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y131 CLASS site SITEPROP SLICE_X10Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y131 IS_BONDED 0 SITEPROP SLICE_X10Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y131 IS_PAD 0 SITEPROP SLICE_X10Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y131 IS_RESERVED 0 SITEPROP SLICE_X10Y131 IS_TEST 0 SITEPROP SLICE_X10Y131 IS_USED 0 SITEPROP SLICE_X10Y131 MANUAL_ROUTING SITEPROP SLICE_X10Y131 NAME SLICE_X10Y131 SITEPROP SLICE_X10Y131 NUM_ARCS 153 SITEPROP SLICE_X10Y131 NUM_BELS 32 SITEPROP SLICE_X10Y131 NUM_INPUTS 37 SITEPROP SLICE_X10Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y131 NUM_PINS 50 SITEPROP SLICE_X10Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y131 PROHIBIT 0 SITEPROP SLICE_X10Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y131 RPM_X 41 SITEPROP SLICE_X10Y131 RPM_Y 262 SITEPROP SLICE_X10Y131 SITE_PIPS SITEPROP SLICE_X10Y131 SITE_TYPE SLICEM SITEPROP SLICE_X10Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y132 CLASS site SITEPROP SLICE_X10Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y132 IS_BONDED 0 SITEPROP SLICE_X10Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y132 IS_PAD 0 SITEPROP SLICE_X10Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y132 IS_RESERVED 0 SITEPROP SLICE_X10Y132 IS_TEST 0 SITEPROP SLICE_X10Y132 IS_USED 0 SITEPROP SLICE_X10Y132 MANUAL_ROUTING SITEPROP SLICE_X10Y132 NAME SLICE_X10Y132 SITEPROP SLICE_X10Y132 NUM_ARCS 153 SITEPROP SLICE_X10Y132 NUM_BELS 32 SITEPROP SLICE_X10Y132 NUM_INPUTS 37 SITEPROP SLICE_X10Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y132 NUM_PINS 50 SITEPROP SLICE_X10Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y132 PROHIBIT 0 SITEPROP SLICE_X10Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y132 RPM_X 41 SITEPROP SLICE_X10Y132 RPM_Y 264 SITEPROP SLICE_X10Y132 SITE_PIPS SITEPROP SLICE_X10Y132 SITE_TYPE SLICEM SITEPROP SLICE_X10Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y133 CLASS site SITEPROP SLICE_X10Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y133 IS_BONDED 0 SITEPROP SLICE_X10Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y133 IS_PAD 0 SITEPROP SLICE_X10Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y133 IS_RESERVED 0 SITEPROP SLICE_X10Y133 IS_TEST 0 SITEPROP SLICE_X10Y133 IS_USED 0 SITEPROP SLICE_X10Y133 MANUAL_ROUTING SITEPROP SLICE_X10Y133 NAME SLICE_X10Y133 SITEPROP SLICE_X10Y133 NUM_ARCS 153 SITEPROP SLICE_X10Y133 NUM_BELS 32 SITEPROP SLICE_X10Y133 NUM_INPUTS 37 SITEPROP SLICE_X10Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y133 NUM_PINS 50 SITEPROP SLICE_X10Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y133 PROHIBIT 0 SITEPROP SLICE_X10Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y133 RPM_X 41 SITEPROP SLICE_X10Y133 RPM_Y 266 SITEPROP SLICE_X10Y133 SITE_PIPS SITEPROP SLICE_X10Y133 SITE_TYPE SLICEM SITEPROP SLICE_X10Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y134 CLASS site SITEPROP SLICE_X10Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y134 IS_BONDED 0 SITEPROP SLICE_X10Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y134 IS_PAD 0 SITEPROP SLICE_X10Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y134 IS_RESERVED 0 SITEPROP SLICE_X10Y134 IS_TEST 0 SITEPROP SLICE_X10Y134 IS_USED 0 SITEPROP SLICE_X10Y134 MANUAL_ROUTING SITEPROP SLICE_X10Y134 NAME SLICE_X10Y134 SITEPROP SLICE_X10Y134 NUM_ARCS 153 SITEPROP SLICE_X10Y134 NUM_BELS 32 SITEPROP SLICE_X10Y134 NUM_INPUTS 37 SITEPROP SLICE_X10Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y134 NUM_PINS 50 SITEPROP SLICE_X10Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y134 PROHIBIT 0 SITEPROP SLICE_X10Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y134 RPM_X 41 SITEPROP SLICE_X10Y134 RPM_Y 268 SITEPROP SLICE_X10Y134 SITE_PIPS SITEPROP SLICE_X10Y134 SITE_TYPE SLICEM SITEPROP SLICE_X10Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y135 CLASS site SITEPROP SLICE_X10Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y135 IS_BONDED 0 SITEPROP SLICE_X10Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y135 IS_PAD 0 SITEPROP SLICE_X10Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y135 IS_RESERVED 0 SITEPROP SLICE_X10Y135 IS_TEST 0 SITEPROP SLICE_X10Y135 IS_USED 0 SITEPROP SLICE_X10Y135 MANUAL_ROUTING SITEPROP SLICE_X10Y135 NAME SLICE_X10Y135 SITEPROP SLICE_X10Y135 NUM_ARCS 153 SITEPROP SLICE_X10Y135 NUM_BELS 32 SITEPROP SLICE_X10Y135 NUM_INPUTS 37 SITEPROP SLICE_X10Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y135 NUM_PINS 50 SITEPROP SLICE_X10Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y135 PROHIBIT 0 SITEPROP SLICE_X10Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y135 RPM_X 41 SITEPROP SLICE_X10Y135 RPM_Y 270 SITEPROP SLICE_X10Y135 SITE_PIPS SITEPROP SLICE_X10Y135 SITE_TYPE SLICEM SITEPROP SLICE_X10Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y136 CLASS site SITEPROP SLICE_X10Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y136 IS_BONDED 0 SITEPROP SLICE_X10Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y136 IS_PAD 0 SITEPROP SLICE_X10Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y136 IS_RESERVED 0 SITEPROP SLICE_X10Y136 IS_TEST 0 SITEPROP SLICE_X10Y136 IS_USED 0 SITEPROP SLICE_X10Y136 MANUAL_ROUTING SITEPROP SLICE_X10Y136 NAME SLICE_X10Y136 SITEPROP SLICE_X10Y136 NUM_ARCS 153 SITEPROP SLICE_X10Y136 NUM_BELS 32 SITEPROP SLICE_X10Y136 NUM_INPUTS 37 SITEPROP SLICE_X10Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y136 NUM_PINS 50 SITEPROP SLICE_X10Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y136 PROHIBIT 0 SITEPROP SLICE_X10Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y136 RPM_X 41 SITEPROP SLICE_X10Y136 RPM_Y 272 SITEPROP SLICE_X10Y136 SITE_PIPS SITEPROP SLICE_X10Y136 SITE_TYPE SLICEM SITEPROP SLICE_X10Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y137 CLASS site SITEPROP SLICE_X10Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y137 IS_BONDED 0 SITEPROP SLICE_X10Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y137 IS_PAD 0 SITEPROP SLICE_X10Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y137 IS_RESERVED 0 SITEPROP SLICE_X10Y137 IS_TEST 0 SITEPROP SLICE_X10Y137 IS_USED 0 SITEPROP SLICE_X10Y137 MANUAL_ROUTING SITEPROP SLICE_X10Y137 NAME SLICE_X10Y137 SITEPROP SLICE_X10Y137 NUM_ARCS 153 SITEPROP SLICE_X10Y137 NUM_BELS 32 SITEPROP SLICE_X10Y137 NUM_INPUTS 37 SITEPROP SLICE_X10Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y137 NUM_PINS 50 SITEPROP SLICE_X10Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y137 PROHIBIT 0 SITEPROP SLICE_X10Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y137 RPM_X 41 SITEPROP SLICE_X10Y137 RPM_Y 274 SITEPROP SLICE_X10Y137 SITE_PIPS SITEPROP SLICE_X10Y137 SITE_TYPE SLICEM SITEPROP SLICE_X10Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y138 CLASS site SITEPROP SLICE_X10Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y138 IS_BONDED 0 SITEPROP SLICE_X10Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y138 IS_PAD 0 SITEPROP SLICE_X10Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y138 IS_RESERVED 0 SITEPROP SLICE_X10Y138 IS_TEST 0 SITEPROP SLICE_X10Y138 IS_USED 0 SITEPROP SLICE_X10Y138 MANUAL_ROUTING SITEPROP SLICE_X10Y138 NAME SLICE_X10Y138 SITEPROP SLICE_X10Y138 NUM_ARCS 153 SITEPROP SLICE_X10Y138 NUM_BELS 32 SITEPROP SLICE_X10Y138 NUM_INPUTS 37 SITEPROP SLICE_X10Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y138 NUM_PINS 50 SITEPROP SLICE_X10Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y138 PROHIBIT 0 SITEPROP SLICE_X10Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y138 RPM_X 41 SITEPROP SLICE_X10Y138 RPM_Y 276 SITEPROP SLICE_X10Y138 SITE_PIPS SITEPROP SLICE_X10Y138 SITE_TYPE SLICEM SITEPROP SLICE_X10Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y139 CLASS site SITEPROP SLICE_X10Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y139 IS_BONDED 0 SITEPROP SLICE_X10Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y139 IS_PAD 0 SITEPROP SLICE_X10Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y139 IS_RESERVED 0 SITEPROP SLICE_X10Y139 IS_TEST 0 SITEPROP SLICE_X10Y139 IS_USED 0 SITEPROP SLICE_X10Y139 MANUAL_ROUTING SITEPROP SLICE_X10Y139 NAME SLICE_X10Y139 SITEPROP SLICE_X10Y139 NUM_ARCS 153 SITEPROP SLICE_X10Y139 NUM_BELS 32 SITEPROP SLICE_X10Y139 NUM_INPUTS 37 SITEPROP SLICE_X10Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y139 NUM_PINS 50 SITEPROP SLICE_X10Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y139 PROHIBIT 0 SITEPROP SLICE_X10Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y139 RPM_X 41 SITEPROP SLICE_X10Y139 RPM_Y 278 SITEPROP SLICE_X10Y139 SITE_PIPS SITEPROP SLICE_X10Y139 SITE_TYPE SLICEM SITEPROP SLICE_X10Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y140 CLASS site SITEPROP SLICE_X10Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y140 IS_BONDED 0 SITEPROP SLICE_X10Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y140 IS_PAD 0 SITEPROP SLICE_X10Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y140 IS_RESERVED 0 SITEPROP SLICE_X10Y140 IS_TEST 0 SITEPROP SLICE_X10Y140 IS_USED 0 SITEPROP SLICE_X10Y140 MANUAL_ROUTING SITEPROP SLICE_X10Y140 NAME SLICE_X10Y140 SITEPROP SLICE_X10Y140 NUM_ARCS 153 SITEPROP SLICE_X10Y140 NUM_BELS 32 SITEPROP SLICE_X10Y140 NUM_INPUTS 37 SITEPROP SLICE_X10Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y140 NUM_PINS 50 SITEPROP SLICE_X10Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y140 PROHIBIT 0 SITEPROP SLICE_X10Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y140 RPM_X 41 SITEPROP SLICE_X10Y140 RPM_Y 280 SITEPROP SLICE_X10Y140 SITE_PIPS SITEPROP SLICE_X10Y140 SITE_TYPE SLICEM SITEPROP SLICE_X10Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y141 CLASS site SITEPROP SLICE_X10Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y141 IS_BONDED 0 SITEPROP SLICE_X10Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y141 IS_PAD 0 SITEPROP SLICE_X10Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y141 IS_RESERVED 0 SITEPROP SLICE_X10Y141 IS_TEST 0 SITEPROP SLICE_X10Y141 IS_USED 0 SITEPROP SLICE_X10Y141 MANUAL_ROUTING SITEPROP SLICE_X10Y141 NAME SLICE_X10Y141 SITEPROP SLICE_X10Y141 NUM_ARCS 153 SITEPROP SLICE_X10Y141 NUM_BELS 32 SITEPROP SLICE_X10Y141 NUM_INPUTS 37 SITEPROP SLICE_X10Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y141 NUM_PINS 50 SITEPROP SLICE_X10Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y141 PROHIBIT 0 SITEPROP SLICE_X10Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y141 RPM_X 41 SITEPROP SLICE_X10Y141 RPM_Y 282 SITEPROP SLICE_X10Y141 SITE_PIPS SITEPROP SLICE_X10Y141 SITE_TYPE SLICEM SITEPROP SLICE_X10Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y142 CLASS site SITEPROP SLICE_X10Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y142 IS_BONDED 0 SITEPROP SLICE_X10Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y142 IS_PAD 0 SITEPROP SLICE_X10Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y142 IS_RESERVED 0 SITEPROP SLICE_X10Y142 IS_TEST 0 SITEPROP SLICE_X10Y142 IS_USED 0 SITEPROP SLICE_X10Y142 MANUAL_ROUTING SITEPROP SLICE_X10Y142 NAME SLICE_X10Y142 SITEPROP SLICE_X10Y142 NUM_ARCS 153 SITEPROP SLICE_X10Y142 NUM_BELS 32 SITEPROP SLICE_X10Y142 NUM_INPUTS 37 SITEPROP SLICE_X10Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y142 NUM_PINS 50 SITEPROP SLICE_X10Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y142 PROHIBIT 0 SITEPROP SLICE_X10Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y142 RPM_X 41 SITEPROP SLICE_X10Y142 RPM_Y 284 SITEPROP SLICE_X10Y142 SITE_PIPS SITEPROP SLICE_X10Y142 SITE_TYPE SLICEM SITEPROP SLICE_X10Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y143 CLASS site SITEPROP SLICE_X10Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y143 IS_BONDED 0 SITEPROP SLICE_X10Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y143 IS_PAD 0 SITEPROP SLICE_X10Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y143 IS_RESERVED 0 SITEPROP SLICE_X10Y143 IS_TEST 0 SITEPROP SLICE_X10Y143 IS_USED 0 SITEPROP SLICE_X10Y143 MANUAL_ROUTING SITEPROP SLICE_X10Y143 NAME SLICE_X10Y143 SITEPROP SLICE_X10Y143 NUM_ARCS 153 SITEPROP SLICE_X10Y143 NUM_BELS 32 SITEPROP SLICE_X10Y143 NUM_INPUTS 37 SITEPROP SLICE_X10Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y143 NUM_PINS 50 SITEPROP SLICE_X10Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y143 PROHIBIT 0 SITEPROP SLICE_X10Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y143 RPM_X 41 SITEPROP SLICE_X10Y143 RPM_Y 286 SITEPROP SLICE_X10Y143 SITE_PIPS SITEPROP SLICE_X10Y143 SITE_TYPE SLICEM SITEPROP SLICE_X10Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y144 CLASS site SITEPROP SLICE_X10Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y144 IS_BONDED 0 SITEPROP SLICE_X10Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y144 IS_PAD 0 SITEPROP SLICE_X10Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y144 IS_RESERVED 0 SITEPROP SLICE_X10Y144 IS_TEST 0 SITEPROP SLICE_X10Y144 IS_USED 0 SITEPROP SLICE_X10Y144 MANUAL_ROUTING SITEPROP SLICE_X10Y144 NAME SLICE_X10Y144 SITEPROP SLICE_X10Y144 NUM_ARCS 153 SITEPROP SLICE_X10Y144 NUM_BELS 32 SITEPROP SLICE_X10Y144 NUM_INPUTS 37 SITEPROP SLICE_X10Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y144 NUM_PINS 50 SITEPROP SLICE_X10Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y144 PROHIBIT 0 SITEPROP SLICE_X10Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y144 RPM_X 41 SITEPROP SLICE_X10Y144 RPM_Y 288 SITEPROP SLICE_X10Y144 SITE_PIPS SITEPROP SLICE_X10Y144 SITE_TYPE SLICEM SITEPROP SLICE_X10Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y145 CLASS site SITEPROP SLICE_X10Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y145 IS_BONDED 0 SITEPROP SLICE_X10Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y145 IS_PAD 0 SITEPROP SLICE_X10Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y145 IS_RESERVED 0 SITEPROP SLICE_X10Y145 IS_TEST 0 SITEPROP SLICE_X10Y145 IS_USED 0 SITEPROP SLICE_X10Y145 MANUAL_ROUTING SITEPROP SLICE_X10Y145 NAME SLICE_X10Y145 SITEPROP SLICE_X10Y145 NUM_ARCS 153 SITEPROP SLICE_X10Y145 NUM_BELS 32 SITEPROP SLICE_X10Y145 NUM_INPUTS 37 SITEPROP SLICE_X10Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y145 NUM_PINS 50 SITEPROP SLICE_X10Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y145 PROHIBIT 0 SITEPROP SLICE_X10Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y145 RPM_X 41 SITEPROP SLICE_X10Y145 RPM_Y 290 SITEPROP SLICE_X10Y145 SITE_PIPS SITEPROP SLICE_X10Y145 SITE_TYPE SLICEM SITEPROP SLICE_X10Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y146 CLASS site SITEPROP SLICE_X10Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y146 IS_BONDED 0 SITEPROP SLICE_X10Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y146 IS_PAD 0 SITEPROP SLICE_X10Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y146 IS_RESERVED 0 SITEPROP SLICE_X10Y146 IS_TEST 0 SITEPROP SLICE_X10Y146 IS_USED 0 SITEPROP SLICE_X10Y146 MANUAL_ROUTING SITEPROP SLICE_X10Y146 NAME SLICE_X10Y146 SITEPROP SLICE_X10Y146 NUM_ARCS 153 SITEPROP SLICE_X10Y146 NUM_BELS 32 SITEPROP SLICE_X10Y146 NUM_INPUTS 37 SITEPROP SLICE_X10Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y146 NUM_PINS 50 SITEPROP SLICE_X10Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y146 PROHIBIT 0 SITEPROP SLICE_X10Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y146 RPM_X 41 SITEPROP SLICE_X10Y146 RPM_Y 292 SITEPROP SLICE_X10Y146 SITE_PIPS SITEPROP SLICE_X10Y146 SITE_TYPE SLICEM SITEPROP SLICE_X10Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y147 CLASS site SITEPROP SLICE_X10Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y147 IS_BONDED 0 SITEPROP SLICE_X10Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y147 IS_PAD 0 SITEPROP SLICE_X10Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y147 IS_RESERVED 0 SITEPROP SLICE_X10Y147 IS_TEST 0 SITEPROP SLICE_X10Y147 IS_USED 0 SITEPROP SLICE_X10Y147 MANUAL_ROUTING SITEPROP SLICE_X10Y147 NAME SLICE_X10Y147 SITEPROP SLICE_X10Y147 NUM_ARCS 153 SITEPROP SLICE_X10Y147 NUM_BELS 32 SITEPROP SLICE_X10Y147 NUM_INPUTS 37 SITEPROP SLICE_X10Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y147 NUM_PINS 50 SITEPROP SLICE_X10Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y147 PROHIBIT 0 SITEPROP SLICE_X10Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y147 RPM_X 41 SITEPROP SLICE_X10Y147 RPM_Y 294 SITEPROP SLICE_X10Y147 SITE_PIPS SITEPROP SLICE_X10Y147 SITE_TYPE SLICEM SITEPROP SLICE_X10Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y148 CLASS site SITEPROP SLICE_X10Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y148 IS_BONDED 0 SITEPROP SLICE_X10Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y148 IS_PAD 0 SITEPROP SLICE_X10Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y148 IS_RESERVED 0 SITEPROP SLICE_X10Y148 IS_TEST 0 SITEPROP SLICE_X10Y148 IS_USED 0 SITEPROP SLICE_X10Y148 MANUAL_ROUTING SITEPROP SLICE_X10Y148 NAME SLICE_X10Y148 SITEPROP SLICE_X10Y148 NUM_ARCS 153 SITEPROP SLICE_X10Y148 NUM_BELS 32 SITEPROP SLICE_X10Y148 NUM_INPUTS 37 SITEPROP SLICE_X10Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y148 NUM_PINS 50 SITEPROP SLICE_X10Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y148 PROHIBIT 0 SITEPROP SLICE_X10Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y148 RPM_X 41 SITEPROP SLICE_X10Y148 RPM_Y 296 SITEPROP SLICE_X10Y148 SITE_PIPS SITEPROP SLICE_X10Y148 SITE_TYPE SLICEM SITEPROP SLICE_X10Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X10Y149 CLASS site SITEPROP SLICE_X10Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X10Y149 IS_BONDED 0 SITEPROP SLICE_X10Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X10Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y149 IS_PAD 0 SITEPROP SLICE_X10Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X10Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X10Y149 IS_RESERVED 0 SITEPROP SLICE_X10Y149 IS_TEST 0 SITEPROP SLICE_X10Y149 IS_USED 0 SITEPROP SLICE_X10Y149 MANUAL_ROUTING SITEPROP SLICE_X10Y149 NAME SLICE_X10Y149 SITEPROP SLICE_X10Y149 NUM_ARCS 153 SITEPROP SLICE_X10Y149 NUM_BELS 32 SITEPROP SLICE_X10Y149 NUM_INPUTS 37 SITEPROP SLICE_X10Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X10Y149 NUM_PINS 50 SITEPROP SLICE_X10Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X10Y149 PROHIBIT 0 SITEPROP SLICE_X10Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X10Y149 RPM_X 41 SITEPROP SLICE_X10Y149 RPM_Y 298 SITEPROP SLICE_X10Y149 SITE_PIPS SITEPROP SLICE_X10Y149 SITE_TYPE SLICEM SITEPROP SLICE_X11Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y0 CLASS site SITEPROP SLICE_X11Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y0 IS_BONDED 0 SITEPROP SLICE_X11Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y0 IS_PAD 0 SITEPROP SLICE_X11Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y0 IS_RESERVED 0 SITEPROP SLICE_X11Y0 IS_TEST 0 SITEPROP SLICE_X11Y0 IS_USED 0 SITEPROP SLICE_X11Y0 MANUAL_ROUTING SITEPROP SLICE_X11Y0 NAME SLICE_X11Y0 SITEPROP SLICE_X11Y0 NUM_ARCS 138 SITEPROP SLICE_X11Y0 NUM_BELS 32 SITEPROP SLICE_X11Y0 NUM_INPUTS 32 SITEPROP SLICE_X11Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y0 NUM_PINS 45 SITEPROP SLICE_X11Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y0 PROHIBIT 0 SITEPROP SLICE_X11Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y0 RPM_X 43 SITEPROP SLICE_X11Y0 RPM_Y 0 SITEPROP SLICE_X11Y0 SITE_PIPS SITEPROP SLICE_X11Y0 SITE_TYPE SLICEL SITEPROP SLICE_X11Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y1 CLASS site SITEPROP SLICE_X11Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y1 IS_BONDED 0 SITEPROP SLICE_X11Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y1 IS_PAD 0 SITEPROP SLICE_X11Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y1 IS_RESERVED 0 SITEPROP SLICE_X11Y1 IS_TEST 0 SITEPROP SLICE_X11Y1 IS_USED 0 SITEPROP SLICE_X11Y1 MANUAL_ROUTING SITEPROP SLICE_X11Y1 NAME SLICE_X11Y1 SITEPROP SLICE_X11Y1 NUM_ARCS 138 SITEPROP SLICE_X11Y1 NUM_BELS 32 SITEPROP SLICE_X11Y1 NUM_INPUTS 32 SITEPROP SLICE_X11Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y1 NUM_PINS 45 SITEPROP SLICE_X11Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y1 PROHIBIT 0 SITEPROP SLICE_X11Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y1 RPM_X 43 SITEPROP SLICE_X11Y1 RPM_Y 2 SITEPROP SLICE_X11Y1 SITE_PIPS SITEPROP SLICE_X11Y1 SITE_TYPE SLICEL SITEPROP SLICE_X11Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y2 CLASS site SITEPROP SLICE_X11Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y2 IS_BONDED 0 SITEPROP SLICE_X11Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y2 IS_PAD 0 SITEPROP SLICE_X11Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y2 IS_RESERVED 0 SITEPROP SLICE_X11Y2 IS_TEST 0 SITEPROP SLICE_X11Y2 IS_USED 0 SITEPROP SLICE_X11Y2 MANUAL_ROUTING SITEPROP SLICE_X11Y2 NAME SLICE_X11Y2 SITEPROP SLICE_X11Y2 NUM_ARCS 138 SITEPROP SLICE_X11Y2 NUM_BELS 32 SITEPROP SLICE_X11Y2 NUM_INPUTS 32 SITEPROP SLICE_X11Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y2 NUM_PINS 45 SITEPROP SLICE_X11Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y2 PROHIBIT 0 SITEPROP SLICE_X11Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y2 RPM_X 43 SITEPROP SLICE_X11Y2 RPM_Y 4 SITEPROP SLICE_X11Y2 SITE_PIPS SITEPROP SLICE_X11Y2 SITE_TYPE SLICEL SITEPROP SLICE_X11Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y3 CLASS site SITEPROP SLICE_X11Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y3 IS_BONDED 0 SITEPROP SLICE_X11Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y3 IS_PAD 0 SITEPROP SLICE_X11Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y3 IS_RESERVED 0 SITEPROP SLICE_X11Y3 IS_TEST 0 SITEPROP SLICE_X11Y3 IS_USED 0 SITEPROP SLICE_X11Y3 MANUAL_ROUTING SITEPROP SLICE_X11Y3 NAME SLICE_X11Y3 SITEPROP SLICE_X11Y3 NUM_ARCS 138 SITEPROP SLICE_X11Y3 NUM_BELS 32 SITEPROP SLICE_X11Y3 NUM_INPUTS 32 SITEPROP SLICE_X11Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y3 NUM_PINS 45 SITEPROP SLICE_X11Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y3 PROHIBIT 0 SITEPROP SLICE_X11Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y3 RPM_X 43 SITEPROP SLICE_X11Y3 RPM_Y 6 SITEPROP SLICE_X11Y3 SITE_PIPS SITEPROP SLICE_X11Y3 SITE_TYPE SLICEL SITEPROP SLICE_X11Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y4 CLASS site SITEPROP SLICE_X11Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y4 IS_BONDED 0 SITEPROP SLICE_X11Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y4 IS_PAD 0 SITEPROP SLICE_X11Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y4 IS_RESERVED 0 SITEPROP SLICE_X11Y4 IS_TEST 0 SITEPROP SLICE_X11Y4 IS_USED 0 SITEPROP SLICE_X11Y4 MANUAL_ROUTING SITEPROP SLICE_X11Y4 NAME SLICE_X11Y4 SITEPROP SLICE_X11Y4 NUM_ARCS 138 SITEPROP SLICE_X11Y4 NUM_BELS 32 SITEPROP SLICE_X11Y4 NUM_INPUTS 32 SITEPROP SLICE_X11Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y4 NUM_PINS 45 SITEPROP SLICE_X11Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y4 PROHIBIT 0 SITEPROP SLICE_X11Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y4 RPM_X 43 SITEPROP SLICE_X11Y4 RPM_Y 8 SITEPROP SLICE_X11Y4 SITE_PIPS SITEPROP SLICE_X11Y4 SITE_TYPE SLICEL SITEPROP SLICE_X11Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y5 CLASS site SITEPROP SLICE_X11Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y5 IS_BONDED 0 SITEPROP SLICE_X11Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y5 IS_PAD 0 SITEPROP SLICE_X11Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y5 IS_RESERVED 0 SITEPROP SLICE_X11Y5 IS_TEST 0 SITEPROP SLICE_X11Y5 IS_USED 0 SITEPROP SLICE_X11Y5 MANUAL_ROUTING SITEPROP SLICE_X11Y5 NAME SLICE_X11Y5 SITEPROP SLICE_X11Y5 NUM_ARCS 138 SITEPROP SLICE_X11Y5 NUM_BELS 32 SITEPROP SLICE_X11Y5 NUM_INPUTS 32 SITEPROP SLICE_X11Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y5 NUM_PINS 45 SITEPROP SLICE_X11Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y5 PROHIBIT 0 SITEPROP SLICE_X11Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y5 RPM_X 43 SITEPROP SLICE_X11Y5 RPM_Y 10 SITEPROP SLICE_X11Y5 SITE_PIPS SITEPROP SLICE_X11Y5 SITE_TYPE SLICEL SITEPROP SLICE_X11Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y6 CLASS site SITEPROP SLICE_X11Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y6 IS_BONDED 0 SITEPROP SLICE_X11Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y6 IS_PAD 0 SITEPROP SLICE_X11Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y6 IS_RESERVED 0 SITEPROP SLICE_X11Y6 IS_TEST 0 SITEPROP SLICE_X11Y6 IS_USED 0 SITEPROP SLICE_X11Y6 MANUAL_ROUTING SITEPROP SLICE_X11Y6 NAME SLICE_X11Y6 SITEPROP SLICE_X11Y6 NUM_ARCS 138 SITEPROP SLICE_X11Y6 NUM_BELS 32 SITEPROP SLICE_X11Y6 NUM_INPUTS 32 SITEPROP SLICE_X11Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y6 NUM_PINS 45 SITEPROP SLICE_X11Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y6 PROHIBIT 0 SITEPROP SLICE_X11Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y6 RPM_X 43 SITEPROP SLICE_X11Y6 RPM_Y 12 SITEPROP SLICE_X11Y6 SITE_PIPS SITEPROP SLICE_X11Y6 SITE_TYPE SLICEL SITEPROP SLICE_X11Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y7 CLASS site SITEPROP SLICE_X11Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y7 IS_BONDED 0 SITEPROP SLICE_X11Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y7 IS_PAD 0 SITEPROP SLICE_X11Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y7 IS_RESERVED 0 SITEPROP SLICE_X11Y7 IS_TEST 0 SITEPROP SLICE_X11Y7 IS_USED 0 SITEPROP SLICE_X11Y7 MANUAL_ROUTING SITEPROP SLICE_X11Y7 NAME SLICE_X11Y7 SITEPROP SLICE_X11Y7 NUM_ARCS 138 SITEPROP SLICE_X11Y7 NUM_BELS 32 SITEPROP SLICE_X11Y7 NUM_INPUTS 32 SITEPROP SLICE_X11Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y7 NUM_PINS 45 SITEPROP SLICE_X11Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y7 PROHIBIT 0 SITEPROP SLICE_X11Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y7 RPM_X 43 SITEPROP SLICE_X11Y7 RPM_Y 14 SITEPROP SLICE_X11Y7 SITE_PIPS SITEPROP SLICE_X11Y7 SITE_TYPE SLICEL SITEPROP SLICE_X11Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y8 CLASS site SITEPROP SLICE_X11Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y8 IS_BONDED 0 SITEPROP SLICE_X11Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y8 IS_PAD 0 SITEPROP SLICE_X11Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y8 IS_RESERVED 0 SITEPROP SLICE_X11Y8 IS_TEST 0 SITEPROP SLICE_X11Y8 IS_USED 0 SITEPROP SLICE_X11Y8 MANUAL_ROUTING SITEPROP SLICE_X11Y8 NAME SLICE_X11Y8 SITEPROP SLICE_X11Y8 NUM_ARCS 138 SITEPROP SLICE_X11Y8 NUM_BELS 32 SITEPROP SLICE_X11Y8 NUM_INPUTS 32 SITEPROP SLICE_X11Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y8 NUM_PINS 45 SITEPROP SLICE_X11Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y8 PROHIBIT 0 SITEPROP SLICE_X11Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y8 RPM_X 43 SITEPROP SLICE_X11Y8 RPM_Y 16 SITEPROP SLICE_X11Y8 SITE_PIPS SITEPROP SLICE_X11Y8 SITE_TYPE SLICEL SITEPROP SLICE_X11Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y9 CLASS site SITEPROP SLICE_X11Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y9 IS_BONDED 0 SITEPROP SLICE_X11Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y9 IS_PAD 0 SITEPROP SLICE_X11Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y9 IS_RESERVED 0 SITEPROP SLICE_X11Y9 IS_TEST 0 SITEPROP SLICE_X11Y9 IS_USED 0 SITEPROP SLICE_X11Y9 MANUAL_ROUTING SITEPROP SLICE_X11Y9 NAME SLICE_X11Y9 SITEPROP SLICE_X11Y9 NUM_ARCS 138 SITEPROP SLICE_X11Y9 NUM_BELS 32 SITEPROP SLICE_X11Y9 NUM_INPUTS 32 SITEPROP SLICE_X11Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y9 NUM_PINS 45 SITEPROP SLICE_X11Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y9 PROHIBIT 0 SITEPROP SLICE_X11Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y9 RPM_X 43 SITEPROP SLICE_X11Y9 RPM_Y 18 SITEPROP SLICE_X11Y9 SITE_PIPS SITEPROP SLICE_X11Y9 SITE_TYPE SLICEL SITEPROP SLICE_X11Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y10 CLASS site SITEPROP SLICE_X11Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y10 IS_BONDED 0 SITEPROP SLICE_X11Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y10 IS_PAD 0 SITEPROP SLICE_X11Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y10 IS_RESERVED 0 SITEPROP SLICE_X11Y10 IS_TEST 0 SITEPROP SLICE_X11Y10 IS_USED 0 SITEPROP SLICE_X11Y10 MANUAL_ROUTING SITEPROP SLICE_X11Y10 NAME SLICE_X11Y10 SITEPROP SLICE_X11Y10 NUM_ARCS 138 SITEPROP SLICE_X11Y10 NUM_BELS 32 SITEPROP SLICE_X11Y10 NUM_INPUTS 32 SITEPROP SLICE_X11Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y10 NUM_PINS 45 SITEPROP SLICE_X11Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y10 PROHIBIT 0 SITEPROP SLICE_X11Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y10 RPM_X 43 SITEPROP SLICE_X11Y10 RPM_Y 20 SITEPROP SLICE_X11Y10 SITE_PIPS SITEPROP SLICE_X11Y10 SITE_TYPE SLICEL SITEPROP SLICE_X11Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y11 CLASS site SITEPROP SLICE_X11Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y11 IS_BONDED 0 SITEPROP SLICE_X11Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y11 IS_PAD 0 SITEPROP SLICE_X11Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y11 IS_RESERVED 0 SITEPROP SLICE_X11Y11 IS_TEST 0 SITEPROP SLICE_X11Y11 IS_USED 0 SITEPROP SLICE_X11Y11 MANUAL_ROUTING SITEPROP SLICE_X11Y11 NAME SLICE_X11Y11 SITEPROP SLICE_X11Y11 NUM_ARCS 138 SITEPROP SLICE_X11Y11 NUM_BELS 32 SITEPROP SLICE_X11Y11 NUM_INPUTS 32 SITEPROP SLICE_X11Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y11 NUM_PINS 45 SITEPROP SLICE_X11Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y11 PROHIBIT 0 SITEPROP SLICE_X11Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y11 RPM_X 43 SITEPROP SLICE_X11Y11 RPM_Y 22 SITEPROP SLICE_X11Y11 SITE_PIPS SITEPROP SLICE_X11Y11 SITE_TYPE SLICEL SITEPROP SLICE_X11Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y12 CLASS site SITEPROP SLICE_X11Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y12 IS_BONDED 0 SITEPROP SLICE_X11Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y12 IS_PAD 0 SITEPROP SLICE_X11Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y12 IS_RESERVED 0 SITEPROP SLICE_X11Y12 IS_TEST 0 SITEPROP SLICE_X11Y12 IS_USED 0 SITEPROP SLICE_X11Y12 MANUAL_ROUTING SITEPROP SLICE_X11Y12 NAME SLICE_X11Y12 SITEPROP SLICE_X11Y12 NUM_ARCS 138 SITEPROP SLICE_X11Y12 NUM_BELS 32 SITEPROP SLICE_X11Y12 NUM_INPUTS 32 SITEPROP SLICE_X11Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y12 NUM_PINS 45 SITEPROP SLICE_X11Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y12 PROHIBIT 0 SITEPROP SLICE_X11Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y12 RPM_X 43 SITEPROP SLICE_X11Y12 RPM_Y 24 SITEPROP SLICE_X11Y12 SITE_PIPS SITEPROP SLICE_X11Y12 SITE_TYPE SLICEL SITEPROP SLICE_X11Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y13 CLASS site SITEPROP SLICE_X11Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y13 IS_BONDED 0 SITEPROP SLICE_X11Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y13 IS_PAD 0 SITEPROP SLICE_X11Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y13 IS_RESERVED 0 SITEPROP SLICE_X11Y13 IS_TEST 0 SITEPROP SLICE_X11Y13 IS_USED 0 SITEPROP SLICE_X11Y13 MANUAL_ROUTING SITEPROP SLICE_X11Y13 NAME SLICE_X11Y13 SITEPROP SLICE_X11Y13 NUM_ARCS 138 SITEPROP SLICE_X11Y13 NUM_BELS 32 SITEPROP SLICE_X11Y13 NUM_INPUTS 32 SITEPROP SLICE_X11Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y13 NUM_PINS 45 SITEPROP SLICE_X11Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y13 PROHIBIT 0 SITEPROP SLICE_X11Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y13 RPM_X 43 SITEPROP SLICE_X11Y13 RPM_Y 26 SITEPROP SLICE_X11Y13 SITE_PIPS SITEPROP SLICE_X11Y13 SITE_TYPE SLICEL SITEPROP SLICE_X11Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y14 CLASS site SITEPROP SLICE_X11Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y14 IS_BONDED 0 SITEPROP SLICE_X11Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y14 IS_PAD 0 SITEPROP SLICE_X11Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y14 IS_RESERVED 0 SITEPROP SLICE_X11Y14 IS_TEST 0 SITEPROP SLICE_X11Y14 IS_USED 0 SITEPROP SLICE_X11Y14 MANUAL_ROUTING SITEPROP SLICE_X11Y14 NAME SLICE_X11Y14 SITEPROP SLICE_X11Y14 NUM_ARCS 138 SITEPROP SLICE_X11Y14 NUM_BELS 32 SITEPROP SLICE_X11Y14 NUM_INPUTS 32 SITEPROP SLICE_X11Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y14 NUM_PINS 45 SITEPROP SLICE_X11Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y14 PROHIBIT 0 SITEPROP SLICE_X11Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y14 RPM_X 43 SITEPROP SLICE_X11Y14 RPM_Y 28 SITEPROP SLICE_X11Y14 SITE_PIPS SITEPROP SLICE_X11Y14 SITE_TYPE SLICEL SITEPROP SLICE_X11Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y15 CLASS site SITEPROP SLICE_X11Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y15 IS_BONDED 0 SITEPROP SLICE_X11Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y15 IS_PAD 0 SITEPROP SLICE_X11Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y15 IS_RESERVED 0 SITEPROP SLICE_X11Y15 IS_TEST 0 SITEPROP SLICE_X11Y15 IS_USED 0 SITEPROP SLICE_X11Y15 MANUAL_ROUTING SITEPROP SLICE_X11Y15 NAME SLICE_X11Y15 SITEPROP SLICE_X11Y15 NUM_ARCS 138 SITEPROP SLICE_X11Y15 NUM_BELS 32 SITEPROP SLICE_X11Y15 NUM_INPUTS 32 SITEPROP SLICE_X11Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y15 NUM_PINS 45 SITEPROP SLICE_X11Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y15 PROHIBIT 0 SITEPROP SLICE_X11Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y15 RPM_X 43 SITEPROP SLICE_X11Y15 RPM_Y 30 SITEPROP SLICE_X11Y15 SITE_PIPS SITEPROP SLICE_X11Y15 SITE_TYPE SLICEL SITEPROP SLICE_X11Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y16 CLASS site SITEPROP SLICE_X11Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y16 IS_BONDED 0 SITEPROP SLICE_X11Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y16 IS_PAD 0 SITEPROP SLICE_X11Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y16 IS_RESERVED 0 SITEPROP SLICE_X11Y16 IS_TEST 0 SITEPROP SLICE_X11Y16 IS_USED 0 SITEPROP SLICE_X11Y16 MANUAL_ROUTING SITEPROP SLICE_X11Y16 NAME SLICE_X11Y16 SITEPROP SLICE_X11Y16 NUM_ARCS 138 SITEPROP SLICE_X11Y16 NUM_BELS 32 SITEPROP SLICE_X11Y16 NUM_INPUTS 32 SITEPROP SLICE_X11Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y16 NUM_PINS 45 SITEPROP SLICE_X11Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y16 PROHIBIT 0 SITEPROP SLICE_X11Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y16 RPM_X 43 SITEPROP SLICE_X11Y16 RPM_Y 32 SITEPROP SLICE_X11Y16 SITE_PIPS SITEPROP SLICE_X11Y16 SITE_TYPE SLICEL SITEPROP SLICE_X11Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y17 CLASS site SITEPROP SLICE_X11Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y17 IS_BONDED 0 SITEPROP SLICE_X11Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y17 IS_PAD 0 SITEPROP SLICE_X11Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y17 IS_RESERVED 0 SITEPROP SLICE_X11Y17 IS_TEST 0 SITEPROP SLICE_X11Y17 IS_USED 0 SITEPROP SLICE_X11Y17 MANUAL_ROUTING SITEPROP SLICE_X11Y17 NAME SLICE_X11Y17 SITEPROP SLICE_X11Y17 NUM_ARCS 138 SITEPROP SLICE_X11Y17 NUM_BELS 32 SITEPROP SLICE_X11Y17 NUM_INPUTS 32 SITEPROP SLICE_X11Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y17 NUM_PINS 45 SITEPROP SLICE_X11Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y17 PROHIBIT 0 SITEPROP SLICE_X11Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y17 RPM_X 43 SITEPROP SLICE_X11Y17 RPM_Y 34 SITEPROP SLICE_X11Y17 SITE_PIPS SITEPROP SLICE_X11Y17 SITE_TYPE SLICEL SITEPROP SLICE_X11Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y18 CLASS site SITEPROP SLICE_X11Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y18 IS_BONDED 0 SITEPROP SLICE_X11Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y18 IS_PAD 0 SITEPROP SLICE_X11Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y18 IS_RESERVED 0 SITEPROP SLICE_X11Y18 IS_TEST 0 SITEPROP SLICE_X11Y18 IS_USED 0 SITEPROP SLICE_X11Y18 MANUAL_ROUTING SITEPROP SLICE_X11Y18 NAME SLICE_X11Y18 SITEPROP SLICE_X11Y18 NUM_ARCS 138 SITEPROP SLICE_X11Y18 NUM_BELS 32 SITEPROP SLICE_X11Y18 NUM_INPUTS 32 SITEPROP SLICE_X11Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y18 NUM_PINS 45 SITEPROP SLICE_X11Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y18 PROHIBIT 0 SITEPROP SLICE_X11Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y18 RPM_X 43 SITEPROP SLICE_X11Y18 RPM_Y 36 SITEPROP SLICE_X11Y18 SITE_PIPS SITEPROP SLICE_X11Y18 SITE_TYPE SLICEL SITEPROP SLICE_X11Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y19 CLASS site SITEPROP SLICE_X11Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y19 IS_BONDED 0 SITEPROP SLICE_X11Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y19 IS_PAD 0 SITEPROP SLICE_X11Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y19 IS_RESERVED 0 SITEPROP SLICE_X11Y19 IS_TEST 0 SITEPROP SLICE_X11Y19 IS_USED 0 SITEPROP SLICE_X11Y19 MANUAL_ROUTING SITEPROP SLICE_X11Y19 NAME SLICE_X11Y19 SITEPROP SLICE_X11Y19 NUM_ARCS 138 SITEPROP SLICE_X11Y19 NUM_BELS 32 SITEPROP SLICE_X11Y19 NUM_INPUTS 32 SITEPROP SLICE_X11Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y19 NUM_PINS 45 SITEPROP SLICE_X11Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y19 PROHIBIT 0 SITEPROP SLICE_X11Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y19 RPM_X 43 SITEPROP SLICE_X11Y19 RPM_Y 38 SITEPROP SLICE_X11Y19 SITE_PIPS SITEPROP SLICE_X11Y19 SITE_TYPE SLICEL SITEPROP SLICE_X11Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y20 CLASS site SITEPROP SLICE_X11Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y20 IS_BONDED 0 SITEPROP SLICE_X11Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y20 IS_PAD 0 SITEPROP SLICE_X11Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y20 IS_RESERVED 0 SITEPROP SLICE_X11Y20 IS_TEST 0 SITEPROP SLICE_X11Y20 IS_USED 0 SITEPROP SLICE_X11Y20 MANUAL_ROUTING SITEPROP SLICE_X11Y20 NAME SLICE_X11Y20 SITEPROP SLICE_X11Y20 NUM_ARCS 138 SITEPROP SLICE_X11Y20 NUM_BELS 32 SITEPROP SLICE_X11Y20 NUM_INPUTS 32 SITEPROP SLICE_X11Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y20 NUM_PINS 45 SITEPROP SLICE_X11Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y20 PROHIBIT 0 SITEPROP SLICE_X11Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y20 RPM_X 43 SITEPROP SLICE_X11Y20 RPM_Y 40 SITEPROP SLICE_X11Y20 SITE_PIPS SITEPROP SLICE_X11Y20 SITE_TYPE SLICEL SITEPROP SLICE_X11Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y21 CLASS site SITEPROP SLICE_X11Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y21 IS_BONDED 0 SITEPROP SLICE_X11Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y21 IS_PAD 0 SITEPROP SLICE_X11Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y21 IS_RESERVED 0 SITEPROP SLICE_X11Y21 IS_TEST 0 SITEPROP SLICE_X11Y21 IS_USED 0 SITEPROP SLICE_X11Y21 MANUAL_ROUTING SITEPROP SLICE_X11Y21 NAME SLICE_X11Y21 SITEPROP SLICE_X11Y21 NUM_ARCS 138 SITEPROP SLICE_X11Y21 NUM_BELS 32 SITEPROP SLICE_X11Y21 NUM_INPUTS 32 SITEPROP SLICE_X11Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y21 NUM_PINS 45 SITEPROP SLICE_X11Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y21 PROHIBIT 0 SITEPROP SLICE_X11Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y21 RPM_X 43 SITEPROP SLICE_X11Y21 RPM_Y 42 SITEPROP SLICE_X11Y21 SITE_PIPS SITEPROP SLICE_X11Y21 SITE_TYPE SLICEL SITEPROP SLICE_X11Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y22 CLASS site SITEPROP SLICE_X11Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y22 IS_BONDED 0 SITEPROP SLICE_X11Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y22 IS_PAD 0 SITEPROP SLICE_X11Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y22 IS_RESERVED 0 SITEPROP SLICE_X11Y22 IS_TEST 0 SITEPROP SLICE_X11Y22 IS_USED 0 SITEPROP SLICE_X11Y22 MANUAL_ROUTING SITEPROP SLICE_X11Y22 NAME SLICE_X11Y22 SITEPROP SLICE_X11Y22 NUM_ARCS 138 SITEPROP SLICE_X11Y22 NUM_BELS 32 SITEPROP SLICE_X11Y22 NUM_INPUTS 32 SITEPROP SLICE_X11Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y22 NUM_PINS 45 SITEPROP SLICE_X11Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y22 PROHIBIT 0 SITEPROP SLICE_X11Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y22 RPM_X 43 SITEPROP SLICE_X11Y22 RPM_Y 44 SITEPROP SLICE_X11Y22 SITE_PIPS SITEPROP SLICE_X11Y22 SITE_TYPE SLICEL SITEPROP SLICE_X11Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y23 CLASS site SITEPROP SLICE_X11Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y23 IS_BONDED 0 SITEPROP SLICE_X11Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y23 IS_PAD 0 SITEPROP SLICE_X11Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y23 IS_RESERVED 0 SITEPROP SLICE_X11Y23 IS_TEST 0 SITEPROP SLICE_X11Y23 IS_USED 0 SITEPROP SLICE_X11Y23 MANUAL_ROUTING SITEPROP SLICE_X11Y23 NAME SLICE_X11Y23 SITEPROP SLICE_X11Y23 NUM_ARCS 138 SITEPROP SLICE_X11Y23 NUM_BELS 32 SITEPROP SLICE_X11Y23 NUM_INPUTS 32 SITEPROP SLICE_X11Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y23 NUM_PINS 45 SITEPROP SLICE_X11Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y23 PROHIBIT 0 SITEPROP SLICE_X11Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y23 RPM_X 43 SITEPROP SLICE_X11Y23 RPM_Y 46 SITEPROP SLICE_X11Y23 SITE_PIPS SITEPROP SLICE_X11Y23 SITE_TYPE SLICEL SITEPROP SLICE_X11Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y24 CLASS site SITEPROP SLICE_X11Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y24 IS_BONDED 0 SITEPROP SLICE_X11Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y24 IS_PAD 0 SITEPROP SLICE_X11Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y24 IS_RESERVED 0 SITEPROP SLICE_X11Y24 IS_TEST 0 SITEPROP SLICE_X11Y24 IS_USED 0 SITEPROP SLICE_X11Y24 MANUAL_ROUTING SITEPROP SLICE_X11Y24 NAME SLICE_X11Y24 SITEPROP SLICE_X11Y24 NUM_ARCS 138 SITEPROP SLICE_X11Y24 NUM_BELS 32 SITEPROP SLICE_X11Y24 NUM_INPUTS 32 SITEPROP SLICE_X11Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y24 NUM_PINS 45 SITEPROP SLICE_X11Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y24 PROHIBIT 0 SITEPROP SLICE_X11Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y24 RPM_X 43 SITEPROP SLICE_X11Y24 RPM_Y 48 SITEPROP SLICE_X11Y24 SITE_PIPS SITEPROP SLICE_X11Y24 SITE_TYPE SLICEL SITEPROP SLICE_X11Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y25 CLASS site SITEPROP SLICE_X11Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y25 IS_BONDED 0 SITEPROP SLICE_X11Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y25 IS_PAD 0 SITEPROP SLICE_X11Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y25 IS_RESERVED 0 SITEPROP SLICE_X11Y25 IS_TEST 0 SITEPROP SLICE_X11Y25 IS_USED 0 SITEPROP SLICE_X11Y25 MANUAL_ROUTING SITEPROP SLICE_X11Y25 NAME SLICE_X11Y25 SITEPROP SLICE_X11Y25 NUM_ARCS 138 SITEPROP SLICE_X11Y25 NUM_BELS 32 SITEPROP SLICE_X11Y25 NUM_INPUTS 32 SITEPROP SLICE_X11Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y25 NUM_PINS 45 SITEPROP SLICE_X11Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y25 PROHIBIT 0 SITEPROP SLICE_X11Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y25 RPM_X 43 SITEPROP SLICE_X11Y25 RPM_Y 50 SITEPROP SLICE_X11Y25 SITE_PIPS SITEPROP SLICE_X11Y25 SITE_TYPE SLICEL SITEPROP SLICE_X11Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y26 CLASS site SITEPROP SLICE_X11Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y26 IS_BONDED 0 SITEPROP SLICE_X11Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y26 IS_PAD 0 SITEPROP SLICE_X11Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y26 IS_RESERVED 0 SITEPROP SLICE_X11Y26 IS_TEST 0 SITEPROP SLICE_X11Y26 IS_USED 0 SITEPROP SLICE_X11Y26 MANUAL_ROUTING SITEPROP SLICE_X11Y26 NAME SLICE_X11Y26 SITEPROP SLICE_X11Y26 NUM_ARCS 138 SITEPROP SLICE_X11Y26 NUM_BELS 32 SITEPROP SLICE_X11Y26 NUM_INPUTS 32 SITEPROP SLICE_X11Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y26 NUM_PINS 45 SITEPROP SLICE_X11Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y26 PROHIBIT 0 SITEPROP SLICE_X11Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y26 RPM_X 43 SITEPROP SLICE_X11Y26 RPM_Y 52 SITEPROP SLICE_X11Y26 SITE_PIPS SITEPROP SLICE_X11Y26 SITE_TYPE SLICEL SITEPROP SLICE_X11Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y27 CLASS site SITEPROP SLICE_X11Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y27 IS_BONDED 0 SITEPROP SLICE_X11Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y27 IS_PAD 0 SITEPROP SLICE_X11Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y27 IS_RESERVED 0 SITEPROP SLICE_X11Y27 IS_TEST 0 SITEPROP SLICE_X11Y27 IS_USED 0 SITEPROP SLICE_X11Y27 MANUAL_ROUTING SITEPROP SLICE_X11Y27 NAME SLICE_X11Y27 SITEPROP SLICE_X11Y27 NUM_ARCS 138 SITEPROP SLICE_X11Y27 NUM_BELS 32 SITEPROP SLICE_X11Y27 NUM_INPUTS 32 SITEPROP SLICE_X11Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y27 NUM_PINS 45 SITEPROP SLICE_X11Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y27 PROHIBIT 0 SITEPROP SLICE_X11Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y27 RPM_X 43 SITEPROP SLICE_X11Y27 RPM_Y 54 SITEPROP SLICE_X11Y27 SITE_PIPS SITEPROP SLICE_X11Y27 SITE_TYPE SLICEL SITEPROP SLICE_X11Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y28 CLASS site SITEPROP SLICE_X11Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y28 IS_BONDED 0 SITEPROP SLICE_X11Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y28 IS_PAD 0 SITEPROP SLICE_X11Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y28 IS_RESERVED 0 SITEPROP SLICE_X11Y28 IS_TEST 0 SITEPROP SLICE_X11Y28 IS_USED 0 SITEPROP SLICE_X11Y28 MANUAL_ROUTING SITEPROP SLICE_X11Y28 NAME SLICE_X11Y28 SITEPROP SLICE_X11Y28 NUM_ARCS 138 SITEPROP SLICE_X11Y28 NUM_BELS 32 SITEPROP SLICE_X11Y28 NUM_INPUTS 32 SITEPROP SLICE_X11Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y28 NUM_PINS 45 SITEPROP SLICE_X11Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y28 PROHIBIT 0 SITEPROP SLICE_X11Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y28 RPM_X 43 SITEPROP SLICE_X11Y28 RPM_Y 56 SITEPROP SLICE_X11Y28 SITE_PIPS SITEPROP SLICE_X11Y28 SITE_TYPE SLICEL SITEPROP SLICE_X11Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y29 CLASS site SITEPROP SLICE_X11Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y29 IS_BONDED 0 SITEPROP SLICE_X11Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y29 IS_PAD 0 SITEPROP SLICE_X11Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y29 IS_RESERVED 0 SITEPROP SLICE_X11Y29 IS_TEST 0 SITEPROP SLICE_X11Y29 IS_USED 0 SITEPROP SLICE_X11Y29 MANUAL_ROUTING SITEPROP SLICE_X11Y29 NAME SLICE_X11Y29 SITEPROP SLICE_X11Y29 NUM_ARCS 138 SITEPROP SLICE_X11Y29 NUM_BELS 32 SITEPROP SLICE_X11Y29 NUM_INPUTS 32 SITEPROP SLICE_X11Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y29 NUM_PINS 45 SITEPROP SLICE_X11Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y29 PROHIBIT 0 SITEPROP SLICE_X11Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y29 RPM_X 43 SITEPROP SLICE_X11Y29 RPM_Y 58 SITEPROP SLICE_X11Y29 SITE_PIPS SITEPROP SLICE_X11Y29 SITE_TYPE SLICEL SITEPROP SLICE_X11Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y30 CLASS site SITEPROP SLICE_X11Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y30 IS_BONDED 0 SITEPROP SLICE_X11Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y30 IS_PAD 0 SITEPROP SLICE_X11Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y30 IS_RESERVED 0 SITEPROP SLICE_X11Y30 IS_TEST 0 SITEPROP SLICE_X11Y30 IS_USED 0 SITEPROP SLICE_X11Y30 MANUAL_ROUTING SITEPROP SLICE_X11Y30 NAME SLICE_X11Y30 SITEPROP SLICE_X11Y30 NUM_ARCS 138 SITEPROP SLICE_X11Y30 NUM_BELS 32 SITEPROP SLICE_X11Y30 NUM_INPUTS 32 SITEPROP SLICE_X11Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y30 NUM_PINS 45 SITEPROP SLICE_X11Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y30 PROHIBIT 0 SITEPROP SLICE_X11Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y30 RPM_X 43 SITEPROP SLICE_X11Y30 RPM_Y 60 SITEPROP SLICE_X11Y30 SITE_PIPS SITEPROP SLICE_X11Y30 SITE_TYPE SLICEL SITEPROP SLICE_X11Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y31 CLASS site SITEPROP SLICE_X11Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y31 IS_BONDED 0 SITEPROP SLICE_X11Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y31 IS_PAD 0 SITEPROP SLICE_X11Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y31 IS_RESERVED 0 SITEPROP SLICE_X11Y31 IS_TEST 0 SITEPROP SLICE_X11Y31 IS_USED 0 SITEPROP SLICE_X11Y31 MANUAL_ROUTING SITEPROP SLICE_X11Y31 NAME SLICE_X11Y31 SITEPROP SLICE_X11Y31 NUM_ARCS 138 SITEPROP SLICE_X11Y31 NUM_BELS 32 SITEPROP SLICE_X11Y31 NUM_INPUTS 32 SITEPROP SLICE_X11Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y31 NUM_PINS 45 SITEPROP SLICE_X11Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y31 PROHIBIT 0 SITEPROP SLICE_X11Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y31 RPM_X 43 SITEPROP SLICE_X11Y31 RPM_Y 62 SITEPROP SLICE_X11Y31 SITE_PIPS SITEPROP SLICE_X11Y31 SITE_TYPE SLICEL SITEPROP SLICE_X11Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y32 CLASS site SITEPROP SLICE_X11Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y32 IS_BONDED 0 SITEPROP SLICE_X11Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y32 IS_PAD 0 SITEPROP SLICE_X11Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y32 IS_RESERVED 0 SITEPROP SLICE_X11Y32 IS_TEST 0 SITEPROP SLICE_X11Y32 IS_USED 0 SITEPROP SLICE_X11Y32 MANUAL_ROUTING SITEPROP SLICE_X11Y32 NAME SLICE_X11Y32 SITEPROP SLICE_X11Y32 NUM_ARCS 138 SITEPROP SLICE_X11Y32 NUM_BELS 32 SITEPROP SLICE_X11Y32 NUM_INPUTS 32 SITEPROP SLICE_X11Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y32 NUM_PINS 45 SITEPROP SLICE_X11Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y32 PROHIBIT 0 SITEPROP SLICE_X11Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y32 RPM_X 43 SITEPROP SLICE_X11Y32 RPM_Y 64 SITEPROP SLICE_X11Y32 SITE_PIPS SITEPROP SLICE_X11Y32 SITE_TYPE SLICEL SITEPROP SLICE_X11Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y33 CLASS site SITEPROP SLICE_X11Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y33 IS_BONDED 0 SITEPROP SLICE_X11Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y33 IS_PAD 0 SITEPROP SLICE_X11Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y33 IS_RESERVED 0 SITEPROP SLICE_X11Y33 IS_TEST 0 SITEPROP SLICE_X11Y33 IS_USED 0 SITEPROP SLICE_X11Y33 MANUAL_ROUTING SITEPROP SLICE_X11Y33 NAME SLICE_X11Y33 SITEPROP SLICE_X11Y33 NUM_ARCS 138 SITEPROP SLICE_X11Y33 NUM_BELS 32 SITEPROP SLICE_X11Y33 NUM_INPUTS 32 SITEPROP SLICE_X11Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y33 NUM_PINS 45 SITEPROP SLICE_X11Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y33 PROHIBIT 0 SITEPROP SLICE_X11Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y33 RPM_X 43 SITEPROP SLICE_X11Y33 RPM_Y 66 SITEPROP SLICE_X11Y33 SITE_PIPS SITEPROP SLICE_X11Y33 SITE_TYPE SLICEL SITEPROP SLICE_X11Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y34 CLASS site SITEPROP SLICE_X11Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y34 IS_BONDED 0 SITEPROP SLICE_X11Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y34 IS_PAD 0 SITEPROP SLICE_X11Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y34 IS_RESERVED 0 SITEPROP SLICE_X11Y34 IS_TEST 0 SITEPROP SLICE_X11Y34 IS_USED 0 SITEPROP SLICE_X11Y34 MANUAL_ROUTING SITEPROP SLICE_X11Y34 NAME SLICE_X11Y34 SITEPROP SLICE_X11Y34 NUM_ARCS 138 SITEPROP SLICE_X11Y34 NUM_BELS 32 SITEPROP SLICE_X11Y34 NUM_INPUTS 32 SITEPROP SLICE_X11Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y34 NUM_PINS 45 SITEPROP SLICE_X11Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y34 PROHIBIT 0 SITEPROP SLICE_X11Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y34 RPM_X 43 SITEPROP SLICE_X11Y34 RPM_Y 68 SITEPROP SLICE_X11Y34 SITE_PIPS SITEPROP SLICE_X11Y34 SITE_TYPE SLICEL SITEPROP SLICE_X11Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y35 CLASS site SITEPROP SLICE_X11Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y35 IS_BONDED 0 SITEPROP SLICE_X11Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y35 IS_PAD 0 SITEPROP SLICE_X11Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y35 IS_RESERVED 0 SITEPROP SLICE_X11Y35 IS_TEST 0 SITEPROP SLICE_X11Y35 IS_USED 0 SITEPROP SLICE_X11Y35 MANUAL_ROUTING SITEPROP SLICE_X11Y35 NAME SLICE_X11Y35 SITEPROP SLICE_X11Y35 NUM_ARCS 138 SITEPROP SLICE_X11Y35 NUM_BELS 32 SITEPROP SLICE_X11Y35 NUM_INPUTS 32 SITEPROP SLICE_X11Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y35 NUM_PINS 45 SITEPROP SLICE_X11Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y35 PROHIBIT 0 SITEPROP SLICE_X11Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y35 RPM_X 43 SITEPROP SLICE_X11Y35 RPM_Y 70 SITEPROP SLICE_X11Y35 SITE_PIPS SITEPROP SLICE_X11Y35 SITE_TYPE SLICEL SITEPROP SLICE_X11Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y36 CLASS site SITEPROP SLICE_X11Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y36 IS_BONDED 0 SITEPROP SLICE_X11Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y36 IS_PAD 0 SITEPROP SLICE_X11Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y36 IS_RESERVED 0 SITEPROP SLICE_X11Y36 IS_TEST 0 SITEPROP SLICE_X11Y36 IS_USED 0 SITEPROP SLICE_X11Y36 MANUAL_ROUTING SITEPROP SLICE_X11Y36 NAME SLICE_X11Y36 SITEPROP SLICE_X11Y36 NUM_ARCS 138 SITEPROP SLICE_X11Y36 NUM_BELS 32 SITEPROP SLICE_X11Y36 NUM_INPUTS 32 SITEPROP SLICE_X11Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y36 NUM_PINS 45 SITEPROP SLICE_X11Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y36 PROHIBIT 0 SITEPROP SLICE_X11Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y36 RPM_X 43 SITEPROP SLICE_X11Y36 RPM_Y 72 SITEPROP SLICE_X11Y36 SITE_PIPS SITEPROP SLICE_X11Y36 SITE_TYPE SLICEL SITEPROP SLICE_X11Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y37 CLASS site SITEPROP SLICE_X11Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y37 IS_BONDED 0 SITEPROP SLICE_X11Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y37 IS_PAD 0 SITEPROP SLICE_X11Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y37 IS_RESERVED 0 SITEPROP SLICE_X11Y37 IS_TEST 0 SITEPROP SLICE_X11Y37 IS_USED 0 SITEPROP SLICE_X11Y37 MANUAL_ROUTING SITEPROP SLICE_X11Y37 NAME SLICE_X11Y37 SITEPROP SLICE_X11Y37 NUM_ARCS 138 SITEPROP SLICE_X11Y37 NUM_BELS 32 SITEPROP SLICE_X11Y37 NUM_INPUTS 32 SITEPROP SLICE_X11Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y37 NUM_PINS 45 SITEPROP SLICE_X11Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y37 PROHIBIT 0 SITEPROP SLICE_X11Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y37 RPM_X 43 SITEPROP SLICE_X11Y37 RPM_Y 74 SITEPROP SLICE_X11Y37 SITE_PIPS SITEPROP SLICE_X11Y37 SITE_TYPE SLICEL SITEPROP SLICE_X11Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y38 CLASS site SITEPROP SLICE_X11Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y38 IS_BONDED 0 SITEPROP SLICE_X11Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y38 IS_PAD 0 SITEPROP SLICE_X11Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y38 IS_RESERVED 0 SITEPROP SLICE_X11Y38 IS_TEST 0 SITEPROP SLICE_X11Y38 IS_USED 0 SITEPROP SLICE_X11Y38 MANUAL_ROUTING SITEPROP SLICE_X11Y38 NAME SLICE_X11Y38 SITEPROP SLICE_X11Y38 NUM_ARCS 138 SITEPROP SLICE_X11Y38 NUM_BELS 32 SITEPROP SLICE_X11Y38 NUM_INPUTS 32 SITEPROP SLICE_X11Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y38 NUM_PINS 45 SITEPROP SLICE_X11Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y38 PROHIBIT 0 SITEPROP SLICE_X11Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y38 RPM_X 43 SITEPROP SLICE_X11Y38 RPM_Y 76 SITEPROP SLICE_X11Y38 SITE_PIPS SITEPROP SLICE_X11Y38 SITE_TYPE SLICEL SITEPROP SLICE_X11Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y39 CLASS site SITEPROP SLICE_X11Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y39 IS_BONDED 0 SITEPROP SLICE_X11Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y39 IS_PAD 0 SITEPROP SLICE_X11Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y39 IS_RESERVED 0 SITEPROP SLICE_X11Y39 IS_TEST 0 SITEPROP SLICE_X11Y39 IS_USED 0 SITEPROP SLICE_X11Y39 MANUAL_ROUTING SITEPROP SLICE_X11Y39 NAME SLICE_X11Y39 SITEPROP SLICE_X11Y39 NUM_ARCS 138 SITEPROP SLICE_X11Y39 NUM_BELS 32 SITEPROP SLICE_X11Y39 NUM_INPUTS 32 SITEPROP SLICE_X11Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y39 NUM_PINS 45 SITEPROP SLICE_X11Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y39 PROHIBIT 0 SITEPROP SLICE_X11Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y39 RPM_X 43 SITEPROP SLICE_X11Y39 RPM_Y 78 SITEPROP SLICE_X11Y39 SITE_PIPS SITEPROP SLICE_X11Y39 SITE_TYPE SLICEL SITEPROP SLICE_X11Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y40 CLASS site SITEPROP SLICE_X11Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y40 IS_BONDED 0 SITEPROP SLICE_X11Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y40 IS_PAD 0 SITEPROP SLICE_X11Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y40 IS_RESERVED 0 SITEPROP SLICE_X11Y40 IS_TEST 0 SITEPROP SLICE_X11Y40 IS_USED 0 SITEPROP SLICE_X11Y40 MANUAL_ROUTING SITEPROP SLICE_X11Y40 NAME SLICE_X11Y40 SITEPROP SLICE_X11Y40 NUM_ARCS 138 SITEPROP SLICE_X11Y40 NUM_BELS 32 SITEPROP SLICE_X11Y40 NUM_INPUTS 32 SITEPROP SLICE_X11Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y40 NUM_PINS 45 SITEPROP SLICE_X11Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y40 PROHIBIT 0 SITEPROP SLICE_X11Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y40 RPM_X 43 SITEPROP SLICE_X11Y40 RPM_Y 80 SITEPROP SLICE_X11Y40 SITE_PIPS SITEPROP SLICE_X11Y40 SITE_TYPE SLICEL SITEPROP SLICE_X11Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y41 CLASS site SITEPROP SLICE_X11Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y41 IS_BONDED 0 SITEPROP SLICE_X11Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y41 IS_PAD 0 SITEPROP SLICE_X11Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y41 IS_RESERVED 0 SITEPROP SLICE_X11Y41 IS_TEST 0 SITEPROP SLICE_X11Y41 IS_USED 0 SITEPROP SLICE_X11Y41 MANUAL_ROUTING SITEPROP SLICE_X11Y41 NAME SLICE_X11Y41 SITEPROP SLICE_X11Y41 NUM_ARCS 138 SITEPROP SLICE_X11Y41 NUM_BELS 32 SITEPROP SLICE_X11Y41 NUM_INPUTS 32 SITEPROP SLICE_X11Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y41 NUM_PINS 45 SITEPROP SLICE_X11Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y41 PROHIBIT 0 SITEPROP SLICE_X11Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y41 RPM_X 43 SITEPROP SLICE_X11Y41 RPM_Y 82 SITEPROP SLICE_X11Y41 SITE_PIPS SITEPROP SLICE_X11Y41 SITE_TYPE SLICEL SITEPROP SLICE_X11Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y42 CLASS site SITEPROP SLICE_X11Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y42 IS_BONDED 0 SITEPROP SLICE_X11Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y42 IS_PAD 0 SITEPROP SLICE_X11Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y42 IS_RESERVED 0 SITEPROP SLICE_X11Y42 IS_TEST 0 SITEPROP SLICE_X11Y42 IS_USED 0 SITEPROP SLICE_X11Y42 MANUAL_ROUTING SITEPROP SLICE_X11Y42 NAME SLICE_X11Y42 SITEPROP SLICE_X11Y42 NUM_ARCS 138 SITEPROP SLICE_X11Y42 NUM_BELS 32 SITEPROP SLICE_X11Y42 NUM_INPUTS 32 SITEPROP SLICE_X11Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y42 NUM_PINS 45 SITEPROP SLICE_X11Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y42 PROHIBIT 0 SITEPROP SLICE_X11Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y42 RPM_X 43 SITEPROP SLICE_X11Y42 RPM_Y 84 SITEPROP SLICE_X11Y42 SITE_PIPS SITEPROP SLICE_X11Y42 SITE_TYPE SLICEL SITEPROP SLICE_X11Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y43 CLASS site SITEPROP SLICE_X11Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y43 IS_BONDED 0 SITEPROP SLICE_X11Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y43 IS_PAD 0 SITEPROP SLICE_X11Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y43 IS_RESERVED 0 SITEPROP SLICE_X11Y43 IS_TEST 0 SITEPROP SLICE_X11Y43 IS_USED 0 SITEPROP SLICE_X11Y43 MANUAL_ROUTING SITEPROP SLICE_X11Y43 NAME SLICE_X11Y43 SITEPROP SLICE_X11Y43 NUM_ARCS 138 SITEPROP SLICE_X11Y43 NUM_BELS 32 SITEPROP SLICE_X11Y43 NUM_INPUTS 32 SITEPROP SLICE_X11Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y43 NUM_PINS 45 SITEPROP SLICE_X11Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y43 PROHIBIT 0 SITEPROP SLICE_X11Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y43 RPM_X 43 SITEPROP SLICE_X11Y43 RPM_Y 86 SITEPROP SLICE_X11Y43 SITE_PIPS SITEPROP SLICE_X11Y43 SITE_TYPE SLICEL SITEPROP SLICE_X11Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y44 CLASS site SITEPROP SLICE_X11Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y44 IS_BONDED 0 SITEPROP SLICE_X11Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y44 IS_PAD 0 SITEPROP SLICE_X11Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y44 IS_RESERVED 0 SITEPROP SLICE_X11Y44 IS_TEST 0 SITEPROP SLICE_X11Y44 IS_USED 0 SITEPROP SLICE_X11Y44 MANUAL_ROUTING SITEPROP SLICE_X11Y44 NAME SLICE_X11Y44 SITEPROP SLICE_X11Y44 NUM_ARCS 138 SITEPROP SLICE_X11Y44 NUM_BELS 32 SITEPROP SLICE_X11Y44 NUM_INPUTS 32 SITEPROP SLICE_X11Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y44 NUM_PINS 45 SITEPROP SLICE_X11Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y44 PROHIBIT 0 SITEPROP SLICE_X11Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y44 RPM_X 43 SITEPROP SLICE_X11Y44 RPM_Y 88 SITEPROP SLICE_X11Y44 SITE_PIPS SITEPROP SLICE_X11Y44 SITE_TYPE SLICEL SITEPROP SLICE_X11Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y45 CLASS site SITEPROP SLICE_X11Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y45 IS_BONDED 0 SITEPROP SLICE_X11Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y45 IS_PAD 0 SITEPROP SLICE_X11Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y45 IS_RESERVED 0 SITEPROP SLICE_X11Y45 IS_TEST 0 SITEPROP SLICE_X11Y45 IS_USED 0 SITEPROP SLICE_X11Y45 MANUAL_ROUTING SITEPROP SLICE_X11Y45 NAME SLICE_X11Y45 SITEPROP SLICE_X11Y45 NUM_ARCS 138 SITEPROP SLICE_X11Y45 NUM_BELS 32 SITEPROP SLICE_X11Y45 NUM_INPUTS 32 SITEPROP SLICE_X11Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y45 NUM_PINS 45 SITEPROP SLICE_X11Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y45 PROHIBIT 0 SITEPROP SLICE_X11Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y45 RPM_X 43 SITEPROP SLICE_X11Y45 RPM_Y 90 SITEPROP SLICE_X11Y45 SITE_PIPS SITEPROP SLICE_X11Y45 SITE_TYPE SLICEL SITEPROP SLICE_X11Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y46 CLASS site SITEPROP SLICE_X11Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y46 IS_BONDED 0 SITEPROP SLICE_X11Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y46 IS_PAD 0 SITEPROP SLICE_X11Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y46 IS_RESERVED 0 SITEPROP SLICE_X11Y46 IS_TEST 0 SITEPROP SLICE_X11Y46 IS_USED 0 SITEPROP SLICE_X11Y46 MANUAL_ROUTING SITEPROP SLICE_X11Y46 NAME SLICE_X11Y46 SITEPROP SLICE_X11Y46 NUM_ARCS 138 SITEPROP SLICE_X11Y46 NUM_BELS 32 SITEPROP SLICE_X11Y46 NUM_INPUTS 32 SITEPROP SLICE_X11Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y46 NUM_PINS 45 SITEPROP SLICE_X11Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y46 PROHIBIT 0 SITEPROP SLICE_X11Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y46 RPM_X 43 SITEPROP SLICE_X11Y46 RPM_Y 92 SITEPROP SLICE_X11Y46 SITE_PIPS SITEPROP SLICE_X11Y46 SITE_TYPE SLICEL SITEPROP SLICE_X11Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y47 CLASS site SITEPROP SLICE_X11Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y47 IS_BONDED 0 SITEPROP SLICE_X11Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y47 IS_PAD 0 SITEPROP SLICE_X11Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y47 IS_RESERVED 0 SITEPROP SLICE_X11Y47 IS_TEST 0 SITEPROP SLICE_X11Y47 IS_USED 0 SITEPROP SLICE_X11Y47 MANUAL_ROUTING SITEPROP SLICE_X11Y47 NAME SLICE_X11Y47 SITEPROP SLICE_X11Y47 NUM_ARCS 138 SITEPROP SLICE_X11Y47 NUM_BELS 32 SITEPROP SLICE_X11Y47 NUM_INPUTS 32 SITEPROP SLICE_X11Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y47 NUM_PINS 45 SITEPROP SLICE_X11Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y47 PROHIBIT 0 SITEPROP SLICE_X11Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y47 RPM_X 43 SITEPROP SLICE_X11Y47 RPM_Y 94 SITEPROP SLICE_X11Y47 SITE_PIPS SITEPROP SLICE_X11Y47 SITE_TYPE SLICEL SITEPROP SLICE_X11Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y48 CLASS site SITEPROP SLICE_X11Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y48 IS_BONDED 0 SITEPROP SLICE_X11Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y48 IS_PAD 0 SITEPROP SLICE_X11Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y48 IS_RESERVED 0 SITEPROP SLICE_X11Y48 IS_TEST 0 SITEPROP SLICE_X11Y48 IS_USED 0 SITEPROP SLICE_X11Y48 MANUAL_ROUTING SITEPROP SLICE_X11Y48 NAME SLICE_X11Y48 SITEPROP SLICE_X11Y48 NUM_ARCS 138 SITEPROP SLICE_X11Y48 NUM_BELS 32 SITEPROP SLICE_X11Y48 NUM_INPUTS 32 SITEPROP SLICE_X11Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y48 NUM_PINS 45 SITEPROP SLICE_X11Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y48 PROHIBIT 0 SITEPROP SLICE_X11Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y48 RPM_X 43 SITEPROP SLICE_X11Y48 RPM_Y 96 SITEPROP SLICE_X11Y48 SITE_PIPS SITEPROP SLICE_X11Y48 SITE_TYPE SLICEL SITEPROP SLICE_X11Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y49 CLASS site SITEPROP SLICE_X11Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X11Y49 IS_BONDED 0 SITEPROP SLICE_X11Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y49 IS_PAD 0 SITEPROP SLICE_X11Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y49 IS_RESERVED 0 SITEPROP SLICE_X11Y49 IS_TEST 0 SITEPROP SLICE_X11Y49 IS_USED 0 SITEPROP SLICE_X11Y49 MANUAL_ROUTING SITEPROP SLICE_X11Y49 NAME SLICE_X11Y49 SITEPROP SLICE_X11Y49 NUM_ARCS 138 SITEPROP SLICE_X11Y49 NUM_BELS 32 SITEPROP SLICE_X11Y49 NUM_INPUTS 32 SITEPROP SLICE_X11Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y49 NUM_PINS 45 SITEPROP SLICE_X11Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y49 PROHIBIT 0 SITEPROP SLICE_X11Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y49 RPM_X 43 SITEPROP SLICE_X11Y49 RPM_Y 98 SITEPROP SLICE_X11Y49 SITE_PIPS SITEPROP SLICE_X11Y49 SITE_TYPE SLICEL SITEPROP SLICE_X11Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y50 CLASS site SITEPROP SLICE_X11Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y50 IS_BONDED 0 SITEPROP SLICE_X11Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y50 IS_PAD 0 SITEPROP SLICE_X11Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y50 IS_RESERVED 0 SITEPROP SLICE_X11Y50 IS_TEST 0 SITEPROP SLICE_X11Y50 IS_USED 0 SITEPROP SLICE_X11Y50 MANUAL_ROUTING SITEPROP SLICE_X11Y50 NAME SLICE_X11Y50 SITEPROP SLICE_X11Y50 NUM_ARCS 138 SITEPROP SLICE_X11Y50 NUM_BELS 32 SITEPROP SLICE_X11Y50 NUM_INPUTS 32 SITEPROP SLICE_X11Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y50 NUM_PINS 45 SITEPROP SLICE_X11Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y50 PROHIBIT 0 SITEPROP SLICE_X11Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y50 RPM_X 43 SITEPROP SLICE_X11Y50 RPM_Y 100 SITEPROP SLICE_X11Y50 SITE_PIPS SITEPROP SLICE_X11Y50 SITE_TYPE SLICEL SITEPROP SLICE_X11Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y51 CLASS site SITEPROP SLICE_X11Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y51 IS_BONDED 0 SITEPROP SLICE_X11Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y51 IS_PAD 0 SITEPROP SLICE_X11Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y51 IS_RESERVED 0 SITEPROP SLICE_X11Y51 IS_TEST 0 SITEPROP SLICE_X11Y51 IS_USED 0 SITEPROP SLICE_X11Y51 MANUAL_ROUTING SITEPROP SLICE_X11Y51 NAME SLICE_X11Y51 SITEPROP SLICE_X11Y51 NUM_ARCS 138 SITEPROP SLICE_X11Y51 NUM_BELS 32 SITEPROP SLICE_X11Y51 NUM_INPUTS 32 SITEPROP SLICE_X11Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y51 NUM_PINS 45 SITEPROP SLICE_X11Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y51 PROHIBIT 0 SITEPROP SLICE_X11Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y51 RPM_X 43 SITEPROP SLICE_X11Y51 RPM_Y 102 SITEPROP SLICE_X11Y51 SITE_PIPS SITEPROP SLICE_X11Y51 SITE_TYPE SLICEL SITEPROP SLICE_X11Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y52 CLASS site SITEPROP SLICE_X11Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y52 IS_BONDED 0 SITEPROP SLICE_X11Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y52 IS_PAD 0 SITEPROP SLICE_X11Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y52 IS_RESERVED 0 SITEPROP SLICE_X11Y52 IS_TEST 0 SITEPROP SLICE_X11Y52 IS_USED 0 SITEPROP SLICE_X11Y52 MANUAL_ROUTING SITEPROP SLICE_X11Y52 NAME SLICE_X11Y52 SITEPROP SLICE_X11Y52 NUM_ARCS 138 SITEPROP SLICE_X11Y52 NUM_BELS 32 SITEPROP SLICE_X11Y52 NUM_INPUTS 32 SITEPROP SLICE_X11Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y52 NUM_PINS 45 SITEPROP SLICE_X11Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y52 PROHIBIT 0 SITEPROP SLICE_X11Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y52 RPM_X 43 SITEPROP SLICE_X11Y52 RPM_Y 104 SITEPROP SLICE_X11Y52 SITE_PIPS SITEPROP SLICE_X11Y52 SITE_TYPE SLICEL SITEPROP SLICE_X11Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y53 CLASS site SITEPROP SLICE_X11Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y53 IS_BONDED 0 SITEPROP SLICE_X11Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y53 IS_PAD 0 SITEPROP SLICE_X11Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y53 IS_RESERVED 0 SITEPROP SLICE_X11Y53 IS_TEST 0 SITEPROP SLICE_X11Y53 IS_USED 0 SITEPROP SLICE_X11Y53 MANUAL_ROUTING SITEPROP SLICE_X11Y53 NAME SLICE_X11Y53 SITEPROP SLICE_X11Y53 NUM_ARCS 138 SITEPROP SLICE_X11Y53 NUM_BELS 32 SITEPROP SLICE_X11Y53 NUM_INPUTS 32 SITEPROP SLICE_X11Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y53 NUM_PINS 45 SITEPROP SLICE_X11Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y53 PROHIBIT 0 SITEPROP SLICE_X11Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y53 RPM_X 43 SITEPROP SLICE_X11Y53 RPM_Y 106 SITEPROP SLICE_X11Y53 SITE_PIPS SITEPROP SLICE_X11Y53 SITE_TYPE SLICEL SITEPROP SLICE_X11Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y54 CLASS site SITEPROP SLICE_X11Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y54 IS_BONDED 0 SITEPROP SLICE_X11Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y54 IS_PAD 0 SITEPROP SLICE_X11Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y54 IS_RESERVED 0 SITEPROP SLICE_X11Y54 IS_TEST 0 SITEPROP SLICE_X11Y54 IS_USED 0 SITEPROP SLICE_X11Y54 MANUAL_ROUTING SITEPROP SLICE_X11Y54 NAME SLICE_X11Y54 SITEPROP SLICE_X11Y54 NUM_ARCS 138 SITEPROP SLICE_X11Y54 NUM_BELS 32 SITEPROP SLICE_X11Y54 NUM_INPUTS 32 SITEPROP SLICE_X11Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y54 NUM_PINS 45 SITEPROP SLICE_X11Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y54 PROHIBIT 0 SITEPROP SLICE_X11Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y54 RPM_X 43 SITEPROP SLICE_X11Y54 RPM_Y 108 SITEPROP SLICE_X11Y54 SITE_PIPS SITEPROP SLICE_X11Y54 SITE_TYPE SLICEL SITEPROP SLICE_X11Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y55 CLASS site SITEPROP SLICE_X11Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y55 IS_BONDED 0 SITEPROP SLICE_X11Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y55 IS_PAD 0 SITEPROP SLICE_X11Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y55 IS_RESERVED 0 SITEPROP SLICE_X11Y55 IS_TEST 0 SITEPROP SLICE_X11Y55 IS_USED 0 SITEPROP SLICE_X11Y55 MANUAL_ROUTING SITEPROP SLICE_X11Y55 NAME SLICE_X11Y55 SITEPROP SLICE_X11Y55 NUM_ARCS 138 SITEPROP SLICE_X11Y55 NUM_BELS 32 SITEPROP SLICE_X11Y55 NUM_INPUTS 32 SITEPROP SLICE_X11Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y55 NUM_PINS 45 SITEPROP SLICE_X11Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y55 PROHIBIT 0 SITEPROP SLICE_X11Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y55 RPM_X 43 SITEPROP SLICE_X11Y55 RPM_Y 110 SITEPROP SLICE_X11Y55 SITE_PIPS SITEPROP SLICE_X11Y55 SITE_TYPE SLICEL SITEPROP SLICE_X11Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y56 CLASS site SITEPROP SLICE_X11Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y56 IS_BONDED 0 SITEPROP SLICE_X11Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y56 IS_PAD 0 SITEPROP SLICE_X11Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y56 IS_RESERVED 0 SITEPROP SLICE_X11Y56 IS_TEST 0 SITEPROP SLICE_X11Y56 IS_USED 0 SITEPROP SLICE_X11Y56 MANUAL_ROUTING SITEPROP SLICE_X11Y56 NAME SLICE_X11Y56 SITEPROP SLICE_X11Y56 NUM_ARCS 138 SITEPROP SLICE_X11Y56 NUM_BELS 32 SITEPROP SLICE_X11Y56 NUM_INPUTS 32 SITEPROP SLICE_X11Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y56 NUM_PINS 45 SITEPROP SLICE_X11Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y56 PROHIBIT 0 SITEPROP SLICE_X11Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y56 RPM_X 43 SITEPROP SLICE_X11Y56 RPM_Y 112 SITEPROP SLICE_X11Y56 SITE_PIPS SITEPROP SLICE_X11Y56 SITE_TYPE SLICEL SITEPROP SLICE_X11Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y57 CLASS site SITEPROP SLICE_X11Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y57 IS_BONDED 0 SITEPROP SLICE_X11Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y57 IS_PAD 0 SITEPROP SLICE_X11Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y57 IS_RESERVED 0 SITEPROP SLICE_X11Y57 IS_TEST 0 SITEPROP SLICE_X11Y57 IS_USED 0 SITEPROP SLICE_X11Y57 MANUAL_ROUTING SITEPROP SLICE_X11Y57 NAME SLICE_X11Y57 SITEPROP SLICE_X11Y57 NUM_ARCS 138 SITEPROP SLICE_X11Y57 NUM_BELS 32 SITEPROP SLICE_X11Y57 NUM_INPUTS 32 SITEPROP SLICE_X11Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y57 NUM_PINS 45 SITEPROP SLICE_X11Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y57 PROHIBIT 0 SITEPROP SLICE_X11Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y57 RPM_X 43 SITEPROP SLICE_X11Y57 RPM_Y 114 SITEPROP SLICE_X11Y57 SITE_PIPS SITEPROP SLICE_X11Y57 SITE_TYPE SLICEL SITEPROP SLICE_X11Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y58 CLASS site SITEPROP SLICE_X11Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y58 IS_BONDED 0 SITEPROP SLICE_X11Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y58 IS_PAD 0 SITEPROP SLICE_X11Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y58 IS_RESERVED 0 SITEPROP SLICE_X11Y58 IS_TEST 0 SITEPROP SLICE_X11Y58 IS_USED 0 SITEPROP SLICE_X11Y58 MANUAL_ROUTING SITEPROP SLICE_X11Y58 NAME SLICE_X11Y58 SITEPROP SLICE_X11Y58 NUM_ARCS 138 SITEPROP SLICE_X11Y58 NUM_BELS 32 SITEPROP SLICE_X11Y58 NUM_INPUTS 32 SITEPROP SLICE_X11Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y58 NUM_PINS 45 SITEPROP SLICE_X11Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y58 PROHIBIT 0 SITEPROP SLICE_X11Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y58 RPM_X 43 SITEPROP SLICE_X11Y58 RPM_Y 116 SITEPROP SLICE_X11Y58 SITE_PIPS SITEPROP SLICE_X11Y58 SITE_TYPE SLICEL SITEPROP SLICE_X11Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y59 CLASS site SITEPROP SLICE_X11Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y59 IS_BONDED 0 SITEPROP SLICE_X11Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y59 IS_PAD 0 SITEPROP SLICE_X11Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y59 IS_RESERVED 0 SITEPROP SLICE_X11Y59 IS_TEST 0 SITEPROP SLICE_X11Y59 IS_USED 0 SITEPROP SLICE_X11Y59 MANUAL_ROUTING SITEPROP SLICE_X11Y59 NAME SLICE_X11Y59 SITEPROP SLICE_X11Y59 NUM_ARCS 138 SITEPROP SLICE_X11Y59 NUM_BELS 32 SITEPROP SLICE_X11Y59 NUM_INPUTS 32 SITEPROP SLICE_X11Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y59 NUM_PINS 45 SITEPROP SLICE_X11Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y59 PROHIBIT 0 SITEPROP SLICE_X11Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y59 RPM_X 43 SITEPROP SLICE_X11Y59 RPM_Y 118 SITEPROP SLICE_X11Y59 SITE_PIPS SITEPROP SLICE_X11Y59 SITE_TYPE SLICEL SITEPROP SLICE_X11Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y60 CLASS site SITEPROP SLICE_X11Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y60 IS_BONDED 0 SITEPROP SLICE_X11Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y60 IS_PAD 0 SITEPROP SLICE_X11Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y60 IS_RESERVED 0 SITEPROP SLICE_X11Y60 IS_TEST 0 SITEPROP SLICE_X11Y60 IS_USED 0 SITEPROP SLICE_X11Y60 MANUAL_ROUTING SITEPROP SLICE_X11Y60 NAME SLICE_X11Y60 SITEPROP SLICE_X11Y60 NUM_ARCS 138 SITEPROP SLICE_X11Y60 NUM_BELS 32 SITEPROP SLICE_X11Y60 NUM_INPUTS 32 SITEPROP SLICE_X11Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y60 NUM_PINS 45 SITEPROP SLICE_X11Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y60 PROHIBIT 0 SITEPROP SLICE_X11Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y60 RPM_X 43 SITEPROP SLICE_X11Y60 RPM_Y 120 SITEPROP SLICE_X11Y60 SITE_PIPS SITEPROP SLICE_X11Y60 SITE_TYPE SLICEL SITEPROP SLICE_X11Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y61 CLASS site SITEPROP SLICE_X11Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y61 IS_BONDED 0 SITEPROP SLICE_X11Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y61 IS_PAD 0 SITEPROP SLICE_X11Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y61 IS_RESERVED 0 SITEPROP SLICE_X11Y61 IS_TEST 0 SITEPROP SLICE_X11Y61 IS_USED 0 SITEPROP SLICE_X11Y61 MANUAL_ROUTING SITEPROP SLICE_X11Y61 NAME SLICE_X11Y61 SITEPROP SLICE_X11Y61 NUM_ARCS 138 SITEPROP SLICE_X11Y61 NUM_BELS 32 SITEPROP SLICE_X11Y61 NUM_INPUTS 32 SITEPROP SLICE_X11Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y61 NUM_PINS 45 SITEPROP SLICE_X11Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y61 PROHIBIT 0 SITEPROP SLICE_X11Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y61 RPM_X 43 SITEPROP SLICE_X11Y61 RPM_Y 122 SITEPROP SLICE_X11Y61 SITE_PIPS SITEPROP SLICE_X11Y61 SITE_TYPE SLICEL SITEPROP SLICE_X11Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y62 CLASS site SITEPROP SLICE_X11Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y62 IS_BONDED 0 SITEPROP SLICE_X11Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y62 IS_PAD 0 SITEPROP SLICE_X11Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y62 IS_RESERVED 0 SITEPROP SLICE_X11Y62 IS_TEST 0 SITEPROP SLICE_X11Y62 IS_USED 0 SITEPROP SLICE_X11Y62 MANUAL_ROUTING SITEPROP SLICE_X11Y62 NAME SLICE_X11Y62 SITEPROP SLICE_X11Y62 NUM_ARCS 138 SITEPROP SLICE_X11Y62 NUM_BELS 32 SITEPROP SLICE_X11Y62 NUM_INPUTS 32 SITEPROP SLICE_X11Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y62 NUM_PINS 45 SITEPROP SLICE_X11Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y62 PROHIBIT 0 SITEPROP SLICE_X11Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y62 RPM_X 43 SITEPROP SLICE_X11Y62 RPM_Y 124 SITEPROP SLICE_X11Y62 SITE_PIPS SITEPROP SLICE_X11Y62 SITE_TYPE SLICEL SITEPROP SLICE_X11Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y63 CLASS site SITEPROP SLICE_X11Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y63 IS_BONDED 0 SITEPROP SLICE_X11Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y63 IS_PAD 0 SITEPROP SLICE_X11Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y63 IS_RESERVED 0 SITEPROP SLICE_X11Y63 IS_TEST 0 SITEPROP SLICE_X11Y63 IS_USED 0 SITEPROP SLICE_X11Y63 MANUAL_ROUTING SITEPROP SLICE_X11Y63 NAME SLICE_X11Y63 SITEPROP SLICE_X11Y63 NUM_ARCS 138 SITEPROP SLICE_X11Y63 NUM_BELS 32 SITEPROP SLICE_X11Y63 NUM_INPUTS 32 SITEPROP SLICE_X11Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y63 NUM_PINS 45 SITEPROP SLICE_X11Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y63 PROHIBIT 0 SITEPROP SLICE_X11Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y63 RPM_X 43 SITEPROP SLICE_X11Y63 RPM_Y 126 SITEPROP SLICE_X11Y63 SITE_PIPS SITEPROP SLICE_X11Y63 SITE_TYPE SLICEL SITEPROP SLICE_X11Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y64 CLASS site SITEPROP SLICE_X11Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y64 IS_BONDED 0 SITEPROP SLICE_X11Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y64 IS_PAD 0 SITEPROP SLICE_X11Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y64 IS_RESERVED 0 SITEPROP SLICE_X11Y64 IS_TEST 0 SITEPROP SLICE_X11Y64 IS_USED 0 SITEPROP SLICE_X11Y64 MANUAL_ROUTING SITEPROP SLICE_X11Y64 NAME SLICE_X11Y64 SITEPROP SLICE_X11Y64 NUM_ARCS 138 SITEPROP SLICE_X11Y64 NUM_BELS 32 SITEPROP SLICE_X11Y64 NUM_INPUTS 32 SITEPROP SLICE_X11Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y64 NUM_PINS 45 SITEPROP SLICE_X11Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y64 PROHIBIT 0 SITEPROP SLICE_X11Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y64 RPM_X 43 SITEPROP SLICE_X11Y64 RPM_Y 128 SITEPROP SLICE_X11Y64 SITE_PIPS SITEPROP SLICE_X11Y64 SITE_TYPE SLICEL SITEPROP SLICE_X11Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y65 CLASS site SITEPROP SLICE_X11Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y65 IS_BONDED 0 SITEPROP SLICE_X11Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y65 IS_PAD 0 SITEPROP SLICE_X11Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y65 IS_RESERVED 0 SITEPROP SLICE_X11Y65 IS_TEST 0 SITEPROP SLICE_X11Y65 IS_USED 0 SITEPROP SLICE_X11Y65 MANUAL_ROUTING SITEPROP SLICE_X11Y65 NAME SLICE_X11Y65 SITEPROP SLICE_X11Y65 NUM_ARCS 138 SITEPROP SLICE_X11Y65 NUM_BELS 32 SITEPROP SLICE_X11Y65 NUM_INPUTS 32 SITEPROP SLICE_X11Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y65 NUM_PINS 45 SITEPROP SLICE_X11Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y65 PROHIBIT 0 SITEPROP SLICE_X11Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y65 RPM_X 43 SITEPROP SLICE_X11Y65 RPM_Y 130 SITEPROP SLICE_X11Y65 SITE_PIPS SITEPROP SLICE_X11Y65 SITE_TYPE SLICEL SITEPROP SLICE_X11Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y66 CLASS site SITEPROP SLICE_X11Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y66 IS_BONDED 0 SITEPROP SLICE_X11Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y66 IS_PAD 0 SITEPROP SLICE_X11Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y66 IS_RESERVED 0 SITEPROP SLICE_X11Y66 IS_TEST 0 SITEPROP SLICE_X11Y66 IS_USED 0 SITEPROP SLICE_X11Y66 MANUAL_ROUTING SITEPROP SLICE_X11Y66 NAME SLICE_X11Y66 SITEPROP SLICE_X11Y66 NUM_ARCS 138 SITEPROP SLICE_X11Y66 NUM_BELS 32 SITEPROP SLICE_X11Y66 NUM_INPUTS 32 SITEPROP SLICE_X11Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y66 NUM_PINS 45 SITEPROP SLICE_X11Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y66 PROHIBIT 0 SITEPROP SLICE_X11Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y66 RPM_X 43 SITEPROP SLICE_X11Y66 RPM_Y 132 SITEPROP SLICE_X11Y66 SITE_PIPS SITEPROP SLICE_X11Y66 SITE_TYPE SLICEL SITEPROP SLICE_X11Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y67 CLASS site SITEPROP SLICE_X11Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y67 IS_BONDED 0 SITEPROP SLICE_X11Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y67 IS_PAD 0 SITEPROP SLICE_X11Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y67 IS_RESERVED 0 SITEPROP SLICE_X11Y67 IS_TEST 0 SITEPROP SLICE_X11Y67 IS_USED 0 SITEPROP SLICE_X11Y67 MANUAL_ROUTING SITEPROP SLICE_X11Y67 NAME SLICE_X11Y67 SITEPROP SLICE_X11Y67 NUM_ARCS 138 SITEPROP SLICE_X11Y67 NUM_BELS 32 SITEPROP SLICE_X11Y67 NUM_INPUTS 32 SITEPROP SLICE_X11Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y67 NUM_PINS 45 SITEPROP SLICE_X11Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y67 PROHIBIT 0 SITEPROP SLICE_X11Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y67 RPM_X 43 SITEPROP SLICE_X11Y67 RPM_Y 134 SITEPROP SLICE_X11Y67 SITE_PIPS SITEPROP SLICE_X11Y67 SITE_TYPE SLICEL SITEPROP SLICE_X11Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y68 CLASS site SITEPROP SLICE_X11Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y68 IS_BONDED 0 SITEPROP SLICE_X11Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y68 IS_PAD 0 SITEPROP SLICE_X11Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y68 IS_RESERVED 0 SITEPROP SLICE_X11Y68 IS_TEST 0 SITEPROP SLICE_X11Y68 IS_USED 0 SITEPROP SLICE_X11Y68 MANUAL_ROUTING SITEPROP SLICE_X11Y68 NAME SLICE_X11Y68 SITEPROP SLICE_X11Y68 NUM_ARCS 138 SITEPROP SLICE_X11Y68 NUM_BELS 32 SITEPROP SLICE_X11Y68 NUM_INPUTS 32 SITEPROP SLICE_X11Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y68 NUM_PINS 45 SITEPROP SLICE_X11Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y68 PROHIBIT 0 SITEPROP SLICE_X11Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y68 RPM_X 43 SITEPROP SLICE_X11Y68 RPM_Y 136 SITEPROP SLICE_X11Y68 SITE_PIPS SITEPROP SLICE_X11Y68 SITE_TYPE SLICEL SITEPROP SLICE_X11Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y69 CLASS site SITEPROP SLICE_X11Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y69 IS_BONDED 0 SITEPROP SLICE_X11Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y69 IS_PAD 0 SITEPROP SLICE_X11Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y69 IS_RESERVED 0 SITEPROP SLICE_X11Y69 IS_TEST 0 SITEPROP SLICE_X11Y69 IS_USED 0 SITEPROP SLICE_X11Y69 MANUAL_ROUTING SITEPROP SLICE_X11Y69 NAME SLICE_X11Y69 SITEPROP SLICE_X11Y69 NUM_ARCS 138 SITEPROP SLICE_X11Y69 NUM_BELS 32 SITEPROP SLICE_X11Y69 NUM_INPUTS 32 SITEPROP SLICE_X11Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y69 NUM_PINS 45 SITEPROP SLICE_X11Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y69 PROHIBIT 0 SITEPROP SLICE_X11Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y69 RPM_X 43 SITEPROP SLICE_X11Y69 RPM_Y 138 SITEPROP SLICE_X11Y69 SITE_PIPS SITEPROP SLICE_X11Y69 SITE_TYPE SLICEL SITEPROP SLICE_X11Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y70 CLASS site SITEPROP SLICE_X11Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y70 IS_BONDED 0 SITEPROP SLICE_X11Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y70 IS_PAD 0 SITEPROP SLICE_X11Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y70 IS_RESERVED 0 SITEPROP SLICE_X11Y70 IS_TEST 0 SITEPROP SLICE_X11Y70 IS_USED 0 SITEPROP SLICE_X11Y70 MANUAL_ROUTING SITEPROP SLICE_X11Y70 NAME SLICE_X11Y70 SITEPROP SLICE_X11Y70 NUM_ARCS 138 SITEPROP SLICE_X11Y70 NUM_BELS 32 SITEPROP SLICE_X11Y70 NUM_INPUTS 32 SITEPROP SLICE_X11Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y70 NUM_PINS 45 SITEPROP SLICE_X11Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y70 PROHIBIT 0 SITEPROP SLICE_X11Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y70 RPM_X 43 SITEPROP SLICE_X11Y70 RPM_Y 140 SITEPROP SLICE_X11Y70 SITE_PIPS SITEPROP SLICE_X11Y70 SITE_TYPE SLICEL SITEPROP SLICE_X11Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y71 CLASS site SITEPROP SLICE_X11Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y71 IS_BONDED 0 SITEPROP SLICE_X11Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y71 IS_PAD 0 SITEPROP SLICE_X11Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y71 IS_RESERVED 0 SITEPROP SLICE_X11Y71 IS_TEST 0 SITEPROP SLICE_X11Y71 IS_USED 0 SITEPROP SLICE_X11Y71 MANUAL_ROUTING SITEPROP SLICE_X11Y71 NAME SLICE_X11Y71 SITEPROP SLICE_X11Y71 NUM_ARCS 138 SITEPROP SLICE_X11Y71 NUM_BELS 32 SITEPROP SLICE_X11Y71 NUM_INPUTS 32 SITEPROP SLICE_X11Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y71 NUM_PINS 45 SITEPROP SLICE_X11Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y71 PROHIBIT 0 SITEPROP SLICE_X11Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y71 RPM_X 43 SITEPROP SLICE_X11Y71 RPM_Y 142 SITEPROP SLICE_X11Y71 SITE_PIPS SITEPROP SLICE_X11Y71 SITE_TYPE SLICEL SITEPROP SLICE_X11Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y72 CLASS site SITEPROP SLICE_X11Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y72 IS_BONDED 0 SITEPROP SLICE_X11Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y72 IS_PAD 0 SITEPROP SLICE_X11Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y72 IS_RESERVED 0 SITEPROP SLICE_X11Y72 IS_TEST 0 SITEPROP SLICE_X11Y72 IS_USED 0 SITEPROP SLICE_X11Y72 MANUAL_ROUTING SITEPROP SLICE_X11Y72 NAME SLICE_X11Y72 SITEPROP SLICE_X11Y72 NUM_ARCS 138 SITEPROP SLICE_X11Y72 NUM_BELS 32 SITEPROP SLICE_X11Y72 NUM_INPUTS 32 SITEPROP SLICE_X11Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y72 NUM_PINS 45 SITEPROP SLICE_X11Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y72 PROHIBIT 0 SITEPROP SLICE_X11Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y72 RPM_X 43 SITEPROP SLICE_X11Y72 RPM_Y 144 SITEPROP SLICE_X11Y72 SITE_PIPS SITEPROP SLICE_X11Y72 SITE_TYPE SLICEL SITEPROP SLICE_X11Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y73 CLASS site SITEPROP SLICE_X11Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y73 IS_BONDED 0 SITEPROP SLICE_X11Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y73 IS_PAD 0 SITEPROP SLICE_X11Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y73 IS_RESERVED 0 SITEPROP SLICE_X11Y73 IS_TEST 0 SITEPROP SLICE_X11Y73 IS_USED 0 SITEPROP SLICE_X11Y73 MANUAL_ROUTING SITEPROP SLICE_X11Y73 NAME SLICE_X11Y73 SITEPROP SLICE_X11Y73 NUM_ARCS 138 SITEPROP SLICE_X11Y73 NUM_BELS 32 SITEPROP SLICE_X11Y73 NUM_INPUTS 32 SITEPROP SLICE_X11Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y73 NUM_PINS 45 SITEPROP SLICE_X11Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y73 PROHIBIT 0 SITEPROP SLICE_X11Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y73 RPM_X 43 SITEPROP SLICE_X11Y73 RPM_Y 146 SITEPROP SLICE_X11Y73 SITE_PIPS SITEPROP SLICE_X11Y73 SITE_TYPE SLICEL SITEPROP SLICE_X11Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y74 CLASS site SITEPROP SLICE_X11Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y74 IS_BONDED 0 SITEPROP SLICE_X11Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y74 IS_PAD 0 SITEPROP SLICE_X11Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y74 IS_RESERVED 0 SITEPROP SLICE_X11Y74 IS_TEST 0 SITEPROP SLICE_X11Y74 IS_USED 0 SITEPROP SLICE_X11Y74 MANUAL_ROUTING SITEPROP SLICE_X11Y74 NAME SLICE_X11Y74 SITEPROP SLICE_X11Y74 NUM_ARCS 138 SITEPROP SLICE_X11Y74 NUM_BELS 32 SITEPROP SLICE_X11Y74 NUM_INPUTS 32 SITEPROP SLICE_X11Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y74 NUM_PINS 45 SITEPROP SLICE_X11Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y74 PROHIBIT 0 SITEPROP SLICE_X11Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y74 RPM_X 43 SITEPROP SLICE_X11Y74 RPM_Y 148 SITEPROP SLICE_X11Y74 SITE_PIPS SITEPROP SLICE_X11Y74 SITE_TYPE SLICEL SITEPROP SLICE_X11Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y75 CLASS site SITEPROP SLICE_X11Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y75 IS_BONDED 0 SITEPROP SLICE_X11Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y75 IS_PAD 0 SITEPROP SLICE_X11Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y75 IS_RESERVED 0 SITEPROP SLICE_X11Y75 IS_TEST 0 SITEPROP SLICE_X11Y75 IS_USED 0 SITEPROP SLICE_X11Y75 MANUAL_ROUTING SITEPROP SLICE_X11Y75 NAME SLICE_X11Y75 SITEPROP SLICE_X11Y75 NUM_ARCS 138 SITEPROP SLICE_X11Y75 NUM_BELS 32 SITEPROP SLICE_X11Y75 NUM_INPUTS 32 SITEPROP SLICE_X11Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y75 NUM_PINS 45 SITEPROP SLICE_X11Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y75 PROHIBIT 0 SITEPROP SLICE_X11Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y75 RPM_X 43 SITEPROP SLICE_X11Y75 RPM_Y 150 SITEPROP SLICE_X11Y75 SITE_PIPS SITEPROP SLICE_X11Y75 SITE_TYPE SLICEL SITEPROP SLICE_X11Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y76 CLASS site SITEPROP SLICE_X11Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y76 IS_BONDED 0 SITEPROP SLICE_X11Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y76 IS_PAD 0 SITEPROP SLICE_X11Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y76 IS_RESERVED 0 SITEPROP SLICE_X11Y76 IS_TEST 0 SITEPROP SLICE_X11Y76 IS_USED 0 SITEPROP SLICE_X11Y76 MANUAL_ROUTING SITEPROP SLICE_X11Y76 NAME SLICE_X11Y76 SITEPROP SLICE_X11Y76 NUM_ARCS 138 SITEPROP SLICE_X11Y76 NUM_BELS 32 SITEPROP SLICE_X11Y76 NUM_INPUTS 32 SITEPROP SLICE_X11Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y76 NUM_PINS 45 SITEPROP SLICE_X11Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y76 PROHIBIT 0 SITEPROP SLICE_X11Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y76 RPM_X 43 SITEPROP SLICE_X11Y76 RPM_Y 152 SITEPROP SLICE_X11Y76 SITE_PIPS SITEPROP SLICE_X11Y76 SITE_TYPE SLICEL SITEPROP SLICE_X11Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y77 CLASS site SITEPROP SLICE_X11Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y77 IS_BONDED 0 SITEPROP SLICE_X11Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y77 IS_PAD 0 SITEPROP SLICE_X11Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y77 IS_RESERVED 0 SITEPROP SLICE_X11Y77 IS_TEST 0 SITEPROP SLICE_X11Y77 IS_USED 0 SITEPROP SLICE_X11Y77 MANUAL_ROUTING SITEPROP SLICE_X11Y77 NAME SLICE_X11Y77 SITEPROP SLICE_X11Y77 NUM_ARCS 138 SITEPROP SLICE_X11Y77 NUM_BELS 32 SITEPROP SLICE_X11Y77 NUM_INPUTS 32 SITEPROP SLICE_X11Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y77 NUM_PINS 45 SITEPROP SLICE_X11Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y77 PROHIBIT 0 SITEPROP SLICE_X11Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y77 RPM_X 43 SITEPROP SLICE_X11Y77 RPM_Y 154 SITEPROP SLICE_X11Y77 SITE_PIPS SITEPROP SLICE_X11Y77 SITE_TYPE SLICEL SITEPROP SLICE_X11Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y78 CLASS site SITEPROP SLICE_X11Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y78 IS_BONDED 0 SITEPROP SLICE_X11Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y78 IS_PAD 0 SITEPROP SLICE_X11Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y78 IS_RESERVED 0 SITEPROP SLICE_X11Y78 IS_TEST 0 SITEPROP SLICE_X11Y78 IS_USED 0 SITEPROP SLICE_X11Y78 MANUAL_ROUTING SITEPROP SLICE_X11Y78 NAME SLICE_X11Y78 SITEPROP SLICE_X11Y78 NUM_ARCS 138 SITEPROP SLICE_X11Y78 NUM_BELS 32 SITEPROP SLICE_X11Y78 NUM_INPUTS 32 SITEPROP SLICE_X11Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y78 NUM_PINS 45 SITEPROP SLICE_X11Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y78 PROHIBIT 0 SITEPROP SLICE_X11Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y78 RPM_X 43 SITEPROP SLICE_X11Y78 RPM_Y 156 SITEPROP SLICE_X11Y78 SITE_PIPS SITEPROP SLICE_X11Y78 SITE_TYPE SLICEL SITEPROP SLICE_X11Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y79 CLASS site SITEPROP SLICE_X11Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y79 IS_BONDED 0 SITEPROP SLICE_X11Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y79 IS_PAD 0 SITEPROP SLICE_X11Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y79 IS_RESERVED 0 SITEPROP SLICE_X11Y79 IS_TEST 0 SITEPROP SLICE_X11Y79 IS_USED 0 SITEPROP SLICE_X11Y79 MANUAL_ROUTING SITEPROP SLICE_X11Y79 NAME SLICE_X11Y79 SITEPROP SLICE_X11Y79 NUM_ARCS 138 SITEPROP SLICE_X11Y79 NUM_BELS 32 SITEPROP SLICE_X11Y79 NUM_INPUTS 32 SITEPROP SLICE_X11Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y79 NUM_PINS 45 SITEPROP SLICE_X11Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y79 PROHIBIT 0 SITEPROP SLICE_X11Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y79 RPM_X 43 SITEPROP SLICE_X11Y79 RPM_Y 158 SITEPROP SLICE_X11Y79 SITE_PIPS SITEPROP SLICE_X11Y79 SITE_TYPE SLICEL SITEPROP SLICE_X11Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y80 CLASS site SITEPROP SLICE_X11Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y80 IS_BONDED 0 SITEPROP SLICE_X11Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y80 IS_PAD 0 SITEPROP SLICE_X11Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y80 IS_RESERVED 0 SITEPROP SLICE_X11Y80 IS_TEST 0 SITEPROP SLICE_X11Y80 IS_USED 0 SITEPROP SLICE_X11Y80 MANUAL_ROUTING SITEPROP SLICE_X11Y80 NAME SLICE_X11Y80 SITEPROP SLICE_X11Y80 NUM_ARCS 138 SITEPROP SLICE_X11Y80 NUM_BELS 32 SITEPROP SLICE_X11Y80 NUM_INPUTS 32 SITEPROP SLICE_X11Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y80 NUM_PINS 45 SITEPROP SLICE_X11Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y80 PROHIBIT 0 SITEPROP SLICE_X11Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y80 RPM_X 43 SITEPROP SLICE_X11Y80 RPM_Y 160 SITEPROP SLICE_X11Y80 SITE_PIPS SITEPROP SLICE_X11Y80 SITE_TYPE SLICEL SITEPROP SLICE_X11Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y81 CLASS site SITEPROP SLICE_X11Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y81 IS_BONDED 0 SITEPROP SLICE_X11Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y81 IS_PAD 0 SITEPROP SLICE_X11Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y81 IS_RESERVED 0 SITEPROP SLICE_X11Y81 IS_TEST 0 SITEPROP SLICE_X11Y81 IS_USED 0 SITEPROP SLICE_X11Y81 MANUAL_ROUTING SITEPROP SLICE_X11Y81 NAME SLICE_X11Y81 SITEPROP SLICE_X11Y81 NUM_ARCS 138 SITEPROP SLICE_X11Y81 NUM_BELS 32 SITEPROP SLICE_X11Y81 NUM_INPUTS 32 SITEPROP SLICE_X11Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y81 NUM_PINS 45 SITEPROP SLICE_X11Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y81 PROHIBIT 0 SITEPROP SLICE_X11Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y81 RPM_X 43 SITEPROP SLICE_X11Y81 RPM_Y 162 SITEPROP SLICE_X11Y81 SITE_PIPS SITEPROP SLICE_X11Y81 SITE_TYPE SLICEL SITEPROP SLICE_X11Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y82 CLASS site SITEPROP SLICE_X11Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y82 IS_BONDED 0 SITEPROP SLICE_X11Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y82 IS_PAD 0 SITEPROP SLICE_X11Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y82 IS_RESERVED 0 SITEPROP SLICE_X11Y82 IS_TEST 0 SITEPROP SLICE_X11Y82 IS_USED 0 SITEPROP SLICE_X11Y82 MANUAL_ROUTING SITEPROP SLICE_X11Y82 NAME SLICE_X11Y82 SITEPROP SLICE_X11Y82 NUM_ARCS 138 SITEPROP SLICE_X11Y82 NUM_BELS 32 SITEPROP SLICE_X11Y82 NUM_INPUTS 32 SITEPROP SLICE_X11Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y82 NUM_PINS 45 SITEPROP SLICE_X11Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y82 PROHIBIT 0 SITEPROP SLICE_X11Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y82 RPM_X 43 SITEPROP SLICE_X11Y82 RPM_Y 164 SITEPROP SLICE_X11Y82 SITE_PIPS SITEPROP SLICE_X11Y82 SITE_TYPE SLICEL SITEPROP SLICE_X11Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y83 CLASS site SITEPROP SLICE_X11Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y83 IS_BONDED 0 SITEPROP SLICE_X11Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y83 IS_PAD 0 SITEPROP SLICE_X11Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y83 IS_RESERVED 0 SITEPROP SLICE_X11Y83 IS_TEST 0 SITEPROP SLICE_X11Y83 IS_USED 0 SITEPROP SLICE_X11Y83 MANUAL_ROUTING SITEPROP SLICE_X11Y83 NAME SLICE_X11Y83 SITEPROP SLICE_X11Y83 NUM_ARCS 138 SITEPROP SLICE_X11Y83 NUM_BELS 32 SITEPROP SLICE_X11Y83 NUM_INPUTS 32 SITEPROP SLICE_X11Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y83 NUM_PINS 45 SITEPROP SLICE_X11Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y83 PROHIBIT 0 SITEPROP SLICE_X11Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y83 RPM_X 43 SITEPROP SLICE_X11Y83 RPM_Y 166 SITEPROP SLICE_X11Y83 SITE_PIPS SITEPROP SLICE_X11Y83 SITE_TYPE SLICEL SITEPROP SLICE_X11Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y84 CLASS site SITEPROP SLICE_X11Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y84 IS_BONDED 0 SITEPROP SLICE_X11Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y84 IS_PAD 0 SITEPROP SLICE_X11Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y84 IS_RESERVED 0 SITEPROP SLICE_X11Y84 IS_TEST 0 SITEPROP SLICE_X11Y84 IS_USED 0 SITEPROP SLICE_X11Y84 MANUAL_ROUTING SITEPROP SLICE_X11Y84 NAME SLICE_X11Y84 SITEPROP SLICE_X11Y84 NUM_ARCS 138 SITEPROP SLICE_X11Y84 NUM_BELS 32 SITEPROP SLICE_X11Y84 NUM_INPUTS 32 SITEPROP SLICE_X11Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y84 NUM_PINS 45 SITEPROP SLICE_X11Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y84 PROHIBIT 0 SITEPROP SLICE_X11Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y84 RPM_X 43 SITEPROP SLICE_X11Y84 RPM_Y 168 SITEPROP SLICE_X11Y84 SITE_PIPS SITEPROP SLICE_X11Y84 SITE_TYPE SLICEL SITEPROP SLICE_X11Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y85 CLASS site SITEPROP SLICE_X11Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y85 IS_BONDED 0 SITEPROP SLICE_X11Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y85 IS_PAD 0 SITEPROP SLICE_X11Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y85 IS_RESERVED 0 SITEPROP SLICE_X11Y85 IS_TEST 0 SITEPROP SLICE_X11Y85 IS_USED 0 SITEPROP SLICE_X11Y85 MANUAL_ROUTING SITEPROP SLICE_X11Y85 NAME SLICE_X11Y85 SITEPROP SLICE_X11Y85 NUM_ARCS 138 SITEPROP SLICE_X11Y85 NUM_BELS 32 SITEPROP SLICE_X11Y85 NUM_INPUTS 32 SITEPROP SLICE_X11Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y85 NUM_PINS 45 SITEPROP SLICE_X11Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y85 PROHIBIT 0 SITEPROP SLICE_X11Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y85 RPM_X 43 SITEPROP SLICE_X11Y85 RPM_Y 170 SITEPROP SLICE_X11Y85 SITE_PIPS SITEPROP SLICE_X11Y85 SITE_TYPE SLICEL SITEPROP SLICE_X11Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y86 CLASS site SITEPROP SLICE_X11Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y86 IS_BONDED 0 SITEPROP SLICE_X11Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y86 IS_PAD 0 SITEPROP SLICE_X11Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y86 IS_RESERVED 0 SITEPROP SLICE_X11Y86 IS_TEST 0 SITEPROP SLICE_X11Y86 IS_USED 0 SITEPROP SLICE_X11Y86 MANUAL_ROUTING SITEPROP SLICE_X11Y86 NAME SLICE_X11Y86 SITEPROP SLICE_X11Y86 NUM_ARCS 138 SITEPROP SLICE_X11Y86 NUM_BELS 32 SITEPROP SLICE_X11Y86 NUM_INPUTS 32 SITEPROP SLICE_X11Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y86 NUM_PINS 45 SITEPROP SLICE_X11Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y86 PROHIBIT 0 SITEPROP SLICE_X11Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y86 RPM_X 43 SITEPROP SLICE_X11Y86 RPM_Y 172 SITEPROP SLICE_X11Y86 SITE_PIPS SITEPROP SLICE_X11Y86 SITE_TYPE SLICEL SITEPROP SLICE_X11Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y87 CLASS site SITEPROP SLICE_X11Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y87 IS_BONDED 0 SITEPROP SLICE_X11Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y87 IS_PAD 0 SITEPROP SLICE_X11Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y87 IS_RESERVED 0 SITEPROP SLICE_X11Y87 IS_TEST 0 SITEPROP SLICE_X11Y87 IS_USED 0 SITEPROP SLICE_X11Y87 MANUAL_ROUTING SITEPROP SLICE_X11Y87 NAME SLICE_X11Y87 SITEPROP SLICE_X11Y87 NUM_ARCS 138 SITEPROP SLICE_X11Y87 NUM_BELS 32 SITEPROP SLICE_X11Y87 NUM_INPUTS 32 SITEPROP SLICE_X11Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y87 NUM_PINS 45 SITEPROP SLICE_X11Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y87 PROHIBIT 0 SITEPROP SLICE_X11Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y87 RPM_X 43 SITEPROP SLICE_X11Y87 RPM_Y 174 SITEPROP SLICE_X11Y87 SITE_PIPS SITEPROP SLICE_X11Y87 SITE_TYPE SLICEL SITEPROP SLICE_X11Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y88 CLASS site SITEPROP SLICE_X11Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y88 IS_BONDED 0 SITEPROP SLICE_X11Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y88 IS_PAD 0 SITEPROP SLICE_X11Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y88 IS_RESERVED 0 SITEPROP SLICE_X11Y88 IS_TEST 0 SITEPROP SLICE_X11Y88 IS_USED 0 SITEPROP SLICE_X11Y88 MANUAL_ROUTING SITEPROP SLICE_X11Y88 NAME SLICE_X11Y88 SITEPROP SLICE_X11Y88 NUM_ARCS 138 SITEPROP SLICE_X11Y88 NUM_BELS 32 SITEPROP SLICE_X11Y88 NUM_INPUTS 32 SITEPROP SLICE_X11Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y88 NUM_PINS 45 SITEPROP SLICE_X11Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y88 PROHIBIT 0 SITEPROP SLICE_X11Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y88 RPM_X 43 SITEPROP SLICE_X11Y88 RPM_Y 176 SITEPROP SLICE_X11Y88 SITE_PIPS SITEPROP SLICE_X11Y88 SITE_TYPE SLICEL SITEPROP SLICE_X11Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y89 CLASS site SITEPROP SLICE_X11Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y89 IS_BONDED 0 SITEPROP SLICE_X11Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y89 IS_PAD 0 SITEPROP SLICE_X11Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y89 IS_RESERVED 0 SITEPROP SLICE_X11Y89 IS_TEST 0 SITEPROP SLICE_X11Y89 IS_USED 0 SITEPROP SLICE_X11Y89 MANUAL_ROUTING SITEPROP SLICE_X11Y89 NAME SLICE_X11Y89 SITEPROP SLICE_X11Y89 NUM_ARCS 138 SITEPROP SLICE_X11Y89 NUM_BELS 32 SITEPROP SLICE_X11Y89 NUM_INPUTS 32 SITEPROP SLICE_X11Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y89 NUM_PINS 45 SITEPROP SLICE_X11Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y89 PROHIBIT 0 SITEPROP SLICE_X11Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y89 RPM_X 43 SITEPROP SLICE_X11Y89 RPM_Y 178 SITEPROP SLICE_X11Y89 SITE_PIPS SITEPROP SLICE_X11Y89 SITE_TYPE SLICEL SITEPROP SLICE_X11Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y90 CLASS site SITEPROP SLICE_X11Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y90 IS_BONDED 0 SITEPROP SLICE_X11Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y90 IS_PAD 0 SITEPROP SLICE_X11Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y90 IS_RESERVED 0 SITEPROP SLICE_X11Y90 IS_TEST 0 SITEPROP SLICE_X11Y90 IS_USED 0 SITEPROP SLICE_X11Y90 MANUAL_ROUTING SITEPROP SLICE_X11Y90 NAME SLICE_X11Y90 SITEPROP SLICE_X11Y90 NUM_ARCS 138 SITEPROP SLICE_X11Y90 NUM_BELS 32 SITEPROP SLICE_X11Y90 NUM_INPUTS 32 SITEPROP SLICE_X11Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y90 NUM_PINS 45 SITEPROP SLICE_X11Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y90 PROHIBIT 0 SITEPROP SLICE_X11Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y90 RPM_X 43 SITEPROP SLICE_X11Y90 RPM_Y 180 SITEPROP SLICE_X11Y90 SITE_PIPS SITEPROP SLICE_X11Y90 SITE_TYPE SLICEL SITEPROP SLICE_X11Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y91 CLASS site SITEPROP SLICE_X11Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y91 IS_BONDED 0 SITEPROP SLICE_X11Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y91 IS_PAD 0 SITEPROP SLICE_X11Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y91 IS_RESERVED 0 SITEPROP SLICE_X11Y91 IS_TEST 0 SITEPROP SLICE_X11Y91 IS_USED 0 SITEPROP SLICE_X11Y91 MANUAL_ROUTING SITEPROP SLICE_X11Y91 NAME SLICE_X11Y91 SITEPROP SLICE_X11Y91 NUM_ARCS 138 SITEPROP SLICE_X11Y91 NUM_BELS 32 SITEPROP SLICE_X11Y91 NUM_INPUTS 32 SITEPROP SLICE_X11Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y91 NUM_PINS 45 SITEPROP SLICE_X11Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y91 PROHIBIT 0 SITEPROP SLICE_X11Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y91 RPM_X 43 SITEPROP SLICE_X11Y91 RPM_Y 182 SITEPROP SLICE_X11Y91 SITE_PIPS SITEPROP SLICE_X11Y91 SITE_TYPE SLICEL SITEPROP SLICE_X11Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y92 CLASS site SITEPROP SLICE_X11Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y92 IS_BONDED 0 SITEPROP SLICE_X11Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y92 IS_PAD 0 SITEPROP SLICE_X11Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y92 IS_RESERVED 0 SITEPROP SLICE_X11Y92 IS_TEST 0 SITEPROP SLICE_X11Y92 IS_USED 0 SITEPROP SLICE_X11Y92 MANUAL_ROUTING SITEPROP SLICE_X11Y92 NAME SLICE_X11Y92 SITEPROP SLICE_X11Y92 NUM_ARCS 138 SITEPROP SLICE_X11Y92 NUM_BELS 32 SITEPROP SLICE_X11Y92 NUM_INPUTS 32 SITEPROP SLICE_X11Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y92 NUM_PINS 45 SITEPROP SLICE_X11Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y92 PROHIBIT 0 SITEPROP SLICE_X11Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y92 RPM_X 43 SITEPROP SLICE_X11Y92 RPM_Y 184 SITEPROP SLICE_X11Y92 SITE_PIPS SITEPROP SLICE_X11Y92 SITE_TYPE SLICEL SITEPROP SLICE_X11Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y93 CLASS site SITEPROP SLICE_X11Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y93 IS_BONDED 0 SITEPROP SLICE_X11Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y93 IS_PAD 0 SITEPROP SLICE_X11Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y93 IS_RESERVED 0 SITEPROP SLICE_X11Y93 IS_TEST 0 SITEPROP SLICE_X11Y93 IS_USED 0 SITEPROP SLICE_X11Y93 MANUAL_ROUTING SITEPROP SLICE_X11Y93 NAME SLICE_X11Y93 SITEPROP SLICE_X11Y93 NUM_ARCS 138 SITEPROP SLICE_X11Y93 NUM_BELS 32 SITEPROP SLICE_X11Y93 NUM_INPUTS 32 SITEPROP SLICE_X11Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y93 NUM_PINS 45 SITEPROP SLICE_X11Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y93 PROHIBIT 0 SITEPROP SLICE_X11Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y93 RPM_X 43 SITEPROP SLICE_X11Y93 RPM_Y 186 SITEPROP SLICE_X11Y93 SITE_PIPS SITEPROP SLICE_X11Y93 SITE_TYPE SLICEL SITEPROP SLICE_X11Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y94 CLASS site SITEPROP SLICE_X11Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y94 IS_BONDED 0 SITEPROP SLICE_X11Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y94 IS_PAD 0 SITEPROP SLICE_X11Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y94 IS_RESERVED 0 SITEPROP SLICE_X11Y94 IS_TEST 0 SITEPROP SLICE_X11Y94 IS_USED 0 SITEPROP SLICE_X11Y94 MANUAL_ROUTING SITEPROP SLICE_X11Y94 NAME SLICE_X11Y94 SITEPROP SLICE_X11Y94 NUM_ARCS 138 SITEPROP SLICE_X11Y94 NUM_BELS 32 SITEPROP SLICE_X11Y94 NUM_INPUTS 32 SITEPROP SLICE_X11Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y94 NUM_PINS 45 SITEPROP SLICE_X11Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y94 PROHIBIT 0 SITEPROP SLICE_X11Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y94 RPM_X 43 SITEPROP SLICE_X11Y94 RPM_Y 188 SITEPROP SLICE_X11Y94 SITE_PIPS SITEPROP SLICE_X11Y94 SITE_TYPE SLICEL SITEPROP SLICE_X11Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y95 CLASS site SITEPROP SLICE_X11Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y95 IS_BONDED 0 SITEPROP SLICE_X11Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y95 IS_PAD 0 SITEPROP SLICE_X11Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y95 IS_RESERVED 0 SITEPROP SLICE_X11Y95 IS_TEST 0 SITEPROP SLICE_X11Y95 IS_USED 0 SITEPROP SLICE_X11Y95 MANUAL_ROUTING SITEPROP SLICE_X11Y95 NAME SLICE_X11Y95 SITEPROP SLICE_X11Y95 NUM_ARCS 138 SITEPROP SLICE_X11Y95 NUM_BELS 32 SITEPROP SLICE_X11Y95 NUM_INPUTS 32 SITEPROP SLICE_X11Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y95 NUM_PINS 45 SITEPROP SLICE_X11Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y95 PROHIBIT 0 SITEPROP SLICE_X11Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y95 RPM_X 43 SITEPROP SLICE_X11Y95 RPM_Y 190 SITEPROP SLICE_X11Y95 SITE_PIPS SITEPROP SLICE_X11Y95 SITE_TYPE SLICEL SITEPROP SLICE_X11Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y96 CLASS site SITEPROP SLICE_X11Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y96 IS_BONDED 0 SITEPROP SLICE_X11Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y96 IS_PAD 0 SITEPROP SLICE_X11Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y96 IS_RESERVED 0 SITEPROP SLICE_X11Y96 IS_TEST 0 SITEPROP SLICE_X11Y96 IS_USED 0 SITEPROP SLICE_X11Y96 MANUAL_ROUTING SITEPROP SLICE_X11Y96 NAME SLICE_X11Y96 SITEPROP SLICE_X11Y96 NUM_ARCS 138 SITEPROP SLICE_X11Y96 NUM_BELS 32 SITEPROP SLICE_X11Y96 NUM_INPUTS 32 SITEPROP SLICE_X11Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y96 NUM_PINS 45 SITEPROP SLICE_X11Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y96 PROHIBIT 0 SITEPROP SLICE_X11Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y96 RPM_X 43 SITEPROP SLICE_X11Y96 RPM_Y 192 SITEPROP SLICE_X11Y96 SITE_PIPS SITEPROP SLICE_X11Y96 SITE_TYPE SLICEL SITEPROP SLICE_X11Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y97 CLASS site SITEPROP SLICE_X11Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y97 IS_BONDED 0 SITEPROP SLICE_X11Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y97 IS_PAD 0 SITEPROP SLICE_X11Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y97 IS_RESERVED 0 SITEPROP SLICE_X11Y97 IS_TEST 0 SITEPROP SLICE_X11Y97 IS_USED 0 SITEPROP SLICE_X11Y97 MANUAL_ROUTING SITEPROP SLICE_X11Y97 NAME SLICE_X11Y97 SITEPROP SLICE_X11Y97 NUM_ARCS 138 SITEPROP SLICE_X11Y97 NUM_BELS 32 SITEPROP SLICE_X11Y97 NUM_INPUTS 32 SITEPROP SLICE_X11Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y97 NUM_PINS 45 SITEPROP SLICE_X11Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y97 PROHIBIT 0 SITEPROP SLICE_X11Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y97 RPM_X 43 SITEPROP SLICE_X11Y97 RPM_Y 194 SITEPROP SLICE_X11Y97 SITE_PIPS SITEPROP SLICE_X11Y97 SITE_TYPE SLICEL SITEPROP SLICE_X11Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y98 CLASS site SITEPROP SLICE_X11Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y98 IS_BONDED 0 SITEPROP SLICE_X11Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y98 IS_PAD 0 SITEPROP SLICE_X11Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y98 IS_RESERVED 0 SITEPROP SLICE_X11Y98 IS_TEST 0 SITEPROP SLICE_X11Y98 IS_USED 0 SITEPROP SLICE_X11Y98 MANUAL_ROUTING SITEPROP SLICE_X11Y98 NAME SLICE_X11Y98 SITEPROP SLICE_X11Y98 NUM_ARCS 138 SITEPROP SLICE_X11Y98 NUM_BELS 32 SITEPROP SLICE_X11Y98 NUM_INPUTS 32 SITEPROP SLICE_X11Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y98 NUM_PINS 45 SITEPROP SLICE_X11Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y98 PROHIBIT 0 SITEPROP SLICE_X11Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y98 RPM_X 43 SITEPROP SLICE_X11Y98 RPM_Y 196 SITEPROP SLICE_X11Y98 SITE_PIPS SITEPROP SLICE_X11Y98 SITE_TYPE SLICEL SITEPROP SLICE_X11Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y99 CLASS site SITEPROP SLICE_X11Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X11Y99 IS_BONDED 0 SITEPROP SLICE_X11Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y99 IS_PAD 0 SITEPROP SLICE_X11Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y99 IS_RESERVED 0 SITEPROP SLICE_X11Y99 IS_TEST 0 SITEPROP SLICE_X11Y99 IS_USED 0 SITEPROP SLICE_X11Y99 MANUAL_ROUTING SITEPROP SLICE_X11Y99 NAME SLICE_X11Y99 SITEPROP SLICE_X11Y99 NUM_ARCS 138 SITEPROP SLICE_X11Y99 NUM_BELS 32 SITEPROP SLICE_X11Y99 NUM_INPUTS 32 SITEPROP SLICE_X11Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y99 NUM_PINS 45 SITEPROP SLICE_X11Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y99 PROHIBIT 0 SITEPROP SLICE_X11Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y99 RPM_X 43 SITEPROP SLICE_X11Y99 RPM_Y 198 SITEPROP SLICE_X11Y99 SITE_PIPS SITEPROP SLICE_X11Y99 SITE_TYPE SLICEL SITEPROP SLICE_X11Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y100 CLASS site SITEPROP SLICE_X11Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y100 IS_BONDED 0 SITEPROP SLICE_X11Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y100 IS_PAD 0 SITEPROP SLICE_X11Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y100 IS_RESERVED 0 SITEPROP SLICE_X11Y100 IS_TEST 0 SITEPROP SLICE_X11Y100 IS_USED 0 SITEPROP SLICE_X11Y100 MANUAL_ROUTING SITEPROP SLICE_X11Y100 NAME SLICE_X11Y100 SITEPROP SLICE_X11Y100 NUM_ARCS 138 SITEPROP SLICE_X11Y100 NUM_BELS 32 SITEPROP SLICE_X11Y100 NUM_INPUTS 32 SITEPROP SLICE_X11Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y100 NUM_PINS 45 SITEPROP SLICE_X11Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y100 PROHIBIT 0 SITEPROP SLICE_X11Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y100 RPM_X 43 SITEPROP SLICE_X11Y100 RPM_Y 200 SITEPROP SLICE_X11Y100 SITE_PIPS SITEPROP SLICE_X11Y100 SITE_TYPE SLICEL SITEPROP SLICE_X11Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y101 CLASS site SITEPROP SLICE_X11Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y101 IS_BONDED 0 SITEPROP SLICE_X11Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y101 IS_PAD 0 SITEPROP SLICE_X11Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y101 IS_RESERVED 0 SITEPROP SLICE_X11Y101 IS_TEST 0 SITEPROP SLICE_X11Y101 IS_USED 0 SITEPROP SLICE_X11Y101 MANUAL_ROUTING SITEPROP SLICE_X11Y101 NAME SLICE_X11Y101 SITEPROP SLICE_X11Y101 NUM_ARCS 138 SITEPROP SLICE_X11Y101 NUM_BELS 32 SITEPROP SLICE_X11Y101 NUM_INPUTS 32 SITEPROP SLICE_X11Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y101 NUM_PINS 45 SITEPROP SLICE_X11Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y101 PROHIBIT 0 SITEPROP SLICE_X11Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y101 RPM_X 43 SITEPROP SLICE_X11Y101 RPM_Y 202 SITEPROP SLICE_X11Y101 SITE_PIPS SITEPROP SLICE_X11Y101 SITE_TYPE SLICEL SITEPROP SLICE_X11Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y102 CLASS site SITEPROP SLICE_X11Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y102 IS_BONDED 0 SITEPROP SLICE_X11Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y102 IS_PAD 0 SITEPROP SLICE_X11Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y102 IS_RESERVED 0 SITEPROP SLICE_X11Y102 IS_TEST 0 SITEPROP SLICE_X11Y102 IS_USED 0 SITEPROP SLICE_X11Y102 MANUAL_ROUTING SITEPROP SLICE_X11Y102 NAME SLICE_X11Y102 SITEPROP SLICE_X11Y102 NUM_ARCS 138 SITEPROP SLICE_X11Y102 NUM_BELS 32 SITEPROP SLICE_X11Y102 NUM_INPUTS 32 SITEPROP SLICE_X11Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y102 NUM_PINS 45 SITEPROP SLICE_X11Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y102 PROHIBIT 0 SITEPROP SLICE_X11Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y102 RPM_X 43 SITEPROP SLICE_X11Y102 RPM_Y 204 SITEPROP SLICE_X11Y102 SITE_PIPS SITEPROP SLICE_X11Y102 SITE_TYPE SLICEL SITEPROP SLICE_X11Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y103 CLASS site SITEPROP SLICE_X11Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y103 IS_BONDED 0 SITEPROP SLICE_X11Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y103 IS_PAD 0 SITEPROP SLICE_X11Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y103 IS_RESERVED 0 SITEPROP SLICE_X11Y103 IS_TEST 0 SITEPROP SLICE_X11Y103 IS_USED 0 SITEPROP SLICE_X11Y103 MANUAL_ROUTING SITEPROP SLICE_X11Y103 NAME SLICE_X11Y103 SITEPROP SLICE_X11Y103 NUM_ARCS 138 SITEPROP SLICE_X11Y103 NUM_BELS 32 SITEPROP SLICE_X11Y103 NUM_INPUTS 32 SITEPROP SLICE_X11Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y103 NUM_PINS 45 SITEPROP SLICE_X11Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y103 PROHIBIT 0 SITEPROP SLICE_X11Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y103 RPM_X 43 SITEPROP SLICE_X11Y103 RPM_Y 206 SITEPROP SLICE_X11Y103 SITE_PIPS SITEPROP SLICE_X11Y103 SITE_TYPE SLICEL SITEPROP SLICE_X11Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y104 CLASS site SITEPROP SLICE_X11Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y104 IS_BONDED 0 SITEPROP SLICE_X11Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y104 IS_PAD 0 SITEPROP SLICE_X11Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y104 IS_RESERVED 0 SITEPROP SLICE_X11Y104 IS_TEST 0 SITEPROP SLICE_X11Y104 IS_USED 0 SITEPROP SLICE_X11Y104 MANUAL_ROUTING SITEPROP SLICE_X11Y104 NAME SLICE_X11Y104 SITEPROP SLICE_X11Y104 NUM_ARCS 138 SITEPROP SLICE_X11Y104 NUM_BELS 32 SITEPROP SLICE_X11Y104 NUM_INPUTS 32 SITEPROP SLICE_X11Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y104 NUM_PINS 45 SITEPROP SLICE_X11Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y104 PROHIBIT 0 SITEPROP SLICE_X11Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y104 RPM_X 43 SITEPROP SLICE_X11Y104 RPM_Y 208 SITEPROP SLICE_X11Y104 SITE_PIPS SITEPROP SLICE_X11Y104 SITE_TYPE SLICEL SITEPROP SLICE_X11Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y105 CLASS site SITEPROP SLICE_X11Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y105 IS_BONDED 0 SITEPROP SLICE_X11Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y105 IS_PAD 0 SITEPROP SLICE_X11Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y105 IS_RESERVED 0 SITEPROP SLICE_X11Y105 IS_TEST 0 SITEPROP SLICE_X11Y105 IS_USED 0 SITEPROP SLICE_X11Y105 MANUAL_ROUTING SITEPROP SLICE_X11Y105 NAME SLICE_X11Y105 SITEPROP SLICE_X11Y105 NUM_ARCS 138 SITEPROP SLICE_X11Y105 NUM_BELS 32 SITEPROP SLICE_X11Y105 NUM_INPUTS 32 SITEPROP SLICE_X11Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y105 NUM_PINS 45 SITEPROP SLICE_X11Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y105 PROHIBIT 0 SITEPROP SLICE_X11Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y105 RPM_X 43 SITEPROP SLICE_X11Y105 RPM_Y 210 SITEPROP SLICE_X11Y105 SITE_PIPS SITEPROP SLICE_X11Y105 SITE_TYPE SLICEL SITEPROP SLICE_X11Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y106 CLASS site SITEPROP SLICE_X11Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y106 IS_BONDED 0 SITEPROP SLICE_X11Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y106 IS_PAD 0 SITEPROP SLICE_X11Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y106 IS_RESERVED 0 SITEPROP SLICE_X11Y106 IS_TEST 0 SITEPROP SLICE_X11Y106 IS_USED 0 SITEPROP SLICE_X11Y106 MANUAL_ROUTING SITEPROP SLICE_X11Y106 NAME SLICE_X11Y106 SITEPROP SLICE_X11Y106 NUM_ARCS 138 SITEPROP SLICE_X11Y106 NUM_BELS 32 SITEPROP SLICE_X11Y106 NUM_INPUTS 32 SITEPROP SLICE_X11Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y106 NUM_PINS 45 SITEPROP SLICE_X11Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y106 PROHIBIT 0 SITEPROP SLICE_X11Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y106 RPM_X 43 SITEPROP SLICE_X11Y106 RPM_Y 212 SITEPROP SLICE_X11Y106 SITE_PIPS SITEPROP SLICE_X11Y106 SITE_TYPE SLICEL SITEPROP SLICE_X11Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y107 CLASS site SITEPROP SLICE_X11Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y107 IS_BONDED 0 SITEPROP SLICE_X11Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y107 IS_PAD 0 SITEPROP SLICE_X11Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y107 IS_RESERVED 0 SITEPROP SLICE_X11Y107 IS_TEST 0 SITEPROP SLICE_X11Y107 IS_USED 0 SITEPROP SLICE_X11Y107 MANUAL_ROUTING SITEPROP SLICE_X11Y107 NAME SLICE_X11Y107 SITEPROP SLICE_X11Y107 NUM_ARCS 138 SITEPROP SLICE_X11Y107 NUM_BELS 32 SITEPROP SLICE_X11Y107 NUM_INPUTS 32 SITEPROP SLICE_X11Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y107 NUM_PINS 45 SITEPROP SLICE_X11Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y107 PROHIBIT 0 SITEPROP SLICE_X11Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y107 RPM_X 43 SITEPROP SLICE_X11Y107 RPM_Y 214 SITEPROP SLICE_X11Y107 SITE_PIPS SITEPROP SLICE_X11Y107 SITE_TYPE SLICEL SITEPROP SLICE_X11Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y108 CLASS site SITEPROP SLICE_X11Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y108 IS_BONDED 0 SITEPROP SLICE_X11Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y108 IS_PAD 0 SITEPROP SLICE_X11Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y108 IS_RESERVED 0 SITEPROP SLICE_X11Y108 IS_TEST 0 SITEPROP SLICE_X11Y108 IS_USED 0 SITEPROP SLICE_X11Y108 MANUAL_ROUTING SITEPROP SLICE_X11Y108 NAME SLICE_X11Y108 SITEPROP SLICE_X11Y108 NUM_ARCS 138 SITEPROP SLICE_X11Y108 NUM_BELS 32 SITEPROP SLICE_X11Y108 NUM_INPUTS 32 SITEPROP SLICE_X11Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y108 NUM_PINS 45 SITEPROP SLICE_X11Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y108 PROHIBIT 0 SITEPROP SLICE_X11Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y108 RPM_X 43 SITEPROP SLICE_X11Y108 RPM_Y 216 SITEPROP SLICE_X11Y108 SITE_PIPS SITEPROP SLICE_X11Y108 SITE_TYPE SLICEL SITEPROP SLICE_X11Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y109 CLASS site SITEPROP SLICE_X11Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y109 IS_BONDED 0 SITEPROP SLICE_X11Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y109 IS_PAD 0 SITEPROP SLICE_X11Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y109 IS_RESERVED 0 SITEPROP SLICE_X11Y109 IS_TEST 0 SITEPROP SLICE_X11Y109 IS_USED 0 SITEPROP SLICE_X11Y109 MANUAL_ROUTING SITEPROP SLICE_X11Y109 NAME SLICE_X11Y109 SITEPROP SLICE_X11Y109 NUM_ARCS 138 SITEPROP SLICE_X11Y109 NUM_BELS 32 SITEPROP SLICE_X11Y109 NUM_INPUTS 32 SITEPROP SLICE_X11Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y109 NUM_PINS 45 SITEPROP SLICE_X11Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y109 PROHIBIT 0 SITEPROP SLICE_X11Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y109 RPM_X 43 SITEPROP SLICE_X11Y109 RPM_Y 218 SITEPROP SLICE_X11Y109 SITE_PIPS SITEPROP SLICE_X11Y109 SITE_TYPE SLICEL SITEPROP SLICE_X11Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y110 CLASS site SITEPROP SLICE_X11Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y110 IS_BONDED 0 SITEPROP SLICE_X11Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y110 IS_PAD 0 SITEPROP SLICE_X11Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y110 IS_RESERVED 0 SITEPROP SLICE_X11Y110 IS_TEST 0 SITEPROP SLICE_X11Y110 IS_USED 0 SITEPROP SLICE_X11Y110 MANUAL_ROUTING SITEPROP SLICE_X11Y110 NAME SLICE_X11Y110 SITEPROP SLICE_X11Y110 NUM_ARCS 138 SITEPROP SLICE_X11Y110 NUM_BELS 32 SITEPROP SLICE_X11Y110 NUM_INPUTS 32 SITEPROP SLICE_X11Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y110 NUM_PINS 45 SITEPROP SLICE_X11Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y110 PROHIBIT 0 SITEPROP SLICE_X11Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y110 RPM_X 43 SITEPROP SLICE_X11Y110 RPM_Y 220 SITEPROP SLICE_X11Y110 SITE_PIPS SITEPROP SLICE_X11Y110 SITE_TYPE SLICEL SITEPROP SLICE_X11Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y111 CLASS site SITEPROP SLICE_X11Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y111 IS_BONDED 0 SITEPROP SLICE_X11Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y111 IS_PAD 0 SITEPROP SLICE_X11Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y111 IS_RESERVED 0 SITEPROP SLICE_X11Y111 IS_TEST 0 SITEPROP SLICE_X11Y111 IS_USED 0 SITEPROP SLICE_X11Y111 MANUAL_ROUTING SITEPROP SLICE_X11Y111 NAME SLICE_X11Y111 SITEPROP SLICE_X11Y111 NUM_ARCS 138 SITEPROP SLICE_X11Y111 NUM_BELS 32 SITEPROP SLICE_X11Y111 NUM_INPUTS 32 SITEPROP SLICE_X11Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y111 NUM_PINS 45 SITEPROP SLICE_X11Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y111 PROHIBIT 0 SITEPROP SLICE_X11Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y111 RPM_X 43 SITEPROP SLICE_X11Y111 RPM_Y 222 SITEPROP SLICE_X11Y111 SITE_PIPS SITEPROP SLICE_X11Y111 SITE_TYPE SLICEL SITEPROP SLICE_X11Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y112 CLASS site SITEPROP SLICE_X11Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y112 IS_BONDED 0 SITEPROP SLICE_X11Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y112 IS_PAD 0 SITEPROP SLICE_X11Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y112 IS_RESERVED 0 SITEPROP SLICE_X11Y112 IS_TEST 0 SITEPROP SLICE_X11Y112 IS_USED 0 SITEPROP SLICE_X11Y112 MANUAL_ROUTING SITEPROP SLICE_X11Y112 NAME SLICE_X11Y112 SITEPROP SLICE_X11Y112 NUM_ARCS 138 SITEPROP SLICE_X11Y112 NUM_BELS 32 SITEPROP SLICE_X11Y112 NUM_INPUTS 32 SITEPROP SLICE_X11Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y112 NUM_PINS 45 SITEPROP SLICE_X11Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y112 PROHIBIT 0 SITEPROP SLICE_X11Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y112 RPM_X 43 SITEPROP SLICE_X11Y112 RPM_Y 224 SITEPROP SLICE_X11Y112 SITE_PIPS SITEPROP SLICE_X11Y112 SITE_TYPE SLICEL SITEPROP SLICE_X11Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y113 CLASS site SITEPROP SLICE_X11Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y113 IS_BONDED 0 SITEPROP SLICE_X11Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y113 IS_PAD 0 SITEPROP SLICE_X11Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y113 IS_RESERVED 0 SITEPROP SLICE_X11Y113 IS_TEST 0 SITEPROP SLICE_X11Y113 IS_USED 0 SITEPROP SLICE_X11Y113 MANUAL_ROUTING SITEPROP SLICE_X11Y113 NAME SLICE_X11Y113 SITEPROP SLICE_X11Y113 NUM_ARCS 138 SITEPROP SLICE_X11Y113 NUM_BELS 32 SITEPROP SLICE_X11Y113 NUM_INPUTS 32 SITEPROP SLICE_X11Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y113 NUM_PINS 45 SITEPROP SLICE_X11Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y113 PROHIBIT 0 SITEPROP SLICE_X11Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y113 RPM_X 43 SITEPROP SLICE_X11Y113 RPM_Y 226 SITEPROP SLICE_X11Y113 SITE_PIPS SITEPROP SLICE_X11Y113 SITE_TYPE SLICEL SITEPROP SLICE_X11Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y114 CLASS site SITEPROP SLICE_X11Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y114 IS_BONDED 0 SITEPROP SLICE_X11Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y114 IS_PAD 0 SITEPROP SLICE_X11Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y114 IS_RESERVED 0 SITEPROP SLICE_X11Y114 IS_TEST 0 SITEPROP SLICE_X11Y114 IS_USED 0 SITEPROP SLICE_X11Y114 MANUAL_ROUTING SITEPROP SLICE_X11Y114 NAME SLICE_X11Y114 SITEPROP SLICE_X11Y114 NUM_ARCS 138 SITEPROP SLICE_X11Y114 NUM_BELS 32 SITEPROP SLICE_X11Y114 NUM_INPUTS 32 SITEPROP SLICE_X11Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y114 NUM_PINS 45 SITEPROP SLICE_X11Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y114 PROHIBIT 0 SITEPROP SLICE_X11Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y114 RPM_X 43 SITEPROP SLICE_X11Y114 RPM_Y 228 SITEPROP SLICE_X11Y114 SITE_PIPS SITEPROP SLICE_X11Y114 SITE_TYPE SLICEL SITEPROP SLICE_X11Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y115 CLASS site SITEPROP SLICE_X11Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y115 IS_BONDED 0 SITEPROP SLICE_X11Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y115 IS_PAD 0 SITEPROP SLICE_X11Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y115 IS_RESERVED 0 SITEPROP SLICE_X11Y115 IS_TEST 0 SITEPROP SLICE_X11Y115 IS_USED 0 SITEPROP SLICE_X11Y115 MANUAL_ROUTING SITEPROP SLICE_X11Y115 NAME SLICE_X11Y115 SITEPROP SLICE_X11Y115 NUM_ARCS 138 SITEPROP SLICE_X11Y115 NUM_BELS 32 SITEPROP SLICE_X11Y115 NUM_INPUTS 32 SITEPROP SLICE_X11Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y115 NUM_PINS 45 SITEPROP SLICE_X11Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y115 PROHIBIT 0 SITEPROP SLICE_X11Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y115 RPM_X 43 SITEPROP SLICE_X11Y115 RPM_Y 230 SITEPROP SLICE_X11Y115 SITE_PIPS SITEPROP SLICE_X11Y115 SITE_TYPE SLICEL SITEPROP SLICE_X11Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y116 CLASS site SITEPROP SLICE_X11Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y116 IS_BONDED 0 SITEPROP SLICE_X11Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y116 IS_PAD 0 SITEPROP SLICE_X11Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y116 IS_RESERVED 0 SITEPROP SLICE_X11Y116 IS_TEST 0 SITEPROP SLICE_X11Y116 IS_USED 0 SITEPROP SLICE_X11Y116 MANUAL_ROUTING SITEPROP SLICE_X11Y116 NAME SLICE_X11Y116 SITEPROP SLICE_X11Y116 NUM_ARCS 138 SITEPROP SLICE_X11Y116 NUM_BELS 32 SITEPROP SLICE_X11Y116 NUM_INPUTS 32 SITEPROP SLICE_X11Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y116 NUM_PINS 45 SITEPROP SLICE_X11Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y116 PROHIBIT 0 SITEPROP SLICE_X11Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y116 RPM_X 43 SITEPROP SLICE_X11Y116 RPM_Y 232 SITEPROP SLICE_X11Y116 SITE_PIPS SITEPROP SLICE_X11Y116 SITE_TYPE SLICEL SITEPROP SLICE_X11Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y117 CLASS site SITEPROP SLICE_X11Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y117 IS_BONDED 0 SITEPROP SLICE_X11Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y117 IS_PAD 0 SITEPROP SLICE_X11Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y117 IS_RESERVED 0 SITEPROP SLICE_X11Y117 IS_TEST 0 SITEPROP SLICE_X11Y117 IS_USED 0 SITEPROP SLICE_X11Y117 MANUAL_ROUTING SITEPROP SLICE_X11Y117 NAME SLICE_X11Y117 SITEPROP SLICE_X11Y117 NUM_ARCS 138 SITEPROP SLICE_X11Y117 NUM_BELS 32 SITEPROP SLICE_X11Y117 NUM_INPUTS 32 SITEPROP SLICE_X11Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y117 NUM_PINS 45 SITEPROP SLICE_X11Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y117 PROHIBIT 0 SITEPROP SLICE_X11Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y117 RPM_X 43 SITEPROP SLICE_X11Y117 RPM_Y 234 SITEPROP SLICE_X11Y117 SITE_PIPS SITEPROP SLICE_X11Y117 SITE_TYPE SLICEL SITEPROP SLICE_X11Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y118 CLASS site SITEPROP SLICE_X11Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y118 IS_BONDED 0 SITEPROP SLICE_X11Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y118 IS_PAD 0 SITEPROP SLICE_X11Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y118 IS_RESERVED 0 SITEPROP SLICE_X11Y118 IS_TEST 0 SITEPROP SLICE_X11Y118 IS_USED 0 SITEPROP SLICE_X11Y118 MANUAL_ROUTING SITEPROP SLICE_X11Y118 NAME SLICE_X11Y118 SITEPROP SLICE_X11Y118 NUM_ARCS 138 SITEPROP SLICE_X11Y118 NUM_BELS 32 SITEPROP SLICE_X11Y118 NUM_INPUTS 32 SITEPROP SLICE_X11Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y118 NUM_PINS 45 SITEPROP SLICE_X11Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y118 PROHIBIT 0 SITEPROP SLICE_X11Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y118 RPM_X 43 SITEPROP SLICE_X11Y118 RPM_Y 236 SITEPROP SLICE_X11Y118 SITE_PIPS SITEPROP SLICE_X11Y118 SITE_TYPE SLICEL SITEPROP SLICE_X11Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y119 CLASS site SITEPROP SLICE_X11Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y119 IS_BONDED 0 SITEPROP SLICE_X11Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y119 IS_PAD 0 SITEPROP SLICE_X11Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y119 IS_RESERVED 0 SITEPROP SLICE_X11Y119 IS_TEST 0 SITEPROP SLICE_X11Y119 IS_USED 0 SITEPROP SLICE_X11Y119 MANUAL_ROUTING SITEPROP SLICE_X11Y119 NAME SLICE_X11Y119 SITEPROP SLICE_X11Y119 NUM_ARCS 138 SITEPROP SLICE_X11Y119 NUM_BELS 32 SITEPROP SLICE_X11Y119 NUM_INPUTS 32 SITEPROP SLICE_X11Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y119 NUM_PINS 45 SITEPROP SLICE_X11Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y119 PROHIBIT 0 SITEPROP SLICE_X11Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y119 RPM_X 43 SITEPROP SLICE_X11Y119 RPM_Y 238 SITEPROP SLICE_X11Y119 SITE_PIPS SITEPROP SLICE_X11Y119 SITE_TYPE SLICEL SITEPROP SLICE_X11Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y120 CLASS site SITEPROP SLICE_X11Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y120 IS_BONDED 0 SITEPROP SLICE_X11Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y120 IS_PAD 0 SITEPROP SLICE_X11Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y120 IS_RESERVED 0 SITEPROP SLICE_X11Y120 IS_TEST 0 SITEPROP SLICE_X11Y120 IS_USED 0 SITEPROP SLICE_X11Y120 MANUAL_ROUTING SITEPROP SLICE_X11Y120 NAME SLICE_X11Y120 SITEPROP SLICE_X11Y120 NUM_ARCS 138 SITEPROP SLICE_X11Y120 NUM_BELS 32 SITEPROP SLICE_X11Y120 NUM_INPUTS 32 SITEPROP SLICE_X11Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y120 NUM_PINS 45 SITEPROP SLICE_X11Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y120 PROHIBIT 0 SITEPROP SLICE_X11Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y120 RPM_X 43 SITEPROP SLICE_X11Y120 RPM_Y 240 SITEPROP SLICE_X11Y120 SITE_PIPS SITEPROP SLICE_X11Y120 SITE_TYPE SLICEL SITEPROP SLICE_X11Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y121 CLASS site SITEPROP SLICE_X11Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y121 IS_BONDED 0 SITEPROP SLICE_X11Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y121 IS_PAD 0 SITEPROP SLICE_X11Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y121 IS_RESERVED 0 SITEPROP SLICE_X11Y121 IS_TEST 0 SITEPROP SLICE_X11Y121 IS_USED 0 SITEPROP SLICE_X11Y121 MANUAL_ROUTING SITEPROP SLICE_X11Y121 NAME SLICE_X11Y121 SITEPROP SLICE_X11Y121 NUM_ARCS 138 SITEPROP SLICE_X11Y121 NUM_BELS 32 SITEPROP SLICE_X11Y121 NUM_INPUTS 32 SITEPROP SLICE_X11Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y121 NUM_PINS 45 SITEPROP SLICE_X11Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y121 PROHIBIT 0 SITEPROP SLICE_X11Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y121 RPM_X 43 SITEPROP SLICE_X11Y121 RPM_Y 242 SITEPROP SLICE_X11Y121 SITE_PIPS SITEPROP SLICE_X11Y121 SITE_TYPE SLICEL SITEPROP SLICE_X11Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y122 CLASS site SITEPROP SLICE_X11Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y122 IS_BONDED 0 SITEPROP SLICE_X11Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y122 IS_PAD 0 SITEPROP SLICE_X11Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y122 IS_RESERVED 0 SITEPROP SLICE_X11Y122 IS_TEST 0 SITEPROP SLICE_X11Y122 IS_USED 0 SITEPROP SLICE_X11Y122 MANUAL_ROUTING SITEPROP SLICE_X11Y122 NAME SLICE_X11Y122 SITEPROP SLICE_X11Y122 NUM_ARCS 138 SITEPROP SLICE_X11Y122 NUM_BELS 32 SITEPROP SLICE_X11Y122 NUM_INPUTS 32 SITEPROP SLICE_X11Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y122 NUM_PINS 45 SITEPROP SLICE_X11Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y122 PROHIBIT 0 SITEPROP SLICE_X11Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y122 RPM_X 43 SITEPROP SLICE_X11Y122 RPM_Y 244 SITEPROP SLICE_X11Y122 SITE_PIPS SITEPROP SLICE_X11Y122 SITE_TYPE SLICEL SITEPROP SLICE_X11Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y123 CLASS site SITEPROP SLICE_X11Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y123 IS_BONDED 0 SITEPROP SLICE_X11Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y123 IS_PAD 0 SITEPROP SLICE_X11Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y123 IS_RESERVED 0 SITEPROP SLICE_X11Y123 IS_TEST 0 SITEPROP SLICE_X11Y123 IS_USED 0 SITEPROP SLICE_X11Y123 MANUAL_ROUTING SITEPROP SLICE_X11Y123 NAME SLICE_X11Y123 SITEPROP SLICE_X11Y123 NUM_ARCS 138 SITEPROP SLICE_X11Y123 NUM_BELS 32 SITEPROP SLICE_X11Y123 NUM_INPUTS 32 SITEPROP SLICE_X11Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y123 NUM_PINS 45 SITEPROP SLICE_X11Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y123 PROHIBIT 0 SITEPROP SLICE_X11Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y123 RPM_X 43 SITEPROP SLICE_X11Y123 RPM_Y 246 SITEPROP SLICE_X11Y123 SITE_PIPS SITEPROP SLICE_X11Y123 SITE_TYPE SLICEL SITEPROP SLICE_X11Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y124 CLASS site SITEPROP SLICE_X11Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y124 IS_BONDED 0 SITEPROP SLICE_X11Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y124 IS_PAD 0 SITEPROP SLICE_X11Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y124 IS_RESERVED 0 SITEPROP SLICE_X11Y124 IS_TEST 0 SITEPROP SLICE_X11Y124 IS_USED 0 SITEPROP SLICE_X11Y124 MANUAL_ROUTING SITEPROP SLICE_X11Y124 NAME SLICE_X11Y124 SITEPROP SLICE_X11Y124 NUM_ARCS 138 SITEPROP SLICE_X11Y124 NUM_BELS 32 SITEPROP SLICE_X11Y124 NUM_INPUTS 32 SITEPROP SLICE_X11Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y124 NUM_PINS 45 SITEPROP SLICE_X11Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y124 PROHIBIT 0 SITEPROP SLICE_X11Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y124 RPM_X 43 SITEPROP SLICE_X11Y124 RPM_Y 248 SITEPROP SLICE_X11Y124 SITE_PIPS SITEPROP SLICE_X11Y124 SITE_TYPE SLICEL SITEPROP SLICE_X11Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y125 CLASS site SITEPROP SLICE_X11Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y125 IS_BONDED 0 SITEPROP SLICE_X11Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y125 IS_PAD 0 SITEPROP SLICE_X11Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y125 IS_RESERVED 0 SITEPROP SLICE_X11Y125 IS_TEST 0 SITEPROP SLICE_X11Y125 IS_USED 0 SITEPROP SLICE_X11Y125 MANUAL_ROUTING SITEPROP SLICE_X11Y125 NAME SLICE_X11Y125 SITEPROP SLICE_X11Y125 NUM_ARCS 138 SITEPROP SLICE_X11Y125 NUM_BELS 32 SITEPROP SLICE_X11Y125 NUM_INPUTS 32 SITEPROP SLICE_X11Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y125 NUM_PINS 45 SITEPROP SLICE_X11Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y125 PROHIBIT 0 SITEPROP SLICE_X11Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y125 RPM_X 43 SITEPROP SLICE_X11Y125 RPM_Y 250 SITEPROP SLICE_X11Y125 SITE_PIPS SITEPROP SLICE_X11Y125 SITE_TYPE SLICEL SITEPROP SLICE_X11Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y126 CLASS site SITEPROP SLICE_X11Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y126 IS_BONDED 0 SITEPROP SLICE_X11Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y126 IS_PAD 0 SITEPROP SLICE_X11Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y126 IS_RESERVED 0 SITEPROP SLICE_X11Y126 IS_TEST 0 SITEPROP SLICE_X11Y126 IS_USED 0 SITEPROP SLICE_X11Y126 MANUAL_ROUTING SITEPROP SLICE_X11Y126 NAME SLICE_X11Y126 SITEPROP SLICE_X11Y126 NUM_ARCS 138 SITEPROP SLICE_X11Y126 NUM_BELS 32 SITEPROP SLICE_X11Y126 NUM_INPUTS 32 SITEPROP SLICE_X11Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y126 NUM_PINS 45 SITEPROP SLICE_X11Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y126 PROHIBIT 0 SITEPROP SLICE_X11Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y126 RPM_X 43 SITEPROP SLICE_X11Y126 RPM_Y 252 SITEPROP SLICE_X11Y126 SITE_PIPS SITEPROP SLICE_X11Y126 SITE_TYPE SLICEL SITEPROP SLICE_X11Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y127 CLASS site SITEPROP SLICE_X11Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y127 IS_BONDED 0 SITEPROP SLICE_X11Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y127 IS_PAD 0 SITEPROP SLICE_X11Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y127 IS_RESERVED 0 SITEPROP SLICE_X11Y127 IS_TEST 0 SITEPROP SLICE_X11Y127 IS_USED 0 SITEPROP SLICE_X11Y127 MANUAL_ROUTING SITEPROP SLICE_X11Y127 NAME SLICE_X11Y127 SITEPROP SLICE_X11Y127 NUM_ARCS 138 SITEPROP SLICE_X11Y127 NUM_BELS 32 SITEPROP SLICE_X11Y127 NUM_INPUTS 32 SITEPROP SLICE_X11Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y127 NUM_PINS 45 SITEPROP SLICE_X11Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y127 PROHIBIT 0 SITEPROP SLICE_X11Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y127 RPM_X 43 SITEPROP SLICE_X11Y127 RPM_Y 254 SITEPROP SLICE_X11Y127 SITE_PIPS SITEPROP SLICE_X11Y127 SITE_TYPE SLICEL SITEPROP SLICE_X11Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y128 CLASS site SITEPROP SLICE_X11Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y128 IS_BONDED 0 SITEPROP SLICE_X11Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y128 IS_PAD 0 SITEPROP SLICE_X11Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y128 IS_RESERVED 0 SITEPROP SLICE_X11Y128 IS_TEST 0 SITEPROP SLICE_X11Y128 IS_USED 0 SITEPROP SLICE_X11Y128 MANUAL_ROUTING SITEPROP SLICE_X11Y128 NAME SLICE_X11Y128 SITEPROP SLICE_X11Y128 NUM_ARCS 138 SITEPROP SLICE_X11Y128 NUM_BELS 32 SITEPROP SLICE_X11Y128 NUM_INPUTS 32 SITEPROP SLICE_X11Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y128 NUM_PINS 45 SITEPROP SLICE_X11Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y128 PROHIBIT 0 SITEPROP SLICE_X11Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y128 RPM_X 43 SITEPROP SLICE_X11Y128 RPM_Y 256 SITEPROP SLICE_X11Y128 SITE_PIPS SITEPROP SLICE_X11Y128 SITE_TYPE SLICEL SITEPROP SLICE_X11Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y129 CLASS site SITEPROP SLICE_X11Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y129 IS_BONDED 0 SITEPROP SLICE_X11Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y129 IS_PAD 0 SITEPROP SLICE_X11Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y129 IS_RESERVED 0 SITEPROP SLICE_X11Y129 IS_TEST 0 SITEPROP SLICE_X11Y129 IS_USED 0 SITEPROP SLICE_X11Y129 MANUAL_ROUTING SITEPROP SLICE_X11Y129 NAME SLICE_X11Y129 SITEPROP SLICE_X11Y129 NUM_ARCS 138 SITEPROP SLICE_X11Y129 NUM_BELS 32 SITEPROP SLICE_X11Y129 NUM_INPUTS 32 SITEPROP SLICE_X11Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y129 NUM_PINS 45 SITEPROP SLICE_X11Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y129 PROHIBIT 0 SITEPROP SLICE_X11Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y129 RPM_X 43 SITEPROP SLICE_X11Y129 RPM_Y 258 SITEPROP SLICE_X11Y129 SITE_PIPS SITEPROP SLICE_X11Y129 SITE_TYPE SLICEL SITEPROP SLICE_X11Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y130 CLASS site SITEPROP SLICE_X11Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y130 IS_BONDED 0 SITEPROP SLICE_X11Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y130 IS_PAD 0 SITEPROP SLICE_X11Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y130 IS_RESERVED 0 SITEPROP SLICE_X11Y130 IS_TEST 0 SITEPROP SLICE_X11Y130 IS_USED 0 SITEPROP SLICE_X11Y130 MANUAL_ROUTING SITEPROP SLICE_X11Y130 NAME SLICE_X11Y130 SITEPROP SLICE_X11Y130 NUM_ARCS 138 SITEPROP SLICE_X11Y130 NUM_BELS 32 SITEPROP SLICE_X11Y130 NUM_INPUTS 32 SITEPROP SLICE_X11Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y130 NUM_PINS 45 SITEPROP SLICE_X11Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y130 PROHIBIT 0 SITEPROP SLICE_X11Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y130 RPM_X 43 SITEPROP SLICE_X11Y130 RPM_Y 260 SITEPROP SLICE_X11Y130 SITE_PIPS SITEPROP SLICE_X11Y130 SITE_TYPE SLICEL SITEPROP SLICE_X11Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y131 CLASS site SITEPROP SLICE_X11Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y131 IS_BONDED 0 SITEPROP SLICE_X11Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y131 IS_PAD 0 SITEPROP SLICE_X11Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y131 IS_RESERVED 0 SITEPROP SLICE_X11Y131 IS_TEST 0 SITEPROP SLICE_X11Y131 IS_USED 0 SITEPROP SLICE_X11Y131 MANUAL_ROUTING SITEPROP SLICE_X11Y131 NAME SLICE_X11Y131 SITEPROP SLICE_X11Y131 NUM_ARCS 138 SITEPROP SLICE_X11Y131 NUM_BELS 32 SITEPROP SLICE_X11Y131 NUM_INPUTS 32 SITEPROP SLICE_X11Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y131 NUM_PINS 45 SITEPROP SLICE_X11Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y131 PROHIBIT 0 SITEPROP SLICE_X11Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y131 RPM_X 43 SITEPROP SLICE_X11Y131 RPM_Y 262 SITEPROP SLICE_X11Y131 SITE_PIPS SITEPROP SLICE_X11Y131 SITE_TYPE SLICEL SITEPROP SLICE_X11Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y132 CLASS site SITEPROP SLICE_X11Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y132 IS_BONDED 0 SITEPROP SLICE_X11Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y132 IS_PAD 0 SITEPROP SLICE_X11Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y132 IS_RESERVED 0 SITEPROP SLICE_X11Y132 IS_TEST 0 SITEPROP SLICE_X11Y132 IS_USED 0 SITEPROP SLICE_X11Y132 MANUAL_ROUTING SITEPROP SLICE_X11Y132 NAME SLICE_X11Y132 SITEPROP SLICE_X11Y132 NUM_ARCS 138 SITEPROP SLICE_X11Y132 NUM_BELS 32 SITEPROP SLICE_X11Y132 NUM_INPUTS 32 SITEPROP SLICE_X11Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y132 NUM_PINS 45 SITEPROP SLICE_X11Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y132 PROHIBIT 0 SITEPROP SLICE_X11Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y132 RPM_X 43 SITEPROP SLICE_X11Y132 RPM_Y 264 SITEPROP SLICE_X11Y132 SITE_PIPS SITEPROP SLICE_X11Y132 SITE_TYPE SLICEL SITEPROP SLICE_X11Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y133 CLASS site SITEPROP SLICE_X11Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y133 IS_BONDED 0 SITEPROP SLICE_X11Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y133 IS_PAD 0 SITEPROP SLICE_X11Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y133 IS_RESERVED 0 SITEPROP SLICE_X11Y133 IS_TEST 0 SITEPROP SLICE_X11Y133 IS_USED 0 SITEPROP SLICE_X11Y133 MANUAL_ROUTING SITEPROP SLICE_X11Y133 NAME SLICE_X11Y133 SITEPROP SLICE_X11Y133 NUM_ARCS 138 SITEPROP SLICE_X11Y133 NUM_BELS 32 SITEPROP SLICE_X11Y133 NUM_INPUTS 32 SITEPROP SLICE_X11Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y133 NUM_PINS 45 SITEPROP SLICE_X11Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y133 PROHIBIT 0 SITEPROP SLICE_X11Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y133 RPM_X 43 SITEPROP SLICE_X11Y133 RPM_Y 266 SITEPROP SLICE_X11Y133 SITE_PIPS SITEPROP SLICE_X11Y133 SITE_TYPE SLICEL SITEPROP SLICE_X11Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y134 CLASS site SITEPROP SLICE_X11Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y134 IS_BONDED 0 SITEPROP SLICE_X11Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y134 IS_PAD 0 SITEPROP SLICE_X11Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y134 IS_RESERVED 0 SITEPROP SLICE_X11Y134 IS_TEST 0 SITEPROP SLICE_X11Y134 IS_USED 0 SITEPROP SLICE_X11Y134 MANUAL_ROUTING SITEPROP SLICE_X11Y134 NAME SLICE_X11Y134 SITEPROP SLICE_X11Y134 NUM_ARCS 138 SITEPROP SLICE_X11Y134 NUM_BELS 32 SITEPROP SLICE_X11Y134 NUM_INPUTS 32 SITEPROP SLICE_X11Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y134 NUM_PINS 45 SITEPROP SLICE_X11Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y134 PROHIBIT 0 SITEPROP SLICE_X11Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y134 RPM_X 43 SITEPROP SLICE_X11Y134 RPM_Y 268 SITEPROP SLICE_X11Y134 SITE_PIPS SITEPROP SLICE_X11Y134 SITE_TYPE SLICEL SITEPROP SLICE_X11Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y135 CLASS site SITEPROP SLICE_X11Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y135 IS_BONDED 0 SITEPROP SLICE_X11Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y135 IS_PAD 0 SITEPROP SLICE_X11Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y135 IS_RESERVED 0 SITEPROP SLICE_X11Y135 IS_TEST 0 SITEPROP SLICE_X11Y135 IS_USED 0 SITEPROP SLICE_X11Y135 MANUAL_ROUTING SITEPROP SLICE_X11Y135 NAME SLICE_X11Y135 SITEPROP SLICE_X11Y135 NUM_ARCS 138 SITEPROP SLICE_X11Y135 NUM_BELS 32 SITEPROP SLICE_X11Y135 NUM_INPUTS 32 SITEPROP SLICE_X11Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y135 NUM_PINS 45 SITEPROP SLICE_X11Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y135 PROHIBIT 0 SITEPROP SLICE_X11Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y135 RPM_X 43 SITEPROP SLICE_X11Y135 RPM_Y 270 SITEPROP SLICE_X11Y135 SITE_PIPS SITEPROP SLICE_X11Y135 SITE_TYPE SLICEL SITEPROP SLICE_X11Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y136 CLASS site SITEPROP SLICE_X11Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y136 IS_BONDED 0 SITEPROP SLICE_X11Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y136 IS_PAD 0 SITEPROP SLICE_X11Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y136 IS_RESERVED 0 SITEPROP SLICE_X11Y136 IS_TEST 0 SITEPROP SLICE_X11Y136 IS_USED 0 SITEPROP SLICE_X11Y136 MANUAL_ROUTING SITEPROP SLICE_X11Y136 NAME SLICE_X11Y136 SITEPROP SLICE_X11Y136 NUM_ARCS 138 SITEPROP SLICE_X11Y136 NUM_BELS 32 SITEPROP SLICE_X11Y136 NUM_INPUTS 32 SITEPROP SLICE_X11Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y136 NUM_PINS 45 SITEPROP SLICE_X11Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y136 PROHIBIT 0 SITEPROP SLICE_X11Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y136 RPM_X 43 SITEPROP SLICE_X11Y136 RPM_Y 272 SITEPROP SLICE_X11Y136 SITE_PIPS SITEPROP SLICE_X11Y136 SITE_TYPE SLICEL SITEPROP SLICE_X11Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y137 CLASS site SITEPROP SLICE_X11Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y137 IS_BONDED 0 SITEPROP SLICE_X11Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y137 IS_PAD 0 SITEPROP SLICE_X11Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y137 IS_RESERVED 0 SITEPROP SLICE_X11Y137 IS_TEST 0 SITEPROP SLICE_X11Y137 IS_USED 0 SITEPROP SLICE_X11Y137 MANUAL_ROUTING SITEPROP SLICE_X11Y137 NAME SLICE_X11Y137 SITEPROP SLICE_X11Y137 NUM_ARCS 138 SITEPROP SLICE_X11Y137 NUM_BELS 32 SITEPROP SLICE_X11Y137 NUM_INPUTS 32 SITEPROP SLICE_X11Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y137 NUM_PINS 45 SITEPROP SLICE_X11Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y137 PROHIBIT 0 SITEPROP SLICE_X11Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y137 RPM_X 43 SITEPROP SLICE_X11Y137 RPM_Y 274 SITEPROP SLICE_X11Y137 SITE_PIPS SITEPROP SLICE_X11Y137 SITE_TYPE SLICEL SITEPROP SLICE_X11Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y138 CLASS site SITEPROP SLICE_X11Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y138 IS_BONDED 0 SITEPROP SLICE_X11Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y138 IS_PAD 0 SITEPROP SLICE_X11Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y138 IS_RESERVED 0 SITEPROP SLICE_X11Y138 IS_TEST 0 SITEPROP SLICE_X11Y138 IS_USED 0 SITEPROP SLICE_X11Y138 MANUAL_ROUTING SITEPROP SLICE_X11Y138 NAME SLICE_X11Y138 SITEPROP SLICE_X11Y138 NUM_ARCS 138 SITEPROP SLICE_X11Y138 NUM_BELS 32 SITEPROP SLICE_X11Y138 NUM_INPUTS 32 SITEPROP SLICE_X11Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y138 NUM_PINS 45 SITEPROP SLICE_X11Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y138 PROHIBIT 0 SITEPROP SLICE_X11Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y138 RPM_X 43 SITEPROP SLICE_X11Y138 RPM_Y 276 SITEPROP SLICE_X11Y138 SITE_PIPS SITEPROP SLICE_X11Y138 SITE_TYPE SLICEL SITEPROP SLICE_X11Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y139 CLASS site SITEPROP SLICE_X11Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y139 IS_BONDED 0 SITEPROP SLICE_X11Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y139 IS_PAD 0 SITEPROP SLICE_X11Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y139 IS_RESERVED 0 SITEPROP SLICE_X11Y139 IS_TEST 0 SITEPROP SLICE_X11Y139 IS_USED 0 SITEPROP SLICE_X11Y139 MANUAL_ROUTING SITEPROP SLICE_X11Y139 NAME SLICE_X11Y139 SITEPROP SLICE_X11Y139 NUM_ARCS 138 SITEPROP SLICE_X11Y139 NUM_BELS 32 SITEPROP SLICE_X11Y139 NUM_INPUTS 32 SITEPROP SLICE_X11Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y139 NUM_PINS 45 SITEPROP SLICE_X11Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y139 PROHIBIT 0 SITEPROP SLICE_X11Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y139 RPM_X 43 SITEPROP SLICE_X11Y139 RPM_Y 278 SITEPROP SLICE_X11Y139 SITE_PIPS SITEPROP SLICE_X11Y139 SITE_TYPE SLICEL SITEPROP SLICE_X11Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y140 CLASS site SITEPROP SLICE_X11Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y140 IS_BONDED 0 SITEPROP SLICE_X11Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y140 IS_PAD 0 SITEPROP SLICE_X11Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y140 IS_RESERVED 0 SITEPROP SLICE_X11Y140 IS_TEST 0 SITEPROP SLICE_X11Y140 IS_USED 0 SITEPROP SLICE_X11Y140 MANUAL_ROUTING SITEPROP SLICE_X11Y140 NAME SLICE_X11Y140 SITEPROP SLICE_X11Y140 NUM_ARCS 138 SITEPROP SLICE_X11Y140 NUM_BELS 32 SITEPROP SLICE_X11Y140 NUM_INPUTS 32 SITEPROP SLICE_X11Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y140 NUM_PINS 45 SITEPROP SLICE_X11Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y140 PROHIBIT 0 SITEPROP SLICE_X11Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y140 RPM_X 43 SITEPROP SLICE_X11Y140 RPM_Y 280 SITEPROP SLICE_X11Y140 SITE_PIPS SITEPROP SLICE_X11Y140 SITE_TYPE SLICEL SITEPROP SLICE_X11Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y141 CLASS site SITEPROP SLICE_X11Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y141 IS_BONDED 0 SITEPROP SLICE_X11Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y141 IS_PAD 0 SITEPROP SLICE_X11Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y141 IS_RESERVED 0 SITEPROP SLICE_X11Y141 IS_TEST 0 SITEPROP SLICE_X11Y141 IS_USED 0 SITEPROP SLICE_X11Y141 MANUAL_ROUTING SITEPROP SLICE_X11Y141 NAME SLICE_X11Y141 SITEPROP SLICE_X11Y141 NUM_ARCS 138 SITEPROP SLICE_X11Y141 NUM_BELS 32 SITEPROP SLICE_X11Y141 NUM_INPUTS 32 SITEPROP SLICE_X11Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y141 NUM_PINS 45 SITEPROP SLICE_X11Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y141 PROHIBIT 0 SITEPROP SLICE_X11Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y141 RPM_X 43 SITEPROP SLICE_X11Y141 RPM_Y 282 SITEPROP SLICE_X11Y141 SITE_PIPS SITEPROP SLICE_X11Y141 SITE_TYPE SLICEL SITEPROP SLICE_X11Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y142 CLASS site SITEPROP SLICE_X11Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y142 IS_BONDED 0 SITEPROP SLICE_X11Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y142 IS_PAD 0 SITEPROP SLICE_X11Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y142 IS_RESERVED 0 SITEPROP SLICE_X11Y142 IS_TEST 0 SITEPROP SLICE_X11Y142 IS_USED 0 SITEPROP SLICE_X11Y142 MANUAL_ROUTING SITEPROP SLICE_X11Y142 NAME SLICE_X11Y142 SITEPROP SLICE_X11Y142 NUM_ARCS 138 SITEPROP SLICE_X11Y142 NUM_BELS 32 SITEPROP SLICE_X11Y142 NUM_INPUTS 32 SITEPROP SLICE_X11Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y142 NUM_PINS 45 SITEPROP SLICE_X11Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y142 PROHIBIT 0 SITEPROP SLICE_X11Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y142 RPM_X 43 SITEPROP SLICE_X11Y142 RPM_Y 284 SITEPROP SLICE_X11Y142 SITE_PIPS SITEPROP SLICE_X11Y142 SITE_TYPE SLICEL SITEPROP SLICE_X11Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y143 CLASS site SITEPROP SLICE_X11Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y143 IS_BONDED 0 SITEPROP SLICE_X11Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y143 IS_PAD 0 SITEPROP SLICE_X11Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y143 IS_RESERVED 0 SITEPROP SLICE_X11Y143 IS_TEST 0 SITEPROP SLICE_X11Y143 IS_USED 0 SITEPROP SLICE_X11Y143 MANUAL_ROUTING SITEPROP SLICE_X11Y143 NAME SLICE_X11Y143 SITEPROP SLICE_X11Y143 NUM_ARCS 138 SITEPROP SLICE_X11Y143 NUM_BELS 32 SITEPROP SLICE_X11Y143 NUM_INPUTS 32 SITEPROP SLICE_X11Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y143 NUM_PINS 45 SITEPROP SLICE_X11Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y143 PROHIBIT 0 SITEPROP SLICE_X11Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y143 RPM_X 43 SITEPROP SLICE_X11Y143 RPM_Y 286 SITEPROP SLICE_X11Y143 SITE_PIPS SITEPROP SLICE_X11Y143 SITE_TYPE SLICEL SITEPROP SLICE_X11Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y144 CLASS site SITEPROP SLICE_X11Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y144 IS_BONDED 0 SITEPROP SLICE_X11Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y144 IS_PAD 0 SITEPROP SLICE_X11Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y144 IS_RESERVED 0 SITEPROP SLICE_X11Y144 IS_TEST 0 SITEPROP SLICE_X11Y144 IS_USED 0 SITEPROP SLICE_X11Y144 MANUAL_ROUTING SITEPROP SLICE_X11Y144 NAME SLICE_X11Y144 SITEPROP SLICE_X11Y144 NUM_ARCS 138 SITEPROP SLICE_X11Y144 NUM_BELS 32 SITEPROP SLICE_X11Y144 NUM_INPUTS 32 SITEPROP SLICE_X11Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y144 NUM_PINS 45 SITEPROP SLICE_X11Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y144 PROHIBIT 0 SITEPROP SLICE_X11Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y144 RPM_X 43 SITEPROP SLICE_X11Y144 RPM_Y 288 SITEPROP SLICE_X11Y144 SITE_PIPS SITEPROP SLICE_X11Y144 SITE_TYPE SLICEL SITEPROP SLICE_X11Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y145 CLASS site SITEPROP SLICE_X11Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y145 IS_BONDED 0 SITEPROP SLICE_X11Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y145 IS_PAD 0 SITEPROP SLICE_X11Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y145 IS_RESERVED 0 SITEPROP SLICE_X11Y145 IS_TEST 0 SITEPROP SLICE_X11Y145 IS_USED 0 SITEPROP SLICE_X11Y145 MANUAL_ROUTING SITEPROP SLICE_X11Y145 NAME SLICE_X11Y145 SITEPROP SLICE_X11Y145 NUM_ARCS 138 SITEPROP SLICE_X11Y145 NUM_BELS 32 SITEPROP SLICE_X11Y145 NUM_INPUTS 32 SITEPROP SLICE_X11Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y145 NUM_PINS 45 SITEPROP SLICE_X11Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y145 PROHIBIT 0 SITEPROP SLICE_X11Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y145 RPM_X 43 SITEPROP SLICE_X11Y145 RPM_Y 290 SITEPROP SLICE_X11Y145 SITE_PIPS SITEPROP SLICE_X11Y145 SITE_TYPE SLICEL SITEPROP SLICE_X11Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y146 CLASS site SITEPROP SLICE_X11Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y146 IS_BONDED 0 SITEPROP SLICE_X11Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y146 IS_PAD 0 SITEPROP SLICE_X11Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y146 IS_RESERVED 0 SITEPROP SLICE_X11Y146 IS_TEST 0 SITEPROP SLICE_X11Y146 IS_USED 0 SITEPROP SLICE_X11Y146 MANUAL_ROUTING SITEPROP SLICE_X11Y146 NAME SLICE_X11Y146 SITEPROP SLICE_X11Y146 NUM_ARCS 138 SITEPROP SLICE_X11Y146 NUM_BELS 32 SITEPROP SLICE_X11Y146 NUM_INPUTS 32 SITEPROP SLICE_X11Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y146 NUM_PINS 45 SITEPROP SLICE_X11Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y146 PROHIBIT 0 SITEPROP SLICE_X11Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y146 RPM_X 43 SITEPROP SLICE_X11Y146 RPM_Y 292 SITEPROP SLICE_X11Y146 SITE_PIPS SITEPROP SLICE_X11Y146 SITE_TYPE SLICEL SITEPROP SLICE_X11Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y147 CLASS site SITEPROP SLICE_X11Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y147 IS_BONDED 0 SITEPROP SLICE_X11Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y147 IS_PAD 0 SITEPROP SLICE_X11Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y147 IS_RESERVED 0 SITEPROP SLICE_X11Y147 IS_TEST 0 SITEPROP SLICE_X11Y147 IS_USED 0 SITEPROP SLICE_X11Y147 MANUAL_ROUTING SITEPROP SLICE_X11Y147 NAME SLICE_X11Y147 SITEPROP SLICE_X11Y147 NUM_ARCS 138 SITEPROP SLICE_X11Y147 NUM_BELS 32 SITEPROP SLICE_X11Y147 NUM_INPUTS 32 SITEPROP SLICE_X11Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y147 NUM_PINS 45 SITEPROP SLICE_X11Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y147 PROHIBIT 0 SITEPROP SLICE_X11Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y147 RPM_X 43 SITEPROP SLICE_X11Y147 RPM_Y 294 SITEPROP SLICE_X11Y147 SITE_PIPS SITEPROP SLICE_X11Y147 SITE_TYPE SLICEL SITEPROP SLICE_X11Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y148 CLASS site SITEPROP SLICE_X11Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y148 IS_BONDED 0 SITEPROP SLICE_X11Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y148 IS_PAD 0 SITEPROP SLICE_X11Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y148 IS_RESERVED 0 SITEPROP SLICE_X11Y148 IS_TEST 0 SITEPROP SLICE_X11Y148 IS_USED 0 SITEPROP SLICE_X11Y148 MANUAL_ROUTING SITEPROP SLICE_X11Y148 NAME SLICE_X11Y148 SITEPROP SLICE_X11Y148 NUM_ARCS 138 SITEPROP SLICE_X11Y148 NUM_BELS 32 SITEPROP SLICE_X11Y148 NUM_INPUTS 32 SITEPROP SLICE_X11Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y148 NUM_PINS 45 SITEPROP SLICE_X11Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y148 PROHIBIT 0 SITEPROP SLICE_X11Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y148 RPM_X 43 SITEPROP SLICE_X11Y148 RPM_Y 296 SITEPROP SLICE_X11Y148 SITE_PIPS SITEPROP SLICE_X11Y148 SITE_TYPE SLICEL SITEPROP SLICE_X11Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X11Y149 CLASS site SITEPROP SLICE_X11Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X11Y149 IS_BONDED 0 SITEPROP SLICE_X11Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X11Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y149 IS_PAD 0 SITEPROP SLICE_X11Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X11Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X11Y149 IS_RESERVED 0 SITEPROP SLICE_X11Y149 IS_TEST 0 SITEPROP SLICE_X11Y149 IS_USED 0 SITEPROP SLICE_X11Y149 MANUAL_ROUTING SITEPROP SLICE_X11Y149 NAME SLICE_X11Y149 SITEPROP SLICE_X11Y149 NUM_ARCS 138 SITEPROP SLICE_X11Y149 NUM_BELS 32 SITEPROP SLICE_X11Y149 NUM_INPUTS 32 SITEPROP SLICE_X11Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X11Y149 NUM_PINS 45 SITEPROP SLICE_X11Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X11Y149 PROHIBIT 0 SITEPROP SLICE_X11Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X11Y149 RPM_X 43 SITEPROP SLICE_X11Y149 RPM_Y 298 SITEPROP SLICE_X11Y149 SITE_PIPS SITEPROP SLICE_X11Y149 SITE_TYPE SLICEL SITEPROP SLICE_X12Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y0 CLASS site SITEPROP SLICE_X12Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y0 IS_BONDED 0 SITEPROP SLICE_X12Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y0 IS_PAD 0 SITEPROP SLICE_X12Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y0 IS_RESERVED 0 SITEPROP SLICE_X12Y0 IS_TEST 0 SITEPROP SLICE_X12Y0 IS_USED 0 SITEPROP SLICE_X12Y0 MANUAL_ROUTING SITEPROP SLICE_X12Y0 NAME SLICE_X12Y0 SITEPROP SLICE_X12Y0 NUM_ARCS 153 SITEPROP SLICE_X12Y0 NUM_BELS 32 SITEPROP SLICE_X12Y0 NUM_INPUTS 37 SITEPROP SLICE_X12Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y0 NUM_PINS 50 SITEPROP SLICE_X12Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y0 PROHIBIT 0 SITEPROP SLICE_X12Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y0 RPM_X 49 SITEPROP SLICE_X12Y0 RPM_Y 0 SITEPROP SLICE_X12Y0 SITE_PIPS SITEPROP SLICE_X12Y0 SITE_TYPE SLICEM SITEPROP SLICE_X12Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y1 CLASS site SITEPROP SLICE_X12Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y1 IS_BONDED 0 SITEPROP SLICE_X12Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y1 IS_PAD 0 SITEPROP SLICE_X12Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y1 IS_RESERVED 0 SITEPROP SLICE_X12Y1 IS_TEST 0 SITEPROP SLICE_X12Y1 IS_USED 0 SITEPROP SLICE_X12Y1 MANUAL_ROUTING SITEPROP SLICE_X12Y1 NAME SLICE_X12Y1 SITEPROP SLICE_X12Y1 NUM_ARCS 153 SITEPROP SLICE_X12Y1 NUM_BELS 32 SITEPROP SLICE_X12Y1 NUM_INPUTS 37 SITEPROP SLICE_X12Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y1 NUM_PINS 50 SITEPROP SLICE_X12Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y1 PROHIBIT 0 SITEPROP SLICE_X12Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y1 RPM_X 49 SITEPROP SLICE_X12Y1 RPM_Y 2 SITEPROP SLICE_X12Y1 SITE_PIPS SITEPROP SLICE_X12Y1 SITE_TYPE SLICEM SITEPROP SLICE_X12Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y2 CLASS site SITEPROP SLICE_X12Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y2 IS_BONDED 0 SITEPROP SLICE_X12Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y2 IS_PAD 0 SITEPROP SLICE_X12Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y2 IS_RESERVED 0 SITEPROP SLICE_X12Y2 IS_TEST 0 SITEPROP SLICE_X12Y2 IS_USED 0 SITEPROP SLICE_X12Y2 MANUAL_ROUTING SITEPROP SLICE_X12Y2 NAME SLICE_X12Y2 SITEPROP SLICE_X12Y2 NUM_ARCS 153 SITEPROP SLICE_X12Y2 NUM_BELS 32 SITEPROP SLICE_X12Y2 NUM_INPUTS 37 SITEPROP SLICE_X12Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y2 NUM_PINS 50 SITEPROP SLICE_X12Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y2 PROHIBIT 0 SITEPROP SLICE_X12Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y2 RPM_X 49 SITEPROP SLICE_X12Y2 RPM_Y 4 SITEPROP SLICE_X12Y2 SITE_PIPS SITEPROP SLICE_X12Y2 SITE_TYPE SLICEM SITEPROP SLICE_X12Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y3 CLASS site SITEPROP SLICE_X12Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y3 IS_BONDED 0 SITEPROP SLICE_X12Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y3 IS_PAD 0 SITEPROP SLICE_X12Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y3 IS_RESERVED 0 SITEPROP SLICE_X12Y3 IS_TEST 0 SITEPROP SLICE_X12Y3 IS_USED 0 SITEPROP SLICE_X12Y3 MANUAL_ROUTING SITEPROP SLICE_X12Y3 NAME SLICE_X12Y3 SITEPROP SLICE_X12Y3 NUM_ARCS 153 SITEPROP SLICE_X12Y3 NUM_BELS 32 SITEPROP SLICE_X12Y3 NUM_INPUTS 37 SITEPROP SLICE_X12Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y3 NUM_PINS 50 SITEPROP SLICE_X12Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y3 PROHIBIT 0 SITEPROP SLICE_X12Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y3 RPM_X 49 SITEPROP SLICE_X12Y3 RPM_Y 6 SITEPROP SLICE_X12Y3 SITE_PIPS SITEPROP SLICE_X12Y3 SITE_TYPE SLICEM SITEPROP SLICE_X12Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y4 CLASS site SITEPROP SLICE_X12Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y4 IS_BONDED 0 SITEPROP SLICE_X12Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y4 IS_PAD 0 SITEPROP SLICE_X12Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y4 IS_RESERVED 0 SITEPROP SLICE_X12Y4 IS_TEST 0 SITEPROP SLICE_X12Y4 IS_USED 0 SITEPROP SLICE_X12Y4 MANUAL_ROUTING SITEPROP SLICE_X12Y4 NAME SLICE_X12Y4 SITEPROP SLICE_X12Y4 NUM_ARCS 153 SITEPROP SLICE_X12Y4 NUM_BELS 32 SITEPROP SLICE_X12Y4 NUM_INPUTS 37 SITEPROP SLICE_X12Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y4 NUM_PINS 50 SITEPROP SLICE_X12Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y4 PROHIBIT 0 SITEPROP SLICE_X12Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y4 RPM_X 49 SITEPROP SLICE_X12Y4 RPM_Y 8 SITEPROP SLICE_X12Y4 SITE_PIPS SITEPROP SLICE_X12Y4 SITE_TYPE SLICEM SITEPROP SLICE_X12Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y5 CLASS site SITEPROP SLICE_X12Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y5 IS_BONDED 0 SITEPROP SLICE_X12Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y5 IS_PAD 0 SITEPROP SLICE_X12Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y5 IS_RESERVED 0 SITEPROP SLICE_X12Y5 IS_TEST 0 SITEPROP SLICE_X12Y5 IS_USED 0 SITEPROP SLICE_X12Y5 MANUAL_ROUTING SITEPROP SLICE_X12Y5 NAME SLICE_X12Y5 SITEPROP SLICE_X12Y5 NUM_ARCS 153 SITEPROP SLICE_X12Y5 NUM_BELS 32 SITEPROP SLICE_X12Y5 NUM_INPUTS 37 SITEPROP SLICE_X12Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y5 NUM_PINS 50 SITEPROP SLICE_X12Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y5 PROHIBIT 0 SITEPROP SLICE_X12Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y5 RPM_X 49 SITEPROP SLICE_X12Y5 RPM_Y 10 SITEPROP SLICE_X12Y5 SITE_PIPS SITEPROP SLICE_X12Y5 SITE_TYPE SLICEM SITEPROP SLICE_X12Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y6 CLASS site SITEPROP SLICE_X12Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y6 IS_BONDED 0 SITEPROP SLICE_X12Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y6 IS_PAD 0 SITEPROP SLICE_X12Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y6 IS_RESERVED 0 SITEPROP SLICE_X12Y6 IS_TEST 0 SITEPROP SLICE_X12Y6 IS_USED 0 SITEPROP SLICE_X12Y6 MANUAL_ROUTING SITEPROP SLICE_X12Y6 NAME SLICE_X12Y6 SITEPROP SLICE_X12Y6 NUM_ARCS 153 SITEPROP SLICE_X12Y6 NUM_BELS 32 SITEPROP SLICE_X12Y6 NUM_INPUTS 37 SITEPROP SLICE_X12Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y6 NUM_PINS 50 SITEPROP SLICE_X12Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y6 PROHIBIT 0 SITEPROP SLICE_X12Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y6 RPM_X 49 SITEPROP SLICE_X12Y6 RPM_Y 12 SITEPROP SLICE_X12Y6 SITE_PIPS SITEPROP SLICE_X12Y6 SITE_TYPE SLICEM SITEPROP SLICE_X12Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y7 CLASS site SITEPROP SLICE_X12Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y7 IS_BONDED 0 SITEPROP SLICE_X12Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y7 IS_PAD 0 SITEPROP SLICE_X12Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y7 IS_RESERVED 0 SITEPROP SLICE_X12Y7 IS_TEST 0 SITEPROP SLICE_X12Y7 IS_USED 0 SITEPROP SLICE_X12Y7 MANUAL_ROUTING SITEPROP SLICE_X12Y7 NAME SLICE_X12Y7 SITEPROP SLICE_X12Y7 NUM_ARCS 153 SITEPROP SLICE_X12Y7 NUM_BELS 32 SITEPROP SLICE_X12Y7 NUM_INPUTS 37 SITEPROP SLICE_X12Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y7 NUM_PINS 50 SITEPROP SLICE_X12Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y7 PROHIBIT 0 SITEPROP SLICE_X12Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y7 RPM_X 49 SITEPROP SLICE_X12Y7 RPM_Y 14 SITEPROP SLICE_X12Y7 SITE_PIPS SITEPROP SLICE_X12Y7 SITE_TYPE SLICEM SITEPROP SLICE_X12Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y8 CLASS site SITEPROP SLICE_X12Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y8 IS_BONDED 0 SITEPROP SLICE_X12Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y8 IS_PAD 0 SITEPROP SLICE_X12Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y8 IS_RESERVED 0 SITEPROP SLICE_X12Y8 IS_TEST 0 SITEPROP SLICE_X12Y8 IS_USED 0 SITEPROP SLICE_X12Y8 MANUAL_ROUTING SITEPROP SLICE_X12Y8 NAME SLICE_X12Y8 SITEPROP SLICE_X12Y8 NUM_ARCS 153 SITEPROP SLICE_X12Y8 NUM_BELS 32 SITEPROP SLICE_X12Y8 NUM_INPUTS 37 SITEPROP SLICE_X12Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y8 NUM_PINS 50 SITEPROP SLICE_X12Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y8 PROHIBIT 0 SITEPROP SLICE_X12Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y8 RPM_X 49 SITEPROP SLICE_X12Y8 RPM_Y 16 SITEPROP SLICE_X12Y8 SITE_PIPS SITEPROP SLICE_X12Y8 SITE_TYPE SLICEM SITEPROP SLICE_X12Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y9 CLASS site SITEPROP SLICE_X12Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y9 IS_BONDED 0 SITEPROP SLICE_X12Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y9 IS_PAD 0 SITEPROP SLICE_X12Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y9 IS_RESERVED 0 SITEPROP SLICE_X12Y9 IS_TEST 0 SITEPROP SLICE_X12Y9 IS_USED 0 SITEPROP SLICE_X12Y9 MANUAL_ROUTING SITEPROP SLICE_X12Y9 NAME SLICE_X12Y9 SITEPROP SLICE_X12Y9 NUM_ARCS 153 SITEPROP SLICE_X12Y9 NUM_BELS 32 SITEPROP SLICE_X12Y9 NUM_INPUTS 37 SITEPROP SLICE_X12Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y9 NUM_PINS 50 SITEPROP SLICE_X12Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y9 PROHIBIT 0 SITEPROP SLICE_X12Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y9 RPM_X 49 SITEPROP SLICE_X12Y9 RPM_Y 18 SITEPROP SLICE_X12Y9 SITE_PIPS SITEPROP SLICE_X12Y9 SITE_TYPE SLICEM SITEPROP SLICE_X12Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y10 CLASS site SITEPROP SLICE_X12Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y10 IS_BONDED 0 SITEPROP SLICE_X12Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y10 IS_PAD 0 SITEPROP SLICE_X12Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y10 IS_RESERVED 0 SITEPROP SLICE_X12Y10 IS_TEST 0 SITEPROP SLICE_X12Y10 IS_USED 0 SITEPROP SLICE_X12Y10 MANUAL_ROUTING SITEPROP SLICE_X12Y10 NAME SLICE_X12Y10 SITEPROP SLICE_X12Y10 NUM_ARCS 153 SITEPROP SLICE_X12Y10 NUM_BELS 32 SITEPROP SLICE_X12Y10 NUM_INPUTS 37 SITEPROP SLICE_X12Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y10 NUM_PINS 50 SITEPROP SLICE_X12Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y10 PROHIBIT 0 SITEPROP SLICE_X12Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y10 RPM_X 49 SITEPROP SLICE_X12Y10 RPM_Y 20 SITEPROP SLICE_X12Y10 SITE_PIPS SITEPROP SLICE_X12Y10 SITE_TYPE SLICEM SITEPROP SLICE_X12Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y11 CLASS site SITEPROP SLICE_X12Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y11 IS_BONDED 0 SITEPROP SLICE_X12Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y11 IS_PAD 0 SITEPROP SLICE_X12Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y11 IS_RESERVED 0 SITEPROP SLICE_X12Y11 IS_TEST 0 SITEPROP SLICE_X12Y11 IS_USED 0 SITEPROP SLICE_X12Y11 MANUAL_ROUTING SITEPROP SLICE_X12Y11 NAME SLICE_X12Y11 SITEPROP SLICE_X12Y11 NUM_ARCS 153 SITEPROP SLICE_X12Y11 NUM_BELS 32 SITEPROP SLICE_X12Y11 NUM_INPUTS 37 SITEPROP SLICE_X12Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y11 NUM_PINS 50 SITEPROP SLICE_X12Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y11 PROHIBIT 0 SITEPROP SLICE_X12Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y11 RPM_X 49 SITEPROP SLICE_X12Y11 RPM_Y 22 SITEPROP SLICE_X12Y11 SITE_PIPS SITEPROP SLICE_X12Y11 SITE_TYPE SLICEM SITEPROP SLICE_X12Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y12 CLASS site SITEPROP SLICE_X12Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y12 IS_BONDED 0 SITEPROP SLICE_X12Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y12 IS_PAD 0 SITEPROP SLICE_X12Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y12 IS_RESERVED 0 SITEPROP SLICE_X12Y12 IS_TEST 0 SITEPROP SLICE_X12Y12 IS_USED 0 SITEPROP SLICE_X12Y12 MANUAL_ROUTING SITEPROP SLICE_X12Y12 NAME SLICE_X12Y12 SITEPROP SLICE_X12Y12 NUM_ARCS 153 SITEPROP SLICE_X12Y12 NUM_BELS 32 SITEPROP SLICE_X12Y12 NUM_INPUTS 37 SITEPROP SLICE_X12Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y12 NUM_PINS 50 SITEPROP SLICE_X12Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y12 PROHIBIT 0 SITEPROP SLICE_X12Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y12 RPM_X 49 SITEPROP SLICE_X12Y12 RPM_Y 24 SITEPROP SLICE_X12Y12 SITE_PIPS SITEPROP SLICE_X12Y12 SITE_TYPE SLICEM SITEPROP SLICE_X12Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y13 CLASS site SITEPROP SLICE_X12Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y13 IS_BONDED 0 SITEPROP SLICE_X12Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y13 IS_PAD 0 SITEPROP SLICE_X12Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y13 IS_RESERVED 0 SITEPROP SLICE_X12Y13 IS_TEST 0 SITEPROP SLICE_X12Y13 IS_USED 0 SITEPROP SLICE_X12Y13 MANUAL_ROUTING SITEPROP SLICE_X12Y13 NAME SLICE_X12Y13 SITEPROP SLICE_X12Y13 NUM_ARCS 153 SITEPROP SLICE_X12Y13 NUM_BELS 32 SITEPROP SLICE_X12Y13 NUM_INPUTS 37 SITEPROP SLICE_X12Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y13 NUM_PINS 50 SITEPROP SLICE_X12Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y13 PROHIBIT 0 SITEPROP SLICE_X12Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y13 RPM_X 49 SITEPROP SLICE_X12Y13 RPM_Y 26 SITEPROP SLICE_X12Y13 SITE_PIPS SITEPROP SLICE_X12Y13 SITE_TYPE SLICEM SITEPROP SLICE_X12Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y14 CLASS site SITEPROP SLICE_X12Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y14 IS_BONDED 0 SITEPROP SLICE_X12Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y14 IS_PAD 0 SITEPROP SLICE_X12Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y14 IS_RESERVED 0 SITEPROP SLICE_X12Y14 IS_TEST 0 SITEPROP SLICE_X12Y14 IS_USED 0 SITEPROP SLICE_X12Y14 MANUAL_ROUTING SITEPROP SLICE_X12Y14 NAME SLICE_X12Y14 SITEPROP SLICE_X12Y14 NUM_ARCS 153 SITEPROP SLICE_X12Y14 NUM_BELS 32 SITEPROP SLICE_X12Y14 NUM_INPUTS 37 SITEPROP SLICE_X12Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y14 NUM_PINS 50 SITEPROP SLICE_X12Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y14 PROHIBIT 0 SITEPROP SLICE_X12Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y14 RPM_X 49 SITEPROP SLICE_X12Y14 RPM_Y 28 SITEPROP SLICE_X12Y14 SITE_PIPS SITEPROP SLICE_X12Y14 SITE_TYPE SLICEM SITEPROP SLICE_X12Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y15 CLASS site SITEPROP SLICE_X12Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y15 IS_BONDED 0 SITEPROP SLICE_X12Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y15 IS_PAD 0 SITEPROP SLICE_X12Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y15 IS_RESERVED 0 SITEPROP SLICE_X12Y15 IS_TEST 0 SITEPROP SLICE_X12Y15 IS_USED 0 SITEPROP SLICE_X12Y15 MANUAL_ROUTING SITEPROP SLICE_X12Y15 NAME SLICE_X12Y15 SITEPROP SLICE_X12Y15 NUM_ARCS 153 SITEPROP SLICE_X12Y15 NUM_BELS 32 SITEPROP SLICE_X12Y15 NUM_INPUTS 37 SITEPROP SLICE_X12Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y15 NUM_PINS 50 SITEPROP SLICE_X12Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y15 PROHIBIT 0 SITEPROP SLICE_X12Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y15 RPM_X 49 SITEPROP SLICE_X12Y15 RPM_Y 30 SITEPROP SLICE_X12Y15 SITE_PIPS SITEPROP SLICE_X12Y15 SITE_TYPE SLICEM SITEPROP SLICE_X12Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y16 CLASS site SITEPROP SLICE_X12Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y16 IS_BONDED 0 SITEPROP SLICE_X12Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y16 IS_PAD 0 SITEPROP SLICE_X12Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y16 IS_RESERVED 0 SITEPROP SLICE_X12Y16 IS_TEST 0 SITEPROP SLICE_X12Y16 IS_USED 0 SITEPROP SLICE_X12Y16 MANUAL_ROUTING SITEPROP SLICE_X12Y16 NAME SLICE_X12Y16 SITEPROP SLICE_X12Y16 NUM_ARCS 153 SITEPROP SLICE_X12Y16 NUM_BELS 32 SITEPROP SLICE_X12Y16 NUM_INPUTS 37 SITEPROP SLICE_X12Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y16 NUM_PINS 50 SITEPROP SLICE_X12Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y16 PROHIBIT 0 SITEPROP SLICE_X12Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y16 RPM_X 49 SITEPROP SLICE_X12Y16 RPM_Y 32 SITEPROP SLICE_X12Y16 SITE_PIPS SITEPROP SLICE_X12Y16 SITE_TYPE SLICEM SITEPROP SLICE_X12Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y17 CLASS site SITEPROP SLICE_X12Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y17 IS_BONDED 0 SITEPROP SLICE_X12Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y17 IS_PAD 0 SITEPROP SLICE_X12Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y17 IS_RESERVED 0 SITEPROP SLICE_X12Y17 IS_TEST 0 SITEPROP SLICE_X12Y17 IS_USED 0 SITEPROP SLICE_X12Y17 MANUAL_ROUTING SITEPROP SLICE_X12Y17 NAME SLICE_X12Y17 SITEPROP SLICE_X12Y17 NUM_ARCS 153 SITEPROP SLICE_X12Y17 NUM_BELS 32 SITEPROP SLICE_X12Y17 NUM_INPUTS 37 SITEPROP SLICE_X12Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y17 NUM_PINS 50 SITEPROP SLICE_X12Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y17 PROHIBIT 0 SITEPROP SLICE_X12Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y17 RPM_X 49 SITEPROP SLICE_X12Y17 RPM_Y 34 SITEPROP SLICE_X12Y17 SITE_PIPS SITEPROP SLICE_X12Y17 SITE_TYPE SLICEM SITEPROP SLICE_X12Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y18 CLASS site SITEPROP SLICE_X12Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y18 IS_BONDED 0 SITEPROP SLICE_X12Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y18 IS_PAD 0 SITEPROP SLICE_X12Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y18 IS_RESERVED 0 SITEPROP SLICE_X12Y18 IS_TEST 0 SITEPROP SLICE_X12Y18 IS_USED 0 SITEPROP SLICE_X12Y18 MANUAL_ROUTING SITEPROP SLICE_X12Y18 NAME SLICE_X12Y18 SITEPROP SLICE_X12Y18 NUM_ARCS 153 SITEPROP SLICE_X12Y18 NUM_BELS 32 SITEPROP SLICE_X12Y18 NUM_INPUTS 37 SITEPROP SLICE_X12Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y18 NUM_PINS 50 SITEPROP SLICE_X12Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y18 PROHIBIT 0 SITEPROP SLICE_X12Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y18 RPM_X 49 SITEPROP SLICE_X12Y18 RPM_Y 36 SITEPROP SLICE_X12Y18 SITE_PIPS SITEPROP SLICE_X12Y18 SITE_TYPE SLICEM SITEPROP SLICE_X12Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y19 CLASS site SITEPROP SLICE_X12Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y19 IS_BONDED 0 SITEPROP SLICE_X12Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y19 IS_PAD 0 SITEPROP SLICE_X12Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y19 IS_RESERVED 0 SITEPROP SLICE_X12Y19 IS_TEST 0 SITEPROP SLICE_X12Y19 IS_USED 0 SITEPROP SLICE_X12Y19 MANUAL_ROUTING SITEPROP SLICE_X12Y19 NAME SLICE_X12Y19 SITEPROP SLICE_X12Y19 NUM_ARCS 153 SITEPROP SLICE_X12Y19 NUM_BELS 32 SITEPROP SLICE_X12Y19 NUM_INPUTS 37 SITEPROP SLICE_X12Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y19 NUM_PINS 50 SITEPROP SLICE_X12Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y19 PROHIBIT 0 SITEPROP SLICE_X12Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y19 RPM_X 49 SITEPROP SLICE_X12Y19 RPM_Y 38 SITEPROP SLICE_X12Y19 SITE_PIPS SITEPROP SLICE_X12Y19 SITE_TYPE SLICEM SITEPROP SLICE_X12Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y20 CLASS site SITEPROP SLICE_X12Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y20 IS_BONDED 0 SITEPROP SLICE_X12Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y20 IS_PAD 0 SITEPROP SLICE_X12Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y20 IS_RESERVED 0 SITEPROP SLICE_X12Y20 IS_TEST 0 SITEPROP SLICE_X12Y20 IS_USED 0 SITEPROP SLICE_X12Y20 MANUAL_ROUTING SITEPROP SLICE_X12Y20 NAME SLICE_X12Y20 SITEPROP SLICE_X12Y20 NUM_ARCS 153 SITEPROP SLICE_X12Y20 NUM_BELS 32 SITEPROP SLICE_X12Y20 NUM_INPUTS 37 SITEPROP SLICE_X12Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y20 NUM_PINS 50 SITEPROP SLICE_X12Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y20 PROHIBIT 0 SITEPROP SLICE_X12Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y20 RPM_X 49 SITEPROP SLICE_X12Y20 RPM_Y 40 SITEPROP SLICE_X12Y20 SITE_PIPS SITEPROP SLICE_X12Y20 SITE_TYPE SLICEM SITEPROP SLICE_X12Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y21 CLASS site SITEPROP SLICE_X12Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y21 IS_BONDED 0 SITEPROP SLICE_X12Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y21 IS_PAD 0 SITEPROP SLICE_X12Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y21 IS_RESERVED 0 SITEPROP SLICE_X12Y21 IS_TEST 0 SITEPROP SLICE_X12Y21 IS_USED 0 SITEPROP SLICE_X12Y21 MANUAL_ROUTING SITEPROP SLICE_X12Y21 NAME SLICE_X12Y21 SITEPROP SLICE_X12Y21 NUM_ARCS 153 SITEPROP SLICE_X12Y21 NUM_BELS 32 SITEPROP SLICE_X12Y21 NUM_INPUTS 37 SITEPROP SLICE_X12Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y21 NUM_PINS 50 SITEPROP SLICE_X12Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y21 PROHIBIT 0 SITEPROP SLICE_X12Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y21 RPM_X 49 SITEPROP SLICE_X12Y21 RPM_Y 42 SITEPROP SLICE_X12Y21 SITE_PIPS SITEPROP SLICE_X12Y21 SITE_TYPE SLICEM SITEPROP SLICE_X12Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y22 CLASS site SITEPROP SLICE_X12Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y22 IS_BONDED 0 SITEPROP SLICE_X12Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y22 IS_PAD 0 SITEPROP SLICE_X12Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y22 IS_RESERVED 0 SITEPROP SLICE_X12Y22 IS_TEST 0 SITEPROP SLICE_X12Y22 IS_USED 0 SITEPROP SLICE_X12Y22 MANUAL_ROUTING SITEPROP SLICE_X12Y22 NAME SLICE_X12Y22 SITEPROP SLICE_X12Y22 NUM_ARCS 153 SITEPROP SLICE_X12Y22 NUM_BELS 32 SITEPROP SLICE_X12Y22 NUM_INPUTS 37 SITEPROP SLICE_X12Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y22 NUM_PINS 50 SITEPROP SLICE_X12Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y22 PROHIBIT 0 SITEPROP SLICE_X12Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y22 RPM_X 49 SITEPROP SLICE_X12Y22 RPM_Y 44 SITEPROP SLICE_X12Y22 SITE_PIPS SITEPROP SLICE_X12Y22 SITE_TYPE SLICEM SITEPROP SLICE_X12Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y23 CLASS site SITEPROP SLICE_X12Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y23 IS_BONDED 0 SITEPROP SLICE_X12Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y23 IS_PAD 0 SITEPROP SLICE_X12Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y23 IS_RESERVED 0 SITEPROP SLICE_X12Y23 IS_TEST 0 SITEPROP SLICE_X12Y23 IS_USED 0 SITEPROP SLICE_X12Y23 MANUAL_ROUTING SITEPROP SLICE_X12Y23 NAME SLICE_X12Y23 SITEPROP SLICE_X12Y23 NUM_ARCS 153 SITEPROP SLICE_X12Y23 NUM_BELS 32 SITEPROP SLICE_X12Y23 NUM_INPUTS 37 SITEPROP SLICE_X12Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y23 NUM_PINS 50 SITEPROP SLICE_X12Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y23 PROHIBIT 0 SITEPROP SLICE_X12Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y23 RPM_X 49 SITEPROP SLICE_X12Y23 RPM_Y 46 SITEPROP SLICE_X12Y23 SITE_PIPS SITEPROP SLICE_X12Y23 SITE_TYPE SLICEM SITEPROP SLICE_X12Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y24 CLASS site SITEPROP SLICE_X12Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y24 IS_BONDED 0 SITEPROP SLICE_X12Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y24 IS_PAD 0 SITEPROP SLICE_X12Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y24 IS_RESERVED 0 SITEPROP SLICE_X12Y24 IS_TEST 0 SITEPROP SLICE_X12Y24 IS_USED 0 SITEPROP SLICE_X12Y24 MANUAL_ROUTING SITEPROP SLICE_X12Y24 NAME SLICE_X12Y24 SITEPROP SLICE_X12Y24 NUM_ARCS 153 SITEPROP SLICE_X12Y24 NUM_BELS 32 SITEPROP SLICE_X12Y24 NUM_INPUTS 37 SITEPROP SLICE_X12Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y24 NUM_PINS 50 SITEPROP SLICE_X12Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y24 PROHIBIT 0 SITEPROP SLICE_X12Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y24 RPM_X 49 SITEPROP SLICE_X12Y24 RPM_Y 48 SITEPROP SLICE_X12Y24 SITE_PIPS SITEPROP SLICE_X12Y24 SITE_TYPE SLICEM SITEPROP SLICE_X12Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y25 CLASS site SITEPROP SLICE_X12Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y25 IS_BONDED 0 SITEPROP SLICE_X12Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y25 IS_PAD 0 SITEPROP SLICE_X12Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y25 IS_RESERVED 0 SITEPROP SLICE_X12Y25 IS_TEST 0 SITEPROP SLICE_X12Y25 IS_USED 0 SITEPROP SLICE_X12Y25 MANUAL_ROUTING SITEPROP SLICE_X12Y25 NAME SLICE_X12Y25 SITEPROP SLICE_X12Y25 NUM_ARCS 153 SITEPROP SLICE_X12Y25 NUM_BELS 32 SITEPROP SLICE_X12Y25 NUM_INPUTS 37 SITEPROP SLICE_X12Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y25 NUM_PINS 50 SITEPROP SLICE_X12Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y25 PROHIBIT 0 SITEPROP SLICE_X12Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y25 RPM_X 49 SITEPROP SLICE_X12Y25 RPM_Y 50 SITEPROP SLICE_X12Y25 SITE_PIPS SITEPROP SLICE_X12Y25 SITE_TYPE SLICEM SITEPROP SLICE_X12Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y26 CLASS site SITEPROP SLICE_X12Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y26 IS_BONDED 0 SITEPROP SLICE_X12Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y26 IS_PAD 0 SITEPROP SLICE_X12Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y26 IS_RESERVED 0 SITEPROP SLICE_X12Y26 IS_TEST 0 SITEPROP SLICE_X12Y26 IS_USED 0 SITEPROP SLICE_X12Y26 MANUAL_ROUTING SITEPROP SLICE_X12Y26 NAME SLICE_X12Y26 SITEPROP SLICE_X12Y26 NUM_ARCS 153 SITEPROP SLICE_X12Y26 NUM_BELS 32 SITEPROP SLICE_X12Y26 NUM_INPUTS 37 SITEPROP SLICE_X12Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y26 NUM_PINS 50 SITEPROP SLICE_X12Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y26 PROHIBIT 0 SITEPROP SLICE_X12Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y26 RPM_X 49 SITEPROP SLICE_X12Y26 RPM_Y 52 SITEPROP SLICE_X12Y26 SITE_PIPS SITEPROP SLICE_X12Y26 SITE_TYPE SLICEM SITEPROP SLICE_X12Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y27 CLASS site SITEPROP SLICE_X12Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y27 IS_BONDED 0 SITEPROP SLICE_X12Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y27 IS_PAD 0 SITEPROP SLICE_X12Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y27 IS_RESERVED 0 SITEPROP SLICE_X12Y27 IS_TEST 0 SITEPROP SLICE_X12Y27 IS_USED 0 SITEPROP SLICE_X12Y27 MANUAL_ROUTING SITEPROP SLICE_X12Y27 NAME SLICE_X12Y27 SITEPROP SLICE_X12Y27 NUM_ARCS 153 SITEPROP SLICE_X12Y27 NUM_BELS 32 SITEPROP SLICE_X12Y27 NUM_INPUTS 37 SITEPROP SLICE_X12Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y27 NUM_PINS 50 SITEPROP SLICE_X12Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y27 PROHIBIT 0 SITEPROP SLICE_X12Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y27 RPM_X 49 SITEPROP SLICE_X12Y27 RPM_Y 54 SITEPROP SLICE_X12Y27 SITE_PIPS SITEPROP SLICE_X12Y27 SITE_TYPE SLICEM SITEPROP SLICE_X12Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y28 CLASS site SITEPROP SLICE_X12Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y28 IS_BONDED 0 SITEPROP SLICE_X12Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y28 IS_PAD 0 SITEPROP SLICE_X12Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y28 IS_RESERVED 0 SITEPROP SLICE_X12Y28 IS_TEST 0 SITEPROP SLICE_X12Y28 IS_USED 0 SITEPROP SLICE_X12Y28 MANUAL_ROUTING SITEPROP SLICE_X12Y28 NAME SLICE_X12Y28 SITEPROP SLICE_X12Y28 NUM_ARCS 153 SITEPROP SLICE_X12Y28 NUM_BELS 32 SITEPROP SLICE_X12Y28 NUM_INPUTS 37 SITEPROP SLICE_X12Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y28 NUM_PINS 50 SITEPROP SLICE_X12Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y28 PROHIBIT 0 SITEPROP SLICE_X12Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y28 RPM_X 49 SITEPROP SLICE_X12Y28 RPM_Y 56 SITEPROP SLICE_X12Y28 SITE_PIPS SITEPROP SLICE_X12Y28 SITE_TYPE SLICEM SITEPROP SLICE_X12Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y29 CLASS site SITEPROP SLICE_X12Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y29 IS_BONDED 0 SITEPROP SLICE_X12Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y29 IS_PAD 0 SITEPROP SLICE_X12Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y29 IS_RESERVED 0 SITEPROP SLICE_X12Y29 IS_TEST 0 SITEPROP SLICE_X12Y29 IS_USED 0 SITEPROP SLICE_X12Y29 MANUAL_ROUTING SITEPROP SLICE_X12Y29 NAME SLICE_X12Y29 SITEPROP SLICE_X12Y29 NUM_ARCS 153 SITEPROP SLICE_X12Y29 NUM_BELS 32 SITEPROP SLICE_X12Y29 NUM_INPUTS 37 SITEPROP SLICE_X12Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y29 NUM_PINS 50 SITEPROP SLICE_X12Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y29 PROHIBIT 0 SITEPROP SLICE_X12Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y29 RPM_X 49 SITEPROP SLICE_X12Y29 RPM_Y 58 SITEPROP SLICE_X12Y29 SITE_PIPS SITEPROP SLICE_X12Y29 SITE_TYPE SLICEM SITEPROP SLICE_X12Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y30 CLASS site SITEPROP SLICE_X12Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y30 IS_BONDED 0 SITEPROP SLICE_X12Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y30 IS_PAD 0 SITEPROP SLICE_X12Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y30 IS_RESERVED 0 SITEPROP SLICE_X12Y30 IS_TEST 0 SITEPROP SLICE_X12Y30 IS_USED 0 SITEPROP SLICE_X12Y30 MANUAL_ROUTING SITEPROP SLICE_X12Y30 NAME SLICE_X12Y30 SITEPROP SLICE_X12Y30 NUM_ARCS 153 SITEPROP SLICE_X12Y30 NUM_BELS 32 SITEPROP SLICE_X12Y30 NUM_INPUTS 37 SITEPROP SLICE_X12Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y30 NUM_PINS 50 SITEPROP SLICE_X12Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y30 PROHIBIT 0 SITEPROP SLICE_X12Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y30 RPM_X 49 SITEPROP SLICE_X12Y30 RPM_Y 60 SITEPROP SLICE_X12Y30 SITE_PIPS SITEPROP SLICE_X12Y30 SITE_TYPE SLICEM SITEPROP SLICE_X12Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y31 CLASS site SITEPROP SLICE_X12Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y31 IS_BONDED 0 SITEPROP SLICE_X12Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y31 IS_PAD 0 SITEPROP SLICE_X12Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y31 IS_RESERVED 0 SITEPROP SLICE_X12Y31 IS_TEST 0 SITEPROP SLICE_X12Y31 IS_USED 0 SITEPROP SLICE_X12Y31 MANUAL_ROUTING SITEPROP SLICE_X12Y31 NAME SLICE_X12Y31 SITEPROP SLICE_X12Y31 NUM_ARCS 153 SITEPROP SLICE_X12Y31 NUM_BELS 32 SITEPROP SLICE_X12Y31 NUM_INPUTS 37 SITEPROP SLICE_X12Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y31 NUM_PINS 50 SITEPROP SLICE_X12Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y31 PROHIBIT 0 SITEPROP SLICE_X12Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y31 RPM_X 49 SITEPROP SLICE_X12Y31 RPM_Y 62 SITEPROP SLICE_X12Y31 SITE_PIPS SITEPROP SLICE_X12Y31 SITE_TYPE SLICEM SITEPROP SLICE_X12Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y32 CLASS site SITEPROP SLICE_X12Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y32 IS_BONDED 0 SITEPROP SLICE_X12Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y32 IS_PAD 0 SITEPROP SLICE_X12Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y32 IS_RESERVED 0 SITEPROP SLICE_X12Y32 IS_TEST 0 SITEPROP SLICE_X12Y32 IS_USED 0 SITEPROP SLICE_X12Y32 MANUAL_ROUTING SITEPROP SLICE_X12Y32 NAME SLICE_X12Y32 SITEPROP SLICE_X12Y32 NUM_ARCS 153 SITEPROP SLICE_X12Y32 NUM_BELS 32 SITEPROP SLICE_X12Y32 NUM_INPUTS 37 SITEPROP SLICE_X12Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y32 NUM_PINS 50 SITEPROP SLICE_X12Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y32 PROHIBIT 0 SITEPROP SLICE_X12Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y32 RPM_X 49 SITEPROP SLICE_X12Y32 RPM_Y 64 SITEPROP SLICE_X12Y32 SITE_PIPS SITEPROP SLICE_X12Y32 SITE_TYPE SLICEM SITEPROP SLICE_X12Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y33 CLASS site SITEPROP SLICE_X12Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y33 IS_BONDED 0 SITEPROP SLICE_X12Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y33 IS_PAD 0 SITEPROP SLICE_X12Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y33 IS_RESERVED 0 SITEPROP SLICE_X12Y33 IS_TEST 0 SITEPROP SLICE_X12Y33 IS_USED 0 SITEPROP SLICE_X12Y33 MANUAL_ROUTING SITEPROP SLICE_X12Y33 NAME SLICE_X12Y33 SITEPROP SLICE_X12Y33 NUM_ARCS 153 SITEPROP SLICE_X12Y33 NUM_BELS 32 SITEPROP SLICE_X12Y33 NUM_INPUTS 37 SITEPROP SLICE_X12Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y33 NUM_PINS 50 SITEPROP SLICE_X12Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y33 PROHIBIT 0 SITEPROP SLICE_X12Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y33 RPM_X 49 SITEPROP SLICE_X12Y33 RPM_Y 66 SITEPROP SLICE_X12Y33 SITE_PIPS SITEPROP SLICE_X12Y33 SITE_TYPE SLICEM SITEPROP SLICE_X12Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y34 CLASS site SITEPROP SLICE_X12Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y34 IS_BONDED 0 SITEPROP SLICE_X12Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y34 IS_PAD 0 SITEPROP SLICE_X12Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y34 IS_RESERVED 0 SITEPROP SLICE_X12Y34 IS_TEST 0 SITEPROP SLICE_X12Y34 IS_USED 0 SITEPROP SLICE_X12Y34 MANUAL_ROUTING SITEPROP SLICE_X12Y34 NAME SLICE_X12Y34 SITEPROP SLICE_X12Y34 NUM_ARCS 153 SITEPROP SLICE_X12Y34 NUM_BELS 32 SITEPROP SLICE_X12Y34 NUM_INPUTS 37 SITEPROP SLICE_X12Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y34 NUM_PINS 50 SITEPROP SLICE_X12Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y34 PROHIBIT 0 SITEPROP SLICE_X12Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y34 RPM_X 49 SITEPROP SLICE_X12Y34 RPM_Y 68 SITEPROP SLICE_X12Y34 SITE_PIPS SITEPROP SLICE_X12Y34 SITE_TYPE SLICEM SITEPROP SLICE_X12Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y35 CLASS site SITEPROP SLICE_X12Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y35 IS_BONDED 0 SITEPROP SLICE_X12Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y35 IS_PAD 0 SITEPROP SLICE_X12Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y35 IS_RESERVED 0 SITEPROP SLICE_X12Y35 IS_TEST 0 SITEPROP SLICE_X12Y35 IS_USED 0 SITEPROP SLICE_X12Y35 MANUAL_ROUTING SITEPROP SLICE_X12Y35 NAME SLICE_X12Y35 SITEPROP SLICE_X12Y35 NUM_ARCS 153 SITEPROP SLICE_X12Y35 NUM_BELS 32 SITEPROP SLICE_X12Y35 NUM_INPUTS 37 SITEPROP SLICE_X12Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y35 NUM_PINS 50 SITEPROP SLICE_X12Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y35 PROHIBIT 0 SITEPROP SLICE_X12Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y35 RPM_X 49 SITEPROP SLICE_X12Y35 RPM_Y 70 SITEPROP SLICE_X12Y35 SITE_PIPS SITEPROP SLICE_X12Y35 SITE_TYPE SLICEM SITEPROP SLICE_X12Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y36 CLASS site SITEPROP SLICE_X12Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y36 IS_BONDED 0 SITEPROP SLICE_X12Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y36 IS_PAD 0 SITEPROP SLICE_X12Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y36 IS_RESERVED 0 SITEPROP SLICE_X12Y36 IS_TEST 0 SITEPROP SLICE_X12Y36 IS_USED 0 SITEPROP SLICE_X12Y36 MANUAL_ROUTING SITEPROP SLICE_X12Y36 NAME SLICE_X12Y36 SITEPROP SLICE_X12Y36 NUM_ARCS 153 SITEPROP SLICE_X12Y36 NUM_BELS 32 SITEPROP SLICE_X12Y36 NUM_INPUTS 37 SITEPROP SLICE_X12Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y36 NUM_PINS 50 SITEPROP SLICE_X12Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y36 PROHIBIT 0 SITEPROP SLICE_X12Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y36 RPM_X 49 SITEPROP SLICE_X12Y36 RPM_Y 72 SITEPROP SLICE_X12Y36 SITE_PIPS SITEPROP SLICE_X12Y36 SITE_TYPE SLICEM SITEPROP SLICE_X12Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y37 CLASS site SITEPROP SLICE_X12Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y37 IS_BONDED 0 SITEPROP SLICE_X12Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y37 IS_PAD 0 SITEPROP SLICE_X12Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y37 IS_RESERVED 0 SITEPROP SLICE_X12Y37 IS_TEST 0 SITEPROP SLICE_X12Y37 IS_USED 0 SITEPROP SLICE_X12Y37 MANUAL_ROUTING SITEPROP SLICE_X12Y37 NAME SLICE_X12Y37 SITEPROP SLICE_X12Y37 NUM_ARCS 153 SITEPROP SLICE_X12Y37 NUM_BELS 32 SITEPROP SLICE_X12Y37 NUM_INPUTS 37 SITEPROP SLICE_X12Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y37 NUM_PINS 50 SITEPROP SLICE_X12Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y37 PROHIBIT 0 SITEPROP SLICE_X12Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y37 RPM_X 49 SITEPROP SLICE_X12Y37 RPM_Y 74 SITEPROP SLICE_X12Y37 SITE_PIPS SITEPROP SLICE_X12Y37 SITE_TYPE SLICEM SITEPROP SLICE_X12Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y38 CLASS site SITEPROP SLICE_X12Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y38 IS_BONDED 0 SITEPROP SLICE_X12Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y38 IS_PAD 0 SITEPROP SLICE_X12Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y38 IS_RESERVED 0 SITEPROP SLICE_X12Y38 IS_TEST 0 SITEPROP SLICE_X12Y38 IS_USED 0 SITEPROP SLICE_X12Y38 MANUAL_ROUTING SITEPROP SLICE_X12Y38 NAME SLICE_X12Y38 SITEPROP SLICE_X12Y38 NUM_ARCS 153 SITEPROP SLICE_X12Y38 NUM_BELS 32 SITEPROP SLICE_X12Y38 NUM_INPUTS 37 SITEPROP SLICE_X12Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y38 NUM_PINS 50 SITEPROP SLICE_X12Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y38 PROHIBIT 0 SITEPROP SLICE_X12Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y38 RPM_X 49 SITEPROP SLICE_X12Y38 RPM_Y 76 SITEPROP SLICE_X12Y38 SITE_PIPS SITEPROP SLICE_X12Y38 SITE_TYPE SLICEM SITEPROP SLICE_X12Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y39 CLASS site SITEPROP SLICE_X12Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y39 IS_BONDED 0 SITEPROP SLICE_X12Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y39 IS_PAD 0 SITEPROP SLICE_X12Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y39 IS_RESERVED 0 SITEPROP SLICE_X12Y39 IS_TEST 0 SITEPROP SLICE_X12Y39 IS_USED 0 SITEPROP SLICE_X12Y39 MANUAL_ROUTING SITEPROP SLICE_X12Y39 NAME SLICE_X12Y39 SITEPROP SLICE_X12Y39 NUM_ARCS 153 SITEPROP SLICE_X12Y39 NUM_BELS 32 SITEPROP SLICE_X12Y39 NUM_INPUTS 37 SITEPROP SLICE_X12Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y39 NUM_PINS 50 SITEPROP SLICE_X12Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y39 PROHIBIT 0 SITEPROP SLICE_X12Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y39 RPM_X 49 SITEPROP SLICE_X12Y39 RPM_Y 78 SITEPROP SLICE_X12Y39 SITE_PIPS SITEPROP SLICE_X12Y39 SITE_TYPE SLICEM SITEPROP SLICE_X12Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y40 CLASS site SITEPROP SLICE_X12Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y40 IS_BONDED 0 SITEPROP SLICE_X12Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y40 IS_PAD 0 SITEPROP SLICE_X12Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y40 IS_RESERVED 0 SITEPROP SLICE_X12Y40 IS_TEST 0 SITEPROP SLICE_X12Y40 IS_USED 0 SITEPROP SLICE_X12Y40 MANUAL_ROUTING SITEPROP SLICE_X12Y40 NAME SLICE_X12Y40 SITEPROP SLICE_X12Y40 NUM_ARCS 153 SITEPROP SLICE_X12Y40 NUM_BELS 32 SITEPROP SLICE_X12Y40 NUM_INPUTS 37 SITEPROP SLICE_X12Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y40 NUM_PINS 50 SITEPROP SLICE_X12Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y40 PROHIBIT 0 SITEPROP SLICE_X12Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y40 RPM_X 49 SITEPROP SLICE_X12Y40 RPM_Y 80 SITEPROP SLICE_X12Y40 SITE_PIPS SITEPROP SLICE_X12Y40 SITE_TYPE SLICEM SITEPROP SLICE_X12Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y41 CLASS site SITEPROP SLICE_X12Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y41 IS_BONDED 0 SITEPROP SLICE_X12Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y41 IS_PAD 0 SITEPROP SLICE_X12Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y41 IS_RESERVED 0 SITEPROP SLICE_X12Y41 IS_TEST 0 SITEPROP SLICE_X12Y41 IS_USED 0 SITEPROP SLICE_X12Y41 MANUAL_ROUTING SITEPROP SLICE_X12Y41 NAME SLICE_X12Y41 SITEPROP SLICE_X12Y41 NUM_ARCS 153 SITEPROP SLICE_X12Y41 NUM_BELS 32 SITEPROP SLICE_X12Y41 NUM_INPUTS 37 SITEPROP SLICE_X12Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y41 NUM_PINS 50 SITEPROP SLICE_X12Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y41 PROHIBIT 0 SITEPROP SLICE_X12Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y41 RPM_X 49 SITEPROP SLICE_X12Y41 RPM_Y 82 SITEPROP SLICE_X12Y41 SITE_PIPS SITEPROP SLICE_X12Y41 SITE_TYPE SLICEM SITEPROP SLICE_X12Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y42 CLASS site SITEPROP SLICE_X12Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y42 IS_BONDED 0 SITEPROP SLICE_X12Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y42 IS_PAD 0 SITEPROP SLICE_X12Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y42 IS_RESERVED 0 SITEPROP SLICE_X12Y42 IS_TEST 0 SITEPROP SLICE_X12Y42 IS_USED 0 SITEPROP SLICE_X12Y42 MANUAL_ROUTING SITEPROP SLICE_X12Y42 NAME SLICE_X12Y42 SITEPROP SLICE_X12Y42 NUM_ARCS 153 SITEPROP SLICE_X12Y42 NUM_BELS 32 SITEPROP SLICE_X12Y42 NUM_INPUTS 37 SITEPROP SLICE_X12Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y42 NUM_PINS 50 SITEPROP SLICE_X12Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y42 PROHIBIT 0 SITEPROP SLICE_X12Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y42 RPM_X 49 SITEPROP SLICE_X12Y42 RPM_Y 84 SITEPROP SLICE_X12Y42 SITE_PIPS SITEPROP SLICE_X12Y42 SITE_TYPE SLICEM SITEPROP SLICE_X12Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y43 CLASS site SITEPROP SLICE_X12Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y43 IS_BONDED 0 SITEPROP SLICE_X12Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y43 IS_PAD 0 SITEPROP SLICE_X12Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y43 IS_RESERVED 0 SITEPROP SLICE_X12Y43 IS_TEST 0 SITEPROP SLICE_X12Y43 IS_USED 0 SITEPROP SLICE_X12Y43 MANUAL_ROUTING SITEPROP SLICE_X12Y43 NAME SLICE_X12Y43 SITEPROP SLICE_X12Y43 NUM_ARCS 153 SITEPROP SLICE_X12Y43 NUM_BELS 32 SITEPROP SLICE_X12Y43 NUM_INPUTS 37 SITEPROP SLICE_X12Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y43 NUM_PINS 50 SITEPROP SLICE_X12Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y43 PROHIBIT 0 SITEPROP SLICE_X12Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y43 RPM_X 49 SITEPROP SLICE_X12Y43 RPM_Y 86 SITEPROP SLICE_X12Y43 SITE_PIPS SITEPROP SLICE_X12Y43 SITE_TYPE SLICEM SITEPROP SLICE_X12Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y44 CLASS site SITEPROP SLICE_X12Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y44 IS_BONDED 0 SITEPROP SLICE_X12Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y44 IS_PAD 0 SITEPROP SLICE_X12Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y44 IS_RESERVED 0 SITEPROP SLICE_X12Y44 IS_TEST 0 SITEPROP SLICE_X12Y44 IS_USED 0 SITEPROP SLICE_X12Y44 MANUAL_ROUTING SITEPROP SLICE_X12Y44 NAME SLICE_X12Y44 SITEPROP SLICE_X12Y44 NUM_ARCS 153 SITEPROP SLICE_X12Y44 NUM_BELS 32 SITEPROP SLICE_X12Y44 NUM_INPUTS 37 SITEPROP SLICE_X12Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y44 NUM_PINS 50 SITEPROP SLICE_X12Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y44 PROHIBIT 0 SITEPROP SLICE_X12Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y44 RPM_X 49 SITEPROP SLICE_X12Y44 RPM_Y 88 SITEPROP SLICE_X12Y44 SITE_PIPS SITEPROP SLICE_X12Y44 SITE_TYPE SLICEM SITEPROP SLICE_X12Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y45 CLASS site SITEPROP SLICE_X12Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y45 IS_BONDED 0 SITEPROP SLICE_X12Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y45 IS_PAD 0 SITEPROP SLICE_X12Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y45 IS_RESERVED 0 SITEPROP SLICE_X12Y45 IS_TEST 0 SITEPROP SLICE_X12Y45 IS_USED 0 SITEPROP SLICE_X12Y45 MANUAL_ROUTING SITEPROP SLICE_X12Y45 NAME SLICE_X12Y45 SITEPROP SLICE_X12Y45 NUM_ARCS 153 SITEPROP SLICE_X12Y45 NUM_BELS 32 SITEPROP SLICE_X12Y45 NUM_INPUTS 37 SITEPROP SLICE_X12Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y45 NUM_PINS 50 SITEPROP SLICE_X12Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y45 PROHIBIT 0 SITEPROP SLICE_X12Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y45 RPM_X 49 SITEPROP SLICE_X12Y45 RPM_Y 90 SITEPROP SLICE_X12Y45 SITE_PIPS SITEPROP SLICE_X12Y45 SITE_TYPE SLICEM SITEPROP SLICE_X12Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y46 CLASS site SITEPROP SLICE_X12Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y46 IS_BONDED 0 SITEPROP SLICE_X12Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y46 IS_PAD 0 SITEPROP SLICE_X12Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y46 IS_RESERVED 0 SITEPROP SLICE_X12Y46 IS_TEST 0 SITEPROP SLICE_X12Y46 IS_USED 0 SITEPROP SLICE_X12Y46 MANUAL_ROUTING SITEPROP SLICE_X12Y46 NAME SLICE_X12Y46 SITEPROP SLICE_X12Y46 NUM_ARCS 153 SITEPROP SLICE_X12Y46 NUM_BELS 32 SITEPROP SLICE_X12Y46 NUM_INPUTS 37 SITEPROP SLICE_X12Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y46 NUM_PINS 50 SITEPROP SLICE_X12Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y46 PROHIBIT 0 SITEPROP SLICE_X12Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y46 RPM_X 49 SITEPROP SLICE_X12Y46 RPM_Y 92 SITEPROP SLICE_X12Y46 SITE_PIPS SITEPROP SLICE_X12Y46 SITE_TYPE SLICEM SITEPROP SLICE_X12Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y47 CLASS site SITEPROP SLICE_X12Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y47 IS_BONDED 0 SITEPROP SLICE_X12Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y47 IS_PAD 0 SITEPROP SLICE_X12Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y47 IS_RESERVED 0 SITEPROP SLICE_X12Y47 IS_TEST 0 SITEPROP SLICE_X12Y47 IS_USED 0 SITEPROP SLICE_X12Y47 MANUAL_ROUTING SITEPROP SLICE_X12Y47 NAME SLICE_X12Y47 SITEPROP SLICE_X12Y47 NUM_ARCS 153 SITEPROP SLICE_X12Y47 NUM_BELS 32 SITEPROP SLICE_X12Y47 NUM_INPUTS 37 SITEPROP SLICE_X12Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y47 NUM_PINS 50 SITEPROP SLICE_X12Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y47 PROHIBIT 0 SITEPROP SLICE_X12Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y47 RPM_X 49 SITEPROP SLICE_X12Y47 RPM_Y 94 SITEPROP SLICE_X12Y47 SITE_PIPS SITEPROP SLICE_X12Y47 SITE_TYPE SLICEM SITEPROP SLICE_X12Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y48 CLASS site SITEPROP SLICE_X12Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y48 IS_BONDED 0 SITEPROP SLICE_X12Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y48 IS_PAD 0 SITEPROP SLICE_X12Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y48 IS_RESERVED 0 SITEPROP SLICE_X12Y48 IS_TEST 0 SITEPROP SLICE_X12Y48 IS_USED 0 SITEPROP SLICE_X12Y48 MANUAL_ROUTING SITEPROP SLICE_X12Y48 NAME SLICE_X12Y48 SITEPROP SLICE_X12Y48 NUM_ARCS 153 SITEPROP SLICE_X12Y48 NUM_BELS 32 SITEPROP SLICE_X12Y48 NUM_INPUTS 37 SITEPROP SLICE_X12Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y48 NUM_PINS 50 SITEPROP SLICE_X12Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y48 PROHIBIT 0 SITEPROP SLICE_X12Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y48 RPM_X 49 SITEPROP SLICE_X12Y48 RPM_Y 96 SITEPROP SLICE_X12Y48 SITE_PIPS SITEPROP SLICE_X12Y48 SITE_TYPE SLICEM SITEPROP SLICE_X12Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y49 CLASS site SITEPROP SLICE_X12Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X12Y49 IS_BONDED 0 SITEPROP SLICE_X12Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y49 IS_PAD 0 SITEPROP SLICE_X12Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y49 IS_RESERVED 0 SITEPROP SLICE_X12Y49 IS_TEST 0 SITEPROP SLICE_X12Y49 IS_USED 0 SITEPROP SLICE_X12Y49 MANUAL_ROUTING SITEPROP SLICE_X12Y49 NAME SLICE_X12Y49 SITEPROP SLICE_X12Y49 NUM_ARCS 153 SITEPROP SLICE_X12Y49 NUM_BELS 32 SITEPROP SLICE_X12Y49 NUM_INPUTS 37 SITEPROP SLICE_X12Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y49 NUM_PINS 50 SITEPROP SLICE_X12Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y49 PROHIBIT 0 SITEPROP SLICE_X12Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y49 RPM_X 49 SITEPROP SLICE_X12Y49 RPM_Y 98 SITEPROP SLICE_X12Y49 SITE_PIPS SITEPROP SLICE_X12Y49 SITE_TYPE SLICEM SITEPROP SLICE_X12Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y50 CLASS site SITEPROP SLICE_X12Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y50 IS_BONDED 0 SITEPROP SLICE_X12Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y50 IS_PAD 0 SITEPROP SLICE_X12Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y50 IS_RESERVED 0 SITEPROP SLICE_X12Y50 IS_TEST 0 SITEPROP SLICE_X12Y50 IS_USED 0 SITEPROP SLICE_X12Y50 MANUAL_ROUTING SITEPROP SLICE_X12Y50 NAME SLICE_X12Y50 SITEPROP SLICE_X12Y50 NUM_ARCS 153 SITEPROP SLICE_X12Y50 NUM_BELS 32 SITEPROP SLICE_X12Y50 NUM_INPUTS 37 SITEPROP SLICE_X12Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y50 NUM_PINS 50 SITEPROP SLICE_X12Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y50 PROHIBIT 0 SITEPROP SLICE_X12Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y50 RPM_X 49 SITEPROP SLICE_X12Y50 RPM_Y 100 SITEPROP SLICE_X12Y50 SITE_PIPS SITEPROP SLICE_X12Y50 SITE_TYPE SLICEM SITEPROP SLICE_X12Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y51 CLASS site SITEPROP SLICE_X12Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y51 IS_BONDED 0 SITEPROP SLICE_X12Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y51 IS_PAD 0 SITEPROP SLICE_X12Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y51 IS_RESERVED 0 SITEPROP SLICE_X12Y51 IS_TEST 0 SITEPROP SLICE_X12Y51 IS_USED 0 SITEPROP SLICE_X12Y51 MANUAL_ROUTING SITEPROP SLICE_X12Y51 NAME SLICE_X12Y51 SITEPROP SLICE_X12Y51 NUM_ARCS 153 SITEPROP SLICE_X12Y51 NUM_BELS 32 SITEPROP SLICE_X12Y51 NUM_INPUTS 37 SITEPROP SLICE_X12Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y51 NUM_PINS 50 SITEPROP SLICE_X12Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y51 PROHIBIT 0 SITEPROP SLICE_X12Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y51 RPM_X 49 SITEPROP SLICE_X12Y51 RPM_Y 102 SITEPROP SLICE_X12Y51 SITE_PIPS SITEPROP SLICE_X12Y51 SITE_TYPE SLICEM SITEPROP SLICE_X12Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y52 CLASS site SITEPROP SLICE_X12Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y52 IS_BONDED 0 SITEPROP SLICE_X12Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y52 IS_PAD 0 SITEPROP SLICE_X12Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y52 IS_RESERVED 0 SITEPROP SLICE_X12Y52 IS_TEST 0 SITEPROP SLICE_X12Y52 IS_USED 0 SITEPROP SLICE_X12Y52 MANUAL_ROUTING SITEPROP SLICE_X12Y52 NAME SLICE_X12Y52 SITEPROP SLICE_X12Y52 NUM_ARCS 153 SITEPROP SLICE_X12Y52 NUM_BELS 32 SITEPROP SLICE_X12Y52 NUM_INPUTS 37 SITEPROP SLICE_X12Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y52 NUM_PINS 50 SITEPROP SLICE_X12Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y52 PROHIBIT 0 SITEPROP SLICE_X12Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y52 RPM_X 49 SITEPROP SLICE_X12Y52 RPM_Y 104 SITEPROP SLICE_X12Y52 SITE_PIPS SITEPROP SLICE_X12Y52 SITE_TYPE SLICEM SITEPROP SLICE_X12Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y53 CLASS site SITEPROP SLICE_X12Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y53 IS_BONDED 0 SITEPROP SLICE_X12Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y53 IS_PAD 0 SITEPROP SLICE_X12Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y53 IS_RESERVED 0 SITEPROP SLICE_X12Y53 IS_TEST 0 SITEPROP SLICE_X12Y53 IS_USED 0 SITEPROP SLICE_X12Y53 MANUAL_ROUTING SITEPROP SLICE_X12Y53 NAME SLICE_X12Y53 SITEPROP SLICE_X12Y53 NUM_ARCS 153 SITEPROP SLICE_X12Y53 NUM_BELS 32 SITEPROP SLICE_X12Y53 NUM_INPUTS 37 SITEPROP SLICE_X12Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y53 NUM_PINS 50 SITEPROP SLICE_X12Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y53 PROHIBIT 0 SITEPROP SLICE_X12Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y53 RPM_X 49 SITEPROP SLICE_X12Y53 RPM_Y 106 SITEPROP SLICE_X12Y53 SITE_PIPS SITEPROP SLICE_X12Y53 SITE_TYPE SLICEM SITEPROP SLICE_X12Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y54 CLASS site SITEPROP SLICE_X12Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y54 IS_BONDED 0 SITEPROP SLICE_X12Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y54 IS_PAD 0 SITEPROP SLICE_X12Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y54 IS_RESERVED 0 SITEPROP SLICE_X12Y54 IS_TEST 0 SITEPROP SLICE_X12Y54 IS_USED 0 SITEPROP SLICE_X12Y54 MANUAL_ROUTING SITEPROP SLICE_X12Y54 NAME SLICE_X12Y54 SITEPROP SLICE_X12Y54 NUM_ARCS 153 SITEPROP SLICE_X12Y54 NUM_BELS 32 SITEPROP SLICE_X12Y54 NUM_INPUTS 37 SITEPROP SLICE_X12Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y54 NUM_PINS 50 SITEPROP SLICE_X12Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y54 PROHIBIT 0 SITEPROP SLICE_X12Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y54 RPM_X 49 SITEPROP SLICE_X12Y54 RPM_Y 108 SITEPROP SLICE_X12Y54 SITE_PIPS SITEPROP SLICE_X12Y54 SITE_TYPE SLICEM SITEPROP SLICE_X12Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y55 CLASS site SITEPROP SLICE_X12Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y55 IS_BONDED 0 SITEPROP SLICE_X12Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y55 IS_PAD 0 SITEPROP SLICE_X12Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y55 IS_RESERVED 0 SITEPROP SLICE_X12Y55 IS_TEST 0 SITEPROP SLICE_X12Y55 IS_USED 0 SITEPROP SLICE_X12Y55 MANUAL_ROUTING SITEPROP SLICE_X12Y55 NAME SLICE_X12Y55 SITEPROP SLICE_X12Y55 NUM_ARCS 153 SITEPROP SLICE_X12Y55 NUM_BELS 32 SITEPROP SLICE_X12Y55 NUM_INPUTS 37 SITEPROP SLICE_X12Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y55 NUM_PINS 50 SITEPROP SLICE_X12Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y55 PROHIBIT 0 SITEPROP SLICE_X12Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y55 RPM_X 49 SITEPROP SLICE_X12Y55 RPM_Y 110 SITEPROP SLICE_X12Y55 SITE_PIPS SITEPROP SLICE_X12Y55 SITE_TYPE SLICEM SITEPROP SLICE_X12Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y56 CLASS site SITEPROP SLICE_X12Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y56 IS_BONDED 0 SITEPROP SLICE_X12Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y56 IS_PAD 0 SITEPROP SLICE_X12Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y56 IS_RESERVED 0 SITEPROP SLICE_X12Y56 IS_TEST 0 SITEPROP SLICE_X12Y56 IS_USED 0 SITEPROP SLICE_X12Y56 MANUAL_ROUTING SITEPROP SLICE_X12Y56 NAME SLICE_X12Y56 SITEPROP SLICE_X12Y56 NUM_ARCS 153 SITEPROP SLICE_X12Y56 NUM_BELS 32 SITEPROP SLICE_X12Y56 NUM_INPUTS 37 SITEPROP SLICE_X12Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y56 NUM_PINS 50 SITEPROP SLICE_X12Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y56 PROHIBIT 0 SITEPROP SLICE_X12Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y56 RPM_X 49 SITEPROP SLICE_X12Y56 RPM_Y 112 SITEPROP SLICE_X12Y56 SITE_PIPS SITEPROP SLICE_X12Y56 SITE_TYPE SLICEM SITEPROP SLICE_X12Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y57 CLASS site SITEPROP SLICE_X12Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y57 IS_BONDED 0 SITEPROP SLICE_X12Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y57 IS_PAD 0 SITEPROP SLICE_X12Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y57 IS_RESERVED 0 SITEPROP SLICE_X12Y57 IS_TEST 0 SITEPROP SLICE_X12Y57 IS_USED 0 SITEPROP SLICE_X12Y57 MANUAL_ROUTING SITEPROP SLICE_X12Y57 NAME SLICE_X12Y57 SITEPROP SLICE_X12Y57 NUM_ARCS 153 SITEPROP SLICE_X12Y57 NUM_BELS 32 SITEPROP SLICE_X12Y57 NUM_INPUTS 37 SITEPROP SLICE_X12Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y57 NUM_PINS 50 SITEPROP SLICE_X12Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y57 PROHIBIT 0 SITEPROP SLICE_X12Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y57 RPM_X 49 SITEPROP SLICE_X12Y57 RPM_Y 114 SITEPROP SLICE_X12Y57 SITE_PIPS SITEPROP SLICE_X12Y57 SITE_TYPE SLICEM SITEPROP SLICE_X12Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y58 CLASS site SITEPROP SLICE_X12Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y58 IS_BONDED 0 SITEPROP SLICE_X12Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y58 IS_PAD 0 SITEPROP SLICE_X12Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y58 IS_RESERVED 0 SITEPROP SLICE_X12Y58 IS_TEST 0 SITEPROP SLICE_X12Y58 IS_USED 0 SITEPROP SLICE_X12Y58 MANUAL_ROUTING SITEPROP SLICE_X12Y58 NAME SLICE_X12Y58 SITEPROP SLICE_X12Y58 NUM_ARCS 153 SITEPROP SLICE_X12Y58 NUM_BELS 32 SITEPROP SLICE_X12Y58 NUM_INPUTS 37 SITEPROP SLICE_X12Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y58 NUM_PINS 50 SITEPROP SLICE_X12Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y58 PROHIBIT 0 SITEPROP SLICE_X12Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y58 RPM_X 49 SITEPROP SLICE_X12Y58 RPM_Y 116 SITEPROP SLICE_X12Y58 SITE_PIPS SITEPROP SLICE_X12Y58 SITE_TYPE SLICEM SITEPROP SLICE_X12Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y59 CLASS site SITEPROP SLICE_X12Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y59 IS_BONDED 0 SITEPROP SLICE_X12Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y59 IS_PAD 0 SITEPROP SLICE_X12Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y59 IS_RESERVED 0 SITEPROP SLICE_X12Y59 IS_TEST 0 SITEPROP SLICE_X12Y59 IS_USED 0 SITEPROP SLICE_X12Y59 MANUAL_ROUTING SITEPROP SLICE_X12Y59 NAME SLICE_X12Y59 SITEPROP SLICE_X12Y59 NUM_ARCS 153 SITEPROP SLICE_X12Y59 NUM_BELS 32 SITEPROP SLICE_X12Y59 NUM_INPUTS 37 SITEPROP SLICE_X12Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y59 NUM_PINS 50 SITEPROP SLICE_X12Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y59 PROHIBIT 0 SITEPROP SLICE_X12Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y59 RPM_X 49 SITEPROP SLICE_X12Y59 RPM_Y 118 SITEPROP SLICE_X12Y59 SITE_PIPS SITEPROP SLICE_X12Y59 SITE_TYPE SLICEM SITEPROP SLICE_X12Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y60 CLASS site SITEPROP SLICE_X12Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y60 IS_BONDED 0 SITEPROP SLICE_X12Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y60 IS_PAD 0 SITEPROP SLICE_X12Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y60 IS_RESERVED 0 SITEPROP SLICE_X12Y60 IS_TEST 0 SITEPROP SLICE_X12Y60 IS_USED 0 SITEPROP SLICE_X12Y60 MANUAL_ROUTING SITEPROP SLICE_X12Y60 NAME SLICE_X12Y60 SITEPROP SLICE_X12Y60 NUM_ARCS 153 SITEPROP SLICE_X12Y60 NUM_BELS 32 SITEPROP SLICE_X12Y60 NUM_INPUTS 37 SITEPROP SLICE_X12Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y60 NUM_PINS 50 SITEPROP SLICE_X12Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y60 PROHIBIT 0 SITEPROP SLICE_X12Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y60 RPM_X 49 SITEPROP SLICE_X12Y60 RPM_Y 120 SITEPROP SLICE_X12Y60 SITE_PIPS SITEPROP SLICE_X12Y60 SITE_TYPE SLICEM SITEPROP SLICE_X12Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y61 CLASS site SITEPROP SLICE_X12Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y61 IS_BONDED 0 SITEPROP SLICE_X12Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y61 IS_PAD 0 SITEPROP SLICE_X12Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y61 IS_RESERVED 0 SITEPROP SLICE_X12Y61 IS_TEST 0 SITEPROP SLICE_X12Y61 IS_USED 0 SITEPROP SLICE_X12Y61 MANUAL_ROUTING SITEPROP SLICE_X12Y61 NAME SLICE_X12Y61 SITEPROP SLICE_X12Y61 NUM_ARCS 153 SITEPROP SLICE_X12Y61 NUM_BELS 32 SITEPROP SLICE_X12Y61 NUM_INPUTS 37 SITEPROP SLICE_X12Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y61 NUM_PINS 50 SITEPROP SLICE_X12Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y61 PROHIBIT 0 SITEPROP SLICE_X12Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y61 RPM_X 49 SITEPROP SLICE_X12Y61 RPM_Y 122 SITEPROP SLICE_X12Y61 SITE_PIPS SITEPROP SLICE_X12Y61 SITE_TYPE SLICEM SITEPROP SLICE_X12Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y62 CLASS site SITEPROP SLICE_X12Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y62 IS_BONDED 0 SITEPROP SLICE_X12Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y62 IS_PAD 0 SITEPROP SLICE_X12Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y62 IS_RESERVED 0 SITEPROP SLICE_X12Y62 IS_TEST 0 SITEPROP SLICE_X12Y62 IS_USED 0 SITEPROP SLICE_X12Y62 MANUAL_ROUTING SITEPROP SLICE_X12Y62 NAME SLICE_X12Y62 SITEPROP SLICE_X12Y62 NUM_ARCS 153 SITEPROP SLICE_X12Y62 NUM_BELS 32 SITEPROP SLICE_X12Y62 NUM_INPUTS 37 SITEPROP SLICE_X12Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y62 NUM_PINS 50 SITEPROP SLICE_X12Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y62 PROHIBIT 0 SITEPROP SLICE_X12Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y62 RPM_X 49 SITEPROP SLICE_X12Y62 RPM_Y 124 SITEPROP SLICE_X12Y62 SITE_PIPS SITEPROP SLICE_X12Y62 SITE_TYPE SLICEM SITEPROP SLICE_X12Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y63 CLASS site SITEPROP SLICE_X12Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y63 IS_BONDED 0 SITEPROP SLICE_X12Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y63 IS_PAD 0 SITEPROP SLICE_X12Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y63 IS_RESERVED 0 SITEPROP SLICE_X12Y63 IS_TEST 0 SITEPROP SLICE_X12Y63 IS_USED 0 SITEPROP SLICE_X12Y63 MANUAL_ROUTING SITEPROP SLICE_X12Y63 NAME SLICE_X12Y63 SITEPROP SLICE_X12Y63 NUM_ARCS 153 SITEPROP SLICE_X12Y63 NUM_BELS 32 SITEPROP SLICE_X12Y63 NUM_INPUTS 37 SITEPROP SLICE_X12Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y63 NUM_PINS 50 SITEPROP SLICE_X12Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y63 PROHIBIT 0 SITEPROP SLICE_X12Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y63 RPM_X 49 SITEPROP SLICE_X12Y63 RPM_Y 126 SITEPROP SLICE_X12Y63 SITE_PIPS SITEPROP SLICE_X12Y63 SITE_TYPE SLICEM SITEPROP SLICE_X12Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y64 CLASS site SITEPROP SLICE_X12Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y64 IS_BONDED 0 SITEPROP SLICE_X12Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y64 IS_PAD 0 SITEPROP SLICE_X12Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y64 IS_RESERVED 0 SITEPROP SLICE_X12Y64 IS_TEST 0 SITEPROP SLICE_X12Y64 IS_USED 0 SITEPROP SLICE_X12Y64 MANUAL_ROUTING SITEPROP SLICE_X12Y64 NAME SLICE_X12Y64 SITEPROP SLICE_X12Y64 NUM_ARCS 153 SITEPROP SLICE_X12Y64 NUM_BELS 32 SITEPROP SLICE_X12Y64 NUM_INPUTS 37 SITEPROP SLICE_X12Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y64 NUM_PINS 50 SITEPROP SLICE_X12Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y64 PROHIBIT 0 SITEPROP SLICE_X12Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y64 RPM_X 49 SITEPROP SLICE_X12Y64 RPM_Y 128 SITEPROP SLICE_X12Y64 SITE_PIPS SITEPROP SLICE_X12Y64 SITE_TYPE SLICEM SITEPROP SLICE_X12Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y65 CLASS site SITEPROP SLICE_X12Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y65 IS_BONDED 0 SITEPROP SLICE_X12Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y65 IS_PAD 0 SITEPROP SLICE_X12Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y65 IS_RESERVED 0 SITEPROP SLICE_X12Y65 IS_TEST 0 SITEPROP SLICE_X12Y65 IS_USED 0 SITEPROP SLICE_X12Y65 MANUAL_ROUTING SITEPROP SLICE_X12Y65 NAME SLICE_X12Y65 SITEPROP SLICE_X12Y65 NUM_ARCS 153 SITEPROP SLICE_X12Y65 NUM_BELS 32 SITEPROP SLICE_X12Y65 NUM_INPUTS 37 SITEPROP SLICE_X12Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y65 NUM_PINS 50 SITEPROP SLICE_X12Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y65 PROHIBIT 0 SITEPROP SLICE_X12Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y65 RPM_X 49 SITEPROP SLICE_X12Y65 RPM_Y 130 SITEPROP SLICE_X12Y65 SITE_PIPS SITEPROP SLICE_X12Y65 SITE_TYPE SLICEM SITEPROP SLICE_X12Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y66 CLASS site SITEPROP SLICE_X12Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y66 IS_BONDED 0 SITEPROP SLICE_X12Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y66 IS_PAD 0 SITEPROP SLICE_X12Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y66 IS_RESERVED 0 SITEPROP SLICE_X12Y66 IS_TEST 0 SITEPROP SLICE_X12Y66 IS_USED 0 SITEPROP SLICE_X12Y66 MANUAL_ROUTING SITEPROP SLICE_X12Y66 NAME SLICE_X12Y66 SITEPROP SLICE_X12Y66 NUM_ARCS 153 SITEPROP SLICE_X12Y66 NUM_BELS 32 SITEPROP SLICE_X12Y66 NUM_INPUTS 37 SITEPROP SLICE_X12Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y66 NUM_PINS 50 SITEPROP SLICE_X12Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y66 PROHIBIT 0 SITEPROP SLICE_X12Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y66 RPM_X 49 SITEPROP SLICE_X12Y66 RPM_Y 132 SITEPROP SLICE_X12Y66 SITE_PIPS SITEPROP SLICE_X12Y66 SITE_TYPE SLICEM SITEPROP SLICE_X12Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y67 CLASS site SITEPROP SLICE_X12Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y67 IS_BONDED 0 SITEPROP SLICE_X12Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y67 IS_PAD 0 SITEPROP SLICE_X12Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y67 IS_RESERVED 0 SITEPROP SLICE_X12Y67 IS_TEST 0 SITEPROP SLICE_X12Y67 IS_USED 0 SITEPROP SLICE_X12Y67 MANUAL_ROUTING SITEPROP SLICE_X12Y67 NAME SLICE_X12Y67 SITEPROP SLICE_X12Y67 NUM_ARCS 153 SITEPROP SLICE_X12Y67 NUM_BELS 32 SITEPROP SLICE_X12Y67 NUM_INPUTS 37 SITEPROP SLICE_X12Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y67 NUM_PINS 50 SITEPROP SLICE_X12Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y67 PROHIBIT 0 SITEPROP SLICE_X12Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y67 RPM_X 49 SITEPROP SLICE_X12Y67 RPM_Y 134 SITEPROP SLICE_X12Y67 SITE_PIPS SITEPROP SLICE_X12Y67 SITE_TYPE SLICEM SITEPROP SLICE_X12Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y68 CLASS site SITEPROP SLICE_X12Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y68 IS_BONDED 0 SITEPROP SLICE_X12Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y68 IS_PAD 0 SITEPROP SLICE_X12Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y68 IS_RESERVED 0 SITEPROP SLICE_X12Y68 IS_TEST 0 SITEPROP SLICE_X12Y68 IS_USED 0 SITEPROP SLICE_X12Y68 MANUAL_ROUTING SITEPROP SLICE_X12Y68 NAME SLICE_X12Y68 SITEPROP SLICE_X12Y68 NUM_ARCS 153 SITEPROP SLICE_X12Y68 NUM_BELS 32 SITEPROP SLICE_X12Y68 NUM_INPUTS 37 SITEPROP SLICE_X12Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y68 NUM_PINS 50 SITEPROP SLICE_X12Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y68 PROHIBIT 0 SITEPROP SLICE_X12Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y68 RPM_X 49 SITEPROP SLICE_X12Y68 RPM_Y 136 SITEPROP SLICE_X12Y68 SITE_PIPS SITEPROP SLICE_X12Y68 SITE_TYPE SLICEM SITEPROP SLICE_X12Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y69 CLASS site SITEPROP SLICE_X12Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y69 IS_BONDED 0 SITEPROP SLICE_X12Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y69 IS_PAD 0 SITEPROP SLICE_X12Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y69 IS_RESERVED 0 SITEPROP SLICE_X12Y69 IS_TEST 0 SITEPROP SLICE_X12Y69 IS_USED 0 SITEPROP SLICE_X12Y69 MANUAL_ROUTING SITEPROP SLICE_X12Y69 NAME SLICE_X12Y69 SITEPROP SLICE_X12Y69 NUM_ARCS 153 SITEPROP SLICE_X12Y69 NUM_BELS 32 SITEPROP SLICE_X12Y69 NUM_INPUTS 37 SITEPROP SLICE_X12Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y69 NUM_PINS 50 SITEPROP SLICE_X12Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y69 PROHIBIT 0 SITEPROP SLICE_X12Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y69 RPM_X 49 SITEPROP SLICE_X12Y69 RPM_Y 138 SITEPROP SLICE_X12Y69 SITE_PIPS SITEPROP SLICE_X12Y69 SITE_TYPE SLICEM SITEPROP SLICE_X12Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y70 CLASS site SITEPROP SLICE_X12Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y70 IS_BONDED 0 SITEPROP SLICE_X12Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y70 IS_PAD 0 SITEPROP SLICE_X12Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y70 IS_RESERVED 0 SITEPROP SLICE_X12Y70 IS_TEST 0 SITEPROP SLICE_X12Y70 IS_USED 0 SITEPROP SLICE_X12Y70 MANUAL_ROUTING SITEPROP SLICE_X12Y70 NAME SLICE_X12Y70 SITEPROP SLICE_X12Y70 NUM_ARCS 153 SITEPROP SLICE_X12Y70 NUM_BELS 32 SITEPROP SLICE_X12Y70 NUM_INPUTS 37 SITEPROP SLICE_X12Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y70 NUM_PINS 50 SITEPROP SLICE_X12Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y70 PROHIBIT 0 SITEPROP SLICE_X12Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y70 RPM_X 49 SITEPROP SLICE_X12Y70 RPM_Y 140 SITEPROP SLICE_X12Y70 SITE_PIPS SITEPROP SLICE_X12Y70 SITE_TYPE SLICEM SITEPROP SLICE_X12Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y71 CLASS site SITEPROP SLICE_X12Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y71 IS_BONDED 0 SITEPROP SLICE_X12Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y71 IS_PAD 0 SITEPROP SLICE_X12Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y71 IS_RESERVED 0 SITEPROP SLICE_X12Y71 IS_TEST 0 SITEPROP SLICE_X12Y71 IS_USED 0 SITEPROP SLICE_X12Y71 MANUAL_ROUTING SITEPROP SLICE_X12Y71 NAME SLICE_X12Y71 SITEPROP SLICE_X12Y71 NUM_ARCS 153 SITEPROP SLICE_X12Y71 NUM_BELS 32 SITEPROP SLICE_X12Y71 NUM_INPUTS 37 SITEPROP SLICE_X12Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y71 NUM_PINS 50 SITEPROP SLICE_X12Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y71 PROHIBIT 0 SITEPROP SLICE_X12Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y71 RPM_X 49 SITEPROP SLICE_X12Y71 RPM_Y 142 SITEPROP SLICE_X12Y71 SITE_PIPS SITEPROP SLICE_X12Y71 SITE_TYPE SLICEM SITEPROP SLICE_X12Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y72 CLASS site SITEPROP SLICE_X12Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y72 IS_BONDED 0 SITEPROP SLICE_X12Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y72 IS_PAD 0 SITEPROP SLICE_X12Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y72 IS_RESERVED 0 SITEPROP SLICE_X12Y72 IS_TEST 0 SITEPROP SLICE_X12Y72 IS_USED 0 SITEPROP SLICE_X12Y72 MANUAL_ROUTING SITEPROP SLICE_X12Y72 NAME SLICE_X12Y72 SITEPROP SLICE_X12Y72 NUM_ARCS 153 SITEPROP SLICE_X12Y72 NUM_BELS 32 SITEPROP SLICE_X12Y72 NUM_INPUTS 37 SITEPROP SLICE_X12Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y72 NUM_PINS 50 SITEPROP SLICE_X12Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y72 PROHIBIT 0 SITEPROP SLICE_X12Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y72 RPM_X 49 SITEPROP SLICE_X12Y72 RPM_Y 144 SITEPROP SLICE_X12Y72 SITE_PIPS SITEPROP SLICE_X12Y72 SITE_TYPE SLICEM SITEPROP SLICE_X12Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y73 CLASS site SITEPROP SLICE_X12Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y73 IS_BONDED 0 SITEPROP SLICE_X12Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y73 IS_PAD 0 SITEPROP SLICE_X12Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y73 IS_RESERVED 0 SITEPROP SLICE_X12Y73 IS_TEST 0 SITEPROP SLICE_X12Y73 IS_USED 0 SITEPROP SLICE_X12Y73 MANUAL_ROUTING SITEPROP SLICE_X12Y73 NAME SLICE_X12Y73 SITEPROP SLICE_X12Y73 NUM_ARCS 153 SITEPROP SLICE_X12Y73 NUM_BELS 32 SITEPROP SLICE_X12Y73 NUM_INPUTS 37 SITEPROP SLICE_X12Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y73 NUM_PINS 50 SITEPROP SLICE_X12Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y73 PROHIBIT 0 SITEPROP SLICE_X12Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y73 RPM_X 49 SITEPROP SLICE_X12Y73 RPM_Y 146 SITEPROP SLICE_X12Y73 SITE_PIPS SITEPROP SLICE_X12Y73 SITE_TYPE SLICEM SITEPROP SLICE_X12Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y74 CLASS site SITEPROP SLICE_X12Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y74 IS_BONDED 0 SITEPROP SLICE_X12Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y74 IS_PAD 0 SITEPROP SLICE_X12Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y74 IS_RESERVED 0 SITEPROP SLICE_X12Y74 IS_TEST 0 SITEPROP SLICE_X12Y74 IS_USED 0 SITEPROP SLICE_X12Y74 MANUAL_ROUTING SITEPROP SLICE_X12Y74 NAME SLICE_X12Y74 SITEPROP SLICE_X12Y74 NUM_ARCS 153 SITEPROP SLICE_X12Y74 NUM_BELS 32 SITEPROP SLICE_X12Y74 NUM_INPUTS 37 SITEPROP SLICE_X12Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y74 NUM_PINS 50 SITEPROP SLICE_X12Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y74 PROHIBIT 0 SITEPROP SLICE_X12Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y74 RPM_X 49 SITEPROP SLICE_X12Y74 RPM_Y 148 SITEPROP SLICE_X12Y74 SITE_PIPS SITEPROP SLICE_X12Y74 SITE_TYPE SLICEM SITEPROP SLICE_X12Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y75 CLASS site SITEPROP SLICE_X12Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y75 IS_BONDED 0 SITEPROP SLICE_X12Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y75 IS_PAD 0 SITEPROP SLICE_X12Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y75 IS_RESERVED 0 SITEPROP SLICE_X12Y75 IS_TEST 0 SITEPROP SLICE_X12Y75 IS_USED 0 SITEPROP SLICE_X12Y75 MANUAL_ROUTING SITEPROP SLICE_X12Y75 NAME SLICE_X12Y75 SITEPROP SLICE_X12Y75 NUM_ARCS 153 SITEPROP SLICE_X12Y75 NUM_BELS 32 SITEPROP SLICE_X12Y75 NUM_INPUTS 37 SITEPROP SLICE_X12Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y75 NUM_PINS 50 SITEPROP SLICE_X12Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y75 PROHIBIT 0 SITEPROP SLICE_X12Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y75 RPM_X 49 SITEPROP SLICE_X12Y75 RPM_Y 150 SITEPROP SLICE_X12Y75 SITE_PIPS SITEPROP SLICE_X12Y75 SITE_TYPE SLICEM SITEPROP SLICE_X12Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y76 CLASS site SITEPROP SLICE_X12Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y76 IS_BONDED 0 SITEPROP SLICE_X12Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y76 IS_PAD 0 SITEPROP SLICE_X12Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y76 IS_RESERVED 0 SITEPROP SLICE_X12Y76 IS_TEST 0 SITEPROP SLICE_X12Y76 IS_USED 0 SITEPROP SLICE_X12Y76 MANUAL_ROUTING SITEPROP SLICE_X12Y76 NAME SLICE_X12Y76 SITEPROP SLICE_X12Y76 NUM_ARCS 153 SITEPROP SLICE_X12Y76 NUM_BELS 32 SITEPROP SLICE_X12Y76 NUM_INPUTS 37 SITEPROP SLICE_X12Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y76 NUM_PINS 50 SITEPROP SLICE_X12Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y76 PROHIBIT 0 SITEPROP SLICE_X12Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y76 RPM_X 49 SITEPROP SLICE_X12Y76 RPM_Y 152 SITEPROP SLICE_X12Y76 SITE_PIPS SITEPROP SLICE_X12Y76 SITE_TYPE SLICEM SITEPROP SLICE_X12Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y77 CLASS site SITEPROP SLICE_X12Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y77 IS_BONDED 0 SITEPROP SLICE_X12Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y77 IS_PAD 0 SITEPROP SLICE_X12Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y77 IS_RESERVED 0 SITEPROP SLICE_X12Y77 IS_TEST 0 SITEPROP SLICE_X12Y77 IS_USED 0 SITEPROP SLICE_X12Y77 MANUAL_ROUTING SITEPROP SLICE_X12Y77 NAME SLICE_X12Y77 SITEPROP SLICE_X12Y77 NUM_ARCS 153 SITEPROP SLICE_X12Y77 NUM_BELS 32 SITEPROP SLICE_X12Y77 NUM_INPUTS 37 SITEPROP SLICE_X12Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y77 NUM_PINS 50 SITEPROP SLICE_X12Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y77 PROHIBIT 0 SITEPROP SLICE_X12Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y77 RPM_X 49 SITEPROP SLICE_X12Y77 RPM_Y 154 SITEPROP SLICE_X12Y77 SITE_PIPS SITEPROP SLICE_X12Y77 SITE_TYPE SLICEM SITEPROP SLICE_X12Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y78 CLASS site SITEPROP SLICE_X12Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y78 IS_BONDED 0 SITEPROP SLICE_X12Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y78 IS_PAD 0 SITEPROP SLICE_X12Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y78 IS_RESERVED 0 SITEPROP SLICE_X12Y78 IS_TEST 0 SITEPROP SLICE_X12Y78 IS_USED 0 SITEPROP SLICE_X12Y78 MANUAL_ROUTING SITEPROP SLICE_X12Y78 NAME SLICE_X12Y78 SITEPROP SLICE_X12Y78 NUM_ARCS 153 SITEPROP SLICE_X12Y78 NUM_BELS 32 SITEPROP SLICE_X12Y78 NUM_INPUTS 37 SITEPROP SLICE_X12Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y78 NUM_PINS 50 SITEPROP SLICE_X12Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y78 PROHIBIT 0 SITEPROP SLICE_X12Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y78 RPM_X 49 SITEPROP SLICE_X12Y78 RPM_Y 156 SITEPROP SLICE_X12Y78 SITE_PIPS SITEPROP SLICE_X12Y78 SITE_TYPE SLICEM SITEPROP SLICE_X12Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y79 CLASS site SITEPROP SLICE_X12Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y79 IS_BONDED 0 SITEPROP SLICE_X12Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y79 IS_PAD 0 SITEPROP SLICE_X12Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y79 IS_RESERVED 0 SITEPROP SLICE_X12Y79 IS_TEST 0 SITEPROP SLICE_X12Y79 IS_USED 0 SITEPROP SLICE_X12Y79 MANUAL_ROUTING SITEPROP SLICE_X12Y79 NAME SLICE_X12Y79 SITEPROP SLICE_X12Y79 NUM_ARCS 153 SITEPROP SLICE_X12Y79 NUM_BELS 32 SITEPROP SLICE_X12Y79 NUM_INPUTS 37 SITEPROP SLICE_X12Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y79 NUM_PINS 50 SITEPROP SLICE_X12Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y79 PROHIBIT 0 SITEPROP SLICE_X12Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y79 RPM_X 49 SITEPROP SLICE_X12Y79 RPM_Y 158 SITEPROP SLICE_X12Y79 SITE_PIPS SITEPROP SLICE_X12Y79 SITE_TYPE SLICEM SITEPROP SLICE_X12Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y80 CLASS site SITEPROP SLICE_X12Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y80 IS_BONDED 0 SITEPROP SLICE_X12Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y80 IS_PAD 0 SITEPROP SLICE_X12Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y80 IS_RESERVED 0 SITEPROP SLICE_X12Y80 IS_TEST 0 SITEPROP SLICE_X12Y80 IS_USED 0 SITEPROP SLICE_X12Y80 MANUAL_ROUTING SITEPROP SLICE_X12Y80 NAME SLICE_X12Y80 SITEPROP SLICE_X12Y80 NUM_ARCS 153 SITEPROP SLICE_X12Y80 NUM_BELS 32 SITEPROP SLICE_X12Y80 NUM_INPUTS 37 SITEPROP SLICE_X12Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y80 NUM_PINS 50 SITEPROP SLICE_X12Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y80 PROHIBIT 0 SITEPROP SLICE_X12Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y80 RPM_X 49 SITEPROP SLICE_X12Y80 RPM_Y 160 SITEPROP SLICE_X12Y80 SITE_PIPS SITEPROP SLICE_X12Y80 SITE_TYPE SLICEM SITEPROP SLICE_X12Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y81 CLASS site SITEPROP SLICE_X12Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y81 IS_BONDED 0 SITEPROP SLICE_X12Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y81 IS_PAD 0 SITEPROP SLICE_X12Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y81 IS_RESERVED 0 SITEPROP SLICE_X12Y81 IS_TEST 0 SITEPROP SLICE_X12Y81 IS_USED 0 SITEPROP SLICE_X12Y81 MANUAL_ROUTING SITEPROP SLICE_X12Y81 NAME SLICE_X12Y81 SITEPROP SLICE_X12Y81 NUM_ARCS 153 SITEPROP SLICE_X12Y81 NUM_BELS 32 SITEPROP SLICE_X12Y81 NUM_INPUTS 37 SITEPROP SLICE_X12Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y81 NUM_PINS 50 SITEPROP SLICE_X12Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y81 PROHIBIT 0 SITEPROP SLICE_X12Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y81 RPM_X 49 SITEPROP SLICE_X12Y81 RPM_Y 162 SITEPROP SLICE_X12Y81 SITE_PIPS SITEPROP SLICE_X12Y81 SITE_TYPE SLICEM SITEPROP SLICE_X12Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y82 CLASS site SITEPROP SLICE_X12Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y82 IS_BONDED 0 SITEPROP SLICE_X12Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y82 IS_PAD 0 SITEPROP SLICE_X12Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y82 IS_RESERVED 0 SITEPROP SLICE_X12Y82 IS_TEST 0 SITEPROP SLICE_X12Y82 IS_USED 0 SITEPROP SLICE_X12Y82 MANUAL_ROUTING SITEPROP SLICE_X12Y82 NAME SLICE_X12Y82 SITEPROP SLICE_X12Y82 NUM_ARCS 153 SITEPROP SLICE_X12Y82 NUM_BELS 32 SITEPROP SLICE_X12Y82 NUM_INPUTS 37 SITEPROP SLICE_X12Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y82 NUM_PINS 50 SITEPROP SLICE_X12Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y82 PROHIBIT 0 SITEPROP SLICE_X12Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y82 RPM_X 49 SITEPROP SLICE_X12Y82 RPM_Y 164 SITEPROP SLICE_X12Y82 SITE_PIPS SITEPROP SLICE_X12Y82 SITE_TYPE SLICEM SITEPROP SLICE_X12Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y83 CLASS site SITEPROP SLICE_X12Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y83 IS_BONDED 0 SITEPROP SLICE_X12Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y83 IS_PAD 0 SITEPROP SLICE_X12Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y83 IS_RESERVED 0 SITEPROP SLICE_X12Y83 IS_TEST 0 SITEPROP SLICE_X12Y83 IS_USED 0 SITEPROP SLICE_X12Y83 MANUAL_ROUTING SITEPROP SLICE_X12Y83 NAME SLICE_X12Y83 SITEPROP SLICE_X12Y83 NUM_ARCS 153 SITEPROP SLICE_X12Y83 NUM_BELS 32 SITEPROP SLICE_X12Y83 NUM_INPUTS 37 SITEPROP SLICE_X12Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y83 NUM_PINS 50 SITEPROP SLICE_X12Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y83 PROHIBIT 0 SITEPROP SLICE_X12Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y83 RPM_X 49 SITEPROP SLICE_X12Y83 RPM_Y 166 SITEPROP SLICE_X12Y83 SITE_PIPS SITEPROP SLICE_X12Y83 SITE_TYPE SLICEM SITEPROP SLICE_X12Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y84 CLASS site SITEPROP SLICE_X12Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y84 IS_BONDED 0 SITEPROP SLICE_X12Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y84 IS_PAD 0 SITEPROP SLICE_X12Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y84 IS_RESERVED 0 SITEPROP SLICE_X12Y84 IS_TEST 0 SITEPROP SLICE_X12Y84 IS_USED 0 SITEPROP SLICE_X12Y84 MANUAL_ROUTING SITEPROP SLICE_X12Y84 NAME SLICE_X12Y84 SITEPROP SLICE_X12Y84 NUM_ARCS 153 SITEPROP SLICE_X12Y84 NUM_BELS 32 SITEPROP SLICE_X12Y84 NUM_INPUTS 37 SITEPROP SLICE_X12Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y84 NUM_PINS 50 SITEPROP SLICE_X12Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y84 PROHIBIT 0 SITEPROP SLICE_X12Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y84 RPM_X 49 SITEPROP SLICE_X12Y84 RPM_Y 168 SITEPROP SLICE_X12Y84 SITE_PIPS SITEPROP SLICE_X12Y84 SITE_TYPE SLICEM SITEPROP SLICE_X12Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y85 CLASS site SITEPROP SLICE_X12Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y85 IS_BONDED 0 SITEPROP SLICE_X12Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y85 IS_PAD 0 SITEPROP SLICE_X12Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y85 IS_RESERVED 0 SITEPROP SLICE_X12Y85 IS_TEST 0 SITEPROP SLICE_X12Y85 IS_USED 0 SITEPROP SLICE_X12Y85 MANUAL_ROUTING SITEPROP SLICE_X12Y85 NAME SLICE_X12Y85 SITEPROP SLICE_X12Y85 NUM_ARCS 153 SITEPROP SLICE_X12Y85 NUM_BELS 32 SITEPROP SLICE_X12Y85 NUM_INPUTS 37 SITEPROP SLICE_X12Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y85 NUM_PINS 50 SITEPROP SLICE_X12Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y85 PROHIBIT 0 SITEPROP SLICE_X12Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y85 RPM_X 49 SITEPROP SLICE_X12Y85 RPM_Y 170 SITEPROP SLICE_X12Y85 SITE_PIPS SITEPROP SLICE_X12Y85 SITE_TYPE SLICEM SITEPROP SLICE_X12Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y86 CLASS site SITEPROP SLICE_X12Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y86 IS_BONDED 0 SITEPROP SLICE_X12Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y86 IS_PAD 0 SITEPROP SLICE_X12Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y86 IS_RESERVED 0 SITEPROP SLICE_X12Y86 IS_TEST 0 SITEPROP SLICE_X12Y86 IS_USED 0 SITEPROP SLICE_X12Y86 MANUAL_ROUTING SITEPROP SLICE_X12Y86 NAME SLICE_X12Y86 SITEPROP SLICE_X12Y86 NUM_ARCS 153 SITEPROP SLICE_X12Y86 NUM_BELS 32 SITEPROP SLICE_X12Y86 NUM_INPUTS 37 SITEPROP SLICE_X12Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y86 NUM_PINS 50 SITEPROP SLICE_X12Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y86 PROHIBIT 0 SITEPROP SLICE_X12Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y86 RPM_X 49 SITEPROP SLICE_X12Y86 RPM_Y 172 SITEPROP SLICE_X12Y86 SITE_PIPS SITEPROP SLICE_X12Y86 SITE_TYPE SLICEM SITEPROP SLICE_X12Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y87 CLASS site SITEPROP SLICE_X12Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y87 IS_BONDED 0 SITEPROP SLICE_X12Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y87 IS_PAD 0 SITEPROP SLICE_X12Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y87 IS_RESERVED 0 SITEPROP SLICE_X12Y87 IS_TEST 0 SITEPROP SLICE_X12Y87 IS_USED 0 SITEPROP SLICE_X12Y87 MANUAL_ROUTING SITEPROP SLICE_X12Y87 NAME SLICE_X12Y87 SITEPROP SLICE_X12Y87 NUM_ARCS 153 SITEPROP SLICE_X12Y87 NUM_BELS 32 SITEPROP SLICE_X12Y87 NUM_INPUTS 37 SITEPROP SLICE_X12Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y87 NUM_PINS 50 SITEPROP SLICE_X12Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y87 PROHIBIT 0 SITEPROP SLICE_X12Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y87 RPM_X 49 SITEPROP SLICE_X12Y87 RPM_Y 174 SITEPROP SLICE_X12Y87 SITE_PIPS SITEPROP SLICE_X12Y87 SITE_TYPE SLICEM SITEPROP SLICE_X12Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y88 CLASS site SITEPROP SLICE_X12Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y88 IS_BONDED 0 SITEPROP SLICE_X12Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y88 IS_PAD 0 SITEPROP SLICE_X12Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y88 IS_RESERVED 0 SITEPROP SLICE_X12Y88 IS_TEST 0 SITEPROP SLICE_X12Y88 IS_USED 0 SITEPROP SLICE_X12Y88 MANUAL_ROUTING SITEPROP SLICE_X12Y88 NAME SLICE_X12Y88 SITEPROP SLICE_X12Y88 NUM_ARCS 153 SITEPROP SLICE_X12Y88 NUM_BELS 32 SITEPROP SLICE_X12Y88 NUM_INPUTS 37 SITEPROP SLICE_X12Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y88 NUM_PINS 50 SITEPROP SLICE_X12Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y88 PROHIBIT 0 SITEPROP SLICE_X12Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y88 RPM_X 49 SITEPROP SLICE_X12Y88 RPM_Y 176 SITEPROP SLICE_X12Y88 SITE_PIPS SITEPROP SLICE_X12Y88 SITE_TYPE SLICEM SITEPROP SLICE_X12Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y89 CLASS site SITEPROP SLICE_X12Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y89 IS_BONDED 0 SITEPROP SLICE_X12Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y89 IS_PAD 0 SITEPROP SLICE_X12Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y89 IS_RESERVED 0 SITEPROP SLICE_X12Y89 IS_TEST 0 SITEPROP SLICE_X12Y89 IS_USED 0 SITEPROP SLICE_X12Y89 MANUAL_ROUTING SITEPROP SLICE_X12Y89 NAME SLICE_X12Y89 SITEPROP SLICE_X12Y89 NUM_ARCS 153 SITEPROP SLICE_X12Y89 NUM_BELS 32 SITEPROP SLICE_X12Y89 NUM_INPUTS 37 SITEPROP SLICE_X12Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y89 NUM_PINS 50 SITEPROP SLICE_X12Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y89 PROHIBIT 0 SITEPROP SLICE_X12Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y89 RPM_X 49 SITEPROP SLICE_X12Y89 RPM_Y 178 SITEPROP SLICE_X12Y89 SITE_PIPS SITEPROP SLICE_X12Y89 SITE_TYPE SLICEM SITEPROP SLICE_X12Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y90 CLASS site SITEPROP SLICE_X12Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y90 IS_BONDED 0 SITEPROP SLICE_X12Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y90 IS_PAD 0 SITEPROP SLICE_X12Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y90 IS_RESERVED 0 SITEPROP SLICE_X12Y90 IS_TEST 0 SITEPROP SLICE_X12Y90 IS_USED 0 SITEPROP SLICE_X12Y90 MANUAL_ROUTING SITEPROP SLICE_X12Y90 NAME SLICE_X12Y90 SITEPROP SLICE_X12Y90 NUM_ARCS 153 SITEPROP SLICE_X12Y90 NUM_BELS 32 SITEPROP SLICE_X12Y90 NUM_INPUTS 37 SITEPROP SLICE_X12Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y90 NUM_PINS 50 SITEPROP SLICE_X12Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y90 PROHIBIT 0 SITEPROP SLICE_X12Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y90 RPM_X 49 SITEPROP SLICE_X12Y90 RPM_Y 180 SITEPROP SLICE_X12Y90 SITE_PIPS SITEPROP SLICE_X12Y90 SITE_TYPE SLICEM SITEPROP SLICE_X12Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y91 CLASS site SITEPROP SLICE_X12Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y91 IS_BONDED 0 SITEPROP SLICE_X12Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y91 IS_PAD 0 SITEPROP SLICE_X12Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y91 IS_RESERVED 0 SITEPROP SLICE_X12Y91 IS_TEST 0 SITEPROP SLICE_X12Y91 IS_USED 0 SITEPROP SLICE_X12Y91 MANUAL_ROUTING SITEPROP SLICE_X12Y91 NAME SLICE_X12Y91 SITEPROP SLICE_X12Y91 NUM_ARCS 153 SITEPROP SLICE_X12Y91 NUM_BELS 32 SITEPROP SLICE_X12Y91 NUM_INPUTS 37 SITEPROP SLICE_X12Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y91 NUM_PINS 50 SITEPROP SLICE_X12Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y91 PROHIBIT 0 SITEPROP SLICE_X12Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y91 RPM_X 49 SITEPROP SLICE_X12Y91 RPM_Y 182 SITEPROP SLICE_X12Y91 SITE_PIPS SITEPROP SLICE_X12Y91 SITE_TYPE SLICEM SITEPROP SLICE_X12Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y92 CLASS site SITEPROP SLICE_X12Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y92 IS_BONDED 0 SITEPROP SLICE_X12Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y92 IS_PAD 0 SITEPROP SLICE_X12Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y92 IS_RESERVED 0 SITEPROP SLICE_X12Y92 IS_TEST 0 SITEPROP SLICE_X12Y92 IS_USED 0 SITEPROP SLICE_X12Y92 MANUAL_ROUTING SITEPROP SLICE_X12Y92 NAME SLICE_X12Y92 SITEPROP SLICE_X12Y92 NUM_ARCS 153 SITEPROP SLICE_X12Y92 NUM_BELS 32 SITEPROP SLICE_X12Y92 NUM_INPUTS 37 SITEPROP SLICE_X12Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y92 NUM_PINS 50 SITEPROP SLICE_X12Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y92 PROHIBIT 0 SITEPROP SLICE_X12Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y92 RPM_X 49 SITEPROP SLICE_X12Y92 RPM_Y 184 SITEPROP SLICE_X12Y92 SITE_PIPS SITEPROP SLICE_X12Y92 SITE_TYPE SLICEM SITEPROP SLICE_X12Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y93 CLASS site SITEPROP SLICE_X12Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y93 IS_BONDED 0 SITEPROP SLICE_X12Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y93 IS_PAD 0 SITEPROP SLICE_X12Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y93 IS_RESERVED 0 SITEPROP SLICE_X12Y93 IS_TEST 0 SITEPROP SLICE_X12Y93 IS_USED 0 SITEPROP SLICE_X12Y93 MANUAL_ROUTING SITEPROP SLICE_X12Y93 NAME SLICE_X12Y93 SITEPROP SLICE_X12Y93 NUM_ARCS 153 SITEPROP SLICE_X12Y93 NUM_BELS 32 SITEPROP SLICE_X12Y93 NUM_INPUTS 37 SITEPROP SLICE_X12Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y93 NUM_PINS 50 SITEPROP SLICE_X12Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y93 PROHIBIT 0 SITEPROP SLICE_X12Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y93 RPM_X 49 SITEPROP SLICE_X12Y93 RPM_Y 186 SITEPROP SLICE_X12Y93 SITE_PIPS SITEPROP SLICE_X12Y93 SITE_TYPE SLICEM SITEPROP SLICE_X12Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y94 CLASS site SITEPROP SLICE_X12Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y94 IS_BONDED 0 SITEPROP SLICE_X12Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y94 IS_PAD 0 SITEPROP SLICE_X12Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y94 IS_RESERVED 0 SITEPROP SLICE_X12Y94 IS_TEST 0 SITEPROP SLICE_X12Y94 IS_USED 0 SITEPROP SLICE_X12Y94 MANUAL_ROUTING SITEPROP SLICE_X12Y94 NAME SLICE_X12Y94 SITEPROP SLICE_X12Y94 NUM_ARCS 153 SITEPROP SLICE_X12Y94 NUM_BELS 32 SITEPROP SLICE_X12Y94 NUM_INPUTS 37 SITEPROP SLICE_X12Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y94 NUM_PINS 50 SITEPROP SLICE_X12Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y94 PROHIBIT 0 SITEPROP SLICE_X12Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y94 RPM_X 49 SITEPROP SLICE_X12Y94 RPM_Y 188 SITEPROP SLICE_X12Y94 SITE_PIPS SITEPROP SLICE_X12Y94 SITE_TYPE SLICEM SITEPROP SLICE_X12Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y95 CLASS site SITEPROP SLICE_X12Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y95 IS_BONDED 0 SITEPROP SLICE_X12Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y95 IS_PAD 0 SITEPROP SLICE_X12Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y95 IS_RESERVED 0 SITEPROP SLICE_X12Y95 IS_TEST 0 SITEPROP SLICE_X12Y95 IS_USED 0 SITEPROP SLICE_X12Y95 MANUAL_ROUTING SITEPROP SLICE_X12Y95 NAME SLICE_X12Y95 SITEPROP SLICE_X12Y95 NUM_ARCS 153 SITEPROP SLICE_X12Y95 NUM_BELS 32 SITEPROP SLICE_X12Y95 NUM_INPUTS 37 SITEPROP SLICE_X12Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y95 NUM_PINS 50 SITEPROP SLICE_X12Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y95 PROHIBIT 0 SITEPROP SLICE_X12Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y95 RPM_X 49 SITEPROP SLICE_X12Y95 RPM_Y 190 SITEPROP SLICE_X12Y95 SITE_PIPS SITEPROP SLICE_X12Y95 SITE_TYPE SLICEM SITEPROP SLICE_X12Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y96 CLASS site SITEPROP SLICE_X12Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y96 IS_BONDED 0 SITEPROP SLICE_X12Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y96 IS_PAD 0 SITEPROP SLICE_X12Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y96 IS_RESERVED 0 SITEPROP SLICE_X12Y96 IS_TEST 0 SITEPROP SLICE_X12Y96 IS_USED 0 SITEPROP SLICE_X12Y96 MANUAL_ROUTING SITEPROP SLICE_X12Y96 NAME SLICE_X12Y96 SITEPROP SLICE_X12Y96 NUM_ARCS 153 SITEPROP SLICE_X12Y96 NUM_BELS 32 SITEPROP SLICE_X12Y96 NUM_INPUTS 37 SITEPROP SLICE_X12Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y96 NUM_PINS 50 SITEPROP SLICE_X12Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y96 PROHIBIT 0 SITEPROP SLICE_X12Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y96 RPM_X 49 SITEPROP SLICE_X12Y96 RPM_Y 192 SITEPROP SLICE_X12Y96 SITE_PIPS SITEPROP SLICE_X12Y96 SITE_TYPE SLICEM SITEPROP SLICE_X12Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y97 CLASS site SITEPROP SLICE_X12Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y97 IS_BONDED 0 SITEPROP SLICE_X12Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y97 IS_PAD 0 SITEPROP SLICE_X12Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y97 IS_RESERVED 0 SITEPROP SLICE_X12Y97 IS_TEST 0 SITEPROP SLICE_X12Y97 IS_USED 0 SITEPROP SLICE_X12Y97 MANUAL_ROUTING SITEPROP SLICE_X12Y97 NAME SLICE_X12Y97 SITEPROP SLICE_X12Y97 NUM_ARCS 153 SITEPROP SLICE_X12Y97 NUM_BELS 32 SITEPROP SLICE_X12Y97 NUM_INPUTS 37 SITEPROP SLICE_X12Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y97 NUM_PINS 50 SITEPROP SLICE_X12Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y97 PROHIBIT 0 SITEPROP SLICE_X12Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y97 RPM_X 49 SITEPROP SLICE_X12Y97 RPM_Y 194 SITEPROP SLICE_X12Y97 SITE_PIPS SITEPROP SLICE_X12Y97 SITE_TYPE SLICEM SITEPROP SLICE_X12Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y98 CLASS site SITEPROP SLICE_X12Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y98 IS_BONDED 0 SITEPROP SLICE_X12Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y98 IS_PAD 0 SITEPROP SLICE_X12Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y98 IS_RESERVED 0 SITEPROP SLICE_X12Y98 IS_TEST 0 SITEPROP SLICE_X12Y98 IS_USED 0 SITEPROP SLICE_X12Y98 MANUAL_ROUTING SITEPROP SLICE_X12Y98 NAME SLICE_X12Y98 SITEPROP SLICE_X12Y98 NUM_ARCS 153 SITEPROP SLICE_X12Y98 NUM_BELS 32 SITEPROP SLICE_X12Y98 NUM_INPUTS 37 SITEPROP SLICE_X12Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y98 NUM_PINS 50 SITEPROP SLICE_X12Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y98 PROHIBIT 0 SITEPROP SLICE_X12Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y98 RPM_X 49 SITEPROP SLICE_X12Y98 RPM_Y 196 SITEPROP SLICE_X12Y98 SITE_PIPS SITEPROP SLICE_X12Y98 SITE_TYPE SLICEM SITEPROP SLICE_X12Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y99 CLASS site SITEPROP SLICE_X12Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X12Y99 IS_BONDED 0 SITEPROP SLICE_X12Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y99 IS_PAD 0 SITEPROP SLICE_X12Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y99 IS_RESERVED 0 SITEPROP SLICE_X12Y99 IS_TEST 0 SITEPROP SLICE_X12Y99 IS_USED 0 SITEPROP SLICE_X12Y99 MANUAL_ROUTING SITEPROP SLICE_X12Y99 NAME SLICE_X12Y99 SITEPROP SLICE_X12Y99 NUM_ARCS 153 SITEPROP SLICE_X12Y99 NUM_BELS 32 SITEPROP SLICE_X12Y99 NUM_INPUTS 37 SITEPROP SLICE_X12Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y99 NUM_PINS 50 SITEPROP SLICE_X12Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y99 PROHIBIT 0 SITEPROP SLICE_X12Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y99 RPM_X 49 SITEPROP SLICE_X12Y99 RPM_Y 198 SITEPROP SLICE_X12Y99 SITE_PIPS SITEPROP SLICE_X12Y99 SITE_TYPE SLICEM SITEPROP SLICE_X12Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y100 CLASS site SITEPROP SLICE_X12Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y100 IS_BONDED 0 SITEPROP SLICE_X12Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y100 IS_PAD 0 SITEPROP SLICE_X12Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y100 IS_RESERVED 0 SITEPROP SLICE_X12Y100 IS_TEST 0 SITEPROP SLICE_X12Y100 IS_USED 0 SITEPROP SLICE_X12Y100 MANUAL_ROUTING SITEPROP SLICE_X12Y100 NAME SLICE_X12Y100 SITEPROP SLICE_X12Y100 NUM_ARCS 153 SITEPROP SLICE_X12Y100 NUM_BELS 32 SITEPROP SLICE_X12Y100 NUM_INPUTS 37 SITEPROP SLICE_X12Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y100 NUM_PINS 50 SITEPROP SLICE_X12Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y100 PROHIBIT 0 SITEPROP SLICE_X12Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y100 RPM_X 49 SITEPROP SLICE_X12Y100 RPM_Y 200 SITEPROP SLICE_X12Y100 SITE_PIPS SITEPROP SLICE_X12Y100 SITE_TYPE SLICEM SITEPROP SLICE_X12Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y101 CLASS site SITEPROP SLICE_X12Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y101 IS_BONDED 0 SITEPROP SLICE_X12Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y101 IS_PAD 0 SITEPROP SLICE_X12Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y101 IS_RESERVED 0 SITEPROP SLICE_X12Y101 IS_TEST 0 SITEPROP SLICE_X12Y101 IS_USED 0 SITEPROP SLICE_X12Y101 MANUAL_ROUTING SITEPROP SLICE_X12Y101 NAME SLICE_X12Y101 SITEPROP SLICE_X12Y101 NUM_ARCS 153 SITEPROP SLICE_X12Y101 NUM_BELS 32 SITEPROP SLICE_X12Y101 NUM_INPUTS 37 SITEPROP SLICE_X12Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y101 NUM_PINS 50 SITEPROP SLICE_X12Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y101 PROHIBIT 0 SITEPROP SLICE_X12Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y101 RPM_X 49 SITEPROP SLICE_X12Y101 RPM_Y 202 SITEPROP SLICE_X12Y101 SITE_PIPS SITEPROP SLICE_X12Y101 SITE_TYPE SLICEM SITEPROP SLICE_X12Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y102 CLASS site SITEPROP SLICE_X12Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y102 IS_BONDED 0 SITEPROP SLICE_X12Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y102 IS_PAD 0 SITEPROP SLICE_X12Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y102 IS_RESERVED 0 SITEPROP SLICE_X12Y102 IS_TEST 0 SITEPROP SLICE_X12Y102 IS_USED 0 SITEPROP SLICE_X12Y102 MANUAL_ROUTING SITEPROP SLICE_X12Y102 NAME SLICE_X12Y102 SITEPROP SLICE_X12Y102 NUM_ARCS 153 SITEPROP SLICE_X12Y102 NUM_BELS 32 SITEPROP SLICE_X12Y102 NUM_INPUTS 37 SITEPROP SLICE_X12Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y102 NUM_PINS 50 SITEPROP SLICE_X12Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y102 PROHIBIT 0 SITEPROP SLICE_X12Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y102 RPM_X 49 SITEPROP SLICE_X12Y102 RPM_Y 204 SITEPROP SLICE_X12Y102 SITE_PIPS SITEPROP SLICE_X12Y102 SITE_TYPE SLICEM SITEPROP SLICE_X12Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y103 CLASS site SITEPROP SLICE_X12Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y103 IS_BONDED 0 SITEPROP SLICE_X12Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y103 IS_PAD 0 SITEPROP SLICE_X12Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y103 IS_RESERVED 0 SITEPROP SLICE_X12Y103 IS_TEST 0 SITEPROP SLICE_X12Y103 IS_USED 0 SITEPROP SLICE_X12Y103 MANUAL_ROUTING SITEPROP SLICE_X12Y103 NAME SLICE_X12Y103 SITEPROP SLICE_X12Y103 NUM_ARCS 153 SITEPROP SLICE_X12Y103 NUM_BELS 32 SITEPROP SLICE_X12Y103 NUM_INPUTS 37 SITEPROP SLICE_X12Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y103 NUM_PINS 50 SITEPROP SLICE_X12Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y103 PROHIBIT 0 SITEPROP SLICE_X12Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y103 RPM_X 49 SITEPROP SLICE_X12Y103 RPM_Y 206 SITEPROP SLICE_X12Y103 SITE_PIPS SITEPROP SLICE_X12Y103 SITE_TYPE SLICEM SITEPROP SLICE_X12Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y104 CLASS site SITEPROP SLICE_X12Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y104 IS_BONDED 0 SITEPROP SLICE_X12Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y104 IS_PAD 0 SITEPROP SLICE_X12Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y104 IS_RESERVED 0 SITEPROP SLICE_X12Y104 IS_TEST 0 SITEPROP SLICE_X12Y104 IS_USED 0 SITEPROP SLICE_X12Y104 MANUAL_ROUTING SITEPROP SLICE_X12Y104 NAME SLICE_X12Y104 SITEPROP SLICE_X12Y104 NUM_ARCS 153 SITEPROP SLICE_X12Y104 NUM_BELS 32 SITEPROP SLICE_X12Y104 NUM_INPUTS 37 SITEPROP SLICE_X12Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y104 NUM_PINS 50 SITEPROP SLICE_X12Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y104 PROHIBIT 0 SITEPROP SLICE_X12Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y104 RPM_X 49 SITEPROP SLICE_X12Y104 RPM_Y 208 SITEPROP SLICE_X12Y104 SITE_PIPS SITEPROP SLICE_X12Y104 SITE_TYPE SLICEM SITEPROP SLICE_X12Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y105 CLASS site SITEPROP SLICE_X12Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y105 IS_BONDED 0 SITEPROP SLICE_X12Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y105 IS_PAD 0 SITEPROP SLICE_X12Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y105 IS_RESERVED 0 SITEPROP SLICE_X12Y105 IS_TEST 0 SITEPROP SLICE_X12Y105 IS_USED 0 SITEPROP SLICE_X12Y105 MANUAL_ROUTING SITEPROP SLICE_X12Y105 NAME SLICE_X12Y105 SITEPROP SLICE_X12Y105 NUM_ARCS 153 SITEPROP SLICE_X12Y105 NUM_BELS 32 SITEPROP SLICE_X12Y105 NUM_INPUTS 37 SITEPROP SLICE_X12Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y105 NUM_PINS 50 SITEPROP SLICE_X12Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y105 PROHIBIT 0 SITEPROP SLICE_X12Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y105 RPM_X 49 SITEPROP SLICE_X12Y105 RPM_Y 210 SITEPROP SLICE_X12Y105 SITE_PIPS SITEPROP SLICE_X12Y105 SITE_TYPE SLICEM SITEPROP SLICE_X12Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y106 CLASS site SITEPROP SLICE_X12Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y106 IS_BONDED 0 SITEPROP SLICE_X12Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y106 IS_PAD 0 SITEPROP SLICE_X12Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y106 IS_RESERVED 0 SITEPROP SLICE_X12Y106 IS_TEST 0 SITEPROP SLICE_X12Y106 IS_USED 0 SITEPROP SLICE_X12Y106 MANUAL_ROUTING SITEPROP SLICE_X12Y106 NAME SLICE_X12Y106 SITEPROP SLICE_X12Y106 NUM_ARCS 153 SITEPROP SLICE_X12Y106 NUM_BELS 32 SITEPROP SLICE_X12Y106 NUM_INPUTS 37 SITEPROP SLICE_X12Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y106 NUM_PINS 50 SITEPROP SLICE_X12Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y106 PROHIBIT 0 SITEPROP SLICE_X12Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y106 RPM_X 49 SITEPROP SLICE_X12Y106 RPM_Y 212 SITEPROP SLICE_X12Y106 SITE_PIPS SITEPROP SLICE_X12Y106 SITE_TYPE SLICEM SITEPROP SLICE_X12Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y107 CLASS site SITEPROP SLICE_X12Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y107 IS_BONDED 0 SITEPROP SLICE_X12Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y107 IS_PAD 0 SITEPROP SLICE_X12Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y107 IS_RESERVED 0 SITEPROP SLICE_X12Y107 IS_TEST 0 SITEPROP SLICE_X12Y107 IS_USED 0 SITEPROP SLICE_X12Y107 MANUAL_ROUTING SITEPROP SLICE_X12Y107 NAME SLICE_X12Y107 SITEPROP SLICE_X12Y107 NUM_ARCS 153 SITEPROP SLICE_X12Y107 NUM_BELS 32 SITEPROP SLICE_X12Y107 NUM_INPUTS 37 SITEPROP SLICE_X12Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y107 NUM_PINS 50 SITEPROP SLICE_X12Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y107 PROHIBIT 0 SITEPROP SLICE_X12Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y107 RPM_X 49 SITEPROP SLICE_X12Y107 RPM_Y 214 SITEPROP SLICE_X12Y107 SITE_PIPS SITEPROP SLICE_X12Y107 SITE_TYPE SLICEM SITEPROP SLICE_X12Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y108 CLASS site SITEPROP SLICE_X12Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y108 IS_BONDED 0 SITEPROP SLICE_X12Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y108 IS_PAD 0 SITEPROP SLICE_X12Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y108 IS_RESERVED 0 SITEPROP SLICE_X12Y108 IS_TEST 0 SITEPROP SLICE_X12Y108 IS_USED 0 SITEPROP SLICE_X12Y108 MANUAL_ROUTING SITEPROP SLICE_X12Y108 NAME SLICE_X12Y108 SITEPROP SLICE_X12Y108 NUM_ARCS 153 SITEPROP SLICE_X12Y108 NUM_BELS 32 SITEPROP SLICE_X12Y108 NUM_INPUTS 37 SITEPROP SLICE_X12Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y108 NUM_PINS 50 SITEPROP SLICE_X12Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y108 PROHIBIT 0 SITEPROP SLICE_X12Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y108 RPM_X 49 SITEPROP SLICE_X12Y108 RPM_Y 216 SITEPROP SLICE_X12Y108 SITE_PIPS SITEPROP SLICE_X12Y108 SITE_TYPE SLICEM SITEPROP SLICE_X12Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y109 CLASS site SITEPROP SLICE_X12Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y109 IS_BONDED 0 SITEPROP SLICE_X12Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y109 IS_PAD 0 SITEPROP SLICE_X12Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y109 IS_RESERVED 0 SITEPROP SLICE_X12Y109 IS_TEST 0 SITEPROP SLICE_X12Y109 IS_USED 0 SITEPROP SLICE_X12Y109 MANUAL_ROUTING SITEPROP SLICE_X12Y109 NAME SLICE_X12Y109 SITEPROP SLICE_X12Y109 NUM_ARCS 153 SITEPROP SLICE_X12Y109 NUM_BELS 32 SITEPROP SLICE_X12Y109 NUM_INPUTS 37 SITEPROP SLICE_X12Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y109 NUM_PINS 50 SITEPROP SLICE_X12Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y109 PROHIBIT 0 SITEPROP SLICE_X12Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y109 RPM_X 49 SITEPROP SLICE_X12Y109 RPM_Y 218 SITEPROP SLICE_X12Y109 SITE_PIPS SITEPROP SLICE_X12Y109 SITE_TYPE SLICEM SITEPROP SLICE_X12Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y110 CLASS site SITEPROP SLICE_X12Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y110 IS_BONDED 0 SITEPROP SLICE_X12Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y110 IS_PAD 0 SITEPROP SLICE_X12Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y110 IS_RESERVED 0 SITEPROP SLICE_X12Y110 IS_TEST 0 SITEPROP SLICE_X12Y110 IS_USED 0 SITEPROP SLICE_X12Y110 MANUAL_ROUTING SITEPROP SLICE_X12Y110 NAME SLICE_X12Y110 SITEPROP SLICE_X12Y110 NUM_ARCS 153 SITEPROP SLICE_X12Y110 NUM_BELS 32 SITEPROP SLICE_X12Y110 NUM_INPUTS 37 SITEPROP SLICE_X12Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y110 NUM_PINS 50 SITEPROP SLICE_X12Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y110 PROHIBIT 0 SITEPROP SLICE_X12Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y110 RPM_X 49 SITEPROP SLICE_X12Y110 RPM_Y 220 SITEPROP SLICE_X12Y110 SITE_PIPS SITEPROP SLICE_X12Y110 SITE_TYPE SLICEM SITEPROP SLICE_X12Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y111 CLASS site SITEPROP SLICE_X12Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y111 IS_BONDED 0 SITEPROP SLICE_X12Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y111 IS_PAD 0 SITEPROP SLICE_X12Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y111 IS_RESERVED 0 SITEPROP SLICE_X12Y111 IS_TEST 0 SITEPROP SLICE_X12Y111 IS_USED 0 SITEPROP SLICE_X12Y111 MANUAL_ROUTING SITEPROP SLICE_X12Y111 NAME SLICE_X12Y111 SITEPROP SLICE_X12Y111 NUM_ARCS 153 SITEPROP SLICE_X12Y111 NUM_BELS 32 SITEPROP SLICE_X12Y111 NUM_INPUTS 37 SITEPROP SLICE_X12Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y111 NUM_PINS 50 SITEPROP SLICE_X12Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y111 PROHIBIT 0 SITEPROP SLICE_X12Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y111 RPM_X 49 SITEPROP SLICE_X12Y111 RPM_Y 222 SITEPROP SLICE_X12Y111 SITE_PIPS SITEPROP SLICE_X12Y111 SITE_TYPE SLICEM SITEPROP SLICE_X12Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y112 CLASS site SITEPROP SLICE_X12Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y112 IS_BONDED 0 SITEPROP SLICE_X12Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y112 IS_PAD 0 SITEPROP SLICE_X12Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y112 IS_RESERVED 0 SITEPROP SLICE_X12Y112 IS_TEST 0 SITEPROP SLICE_X12Y112 IS_USED 0 SITEPROP SLICE_X12Y112 MANUAL_ROUTING SITEPROP SLICE_X12Y112 NAME SLICE_X12Y112 SITEPROP SLICE_X12Y112 NUM_ARCS 153 SITEPROP SLICE_X12Y112 NUM_BELS 32 SITEPROP SLICE_X12Y112 NUM_INPUTS 37 SITEPROP SLICE_X12Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y112 NUM_PINS 50 SITEPROP SLICE_X12Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y112 PROHIBIT 0 SITEPROP SLICE_X12Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y112 RPM_X 49 SITEPROP SLICE_X12Y112 RPM_Y 224 SITEPROP SLICE_X12Y112 SITE_PIPS SITEPROP SLICE_X12Y112 SITE_TYPE SLICEM SITEPROP SLICE_X12Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y113 CLASS site SITEPROP SLICE_X12Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y113 IS_BONDED 0 SITEPROP SLICE_X12Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y113 IS_PAD 0 SITEPROP SLICE_X12Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y113 IS_RESERVED 0 SITEPROP SLICE_X12Y113 IS_TEST 0 SITEPROP SLICE_X12Y113 IS_USED 0 SITEPROP SLICE_X12Y113 MANUAL_ROUTING SITEPROP SLICE_X12Y113 NAME SLICE_X12Y113 SITEPROP SLICE_X12Y113 NUM_ARCS 153 SITEPROP SLICE_X12Y113 NUM_BELS 32 SITEPROP SLICE_X12Y113 NUM_INPUTS 37 SITEPROP SLICE_X12Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y113 NUM_PINS 50 SITEPROP SLICE_X12Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y113 PROHIBIT 0 SITEPROP SLICE_X12Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y113 RPM_X 49 SITEPROP SLICE_X12Y113 RPM_Y 226 SITEPROP SLICE_X12Y113 SITE_PIPS SITEPROP SLICE_X12Y113 SITE_TYPE SLICEM SITEPROP SLICE_X12Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y114 CLASS site SITEPROP SLICE_X12Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y114 IS_BONDED 0 SITEPROP SLICE_X12Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y114 IS_PAD 0 SITEPROP SLICE_X12Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y114 IS_RESERVED 0 SITEPROP SLICE_X12Y114 IS_TEST 0 SITEPROP SLICE_X12Y114 IS_USED 0 SITEPROP SLICE_X12Y114 MANUAL_ROUTING SITEPROP SLICE_X12Y114 NAME SLICE_X12Y114 SITEPROP SLICE_X12Y114 NUM_ARCS 153 SITEPROP SLICE_X12Y114 NUM_BELS 32 SITEPROP SLICE_X12Y114 NUM_INPUTS 37 SITEPROP SLICE_X12Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y114 NUM_PINS 50 SITEPROP SLICE_X12Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y114 PROHIBIT 0 SITEPROP SLICE_X12Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y114 RPM_X 49 SITEPROP SLICE_X12Y114 RPM_Y 228 SITEPROP SLICE_X12Y114 SITE_PIPS SITEPROP SLICE_X12Y114 SITE_TYPE SLICEM SITEPROP SLICE_X12Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y115 CLASS site SITEPROP SLICE_X12Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y115 IS_BONDED 0 SITEPROP SLICE_X12Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y115 IS_PAD 0 SITEPROP SLICE_X12Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y115 IS_RESERVED 0 SITEPROP SLICE_X12Y115 IS_TEST 0 SITEPROP SLICE_X12Y115 IS_USED 0 SITEPROP SLICE_X12Y115 MANUAL_ROUTING SITEPROP SLICE_X12Y115 NAME SLICE_X12Y115 SITEPROP SLICE_X12Y115 NUM_ARCS 153 SITEPROP SLICE_X12Y115 NUM_BELS 32 SITEPROP SLICE_X12Y115 NUM_INPUTS 37 SITEPROP SLICE_X12Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y115 NUM_PINS 50 SITEPROP SLICE_X12Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y115 PROHIBIT 0 SITEPROP SLICE_X12Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y115 RPM_X 49 SITEPROP SLICE_X12Y115 RPM_Y 230 SITEPROP SLICE_X12Y115 SITE_PIPS SITEPROP SLICE_X12Y115 SITE_TYPE SLICEM SITEPROP SLICE_X12Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y116 CLASS site SITEPROP SLICE_X12Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y116 IS_BONDED 0 SITEPROP SLICE_X12Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y116 IS_PAD 0 SITEPROP SLICE_X12Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y116 IS_RESERVED 0 SITEPROP SLICE_X12Y116 IS_TEST 0 SITEPROP SLICE_X12Y116 IS_USED 0 SITEPROP SLICE_X12Y116 MANUAL_ROUTING SITEPROP SLICE_X12Y116 NAME SLICE_X12Y116 SITEPROP SLICE_X12Y116 NUM_ARCS 153 SITEPROP SLICE_X12Y116 NUM_BELS 32 SITEPROP SLICE_X12Y116 NUM_INPUTS 37 SITEPROP SLICE_X12Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y116 NUM_PINS 50 SITEPROP SLICE_X12Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y116 PROHIBIT 0 SITEPROP SLICE_X12Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y116 RPM_X 49 SITEPROP SLICE_X12Y116 RPM_Y 232 SITEPROP SLICE_X12Y116 SITE_PIPS SITEPROP SLICE_X12Y116 SITE_TYPE SLICEM SITEPROP SLICE_X12Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y117 CLASS site SITEPROP SLICE_X12Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y117 IS_BONDED 0 SITEPROP SLICE_X12Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y117 IS_PAD 0 SITEPROP SLICE_X12Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y117 IS_RESERVED 0 SITEPROP SLICE_X12Y117 IS_TEST 0 SITEPROP SLICE_X12Y117 IS_USED 0 SITEPROP SLICE_X12Y117 MANUAL_ROUTING SITEPROP SLICE_X12Y117 NAME SLICE_X12Y117 SITEPROP SLICE_X12Y117 NUM_ARCS 153 SITEPROP SLICE_X12Y117 NUM_BELS 32 SITEPROP SLICE_X12Y117 NUM_INPUTS 37 SITEPROP SLICE_X12Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y117 NUM_PINS 50 SITEPROP SLICE_X12Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y117 PROHIBIT 0 SITEPROP SLICE_X12Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y117 RPM_X 49 SITEPROP SLICE_X12Y117 RPM_Y 234 SITEPROP SLICE_X12Y117 SITE_PIPS SITEPROP SLICE_X12Y117 SITE_TYPE SLICEM SITEPROP SLICE_X12Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y118 CLASS site SITEPROP SLICE_X12Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y118 IS_BONDED 0 SITEPROP SLICE_X12Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y118 IS_PAD 0 SITEPROP SLICE_X12Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y118 IS_RESERVED 0 SITEPROP SLICE_X12Y118 IS_TEST 0 SITEPROP SLICE_X12Y118 IS_USED 0 SITEPROP SLICE_X12Y118 MANUAL_ROUTING SITEPROP SLICE_X12Y118 NAME SLICE_X12Y118 SITEPROP SLICE_X12Y118 NUM_ARCS 153 SITEPROP SLICE_X12Y118 NUM_BELS 32 SITEPROP SLICE_X12Y118 NUM_INPUTS 37 SITEPROP SLICE_X12Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y118 NUM_PINS 50 SITEPROP SLICE_X12Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y118 PROHIBIT 0 SITEPROP SLICE_X12Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y118 RPM_X 49 SITEPROP SLICE_X12Y118 RPM_Y 236 SITEPROP SLICE_X12Y118 SITE_PIPS SITEPROP SLICE_X12Y118 SITE_TYPE SLICEM SITEPROP SLICE_X12Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y119 CLASS site SITEPROP SLICE_X12Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y119 IS_BONDED 0 SITEPROP SLICE_X12Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y119 IS_PAD 0 SITEPROP SLICE_X12Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y119 IS_RESERVED 0 SITEPROP SLICE_X12Y119 IS_TEST 0 SITEPROP SLICE_X12Y119 IS_USED 0 SITEPROP SLICE_X12Y119 MANUAL_ROUTING SITEPROP SLICE_X12Y119 NAME SLICE_X12Y119 SITEPROP SLICE_X12Y119 NUM_ARCS 153 SITEPROP SLICE_X12Y119 NUM_BELS 32 SITEPROP SLICE_X12Y119 NUM_INPUTS 37 SITEPROP SLICE_X12Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y119 NUM_PINS 50 SITEPROP SLICE_X12Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y119 PROHIBIT 0 SITEPROP SLICE_X12Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y119 RPM_X 49 SITEPROP SLICE_X12Y119 RPM_Y 238 SITEPROP SLICE_X12Y119 SITE_PIPS SITEPROP SLICE_X12Y119 SITE_TYPE SLICEM SITEPROP SLICE_X12Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y120 CLASS site SITEPROP SLICE_X12Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y120 IS_BONDED 0 SITEPROP SLICE_X12Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y120 IS_PAD 0 SITEPROP SLICE_X12Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y120 IS_RESERVED 0 SITEPROP SLICE_X12Y120 IS_TEST 0 SITEPROP SLICE_X12Y120 IS_USED 0 SITEPROP SLICE_X12Y120 MANUAL_ROUTING SITEPROP SLICE_X12Y120 NAME SLICE_X12Y120 SITEPROP SLICE_X12Y120 NUM_ARCS 153 SITEPROP SLICE_X12Y120 NUM_BELS 32 SITEPROP SLICE_X12Y120 NUM_INPUTS 37 SITEPROP SLICE_X12Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y120 NUM_PINS 50 SITEPROP SLICE_X12Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y120 PROHIBIT 0 SITEPROP SLICE_X12Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y120 RPM_X 49 SITEPROP SLICE_X12Y120 RPM_Y 240 SITEPROP SLICE_X12Y120 SITE_PIPS SITEPROP SLICE_X12Y120 SITE_TYPE SLICEM SITEPROP SLICE_X12Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y121 CLASS site SITEPROP SLICE_X12Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y121 IS_BONDED 0 SITEPROP SLICE_X12Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y121 IS_PAD 0 SITEPROP SLICE_X12Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y121 IS_RESERVED 0 SITEPROP SLICE_X12Y121 IS_TEST 0 SITEPROP SLICE_X12Y121 IS_USED 0 SITEPROP SLICE_X12Y121 MANUAL_ROUTING SITEPROP SLICE_X12Y121 NAME SLICE_X12Y121 SITEPROP SLICE_X12Y121 NUM_ARCS 153 SITEPROP SLICE_X12Y121 NUM_BELS 32 SITEPROP SLICE_X12Y121 NUM_INPUTS 37 SITEPROP SLICE_X12Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y121 NUM_PINS 50 SITEPROP SLICE_X12Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y121 PROHIBIT 0 SITEPROP SLICE_X12Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y121 RPM_X 49 SITEPROP SLICE_X12Y121 RPM_Y 242 SITEPROP SLICE_X12Y121 SITE_PIPS SITEPROP SLICE_X12Y121 SITE_TYPE SLICEM SITEPROP SLICE_X12Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y122 CLASS site SITEPROP SLICE_X12Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y122 IS_BONDED 0 SITEPROP SLICE_X12Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y122 IS_PAD 0 SITEPROP SLICE_X12Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y122 IS_RESERVED 0 SITEPROP SLICE_X12Y122 IS_TEST 0 SITEPROP SLICE_X12Y122 IS_USED 0 SITEPROP SLICE_X12Y122 MANUAL_ROUTING SITEPROP SLICE_X12Y122 NAME SLICE_X12Y122 SITEPROP SLICE_X12Y122 NUM_ARCS 153 SITEPROP SLICE_X12Y122 NUM_BELS 32 SITEPROP SLICE_X12Y122 NUM_INPUTS 37 SITEPROP SLICE_X12Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y122 NUM_PINS 50 SITEPROP SLICE_X12Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y122 PROHIBIT 0 SITEPROP SLICE_X12Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y122 RPM_X 49 SITEPROP SLICE_X12Y122 RPM_Y 244 SITEPROP SLICE_X12Y122 SITE_PIPS SITEPROP SLICE_X12Y122 SITE_TYPE SLICEM SITEPROP SLICE_X12Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y123 CLASS site SITEPROP SLICE_X12Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y123 IS_BONDED 0 SITEPROP SLICE_X12Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y123 IS_PAD 0 SITEPROP SLICE_X12Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y123 IS_RESERVED 0 SITEPROP SLICE_X12Y123 IS_TEST 0 SITEPROP SLICE_X12Y123 IS_USED 0 SITEPROP SLICE_X12Y123 MANUAL_ROUTING SITEPROP SLICE_X12Y123 NAME SLICE_X12Y123 SITEPROP SLICE_X12Y123 NUM_ARCS 153 SITEPROP SLICE_X12Y123 NUM_BELS 32 SITEPROP SLICE_X12Y123 NUM_INPUTS 37 SITEPROP SLICE_X12Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y123 NUM_PINS 50 SITEPROP SLICE_X12Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y123 PROHIBIT 0 SITEPROP SLICE_X12Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y123 RPM_X 49 SITEPROP SLICE_X12Y123 RPM_Y 246 SITEPROP SLICE_X12Y123 SITE_PIPS SITEPROP SLICE_X12Y123 SITE_TYPE SLICEM SITEPROP SLICE_X12Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y124 CLASS site SITEPROP SLICE_X12Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y124 IS_BONDED 0 SITEPROP SLICE_X12Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y124 IS_PAD 0 SITEPROP SLICE_X12Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y124 IS_RESERVED 0 SITEPROP SLICE_X12Y124 IS_TEST 0 SITEPROP SLICE_X12Y124 IS_USED 0 SITEPROP SLICE_X12Y124 MANUAL_ROUTING SITEPROP SLICE_X12Y124 NAME SLICE_X12Y124 SITEPROP SLICE_X12Y124 NUM_ARCS 153 SITEPROP SLICE_X12Y124 NUM_BELS 32 SITEPROP SLICE_X12Y124 NUM_INPUTS 37 SITEPROP SLICE_X12Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y124 NUM_PINS 50 SITEPROP SLICE_X12Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y124 PROHIBIT 0 SITEPROP SLICE_X12Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y124 RPM_X 49 SITEPROP SLICE_X12Y124 RPM_Y 248 SITEPROP SLICE_X12Y124 SITE_PIPS SITEPROP SLICE_X12Y124 SITE_TYPE SLICEM SITEPROP SLICE_X12Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y125 CLASS site SITEPROP SLICE_X12Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y125 IS_BONDED 0 SITEPROP SLICE_X12Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y125 IS_PAD 0 SITEPROP SLICE_X12Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y125 IS_RESERVED 0 SITEPROP SLICE_X12Y125 IS_TEST 0 SITEPROP SLICE_X12Y125 IS_USED 0 SITEPROP SLICE_X12Y125 MANUAL_ROUTING SITEPROP SLICE_X12Y125 NAME SLICE_X12Y125 SITEPROP SLICE_X12Y125 NUM_ARCS 153 SITEPROP SLICE_X12Y125 NUM_BELS 32 SITEPROP SLICE_X12Y125 NUM_INPUTS 37 SITEPROP SLICE_X12Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y125 NUM_PINS 50 SITEPROP SLICE_X12Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y125 PROHIBIT 0 SITEPROP SLICE_X12Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y125 RPM_X 49 SITEPROP SLICE_X12Y125 RPM_Y 250 SITEPROP SLICE_X12Y125 SITE_PIPS SITEPROP SLICE_X12Y125 SITE_TYPE SLICEM SITEPROP SLICE_X12Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y126 CLASS site SITEPROP SLICE_X12Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y126 IS_BONDED 0 SITEPROP SLICE_X12Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y126 IS_PAD 0 SITEPROP SLICE_X12Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y126 IS_RESERVED 0 SITEPROP SLICE_X12Y126 IS_TEST 0 SITEPROP SLICE_X12Y126 IS_USED 0 SITEPROP SLICE_X12Y126 MANUAL_ROUTING SITEPROP SLICE_X12Y126 NAME SLICE_X12Y126 SITEPROP SLICE_X12Y126 NUM_ARCS 153 SITEPROP SLICE_X12Y126 NUM_BELS 32 SITEPROP SLICE_X12Y126 NUM_INPUTS 37 SITEPROP SLICE_X12Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y126 NUM_PINS 50 SITEPROP SLICE_X12Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y126 PROHIBIT 0 SITEPROP SLICE_X12Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y126 RPM_X 49 SITEPROP SLICE_X12Y126 RPM_Y 252 SITEPROP SLICE_X12Y126 SITE_PIPS SITEPROP SLICE_X12Y126 SITE_TYPE SLICEM SITEPROP SLICE_X12Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y127 CLASS site SITEPROP SLICE_X12Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y127 IS_BONDED 0 SITEPROP SLICE_X12Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y127 IS_PAD 0 SITEPROP SLICE_X12Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y127 IS_RESERVED 0 SITEPROP SLICE_X12Y127 IS_TEST 0 SITEPROP SLICE_X12Y127 IS_USED 0 SITEPROP SLICE_X12Y127 MANUAL_ROUTING SITEPROP SLICE_X12Y127 NAME SLICE_X12Y127 SITEPROP SLICE_X12Y127 NUM_ARCS 153 SITEPROP SLICE_X12Y127 NUM_BELS 32 SITEPROP SLICE_X12Y127 NUM_INPUTS 37 SITEPROP SLICE_X12Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y127 NUM_PINS 50 SITEPROP SLICE_X12Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y127 PROHIBIT 0 SITEPROP SLICE_X12Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y127 RPM_X 49 SITEPROP SLICE_X12Y127 RPM_Y 254 SITEPROP SLICE_X12Y127 SITE_PIPS SITEPROP SLICE_X12Y127 SITE_TYPE SLICEM SITEPROP SLICE_X12Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y128 CLASS site SITEPROP SLICE_X12Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y128 IS_BONDED 0 SITEPROP SLICE_X12Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y128 IS_PAD 0 SITEPROP SLICE_X12Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y128 IS_RESERVED 0 SITEPROP SLICE_X12Y128 IS_TEST 0 SITEPROP SLICE_X12Y128 IS_USED 0 SITEPROP SLICE_X12Y128 MANUAL_ROUTING SITEPROP SLICE_X12Y128 NAME SLICE_X12Y128 SITEPROP SLICE_X12Y128 NUM_ARCS 153 SITEPROP SLICE_X12Y128 NUM_BELS 32 SITEPROP SLICE_X12Y128 NUM_INPUTS 37 SITEPROP SLICE_X12Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y128 NUM_PINS 50 SITEPROP SLICE_X12Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y128 PROHIBIT 0 SITEPROP SLICE_X12Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y128 RPM_X 49 SITEPROP SLICE_X12Y128 RPM_Y 256 SITEPROP SLICE_X12Y128 SITE_PIPS SITEPROP SLICE_X12Y128 SITE_TYPE SLICEM SITEPROP SLICE_X12Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y129 CLASS site SITEPROP SLICE_X12Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y129 IS_BONDED 0 SITEPROP SLICE_X12Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y129 IS_PAD 0 SITEPROP SLICE_X12Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y129 IS_RESERVED 0 SITEPROP SLICE_X12Y129 IS_TEST 0 SITEPROP SLICE_X12Y129 IS_USED 0 SITEPROP SLICE_X12Y129 MANUAL_ROUTING SITEPROP SLICE_X12Y129 NAME SLICE_X12Y129 SITEPROP SLICE_X12Y129 NUM_ARCS 153 SITEPROP SLICE_X12Y129 NUM_BELS 32 SITEPROP SLICE_X12Y129 NUM_INPUTS 37 SITEPROP SLICE_X12Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y129 NUM_PINS 50 SITEPROP SLICE_X12Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y129 PROHIBIT 0 SITEPROP SLICE_X12Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y129 RPM_X 49 SITEPROP SLICE_X12Y129 RPM_Y 258 SITEPROP SLICE_X12Y129 SITE_PIPS SITEPROP SLICE_X12Y129 SITE_TYPE SLICEM SITEPROP SLICE_X12Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y130 CLASS site SITEPROP SLICE_X12Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y130 IS_BONDED 0 SITEPROP SLICE_X12Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y130 IS_PAD 0 SITEPROP SLICE_X12Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y130 IS_RESERVED 0 SITEPROP SLICE_X12Y130 IS_TEST 0 SITEPROP SLICE_X12Y130 IS_USED 0 SITEPROP SLICE_X12Y130 MANUAL_ROUTING SITEPROP SLICE_X12Y130 NAME SLICE_X12Y130 SITEPROP SLICE_X12Y130 NUM_ARCS 153 SITEPROP SLICE_X12Y130 NUM_BELS 32 SITEPROP SLICE_X12Y130 NUM_INPUTS 37 SITEPROP SLICE_X12Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y130 NUM_PINS 50 SITEPROP SLICE_X12Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y130 PROHIBIT 0 SITEPROP SLICE_X12Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y130 RPM_X 49 SITEPROP SLICE_X12Y130 RPM_Y 260 SITEPROP SLICE_X12Y130 SITE_PIPS SITEPROP SLICE_X12Y130 SITE_TYPE SLICEM SITEPROP SLICE_X12Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y131 CLASS site SITEPROP SLICE_X12Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y131 IS_BONDED 0 SITEPROP SLICE_X12Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y131 IS_PAD 0 SITEPROP SLICE_X12Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y131 IS_RESERVED 0 SITEPROP SLICE_X12Y131 IS_TEST 0 SITEPROP SLICE_X12Y131 IS_USED 0 SITEPROP SLICE_X12Y131 MANUAL_ROUTING SITEPROP SLICE_X12Y131 NAME SLICE_X12Y131 SITEPROP SLICE_X12Y131 NUM_ARCS 153 SITEPROP SLICE_X12Y131 NUM_BELS 32 SITEPROP SLICE_X12Y131 NUM_INPUTS 37 SITEPROP SLICE_X12Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y131 NUM_PINS 50 SITEPROP SLICE_X12Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y131 PROHIBIT 0 SITEPROP SLICE_X12Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y131 RPM_X 49 SITEPROP SLICE_X12Y131 RPM_Y 262 SITEPROP SLICE_X12Y131 SITE_PIPS SITEPROP SLICE_X12Y131 SITE_TYPE SLICEM SITEPROP SLICE_X12Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y132 CLASS site SITEPROP SLICE_X12Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y132 IS_BONDED 0 SITEPROP SLICE_X12Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y132 IS_PAD 0 SITEPROP SLICE_X12Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y132 IS_RESERVED 0 SITEPROP SLICE_X12Y132 IS_TEST 0 SITEPROP SLICE_X12Y132 IS_USED 0 SITEPROP SLICE_X12Y132 MANUAL_ROUTING SITEPROP SLICE_X12Y132 NAME SLICE_X12Y132 SITEPROP SLICE_X12Y132 NUM_ARCS 153 SITEPROP SLICE_X12Y132 NUM_BELS 32 SITEPROP SLICE_X12Y132 NUM_INPUTS 37 SITEPROP SLICE_X12Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y132 NUM_PINS 50 SITEPROP SLICE_X12Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y132 PROHIBIT 0 SITEPROP SLICE_X12Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y132 RPM_X 49 SITEPROP SLICE_X12Y132 RPM_Y 264 SITEPROP SLICE_X12Y132 SITE_PIPS SITEPROP SLICE_X12Y132 SITE_TYPE SLICEM SITEPROP SLICE_X12Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y133 CLASS site SITEPROP SLICE_X12Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y133 IS_BONDED 0 SITEPROP SLICE_X12Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y133 IS_PAD 0 SITEPROP SLICE_X12Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y133 IS_RESERVED 0 SITEPROP SLICE_X12Y133 IS_TEST 0 SITEPROP SLICE_X12Y133 IS_USED 0 SITEPROP SLICE_X12Y133 MANUAL_ROUTING SITEPROP SLICE_X12Y133 NAME SLICE_X12Y133 SITEPROP SLICE_X12Y133 NUM_ARCS 153 SITEPROP SLICE_X12Y133 NUM_BELS 32 SITEPROP SLICE_X12Y133 NUM_INPUTS 37 SITEPROP SLICE_X12Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y133 NUM_PINS 50 SITEPROP SLICE_X12Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y133 PROHIBIT 0 SITEPROP SLICE_X12Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y133 RPM_X 49 SITEPROP SLICE_X12Y133 RPM_Y 266 SITEPROP SLICE_X12Y133 SITE_PIPS SITEPROP SLICE_X12Y133 SITE_TYPE SLICEM SITEPROP SLICE_X12Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y134 CLASS site SITEPROP SLICE_X12Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y134 IS_BONDED 0 SITEPROP SLICE_X12Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y134 IS_PAD 0 SITEPROP SLICE_X12Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y134 IS_RESERVED 0 SITEPROP SLICE_X12Y134 IS_TEST 0 SITEPROP SLICE_X12Y134 IS_USED 0 SITEPROP SLICE_X12Y134 MANUAL_ROUTING SITEPROP SLICE_X12Y134 NAME SLICE_X12Y134 SITEPROP SLICE_X12Y134 NUM_ARCS 153 SITEPROP SLICE_X12Y134 NUM_BELS 32 SITEPROP SLICE_X12Y134 NUM_INPUTS 37 SITEPROP SLICE_X12Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y134 NUM_PINS 50 SITEPROP SLICE_X12Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y134 PROHIBIT 0 SITEPROP SLICE_X12Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y134 RPM_X 49 SITEPROP SLICE_X12Y134 RPM_Y 268 SITEPROP SLICE_X12Y134 SITE_PIPS SITEPROP SLICE_X12Y134 SITE_TYPE SLICEM SITEPROP SLICE_X12Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y135 CLASS site SITEPROP SLICE_X12Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y135 IS_BONDED 0 SITEPROP SLICE_X12Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y135 IS_PAD 0 SITEPROP SLICE_X12Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y135 IS_RESERVED 0 SITEPROP SLICE_X12Y135 IS_TEST 0 SITEPROP SLICE_X12Y135 IS_USED 0 SITEPROP SLICE_X12Y135 MANUAL_ROUTING SITEPROP SLICE_X12Y135 NAME SLICE_X12Y135 SITEPROP SLICE_X12Y135 NUM_ARCS 153 SITEPROP SLICE_X12Y135 NUM_BELS 32 SITEPROP SLICE_X12Y135 NUM_INPUTS 37 SITEPROP SLICE_X12Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y135 NUM_PINS 50 SITEPROP SLICE_X12Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y135 PROHIBIT 0 SITEPROP SLICE_X12Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y135 RPM_X 49 SITEPROP SLICE_X12Y135 RPM_Y 270 SITEPROP SLICE_X12Y135 SITE_PIPS SITEPROP SLICE_X12Y135 SITE_TYPE SLICEM SITEPROP SLICE_X12Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y136 CLASS site SITEPROP SLICE_X12Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y136 IS_BONDED 0 SITEPROP SLICE_X12Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y136 IS_PAD 0 SITEPROP SLICE_X12Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y136 IS_RESERVED 0 SITEPROP SLICE_X12Y136 IS_TEST 0 SITEPROP SLICE_X12Y136 IS_USED 0 SITEPROP SLICE_X12Y136 MANUAL_ROUTING SITEPROP SLICE_X12Y136 NAME SLICE_X12Y136 SITEPROP SLICE_X12Y136 NUM_ARCS 153 SITEPROP SLICE_X12Y136 NUM_BELS 32 SITEPROP SLICE_X12Y136 NUM_INPUTS 37 SITEPROP SLICE_X12Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y136 NUM_PINS 50 SITEPROP SLICE_X12Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y136 PROHIBIT 0 SITEPROP SLICE_X12Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y136 RPM_X 49 SITEPROP SLICE_X12Y136 RPM_Y 272 SITEPROP SLICE_X12Y136 SITE_PIPS SITEPROP SLICE_X12Y136 SITE_TYPE SLICEM SITEPROP SLICE_X12Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y137 CLASS site SITEPROP SLICE_X12Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y137 IS_BONDED 0 SITEPROP SLICE_X12Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y137 IS_PAD 0 SITEPROP SLICE_X12Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y137 IS_RESERVED 0 SITEPROP SLICE_X12Y137 IS_TEST 0 SITEPROP SLICE_X12Y137 IS_USED 0 SITEPROP SLICE_X12Y137 MANUAL_ROUTING SITEPROP SLICE_X12Y137 NAME SLICE_X12Y137 SITEPROP SLICE_X12Y137 NUM_ARCS 153 SITEPROP SLICE_X12Y137 NUM_BELS 32 SITEPROP SLICE_X12Y137 NUM_INPUTS 37 SITEPROP SLICE_X12Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y137 NUM_PINS 50 SITEPROP SLICE_X12Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y137 PROHIBIT 0 SITEPROP SLICE_X12Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y137 RPM_X 49 SITEPROP SLICE_X12Y137 RPM_Y 274 SITEPROP SLICE_X12Y137 SITE_PIPS SITEPROP SLICE_X12Y137 SITE_TYPE SLICEM SITEPROP SLICE_X12Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y138 CLASS site SITEPROP SLICE_X12Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y138 IS_BONDED 0 SITEPROP SLICE_X12Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y138 IS_PAD 0 SITEPROP SLICE_X12Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y138 IS_RESERVED 0 SITEPROP SLICE_X12Y138 IS_TEST 0 SITEPROP SLICE_X12Y138 IS_USED 0 SITEPROP SLICE_X12Y138 MANUAL_ROUTING SITEPROP SLICE_X12Y138 NAME SLICE_X12Y138 SITEPROP SLICE_X12Y138 NUM_ARCS 153 SITEPROP SLICE_X12Y138 NUM_BELS 32 SITEPROP SLICE_X12Y138 NUM_INPUTS 37 SITEPROP SLICE_X12Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y138 NUM_PINS 50 SITEPROP SLICE_X12Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y138 PROHIBIT 0 SITEPROP SLICE_X12Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y138 RPM_X 49 SITEPROP SLICE_X12Y138 RPM_Y 276 SITEPROP SLICE_X12Y138 SITE_PIPS SITEPROP SLICE_X12Y138 SITE_TYPE SLICEM SITEPROP SLICE_X12Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y139 CLASS site SITEPROP SLICE_X12Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y139 IS_BONDED 0 SITEPROP SLICE_X12Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y139 IS_PAD 0 SITEPROP SLICE_X12Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y139 IS_RESERVED 0 SITEPROP SLICE_X12Y139 IS_TEST 0 SITEPROP SLICE_X12Y139 IS_USED 0 SITEPROP SLICE_X12Y139 MANUAL_ROUTING SITEPROP SLICE_X12Y139 NAME SLICE_X12Y139 SITEPROP SLICE_X12Y139 NUM_ARCS 153 SITEPROP SLICE_X12Y139 NUM_BELS 32 SITEPROP SLICE_X12Y139 NUM_INPUTS 37 SITEPROP SLICE_X12Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y139 NUM_PINS 50 SITEPROP SLICE_X12Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y139 PROHIBIT 0 SITEPROP SLICE_X12Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y139 RPM_X 49 SITEPROP SLICE_X12Y139 RPM_Y 278 SITEPROP SLICE_X12Y139 SITE_PIPS SITEPROP SLICE_X12Y139 SITE_TYPE SLICEM SITEPROP SLICE_X12Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y140 CLASS site SITEPROP SLICE_X12Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y140 IS_BONDED 0 SITEPROP SLICE_X12Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y140 IS_PAD 0 SITEPROP SLICE_X12Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y140 IS_RESERVED 0 SITEPROP SLICE_X12Y140 IS_TEST 0 SITEPROP SLICE_X12Y140 IS_USED 0 SITEPROP SLICE_X12Y140 MANUAL_ROUTING SITEPROP SLICE_X12Y140 NAME SLICE_X12Y140 SITEPROP SLICE_X12Y140 NUM_ARCS 153 SITEPROP SLICE_X12Y140 NUM_BELS 32 SITEPROP SLICE_X12Y140 NUM_INPUTS 37 SITEPROP SLICE_X12Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y140 NUM_PINS 50 SITEPROP SLICE_X12Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y140 PROHIBIT 0 SITEPROP SLICE_X12Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y140 RPM_X 49 SITEPROP SLICE_X12Y140 RPM_Y 280 SITEPROP SLICE_X12Y140 SITE_PIPS SITEPROP SLICE_X12Y140 SITE_TYPE SLICEM SITEPROP SLICE_X12Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y141 CLASS site SITEPROP SLICE_X12Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y141 IS_BONDED 0 SITEPROP SLICE_X12Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y141 IS_PAD 0 SITEPROP SLICE_X12Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y141 IS_RESERVED 0 SITEPROP SLICE_X12Y141 IS_TEST 0 SITEPROP SLICE_X12Y141 IS_USED 0 SITEPROP SLICE_X12Y141 MANUAL_ROUTING SITEPROP SLICE_X12Y141 NAME SLICE_X12Y141 SITEPROP SLICE_X12Y141 NUM_ARCS 153 SITEPROP SLICE_X12Y141 NUM_BELS 32 SITEPROP SLICE_X12Y141 NUM_INPUTS 37 SITEPROP SLICE_X12Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y141 NUM_PINS 50 SITEPROP SLICE_X12Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y141 PROHIBIT 0 SITEPROP SLICE_X12Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y141 RPM_X 49 SITEPROP SLICE_X12Y141 RPM_Y 282 SITEPROP SLICE_X12Y141 SITE_PIPS SITEPROP SLICE_X12Y141 SITE_TYPE SLICEM SITEPROP SLICE_X12Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y142 CLASS site SITEPROP SLICE_X12Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y142 IS_BONDED 0 SITEPROP SLICE_X12Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y142 IS_PAD 0 SITEPROP SLICE_X12Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y142 IS_RESERVED 0 SITEPROP SLICE_X12Y142 IS_TEST 0 SITEPROP SLICE_X12Y142 IS_USED 0 SITEPROP SLICE_X12Y142 MANUAL_ROUTING SITEPROP SLICE_X12Y142 NAME SLICE_X12Y142 SITEPROP SLICE_X12Y142 NUM_ARCS 153 SITEPROP SLICE_X12Y142 NUM_BELS 32 SITEPROP SLICE_X12Y142 NUM_INPUTS 37 SITEPROP SLICE_X12Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y142 NUM_PINS 50 SITEPROP SLICE_X12Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y142 PROHIBIT 0 SITEPROP SLICE_X12Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y142 RPM_X 49 SITEPROP SLICE_X12Y142 RPM_Y 284 SITEPROP SLICE_X12Y142 SITE_PIPS SITEPROP SLICE_X12Y142 SITE_TYPE SLICEM SITEPROP SLICE_X12Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y143 CLASS site SITEPROP SLICE_X12Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y143 IS_BONDED 0 SITEPROP SLICE_X12Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y143 IS_PAD 0 SITEPROP SLICE_X12Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y143 IS_RESERVED 0 SITEPROP SLICE_X12Y143 IS_TEST 0 SITEPROP SLICE_X12Y143 IS_USED 0 SITEPROP SLICE_X12Y143 MANUAL_ROUTING SITEPROP SLICE_X12Y143 NAME SLICE_X12Y143 SITEPROP SLICE_X12Y143 NUM_ARCS 153 SITEPROP SLICE_X12Y143 NUM_BELS 32 SITEPROP SLICE_X12Y143 NUM_INPUTS 37 SITEPROP SLICE_X12Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y143 NUM_PINS 50 SITEPROP SLICE_X12Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y143 PROHIBIT 0 SITEPROP SLICE_X12Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y143 RPM_X 49 SITEPROP SLICE_X12Y143 RPM_Y 286 SITEPROP SLICE_X12Y143 SITE_PIPS SITEPROP SLICE_X12Y143 SITE_TYPE SLICEM SITEPROP SLICE_X12Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y144 CLASS site SITEPROP SLICE_X12Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y144 IS_BONDED 0 SITEPROP SLICE_X12Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y144 IS_PAD 0 SITEPROP SLICE_X12Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y144 IS_RESERVED 0 SITEPROP SLICE_X12Y144 IS_TEST 0 SITEPROP SLICE_X12Y144 IS_USED 0 SITEPROP SLICE_X12Y144 MANUAL_ROUTING SITEPROP SLICE_X12Y144 NAME SLICE_X12Y144 SITEPROP SLICE_X12Y144 NUM_ARCS 153 SITEPROP SLICE_X12Y144 NUM_BELS 32 SITEPROP SLICE_X12Y144 NUM_INPUTS 37 SITEPROP SLICE_X12Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y144 NUM_PINS 50 SITEPROP SLICE_X12Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y144 PROHIBIT 0 SITEPROP SLICE_X12Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y144 RPM_X 49 SITEPROP SLICE_X12Y144 RPM_Y 288 SITEPROP SLICE_X12Y144 SITE_PIPS SITEPROP SLICE_X12Y144 SITE_TYPE SLICEM SITEPROP SLICE_X12Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y145 CLASS site SITEPROP SLICE_X12Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y145 IS_BONDED 0 SITEPROP SLICE_X12Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y145 IS_PAD 0 SITEPROP SLICE_X12Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y145 IS_RESERVED 0 SITEPROP SLICE_X12Y145 IS_TEST 0 SITEPROP SLICE_X12Y145 IS_USED 0 SITEPROP SLICE_X12Y145 MANUAL_ROUTING SITEPROP SLICE_X12Y145 NAME SLICE_X12Y145 SITEPROP SLICE_X12Y145 NUM_ARCS 153 SITEPROP SLICE_X12Y145 NUM_BELS 32 SITEPROP SLICE_X12Y145 NUM_INPUTS 37 SITEPROP SLICE_X12Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y145 NUM_PINS 50 SITEPROP SLICE_X12Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y145 PROHIBIT 0 SITEPROP SLICE_X12Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y145 RPM_X 49 SITEPROP SLICE_X12Y145 RPM_Y 290 SITEPROP SLICE_X12Y145 SITE_PIPS SITEPROP SLICE_X12Y145 SITE_TYPE SLICEM SITEPROP SLICE_X12Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y146 CLASS site SITEPROP SLICE_X12Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y146 IS_BONDED 0 SITEPROP SLICE_X12Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y146 IS_PAD 0 SITEPROP SLICE_X12Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y146 IS_RESERVED 0 SITEPROP SLICE_X12Y146 IS_TEST 0 SITEPROP SLICE_X12Y146 IS_USED 0 SITEPROP SLICE_X12Y146 MANUAL_ROUTING SITEPROP SLICE_X12Y146 NAME SLICE_X12Y146 SITEPROP SLICE_X12Y146 NUM_ARCS 153 SITEPROP SLICE_X12Y146 NUM_BELS 32 SITEPROP SLICE_X12Y146 NUM_INPUTS 37 SITEPROP SLICE_X12Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y146 NUM_PINS 50 SITEPROP SLICE_X12Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y146 PROHIBIT 0 SITEPROP SLICE_X12Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y146 RPM_X 49 SITEPROP SLICE_X12Y146 RPM_Y 292 SITEPROP SLICE_X12Y146 SITE_PIPS SITEPROP SLICE_X12Y146 SITE_TYPE SLICEM SITEPROP SLICE_X12Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y147 CLASS site SITEPROP SLICE_X12Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y147 IS_BONDED 0 SITEPROP SLICE_X12Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y147 IS_PAD 0 SITEPROP SLICE_X12Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y147 IS_RESERVED 0 SITEPROP SLICE_X12Y147 IS_TEST 0 SITEPROP SLICE_X12Y147 IS_USED 0 SITEPROP SLICE_X12Y147 MANUAL_ROUTING SITEPROP SLICE_X12Y147 NAME SLICE_X12Y147 SITEPROP SLICE_X12Y147 NUM_ARCS 153 SITEPROP SLICE_X12Y147 NUM_BELS 32 SITEPROP SLICE_X12Y147 NUM_INPUTS 37 SITEPROP SLICE_X12Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y147 NUM_PINS 50 SITEPROP SLICE_X12Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y147 PROHIBIT 0 SITEPROP SLICE_X12Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y147 RPM_X 49 SITEPROP SLICE_X12Y147 RPM_Y 294 SITEPROP SLICE_X12Y147 SITE_PIPS SITEPROP SLICE_X12Y147 SITE_TYPE SLICEM SITEPROP SLICE_X12Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y148 CLASS site SITEPROP SLICE_X12Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y148 IS_BONDED 0 SITEPROP SLICE_X12Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y148 IS_PAD 0 SITEPROP SLICE_X12Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y148 IS_RESERVED 0 SITEPROP SLICE_X12Y148 IS_TEST 0 SITEPROP SLICE_X12Y148 IS_USED 0 SITEPROP SLICE_X12Y148 MANUAL_ROUTING SITEPROP SLICE_X12Y148 NAME SLICE_X12Y148 SITEPROP SLICE_X12Y148 NUM_ARCS 153 SITEPROP SLICE_X12Y148 NUM_BELS 32 SITEPROP SLICE_X12Y148 NUM_INPUTS 37 SITEPROP SLICE_X12Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y148 NUM_PINS 50 SITEPROP SLICE_X12Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y148 PROHIBIT 0 SITEPROP SLICE_X12Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y148 RPM_X 49 SITEPROP SLICE_X12Y148 RPM_Y 296 SITEPROP SLICE_X12Y148 SITE_PIPS SITEPROP SLICE_X12Y148 SITE_TYPE SLICEM SITEPROP SLICE_X12Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X12Y149 CLASS site SITEPROP SLICE_X12Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X12Y149 IS_BONDED 0 SITEPROP SLICE_X12Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X12Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y149 IS_PAD 0 SITEPROP SLICE_X12Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X12Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X12Y149 IS_RESERVED 0 SITEPROP SLICE_X12Y149 IS_TEST 0 SITEPROP SLICE_X12Y149 IS_USED 0 SITEPROP SLICE_X12Y149 MANUAL_ROUTING SITEPROP SLICE_X12Y149 NAME SLICE_X12Y149 SITEPROP SLICE_X12Y149 NUM_ARCS 153 SITEPROP SLICE_X12Y149 NUM_BELS 32 SITEPROP SLICE_X12Y149 NUM_INPUTS 37 SITEPROP SLICE_X12Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X12Y149 NUM_PINS 50 SITEPROP SLICE_X12Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X12Y149 PROHIBIT 0 SITEPROP SLICE_X12Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X12Y149 RPM_X 49 SITEPROP SLICE_X12Y149 RPM_Y 298 SITEPROP SLICE_X12Y149 SITE_PIPS SITEPROP SLICE_X12Y149 SITE_TYPE SLICEM SITEPROP SLICE_X13Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y0 CLASS site SITEPROP SLICE_X13Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y0 IS_BONDED 0 SITEPROP SLICE_X13Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y0 IS_PAD 0 SITEPROP SLICE_X13Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y0 IS_RESERVED 0 SITEPROP SLICE_X13Y0 IS_TEST 0 SITEPROP SLICE_X13Y0 IS_USED 0 SITEPROP SLICE_X13Y0 MANUAL_ROUTING SITEPROP SLICE_X13Y0 NAME SLICE_X13Y0 SITEPROP SLICE_X13Y0 NUM_ARCS 138 SITEPROP SLICE_X13Y0 NUM_BELS 32 SITEPROP SLICE_X13Y0 NUM_INPUTS 32 SITEPROP SLICE_X13Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y0 NUM_PINS 45 SITEPROP SLICE_X13Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y0 PROHIBIT 0 SITEPROP SLICE_X13Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y0 RPM_X 51 SITEPROP SLICE_X13Y0 RPM_Y 0 SITEPROP SLICE_X13Y0 SITE_PIPS SITEPROP SLICE_X13Y0 SITE_TYPE SLICEL SITEPROP SLICE_X13Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y1 CLASS site SITEPROP SLICE_X13Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y1 IS_BONDED 0 SITEPROP SLICE_X13Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y1 IS_PAD 0 SITEPROP SLICE_X13Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y1 IS_RESERVED 0 SITEPROP SLICE_X13Y1 IS_TEST 0 SITEPROP SLICE_X13Y1 IS_USED 0 SITEPROP SLICE_X13Y1 MANUAL_ROUTING SITEPROP SLICE_X13Y1 NAME SLICE_X13Y1 SITEPROP SLICE_X13Y1 NUM_ARCS 138 SITEPROP SLICE_X13Y1 NUM_BELS 32 SITEPROP SLICE_X13Y1 NUM_INPUTS 32 SITEPROP SLICE_X13Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y1 NUM_PINS 45 SITEPROP SLICE_X13Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y1 PROHIBIT 0 SITEPROP SLICE_X13Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y1 RPM_X 51 SITEPROP SLICE_X13Y1 RPM_Y 2 SITEPROP SLICE_X13Y1 SITE_PIPS SITEPROP SLICE_X13Y1 SITE_TYPE SLICEL SITEPROP SLICE_X13Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y2 CLASS site SITEPROP SLICE_X13Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y2 IS_BONDED 0 SITEPROP SLICE_X13Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y2 IS_PAD 0 SITEPROP SLICE_X13Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y2 IS_RESERVED 0 SITEPROP SLICE_X13Y2 IS_TEST 0 SITEPROP SLICE_X13Y2 IS_USED 0 SITEPROP SLICE_X13Y2 MANUAL_ROUTING SITEPROP SLICE_X13Y2 NAME SLICE_X13Y2 SITEPROP SLICE_X13Y2 NUM_ARCS 138 SITEPROP SLICE_X13Y2 NUM_BELS 32 SITEPROP SLICE_X13Y2 NUM_INPUTS 32 SITEPROP SLICE_X13Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y2 NUM_PINS 45 SITEPROP SLICE_X13Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y2 PROHIBIT 0 SITEPROP SLICE_X13Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y2 RPM_X 51 SITEPROP SLICE_X13Y2 RPM_Y 4 SITEPROP SLICE_X13Y2 SITE_PIPS SITEPROP SLICE_X13Y2 SITE_TYPE SLICEL SITEPROP SLICE_X13Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y3 CLASS site SITEPROP SLICE_X13Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y3 IS_BONDED 0 SITEPROP SLICE_X13Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y3 IS_PAD 0 SITEPROP SLICE_X13Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y3 IS_RESERVED 0 SITEPROP SLICE_X13Y3 IS_TEST 0 SITEPROP SLICE_X13Y3 IS_USED 0 SITEPROP SLICE_X13Y3 MANUAL_ROUTING SITEPROP SLICE_X13Y3 NAME SLICE_X13Y3 SITEPROP SLICE_X13Y3 NUM_ARCS 138 SITEPROP SLICE_X13Y3 NUM_BELS 32 SITEPROP SLICE_X13Y3 NUM_INPUTS 32 SITEPROP SLICE_X13Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y3 NUM_PINS 45 SITEPROP SLICE_X13Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y3 PROHIBIT 0 SITEPROP SLICE_X13Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y3 RPM_X 51 SITEPROP SLICE_X13Y3 RPM_Y 6 SITEPROP SLICE_X13Y3 SITE_PIPS SITEPROP SLICE_X13Y3 SITE_TYPE SLICEL SITEPROP SLICE_X13Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y4 CLASS site SITEPROP SLICE_X13Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y4 IS_BONDED 0 SITEPROP SLICE_X13Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y4 IS_PAD 0 SITEPROP SLICE_X13Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y4 IS_RESERVED 0 SITEPROP SLICE_X13Y4 IS_TEST 0 SITEPROP SLICE_X13Y4 IS_USED 0 SITEPROP SLICE_X13Y4 MANUAL_ROUTING SITEPROP SLICE_X13Y4 NAME SLICE_X13Y4 SITEPROP SLICE_X13Y4 NUM_ARCS 138 SITEPROP SLICE_X13Y4 NUM_BELS 32 SITEPROP SLICE_X13Y4 NUM_INPUTS 32 SITEPROP SLICE_X13Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y4 NUM_PINS 45 SITEPROP SLICE_X13Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y4 PROHIBIT 0 SITEPROP SLICE_X13Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y4 RPM_X 51 SITEPROP SLICE_X13Y4 RPM_Y 8 SITEPROP SLICE_X13Y4 SITE_PIPS SITEPROP SLICE_X13Y4 SITE_TYPE SLICEL SITEPROP SLICE_X13Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y5 CLASS site SITEPROP SLICE_X13Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y5 IS_BONDED 0 SITEPROP SLICE_X13Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y5 IS_PAD 0 SITEPROP SLICE_X13Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y5 IS_RESERVED 0 SITEPROP SLICE_X13Y5 IS_TEST 0 SITEPROP SLICE_X13Y5 IS_USED 0 SITEPROP SLICE_X13Y5 MANUAL_ROUTING SITEPROP SLICE_X13Y5 NAME SLICE_X13Y5 SITEPROP SLICE_X13Y5 NUM_ARCS 138 SITEPROP SLICE_X13Y5 NUM_BELS 32 SITEPROP SLICE_X13Y5 NUM_INPUTS 32 SITEPROP SLICE_X13Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y5 NUM_PINS 45 SITEPROP SLICE_X13Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y5 PROHIBIT 0 SITEPROP SLICE_X13Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y5 RPM_X 51 SITEPROP SLICE_X13Y5 RPM_Y 10 SITEPROP SLICE_X13Y5 SITE_PIPS SITEPROP SLICE_X13Y5 SITE_TYPE SLICEL SITEPROP SLICE_X13Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y6 CLASS site SITEPROP SLICE_X13Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y6 IS_BONDED 0 SITEPROP SLICE_X13Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y6 IS_PAD 0 SITEPROP SLICE_X13Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y6 IS_RESERVED 0 SITEPROP SLICE_X13Y6 IS_TEST 0 SITEPROP SLICE_X13Y6 IS_USED 0 SITEPROP SLICE_X13Y6 MANUAL_ROUTING SITEPROP SLICE_X13Y6 NAME SLICE_X13Y6 SITEPROP SLICE_X13Y6 NUM_ARCS 138 SITEPROP SLICE_X13Y6 NUM_BELS 32 SITEPROP SLICE_X13Y6 NUM_INPUTS 32 SITEPROP SLICE_X13Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y6 NUM_PINS 45 SITEPROP SLICE_X13Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y6 PROHIBIT 0 SITEPROP SLICE_X13Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y6 RPM_X 51 SITEPROP SLICE_X13Y6 RPM_Y 12 SITEPROP SLICE_X13Y6 SITE_PIPS SITEPROP SLICE_X13Y6 SITE_TYPE SLICEL SITEPROP SLICE_X13Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y7 CLASS site SITEPROP SLICE_X13Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y7 IS_BONDED 0 SITEPROP SLICE_X13Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y7 IS_PAD 0 SITEPROP SLICE_X13Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y7 IS_RESERVED 0 SITEPROP SLICE_X13Y7 IS_TEST 0 SITEPROP SLICE_X13Y7 IS_USED 0 SITEPROP SLICE_X13Y7 MANUAL_ROUTING SITEPROP SLICE_X13Y7 NAME SLICE_X13Y7 SITEPROP SLICE_X13Y7 NUM_ARCS 138 SITEPROP SLICE_X13Y7 NUM_BELS 32 SITEPROP SLICE_X13Y7 NUM_INPUTS 32 SITEPROP SLICE_X13Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y7 NUM_PINS 45 SITEPROP SLICE_X13Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y7 PROHIBIT 0 SITEPROP SLICE_X13Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y7 RPM_X 51 SITEPROP SLICE_X13Y7 RPM_Y 14 SITEPROP SLICE_X13Y7 SITE_PIPS SITEPROP SLICE_X13Y7 SITE_TYPE SLICEL SITEPROP SLICE_X13Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y8 CLASS site SITEPROP SLICE_X13Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y8 IS_BONDED 0 SITEPROP SLICE_X13Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y8 IS_PAD 0 SITEPROP SLICE_X13Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y8 IS_RESERVED 0 SITEPROP SLICE_X13Y8 IS_TEST 0 SITEPROP SLICE_X13Y8 IS_USED 0 SITEPROP SLICE_X13Y8 MANUAL_ROUTING SITEPROP SLICE_X13Y8 NAME SLICE_X13Y8 SITEPROP SLICE_X13Y8 NUM_ARCS 138 SITEPROP SLICE_X13Y8 NUM_BELS 32 SITEPROP SLICE_X13Y8 NUM_INPUTS 32 SITEPROP SLICE_X13Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y8 NUM_PINS 45 SITEPROP SLICE_X13Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y8 PROHIBIT 0 SITEPROP SLICE_X13Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y8 RPM_X 51 SITEPROP SLICE_X13Y8 RPM_Y 16 SITEPROP SLICE_X13Y8 SITE_PIPS SITEPROP SLICE_X13Y8 SITE_TYPE SLICEL SITEPROP SLICE_X13Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y9 CLASS site SITEPROP SLICE_X13Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y9 IS_BONDED 0 SITEPROP SLICE_X13Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y9 IS_PAD 0 SITEPROP SLICE_X13Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y9 IS_RESERVED 0 SITEPROP SLICE_X13Y9 IS_TEST 0 SITEPROP SLICE_X13Y9 IS_USED 0 SITEPROP SLICE_X13Y9 MANUAL_ROUTING SITEPROP SLICE_X13Y9 NAME SLICE_X13Y9 SITEPROP SLICE_X13Y9 NUM_ARCS 138 SITEPROP SLICE_X13Y9 NUM_BELS 32 SITEPROP SLICE_X13Y9 NUM_INPUTS 32 SITEPROP SLICE_X13Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y9 NUM_PINS 45 SITEPROP SLICE_X13Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y9 PROHIBIT 0 SITEPROP SLICE_X13Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y9 RPM_X 51 SITEPROP SLICE_X13Y9 RPM_Y 18 SITEPROP SLICE_X13Y9 SITE_PIPS SITEPROP SLICE_X13Y9 SITE_TYPE SLICEL SITEPROP SLICE_X13Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y10 CLASS site SITEPROP SLICE_X13Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y10 IS_BONDED 0 SITEPROP SLICE_X13Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y10 IS_PAD 0 SITEPROP SLICE_X13Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y10 IS_RESERVED 0 SITEPROP SLICE_X13Y10 IS_TEST 0 SITEPROP SLICE_X13Y10 IS_USED 0 SITEPROP SLICE_X13Y10 MANUAL_ROUTING SITEPROP SLICE_X13Y10 NAME SLICE_X13Y10 SITEPROP SLICE_X13Y10 NUM_ARCS 138 SITEPROP SLICE_X13Y10 NUM_BELS 32 SITEPROP SLICE_X13Y10 NUM_INPUTS 32 SITEPROP SLICE_X13Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y10 NUM_PINS 45 SITEPROP SLICE_X13Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y10 PROHIBIT 0 SITEPROP SLICE_X13Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y10 RPM_X 51 SITEPROP SLICE_X13Y10 RPM_Y 20 SITEPROP SLICE_X13Y10 SITE_PIPS SITEPROP SLICE_X13Y10 SITE_TYPE SLICEL SITEPROP SLICE_X13Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y11 CLASS site SITEPROP SLICE_X13Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y11 IS_BONDED 0 SITEPROP SLICE_X13Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y11 IS_PAD 0 SITEPROP SLICE_X13Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y11 IS_RESERVED 0 SITEPROP SLICE_X13Y11 IS_TEST 0 SITEPROP SLICE_X13Y11 IS_USED 0 SITEPROP SLICE_X13Y11 MANUAL_ROUTING SITEPROP SLICE_X13Y11 NAME SLICE_X13Y11 SITEPROP SLICE_X13Y11 NUM_ARCS 138 SITEPROP SLICE_X13Y11 NUM_BELS 32 SITEPROP SLICE_X13Y11 NUM_INPUTS 32 SITEPROP SLICE_X13Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y11 NUM_PINS 45 SITEPROP SLICE_X13Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y11 PROHIBIT 0 SITEPROP SLICE_X13Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y11 RPM_X 51 SITEPROP SLICE_X13Y11 RPM_Y 22 SITEPROP SLICE_X13Y11 SITE_PIPS SITEPROP SLICE_X13Y11 SITE_TYPE SLICEL SITEPROP SLICE_X13Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y12 CLASS site SITEPROP SLICE_X13Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y12 IS_BONDED 0 SITEPROP SLICE_X13Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y12 IS_PAD 0 SITEPROP SLICE_X13Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y12 IS_RESERVED 0 SITEPROP SLICE_X13Y12 IS_TEST 0 SITEPROP SLICE_X13Y12 IS_USED 0 SITEPROP SLICE_X13Y12 MANUAL_ROUTING SITEPROP SLICE_X13Y12 NAME SLICE_X13Y12 SITEPROP SLICE_X13Y12 NUM_ARCS 138 SITEPROP SLICE_X13Y12 NUM_BELS 32 SITEPROP SLICE_X13Y12 NUM_INPUTS 32 SITEPROP SLICE_X13Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y12 NUM_PINS 45 SITEPROP SLICE_X13Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y12 PROHIBIT 0 SITEPROP SLICE_X13Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y12 RPM_X 51 SITEPROP SLICE_X13Y12 RPM_Y 24 SITEPROP SLICE_X13Y12 SITE_PIPS SITEPROP SLICE_X13Y12 SITE_TYPE SLICEL SITEPROP SLICE_X13Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y13 CLASS site SITEPROP SLICE_X13Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y13 IS_BONDED 0 SITEPROP SLICE_X13Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y13 IS_PAD 0 SITEPROP SLICE_X13Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y13 IS_RESERVED 0 SITEPROP SLICE_X13Y13 IS_TEST 0 SITEPROP SLICE_X13Y13 IS_USED 0 SITEPROP SLICE_X13Y13 MANUAL_ROUTING SITEPROP SLICE_X13Y13 NAME SLICE_X13Y13 SITEPROP SLICE_X13Y13 NUM_ARCS 138 SITEPROP SLICE_X13Y13 NUM_BELS 32 SITEPROP SLICE_X13Y13 NUM_INPUTS 32 SITEPROP SLICE_X13Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y13 NUM_PINS 45 SITEPROP SLICE_X13Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y13 PROHIBIT 0 SITEPROP SLICE_X13Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y13 RPM_X 51 SITEPROP SLICE_X13Y13 RPM_Y 26 SITEPROP SLICE_X13Y13 SITE_PIPS SITEPROP SLICE_X13Y13 SITE_TYPE SLICEL SITEPROP SLICE_X13Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y14 CLASS site SITEPROP SLICE_X13Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y14 IS_BONDED 0 SITEPROP SLICE_X13Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y14 IS_PAD 0 SITEPROP SLICE_X13Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y14 IS_RESERVED 0 SITEPROP SLICE_X13Y14 IS_TEST 0 SITEPROP SLICE_X13Y14 IS_USED 0 SITEPROP SLICE_X13Y14 MANUAL_ROUTING SITEPROP SLICE_X13Y14 NAME SLICE_X13Y14 SITEPROP SLICE_X13Y14 NUM_ARCS 138 SITEPROP SLICE_X13Y14 NUM_BELS 32 SITEPROP SLICE_X13Y14 NUM_INPUTS 32 SITEPROP SLICE_X13Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y14 NUM_PINS 45 SITEPROP SLICE_X13Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y14 PROHIBIT 0 SITEPROP SLICE_X13Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y14 RPM_X 51 SITEPROP SLICE_X13Y14 RPM_Y 28 SITEPROP SLICE_X13Y14 SITE_PIPS SITEPROP SLICE_X13Y14 SITE_TYPE SLICEL SITEPROP SLICE_X13Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y15 CLASS site SITEPROP SLICE_X13Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y15 IS_BONDED 0 SITEPROP SLICE_X13Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y15 IS_PAD 0 SITEPROP SLICE_X13Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y15 IS_RESERVED 0 SITEPROP SLICE_X13Y15 IS_TEST 0 SITEPROP SLICE_X13Y15 IS_USED 0 SITEPROP SLICE_X13Y15 MANUAL_ROUTING SITEPROP SLICE_X13Y15 NAME SLICE_X13Y15 SITEPROP SLICE_X13Y15 NUM_ARCS 138 SITEPROP SLICE_X13Y15 NUM_BELS 32 SITEPROP SLICE_X13Y15 NUM_INPUTS 32 SITEPROP SLICE_X13Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y15 NUM_PINS 45 SITEPROP SLICE_X13Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y15 PROHIBIT 0 SITEPROP SLICE_X13Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y15 RPM_X 51 SITEPROP SLICE_X13Y15 RPM_Y 30 SITEPROP SLICE_X13Y15 SITE_PIPS SITEPROP SLICE_X13Y15 SITE_TYPE SLICEL SITEPROP SLICE_X13Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y16 CLASS site SITEPROP SLICE_X13Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y16 IS_BONDED 0 SITEPROP SLICE_X13Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y16 IS_PAD 0 SITEPROP SLICE_X13Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y16 IS_RESERVED 0 SITEPROP SLICE_X13Y16 IS_TEST 0 SITEPROP SLICE_X13Y16 IS_USED 0 SITEPROP SLICE_X13Y16 MANUAL_ROUTING SITEPROP SLICE_X13Y16 NAME SLICE_X13Y16 SITEPROP SLICE_X13Y16 NUM_ARCS 138 SITEPROP SLICE_X13Y16 NUM_BELS 32 SITEPROP SLICE_X13Y16 NUM_INPUTS 32 SITEPROP SLICE_X13Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y16 NUM_PINS 45 SITEPROP SLICE_X13Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y16 PROHIBIT 0 SITEPROP SLICE_X13Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y16 RPM_X 51 SITEPROP SLICE_X13Y16 RPM_Y 32 SITEPROP SLICE_X13Y16 SITE_PIPS SITEPROP SLICE_X13Y16 SITE_TYPE SLICEL SITEPROP SLICE_X13Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y17 CLASS site SITEPROP SLICE_X13Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y17 IS_BONDED 0 SITEPROP SLICE_X13Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y17 IS_PAD 0 SITEPROP SLICE_X13Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y17 IS_RESERVED 0 SITEPROP SLICE_X13Y17 IS_TEST 0 SITEPROP SLICE_X13Y17 IS_USED 0 SITEPROP SLICE_X13Y17 MANUAL_ROUTING SITEPROP SLICE_X13Y17 NAME SLICE_X13Y17 SITEPROP SLICE_X13Y17 NUM_ARCS 138 SITEPROP SLICE_X13Y17 NUM_BELS 32 SITEPROP SLICE_X13Y17 NUM_INPUTS 32 SITEPROP SLICE_X13Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y17 NUM_PINS 45 SITEPROP SLICE_X13Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y17 PROHIBIT 0 SITEPROP SLICE_X13Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y17 RPM_X 51 SITEPROP SLICE_X13Y17 RPM_Y 34 SITEPROP SLICE_X13Y17 SITE_PIPS SITEPROP SLICE_X13Y17 SITE_TYPE SLICEL SITEPROP SLICE_X13Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y18 CLASS site SITEPROP SLICE_X13Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y18 IS_BONDED 0 SITEPROP SLICE_X13Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y18 IS_PAD 0 SITEPROP SLICE_X13Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y18 IS_RESERVED 0 SITEPROP SLICE_X13Y18 IS_TEST 0 SITEPROP SLICE_X13Y18 IS_USED 0 SITEPROP SLICE_X13Y18 MANUAL_ROUTING SITEPROP SLICE_X13Y18 NAME SLICE_X13Y18 SITEPROP SLICE_X13Y18 NUM_ARCS 138 SITEPROP SLICE_X13Y18 NUM_BELS 32 SITEPROP SLICE_X13Y18 NUM_INPUTS 32 SITEPROP SLICE_X13Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y18 NUM_PINS 45 SITEPROP SLICE_X13Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y18 PROHIBIT 0 SITEPROP SLICE_X13Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y18 RPM_X 51 SITEPROP SLICE_X13Y18 RPM_Y 36 SITEPROP SLICE_X13Y18 SITE_PIPS SITEPROP SLICE_X13Y18 SITE_TYPE SLICEL SITEPROP SLICE_X13Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y19 CLASS site SITEPROP SLICE_X13Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y19 IS_BONDED 0 SITEPROP SLICE_X13Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y19 IS_PAD 0 SITEPROP SLICE_X13Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y19 IS_RESERVED 0 SITEPROP SLICE_X13Y19 IS_TEST 0 SITEPROP SLICE_X13Y19 IS_USED 0 SITEPROP SLICE_X13Y19 MANUAL_ROUTING SITEPROP SLICE_X13Y19 NAME SLICE_X13Y19 SITEPROP SLICE_X13Y19 NUM_ARCS 138 SITEPROP SLICE_X13Y19 NUM_BELS 32 SITEPROP SLICE_X13Y19 NUM_INPUTS 32 SITEPROP SLICE_X13Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y19 NUM_PINS 45 SITEPROP SLICE_X13Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y19 PROHIBIT 0 SITEPROP SLICE_X13Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y19 RPM_X 51 SITEPROP SLICE_X13Y19 RPM_Y 38 SITEPROP SLICE_X13Y19 SITE_PIPS SITEPROP SLICE_X13Y19 SITE_TYPE SLICEL SITEPROP SLICE_X13Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y20 CLASS site SITEPROP SLICE_X13Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y20 IS_BONDED 0 SITEPROP SLICE_X13Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y20 IS_PAD 0 SITEPROP SLICE_X13Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y20 IS_RESERVED 0 SITEPROP SLICE_X13Y20 IS_TEST 0 SITEPROP SLICE_X13Y20 IS_USED 0 SITEPROP SLICE_X13Y20 MANUAL_ROUTING SITEPROP SLICE_X13Y20 NAME SLICE_X13Y20 SITEPROP SLICE_X13Y20 NUM_ARCS 138 SITEPROP SLICE_X13Y20 NUM_BELS 32 SITEPROP SLICE_X13Y20 NUM_INPUTS 32 SITEPROP SLICE_X13Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y20 NUM_PINS 45 SITEPROP SLICE_X13Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y20 PROHIBIT 0 SITEPROP SLICE_X13Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y20 RPM_X 51 SITEPROP SLICE_X13Y20 RPM_Y 40 SITEPROP SLICE_X13Y20 SITE_PIPS SITEPROP SLICE_X13Y20 SITE_TYPE SLICEL SITEPROP SLICE_X13Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y21 CLASS site SITEPROP SLICE_X13Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y21 IS_BONDED 0 SITEPROP SLICE_X13Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y21 IS_PAD 0 SITEPROP SLICE_X13Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y21 IS_RESERVED 0 SITEPROP SLICE_X13Y21 IS_TEST 0 SITEPROP SLICE_X13Y21 IS_USED 0 SITEPROP SLICE_X13Y21 MANUAL_ROUTING SITEPROP SLICE_X13Y21 NAME SLICE_X13Y21 SITEPROP SLICE_X13Y21 NUM_ARCS 138 SITEPROP SLICE_X13Y21 NUM_BELS 32 SITEPROP SLICE_X13Y21 NUM_INPUTS 32 SITEPROP SLICE_X13Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y21 NUM_PINS 45 SITEPROP SLICE_X13Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y21 PROHIBIT 0 SITEPROP SLICE_X13Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y21 RPM_X 51 SITEPROP SLICE_X13Y21 RPM_Y 42 SITEPROP SLICE_X13Y21 SITE_PIPS SITEPROP SLICE_X13Y21 SITE_TYPE SLICEL SITEPROP SLICE_X13Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y22 CLASS site SITEPROP SLICE_X13Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y22 IS_BONDED 0 SITEPROP SLICE_X13Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y22 IS_PAD 0 SITEPROP SLICE_X13Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y22 IS_RESERVED 0 SITEPROP SLICE_X13Y22 IS_TEST 0 SITEPROP SLICE_X13Y22 IS_USED 0 SITEPROP SLICE_X13Y22 MANUAL_ROUTING SITEPROP SLICE_X13Y22 NAME SLICE_X13Y22 SITEPROP SLICE_X13Y22 NUM_ARCS 138 SITEPROP SLICE_X13Y22 NUM_BELS 32 SITEPROP SLICE_X13Y22 NUM_INPUTS 32 SITEPROP SLICE_X13Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y22 NUM_PINS 45 SITEPROP SLICE_X13Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y22 PROHIBIT 0 SITEPROP SLICE_X13Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y22 RPM_X 51 SITEPROP SLICE_X13Y22 RPM_Y 44 SITEPROP SLICE_X13Y22 SITE_PIPS SITEPROP SLICE_X13Y22 SITE_TYPE SLICEL SITEPROP SLICE_X13Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y23 CLASS site SITEPROP SLICE_X13Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y23 IS_BONDED 0 SITEPROP SLICE_X13Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y23 IS_PAD 0 SITEPROP SLICE_X13Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y23 IS_RESERVED 0 SITEPROP SLICE_X13Y23 IS_TEST 0 SITEPROP SLICE_X13Y23 IS_USED 0 SITEPROP SLICE_X13Y23 MANUAL_ROUTING SITEPROP SLICE_X13Y23 NAME SLICE_X13Y23 SITEPROP SLICE_X13Y23 NUM_ARCS 138 SITEPROP SLICE_X13Y23 NUM_BELS 32 SITEPROP SLICE_X13Y23 NUM_INPUTS 32 SITEPROP SLICE_X13Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y23 NUM_PINS 45 SITEPROP SLICE_X13Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y23 PROHIBIT 0 SITEPROP SLICE_X13Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y23 RPM_X 51 SITEPROP SLICE_X13Y23 RPM_Y 46 SITEPROP SLICE_X13Y23 SITE_PIPS SITEPROP SLICE_X13Y23 SITE_TYPE SLICEL SITEPROP SLICE_X13Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y24 CLASS site SITEPROP SLICE_X13Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y24 IS_BONDED 0 SITEPROP SLICE_X13Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y24 IS_PAD 0 SITEPROP SLICE_X13Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y24 IS_RESERVED 0 SITEPROP SLICE_X13Y24 IS_TEST 0 SITEPROP SLICE_X13Y24 IS_USED 0 SITEPROP SLICE_X13Y24 MANUAL_ROUTING SITEPROP SLICE_X13Y24 NAME SLICE_X13Y24 SITEPROP SLICE_X13Y24 NUM_ARCS 138 SITEPROP SLICE_X13Y24 NUM_BELS 32 SITEPROP SLICE_X13Y24 NUM_INPUTS 32 SITEPROP SLICE_X13Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y24 NUM_PINS 45 SITEPROP SLICE_X13Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y24 PROHIBIT 0 SITEPROP SLICE_X13Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y24 RPM_X 51 SITEPROP SLICE_X13Y24 RPM_Y 48 SITEPROP SLICE_X13Y24 SITE_PIPS SITEPROP SLICE_X13Y24 SITE_TYPE SLICEL SITEPROP SLICE_X13Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y25 CLASS site SITEPROP SLICE_X13Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y25 IS_BONDED 0 SITEPROP SLICE_X13Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y25 IS_PAD 0 SITEPROP SLICE_X13Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y25 IS_RESERVED 0 SITEPROP SLICE_X13Y25 IS_TEST 0 SITEPROP SLICE_X13Y25 IS_USED 0 SITEPROP SLICE_X13Y25 MANUAL_ROUTING SITEPROP SLICE_X13Y25 NAME SLICE_X13Y25 SITEPROP SLICE_X13Y25 NUM_ARCS 138 SITEPROP SLICE_X13Y25 NUM_BELS 32 SITEPROP SLICE_X13Y25 NUM_INPUTS 32 SITEPROP SLICE_X13Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y25 NUM_PINS 45 SITEPROP SLICE_X13Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y25 PROHIBIT 0 SITEPROP SLICE_X13Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y25 RPM_X 51 SITEPROP SLICE_X13Y25 RPM_Y 50 SITEPROP SLICE_X13Y25 SITE_PIPS SITEPROP SLICE_X13Y25 SITE_TYPE SLICEL SITEPROP SLICE_X13Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y26 CLASS site SITEPROP SLICE_X13Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y26 IS_BONDED 0 SITEPROP SLICE_X13Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y26 IS_PAD 0 SITEPROP SLICE_X13Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y26 IS_RESERVED 0 SITEPROP SLICE_X13Y26 IS_TEST 0 SITEPROP SLICE_X13Y26 IS_USED 0 SITEPROP SLICE_X13Y26 MANUAL_ROUTING SITEPROP SLICE_X13Y26 NAME SLICE_X13Y26 SITEPROP SLICE_X13Y26 NUM_ARCS 138 SITEPROP SLICE_X13Y26 NUM_BELS 32 SITEPROP SLICE_X13Y26 NUM_INPUTS 32 SITEPROP SLICE_X13Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y26 NUM_PINS 45 SITEPROP SLICE_X13Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y26 PROHIBIT 0 SITEPROP SLICE_X13Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y26 RPM_X 51 SITEPROP SLICE_X13Y26 RPM_Y 52 SITEPROP SLICE_X13Y26 SITE_PIPS SITEPROP SLICE_X13Y26 SITE_TYPE SLICEL SITEPROP SLICE_X13Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y27 CLASS site SITEPROP SLICE_X13Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y27 IS_BONDED 0 SITEPROP SLICE_X13Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y27 IS_PAD 0 SITEPROP SLICE_X13Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y27 IS_RESERVED 0 SITEPROP SLICE_X13Y27 IS_TEST 0 SITEPROP SLICE_X13Y27 IS_USED 0 SITEPROP SLICE_X13Y27 MANUAL_ROUTING SITEPROP SLICE_X13Y27 NAME SLICE_X13Y27 SITEPROP SLICE_X13Y27 NUM_ARCS 138 SITEPROP SLICE_X13Y27 NUM_BELS 32 SITEPROP SLICE_X13Y27 NUM_INPUTS 32 SITEPROP SLICE_X13Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y27 NUM_PINS 45 SITEPROP SLICE_X13Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y27 PROHIBIT 0 SITEPROP SLICE_X13Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y27 RPM_X 51 SITEPROP SLICE_X13Y27 RPM_Y 54 SITEPROP SLICE_X13Y27 SITE_PIPS SITEPROP SLICE_X13Y27 SITE_TYPE SLICEL SITEPROP SLICE_X13Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y28 CLASS site SITEPROP SLICE_X13Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y28 IS_BONDED 0 SITEPROP SLICE_X13Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y28 IS_PAD 0 SITEPROP SLICE_X13Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y28 IS_RESERVED 0 SITEPROP SLICE_X13Y28 IS_TEST 0 SITEPROP SLICE_X13Y28 IS_USED 0 SITEPROP SLICE_X13Y28 MANUAL_ROUTING SITEPROP SLICE_X13Y28 NAME SLICE_X13Y28 SITEPROP SLICE_X13Y28 NUM_ARCS 138 SITEPROP SLICE_X13Y28 NUM_BELS 32 SITEPROP SLICE_X13Y28 NUM_INPUTS 32 SITEPROP SLICE_X13Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y28 NUM_PINS 45 SITEPROP SLICE_X13Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y28 PROHIBIT 0 SITEPROP SLICE_X13Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y28 RPM_X 51 SITEPROP SLICE_X13Y28 RPM_Y 56 SITEPROP SLICE_X13Y28 SITE_PIPS SITEPROP SLICE_X13Y28 SITE_TYPE SLICEL SITEPROP SLICE_X13Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y29 CLASS site SITEPROP SLICE_X13Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y29 IS_BONDED 0 SITEPROP SLICE_X13Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y29 IS_PAD 0 SITEPROP SLICE_X13Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y29 IS_RESERVED 0 SITEPROP SLICE_X13Y29 IS_TEST 0 SITEPROP SLICE_X13Y29 IS_USED 0 SITEPROP SLICE_X13Y29 MANUAL_ROUTING SITEPROP SLICE_X13Y29 NAME SLICE_X13Y29 SITEPROP SLICE_X13Y29 NUM_ARCS 138 SITEPROP SLICE_X13Y29 NUM_BELS 32 SITEPROP SLICE_X13Y29 NUM_INPUTS 32 SITEPROP SLICE_X13Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y29 NUM_PINS 45 SITEPROP SLICE_X13Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y29 PROHIBIT 0 SITEPROP SLICE_X13Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y29 RPM_X 51 SITEPROP SLICE_X13Y29 RPM_Y 58 SITEPROP SLICE_X13Y29 SITE_PIPS SITEPROP SLICE_X13Y29 SITE_TYPE SLICEL SITEPROP SLICE_X13Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y30 CLASS site SITEPROP SLICE_X13Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y30 IS_BONDED 0 SITEPROP SLICE_X13Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y30 IS_PAD 0 SITEPROP SLICE_X13Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y30 IS_RESERVED 0 SITEPROP SLICE_X13Y30 IS_TEST 0 SITEPROP SLICE_X13Y30 IS_USED 0 SITEPROP SLICE_X13Y30 MANUAL_ROUTING SITEPROP SLICE_X13Y30 NAME SLICE_X13Y30 SITEPROP SLICE_X13Y30 NUM_ARCS 138 SITEPROP SLICE_X13Y30 NUM_BELS 32 SITEPROP SLICE_X13Y30 NUM_INPUTS 32 SITEPROP SLICE_X13Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y30 NUM_PINS 45 SITEPROP SLICE_X13Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y30 PROHIBIT 0 SITEPROP SLICE_X13Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y30 RPM_X 51 SITEPROP SLICE_X13Y30 RPM_Y 60 SITEPROP SLICE_X13Y30 SITE_PIPS SITEPROP SLICE_X13Y30 SITE_TYPE SLICEL SITEPROP SLICE_X13Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y31 CLASS site SITEPROP SLICE_X13Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y31 IS_BONDED 0 SITEPROP SLICE_X13Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y31 IS_PAD 0 SITEPROP SLICE_X13Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y31 IS_RESERVED 0 SITEPROP SLICE_X13Y31 IS_TEST 0 SITEPROP SLICE_X13Y31 IS_USED 0 SITEPROP SLICE_X13Y31 MANUAL_ROUTING SITEPROP SLICE_X13Y31 NAME SLICE_X13Y31 SITEPROP SLICE_X13Y31 NUM_ARCS 138 SITEPROP SLICE_X13Y31 NUM_BELS 32 SITEPROP SLICE_X13Y31 NUM_INPUTS 32 SITEPROP SLICE_X13Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y31 NUM_PINS 45 SITEPROP SLICE_X13Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y31 PROHIBIT 0 SITEPROP SLICE_X13Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y31 RPM_X 51 SITEPROP SLICE_X13Y31 RPM_Y 62 SITEPROP SLICE_X13Y31 SITE_PIPS SITEPROP SLICE_X13Y31 SITE_TYPE SLICEL SITEPROP SLICE_X13Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y32 CLASS site SITEPROP SLICE_X13Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y32 IS_BONDED 0 SITEPROP SLICE_X13Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y32 IS_PAD 0 SITEPROP SLICE_X13Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y32 IS_RESERVED 0 SITEPROP SLICE_X13Y32 IS_TEST 0 SITEPROP SLICE_X13Y32 IS_USED 0 SITEPROP SLICE_X13Y32 MANUAL_ROUTING SITEPROP SLICE_X13Y32 NAME SLICE_X13Y32 SITEPROP SLICE_X13Y32 NUM_ARCS 138 SITEPROP SLICE_X13Y32 NUM_BELS 32 SITEPROP SLICE_X13Y32 NUM_INPUTS 32 SITEPROP SLICE_X13Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y32 NUM_PINS 45 SITEPROP SLICE_X13Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y32 PROHIBIT 0 SITEPROP SLICE_X13Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y32 RPM_X 51 SITEPROP SLICE_X13Y32 RPM_Y 64 SITEPROP SLICE_X13Y32 SITE_PIPS SITEPROP SLICE_X13Y32 SITE_TYPE SLICEL SITEPROP SLICE_X13Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y33 CLASS site SITEPROP SLICE_X13Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y33 IS_BONDED 0 SITEPROP SLICE_X13Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y33 IS_PAD 0 SITEPROP SLICE_X13Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y33 IS_RESERVED 0 SITEPROP SLICE_X13Y33 IS_TEST 0 SITEPROP SLICE_X13Y33 IS_USED 0 SITEPROP SLICE_X13Y33 MANUAL_ROUTING SITEPROP SLICE_X13Y33 NAME SLICE_X13Y33 SITEPROP SLICE_X13Y33 NUM_ARCS 138 SITEPROP SLICE_X13Y33 NUM_BELS 32 SITEPROP SLICE_X13Y33 NUM_INPUTS 32 SITEPROP SLICE_X13Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y33 NUM_PINS 45 SITEPROP SLICE_X13Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y33 PROHIBIT 0 SITEPROP SLICE_X13Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y33 RPM_X 51 SITEPROP SLICE_X13Y33 RPM_Y 66 SITEPROP SLICE_X13Y33 SITE_PIPS SITEPROP SLICE_X13Y33 SITE_TYPE SLICEL SITEPROP SLICE_X13Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y34 CLASS site SITEPROP SLICE_X13Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y34 IS_BONDED 0 SITEPROP SLICE_X13Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y34 IS_PAD 0 SITEPROP SLICE_X13Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y34 IS_RESERVED 0 SITEPROP SLICE_X13Y34 IS_TEST 0 SITEPROP SLICE_X13Y34 IS_USED 0 SITEPROP SLICE_X13Y34 MANUAL_ROUTING SITEPROP SLICE_X13Y34 NAME SLICE_X13Y34 SITEPROP SLICE_X13Y34 NUM_ARCS 138 SITEPROP SLICE_X13Y34 NUM_BELS 32 SITEPROP SLICE_X13Y34 NUM_INPUTS 32 SITEPROP SLICE_X13Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y34 NUM_PINS 45 SITEPROP SLICE_X13Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y34 PROHIBIT 0 SITEPROP SLICE_X13Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y34 RPM_X 51 SITEPROP SLICE_X13Y34 RPM_Y 68 SITEPROP SLICE_X13Y34 SITE_PIPS SITEPROP SLICE_X13Y34 SITE_TYPE SLICEL SITEPROP SLICE_X13Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y35 CLASS site SITEPROP SLICE_X13Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y35 IS_BONDED 0 SITEPROP SLICE_X13Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y35 IS_PAD 0 SITEPROP SLICE_X13Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y35 IS_RESERVED 0 SITEPROP SLICE_X13Y35 IS_TEST 0 SITEPROP SLICE_X13Y35 IS_USED 0 SITEPROP SLICE_X13Y35 MANUAL_ROUTING SITEPROP SLICE_X13Y35 NAME SLICE_X13Y35 SITEPROP SLICE_X13Y35 NUM_ARCS 138 SITEPROP SLICE_X13Y35 NUM_BELS 32 SITEPROP SLICE_X13Y35 NUM_INPUTS 32 SITEPROP SLICE_X13Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y35 NUM_PINS 45 SITEPROP SLICE_X13Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y35 PROHIBIT 0 SITEPROP SLICE_X13Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y35 RPM_X 51 SITEPROP SLICE_X13Y35 RPM_Y 70 SITEPROP SLICE_X13Y35 SITE_PIPS SITEPROP SLICE_X13Y35 SITE_TYPE SLICEL SITEPROP SLICE_X13Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y36 CLASS site SITEPROP SLICE_X13Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y36 IS_BONDED 0 SITEPROP SLICE_X13Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y36 IS_PAD 0 SITEPROP SLICE_X13Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y36 IS_RESERVED 0 SITEPROP SLICE_X13Y36 IS_TEST 0 SITEPROP SLICE_X13Y36 IS_USED 0 SITEPROP SLICE_X13Y36 MANUAL_ROUTING SITEPROP SLICE_X13Y36 NAME SLICE_X13Y36 SITEPROP SLICE_X13Y36 NUM_ARCS 138 SITEPROP SLICE_X13Y36 NUM_BELS 32 SITEPROP SLICE_X13Y36 NUM_INPUTS 32 SITEPROP SLICE_X13Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y36 NUM_PINS 45 SITEPROP SLICE_X13Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y36 PROHIBIT 0 SITEPROP SLICE_X13Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y36 RPM_X 51 SITEPROP SLICE_X13Y36 RPM_Y 72 SITEPROP SLICE_X13Y36 SITE_PIPS SITEPROP SLICE_X13Y36 SITE_TYPE SLICEL SITEPROP SLICE_X13Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y37 CLASS site SITEPROP SLICE_X13Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y37 IS_BONDED 0 SITEPROP SLICE_X13Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y37 IS_PAD 0 SITEPROP SLICE_X13Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y37 IS_RESERVED 0 SITEPROP SLICE_X13Y37 IS_TEST 0 SITEPROP SLICE_X13Y37 IS_USED 0 SITEPROP SLICE_X13Y37 MANUAL_ROUTING SITEPROP SLICE_X13Y37 NAME SLICE_X13Y37 SITEPROP SLICE_X13Y37 NUM_ARCS 138 SITEPROP SLICE_X13Y37 NUM_BELS 32 SITEPROP SLICE_X13Y37 NUM_INPUTS 32 SITEPROP SLICE_X13Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y37 NUM_PINS 45 SITEPROP SLICE_X13Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y37 PROHIBIT 0 SITEPROP SLICE_X13Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y37 RPM_X 51 SITEPROP SLICE_X13Y37 RPM_Y 74 SITEPROP SLICE_X13Y37 SITE_PIPS SITEPROP SLICE_X13Y37 SITE_TYPE SLICEL SITEPROP SLICE_X13Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y38 CLASS site SITEPROP SLICE_X13Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y38 IS_BONDED 0 SITEPROP SLICE_X13Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y38 IS_PAD 0 SITEPROP SLICE_X13Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y38 IS_RESERVED 0 SITEPROP SLICE_X13Y38 IS_TEST 0 SITEPROP SLICE_X13Y38 IS_USED 0 SITEPROP SLICE_X13Y38 MANUAL_ROUTING SITEPROP SLICE_X13Y38 NAME SLICE_X13Y38 SITEPROP SLICE_X13Y38 NUM_ARCS 138 SITEPROP SLICE_X13Y38 NUM_BELS 32 SITEPROP SLICE_X13Y38 NUM_INPUTS 32 SITEPROP SLICE_X13Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y38 NUM_PINS 45 SITEPROP SLICE_X13Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y38 PROHIBIT 0 SITEPROP SLICE_X13Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y38 RPM_X 51 SITEPROP SLICE_X13Y38 RPM_Y 76 SITEPROP SLICE_X13Y38 SITE_PIPS SITEPROP SLICE_X13Y38 SITE_TYPE SLICEL SITEPROP SLICE_X13Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y39 CLASS site SITEPROP SLICE_X13Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y39 IS_BONDED 0 SITEPROP SLICE_X13Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y39 IS_PAD 0 SITEPROP SLICE_X13Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y39 IS_RESERVED 0 SITEPROP SLICE_X13Y39 IS_TEST 0 SITEPROP SLICE_X13Y39 IS_USED 0 SITEPROP SLICE_X13Y39 MANUAL_ROUTING SITEPROP SLICE_X13Y39 NAME SLICE_X13Y39 SITEPROP SLICE_X13Y39 NUM_ARCS 138 SITEPROP SLICE_X13Y39 NUM_BELS 32 SITEPROP SLICE_X13Y39 NUM_INPUTS 32 SITEPROP SLICE_X13Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y39 NUM_PINS 45 SITEPROP SLICE_X13Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y39 PROHIBIT 0 SITEPROP SLICE_X13Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y39 RPM_X 51 SITEPROP SLICE_X13Y39 RPM_Y 78 SITEPROP SLICE_X13Y39 SITE_PIPS SITEPROP SLICE_X13Y39 SITE_TYPE SLICEL SITEPROP SLICE_X13Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y40 CLASS site SITEPROP SLICE_X13Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y40 IS_BONDED 0 SITEPROP SLICE_X13Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y40 IS_PAD 0 SITEPROP SLICE_X13Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y40 IS_RESERVED 0 SITEPROP SLICE_X13Y40 IS_TEST 0 SITEPROP SLICE_X13Y40 IS_USED 0 SITEPROP SLICE_X13Y40 MANUAL_ROUTING SITEPROP SLICE_X13Y40 NAME SLICE_X13Y40 SITEPROP SLICE_X13Y40 NUM_ARCS 138 SITEPROP SLICE_X13Y40 NUM_BELS 32 SITEPROP SLICE_X13Y40 NUM_INPUTS 32 SITEPROP SLICE_X13Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y40 NUM_PINS 45 SITEPROP SLICE_X13Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y40 PROHIBIT 0 SITEPROP SLICE_X13Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y40 RPM_X 51 SITEPROP SLICE_X13Y40 RPM_Y 80 SITEPROP SLICE_X13Y40 SITE_PIPS SITEPROP SLICE_X13Y40 SITE_TYPE SLICEL SITEPROP SLICE_X13Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y41 CLASS site SITEPROP SLICE_X13Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y41 IS_BONDED 0 SITEPROP SLICE_X13Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y41 IS_PAD 0 SITEPROP SLICE_X13Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y41 IS_RESERVED 0 SITEPROP SLICE_X13Y41 IS_TEST 0 SITEPROP SLICE_X13Y41 IS_USED 0 SITEPROP SLICE_X13Y41 MANUAL_ROUTING SITEPROP SLICE_X13Y41 NAME SLICE_X13Y41 SITEPROP SLICE_X13Y41 NUM_ARCS 138 SITEPROP SLICE_X13Y41 NUM_BELS 32 SITEPROP SLICE_X13Y41 NUM_INPUTS 32 SITEPROP SLICE_X13Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y41 NUM_PINS 45 SITEPROP SLICE_X13Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y41 PROHIBIT 0 SITEPROP SLICE_X13Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y41 RPM_X 51 SITEPROP SLICE_X13Y41 RPM_Y 82 SITEPROP SLICE_X13Y41 SITE_PIPS SITEPROP SLICE_X13Y41 SITE_TYPE SLICEL SITEPROP SLICE_X13Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y42 CLASS site SITEPROP SLICE_X13Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y42 IS_BONDED 0 SITEPROP SLICE_X13Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y42 IS_PAD 0 SITEPROP SLICE_X13Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y42 IS_RESERVED 0 SITEPROP SLICE_X13Y42 IS_TEST 0 SITEPROP SLICE_X13Y42 IS_USED 0 SITEPROP SLICE_X13Y42 MANUAL_ROUTING SITEPROP SLICE_X13Y42 NAME SLICE_X13Y42 SITEPROP SLICE_X13Y42 NUM_ARCS 138 SITEPROP SLICE_X13Y42 NUM_BELS 32 SITEPROP SLICE_X13Y42 NUM_INPUTS 32 SITEPROP SLICE_X13Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y42 NUM_PINS 45 SITEPROP SLICE_X13Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y42 PROHIBIT 0 SITEPROP SLICE_X13Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y42 RPM_X 51 SITEPROP SLICE_X13Y42 RPM_Y 84 SITEPROP SLICE_X13Y42 SITE_PIPS SITEPROP SLICE_X13Y42 SITE_TYPE SLICEL SITEPROP SLICE_X13Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y43 CLASS site SITEPROP SLICE_X13Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y43 IS_BONDED 0 SITEPROP SLICE_X13Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y43 IS_PAD 0 SITEPROP SLICE_X13Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y43 IS_RESERVED 0 SITEPROP SLICE_X13Y43 IS_TEST 0 SITEPROP SLICE_X13Y43 IS_USED 0 SITEPROP SLICE_X13Y43 MANUAL_ROUTING SITEPROP SLICE_X13Y43 NAME SLICE_X13Y43 SITEPROP SLICE_X13Y43 NUM_ARCS 138 SITEPROP SLICE_X13Y43 NUM_BELS 32 SITEPROP SLICE_X13Y43 NUM_INPUTS 32 SITEPROP SLICE_X13Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y43 NUM_PINS 45 SITEPROP SLICE_X13Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y43 PROHIBIT 0 SITEPROP SLICE_X13Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y43 RPM_X 51 SITEPROP SLICE_X13Y43 RPM_Y 86 SITEPROP SLICE_X13Y43 SITE_PIPS SITEPROP SLICE_X13Y43 SITE_TYPE SLICEL SITEPROP SLICE_X13Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y44 CLASS site SITEPROP SLICE_X13Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y44 IS_BONDED 0 SITEPROP SLICE_X13Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y44 IS_PAD 0 SITEPROP SLICE_X13Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y44 IS_RESERVED 0 SITEPROP SLICE_X13Y44 IS_TEST 0 SITEPROP SLICE_X13Y44 IS_USED 0 SITEPROP SLICE_X13Y44 MANUAL_ROUTING SITEPROP SLICE_X13Y44 NAME SLICE_X13Y44 SITEPROP SLICE_X13Y44 NUM_ARCS 138 SITEPROP SLICE_X13Y44 NUM_BELS 32 SITEPROP SLICE_X13Y44 NUM_INPUTS 32 SITEPROP SLICE_X13Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y44 NUM_PINS 45 SITEPROP SLICE_X13Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y44 PROHIBIT 0 SITEPROP SLICE_X13Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y44 RPM_X 51 SITEPROP SLICE_X13Y44 RPM_Y 88 SITEPROP SLICE_X13Y44 SITE_PIPS SITEPROP SLICE_X13Y44 SITE_TYPE SLICEL SITEPROP SLICE_X13Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y45 CLASS site SITEPROP SLICE_X13Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y45 IS_BONDED 0 SITEPROP SLICE_X13Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y45 IS_PAD 0 SITEPROP SLICE_X13Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y45 IS_RESERVED 0 SITEPROP SLICE_X13Y45 IS_TEST 0 SITEPROP SLICE_X13Y45 IS_USED 0 SITEPROP SLICE_X13Y45 MANUAL_ROUTING SITEPROP SLICE_X13Y45 NAME SLICE_X13Y45 SITEPROP SLICE_X13Y45 NUM_ARCS 138 SITEPROP SLICE_X13Y45 NUM_BELS 32 SITEPROP SLICE_X13Y45 NUM_INPUTS 32 SITEPROP SLICE_X13Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y45 NUM_PINS 45 SITEPROP SLICE_X13Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y45 PROHIBIT 0 SITEPROP SLICE_X13Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y45 RPM_X 51 SITEPROP SLICE_X13Y45 RPM_Y 90 SITEPROP SLICE_X13Y45 SITE_PIPS SITEPROP SLICE_X13Y45 SITE_TYPE SLICEL SITEPROP SLICE_X13Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y46 CLASS site SITEPROP SLICE_X13Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y46 IS_BONDED 0 SITEPROP SLICE_X13Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y46 IS_PAD 0 SITEPROP SLICE_X13Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y46 IS_RESERVED 0 SITEPROP SLICE_X13Y46 IS_TEST 0 SITEPROP SLICE_X13Y46 IS_USED 0 SITEPROP SLICE_X13Y46 MANUAL_ROUTING SITEPROP SLICE_X13Y46 NAME SLICE_X13Y46 SITEPROP SLICE_X13Y46 NUM_ARCS 138 SITEPROP SLICE_X13Y46 NUM_BELS 32 SITEPROP SLICE_X13Y46 NUM_INPUTS 32 SITEPROP SLICE_X13Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y46 NUM_PINS 45 SITEPROP SLICE_X13Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y46 PROHIBIT 0 SITEPROP SLICE_X13Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y46 RPM_X 51 SITEPROP SLICE_X13Y46 RPM_Y 92 SITEPROP SLICE_X13Y46 SITE_PIPS SITEPROP SLICE_X13Y46 SITE_TYPE SLICEL SITEPROP SLICE_X13Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y47 CLASS site SITEPROP SLICE_X13Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y47 IS_BONDED 0 SITEPROP SLICE_X13Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y47 IS_PAD 0 SITEPROP SLICE_X13Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y47 IS_RESERVED 0 SITEPROP SLICE_X13Y47 IS_TEST 0 SITEPROP SLICE_X13Y47 IS_USED 0 SITEPROP SLICE_X13Y47 MANUAL_ROUTING SITEPROP SLICE_X13Y47 NAME SLICE_X13Y47 SITEPROP SLICE_X13Y47 NUM_ARCS 138 SITEPROP SLICE_X13Y47 NUM_BELS 32 SITEPROP SLICE_X13Y47 NUM_INPUTS 32 SITEPROP SLICE_X13Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y47 NUM_PINS 45 SITEPROP SLICE_X13Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y47 PROHIBIT 0 SITEPROP SLICE_X13Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y47 RPM_X 51 SITEPROP SLICE_X13Y47 RPM_Y 94 SITEPROP SLICE_X13Y47 SITE_PIPS SITEPROP SLICE_X13Y47 SITE_TYPE SLICEL SITEPROP SLICE_X13Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y48 CLASS site SITEPROP SLICE_X13Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y48 IS_BONDED 0 SITEPROP SLICE_X13Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y48 IS_PAD 0 SITEPROP SLICE_X13Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y48 IS_RESERVED 0 SITEPROP SLICE_X13Y48 IS_TEST 0 SITEPROP SLICE_X13Y48 IS_USED 0 SITEPROP SLICE_X13Y48 MANUAL_ROUTING SITEPROP SLICE_X13Y48 NAME SLICE_X13Y48 SITEPROP SLICE_X13Y48 NUM_ARCS 138 SITEPROP SLICE_X13Y48 NUM_BELS 32 SITEPROP SLICE_X13Y48 NUM_INPUTS 32 SITEPROP SLICE_X13Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y48 NUM_PINS 45 SITEPROP SLICE_X13Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y48 PROHIBIT 0 SITEPROP SLICE_X13Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y48 RPM_X 51 SITEPROP SLICE_X13Y48 RPM_Y 96 SITEPROP SLICE_X13Y48 SITE_PIPS SITEPROP SLICE_X13Y48 SITE_TYPE SLICEL SITEPROP SLICE_X13Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y49 CLASS site SITEPROP SLICE_X13Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X13Y49 IS_BONDED 0 SITEPROP SLICE_X13Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y49 IS_PAD 0 SITEPROP SLICE_X13Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y49 IS_RESERVED 0 SITEPROP SLICE_X13Y49 IS_TEST 0 SITEPROP SLICE_X13Y49 IS_USED 0 SITEPROP SLICE_X13Y49 MANUAL_ROUTING SITEPROP SLICE_X13Y49 NAME SLICE_X13Y49 SITEPROP SLICE_X13Y49 NUM_ARCS 138 SITEPROP SLICE_X13Y49 NUM_BELS 32 SITEPROP SLICE_X13Y49 NUM_INPUTS 32 SITEPROP SLICE_X13Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y49 NUM_PINS 45 SITEPROP SLICE_X13Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y49 PROHIBIT 0 SITEPROP SLICE_X13Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y49 RPM_X 51 SITEPROP SLICE_X13Y49 RPM_Y 98 SITEPROP SLICE_X13Y49 SITE_PIPS SITEPROP SLICE_X13Y49 SITE_TYPE SLICEL SITEPROP SLICE_X13Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y50 CLASS site SITEPROP SLICE_X13Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y50 IS_BONDED 0 SITEPROP SLICE_X13Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y50 IS_PAD 0 SITEPROP SLICE_X13Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y50 IS_RESERVED 0 SITEPROP SLICE_X13Y50 IS_TEST 0 SITEPROP SLICE_X13Y50 IS_USED 0 SITEPROP SLICE_X13Y50 MANUAL_ROUTING SITEPROP SLICE_X13Y50 NAME SLICE_X13Y50 SITEPROP SLICE_X13Y50 NUM_ARCS 138 SITEPROP SLICE_X13Y50 NUM_BELS 32 SITEPROP SLICE_X13Y50 NUM_INPUTS 32 SITEPROP SLICE_X13Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y50 NUM_PINS 45 SITEPROP SLICE_X13Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y50 PROHIBIT 0 SITEPROP SLICE_X13Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y50 RPM_X 51 SITEPROP SLICE_X13Y50 RPM_Y 100 SITEPROP SLICE_X13Y50 SITE_PIPS SITEPROP SLICE_X13Y50 SITE_TYPE SLICEL SITEPROP SLICE_X13Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y51 CLASS site SITEPROP SLICE_X13Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y51 IS_BONDED 0 SITEPROP SLICE_X13Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y51 IS_PAD 0 SITEPROP SLICE_X13Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y51 IS_RESERVED 0 SITEPROP SLICE_X13Y51 IS_TEST 0 SITEPROP SLICE_X13Y51 IS_USED 0 SITEPROP SLICE_X13Y51 MANUAL_ROUTING SITEPROP SLICE_X13Y51 NAME SLICE_X13Y51 SITEPROP SLICE_X13Y51 NUM_ARCS 138 SITEPROP SLICE_X13Y51 NUM_BELS 32 SITEPROP SLICE_X13Y51 NUM_INPUTS 32 SITEPROP SLICE_X13Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y51 NUM_PINS 45 SITEPROP SLICE_X13Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y51 PROHIBIT 0 SITEPROP SLICE_X13Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y51 RPM_X 51 SITEPROP SLICE_X13Y51 RPM_Y 102 SITEPROP SLICE_X13Y51 SITE_PIPS SITEPROP SLICE_X13Y51 SITE_TYPE SLICEL SITEPROP SLICE_X13Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y52 CLASS site SITEPROP SLICE_X13Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y52 IS_BONDED 0 SITEPROP SLICE_X13Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y52 IS_PAD 0 SITEPROP SLICE_X13Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y52 IS_RESERVED 0 SITEPROP SLICE_X13Y52 IS_TEST 0 SITEPROP SLICE_X13Y52 IS_USED 0 SITEPROP SLICE_X13Y52 MANUAL_ROUTING SITEPROP SLICE_X13Y52 NAME SLICE_X13Y52 SITEPROP SLICE_X13Y52 NUM_ARCS 138 SITEPROP SLICE_X13Y52 NUM_BELS 32 SITEPROP SLICE_X13Y52 NUM_INPUTS 32 SITEPROP SLICE_X13Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y52 NUM_PINS 45 SITEPROP SLICE_X13Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y52 PROHIBIT 0 SITEPROP SLICE_X13Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y52 RPM_X 51 SITEPROP SLICE_X13Y52 RPM_Y 104 SITEPROP SLICE_X13Y52 SITE_PIPS SITEPROP SLICE_X13Y52 SITE_TYPE SLICEL SITEPROP SLICE_X13Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y53 CLASS site SITEPROP SLICE_X13Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y53 IS_BONDED 0 SITEPROP SLICE_X13Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y53 IS_PAD 0 SITEPROP SLICE_X13Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y53 IS_RESERVED 0 SITEPROP SLICE_X13Y53 IS_TEST 0 SITEPROP SLICE_X13Y53 IS_USED 0 SITEPROP SLICE_X13Y53 MANUAL_ROUTING SITEPROP SLICE_X13Y53 NAME SLICE_X13Y53 SITEPROP SLICE_X13Y53 NUM_ARCS 138 SITEPROP SLICE_X13Y53 NUM_BELS 32 SITEPROP SLICE_X13Y53 NUM_INPUTS 32 SITEPROP SLICE_X13Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y53 NUM_PINS 45 SITEPROP SLICE_X13Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y53 PROHIBIT 0 SITEPROP SLICE_X13Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y53 RPM_X 51 SITEPROP SLICE_X13Y53 RPM_Y 106 SITEPROP SLICE_X13Y53 SITE_PIPS SITEPROP SLICE_X13Y53 SITE_TYPE SLICEL SITEPROP SLICE_X13Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y54 CLASS site SITEPROP SLICE_X13Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y54 IS_BONDED 0 SITEPROP SLICE_X13Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y54 IS_PAD 0 SITEPROP SLICE_X13Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y54 IS_RESERVED 0 SITEPROP SLICE_X13Y54 IS_TEST 0 SITEPROP SLICE_X13Y54 IS_USED 0 SITEPROP SLICE_X13Y54 MANUAL_ROUTING SITEPROP SLICE_X13Y54 NAME SLICE_X13Y54 SITEPROP SLICE_X13Y54 NUM_ARCS 138 SITEPROP SLICE_X13Y54 NUM_BELS 32 SITEPROP SLICE_X13Y54 NUM_INPUTS 32 SITEPROP SLICE_X13Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y54 NUM_PINS 45 SITEPROP SLICE_X13Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y54 PROHIBIT 0 SITEPROP SLICE_X13Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y54 RPM_X 51 SITEPROP SLICE_X13Y54 RPM_Y 108 SITEPROP SLICE_X13Y54 SITE_PIPS SITEPROP SLICE_X13Y54 SITE_TYPE SLICEL SITEPROP SLICE_X13Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y55 CLASS site SITEPROP SLICE_X13Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y55 IS_BONDED 0 SITEPROP SLICE_X13Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y55 IS_PAD 0 SITEPROP SLICE_X13Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y55 IS_RESERVED 0 SITEPROP SLICE_X13Y55 IS_TEST 0 SITEPROP SLICE_X13Y55 IS_USED 0 SITEPROP SLICE_X13Y55 MANUAL_ROUTING SITEPROP SLICE_X13Y55 NAME SLICE_X13Y55 SITEPROP SLICE_X13Y55 NUM_ARCS 138 SITEPROP SLICE_X13Y55 NUM_BELS 32 SITEPROP SLICE_X13Y55 NUM_INPUTS 32 SITEPROP SLICE_X13Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y55 NUM_PINS 45 SITEPROP SLICE_X13Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y55 PROHIBIT 0 SITEPROP SLICE_X13Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y55 RPM_X 51 SITEPROP SLICE_X13Y55 RPM_Y 110 SITEPROP SLICE_X13Y55 SITE_PIPS SITEPROP SLICE_X13Y55 SITE_TYPE SLICEL SITEPROP SLICE_X13Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y56 CLASS site SITEPROP SLICE_X13Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y56 IS_BONDED 0 SITEPROP SLICE_X13Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y56 IS_PAD 0 SITEPROP SLICE_X13Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y56 IS_RESERVED 0 SITEPROP SLICE_X13Y56 IS_TEST 0 SITEPROP SLICE_X13Y56 IS_USED 0 SITEPROP SLICE_X13Y56 MANUAL_ROUTING SITEPROP SLICE_X13Y56 NAME SLICE_X13Y56 SITEPROP SLICE_X13Y56 NUM_ARCS 138 SITEPROP SLICE_X13Y56 NUM_BELS 32 SITEPROP SLICE_X13Y56 NUM_INPUTS 32 SITEPROP SLICE_X13Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y56 NUM_PINS 45 SITEPROP SLICE_X13Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y56 PROHIBIT 0 SITEPROP SLICE_X13Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y56 RPM_X 51 SITEPROP SLICE_X13Y56 RPM_Y 112 SITEPROP SLICE_X13Y56 SITE_PIPS SITEPROP SLICE_X13Y56 SITE_TYPE SLICEL SITEPROP SLICE_X13Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y57 CLASS site SITEPROP SLICE_X13Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y57 IS_BONDED 0 SITEPROP SLICE_X13Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y57 IS_PAD 0 SITEPROP SLICE_X13Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y57 IS_RESERVED 0 SITEPROP SLICE_X13Y57 IS_TEST 0 SITEPROP SLICE_X13Y57 IS_USED 0 SITEPROP SLICE_X13Y57 MANUAL_ROUTING SITEPROP SLICE_X13Y57 NAME SLICE_X13Y57 SITEPROP SLICE_X13Y57 NUM_ARCS 138 SITEPROP SLICE_X13Y57 NUM_BELS 32 SITEPROP SLICE_X13Y57 NUM_INPUTS 32 SITEPROP SLICE_X13Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y57 NUM_PINS 45 SITEPROP SLICE_X13Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y57 PROHIBIT 0 SITEPROP SLICE_X13Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y57 RPM_X 51 SITEPROP SLICE_X13Y57 RPM_Y 114 SITEPROP SLICE_X13Y57 SITE_PIPS SITEPROP SLICE_X13Y57 SITE_TYPE SLICEL SITEPROP SLICE_X13Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y58 CLASS site SITEPROP SLICE_X13Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y58 IS_BONDED 0 SITEPROP SLICE_X13Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y58 IS_PAD 0 SITEPROP SLICE_X13Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y58 IS_RESERVED 0 SITEPROP SLICE_X13Y58 IS_TEST 0 SITEPROP SLICE_X13Y58 IS_USED 0 SITEPROP SLICE_X13Y58 MANUAL_ROUTING SITEPROP SLICE_X13Y58 NAME SLICE_X13Y58 SITEPROP SLICE_X13Y58 NUM_ARCS 138 SITEPROP SLICE_X13Y58 NUM_BELS 32 SITEPROP SLICE_X13Y58 NUM_INPUTS 32 SITEPROP SLICE_X13Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y58 NUM_PINS 45 SITEPROP SLICE_X13Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y58 PROHIBIT 0 SITEPROP SLICE_X13Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y58 RPM_X 51 SITEPROP SLICE_X13Y58 RPM_Y 116 SITEPROP SLICE_X13Y58 SITE_PIPS SITEPROP SLICE_X13Y58 SITE_TYPE SLICEL SITEPROP SLICE_X13Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y59 CLASS site SITEPROP SLICE_X13Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y59 IS_BONDED 0 SITEPROP SLICE_X13Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y59 IS_PAD 0 SITEPROP SLICE_X13Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y59 IS_RESERVED 0 SITEPROP SLICE_X13Y59 IS_TEST 0 SITEPROP SLICE_X13Y59 IS_USED 0 SITEPROP SLICE_X13Y59 MANUAL_ROUTING SITEPROP SLICE_X13Y59 NAME SLICE_X13Y59 SITEPROP SLICE_X13Y59 NUM_ARCS 138 SITEPROP SLICE_X13Y59 NUM_BELS 32 SITEPROP SLICE_X13Y59 NUM_INPUTS 32 SITEPROP SLICE_X13Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y59 NUM_PINS 45 SITEPROP SLICE_X13Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y59 PROHIBIT 0 SITEPROP SLICE_X13Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y59 RPM_X 51 SITEPROP SLICE_X13Y59 RPM_Y 118 SITEPROP SLICE_X13Y59 SITE_PIPS SITEPROP SLICE_X13Y59 SITE_TYPE SLICEL SITEPROP SLICE_X13Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y60 CLASS site SITEPROP SLICE_X13Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y60 IS_BONDED 0 SITEPROP SLICE_X13Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y60 IS_PAD 0 SITEPROP SLICE_X13Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y60 IS_RESERVED 0 SITEPROP SLICE_X13Y60 IS_TEST 0 SITEPROP SLICE_X13Y60 IS_USED 0 SITEPROP SLICE_X13Y60 MANUAL_ROUTING SITEPROP SLICE_X13Y60 NAME SLICE_X13Y60 SITEPROP SLICE_X13Y60 NUM_ARCS 138 SITEPROP SLICE_X13Y60 NUM_BELS 32 SITEPROP SLICE_X13Y60 NUM_INPUTS 32 SITEPROP SLICE_X13Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y60 NUM_PINS 45 SITEPROP SLICE_X13Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y60 PROHIBIT 0 SITEPROP SLICE_X13Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y60 RPM_X 51 SITEPROP SLICE_X13Y60 RPM_Y 120 SITEPROP SLICE_X13Y60 SITE_PIPS SITEPROP SLICE_X13Y60 SITE_TYPE SLICEL SITEPROP SLICE_X13Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y61 CLASS site SITEPROP SLICE_X13Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y61 IS_BONDED 0 SITEPROP SLICE_X13Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y61 IS_PAD 0 SITEPROP SLICE_X13Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y61 IS_RESERVED 0 SITEPROP SLICE_X13Y61 IS_TEST 0 SITEPROP SLICE_X13Y61 IS_USED 0 SITEPROP SLICE_X13Y61 MANUAL_ROUTING SITEPROP SLICE_X13Y61 NAME SLICE_X13Y61 SITEPROP SLICE_X13Y61 NUM_ARCS 138 SITEPROP SLICE_X13Y61 NUM_BELS 32 SITEPROP SLICE_X13Y61 NUM_INPUTS 32 SITEPROP SLICE_X13Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y61 NUM_PINS 45 SITEPROP SLICE_X13Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y61 PROHIBIT 0 SITEPROP SLICE_X13Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y61 RPM_X 51 SITEPROP SLICE_X13Y61 RPM_Y 122 SITEPROP SLICE_X13Y61 SITE_PIPS SITEPROP SLICE_X13Y61 SITE_TYPE SLICEL SITEPROP SLICE_X13Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y62 CLASS site SITEPROP SLICE_X13Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y62 IS_BONDED 0 SITEPROP SLICE_X13Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y62 IS_PAD 0 SITEPROP SLICE_X13Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y62 IS_RESERVED 0 SITEPROP SLICE_X13Y62 IS_TEST 0 SITEPROP SLICE_X13Y62 IS_USED 0 SITEPROP SLICE_X13Y62 MANUAL_ROUTING SITEPROP SLICE_X13Y62 NAME SLICE_X13Y62 SITEPROP SLICE_X13Y62 NUM_ARCS 138 SITEPROP SLICE_X13Y62 NUM_BELS 32 SITEPROP SLICE_X13Y62 NUM_INPUTS 32 SITEPROP SLICE_X13Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y62 NUM_PINS 45 SITEPROP SLICE_X13Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y62 PROHIBIT 0 SITEPROP SLICE_X13Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y62 RPM_X 51 SITEPROP SLICE_X13Y62 RPM_Y 124 SITEPROP SLICE_X13Y62 SITE_PIPS SITEPROP SLICE_X13Y62 SITE_TYPE SLICEL SITEPROP SLICE_X13Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y63 CLASS site SITEPROP SLICE_X13Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y63 IS_BONDED 0 SITEPROP SLICE_X13Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y63 IS_PAD 0 SITEPROP SLICE_X13Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y63 IS_RESERVED 0 SITEPROP SLICE_X13Y63 IS_TEST 0 SITEPROP SLICE_X13Y63 IS_USED 0 SITEPROP SLICE_X13Y63 MANUAL_ROUTING SITEPROP SLICE_X13Y63 NAME SLICE_X13Y63 SITEPROP SLICE_X13Y63 NUM_ARCS 138 SITEPROP SLICE_X13Y63 NUM_BELS 32 SITEPROP SLICE_X13Y63 NUM_INPUTS 32 SITEPROP SLICE_X13Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y63 NUM_PINS 45 SITEPROP SLICE_X13Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y63 PROHIBIT 0 SITEPROP SLICE_X13Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y63 RPM_X 51 SITEPROP SLICE_X13Y63 RPM_Y 126 SITEPROP SLICE_X13Y63 SITE_PIPS SITEPROP SLICE_X13Y63 SITE_TYPE SLICEL SITEPROP SLICE_X13Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y64 CLASS site SITEPROP SLICE_X13Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y64 IS_BONDED 0 SITEPROP SLICE_X13Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y64 IS_PAD 0 SITEPROP SLICE_X13Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y64 IS_RESERVED 0 SITEPROP SLICE_X13Y64 IS_TEST 0 SITEPROP SLICE_X13Y64 IS_USED 0 SITEPROP SLICE_X13Y64 MANUAL_ROUTING SITEPROP SLICE_X13Y64 NAME SLICE_X13Y64 SITEPROP SLICE_X13Y64 NUM_ARCS 138 SITEPROP SLICE_X13Y64 NUM_BELS 32 SITEPROP SLICE_X13Y64 NUM_INPUTS 32 SITEPROP SLICE_X13Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y64 NUM_PINS 45 SITEPROP SLICE_X13Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y64 PROHIBIT 0 SITEPROP SLICE_X13Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y64 RPM_X 51 SITEPROP SLICE_X13Y64 RPM_Y 128 SITEPROP SLICE_X13Y64 SITE_PIPS SITEPROP SLICE_X13Y64 SITE_TYPE SLICEL SITEPROP SLICE_X13Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y65 CLASS site SITEPROP SLICE_X13Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y65 IS_BONDED 0 SITEPROP SLICE_X13Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y65 IS_PAD 0 SITEPROP SLICE_X13Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y65 IS_RESERVED 0 SITEPROP SLICE_X13Y65 IS_TEST 0 SITEPROP SLICE_X13Y65 IS_USED 0 SITEPROP SLICE_X13Y65 MANUAL_ROUTING SITEPROP SLICE_X13Y65 NAME SLICE_X13Y65 SITEPROP SLICE_X13Y65 NUM_ARCS 138 SITEPROP SLICE_X13Y65 NUM_BELS 32 SITEPROP SLICE_X13Y65 NUM_INPUTS 32 SITEPROP SLICE_X13Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y65 NUM_PINS 45 SITEPROP SLICE_X13Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y65 PROHIBIT 0 SITEPROP SLICE_X13Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y65 RPM_X 51 SITEPROP SLICE_X13Y65 RPM_Y 130 SITEPROP SLICE_X13Y65 SITE_PIPS SITEPROP SLICE_X13Y65 SITE_TYPE SLICEL SITEPROP SLICE_X13Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y66 CLASS site SITEPROP SLICE_X13Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y66 IS_BONDED 0 SITEPROP SLICE_X13Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y66 IS_PAD 0 SITEPROP SLICE_X13Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y66 IS_RESERVED 0 SITEPROP SLICE_X13Y66 IS_TEST 0 SITEPROP SLICE_X13Y66 IS_USED 0 SITEPROP SLICE_X13Y66 MANUAL_ROUTING SITEPROP SLICE_X13Y66 NAME SLICE_X13Y66 SITEPROP SLICE_X13Y66 NUM_ARCS 138 SITEPROP SLICE_X13Y66 NUM_BELS 32 SITEPROP SLICE_X13Y66 NUM_INPUTS 32 SITEPROP SLICE_X13Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y66 NUM_PINS 45 SITEPROP SLICE_X13Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y66 PROHIBIT 0 SITEPROP SLICE_X13Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y66 RPM_X 51 SITEPROP SLICE_X13Y66 RPM_Y 132 SITEPROP SLICE_X13Y66 SITE_PIPS SITEPROP SLICE_X13Y66 SITE_TYPE SLICEL SITEPROP SLICE_X13Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y67 CLASS site SITEPROP SLICE_X13Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y67 IS_BONDED 0 SITEPROP SLICE_X13Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y67 IS_PAD 0 SITEPROP SLICE_X13Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y67 IS_RESERVED 0 SITEPROP SLICE_X13Y67 IS_TEST 0 SITEPROP SLICE_X13Y67 IS_USED 0 SITEPROP SLICE_X13Y67 MANUAL_ROUTING SITEPROP SLICE_X13Y67 NAME SLICE_X13Y67 SITEPROP SLICE_X13Y67 NUM_ARCS 138 SITEPROP SLICE_X13Y67 NUM_BELS 32 SITEPROP SLICE_X13Y67 NUM_INPUTS 32 SITEPROP SLICE_X13Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y67 NUM_PINS 45 SITEPROP SLICE_X13Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y67 PROHIBIT 0 SITEPROP SLICE_X13Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y67 RPM_X 51 SITEPROP SLICE_X13Y67 RPM_Y 134 SITEPROP SLICE_X13Y67 SITE_PIPS SITEPROP SLICE_X13Y67 SITE_TYPE SLICEL SITEPROP SLICE_X13Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y68 CLASS site SITEPROP SLICE_X13Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y68 IS_BONDED 0 SITEPROP SLICE_X13Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y68 IS_PAD 0 SITEPROP SLICE_X13Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y68 IS_RESERVED 0 SITEPROP SLICE_X13Y68 IS_TEST 0 SITEPROP SLICE_X13Y68 IS_USED 0 SITEPROP SLICE_X13Y68 MANUAL_ROUTING SITEPROP SLICE_X13Y68 NAME SLICE_X13Y68 SITEPROP SLICE_X13Y68 NUM_ARCS 138 SITEPROP SLICE_X13Y68 NUM_BELS 32 SITEPROP SLICE_X13Y68 NUM_INPUTS 32 SITEPROP SLICE_X13Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y68 NUM_PINS 45 SITEPROP SLICE_X13Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y68 PROHIBIT 0 SITEPROP SLICE_X13Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y68 RPM_X 51 SITEPROP SLICE_X13Y68 RPM_Y 136 SITEPROP SLICE_X13Y68 SITE_PIPS SITEPROP SLICE_X13Y68 SITE_TYPE SLICEL SITEPROP SLICE_X13Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y69 CLASS site SITEPROP SLICE_X13Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y69 IS_BONDED 0 SITEPROP SLICE_X13Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y69 IS_PAD 0 SITEPROP SLICE_X13Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y69 IS_RESERVED 0 SITEPROP SLICE_X13Y69 IS_TEST 0 SITEPROP SLICE_X13Y69 IS_USED 0 SITEPROP SLICE_X13Y69 MANUAL_ROUTING SITEPROP SLICE_X13Y69 NAME SLICE_X13Y69 SITEPROP SLICE_X13Y69 NUM_ARCS 138 SITEPROP SLICE_X13Y69 NUM_BELS 32 SITEPROP SLICE_X13Y69 NUM_INPUTS 32 SITEPROP SLICE_X13Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y69 NUM_PINS 45 SITEPROP SLICE_X13Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y69 PROHIBIT 0 SITEPROP SLICE_X13Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y69 RPM_X 51 SITEPROP SLICE_X13Y69 RPM_Y 138 SITEPROP SLICE_X13Y69 SITE_PIPS SITEPROP SLICE_X13Y69 SITE_TYPE SLICEL SITEPROP SLICE_X13Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y70 CLASS site SITEPROP SLICE_X13Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y70 IS_BONDED 0 SITEPROP SLICE_X13Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y70 IS_PAD 0 SITEPROP SLICE_X13Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y70 IS_RESERVED 0 SITEPROP SLICE_X13Y70 IS_TEST 0 SITEPROP SLICE_X13Y70 IS_USED 0 SITEPROP SLICE_X13Y70 MANUAL_ROUTING SITEPROP SLICE_X13Y70 NAME SLICE_X13Y70 SITEPROP SLICE_X13Y70 NUM_ARCS 138 SITEPROP SLICE_X13Y70 NUM_BELS 32 SITEPROP SLICE_X13Y70 NUM_INPUTS 32 SITEPROP SLICE_X13Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y70 NUM_PINS 45 SITEPROP SLICE_X13Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y70 PROHIBIT 0 SITEPROP SLICE_X13Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y70 RPM_X 51 SITEPROP SLICE_X13Y70 RPM_Y 140 SITEPROP SLICE_X13Y70 SITE_PIPS SITEPROP SLICE_X13Y70 SITE_TYPE SLICEL SITEPROP SLICE_X13Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y71 CLASS site SITEPROP SLICE_X13Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y71 IS_BONDED 0 SITEPROP SLICE_X13Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y71 IS_PAD 0 SITEPROP SLICE_X13Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y71 IS_RESERVED 0 SITEPROP SLICE_X13Y71 IS_TEST 0 SITEPROP SLICE_X13Y71 IS_USED 0 SITEPROP SLICE_X13Y71 MANUAL_ROUTING SITEPROP SLICE_X13Y71 NAME SLICE_X13Y71 SITEPROP SLICE_X13Y71 NUM_ARCS 138 SITEPROP SLICE_X13Y71 NUM_BELS 32 SITEPROP SLICE_X13Y71 NUM_INPUTS 32 SITEPROP SLICE_X13Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y71 NUM_PINS 45 SITEPROP SLICE_X13Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y71 PROHIBIT 0 SITEPROP SLICE_X13Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y71 RPM_X 51 SITEPROP SLICE_X13Y71 RPM_Y 142 SITEPROP SLICE_X13Y71 SITE_PIPS SITEPROP SLICE_X13Y71 SITE_TYPE SLICEL SITEPROP SLICE_X13Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y72 CLASS site SITEPROP SLICE_X13Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y72 IS_BONDED 0 SITEPROP SLICE_X13Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y72 IS_PAD 0 SITEPROP SLICE_X13Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y72 IS_RESERVED 0 SITEPROP SLICE_X13Y72 IS_TEST 0 SITEPROP SLICE_X13Y72 IS_USED 0 SITEPROP SLICE_X13Y72 MANUAL_ROUTING SITEPROP SLICE_X13Y72 NAME SLICE_X13Y72 SITEPROP SLICE_X13Y72 NUM_ARCS 138 SITEPROP SLICE_X13Y72 NUM_BELS 32 SITEPROP SLICE_X13Y72 NUM_INPUTS 32 SITEPROP SLICE_X13Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y72 NUM_PINS 45 SITEPROP SLICE_X13Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y72 PROHIBIT 0 SITEPROP SLICE_X13Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y72 RPM_X 51 SITEPROP SLICE_X13Y72 RPM_Y 144 SITEPROP SLICE_X13Y72 SITE_PIPS SITEPROP SLICE_X13Y72 SITE_TYPE SLICEL SITEPROP SLICE_X13Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y73 CLASS site SITEPROP SLICE_X13Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y73 IS_BONDED 0 SITEPROP SLICE_X13Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y73 IS_PAD 0 SITEPROP SLICE_X13Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y73 IS_RESERVED 0 SITEPROP SLICE_X13Y73 IS_TEST 0 SITEPROP SLICE_X13Y73 IS_USED 0 SITEPROP SLICE_X13Y73 MANUAL_ROUTING SITEPROP SLICE_X13Y73 NAME SLICE_X13Y73 SITEPROP SLICE_X13Y73 NUM_ARCS 138 SITEPROP SLICE_X13Y73 NUM_BELS 32 SITEPROP SLICE_X13Y73 NUM_INPUTS 32 SITEPROP SLICE_X13Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y73 NUM_PINS 45 SITEPROP SLICE_X13Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y73 PROHIBIT 0 SITEPROP SLICE_X13Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y73 RPM_X 51 SITEPROP SLICE_X13Y73 RPM_Y 146 SITEPROP SLICE_X13Y73 SITE_PIPS SITEPROP SLICE_X13Y73 SITE_TYPE SLICEL SITEPROP SLICE_X13Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y74 CLASS site SITEPROP SLICE_X13Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y74 IS_BONDED 0 SITEPROP SLICE_X13Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y74 IS_PAD 0 SITEPROP SLICE_X13Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y74 IS_RESERVED 0 SITEPROP SLICE_X13Y74 IS_TEST 0 SITEPROP SLICE_X13Y74 IS_USED 0 SITEPROP SLICE_X13Y74 MANUAL_ROUTING SITEPROP SLICE_X13Y74 NAME SLICE_X13Y74 SITEPROP SLICE_X13Y74 NUM_ARCS 138 SITEPROP SLICE_X13Y74 NUM_BELS 32 SITEPROP SLICE_X13Y74 NUM_INPUTS 32 SITEPROP SLICE_X13Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y74 NUM_PINS 45 SITEPROP SLICE_X13Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y74 PROHIBIT 0 SITEPROP SLICE_X13Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y74 RPM_X 51 SITEPROP SLICE_X13Y74 RPM_Y 148 SITEPROP SLICE_X13Y74 SITE_PIPS SITEPROP SLICE_X13Y74 SITE_TYPE SLICEL SITEPROP SLICE_X13Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y75 CLASS site SITEPROP SLICE_X13Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y75 IS_BONDED 0 SITEPROP SLICE_X13Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y75 IS_PAD 0 SITEPROP SLICE_X13Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y75 IS_RESERVED 0 SITEPROP SLICE_X13Y75 IS_TEST 0 SITEPROP SLICE_X13Y75 IS_USED 0 SITEPROP SLICE_X13Y75 MANUAL_ROUTING SITEPROP SLICE_X13Y75 NAME SLICE_X13Y75 SITEPROP SLICE_X13Y75 NUM_ARCS 138 SITEPROP SLICE_X13Y75 NUM_BELS 32 SITEPROP SLICE_X13Y75 NUM_INPUTS 32 SITEPROP SLICE_X13Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y75 NUM_PINS 45 SITEPROP SLICE_X13Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y75 PROHIBIT 0 SITEPROP SLICE_X13Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y75 RPM_X 51 SITEPROP SLICE_X13Y75 RPM_Y 150 SITEPROP SLICE_X13Y75 SITE_PIPS SITEPROP SLICE_X13Y75 SITE_TYPE SLICEL SITEPROP SLICE_X13Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y76 CLASS site SITEPROP SLICE_X13Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y76 IS_BONDED 0 SITEPROP SLICE_X13Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y76 IS_PAD 0 SITEPROP SLICE_X13Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y76 IS_RESERVED 0 SITEPROP SLICE_X13Y76 IS_TEST 0 SITEPROP SLICE_X13Y76 IS_USED 0 SITEPROP SLICE_X13Y76 MANUAL_ROUTING SITEPROP SLICE_X13Y76 NAME SLICE_X13Y76 SITEPROP SLICE_X13Y76 NUM_ARCS 138 SITEPROP SLICE_X13Y76 NUM_BELS 32 SITEPROP SLICE_X13Y76 NUM_INPUTS 32 SITEPROP SLICE_X13Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y76 NUM_PINS 45 SITEPROP SLICE_X13Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y76 PROHIBIT 0 SITEPROP SLICE_X13Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y76 RPM_X 51 SITEPROP SLICE_X13Y76 RPM_Y 152 SITEPROP SLICE_X13Y76 SITE_PIPS SITEPROP SLICE_X13Y76 SITE_TYPE SLICEL SITEPROP SLICE_X13Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y77 CLASS site SITEPROP SLICE_X13Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y77 IS_BONDED 0 SITEPROP SLICE_X13Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y77 IS_PAD 0 SITEPROP SLICE_X13Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y77 IS_RESERVED 0 SITEPROP SLICE_X13Y77 IS_TEST 0 SITEPROP SLICE_X13Y77 IS_USED 0 SITEPROP SLICE_X13Y77 MANUAL_ROUTING SITEPROP SLICE_X13Y77 NAME SLICE_X13Y77 SITEPROP SLICE_X13Y77 NUM_ARCS 138 SITEPROP SLICE_X13Y77 NUM_BELS 32 SITEPROP SLICE_X13Y77 NUM_INPUTS 32 SITEPROP SLICE_X13Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y77 NUM_PINS 45 SITEPROP SLICE_X13Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y77 PROHIBIT 0 SITEPROP SLICE_X13Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y77 RPM_X 51 SITEPROP SLICE_X13Y77 RPM_Y 154 SITEPROP SLICE_X13Y77 SITE_PIPS SITEPROP SLICE_X13Y77 SITE_TYPE SLICEL SITEPROP SLICE_X13Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y78 CLASS site SITEPROP SLICE_X13Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y78 IS_BONDED 0 SITEPROP SLICE_X13Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y78 IS_PAD 0 SITEPROP SLICE_X13Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y78 IS_RESERVED 0 SITEPROP SLICE_X13Y78 IS_TEST 0 SITEPROP SLICE_X13Y78 IS_USED 0 SITEPROP SLICE_X13Y78 MANUAL_ROUTING SITEPROP SLICE_X13Y78 NAME SLICE_X13Y78 SITEPROP SLICE_X13Y78 NUM_ARCS 138 SITEPROP SLICE_X13Y78 NUM_BELS 32 SITEPROP SLICE_X13Y78 NUM_INPUTS 32 SITEPROP SLICE_X13Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y78 NUM_PINS 45 SITEPROP SLICE_X13Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y78 PROHIBIT 0 SITEPROP SLICE_X13Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y78 RPM_X 51 SITEPROP SLICE_X13Y78 RPM_Y 156 SITEPROP SLICE_X13Y78 SITE_PIPS SITEPROP SLICE_X13Y78 SITE_TYPE SLICEL SITEPROP SLICE_X13Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y79 CLASS site SITEPROP SLICE_X13Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y79 IS_BONDED 0 SITEPROP SLICE_X13Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y79 IS_PAD 0 SITEPROP SLICE_X13Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y79 IS_RESERVED 0 SITEPROP SLICE_X13Y79 IS_TEST 0 SITEPROP SLICE_X13Y79 IS_USED 0 SITEPROP SLICE_X13Y79 MANUAL_ROUTING SITEPROP SLICE_X13Y79 NAME SLICE_X13Y79 SITEPROP SLICE_X13Y79 NUM_ARCS 138 SITEPROP SLICE_X13Y79 NUM_BELS 32 SITEPROP SLICE_X13Y79 NUM_INPUTS 32 SITEPROP SLICE_X13Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y79 NUM_PINS 45 SITEPROP SLICE_X13Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y79 PROHIBIT 0 SITEPROP SLICE_X13Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y79 RPM_X 51 SITEPROP SLICE_X13Y79 RPM_Y 158 SITEPROP SLICE_X13Y79 SITE_PIPS SITEPROP SLICE_X13Y79 SITE_TYPE SLICEL SITEPROP SLICE_X13Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y80 CLASS site SITEPROP SLICE_X13Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y80 IS_BONDED 0 SITEPROP SLICE_X13Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y80 IS_PAD 0 SITEPROP SLICE_X13Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y80 IS_RESERVED 0 SITEPROP SLICE_X13Y80 IS_TEST 0 SITEPROP SLICE_X13Y80 IS_USED 0 SITEPROP SLICE_X13Y80 MANUAL_ROUTING SITEPROP SLICE_X13Y80 NAME SLICE_X13Y80 SITEPROP SLICE_X13Y80 NUM_ARCS 138 SITEPROP SLICE_X13Y80 NUM_BELS 32 SITEPROP SLICE_X13Y80 NUM_INPUTS 32 SITEPROP SLICE_X13Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y80 NUM_PINS 45 SITEPROP SLICE_X13Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y80 PROHIBIT 0 SITEPROP SLICE_X13Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y80 RPM_X 51 SITEPROP SLICE_X13Y80 RPM_Y 160 SITEPROP SLICE_X13Y80 SITE_PIPS SITEPROP SLICE_X13Y80 SITE_TYPE SLICEL SITEPROP SLICE_X13Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y81 CLASS site SITEPROP SLICE_X13Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y81 IS_BONDED 0 SITEPROP SLICE_X13Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y81 IS_PAD 0 SITEPROP SLICE_X13Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y81 IS_RESERVED 0 SITEPROP SLICE_X13Y81 IS_TEST 0 SITEPROP SLICE_X13Y81 IS_USED 0 SITEPROP SLICE_X13Y81 MANUAL_ROUTING SITEPROP SLICE_X13Y81 NAME SLICE_X13Y81 SITEPROP SLICE_X13Y81 NUM_ARCS 138 SITEPROP SLICE_X13Y81 NUM_BELS 32 SITEPROP SLICE_X13Y81 NUM_INPUTS 32 SITEPROP SLICE_X13Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y81 NUM_PINS 45 SITEPROP SLICE_X13Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y81 PROHIBIT 0 SITEPROP SLICE_X13Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y81 RPM_X 51 SITEPROP SLICE_X13Y81 RPM_Y 162 SITEPROP SLICE_X13Y81 SITE_PIPS SITEPROP SLICE_X13Y81 SITE_TYPE SLICEL SITEPROP SLICE_X13Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y82 CLASS site SITEPROP SLICE_X13Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y82 IS_BONDED 0 SITEPROP SLICE_X13Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y82 IS_PAD 0 SITEPROP SLICE_X13Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y82 IS_RESERVED 0 SITEPROP SLICE_X13Y82 IS_TEST 0 SITEPROP SLICE_X13Y82 IS_USED 0 SITEPROP SLICE_X13Y82 MANUAL_ROUTING SITEPROP SLICE_X13Y82 NAME SLICE_X13Y82 SITEPROP SLICE_X13Y82 NUM_ARCS 138 SITEPROP SLICE_X13Y82 NUM_BELS 32 SITEPROP SLICE_X13Y82 NUM_INPUTS 32 SITEPROP SLICE_X13Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y82 NUM_PINS 45 SITEPROP SLICE_X13Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y82 PROHIBIT 0 SITEPROP SLICE_X13Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y82 RPM_X 51 SITEPROP SLICE_X13Y82 RPM_Y 164 SITEPROP SLICE_X13Y82 SITE_PIPS SITEPROP SLICE_X13Y82 SITE_TYPE SLICEL SITEPROP SLICE_X13Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y83 CLASS site SITEPROP SLICE_X13Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y83 IS_BONDED 0 SITEPROP SLICE_X13Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y83 IS_PAD 0 SITEPROP SLICE_X13Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y83 IS_RESERVED 0 SITEPROP SLICE_X13Y83 IS_TEST 0 SITEPROP SLICE_X13Y83 IS_USED 0 SITEPROP SLICE_X13Y83 MANUAL_ROUTING SITEPROP SLICE_X13Y83 NAME SLICE_X13Y83 SITEPROP SLICE_X13Y83 NUM_ARCS 138 SITEPROP SLICE_X13Y83 NUM_BELS 32 SITEPROP SLICE_X13Y83 NUM_INPUTS 32 SITEPROP SLICE_X13Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y83 NUM_PINS 45 SITEPROP SLICE_X13Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y83 PROHIBIT 0 SITEPROP SLICE_X13Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y83 RPM_X 51 SITEPROP SLICE_X13Y83 RPM_Y 166 SITEPROP SLICE_X13Y83 SITE_PIPS SITEPROP SLICE_X13Y83 SITE_TYPE SLICEL SITEPROP SLICE_X13Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y84 CLASS site SITEPROP SLICE_X13Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y84 IS_BONDED 0 SITEPROP SLICE_X13Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y84 IS_PAD 0 SITEPROP SLICE_X13Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y84 IS_RESERVED 0 SITEPROP SLICE_X13Y84 IS_TEST 0 SITEPROP SLICE_X13Y84 IS_USED 0 SITEPROP SLICE_X13Y84 MANUAL_ROUTING SITEPROP SLICE_X13Y84 NAME SLICE_X13Y84 SITEPROP SLICE_X13Y84 NUM_ARCS 138 SITEPROP SLICE_X13Y84 NUM_BELS 32 SITEPROP SLICE_X13Y84 NUM_INPUTS 32 SITEPROP SLICE_X13Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y84 NUM_PINS 45 SITEPROP SLICE_X13Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y84 PROHIBIT 0 SITEPROP SLICE_X13Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y84 RPM_X 51 SITEPROP SLICE_X13Y84 RPM_Y 168 SITEPROP SLICE_X13Y84 SITE_PIPS SITEPROP SLICE_X13Y84 SITE_TYPE SLICEL SITEPROP SLICE_X13Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y85 CLASS site SITEPROP SLICE_X13Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y85 IS_BONDED 0 SITEPROP SLICE_X13Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y85 IS_PAD 0 SITEPROP SLICE_X13Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y85 IS_RESERVED 0 SITEPROP SLICE_X13Y85 IS_TEST 0 SITEPROP SLICE_X13Y85 IS_USED 0 SITEPROP SLICE_X13Y85 MANUAL_ROUTING SITEPROP SLICE_X13Y85 NAME SLICE_X13Y85 SITEPROP SLICE_X13Y85 NUM_ARCS 138 SITEPROP SLICE_X13Y85 NUM_BELS 32 SITEPROP SLICE_X13Y85 NUM_INPUTS 32 SITEPROP SLICE_X13Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y85 NUM_PINS 45 SITEPROP SLICE_X13Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y85 PROHIBIT 0 SITEPROP SLICE_X13Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y85 RPM_X 51 SITEPROP SLICE_X13Y85 RPM_Y 170 SITEPROP SLICE_X13Y85 SITE_PIPS SITEPROP SLICE_X13Y85 SITE_TYPE SLICEL SITEPROP SLICE_X13Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y86 CLASS site SITEPROP SLICE_X13Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y86 IS_BONDED 0 SITEPROP SLICE_X13Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y86 IS_PAD 0 SITEPROP SLICE_X13Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y86 IS_RESERVED 0 SITEPROP SLICE_X13Y86 IS_TEST 0 SITEPROP SLICE_X13Y86 IS_USED 0 SITEPROP SLICE_X13Y86 MANUAL_ROUTING SITEPROP SLICE_X13Y86 NAME SLICE_X13Y86 SITEPROP SLICE_X13Y86 NUM_ARCS 138 SITEPROP SLICE_X13Y86 NUM_BELS 32 SITEPROP SLICE_X13Y86 NUM_INPUTS 32 SITEPROP SLICE_X13Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y86 NUM_PINS 45 SITEPROP SLICE_X13Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y86 PROHIBIT 0 SITEPROP SLICE_X13Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y86 RPM_X 51 SITEPROP SLICE_X13Y86 RPM_Y 172 SITEPROP SLICE_X13Y86 SITE_PIPS SITEPROP SLICE_X13Y86 SITE_TYPE SLICEL SITEPROP SLICE_X13Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y87 CLASS site SITEPROP SLICE_X13Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y87 IS_BONDED 0 SITEPROP SLICE_X13Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y87 IS_PAD 0 SITEPROP SLICE_X13Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y87 IS_RESERVED 0 SITEPROP SLICE_X13Y87 IS_TEST 0 SITEPROP SLICE_X13Y87 IS_USED 0 SITEPROP SLICE_X13Y87 MANUAL_ROUTING SITEPROP SLICE_X13Y87 NAME SLICE_X13Y87 SITEPROP SLICE_X13Y87 NUM_ARCS 138 SITEPROP SLICE_X13Y87 NUM_BELS 32 SITEPROP SLICE_X13Y87 NUM_INPUTS 32 SITEPROP SLICE_X13Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y87 NUM_PINS 45 SITEPROP SLICE_X13Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y87 PROHIBIT 0 SITEPROP SLICE_X13Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y87 RPM_X 51 SITEPROP SLICE_X13Y87 RPM_Y 174 SITEPROP SLICE_X13Y87 SITE_PIPS SITEPROP SLICE_X13Y87 SITE_TYPE SLICEL SITEPROP SLICE_X13Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y88 CLASS site SITEPROP SLICE_X13Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y88 IS_BONDED 0 SITEPROP SLICE_X13Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y88 IS_PAD 0 SITEPROP SLICE_X13Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y88 IS_RESERVED 0 SITEPROP SLICE_X13Y88 IS_TEST 0 SITEPROP SLICE_X13Y88 IS_USED 0 SITEPROP SLICE_X13Y88 MANUAL_ROUTING SITEPROP SLICE_X13Y88 NAME SLICE_X13Y88 SITEPROP SLICE_X13Y88 NUM_ARCS 138 SITEPROP SLICE_X13Y88 NUM_BELS 32 SITEPROP SLICE_X13Y88 NUM_INPUTS 32 SITEPROP SLICE_X13Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y88 NUM_PINS 45 SITEPROP SLICE_X13Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y88 PROHIBIT 0 SITEPROP SLICE_X13Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y88 RPM_X 51 SITEPROP SLICE_X13Y88 RPM_Y 176 SITEPROP SLICE_X13Y88 SITE_PIPS SITEPROP SLICE_X13Y88 SITE_TYPE SLICEL SITEPROP SLICE_X13Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y89 CLASS site SITEPROP SLICE_X13Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y89 IS_BONDED 0 SITEPROP SLICE_X13Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y89 IS_PAD 0 SITEPROP SLICE_X13Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y89 IS_RESERVED 0 SITEPROP SLICE_X13Y89 IS_TEST 0 SITEPROP SLICE_X13Y89 IS_USED 0 SITEPROP SLICE_X13Y89 MANUAL_ROUTING SITEPROP SLICE_X13Y89 NAME SLICE_X13Y89 SITEPROP SLICE_X13Y89 NUM_ARCS 138 SITEPROP SLICE_X13Y89 NUM_BELS 32 SITEPROP SLICE_X13Y89 NUM_INPUTS 32 SITEPROP SLICE_X13Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y89 NUM_PINS 45 SITEPROP SLICE_X13Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y89 PROHIBIT 0 SITEPROP SLICE_X13Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y89 RPM_X 51 SITEPROP SLICE_X13Y89 RPM_Y 178 SITEPROP SLICE_X13Y89 SITE_PIPS SITEPROP SLICE_X13Y89 SITE_TYPE SLICEL SITEPROP SLICE_X13Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y90 CLASS site SITEPROP SLICE_X13Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y90 IS_BONDED 0 SITEPROP SLICE_X13Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y90 IS_PAD 0 SITEPROP SLICE_X13Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y90 IS_RESERVED 0 SITEPROP SLICE_X13Y90 IS_TEST 0 SITEPROP SLICE_X13Y90 IS_USED 0 SITEPROP SLICE_X13Y90 MANUAL_ROUTING SITEPROP SLICE_X13Y90 NAME SLICE_X13Y90 SITEPROP SLICE_X13Y90 NUM_ARCS 138 SITEPROP SLICE_X13Y90 NUM_BELS 32 SITEPROP SLICE_X13Y90 NUM_INPUTS 32 SITEPROP SLICE_X13Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y90 NUM_PINS 45 SITEPROP SLICE_X13Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y90 PROHIBIT 0 SITEPROP SLICE_X13Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y90 RPM_X 51 SITEPROP SLICE_X13Y90 RPM_Y 180 SITEPROP SLICE_X13Y90 SITE_PIPS SITEPROP SLICE_X13Y90 SITE_TYPE SLICEL SITEPROP SLICE_X13Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y91 CLASS site SITEPROP SLICE_X13Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y91 IS_BONDED 0 SITEPROP SLICE_X13Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y91 IS_PAD 0 SITEPROP SLICE_X13Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y91 IS_RESERVED 0 SITEPROP SLICE_X13Y91 IS_TEST 0 SITEPROP SLICE_X13Y91 IS_USED 0 SITEPROP SLICE_X13Y91 MANUAL_ROUTING SITEPROP SLICE_X13Y91 NAME SLICE_X13Y91 SITEPROP SLICE_X13Y91 NUM_ARCS 138 SITEPROP SLICE_X13Y91 NUM_BELS 32 SITEPROP SLICE_X13Y91 NUM_INPUTS 32 SITEPROP SLICE_X13Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y91 NUM_PINS 45 SITEPROP SLICE_X13Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y91 PROHIBIT 0 SITEPROP SLICE_X13Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y91 RPM_X 51 SITEPROP SLICE_X13Y91 RPM_Y 182 SITEPROP SLICE_X13Y91 SITE_PIPS SITEPROP SLICE_X13Y91 SITE_TYPE SLICEL SITEPROP SLICE_X13Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y92 CLASS site SITEPROP SLICE_X13Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y92 IS_BONDED 0 SITEPROP SLICE_X13Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y92 IS_PAD 0 SITEPROP SLICE_X13Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y92 IS_RESERVED 0 SITEPROP SLICE_X13Y92 IS_TEST 0 SITEPROP SLICE_X13Y92 IS_USED 0 SITEPROP SLICE_X13Y92 MANUAL_ROUTING SITEPROP SLICE_X13Y92 NAME SLICE_X13Y92 SITEPROP SLICE_X13Y92 NUM_ARCS 138 SITEPROP SLICE_X13Y92 NUM_BELS 32 SITEPROP SLICE_X13Y92 NUM_INPUTS 32 SITEPROP SLICE_X13Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y92 NUM_PINS 45 SITEPROP SLICE_X13Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y92 PROHIBIT 0 SITEPROP SLICE_X13Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y92 RPM_X 51 SITEPROP SLICE_X13Y92 RPM_Y 184 SITEPROP SLICE_X13Y92 SITE_PIPS SITEPROP SLICE_X13Y92 SITE_TYPE SLICEL SITEPROP SLICE_X13Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y93 CLASS site SITEPROP SLICE_X13Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y93 IS_BONDED 0 SITEPROP SLICE_X13Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y93 IS_PAD 0 SITEPROP SLICE_X13Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y93 IS_RESERVED 0 SITEPROP SLICE_X13Y93 IS_TEST 0 SITEPROP SLICE_X13Y93 IS_USED 0 SITEPROP SLICE_X13Y93 MANUAL_ROUTING SITEPROP SLICE_X13Y93 NAME SLICE_X13Y93 SITEPROP SLICE_X13Y93 NUM_ARCS 138 SITEPROP SLICE_X13Y93 NUM_BELS 32 SITEPROP SLICE_X13Y93 NUM_INPUTS 32 SITEPROP SLICE_X13Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y93 NUM_PINS 45 SITEPROP SLICE_X13Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y93 PROHIBIT 0 SITEPROP SLICE_X13Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y93 RPM_X 51 SITEPROP SLICE_X13Y93 RPM_Y 186 SITEPROP SLICE_X13Y93 SITE_PIPS SITEPROP SLICE_X13Y93 SITE_TYPE SLICEL SITEPROP SLICE_X13Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y94 CLASS site SITEPROP SLICE_X13Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y94 IS_BONDED 0 SITEPROP SLICE_X13Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y94 IS_PAD 0 SITEPROP SLICE_X13Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y94 IS_RESERVED 0 SITEPROP SLICE_X13Y94 IS_TEST 0 SITEPROP SLICE_X13Y94 IS_USED 0 SITEPROP SLICE_X13Y94 MANUAL_ROUTING SITEPROP SLICE_X13Y94 NAME SLICE_X13Y94 SITEPROP SLICE_X13Y94 NUM_ARCS 138 SITEPROP SLICE_X13Y94 NUM_BELS 32 SITEPROP SLICE_X13Y94 NUM_INPUTS 32 SITEPROP SLICE_X13Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y94 NUM_PINS 45 SITEPROP SLICE_X13Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y94 PROHIBIT 0 SITEPROP SLICE_X13Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y94 RPM_X 51 SITEPROP SLICE_X13Y94 RPM_Y 188 SITEPROP SLICE_X13Y94 SITE_PIPS SITEPROP SLICE_X13Y94 SITE_TYPE SLICEL SITEPROP SLICE_X13Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y95 CLASS site SITEPROP SLICE_X13Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y95 IS_BONDED 0 SITEPROP SLICE_X13Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y95 IS_PAD 0 SITEPROP SLICE_X13Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y95 IS_RESERVED 0 SITEPROP SLICE_X13Y95 IS_TEST 0 SITEPROP SLICE_X13Y95 IS_USED 0 SITEPROP SLICE_X13Y95 MANUAL_ROUTING SITEPROP SLICE_X13Y95 NAME SLICE_X13Y95 SITEPROP SLICE_X13Y95 NUM_ARCS 138 SITEPROP SLICE_X13Y95 NUM_BELS 32 SITEPROP SLICE_X13Y95 NUM_INPUTS 32 SITEPROP SLICE_X13Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y95 NUM_PINS 45 SITEPROP SLICE_X13Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y95 PROHIBIT 0 SITEPROP SLICE_X13Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y95 RPM_X 51 SITEPROP SLICE_X13Y95 RPM_Y 190 SITEPROP SLICE_X13Y95 SITE_PIPS SITEPROP SLICE_X13Y95 SITE_TYPE SLICEL SITEPROP SLICE_X13Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y96 CLASS site SITEPROP SLICE_X13Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y96 IS_BONDED 0 SITEPROP SLICE_X13Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y96 IS_PAD 0 SITEPROP SLICE_X13Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y96 IS_RESERVED 0 SITEPROP SLICE_X13Y96 IS_TEST 0 SITEPROP SLICE_X13Y96 IS_USED 0 SITEPROP SLICE_X13Y96 MANUAL_ROUTING SITEPROP SLICE_X13Y96 NAME SLICE_X13Y96 SITEPROP SLICE_X13Y96 NUM_ARCS 138 SITEPROP SLICE_X13Y96 NUM_BELS 32 SITEPROP SLICE_X13Y96 NUM_INPUTS 32 SITEPROP SLICE_X13Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y96 NUM_PINS 45 SITEPROP SLICE_X13Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y96 PROHIBIT 0 SITEPROP SLICE_X13Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y96 RPM_X 51 SITEPROP SLICE_X13Y96 RPM_Y 192 SITEPROP SLICE_X13Y96 SITE_PIPS SITEPROP SLICE_X13Y96 SITE_TYPE SLICEL SITEPROP SLICE_X13Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y97 CLASS site SITEPROP SLICE_X13Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y97 IS_BONDED 0 SITEPROP SLICE_X13Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y97 IS_PAD 0 SITEPROP SLICE_X13Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y97 IS_RESERVED 0 SITEPROP SLICE_X13Y97 IS_TEST 0 SITEPROP SLICE_X13Y97 IS_USED 0 SITEPROP SLICE_X13Y97 MANUAL_ROUTING SITEPROP SLICE_X13Y97 NAME SLICE_X13Y97 SITEPROP SLICE_X13Y97 NUM_ARCS 138 SITEPROP SLICE_X13Y97 NUM_BELS 32 SITEPROP SLICE_X13Y97 NUM_INPUTS 32 SITEPROP SLICE_X13Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y97 NUM_PINS 45 SITEPROP SLICE_X13Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y97 PROHIBIT 0 SITEPROP SLICE_X13Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y97 RPM_X 51 SITEPROP SLICE_X13Y97 RPM_Y 194 SITEPROP SLICE_X13Y97 SITE_PIPS SITEPROP SLICE_X13Y97 SITE_TYPE SLICEL SITEPROP SLICE_X13Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y98 CLASS site SITEPROP SLICE_X13Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y98 IS_BONDED 0 SITEPROP SLICE_X13Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y98 IS_PAD 0 SITEPROP SLICE_X13Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y98 IS_RESERVED 0 SITEPROP SLICE_X13Y98 IS_TEST 0 SITEPROP SLICE_X13Y98 IS_USED 0 SITEPROP SLICE_X13Y98 MANUAL_ROUTING SITEPROP SLICE_X13Y98 NAME SLICE_X13Y98 SITEPROP SLICE_X13Y98 NUM_ARCS 138 SITEPROP SLICE_X13Y98 NUM_BELS 32 SITEPROP SLICE_X13Y98 NUM_INPUTS 32 SITEPROP SLICE_X13Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y98 NUM_PINS 45 SITEPROP SLICE_X13Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y98 PROHIBIT 0 SITEPROP SLICE_X13Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y98 RPM_X 51 SITEPROP SLICE_X13Y98 RPM_Y 196 SITEPROP SLICE_X13Y98 SITE_PIPS SITEPROP SLICE_X13Y98 SITE_TYPE SLICEL SITEPROP SLICE_X13Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y99 CLASS site SITEPROP SLICE_X13Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X13Y99 IS_BONDED 0 SITEPROP SLICE_X13Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y99 IS_PAD 0 SITEPROP SLICE_X13Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y99 IS_RESERVED 0 SITEPROP SLICE_X13Y99 IS_TEST 0 SITEPROP SLICE_X13Y99 IS_USED 0 SITEPROP SLICE_X13Y99 MANUAL_ROUTING SITEPROP SLICE_X13Y99 NAME SLICE_X13Y99 SITEPROP SLICE_X13Y99 NUM_ARCS 138 SITEPROP SLICE_X13Y99 NUM_BELS 32 SITEPROP SLICE_X13Y99 NUM_INPUTS 32 SITEPROP SLICE_X13Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y99 NUM_PINS 45 SITEPROP SLICE_X13Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y99 PROHIBIT 0 SITEPROP SLICE_X13Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y99 RPM_X 51 SITEPROP SLICE_X13Y99 RPM_Y 198 SITEPROP SLICE_X13Y99 SITE_PIPS SITEPROP SLICE_X13Y99 SITE_TYPE SLICEL SITEPROP SLICE_X13Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y100 CLASS site SITEPROP SLICE_X13Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y100 IS_BONDED 0 SITEPROP SLICE_X13Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y100 IS_PAD 0 SITEPROP SLICE_X13Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y100 IS_RESERVED 0 SITEPROP SLICE_X13Y100 IS_TEST 0 SITEPROP SLICE_X13Y100 IS_USED 0 SITEPROP SLICE_X13Y100 MANUAL_ROUTING SITEPROP SLICE_X13Y100 NAME SLICE_X13Y100 SITEPROP SLICE_X13Y100 NUM_ARCS 138 SITEPROP SLICE_X13Y100 NUM_BELS 32 SITEPROP SLICE_X13Y100 NUM_INPUTS 32 SITEPROP SLICE_X13Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y100 NUM_PINS 45 SITEPROP SLICE_X13Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y100 PROHIBIT 0 SITEPROP SLICE_X13Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y100 RPM_X 51 SITEPROP SLICE_X13Y100 RPM_Y 200 SITEPROP SLICE_X13Y100 SITE_PIPS SITEPROP SLICE_X13Y100 SITE_TYPE SLICEL SITEPROP SLICE_X13Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y101 CLASS site SITEPROP SLICE_X13Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y101 IS_BONDED 0 SITEPROP SLICE_X13Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y101 IS_PAD 0 SITEPROP SLICE_X13Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y101 IS_RESERVED 0 SITEPROP SLICE_X13Y101 IS_TEST 0 SITEPROP SLICE_X13Y101 IS_USED 0 SITEPROP SLICE_X13Y101 MANUAL_ROUTING SITEPROP SLICE_X13Y101 NAME SLICE_X13Y101 SITEPROP SLICE_X13Y101 NUM_ARCS 138 SITEPROP SLICE_X13Y101 NUM_BELS 32 SITEPROP SLICE_X13Y101 NUM_INPUTS 32 SITEPROP SLICE_X13Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y101 NUM_PINS 45 SITEPROP SLICE_X13Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y101 PROHIBIT 0 SITEPROP SLICE_X13Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y101 RPM_X 51 SITEPROP SLICE_X13Y101 RPM_Y 202 SITEPROP SLICE_X13Y101 SITE_PIPS SITEPROP SLICE_X13Y101 SITE_TYPE SLICEL SITEPROP SLICE_X13Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y102 CLASS site SITEPROP SLICE_X13Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y102 IS_BONDED 0 SITEPROP SLICE_X13Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y102 IS_PAD 0 SITEPROP SLICE_X13Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y102 IS_RESERVED 0 SITEPROP SLICE_X13Y102 IS_TEST 0 SITEPROP SLICE_X13Y102 IS_USED 0 SITEPROP SLICE_X13Y102 MANUAL_ROUTING SITEPROP SLICE_X13Y102 NAME SLICE_X13Y102 SITEPROP SLICE_X13Y102 NUM_ARCS 138 SITEPROP SLICE_X13Y102 NUM_BELS 32 SITEPROP SLICE_X13Y102 NUM_INPUTS 32 SITEPROP SLICE_X13Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y102 NUM_PINS 45 SITEPROP SLICE_X13Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y102 PROHIBIT 0 SITEPROP SLICE_X13Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y102 RPM_X 51 SITEPROP SLICE_X13Y102 RPM_Y 204 SITEPROP SLICE_X13Y102 SITE_PIPS SITEPROP SLICE_X13Y102 SITE_TYPE SLICEL SITEPROP SLICE_X13Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y103 CLASS site SITEPROP SLICE_X13Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y103 IS_BONDED 0 SITEPROP SLICE_X13Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y103 IS_PAD 0 SITEPROP SLICE_X13Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y103 IS_RESERVED 0 SITEPROP SLICE_X13Y103 IS_TEST 0 SITEPROP SLICE_X13Y103 IS_USED 0 SITEPROP SLICE_X13Y103 MANUAL_ROUTING SITEPROP SLICE_X13Y103 NAME SLICE_X13Y103 SITEPROP SLICE_X13Y103 NUM_ARCS 138 SITEPROP SLICE_X13Y103 NUM_BELS 32 SITEPROP SLICE_X13Y103 NUM_INPUTS 32 SITEPROP SLICE_X13Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y103 NUM_PINS 45 SITEPROP SLICE_X13Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y103 PROHIBIT 0 SITEPROP SLICE_X13Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y103 RPM_X 51 SITEPROP SLICE_X13Y103 RPM_Y 206 SITEPROP SLICE_X13Y103 SITE_PIPS SITEPROP SLICE_X13Y103 SITE_TYPE SLICEL SITEPROP SLICE_X13Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y104 CLASS site SITEPROP SLICE_X13Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y104 IS_BONDED 0 SITEPROP SLICE_X13Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y104 IS_PAD 0 SITEPROP SLICE_X13Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y104 IS_RESERVED 0 SITEPROP SLICE_X13Y104 IS_TEST 0 SITEPROP SLICE_X13Y104 IS_USED 0 SITEPROP SLICE_X13Y104 MANUAL_ROUTING SITEPROP SLICE_X13Y104 NAME SLICE_X13Y104 SITEPROP SLICE_X13Y104 NUM_ARCS 138 SITEPROP SLICE_X13Y104 NUM_BELS 32 SITEPROP SLICE_X13Y104 NUM_INPUTS 32 SITEPROP SLICE_X13Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y104 NUM_PINS 45 SITEPROP SLICE_X13Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y104 PROHIBIT 0 SITEPROP SLICE_X13Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y104 RPM_X 51 SITEPROP SLICE_X13Y104 RPM_Y 208 SITEPROP SLICE_X13Y104 SITE_PIPS SITEPROP SLICE_X13Y104 SITE_TYPE SLICEL SITEPROP SLICE_X13Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y105 CLASS site SITEPROP SLICE_X13Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y105 IS_BONDED 0 SITEPROP SLICE_X13Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y105 IS_PAD 0 SITEPROP SLICE_X13Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y105 IS_RESERVED 0 SITEPROP SLICE_X13Y105 IS_TEST 0 SITEPROP SLICE_X13Y105 IS_USED 0 SITEPROP SLICE_X13Y105 MANUAL_ROUTING SITEPROP SLICE_X13Y105 NAME SLICE_X13Y105 SITEPROP SLICE_X13Y105 NUM_ARCS 138 SITEPROP SLICE_X13Y105 NUM_BELS 32 SITEPROP SLICE_X13Y105 NUM_INPUTS 32 SITEPROP SLICE_X13Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y105 NUM_PINS 45 SITEPROP SLICE_X13Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y105 PROHIBIT 0 SITEPROP SLICE_X13Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y105 RPM_X 51 SITEPROP SLICE_X13Y105 RPM_Y 210 SITEPROP SLICE_X13Y105 SITE_PIPS SITEPROP SLICE_X13Y105 SITE_TYPE SLICEL SITEPROP SLICE_X13Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y106 CLASS site SITEPROP SLICE_X13Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y106 IS_BONDED 0 SITEPROP SLICE_X13Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y106 IS_PAD 0 SITEPROP SLICE_X13Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y106 IS_RESERVED 0 SITEPROP SLICE_X13Y106 IS_TEST 0 SITEPROP SLICE_X13Y106 IS_USED 0 SITEPROP SLICE_X13Y106 MANUAL_ROUTING SITEPROP SLICE_X13Y106 NAME SLICE_X13Y106 SITEPROP SLICE_X13Y106 NUM_ARCS 138 SITEPROP SLICE_X13Y106 NUM_BELS 32 SITEPROP SLICE_X13Y106 NUM_INPUTS 32 SITEPROP SLICE_X13Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y106 NUM_PINS 45 SITEPROP SLICE_X13Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y106 PROHIBIT 0 SITEPROP SLICE_X13Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y106 RPM_X 51 SITEPROP SLICE_X13Y106 RPM_Y 212 SITEPROP SLICE_X13Y106 SITE_PIPS SITEPROP SLICE_X13Y106 SITE_TYPE SLICEL SITEPROP SLICE_X13Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y107 CLASS site SITEPROP SLICE_X13Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y107 IS_BONDED 0 SITEPROP SLICE_X13Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y107 IS_PAD 0 SITEPROP SLICE_X13Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y107 IS_RESERVED 0 SITEPROP SLICE_X13Y107 IS_TEST 0 SITEPROP SLICE_X13Y107 IS_USED 0 SITEPROP SLICE_X13Y107 MANUAL_ROUTING SITEPROP SLICE_X13Y107 NAME SLICE_X13Y107 SITEPROP SLICE_X13Y107 NUM_ARCS 138 SITEPROP SLICE_X13Y107 NUM_BELS 32 SITEPROP SLICE_X13Y107 NUM_INPUTS 32 SITEPROP SLICE_X13Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y107 NUM_PINS 45 SITEPROP SLICE_X13Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y107 PROHIBIT 0 SITEPROP SLICE_X13Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y107 RPM_X 51 SITEPROP SLICE_X13Y107 RPM_Y 214 SITEPROP SLICE_X13Y107 SITE_PIPS SITEPROP SLICE_X13Y107 SITE_TYPE SLICEL SITEPROP SLICE_X13Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y108 CLASS site SITEPROP SLICE_X13Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y108 IS_BONDED 0 SITEPROP SLICE_X13Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y108 IS_PAD 0 SITEPROP SLICE_X13Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y108 IS_RESERVED 0 SITEPROP SLICE_X13Y108 IS_TEST 0 SITEPROP SLICE_X13Y108 IS_USED 0 SITEPROP SLICE_X13Y108 MANUAL_ROUTING SITEPROP SLICE_X13Y108 NAME SLICE_X13Y108 SITEPROP SLICE_X13Y108 NUM_ARCS 138 SITEPROP SLICE_X13Y108 NUM_BELS 32 SITEPROP SLICE_X13Y108 NUM_INPUTS 32 SITEPROP SLICE_X13Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y108 NUM_PINS 45 SITEPROP SLICE_X13Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y108 PROHIBIT 0 SITEPROP SLICE_X13Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y108 RPM_X 51 SITEPROP SLICE_X13Y108 RPM_Y 216 SITEPROP SLICE_X13Y108 SITE_PIPS SITEPROP SLICE_X13Y108 SITE_TYPE SLICEL SITEPROP SLICE_X13Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y109 CLASS site SITEPROP SLICE_X13Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y109 IS_BONDED 0 SITEPROP SLICE_X13Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y109 IS_PAD 0 SITEPROP SLICE_X13Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y109 IS_RESERVED 0 SITEPROP SLICE_X13Y109 IS_TEST 0 SITEPROP SLICE_X13Y109 IS_USED 0 SITEPROP SLICE_X13Y109 MANUAL_ROUTING SITEPROP SLICE_X13Y109 NAME SLICE_X13Y109 SITEPROP SLICE_X13Y109 NUM_ARCS 138 SITEPROP SLICE_X13Y109 NUM_BELS 32 SITEPROP SLICE_X13Y109 NUM_INPUTS 32 SITEPROP SLICE_X13Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y109 NUM_PINS 45 SITEPROP SLICE_X13Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y109 PROHIBIT 0 SITEPROP SLICE_X13Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y109 RPM_X 51 SITEPROP SLICE_X13Y109 RPM_Y 218 SITEPROP SLICE_X13Y109 SITE_PIPS SITEPROP SLICE_X13Y109 SITE_TYPE SLICEL SITEPROP SLICE_X13Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y110 CLASS site SITEPROP SLICE_X13Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y110 IS_BONDED 0 SITEPROP SLICE_X13Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y110 IS_PAD 0 SITEPROP SLICE_X13Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y110 IS_RESERVED 0 SITEPROP SLICE_X13Y110 IS_TEST 0 SITEPROP SLICE_X13Y110 IS_USED 0 SITEPROP SLICE_X13Y110 MANUAL_ROUTING SITEPROP SLICE_X13Y110 NAME SLICE_X13Y110 SITEPROP SLICE_X13Y110 NUM_ARCS 138 SITEPROP SLICE_X13Y110 NUM_BELS 32 SITEPROP SLICE_X13Y110 NUM_INPUTS 32 SITEPROP SLICE_X13Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y110 NUM_PINS 45 SITEPROP SLICE_X13Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y110 PROHIBIT 0 SITEPROP SLICE_X13Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y110 RPM_X 51 SITEPROP SLICE_X13Y110 RPM_Y 220 SITEPROP SLICE_X13Y110 SITE_PIPS SITEPROP SLICE_X13Y110 SITE_TYPE SLICEL SITEPROP SLICE_X13Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y111 CLASS site SITEPROP SLICE_X13Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y111 IS_BONDED 0 SITEPROP SLICE_X13Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y111 IS_PAD 0 SITEPROP SLICE_X13Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y111 IS_RESERVED 0 SITEPROP SLICE_X13Y111 IS_TEST 0 SITEPROP SLICE_X13Y111 IS_USED 0 SITEPROP SLICE_X13Y111 MANUAL_ROUTING SITEPROP SLICE_X13Y111 NAME SLICE_X13Y111 SITEPROP SLICE_X13Y111 NUM_ARCS 138 SITEPROP SLICE_X13Y111 NUM_BELS 32 SITEPROP SLICE_X13Y111 NUM_INPUTS 32 SITEPROP SLICE_X13Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y111 NUM_PINS 45 SITEPROP SLICE_X13Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y111 PROHIBIT 0 SITEPROP SLICE_X13Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y111 RPM_X 51 SITEPROP SLICE_X13Y111 RPM_Y 222 SITEPROP SLICE_X13Y111 SITE_PIPS SITEPROP SLICE_X13Y111 SITE_TYPE SLICEL SITEPROP SLICE_X13Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y112 CLASS site SITEPROP SLICE_X13Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y112 IS_BONDED 0 SITEPROP SLICE_X13Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y112 IS_PAD 0 SITEPROP SLICE_X13Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y112 IS_RESERVED 0 SITEPROP SLICE_X13Y112 IS_TEST 0 SITEPROP SLICE_X13Y112 IS_USED 0 SITEPROP SLICE_X13Y112 MANUAL_ROUTING SITEPROP SLICE_X13Y112 NAME SLICE_X13Y112 SITEPROP SLICE_X13Y112 NUM_ARCS 138 SITEPROP SLICE_X13Y112 NUM_BELS 32 SITEPROP SLICE_X13Y112 NUM_INPUTS 32 SITEPROP SLICE_X13Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y112 NUM_PINS 45 SITEPROP SLICE_X13Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y112 PROHIBIT 0 SITEPROP SLICE_X13Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y112 RPM_X 51 SITEPROP SLICE_X13Y112 RPM_Y 224 SITEPROP SLICE_X13Y112 SITE_PIPS SITEPROP SLICE_X13Y112 SITE_TYPE SLICEL SITEPROP SLICE_X13Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y113 CLASS site SITEPROP SLICE_X13Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y113 IS_BONDED 0 SITEPROP SLICE_X13Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y113 IS_PAD 0 SITEPROP SLICE_X13Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y113 IS_RESERVED 0 SITEPROP SLICE_X13Y113 IS_TEST 0 SITEPROP SLICE_X13Y113 IS_USED 0 SITEPROP SLICE_X13Y113 MANUAL_ROUTING SITEPROP SLICE_X13Y113 NAME SLICE_X13Y113 SITEPROP SLICE_X13Y113 NUM_ARCS 138 SITEPROP SLICE_X13Y113 NUM_BELS 32 SITEPROP SLICE_X13Y113 NUM_INPUTS 32 SITEPROP SLICE_X13Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y113 NUM_PINS 45 SITEPROP SLICE_X13Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y113 PROHIBIT 0 SITEPROP SLICE_X13Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y113 RPM_X 51 SITEPROP SLICE_X13Y113 RPM_Y 226 SITEPROP SLICE_X13Y113 SITE_PIPS SITEPROP SLICE_X13Y113 SITE_TYPE SLICEL SITEPROP SLICE_X13Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y114 CLASS site SITEPROP SLICE_X13Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y114 IS_BONDED 0 SITEPROP SLICE_X13Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y114 IS_PAD 0 SITEPROP SLICE_X13Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y114 IS_RESERVED 0 SITEPROP SLICE_X13Y114 IS_TEST 0 SITEPROP SLICE_X13Y114 IS_USED 0 SITEPROP SLICE_X13Y114 MANUAL_ROUTING SITEPROP SLICE_X13Y114 NAME SLICE_X13Y114 SITEPROP SLICE_X13Y114 NUM_ARCS 138 SITEPROP SLICE_X13Y114 NUM_BELS 32 SITEPROP SLICE_X13Y114 NUM_INPUTS 32 SITEPROP SLICE_X13Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y114 NUM_PINS 45 SITEPROP SLICE_X13Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y114 PROHIBIT 0 SITEPROP SLICE_X13Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y114 RPM_X 51 SITEPROP SLICE_X13Y114 RPM_Y 228 SITEPROP SLICE_X13Y114 SITE_PIPS SITEPROP SLICE_X13Y114 SITE_TYPE SLICEL SITEPROP SLICE_X13Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y115 CLASS site SITEPROP SLICE_X13Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y115 IS_BONDED 0 SITEPROP SLICE_X13Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y115 IS_PAD 0 SITEPROP SLICE_X13Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y115 IS_RESERVED 0 SITEPROP SLICE_X13Y115 IS_TEST 0 SITEPROP SLICE_X13Y115 IS_USED 0 SITEPROP SLICE_X13Y115 MANUAL_ROUTING SITEPROP SLICE_X13Y115 NAME SLICE_X13Y115 SITEPROP SLICE_X13Y115 NUM_ARCS 138 SITEPROP SLICE_X13Y115 NUM_BELS 32 SITEPROP SLICE_X13Y115 NUM_INPUTS 32 SITEPROP SLICE_X13Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y115 NUM_PINS 45 SITEPROP SLICE_X13Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y115 PROHIBIT 0 SITEPROP SLICE_X13Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y115 RPM_X 51 SITEPROP SLICE_X13Y115 RPM_Y 230 SITEPROP SLICE_X13Y115 SITE_PIPS SITEPROP SLICE_X13Y115 SITE_TYPE SLICEL SITEPROP SLICE_X13Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y116 CLASS site SITEPROP SLICE_X13Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y116 IS_BONDED 0 SITEPROP SLICE_X13Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y116 IS_PAD 0 SITEPROP SLICE_X13Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y116 IS_RESERVED 0 SITEPROP SLICE_X13Y116 IS_TEST 0 SITEPROP SLICE_X13Y116 IS_USED 0 SITEPROP SLICE_X13Y116 MANUAL_ROUTING SITEPROP SLICE_X13Y116 NAME SLICE_X13Y116 SITEPROP SLICE_X13Y116 NUM_ARCS 138 SITEPROP SLICE_X13Y116 NUM_BELS 32 SITEPROP SLICE_X13Y116 NUM_INPUTS 32 SITEPROP SLICE_X13Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y116 NUM_PINS 45 SITEPROP SLICE_X13Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y116 PROHIBIT 0 SITEPROP SLICE_X13Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y116 RPM_X 51 SITEPROP SLICE_X13Y116 RPM_Y 232 SITEPROP SLICE_X13Y116 SITE_PIPS SITEPROP SLICE_X13Y116 SITE_TYPE SLICEL SITEPROP SLICE_X13Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y117 CLASS site SITEPROP SLICE_X13Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y117 IS_BONDED 0 SITEPROP SLICE_X13Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y117 IS_PAD 0 SITEPROP SLICE_X13Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y117 IS_RESERVED 0 SITEPROP SLICE_X13Y117 IS_TEST 0 SITEPROP SLICE_X13Y117 IS_USED 0 SITEPROP SLICE_X13Y117 MANUAL_ROUTING SITEPROP SLICE_X13Y117 NAME SLICE_X13Y117 SITEPROP SLICE_X13Y117 NUM_ARCS 138 SITEPROP SLICE_X13Y117 NUM_BELS 32 SITEPROP SLICE_X13Y117 NUM_INPUTS 32 SITEPROP SLICE_X13Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y117 NUM_PINS 45 SITEPROP SLICE_X13Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y117 PROHIBIT 0 SITEPROP SLICE_X13Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y117 RPM_X 51 SITEPROP SLICE_X13Y117 RPM_Y 234 SITEPROP SLICE_X13Y117 SITE_PIPS SITEPROP SLICE_X13Y117 SITE_TYPE SLICEL SITEPROP SLICE_X13Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y118 CLASS site SITEPROP SLICE_X13Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y118 IS_BONDED 0 SITEPROP SLICE_X13Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y118 IS_PAD 0 SITEPROP SLICE_X13Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y118 IS_RESERVED 0 SITEPROP SLICE_X13Y118 IS_TEST 0 SITEPROP SLICE_X13Y118 IS_USED 0 SITEPROP SLICE_X13Y118 MANUAL_ROUTING SITEPROP SLICE_X13Y118 NAME SLICE_X13Y118 SITEPROP SLICE_X13Y118 NUM_ARCS 138 SITEPROP SLICE_X13Y118 NUM_BELS 32 SITEPROP SLICE_X13Y118 NUM_INPUTS 32 SITEPROP SLICE_X13Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y118 NUM_PINS 45 SITEPROP SLICE_X13Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y118 PROHIBIT 0 SITEPROP SLICE_X13Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y118 RPM_X 51 SITEPROP SLICE_X13Y118 RPM_Y 236 SITEPROP SLICE_X13Y118 SITE_PIPS SITEPROP SLICE_X13Y118 SITE_TYPE SLICEL SITEPROP SLICE_X13Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y119 CLASS site SITEPROP SLICE_X13Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y119 IS_BONDED 0 SITEPROP SLICE_X13Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y119 IS_PAD 0 SITEPROP SLICE_X13Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y119 IS_RESERVED 0 SITEPROP SLICE_X13Y119 IS_TEST 0 SITEPROP SLICE_X13Y119 IS_USED 0 SITEPROP SLICE_X13Y119 MANUAL_ROUTING SITEPROP SLICE_X13Y119 NAME SLICE_X13Y119 SITEPROP SLICE_X13Y119 NUM_ARCS 138 SITEPROP SLICE_X13Y119 NUM_BELS 32 SITEPROP SLICE_X13Y119 NUM_INPUTS 32 SITEPROP SLICE_X13Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y119 NUM_PINS 45 SITEPROP SLICE_X13Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y119 PROHIBIT 0 SITEPROP SLICE_X13Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y119 RPM_X 51 SITEPROP SLICE_X13Y119 RPM_Y 238 SITEPROP SLICE_X13Y119 SITE_PIPS SITEPROP SLICE_X13Y119 SITE_TYPE SLICEL SITEPROP SLICE_X13Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y120 CLASS site SITEPROP SLICE_X13Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y120 IS_BONDED 0 SITEPROP SLICE_X13Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y120 IS_PAD 0 SITEPROP SLICE_X13Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y120 IS_RESERVED 0 SITEPROP SLICE_X13Y120 IS_TEST 0 SITEPROP SLICE_X13Y120 IS_USED 0 SITEPROP SLICE_X13Y120 MANUAL_ROUTING SITEPROP SLICE_X13Y120 NAME SLICE_X13Y120 SITEPROP SLICE_X13Y120 NUM_ARCS 138 SITEPROP SLICE_X13Y120 NUM_BELS 32 SITEPROP SLICE_X13Y120 NUM_INPUTS 32 SITEPROP SLICE_X13Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y120 NUM_PINS 45 SITEPROP SLICE_X13Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y120 PROHIBIT 0 SITEPROP SLICE_X13Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y120 RPM_X 51 SITEPROP SLICE_X13Y120 RPM_Y 240 SITEPROP SLICE_X13Y120 SITE_PIPS SITEPROP SLICE_X13Y120 SITE_TYPE SLICEL SITEPROP SLICE_X13Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y121 CLASS site SITEPROP SLICE_X13Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y121 IS_BONDED 0 SITEPROP SLICE_X13Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y121 IS_PAD 0 SITEPROP SLICE_X13Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y121 IS_RESERVED 0 SITEPROP SLICE_X13Y121 IS_TEST 0 SITEPROP SLICE_X13Y121 IS_USED 0 SITEPROP SLICE_X13Y121 MANUAL_ROUTING SITEPROP SLICE_X13Y121 NAME SLICE_X13Y121 SITEPROP SLICE_X13Y121 NUM_ARCS 138 SITEPROP SLICE_X13Y121 NUM_BELS 32 SITEPROP SLICE_X13Y121 NUM_INPUTS 32 SITEPROP SLICE_X13Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y121 NUM_PINS 45 SITEPROP SLICE_X13Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y121 PROHIBIT 0 SITEPROP SLICE_X13Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y121 RPM_X 51 SITEPROP SLICE_X13Y121 RPM_Y 242 SITEPROP SLICE_X13Y121 SITE_PIPS SITEPROP SLICE_X13Y121 SITE_TYPE SLICEL SITEPROP SLICE_X13Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y122 CLASS site SITEPROP SLICE_X13Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y122 IS_BONDED 0 SITEPROP SLICE_X13Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y122 IS_PAD 0 SITEPROP SLICE_X13Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y122 IS_RESERVED 0 SITEPROP SLICE_X13Y122 IS_TEST 0 SITEPROP SLICE_X13Y122 IS_USED 0 SITEPROP SLICE_X13Y122 MANUAL_ROUTING SITEPROP SLICE_X13Y122 NAME SLICE_X13Y122 SITEPROP SLICE_X13Y122 NUM_ARCS 138 SITEPROP SLICE_X13Y122 NUM_BELS 32 SITEPROP SLICE_X13Y122 NUM_INPUTS 32 SITEPROP SLICE_X13Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y122 NUM_PINS 45 SITEPROP SLICE_X13Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y122 PROHIBIT 0 SITEPROP SLICE_X13Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y122 RPM_X 51 SITEPROP SLICE_X13Y122 RPM_Y 244 SITEPROP SLICE_X13Y122 SITE_PIPS SITEPROP SLICE_X13Y122 SITE_TYPE SLICEL SITEPROP SLICE_X13Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y123 CLASS site SITEPROP SLICE_X13Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y123 IS_BONDED 0 SITEPROP SLICE_X13Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y123 IS_PAD 0 SITEPROP SLICE_X13Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y123 IS_RESERVED 0 SITEPROP SLICE_X13Y123 IS_TEST 0 SITEPROP SLICE_X13Y123 IS_USED 0 SITEPROP SLICE_X13Y123 MANUAL_ROUTING SITEPROP SLICE_X13Y123 NAME SLICE_X13Y123 SITEPROP SLICE_X13Y123 NUM_ARCS 138 SITEPROP SLICE_X13Y123 NUM_BELS 32 SITEPROP SLICE_X13Y123 NUM_INPUTS 32 SITEPROP SLICE_X13Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y123 NUM_PINS 45 SITEPROP SLICE_X13Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y123 PROHIBIT 0 SITEPROP SLICE_X13Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y123 RPM_X 51 SITEPROP SLICE_X13Y123 RPM_Y 246 SITEPROP SLICE_X13Y123 SITE_PIPS SITEPROP SLICE_X13Y123 SITE_TYPE SLICEL SITEPROP SLICE_X13Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y124 CLASS site SITEPROP SLICE_X13Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y124 IS_BONDED 0 SITEPROP SLICE_X13Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y124 IS_PAD 0 SITEPROP SLICE_X13Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y124 IS_RESERVED 0 SITEPROP SLICE_X13Y124 IS_TEST 0 SITEPROP SLICE_X13Y124 IS_USED 0 SITEPROP SLICE_X13Y124 MANUAL_ROUTING SITEPROP SLICE_X13Y124 NAME SLICE_X13Y124 SITEPROP SLICE_X13Y124 NUM_ARCS 138 SITEPROP SLICE_X13Y124 NUM_BELS 32 SITEPROP SLICE_X13Y124 NUM_INPUTS 32 SITEPROP SLICE_X13Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y124 NUM_PINS 45 SITEPROP SLICE_X13Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y124 PROHIBIT 0 SITEPROP SLICE_X13Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y124 RPM_X 51 SITEPROP SLICE_X13Y124 RPM_Y 248 SITEPROP SLICE_X13Y124 SITE_PIPS SITEPROP SLICE_X13Y124 SITE_TYPE SLICEL SITEPROP SLICE_X13Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y125 CLASS site SITEPROP SLICE_X13Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y125 IS_BONDED 0 SITEPROP SLICE_X13Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y125 IS_PAD 0 SITEPROP SLICE_X13Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y125 IS_RESERVED 0 SITEPROP SLICE_X13Y125 IS_TEST 0 SITEPROP SLICE_X13Y125 IS_USED 0 SITEPROP SLICE_X13Y125 MANUAL_ROUTING SITEPROP SLICE_X13Y125 NAME SLICE_X13Y125 SITEPROP SLICE_X13Y125 NUM_ARCS 138 SITEPROP SLICE_X13Y125 NUM_BELS 32 SITEPROP SLICE_X13Y125 NUM_INPUTS 32 SITEPROP SLICE_X13Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y125 NUM_PINS 45 SITEPROP SLICE_X13Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y125 PROHIBIT 0 SITEPROP SLICE_X13Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y125 RPM_X 51 SITEPROP SLICE_X13Y125 RPM_Y 250 SITEPROP SLICE_X13Y125 SITE_PIPS SITEPROP SLICE_X13Y125 SITE_TYPE SLICEL SITEPROP SLICE_X13Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y126 CLASS site SITEPROP SLICE_X13Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y126 IS_BONDED 0 SITEPROP SLICE_X13Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y126 IS_PAD 0 SITEPROP SLICE_X13Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y126 IS_RESERVED 0 SITEPROP SLICE_X13Y126 IS_TEST 0 SITEPROP SLICE_X13Y126 IS_USED 0 SITEPROP SLICE_X13Y126 MANUAL_ROUTING SITEPROP SLICE_X13Y126 NAME SLICE_X13Y126 SITEPROP SLICE_X13Y126 NUM_ARCS 138 SITEPROP SLICE_X13Y126 NUM_BELS 32 SITEPROP SLICE_X13Y126 NUM_INPUTS 32 SITEPROP SLICE_X13Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y126 NUM_PINS 45 SITEPROP SLICE_X13Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y126 PROHIBIT 0 SITEPROP SLICE_X13Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y126 RPM_X 51 SITEPROP SLICE_X13Y126 RPM_Y 252 SITEPROP SLICE_X13Y126 SITE_PIPS SITEPROP SLICE_X13Y126 SITE_TYPE SLICEL SITEPROP SLICE_X13Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y127 CLASS site SITEPROP SLICE_X13Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y127 IS_BONDED 0 SITEPROP SLICE_X13Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y127 IS_PAD 0 SITEPROP SLICE_X13Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y127 IS_RESERVED 0 SITEPROP SLICE_X13Y127 IS_TEST 0 SITEPROP SLICE_X13Y127 IS_USED 0 SITEPROP SLICE_X13Y127 MANUAL_ROUTING SITEPROP SLICE_X13Y127 NAME SLICE_X13Y127 SITEPROP SLICE_X13Y127 NUM_ARCS 138 SITEPROP SLICE_X13Y127 NUM_BELS 32 SITEPROP SLICE_X13Y127 NUM_INPUTS 32 SITEPROP SLICE_X13Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y127 NUM_PINS 45 SITEPROP SLICE_X13Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y127 PROHIBIT 0 SITEPROP SLICE_X13Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y127 RPM_X 51 SITEPROP SLICE_X13Y127 RPM_Y 254 SITEPROP SLICE_X13Y127 SITE_PIPS SITEPROP SLICE_X13Y127 SITE_TYPE SLICEL SITEPROP SLICE_X13Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y128 CLASS site SITEPROP SLICE_X13Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y128 IS_BONDED 0 SITEPROP SLICE_X13Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y128 IS_PAD 0 SITEPROP SLICE_X13Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y128 IS_RESERVED 0 SITEPROP SLICE_X13Y128 IS_TEST 0 SITEPROP SLICE_X13Y128 IS_USED 0 SITEPROP SLICE_X13Y128 MANUAL_ROUTING SITEPROP SLICE_X13Y128 NAME SLICE_X13Y128 SITEPROP SLICE_X13Y128 NUM_ARCS 138 SITEPROP SLICE_X13Y128 NUM_BELS 32 SITEPROP SLICE_X13Y128 NUM_INPUTS 32 SITEPROP SLICE_X13Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y128 NUM_PINS 45 SITEPROP SLICE_X13Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y128 PROHIBIT 0 SITEPROP SLICE_X13Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y128 RPM_X 51 SITEPROP SLICE_X13Y128 RPM_Y 256 SITEPROP SLICE_X13Y128 SITE_PIPS SITEPROP SLICE_X13Y128 SITE_TYPE SLICEL SITEPROP SLICE_X13Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y129 CLASS site SITEPROP SLICE_X13Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y129 IS_BONDED 0 SITEPROP SLICE_X13Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y129 IS_PAD 0 SITEPROP SLICE_X13Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y129 IS_RESERVED 0 SITEPROP SLICE_X13Y129 IS_TEST 0 SITEPROP SLICE_X13Y129 IS_USED 0 SITEPROP SLICE_X13Y129 MANUAL_ROUTING SITEPROP SLICE_X13Y129 NAME SLICE_X13Y129 SITEPROP SLICE_X13Y129 NUM_ARCS 138 SITEPROP SLICE_X13Y129 NUM_BELS 32 SITEPROP SLICE_X13Y129 NUM_INPUTS 32 SITEPROP SLICE_X13Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y129 NUM_PINS 45 SITEPROP SLICE_X13Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y129 PROHIBIT 0 SITEPROP SLICE_X13Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y129 RPM_X 51 SITEPROP SLICE_X13Y129 RPM_Y 258 SITEPROP SLICE_X13Y129 SITE_PIPS SITEPROP SLICE_X13Y129 SITE_TYPE SLICEL SITEPROP SLICE_X13Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y130 CLASS site SITEPROP SLICE_X13Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y130 IS_BONDED 0 SITEPROP SLICE_X13Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y130 IS_PAD 0 SITEPROP SLICE_X13Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y130 IS_RESERVED 0 SITEPROP SLICE_X13Y130 IS_TEST 0 SITEPROP SLICE_X13Y130 IS_USED 0 SITEPROP SLICE_X13Y130 MANUAL_ROUTING SITEPROP SLICE_X13Y130 NAME SLICE_X13Y130 SITEPROP SLICE_X13Y130 NUM_ARCS 138 SITEPROP SLICE_X13Y130 NUM_BELS 32 SITEPROP SLICE_X13Y130 NUM_INPUTS 32 SITEPROP SLICE_X13Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y130 NUM_PINS 45 SITEPROP SLICE_X13Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y130 PROHIBIT 0 SITEPROP SLICE_X13Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y130 RPM_X 51 SITEPROP SLICE_X13Y130 RPM_Y 260 SITEPROP SLICE_X13Y130 SITE_PIPS SITEPROP SLICE_X13Y130 SITE_TYPE SLICEL SITEPROP SLICE_X13Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y131 CLASS site SITEPROP SLICE_X13Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y131 IS_BONDED 0 SITEPROP SLICE_X13Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y131 IS_PAD 0 SITEPROP SLICE_X13Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y131 IS_RESERVED 0 SITEPROP SLICE_X13Y131 IS_TEST 0 SITEPROP SLICE_X13Y131 IS_USED 0 SITEPROP SLICE_X13Y131 MANUAL_ROUTING SITEPROP SLICE_X13Y131 NAME SLICE_X13Y131 SITEPROP SLICE_X13Y131 NUM_ARCS 138 SITEPROP SLICE_X13Y131 NUM_BELS 32 SITEPROP SLICE_X13Y131 NUM_INPUTS 32 SITEPROP SLICE_X13Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y131 NUM_PINS 45 SITEPROP SLICE_X13Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y131 PROHIBIT 0 SITEPROP SLICE_X13Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y131 RPM_X 51 SITEPROP SLICE_X13Y131 RPM_Y 262 SITEPROP SLICE_X13Y131 SITE_PIPS SITEPROP SLICE_X13Y131 SITE_TYPE SLICEL SITEPROP SLICE_X13Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y132 CLASS site SITEPROP SLICE_X13Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y132 IS_BONDED 0 SITEPROP SLICE_X13Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y132 IS_PAD 0 SITEPROP SLICE_X13Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y132 IS_RESERVED 0 SITEPROP SLICE_X13Y132 IS_TEST 0 SITEPROP SLICE_X13Y132 IS_USED 0 SITEPROP SLICE_X13Y132 MANUAL_ROUTING SITEPROP SLICE_X13Y132 NAME SLICE_X13Y132 SITEPROP SLICE_X13Y132 NUM_ARCS 138 SITEPROP SLICE_X13Y132 NUM_BELS 32 SITEPROP SLICE_X13Y132 NUM_INPUTS 32 SITEPROP SLICE_X13Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y132 NUM_PINS 45 SITEPROP SLICE_X13Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y132 PROHIBIT 0 SITEPROP SLICE_X13Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y132 RPM_X 51 SITEPROP SLICE_X13Y132 RPM_Y 264 SITEPROP SLICE_X13Y132 SITE_PIPS SITEPROP SLICE_X13Y132 SITE_TYPE SLICEL SITEPROP SLICE_X13Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y133 CLASS site SITEPROP SLICE_X13Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y133 IS_BONDED 0 SITEPROP SLICE_X13Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y133 IS_PAD 0 SITEPROP SLICE_X13Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y133 IS_RESERVED 0 SITEPROP SLICE_X13Y133 IS_TEST 0 SITEPROP SLICE_X13Y133 IS_USED 0 SITEPROP SLICE_X13Y133 MANUAL_ROUTING SITEPROP SLICE_X13Y133 NAME SLICE_X13Y133 SITEPROP SLICE_X13Y133 NUM_ARCS 138 SITEPROP SLICE_X13Y133 NUM_BELS 32 SITEPROP SLICE_X13Y133 NUM_INPUTS 32 SITEPROP SLICE_X13Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y133 NUM_PINS 45 SITEPROP SLICE_X13Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y133 PROHIBIT 0 SITEPROP SLICE_X13Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y133 RPM_X 51 SITEPROP SLICE_X13Y133 RPM_Y 266 SITEPROP SLICE_X13Y133 SITE_PIPS SITEPROP SLICE_X13Y133 SITE_TYPE SLICEL SITEPROP SLICE_X13Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y134 CLASS site SITEPROP SLICE_X13Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y134 IS_BONDED 0 SITEPROP SLICE_X13Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y134 IS_PAD 0 SITEPROP SLICE_X13Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y134 IS_RESERVED 0 SITEPROP SLICE_X13Y134 IS_TEST 0 SITEPROP SLICE_X13Y134 IS_USED 0 SITEPROP SLICE_X13Y134 MANUAL_ROUTING SITEPROP SLICE_X13Y134 NAME SLICE_X13Y134 SITEPROP SLICE_X13Y134 NUM_ARCS 138 SITEPROP SLICE_X13Y134 NUM_BELS 32 SITEPROP SLICE_X13Y134 NUM_INPUTS 32 SITEPROP SLICE_X13Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y134 NUM_PINS 45 SITEPROP SLICE_X13Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y134 PROHIBIT 0 SITEPROP SLICE_X13Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y134 RPM_X 51 SITEPROP SLICE_X13Y134 RPM_Y 268 SITEPROP SLICE_X13Y134 SITE_PIPS SITEPROP SLICE_X13Y134 SITE_TYPE SLICEL SITEPROP SLICE_X13Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y135 CLASS site SITEPROP SLICE_X13Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y135 IS_BONDED 0 SITEPROP SLICE_X13Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y135 IS_PAD 0 SITEPROP SLICE_X13Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y135 IS_RESERVED 0 SITEPROP SLICE_X13Y135 IS_TEST 0 SITEPROP SLICE_X13Y135 IS_USED 0 SITEPROP SLICE_X13Y135 MANUAL_ROUTING SITEPROP SLICE_X13Y135 NAME SLICE_X13Y135 SITEPROP SLICE_X13Y135 NUM_ARCS 138 SITEPROP SLICE_X13Y135 NUM_BELS 32 SITEPROP SLICE_X13Y135 NUM_INPUTS 32 SITEPROP SLICE_X13Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y135 NUM_PINS 45 SITEPROP SLICE_X13Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y135 PROHIBIT 0 SITEPROP SLICE_X13Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y135 RPM_X 51 SITEPROP SLICE_X13Y135 RPM_Y 270 SITEPROP SLICE_X13Y135 SITE_PIPS SITEPROP SLICE_X13Y135 SITE_TYPE SLICEL SITEPROP SLICE_X13Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y136 CLASS site SITEPROP SLICE_X13Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y136 IS_BONDED 0 SITEPROP SLICE_X13Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y136 IS_PAD 0 SITEPROP SLICE_X13Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y136 IS_RESERVED 0 SITEPROP SLICE_X13Y136 IS_TEST 0 SITEPROP SLICE_X13Y136 IS_USED 0 SITEPROP SLICE_X13Y136 MANUAL_ROUTING SITEPROP SLICE_X13Y136 NAME SLICE_X13Y136 SITEPROP SLICE_X13Y136 NUM_ARCS 138 SITEPROP SLICE_X13Y136 NUM_BELS 32 SITEPROP SLICE_X13Y136 NUM_INPUTS 32 SITEPROP SLICE_X13Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y136 NUM_PINS 45 SITEPROP SLICE_X13Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y136 PROHIBIT 0 SITEPROP SLICE_X13Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y136 RPM_X 51 SITEPROP SLICE_X13Y136 RPM_Y 272 SITEPROP SLICE_X13Y136 SITE_PIPS SITEPROP SLICE_X13Y136 SITE_TYPE SLICEL SITEPROP SLICE_X13Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y137 CLASS site SITEPROP SLICE_X13Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y137 IS_BONDED 0 SITEPROP SLICE_X13Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y137 IS_PAD 0 SITEPROP SLICE_X13Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y137 IS_RESERVED 0 SITEPROP SLICE_X13Y137 IS_TEST 0 SITEPROP SLICE_X13Y137 IS_USED 0 SITEPROP SLICE_X13Y137 MANUAL_ROUTING SITEPROP SLICE_X13Y137 NAME SLICE_X13Y137 SITEPROP SLICE_X13Y137 NUM_ARCS 138 SITEPROP SLICE_X13Y137 NUM_BELS 32 SITEPROP SLICE_X13Y137 NUM_INPUTS 32 SITEPROP SLICE_X13Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y137 NUM_PINS 45 SITEPROP SLICE_X13Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y137 PROHIBIT 0 SITEPROP SLICE_X13Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y137 RPM_X 51 SITEPROP SLICE_X13Y137 RPM_Y 274 SITEPROP SLICE_X13Y137 SITE_PIPS SITEPROP SLICE_X13Y137 SITE_TYPE SLICEL SITEPROP SLICE_X13Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y138 CLASS site SITEPROP SLICE_X13Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y138 IS_BONDED 0 SITEPROP SLICE_X13Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y138 IS_PAD 0 SITEPROP SLICE_X13Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y138 IS_RESERVED 0 SITEPROP SLICE_X13Y138 IS_TEST 0 SITEPROP SLICE_X13Y138 IS_USED 0 SITEPROP SLICE_X13Y138 MANUAL_ROUTING SITEPROP SLICE_X13Y138 NAME SLICE_X13Y138 SITEPROP SLICE_X13Y138 NUM_ARCS 138 SITEPROP SLICE_X13Y138 NUM_BELS 32 SITEPROP SLICE_X13Y138 NUM_INPUTS 32 SITEPROP SLICE_X13Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y138 NUM_PINS 45 SITEPROP SLICE_X13Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y138 PROHIBIT 0 SITEPROP SLICE_X13Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y138 RPM_X 51 SITEPROP SLICE_X13Y138 RPM_Y 276 SITEPROP SLICE_X13Y138 SITE_PIPS SITEPROP SLICE_X13Y138 SITE_TYPE SLICEL SITEPROP SLICE_X13Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y139 CLASS site SITEPROP SLICE_X13Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y139 IS_BONDED 0 SITEPROP SLICE_X13Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y139 IS_PAD 0 SITEPROP SLICE_X13Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y139 IS_RESERVED 0 SITEPROP SLICE_X13Y139 IS_TEST 0 SITEPROP SLICE_X13Y139 IS_USED 0 SITEPROP SLICE_X13Y139 MANUAL_ROUTING SITEPROP SLICE_X13Y139 NAME SLICE_X13Y139 SITEPROP SLICE_X13Y139 NUM_ARCS 138 SITEPROP SLICE_X13Y139 NUM_BELS 32 SITEPROP SLICE_X13Y139 NUM_INPUTS 32 SITEPROP SLICE_X13Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y139 NUM_PINS 45 SITEPROP SLICE_X13Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y139 PROHIBIT 0 SITEPROP SLICE_X13Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y139 RPM_X 51 SITEPROP SLICE_X13Y139 RPM_Y 278 SITEPROP SLICE_X13Y139 SITE_PIPS SITEPROP SLICE_X13Y139 SITE_TYPE SLICEL SITEPROP SLICE_X13Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y140 CLASS site SITEPROP SLICE_X13Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y140 IS_BONDED 0 SITEPROP SLICE_X13Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y140 IS_PAD 0 SITEPROP SLICE_X13Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y140 IS_RESERVED 0 SITEPROP SLICE_X13Y140 IS_TEST 0 SITEPROP SLICE_X13Y140 IS_USED 0 SITEPROP SLICE_X13Y140 MANUAL_ROUTING SITEPROP SLICE_X13Y140 NAME SLICE_X13Y140 SITEPROP SLICE_X13Y140 NUM_ARCS 138 SITEPROP SLICE_X13Y140 NUM_BELS 32 SITEPROP SLICE_X13Y140 NUM_INPUTS 32 SITEPROP SLICE_X13Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y140 NUM_PINS 45 SITEPROP SLICE_X13Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y140 PROHIBIT 0 SITEPROP SLICE_X13Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y140 RPM_X 51 SITEPROP SLICE_X13Y140 RPM_Y 280 SITEPROP SLICE_X13Y140 SITE_PIPS SITEPROP SLICE_X13Y140 SITE_TYPE SLICEL SITEPROP SLICE_X13Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y141 CLASS site SITEPROP SLICE_X13Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y141 IS_BONDED 0 SITEPROP SLICE_X13Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y141 IS_PAD 0 SITEPROP SLICE_X13Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y141 IS_RESERVED 0 SITEPROP SLICE_X13Y141 IS_TEST 0 SITEPROP SLICE_X13Y141 IS_USED 0 SITEPROP SLICE_X13Y141 MANUAL_ROUTING SITEPROP SLICE_X13Y141 NAME SLICE_X13Y141 SITEPROP SLICE_X13Y141 NUM_ARCS 138 SITEPROP SLICE_X13Y141 NUM_BELS 32 SITEPROP SLICE_X13Y141 NUM_INPUTS 32 SITEPROP SLICE_X13Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y141 NUM_PINS 45 SITEPROP SLICE_X13Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y141 PROHIBIT 0 SITEPROP SLICE_X13Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y141 RPM_X 51 SITEPROP SLICE_X13Y141 RPM_Y 282 SITEPROP SLICE_X13Y141 SITE_PIPS SITEPROP SLICE_X13Y141 SITE_TYPE SLICEL SITEPROP SLICE_X13Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y142 CLASS site SITEPROP SLICE_X13Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y142 IS_BONDED 0 SITEPROP SLICE_X13Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y142 IS_PAD 0 SITEPROP SLICE_X13Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y142 IS_RESERVED 0 SITEPROP SLICE_X13Y142 IS_TEST 0 SITEPROP SLICE_X13Y142 IS_USED 0 SITEPROP SLICE_X13Y142 MANUAL_ROUTING SITEPROP SLICE_X13Y142 NAME SLICE_X13Y142 SITEPROP SLICE_X13Y142 NUM_ARCS 138 SITEPROP SLICE_X13Y142 NUM_BELS 32 SITEPROP SLICE_X13Y142 NUM_INPUTS 32 SITEPROP SLICE_X13Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y142 NUM_PINS 45 SITEPROP SLICE_X13Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y142 PROHIBIT 0 SITEPROP SLICE_X13Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y142 RPM_X 51 SITEPROP SLICE_X13Y142 RPM_Y 284 SITEPROP SLICE_X13Y142 SITE_PIPS SITEPROP SLICE_X13Y142 SITE_TYPE SLICEL SITEPROP SLICE_X13Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y143 CLASS site SITEPROP SLICE_X13Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y143 IS_BONDED 0 SITEPROP SLICE_X13Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y143 IS_PAD 0 SITEPROP SLICE_X13Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y143 IS_RESERVED 0 SITEPROP SLICE_X13Y143 IS_TEST 0 SITEPROP SLICE_X13Y143 IS_USED 0 SITEPROP SLICE_X13Y143 MANUAL_ROUTING SITEPROP SLICE_X13Y143 NAME SLICE_X13Y143 SITEPROP SLICE_X13Y143 NUM_ARCS 138 SITEPROP SLICE_X13Y143 NUM_BELS 32 SITEPROP SLICE_X13Y143 NUM_INPUTS 32 SITEPROP SLICE_X13Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y143 NUM_PINS 45 SITEPROP SLICE_X13Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y143 PROHIBIT 0 SITEPROP SLICE_X13Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y143 RPM_X 51 SITEPROP SLICE_X13Y143 RPM_Y 286 SITEPROP SLICE_X13Y143 SITE_PIPS SITEPROP SLICE_X13Y143 SITE_TYPE SLICEL SITEPROP SLICE_X13Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y144 CLASS site SITEPROP SLICE_X13Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y144 IS_BONDED 0 SITEPROP SLICE_X13Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y144 IS_PAD 0 SITEPROP SLICE_X13Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y144 IS_RESERVED 0 SITEPROP SLICE_X13Y144 IS_TEST 0 SITEPROP SLICE_X13Y144 IS_USED 0 SITEPROP SLICE_X13Y144 MANUAL_ROUTING SITEPROP SLICE_X13Y144 NAME SLICE_X13Y144 SITEPROP SLICE_X13Y144 NUM_ARCS 138 SITEPROP SLICE_X13Y144 NUM_BELS 32 SITEPROP SLICE_X13Y144 NUM_INPUTS 32 SITEPROP SLICE_X13Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y144 NUM_PINS 45 SITEPROP SLICE_X13Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y144 PROHIBIT 0 SITEPROP SLICE_X13Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y144 RPM_X 51 SITEPROP SLICE_X13Y144 RPM_Y 288 SITEPROP SLICE_X13Y144 SITE_PIPS SITEPROP SLICE_X13Y144 SITE_TYPE SLICEL SITEPROP SLICE_X13Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y145 CLASS site SITEPROP SLICE_X13Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y145 IS_BONDED 0 SITEPROP SLICE_X13Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y145 IS_PAD 0 SITEPROP SLICE_X13Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y145 IS_RESERVED 0 SITEPROP SLICE_X13Y145 IS_TEST 0 SITEPROP SLICE_X13Y145 IS_USED 0 SITEPROP SLICE_X13Y145 MANUAL_ROUTING SITEPROP SLICE_X13Y145 NAME SLICE_X13Y145 SITEPROP SLICE_X13Y145 NUM_ARCS 138 SITEPROP SLICE_X13Y145 NUM_BELS 32 SITEPROP SLICE_X13Y145 NUM_INPUTS 32 SITEPROP SLICE_X13Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y145 NUM_PINS 45 SITEPROP SLICE_X13Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y145 PROHIBIT 0 SITEPROP SLICE_X13Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y145 RPM_X 51 SITEPROP SLICE_X13Y145 RPM_Y 290 SITEPROP SLICE_X13Y145 SITE_PIPS SITEPROP SLICE_X13Y145 SITE_TYPE SLICEL SITEPROP SLICE_X13Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y146 CLASS site SITEPROP SLICE_X13Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y146 IS_BONDED 0 SITEPROP SLICE_X13Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y146 IS_PAD 0 SITEPROP SLICE_X13Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y146 IS_RESERVED 0 SITEPROP SLICE_X13Y146 IS_TEST 0 SITEPROP SLICE_X13Y146 IS_USED 0 SITEPROP SLICE_X13Y146 MANUAL_ROUTING SITEPROP SLICE_X13Y146 NAME SLICE_X13Y146 SITEPROP SLICE_X13Y146 NUM_ARCS 138 SITEPROP SLICE_X13Y146 NUM_BELS 32 SITEPROP SLICE_X13Y146 NUM_INPUTS 32 SITEPROP SLICE_X13Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y146 NUM_PINS 45 SITEPROP SLICE_X13Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y146 PROHIBIT 0 SITEPROP SLICE_X13Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y146 RPM_X 51 SITEPROP SLICE_X13Y146 RPM_Y 292 SITEPROP SLICE_X13Y146 SITE_PIPS SITEPROP SLICE_X13Y146 SITE_TYPE SLICEL SITEPROP SLICE_X13Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y147 CLASS site SITEPROP SLICE_X13Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y147 IS_BONDED 0 SITEPROP SLICE_X13Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y147 IS_PAD 0 SITEPROP SLICE_X13Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y147 IS_RESERVED 0 SITEPROP SLICE_X13Y147 IS_TEST 0 SITEPROP SLICE_X13Y147 IS_USED 0 SITEPROP SLICE_X13Y147 MANUAL_ROUTING SITEPROP SLICE_X13Y147 NAME SLICE_X13Y147 SITEPROP SLICE_X13Y147 NUM_ARCS 138 SITEPROP SLICE_X13Y147 NUM_BELS 32 SITEPROP SLICE_X13Y147 NUM_INPUTS 32 SITEPROP SLICE_X13Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y147 NUM_PINS 45 SITEPROP SLICE_X13Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y147 PROHIBIT 0 SITEPROP SLICE_X13Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y147 RPM_X 51 SITEPROP SLICE_X13Y147 RPM_Y 294 SITEPROP SLICE_X13Y147 SITE_PIPS SITEPROP SLICE_X13Y147 SITE_TYPE SLICEL SITEPROP SLICE_X13Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y148 CLASS site SITEPROP SLICE_X13Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y148 IS_BONDED 0 SITEPROP SLICE_X13Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y148 IS_PAD 0 SITEPROP SLICE_X13Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y148 IS_RESERVED 0 SITEPROP SLICE_X13Y148 IS_TEST 0 SITEPROP SLICE_X13Y148 IS_USED 0 SITEPROP SLICE_X13Y148 MANUAL_ROUTING SITEPROP SLICE_X13Y148 NAME SLICE_X13Y148 SITEPROP SLICE_X13Y148 NUM_ARCS 138 SITEPROP SLICE_X13Y148 NUM_BELS 32 SITEPROP SLICE_X13Y148 NUM_INPUTS 32 SITEPROP SLICE_X13Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y148 NUM_PINS 45 SITEPROP SLICE_X13Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y148 PROHIBIT 0 SITEPROP SLICE_X13Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y148 RPM_X 51 SITEPROP SLICE_X13Y148 RPM_Y 296 SITEPROP SLICE_X13Y148 SITE_PIPS SITEPROP SLICE_X13Y148 SITE_TYPE SLICEL SITEPROP SLICE_X13Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X13Y149 CLASS site SITEPROP SLICE_X13Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X13Y149 IS_BONDED 0 SITEPROP SLICE_X13Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X13Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y149 IS_PAD 0 SITEPROP SLICE_X13Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X13Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X13Y149 IS_RESERVED 0 SITEPROP SLICE_X13Y149 IS_TEST 0 SITEPROP SLICE_X13Y149 IS_USED 0 SITEPROP SLICE_X13Y149 MANUAL_ROUTING SITEPROP SLICE_X13Y149 NAME SLICE_X13Y149 SITEPROP SLICE_X13Y149 NUM_ARCS 138 SITEPROP SLICE_X13Y149 NUM_BELS 32 SITEPROP SLICE_X13Y149 NUM_INPUTS 32 SITEPROP SLICE_X13Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X13Y149 NUM_PINS 45 SITEPROP SLICE_X13Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X13Y149 PROHIBIT 0 SITEPROP SLICE_X13Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X13Y149 RPM_X 51 SITEPROP SLICE_X13Y149 RPM_Y 298 SITEPROP SLICE_X13Y149 SITE_PIPS SITEPROP SLICE_X13Y149 SITE_TYPE SLICEL SITEPROP SLICE_X14Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y0 CLASS site SITEPROP SLICE_X14Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y0 IS_BONDED 0 SITEPROP SLICE_X14Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y0 IS_PAD 0 SITEPROP SLICE_X14Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y0 IS_RESERVED 0 SITEPROP SLICE_X14Y0 IS_TEST 0 SITEPROP SLICE_X14Y0 IS_USED 0 SITEPROP SLICE_X14Y0 MANUAL_ROUTING SITEPROP SLICE_X14Y0 NAME SLICE_X14Y0 SITEPROP SLICE_X14Y0 NUM_ARCS 153 SITEPROP SLICE_X14Y0 NUM_BELS 32 SITEPROP SLICE_X14Y0 NUM_INPUTS 37 SITEPROP SLICE_X14Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y0 NUM_PINS 50 SITEPROP SLICE_X14Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y0 PROHIBIT 0 SITEPROP SLICE_X14Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y0 RPM_X 53 SITEPROP SLICE_X14Y0 RPM_Y 0 SITEPROP SLICE_X14Y0 SITE_PIPS SITEPROP SLICE_X14Y0 SITE_TYPE SLICEM SITEPROP SLICE_X14Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y1 CLASS site SITEPROP SLICE_X14Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y1 IS_BONDED 0 SITEPROP SLICE_X14Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y1 IS_PAD 0 SITEPROP SLICE_X14Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y1 IS_RESERVED 0 SITEPROP SLICE_X14Y1 IS_TEST 0 SITEPROP SLICE_X14Y1 IS_USED 0 SITEPROP SLICE_X14Y1 MANUAL_ROUTING SITEPROP SLICE_X14Y1 NAME SLICE_X14Y1 SITEPROP SLICE_X14Y1 NUM_ARCS 153 SITEPROP SLICE_X14Y1 NUM_BELS 32 SITEPROP SLICE_X14Y1 NUM_INPUTS 37 SITEPROP SLICE_X14Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y1 NUM_PINS 50 SITEPROP SLICE_X14Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y1 PROHIBIT 0 SITEPROP SLICE_X14Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y1 RPM_X 53 SITEPROP SLICE_X14Y1 RPM_Y 2 SITEPROP SLICE_X14Y1 SITE_PIPS SITEPROP SLICE_X14Y1 SITE_TYPE SLICEM SITEPROP SLICE_X14Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y2 CLASS site SITEPROP SLICE_X14Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y2 IS_BONDED 0 SITEPROP SLICE_X14Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y2 IS_PAD 0 SITEPROP SLICE_X14Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y2 IS_RESERVED 0 SITEPROP SLICE_X14Y2 IS_TEST 0 SITEPROP SLICE_X14Y2 IS_USED 0 SITEPROP SLICE_X14Y2 MANUAL_ROUTING SITEPROP SLICE_X14Y2 NAME SLICE_X14Y2 SITEPROP SLICE_X14Y2 NUM_ARCS 153 SITEPROP SLICE_X14Y2 NUM_BELS 32 SITEPROP SLICE_X14Y2 NUM_INPUTS 37 SITEPROP SLICE_X14Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y2 NUM_PINS 50 SITEPROP SLICE_X14Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y2 PROHIBIT 0 SITEPROP SLICE_X14Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y2 RPM_X 53 SITEPROP SLICE_X14Y2 RPM_Y 4 SITEPROP SLICE_X14Y2 SITE_PIPS SITEPROP SLICE_X14Y2 SITE_TYPE SLICEM SITEPROP SLICE_X14Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y3 CLASS site SITEPROP SLICE_X14Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y3 IS_BONDED 0 SITEPROP SLICE_X14Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y3 IS_PAD 0 SITEPROP SLICE_X14Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y3 IS_RESERVED 0 SITEPROP SLICE_X14Y3 IS_TEST 0 SITEPROP SLICE_X14Y3 IS_USED 0 SITEPROP SLICE_X14Y3 MANUAL_ROUTING SITEPROP SLICE_X14Y3 NAME SLICE_X14Y3 SITEPROP SLICE_X14Y3 NUM_ARCS 153 SITEPROP SLICE_X14Y3 NUM_BELS 32 SITEPROP SLICE_X14Y3 NUM_INPUTS 37 SITEPROP SLICE_X14Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y3 NUM_PINS 50 SITEPROP SLICE_X14Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y3 PROHIBIT 0 SITEPROP SLICE_X14Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y3 RPM_X 53 SITEPROP SLICE_X14Y3 RPM_Y 6 SITEPROP SLICE_X14Y3 SITE_PIPS SITEPROP SLICE_X14Y3 SITE_TYPE SLICEM SITEPROP SLICE_X14Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y4 CLASS site SITEPROP SLICE_X14Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y4 IS_BONDED 0 SITEPROP SLICE_X14Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y4 IS_PAD 0 SITEPROP SLICE_X14Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y4 IS_RESERVED 0 SITEPROP SLICE_X14Y4 IS_TEST 0 SITEPROP SLICE_X14Y4 IS_USED 0 SITEPROP SLICE_X14Y4 MANUAL_ROUTING SITEPROP SLICE_X14Y4 NAME SLICE_X14Y4 SITEPROP SLICE_X14Y4 NUM_ARCS 153 SITEPROP SLICE_X14Y4 NUM_BELS 32 SITEPROP SLICE_X14Y4 NUM_INPUTS 37 SITEPROP SLICE_X14Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y4 NUM_PINS 50 SITEPROP SLICE_X14Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y4 PROHIBIT 0 SITEPROP SLICE_X14Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y4 RPM_X 53 SITEPROP SLICE_X14Y4 RPM_Y 8 SITEPROP SLICE_X14Y4 SITE_PIPS SITEPROP SLICE_X14Y4 SITE_TYPE SLICEM SITEPROP SLICE_X14Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y5 CLASS site SITEPROP SLICE_X14Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y5 IS_BONDED 0 SITEPROP SLICE_X14Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y5 IS_PAD 0 SITEPROP SLICE_X14Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y5 IS_RESERVED 0 SITEPROP SLICE_X14Y5 IS_TEST 0 SITEPROP SLICE_X14Y5 IS_USED 0 SITEPROP SLICE_X14Y5 MANUAL_ROUTING SITEPROP SLICE_X14Y5 NAME SLICE_X14Y5 SITEPROP SLICE_X14Y5 NUM_ARCS 153 SITEPROP SLICE_X14Y5 NUM_BELS 32 SITEPROP SLICE_X14Y5 NUM_INPUTS 37 SITEPROP SLICE_X14Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y5 NUM_PINS 50 SITEPROP SLICE_X14Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y5 PROHIBIT 0 SITEPROP SLICE_X14Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y5 RPM_X 53 SITEPROP SLICE_X14Y5 RPM_Y 10 SITEPROP SLICE_X14Y5 SITE_PIPS SITEPROP SLICE_X14Y5 SITE_TYPE SLICEM SITEPROP SLICE_X14Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y6 CLASS site SITEPROP SLICE_X14Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y6 IS_BONDED 0 SITEPROP SLICE_X14Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y6 IS_PAD 0 SITEPROP SLICE_X14Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y6 IS_RESERVED 0 SITEPROP SLICE_X14Y6 IS_TEST 0 SITEPROP SLICE_X14Y6 IS_USED 0 SITEPROP SLICE_X14Y6 MANUAL_ROUTING SITEPROP SLICE_X14Y6 NAME SLICE_X14Y6 SITEPROP SLICE_X14Y6 NUM_ARCS 153 SITEPROP SLICE_X14Y6 NUM_BELS 32 SITEPROP SLICE_X14Y6 NUM_INPUTS 37 SITEPROP SLICE_X14Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y6 NUM_PINS 50 SITEPROP SLICE_X14Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y6 PROHIBIT 0 SITEPROP SLICE_X14Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y6 RPM_X 53 SITEPROP SLICE_X14Y6 RPM_Y 12 SITEPROP SLICE_X14Y6 SITE_PIPS SITEPROP SLICE_X14Y6 SITE_TYPE SLICEM SITEPROP SLICE_X14Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y7 CLASS site SITEPROP SLICE_X14Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y7 IS_BONDED 0 SITEPROP SLICE_X14Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y7 IS_PAD 0 SITEPROP SLICE_X14Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y7 IS_RESERVED 0 SITEPROP SLICE_X14Y7 IS_TEST 0 SITEPROP SLICE_X14Y7 IS_USED 0 SITEPROP SLICE_X14Y7 MANUAL_ROUTING SITEPROP SLICE_X14Y7 NAME SLICE_X14Y7 SITEPROP SLICE_X14Y7 NUM_ARCS 153 SITEPROP SLICE_X14Y7 NUM_BELS 32 SITEPROP SLICE_X14Y7 NUM_INPUTS 37 SITEPROP SLICE_X14Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y7 NUM_PINS 50 SITEPROP SLICE_X14Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y7 PROHIBIT 0 SITEPROP SLICE_X14Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y7 RPM_X 53 SITEPROP SLICE_X14Y7 RPM_Y 14 SITEPROP SLICE_X14Y7 SITE_PIPS SITEPROP SLICE_X14Y7 SITE_TYPE SLICEM SITEPROP SLICE_X14Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y8 CLASS site SITEPROP SLICE_X14Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y8 IS_BONDED 0 SITEPROP SLICE_X14Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y8 IS_PAD 0 SITEPROP SLICE_X14Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y8 IS_RESERVED 0 SITEPROP SLICE_X14Y8 IS_TEST 0 SITEPROP SLICE_X14Y8 IS_USED 0 SITEPROP SLICE_X14Y8 MANUAL_ROUTING SITEPROP SLICE_X14Y8 NAME SLICE_X14Y8 SITEPROP SLICE_X14Y8 NUM_ARCS 153 SITEPROP SLICE_X14Y8 NUM_BELS 32 SITEPROP SLICE_X14Y8 NUM_INPUTS 37 SITEPROP SLICE_X14Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y8 NUM_PINS 50 SITEPROP SLICE_X14Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y8 PROHIBIT 0 SITEPROP SLICE_X14Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y8 RPM_X 53 SITEPROP SLICE_X14Y8 RPM_Y 16 SITEPROP SLICE_X14Y8 SITE_PIPS SITEPROP SLICE_X14Y8 SITE_TYPE SLICEM SITEPROP SLICE_X14Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y9 CLASS site SITEPROP SLICE_X14Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y9 IS_BONDED 0 SITEPROP SLICE_X14Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y9 IS_PAD 0 SITEPROP SLICE_X14Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y9 IS_RESERVED 0 SITEPROP SLICE_X14Y9 IS_TEST 0 SITEPROP SLICE_X14Y9 IS_USED 0 SITEPROP SLICE_X14Y9 MANUAL_ROUTING SITEPROP SLICE_X14Y9 NAME SLICE_X14Y9 SITEPROP SLICE_X14Y9 NUM_ARCS 153 SITEPROP SLICE_X14Y9 NUM_BELS 32 SITEPROP SLICE_X14Y9 NUM_INPUTS 37 SITEPROP SLICE_X14Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y9 NUM_PINS 50 SITEPROP SLICE_X14Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y9 PROHIBIT 0 SITEPROP SLICE_X14Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y9 RPM_X 53 SITEPROP SLICE_X14Y9 RPM_Y 18 SITEPROP SLICE_X14Y9 SITE_PIPS SITEPROP SLICE_X14Y9 SITE_TYPE SLICEM SITEPROP SLICE_X14Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y10 CLASS site SITEPROP SLICE_X14Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y10 IS_BONDED 0 SITEPROP SLICE_X14Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y10 IS_PAD 0 SITEPROP SLICE_X14Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y10 IS_RESERVED 0 SITEPROP SLICE_X14Y10 IS_TEST 0 SITEPROP SLICE_X14Y10 IS_USED 0 SITEPROP SLICE_X14Y10 MANUAL_ROUTING SITEPROP SLICE_X14Y10 NAME SLICE_X14Y10 SITEPROP SLICE_X14Y10 NUM_ARCS 153 SITEPROP SLICE_X14Y10 NUM_BELS 32 SITEPROP SLICE_X14Y10 NUM_INPUTS 37 SITEPROP SLICE_X14Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y10 NUM_PINS 50 SITEPROP SLICE_X14Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y10 PROHIBIT 0 SITEPROP SLICE_X14Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y10 RPM_X 53 SITEPROP SLICE_X14Y10 RPM_Y 20 SITEPROP SLICE_X14Y10 SITE_PIPS SITEPROP SLICE_X14Y10 SITE_TYPE SLICEM SITEPROP SLICE_X14Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y11 CLASS site SITEPROP SLICE_X14Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y11 IS_BONDED 0 SITEPROP SLICE_X14Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y11 IS_PAD 0 SITEPROP SLICE_X14Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y11 IS_RESERVED 0 SITEPROP SLICE_X14Y11 IS_TEST 0 SITEPROP SLICE_X14Y11 IS_USED 0 SITEPROP SLICE_X14Y11 MANUAL_ROUTING SITEPROP SLICE_X14Y11 NAME SLICE_X14Y11 SITEPROP SLICE_X14Y11 NUM_ARCS 153 SITEPROP SLICE_X14Y11 NUM_BELS 32 SITEPROP SLICE_X14Y11 NUM_INPUTS 37 SITEPROP SLICE_X14Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y11 NUM_PINS 50 SITEPROP SLICE_X14Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y11 PROHIBIT 0 SITEPROP SLICE_X14Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y11 RPM_X 53 SITEPROP SLICE_X14Y11 RPM_Y 22 SITEPROP SLICE_X14Y11 SITE_PIPS SITEPROP SLICE_X14Y11 SITE_TYPE SLICEM SITEPROP SLICE_X14Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y12 CLASS site SITEPROP SLICE_X14Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y12 IS_BONDED 0 SITEPROP SLICE_X14Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y12 IS_PAD 0 SITEPROP SLICE_X14Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y12 IS_RESERVED 0 SITEPROP SLICE_X14Y12 IS_TEST 0 SITEPROP SLICE_X14Y12 IS_USED 0 SITEPROP SLICE_X14Y12 MANUAL_ROUTING SITEPROP SLICE_X14Y12 NAME SLICE_X14Y12 SITEPROP SLICE_X14Y12 NUM_ARCS 153 SITEPROP SLICE_X14Y12 NUM_BELS 32 SITEPROP SLICE_X14Y12 NUM_INPUTS 37 SITEPROP SLICE_X14Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y12 NUM_PINS 50 SITEPROP SLICE_X14Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y12 PROHIBIT 0 SITEPROP SLICE_X14Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y12 RPM_X 53 SITEPROP SLICE_X14Y12 RPM_Y 24 SITEPROP SLICE_X14Y12 SITE_PIPS SITEPROP SLICE_X14Y12 SITE_TYPE SLICEM SITEPROP SLICE_X14Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y13 CLASS site SITEPROP SLICE_X14Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y13 IS_BONDED 0 SITEPROP SLICE_X14Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y13 IS_PAD 0 SITEPROP SLICE_X14Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y13 IS_RESERVED 0 SITEPROP SLICE_X14Y13 IS_TEST 0 SITEPROP SLICE_X14Y13 IS_USED 0 SITEPROP SLICE_X14Y13 MANUAL_ROUTING SITEPROP SLICE_X14Y13 NAME SLICE_X14Y13 SITEPROP SLICE_X14Y13 NUM_ARCS 153 SITEPROP SLICE_X14Y13 NUM_BELS 32 SITEPROP SLICE_X14Y13 NUM_INPUTS 37 SITEPROP SLICE_X14Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y13 NUM_PINS 50 SITEPROP SLICE_X14Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y13 PROHIBIT 0 SITEPROP SLICE_X14Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y13 RPM_X 53 SITEPROP SLICE_X14Y13 RPM_Y 26 SITEPROP SLICE_X14Y13 SITE_PIPS SITEPROP SLICE_X14Y13 SITE_TYPE SLICEM SITEPROP SLICE_X14Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y14 CLASS site SITEPROP SLICE_X14Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y14 IS_BONDED 0 SITEPROP SLICE_X14Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y14 IS_PAD 0 SITEPROP SLICE_X14Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y14 IS_RESERVED 0 SITEPROP SLICE_X14Y14 IS_TEST 0 SITEPROP SLICE_X14Y14 IS_USED 0 SITEPROP SLICE_X14Y14 MANUAL_ROUTING SITEPROP SLICE_X14Y14 NAME SLICE_X14Y14 SITEPROP SLICE_X14Y14 NUM_ARCS 153 SITEPROP SLICE_X14Y14 NUM_BELS 32 SITEPROP SLICE_X14Y14 NUM_INPUTS 37 SITEPROP SLICE_X14Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y14 NUM_PINS 50 SITEPROP SLICE_X14Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y14 PROHIBIT 0 SITEPROP SLICE_X14Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y14 RPM_X 53 SITEPROP SLICE_X14Y14 RPM_Y 28 SITEPROP SLICE_X14Y14 SITE_PIPS SITEPROP SLICE_X14Y14 SITE_TYPE SLICEM SITEPROP SLICE_X14Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y15 CLASS site SITEPROP SLICE_X14Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y15 IS_BONDED 0 SITEPROP SLICE_X14Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y15 IS_PAD 0 SITEPROP SLICE_X14Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y15 IS_RESERVED 0 SITEPROP SLICE_X14Y15 IS_TEST 0 SITEPROP SLICE_X14Y15 IS_USED 0 SITEPROP SLICE_X14Y15 MANUAL_ROUTING SITEPROP SLICE_X14Y15 NAME SLICE_X14Y15 SITEPROP SLICE_X14Y15 NUM_ARCS 153 SITEPROP SLICE_X14Y15 NUM_BELS 32 SITEPROP SLICE_X14Y15 NUM_INPUTS 37 SITEPROP SLICE_X14Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y15 NUM_PINS 50 SITEPROP SLICE_X14Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y15 PROHIBIT 0 SITEPROP SLICE_X14Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y15 RPM_X 53 SITEPROP SLICE_X14Y15 RPM_Y 30 SITEPROP SLICE_X14Y15 SITE_PIPS SITEPROP SLICE_X14Y15 SITE_TYPE SLICEM SITEPROP SLICE_X14Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y16 CLASS site SITEPROP SLICE_X14Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y16 IS_BONDED 0 SITEPROP SLICE_X14Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y16 IS_PAD 0 SITEPROP SLICE_X14Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y16 IS_RESERVED 0 SITEPROP SLICE_X14Y16 IS_TEST 0 SITEPROP SLICE_X14Y16 IS_USED 0 SITEPROP SLICE_X14Y16 MANUAL_ROUTING SITEPROP SLICE_X14Y16 NAME SLICE_X14Y16 SITEPROP SLICE_X14Y16 NUM_ARCS 153 SITEPROP SLICE_X14Y16 NUM_BELS 32 SITEPROP SLICE_X14Y16 NUM_INPUTS 37 SITEPROP SLICE_X14Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y16 NUM_PINS 50 SITEPROP SLICE_X14Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y16 PROHIBIT 0 SITEPROP SLICE_X14Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y16 RPM_X 53 SITEPROP SLICE_X14Y16 RPM_Y 32 SITEPROP SLICE_X14Y16 SITE_PIPS SITEPROP SLICE_X14Y16 SITE_TYPE SLICEM SITEPROP SLICE_X14Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y17 CLASS site SITEPROP SLICE_X14Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y17 IS_BONDED 0 SITEPROP SLICE_X14Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y17 IS_PAD 0 SITEPROP SLICE_X14Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y17 IS_RESERVED 0 SITEPROP SLICE_X14Y17 IS_TEST 0 SITEPROP SLICE_X14Y17 IS_USED 0 SITEPROP SLICE_X14Y17 MANUAL_ROUTING SITEPROP SLICE_X14Y17 NAME SLICE_X14Y17 SITEPROP SLICE_X14Y17 NUM_ARCS 153 SITEPROP SLICE_X14Y17 NUM_BELS 32 SITEPROP SLICE_X14Y17 NUM_INPUTS 37 SITEPROP SLICE_X14Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y17 NUM_PINS 50 SITEPROP SLICE_X14Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y17 PROHIBIT 0 SITEPROP SLICE_X14Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y17 RPM_X 53 SITEPROP SLICE_X14Y17 RPM_Y 34 SITEPROP SLICE_X14Y17 SITE_PIPS SITEPROP SLICE_X14Y17 SITE_TYPE SLICEM SITEPROP SLICE_X14Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y18 CLASS site SITEPROP SLICE_X14Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y18 IS_BONDED 0 SITEPROP SLICE_X14Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y18 IS_PAD 0 SITEPROP SLICE_X14Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y18 IS_RESERVED 0 SITEPROP SLICE_X14Y18 IS_TEST 0 SITEPROP SLICE_X14Y18 IS_USED 0 SITEPROP SLICE_X14Y18 MANUAL_ROUTING SITEPROP SLICE_X14Y18 NAME SLICE_X14Y18 SITEPROP SLICE_X14Y18 NUM_ARCS 153 SITEPROP SLICE_X14Y18 NUM_BELS 32 SITEPROP SLICE_X14Y18 NUM_INPUTS 37 SITEPROP SLICE_X14Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y18 NUM_PINS 50 SITEPROP SLICE_X14Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y18 PROHIBIT 0 SITEPROP SLICE_X14Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y18 RPM_X 53 SITEPROP SLICE_X14Y18 RPM_Y 36 SITEPROP SLICE_X14Y18 SITE_PIPS SITEPROP SLICE_X14Y18 SITE_TYPE SLICEM SITEPROP SLICE_X14Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y19 CLASS site SITEPROP SLICE_X14Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y19 IS_BONDED 0 SITEPROP SLICE_X14Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y19 IS_PAD 0 SITEPROP SLICE_X14Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y19 IS_RESERVED 0 SITEPROP SLICE_X14Y19 IS_TEST 0 SITEPROP SLICE_X14Y19 IS_USED 0 SITEPROP SLICE_X14Y19 MANUAL_ROUTING SITEPROP SLICE_X14Y19 NAME SLICE_X14Y19 SITEPROP SLICE_X14Y19 NUM_ARCS 153 SITEPROP SLICE_X14Y19 NUM_BELS 32 SITEPROP SLICE_X14Y19 NUM_INPUTS 37 SITEPROP SLICE_X14Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y19 NUM_PINS 50 SITEPROP SLICE_X14Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y19 PROHIBIT 0 SITEPROP SLICE_X14Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y19 RPM_X 53 SITEPROP SLICE_X14Y19 RPM_Y 38 SITEPROP SLICE_X14Y19 SITE_PIPS SITEPROP SLICE_X14Y19 SITE_TYPE SLICEM SITEPROP SLICE_X14Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y20 CLASS site SITEPROP SLICE_X14Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y20 IS_BONDED 0 SITEPROP SLICE_X14Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y20 IS_PAD 0 SITEPROP SLICE_X14Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y20 IS_RESERVED 0 SITEPROP SLICE_X14Y20 IS_TEST 0 SITEPROP SLICE_X14Y20 IS_USED 0 SITEPROP SLICE_X14Y20 MANUAL_ROUTING SITEPROP SLICE_X14Y20 NAME SLICE_X14Y20 SITEPROP SLICE_X14Y20 NUM_ARCS 153 SITEPROP SLICE_X14Y20 NUM_BELS 32 SITEPROP SLICE_X14Y20 NUM_INPUTS 37 SITEPROP SLICE_X14Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y20 NUM_PINS 50 SITEPROP SLICE_X14Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y20 PROHIBIT 0 SITEPROP SLICE_X14Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y20 RPM_X 53 SITEPROP SLICE_X14Y20 RPM_Y 40 SITEPROP SLICE_X14Y20 SITE_PIPS SITEPROP SLICE_X14Y20 SITE_TYPE SLICEM SITEPROP SLICE_X14Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y21 CLASS site SITEPROP SLICE_X14Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y21 IS_BONDED 0 SITEPROP SLICE_X14Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y21 IS_PAD 0 SITEPROP SLICE_X14Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y21 IS_RESERVED 0 SITEPROP SLICE_X14Y21 IS_TEST 0 SITEPROP SLICE_X14Y21 IS_USED 0 SITEPROP SLICE_X14Y21 MANUAL_ROUTING SITEPROP SLICE_X14Y21 NAME SLICE_X14Y21 SITEPROP SLICE_X14Y21 NUM_ARCS 153 SITEPROP SLICE_X14Y21 NUM_BELS 32 SITEPROP SLICE_X14Y21 NUM_INPUTS 37 SITEPROP SLICE_X14Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y21 NUM_PINS 50 SITEPROP SLICE_X14Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y21 PROHIBIT 0 SITEPROP SLICE_X14Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y21 RPM_X 53 SITEPROP SLICE_X14Y21 RPM_Y 42 SITEPROP SLICE_X14Y21 SITE_PIPS SITEPROP SLICE_X14Y21 SITE_TYPE SLICEM SITEPROP SLICE_X14Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y22 CLASS site SITEPROP SLICE_X14Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y22 IS_BONDED 0 SITEPROP SLICE_X14Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y22 IS_PAD 0 SITEPROP SLICE_X14Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y22 IS_RESERVED 0 SITEPROP SLICE_X14Y22 IS_TEST 0 SITEPROP SLICE_X14Y22 IS_USED 0 SITEPROP SLICE_X14Y22 MANUAL_ROUTING SITEPROP SLICE_X14Y22 NAME SLICE_X14Y22 SITEPROP SLICE_X14Y22 NUM_ARCS 153 SITEPROP SLICE_X14Y22 NUM_BELS 32 SITEPROP SLICE_X14Y22 NUM_INPUTS 37 SITEPROP SLICE_X14Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y22 NUM_PINS 50 SITEPROP SLICE_X14Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y22 PROHIBIT 0 SITEPROP SLICE_X14Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y22 RPM_X 53 SITEPROP SLICE_X14Y22 RPM_Y 44 SITEPROP SLICE_X14Y22 SITE_PIPS SITEPROP SLICE_X14Y22 SITE_TYPE SLICEM SITEPROP SLICE_X14Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y23 CLASS site SITEPROP SLICE_X14Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y23 IS_BONDED 0 SITEPROP SLICE_X14Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y23 IS_PAD 0 SITEPROP SLICE_X14Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y23 IS_RESERVED 0 SITEPROP SLICE_X14Y23 IS_TEST 0 SITEPROP SLICE_X14Y23 IS_USED 0 SITEPROP SLICE_X14Y23 MANUAL_ROUTING SITEPROP SLICE_X14Y23 NAME SLICE_X14Y23 SITEPROP SLICE_X14Y23 NUM_ARCS 153 SITEPROP SLICE_X14Y23 NUM_BELS 32 SITEPROP SLICE_X14Y23 NUM_INPUTS 37 SITEPROP SLICE_X14Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y23 NUM_PINS 50 SITEPROP SLICE_X14Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y23 PROHIBIT 0 SITEPROP SLICE_X14Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y23 RPM_X 53 SITEPROP SLICE_X14Y23 RPM_Y 46 SITEPROP SLICE_X14Y23 SITE_PIPS SITEPROP SLICE_X14Y23 SITE_TYPE SLICEM SITEPROP SLICE_X14Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y24 CLASS site SITEPROP SLICE_X14Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y24 IS_BONDED 0 SITEPROP SLICE_X14Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y24 IS_PAD 0 SITEPROP SLICE_X14Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y24 IS_RESERVED 0 SITEPROP SLICE_X14Y24 IS_TEST 0 SITEPROP SLICE_X14Y24 IS_USED 0 SITEPROP SLICE_X14Y24 MANUAL_ROUTING SITEPROP SLICE_X14Y24 NAME SLICE_X14Y24 SITEPROP SLICE_X14Y24 NUM_ARCS 153 SITEPROP SLICE_X14Y24 NUM_BELS 32 SITEPROP SLICE_X14Y24 NUM_INPUTS 37 SITEPROP SLICE_X14Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y24 NUM_PINS 50 SITEPROP SLICE_X14Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y24 PROHIBIT 0 SITEPROP SLICE_X14Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y24 RPM_X 53 SITEPROP SLICE_X14Y24 RPM_Y 48 SITEPROP SLICE_X14Y24 SITE_PIPS SITEPROP SLICE_X14Y24 SITE_TYPE SLICEM SITEPROP SLICE_X14Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y25 CLASS site SITEPROP SLICE_X14Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y25 IS_BONDED 0 SITEPROP SLICE_X14Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y25 IS_PAD 0 SITEPROP SLICE_X14Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y25 IS_RESERVED 0 SITEPROP SLICE_X14Y25 IS_TEST 0 SITEPROP SLICE_X14Y25 IS_USED 0 SITEPROP SLICE_X14Y25 MANUAL_ROUTING SITEPROP SLICE_X14Y25 NAME SLICE_X14Y25 SITEPROP SLICE_X14Y25 NUM_ARCS 153 SITEPROP SLICE_X14Y25 NUM_BELS 32 SITEPROP SLICE_X14Y25 NUM_INPUTS 37 SITEPROP SLICE_X14Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y25 NUM_PINS 50 SITEPROP SLICE_X14Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y25 PROHIBIT 0 SITEPROP SLICE_X14Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y25 RPM_X 53 SITEPROP SLICE_X14Y25 RPM_Y 50 SITEPROP SLICE_X14Y25 SITE_PIPS SITEPROP SLICE_X14Y25 SITE_TYPE SLICEM SITEPROP SLICE_X14Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y26 CLASS site SITEPROP SLICE_X14Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y26 IS_BONDED 0 SITEPROP SLICE_X14Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y26 IS_PAD 0 SITEPROP SLICE_X14Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y26 IS_RESERVED 0 SITEPROP SLICE_X14Y26 IS_TEST 0 SITEPROP SLICE_X14Y26 IS_USED 0 SITEPROP SLICE_X14Y26 MANUAL_ROUTING SITEPROP SLICE_X14Y26 NAME SLICE_X14Y26 SITEPROP SLICE_X14Y26 NUM_ARCS 153 SITEPROP SLICE_X14Y26 NUM_BELS 32 SITEPROP SLICE_X14Y26 NUM_INPUTS 37 SITEPROP SLICE_X14Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y26 NUM_PINS 50 SITEPROP SLICE_X14Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y26 PROHIBIT 0 SITEPROP SLICE_X14Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y26 RPM_X 53 SITEPROP SLICE_X14Y26 RPM_Y 52 SITEPROP SLICE_X14Y26 SITE_PIPS SITEPROP SLICE_X14Y26 SITE_TYPE SLICEM SITEPROP SLICE_X14Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y27 CLASS site SITEPROP SLICE_X14Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y27 IS_BONDED 0 SITEPROP SLICE_X14Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y27 IS_PAD 0 SITEPROP SLICE_X14Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y27 IS_RESERVED 0 SITEPROP SLICE_X14Y27 IS_TEST 0 SITEPROP SLICE_X14Y27 IS_USED 0 SITEPROP SLICE_X14Y27 MANUAL_ROUTING SITEPROP SLICE_X14Y27 NAME SLICE_X14Y27 SITEPROP SLICE_X14Y27 NUM_ARCS 153 SITEPROP SLICE_X14Y27 NUM_BELS 32 SITEPROP SLICE_X14Y27 NUM_INPUTS 37 SITEPROP SLICE_X14Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y27 NUM_PINS 50 SITEPROP SLICE_X14Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y27 PROHIBIT 0 SITEPROP SLICE_X14Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y27 RPM_X 53 SITEPROP SLICE_X14Y27 RPM_Y 54 SITEPROP SLICE_X14Y27 SITE_PIPS SITEPROP SLICE_X14Y27 SITE_TYPE SLICEM SITEPROP SLICE_X14Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y28 CLASS site SITEPROP SLICE_X14Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y28 IS_BONDED 0 SITEPROP SLICE_X14Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y28 IS_PAD 0 SITEPROP SLICE_X14Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y28 IS_RESERVED 0 SITEPROP SLICE_X14Y28 IS_TEST 0 SITEPROP SLICE_X14Y28 IS_USED 0 SITEPROP SLICE_X14Y28 MANUAL_ROUTING SITEPROP SLICE_X14Y28 NAME SLICE_X14Y28 SITEPROP SLICE_X14Y28 NUM_ARCS 153 SITEPROP SLICE_X14Y28 NUM_BELS 32 SITEPROP SLICE_X14Y28 NUM_INPUTS 37 SITEPROP SLICE_X14Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y28 NUM_PINS 50 SITEPROP SLICE_X14Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y28 PROHIBIT 0 SITEPROP SLICE_X14Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y28 RPM_X 53 SITEPROP SLICE_X14Y28 RPM_Y 56 SITEPROP SLICE_X14Y28 SITE_PIPS SITEPROP SLICE_X14Y28 SITE_TYPE SLICEM SITEPROP SLICE_X14Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y29 CLASS site SITEPROP SLICE_X14Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y29 IS_BONDED 0 SITEPROP SLICE_X14Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y29 IS_PAD 0 SITEPROP SLICE_X14Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y29 IS_RESERVED 0 SITEPROP SLICE_X14Y29 IS_TEST 0 SITEPROP SLICE_X14Y29 IS_USED 0 SITEPROP SLICE_X14Y29 MANUAL_ROUTING SITEPROP SLICE_X14Y29 NAME SLICE_X14Y29 SITEPROP SLICE_X14Y29 NUM_ARCS 153 SITEPROP SLICE_X14Y29 NUM_BELS 32 SITEPROP SLICE_X14Y29 NUM_INPUTS 37 SITEPROP SLICE_X14Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y29 NUM_PINS 50 SITEPROP SLICE_X14Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y29 PROHIBIT 0 SITEPROP SLICE_X14Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y29 RPM_X 53 SITEPROP SLICE_X14Y29 RPM_Y 58 SITEPROP SLICE_X14Y29 SITE_PIPS SITEPROP SLICE_X14Y29 SITE_TYPE SLICEM SITEPROP SLICE_X14Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y30 CLASS site SITEPROP SLICE_X14Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y30 IS_BONDED 0 SITEPROP SLICE_X14Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y30 IS_PAD 0 SITEPROP SLICE_X14Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y30 IS_RESERVED 0 SITEPROP SLICE_X14Y30 IS_TEST 0 SITEPROP SLICE_X14Y30 IS_USED 0 SITEPROP SLICE_X14Y30 MANUAL_ROUTING SITEPROP SLICE_X14Y30 NAME SLICE_X14Y30 SITEPROP SLICE_X14Y30 NUM_ARCS 153 SITEPROP SLICE_X14Y30 NUM_BELS 32 SITEPROP SLICE_X14Y30 NUM_INPUTS 37 SITEPROP SLICE_X14Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y30 NUM_PINS 50 SITEPROP SLICE_X14Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y30 PROHIBIT 0 SITEPROP SLICE_X14Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y30 RPM_X 53 SITEPROP SLICE_X14Y30 RPM_Y 60 SITEPROP SLICE_X14Y30 SITE_PIPS SITEPROP SLICE_X14Y30 SITE_TYPE SLICEM SITEPROP SLICE_X14Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y31 CLASS site SITEPROP SLICE_X14Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y31 IS_BONDED 0 SITEPROP SLICE_X14Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y31 IS_PAD 0 SITEPROP SLICE_X14Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y31 IS_RESERVED 0 SITEPROP SLICE_X14Y31 IS_TEST 0 SITEPROP SLICE_X14Y31 IS_USED 0 SITEPROP SLICE_X14Y31 MANUAL_ROUTING SITEPROP SLICE_X14Y31 NAME SLICE_X14Y31 SITEPROP SLICE_X14Y31 NUM_ARCS 153 SITEPROP SLICE_X14Y31 NUM_BELS 32 SITEPROP SLICE_X14Y31 NUM_INPUTS 37 SITEPROP SLICE_X14Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y31 NUM_PINS 50 SITEPROP SLICE_X14Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y31 PROHIBIT 0 SITEPROP SLICE_X14Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y31 RPM_X 53 SITEPROP SLICE_X14Y31 RPM_Y 62 SITEPROP SLICE_X14Y31 SITE_PIPS SITEPROP SLICE_X14Y31 SITE_TYPE SLICEM SITEPROP SLICE_X14Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y32 CLASS site SITEPROP SLICE_X14Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y32 IS_BONDED 0 SITEPROP SLICE_X14Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y32 IS_PAD 0 SITEPROP SLICE_X14Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y32 IS_RESERVED 0 SITEPROP SLICE_X14Y32 IS_TEST 0 SITEPROP SLICE_X14Y32 IS_USED 0 SITEPROP SLICE_X14Y32 MANUAL_ROUTING SITEPROP SLICE_X14Y32 NAME SLICE_X14Y32 SITEPROP SLICE_X14Y32 NUM_ARCS 153 SITEPROP SLICE_X14Y32 NUM_BELS 32 SITEPROP SLICE_X14Y32 NUM_INPUTS 37 SITEPROP SLICE_X14Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y32 NUM_PINS 50 SITEPROP SLICE_X14Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y32 PROHIBIT 0 SITEPROP SLICE_X14Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y32 RPM_X 53 SITEPROP SLICE_X14Y32 RPM_Y 64 SITEPROP SLICE_X14Y32 SITE_PIPS SITEPROP SLICE_X14Y32 SITE_TYPE SLICEM SITEPROP SLICE_X14Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y33 CLASS site SITEPROP SLICE_X14Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y33 IS_BONDED 0 SITEPROP SLICE_X14Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y33 IS_PAD 0 SITEPROP SLICE_X14Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y33 IS_RESERVED 0 SITEPROP SLICE_X14Y33 IS_TEST 0 SITEPROP SLICE_X14Y33 IS_USED 0 SITEPROP SLICE_X14Y33 MANUAL_ROUTING SITEPROP SLICE_X14Y33 NAME SLICE_X14Y33 SITEPROP SLICE_X14Y33 NUM_ARCS 153 SITEPROP SLICE_X14Y33 NUM_BELS 32 SITEPROP SLICE_X14Y33 NUM_INPUTS 37 SITEPROP SLICE_X14Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y33 NUM_PINS 50 SITEPROP SLICE_X14Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y33 PROHIBIT 0 SITEPROP SLICE_X14Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y33 RPM_X 53 SITEPROP SLICE_X14Y33 RPM_Y 66 SITEPROP SLICE_X14Y33 SITE_PIPS SITEPROP SLICE_X14Y33 SITE_TYPE SLICEM SITEPROP SLICE_X14Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y34 CLASS site SITEPROP SLICE_X14Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y34 IS_BONDED 0 SITEPROP SLICE_X14Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y34 IS_PAD 0 SITEPROP SLICE_X14Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y34 IS_RESERVED 0 SITEPROP SLICE_X14Y34 IS_TEST 0 SITEPROP SLICE_X14Y34 IS_USED 0 SITEPROP SLICE_X14Y34 MANUAL_ROUTING SITEPROP SLICE_X14Y34 NAME SLICE_X14Y34 SITEPROP SLICE_X14Y34 NUM_ARCS 153 SITEPROP SLICE_X14Y34 NUM_BELS 32 SITEPROP SLICE_X14Y34 NUM_INPUTS 37 SITEPROP SLICE_X14Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y34 NUM_PINS 50 SITEPROP SLICE_X14Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y34 PROHIBIT 0 SITEPROP SLICE_X14Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y34 RPM_X 53 SITEPROP SLICE_X14Y34 RPM_Y 68 SITEPROP SLICE_X14Y34 SITE_PIPS SITEPROP SLICE_X14Y34 SITE_TYPE SLICEM SITEPROP SLICE_X14Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y35 CLASS site SITEPROP SLICE_X14Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y35 IS_BONDED 0 SITEPROP SLICE_X14Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y35 IS_PAD 0 SITEPROP SLICE_X14Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y35 IS_RESERVED 0 SITEPROP SLICE_X14Y35 IS_TEST 0 SITEPROP SLICE_X14Y35 IS_USED 0 SITEPROP SLICE_X14Y35 MANUAL_ROUTING SITEPROP SLICE_X14Y35 NAME SLICE_X14Y35 SITEPROP SLICE_X14Y35 NUM_ARCS 153 SITEPROP SLICE_X14Y35 NUM_BELS 32 SITEPROP SLICE_X14Y35 NUM_INPUTS 37 SITEPROP SLICE_X14Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y35 NUM_PINS 50 SITEPROP SLICE_X14Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y35 PROHIBIT 0 SITEPROP SLICE_X14Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y35 RPM_X 53 SITEPROP SLICE_X14Y35 RPM_Y 70 SITEPROP SLICE_X14Y35 SITE_PIPS SITEPROP SLICE_X14Y35 SITE_TYPE SLICEM SITEPROP SLICE_X14Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y36 CLASS site SITEPROP SLICE_X14Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y36 IS_BONDED 0 SITEPROP SLICE_X14Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y36 IS_PAD 0 SITEPROP SLICE_X14Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y36 IS_RESERVED 0 SITEPROP SLICE_X14Y36 IS_TEST 0 SITEPROP SLICE_X14Y36 IS_USED 0 SITEPROP SLICE_X14Y36 MANUAL_ROUTING SITEPROP SLICE_X14Y36 NAME SLICE_X14Y36 SITEPROP SLICE_X14Y36 NUM_ARCS 153 SITEPROP SLICE_X14Y36 NUM_BELS 32 SITEPROP SLICE_X14Y36 NUM_INPUTS 37 SITEPROP SLICE_X14Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y36 NUM_PINS 50 SITEPROP SLICE_X14Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y36 PROHIBIT 0 SITEPROP SLICE_X14Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y36 RPM_X 53 SITEPROP SLICE_X14Y36 RPM_Y 72 SITEPROP SLICE_X14Y36 SITE_PIPS SITEPROP SLICE_X14Y36 SITE_TYPE SLICEM SITEPROP SLICE_X14Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y37 CLASS site SITEPROP SLICE_X14Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y37 IS_BONDED 0 SITEPROP SLICE_X14Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y37 IS_PAD 0 SITEPROP SLICE_X14Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y37 IS_RESERVED 0 SITEPROP SLICE_X14Y37 IS_TEST 0 SITEPROP SLICE_X14Y37 IS_USED 0 SITEPROP SLICE_X14Y37 MANUAL_ROUTING SITEPROP SLICE_X14Y37 NAME SLICE_X14Y37 SITEPROP SLICE_X14Y37 NUM_ARCS 153 SITEPROP SLICE_X14Y37 NUM_BELS 32 SITEPROP SLICE_X14Y37 NUM_INPUTS 37 SITEPROP SLICE_X14Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y37 NUM_PINS 50 SITEPROP SLICE_X14Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y37 PROHIBIT 0 SITEPROP SLICE_X14Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y37 RPM_X 53 SITEPROP SLICE_X14Y37 RPM_Y 74 SITEPROP SLICE_X14Y37 SITE_PIPS SITEPROP SLICE_X14Y37 SITE_TYPE SLICEM SITEPROP SLICE_X14Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y38 CLASS site SITEPROP SLICE_X14Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y38 IS_BONDED 0 SITEPROP SLICE_X14Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y38 IS_PAD 0 SITEPROP SLICE_X14Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y38 IS_RESERVED 0 SITEPROP SLICE_X14Y38 IS_TEST 0 SITEPROP SLICE_X14Y38 IS_USED 0 SITEPROP SLICE_X14Y38 MANUAL_ROUTING SITEPROP SLICE_X14Y38 NAME SLICE_X14Y38 SITEPROP SLICE_X14Y38 NUM_ARCS 153 SITEPROP SLICE_X14Y38 NUM_BELS 32 SITEPROP SLICE_X14Y38 NUM_INPUTS 37 SITEPROP SLICE_X14Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y38 NUM_PINS 50 SITEPROP SLICE_X14Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y38 PROHIBIT 0 SITEPROP SLICE_X14Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y38 RPM_X 53 SITEPROP SLICE_X14Y38 RPM_Y 76 SITEPROP SLICE_X14Y38 SITE_PIPS SITEPROP SLICE_X14Y38 SITE_TYPE SLICEM SITEPROP SLICE_X14Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y39 CLASS site SITEPROP SLICE_X14Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y39 IS_BONDED 0 SITEPROP SLICE_X14Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y39 IS_PAD 0 SITEPROP SLICE_X14Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y39 IS_RESERVED 0 SITEPROP SLICE_X14Y39 IS_TEST 0 SITEPROP SLICE_X14Y39 IS_USED 0 SITEPROP SLICE_X14Y39 MANUAL_ROUTING SITEPROP SLICE_X14Y39 NAME SLICE_X14Y39 SITEPROP SLICE_X14Y39 NUM_ARCS 153 SITEPROP SLICE_X14Y39 NUM_BELS 32 SITEPROP SLICE_X14Y39 NUM_INPUTS 37 SITEPROP SLICE_X14Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y39 NUM_PINS 50 SITEPROP SLICE_X14Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y39 PROHIBIT 0 SITEPROP SLICE_X14Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y39 RPM_X 53 SITEPROP SLICE_X14Y39 RPM_Y 78 SITEPROP SLICE_X14Y39 SITE_PIPS SITEPROP SLICE_X14Y39 SITE_TYPE SLICEM SITEPROP SLICE_X14Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y40 CLASS site SITEPROP SLICE_X14Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y40 IS_BONDED 0 SITEPROP SLICE_X14Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y40 IS_PAD 0 SITEPROP SLICE_X14Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y40 IS_RESERVED 0 SITEPROP SLICE_X14Y40 IS_TEST 0 SITEPROP SLICE_X14Y40 IS_USED 0 SITEPROP SLICE_X14Y40 MANUAL_ROUTING SITEPROP SLICE_X14Y40 NAME SLICE_X14Y40 SITEPROP SLICE_X14Y40 NUM_ARCS 153 SITEPROP SLICE_X14Y40 NUM_BELS 32 SITEPROP SLICE_X14Y40 NUM_INPUTS 37 SITEPROP SLICE_X14Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y40 NUM_PINS 50 SITEPROP SLICE_X14Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y40 PROHIBIT 0 SITEPROP SLICE_X14Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y40 RPM_X 53 SITEPROP SLICE_X14Y40 RPM_Y 80 SITEPROP SLICE_X14Y40 SITE_PIPS SITEPROP SLICE_X14Y40 SITE_TYPE SLICEM SITEPROP SLICE_X14Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y41 CLASS site SITEPROP SLICE_X14Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y41 IS_BONDED 0 SITEPROP SLICE_X14Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y41 IS_PAD 0 SITEPROP SLICE_X14Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y41 IS_RESERVED 0 SITEPROP SLICE_X14Y41 IS_TEST 0 SITEPROP SLICE_X14Y41 IS_USED 0 SITEPROP SLICE_X14Y41 MANUAL_ROUTING SITEPROP SLICE_X14Y41 NAME SLICE_X14Y41 SITEPROP SLICE_X14Y41 NUM_ARCS 153 SITEPROP SLICE_X14Y41 NUM_BELS 32 SITEPROP SLICE_X14Y41 NUM_INPUTS 37 SITEPROP SLICE_X14Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y41 NUM_PINS 50 SITEPROP SLICE_X14Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y41 PROHIBIT 0 SITEPROP SLICE_X14Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y41 RPM_X 53 SITEPROP SLICE_X14Y41 RPM_Y 82 SITEPROP SLICE_X14Y41 SITE_PIPS SITEPROP SLICE_X14Y41 SITE_TYPE SLICEM SITEPROP SLICE_X14Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y42 CLASS site SITEPROP SLICE_X14Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y42 IS_BONDED 0 SITEPROP SLICE_X14Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y42 IS_PAD 0 SITEPROP SLICE_X14Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y42 IS_RESERVED 0 SITEPROP SLICE_X14Y42 IS_TEST 0 SITEPROP SLICE_X14Y42 IS_USED 0 SITEPROP SLICE_X14Y42 MANUAL_ROUTING SITEPROP SLICE_X14Y42 NAME SLICE_X14Y42 SITEPROP SLICE_X14Y42 NUM_ARCS 153 SITEPROP SLICE_X14Y42 NUM_BELS 32 SITEPROP SLICE_X14Y42 NUM_INPUTS 37 SITEPROP SLICE_X14Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y42 NUM_PINS 50 SITEPROP SLICE_X14Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y42 PROHIBIT 0 SITEPROP SLICE_X14Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y42 RPM_X 53 SITEPROP SLICE_X14Y42 RPM_Y 84 SITEPROP SLICE_X14Y42 SITE_PIPS SITEPROP SLICE_X14Y42 SITE_TYPE SLICEM SITEPROP SLICE_X14Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y43 CLASS site SITEPROP SLICE_X14Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y43 IS_BONDED 0 SITEPROP SLICE_X14Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y43 IS_PAD 0 SITEPROP SLICE_X14Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y43 IS_RESERVED 0 SITEPROP SLICE_X14Y43 IS_TEST 0 SITEPROP SLICE_X14Y43 IS_USED 0 SITEPROP SLICE_X14Y43 MANUAL_ROUTING SITEPROP SLICE_X14Y43 NAME SLICE_X14Y43 SITEPROP SLICE_X14Y43 NUM_ARCS 153 SITEPROP SLICE_X14Y43 NUM_BELS 32 SITEPROP SLICE_X14Y43 NUM_INPUTS 37 SITEPROP SLICE_X14Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y43 NUM_PINS 50 SITEPROP SLICE_X14Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y43 PROHIBIT 0 SITEPROP SLICE_X14Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y43 RPM_X 53 SITEPROP SLICE_X14Y43 RPM_Y 86 SITEPROP SLICE_X14Y43 SITE_PIPS SITEPROP SLICE_X14Y43 SITE_TYPE SLICEM SITEPROP SLICE_X14Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y44 CLASS site SITEPROP SLICE_X14Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y44 IS_BONDED 0 SITEPROP SLICE_X14Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y44 IS_PAD 0 SITEPROP SLICE_X14Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y44 IS_RESERVED 0 SITEPROP SLICE_X14Y44 IS_TEST 0 SITEPROP SLICE_X14Y44 IS_USED 0 SITEPROP SLICE_X14Y44 MANUAL_ROUTING SITEPROP SLICE_X14Y44 NAME SLICE_X14Y44 SITEPROP SLICE_X14Y44 NUM_ARCS 153 SITEPROP SLICE_X14Y44 NUM_BELS 32 SITEPROP SLICE_X14Y44 NUM_INPUTS 37 SITEPROP SLICE_X14Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y44 NUM_PINS 50 SITEPROP SLICE_X14Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y44 PROHIBIT 0 SITEPROP SLICE_X14Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y44 RPM_X 53 SITEPROP SLICE_X14Y44 RPM_Y 88 SITEPROP SLICE_X14Y44 SITE_PIPS SITEPROP SLICE_X14Y44 SITE_TYPE SLICEM SITEPROP SLICE_X14Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y45 CLASS site SITEPROP SLICE_X14Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y45 IS_BONDED 0 SITEPROP SLICE_X14Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y45 IS_PAD 0 SITEPROP SLICE_X14Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y45 IS_RESERVED 0 SITEPROP SLICE_X14Y45 IS_TEST 0 SITEPROP SLICE_X14Y45 IS_USED 0 SITEPROP SLICE_X14Y45 MANUAL_ROUTING SITEPROP SLICE_X14Y45 NAME SLICE_X14Y45 SITEPROP SLICE_X14Y45 NUM_ARCS 153 SITEPROP SLICE_X14Y45 NUM_BELS 32 SITEPROP SLICE_X14Y45 NUM_INPUTS 37 SITEPROP SLICE_X14Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y45 NUM_PINS 50 SITEPROP SLICE_X14Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y45 PROHIBIT 0 SITEPROP SLICE_X14Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y45 RPM_X 53 SITEPROP SLICE_X14Y45 RPM_Y 90 SITEPROP SLICE_X14Y45 SITE_PIPS SITEPROP SLICE_X14Y45 SITE_TYPE SLICEM SITEPROP SLICE_X14Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y46 CLASS site SITEPROP SLICE_X14Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y46 IS_BONDED 0 SITEPROP SLICE_X14Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y46 IS_PAD 0 SITEPROP SLICE_X14Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y46 IS_RESERVED 0 SITEPROP SLICE_X14Y46 IS_TEST 0 SITEPROP SLICE_X14Y46 IS_USED 0 SITEPROP SLICE_X14Y46 MANUAL_ROUTING SITEPROP SLICE_X14Y46 NAME SLICE_X14Y46 SITEPROP SLICE_X14Y46 NUM_ARCS 153 SITEPROP SLICE_X14Y46 NUM_BELS 32 SITEPROP SLICE_X14Y46 NUM_INPUTS 37 SITEPROP SLICE_X14Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y46 NUM_PINS 50 SITEPROP SLICE_X14Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y46 PROHIBIT 0 SITEPROP SLICE_X14Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y46 RPM_X 53 SITEPROP SLICE_X14Y46 RPM_Y 92 SITEPROP SLICE_X14Y46 SITE_PIPS SITEPROP SLICE_X14Y46 SITE_TYPE SLICEM SITEPROP SLICE_X14Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y47 CLASS site SITEPROP SLICE_X14Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y47 IS_BONDED 0 SITEPROP SLICE_X14Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y47 IS_PAD 0 SITEPROP SLICE_X14Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y47 IS_RESERVED 0 SITEPROP SLICE_X14Y47 IS_TEST 0 SITEPROP SLICE_X14Y47 IS_USED 0 SITEPROP SLICE_X14Y47 MANUAL_ROUTING SITEPROP SLICE_X14Y47 NAME SLICE_X14Y47 SITEPROP SLICE_X14Y47 NUM_ARCS 153 SITEPROP SLICE_X14Y47 NUM_BELS 32 SITEPROP SLICE_X14Y47 NUM_INPUTS 37 SITEPROP SLICE_X14Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y47 NUM_PINS 50 SITEPROP SLICE_X14Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y47 PROHIBIT 0 SITEPROP SLICE_X14Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y47 RPM_X 53 SITEPROP SLICE_X14Y47 RPM_Y 94 SITEPROP SLICE_X14Y47 SITE_PIPS SITEPROP SLICE_X14Y47 SITE_TYPE SLICEM SITEPROP SLICE_X14Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y48 CLASS site SITEPROP SLICE_X14Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y48 IS_BONDED 0 SITEPROP SLICE_X14Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y48 IS_PAD 0 SITEPROP SLICE_X14Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y48 IS_RESERVED 0 SITEPROP SLICE_X14Y48 IS_TEST 0 SITEPROP SLICE_X14Y48 IS_USED 0 SITEPROP SLICE_X14Y48 MANUAL_ROUTING SITEPROP SLICE_X14Y48 NAME SLICE_X14Y48 SITEPROP SLICE_X14Y48 NUM_ARCS 153 SITEPROP SLICE_X14Y48 NUM_BELS 32 SITEPROP SLICE_X14Y48 NUM_INPUTS 37 SITEPROP SLICE_X14Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y48 NUM_PINS 50 SITEPROP SLICE_X14Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y48 PROHIBIT 0 SITEPROP SLICE_X14Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y48 RPM_X 53 SITEPROP SLICE_X14Y48 RPM_Y 96 SITEPROP SLICE_X14Y48 SITE_PIPS SITEPROP SLICE_X14Y48 SITE_TYPE SLICEM SITEPROP SLICE_X14Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y49 CLASS site SITEPROP SLICE_X14Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X14Y49 IS_BONDED 0 SITEPROP SLICE_X14Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y49 IS_PAD 0 SITEPROP SLICE_X14Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y49 IS_RESERVED 0 SITEPROP SLICE_X14Y49 IS_TEST 0 SITEPROP SLICE_X14Y49 IS_USED 0 SITEPROP SLICE_X14Y49 MANUAL_ROUTING SITEPROP SLICE_X14Y49 NAME SLICE_X14Y49 SITEPROP SLICE_X14Y49 NUM_ARCS 153 SITEPROP SLICE_X14Y49 NUM_BELS 32 SITEPROP SLICE_X14Y49 NUM_INPUTS 37 SITEPROP SLICE_X14Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y49 NUM_PINS 50 SITEPROP SLICE_X14Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y49 PROHIBIT 0 SITEPROP SLICE_X14Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y49 RPM_X 53 SITEPROP SLICE_X14Y49 RPM_Y 98 SITEPROP SLICE_X14Y49 SITE_PIPS SITEPROP SLICE_X14Y49 SITE_TYPE SLICEM SITEPROP SLICE_X14Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y50 CLASS site SITEPROP SLICE_X14Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y50 IS_BONDED 0 SITEPROP SLICE_X14Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y50 IS_PAD 0 SITEPROP SLICE_X14Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y50 IS_RESERVED 0 SITEPROP SLICE_X14Y50 IS_TEST 0 SITEPROP SLICE_X14Y50 IS_USED 0 SITEPROP SLICE_X14Y50 MANUAL_ROUTING SITEPROP SLICE_X14Y50 NAME SLICE_X14Y50 SITEPROP SLICE_X14Y50 NUM_ARCS 153 SITEPROP SLICE_X14Y50 NUM_BELS 32 SITEPROP SLICE_X14Y50 NUM_INPUTS 37 SITEPROP SLICE_X14Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y50 NUM_PINS 50 SITEPROP SLICE_X14Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y50 PROHIBIT 0 SITEPROP SLICE_X14Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y50 RPM_X 53 SITEPROP SLICE_X14Y50 RPM_Y 100 SITEPROP SLICE_X14Y50 SITE_PIPS SITEPROP SLICE_X14Y50 SITE_TYPE SLICEM SITEPROP SLICE_X14Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y51 CLASS site SITEPROP SLICE_X14Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y51 IS_BONDED 0 SITEPROP SLICE_X14Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y51 IS_PAD 0 SITEPROP SLICE_X14Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y51 IS_RESERVED 0 SITEPROP SLICE_X14Y51 IS_TEST 0 SITEPROP SLICE_X14Y51 IS_USED 0 SITEPROP SLICE_X14Y51 MANUAL_ROUTING SITEPROP SLICE_X14Y51 NAME SLICE_X14Y51 SITEPROP SLICE_X14Y51 NUM_ARCS 153 SITEPROP SLICE_X14Y51 NUM_BELS 32 SITEPROP SLICE_X14Y51 NUM_INPUTS 37 SITEPROP SLICE_X14Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y51 NUM_PINS 50 SITEPROP SLICE_X14Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y51 PROHIBIT 0 SITEPROP SLICE_X14Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y51 RPM_X 53 SITEPROP SLICE_X14Y51 RPM_Y 102 SITEPROP SLICE_X14Y51 SITE_PIPS SITEPROP SLICE_X14Y51 SITE_TYPE SLICEM SITEPROP SLICE_X14Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y52 CLASS site SITEPROP SLICE_X14Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y52 IS_BONDED 0 SITEPROP SLICE_X14Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y52 IS_PAD 0 SITEPROP SLICE_X14Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y52 IS_RESERVED 0 SITEPROP SLICE_X14Y52 IS_TEST 0 SITEPROP SLICE_X14Y52 IS_USED 0 SITEPROP SLICE_X14Y52 MANUAL_ROUTING SITEPROP SLICE_X14Y52 NAME SLICE_X14Y52 SITEPROP SLICE_X14Y52 NUM_ARCS 153 SITEPROP SLICE_X14Y52 NUM_BELS 32 SITEPROP SLICE_X14Y52 NUM_INPUTS 37 SITEPROP SLICE_X14Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y52 NUM_PINS 50 SITEPROP SLICE_X14Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y52 PROHIBIT 0 SITEPROP SLICE_X14Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y52 RPM_X 53 SITEPROP SLICE_X14Y52 RPM_Y 104 SITEPROP SLICE_X14Y52 SITE_PIPS SITEPROP SLICE_X14Y52 SITE_TYPE SLICEM SITEPROP SLICE_X14Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y53 CLASS site SITEPROP SLICE_X14Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y53 IS_BONDED 0 SITEPROP SLICE_X14Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y53 IS_PAD 0 SITEPROP SLICE_X14Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y53 IS_RESERVED 0 SITEPROP SLICE_X14Y53 IS_TEST 0 SITEPROP SLICE_X14Y53 IS_USED 0 SITEPROP SLICE_X14Y53 MANUAL_ROUTING SITEPROP SLICE_X14Y53 NAME SLICE_X14Y53 SITEPROP SLICE_X14Y53 NUM_ARCS 153 SITEPROP SLICE_X14Y53 NUM_BELS 32 SITEPROP SLICE_X14Y53 NUM_INPUTS 37 SITEPROP SLICE_X14Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y53 NUM_PINS 50 SITEPROP SLICE_X14Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y53 PROHIBIT 0 SITEPROP SLICE_X14Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y53 RPM_X 53 SITEPROP SLICE_X14Y53 RPM_Y 106 SITEPROP SLICE_X14Y53 SITE_PIPS SITEPROP SLICE_X14Y53 SITE_TYPE SLICEM SITEPROP SLICE_X14Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y54 CLASS site SITEPROP SLICE_X14Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y54 IS_BONDED 0 SITEPROP SLICE_X14Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y54 IS_PAD 0 SITEPROP SLICE_X14Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y54 IS_RESERVED 0 SITEPROP SLICE_X14Y54 IS_TEST 0 SITEPROP SLICE_X14Y54 IS_USED 0 SITEPROP SLICE_X14Y54 MANUAL_ROUTING SITEPROP SLICE_X14Y54 NAME SLICE_X14Y54 SITEPROP SLICE_X14Y54 NUM_ARCS 153 SITEPROP SLICE_X14Y54 NUM_BELS 32 SITEPROP SLICE_X14Y54 NUM_INPUTS 37 SITEPROP SLICE_X14Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y54 NUM_PINS 50 SITEPROP SLICE_X14Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y54 PROHIBIT 0 SITEPROP SLICE_X14Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y54 RPM_X 53 SITEPROP SLICE_X14Y54 RPM_Y 108 SITEPROP SLICE_X14Y54 SITE_PIPS SITEPROP SLICE_X14Y54 SITE_TYPE SLICEM SITEPROP SLICE_X14Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y55 CLASS site SITEPROP SLICE_X14Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y55 IS_BONDED 0 SITEPROP SLICE_X14Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y55 IS_PAD 0 SITEPROP SLICE_X14Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y55 IS_RESERVED 0 SITEPROP SLICE_X14Y55 IS_TEST 0 SITEPROP SLICE_X14Y55 IS_USED 0 SITEPROP SLICE_X14Y55 MANUAL_ROUTING SITEPROP SLICE_X14Y55 NAME SLICE_X14Y55 SITEPROP SLICE_X14Y55 NUM_ARCS 153 SITEPROP SLICE_X14Y55 NUM_BELS 32 SITEPROP SLICE_X14Y55 NUM_INPUTS 37 SITEPROP SLICE_X14Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y55 NUM_PINS 50 SITEPROP SLICE_X14Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y55 PROHIBIT 0 SITEPROP SLICE_X14Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y55 RPM_X 53 SITEPROP SLICE_X14Y55 RPM_Y 110 SITEPROP SLICE_X14Y55 SITE_PIPS SITEPROP SLICE_X14Y55 SITE_TYPE SLICEM SITEPROP SLICE_X14Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y56 CLASS site SITEPROP SLICE_X14Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y56 IS_BONDED 0 SITEPROP SLICE_X14Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y56 IS_PAD 0 SITEPROP SLICE_X14Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y56 IS_RESERVED 0 SITEPROP SLICE_X14Y56 IS_TEST 0 SITEPROP SLICE_X14Y56 IS_USED 0 SITEPROP SLICE_X14Y56 MANUAL_ROUTING SITEPROP SLICE_X14Y56 NAME SLICE_X14Y56 SITEPROP SLICE_X14Y56 NUM_ARCS 153 SITEPROP SLICE_X14Y56 NUM_BELS 32 SITEPROP SLICE_X14Y56 NUM_INPUTS 37 SITEPROP SLICE_X14Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y56 NUM_PINS 50 SITEPROP SLICE_X14Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y56 PROHIBIT 0 SITEPROP SLICE_X14Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y56 RPM_X 53 SITEPROP SLICE_X14Y56 RPM_Y 112 SITEPROP SLICE_X14Y56 SITE_PIPS SITEPROP SLICE_X14Y56 SITE_TYPE SLICEM SITEPROP SLICE_X14Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y57 CLASS site SITEPROP SLICE_X14Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y57 IS_BONDED 0 SITEPROP SLICE_X14Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y57 IS_PAD 0 SITEPROP SLICE_X14Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y57 IS_RESERVED 0 SITEPROP SLICE_X14Y57 IS_TEST 0 SITEPROP SLICE_X14Y57 IS_USED 0 SITEPROP SLICE_X14Y57 MANUAL_ROUTING SITEPROP SLICE_X14Y57 NAME SLICE_X14Y57 SITEPROP SLICE_X14Y57 NUM_ARCS 153 SITEPROP SLICE_X14Y57 NUM_BELS 32 SITEPROP SLICE_X14Y57 NUM_INPUTS 37 SITEPROP SLICE_X14Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y57 NUM_PINS 50 SITEPROP SLICE_X14Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y57 PROHIBIT 0 SITEPROP SLICE_X14Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y57 RPM_X 53 SITEPROP SLICE_X14Y57 RPM_Y 114 SITEPROP SLICE_X14Y57 SITE_PIPS SITEPROP SLICE_X14Y57 SITE_TYPE SLICEM SITEPROP SLICE_X14Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y58 CLASS site SITEPROP SLICE_X14Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y58 IS_BONDED 0 SITEPROP SLICE_X14Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y58 IS_PAD 0 SITEPROP SLICE_X14Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y58 IS_RESERVED 0 SITEPROP SLICE_X14Y58 IS_TEST 0 SITEPROP SLICE_X14Y58 IS_USED 0 SITEPROP SLICE_X14Y58 MANUAL_ROUTING SITEPROP SLICE_X14Y58 NAME SLICE_X14Y58 SITEPROP SLICE_X14Y58 NUM_ARCS 153 SITEPROP SLICE_X14Y58 NUM_BELS 32 SITEPROP SLICE_X14Y58 NUM_INPUTS 37 SITEPROP SLICE_X14Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y58 NUM_PINS 50 SITEPROP SLICE_X14Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y58 PROHIBIT 0 SITEPROP SLICE_X14Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y58 RPM_X 53 SITEPROP SLICE_X14Y58 RPM_Y 116 SITEPROP SLICE_X14Y58 SITE_PIPS SITEPROP SLICE_X14Y58 SITE_TYPE SLICEM SITEPROP SLICE_X14Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y59 CLASS site SITEPROP SLICE_X14Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y59 IS_BONDED 0 SITEPROP SLICE_X14Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y59 IS_PAD 0 SITEPROP SLICE_X14Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y59 IS_RESERVED 0 SITEPROP SLICE_X14Y59 IS_TEST 0 SITEPROP SLICE_X14Y59 IS_USED 0 SITEPROP SLICE_X14Y59 MANUAL_ROUTING SITEPROP SLICE_X14Y59 NAME SLICE_X14Y59 SITEPROP SLICE_X14Y59 NUM_ARCS 153 SITEPROP SLICE_X14Y59 NUM_BELS 32 SITEPROP SLICE_X14Y59 NUM_INPUTS 37 SITEPROP SLICE_X14Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y59 NUM_PINS 50 SITEPROP SLICE_X14Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y59 PROHIBIT 0 SITEPROP SLICE_X14Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y59 RPM_X 53 SITEPROP SLICE_X14Y59 RPM_Y 118 SITEPROP SLICE_X14Y59 SITE_PIPS SITEPROP SLICE_X14Y59 SITE_TYPE SLICEM SITEPROP SLICE_X14Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y60 CLASS site SITEPROP SLICE_X14Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y60 IS_BONDED 0 SITEPROP SLICE_X14Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y60 IS_PAD 0 SITEPROP SLICE_X14Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y60 IS_RESERVED 0 SITEPROP SLICE_X14Y60 IS_TEST 0 SITEPROP SLICE_X14Y60 IS_USED 0 SITEPROP SLICE_X14Y60 MANUAL_ROUTING SITEPROP SLICE_X14Y60 NAME SLICE_X14Y60 SITEPROP SLICE_X14Y60 NUM_ARCS 153 SITEPROP SLICE_X14Y60 NUM_BELS 32 SITEPROP SLICE_X14Y60 NUM_INPUTS 37 SITEPROP SLICE_X14Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y60 NUM_PINS 50 SITEPROP SLICE_X14Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y60 PROHIBIT 0 SITEPROP SLICE_X14Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y60 RPM_X 53 SITEPROP SLICE_X14Y60 RPM_Y 120 SITEPROP SLICE_X14Y60 SITE_PIPS SITEPROP SLICE_X14Y60 SITE_TYPE SLICEM SITEPROP SLICE_X14Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y61 CLASS site SITEPROP SLICE_X14Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y61 IS_BONDED 0 SITEPROP SLICE_X14Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y61 IS_PAD 0 SITEPROP SLICE_X14Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y61 IS_RESERVED 0 SITEPROP SLICE_X14Y61 IS_TEST 0 SITEPROP SLICE_X14Y61 IS_USED 0 SITEPROP SLICE_X14Y61 MANUAL_ROUTING SITEPROP SLICE_X14Y61 NAME SLICE_X14Y61 SITEPROP SLICE_X14Y61 NUM_ARCS 153 SITEPROP SLICE_X14Y61 NUM_BELS 32 SITEPROP SLICE_X14Y61 NUM_INPUTS 37 SITEPROP SLICE_X14Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y61 NUM_PINS 50 SITEPROP SLICE_X14Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y61 PROHIBIT 0 SITEPROP SLICE_X14Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y61 RPM_X 53 SITEPROP SLICE_X14Y61 RPM_Y 122 SITEPROP SLICE_X14Y61 SITE_PIPS SITEPROP SLICE_X14Y61 SITE_TYPE SLICEM SITEPROP SLICE_X14Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y62 CLASS site SITEPROP SLICE_X14Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y62 IS_BONDED 0 SITEPROP SLICE_X14Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y62 IS_PAD 0 SITEPROP SLICE_X14Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y62 IS_RESERVED 0 SITEPROP SLICE_X14Y62 IS_TEST 0 SITEPROP SLICE_X14Y62 IS_USED 0 SITEPROP SLICE_X14Y62 MANUAL_ROUTING SITEPROP SLICE_X14Y62 NAME SLICE_X14Y62 SITEPROP SLICE_X14Y62 NUM_ARCS 153 SITEPROP SLICE_X14Y62 NUM_BELS 32 SITEPROP SLICE_X14Y62 NUM_INPUTS 37 SITEPROP SLICE_X14Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y62 NUM_PINS 50 SITEPROP SLICE_X14Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y62 PROHIBIT 0 SITEPROP SLICE_X14Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y62 RPM_X 53 SITEPROP SLICE_X14Y62 RPM_Y 124 SITEPROP SLICE_X14Y62 SITE_PIPS SITEPROP SLICE_X14Y62 SITE_TYPE SLICEM SITEPROP SLICE_X14Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y63 CLASS site SITEPROP SLICE_X14Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y63 IS_BONDED 0 SITEPROP SLICE_X14Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y63 IS_PAD 0 SITEPROP SLICE_X14Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y63 IS_RESERVED 0 SITEPROP SLICE_X14Y63 IS_TEST 0 SITEPROP SLICE_X14Y63 IS_USED 0 SITEPROP SLICE_X14Y63 MANUAL_ROUTING SITEPROP SLICE_X14Y63 NAME SLICE_X14Y63 SITEPROP SLICE_X14Y63 NUM_ARCS 153 SITEPROP SLICE_X14Y63 NUM_BELS 32 SITEPROP SLICE_X14Y63 NUM_INPUTS 37 SITEPROP SLICE_X14Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y63 NUM_PINS 50 SITEPROP SLICE_X14Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y63 PROHIBIT 0 SITEPROP SLICE_X14Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y63 RPM_X 53 SITEPROP SLICE_X14Y63 RPM_Y 126 SITEPROP SLICE_X14Y63 SITE_PIPS SITEPROP SLICE_X14Y63 SITE_TYPE SLICEM SITEPROP SLICE_X14Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y64 CLASS site SITEPROP SLICE_X14Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y64 IS_BONDED 0 SITEPROP SLICE_X14Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y64 IS_PAD 0 SITEPROP SLICE_X14Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y64 IS_RESERVED 0 SITEPROP SLICE_X14Y64 IS_TEST 0 SITEPROP SLICE_X14Y64 IS_USED 0 SITEPROP SLICE_X14Y64 MANUAL_ROUTING SITEPROP SLICE_X14Y64 NAME SLICE_X14Y64 SITEPROP SLICE_X14Y64 NUM_ARCS 153 SITEPROP SLICE_X14Y64 NUM_BELS 32 SITEPROP SLICE_X14Y64 NUM_INPUTS 37 SITEPROP SLICE_X14Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y64 NUM_PINS 50 SITEPROP SLICE_X14Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y64 PROHIBIT 0 SITEPROP SLICE_X14Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y64 RPM_X 53 SITEPROP SLICE_X14Y64 RPM_Y 128 SITEPROP SLICE_X14Y64 SITE_PIPS SITEPROP SLICE_X14Y64 SITE_TYPE SLICEM SITEPROP SLICE_X14Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y65 CLASS site SITEPROP SLICE_X14Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y65 IS_BONDED 0 SITEPROP SLICE_X14Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y65 IS_PAD 0 SITEPROP SLICE_X14Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y65 IS_RESERVED 0 SITEPROP SLICE_X14Y65 IS_TEST 0 SITEPROP SLICE_X14Y65 IS_USED 0 SITEPROP SLICE_X14Y65 MANUAL_ROUTING SITEPROP SLICE_X14Y65 NAME SLICE_X14Y65 SITEPROP SLICE_X14Y65 NUM_ARCS 153 SITEPROP SLICE_X14Y65 NUM_BELS 32 SITEPROP SLICE_X14Y65 NUM_INPUTS 37 SITEPROP SLICE_X14Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y65 NUM_PINS 50 SITEPROP SLICE_X14Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y65 PROHIBIT 0 SITEPROP SLICE_X14Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y65 RPM_X 53 SITEPROP SLICE_X14Y65 RPM_Y 130 SITEPROP SLICE_X14Y65 SITE_PIPS SITEPROP SLICE_X14Y65 SITE_TYPE SLICEM SITEPROP SLICE_X14Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y66 CLASS site SITEPROP SLICE_X14Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y66 IS_BONDED 0 SITEPROP SLICE_X14Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y66 IS_PAD 0 SITEPROP SLICE_X14Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y66 IS_RESERVED 0 SITEPROP SLICE_X14Y66 IS_TEST 0 SITEPROP SLICE_X14Y66 IS_USED 0 SITEPROP SLICE_X14Y66 MANUAL_ROUTING SITEPROP SLICE_X14Y66 NAME SLICE_X14Y66 SITEPROP SLICE_X14Y66 NUM_ARCS 153 SITEPROP SLICE_X14Y66 NUM_BELS 32 SITEPROP SLICE_X14Y66 NUM_INPUTS 37 SITEPROP SLICE_X14Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y66 NUM_PINS 50 SITEPROP SLICE_X14Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y66 PROHIBIT 0 SITEPROP SLICE_X14Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y66 RPM_X 53 SITEPROP SLICE_X14Y66 RPM_Y 132 SITEPROP SLICE_X14Y66 SITE_PIPS SITEPROP SLICE_X14Y66 SITE_TYPE SLICEM SITEPROP SLICE_X14Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y67 CLASS site SITEPROP SLICE_X14Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y67 IS_BONDED 0 SITEPROP SLICE_X14Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y67 IS_PAD 0 SITEPROP SLICE_X14Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y67 IS_RESERVED 0 SITEPROP SLICE_X14Y67 IS_TEST 0 SITEPROP SLICE_X14Y67 IS_USED 0 SITEPROP SLICE_X14Y67 MANUAL_ROUTING SITEPROP SLICE_X14Y67 NAME SLICE_X14Y67 SITEPROP SLICE_X14Y67 NUM_ARCS 153 SITEPROP SLICE_X14Y67 NUM_BELS 32 SITEPROP SLICE_X14Y67 NUM_INPUTS 37 SITEPROP SLICE_X14Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y67 NUM_PINS 50 SITEPROP SLICE_X14Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y67 PROHIBIT 0 SITEPROP SLICE_X14Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y67 RPM_X 53 SITEPROP SLICE_X14Y67 RPM_Y 134 SITEPROP SLICE_X14Y67 SITE_PIPS SITEPROP SLICE_X14Y67 SITE_TYPE SLICEM SITEPROP SLICE_X14Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y68 CLASS site SITEPROP SLICE_X14Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y68 IS_BONDED 0 SITEPROP SLICE_X14Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y68 IS_PAD 0 SITEPROP SLICE_X14Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y68 IS_RESERVED 0 SITEPROP SLICE_X14Y68 IS_TEST 0 SITEPROP SLICE_X14Y68 IS_USED 0 SITEPROP SLICE_X14Y68 MANUAL_ROUTING SITEPROP SLICE_X14Y68 NAME SLICE_X14Y68 SITEPROP SLICE_X14Y68 NUM_ARCS 153 SITEPROP SLICE_X14Y68 NUM_BELS 32 SITEPROP SLICE_X14Y68 NUM_INPUTS 37 SITEPROP SLICE_X14Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y68 NUM_PINS 50 SITEPROP SLICE_X14Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y68 PROHIBIT 0 SITEPROP SLICE_X14Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y68 RPM_X 53 SITEPROP SLICE_X14Y68 RPM_Y 136 SITEPROP SLICE_X14Y68 SITE_PIPS SITEPROP SLICE_X14Y68 SITE_TYPE SLICEM SITEPROP SLICE_X14Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y69 CLASS site SITEPROP SLICE_X14Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y69 IS_BONDED 0 SITEPROP SLICE_X14Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y69 IS_PAD 0 SITEPROP SLICE_X14Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y69 IS_RESERVED 0 SITEPROP SLICE_X14Y69 IS_TEST 0 SITEPROP SLICE_X14Y69 IS_USED 0 SITEPROP SLICE_X14Y69 MANUAL_ROUTING SITEPROP SLICE_X14Y69 NAME SLICE_X14Y69 SITEPROP SLICE_X14Y69 NUM_ARCS 153 SITEPROP SLICE_X14Y69 NUM_BELS 32 SITEPROP SLICE_X14Y69 NUM_INPUTS 37 SITEPROP SLICE_X14Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y69 NUM_PINS 50 SITEPROP SLICE_X14Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y69 PROHIBIT 0 SITEPROP SLICE_X14Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y69 RPM_X 53 SITEPROP SLICE_X14Y69 RPM_Y 138 SITEPROP SLICE_X14Y69 SITE_PIPS SITEPROP SLICE_X14Y69 SITE_TYPE SLICEM SITEPROP SLICE_X14Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y70 CLASS site SITEPROP SLICE_X14Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y70 IS_BONDED 0 SITEPROP SLICE_X14Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y70 IS_PAD 0 SITEPROP SLICE_X14Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y70 IS_RESERVED 0 SITEPROP SLICE_X14Y70 IS_TEST 0 SITEPROP SLICE_X14Y70 IS_USED 0 SITEPROP SLICE_X14Y70 MANUAL_ROUTING SITEPROP SLICE_X14Y70 NAME SLICE_X14Y70 SITEPROP SLICE_X14Y70 NUM_ARCS 153 SITEPROP SLICE_X14Y70 NUM_BELS 32 SITEPROP SLICE_X14Y70 NUM_INPUTS 37 SITEPROP SLICE_X14Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y70 NUM_PINS 50 SITEPROP SLICE_X14Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y70 PROHIBIT 0 SITEPROP SLICE_X14Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y70 RPM_X 53 SITEPROP SLICE_X14Y70 RPM_Y 140 SITEPROP SLICE_X14Y70 SITE_PIPS SITEPROP SLICE_X14Y70 SITE_TYPE SLICEM SITEPROP SLICE_X14Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y71 CLASS site SITEPROP SLICE_X14Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y71 IS_BONDED 0 SITEPROP SLICE_X14Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y71 IS_PAD 0 SITEPROP SLICE_X14Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y71 IS_RESERVED 0 SITEPROP SLICE_X14Y71 IS_TEST 0 SITEPROP SLICE_X14Y71 IS_USED 0 SITEPROP SLICE_X14Y71 MANUAL_ROUTING SITEPROP SLICE_X14Y71 NAME SLICE_X14Y71 SITEPROP SLICE_X14Y71 NUM_ARCS 153 SITEPROP SLICE_X14Y71 NUM_BELS 32 SITEPROP SLICE_X14Y71 NUM_INPUTS 37 SITEPROP SLICE_X14Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y71 NUM_PINS 50 SITEPROP SLICE_X14Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y71 PROHIBIT 0 SITEPROP SLICE_X14Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y71 RPM_X 53 SITEPROP SLICE_X14Y71 RPM_Y 142 SITEPROP SLICE_X14Y71 SITE_PIPS SITEPROP SLICE_X14Y71 SITE_TYPE SLICEM SITEPROP SLICE_X14Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y72 CLASS site SITEPROP SLICE_X14Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y72 IS_BONDED 0 SITEPROP SLICE_X14Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y72 IS_PAD 0 SITEPROP SLICE_X14Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y72 IS_RESERVED 0 SITEPROP SLICE_X14Y72 IS_TEST 0 SITEPROP SLICE_X14Y72 IS_USED 0 SITEPROP SLICE_X14Y72 MANUAL_ROUTING SITEPROP SLICE_X14Y72 NAME SLICE_X14Y72 SITEPROP SLICE_X14Y72 NUM_ARCS 153 SITEPROP SLICE_X14Y72 NUM_BELS 32 SITEPROP SLICE_X14Y72 NUM_INPUTS 37 SITEPROP SLICE_X14Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y72 NUM_PINS 50 SITEPROP SLICE_X14Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y72 PROHIBIT 0 SITEPROP SLICE_X14Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y72 RPM_X 53 SITEPROP SLICE_X14Y72 RPM_Y 144 SITEPROP SLICE_X14Y72 SITE_PIPS SITEPROP SLICE_X14Y72 SITE_TYPE SLICEM SITEPROP SLICE_X14Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y73 CLASS site SITEPROP SLICE_X14Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y73 IS_BONDED 0 SITEPROP SLICE_X14Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y73 IS_PAD 0 SITEPROP SLICE_X14Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y73 IS_RESERVED 0 SITEPROP SLICE_X14Y73 IS_TEST 0 SITEPROP SLICE_X14Y73 IS_USED 0 SITEPROP SLICE_X14Y73 MANUAL_ROUTING SITEPROP SLICE_X14Y73 NAME SLICE_X14Y73 SITEPROP SLICE_X14Y73 NUM_ARCS 153 SITEPROP SLICE_X14Y73 NUM_BELS 32 SITEPROP SLICE_X14Y73 NUM_INPUTS 37 SITEPROP SLICE_X14Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y73 NUM_PINS 50 SITEPROP SLICE_X14Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y73 PROHIBIT 0 SITEPROP SLICE_X14Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y73 RPM_X 53 SITEPROP SLICE_X14Y73 RPM_Y 146 SITEPROP SLICE_X14Y73 SITE_PIPS SITEPROP SLICE_X14Y73 SITE_TYPE SLICEM SITEPROP SLICE_X14Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y74 CLASS site SITEPROP SLICE_X14Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y74 IS_BONDED 0 SITEPROP SLICE_X14Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y74 IS_PAD 0 SITEPROP SLICE_X14Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y74 IS_RESERVED 0 SITEPROP SLICE_X14Y74 IS_TEST 0 SITEPROP SLICE_X14Y74 IS_USED 0 SITEPROP SLICE_X14Y74 MANUAL_ROUTING SITEPROP SLICE_X14Y74 NAME SLICE_X14Y74 SITEPROP SLICE_X14Y74 NUM_ARCS 153 SITEPROP SLICE_X14Y74 NUM_BELS 32 SITEPROP SLICE_X14Y74 NUM_INPUTS 37 SITEPROP SLICE_X14Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y74 NUM_PINS 50 SITEPROP SLICE_X14Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y74 PROHIBIT 0 SITEPROP SLICE_X14Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y74 RPM_X 53 SITEPROP SLICE_X14Y74 RPM_Y 148 SITEPROP SLICE_X14Y74 SITE_PIPS SITEPROP SLICE_X14Y74 SITE_TYPE SLICEM SITEPROP SLICE_X14Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y75 CLASS site SITEPROP SLICE_X14Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y75 IS_BONDED 0 SITEPROP SLICE_X14Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y75 IS_PAD 0 SITEPROP SLICE_X14Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y75 IS_RESERVED 0 SITEPROP SLICE_X14Y75 IS_TEST 0 SITEPROP SLICE_X14Y75 IS_USED 0 SITEPROP SLICE_X14Y75 MANUAL_ROUTING SITEPROP SLICE_X14Y75 NAME SLICE_X14Y75 SITEPROP SLICE_X14Y75 NUM_ARCS 153 SITEPROP SLICE_X14Y75 NUM_BELS 32 SITEPROP SLICE_X14Y75 NUM_INPUTS 37 SITEPROP SLICE_X14Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y75 NUM_PINS 50 SITEPROP SLICE_X14Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y75 PROHIBIT 0 SITEPROP SLICE_X14Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y75 RPM_X 53 SITEPROP SLICE_X14Y75 RPM_Y 150 SITEPROP SLICE_X14Y75 SITE_PIPS SITEPROP SLICE_X14Y75 SITE_TYPE SLICEM SITEPROP SLICE_X14Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y76 CLASS site SITEPROP SLICE_X14Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y76 IS_BONDED 0 SITEPROP SLICE_X14Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y76 IS_PAD 0 SITEPROP SLICE_X14Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y76 IS_RESERVED 0 SITEPROP SLICE_X14Y76 IS_TEST 0 SITEPROP SLICE_X14Y76 IS_USED 0 SITEPROP SLICE_X14Y76 MANUAL_ROUTING SITEPROP SLICE_X14Y76 NAME SLICE_X14Y76 SITEPROP SLICE_X14Y76 NUM_ARCS 153 SITEPROP SLICE_X14Y76 NUM_BELS 32 SITEPROP SLICE_X14Y76 NUM_INPUTS 37 SITEPROP SLICE_X14Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y76 NUM_PINS 50 SITEPROP SLICE_X14Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y76 PROHIBIT 0 SITEPROP SLICE_X14Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y76 RPM_X 53 SITEPROP SLICE_X14Y76 RPM_Y 152 SITEPROP SLICE_X14Y76 SITE_PIPS SITEPROP SLICE_X14Y76 SITE_TYPE SLICEM SITEPROP SLICE_X14Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y77 CLASS site SITEPROP SLICE_X14Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y77 IS_BONDED 0 SITEPROP SLICE_X14Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y77 IS_PAD 0 SITEPROP SLICE_X14Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y77 IS_RESERVED 0 SITEPROP SLICE_X14Y77 IS_TEST 0 SITEPROP SLICE_X14Y77 IS_USED 0 SITEPROP SLICE_X14Y77 MANUAL_ROUTING SITEPROP SLICE_X14Y77 NAME SLICE_X14Y77 SITEPROP SLICE_X14Y77 NUM_ARCS 153 SITEPROP SLICE_X14Y77 NUM_BELS 32 SITEPROP SLICE_X14Y77 NUM_INPUTS 37 SITEPROP SLICE_X14Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y77 NUM_PINS 50 SITEPROP SLICE_X14Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y77 PROHIBIT 0 SITEPROP SLICE_X14Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y77 RPM_X 53 SITEPROP SLICE_X14Y77 RPM_Y 154 SITEPROP SLICE_X14Y77 SITE_PIPS SITEPROP SLICE_X14Y77 SITE_TYPE SLICEM SITEPROP SLICE_X14Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y78 CLASS site SITEPROP SLICE_X14Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y78 IS_BONDED 0 SITEPROP SLICE_X14Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y78 IS_PAD 0 SITEPROP SLICE_X14Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y78 IS_RESERVED 0 SITEPROP SLICE_X14Y78 IS_TEST 0 SITEPROP SLICE_X14Y78 IS_USED 0 SITEPROP SLICE_X14Y78 MANUAL_ROUTING SITEPROP SLICE_X14Y78 NAME SLICE_X14Y78 SITEPROP SLICE_X14Y78 NUM_ARCS 153 SITEPROP SLICE_X14Y78 NUM_BELS 32 SITEPROP SLICE_X14Y78 NUM_INPUTS 37 SITEPROP SLICE_X14Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y78 NUM_PINS 50 SITEPROP SLICE_X14Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y78 PROHIBIT 0 SITEPROP SLICE_X14Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y78 RPM_X 53 SITEPROP SLICE_X14Y78 RPM_Y 156 SITEPROP SLICE_X14Y78 SITE_PIPS SITEPROP SLICE_X14Y78 SITE_TYPE SLICEM SITEPROP SLICE_X14Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y79 CLASS site SITEPROP SLICE_X14Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y79 IS_BONDED 0 SITEPROP SLICE_X14Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y79 IS_PAD 0 SITEPROP SLICE_X14Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y79 IS_RESERVED 0 SITEPROP SLICE_X14Y79 IS_TEST 0 SITEPROP SLICE_X14Y79 IS_USED 0 SITEPROP SLICE_X14Y79 MANUAL_ROUTING SITEPROP SLICE_X14Y79 NAME SLICE_X14Y79 SITEPROP SLICE_X14Y79 NUM_ARCS 153 SITEPROP SLICE_X14Y79 NUM_BELS 32 SITEPROP SLICE_X14Y79 NUM_INPUTS 37 SITEPROP SLICE_X14Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y79 NUM_PINS 50 SITEPROP SLICE_X14Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y79 PROHIBIT 0 SITEPROP SLICE_X14Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y79 RPM_X 53 SITEPROP SLICE_X14Y79 RPM_Y 158 SITEPROP SLICE_X14Y79 SITE_PIPS SITEPROP SLICE_X14Y79 SITE_TYPE SLICEM SITEPROP SLICE_X14Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y80 CLASS site SITEPROP SLICE_X14Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y80 IS_BONDED 0 SITEPROP SLICE_X14Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y80 IS_PAD 0 SITEPROP SLICE_X14Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y80 IS_RESERVED 0 SITEPROP SLICE_X14Y80 IS_TEST 0 SITEPROP SLICE_X14Y80 IS_USED 0 SITEPROP SLICE_X14Y80 MANUAL_ROUTING SITEPROP SLICE_X14Y80 NAME SLICE_X14Y80 SITEPROP SLICE_X14Y80 NUM_ARCS 153 SITEPROP SLICE_X14Y80 NUM_BELS 32 SITEPROP SLICE_X14Y80 NUM_INPUTS 37 SITEPROP SLICE_X14Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y80 NUM_PINS 50 SITEPROP SLICE_X14Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y80 PROHIBIT 0 SITEPROP SLICE_X14Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y80 RPM_X 53 SITEPROP SLICE_X14Y80 RPM_Y 160 SITEPROP SLICE_X14Y80 SITE_PIPS SITEPROP SLICE_X14Y80 SITE_TYPE SLICEM SITEPROP SLICE_X14Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y81 CLASS site SITEPROP SLICE_X14Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y81 IS_BONDED 0 SITEPROP SLICE_X14Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y81 IS_PAD 0 SITEPROP SLICE_X14Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y81 IS_RESERVED 0 SITEPROP SLICE_X14Y81 IS_TEST 0 SITEPROP SLICE_X14Y81 IS_USED 0 SITEPROP SLICE_X14Y81 MANUAL_ROUTING SITEPROP SLICE_X14Y81 NAME SLICE_X14Y81 SITEPROP SLICE_X14Y81 NUM_ARCS 153 SITEPROP SLICE_X14Y81 NUM_BELS 32 SITEPROP SLICE_X14Y81 NUM_INPUTS 37 SITEPROP SLICE_X14Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y81 NUM_PINS 50 SITEPROP SLICE_X14Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y81 PROHIBIT 0 SITEPROP SLICE_X14Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y81 RPM_X 53 SITEPROP SLICE_X14Y81 RPM_Y 162 SITEPROP SLICE_X14Y81 SITE_PIPS SITEPROP SLICE_X14Y81 SITE_TYPE SLICEM SITEPROP SLICE_X14Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y82 CLASS site SITEPROP SLICE_X14Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y82 IS_BONDED 0 SITEPROP SLICE_X14Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y82 IS_PAD 0 SITEPROP SLICE_X14Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y82 IS_RESERVED 0 SITEPROP SLICE_X14Y82 IS_TEST 0 SITEPROP SLICE_X14Y82 IS_USED 0 SITEPROP SLICE_X14Y82 MANUAL_ROUTING SITEPROP SLICE_X14Y82 NAME SLICE_X14Y82 SITEPROP SLICE_X14Y82 NUM_ARCS 153 SITEPROP SLICE_X14Y82 NUM_BELS 32 SITEPROP SLICE_X14Y82 NUM_INPUTS 37 SITEPROP SLICE_X14Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y82 NUM_PINS 50 SITEPROP SLICE_X14Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y82 PROHIBIT 0 SITEPROP SLICE_X14Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y82 RPM_X 53 SITEPROP SLICE_X14Y82 RPM_Y 164 SITEPROP SLICE_X14Y82 SITE_PIPS SITEPROP SLICE_X14Y82 SITE_TYPE SLICEM SITEPROP SLICE_X14Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y83 CLASS site SITEPROP SLICE_X14Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y83 IS_BONDED 0 SITEPROP SLICE_X14Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y83 IS_PAD 0 SITEPROP SLICE_X14Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y83 IS_RESERVED 0 SITEPROP SLICE_X14Y83 IS_TEST 0 SITEPROP SLICE_X14Y83 IS_USED 0 SITEPROP SLICE_X14Y83 MANUAL_ROUTING SITEPROP SLICE_X14Y83 NAME SLICE_X14Y83 SITEPROP SLICE_X14Y83 NUM_ARCS 153 SITEPROP SLICE_X14Y83 NUM_BELS 32 SITEPROP SLICE_X14Y83 NUM_INPUTS 37 SITEPROP SLICE_X14Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y83 NUM_PINS 50 SITEPROP SLICE_X14Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y83 PROHIBIT 0 SITEPROP SLICE_X14Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y83 RPM_X 53 SITEPROP SLICE_X14Y83 RPM_Y 166 SITEPROP SLICE_X14Y83 SITE_PIPS SITEPROP SLICE_X14Y83 SITE_TYPE SLICEM SITEPROP SLICE_X14Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y84 CLASS site SITEPROP SLICE_X14Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y84 IS_BONDED 0 SITEPROP SLICE_X14Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y84 IS_PAD 0 SITEPROP SLICE_X14Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y84 IS_RESERVED 0 SITEPROP SLICE_X14Y84 IS_TEST 0 SITEPROP SLICE_X14Y84 IS_USED 0 SITEPROP SLICE_X14Y84 MANUAL_ROUTING SITEPROP SLICE_X14Y84 NAME SLICE_X14Y84 SITEPROP SLICE_X14Y84 NUM_ARCS 153 SITEPROP SLICE_X14Y84 NUM_BELS 32 SITEPROP SLICE_X14Y84 NUM_INPUTS 37 SITEPROP SLICE_X14Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y84 NUM_PINS 50 SITEPROP SLICE_X14Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y84 PROHIBIT 0 SITEPROP SLICE_X14Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y84 RPM_X 53 SITEPROP SLICE_X14Y84 RPM_Y 168 SITEPROP SLICE_X14Y84 SITE_PIPS SITEPROP SLICE_X14Y84 SITE_TYPE SLICEM SITEPROP SLICE_X14Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y85 CLASS site SITEPROP SLICE_X14Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y85 IS_BONDED 0 SITEPROP SLICE_X14Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y85 IS_PAD 0 SITEPROP SLICE_X14Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y85 IS_RESERVED 0 SITEPROP SLICE_X14Y85 IS_TEST 0 SITEPROP SLICE_X14Y85 IS_USED 0 SITEPROP SLICE_X14Y85 MANUAL_ROUTING SITEPROP SLICE_X14Y85 NAME SLICE_X14Y85 SITEPROP SLICE_X14Y85 NUM_ARCS 153 SITEPROP SLICE_X14Y85 NUM_BELS 32 SITEPROP SLICE_X14Y85 NUM_INPUTS 37 SITEPROP SLICE_X14Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y85 NUM_PINS 50 SITEPROP SLICE_X14Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y85 PROHIBIT 0 SITEPROP SLICE_X14Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y85 RPM_X 53 SITEPROP SLICE_X14Y85 RPM_Y 170 SITEPROP SLICE_X14Y85 SITE_PIPS SITEPROP SLICE_X14Y85 SITE_TYPE SLICEM SITEPROP SLICE_X14Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y86 CLASS site SITEPROP SLICE_X14Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y86 IS_BONDED 0 SITEPROP SLICE_X14Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y86 IS_PAD 0 SITEPROP SLICE_X14Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y86 IS_RESERVED 0 SITEPROP SLICE_X14Y86 IS_TEST 0 SITEPROP SLICE_X14Y86 IS_USED 0 SITEPROP SLICE_X14Y86 MANUAL_ROUTING SITEPROP SLICE_X14Y86 NAME SLICE_X14Y86 SITEPROP SLICE_X14Y86 NUM_ARCS 153 SITEPROP SLICE_X14Y86 NUM_BELS 32 SITEPROP SLICE_X14Y86 NUM_INPUTS 37 SITEPROP SLICE_X14Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y86 NUM_PINS 50 SITEPROP SLICE_X14Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y86 PROHIBIT 0 SITEPROP SLICE_X14Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y86 RPM_X 53 SITEPROP SLICE_X14Y86 RPM_Y 172 SITEPROP SLICE_X14Y86 SITE_PIPS SITEPROP SLICE_X14Y86 SITE_TYPE SLICEM SITEPROP SLICE_X14Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y87 CLASS site SITEPROP SLICE_X14Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y87 IS_BONDED 0 SITEPROP SLICE_X14Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y87 IS_PAD 0 SITEPROP SLICE_X14Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y87 IS_RESERVED 0 SITEPROP SLICE_X14Y87 IS_TEST 0 SITEPROP SLICE_X14Y87 IS_USED 0 SITEPROP SLICE_X14Y87 MANUAL_ROUTING SITEPROP SLICE_X14Y87 NAME SLICE_X14Y87 SITEPROP SLICE_X14Y87 NUM_ARCS 153 SITEPROP SLICE_X14Y87 NUM_BELS 32 SITEPROP SLICE_X14Y87 NUM_INPUTS 37 SITEPROP SLICE_X14Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y87 NUM_PINS 50 SITEPROP SLICE_X14Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y87 PROHIBIT 0 SITEPROP SLICE_X14Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y87 RPM_X 53 SITEPROP SLICE_X14Y87 RPM_Y 174 SITEPROP SLICE_X14Y87 SITE_PIPS SITEPROP SLICE_X14Y87 SITE_TYPE SLICEM SITEPROP SLICE_X14Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y88 CLASS site SITEPROP SLICE_X14Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y88 IS_BONDED 0 SITEPROP SLICE_X14Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y88 IS_PAD 0 SITEPROP SLICE_X14Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y88 IS_RESERVED 0 SITEPROP SLICE_X14Y88 IS_TEST 0 SITEPROP SLICE_X14Y88 IS_USED 0 SITEPROP SLICE_X14Y88 MANUAL_ROUTING SITEPROP SLICE_X14Y88 NAME SLICE_X14Y88 SITEPROP SLICE_X14Y88 NUM_ARCS 153 SITEPROP SLICE_X14Y88 NUM_BELS 32 SITEPROP SLICE_X14Y88 NUM_INPUTS 37 SITEPROP SLICE_X14Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y88 NUM_PINS 50 SITEPROP SLICE_X14Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y88 PROHIBIT 0 SITEPROP SLICE_X14Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y88 RPM_X 53 SITEPROP SLICE_X14Y88 RPM_Y 176 SITEPROP SLICE_X14Y88 SITE_PIPS SITEPROP SLICE_X14Y88 SITE_TYPE SLICEM SITEPROP SLICE_X14Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y89 CLASS site SITEPROP SLICE_X14Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y89 IS_BONDED 0 SITEPROP SLICE_X14Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y89 IS_PAD 0 SITEPROP SLICE_X14Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y89 IS_RESERVED 0 SITEPROP SLICE_X14Y89 IS_TEST 0 SITEPROP SLICE_X14Y89 IS_USED 0 SITEPROP SLICE_X14Y89 MANUAL_ROUTING SITEPROP SLICE_X14Y89 NAME SLICE_X14Y89 SITEPROP SLICE_X14Y89 NUM_ARCS 153 SITEPROP SLICE_X14Y89 NUM_BELS 32 SITEPROP SLICE_X14Y89 NUM_INPUTS 37 SITEPROP SLICE_X14Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y89 NUM_PINS 50 SITEPROP SLICE_X14Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y89 PROHIBIT 0 SITEPROP SLICE_X14Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y89 RPM_X 53 SITEPROP SLICE_X14Y89 RPM_Y 178 SITEPROP SLICE_X14Y89 SITE_PIPS SITEPROP SLICE_X14Y89 SITE_TYPE SLICEM SITEPROP SLICE_X14Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y90 CLASS site SITEPROP SLICE_X14Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y90 IS_BONDED 0 SITEPROP SLICE_X14Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y90 IS_PAD 0 SITEPROP SLICE_X14Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y90 IS_RESERVED 0 SITEPROP SLICE_X14Y90 IS_TEST 0 SITEPROP SLICE_X14Y90 IS_USED 0 SITEPROP SLICE_X14Y90 MANUAL_ROUTING SITEPROP SLICE_X14Y90 NAME SLICE_X14Y90 SITEPROP SLICE_X14Y90 NUM_ARCS 153 SITEPROP SLICE_X14Y90 NUM_BELS 32 SITEPROP SLICE_X14Y90 NUM_INPUTS 37 SITEPROP SLICE_X14Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y90 NUM_PINS 50 SITEPROP SLICE_X14Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y90 PROHIBIT 0 SITEPROP SLICE_X14Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y90 RPM_X 53 SITEPROP SLICE_X14Y90 RPM_Y 180 SITEPROP SLICE_X14Y90 SITE_PIPS SITEPROP SLICE_X14Y90 SITE_TYPE SLICEM SITEPROP SLICE_X14Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y91 CLASS site SITEPROP SLICE_X14Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y91 IS_BONDED 0 SITEPROP SLICE_X14Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y91 IS_PAD 0 SITEPROP SLICE_X14Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y91 IS_RESERVED 0 SITEPROP SLICE_X14Y91 IS_TEST 0 SITEPROP SLICE_X14Y91 IS_USED 0 SITEPROP SLICE_X14Y91 MANUAL_ROUTING SITEPROP SLICE_X14Y91 NAME SLICE_X14Y91 SITEPROP SLICE_X14Y91 NUM_ARCS 153 SITEPROP SLICE_X14Y91 NUM_BELS 32 SITEPROP SLICE_X14Y91 NUM_INPUTS 37 SITEPROP SLICE_X14Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y91 NUM_PINS 50 SITEPROP SLICE_X14Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y91 PROHIBIT 0 SITEPROP SLICE_X14Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y91 RPM_X 53 SITEPROP SLICE_X14Y91 RPM_Y 182 SITEPROP SLICE_X14Y91 SITE_PIPS SITEPROP SLICE_X14Y91 SITE_TYPE SLICEM SITEPROP SLICE_X14Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y92 CLASS site SITEPROP SLICE_X14Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y92 IS_BONDED 0 SITEPROP SLICE_X14Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y92 IS_PAD 0 SITEPROP SLICE_X14Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y92 IS_RESERVED 0 SITEPROP SLICE_X14Y92 IS_TEST 0 SITEPROP SLICE_X14Y92 IS_USED 0 SITEPROP SLICE_X14Y92 MANUAL_ROUTING SITEPROP SLICE_X14Y92 NAME SLICE_X14Y92 SITEPROP SLICE_X14Y92 NUM_ARCS 153 SITEPROP SLICE_X14Y92 NUM_BELS 32 SITEPROP SLICE_X14Y92 NUM_INPUTS 37 SITEPROP SLICE_X14Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y92 NUM_PINS 50 SITEPROP SLICE_X14Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y92 PROHIBIT 0 SITEPROP SLICE_X14Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y92 RPM_X 53 SITEPROP SLICE_X14Y92 RPM_Y 184 SITEPROP SLICE_X14Y92 SITE_PIPS SITEPROP SLICE_X14Y92 SITE_TYPE SLICEM SITEPROP SLICE_X14Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y93 CLASS site SITEPROP SLICE_X14Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y93 IS_BONDED 0 SITEPROP SLICE_X14Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y93 IS_PAD 0 SITEPROP SLICE_X14Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y93 IS_RESERVED 0 SITEPROP SLICE_X14Y93 IS_TEST 0 SITEPROP SLICE_X14Y93 IS_USED 0 SITEPROP SLICE_X14Y93 MANUAL_ROUTING SITEPROP SLICE_X14Y93 NAME SLICE_X14Y93 SITEPROP SLICE_X14Y93 NUM_ARCS 153 SITEPROP SLICE_X14Y93 NUM_BELS 32 SITEPROP SLICE_X14Y93 NUM_INPUTS 37 SITEPROP SLICE_X14Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y93 NUM_PINS 50 SITEPROP SLICE_X14Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y93 PROHIBIT 0 SITEPROP SLICE_X14Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y93 RPM_X 53 SITEPROP SLICE_X14Y93 RPM_Y 186 SITEPROP SLICE_X14Y93 SITE_PIPS SITEPROP SLICE_X14Y93 SITE_TYPE SLICEM SITEPROP SLICE_X14Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y94 CLASS site SITEPROP SLICE_X14Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y94 IS_BONDED 0 SITEPROP SLICE_X14Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y94 IS_PAD 0 SITEPROP SLICE_X14Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y94 IS_RESERVED 0 SITEPROP SLICE_X14Y94 IS_TEST 0 SITEPROP SLICE_X14Y94 IS_USED 0 SITEPROP SLICE_X14Y94 MANUAL_ROUTING SITEPROP SLICE_X14Y94 NAME SLICE_X14Y94 SITEPROP SLICE_X14Y94 NUM_ARCS 153 SITEPROP SLICE_X14Y94 NUM_BELS 32 SITEPROP SLICE_X14Y94 NUM_INPUTS 37 SITEPROP SLICE_X14Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y94 NUM_PINS 50 SITEPROP SLICE_X14Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y94 PROHIBIT 0 SITEPROP SLICE_X14Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y94 RPM_X 53 SITEPROP SLICE_X14Y94 RPM_Y 188 SITEPROP SLICE_X14Y94 SITE_PIPS SITEPROP SLICE_X14Y94 SITE_TYPE SLICEM SITEPROP SLICE_X14Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y95 CLASS site SITEPROP SLICE_X14Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y95 IS_BONDED 0 SITEPROP SLICE_X14Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y95 IS_PAD 0 SITEPROP SLICE_X14Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y95 IS_RESERVED 0 SITEPROP SLICE_X14Y95 IS_TEST 0 SITEPROP SLICE_X14Y95 IS_USED 0 SITEPROP SLICE_X14Y95 MANUAL_ROUTING SITEPROP SLICE_X14Y95 NAME SLICE_X14Y95 SITEPROP SLICE_X14Y95 NUM_ARCS 153 SITEPROP SLICE_X14Y95 NUM_BELS 32 SITEPROP SLICE_X14Y95 NUM_INPUTS 37 SITEPROP SLICE_X14Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y95 NUM_PINS 50 SITEPROP SLICE_X14Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y95 PROHIBIT 0 SITEPROP SLICE_X14Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y95 RPM_X 53 SITEPROP SLICE_X14Y95 RPM_Y 190 SITEPROP SLICE_X14Y95 SITE_PIPS SITEPROP SLICE_X14Y95 SITE_TYPE SLICEM SITEPROP SLICE_X14Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y96 CLASS site SITEPROP SLICE_X14Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y96 IS_BONDED 0 SITEPROP SLICE_X14Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y96 IS_PAD 0 SITEPROP SLICE_X14Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y96 IS_RESERVED 0 SITEPROP SLICE_X14Y96 IS_TEST 0 SITEPROP SLICE_X14Y96 IS_USED 0 SITEPROP SLICE_X14Y96 MANUAL_ROUTING SITEPROP SLICE_X14Y96 NAME SLICE_X14Y96 SITEPROP SLICE_X14Y96 NUM_ARCS 153 SITEPROP SLICE_X14Y96 NUM_BELS 32 SITEPROP SLICE_X14Y96 NUM_INPUTS 37 SITEPROP SLICE_X14Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y96 NUM_PINS 50 SITEPROP SLICE_X14Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y96 PROHIBIT 0 SITEPROP SLICE_X14Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y96 RPM_X 53 SITEPROP SLICE_X14Y96 RPM_Y 192 SITEPROP SLICE_X14Y96 SITE_PIPS SITEPROP SLICE_X14Y96 SITE_TYPE SLICEM SITEPROP SLICE_X14Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y97 CLASS site SITEPROP SLICE_X14Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y97 IS_BONDED 0 SITEPROP SLICE_X14Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y97 IS_PAD 0 SITEPROP SLICE_X14Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y97 IS_RESERVED 0 SITEPROP SLICE_X14Y97 IS_TEST 0 SITEPROP SLICE_X14Y97 IS_USED 0 SITEPROP SLICE_X14Y97 MANUAL_ROUTING SITEPROP SLICE_X14Y97 NAME SLICE_X14Y97 SITEPROP SLICE_X14Y97 NUM_ARCS 153 SITEPROP SLICE_X14Y97 NUM_BELS 32 SITEPROP SLICE_X14Y97 NUM_INPUTS 37 SITEPROP SLICE_X14Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y97 NUM_PINS 50 SITEPROP SLICE_X14Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y97 PROHIBIT 0 SITEPROP SLICE_X14Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y97 RPM_X 53 SITEPROP SLICE_X14Y97 RPM_Y 194 SITEPROP SLICE_X14Y97 SITE_PIPS SITEPROP SLICE_X14Y97 SITE_TYPE SLICEM SITEPROP SLICE_X14Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y98 CLASS site SITEPROP SLICE_X14Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y98 IS_BONDED 0 SITEPROP SLICE_X14Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y98 IS_PAD 0 SITEPROP SLICE_X14Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y98 IS_RESERVED 0 SITEPROP SLICE_X14Y98 IS_TEST 0 SITEPROP SLICE_X14Y98 IS_USED 0 SITEPROP SLICE_X14Y98 MANUAL_ROUTING SITEPROP SLICE_X14Y98 NAME SLICE_X14Y98 SITEPROP SLICE_X14Y98 NUM_ARCS 153 SITEPROP SLICE_X14Y98 NUM_BELS 32 SITEPROP SLICE_X14Y98 NUM_INPUTS 37 SITEPROP SLICE_X14Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y98 NUM_PINS 50 SITEPROP SLICE_X14Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y98 PROHIBIT 0 SITEPROP SLICE_X14Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y98 RPM_X 53 SITEPROP SLICE_X14Y98 RPM_Y 196 SITEPROP SLICE_X14Y98 SITE_PIPS SITEPROP SLICE_X14Y98 SITE_TYPE SLICEM SITEPROP SLICE_X14Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y99 CLASS site SITEPROP SLICE_X14Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X14Y99 IS_BONDED 0 SITEPROP SLICE_X14Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y99 IS_PAD 0 SITEPROP SLICE_X14Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y99 IS_RESERVED 0 SITEPROP SLICE_X14Y99 IS_TEST 0 SITEPROP SLICE_X14Y99 IS_USED 0 SITEPROP SLICE_X14Y99 MANUAL_ROUTING SITEPROP SLICE_X14Y99 NAME SLICE_X14Y99 SITEPROP SLICE_X14Y99 NUM_ARCS 153 SITEPROP SLICE_X14Y99 NUM_BELS 32 SITEPROP SLICE_X14Y99 NUM_INPUTS 37 SITEPROP SLICE_X14Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y99 NUM_PINS 50 SITEPROP SLICE_X14Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y99 PROHIBIT 0 SITEPROP SLICE_X14Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y99 RPM_X 53 SITEPROP SLICE_X14Y99 RPM_Y 198 SITEPROP SLICE_X14Y99 SITE_PIPS SITEPROP SLICE_X14Y99 SITE_TYPE SLICEM SITEPROP SLICE_X14Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y100 CLASS site SITEPROP SLICE_X14Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y100 IS_BONDED 0 SITEPROP SLICE_X14Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y100 IS_PAD 0 SITEPROP SLICE_X14Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y100 IS_RESERVED 0 SITEPROP SLICE_X14Y100 IS_TEST 0 SITEPROP SLICE_X14Y100 IS_USED 0 SITEPROP SLICE_X14Y100 MANUAL_ROUTING SITEPROP SLICE_X14Y100 NAME SLICE_X14Y100 SITEPROP SLICE_X14Y100 NUM_ARCS 153 SITEPROP SLICE_X14Y100 NUM_BELS 32 SITEPROP SLICE_X14Y100 NUM_INPUTS 37 SITEPROP SLICE_X14Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y100 NUM_PINS 50 SITEPROP SLICE_X14Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y100 PROHIBIT 0 SITEPROP SLICE_X14Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y100 RPM_X 53 SITEPROP SLICE_X14Y100 RPM_Y 200 SITEPROP SLICE_X14Y100 SITE_PIPS SITEPROP SLICE_X14Y100 SITE_TYPE SLICEM SITEPROP SLICE_X14Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y101 CLASS site SITEPROP SLICE_X14Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y101 IS_BONDED 0 SITEPROP SLICE_X14Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y101 IS_PAD 0 SITEPROP SLICE_X14Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y101 IS_RESERVED 0 SITEPROP SLICE_X14Y101 IS_TEST 0 SITEPROP SLICE_X14Y101 IS_USED 0 SITEPROP SLICE_X14Y101 MANUAL_ROUTING SITEPROP SLICE_X14Y101 NAME SLICE_X14Y101 SITEPROP SLICE_X14Y101 NUM_ARCS 153 SITEPROP SLICE_X14Y101 NUM_BELS 32 SITEPROP SLICE_X14Y101 NUM_INPUTS 37 SITEPROP SLICE_X14Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y101 NUM_PINS 50 SITEPROP SLICE_X14Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y101 PROHIBIT 0 SITEPROP SLICE_X14Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y101 RPM_X 53 SITEPROP SLICE_X14Y101 RPM_Y 202 SITEPROP SLICE_X14Y101 SITE_PIPS SITEPROP SLICE_X14Y101 SITE_TYPE SLICEM SITEPROP SLICE_X14Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y102 CLASS site SITEPROP SLICE_X14Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y102 IS_BONDED 0 SITEPROP SLICE_X14Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y102 IS_PAD 0 SITEPROP SLICE_X14Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y102 IS_RESERVED 0 SITEPROP SLICE_X14Y102 IS_TEST 0 SITEPROP SLICE_X14Y102 IS_USED 0 SITEPROP SLICE_X14Y102 MANUAL_ROUTING SITEPROP SLICE_X14Y102 NAME SLICE_X14Y102 SITEPROP SLICE_X14Y102 NUM_ARCS 153 SITEPROP SLICE_X14Y102 NUM_BELS 32 SITEPROP SLICE_X14Y102 NUM_INPUTS 37 SITEPROP SLICE_X14Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y102 NUM_PINS 50 SITEPROP SLICE_X14Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y102 PROHIBIT 0 SITEPROP SLICE_X14Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y102 RPM_X 53 SITEPROP SLICE_X14Y102 RPM_Y 204 SITEPROP SLICE_X14Y102 SITE_PIPS SITEPROP SLICE_X14Y102 SITE_TYPE SLICEM SITEPROP SLICE_X14Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y103 CLASS site SITEPROP SLICE_X14Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y103 IS_BONDED 0 SITEPROP SLICE_X14Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y103 IS_PAD 0 SITEPROP SLICE_X14Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y103 IS_RESERVED 0 SITEPROP SLICE_X14Y103 IS_TEST 0 SITEPROP SLICE_X14Y103 IS_USED 0 SITEPROP SLICE_X14Y103 MANUAL_ROUTING SITEPROP SLICE_X14Y103 NAME SLICE_X14Y103 SITEPROP SLICE_X14Y103 NUM_ARCS 153 SITEPROP SLICE_X14Y103 NUM_BELS 32 SITEPROP SLICE_X14Y103 NUM_INPUTS 37 SITEPROP SLICE_X14Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y103 NUM_PINS 50 SITEPROP SLICE_X14Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y103 PROHIBIT 0 SITEPROP SLICE_X14Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y103 RPM_X 53 SITEPROP SLICE_X14Y103 RPM_Y 206 SITEPROP SLICE_X14Y103 SITE_PIPS SITEPROP SLICE_X14Y103 SITE_TYPE SLICEM SITEPROP SLICE_X14Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y104 CLASS site SITEPROP SLICE_X14Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y104 IS_BONDED 0 SITEPROP SLICE_X14Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y104 IS_PAD 0 SITEPROP SLICE_X14Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y104 IS_RESERVED 0 SITEPROP SLICE_X14Y104 IS_TEST 0 SITEPROP SLICE_X14Y104 IS_USED 0 SITEPROP SLICE_X14Y104 MANUAL_ROUTING SITEPROP SLICE_X14Y104 NAME SLICE_X14Y104 SITEPROP SLICE_X14Y104 NUM_ARCS 153 SITEPROP SLICE_X14Y104 NUM_BELS 32 SITEPROP SLICE_X14Y104 NUM_INPUTS 37 SITEPROP SLICE_X14Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y104 NUM_PINS 50 SITEPROP SLICE_X14Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y104 PROHIBIT 0 SITEPROP SLICE_X14Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y104 RPM_X 53 SITEPROP SLICE_X14Y104 RPM_Y 208 SITEPROP SLICE_X14Y104 SITE_PIPS SITEPROP SLICE_X14Y104 SITE_TYPE SLICEM SITEPROP SLICE_X14Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y105 CLASS site SITEPROP SLICE_X14Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y105 IS_BONDED 0 SITEPROP SLICE_X14Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y105 IS_PAD 0 SITEPROP SLICE_X14Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y105 IS_RESERVED 0 SITEPROP SLICE_X14Y105 IS_TEST 0 SITEPROP SLICE_X14Y105 IS_USED 0 SITEPROP SLICE_X14Y105 MANUAL_ROUTING SITEPROP SLICE_X14Y105 NAME SLICE_X14Y105 SITEPROP SLICE_X14Y105 NUM_ARCS 153 SITEPROP SLICE_X14Y105 NUM_BELS 32 SITEPROP SLICE_X14Y105 NUM_INPUTS 37 SITEPROP SLICE_X14Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y105 NUM_PINS 50 SITEPROP SLICE_X14Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y105 PROHIBIT 0 SITEPROP SLICE_X14Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y105 RPM_X 53 SITEPROP SLICE_X14Y105 RPM_Y 210 SITEPROP SLICE_X14Y105 SITE_PIPS SITEPROP SLICE_X14Y105 SITE_TYPE SLICEM SITEPROP SLICE_X14Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y106 CLASS site SITEPROP SLICE_X14Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y106 IS_BONDED 0 SITEPROP SLICE_X14Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y106 IS_PAD 0 SITEPROP SLICE_X14Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y106 IS_RESERVED 0 SITEPROP SLICE_X14Y106 IS_TEST 0 SITEPROP SLICE_X14Y106 IS_USED 0 SITEPROP SLICE_X14Y106 MANUAL_ROUTING SITEPROP SLICE_X14Y106 NAME SLICE_X14Y106 SITEPROP SLICE_X14Y106 NUM_ARCS 153 SITEPROP SLICE_X14Y106 NUM_BELS 32 SITEPROP SLICE_X14Y106 NUM_INPUTS 37 SITEPROP SLICE_X14Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y106 NUM_PINS 50 SITEPROP SLICE_X14Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y106 PROHIBIT 0 SITEPROP SLICE_X14Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y106 RPM_X 53 SITEPROP SLICE_X14Y106 RPM_Y 212 SITEPROP SLICE_X14Y106 SITE_PIPS SITEPROP SLICE_X14Y106 SITE_TYPE SLICEM SITEPROP SLICE_X14Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y107 CLASS site SITEPROP SLICE_X14Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y107 IS_BONDED 0 SITEPROP SLICE_X14Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y107 IS_PAD 0 SITEPROP SLICE_X14Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y107 IS_RESERVED 0 SITEPROP SLICE_X14Y107 IS_TEST 0 SITEPROP SLICE_X14Y107 IS_USED 0 SITEPROP SLICE_X14Y107 MANUAL_ROUTING SITEPROP SLICE_X14Y107 NAME SLICE_X14Y107 SITEPROP SLICE_X14Y107 NUM_ARCS 153 SITEPROP SLICE_X14Y107 NUM_BELS 32 SITEPROP SLICE_X14Y107 NUM_INPUTS 37 SITEPROP SLICE_X14Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y107 NUM_PINS 50 SITEPROP SLICE_X14Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y107 PROHIBIT 0 SITEPROP SLICE_X14Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y107 RPM_X 53 SITEPROP SLICE_X14Y107 RPM_Y 214 SITEPROP SLICE_X14Y107 SITE_PIPS SITEPROP SLICE_X14Y107 SITE_TYPE SLICEM SITEPROP SLICE_X14Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y108 CLASS site SITEPROP SLICE_X14Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y108 IS_BONDED 0 SITEPROP SLICE_X14Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y108 IS_PAD 0 SITEPROP SLICE_X14Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y108 IS_RESERVED 0 SITEPROP SLICE_X14Y108 IS_TEST 0 SITEPROP SLICE_X14Y108 IS_USED 0 SITEPROP SLICE_X14Y108 MANUAL_ROUTING SITEPROP SLICE_X14Y108 NAME SLICE_X14Y108 SITEPROP SLICE_X14Y108 NUM_ARCS 153 SITEPROP SLICE_X14Y108 NUM_BELS 32 SITEPROP SLICE_X14Y108 NUM_INPUTS 37 SITEPROP SLICE_X14Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y108 NUM_PINS 50 SITEPROP SLICE_X14Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y108 PROHIBIT 0 SITEPROP SLICE_X14Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y108 RPM_X 53 SITEPROP SLICE_X14Y108 RPM_Y 216 SITEPROP SLICE_X14Y108 SITE_PIPS SITEPROP SLICE_X14Y108 SITE_TYPE SLICEM SITEPROP SLICE_X14Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y109 CLASS site SITEPROP SLICE_X14Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y109 IS_BONDED 0 SITEPROP SLICE_X14Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y109 IS_PAD 0 SITEPROP SLICE_X14Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y109 IS_RESERVED 0 SITEPROP SLICE_X14Y109 IS_TEST 0 SITEPROP SLICE_X14Y109 IS_USED 0 SITEPROP SLICE_X14Y109 MANUAL_ROUTING SITEPROP SLICE_X14Y109 NAME SLICE_X14Y109 SITEPROP SLICE_X14Y109 NUM_ARCS 153 SITEPROP SLICE_X14Y109 NUM_BELS 32 SITEPROP SLICE_X14Y109 NUM_INPUTS 37 SITEPROP SLICE_X14Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y109 NUM_PINS 50 SITEPROP SLICE_X14Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y109 PROHIBIT 0 SITEPROP SLICE_X14Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y109 RPM_X 53 SITEPROP SLICE_X14Y109 RPM_Y 218 SITEPROP SLICE_X14Y109 SITE_PIPS SITEPROP SLICE_X14Y109 SITE_TYPE SLICEM SITEPROP SLICE_X14Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y110 CLASS site SITEPROP SLICE_X14Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y110 IS_BONDED 0 SITEPROP SLICE_X14Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y110 IS_PAD 0 SITEPROP SLICE_X14Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y110 IS_RESERVED 0 SITEPROP SLICE_X14Y110 IS_TEST 0 SITEPROP SLICE_X14Y110 IS_USED 0 SITEPROP SLICE_X14Y110 MANUAL_ROUTING SITEPROP SLICE_X14Y110 NAME SLICE_X14Y110 SITEPROP SLICE_X14Y110 NUM_ARCS 153 SITEPROP SLICE_X14Y110 NUM_BELS 32 SITEPROP SLICE_X14Y110 NUM_INPUTS 37 SITEPROP SLICE_X14Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y110 NUM_PINS 50 SITEPROP SLICE_X14Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y110 PROHIBIT 0 SITEPROP SLICE_X14Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y110 RPM_X 53 SITEPROP SLICE_X14Y110 RPM_Y 220 SITEPROP SLICE_X14Y110 SITE_PIPS SITEPROP SLICE_X14Y110 SITE_TYPE SLICEM SITEPROP SLICE_X14Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y111 CLASS site SITEPROP SLICE_X14Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y111 IS_BONDED 0 SITEPROP SLICE_X14Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y111 IS_PAD 0 SITEPROP SLICE_X14Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y111 IS_RESERVED 0 SITEPROP SLICE_X14Y111 IS_TEST 0 SITEPROP SLICE_X14Y111 IS_USED 0 SITEPROP SLICE_X14Y111 MANUAL_ROUTING SITEPROP SLICE_X14Y111 NAME SLICE_X14Y111 SITEPROP SLICE_X14Y111 NUM_ARCS 153 SITEPROP SLICE_X14Y111 NUM_BELS 32 SITEPROP SLICE_X14Y111 NUM_INPUTS 37 SITEPROP SLICE_X14Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y111 NUM_PINS 50 SITEPROP SLICE_X14Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y111 PROHIBIT 0 SITEPROP SLICE_X14Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y111 RPM_X 53 SITEPROP SLICE_X14Y111 RPM_Y 222 SITEPROP SLICE_X14Y111 SITE_PIPS SITEPROP SLICE_X14Y111 SITE_TYPE SLICEM SITEPROP SLICE_X14Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y112 CLASS site SITEPROP SLICE_X14Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y112 IS_BONDED 0 SITEPROP SLICE_X14Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y112 IS_PAD 0 SITEPROP SLICE_X14Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y112 IS_RESERVED 0 SITEPROP SLICE_X14Y112 IS_TEST 0 SITEPROP SLICE_X14Y112 IS_USED 0 SITEPROP SLICE_X14Y112 MANUAL_ROUTING SITEPROP SLICE_X14Y112 NAME SLICE_X14Y112 SITEPROP SLICE_X14Y112 NUM_ARCS 153 SITEPROP SLICE_X14Y112 NUM_BELS 32 SITEPROP SLICE_X14Y112 NUM_INPUTS 37 SITEPROP SLICE_X14Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y112 NUM_PINS 50 SITEPROP SLICE_X14Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y112 PROHIBIT 0 SITEPROP SLICE_X14Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y112 RPM_X 53 SITEPROP SLICE_X14Y112 RPM_Y 224 SITEPROP SLICE_X14Y112 SITE_PIPS SITEPROP SLICE_X14Y112 SITE_TYPE SLICEM SITEPROP SLICE_X14Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y113 CLASS site SITEPROP SLICE_X14Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y113 IS_BONDED 0 SITEPROP SLICE_X14Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y113 IS_PAD 0 SITEPROP SLICE_X14Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y113 IS_RESERVED 0 SITEPROP SLICE_X14Y113 IS_TEST 0 SITEPROP SLICE_X14Y113 IS_USED 0 SITEPROP SLICE_X14Y113 MANUAL_ROUTING SITEPROP SLICE_X14Y113 NAME SLICE_X14Y113 SITEPROP SLICE_X14Y113 NUM_ARCS 153 SITEPROP SLICE_X14Y113 NUM_BELS 32 SITEPROP SLICE_X14Y113 NUM_INPUTS 37 SITEPROP SLICE_X14Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y113 NUM_PINS 50 SITEPROP SLICE_X14Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y113 PROHIBIT 0 SITEPROP SLICE_X14Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y113 RPM_X 53 SITEPROP SLICE_X14Y113 RPM_Y 226 SITEPROP SLICE_X14Y113 SITE_PIPS SITEPROP SLICE_X14Y113 SITE_TYPE SLICEM SITEPROP SLICE_X14Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y114 CLASS site SITEPROP SLICE_X14Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y114 IS_BONDED 0 SITEPROP SLICE_X14Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y114 IS_PAD 0 SITEPROP SLICE_X14Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y114 IS_RESERVED 0 SITEPROP SLICE_X14Y114 IS_TEST 0 SITEPROP SLICE_X14Y114 IS_USED 0 SITEPROP SLICE_X14Y114 MANUAL_ROUTING SITEPROP SLICE_X14Y114 NAME SLICE_X14Y114 SITEPROP SLICE_X14Y114 NUM_ARCS 153 SITEPROP SLICE_X14Y114 NUM_BELS 32 SITEPROP SLICE_X14Y114 NUM_INPUTS 37 SITEPROP SLICE_X14Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y114 NUM_PINS 50 SITEPROP SLICE_X14Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y114 PROHIBIT 0 SITEPROP SLICE_X14Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y114 RPM_X 53 SITEPROP SLICE_X14Y114 RPM_Y 228 SITEPROP SLICE_X14Y114 SITE_PIPS SITEPROP SLICE_X14Y114 SITE_TYPE SLICEM SITEPROP SLICE_X14Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y115 CLASS site SITEPROP SLICE_X14Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y115 IS_BONDED 0 SITEPROP SLICE_X14Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y115 IS_PAD 0 SITEPROP SLICE_X14Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y115 IS_RESERVED 0 SITEPROP SLICE_X14Y115 IS_TEST 0 SITEPROP SLICE_X14Y115 IS_USED 0 SITEPROP SLICE_X14Y115 MANUAL_ROUTING SITEPROP SLICE_X14Y115 NAME SLICE_X14Y115 SITEPROP SLICE_X14Y115 NUM_ARCS 153 SITEPROP SLICE_X14Y115 NUM_BELS 32 SITEPROP SLICE_X14Y115 NUM_INPUTS 37 SITEPROP SLICE_X14Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y115 NUM_PINS 50 SITEPROP SLICE_X14Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y115 PROHIBIT 0 SITEPROP SLICE_X14Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y115 RPM_X 53 SITEPROP SLICE_X14Y115 RPM_Y 230 SITEPROP SLICE_X14Y115 SITE_PIPS SITEPROP SLICE_X14Y115 SITE_TYPE SLICEM SITEPROP SLICE_X14Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y116 CLASS site SITEPROP SLICE_X14Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y116 IS_BONDED 0 SITEPROP SLICE_X14Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y116 IS_PAD 0 SITEPROP SLICE_X14Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y116 IS_RESERVED 0 SITEPROP SLICE_X14Y116 IS_TEST 0 SITEPROP SLICE_X14Y116 IS_USED 0 SITEPROP SLICE_X14Y116 MANUAL_ROUTING SITEPROP SLICE_X14Y116 NAME SLICE_X14Y116 SITEPROP SLICE_X14Y116 NUM_ARCS 153 SITEPROP SLICE_X14Y116 NUM_BELS 32 SITEPROP SLICE_X14Y116 NUM_INPUTS 37 SITEPROP SLICE_X14Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y116 NUM_PINS 50 SITEPROP SLICE_X14Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y116 PROHIBIT 0 SITEPROP SLICE_X14Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y116 RPM_X 53 SITEPROP SLICE_X14Y116 RPM_Y 232 SITEPROP SLICE_X14Y116 SITE_PIPS SITEPROP SLICE_X14Y116 SITE_TYPE SLICEM SITEPROP SLICE_X14Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y117 CLASS site SITEPROP SLICE_X14Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y117 IS_BONDED 0 SITEPROP SLICE_X14Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y117 IS_PAD 0 SITEPROP SLICE_X14Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y117 IS_RESERVED 0 SITEPROP SLICE_X14Y117 IS_TEST 0 SITEPROP SLICE_X14Y117 IS_USED 0 SITEPROP SLICE_X14Y117 MANUAL_ROUTING SITEPROP SLICE_X14Y117 NAME SLICE_X14Y117 SITEPROP SLICE_X14Y117 NUM_ARCS 153 SITEPROP SLICE_X14Y117 NUM_BELS 32 SITEPROP SLICE_X14Y117 NUM_INPUTS 37 SITEPROP SLICE_X14Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y117 NUM_PINS 50 SITEPROP SLICE_X14Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y117 PROHIBIT 0 SITEPROP SLICE_X14Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y117 RPM_X 53 SITEPROP SLICE_X14Y117 RPM_Y 234 SITEPROP SLICE_X14Y117 SITE_PIPS SITEPROP SLICE_X14Y117 SITE_TYPE SLICEM SITEPROP SLICE_X14Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y118 CLASS site SITEPROP SLICE_X14Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y118 IS_BONDED 0 SITEPROP SLICE_X14Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y118 IS_PAD 0 SITEPROP SLICE_X14Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y118 IS_RESERVED 0 SITEPROP SLICE_X14Y118 IS_TEST 0 SITEPROP SLICE_X14Y118 IS_USED 0 SITEPROP SLICE_X14Y118 MANUAL_ROUTING SITEPROP SLICE_X14Y118 NAME SLICE_X14Y118 SITEPROP SLICE_X14Y118 NUM_ARCS 153 SITEPROP SLICE_X14Y118 NUM_BELS 32 SITEPROP SLICE_X14Y118 NUM_INPUTS 37 SITEPROP SLICE_X14Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y118 NUM_PINS 50 SITEPROP SLICE_X14Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y118 PROHIBIT 0 SITEPROP SLICE_X14Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y118 RPM_X 53 SITEPROP SLICE_X14Y118 RPM_Y 236 SITEPROP SLICE_X14Y118 SITE_PIPS SITEPROP SLICE_X14Y118 SITE_TYPE SLICEM SITEPROP SLICE_X14Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y119 CLASS site SITEPROP SLICE_X14Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y119 IS_BONDED 0 SITEPROP SLICE_X14Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y119 IS_PAD 0 SITEPROP SLICE_X14Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y119 IS_RESERVED 0 SITEPROP SLICE_X14Y119 IS_TEST 0 SITEPROP SLICE_X14Y119 IS_USED 0 SITEPROP SLICE_X14Y119 MANUAL_ROUTING SITEPROP SLICE_X14Y119 NAME SLICE_X14Y119 SITEPROP SLICE_X14Y119 NUM_ARCS 153 SITEPROP SLICE_X14Y119 NUM_BELS 32 SITEPROP SLICE_X14Y119 NUM_INPUTS 37 SITEPROP SLICE_X14Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y119 NUM_PINS 50 SITEPROP SLICE_X14Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y119 PROHIBIT 0 SITEPROP SLICE_X14Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y119 RPM_X 53 SITEPROP SLICE_X14Y119 RPM_Y 238 SITEPROP SLICE_X14Y119 SITE_PIPS SITEPROP SLICE_X14Y119 SITE_TYPE SLICEM SITEPROP SLICE_X14Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y120 CLASS site SITEPROP SLICE_X14Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y120 IS_BONDED 0 SITEPROP SLICE_X14Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y120 IS_PAD 0 SITEPROP SLICE_X14Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y120 IS_RESERVED 0 SITEPROP SLICE_X14Y120 IS_TEST 0 SITEPROP SLICE_X14Y120 IS_USED 0 SITEPROP SLICE_X14Y120 MANUAL_ROUTING SITEPROP SLICE_X14Y120 NAME SLICE_X14Y120 SITEPROP SLICE_X14Y120 NUM_ARCS 153 SITEPROP SLICE_X14Y120 NUM_BELS 32 SITEPROP SLICE_X14Y120 NUM_INPUTS 37 SITEPROP SLICE_X14Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y120 NUM_PINS 50 SITEPROP SLICE_X14Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y120 PROHIBIT 0 SITEPROP SLICE_X14Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y120 RPM_X 53 SITEPROP SLICE_X14Y120 RPM_Y 240 SITEPROP SLICE_X14Y120 SITE_PIPS SITEPROP SLICE_X14Y120 SITE_TYPE SLICEM SITEPROP SLICE_X14Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y121 CLASS site SITEPROP SLICE_X14Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y121 IS_BONDED 0 SITEPROP SLICE_X14Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y121 IS_PAD 0 SITEPROP SLICE_X14Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y121 IS_RESERVED 0 SITEPROP SLICE_X14Y121 IS_TEST 0 SITEPROP SLICE_X14Y121 IS_USED 0 SITEPROP SLICE_X14Y121 MANUAL_ROUTING SITEPROP SLICE_X14Y121 NAME SLICE_X14Y121 SITEPROP SLICE_X14Y121 NUM_ARCS 153 SITEPROP SLICE_X14Y121 NUM_BELS 32 SITEPROP SLICE_X14Y121 NUM_INPUTS 37 SITEPROP SLICE_X14Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y121 NUM_PINS 50 SITEPROP SLICE_X14Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y121 PROHIBIT 0 SITEPROP SLICE_X14Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y121 RPM_X 53 SITEPROP SLICE_X14Y121 RPM_Y 242 SITEPROP SLICE_X14Y121 SITE_PIPS SITEPROP SLICE_X14Y121 SITE_TYPE SLICEM SITEPROP SLICE_X14Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y122 CLASS site SITEPROP SLICE_X14Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y122 IS_BONDED 0 SITEPROP SLICE_X14Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y122 IS_PAD 0 SITEPROP SLICE_X14Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y122 IS_RESERVED 0 SITEPROP SLICE_X14Y122 IS_TEST 0 SITEPROP SLICE_X14Y122 IS_USED 0 SITEPROP SLICE_X14Y122 MANUAL_ROUTING SITEPROP SLICE_X14Y122 NAME SLICE_X14Y122 SITEPROP SLICE_X14Y122 NUM_ARCS 153 SITEPROP SLICE_X14Y122 NUM_BELS 32 SITEPROP SLICE_X14Y122 NUM_INPUTS 37 SITEPROP SLICE_X14Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y122 NUM_PINS 50 SITEPROP SLICE_X14Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y122 PROHIBIT 0 SITEPROP SLICE_X14Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y122 RPM_X 53 SITEPROP SLICE_X14Y122 RPM_Y 244 SITEPROP SLICE_X14Y122 SITE_PIPS SITEPROP SLICE_X14Y122 SITE_TYPE SLICEM SITEPROP SLICE_X14Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y123 CLASS site SITEPROP SLICE_X14Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y123 IS_BONDED 0 SITEPROP SLICE_X14Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y123 IS_PAD 0 SITEPROP SLICE_X14Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y123 IS_RESERVED 0 SITEPROP SLICE_X14Y123 IS_TEST 0 SITEPROP SLICE_X14Y123 IS_USED 0 SITEPROP SLICE_X14Y123 MANUAL_ROUTING SITEPROP SLICE_X14Y123 NAME SLICE_X14Y123 SITEPROP SLICE_X14Y123 NUM_ARCS 153 SITEPROP SLICE_X14Y123 NUM_BELS 32 SITEPROP SLICE_X14Y123 NUM_INPUTS 37 SITEPROP SLICE_X14Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y123 NUM_PINS 50 SITEPROP SLICE_X14Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y123 PROHIBIT 0 SITEPROP SLICE_X14Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y123 RPM_X 53 SITEPROP SLICE_X14Y123 RPM_Y 246 SITEPROP SLICE_X14Y123 SITE_PIPS SITEPROP SLICE_X14Y123 SITE_TYPE SLICEM SITEPROP SLICE_X14Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y124 CLASS site SITEPROP SLICE_X14Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y124 IS_BONDED 0 SITEPROP SLICE_X14Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y124 IS_PAD 0 SITEPROP SLICE_X14Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y124 IS_RESERVED 0 SITEPROP SLICE_X14Y124 IS_TEST 0 SITEPROP SLICE_X14Y124 IS_USED 0 SITEPROP SLICE_X14Y124 MANUAL_ROUTING SITEPROP SLICE_X14Y124 NAME SLICE_X14Y124 SITEPROP SLICE_X14Y124 NUM_ARCS 153 SITEPROP SLICE_X14Y124 NUM_BELS 32 SITEPROP SLICE_X14Y124 NUM_INPUTS 37 SITEPROP SLICE_X14Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y124 NUM_PINS 50 SITEPROP SLICE_X14Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y124 PROHIBIT 0 SITEPROP SLICE_X14Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y124 RPM_X 53 SITEPROP SLICE_X14Y124 RPM_Y 248 SITEPROP SLICE_X14Y124 SITE_PIPS SITEPROP SLICE_X14Y124 SITE_TYPE SLICEM SITEPROP SLICE_X14Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y125 CLASS site SITEPROP SLICE_X14Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y125 IS_BONDED 0 SITEPROP SLICE_X14Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y125 IS_PAD 0 SITEPROP SLICE_X14Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y125 IS_RESERVED 0 SITEPROP SLICE_X14Y125 IS_TEST 0 SITEPROP SLICE_X14Y125 IS_USED 0 SITEPROP SLICE_X14Y125 MANUAL_ROUTING SITEPROP SLICE_X14Y125 NAME SLICE_X14Y125 SITEPROP SLICE_X14Y125 NUM_ARCS 153 SITEPROP SLICE_X14Y125 NUM_BELS 32 SITEPROP SLICE_X14Y125 NUM_INPUTS 37 SITEPROP SLICE_X14Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y125 NUM_PINS 50 SITEPROP SLICE_X14Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y125 PROHIBIT 0 SITEPROP SLICE_X14Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y125 RPM_X 53 SITEPROP SLICE_X14Y125 RPM_Y 250 SITEPROP SLICE_X14Y125 SITE_PIPS SITEPROP SLICE_X14Y125 SITE_TYPE SLICEM SITEPROP SLICE_X14Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y126 CLASS site SITEPROP SLICE_X14Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y126 IS_BONDED 0 SITEPROP SLICE_X14Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y126 IS_PAD 0 SITEPROP SLICE_X14Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y126 IS_RESERVED 0 SITEPROP SLICE_X14Y126 IS_TEST 0 SITEPROP SLICE_X14Y126 IS_USED 0 SITEPROP SLICE_X14Y126 MANUAL_ROUTING SITEPROP SLICE_X14Y126 NAME SLICE_X14Y126 SITEPROP SLICE_X14Y126 NUM_ARCS 153 SITEPROP SLICE_X14Y126 NUM_BELS 32 SITEPROP SLICE_X14Y126 NUM_INPUTS 37 SITEPROP SLICE_X14Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y126 NUM_PINS 50 SITEPROP SLICE_X14Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y126 PROHIBIT 0 SITEPROP SLICE_X14Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y126 RPM_X 53 SITEPROP SLICE_X14Y126 RPM_Y 252 SITEPROP SLICE_X14Y126 SITE_PIPS SITEPROP SLICE_X14Y126 SITE_TYPE SLICEM SITEPROP SLICE_X14Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y127 CLASS site SITEPROP SLICE_X14Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y127 IS_BONDED 0 SITEPROP SLICE_X14Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y127 IS_PAD 0 SITEPROP SLICE_X14Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y127 IS_RESERVED 0 SITEPROP SLICE_X14Y127 IS_TEST 0 SITEPROP SLICE_X14Y127 IS_USED 0 SITEPROP SLICE_X14Y127 MANUAL_ROUTING SITEPROP SLICE_X14Y127 NAME SLICE_X14Y127 SITEPROP SLICE_X14Y127 NUM_ARCS 153 SITEPROP SLICE_X14Y127 NUM_BELS 32 SITEPROP SLICE_X14Y127 NUM_INPUTS 37 SITEPROP SLICE_X14Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y127 NUM_PINS 50 SITEPROP SLICE_X14Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y127 PROHIBIT 0 SITEPROP SLICE_X14Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y127 RPM_X 53 SITEPROP SLICE_X14Y127 RPM_Y 254 SITEPROP SLICE_X14Y127 SITE_PIPS SITEPROP SLICE_X14Y127 SITE_TYPE SLICEM SITEPROP SLICE_X14Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y128 CLASS site SITEPROP SLICE_X14Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y128 IS_BONDED 0 SITEPROP SLICE_X14Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y128 IS_PAD 0 SITEPROP SLICE_X14Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y128 IS_RESERVED 0 SITEPROP SLICE_X14Y128 IS_TEST 0 SITEPROP SLICE_X14Y128 IS_USED 0 SITEPROP SLICE_X14Y128 MANUAL_ROUTING SITEPROP SLICE_X14Y128 NAME SLICE_X14Y128 SITEPROP SLICE_X14Y128 NUM_ARCS 153 SITEPROP SLICE_X14Y128 NUM_BELS 32 SITEPROP SLICE_X14Y128 NUM_INPUTS 37 SITEPROP SLICE_X14Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y128 NUM_PINS 50 SITEPROP SLICE_X14Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y128 PROHIBIT 0 SITEPROP SLICE_X14Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y128 RPM_X 53 SITEPROP SLICE_X14Y128 RPM_Y 256 SITEPROP SLICE_X14Y128 SITE_PIPS SITEPROP SLICE_X14Y128 SITE_TYPE SLICEM SITEPROP SLICE_X14Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y129 CLASS site SITEPROP SLICE_X14Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y129 IS_BONDED 0 SITEPROP SLICE_X14Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y129 IS_PAD 0 SITEPROP SLICE_X14Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y129 IS_RESERVED 0 SITEPROP SLICE_X14Y129 IS_TEST 0 SITEPROP SLICE_X14Y129 IS_USED 0 SITEPROP SLICE_X14Y129 MANUAL_ROUTING SITEPROP SLICE_X14Y129 NAME SLICE_X14Y129 SITEPROP SLICE_X14Y129 NUM_ARCS 153 SITEPROP SLICE_X14Y129 NUM_BELS 32 SITEPROP SLICE_X14Y129 NUM_INPUTS 37 SITEPROP SLICE_X14Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y129 NUM_PINS 50 SITEPROP SLICE_X14Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y129 PROHIBIT 0 SITEPROP SLICE_X14Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y129 RPM_X 53 SITEPROP SLICE_X14Y129 RPM_Y 258 SITEPROP SLICE_X14Y129 SITE_PIPS SITEPROP SLICE_X14Y129 SITE_TYPE SLICEM SITEPROP SLICE_X14Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y130 CLASS site SITEPROP SLICE_X14Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y130 IS_BONDED 0 SITEPROP SLICE_X14Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y130 IS_PAD 0 SITEPROP SLICE_X14Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y130 IS_RESERVED 0 SITEPROP SLICE_X14Y130 IS_TEST 0 SITEPROP SLICE_X14Y130 IS_USED 0 SITEPROP SLICE_X14Y130 MANUAL_ROUTING SITEPROP SLICE_X14Y130 NAME SLICE_X14Y130 SITEPROP SLICE_X14Y130 NUM_ARCS 153 SITEPROP SLICE_X14Y130 NUM_BELS 32 SITEPROP SLICE_X14Y130 NUM_INPUTS 37 SITEPROP SLICE_X14Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y130 NUM_PINS 50 SITEPROP SLICE_X14Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y130 PROHIBIT 0 SITEPROP SLICE_X14Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y130 RPM_X 53 SITEPROP SLICE_X14Y130 RPM_Y 260 SITEPROP SLICE_X14Y130 SITE_PIPS SITEPROP SLICE_X14Y130 SITE_TYPE SLICEM SITEPROP SLICE_X14Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y131 CLASS site SITEPROP SLICE_X14Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y131 IS_BONDED 0 SITEPROP SLICE_X14Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y131 IS_PAD 0 SITEPROP SLICE_X14Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y131 IS_RESERVED 0 SITEPROP SLICE_X14Y131 IS_TEST 0 SITEPROP SLICE_X14Y131 IS_USED 0 SITEPROP SLICE_X14Y131 MANUAL_ROUTING SITEPROP SLICE_X14Y131 NAME SLICE_X14Y131 SITEPROP SLICE_X14Y131 NUM_ARCS 153 SITEPROP SLICE_X14Y131 NUM_BELS 32 SITEPROP SLICE_X14Y131 NUM_INPUTS 37 SITEPROP SLICE_X14Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y131 NUM_PINS 50 SITEPROP SLICE_X14Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y131 PROHIBIT 0 SITEPROP SLICE_X14Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y131 RPM_X 53 SITEPROP SLICE_X14Y131 RPM_Y 262 SITEPROP SLICE_X14Y131 SITE_PIPS SITEPROP SLICE_X14Y131 SITE_TYPE SLICEM SITEPROP SLICE_X14Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y132 CLASS site SITEPROP SLICE_X14Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y132 IS_BONDED 0 SITEPROP SLICE_X14Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y132 IS_PAD 0 SITEPROP SLICE_X14Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y132 IS_RESERVED 0 SITEPROP SLICE_X14Y132 IS_TEST 0 SITEPROP SLICE_X14Y132 IS_USED 0 SITEPROP SLICE_X14Y132 MANUAL_ROUTING SITEPROP SLICE_X14Y132 NAME SLICE_X14Y132 SITEPROP SLICE_X14Y132 NUM_ARCS 153 SITEPROP SLICE_X14Y132 NUM_BELS 32 SITEPROP SLICE_X14Y132 NUM_INPUTS 37 SITEPROP SLICE_X14Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y132 NUM_PINS 50 SITEPROP SLICE_X14Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y132 PROHIBIT 0 SITEPROP SLICE_X14Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y132 RPM_X 53 SITEPROP SLICE_X14Y132 RPM_Y 264 SITEPROP SLICE_X14Y132 SITE_PIPS SITEPROP SLICE_X14Y132 SITE_TYPE SLICEM SITEPROP SLICE_X14Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y133 CLASS site SITEPROP SLICE_X14Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y133 IS_BONDED 0 SITEPROP SLICE_X14Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y133 IS_PAD 0 SITEPROP SLICE_X14Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y133 IS_RESERVED 0 SITEPROP SLICE_X14Y133 IS_TEST 0 SITEPROP SLICE_X14Y133 IS_USED 0 SITEPROP SLICE_X14Y133 MANUAL_ROUTING SITEPROP SLICE_X14Y133 NAME SLICE_X14Y133 SITEPROP SLICE_X14Y133 NUM_ARCS 153 SITEPROP SLICE_X14Y133 NUM_BELS 32 SITEPROP SLICE_X14Y133 NUM_INPUTS 37 SITEPROP SLICE_X14Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y133 NUM_PINS 50 SITEPROP SLICE_X14Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y133 PROHIBIT 0 SITEPROP SLICE_X14Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y133 RPM_X 53 SITEPROP SLICE_X14Y133 RPM_Y 266 SITEPROP SLICE_X14Y133 SITE_PIPS SITEPROP SLICE_X14Y133 SITE_TYPE SLICEM SITEPROP SLICE_X14Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y134 CLASS site SITEPROP SLICE_X14Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y134 IS_BONDED 0 SITEPROP SLICE_X14Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y134 IS_PAD 0 SITEPROP SLICE_X14Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y134 IS_RESERVED 0 SITEPROP SLICE_X14Y134 IS_TEST 0 SITEPROP SLICE_X14Y134 IS_USED 0 SITEPROP SLICE_X14Y134 MANUAL_ROUTING SITEPROP SLICE_X14Y134 NAME SLICE_X14Y134 SITEPROP SLICE_X14Y134 NUM_ARCS 153 SITEPROP SLICE_X14Y134 NUM_BELS 32 SITEPROP SLICE_X14Y134 NUM_INPUTS 37 SITEPROP SLICE_X14Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y134 NUM_PINS 50 SITEPROP SLICE_X14Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y134 PROHIBIT 0 SITEPROP SLICE_X14Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y134 RPM_X 53 SITEPROP SLICE_X14Y134 RPM_Y 268 SITEPROP SLICE_X14Y134 SITE_PIPS SITEPROP SLICE_X14Y134 SITE_TYPE SLICEM SITEPROP SLICE_X14Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y135 CLASS site SITEPROP SLICE_X14Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y135 IS_BONDED 0 SITEPROP SLICE_X14Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y135 IS_PAD 0 SITEPROP SLICE_X14Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y135 IS_RESERVED 0 SITEPROP SLICE_X14Y135 IS_TEST 0 SITEPROP SLICE_X14Y135 IS_USED 0 SITEPROP SLICE_X14Y135 MANUAL_ROUTING SITEPROP SLICE_X14Y135 NAME SLICE_X14Y135 SITEPROP SLICE_X14Y135 NUM_ARCS 153 SITEPROP SLICE_X14Y135 NUM_BELS 32 SITEPROP SLICE_X14Y135 NUM_INPUTS 37 SITEPROP SLICE_X14Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y135 NUM_PINS 50 SITEPROP SLICE_X14Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y135 PROHIBIT 0 SITEPROP SLICE_X14Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y135 RPM_X 53 SITEPROP SLICE_X14Y135 RPM_Y 270 SITEPROP SLICE_X14Y135 SITE_PIPS SITEPROP SLICE_X14Y135 SITE_TYPE SLICEM SITEPROP SLICE_X14Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y136 CLASS site SITEPROP SLICE_X14Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y136 IS_BONDED 0 SITEPROP SLICE_X14Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y136 IS_PAD 0 SITEPROP SLICE_X14Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y136 IS_RESERVED 0 SITEPROP SLICE_X14Y136 IS_TEST 0 SITEPROP SLICE_X14Y136 IS_USED 0 SITEPROP SLICE_X14Y136 MANUAL_ROUTING SITEPROP SLICE_X14Y136 NAME SLICE_X14Y136 SITEPROP SLICE_X14Y136 NUM_ARCS 153 SITEPROP SLICE_X14Y136 NUM_BELS 32 SITEPROP SLICE_X14Y136 NUM_INPUTS 37 SITEPROP SLICE_X14Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y136 NUM_PINS 50 SITEPROP SLICE_X14Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y136 PROHIBIT 0 SITEPROP SLICE_X14Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y136 RPM_X 53 SITEPROP SLICE_X14Y136 RPM_Y 272 SITEPROP SLICE_X14Y136 SITE_PIPS SITEPROP SLICE_X14Y136 SITE_TYPE SLICEM SITEPROP SLICE_X14Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y137 CLASS site SITEPROP SLICE_X14Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y137 IS_BONDED 0 SITEPROP SLICE_X14Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y137 IS_PAD 0 SITEPROP SLICE_X14Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y137 IS_RESERVED 0 SITEPROP SLICE_X14Y137 IS_TEST 0 SITEPROP SLICE_X14Y137 IS_USED 0 SITEPROP SLICE_X14Y137 MANUAL_ROUTING SITEPROP SLICE_X14Y137 NAME SLICE_X14Y137 SITEPROP SLICE_X14Y137 NUM_ARCS 153 SITEPROP SLICE_X14Y137 NUM_BELS 32 SITEPROP SLICE_X14Y137 NUM_INPUTS 37 SITEPROP SLICE_X14Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y137 NUM_PINS 50 SITEPROP SLICE_X14Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y137 PROHIBIT 0 SITEPROP SLICE_X14Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y137 RPM_X 53 SITEPROP SLICE_X14Y137 RPM_Y 274 SITEPROP SLICE_X14Y137 SITE_PIPS SITEPROP SLICE_X14Y137 SITE_TYPE SLICEM SITEPROP SLICE_X14Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y138 CLASS site SITEPROP SLICE_X14Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y138 IS_BONDED 0 SITEPROP SLICE_X14Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y138 IS_PAD 0 SITEPROP SLICE_X14Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y138 IS_RESERVED 0 SITEPROP SLICE_X14Y138 IS_TEST 0 SITEPROP SLICE_X14Y138 IS_USED 0 SITEPROP SLICE_X14Y138 MANUAL_ROUTING SITEPROP SLICE_X14Y138 NAME SLICE_X14Y138 SITEPROP SLICE_X14Y138 NUM_ARCS 153 SITEPROP SLICE_X14Y138 NUM_BELS 32 SITEPROP SLICE_X14Y138 NUM_INPUTS 37 SITEPROP SLICE_X14Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y138 NUM_PINS 50 SITEPROP SLICE_X14Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y138 PROHIBIT 0 SITEPROP SLICE_X14Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y138 RPM_X 53 SITEPROP SLICE_X14Y138 RPM_Y 276 SITEPROP SLICE_X14Y138 SITE_PIPS SITEPROP SLICE_X14Y138 SITE_TYPE SLICEM SITEPROP SLICE_X14Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y139 CLASS site SITEPROP SLICE_X14Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y139 IS_BONDED 0 SITEPROP SLICE_X14Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y139 IS_PAD 0 SITEPROP SLICE_X14Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y139 IS_RESERVED 0 SITEPROP SLICE_X14Y139 IS_TEST 0 SITEPROP SLICE_X14Y139 IS_USED 0 SITEPROP SLICE_X14Y139 MANUAL_ROUTING SITEPROP SLICE_X14Y139 NAME SLICE_X14Y139 SITEPROP SLICE_X14Y139 NUM_ARCS 153 SITEPROP SLICE_X14Y139 NUM_BELS 32 SITEPROP SLICE_X14Y139 NUM_INPUTS 37 SITEPROP SLICE_X14Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y139 NUM_PINS 50 SITEPROP SLICE_X14Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y139 PROHIBIT 0 SITEPROP SLICE_X14Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y139 RPM_X 53 SITEPROP SLICE_X14Y139 RPM_Y 278 SITEPROP SLICE_X14Y139 SITE_PIPS SITEPROP SLICE_X14Y139 SITE_TYPE SLICEM SITEPROP SLICE_X14Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y140 CLASS site SITEPROP SLICE_X14Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y140 IS_BONDED 0 SITEPROP SLICE_X14Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y140 IS_PAD 0 SITEPROP SLICE_X14Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y140 IS_RESERVED 0 SITEPROP SLICE_X14Y140 IS_TEST 0 SITEPROP SLICE_X14Y140 IS_USED 0 SITEPROP SLICE_X14Y140 MANUAL_ROUTING SITEPROP SLICE_X14Y140 NAME SLICE_X14Y140 SITEPROP SLICE_X14Y140 NUM_ARCS 153 SITEPROP SLICE_X14Y140 NUM_BELS 32 SITEPROP SLICE_X14Y140 NUM_INPUTS 37 SITEPROP SLICE_X14Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y140 NUM_PINS 50 SITEPROP SLICE_X14Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y140 PROHIBIT 0 SITEPROP SLICE_X14Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y140 RPM_X 53 SITEPROP SLICE_X14Y140 RPM_Y 280 SITEPROP SLICE_X14Y140 SITE_PIPS SITEPROP SLICE_X14Y140 SITE_TYPE SLICEM SITEPROP SLICE_X14Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y141 CLASS site SITEPROP SLICE_X14Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y141 IS_BONDED 0 SITEPROP SLICE_X14Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y141 IS_PAD 0 SITEPROP SLICE_X14Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y141 IS_RESERVED 0 SITEPROP SLICE_X14Y141 IS_TEST 0 SITEPROP SLICE_X14Y141 IS_USED 0 SITEPROP SLICE_X14Y141 MANUAL_ROUTING SITEPROP SLICE_X14Y141 NAME SLICE_X14Y141 SITEPROP SLICE_X14Y141 NUM_ARCS 153 SITEPROP SLICE_X14Y141 NUM_BELS 32 SITEPROP SLICE_X14Y141 NUM_INPUTS 37 SITEPROP SLICE_X14Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y141 NUM_PINS 50 SITEPROP SLICE_X14Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y141 PROHIBIT 0 SITEPROP SLICE_X14Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y141 RPM_X 53 SITEPROP SLICE_X14Y141 RPM_Y 282 SITEPROP SLICE_X14Y141 SITE_PIPS SITEPROP SLICE_X14Y141 SITE_TYPE SLICEM SITEPROP SLICE_X14Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y142 CLASS site SITEPROP SLICE_X14Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y142 IS_BONDED 0 SITEPROP SLICE_X14Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y142 IS_PAD 0 SITEPROP SLICE_X14Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y142 IS_RESERVED 0 SITEPROP SLICE_X14Y142 IS_TEST 0 SITEPROP SLICE_X14Y142 IS_USED 0 SITEPROP SLICE_X14Y142 MANUAL_ROUTING SITEPROP SLICE_X14Y142 NAME SLICE_X14Y142 SITEPROP SLICE_X14Y142 NUM_ARCS 153 SITEPROP SLICE_X14Y142 NUM_BELS 32 SITEPROP SLICE_X14Y142 NUM_INPUTS 37 SITEPROP SLICE_X14Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y142 NUM_PINS 50 SITEPROP SLICE_X14Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y142 PROHIBIT 0 SITEPROP SLICE_X14Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y142 RPM_X 53 SITEPROP SLICE_X14Y142 RPM_Y 284 SITEPROP SLICE_X14Y142 SITE_PIPS SITEPROP SLICE_X14Y142 SITE_TYPE SLICEM SITEPROP SLICE_X14Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y143 CLASS site SITEPROP SLICE_X14Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y143 IS_BONDED 0 SITEPROP SLICE_X14Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y143 IS_PAD 0 SITEPROP SLICE_X14Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y143 IS_RESERVED 0 SITEPROP SLICE_X14Y143 IS_TEST 0 SITEPROP SLICE_X14Y143 IS_USED 0 SITEPROP SLICE_X14Y143 MANUAL_ROUTING SITEPROP SLICE_X14Y143 NAME SLICE_X14Y143 SITEPROP SLICE_X14Y143 NUM_ARCS 153 SITEPROP SLICE_X14Y143 NUM_BELS 32 SITEPROP SLICE_X14Y143 NUM_INPUTS 37 SITEPROP SLICE_X14Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y143 NUM_PINS 50 SITEPROP SLICE_X14Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y143 PROHIBIT 0 SITEPROP SLICE_X14Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y143 RPM_X 53 SITEPROP SLICE_X14Y143 RPM_Y 286 SITEPROP SLICE_X14Y143 SITE_PIPS SITEPROP SLICE_X14Y143 SITE_TYPE SLICEM SITEPROP SLICE_X14Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y144 CLASS site SITEPROP SLICE_X14Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y144 IS_BONDED 0 SITEPROP SLICE_X14Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y144 IS_PAD 0 SITEPROP SLICE_X14Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y144 IS_RESERVED 0 SITEPROP SLICE_X14Y144 IS_TEST 0 SITEPROP SLICE_X14Y144 IS_USED 0 SITEPROP SLICE_X14Y144 MANUAL_ROUTING SITEPROP SLICE_X14Y144 NAME SLICE_X14Y144 SITEPROP SLICE_X14Y144 NUM_ARCS 153 SITEPROP SLICE_X14Y144 NUM_BELS 32 SITEPROP SLICE_X14Y144 NUM_INPUTS 37 SITEPROP SLICE_X14Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y144 NUM_PINS 50 SITEPROP SLICE_X14Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y144 PROHIBIT 0 SITEPROP SLICE_X14Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y144 RPM_X 53 SITEPROP SLICE_X14Y144 RPM_Y 288 SITEPROP SLICE_X14Y144 SITE_PIPS SITEPROP SLICE_X14Y144 SITE_TYPE SLICEM SITEPROP SLICE_X14Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y145 CLASS site SITEPROP SLICE_X14Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y145 IS_BONDED 0 SITEPROP SLICE_X14Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y145 IS_PAD 0 SITEPROP SLICE_X14Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y145 IS_RESERVED 0 SITEPROP SLICE_X14Y145 IS_TEST 0 SITEPROP SLICE_X14Y145 IS_USED 0 SITEPROP SLICE_X14Y145 MANUAL_ROUTING SITEPROP SLICE_X14Y145 NAME SLICE_X14Y145 SITEPROP SLICE_X14Y145 NUM_ARCS 153 SITEPROP SLICE_X14Y145 NUM_BELS 32 SITEPROP SLICE_X14Y145 NUM_INPUTS 37 SITEPROP SLICE_X14Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y145 NUM_PINS 50 SITEPROP SLICE_X14Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y145 PROHIBIT 0 SITEPROP SLICE_X14Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y145 RPM_X 53 SITEPROP SLICE_X14Y145 RPM_Y 290 SITEPROP SLICE_X14Y145 SITE_PIPS SITEPROP SLICE_X14Y145 SITE_TYPE SLICEM SITEPROP SLICE_X14Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y146 CLASS site SITEPROP SLICE_X14Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y146 IS_BONDED 0 SITEPROP SLICE_X14Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y146 IS_PAD 0 SITEPROP SLICE_X14Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y146 IS_RESERVED 0 SITEPROP SLICE_X14Y146 IS_TEST 0 SITEPROP SLICE_X14Y146 IS_USED 0 SITEPROP SLICE_X14Y146 MANUAL_ROUTING SITEPROP SLICE_X14Y146 NAME SLICE_X14Y146 SITEPROP SLICE_X14Y146 NUM_ARCS 153 SITEPROP SLICE_X14Y146 NUM_BELS 32 SITEPROP SLICE_X14Y146 NUM_INPUTS 37 SITEPROP SLICE_X14Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y146 NUM_PINS 50 SITEPROP SLICE_X14Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y146 PROHIBIT 0 SITEPROP SLICE_X14Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y146 RPM_X 53 SITEPROP SLICE_X14Y146 RPM_Y 292 SITEPROP SLICE_X14Y146 SITE_PIPS SITEPROP SLICE_X14Y146 SITE_TYPE SLICEM SITEPROP SLICE_X14Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y147 CLASS site SITEPROP SLICE_X14Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y147 IS_BONDED 0 SITEPROP SLICE_X14Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y147 IS_PAD 0 SITEPROP SLICE_X14Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y147 IS_RESERVED 0 SITEPROP SLICE_X14Y147 IS_TEST 0 SITEPROP SLICE_X14Y147 IS_USED 0 SITEPROP SLICE_X14Y147 MANUAL_ROUTING SITEPROP SLICE_X14Y147 NAME SLICE_X14Y147 SITEPROP SLICE_X14Y147 NUM_ARCS 153 SITEPROP SLICE_X14Y147 NUM_BELS 32 SITEPROP SLICE_X14Y147 NUM_INPUTS 37 SITEPROP SLICE_X14Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y147 NUM_PINS 50 SITEPROP SLICE_X14Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y147 PROHIBIT 0 SITEPROP SLICE_X14Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y147 RPM_X 53 SITEPROP SLICE_X14Y147 RPM_Y 294 SITEPROP SLICE_X14Y147 SITE_PIPS SITEPROP SLICE_X14Y147 SITE_TYPE SLICEM SITEPROP SLICE_X14Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y148 CLASS site SITEPROP SLICE_X14Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y148 IS_BONDED 0 SITEPROP SLICE_X14Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y148 IS_PAD 0 SITEPROP SLICE_X14Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y148 IS_RESERVED 0 SITEPROP SLICE_X14Y148 IS_TEST 0 SITEPROP SLICE_X14Y148 IS_USED 0 SITEPROP SLICE_X14Y148 MANUAL_ROUTING SITEPROP SLICE_X14Y148 NAME SLICE_X14Y148 SITEPROP SLICE_X14Y148 NUM_ARCS 153 SITEPROP SLICE_X14Y148 NUM_BELS 32 SITEPROP SLICE_X14Y148 NUM_INPUTS 37 SITEPROP SLICE_X14Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y148 NUM_PINS 50 SITEPROP SLICE_X14Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y148 PROHIBIT 0 SITEPROP SLICE_X14Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y148 RPM_X 53 SITEPROP SLICE_X14Y148 RPM_Y 296 SITEPROP SLICE_X14Y148 SITE_PIPS SITEPROP SLICE_X14Y148 SITE_TYPE SLICEM SITEPROP SLICE_X14Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X14Y149 CLASS site SITEPROP SLICE_X14Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X14Y149 IS_BONDED 0 SITEPROP SLICE_X14Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X14Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y149 IS_PAD 0 SITEPROP SLICE_X14Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X14Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X14Y149 IS_RESERVED 0 SITEPROP SLICE_X14Y149 IS_TEST 0 SITEPROP SLICE_X14Y149 IS_USED 0 SITEPROP SLICE_X14Y149 MANUAL_ROUTING SITEPROP SLICE_X14Y149 NAME SLICE_X14Y149 SITEPROP SLICE_X14Y149 NUM_ARCS 153 SITEPROP SLICE_X14Y149 NUM_BELS 32 SITEPROP SLICE_X14Y149 NUM_INPUTS 37 SITEPROP SLICE_X14Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X14Y149 NUM_PINS 50 SITEPROP SLICE_X14Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X14Y149 PROHIBIT 0 SITEPROP SLICE_X14Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X14Y149 RPM_X 53 SITEPROP SLICE_X14Y149 RPM_Y 298 SITEPROP SLICE_X14Y149 SITE_PIPS SITEPROP SLICE_X14Y149 SITE_TYPE SLICEM SITEPROP SLICE_X15Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y0 CLASS site SITEPROP SLICE_X15Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y0 IS_BONDED 0 SITEPROP SLICE_X15Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y0 IS_PAD 0 SITEPROP SLICE_X15Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y0 IS_RESERVED 0 SITEPROP SLICE_X15Y0 IS_TEST 0 SITEPROP SLICE_X15Y0 IS_USED 0 SITEPROP SLICE_X15Y0 MANUAL_ROUTING SITEPROP SLICE_X15Y0 NAME SLICE_X15Y0 SITEPROP SLICE_X15Y0 NUM_ARCS 138 SITEPROP SLICE_X15Y0 NUM_BELS 32 SITEPROP SLICE_X15Y0 NUM_INPUTS 32 SITEPROP SLICE_X15Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y0 NUM_PINS 45 SITEPROP SLICE_X15Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y0 PROHIBIT 0 SITEPROP SLICE_X15Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y0 RPM_X 55 SITEPROP SLICE_X15Y0 RPM_Y 0 SITEPROP SLICE_X15Y0 SITE_PIPS SITEPROP SLICE_X15Y0 SITE_TYPE SLICEL SITEPROP SLICE_X15Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y1 CLASS site SITEPROP SLICE_X15Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y1 IS_BONDED 0 SITEPROP SLICE_X15Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y1 IS_PAD 0 SITEPROP SLICE_X15Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y1 IS_RESERVED 0 SITEPROP SLICE_X15Y1 IS_TEST 0 SITEPROP SLICE_X15Y1 IS_USED 0 SITEPROP SLICE_X15Y1 MANUAL_ROUTING SITEPROP SLICE_X15Y1 NAME SLICE_X15Y1 SITEPROP SLICE_X15Y1 NUM_ARCS 138 SITEPROP SLICE_X15Y1 NUM_BELS 32 SITEPROP SLICE_X15Y1 NUM_INPUTS 32 SITEPROP SLICE_X15Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y1 NUM_PINS 45 SITEPROP SLICE_X15Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y1 PROHIBIT 0 SITEPROP SLICE_X15Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y1 RPM_X 55 SITEPROP SLICE_X15Y1 RPM_Y 2 SITEPROP SLICE_X15Y1 SITE_PIPS SITEPROP SLICE_X15Y1 SITE_TYPE SLICEL SITEPROP SLICE_X15Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y2 CLASS site SITEPROP SLICE_X15Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y2 IS_BONDED 0 SITEPROP SLICE_X15Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y2 IS_PAD 0 SITEPROP SLICE_X15Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y2 IS_RESERVED 0 SITEPROP SLICE_X15Y2 IS_TEST 0 SITEPROP SLICE_X15Y2 IS_USED 0 SITEPROP SLICE_X15Y2 MANUAL_ROUTING SITEPROP SLICE_X15Y2 NAME SLICE_X15Y2 SITEPROP SLICE_X15Y2 NUM_ARCS 138 SITEPROP SLICE_X15Y2 NUM_BELS 32 SITEPROP SLICE_X15Y2 NUM_INPUTS 32 SITEPROP SLICE_X15Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y2 NUM_PINS 45 SITEPROP SLICE_X15Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y2 PROHIBIT 0 SITEPROP SLICE_X15Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y2 RPM_X 55 SITEPROP SLICE_X15Y2 RPM_Y 4 SITEPROP SLICE_X15Y2 SITE_PIPS SITEPROP SLICE_X15Y2 SITE_TYPE SLICEL SITEPROP SLICE_X15Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y3 CLASS site SITEPROP SLICE_X15Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y3 IS_BONDED 0 SITEPROP SLICE_X15Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y3 IS_PAD 0 SITEPROP SLICE_X15Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y3 IS_RESERVED 0 SITEPROP SLICE_X15Y3 IS_TEST 0 SITEPROP SLICE_X15Y3 IS_USED 0 SITEPROP SLICE_X15Y3 MANUAL_ROUTING SITEPROP SLICE_X15Y3 NAME SLICE_X15Y3 SITEPROP SLICE_X15Y3 NUM_ARCS 138 SITEPROP SLICE_X15Y3 NUM_BELS 32 SITEPROP SLICE_X15Y3 NUM_INPUTS 32 SITEPROP SLICE_X15Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y3 NUM_PINS 45 SITEPROP SLICE_X15Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y3 PROHIBIT 0 SITEPROP SLICE_X15Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y3 RPM_X 55 SITEPROP SLICE_X15Y3 RPM_Y 6 SITEPROP SLICE_X15Y3 SITE_PIPS SITEPROP SLICE_X15Y3 SITE_TYPE SLICEL SITEPROP SLICE_X15Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y4 CLASS site SITEPROP SLICE_X15Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y4 IS_BONDED 0 SITEPROP SLICE_X15Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y4 IS_PAD 0 SITEPROP SLICE_X15Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y4 IS_RESERVED 0 SITEPROP SLICE_X15Y4 IS_TEST 0 SITEPROP SLICE_X15Y4 IS_USED 0 SITEPROP SLICE_X15Y4 MANUAL_ROUTING SITEPROP SLICE_X15Y4 NAME SLICE_X15Y4 SITEPROP SLICE_X15Y4 NUM_ARCS 138 SITEPROP SLICE_X15Y4 NUM_BELS 32 SITEPROP SLICE_X15Y4 NUM_INPUTS 32 SITEPROP SLICE_X15Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y4 NUM_PINS 45 SITEPROP SLICE_X15Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y4 PROHIBIT 0 SITEPROP SLICE_X15Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y4 RPM_X 55 SITEPROP SLICE_X15Y4 RPM_Y 8 SITEPROP SLICE_X15Y4 SITE_PIPS SITEPROP SLICE_X15Y4 SITE_TYPE SLICEL SITEPROP SLICE_X15Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y5 CLASS site SITEPROP SLICE_X15Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y5 IS_BONDED 0 SITEPROP SLICE_X15Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y5 IS_PAD 0 SITEPROP SLICE_X15Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y5 IS_RESERVED 0 SITEPROP SLICE_X15Y5 IS_TEST 0 SITEPROP SLICE_X15Y5 IS_USED 0 SITEPROP SLICE_X15Y5 MANUAL_ROUTING SITEPROP SLICE_X15Y5 NAME SLICE_X15Y5 SITEPROP SLICE_X15Y5 NUM_ARCS 138 SITEPROP SLICE_X15Y5 NUM_BELS 32 SITEPROP SLICE_X15Y5 NUM_INPUTS 32 SITEPROP SLICE_X15Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y5 NUM_PINS 45 SITEPROP SLICE_X15Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y5 PROHIBIT 0 SITEPROP SLICE_X15Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y5 RPM_X 55 SITEPROP SLICE_X15Y5 RPM_Y 10 SITEPROP SLICE_X15Y5 SITE_PIPS SITEPROP SLICE_X15Y5 SITE_TYPE SLICEL SITEPROP SLICE_X15Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y6 CLASS site SITEPROP SLICE_X15Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y6 IS_BONDED 0 SITEPROP SLICE_X15Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y6 IS_PAD 0 SITEPROP SLICE_X15Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y6 IS_RESERVED 0 SITEPROP SLICE_X15Y6 IS_TEST 0 SITEPROP SLICE_X15Y6 IS_USED 0 SITEPROP SLICE_X15Y6 MANUAL_ROUTING SITEPROP SLICE_X15Y6 NAME SLICE_X15Y6 SITEPROP SLICE_X15Y6 NUM_ARCS 138 SITEPROP SLICE_X15Y6 NUM_BELS 32 SITEPROP SLICE_X15Y6 NUM_INPUTS 32 SITEPROP SLICE_X15Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y6 NUM_PINS 45 SITEPROP SLICE_X15Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y6 PROHIBIT 0 SITEPROP SLICE_X15Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y6 RPM_X 55 SITEPROP SLICE_X15Y6 RPM_Y 12 SITEPROP SLICE_X15Y6 SITE_PIPS SITEPROP SLICE_X15Y6 SITE_TYPE SLICEL SITEPROP SLICE_X15Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y7 CLASS site SITEPROP SLICE_X15Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y7 IS_BONDED 0 SITEPROP SLICE_X15Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y7 IS_PAD 0 SITEPROP SLICE_X15Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y7 IS_RESERVED 0 SITEPROP SLICE_X15Y7 IS_TEST 0 SITEPROP SLICE_X15Y7 IS_USED 0 SITEPROP SLICE_X15Y7 MANUAL_ROUTING SITEPROP SLICE_X15Y7 NAME SLICE_X15Y7 SITEPROP SLICE_X15Y7 NUM_ARCS 138 SITEPROP SLICE_X15Y7 NUM_BELS 32 SITEPROP SLICE_X15Y7 NUM_INPUTS 32 SITEPROP SLICE_X15Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y7 NUM_PINS 45 SITEPROP SLICE_X15Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y7 PROHIBIT 0 SITEPROP SLICE_X15Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y7 RPM_X 55 SITEPROP SLICE_X15Y7 RPM_Y 14 SITEPROP SLICE_X15Y7 SITE_PIPS SITEPROP SLICE_X15Y7 SITE_TYPE SLICEL SITEPROP SLICE_X15Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y8 CLASS site SITEPROP SLICE_X15Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y8 IS_BONDED 0 SITEPROP SLICE_X15Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y8 IS_PAD 0 SITEPROP SLICE_X15Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y8 IS_RESERVED 0 SITEPROP SLICE_X15Y8 IS_TEST 0 SITEPROP SLICE_X15Y8 IS_USED 0 SITEPROP SLICE_X15Y8 MANUAL_ROUTING SITEPROP SLICE_X15Y8 NAME SLICE_X15Y8 SITEPROP SLICE_X15Y8 NUM_ARCS 138 SITEPROP SLICE_X15Y8 NUM_BELS 32 SITEPROP SLICE_X15Y8 NUM_INPUTS 32 SITEPROP SLICE_X15Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y8 NUM_PINS 45 SITEPROP SLICE_X15Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y8 PROHIBIT 0 SITEPROP SLICE_X15Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y8 RPM_X 55 SITEPROP SLICE_X15Y8 RPM_Y 16 SITEPROP SLICE_X15Y8 SITE_PIPS SITEPROP SLICE_X15Y8 SITE_TYPE SLICEL SITEPROP SLICE_X15Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y9 CLASS site SITEPROP SLICE_X15Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y9 IS_BONDED 0 SITEPROP SLICE_X15Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y9 IS_PAD 0 SITEPROP SLICE_X15Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y9 IS_RESERVED 0 SITEPROP SLICE_X15Y9 IS_TEST 0 SITEPROP SLICE_X15Y9 IS_USED 0 SITEPROP SLICE_X15Y9 MANUAL_ROUTING SITEPROP SLICE_X15Y9 NAME SLICE_X15Y9 SITEPROP SLICE_X15Y9 NUM_ARCS 138 SITEPROP SLICE_X15Y9 NUM_BELS 32 SITEPROP SLICE_X15Y9 NUM_INPUTS 32 SITEPROP SLICE_X15Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y9 NUM_PINS 45 SITEPROP SLICE_X15Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y9 PROHIBIT 0 SITEPROP SLICE_X15Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y9 RPM_X 55 SITEPROP SLICE_X15Y9 RPM_Y 18 SITEPROP SLICE_X15Y9 SITE_PIPS SITEPROP SLICE_X15Y9 SITE_TYPE SLICEL SITEPROP SLICE_X15Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y10 CLASS site SITEPROP SLICE_X15Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y10 IS_BONDED 0 SITEPROP SLICE_X15Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y10 IS_PAD 0 SITEPROP SLICE_X15Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y10 IS_RESERVED 0 SITEPROP SLICE_X15Y10 IS_TEST 0 SITEPROP SLICE_X15Y10 IS_USED 0 SITEPROP SLICE_X15Y10 MANUAL_ROUTING SITEPROP SLICE_X15Y10 NAME SLICE_X15Y10 SITEPROP SLICE_X15Y10 NUM_ARCS 138 SITEPROP SLICE_X15Y10 NUM_BELS 32 SITEPROP SLICE_X15Y10 NUM_INPUTS 32 SITEPROP SLICE_X15Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y10 NUM_PINS 45 SITEPROP SLICE_X15Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y10 PROHIBIT 0 SITEPROP SLICE_X15Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y10 RPM_X 55 SITEPROP SLICE_X15Y10 RPM_Y 20 SITEPROP SLICE_X15Y10 SITE_PIPS SITEPROP SLICE_X15Y10 SITE_TYPE SLICEL SITEPROP SLICE_X15Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y11 CLASS site SITEPROP SLICE_X15Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y11 IS_BONDED 0 SITEPROP SLICE_X15Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y11 IS_PAD 0 SITEPROP SLICE_X15Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y11 IS_RESERVED 0 SITEPROP SLICE_X15Y11 IS_TEST 0 SITEPROP SLICE_X15Y11 IS_USED 0 SITEPROP SLICE_X15Y11 MANUAL_ROUTING SITEPROP SLICE_X15Y11 NAME SLICE_X15Y11 SITEPROP SLICE_X15Y11 NUM_ARCS 138 SITEPROP SLICE_X15Y11 NUM_BELS 32 SITEPROP SLICE_X15Y11 NUM_INPUTS 32 SITEPROP SLICE_X15Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y11 NUM_PINS 45 SITEPROP SLICE_X15Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y11 PROHIBIT 0 SITEPROP SLICE_X15Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y11 RPM_X 55 SITEPROP SLICE_X15Y11 RPM_Y 22 SITEPROP SLICE_X15Y11 SITE_PIPS SITEPROP SLICE_X15Y11 SITE_TYPE SLICEL SITEPROP SLICE_X15Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y12 CLASS site SITEPROP SLICE_X15Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y12 IS_BONDED 0 SITEPROP SLICE_X15Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y12 IS_PAD 0 SITEPROP SLICE_X15Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y12 IS_RESERVED 0 SITEPROP SLICE_X15Y12 IS_TEST 0 SITEPROP SLICE_X15Y12 IS_USED 0 SITEPROP SLICE_X15Y12 MANUAL_ROUTING SITEPROP SLICE_X15Y12 NAME SLICE_X15Y12 SITEPROP SLICE_X15Y12 NUM_ARCS 138 SITEPROP SLICE_X15Y12 NUM_BELS 32 SITEPROP SLICE_X15Y12 NUM_INPUTS 32 SITEPROP SLICE_X15Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y12 NUM_PINS 45 SITEPROP SLICE_X15Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y12 PROHIBIT 0 SITEPROP SLICE_X15Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y12 RPM_X 55 SITEPROP SLICE_X15Y12 RPM_Y 24 SITEPROP SLICE_X15Y12 SITE_PIPS SITEPROP SLICE_X15Y12 SITE_TYPE SLICEL SITEPROP SLICE_X15Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y13 CLASS site SITEPROP SLICE_X15Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y13 IS_BONDED 0 SITEPROP SLICE_X15Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y13 IS_PAD 0 SITEPROP SLICE_X15Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y13 IS_RESERVED 0 SITEPROP SLICE_X15Y13 IS_TEST 0 SITEPROP SLICE_X15Y13 IS_USED 0 SITEPROP SLICE_X15Y13 MANUAL_ROUTING SITEPROP SLICE_X15Y13 NAME SLICE_X15Y13 SITEPROP SLICE_X15Y13 NUM_ARCS 138 SITEPROP SLICE_X15Y13 NUM_BELS 32 SITEPROP SLICE_X15Y13 NUM_INPUTS 32 SITEPROP SLICE_X15Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y13 NUM_PINS 45 SITEPROP SLICE_X15Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y13 PROHIBIT 0 SITEPROP SLICE_X15Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y13 RPM_X 55 SITEPROP SLICE_X15Y13 RPM_Y 26 SITEPROP SLICE_X15Y13 SITE_PIPS SITEPROP SLICE_X15Y13 SITE_TYPE SLICEL SITEPROP SLICE_X15Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y14 CLASS site SITEPROP SLICE_X15Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y14 IS_BONDED 0 SITEPROP SLICE_X15Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y14 IS_PAD 0 SITEPROP SLICE_X15Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y14 IS_RESERVED 0 SITEPROP SLICE_X15Y14 IS_TEST 0 SITEPROP SLICE_X15Y14 IS_USED 0 SITEPROP SLICE_X15Y14 MANUAL_ROUTING SITEPROP SLICE_X15Y14 NAME SLICE_X15Y14 SITEPROP SLICE_X15Y14 NUM_ARCS 138 SITEPROP SLICE_X15Y14 NUM_BELS 32 SITEPROP SLICE_X15Y14 NUM_INPUTS 32 SITEPROP SLICE_X15Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y14 NUM_PINS 45 SITEPROP SLICE_X15Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y14 PROHIBIT 0 SITEPROP SLICE_X15Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y14 RPM_X 55 SITEPROP SLICE_X15Y14 RPM_Y 28 SITEPROP SLICE_X15Y14 SITE_PIPS SITEPROP SLICE_X15Y14 SITE_TYPE SLICEL SITEPROP SLICE_X15Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y15 CLASS site SITEPROP SLICE_X15Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y15 IS_BONDED 0 SITEPROP SLICE_X15Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y15 IS_PAD 0 SITEPROP SLICE_X15Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y15 IS_RESERVED 0 SITEPROP SLICE_X15Y15 IS_TEST 0 SITEPROP SLICE_X15Y15 IS_USED 0 SITEPROP SLICE_X15Y15 MANUAL_ROUTING SITEPROP SLICE_X15Y15 NAME SLICE_X15Y15 SITEPROP SLICE_X15Y15 NUM_ARCS 138 SITEPROP SLICE_X15Y15 NUM_BELS 32 SITEPROP SLICE_X15Y15 NUM_INPUTS 32 SITEPROP SLICE_X15Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y15 NUM_PINS 45 SITEPROP SLICE_X15Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y15 PROHIBIT 0 SITEPROP SLICE_X15Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y15 RPM_X 55 SITEPROP SLICE_X15Y15 RPM_Y 30 SITEPROP SLICE_X15Y15 SITE_PIPS SITEPROP SLICE_X15Y15 SITE_TYPE SLICEL SITEPROP SLICE_X15Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y16 CLASS site SITEPROP SLICE_X15Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y16 IS_BONDED 0 SITEPROP SLICE_X15Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y16 IS_PAD 0 SITEPROP SLICE_X15Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y16 IS_RESERVED 0 SITEPROP SLICE_X15Y16 IS_TEST 0 SITEPROP SLICE_X15Y16 IS_USED 0 SITEPROP SLICE_X15Y16 MANUAL_ROUTING SITEPROP SLICE_X15Y16 NAME SLICE_X15Y16 SITEPROP SLICE_X15Y16 NUM_ARCS 138 SITEPROP SLICE_X15Y16 NUM_BELS 32 SITEPROP SLICE_X15Y16 NUM_INPUTS 32 SITEPROP SLICE_X15Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y16 NUM_PINS 45 SITEPROP SLICE_X15Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y16 PROHIBIT 0 SITEPROP SLICE_X15Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y16 RPM_X 55 SITEPROP SLICE_X15Y16 RPM_Y 32 SITEPROP SLICE_X15Y16 SITE_PIPS SITEPROP SLICE_X15Y16 SITE_TYPE SLICEL SITEPROP SLICE_X15Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y17 CLASS site SITEPROP SLICE_X15Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y17 IS_BONDED 0 SITEPROP SLICE_X15Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y17 IS_PAD 0 SITEPROP SLICE_X15Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y17 IS_RESERVED 0 SITEPROP SLICE_X15Y17 IS_TEST 0 SITEPROP SLICE_X15Y17 IS_USED 0 SITEPROP SLICE_X15Y17 MANUAL_ROUTING SITEPROP SLICE_X15Y17 NAME SLICE_X15Y17 SITEPROP SLICE_X15Y17 NUM_ARCS 138 SITEPROP SLICE_X15Y17 NUM_BELS 32 SITEPROP SLICE_X15Y17 NUM_INPUTS 32 SITEPROP SLICE_X15Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y17 NUM_PINS 45 SITEPROP SLICE_X15Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y17 PROHIBIT 0 SITEPROP SLICE_X15Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y17 RPM_X 55 SITEPROP SLICE_X15Y17 RPM_Y 34 SITEPROP SLICE_X15Y17 SITE_PIPS SITEPROP SLICE_X15Y17 SITE_TYPE SLICEL SITEPROP SLICE_X15Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y18 CLASS site SITEPROP SLICE_X15Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y18 IS_BONDED 0 SITEPROP SLICE_X15Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y18 IS_PAD 0 SITEPROP SLICE_X15Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y18 IS_RESERVED 0 SITEPROP SLICE_X15Y18 IS_TEST 0 SITEPROP SLICE_X15Y18 IS_USED 0 SITEPROP SLICE_X15Y18 MANUAL_ROUTING SITEPROP SLICE_X15Y18 NAME SLICE_X15Y18 SITEPROP SLICE_X15Y18 NUM_ARCS 138 SITEPROP SLICE_X15Y18 NUM_BELS 32 SITEPROP SLICE_X15Y18 NUM_INPUTS 32 SITEPROP SLICE_X15Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y18 NUM_PINS 45 SITEPROP SLICE_X15Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y18 PROHIBIT 0 SITEPROP SLICE_X15Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y18 RPM_X 55 SITEPROP SLICE_X15Y18 RPM_Y 36 SITEPROP SLICE_X15Y18 SITE_PIPS SITEPROP SLICE_X15Y18 SITE_TYPE SLICEL SITEPROP SLICE_X15Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y19 CLASS site SITEPROP SLICE_X15Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y19 IS_BONDED 0 SITEPROP SLICE_X15Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y19 IS_PAD 0 SITEPROP SLICE_X15Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y19 IS_RESERVED 0 SITEPROP SLICE_X15Y19 IS_TEST 0 SITEPROP SLICE_X15Y19 IS_USED 0 SITEPROP SLICE_X15Y19 MANUAL_ROUTING SITEPROP SLICE_X15Y19 NAME SLICE_X15Y19 SITEPROP SLICE_X15Y19 NUM_ARCS 138 SITEPROP SLICE_X15Y19 NUM_BELS 32 SITEPROP SLICE_X15Y19 NUM_INPUTS 32 SITEPROP SLICE_X15Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y19 NUM_PINS 45 SITEPROP SLICE_X15Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y19 PROHIBIT 0 SITEPROP SLICE_X15Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y19 RPM_X 55 SITEPROP SLICE_X15Y19 RPM_Y 38 SITEPROP SLICE_X15Y19 SITE_PIPS SITEPROP SLICE_X15Y19 SITE_TYPE SLICEL SITEPROP SLICE_X15Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y20 CLASS site SITEPROP SLICE_X15Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y20 IS_BONDED 0 SITEPROP SLICE_X15Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y20 IS_PAD 0 SITEPROP SLICE_X15Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y20 IS_RESERVED 0 SITEPROP SLICE_X15Y20 IS_TEST 0 SITEPROP SLICE_X15Y20 IS_USED 0 SITEPROP SLICE_X15Y20 MANUAL_ROUTING SITEPROP SLICE_X15Y20 NAME SLICE_X15Y20 SITEPROP SLICE_X15Y20 NUM_ARCS 138 SITEPROP SLICE_X15Y20 NUM_BELS 32 SITEPROP SLICE_X15Y20 NUM_INPUTS 32 SITEPROP SLICE_X15Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y20 NUM_PINS 45 SITEPROP SLICE_X15Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y20 PROHIBIT 0 SITEPROP SLICE_X15Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y20 RPM_X 55 SITEPROP SLICE_X15Y20 RPM_Y 40 SITEPROP SLICE_X15Y20 SITE_PIPS SITEPROP SLICE_X15Y20 SITE_TYPE SLICEL SITEPROP SLICE_X15Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y21 CLASS site SITEPROP SLICE_X15Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y21 IS_BONDED 0 SITEPROP SLICE_X15Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y21 IS_PAD 0 SITEPROP SLICE_X15Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y21 IS_RESERVED 0 SITEPROP SLICE_X15Y21 IS_TEST 0 SITEPROP SLICE_X15Y21 IS_USED 0 SITEPROP SLICE_X15Y21 MANUAL_ROUTING SITEPROP SLICE_X15Y21 NAME SLICE_X15Y21 SITEPROP SLICE_X15Y21 NUM_ARCS 138 SITEPROP SLICE_X15Y21 NUM_BELS 32 SITEPROP SLICE_X15Y21 NUM_INPUTS 32 SITEPROP SLICE_X15Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y21 NUM_PINS 45 SITEPROP SLICE_X15Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y21 PROHIBIT 0 SITEPROP SLICE_X15Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y21 RPM_X 55 SITEPROP SLICE_X15Y21 RPM_Y 42 SITEPROP SLICE_X15Y21 SITE_PIPS SITEPROP SLICE_X15Y21 SITE_TYPE SLICEL SITEPROP SLICE_X15Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y22 CLASS site SITEPROP SLICE_X15Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y22 IS_BONDED 0 SITEPROP SLICE_X15Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y22 IS_PAD 0 SITEPROP SLICE_X15Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y22 IS_RESERVED 0 SITEPROP SLICE_X15Y22 IS_TEST 0 SITEPROP SLICE_X15Y22 IS_USED 0 SITEPROP SLICE_X15Y22 MANUAL_ROUTING SITEPROP SLICE_X15Y22 NAME SLICE_X15Y22 SITEPROP SLICE_X15Y22 NUM_ARCS 138 SITEPROP SLICE_X15Y22 NUM_BELS 32 SITEPROP SLICE_X15Y22 NUM_INPUTS 32 SITEPROP SLICE_X15Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y22 NUM_PINS 45 SITEPROP SLICE_X15Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y22 PROHIBIT 0 SITEPROP SLICE_X15Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y22 RPM_X 55 SITEPROP SLICE_X15Y22 RPM_Y 44 SITEPROP SLICE_X15Y22 SITE_PIPS SITEPROP SLICE_X15Y22 SITE_TYPE SLICEL SITEPROP SLICE_X15Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y23 CLASS site SITEPROP SLICE_X15Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y23 IS_BONDED 0 SITEPROP SLICE_X15Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y23 IS_PAD 0 SITEPROP SLICE_X15Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y23 IS_RESERVED 0 SITEPROP SLICE_X15Y23 IS_TEST 0 SITEPROP SLICE_X15Y23 IS_USED 0 SITEPROP SLICE_X15Y23 MANUAL_ROUTING SITEPROP SLICE_X15Y23 NAME SLICE_X15Y23 SITEPROP SLICE_X15Y23 NUM_ARCS 138 SITEPROP SLICE_X15Y23 NUM_BELS 32 SITEPROP SLICE_X15Y23 NUM_INPUTS 32 SITEPROP SLICE_X15Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y23 NUM_PINS 45 SITEPROP SLICE_X15Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y23 PROHIBIT 0 SITEPROP SLICE_X15Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y23 RPM_X 55 SITEPROP SLICE_X15Y23 RPM_Y 46 SITEPROP SLICE_X15Y23 SITE_PIPS SITEPROP SLICE_X15Y23 SITE_TYPE SLICEL SITEPROP SLICE_X15Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y24 CLASS site SITEPROP SLICE_X15Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y24 IS_BONDED 0 SITEPROP SLICE_X15Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y24 IS_PAD 0 SITEPROP SLICE_X15Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y24 IS_RESERVED 0 SITEPROP SLICE_X15Y24 IS_TEST 0 SITEPROP SLICE_X15Y24 IS_USED 0 SITEPROP SLICE_X15Y24 MANUAL_ROUTING SITEPROP SLICE_X15Y24 NAME SLICE_X15Y24 SITEPROP SLICE_X15Y24 NUM_ARCS 138 SITEPROP SLICE_X15Y24 NUM_BELS 32 SITEPROP SLICE_X15Y24 NUM_INPUTS 32 SITEPROP SLICE_X15Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y24 NUM_PINS 45 SITEPROP SLICE_X15Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y24 PROHIBIT 0 SITEPROP SLICE_X15Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y24 RPM_X 55 SITEPROP SLICE_X15Y24 RPM_Y 48 SITEPROP SLICE_X15Y24 SITE_PIPS SITEPROP SLICE_X15Y24 SITE_TYPE SLICEL SITEPROP SLICE_X15Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y25 CLASS site SITEPROP SLICE_X15Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y25 IS_BONDED 0 SITEPROP SLICE_X15Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y25 IS_PAD 0 SITEPROP SLICE_X15Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y25 IS_RESERVED 0 SITEPROP SLICE_X15Y25 IS_TEST 0 SITEPROP SLICE_X15Y25 IS_USED 0 SITEPROP SLICE_X15Y25 MANUAL_ROUTING SITEPROP SLICE_X15Y25 NAME SLICE_X15Y25 SITEPROP SLICE_X15Y25 NUM_ARCS 138 SITEPROP SLICE_X15Y25 NUM_BELS 32 SITEPROP SLICE_X15Y25 NUM_INPUTS 32 SITEPROP SLICE_X15Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y25 NUM_PINS 45 SITEPROP SLICE_X15Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y25 PROHIBIT 0 SITEPROP SLICE_X15Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y25 RPM_X 55 SITEPROP SLICE_X15Y25 RPM_Y 50 SITEPROP SLICE_X15Y25 SITE_PIPS SITEPROP SLICE_X15Y25 SITE_TYPE SLICEL SITEPROP SLICE_X15Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y26 CLASS site SITEPROP SLICE_X15Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y26 IS_BONDED 0 SITEPROP SLICE_X15Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y26 IS_PAD 0 SITEPROP SLICE_X15Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y26 IS_RESERVED 0 SITEPROP SLICE_X15Y26 IS_TEST 0 SITEPROP SLICE_X15Y26 IS_USED 0 SITEPROP SLICE_X15Y26 MANUAL_ROUTING SITEPROP SLICE_X15Y26 NAME SLICE_X15Y26 SITEPROP SLICE_X15Y26 NUM_ARCS 138 SITEPROP SLICE_X15Y26 NUM_BELS 32 SITEPROP SLICE_X15Y26 NUM_INPUTS 32 SITEPROP SLICE_X15Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y26 NUM_PINS 45 SITEPROP SLICE_X15Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y26 PROHIBIT 0 SITEPROP SLICE_X15Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y26 RPM_X 55 SITEPROP SLICE_X15Y26 RPM_Y 52 SITEPROP SLICE_X15Y26 SITE_PIPS SITEPROP SLICE_X15Y26 SITE_TYPE SLICEL SITEPROP SLICE_X15Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y27 CLASS site SITEPROP SLICE_X15Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y27 IS_BONDED 0 SITEPROP SLICE_X15Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y27 IS_PAD 0 SITEPROP SLICE_X15Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y27 IS_RESERVED 0 SITEPROP SLICE_X15Y27 IS_TEST 0 SITEPROP SLICE_X15Y27 IS_USED 0 SITEPROP SLICE_X15Y27 MANUAL_ROUTING SITEPROP SLICE_X15Y27 NAME SLICE_X15Y27 SITEPROP SLICE_X15Y27 NUM_ARCS 138 SITEPROP SLICE_X15Y27 NUM_BELS 32 SITEPROP SLICE_X15Y27 NUM_INPUTS 32 SITEPROP SLICE_X15Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y27 NUM_PINS 45 SITEPROP SLICE_X15Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y27 PROHIBIT 0 SITEPROP SLICE_X15Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y27 RPM_X 55 SITEPROP SLICE_X15Y27 RPM_Y 54 SITEPROP SLICE_X15Y27 SITE_PIPS SITEPROP SLICE_X15Y27 SITE_TYPE SLICEL SITEPROP SLICE_X15Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y28 CLASS site SITEPROP SLICE_X15Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y28 IS_BONDED 0 SITEPROP SLICE_X15Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y28 IS_PAD 0 SITEPROP SLICE_X15Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y28 IS_RESERVED 0 SITEPROP SLICE_X15Y28 IS_TEST 0 SITEPROP SLICE_X15Y28 IS_USED 0 SITEPROP SLICE_X15Y28 MANUAL_ROUTING SITEPROP SLICE_X15Y28 NAME SLICE_X15Y28 SITEPROP SLICE_X15Y28 NUM_ARCS 138 SITEPROP SLICE_X15Y28 NUM_BELS 32 SITEPROP SLICE_X15Y28 NUM_INPUTS 32 SITEPROP SLICE_X15Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y28 NUM_PINS 45 SITEPROP SLICE_X15Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y28 PROHIBIT 0 SITEPROP SLICE_X15Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y28 RPM_X 55 SITEPROP SLICE_X15Y28 RPM_Y 56 SITEPROP SLICE_X15Y28 SITE_PIPS SITEPROP SLICE_X15Y28 SITE_TYPE SLICEL SITEPROP SLICE_X15Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y29 CLASS site SITEPROP SLICE_X15Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y29 IS_BONDED 0 SITEPROP SLICE_X15Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y29 IS_PAD 0 SITEPROP SLICE_X15Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y29 IS_RESERVED 0 SITEPROP SLICE_X15Y29 IS_TEST 0 SITEPROP SLICE_X15Y29 IS_USED 0 SITEPROP SLICE_X15Y29 MANUAL_ROUTING SITEPROP SLICE_X15Y29 NAME SLICE_X15Y29 SITEPROP SLICE_X15Y29 NUM_ARCS 138 SITEPROP SLICE_X15Y29 NUM_BELS 32 SITEPROP SLICE_X15Y29 NUM_INPUTS 32 SITEPROP SLICE_X15Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y29 NUM_PINS 45 SITEPROP SLICE_X15Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y29 PROHIBIT 0 SITEPROP SLICE_X15Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y29 RPM_X 55 SITEPROP SLICE_X15Y29 RPM_Y 58 SITEPROP SLICE_X15Y29 SITE_PIPS SITEPROP SLICE_X15Y29 SITE_TYPE SLICEL SITEPROP SLICE_X15Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y30 CLASS site SITEPROP SLICE_X15Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y30 IS_BONDED 0 SITEPROP SLICE_X15Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y30 IS_PAD 0 SITEPROP SLICE_X15Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y30 IS_RESERVED 0 SITEPROP SLICE_X15Y30 IS_TEST 0 SITEPROP SLICE_X15Y30 IS_USED 0 SITEPROP SLICE_X15Y30 MANUAL_ROUTING SITEPROP SLICE_X15Y30 NAME SLICE_X15Y30 SITEPROP SLICE_X15Y30 NUM_ARCS 138 SITEPROP SLICE_X15Y30 NUM_BELS 32 SITEPROP SLICE_X15Y30 NUM_INPUTS 32 SITEPROP SLICE_X15Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y30 NUM_PINS 45 SITEPROP SLICE_X15Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y30 PROHIBIT 0 SITEPROP SLICE_X15Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y30 RPM_X 55 SITEPROP SLICE_X15Y30 RPM_Y 60 SITEPROP SLICE_X15Y30 SITE_PIPS SITEPROP SLICE_X15Y30 SITE_TYPE SLICEL SITEPROP SLICE_X15Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y31 CLASS site SITEPROP SLICE_X15Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y31 IS_BONDED 0 SITEPROP SLICE_X15Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y31 IS_PAD 0 SITEPROP SLICE_X15Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y31 IS_RESERVED 0 SITEPROP SLICE_X15Y31 IS_TEST 0 SITEPROP SLICE_X15Y31 IS_USED 0 SITEPROP SLICE_X15Y31 MANUAL_ROUTING SITEPROP SLICE_X15Y31 NAME SLICE_X15Y31 SITEPROP SLICE_X15Y31 NUM_ARCS 138 SITEPROP SLICE_X15Y31 NUM_BELS 32 SITEPROP SLICE_X15Y31 NUM_INPUTS 32 SITEPROP SLICE_X15Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y31 NUM_PINS 45 SITEPROP SLICE_X15Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y31 PROHIBIT 0 SITEPROP SLICE_X15Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y31 RPM_X 55 SITEPROP SLICE_X15Y31 RPM_Y 62 SITEPROP SLICE_X15Y31 SITE_PIPS SITEPROP SLICE_X15Y31 SITE_TYPE SLICEL SITEPROP SLICE_X15Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y32 CLASS site SITEPROP SLICE_X15Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y32 IS_BONDED 0 SITEPROP SLICE_X15Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y32 IS_PAD 0 SITEPROP SLICE_X15Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y32 IS_RESERVED 0 SITEPROP SLICE_X15Y32 IS_TEST 0 SITEPROP SLICE_X15Y32 IS_USED 0 SITEPROP SLICE_X15Y32 MANUAL_ROUTING SITEPROP SLICE_X15Y32 NAME SLICE_X15Y32 SITEPROP SLICE_X15Y32 NUM_ARCS 138 SITEPROP SLICE_X15Y32 NUM_BELS 32 SITEPROP SLICE_X15Y32 NUM_INPUTS 32 SITEPROP SLICE_X15Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y32 NUM_PINS 45 SITEPROP SLICE_X15Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y32 PROHIBIT 0 SITEPROP SLICE_X15Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y32 RPM_X 55 SITEPROP SLICE_X15Y32 RPM_Y 64 SITEPROP SLICE_X15Y32 SITE_PIPS SITEPROP SLICE_X15Y32 SITE_TYPE SLICEL SITEPROP SLICE_X15Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y33 CLASS site SITEPROP SLICE_X15Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y33 IS_BONDED 0 SITEPROP SLICE_X15Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y33 IS_PAD 0 SITEPROP SLICE_X15Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y33 IS_RESERVED 0 SITEPROP SLICE_X15Y33 IS_TEST 0 SITEPROP SLICE_X15Y33 IS_USED 0 SITEPROP SLICE_X15Y33 MANUAL_ROUTING SITEPROP SLICE_X15Y33 NAME SLICE_X15Y33 SITEPROP SLICE_X15Y33 NUM_ARCS 138 SITEPROP SLICE_X15Y33 NUM_BELS 32 SITEPROP SLICE_X15Y33 NUM_INPUTS 32 SITEPROP SLICE_X15Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y33 NUM_PINS 45 SITEPROP SLICE_X15Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y33 PROHIBIT 0 SITEPROP SLICE_X15Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y33 RPM_X 55 SITEPROP SLICE_X15Y33 RPM_Y 66 SITEPROP SLICE_X15Y33 SITE_PIPS SITEPROP SLICE_X15Y33 SITE_TYPE SLICEL SITEPROP SLICE_X15Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y34 CLASS site SITEPROP SLICE_X15Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y34 IS_BONDED 0 SITEPROP SLICE_X15Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y34 IS_PAD 0 SITEPROP SLICE_X15Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y34 IS_RESERVED 0 SITEPROP SLICE_X15Y34 IS_TEST 0 SITEPROP SLICE_X15Y34 IS_USED 0 SITEPROP SLICE_X15Y34 MANUAL_ROUTING SITEPROP SLICE_X15Y34 NAME SLICE_X15Y34 SITEPROP SLICE_X15Y34 NUM_ARCS 138 SITEPROP SLICE_X15Y34 NUM_BELS 32 SITEPROP SLICE_X15Y34 NUM_INPUTS 32 SITEPROP SLICE_X15Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y34 NUM_PINS 45 SITEPROP SLICE_X15Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y34 PROHIBIT 0 SITEPROP SLICE_X15Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y34 RPM_X 55 SITEPROP SLICE_X15Y34 RPM_Y 68 SITEPROP SLICE_X15Y34 SITE_PIPS SITEPROP SLICE_X15Y34 SITE_TYPE SLICEL SITEPROP SLICE_X15Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y35 CLASS site SITEPROP SLICE_X15Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y35 IS_BONDED 0 SITEPROP SLICE_X15Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y35 IS_PAD 0 SITEPROP SLICE_X15Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y35 IS_RESERVED 0 SITEPROP SLICE_X15Y35 IS_TEST 0 SITEPROP SLICE_X15Y35 IS_USED 0 SITEPROP SLICE_X15Y35 MANUAL_ROUTING SITEPROP SLICE_X15Y35 NAME SLICE_X15Y35 SITEPROP SLICE_X15Y35 NUM_ARCS 138 SITEPROP SLICE_X15Y35 NUM_BELS 32 SITEPROP SLICE_X15Y35 NUM_INPUTS 32 SITEPROP SLICE_X15Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y35 NUM_PINS 45 SITEPROP SLICE_X15Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y35 PROHIBIT 0 SITEPROP SLICE_X15Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y35 RPM_X 55 SITEPROP SLICE_X15Y35 RPM_Y 70 SITEPROP SLICE_X15Y35 SITE_PIPS SITEPROP SLICE_X15Y35 SITE_TYPE SLICEL SITEPROP SLICE_X15Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y36 CLASS site SITEPROP SLICE_X15Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y36 IS_BONDED 0 SITEPROP SLICE_X15Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y36 IS_PAD 0 SITEPROP SLICE_X15Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y36 IS_RESERVED 0 SITEPROP SLICE_X15Y36 IS_TEST 0 SITEPROP SLICE_X15Y36 IS_USED 0 SITEPROP SLICE_X15Y36 MANUAL_ROUTING SITEPROP SLICE_X15Y36 NAME SLICE_X15Y36 SITEPROP SLICE_X15Y36 NUM_ARCS 138 SITEPROP SLICE_X15Y36 NUM_BELS 32 SITEPROP SLICE_X15Y36 NUM_INPUTS 32 SITEPROP SLICE_X15Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y36 NUM_PINS 45 SITEPROP SLICE_X15Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y36 PROHIBIT 0 SITEPROP SLICE_X15Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y36 RPM_X 55 SITEPROP SLICE_X15Y36 RPM_Y 72 SITEPROP SLICE_X15Y36 SITE_PIPS SITEPROP SLICE_X15Y36 SITE_TYPE SLICEL SITEPROP SLICE_X15Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y37 CLASS site SITEPROP SLICE_X15Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y37 IS_BONDED 0 SITEPROP SLICE_X15Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y37 IS_PAD 0 SITEPROP SLICE_X15Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y37 IS_RESERVED 0 SITEPROP SLICE_X15Y37 IS_TEST 0 SITEPROP SLICE_X15Y37 IS_USED 0 SITEPROP SLICE_X15Y37 MANUAL_ROUTING SITEPROP SLICE_X15Y37 NAME SLICE_X15Y37 SITEPROP SLICE_X15Y37 NUM_ARCS 138 SITEPROP SLICE_X15Y37 NUM_BELS 32 SITEPROP SLICE_X15Y37 NUM_INPUTS 32 SITEPROP SLICE_X15Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y37 NUM_PINS 45 SITEPROP SLICE_X15Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y37 PROHIBIT 0 SITEPROP SLICE_X15Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y37 RPM_X 55 SITEPROP SLICE_X15Y37 RPM_Y 74 SITEPROP SLICE_X15Y37 SITE_PIPS SITEPROP SLICE_X15Y37 SITE_TYPE SLICEL SITEPROP SLICE_X15Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y38 CLASS site SITEPROP SLICE_X15Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y38 IS_BONDED 0 SITEPROP SLICE_X15Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y38 IS_PAD 0 SITEPROP SLICE_X15Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y38 IS_RESERVED 0 SITEPROP SLICE_X15Y38 IS_TEST 0 SITEPROP SLICE_X15Y38 IS_USED 0 SITEPROP SLICE_X15Y38 MANUAL_ROUTING SITEPROP SLICE_X15Y38 NAME SLICE_X15Y38 SITEPROP SLICE_X15Y38 NUM_ARCS 138 SITEPROP SLICE_X15Y38 NUM_BELS 32 SITEPROP SLICE_X15Y38 NUM_INPUTS 32 SITEPROP SLICE_X15Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y38 NUM_PINS 45 SITEPROP SLICE_X15Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y38 PROHIBIT 0 SITEPROP SLICE_X15Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y38 RPM_X 55 SITEPROP SLICE_X15Y38 RPM_Y 76 SITEPROP SLICE_X15Y38 SITE_PIPS SITEPROP SLICE_X15Y38 SITE_TYPE SLICEL SITEPROP SLICE_X15Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y39 CLASS site SITEPROP SLICE_X15Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y39 IS_BONDED 0 SITEPROP SLICE_X15Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y39 IS_PAD 0 SITEPROP SLICE_X15Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y39 IS_RESERVED 0 SITEPROP SLICE_X15Y39 IS_TEST 0 SITEPROP SLICE_X15Y39 IS_USED 0 SITEPROP SLICE_X15Y39 MANUAL_ROUTING SITEPROP SLICE_X15Y39 NAME SLICE_X15Y39 SITEPROP SLICE_X15Y39 NUM_ARCS 138 SITEPROP SLICE_X15Y39 NUM_BELS 32 SITEPROP SLICE_X15Y39 NUM_INPUTS 32 SITEPROP SLICE_X15Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y39 NUM_PINS 45 SITEPROP SLICE_X15Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y39 PROHIBIT 0 SITEPROP SLICE_X15Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y39 RPM_X 55 SITEPROP SLICE_X15Y39 RPM_Y 78 SITEPROP SLICE_X15Y39 SITE_PIPS SITEPROP SLICE_X15Y39 SITE_TYPE SLICEL SITEPROP SLICE_X15Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y40 CLASS site SITEPROP SLICE_X15Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y40 IS_BONDED 0 SITEPROP SLICE_X15Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y40 IS_PAD 0 SITEPROP SLICE_X15Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y40 IS_RESERVED 0 SITEPROP SLICE_X15Y40 IS_TEST 0 SITEPROP SLICE_X15Y40 IS_USED 0 SITEPROP SLICE_X15Y40 MANUAL_ROUTING SITEPROP SLICE_X15Y40 NAME SLICE_X15Y40 SITEPROP SLICE_X15Y40 NUM_ARCS 138 SITEPROP SLICE_X15Y40 NUM_BELS 32 SITEPROP SLICE_X15Y40 NUM_INPUTS 32 SITEPROP SLICE_X15Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y40 NUM_PINS 45 SITEPROP SLICE_X15Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y40 PROHIBIT 0 SITEPROP SLICE_X15Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y40 RPM_X 55 SITEPROP SLICE_X15Y40 RPM_Y 80 SITEPROP SLICE_X15Y40 SITE_PIPS SITEPROP SLICE_X15Y40 SITE_TYPE SLICEL SITEPROP SLICE_X15Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y41 CLASS site SITEPROP SLICE_X15Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y41 IS_BONDED 0 SITEPROP SLICE_X15Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y41 IS_PAD 0 SITEPROP SLICE_X15Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y41 IS_RESERVED 0 SITEPROP SLICE_X15Y41 IS_TEST 0 SITEPROP SLICE_X15Y41 IS_USED 0 SITEPROP SLICE_X15Y41 MANUAL_ROUTING SITEPROP SLICE_X15Y41 NAME SLICE_X15Y41 SITEPROP SLICE_X15Y41 NUM_ARCS 138 SITEPROP SLICE_X15Y41 NUM_BELS 32 SITEPROP SLICE_X15Y41 NUM_INPUTS 32 SITEPROP SLICE_X15Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y41 NUM_PINS 45 SITEPROP SLICE_X15Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y41 PROHIBIT 0 SITEPROP SLICE_X15Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y41 RPM_X 55 SITEPROP SLICE_X15Y41 RPM_Y 82 SITEPROP SLICE_X15Y41 SITE_PIPS SITEPROP SLICE_X15Y41 SITE_TYPE SLICEL SITEPROP SLICE_X15Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y42 CLASS site SITEPROP SLICE_X15Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y42 IS_BONDED 0 SITEPROP SLICE_X15Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y42 IS_PAD 0 SITEPROP SLICE_X15Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y42 IS_RESERVED 0 SITEPROP SLICE_X15Y42 IS_TEST 0 SITEPROP SLICE_X15Y42 IS_USED 0 SITEPROP SLICE_X15Y42 MANUAL_ROUTING SITEPROP SLICE_X15Y42 NAME SLICE_X15Y42 SITEPROP SLICE_X15Y42 NUM_ARCS 138 SITEPROP SLICE_X15Y42 NUM_BELS 32 SITEPROP SLICE_X15Y42 NUM_INPUTS 32 SITEPROP SLICE_X15Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y42 NUM_PINS 45 SITEPROP SLICE_X15Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y42 PROHIBIT 0 SITEPROP SLICE_X15Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y42 RPM_X 55 SITEPROP SLICE_X15Y42 RPM_Y 84 SITEPROP SLICE_X15Y42 SITE_PIPS SITEPROP SLICE_X15Y42 SITE_TYPE SLICEL SITEPROP SLICE_X15Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y43 CLASS site SITEPROP SLICE_X15Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y43 IS_BONDED 0 SITEPROP SLICE_X15Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y43 IS_PAD 0 SITEPROP SLICE_X15Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y43 IS_RESERVED 0 SITEPROP SLICE_X15Y43 IS_TEST 0 SITEPROP SLICE_X15Y43 IS_USED 0 SITEPROP SLICE_X15Y43 MANUAL_ROUTING SITEPROP SLICE_X15Y43 NAME SLICE_X15Y43 SITEPROP SLICE_X15Y43 NUM_ARCS 138 SITEPROP SLICE_X15Y43 NUM_BELS 32 SITEPROP SLICE_X15Y43 NUM_INPUTS 32 SITEPROP SLICE_X15Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y43 NUM_PINS 45 SITEPROP SLICE_X15Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y43 PROHIBIT 0 SITEPROP SLICE_X15Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y43 RPM_X 55 SITEPROP SLICE_X15Y43 RPM_Y 86 SITEPROP SLICE_X15Y43 SITE_PIPS SITEPROP SLICE_X15Y43 SITE_TYPE SLICEL SITEPROP SLICE_X15Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y44 CLASS site SITEPROP SLICE_X15Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y44 IS_BONDED 0 SITEPROP SLICE_X15Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y44 IS_PAD 0 SITEPROP SLICE_X15Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y44 IS_RESERVED 0 SITEPROP SLICE_X15Y44 IS_TEST 0 SITEPROP SLICE_X15Y44 IS_USED 0 SITEPROP SLICE_X15Y44 MANUAL_ROUTING SITEPROP SLICE_X15Y44 NAME SLICE_X15Y44 SITEPROP SLICE_X15Y44 NUM_ARCS 138 SITEPROP SLICE_X15Y44 NUM_BELS 32 SITEPROP SLICE_X15Y44 NUM_INPUTS 32 SITEPROP SLICE_X15Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y44 NUM_PINS 45 SITEPROP SLICE_X15Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y44 PROHIBIT 0 SITEPROP SLICE_X15Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y44 RPM_X 55 SITEPROP SLICE_X15Y44 RPM_Y 88 SITEPROP SLICE_X15Y44 SITE_PIPS SITEPROP SLICE_X15Y44 SITE_TYPE SLICEL SITEPROP SLICE_X15Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y45 CLASS site SITEPROP SLICE_X15Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y45 IS_BONDED 0 SITEPROP SLICE_X15Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y45 IS_PAD 0 SITEPROP SLICE_X15Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y45 IS_RESERVED 0 SITEPROP SLICE_X15Y45 IS_TEST 0 SITEPROP SLICE_X15Y45 IS_USED 0 SITEPROP SLICE_X15Y45 MANUAL_ROUTING SITEPROP SLICE_X15Y45 NAME SLICE_X15Y45 SITEPROP SLICE_X15Y45 NUM_ARCS 138 SITEPROP SLICE_X15Y45 NUM_BELS 32 SITEPROP SLICE_X15Y45 NUM_INPUTS 32 SITEPROP SLICE_X15Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y45 NUM_PINS 45 SITEPROP SLICE_X15Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y45 PROHIBIT 0 SITEPROP SLICE_X15Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y45 RPM_X 55 SITEPROP SLICE_X15Y45 RPM_Y 90 SITEPROP SLICE_X15Y45 SITE_PIPS SITEPROP SLICE_X15Y45 SITE_TYPE SLICEL SITEPROP SLICE_X15Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y46 CLASS site SITEPROP SLICE_X15Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y46 IS_BONDED 0 SITEPROP SLICE_X15Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y46 IS_PAD 0 SITEPROP SLICE_X15Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y46 IS_RESERVED 0 SITEPROP SLICE_X15Y46 IS_TEST 0 SITEPROP SLICE_X15Y46 IS_USED 0 SITEPROP SLICE_X15Y46 MANUAL_ROUTING SITEPROP SLICE_X15Y46 NAME SLICE_X15Y46 SITEPROP SLICE_X15Y46 NUM_ARCS 138 SITEPROP SLICE_X15Y46 NUM_BELS 32 SITEPROP SLICE_X15Y46 NUM_INPUTS 32 SITEPROP SLICE_X15Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y46 NUM_PINS 45 SITEPROP SLICE_X15Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y46 PROHIBIT 0 SITEPROP SLICE_X15Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y46 RPM_X 55 SITEPROP SLICE_X15Y46 RPM_Y 92 SITEPROP SLICE_X15Y46 SITE_PIPS SITEPROP SLICE_X15Y46 SITE_TYPE SLICEL SITEPROP SLICE_X15Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y47 CLASS site SITEPROP SLICE_X15Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y47 IS_BONDED 0 SITEPROP SLICE_X15Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y47 IS_PAD 0 SITEPROP SLICE_X15Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y47 IS_RESERVED 0 SITEPROP SLICE_X15Y47 IS_TEST 0 SITEPROP SLICE_X15Y47 IS_USED 0 SITEPROP SLICE_X15Y47 MANUAL_ROUTING SITEPROP SLICE_X15Y47 NAME SLICE_X15Y47 SITEPROP SLICE_X15Y47 NUM_ARCS 138 SITEPROP SLICE_X15Y47 NUM_BELS 32 SITEPROP SLICE_X15Y47 NUM_INPUTS 32 SITEPROP SLICE_X15Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y47 NUM_PINS 45 SITEPROP SLICE_X15Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y47 PROHIBIT 0 SITEPROP SLICE_X15Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y47 RPM_X 55 SITEPROP SLICE_X15Y47 RPM_Y 94 SITEPROP SLICE_X15Y47 SITE_PIPS SITEPROP SLICE_X15Y47 SITE_TYPE SLICEL SITEPROP SLICE_X15Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y48 CLASS site SITEPROP SLICE_X15Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y48 IS_BONDED 0 SITEPROP SLICE_X15Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y48 IS_PAD 0 SITEPROP SLICE_X15Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y48 IS_RESERVED 0 SITEPROP SLICE_X15Y48 IS_TEST 0 SITEPROP SLICE_X15Y48 IS_USED 0 SITEPROP SLICE_X15Y48 MANUAL_ROUTING SITEPROP SLICE_X15Y48 NAME SLICE_X15Y48 SITEPROP SLICE_X15Y48 NUM_ARCS 138 SITEPROP SLICE_X15Y48 NUM_BELS 32 SITEPROP SLICE_X15Y48 NUM_INPUTS 32 SITEPROP SLICE_X15Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y48 NUM_PINS 45 SITEPROP SLICE_X15Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y48 PROHIBIT 0 SITEPROP SLICE_X15Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y48 RPM_X 55 SITEPROP SLICE_X15Y48 RPM_Y 96 SITEPROP SLICE_X15Y48 SITE_PIPS SITEPROP SLICE_X15Y48 SITE_TYPE SLICEL SITEPROP SLICE_X15Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y49 CLASS site SITEPROP SLICE_X15Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X15Y49 IS_BONDED 0 SITEPROP SLICE_X15Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y49 IS_PAD 0 SITEPROP SLICE_X15Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y49 IS_RESERVED 0 SITEPROP SLICE_X15Y49 IS_TEST 0 SITEPROP SLICE_X15Y49 IS_USED 0 SITEPROP SLICE_X15Y49 MANUAL_ROUTING SITEPROP SLICE_X15Y49 NAME SLICE_X15Y49 SITEPROP SLICE_X15Y49 NUM_ARCS 138 SITEPROP SLICE_X15Y49 NUM_BELS 32 SITEPROP SLICE_X15Y49 NUM_INPUTS 32 SITEPROP SLICE_X15Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y49 NUM_PINS 45 SITEPROP SLICE_X15Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y49 PROHIBIT 0 SITEPROP SLICE_X15Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y49 RPM_X 55 SITEPROP SLICE_X15Y49 RPM_Y 98 SITEPROP SLICE_X15Y49 SITE_PIPS SITEPROP SLICE_X15Y49 SITE_TYPE SLICEL SITEPROP SLICE_X15Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y50 CLASS site SITEPROP SLICE_X15Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y50 IS_BONDED 0 SITEPROP SLICE_X15Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y50 IS_PAD 0 SITEPROP SLICE_X15Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y50 IS_RESERVED 0 SITEPROP SLICE_X15Y50 IS_TEST 0 SITEPROP SLICE_X15Y50 IS_USED 0 SITEPROP SLICE_X15Y50 MANUAL_ROUTING SITEPROP SLICE_X15Y50 NAME SLICE_X15Y50 SITEPROP SLICE_X15Y50 NUM_ARCS 138 SITEPROP SLICE_X15Y50 NUM_BELS 32 SITEPROP SLICE_X15Y50 NUM_INPUTS 32 SITEPROP SLICE_X15Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y50 NUM_PINS 45 SITEPROP SLICE_X15Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y50 PROHIBIT 0 SITEPROP SLICE_X15Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y50 RPM_X 55 SITEPROP SLICE_X15Y50 RPM_Y 100 SITEPROP SLICE_X15Y50 SITE_PIPS SITEPROP SLICE_X15Y50 SITE_TYPE SLICEL SITEPROP SLICE_X15Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y51 CLASS site SITEPROP SLICE_X15Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y51 IS_BONDED 0 SITEPROP SLICE_X15Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y51 IS_PAD 0 SITEPROP SLICE_X15Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y51 IS_RESERVED 0 SITEPROP SLICE_X15Y51 IS_TEST 0 SITEPROP SLICE_X15Y51 IS_USED 0 SITEPROP SLICE_X15Y51 MANUAL_ROUTING SITEPROP SLICE_X15Y51 NAME SLICE_X15Y51 SITEPROP SLICE_X15Y51 NUM_ARCS 138 SITEPROP SLICE_X15Y51 NUM_BELS 32 SITEPROP SLICE_X15Y51 NUM_INPUTS 32 SITEPROP SLICE_X15Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y51 NUM_PINS 45 SITEPROP SLICE_X15Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y51 PROHIBIT 0 SITEPROP SLICE_X15Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y51 RPM_X 55 SITEPROP SLICE_X15Y51 RPM_Y 102 SITEPROP SLICE_X15Y51 SITE_PIPS SITEPROP SLICE_X15Y51 SITE_TYPE SLICEL SITEPROP SLICE_X15Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y52 CLASS site SITEPROP SLICE_X15Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y52 IS_BONDED 0 SITEPROP SLICE_X15Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y52 IS_PAD 0 SITEPROP SLICE_X15Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y52 IS_RESERVED 0 SITEPROP SLICE_X15Y52 IS_TEST 0 SITEPROP SLICE_X15Y52 IS_USED 0 SITEPROP SLICE_X15Y52 MANUAL_ROUTING SITEPROP SLICE_X15Y52 NAME SLICE_X15Y52 SITEPROP SLICE_X15Y52 NUM_ARCS 138 SITEPROP SLICE_X15Y52 NUM_BELS 32 SITEPROP SLICE_X15Y52 NUM_INPUTS 32 SITEPROP SLICE_X15Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y52 NUM_PINS 45 SITEPROP SLICE_X15Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y52 PROHIBIT 0 SITEPROP SLICE_X15Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y52 RPM_X 55 SITEPROP SLICE_X15Y52 RPM_Y 104 SITEPROP SLICE_X15Y52 SITE_PIPS SITEPROP SLICE_X15Y52 SITE_TYPE SLICEL SITEPROP SLICE_X15Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y53 CLASS site SITEPROP SLICE_X15Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y53 IS_BONDED 0 SITEPROP SLICE_X15Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y53 IS_PAD 0 SITEPROP SLICE_X15Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y53 IS_RESERVED 0 SITEPROP SLICE_X15Y53 IS_TEST 0 SITEPROP SLICE_X15Y53 IS_USED 0 SITEPROP SLICE_X15Y53 MANUAL_ROUTING SITEPROP SLICE_X15Y53 NAME SLICE_X15Y53 SITEPROP SLICE_X15Y53 NUM_ARCS 138 SITEPROP SLICE_X15Y53 NUM_BELS 32 SITEPROP SLICE_X15Y53 NUM_INPUTS 32 SITEPROP SLICE_X15Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y53 NUM_PINS 45 SITEPROP SLICE_X15Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y53 PROHIBIT 0 SITEPROP SLICE_X15Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y53 RPM_X 55 SITEPROP SLICE_X15Y53 RPM_Y 106 SITEPROP SLICE_X15Y53 SITE_PIPS SITEPROP SLICE_X15Y53 SITE_TYPE SLICEL SITEPROP SLICE_X15Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y54 CLASS site SITEPROP SLICE_X15Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y54 IS_BONDED 0 SITEPROP SLICE_X15Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y54 IS_PAD 0 SITEPROP SLICE_X15Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y54 IS_RESERVED 0 SITEPROP SLICE_X15Y54 IS_TEST 0 SITEPROP SLICE_X15Y54 IS_USED 0 SITEPROP SLICE_X15Y54 MANUAL_ROUTING SITEPROP SLICE_X15Y54 NAME SLICE_X15Y54 SITEPROP SLICE_X15Y54 NUM_ARCS 138 SITEPROP SLICE_X15Y54 NUM_BELS 32 SITEPROP SLICE_X15Y54 NUM_INPUTS 32 SITEPROP SLICE_X15Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y54 NUM_PINS 45 SITEPROP SLICE_X15Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y54 PROHIBIT 0 SITEPROP SLICE_X15Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y54 RPM_X 55 SITEPROP SLICE_X15Y54 RPM_Y 108 SITEPROP SLICE_X15Y54 SITE_PIPS SITEPROP SLICE_X15Y54 SITE_TYPE SLICEL SITEPROP SLICE_X15Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y55 CLASS site SITEPROP SLICE_X15Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y55 IS_BONDED 0 SITEPROP SLICE_X15Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y55 IS_PAD 0 SITEPROP SLICE_X15Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y55 IS_RESERVED 0 SITEPROP SLICE_X15Y55 IS_TEST 0 SITEPROP SLICE_X15Y55 IS_USED 0 SITEPROP SLICE_X15Y55 MANUAL_ROUTING SITEPROP SLICE_X15Y55 NAME SLICE_X15Y55 SITEPROP SLICE_X15Y55 NUM_ARCS 138 SITEPROP SLICE_X15Y55 NUM_BELS 32 SITEPROP SLICE_X15Y55 NUM_INPUTS 32 SITEPROP SLICE_X15Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y55 NUM_PINS 45 SITEPROP SLICE_X15Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y55 PROHIBIT 0 SITEPROP SLICE_X15Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y55 RPM_X 55 SITEPROP SLICE_X15Y55 RPM_Y 110 SITEPROP SLICE_X15Y55 SITE_PIPS SITEPROP SLICE_X15Y55 SITE_TYPE SLICEL SITEPROP SLICE_X15Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y56 CLASS site SITEPROP SLICE_X15Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y56 IS_BONDED 0 SITEPROP SLICE_X15Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y56 IS_PAD 0 SITEPROP SLICE_X15Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y56 IS_RESERVED 0 SITEPROP SLICE_X15Y56 IS_TEST 0 SITEPROP SLICE_X15Y56 IS_USED 0 SITEPROP SLICE_X15Y56 MANUAL_ROUTING SITEPROP SLICE_X15Y56 NAME SLICE_X15Y56 SITEPROP SLICE_X15Y56 NUM_ARCS 138 SITEPROP SLICE_X15Y56 NUM_BELS 32 SITEPROP SLICE_X15Y56 NUM_INPUTS 32 SITEPROP SLICE_X15Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y56 NUM_PINS 45 SITEPROP SLICE_X15Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y56 PROHIBIT 0 SITEPROP SLICE_X15Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y56 RPM_X 55 SITEPROP SLICE_X15Y56 RPM_Y 112 SITEPROP SLICE_X15Y56 SITE_PIPS SITEPROP SLICE_X15Y56 SITE_TYPE SLICEL SITEPROP SLICE_X15Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y57 CLASS site SITEPROP SLICE_X15Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y57 IS_BONDED 0 SITEPROP SLICE_X15Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y57 IS_PAD 0 SITEPROP SLICE_X15Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y57 IS_RESERVED 0 SITEPROP SLICE_X15Y57 IS_TEST 0 SITEPROP SLICE_X15Y57 IS_USED 0 SITEPROP SLICE_X15Y57 MANUAL_ROUTING SITEPROP SLICE_X15Y57 NAME SLICE_X15Y57 SITEPROP SLICE_X15Y57 NUM_ARCS 138 SITEPROP SLICE_X15Y57 NUM_BELS 32 SITEPROP SLICE_X15Y57 NUM_INPUTS 32 SITEPROP SLICE_X15Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y57 NUM_PINS 45 SITEPROP SLICE_X15Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y57 PROHIBIT 0 SITEPROP SLICE_X15Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y57 RPM_X 55 SITEPROP SLICE_X15Y57 RPM_Y 114 SITEPROP SLICE_X15Y57 SITE_PIPS SITEPROP SLICE_X15Y57 SITE_TYPE SLICEL SITEPROP SLICE_X15Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y58 CLASS site SITEPROP SLICE_X15Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y58 IS_BONDED 0 SITEPROP SLICE_X15Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y58 IS_PAD 0 SITEPROP SLICE_X15Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y58 IS_RESERVED 0 SITEPROP SLICE_X15Y58 IS_TEST 0 SITEPROP SLICE_X15Y58 IS_USED 0 SITEPROP SLICE_X15Y58 MANUAL_ROUTING SITEPROP SLICE_X15Y58 NAME SLICE_X15Y58 SITEPROP SLICE_X15Y58 NUM_ARCS 138 SITEPROP SLICE_X15Y58 NUM_BELS 32 SITEPROP SLICE_X15Y58 NUM_INPUTS 32 SITEPROP SLICE_X15Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y58 NUM_PINS 45 SITEPROP SLICE_X15Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y58 PROHIBIT 0 SITEPROP SLICE_X15Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y58 RPM_X 55 SITEPROP SLICE_X15Y58 RPM_Y 116 SITEPROP SLICE_X15Y58 SITE_PIPS SITEPROP SLICE_X15Y58 SITE_TYPE SLICEL SITEPROP SLICE_X15Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y59 CLASS site SITEPROP SLICE_X15Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y59 IS_BONDED 0 SITEPROP SLICE_X15Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y59 IS_PAD 0 SITEPROP SLICE_X15Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y59 IS_RESERVED 0 SITEPROP SLICE_X15Y59 IS_TEST 0 SITEPROP SLICE_X15Y59 IS_USED 0 SITEPROP SLICE_X15Y59 MANUAL_ROUTING SITEPROP SLICE_X15Y59 NAME SLICE_X15Y59 SITEPROP SLICE_X15Y59 NUM_ARCS 138 SITEPROP SLICE_X15Y59 NUM_BELS 32 SITEPROP SLICE_X15Y59 NUM_INPUTS 32 SITEPROP SLICE_X15Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y59 NUM_PINS 45 SITEPROP SLICE_X15Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y59 PROHIBIT 0 SITEPROP SLICE_X15Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y59 RPM_X 55 SITEPROP SLICE_X15Y59 RPM_Y 118 SITEPROP SLICE_X15Y59 SITE_PIPS SITEPROP SLICE_X15Y59 SITE_TYPE SLICEL SITEPROP SLICE_X15Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y60 CLASS site SITEPROP SLICE_X15Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y60 IS_BONDED 0 SITEPROP SLICE_X15Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y60 IS_PAD 0 SITEPROP SLICE_X15Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y60 IS_RESERVED 0 SITEPROP SLICE_X15Y60 IS_TEST 0 SITEPROP SLICE_X15Y60 IS_USED 0 SITEPROP SLICE_X15Y60 MANUAL_ROUTING SITEPROP SLICE_X15Y60 NAME SLICE_X15Y60 SITEPROP SLICE_X15Y60 NUM_ARCS 138 SITEPROP SLICE_X15Y60 NUM_BELS 32 SITEPROP SLICE_X15Y60 NUM_INPUTS 32 SITEPROP SLICE_X15Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y60 NUM_PINS 45 SITEPROP SLICE_X15Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y60 PROHIBIT 0 SITEPROP SLICE_X15Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y60 RPM_X 55 SITEPROP SLICE_X15Y60 RPM_Y 120 SITEPROP SLICE_X15Y60 SITE_PIPS SITEPROP SLICE_X15Y60 SITE_TYPE SLICEL SITEPROP SLICE_X15Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y61 CLASS site SITEPROP SLICE_X15Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y61 IS_BONDED 0 SITEPROP SLICE_X15Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y61 IS_PAD 0 SITEPROP SLICE_X15Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y61 IS_RESERVED 0 SITEPROP SLICE_X15Y61 IS_TEST 0 SITEPROP SLICE_X15Y61 IS_USED 0 SITEPROP SLICE_X15Y61 MANUAL_ROUTING SITEPROP SLICE_X15Y61 NAME SLICE_X15Y61 SITEPROP SLICE_X15Y61 NUM_ARCS 138 SITEPROP SLICE_X15Y61 NUM_BELS 32 SITEPROP SLICE_X15Y61 NUM_INPUTS 32 SITEPROP SLICE_X15Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y61 NUM_PINS 45 SITEPROP SLICE_X15Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y61 PROHIBIT 0 SITEPROP SLICE_X15Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y61 RPM_X 55 SITEPROP SLICE_X15Y61 RPM_Y 122 SITEPROP SLICE_X15Y61 SITE_PIPS SITEPROP SLICE_X15Y61 SITE_TYPE SLICEL SITEPROP SLICE_X15Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y62 CLASS site SITEPROP SLICE_X15Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y62 IS_BONDED 0 SITEPROP SLICE_X15Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y62 IS_PAD 0 SITEPROP SLICE_X15Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y62 IS_RESERVED 0 SITEPROP SLICE_X15Y62 IS_TEST 0 SITEPROP SLICE_X15Y62 IS_USED 0 SITEPROP SLICE_X15Y62 MANUAL_ROUTING SITEPROP SLICE_X15Y62 NAME SLICE_X15Y62 SITEPROP SLICE_X15Y62 NUM_ARCS 138 SITEPROP SLICE_X15Y62 NUM_BELS 32 SITEPROP SLICE_X15Y62 NUM_INPUTS 32 SITEPROP SLICE_X15Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y62 NUM_PINS 45 SITEPROP SLICE_X15Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y62 PROHIBIT 0 SITEPROP SLICE_X15Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y62 RPM_X 55 SITEPROP SLICE_X15Y62 RPM_Y 124 SITEPROP SLICE_X15Y62 SITE_PIPS SITEPROP SLICE_X15Y62 SITE_TYPE SLICEL SITEPROP SLICE_X15Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y63 CLASS site SITEPROP SLICE_X15Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y63 IS_BONDED 0 SITEPROP SLICE_X15Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y63 IS_PAD 0 SITEPROP SLICE_X15Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y63 IS_RESERVED 0 SITEPROP SLICE_X15Y63 IS_TEST 0 SITEPROP SLICE_X15Y63 IS_USED 0 SITEPROP SLICE_X15Y63 MANUAL_ROUTING SITEPROP SLICE_X15Y63 NAME SLICE_X15Y63 SITEPROP SLICE_X15Y63 NUM_ARCS 138 SITEPROP SLICE_X15Y63 NUM_BELS 32 SITEPROP SLICE_X15Y63 NUM_INPUTS 32 SITEPROP SLICE_X15Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y63 NUM_PINS 45 SITEPROP SLICE_X15Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y63 PROHIBIT 0 SITEPROP SLICE_X15Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y63 RPM_X 55 SITEPROP SLICE_X15Y63 RPM_Y 126 SITEPROP SLICE_X15Y63 SITE_PIPS SITEPROP SLICE_X15Y63 SITE_TYPE SLICEL SITEPROP SLICE_X15Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y64 CLASS site SITEPROP SLICE_X15Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y64 IS_BONDED 0 SITEPROP SLICE_X15Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y64 IS_PAD 0 SITEPROP SLICE_X15Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y64 IS_RESERVED 0 SITEPROP SLICE_X15Y64 IS_TEST 0 SITEPROP SLICE_X15Y64 IS_USED 0 SITEPROP SLICE_X15Y64 MANUAL_ROUTING SITEPROP SLICE_X15Y64 NAME SLICE_X15Y64 SITEPROP SLICE_X15Y64 NUM_ARCS 138 SITEPROP SLICE_X15Y64 NUM_BELS 32 SITEPROP SLICE_X15Y64 NUM_INPUTS 32 SITEPROP SLICE_X15Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y64 NUM_PINS 45 SITEPROP SLICE_X15Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y64 PROHIBIT 0 SITEPROP SLICE_X15Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y64 RPM_X 55 SITEPROP SLICE_X15Y64 RPM_Y 128 SITEPROP SLICE_X15Y64 SITE_PIPS SITEPROP SLICE_X15Y64 SITE_TYPE SLICEL SITEPROP SLICE_X15Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y65 CLASS site SITEPROP SLICE_X15Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y65 IS_BONDED 0 SITEPROP SLICE_X15Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y65 IS_PAD 0 SITEPROP SLICE_X15Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y65 IS_RESERVED 0 SITEPROP SLICE_X15Y65 IS_TEST 0 SITEPROP SLICE_X15Y65 IS_USED 0 SITEPROP SLICE_X15Y65 MANUAL_ROUTING SITEPROP SLICE_X15Y65 NAME SLICE_X15Y65 SITEPROP SLICE_X15Y65 NUM_ARCS 138 SITEPROP SLICE_X15Y65 NUM_BELS 32 SITEPROP SLICE_X15Y65 NUM_INPUTS 32 SITEPROP SLICE_X15Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y65 NUM_PINS 45 SITEPROP SLICE_X15Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y65 PROHIBIT 0 SITEPROP SLICE_X15Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y65 RPM_X 55 SITEPROP SLICE_X15Y65 RPM_Y 130 SITEPROP SLICE_X15Y65 SITE_PIPS SITEPROP SLICE_X15Y65 SITE_TYPE SLICEL SITEPROP SLICE_X15Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y66 CLASS site SITEPROP SLICE_X15Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y66 IS_BONDED 0 SITEPROP SLICE_X15Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y66 IS_PAD 0 SITEPROP SLICE_X15Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y66 IS_RESERVED 0 SITEPROP SLICE_X15Y66 IS_TEST 0 SITEPROP SLICE_X15Y66 IS_USED 0 SITEPROP SLICE_X15Y66 MANUAL_ROUTING SITEPROP SLICE_X15Y66 NAME SLICE_X15Y66 SITEPROP SLICE_X15Y66 NUM_ARCS 138 SITEPROP SLICE_X15Y66 NUM_BELS 32 SITEPROP SLICE_X15Y66 NUM_INPUTS 32 SITEPROP SLICE_X15Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y66 NUM_PINS 45 SITEPROP SLICE_X15Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y66 PROHIBIT 0 SITEPROP SLICE_X15Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y66 RPM_X 55 SITEPROP SLICE_X15Y66 RPM_Y 132 SITEPROP SLICE_X15Y66 SITE_PIPS SITEPROP SLICE_X15Y66 SITE_TYPE SLICEL SITEPROP SLICE_X15Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y67 CLASS site SITEPROP SLICE_X15Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y67 IS_BONDED 0 SITEPROP SLICE_X15Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y67 IS_PAD 0 SITEPROP SLICE_X15Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y67 IS_RESERVED 0 SITEPROP SLICE_X15Y67 IS_TEST 0 SITEPROP SLICE_X15Y67 IS_USED 0 SITEPROP SLICE_X15Y67 MANUAL_ROUTING SITEPROP SLICE_X15Y67 NAME SLICE_X15Y67 SITEPROP SLICE_X15Y67 NUM_ARCS 138 SITEPROP SLICE_X15Y67 NUM_BELS 32 SITEPROP SLICE_X15Y67 NUM_INPUTS 32 SITEPROP SLICE_X15Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y67 NUM_PINS 45 SITEPROP SLICE_X15Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y67 PROHIBIT 0 SITEPROP SLICE_X15Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y67 RPM_X 55 SITEPROP SLICE_X15Y67 RPM_Y 134 SITEPROP SLICE_X15Y67 SITE_PIPS SITEPROP SLICE_X15Y67 SITE_TYPE SLICEL SITEPROP SLICE_X15Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y68 CLASS site SITEPROP SLICE_X15Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y68 IS_BONDED 0 SITEPROP SLICE_X15Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y68 IS_PAD 0 SITEPROP SLICE_X15Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y68 IS_RESERVED 0 SITEPROP SLICE_X15Y68 IS_TEST 0 SITEPROP SLICE_X15Y68 IS_USED 0 SITEPROP SLICE_X15Y68 MANUAL_ROUTING SITEPROP SLICE_X15Y68 NAME SLICE_X15Y68 SITEPROP SLICE_X15Y68 NUM_ARCS 138 SITEPROP SLICE_X15Y68 NUM_BELS 32 SITEPROP SLICE_X15Y68 NUM_INPUTS 32 SITEPROP SLICE_X15Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y68 NUM_PINS 45 SITEPROP SLICE_X15Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y68 PROHIBIT 0 SITEPROP SLICE_X15Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y68 RPM_X 55 SITEPROP SLICE_X15Y68 RPM_Y 136 SITEPROP SLICE_X15Y68 SITE_PIPS SITEPROP SLICE_X15Y68 SITE_TYPE SLICEL SITEPROP SLICE_X15Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y69 CLASS site SITEPROP SLICE_X15Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y69 IS_BONDED 0 SITEPROP SLICE_X15Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y69 IS_PAD 0 SITEPROP SLICE_X15Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y69 IS_RESERVED 0 SITEPROP SLICE_X15Y69 IS_TEST 0 SITEPROP SLICE_X15Y69 IS_USED 0 SITEPROP SLICE_X15Y69 MANUAL_ROUTING SITEPROP SLICE_X15Y69 NAME SLICE_X15Y69 SITEPROP SLICE_X15Y69 NUM_ARCS 138 SITEPROP SLICE_X15Y69 NUM_BELS 32 SITEPROP SLICE_X15Y69 NUM_INPUTS 32 SITEPROP SLICE_X15Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y69 NUM_PINS 45 SITEPROP SLICE_X15Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y69 PROHIBIT 0 SITEPROP SLICE_X15Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y69 RPM_X 55 SITEPROP SLICE_X15Y69 RPM_Y 138 SITEPROP SLICE_X15Y69 SITE_PIPS SITEPROP SLICE_X15Y69 SITE_TYPE SLICEL SITEPROP SLICE_X15Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y70 CLASS site SITEPROP SLICE_X15Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y70 IS_BONDED 0 SITEPROP SLICE_X15Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y70 IS_PAD 0 SITEPROP SLICE_X15Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y70 IS_RESERVED 0 SITEPROP SLICE_X15Y70 IS_TEST 0 SITEPROP SLICE_X15Y70 IS_USED 0 SITEPROP SLICE_X15Y70 MANUAL_ROUTING SITEPROP SLICE_X15Y70 NAME SLICE_X15Y70 SITEPROP SLICE_X15Y70 NUM_ARCS 138 SITEPROP SLICE_X15Y70 NUM_BELS 32 SITEPROP SLICE_X15Y70 NUM_INPUTS 32 SITEPROP SLICE_X15Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y70 NUM_PINS 45 SITEPROP SLICE_X15Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y70 PROHIBIT 0 SITEPROP SLICE_X15Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y70 RPM_X 55 SITEPROP SLICE_X15Y70 RPM_Y 140 SITEPROP SLICE_X15Y70 SITE_PIPS SITEPROP SLICE_X15Y70 SITE_TYPE SLICEL SITEPROP SLICE_X15Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y71 CLASS site SITEPROP SLICE_X15Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y71 IS_BONDED 0 SITEPROP SLICE_X15Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y71 IS_PAD 0 SITEPROP SLICE_X15Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y71 IS_RESERVED 0 SITEPROP SLICE_X15Y71 IS_TEST 0 SITEPROP SLICE_X15Y71 IS_USED 0 SITEPROP SLICE_X15Y71 MANUAL_ROUTING SITEPROP SLICE_X15Y71 NAME SLICE_X15Y71 SITEPROP SLICE_X15Y71 NUM_ARCS 138 SITEPROP SLICE_X15Y71 NUM_BELS 32 SITEPROP SLICE_X15Y71 NUM_INPUTS 32 SITEPROP SLICE_X15Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y71 NUM_PINS 45 SITEPROP SLICE_X15Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y71 PROHIBIT 0 SITEPROP SLICE_X15Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y71 RPM_X 55 SITEPROP SLICE_X15Y71 RPM_Y 142 SITEPROP SLICE_X15Y71 SITE_PIPS SITEPROP SLICE_X15Y71 SITE_TYPE SLICEL SITEPROP SLICE_X15Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y72 CLASS site SITEPROP SLICE_X15Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y72 IS_BONDED 0 SITEPROP SLICE_X15Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y72 IS_PAD 0 SITEPROP SLICE_X15Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y72 IS_RESERVED 0 SITEPROP SLICE_X15Y72 IS_TEST 0 SITEPROP SLICE_X15Y72 IS_USED 0 SITEPROP SLICE_X15Y72 MANUAL_ROUTING SITEPROP SLICE_X15Y72 NAME SLICE_X15Y72 SITEPROP SLICE_X15Y72 NUM_ARCS 138 SITEPROP SLICE_X15Y72 NUM_BELS 32 SITEPROP SLICE_X15Y72 NUM_INPUTS 32 SITEPROP SLICE_X15Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y72 NUM_PINS 45 SITEPROP SLICE_X15Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y72 PROHIBIT 0 SITEPROP SLICE_X15Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y72 RPM_X 55 SITEPROP SLICE_X15Y72 RPM_Y 144 SITEPROP SLICE_X15Y72 SITE_PIPS SITEPROP SLICE_X15Y72 SITE_TYPE SLICEL SITEPROP SLICE_X15Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y73 CLASS site SITEPROP SLICE_X15Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y73 IS_BONDED 0 SITEPROP SLICE_X15Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y73 IS_PAD 0 SITEPROP SLICE_X15Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y73 IS_RESERVED 0 SITEPROP SLICE_X15Y73 IS_TEST 0 SITEPROP SLICE_X15Y73 IS_USED 0 SITEPROP SLICE_X15Y73 MANUAL_ROUTING SITEPROP SLICE_X15Y73 NAME SLICE_X15Y73 SITEPROP SLICE_X15Y73 NUM_ARCS 138 SITEPROP SLICE_X15Y73 NUM_BELS 32 SITEPROP SLICE_X15Y73 NUM_INPUTS 32 SITEPROP SLICE_X15Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y73 NUM_PINS 45 SITEPROP SLICE_X15Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y73 PROHIBIT 0 SITEPROP SLICE_X15Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y73 RPM_X 55 SITEPROP SLICE_X15Y73 RPM_Y 146 SITEPROP SLICE_X15Y73 SITE_PIPS SITEPROP SLICE_X15Y73 SITE_TYPE SLICEL SITEPROP SLICE_X15Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y74 CLASS site SITEPROP SLICE_X15Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y74 IS_BONDED 0 SITEPROP SLICE_X15Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y74 IS_PAD 0 SITEPROP SLICE_X15Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y74 IS_RESERVED 0 SITEPROP SLICE_X15Y74 IS_TEST 0 SITEPROP SLICE_X15Y74 IS_USED 0 SITEPROP SLICE_X15Y74 MANUAL_ROUTING SITEPROP SLICE_X15Y74 NAME SLICE_X15Y74 SITEPROP SLICE_X15Y74 NUM_ARCS 138 SITEPROP SLICE_X15Y74 NUM_BELS 32 SITEPROP SLICE_X15Y74 NUM_INPUTS 32 SITEPROP SLICE_X15Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y74 NUM_PINS 45 SITEPROP SLICE_X15Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y74 PROHIBIT 0 SITEPROP SLICE_X15Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y74 RPM_X 55 SITEPROP SLICE_X15Y74 RPM_Y 148 SITEPROP SLICE_X15Y74 SITE_PIPS SITEPROP SLICE_X15Y74 SITE_TYPE SLICEL SITEPROP SLICE_X15Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y75 CLASS site SITEPROP SLICE_X15Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y75 IS_BONDED 0 SITEPROP SLICE_X15Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y75 IS_PAD 0 SITEPROP SLICE_X15Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y75 IS_RESERVED 0 SITEPROP SLICE_X15Y75 IS_TEST 0 SITEPROP SLICE_X15Y75 IS_USED 0 SITEPROP SLICE_X15Y75 MANUAL_ROUTING SITEPROP SLICE_X15Y75 NAME SLICE_X15Y75 SITEPROP SLICE_X15Y75 NUM_ARCS 138 SITEPROP SLICE_X15Y75 NUM_BELS 32 SITEPROP SLICE_X15Y75 NUM_INPUTS 32 SITEPROP SLICE_X15Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y75 NUM_PINS 45 SITEPROP SLICE_X15Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y75 PROHIBIT 0 SITEPROP SLICE_X15Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y75 RPM_X 55 SITEPROP SLICE_X15Y75 RPM_Y 150 SITEPROP SLICE_X15Y75 SITE_PIPS SITEPROP SLICE_X15Y75 SITE_TYPE SLICEL SITEPROP SLICE_X15Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y76 CLASS site SITEPROP SLICE_X15Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y76 IS_BONDED 0 SITEPROP SLICE_X15Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y76 IS_PAD 0 SITEPROP SLICE_X15Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y76 IS_RESERVED 0 SITEPROP SLICE_X15Y76 IS_TEST 0 SITEPROP SLICE_X15Y76 IS_USED 0 SITEPROP SLICE_X15Y76 MANUAL_ROUTING SITEPROP SLICE_X15Y76 NAME SLICE_X15Y76 SITEPROP SLICE_X15Y76 NUM_ARCS 138 SITEPROP SLICE_X15Y76 NUM_BELS 32 SITEPROP SLICE_X15Y76 NUM_INPUTS 32 SITEPROP SLICE_X15Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y76 NUM_PINS 45 SITEPROP SLICE_X15Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y76 PROHIBIT 0 SITEPROP SLICE_X15Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y76 RPM_X 55 SITEPROP SLICE_X15Y76 RPM_Y 152 SITEPROP SLICE_X15Y76 SITE_PIPS SITEPROP SLICE_X15Y76 SITE_TYPE SLICEL SITEPROP SLICE_X15Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y77 CLASS site SITEPROP SLICE_X15Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y77 IS_BONDED 0 SITEPROP SLICE_X15Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y77 IS_PAD 0 SITEPROP SLICE_X15Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y77 IS_RESERVED 0 SITEPROP SLICE_X15Y77 IS_TEST 0 SITEPROP SLICE_X15Y77 IS_USED 0 SITEPROP SLICE_X15Y77 MANUAL_ROUTING SITEPROP SLICE_X15Y77 NAME SLICE_X15Y77 SITEPROP SLICE_X15Y77 NUM_ARCS 138 SITEPROP SLICE_X15Y77 NUM_BELS 32 SITEPROP SLICE_X15Y77 NUM_INPUTS 32 SITEPROP SLICE_X15Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y77 NUM_PINS 45 SITEPROP SLICE_X15Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y77 PROHIBIT 0 SITEPROP SLICE_X15Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y77 RPM_X 55 SITEPROP SLICE_X15Y77 RPM_Y 154 SITEPROP SLICE_X15Y77 SITE_PIPS SITEPROP SLICE_X15Y77 SITE_TYPE SLICEL SITEPROP SLICE_X15Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y78 CLASS site SITEPROP SLICE_X15Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y78 IS_BONDED 0 SITEPROP SLICE_X15Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y78 IS_PAD 0 SITEPROP SLICE_X15Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y78 IS_RESERVED 0 SITEPROP SLICE_X15Y78 IS_TEST 0 SITEPROP SLICE_X15Y78 IS_USED 0 SITEPROP SLICE_X15Y78 MANUAL_ROUTING SITEPROP SLICE_X15Y78 NAME SLICE_X15Y78 SITEPROP SLICE_X15Y78 NUM_ARCS 138 SITEPROP SLICE_X15Y78 NUM_BELS 32 SITEPROP SLICE_X15Y78 NUM_INPUTS 32 SITEPROP SLICE_X15Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y78 NUM_PINS 45 SITEPROP SLICE_X15Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y78 PROHIBIT 0 SITEPROP SLICE_X15Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y78 RPM_X 55 SITEPROP SLICE_X15Y78 RPM_Y 156 SITEPROP SLICE_X15Y78 SITE_PIPS SITEPROP SLICE_X15Y78 SITE_TYPE SLICEL SITEPROP SLICE_X15Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y79 CLASS site SITEPROP SLICE_X15Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y79 IS_BONDED 0 SITEPROP SLICE_X15Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y79 IS_PAD 0 SITEPROP SLICE_X15Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y79 IS_RESERVED 0 SITEPROP SLICE_X15Y79 IS_TEST 0 SITEPROP SLICE_X15Y79 IS_USED 0 SITEPROP SLICE_X15Y79 MANUAL_ROUTING SITEPROP SLICE_X15Y79 NAME SLICE_X15Y79 SITEPROP SLICE_X15Y79 NUM_ARCS 138 SITEPROP SLICE_X15Y79 NUM_BELS 32 SITEPROP SLICE_X15Y79 NUM_INPUTS 32 SITEPROP SLICE_X15Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y79 NUM_PINS 45 SITEPROP SLICE_X15Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y79 PROHIBIT 0 SITEPROP SLICE_X15Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y79 RPM_X 55 SITEPROP SLICE_X15Y79 RPM_Y 158 SITEPROP SLICE_X15Y79 SITE_PIPS SITEPROP SLICE_X15Y79 SITE_TYPE SLICEL SITEPROP SLICE_X15Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y80 CLASS site SITEPROP SLICE_X15Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y80 IS_BONDED 0 SITEPROP SLICE_X15Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y80 IS_PAD 0 SITEPROP SLICE_X15Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y80 IS_RESERVED 0 SITEPROP SLICE_X15Y80 IS_TEST 0 SITEPROP SLICE_X15Y80 IS_USED 0 SITEPROP SLICE_X15Y80 MANUAL_ROUTING SITEPROP SLICE_X15Y80 NAME SLICE_X15Y80 SITEPROP SLICE_X15Y80 NUM_ARCS 138 SITEPROP SLICE_X15Y80 NUM_BELS 32 SITEPROP SLICE_X15Y80 NUM_INPUTS 32 SITEPROP SLICE_X15Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y80 NUM_PINS 45 SITEPROP SLICE_X15Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y80 PROHIBIT 0 SITEPROP SLICE_X15Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y80 RPM_X 55 SITEPROP SLICE_X15Y80 RPM_Y 160 SITEPROP SLICE_X15Y80 SITE_PIPS SITEPROP SLICE_X15Y80 SITE_TYPE SLICEL SITEPROP SLICE_X15Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y81 CLASS site SITEPROP SLICE_X15Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y81 IS_BONDED 0 SITEPROP SLICE_X15Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y81 IS_PAD 0 SITEPROP SLICE_X15Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y81 IS_RESERVED 0 SITEPROP SLICE_X15Y81 IS_TEST 0 SITEPROP SLICE_X15Y81 IS_USED 0 SITEPROP SLICE_X15Y81 MANUAL_ROUTING SITEPROP SLICE_X15Y81 NAME SLICE_X15Y81 SITEPROP SLICE_X15Y81 NUM_ARCS 138 SITEPROP SLICE_X15Y81 NUM_BELS 32 SITEPROP SLICE_X15Y81 NUM_INPUTS 32 SITEPROP SLICE_X15Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y81 NUM_PINS 45 SITEPROP SLICE_X15Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y81 PROHIBIT 0 SITEPROP SLICE_X15Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y81 RPM_X 55 SITEPROP SLICE_X15Y81 RPM_Y 162 SITEPROP SLICE_X15Y81 SITE_PIPS SITEPROP SLICE_X15Y81 SITE_TYPE SLICEL SITEPROP SLICE_X15Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y82 CLASS site SITEPROP SLICE_X15Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y82 IS_BONDED 0 SITEPROP SLICE_X15Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y82 IS_PAD 0 SITEPROP SLICE_X15Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y82 IS_RESERVED 0 SITEPROP SLICE_X15Y82 IS_TEST 0 SITEPROP SLICE_X15Y82 IS_USED 0 SITEPROP SLICE_X15Y82 MANUAL_ROUTING SITEPROP SLICE_X15Y82 NAME SLICE_X15Y82 SITEPROP SLICE_X15Y82 NUM_ARCS 138 SITEPROP SLICE_X15Y82 NUM_BELS 32 SITEPROP SLICE_X15Y82 NUM_INPUTS 32 SITEPROP SLICE_X15Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y82 NUM_PINS 45 SITEPROP SLICE_X15Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y82 PROHIBIT 0 SITEPROP SLICE_X15Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y82 RPM_X 55 SITEPROP SLICE_X15Y82 RPM_Y 164 SITEPROP SLICE_X15Y82 SITE_PIPS SITEPROP SLICE_X15Y82 SITE_TYPE SLICEL SITEPROP SLICE_X15Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y83 CLASS site SITEPROP SLICE_X15Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y83 IS_BONDED 0 SITEPROP SLICE_X15Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y83 IS_PAD 0 SITEPROP SLICE_X15Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y83 IS_RESERVED 0 SITEPROP SLICE_X15Y83 IS_TEST 0 SITEPROP SLICE_X15Y83 IS_USED 0 SITEPROP SLICE_X15Y83 MANUAL_ROUTING SITEPROP SLICE_X15Y83 NAME SLICE_X15Y83 SITEPROP SLICE_X15Y83 NUM_ARCS 138 SITEPROP SLICE_X15Y83 NUM_BELS 32 SITEPROP SLICE_X15Y83 NUM_INPUTS 32 SITEPROP SLICE_X15Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y83 NUM_PINS 45 SITEPROP SLICE_X15Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y83 PROHIBIT 0 SITEPROP SLICE_X15Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y83 RPM_X 55 SITEPROP SLICE_X15Y83 RPM_Y 166 SITEPROP SLICE_X15Y83 SITE_PIPS SITEPROP SLICE_X15Y83 SITE_TYPE SLICEL SITEPROP SLICE_X15Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y84 CLASS site SITEPROP SLICE_X15Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y84 IS_BONDED 0 SITEPROP SLICE_X15Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y84 IS_PAD 0 SITEPROP SLICE_X15Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y84 IS_RESERVED 0 SITEPROP SLICE_X15Y84 IS_TEST 0 SITEPROP SLICE_X15Y84 IS_USED 0 SITEPROP SLICE_X15Y84 MANUAL_ROUTING SITEPROP SLICE_X15Y84 NAME SLICE_X15Y84 SITEPROP SLICE_X15Y84 NUM_ARCS 138 SITEPROP SLICE_X15Y84 NUM_BELS 32 SITEPROP SLICE_X15Y84 NUM_INPUTS 32 SITEPROP SLICE_X15Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y84 NUM_PINS 45 SITEPROP SLICE_X15Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y84 PROHIBIT 0 SITEPROP SLICE_X15Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y84 RPM_X 55 SITEPROP SLICE_X15Y84 RPM_Y 168 SITEPROP SLICE_X15Y84 SITE_PIPS SITEPROP SLICE_X15Y84 SITE_TYPE SLICEL SITEPROP SLICE_X15Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y85 CLASS site SITEPROP SLICE_X15Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y85 IS_BONDED 0 SITEPROP SLICE_X15Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y85 IS_PAD 0 SITEPROP SLICE_X15Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y85 IS_RESERVED 0 SITEPROP SLICE_X15Y85 IS_TEST 0 SITEPROP SLICE_X15Y85 IS_USED 0 SITEPROP SLICE_X15Y85 MANUAL_ROUTING SITEPROP SLICE_X15Y85 NAME SLICE_X15Y85 SITEPROP SLICE_X15Y85 NUM_ARCS 138 SITEPROP SLICE_X15Y85 NUM_BELS 32 SITEPROP SLICE_X15Y85 NUM_INPUTS 32 SITEPROP SLICE_X15Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y85 NUM_PINS 45 SITEPROP SLICE_X15Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y85 PROHIBIT 0 SITEPROP SLICE_X15Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y85 RPM_X 55 SITEPROP SLICE_X15Y85 RPM_Y 170 SITEPROP SLICE_X15Y85 SITE_PIPS SITEPROP SLICE_X15Y85 SITE_TYPE SLICEL SITEPROP SLICE_X15Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y86 CLASS site SITEPROP SLICE_X15Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y86 IS_BONDED 0 SITEPROP SLICE_X15Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y86 IS_PAD 0 SITEPROP SLICE_X15Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y86 IS_RESERVED 0 SITEPROP SLICE_X15Y86 IS_TEST 0 SITEPROP SLICE_X15Y86 IS_USED 0 SITEPROP SLICE_X15Y86 MANUAL_ROUTING SITEPROP SLICE_X15Y86 NAME SLICE_X15Y86 SITEPROP SLICE_X15Y86 NUM_ARCS 138 SITEPROP SLICE_X15Y86 NUM_BELS 32 SITEPROP SLICE_X15Y86 NUM_INPUTS 32 SITEPROP SLICE_X15Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y86 NUM_PINS 45 SITEPROP SLICE_X15Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y86 PROHIBIT 0 SITEPROP SLICE_X15Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y86 RPM_X 55 SITEPROP SLICE_X15Y86 RPM_Y 172 SITEPROP SLICE_X15Y86 SITE_PIPS SITEPROP SLICE_X15Y86 SITE_TYPE SLICEL SITEPROP SLICE_X15Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y87 CLASS site SITEPROP SLICE_X15Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y87 IS_BONDED 0 SITEPROP SLICE_X15Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y87 IS_PAD 0 SITEPROP SLICE_X15Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y87 IS_RESERVED 0 SITEPROP SLICE_X15Y87 IS_TEST 0 SITEPROP SLICE_X15Y87 IS_USED 0 SITEPROP SLICE_X15Y87 MANUAL_ROUTING SITEPROP SLICE_X15Y87 NAME SLICE_X15Y87 SITEPROP SLICE_X15Y87 NUM_ARCS 138 SITEPROP SLICE_X15Y87 NUM_BELS 32 SITEPROP SLICE_X15Y87 NUM_INPUTS 32 SITEPROP SLICE_X15Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y87 NUM_PINS 45 SITEPROP SLICE_X15Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y87 PROHIBIT 0 SITEPROP SLICE_X15Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y87 RPM_X 55 SITEPROP SLICE_X15Y87 RPM_Y 174 SITEPROP SLICE_X15Y87 SITE_PIPS SITEPROP SLICE_X15Y87 SITE_TYPE SLICEL SITEPROP SLICE_X15Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y88 CLASS site SITEPROP SLICE_X15Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y88 IS_BONDED 0 SITEPROP SLICE_X15Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y88 IS_PAD 0 SITEPROP SLICE_X15Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y88 IS_RESERVED 0 SITEPROP SLICE_X15Y88 IS_TEST 0 SITEPROP SLICE_X15Y88 IS_USED 0 SITEPROP SLICE_X15Y88 MANUAL_ROUTING SITEPROP SLICE_X15Y88 NAME SLICE_X15Y88 SITEPROP SLICE_X15Y88 NUM_ARCS 138 SITEPROP SLICE_X15Y88 NUM_BELS 32 SITEPROP SLICE_X15Y88 NUM_INPUTS 32 SITEPROP SLICE_X15Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y88 NUM_PINS 45 SITEPROP SLICE_X15Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y88 PROHIBIT 0 SITEPROP SLICE_X15Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y88 RPM_X 55 SITEPROP SLICE_X15Y88 RPM_Y 176 SITEPROP SLICE_X15Y88 SITE_PIPS SITEPROP SLICE_X15Y88 SITE_TYPE SLICEL SITEPROP SLICE_X15Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y89 CLASS site SITEPROP SLICE_X15Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y89 IS_BONDED 0 SITEPROP SLICE_X15Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y89 IS_PAD 0 SITEPROP SLICE_X15Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y89 IS_RESERVED 0 SITEPROP SLICE_X15Y89 IS_TEST 0 SITEPROP SLICE_X15Y89 IS_USED 0 SITEPROP SLICE_X15Y89 MANUAL_ROUTING SITEPROP SLICE_X15Y89 NAME SLICE_X15Y89 SITEPROP SLICE_X15Y89 NUM_ARCS 138 SITEPROP SLICE_X15Y89 NUM_BELS 32 SITEPROP SLICE_X15Y89 NUM_INPUTS 32 SITEPROP SLICE_X15Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y89 NUM_PINS 45 SITEPROP SLICE_X15Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y89 PROHIBIT 0 SITEPROP SLICE_X15Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y89 RPM_X 55 SITEPROP SLICE_X15Y89 RPM_Y 178 SITEPROP SLICE_X15Y89 SITE_PIPS SITEPROP SLICE_X15Y89 SITE_TYPE SLICEL SITEPROP SLICE_X15Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y90 CLASS site SITEPROP SLICE_X15Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y90 IS_BONDED 0 SITEPROP SLICE_X15Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y90 IS_PAD 0 SITEPROP SLICE_X15Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y90 IS_RESERVED 0 SITEPROP SLICE_X15Y90 IS_TEST 0 SITEPROP SLICE_X15Y90 IS_USED 0 SITEPROP SLICE_X15Y90 MANUAL_ROUTING SITEPROP SLICE_X15Y90 NAME SLICE_X15Y90 SITEPROP SLICE_X15Y90 NUM_ARCS 138 SITEPROP SLICE_X15Y90 NUM_BELS 32 SITEPROP SLICE_X15Y90 NUM_INPUTS 32 SITEPROP SLICE_X15Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y90 NUM_PINS 45 SITEPROP SLICE_X15Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y90 PROHIBIT 0 SITEPROP SLICE_X15Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y90 RPM_X 55 SITEPROP SLICE_X15Y90 RPM_Y 180 SITEPROP SLICE_X15Y90 SITE_PIPS SITEPROP SLICE_X15Y90 SITE_TYPE SLICEL SITEPROP SLICE_X15Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y91 CLASS site SITEPROP SLICE_X15Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y91 IS_BONDED 0 SITEPROP SLICE_X15Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y91 IS_PAD 0 SITEPROP SLICE_X15Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y91 IS_RESERVED 0 SITEPROP SLICE_X15Y91 IS_TEST 0 SITEPROP SLICE_X15Y91 IS_USED 0 SITEPROP SLICE_X15Y91 MANUAL_ROUTING SITEPROP SLICE_X15Y91 NAME SLICE_X15Y91 SITEPROP SLICE_X15Y91 NUM_ARCS 138 SITEPROP SLICE_X15Y91 NUM_BELS 32 SITEPROP SLICE_X15Y91 NUM_INPUTS 32 SITEPROP SLICE_X15Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y91 NUM_PINS 45 SITEPROP SLICE_X15Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y91 PROHIBIT 0 SITEPROP SLICE_X15Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y91 RPM_X 55 SITEPROP SLICE_X15Y91 RPM_Y 182 SITEPROP SLICE_X15Y91 SITE_PIPS SITEPROP SLICE_X15Y91 SITE_TYPE SLICEL SITEPROP SLICE_X15Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y92 CLASS site SITEPROP SLICE_X15Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y92 IS_BONDED 0 SITEPROP SLICE_X15Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y92 IS_PAD 0 SITEPROP SLICE_X15Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y92 IS_RESERVED 0 SITEPROP SLICE_X15Y92 IS_TEST 0 SITEPROP SLICE_X15Y92 IS_USED 0 SITEPROP SLICE_X15Y92 MANUAL_ROUTING SITEPROP SLICE_X15Y92 NAME SLICE_X15Y92 SITEPROP SLICE_X15Y92 NUM_ARCS 138 SITEPROP SLICE_X15Y92 NUM_BELS 32 SITEPROP SLICE_X15Y92 NUM_INPUTS 32 SITEPROP SLICE_X15Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y92 NUM_PINS 45 SITEPROP SLICE_X15Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y92 PROHIBIT 0 SITEPROP SLICE_X15Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y92 RPM_X 55 SITEPROP SLICE_X15Y92 RPM_Y 184 SITEPROP SLICE_X15Y92 SITE_PIPS SITEPROP SLICE_X15Y92 SITE_TYPE SLICEL SITEPROP SLICE_X15Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y93 CLASS site SITEPROP SLICE_X15Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y93 IS_BONDED 0 SITEPROP SLICE_X15Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y93 IS_PAD 0 SITEPROP SLICE_X15Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y93 IS_RESERVED 0 SITEPROP SLICE_X15Y93 IS_TEST 0 SITEPROP SLICE_X15Y93 IS_USED 0 SITEPROP SLICE_X15Y93 MANUAL_ROUTING SITEPROP SLICE_X15Y93 NAME SLICE_X15Y93 SITEPROP SLICE_X15Y93 NUM_ARCS 138 SITEPROP SLICE_X15Y93 NUM_BELS 32 SITEPROP SLICE_X15Y93 NUM_INPUTS 32 SITEPROP SLICE_X15Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y93 NUM_PINS 45 SITEPROP SLICE_X15Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y93 PROHIBIT 0 SITEPROP SLICE_X15Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y93 RPM_X 55 SITEPROP SLICE_X15Y93 RPM_Y 186 SITEPROP SLICE_X15Y93 SITE_PIPS SITEPROP SLICE_X15Y93 SITE_TYPE SLICEL SITEPROP SLICE_X15Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y94 CLASS site SITEPROP SLICE_X15Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y94 IS_BONDED 0 SITEPROP SLICE_X15Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y94 IS_PAD 0 SITEPROP SLICE_X15Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y94 IS_RESERVED 0 SITEPROP SLICE_X15Y94 IS_TEST 0 SITEPROP SLICE_X15Y94 IS_USED 0 SITEPROP SLICE_X15Y94 MANUAL_ROUTING SITEPROP SLICE_X15Y94 NAME SLICE_X15Y94 SITEPROP SLICE_X15Y94 NUM_ARCS 138 SITEPROP SLICE_X15Y94 NUM_BELS 32 SITEPROP SLICE_X15Y94 NUM_INPUTS 32 SITEPROP SLICE_X15Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y94 NUM_PINS 45 SITEPROP SLICE_X15Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y94 PROHIBIT 0 SITEPROP SLICE_X15Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y94 RPM_X 55 SITEPROP SLICE_X15Y94 RPM_Y 188 SITEPROP SLICE_X15Y94 SITE_PIPS SITEPROP SLICE_X15Y94 SITE_TYPE SLICEL SITEPROP SLICE_X15Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y95 CLASS site SITEPROP SLICE_X15Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y95 IS_BONDED 0 SITEPROP SLICE_X15Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y95 IS_PAD 0 SITEPROP SLICE_X15Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y95 IS_RESERVED 0 SITEPROP SLICE_X15Y95 IS_TEST 0 SITEPROP SLICE_X15Y95 IS_USED 0 SITEPROP SLICE_X15Y95 MANUAL_ROUTING SITEPROP SLICE_X15Y95 NAME SLICE_X15Y95 SITEPROP SLICE_X15Y95 NUM_ARCS 138 SITEPROP SLICE_X15Y95 NUM_BELS 32 SITEPROP SLICE_X15Y95 NUM_INPUTS 32 SITEPROP SLICE_X15Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y95 NUM_PINS 45 SITEPROP SLICE_X15Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y95 PROHIBIT 0 SITEPROP SLICE_X15Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y95 RPM_X 55 SITEPROP SLICE_X15Y95 RPM_Y 190 SITEPROP SLICE_X15Y95 SITE_PIPS SITEPROP SLICE_X15Y95 SITE_TYPE SLICEL SITEPROP SLICE_X15Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y96 CLASS site SITEPROP SLICE_X15Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y96 IS_BONDED 0 SITEPROP SLICE_X15Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y96 IS_PAD 0 SITEPROP SLICE_X15Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y96 IS_RESERVED 0 SITEPROP SLICE_X15Y96 IS_TEST 0 SITEPROP SLICE_X15Y96 IS_USED 0 SITEPROP SLICE_X15Y96 MANUAL_ROUTING SITEPROP SLICE_X15Y96 NAME SLICE_X15Y96 SITEPROP SLICE_X15Y96 NUM_ARCS 138 SITEPROP SLICE_X15Y96 NUM_BELS 32 SITEPROP SLICE_X15Y96 NUM_INPUTS 32 SITEPROP SLICE_X15Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y96 NUM_PINS 45 SITEPROP SLICE_X15Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y96 PROHIBIT 0 SITEPROP SLICE_X15Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y96 RPM_X 55 SITEPROP SLICE_X15Y96 RPM_Y 192 SITEPROP SLICE_X15Y96 SITE_PIPS SITEPROP SLICE_X15Y96 SITE_TYPE SLICEL SITEPROP SLICE_X15Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y97 CLASS site SITEPROP SLICE_X15Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y97 IS_BONDED 0 SITEPROP SLICE_X15Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y97 IS_PAD 0 SITEPROP SLICE_X15Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y97 IS_RESERVED 0 SITEPROP SLICE_X15Y97 IS_TEST 0 SITEPROP SLICE_X15Y97 IS_USED 0 SITEPROP SLICE_X15Y97 MANUAL_ROUTING SITEPROP SLICE_X15Y97 NAME SLICE_X15Y97 SITEPROP SLICE_X15Y97 NUM_ARCS 138 SITEPROP SLICE_X15Y97 NUM_BELS 32 SITEPROP SLICE_X15Y97 NUM_INPUTS 32 SITEPROP SLICE_X15Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y97 NUM_PINS 45 SITEPROP SLICE_X15Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y97 PROHIBIT 0 SITEPROP SLICE_X15Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y97 RPM_X 55 SITEPROP SLICE_X15Y97 RPM_Y 194 SITEPROP SLICE_X15Y97 SITE_PIPS SITEPROP SLICE_X15Y97 SITE_TYPE SLICEL SITEPROP SLICE_X15Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y98 CLASS site SITEPROP SLICE_X15Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y98 IS_BONDED 0 SITEPROP SLICE_X15Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y98 IS_PAD 0 SITEPROP SLICE_X15Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y98 IS_RESERVED 0 SITEPROP SLICE_X15Y98 IS_TEST 0 SITEPROP SLICE_X15Y98 IS_USED 0 SITEPROP SLICE_X15Y98 MANUAL_ROUTING SITEPROP SLICE_X15Y98 NAME SLICE_X15Y98 SITEPROP SLICE_X15Y98 NUM_ARCS 138 SITEPROP SLICE_X15Y98 NUM_BELS 32 SITEPROP SLICE_X15Y98 NUM_INPUTS 32 SITEPROP SLICE_X15Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y98 NUM_PINS 45 SITEPROP SLICE_X15Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y98 PROHIBIT 0 SITEPROP SLICE_X15Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y98 RPM_X 55 SITEPROP SLICE_X15Y98 RPM_Y 196 SITEPROP SLICE_X15Y98 SITE_PIPS SITEPROP SLICE_X15Y98 SITE_TYPE SLICEL SITEPROP SLICE_X15Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y99 CLASS site SITEPROP SLICE_X15Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X15Y99 IS_BONDED 0 SITEPROP SLICE_X15Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y99 IS_PAD 0 SITEPROP SLICE_X15Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y99 IS_RESERVED 0 SITEPROP SLICE_X15Y99 IS_TEST 0 SITEPROP SLICE_X15Y99 IS_USED 0 SITEPROP SLICE_X15Y99 MANUAL_ROUTING SITEPROP SLICE_X15Y99 NAME SLICE_X15Y99 SITEPROP SLICE_X15Y99 NUM_ARCS 138 SITEPROP SLICE_X15Y99 NUM_BELS 32 SITEPROP SLICE_X15Y99 NUM_INPUTS 32 SITEPROP SLICE_X15Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y99 NUM_PINS 45 SITEPROP SLICE_X15Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y99 PROHIBIT 0 SITEPROP SLICE_X15Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y99 RPM_X 55 SITEPROP SLICE_X15Y99 RPM_Y 198 SITEPROP SLICE_X15Y99 SITE_PIPS SITEPROP SLICE_X15Y99 SITE_TYPE SLICEL SITEPROP SLICE_X15Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y100 CLASS site SITEPROP SLICE_X15Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y100 IS_BONDED 0 SITEPROP SLICE_X15Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y100 IS_PAD 0 SITEPROP SLICE_X15Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y100 IS_RESERVED 0 SITEPROP SLICE_X15Y100 IS_TEST 0 SITEPROP SLICE_X15Y100 IS_USED 0 SITEPROP SLICE_X15Y100 MANUAL_ROUTING SITEPROP SLICE_X15Y100 NAME SLICE_X15Y100 SITEPROP SLICE_X15Y100 NUM_ARCS 138 SITEPROP SLICE_X15Y100 NUM_BELS 32 SITEPROP SLICE_X15Y100 NUM_INPUTS 32 SITEPROP SLICE_X15Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y100 NUM_PINS 45 SITEPROP SLICE_X15Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y100 PROHIBIT 0 SITEPROP SLICE_X15Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y100 RPM_X 55 SITEPROP SLICE_X15Y100 RPM_Y 200 SITEPROP SLICE_X15Y100 SITE_PIPS SITEPROP SLICE_X15Y100 SITE_TYPE SLICEL SITEPROP SLICE_X15Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y101 CLASS site SITEPROP SLICE_X15Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y101 IS_BONDED 0 SITEPROP SLICE_X15Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y101 IS_PAD 0 SITEPROP SLICE_X15Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y101 IS_RESERVED 0 SITEPROP SLICE_X15Y101 IS_TEST 0 SITEPROP SLICE_X15Y101 IS_USED 0 SITEPROP SLICE_X15Y101 MANUAL_ROUTING SITEPROP SLICE_X15Y101 NAME SLICE_X15Y101 SITEPROP SLICE_X15Y101 NUM_ARCS 138 SITEPROP SLICE_X15Y101 NUM_BELS 32 SITEPROP SLICE_X15Y101 NUM_INPUTS 32 SITEPROP SLICE_X15Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y101 NUM_PINS 45 SITEPROP SLICE_X15Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y101 PROHIBIT 0 SITEPROP SLICE_X15Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y101 RPM_X 55 SITEPROP SLICE_X15Y101 RPM_Y 202 SITEPROP SLICE_X15Y101 SITE_PIPS SITEPROP SLICE_X15Y101 SITE_TYPE SLICEL SITEPROP SLICE_X15Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y102 CLASS site SITEPROP SLICE_X15Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y102 IS_BONDED 0 SITEPROP SLICE_X15Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y102 IS_PAD 0 SITEPROP SLICE_X15Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y102 IS_RESERVED 0 SITEPROP SLICE_X15Y102 IS_TEST 0 SITEPROP SLICE_X15Y102 IS_USED 0 SITEPROP SLICE_X15Y102 MANUAL_ROUTING SITEPROP SLICE_X15Y102 NAME SLICE_X15Y102 SITEPROP SLICE_X15Y102 NUM_ARCS 138 SITEPROP SLICE_X15Y102 NUM_BELS 32 SITEPROP SLICE_X15Y102 NUM_INPUTS 32 SITEPROP SLICE_X15Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y102 NUM_PINS 45 SITEPROP SLICE_X15Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y102 PROHIBIT 0 SITEPROP SLICE_X15Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y102 RPM_X 55 SITEPROP SLICE_X15Y102 RPM_Y 204 SITEPROP SLICE_X15Y102 SITE_PIPS SITEPROP SLICE_X15Y102 SITE_TYPE SLICEL SITEPROP SLICE_X15Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y103 CLASS site SITEPROP SLICE_X15Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y103 IS_BONDED 0 SITEPROP SLICE_X15Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y103 IS_PAD 0 SITEPROP SLICE_X15Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y103 IS_RESERVED 0 SITEPROP SLICE_X15Y103 IS_TEST 0 SITEPROP SLICE_X15Y103 IS_USED 0 SITEPROP SLICE_X15Y103 MANUAL_ROUTING SITEPROP SLICE_X15Y103 NAME SLICE_X15Y103 SITEPROP SLICE_X15Y103 NUM_ARCS 138 SITEPROP SLICE_X15Y103 NUM_BELS 32 SITEPROP SLICE_X15Y103 NUM_INPUTS 32 SITEPROP SLICE_X15Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y103 NUM_PINS 45 SITEPROP SLICE_X15Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y103 PROHIBIT 0 SITEPROP SLICE_X15Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y103 RPM_X 55 SITEPROP SLICE_X15Y103 RPM_Y 206 SITEPROP SLICE_X15Y103 SITE_PIPS SITEPROP SLICE_X15Y103 SITE_TYPE SLICEL SITEPROP SLICE_X15Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y104 CLASS site SITEPROP SLICE_X15Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y104 IS_BONDED 0 SITEPROP SLICE_X15Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y104 IS_PAD 0 SITEPROP SLICE_X15Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y104 IS_RESERVED 0 SITEPROP SLICE_X15Y104 IS_TEST 0 SITEPROP SLICE_X15Y104 IS_USED 0 SITEPROP SLICE_X15Y104 MANUAL_ROUTING SITEPROP SLICE_X15Y104 NAME SLICE_X15Y104 SITEPROP SLICE_X15Y104 NUM_ARCS 138 SITEPROP SLICE_X15Y104 NUM_BELS 32 SITEPROP SLICE_X15Y104 NUM_INPUTS 32 SITEPROP SLICE_X15Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y104 NUM_PINS 45 SITEPROP SLICE_X15Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y104 PROHIBIT 0 SITEPROP SLICE_X15Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y104 RPM_X 55 SITEPROP SLICE_X15Y104 RPM_Y 208 SITEPROP SLICE_X15Y104 SITE_PIPS SITEPROP SLICE_X15Y104 SITE_TYPE SLICEL SITEPROP SLICE_X15Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y105 CLASS site SITEPROP SLICE_X15Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y105 IS_BONDED 0 SITEPROP SLICE_X15Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y105 IS_PAD 0 SITEPROP SLICE_X15Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y105 IS_RESERVED 0 SITEPROP SLICE_X15Y105 IS_TEST 0 SITEPROP SLICE_X15Y105 IS_USED 0 SITEPROP SLICE_X15Y105 MANUAL_ROUTING SITEPROP SLICE_X15Y105 NAME SLICE_X15Y105 SITEPROP SLICE_X15Y105 NUM_ARCS 138 SITEPROP SLICE_X15Y105 NUM_BELS 32 SITEPROP SLICE_X15Y105 NUM_INPUTS 32 SITEPROP SLICE_X15Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y105 NUM_PINS 45 SITEPROP SLICE_X15Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y105 PROHIBIT 0 SITEPROP SLICE_X15Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y105 RPM_X 55 SITEPROP SLICE_X15Y105 RPM_Y 210 SITEPROP SLICE_X15Y105 SITE_PIPS SITEPROP SLICE_X15Y105 SITE_TYPE SLICEL SITEPROP SLICE_X15Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y106 CLASS site SITEPROP SLICE_X15Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y106 IS_BONDED 0 SITEPROP SLICE_X15Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y106 IS_PAD 0 SITEPROP SLICE_X15Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y106 IS_RESERVED 0 SITEPROP SLICE_X15Y106 IS_TEST 0 SITEPROP SLICE_X15Y106 IS_USED 0 SITEPROP SLICE_X15Y106 MANUAL_ROUTING SITEPROP SLICE_X15Y106 NAME SLICE_X15Y106 SITEPROP SLICE_X15Y106 NUM_ARCS 138 SITEPROP SLICE_X15Y106 NUM_BELS 32 SITEPROP SLICE_X15Y106 NUM_INPUTS 32 SITEPROP SLICE_X15Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y106 NUM_PINS 45 SITEPROP SLICE_X15Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y106 PROHIBIT 0 SITEPROP SLICE_X15Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y106 RPM_X 55 SITEPROP SLICE_X15Y106 RPM_Y 212 SITEPROP SLICE_X15Y106 SITE_PIPS SITEPROP SLICE_X15Y106 SITE_TYPE SLICEL SITEPROP SLICE_X15Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y107 CLASS site SITEPROP SLICE_X15Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y107 IS_BONDED 0 SITEPROP SLICE_X15Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y107 IS_PAD 0 SITEPROP SLICE_X15Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y107 IS_RESERVED 0 SITEPROP SLICE_X15Y107 IS_TEST 0 SITEPROP SLICE_X15Y107 IS_USED 0 SITEPROP SLICE_X15Y107 MANUAL_ROUTING SITEPROP SLICE_X15Y107 NAME SLICE_X15Y107 SITEPROP SLICE_X15Y107 NUM_ARCS 138 SITEPROP SLICE_X15Y107 NUM_BELS 32 SITEPROP SLICE_X15Y107 NUM_INPUTS 32 SITEPROP SLICE_X15Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y107 NUM_PINS 45 SITEPROP SLICE_X15Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y107 PROHIBIT 0 SITEPROP SLICE_X15Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y107 RPM_X 55 SITEPROP SLICE_X15Y107 RPM_Y 214 SITEPROP SLICE_X15Y107 SITE_PIPS SITEPROP SLICE_X15Y107 SITE_TYPE SLICEL SITEPROP SLICE_X15Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y108 CLASS site SITEPROP SLICE_X15Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y108 IS_BONDED 0 SITEPROP SLICE_X15Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y108 IS_PAD 0 SITEPROP SLICE_X15Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y108 IS_RESERVED 0 SITEPROP SLICE_X15Y108 IS_TEST 0 SITEPROP SLICE_X15Y108 IS_USED 0 SITEPROP SLICE_X15Y108 MANUAL_ROUTING SITEPROP SLICE_X15Y108 NAME SLICE_X15Y108 SITEPROP SLICE_X15Y108 NUM_ARCS 138 SITEPROP SLICE_X15Y108 NUM_BELS 32 SITEPROP SLICE_X15Y108 NUM_INPUTS 32 SITEPROP SLICE_X15Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y108 NUM_PINS 45 SITEPROP SLICE_X15Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y108 PROHIBIT 0 SITEPROP SLICE_X15Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y108 RPM_X 55 SITEPROP SLICE_X15Y108 RPM_Y 216 SITEPROP SLICE_X15Y108 SITE_PIPS SITEPROP SLICE_X15Y108 SITE_TYPE SLICEL SITEPROP SLICE_X15Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y109 CLASS site SITEPROP SLICE_X15Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y109 IS_BONDED 0 SITEPROP SLICE_X15Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y109 IS_PAD 0 SITEPROP SLICE_X15Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y109 IS_RESERVED 0 SITEPROP SLICE_X15Y109 IS_TEST 0 SITEPROP SLICE_X15Y109 IS_USED 0 SITEPROP SLICE_X15Y109 MANUAL_ROUTING SITEPROP SLICE_X15Y109 NAME SLICE_X15Y109 SITEPROP SLICE_X15Y109 NUM_ARCS 138 SITEPROP SLICE_X15Y109 NUM_BELS 32 SITEPROP SLICE_X15Y109 NUM_INPUTS 32 SITEPROP SLICE_X15Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y109 NUM_PINS 45 SITEPROP SLICE_X15Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y109 PROHIBIT 0 SITEPROP SLICE_X15Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y109 RPM_X 55 SITEPROP SLICE_X15Y109 RPM_Y 218 SITEPROP SLICE_X15Y109 SITE_PIPS SITEPROP SLICE_X15Y109 SITE_TYPE SLICEL SITEPROP SLICE_X15Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y110 CLASS site SITEPROP SLICE_X15Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y110 IS_BONDED 0 SITEPROP SLICE_X15Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y110 IS_PAD 0 SITEPROP SLICE_X15Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y110 IS_RESERVED 0 SITEPROP SLICE_X15Y110 IS_TEST 0 SITEPROP SLICE_X15Y110 IS_USED 0 SITEPROP SLICE_X15Y110 MANUAL_ROUTING SITEPROP SLICE_X15Y110 NAME SLICE_X15Y110 SITEPROP SLICE_X15Y110 NUM_ARCS 138 SITEPROP SLICE_X15Y110 NUM_BELS 32 SITEPROP SLICE_X15Y110 NUM_INPUTS 32 SITEPROP SLICE_X15Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y110 NUM_PINS 45 SITEPROP SLICE_X15Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y110 PROHIBIT 0 SITEPROP SLICE_X15Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y110 RPM_X 55 SITEPROP SLICE_X15Y110 RPM_Y 220 SITEPROP SLICE_X15Y110 SITE_PIPS SITEPROP SLICE_X15Y110 SITE_TYPE SLICEL SITEPROP SLICE_X15Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y111 CLASS site SITEPROP SLICE_X15Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y111 IS_BONDED 0 SITEPROP SLICE_X15Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y111 IS_PAD 0 SITEPROP SLICE_X15Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y111 IS_RESERVED 0 SITEPROP SLICE_X15Y111 IS_TEST 0 SITEPROP SLICE_X15Y111 IS_USED 0 SITEPROP SLICE_X15Y111 MANUAL_ROUTING SITEPROP SLICE_X15Y111 NAME SLICE_X15Y111 SITEPROP SLICE_X15Y111 NUM_ARCS 138 SITEPROP SLICE_X15Y111 NUM_BELS 32 SITEPROP SLICE_X15Y111 NUM_INPUTS 32 SITEPROP SLICE_X15Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y111 NUM_PINS 45 SITEPROP SLICE_X15Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y111 PROHIBIT 0 SITEPROP SLICE_X15Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y111 RPM_X 55 SITEPROP SLICE_X15Y111 RPM_Y 222 SITEPROP SLICE_X15Y111 SITE_PIPS SITEPROP SLICE_X15Y111 SITE_TYPE SLICEL SITEPROP SLICE_X15Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y112 CLASS site SITEPROP SLICE_X15Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y112 IS_BONDED 0 SITEPROP SLICE_X15Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y112 IS_PAD 0 SITEPROP SLICE_X15Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y112 IS_RESERVED 0 SITEPROP SLICE_X15Y112 IS_TEST 0 SITEPROP SLICE_X15Y112 IS_USED 0 SITEPROP SLICE_X15Y112 MANUAL_ROUTING SITEPROP SLICE_X15Y112 NAME SLICE_X15Y112 SITEPROP SLICE_X15Y112 NUM_ARCS 138 SITEPROP SLICE_X15Y112 NUM_BELS 32 SITEPROP SLICE_X15Y112 NUM_INPUTS 32 SITEPROP SLICE_X15Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y112 NUM_PINS 45 SITEPROP SLICE_X15Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y112 PROHIBIT 0 SITEPROP SLICE_X15Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y112 RPM_X 55 SITEPROP SLICE_X15Y112 RPM_Y 224 SITEPROP SLICE_X15Y112 SITE_PIPS SITEPROP SLICE_X15Y112 SITE_TYPE SLICEL SITEPROP SLICE_X15Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y113 CLASS site SITEPROP SLICE_X15Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y113 IS_BONDED 0 SITEPROP SLICE_X15Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y113 IS_PAD 0 SITEPROP SLICE_X15Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y113 IS_RESERVED 0 SITEPROP SLICE_X15Y113 IS_TEST 0 SITEPROP SLICE_X15Y113 IS_USED 0 SITEPROP SLICE_X15Y113 MANUAL_ROUTING SITEPROP SLICE_X15Y113 NAME SLICE_X15Y113 SITEPROP SLICE_X15Y113 NUM_ARCS 138 SITEPROP SLICE_X15Y113 NUM_BELS 32 SITEPROP SLICE_X15Y113 NUM_INPUTS 32 SITEPROP SLICE_X15Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y113 NUM_PINS 45 SITEPROP SLICE_X15Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y113 PROHIBIT 0 SITEPROP SLICE_X15Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y113 RPM_X 55 SITEPROP SLICE_X15Y113 RPM_Y 226 SITEPROP SLICE_X15Y113 SITE_PIPS SITEPROP SLICE_X15Y113 SITE_TYPE SLICEL SITEPROP SLICE_X15Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y114 CLASS site SITEPROP SLICE_X15Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y114 IS_BONDED 0 SITEPROP SLICE_X15Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y114 IS_PAD 0 SITEPROP SLICE_X15Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y114 IS_RESERVED 0 SITEPROP SLICE_X15Y114 IS_TEST 0 SITEPROP SLICE_X15Y114 IS_USED 0 SITEPROP SLICE_X15Y114 MANUAL_ROUTING SITEPROP SLICE_X15Y114 NAME SLICE_X15Y114 SITEPROP SLICE_X15Y114 NUM_ARCS 138 SITEPROP SLICE_X15Y114 NUM_BELS 32 SITEPROP SLICE_X15Y114 NUM_INPUTS 32 SITEPROP SLICE_X15Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y114 NUM_PINS 45 SITEPROP SLICE_X15Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y114 PROHIBIT 0 SITEPROP SLICE_X15Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y114 RPM_X 55 SITEPROP SLICE_X15Y114 RPM_Y 228 SITEPROP SLICE_X15Y114 SITE_PIPS SITEPROP SLICE_X15Y114 SITE_TYPE SLICEL SITEPROP SLICE_X15Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y115 CLASS site SITEPROP SLICE_X15Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y115 IS_BONDED 0 SITEPROP SLICE_X15Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y115 IS_PAD 0 SITEPROP SLICE_X15Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y115 IS_RESERVED 0 SITEPROP SLICE_X15Y115 IS_TEST 0 SITEPROP SLICE_X15Y115 IS_USED 0 SITEPROP SLICE_X15Y115 MANUAL_ROUTING SITEPROP SLICE_X15Y115 NAME SLICE_X15Y115 SITEPROP SLICE_X15Y115 NUM_ARCS 138 SITEPROP SLICE_X15Y115 NUM_BELS 32 SITEPROP SLICE_X15Y115 NUM_INPUTS 32 SITEPROP SLICE_X15Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y115 NUM_PINS 45 SITEPROP SLICE_X15Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y115 PROHIBIT 0 SITEPROP SLICE_X15Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y115 RPM_X 55 SITEPROP SLICE_X15Y115 RPM_Y 230 SITEPROP SLICE_X15Y115 SITE_PIPS SITEPROP SLICE_X15Y115 SITE_TYPE SLICEL SITEPROP SLICE_X15Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y116 CLASS site SITEPROP SLICE_X15Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y116 IS_BONDED 0 SITEPROP SLICE_X15Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y116 IS_PAD 0 SITEPROP SLICE_X15Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y116 IS_RESERVED 0 SITEPROP SLICE_X15Y116 IS_TEST 0 SITEPROP SLICE_X15Y116 IS_USED 0 SITEPROP SLICE_X15Y116 MANUAL_ROUTING SITEPROP SLICE_X15Y116 NAME SLICE_X15Y116 SITEPROP SLICE_X15Y116 NUM_ARCS 138 SITEPROP SLICE_X15Y116 NUM_BELS 32 SITEPROP SLICE_X15Y116 NUM_INPUTS 32 SITEPROP SLICE_X15Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y116 NUM_PINS 45 SITEPROP SLICE_X15Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y116 PROHIBIT 0 SITEPROP SLICE_X15Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y116 RPM_X 55 SITEPROP SLICE_X15Y116 RPM_Y 232 SITEPROP SLICE_X15Y116 SITE_PIPS SITEPROP SLICE_X15Y116 SITE_TYPE SLICEL SITEPROP SLICE_X15Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y117 CLASS site SITEPROP SLICE_X15Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y117 IS_BONDED 0 SITEPROP SLICE_X15Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y117 IS_PAD 0 SITEPROP SLICE_X15Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y117 IS_RESERVED 0 SITEPROP SLICE_X15Y117 IS_TEST 0 SITEPROP SLICE_X15Y117 IS_USED 0 SITEPROP SLICE_X15Y117 MANUAL_ROUTING SITEPROP SLICE_X15Y117 NAME SLICE_X15Y117 SITEPROP SLICE_X15Y117 NUM_ARCS 138 SITEPROP SLICE_X15Y117 NUM_BELS 32 SITEPROP SLICE_X15Y117 NUM_INPUTS 32 SITEPROP SLICE_X15Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y117 NUM_PINS 45 SITEPROP SLICE_X15Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y117 PROHIBIT 0 SITEPROP SLICE_X15Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y117 RPM_X 55 SITEPROP SLICE_X15Y117 RPM_Y 234 SITEPROP SLICE_X15Y117 SITE_PIPS SITEPROP SLICE_X15Y117 SITE_TYPE SLICEL SITEPROP SLICE_X15Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y118 CLASS site SITEPROP SLICE_X15Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y118 IS_BONDED 0 SITEPROP SLICE_X15Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y118 IS_PAD 0 SITEPROP SLICE_X15Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y118 IS_RESERVED 0 SITEPROP SLICE_X15Y118 IS_TEST 0 SITEPROP SLICE_X15Y118 IS_USED 0 SITEPROP SLICE_X15Y118 MANUAL_ROUTING SITEPROP SLICE_X15Y118 NAME SLICE_X15Y118 SITEPROP SLICE_X15Y118 NUM_ARCS 138 SITEPROP SLICE_X15Y118 NUM_BELS 32 SITEPROP SLICE_X15Y118 NUM_INPUTS 32 SITEPROP SLICE_X15Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y118 NUM_PINS 45 SITEPROP SLICE_X15Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y118 PROHIBIT 0 SITEPROP SLICE_X15Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y118 RPM_X 55 SITEPROP SLICE_X15Y118 RPM_Y 236 SITEPROP SLICE_X15Y118 SITE_PIPS SITEPROP SLICE_X15Y118 SITE_TYPE SLICEL SITEPROP SLICE_X15Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y119 CLASS site SITEPROP SLICE_X15Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y119 IS_BONDED 0 SITEPROP SLICE_X15Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y119 IS_PAD 0 SITEPROP SLICE_X15Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y119 IS_RESERVED 0 SITEPROP SLICE_X15Y119 IS_TEST 0 SITEPROP SLICE_X15Y119 IS_USED 0 SITEPROP SLICE_X15Y119 MANUAL_ROUTING SITEPROP SLICE_X15Y119 NAME SLICE_X15Y119 SITEPROP SLICE_X15Y119 NUM_ARCS 138 SITEPROP SLICE_X15Y119 NUM_BELS 32 SITEPROP SLICE_X15Y119 NUM_INPUTS 32 SITEPROP SLICE_X15Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y119 NUM_PINS 45 SITEPROP SLICE_X15Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y119 PROHIBIT 0 SITEPROP SLICE_X15Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y119 RPM_X 55 SITEPROP SLICE_X15Y119 RPM_Y 238 SITEPROP SLICE_X15Y119 SITE_PIPS SITEPROP SLICE_X15Y119 SITE_TYPE SLICEL SITEPROP SLICE_X15Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y120 CLASS site SITEPROP SLICE_X15Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y120 IS_BONDED 0 SITEPROP SLICE_X15Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y120 IS_PAD 0 SITEPROP SLICE_X15Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y120 IS_RESERVED 0 SITEPROP SLICE_X15Y120 IS_TEST 0 SITEPROP SLICE_X15Y120 IS_USED 0 SITEPROP SLICE_X15Y120 MANUAL_ROUTING SITEPROP SLICE_X15Y120 NAME SLICE_X15Y120 SITEPROP SLICE_X15Y120 NUM_ARCS 138 SITEPROP SLICE_X15Y120 NUM_BELS 32 SITEPROP SLICE_X15Y120 NUM_INPUTS 32 SITEPROP SLICE_X15Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y120 NUM_PINS 45 SITEPROP SLICE_X15Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y120 PROHIBIT 0 SITEPROP SLICE_X15Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y120 RPM_X 55 SITEPROP SLICE_X15Y120 RPM_Y 240 SITEPROP SLICE_X15Y120 SITE_PIPS SITEPROP SLICE_X15Y120 SITE_TYPE SLICEL SITEPROP SLICE_X15Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y121 CLASS site SITEPROP SLICE_X15Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y121 IS_BONDED 0 SITEPROP SLICE_X15Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y121 IS_PAD 0 SITEPROP SLICE_X15Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y121 IS_RESERVED 0 SITEPROP SLICE_X15Y121 IS_TEST 0 SITEPROP SLICE_X15Y121 IS_USED 0 SITEPROP SLICE_X15Y121 MANUAL_ROUTING SITEPROP SLICE_X15Y121 NAME SLICE_X15Y121 SITEPROP SLICE_X15Y121 NUM_ARCS 138 SITEPROP SLICE_X15Y121 NUM_BELS 32 SITEPROP SLICE_X15Y121 NUM_INPUTS 32 SITEPROP SLICE_X15Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y121 NUM_PINS 45 SITEPROP SLICE_X15Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y121 PROHIBIT 0 SITEPROP SLICE_X15Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y121 RPM_X 55 SITEPROP SLICE_X15Y121 RPM_Y 242 SITEPROP SLICE_X15Y121 SITE_PIPS SITEPROP SLICE_X15Y121 SITE_TYPE SLICEL SITEPROP SLICE_X15Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y122 CLASS site SITEPROP SLICE_X15Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y122 IS_BONDED 0 SITEPROP SLICE_X15Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y122 IS_PAD 0 SITEPROP SLICE_X15Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y122 IS_RESERVED 0 SITEPROP SLICE_X15Y122 IS_TEST 0 SITEPROP SLICE_X15Y122 IS_USED 0 SITEPROP SLICE_X15Y122 MANUAL_ROUTING SITEPROP SLICE_X15Y122 NAME SLICE_X15Y122 SITEPROP SLICE_X15Y122 NUM_ARCS 138 SITEPROP SLICE_X15Y122 NUM_BELS 32 SITEPROP SLICE_X15Y122 NUM_INPUTS 32 SITEPROP SLICE_X15Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y122 NUM_PINS 45 SITEPROP SLICE_X15Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y122 PROHIBIT 0 SITEPROP SLICE_X15Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y122 RPM_X 55 SITEPROP SLICE_X15Y122 RPM_Y 244 SITEPROP SLICE_X15Y122 SITE_PIPS SITEPROP SLICE_X15Y122 SITE_TYPE SLICEL SITEPROP SLICE_X15Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y123 CLASS site SITEPROP SLICE_X15Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y123 IS_BONDED 0 SITEPROP SLICE_X15Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y123 IS_PAD 0 SITEPROP SLICE_X15Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y123 IS_RESERVED 0 SITEPROP SLICE_X15Y123 IS_TEST 0 SITEPROP SLICE_X15Y123 IS_USED 0 SITEPROP SLICE_X15Y123 MANUAL_ROUTING SITEPROP SLICE_X15Y123 NAME SLICE_X15Y123 SITEPROP SLICE_X15Y123 NUM_ARCS 138 SITEPROP SLICE_X15Y123 NUM_BELS 32 SITEPROP SLICE_X15Y123 NUM_INPUTS 32 SITEPROP SLICE_X15Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y123 NUM_PINS 45 SITEPROP SLICE_X15Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y123 PROHIBIT 0 SITEPROP SLICE_X15Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y123 RPM_X 55 SITEPROP SLICE_X15Y123 RPM_Y 246 SITEPROP SLICE_X15Y123 SITE_PIPS SITEPROP SLICE_X15Y123 SITE_TYPE SLICEL SITEPROP SLICE_X15Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y124 CLASS site SITEPROP SLICE_X15Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y124 IS_BONDED 0 SITEPROP SLICE_X15Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y124 IS_PAD 0 SITEPROP SLICE_X15Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y124 IS_RESERVED 0 SITEPROP SLICE_X15Y124 IS_TEST 0 SITEPROP SLICE_X15Y124 IS_USED 0 SITEPROP SLICE_X15Y124 MANUAL_ROUTING SITEPROP SLICE_X15Y124 NAME SLICE_X15Y124 SITEPROP SLICE_X15Y124 NUM_ARCS 138 SITEPROP SLICE_X15Y124 NUM_BELS 32 SITEPROP SLICE_X15Y124 NUM_INPUTS 32 SITEPROP SLICE_X15Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y124 NUM_PINS 45 SITEPROP SLICE_X15Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y124 PROHIBIT 0 SITEPROP SLICE_X15Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y124 RPM_X 55 SITEPROP SLICE_X15Y124 RPM_Y 248 SITEPROP SLICE_X15Y124 SITE_PIPS SITEPROP SLICE_X15Y124 SITE_TYPE SLICEL SITEPROP SLICE_X15Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y125 CLASS site SITEPROP SLICE_X15Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y125 IS_BONDED 0 SITEPROP SLICE_X15Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y125 IS_PAD 0 SITEPROP SLICE_X15Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y125 IS_RESERVED 0 SITEPROP SLICE_X15Y125 IS_TEST 0 SITEPROP SLICE_X15Y125 IS_USED 0 SITEPROP SLICE_X15Y125 MANUAL_ROUTING SITEPROP SLICE_X15Y125 NAME SLICE_X15Y125 SITEPROP SLICE_X15Y125 NUM_ARCS 138 SITEPROP SLICE_X15Y125 NUM_BELS 32 SITEPROP SLICE_X15Y125 NUM_INPUTS 32 SITEPROP SLICE_X15Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y125 NUM_PINS 45 SITEPROP SLICE_X15Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y125 PROHIBIT 0 SITEPROP SLICE_X15Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y125 RPM_X 55 SITEPROP SLICE_X15Y125 RPM_Y 250 SITEPROP SLICE_X15Y125 SITE_PIPS SITEPROP SLICE_X15Y125 SITE_TYPE SLICEL SITEPROP SLICE_X15Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y126 CLASS site SITEPROP SLICE_X15Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y126 IS_BONDED 0 SITEPROP SLICE_X15Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y126 IS_PAD 0 SITEPROP SLICE_X15Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y126 IS_RESERVED 0 SITEPROP SLICE_X15Y126 IS_TEST 0 SITEPROP SLICE_X15Y126 IS_USED 0 SITEPROP SLICE_X15Y126 MANUAL_ROUTING SITEPROP SLICE_X15Y126 NAME SLICE_X15Y126 SITEPROP SLICE_X15Y126 NUM_ARCS 138 SITEPROP SLICE_X15Y126 NUM_BELS 32 SITEPROP SLICE_X15Y126 NUM_INPUTS 32 SITEPROP SLICE_X15Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y126 NUM_PINS 45 SITEPROP SLICE_X15Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y126 PROHIBIT 0 SITEPROP SLICE_X15Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y126 RPM_X 55 SITEPROP SLICE_X15Y126 RPM_Y 252 SITEPROP SLICE_X15Y126 SITE_PIPS SITEPROP SLICE_X15Y126 SITE_TYPE SLICEL SITEPROP SLICE_X15Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y127 CLASS site SITEPROP SLICE_X15Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y127 IS_BONDED 0 SITEPROP SLICE_X15Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y127 IS_PAD 0 SITEPROP SLICE_X15Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y127 IS_RESERVED 0 SITEPROP SLICE_X15Y127 IS_TEST 0 SITEPROP SLICE_X15Y127 IS_USED 0 SITEPROP SLICE_X15Y127 MANUAL_ROUTING SITEPROP SLICE_X15Y127 NAME SLICE_X15Y127 SITEPROP SLICE_X15Y127 NUM_ARCS 138 SITEPROP SLICE_X15Y127 NUM_BELS 32 SITEPROP SLICE_X15Y127 NUM_INPUTS 32 SITEPROP SLICE_X15Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y127 NUM_PINS 45 SITEPROP SLICE_X15Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y127 PROHIBIT 0 SITEPROP SLICE_X15Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y127 RPM_X 55 SITEPROP SLICE_X15Y127 RPM_Y 254 SITEPROP SLICE_X15Y127 SITE_PIPS SITEPROP SLICE_X15Y127 SITE_TYPE SLICEL SITEPROP SLICE_X15Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y128 CLASS site SITEPROP SLICE_X15Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y128 IS_BONDED 0 SITEPROP SLICE_X15Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y128 IS_PAD 0 SITEPROP SLICE_X15Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y128 IS_RESERVED 0 SITEPROP SLICE_X15Y128 IS_TEST 0 SITEPROP SLICE_X15Y128 IS_USED 0 SITEPROP SLICE_X15Y128 MANUAL_ROUTING SITEPROP SLICE_X15Y128 NAME SLICE_X15Y128 SITEPROP SLICE_X15Y128 NUM_ARCS 138 SITEPROP SLICE_X15Y128 NUM_BELS 32 SITEPROP SLICE_X15Y128 NUM_INPUTS 32 SITEPROP SLICE_X15Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y128 NUM_PINS 45 SITEPROP SLICE_X15Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y128 PROHIBIT 0 SITEPROP SLICE_X15Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y128 RPM_X 55 SITEPROP SLICE_X15Y128 RPM_Y 256 SITEPROP SLICE_X15Y128 SITE_PIPS SITEPROP SLICE_X15Y128 SITE_TYPE SLICEL SITEPROP SLICE_X15Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y129 CLASS site SITEPROP SLICE_X15Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y129 IS_BONDED 0 SITEPROP SLICE_X15Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y129 IS_PAD 0 SITEPROP SLICE_X15Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y129 IS_RESERVED 0 SITEPROP SLICE_X15Y129 IS_TEST 0 SITEPROP SLICE_X15Y129 IS_USED 0 SITEPROP SLICE_X15Y129 MANUAL_ROUTING SITEPROP SLICE_X15Y129 NAME SLICE_X15Y129 SITEPROP SLICE_X15Y129 NUM_ARCS 138 SITEPROP SLICE_X15Y129 NUM_BELS 32 SITEPROP SLICE_X15Y129 NUM_INPUTS 32 SITEPROP SLICE_X15Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y129 NUM_PINS 45 SITEPROP SLICE_X15Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y129 PROHIBIT 0 SITEPROP SLICE_X15Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y129 RPM_X 55 SITEPROP SLICE_X15Y129 RPM_Y 258 SITEPROP SLICE_X15Y129 SITE_PIPS SITEPROP SLICE_X15Y129 SITE_TYPE SLICEL SITEPROP SLICE_X15Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y130 CLASS site SITEPROP SLICE_X15Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y130 IS_BONDED 0 SITEPROP SLICE_X15Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y130 IS_PAD 0 SITEPROP SLICE_X15Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y130 IS_RESERVED 0 SITEPROP SLICE_X15Y130 IS_TEST 0 SITEPROP SLICE_X15Y130 IS_USED 0 SITEPROP SLICE_X15Y130 MANUAL_ROUTING SITEPROP SLICE_X15Y130 NAME SLICE_X15Y130 SITEPROP SLICE_X15Y130 NUM_ARCS 138 SITEPROP SLICE_X15Y130 NUM_BELS 32 SITEPROP SLICE_X15Y130 NUM_INPUTS 32 SITEPROP SLICE_X15Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y130 NUM_PINS 45 SITEPROP SLICE_X15Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y130 PROHIBIT 0 SITEPROP SLICE_X15Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y130 RPM_X 55 SITEPROP SLICE_X15Y130 RPM_Y 260 SITEPROP SLICE_X15Y130 SITE_PIPS SITEPROP SLICE_X15Y130 SITE_TYPE SLICEL SITEPROP SLICE_X15Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y131 CLASS site SITEPROP SLICE_X15Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y131 IS_BONDED 0 SITEPROP SLICE_X15Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y131 IS_PAD 0 SITEPROP SLICE_X15Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y131 IS_RESERVED 0 SITEPROP SLICE_X15Y131 IS_TEST 0 SITEPROP SLICE_X15Y131 IS_USED 0 SITEPROP SLICE_X15Y131 MANUAL_ROUTING SITEPROP SLICE_X15Y131 NAME SLICE_X15Y131 SITEPROP SLICE_X15Y131 NUM_ARCS 138 SITEPROP SLICE_X15Y131 NUM_BELS 32 SITEPROP SLICE_X15Y131 NUM_INPUTS 32 SITEPROP SLICE_X15Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y131 NUM_PINS 45 SITEPROP SLICE_X15Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y131 PROHIBIT 0 SITEPROP SLICE_X15Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y131 RPM_X 55 SITEPROP SLICE_X15Y131 RPM_Y 262 SITEPROP SLICE_X15Y131 SITE_PIPS SITEPROP SLICE_X15Y131 SITE_TYPE SLICEL SITEPROP SLICE_X15Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y132 CLASS site SITEPROP SLICE_X15Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y132 IS_BONDED 0 SITEPROP SLICE_X15Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y132 IS_PAD 0 SITEPROP SLICE_X15Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y132 IS_RESERVED 0 SITEPROP SLICE_X15Y132 IS_TEST 0 SITEPROP SLICE_X15Y132 IS_USED 0 SITEPROP SLICE_X15Y132 MANUAL_ROUTING SITEPROP SLICE_X15Y132 NAME SLICE_X15Y132 SITEPROP SLICE_X15Y132 NUM_ARCS 138 SITEPROP SLICE_X15Y132 NUM_BELS 32 SITEPROP SLICE_X15Y132 NUM_INPUTS 32 SITEPROP SLICE_X15Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y132 NUM_PINS 45 SITEPROP SLICE_X15Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y132 PROHIBIT 0 SITEPROP SLICE_X15Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y132 RPM_X 55 SITEPROP SLICE_X15Y132 RPM_Y 264 SITEPROP SLICE_X15Y132 SITE_PIPS SITEPROP SLICE_X15Y132 SITE_TYPE SLICEL SITEPROP SLICE_X15Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y133 CLASS site SITEPROP SLICE_X15Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y133 IS_BONDED 0 SITEPROP SLICE_X15Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y133 IS_PAD 0 SITEPROP SLICE_X15Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y133 IS_RESERVED 0 SITEPROP SLICE_X15Y133 IS_TEST 0 SITEPROP SLICE_X15Y133 IS_USED 0 SITEPROP SLICE_X15Y133 MANUAL_ROUTING SITEPROP SLICE_X15Y133 NAME SLICE_X15Y133 SITEPROP SLICE_X15Y133 NUM_ARCS 138 SITEPROP SLICE_X15Y133 NUM_BELS 32 SITEPROP SLICE_X15Y133 NUM_INPUTS 32 SITEPROP SLICE_X15Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y133 NUM_PINS 45 SITEPROP SLICE_X15Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y133 PROHIBIT 0 SITEPROP SLICE_X15Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y133 RPM_X 55 SITEPROP SLICE_X15Y133 RPM_Y 266 SITEPROP SLICE_X15Y133 SITE_PIPS SITEPROP SLICE_X15Y133 SITE_TYPE SLICEL SITEPROP SLICE_X15Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y134 CLASS site SITEPROP SLICE_X15Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y134 IS_BONDED 0 SITEPROP SLICE_X15Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y134 IS_PAD 0 SITEPROP SLICE_X15Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y134 IS_RESERVED 0 SITEPROP SLICE_X15Y134 IS_TEST 0 SITEPROP SLICE_X15Y134 IS_USED 0 SITEPROP SLICE_X15Y134 MANUAL_ROUTING SITEPROP SLICE_X15Y134 NAME SLICE_X15Y134 SITEPROP SLICE_X15Y134 NUM_ARCS 138 SITEPROP SLICE_X15Y134 NUM_BELS 32 SITEPROP SLICE_X15Y134 NUM_INPUTS 32 SITEPROP SLICE_X15Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y134 NUM_PINS 45 SITEPROP SLICE_X15Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y134 PROHIBIT 0 SITEPROP SLICE_X15Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y134 RPM_X 55 SITEPROP SLICE_X15Y134 RPM_Y 268 SITEPROP SLICE_X15Y134 SITE_PIPS SITEPROP SLICE_X15Y134 SITE_TYPE SLICEL SITEPROP SLICE_X15Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y135 CLASS site SITEPROP SLICE_X15Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y135 IS_BONDED 0 SITEPROP SLICE_X15Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y135 IS_PAD 0 SITEPROP SLICE_X15Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y135 IS_RESERVED 0 SITEPROP SLICE_X15Y135 IS_TEST 0 SITEPROP SLICE_X15Y135 IS_USED 0 SITEPROP SLICE_X15Y135 MANUAL_ROUTING SITEPROP SLICE_X15Y135 NAME SLICE_X15Y135 SITEPROP SLICE_X15Y135 NUM_ARCS 138 SITEPROP SLICE_X15Y135 NUM_BELS 32 SITEPROP SLICE_X15Y135 NUM_INPUTS 32 SITEPROP SLICE_X15Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y135 NUM_PINS 45 SITEPROP SLICE_X15Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y135 PROHIBIT 0 SITEPROP SLICE_X15Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y135 RPM_X 55 SITEPROP SLICE_X15Y135 RPM_Y 270 SITEPROP SLICE_X15Y135 SITE_PIPS SITEPROP SLICE_X15Y135 SITE_TYPE SLICEL SITEPROP SLICE_X15Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y136 CLASS site SITEPROP SLICE_X15Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y136 IS_BONDED 0 SITEPROP SLICE_X15Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y136 IS_PAD 0 SITEPROP SLICE_X15Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y136 IS_RESERVED 0 SITEPROP SLICE_X15Y136 IS_TEST 0 SITEPROP SLICE_X15Y136 IS_USED 0 SITEPROP SLICE_X15Y136 MANUAL_ROUTING SITEPROP SLICE_X15Y136 NAME SLICE_X15Y136 SITEPROP SLICE_X15Y136 NUM_ARCS 138 SITEPROP SLICE_X15Y136 NUM_BELS 32 SITEPROP SLICE_X15Y136 NUM_INPUTS 32 SITEPROP SLICE_X15Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y136 NUM_PINS 45 SITEPROP SLICE_X15Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y136 PROHIBIT 0 SITEPROP SLICE_X15Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y136 RPM_X 55 SITEPROP SLICE_X15Y136 RPM_Y 272 SITEPROP SLICE_X15Y136 SITE_PIPS SITEPROP SLICE_X15Y136 SITE_TYPE SLICEL SITEPROP SLICE_X15Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y137 CLASS site SITEPROP SLICE_X15Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y137 IS_BONDED 0 SITEPROP SLICE_X15Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y137 IS_PAD 0 SITEPROP SLICE_X15Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y137 IS_RESERVED 0 SITEPROP SLICE_X15Y137 IS_TEST 0 SITEPROP SLICE_X15Y137 IS_USED 0 SITEPROP SLICE_X15Y137 MANUAL_ROUTING SITEPROP SLICE_X15Y137 NAME SLICE_X15Y137 SITEPROP SLICE_X15Y137 NUM_ARCS 138 SITEPROP SLICE_X15Y137 NUM_BELS 32 SITEPROP SLICE_X15Y137 NUM_INPUTS 32 SITEPROP SLICE_X15Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y137 NUM_PINS 45 SITEPROP SLICE_X15Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y137 PROHIBIT 0 SITEPROP SLICE_X15Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y137 RPM_X 55 SITEPROP SLICE_X15Y137 RPM_Y 274 SITEPROP SLICE_X15Y137 SITE_PIPS SITEPROP SLICE_X15Y137 SITE_TYPE SLICEL SITEPROP SLICE_X15Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y138 CLASS site SITEPROP SLICE_X15Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y138 IS_BONDED 0 SITEPROP SLICE_X15Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y138 IS_PAD 0 SITEPROP SLICE_X15Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y138 IS_RESERVED 0 SITEPROP SLICE_X15Y138 IS_TEST 0 SITEPROP SLICE_X15Y138 IS_USED 0 SITEPROP SLICE_X15Y138 MANUAL_ROUTING SITEPROP SLICE_X15Y138 NAME SLICE_X15Y138 SITEPROP SLICE_X15Y138 NUM_ARCS 138 SITEPROP SLICE_X15Y138 NUM_BELS 32 SITEPROP SLICE_X15Y138 NUM_INPUTS 32 SITEPROP SLICE_X15Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y138 NUM_PINS 45 SITEPROP SLICE_X15Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y138 PROHIBIT 0 SITEPROP SLICE_X15Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y138 RPM_X 55 SITEPROP SLICE_X15Y138 RPM_Y 276 SITEPROP SLICE_X15Y138 SITE_PIPS SITEPROP SLICE_X15Y138 SITE_TYPE SLICEL SITEPROP SLICE_X15Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y139 CLASS site SITEPROP SLICE_X15Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y139 IS_BONDED 0 SITEPROP SLICE_X15Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y139 IS_PAD 0 SITEPROP SLICE_X15Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y139 IS_RESERVED 0 SITEPROP SLICE_X15Y139 IS_TEST 0 SITEPROP SLICE_X15Y139 IS_USED 0 SITEPROP SLICE_X15Y139 MANUAL_ROUTING SITEPROP SLICE_X15Y139 NAME SLICE_X15Y139 SITEPROP SLICE_X15Y139 NUM_ARCS 138 SITEPROP SLICE_X15Y139 NUM_BELS 32 SITEPROP SLICE_X15Y139 NUM_INPUTS 32 SITEPROP SLICE_X15Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y139 NUM_PINS 45 SITEPROP SLICE_X15Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y139 PROHIBIT 0 SITEPROP SLICE_X15Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y139 RPM_X 55 SITEPROP SLICE_X15Y139 RPM_Y 278 SITEPROP SLICE_X15Y139 SITE_PIPS SITEPROP SLICE_X15Y139 SITE_TYPE SLICEL SITEPROP SLICE_X15Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y140 CLASS site SITEPROP SLICE_X15Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y140 IS_BONDED 0 SITEPROP SLICE_X15Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y140 IS_PAD 0 SITEPROP SLICE_X15Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y140 IS_RESERVED 0 SITEPROP SLICE_X15Y140 IS_TEST 0 SITEPROP SLICE_X15Y140 IS_USED 0 SITEPROP SLICE_X15Y140 MANUAL_ROUTING SITEPROP SLICE_X15Y140 NAME SLICE_X15Y140 SITEPROP SLICE_X15Y140 NUM_ARCS 138 SITEPROP SLICE_X15Y140 NUM_BELS 32 SITEPROP SLICE_X15Y140 NUM_INPUTS 32 SITEPROP SLICE_X15Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y140 NUM_PINS 45 SITEPROP SLICE_X15Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y140 PROHIBIT 0 SITEPROP SLICE_X15Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y140 RPM_X 55 SITEPROP SLICE_X15Y140 RPM_Y 280 SITEPROP SLICE_X15Y140 SITE_PIPS SITEPROP SLICE_X15Y140 SITE_TYPE SLICEL SITEPROP SLICE_X15Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y141 CLASS site SITEPROP SLICE_X15Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y141 IS_BONDED 0 SITEPROP SLICE_X15Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y141 IS_PAD 0 SITEPROP SLICE_X15Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y141 IS_RESERVED 0 SITEPROP SLICE_X15Y141 IS_TEST 0 SITEPROP SLICE_X15Y141 IS_USED 0 SITEPROP SLICE_X15Y141 MANUAL_ROUTING SITEPROP SLICE_X15Y141 NAME SLICE_X15Y141 SITEPROP SLICE_X15Y141 NUM_ARCS 138 SITEPROP SLICE_X15Y141 NUM_BELS 32 SITEPROP SLICE_X15Y141 NUM_INPUTS 32 SITEPROP SLICE_X15Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y141 NUM_PINS 45 SITEPROP SLICE_X15Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y141 PROHIBIT 0 SITEPROP SLICE_X15Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y141 RPM_X 55 SITEPROP SLICE_X15Y141 RPM_Y 282 SITEPROP SLICE_X15Y141 SITE_PIPS SITEPROP SLICE_X15Y141 SITE_TYPE SLICEL SITEPROP SLICE_X15Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y142 CLASS site SITEPROP SLICE_X15Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y142 IS_BONDED 0 SITEPROP SLICE_X15Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y142 IS_PAD 0 SITEPROP SLICE_X15Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y142 IS_RESERVED 0 SITEPROP SLICE_X15Y142 IS_TEST 0 SITEPROP SLICE_X15Y142 IS_USED 0 SITEPROP SLICE_X15Y142 MANUAL_ROUTING SITEPROP SLICE_X15Y142 NAME SLICE_X15Y142 SITEPROP SLICE_X15Y142 NUM_ARCS 138 SITEPROP SLICE_X15Y142 NUM_BELS 32 SITEPROP SLICE_X15Y142 NUM_INPUTS 32 SITEPROP SLICE_X15Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y142 NUM_PINS 45 SITEPROP SLICE_X15Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y142 PROHIBIT 0 SITEPROP SLICE_X15Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y142 RPM_X 55 SITEPROP SLICE_X15Y142 RPM_Y 284 SITEPROP SLICE_X15Y142 SITE_PIPS SITEPROP SLICE_X15Y142 SITE_TYPE SLICEL SITEPROP SLICE_X15Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y143 CLASS site SITEPROP SLICE_X15Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y143 IS_BONDED 0 SITEPROP SLICE_X15Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y143 IS_PAD 0 SITEPROP SLICE_X15Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y143 IS_RESERVED 0 SITEPROP SLICE_X15Y143 IS_TEST 0 SITEPROP SLICE_X15Y143 IS_USED 0 SITEPROP SLICE_X15Y143 MANUAL_ROUTING SITEPROP SLICE_X15Y143 NAME SLICE_X15Y143 SITEPROP SLICE_X15Y143 NUM_ARCS 138 SITEPROP SLICE_X15Y143 NUM_BELS 32 SITEPROP SLICE_X15Y143 NUM_INPUTS 32 SITEPROP SLICE_X15Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y143 NUM_PINS 45 SITEPROP SLICE_X15Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y143 PROHIBIT 0 SITEPROP SLICE_X15Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y143 RPM_X 55 SITEPROP SLICE_X15Y143 RPM_Y 286 SITEPROP SLICE_X15Y143 SITE_PIPS SITEPROP SLICE_X15Y143 SITE_TYPE SLICEL SITEPROP SLICE_X15Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y144 CLASS site SITEPROP SLICE_X15Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y144 IS_BONDED 0 SITEPROP SLICE_X15Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y144 IS_PAD 0 SITEPROP SLICE_X15Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y144 IS_RESERVED 0 SITEPROP SLICE_X15Y144 IS_TEST 0 SITEPROP SLICE_X15Y144 IS_USED 0 SITEPROP SLICE_X15Y144 MANUAL_ROUTING SITEPROP SLICE_X15Y144 NAME SLICE_X15Y144 SITEPROP SLICE_X15Y144 NUM_ARCS 138 SITEPROP SLICE_X15Y144 NUM_BELS 32 SITEPROP SLICE_X15Y144 NUM_INPUTS 32 SITEPROP SLICE_X15Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y144 NUM_PINS 45 SITEPROP SLICE_X15Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y144 PROHIBIT 0 SITEPROP SLICE_X15Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y144 RPM_X 55 SITEPROP SLICE_X15Y144 RPM_Y 288 SITEPROP SLICE_X15Y144 SITE_PIPS SITEPROP SLICE_X15Y144 SITE_TYPE SLICEL SITEPROP SLICE_X15Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y145 CLASS site SITEPROP SLICE_X15Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y145 IS_BONDED 0 SITEPROP SLICE_X15Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y145 IS_PAD 0 SITEPROP SLICE_X15Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y145 IS_RESERVED 0 SITEPROP SLICE_X15Y145 IS_TEST 0 SITEPROP SLICE_X15Y145 IS_USED 0 SITEPROP SLICE_X15Y145 MANUAL_ROUTING SITEPROP SLICE_X15Y145 NAME SLICE_X15Y145 SITEPROP SLICE_X15Y145 NUM_ARCS 138 SITEPROP SLICE_X15Y145 NUM_BELS 32 SITEPROP SLICE_X15Y145 NUM_INPUTS 32 SITEPROP SLICE_X15Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y145 NUM_PINS 45 SITEPROP SLICE_X15Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y145 PROHIBIT 0 SITEPROP SLICE_X15Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y145 RPM_X 55 SITEPROP SLICE_X15Y145 RPM_Y 290 SITEPROP SLICE_X15Y145 SITE_PIPS SITEPROP SLICE_X15Y145 SITE_TYPE SLICEL SITEPROP SLICE_X15Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y146 CLASS site SITEPROP SLICE_X15Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y146 IS_BONDED 0 SITEPROP SLICE_X15Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y146 IS_PAD 0 SITEPROP SLICE_X15Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y146 IS_RESERVED 0 SITEPROP SLICE_X15Y146 IS_TEST 0 SITEPROP SLICE_X15Y146 IS_USED 0 SITEPROP SLICE_X15Y146 MANUAL_ROUTING SITEPROP SLICE_X15Y146 NAME SLICE_X15Y146 SITEPROP SLICE_X15Y146 NUM_ARCS 138 SITEPROP SLICE_X15Y146 NUM_BELS 32 SITEPROP SLICE_X15Y146 NUM_INPUTS 32 SITEPROP SLICE_X15Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y146 NUM_PINS 45 SITEPROP SLICE_X15Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y146 PROHIBIT 0 SITEPROP SLICE_X15Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y146 RPM_X 55 SITEPROP SLICE_X15Y146 RPM_Y 292 SITEPROP SLICE_X15Y146 SITE_PIPS SITEPROP SLICE_X15Y146 SITE_TYPE SLICEL SITEPROP SLICE_X15Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y147 CLASS site SITEPROP SLICE_X15Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y147 IS_BONDED 0 SITEPROP SLICE_X15Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y147 IS_PAD 0 SITEPROP SLICE_X15Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y147 IS_RESERVED 0 SITEPROP SLICE_X15Y147 IS_TEST 0 SITEPROP SLICE_X15Y147 IS_USED 0 SITEPROP SLICE_X15Y147 MANUAL_ROUTING SITEPROP SLICE_X15Y147 NAME SLICE_X15Y147 SITEPROP SLICE_X15Y147 NUM_ARCS 138 SITEPROP SLICE_X15Y147 NUM_BELS 32 SITEPROP SLICE_X15Y147 NUM_INPUTS 32 SITEPROP SLICE_X15Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y147 NUM_PINS 45 SITEPROP SLICE_X15Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y147 PROHIBIT 0 SITEPROP SLICE_X15Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y147 RPM_X 55 SITEPROP SLICE_X15Y147 RPM_Y 294 SITEPROP SLICE_X15Y147 SITE_PIPS SITEPROP SLICE_X15Y147 SITE_TYPE SLICEL SITEPROP SLICE_X15Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y148 CLASS site SITEPROP SLICE_X15Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y148 IS_BONDED 0 SITEPROP SLICE_X15Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y148 IS_PAD 0 SITEPROP SLICE_X15Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y148 IS_RESERVED 0 SITEPROP SLICE_X15Y148 IS_TEST 0 SITEPROP SLICE_X15Y148 IS_USED 0 SITEPROP SLICE_X15Y148 MANUAL_ROUTING SITEPROP SLICE_X15Y148 NAME SLICE_X15Y148 SITEPROP SLICE_X15Y148 NUM_ARCS 138 SITEPROP SLICE_X15Y148 NUM_BELS 32 SITEPROP SLICE_X15Y148 NUM_INPUTS 32 SITEPROP SLICE_X15Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y148 NUM_PINS 45 SITEPROP SLICE_X15Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y148 PROHIBIT 0 SITEPROP SLICE_X15Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y148 RPM_X 55 SITEPROP SLICE_X15Y148 RPM_Y 296 SITEPROP SLICE_X15Y148 SITE_PIPS SITEPROP SLICE_X15Y148 SITE_TYPE SLICEL SITEPROP SLICE_X15Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X15Y149 CLASS site SITEPROP SLICE_X15Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X15Y149 IS_BONDED 0 SITEPROP SLICE_X15Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X15Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y149 IS_PAD 0 SITEPROP SLICE_X15Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X15Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X15Y149 IS_RESERVED 0 SITEPROP SLICE_X15Y149 IS_TEST 0 SITEPROP SLICE_X15Y149 IS_USED 0 SITEPROP SLICE_X15Y149 MANUAL_ROUTING SITEPROP SLICE_X15Y149 NAME SLICE_X15Y149 SITEPROP SLICE_X15Y149 NUM_ARCS 138 SITEPROP SLICE_X15Y149 NUM_BELS 32 SITEPROP SLICE_X15Y149 NUM_INPUTS 32 SITEPROP SLICE_X15Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X15Y149 NUM_PINS 45 SITEPROP SLICE_X15Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X15Y149 PROHIBIT 0 SITEPROP SLICE_X15Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X15Y149 RPM_X 55 SITEPROP SLICE_X15Y149 RPM_Y 298 SITEPROP SLICE_X15Y149 SITE_PIPS SITEPROP SLICE_X15Y149 SITE_TYPE SLICEL SITEPROP SLICE_X16Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y100 CLASS site SITEPROP SLICE_X16Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y100 IS_BONDED 0 SITEPROP SLICE_X16Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y100 IS_PAD 0 SITEPROP SLICE_X16Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y100 IS_RESERVED 0 SITEPROP SLICE_X16Y100 IS_TEST 0 SITEPROP SLICE_X16Y100 IS_USED 0 SITEPROP SLICE_X16Y100 MANUAL_ROUTING SITEPROP SLICE_X16Y100 NAME SLICE_X16Y100 SITEPROP SLICE_X16Y100 NUM_ARCS 138 SITEPROP SLICE_X16Y100 NUM_BELS 32 SITEPROP SLICE_X16Y100 NUM_INPUTS 32 SITEPROP SLICE_X16Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y100 NUM_PINS 45 SITEPROP SLICE_X16Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y100 PROHIBIT 0 SITEPROP SLICE_X16Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y100 RPM_X 57 SITEPROP SLICE_X16Y100 RPM_Y 200 SITEPROP SLICE_X16Y100 SITE_PIPS SITEPROP SLICE_X16Y100 SITE_TYPE SLICEL SITEPROP SLICE_X16Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y101 CLASS site SITEPROP SLICE_X16Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y101 IS_BONDED 0 SITEPROP SLICE_X16Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y101 IS_PAD 0 SITEPROP SLICE_X16Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y101 IS_RESERVED 0 SITEPROP SLICE_X16Y101 IS_TEST 0 SITEPROP SLICE_X16Y101 IS_USED 0 SITEPROP SLICE_X16Y101 MANUAL_ROUTING SITEPROP SLICE_X16Y101 NAME SLICE_X16Y101 SITEPROP SLICE_X16Y101 NUM_ARCS 138 SITEPROP SLICE_X16Y101 NUM_BELS 32 SITEPROP SLICE_X16Y101 NUM_INPUTS 32 SITEPROP SLICE_X16Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y101 NUM_PINS 45 SITEPROP SLICE_X16Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y101 PROHIBIT 0 SITEPROP SLICE_X16Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y101 RPM_X 57 SITEPROP SLICE_X16Y101 RPM_Y 202 SITEPROP SLICE_X16Y101 SITE_PIPS SITEPROP SLICE_X16Y101 SITE_TYPE SLICEL SITEPROP SLICE_X16Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y102 CLASS site SITEPROP SLICE_X16Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y102 IS_BONDED 0 SITEPROP SLICE_X16Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y102 IS_PAD 0 SITEPROP SLICE_X16Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y102 IS_RESERVED 0 SITEPROP SLICE_X16Y102 IS_TEST 0 SITEPROP SLICE_X16Y102 IS_USED 0 SITEPROP SLICE_X16Y102 MANUAL_ROUTING SITEPROP SLICE_X16Y102 NAME SLICE_X16Y102 SITEPROP SLICE_X16Y102 NUM_ARCS 138 SITEPROP SLICE_X16Y102 NUM_BELS 32 SITEPROP SLICE_X16Y102 NUM_INPUTS 32 SITEPROP SLICE_X16Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y102 NUM_PINS 45 SITEPROP SLICE_X16Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y102 PROHIBIT 0 SITEPROP SLICE_X16Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y102 RPM_X 57 SITEPROP SLICE_X16Y102 RPM_Y 204 SITEPROP SLICE_X16Y102 SITE_PIPS SITEPROP SLICE_X16Y102 SITE_TYPE SLICEL SITEPROP SLICE_X16Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y103 CLASS site SITEPROP SLICE_X16Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y103 IS_BONDED 0 SITEPROP SLICE_X16Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y103 IS_PAD 0 SITEPROP SLICE_X16Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y103 IS_RESERVED 0 SITEPROP SLICE_X16Y103 IS_TEST 0 SITEPROP SLICE_X16Y103 IS_USED 0 SITEPROP SLICE_X16Y103 MANUAL_ROUTING SITEPROP SLICE_X16Y103 NAME SLICE_X16Y103 SITEPROP SLICE_X16Y103 NUM_ARCS 138 SITEPROP SLICE_X16Y103 NUM_BELS 32 SITEPROP SLICE_X16Y103 NUM_INPUTS 32 SITEPROP SLICE_X16Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y103 NUM_PINS 45 SITEPROP SLICE_X16Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y103 PROHIBIT 0 SITEPROP SLICE_X16Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y103 RPM_X 57 SITEPROP SLICE_X16Y103 RPM_Y 206 SITEPROP SLICE_X16Y103 SITE_PIPS SITEPROP SLICE_X16Y103 SITE_TYPE SLICEL SITEPROP SLICE_X16Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y104 CLASS site SITEPROP SLICE_X16Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y104 IS_BONDED 0 SITEPROP SLICE_X16Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y104 IS_PAD 0 SITEPROP SLICE_X16Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y104 IS_RESERVED 0 SITEPROP SLICE_X16Y104 IS_TEST 0 SITEPROP SLICE_X16Y104 IS_USED 0 SITEPROP SLICE_X16Y104 MANUAL_ROUTING SITEPROP SLICE_X16Y104 NAME SLICE_X16Y104 SITEPROP SLICE_X16Y104 NUM_ARCS 138 SITEPROP SLICE_X16Y104 NUM_BELS 32 SITEPROP SLICE_X16Y104 NUM_INPUTS 32 SITEPROP SLICE_X16Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y104 NUM_PINS 45 SITEPROP SLICE_X16Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y104 PROHIBIT 0 SITEPROP SLICE_X16Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y104 RPM_X 57 SITEPROP SLICE_X16Y104 RPM_Y 208 SITEPROP SLICE_X16Y104 SITE_PIPS SITEPROP SLICE_X16Y104 SITE_TYPE SLICEL SITEPROP SLICE_X16Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y105 CLASS site SITEPROP SLICE_X16Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y105 IS_BONDED 0 SITEPROP SLICE_X16Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y105 IS_PAD 0 SITEPROP SLICE_X16Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y105 IS_RESERVED 0 SITEPROP SLICE_X16Y105 IS_TEST 0 SITEPROP SLICE_X16Y105 IS_USED 0 SITEPROP SLICE_X16Y105 MANUAL_ROUTING SITEPROP SLICE_X16Y105 NAME SLICE_X16Y105 SITEPROP SLICE_X16Y105 NUM_ARCS 138 SITEPROP SLICE_X16Y105 NUM_BELS 32 SITEPROP SLICE_X16Y105 NUM_INPUTS 32 SITEPROP SLICE_X16Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y105 NUM_PINS 45 SITEPROP SLICE_X16Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y105 PROHIBIT 0 SITEPROP SLICE_X16Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y105 RPM_X 57 SITEPROP SLICE_X16Y105 RPM_Y 210 SITEPROP SLICE_X16Y105 SITE_PIPS SITEPROP SLICE_X16Y105 SITE_TYPE SLICEL SITEPROP SLICE_X16Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y106 CLASS site SITEPROP SLICE_X16Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y106 IS_BONDED 0 SITEPROP SLICE_X16Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y106 IS_PAD 0 SITEPROP SLICE_X16Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y106 IS_RESERVED 0 SITEPROP SLICE_X16Y106 IS_TEST 0 SITEPROP SLICE_X16Y106 IS_USED 0 SITEPROP SLICE_X16Y106 MANUAL_ROUTING SITEPROP SLICE_X16Y106 NAME SLICE_X16Y106 SITEPROP SLICE_X16Y106 NUM_ARCS 138 SITEPROP SLICE_X16Y106 NUM_BELS 32 SITEPROP SLICE_X16Y106 NUM_INPUTS 32 SITEPROP SLICE_X16Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y106 NUM_PINS 45 SITEPROP SLICE_X16Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y106 PROHIBIT 0 SITEPROP SLICE_X16Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y106 RPM_X 57 SITEPROP SLICE_X16Y106 RPM_Y 212 SITEPROP SLICE_X16Y106 SITE_PIPS SITEPROP SLICE_X16Y106 SITE_TYPE SLICEL SITEPROP SLICE_X16Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y107 CLASS site SITEPROP SLICE_X16Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y107 IS_BONDED 0 SITEPROP SLICE_X16Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y107 IS_PAD 0 SITEPROP SLICE_X16Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y107 IS_RESERVED 0 SITEPROP SLICE_X16Y107 IS_TEST 0 SITEPROP SLICE_X16Y107 IS_USED 0 SITEPROP SLICE_X16Y107 MANUAL_ROUTING SITEPROP SLICE_X16Y107 NAME SLICE_X16Y107 SITEPROP SLICE_X16Y107 NUM_ARCS 138 SITEPROP SLICE_X16Y107 NUM_BELS 32 SITEPROP SLICE_X16Y107 NUM_INPUTS 32 SITEPROP SLICE_X16Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y107 NUM_PINS 45 SITEPROP SLICE_X16Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y107 PROHIBIT 0 SITEPROP SLICE_X16Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y107 RPM_X 57 SITEPROP SLICE_X16Y107 RPM_Y 214 SITEPROP SLICE_X16Y107 SITE_PIPS SITEPROP SLICE_X16Y107 SITE_TYPE SLICEL SITEPROP SLICE_X16Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y108 CLASS site SITEPROP SLICE_X16Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y108 IS_BONDED 0 SITEPROP SLICE_X16Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y108 IS_PAD 0 SITEPROP SLICE_X16Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y108 IS_RESERVED 0 SITEPROP SLICE_X16Y108 IS_TEST 0 SITEPROP SLICE_X16Y108 IS_USED 0 SITEPROP SLICE_X16Y108 MANUAL_ROUTING SITEPROP SLICE_X16Y108 NAME SLICE_X16Y108 SITEPROP SLICE_X16Y108 NUM_ARCS 138 SITEPROP SLICE_X16Y108 NUM_BELS 32 SITEPROP SLICE_X16Y108 NUM_INPUTS 32 SITEPROP SLICE_X16Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y108 NUM_PINS 45 SITEPROP SLICE_X16Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y108 PROHIBIT 0 SITEPROP SLICE_X16Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y108 RPM_X 57 SITEPROP SLICE_X16Y108 RPM_Y 216 SITEPROP SLICE_X16Y108 SITE_PIPS SITEPROP SLICE_X16Y108 SITE_TYPE SLICEL SITEPROP SLICE_X16Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y109 CLASS site SITEPROP SLICE_X16Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y109 IS_BONDED 0 SITEPROP SLICE_X16Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y109 IS_PAD 0 SITEPROP SLICE_X16Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y109 IS_RESERVED 0 SITEPROP SLICE_X16Y109 IS_TEST 0 SITEPROP SLICE_X16Y109 IS_USED 0 SITEPROP SLICE_X16Y109 MANUAL_ROUTING SITEPROP SLICE_X16Y109 NAME SLICE_X16Y109 SITEPROP SLICE_X16Y109 NUM_ARCS 138 SITEPROP SLICE_X16Y109 NUM_BELS 32 SITEPROP SLICE_X16Y109 NUM_INPUTS 32 SITEPROP SLICE_X16Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y109 NUM_PINS 45 SITEPROP SLICE_X16Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y109 PROHIBIT 0 SITEPROP SLICE_X16Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y109 RPM_X 57 SITEPROP SLICE_X16Y109 RPM_Y 218 SITEPROP SLICE_X16Y109 SITE_PIPS SITEPROP SLICE_X16Y109 SITE_TYPE SLICEL SITEPROP SLICE_X16Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y110 CLASS site SITEPROP SLICE_X16Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y110 IS_BONDED 0 SITEPROP SLICE_X16Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y110 IS_PAD 0 SITEPROP SLICE_X16Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y110 IS_RESERVED 0 SITEPROP SLICE_X16Y110 IS_TEST 0 SITEPROP SLICE_X16Y110 IS_USED 0 SITEPROP SLICE_X16Y110 MANUAL_ROUTING SITEPROP SLICE_X16Y110 NAME SLICE_X16Y110 SITEPROP SLICE_X16Y110 NUM_ARCS 138 SITEPROP SLICE_X16Y110 NUM_BELS 32 SITEPROP SLICE_X16Y110 NUM_INPUTS 32 SITEPROP SLICE_X16Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y110 NUM_PINS 45 SITEPROP SLICE_X16Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y110 PROHIBIT 0 SITEPROP SLICE_X16Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y110 RPM_X 57 SITEPROP SLICE_X16Y110 RPM_Y 220 SITEPROP SLICE_X16Y110 SITE_PIPS SITEPROP SLICE_X16Y110 SITE_TYPE SLICEL SITEPROP SLICE_X16Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y111 CLASS site SITEPROP SLICE_X16Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y111 IS_BONDED 0 SITEPROP SLICE_X16Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y111 IS_PAD 0 SITEPROP SLICE_X16Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y111 IS_RESERVED 0 SITEPROP SLICE_X16Y111 IS_TEST 0 SITEPROP SLICE_X16Y111 IS_USED 0 SITEPROP SLICE_X16Y111 MANUAL_ROUTING SITEPROP SLICE_X16Y111 NAME SLICE_X16Y111 SITEPROP SLICE_X16Y111 NUM_ARCS 138 SITEPROP SLICE_X16Y111 NUM_BELS 32 SITEPROP SLICE_X16Y111 NUM_INPUTS 32 SITEPROP SLICE_X16Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y111 NUM_PINS 45 SITEPROP SLICE_X16Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y111 PROHIBIT 0 SITEPROP SLICE_X16Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y111 RPM_X 57 SITEPROP SLICE_X16Y111 RPM_Y 222 SITEPROP SLICE_X16Y111 SITE_PIPS SITEPROP SLICE_X16Y111 SITE_TYPE SLICEL SITEPROP SLICE_X16Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y112 CLASS site SITEPROP SLICE_X16Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y112 IS_BONDED 0 SITEPROP SLICE_X16Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y112 IS_PAD 0 SITEPROP SLICE_X16Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y112 IS_RESERVED 0 SITEPROP SLICE_X16Y112 IS_TEST 0 SITEPROP SLICE_X16Y112 IS_USED 0 SITEPROP SLICE_X16Y112 MANUAL_ROUTING SITEPROP SLICE_X16Y112 NAME SLICE_X16Y112 SITEPROP SLICE_X16Y112 NUM_ARCS 138 SITEPROP SLICE_X16Y112 NUM_BELS 32 SITEPROP SLICE_X16Y112 NUM_INPUTS 32 SITEPROP SLICE_X16Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y112 NUM_PINS 45 SITEPROP SLICE_X16Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y112 PROHIBIT 0 SITEPROP SLICE_X16Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y112 RPM_X 57 SITEPROP SLICE_X16Y112 RPM_Y 224 SITEPROP SLICE_X16Y112 SITE_PIPS SITEPROP SLICE_X16Y112 SITE_TYPE SLICEL SITEPROP SLICE_X16Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y113 CLASS site SITEPROP SLICE_X16Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y113 IS_BONDED 0 SITEPROP SLICE_X16Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y113 IS_PAD 0 SITEPROP SLICE_X16Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y113 IS_RESERVED 0 SITEPROP SLICE_X16Y113 IS_TEST 0 SITEPROP SLICE_X16Y113 IS_USED 0 SITEPROP SLICE_X16Y113 MANUAL_ROUTING SITEPROP SLICE_X16Y113 NAME SLICE_X16Y113 SITEPROP SLICE_X16Y113 NUM_ARCS 138 SITEPROP SLICE_X16Y113 NUM_BELS 32 SITEPROP SLICE_X16Y113 NUM_INPUTS 32 SITEPROP SLICE_X16Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y113 NUM_PINS 45 SITEPROP SLICE_X16Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y113 PROHIBIT 0 SITEPROP SLICE_X16Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y113 RPM_X 57 SITEPROP SLICE_X16Y113 RPM_Y 226 SITEPROP SLICE_X16Y113 SITE_PIPS SITEPROP SLICE_X16Y113 SITE_TYPE SLICEL SITEPROP SLICE_X16Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y114 CLASS site SITEPROP SLICE_X16Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y114 IS_BONDED 0 SITEPROP SLICE_X16Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y114 IS_PAD 0 SITEPROP SLICE_X16Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y114 IS_RESERVED 0 SITEPROP SLICE_X16Y114 IS_TEST 0 SITEPROP SLICE_X16Y114 IS_USED 0 SITEPROP SLICE_X16Y114 MANUAL_ROUTING SITEPROP SLICE_X16Y114 NAME SLICE_X16Y114 SITEPROP SLICE_X16Y114 NUM_ARCS 138 SITEPROP SLICE_X16Y114 NUM_BELS 32 SITEPROP SLICE_X16Y114 NUM_INPUTS 32 SITEPROP SLICE_X16Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y114 NUM_PINS 45 SITEPROP SLICE_X16Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y114 PROHIBIT 0 SITEPROP SLICE_X16Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y114 RPM_X 57 SITEPROP SLICE_X16Y114 RPM_Y 228 SITEPROP SLICE_X16Y114 SITE_PIPS SITEPROP SLICE_X16Y114 SITE_TYPE SLICEL SITEPROP SLICE_X16Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y115 CLASS site SITEPROP SLICE_X16Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y115 IS_BONDED 0 SITEPROP SLICE_X16Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y115 IS_PAD 0 SITEPROP SLICE_X16Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y115 IS_RESERVED 0 SITEPROP SLICE_X16Y115 IS_TEST 0 SITEPROP SLICE_X16Y115 IS_USED 0 SITEPROP SLICE_X16Y115 MANUAL_ROUTING SITEPROP SLICE_X16Y115 NAME SLICE_X16Y115 SITEPROP SLICE_X16Y115 NUM_ARCS 138 SITEPROP SLICE_X16Y115 NUM_BELS 32 SITEPROP SLICE_X16Y115 NUM_INPUTS 32 SITEPROP SLICE_X16Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y115 NUM_PINS 45 SITEPROP SLICE_X16Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y115 PROHIBIT 0 SITEPROP SLICE_X16Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y115 RPM_X 57 SITEPROP SLICE_X16Y115 RPM_Y 230 SITEPROP SLICE_X16Y115 SITE_PIPS SITEPROP SLICE_X16Y115 SITE_TYPE SLICEL SITEPROP SLICE_X16Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y116 CLASS site SITEPROP SLICE_X16Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y116 IS_BONDED 0 SITEPROP SLICE_X16Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y116 IS_PAD 0 SITEPROP SLICE_X16Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y116 IS_RESERVED 0 SITEPROP SLICE_X16Y116 IS_TEST 0 SITEPROP SLICE_X16Y116 IS_USED 0 SITEPROP SLICE_X16Y116 MANUAL_ROUTING SITEPROP SLICE_X16Y116 NAME SLICE_X16Y116 SITEPROP SLICE_X16Y116 NUM_ARCS 138 SITEPROP SLICE_X16Y116 NUM_BELS 32 SITEPROP SLICE_X16Y116 NUM_INPUTS 32 SITEPROP SLICE_X16Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y116 NUM_PINS 45 SITEPROP SLICE_X16Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y116 PROHIBIT 0 SITEPROP SLICE_X16Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y116 RPM_X 57 SITEPROP SLICE_X16Y116 RPM_Y 232 SITEPROP SLICE_X16Y116 SITE_PIPS SITEPROP SLICE_X16Y116 SITE_TYPE SLICEL SITEPROP SLICE_X16Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y117 CLASS site SITEPROP SLICE_X16Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y117 IS_BONDED 0 SITEPROP SLICE_X16Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y117 IS_PAD 0 SITEPROP SLICE_X16Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y117 IS_RESERVED 0 SITEPROP SLICE_X16Y117 IS_TEST 0 SITEPROP SLICE_X16Y117 IS_USED 0 SITEPROP SLICE_X16Y117 MANUAL_ROUTING SITEPROP SLICE_X16Y117 NAME SLICE_X16Y117 SITEPROP SLICE_X16Y117 NUM_ARCS 138 SITEPROP SLICE_X16Y117 NUM_BELS 32 SITEPROP SLICE_X16Y117 NUM_INPUTS 32 SITEPROP SLICE_X16Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y117 NUM_PINS 45 SITEPROP SLICE_X16Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y117 PROHIBIT 0 SITEPROP SLICE_X16Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y117 RPM_X 57 SITEPROP SLICE_X16Y117 RPM_Y 234 SITEPROP SLICE_X16Y117 SITE_PIPS SITEPROP SLICE_X16Y117 SITE_TYPE SLICEL SITEPROP SLICE_X16Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y118 CLASS site SITEPROP SLICE_X16Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y118 IS_BONDED 0 SITEPROP SLICE_X16Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y118 IS_PAD 0 SITEPROP SLICE_X16Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y118 IS_RESERVED 0 SITEPROP SLICE_X16Y118 IS_TEST 0 SITEPROP SLICE_X16Y118 IS_USED 0 SITEPROP SLICE_X16Y118 MANUAL_ROUTING SITEPROP SLICE_X16Y118 NAME SLICE_X16Y118 SITEPROP SLICE_X16Y118 NUM_ARCS 138 SITEPROP SLICE_X16Y118 NUM_BELS 32 SITEPROP SLICE_X16Y118 NUM_INPUTS 32 SITEPROP SLICE_X16Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y118 NUM_PINS 45 SITEPROP SLICE_X16Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y118 PROHIBIT 0 SITEPROP SLICE_X16Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y118 RPM_X 57 SITEPROP SLICE_X16Y118 RPM_Y 236 SITEPROP SLICE_X16Y118 SITE_PIPS SITEPROP SLICE_X16Y118 SITE_TYPE SLICEL SITEPROP SLICE_X16Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y119 CLASS site SITEPROP SLICE_X16Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y119 IS_BONDED 0 SITEPROP SLICE_X16Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y119 IS_PAD 0 SITEPROP SLICE_X16Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y119 IS_RESERVED 0 SITEPROP SLICE_X16Y119 IS_TEST 0 SITEPROP SLICE_X16Y119 IS_USED 0 SITEPROP SLICE_X16Y119 MANUAL_ROUTING SITEPROP SLICE_X16Y119 NAME SLICE_X16Y119 SITEPROP SLICE_X16Y119 NUM_ARCS 138 SITEPROP SLICE_X16Y119 NUM_BELS 32 SITEPROP SLICE_X16Y119 NUM_INPUTS 32 SITEPROP SLICE_X16Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y119 NUM_PINS 45 SITEPROP SLICE_X16Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y119 PROHIBIT 0 SITEPROP SLICE_X16Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y119 RPM_X 57 SITEPROP SLICE_X16Y119 RPM_Y 238 SITEPROP SLICE_X16Y119 SITE_PIPS SITEPROP SLICE_X16Y119 SITE_TYPE SLICEL SITEPROP SLICE_X16Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y120 CLASS site SITEPROP SLICE_X16Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y120 IS_BONDED 0 SITEPROP SLICE_X16Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y120 IS_PAD 0 SITEPROP SLICE_X16Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y120 IS_RESERVED 0 SITEPROP SLICE_X16Y120 IS_TEST 0 SITEPROP SLICE_X16Y120 IS_USED 0 SITEPROP SLICE_X16Y120 MANUAL_ROUTING SITEPROP SLICE_X16Y120 NAME SLICE_X16Y120 SITEPROP SLICE_X16Y120 NUM_ARCS 138 SITEPROP SLICE_X16Y120 NUM_BELS 32 SITEPROP SLICE_X16Y120 NUM_INPUTS 32 SITEPROP SLICE_X16Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y120 NUM_PINS 45 SITEPROP SLICE_X16Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y120 PROHIBIT 0 SITEPROP SLICE_X16Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y120 RPM_X 57 SITEPROP SLICE_X16Y120 RPM_Y 240 SITEPROP SLICE_X16Y120 SITE_PIPS SITEPROP SLICE_X16Y120 SITE_TYPE SLICEL SITEPROP SLICE_X16Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y121 CLASS site SITEPROP SLICE_X16Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y121 IS_BONDED 0 SITEPROP SLICE_X16Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y121 IS_PAD 0 SITEPROP SLICE_X16Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y121 IS_RESERVED 0 SITEPROP SLICE_X16Y121 IS_TEST 0 SITEPROP SLICE_X16Y121 IS_USED 0 SITEPROP SLICE_X16Y121 MANUAL_ROUTING SITEPROP SLICE_X16Y121 NAME SLICE_X16Y121 SITEPROP SLICE_X16Y121 NUM_ARCS 138 SITEPROP SLICE_X16Y121 NUM_BELS 32 SITEPROP SLICE_X16Y121 NUM_INPUTS 32 SITEPROP SLICE_X16Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y121 NUM_PINS 45 SITEPROP SLICE_X16Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y121 PROHIBIT 0 SITEPROP SLICE_X16Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y121 RPM_X 57 SITEPROP SLICE_X16Y121 RPM_Y 242 SITEPROP SLICE_X16Y121 SITE_PIPS SITEPROP SLICE_X16Y121 SITE_TYPE SLICEL SITEPROP SLICE_X16Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y122 CLASS site SITEPROP SLICE_X16Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y122 IS_BONDED 0 SITEPROP SLICE_X16Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y122 IS_PAD 0 SITEPROP SLICE_X16Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y122 IS_RESERVED 0 SITEPROP SLICE_X16Y122 IS_TEST 0 SITEPROP SLICE_X16Y122 IS_USED 0 SITEPROP SLICE_X16Y122 MANUAL_ROUTING SITEPROP SLICE_X16Y122 NAME SLICE_X16Y122 SITEPROP SLICE_X16Y122 NUM_ARCS 138 SITEPROP SLICE_X16Y122 NUM_BELS 32 SITEPROP SLICE_X16Y122 NUM_INPUTS 32 SITEPROP SLICE_X16Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y122 NUM_PINS 45 SITEPROP SLICE_X16Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y122 PROHIBIT 0 SITEPROP SLICE_X16Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y122 RPM_X 57 SITEPROP SLICE_X16Y122 RPM_Y 244 SITEPROP SLICE_X16Y122 SITE_PIPS SITEPROP SLICE_X16Y122 SITE_TYPE SLICEL SITEPROP SLICE_X16Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y123 CLASS site SITEPROP SLICE_X16Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y123 IS_BONDED 0 SITEPROP SLICE_X16Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y123 IS_PAD 0 SITEPROP SLICE_X16Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y123 IS_RESERVED 0 SITEPROP SLICE_X16Y123 IS_TEST 0 SITEPROP SLICE_X16Y123 IS_USED 0 SITEPROP SLICE_X16Y123 MANUAL_ROUTING SITEPROP SLICE_X16Y123 NAME SLICE_X16Y123 SITEPROP SLICE_X16Y123 NUM_ARCS 138 SITEPROP SLICE_X16Y123 NUM_BELS 32 SITEPROP SLICE_X16Y123 NUM_INPUTS 32 SITEPROP SLICE_X16Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y123 NUM_PINS 45 SITEPROP SLICE_X16Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y123 PROHIBIT 0 SITEPROP SLICE_X16Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y123 RPM_X 57 SITEPROP SLICE_X16Y123 RPM_Y 246 SITEPROP SLICE_X16Y123 SITE_PIPS SITEPROP SLICE_X16Y123 SITE_TYPE SLICEL SITEPROP SLICE_X16Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y124 CLASS site SITEPROP SLICE_X16Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y124 IS_BONDED 0 SITEPROP SLICE_X16Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y124 IS_PAD 0 SITEPROP SLICE_X16Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y124 IS_RESERVED 0 SITEPROP SLICE_X16Y124 IS_TEST 0 SITEPROP SLICE_X16Y124 IS_USED 0 SITEPROP SLICE_X16Y124 MANUAL_ROUTING SITEPROP SLICE_X16Y124 NAME SLICE_X16Y124 SITEPROP SLICE_X16Y124 NUM_ARCS 138 SITEPROP SLICE_X16Y124 NUM_BELS 32 SITEPROP SLICE_X16Y124 NUM_INPUTS 32 SITEPROP SLICE_X16Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y124 NUM_PINS 45 SITEPROP SLICE_X16Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y124 PROHIBIT 0 SITEPROP SLICE_X16Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y124 RPM_X 57 SITEPROP SLICE_X16Y124 RPM_Y 248 SITEPROP SLICE_X16Y124 SITE_PIPS SITEPROP SLICE_X16Y124 SITE_TYPE SLICEL SITEPROP SLICE_X16Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y125 CLASS site SITEPROP SLICE_X16Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y125 IS_BONDED 0 SITEPROP SLICE_X16Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y125 IS_PAD 0 SITEPROP SLICE_X16Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y125 IS_RESERVED 0 SITEPROP SLICE_X16Y125 IS_TEST 0 SITEPROP SLICE_X16Y125 IS_USED 0 SITEPROP SLICE_X16Y125 MANUAL_ROUTING SITEPROP SLICE_X16Y125 NAME SLICE_X16Y125 SITEPROP SLICE_X16Y125 NUM_ARCS 138 SITEPROP SLICE_X16Y125 NUM_BELS 32 SITEPROP SLICE_X16Y125 NUM_INPUTS 32 SITEPROP SLICE_X16Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y125 NUM_PINS 45 SITEPROP SLICE_X16Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y125 PROHIBIT 0 SITEPROP SLICE_X16Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y125 RPM_X 57 SITEPROP SLICE_X16Y125 RPM_Y 250 SITEPROP SLICE_X16Y125 SITE_PIPS SITEPROP SLICE_X16Y125 SITE_TYPE SLICEL SITEPROP SLICE_X16Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y126 CLASS site SITEPROP SLICE_X16Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y126 IS_BONDED 0 SITEPROP SLICE_X16Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y126 IS_PAD 0 SITEPROP SLICE_X16Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y126 IS_RESERVED 0 SITEPROP SLICE_X16Y126 IS_TEST 0 SITEPROP SLICE_X16Y126 IS_USED 0 SITEPROP SLICE_X16Y126 MANUAL_ROUTING SITEPROP SLICE_X16Y126 NAME SLICE_X16Y126 SITEPROP SLICE_X16Y126 NUM_ARCS 138 SITEPROP SLICE_X16Y126 NUM_BELS 32 SITEPROP SLICE_X16Y126 NUM_INPUTS 32 SITEPROP SLICE_X16Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y126 NUM_PINS 45 SITEPROP SLICE_X16Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y126 PROHIBIT 0 SITEPROP SLICE_X16Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y126 RPM_X 57 SITEPROP SLICE_X16Y126 RPM_Y 252 SITEPROP SLICE_X16Y126 SITE_PIPS SITEPROP SLICE_X16Y126 SITE_TYPE SLICEL SITEPROP SLICE_X16Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y127 CLASS site SITEPROP SLICE_X16Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y127 IS_BONDED 0 SITEPROP SLICE_X16Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y127 IS_PAD 0 SITEPROP SLICE_X16Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y127 IS_RESERVED 0 SITEPROP SLICE_X16Y127 IS_TEST 0 SITEPROP SLICE_X16Y127 IS_USED 0 SITEPROP SLICE_X16Y127 MANUAL_ROUTING SITEPROP SLICE_X16Y127 NAME SLICE_X16Y127 SITEPROP SLICE_X16Y127 NUM_ARCS 138 SITEPROP SLICE_X16Y127 NUM_BELS 32 SITEPROP SLICE_X16Y127 NUM_INPUTS 32 SITEPROP SLICE_X16Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y127 NUM_PINS 45 SITEPROP SLICE_X16Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y127 PROHIBIT 0 SITEPROP SLICE_X16Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y127 RPM_X 57 SITEPROP SLICE_X16Y127 RPM_Y 254 SITEPROP SLICE_X16Y127 SITE_PIPS SITEPROP SLICE_X16Y127 SITE_TYPE SLICEL SITEPROP SLICE_X16Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y128 CLASS site SITEPROP SLICE_X16Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y128 IS_BONDED 0 SITEPROP SLICE_X16Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y128 IS_PAD 0 SITEPROP SLICE_X16Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y128 IS_RESERVED 0 SITEPROP SLICE_X16Y128 IS_TEST 0 SITEPROP SLICE_X16Y128 IS_USED 0 SITEPROP SLICE_X16Y128 MANUAL_ROUTING SITEPROP SLICE_X16Y128 NAME SLICE_X16Y128 SITEPROP SLICE_X16Y128 NUM_ARCS 138 SITEPROP SLICE_X16Y128 NUM_BELS 32 SITEPROP SLICE_X16Y128 NUM_INPUTS 32 SITEPROP SLICE_X16Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y128 NUM_PINS 45 SITEPROP SLICE_X16Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y128 PROHIBIT 0 SITEPROP SLICE_X16Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y128 RPM_X 57 SITEPROP SLICE_X16Y128 RPM_Y 256 SITEPROP SLICE_X16Y128 SITE_PIPS SITEPROP SLICE_X16Y128 SITE_TYPE SLICEL SITEPROP SLICE_X16Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y129 CLASS site SITEPROP SLICE_X16Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y129 IS_BONDED 0 SITEPROP SLICE_X16Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y129 IS_PAD 0 SITEPROP SLICE_X16Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y129 IS_RESERVED 0 SITEPROP SLICE_X16Y129 IS_TEST 0 SITEPROP SLICE_X16Y129 IS_USED 0 SITEPROP SLICE_X16Y129 MANUAL_ROUTING SITEPROP SLICE_X16Y129 NAME SLICE_X16Y129 SITEPROP SLICE_X16Y129 NUM_ARCS 138 SITEPROP SLICE_X16Y129 NUM_BELS 32 SITEPROP SLICE_X16Y129 NUM_INPUTS 32 SITEPROP SLICE_X16Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y129 NUM_PINS 45 SITEPROP SLICE_X16Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y129 PROHIBIT 0 SITEPROP SLICE_X16Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y129 RPM_X 57 SITEPROP SLICE_X16Y129 RPM_Y 258 SITEPROP SLICE_X16Y129 SITE_PIPS SITEPROP SLICE_X16Y129 SITE_TYPE SLICEL SITEPROP SLICE_X16Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y130 CLASS site SITEPROP SLICE_X16Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y130 IS_BONDED 0 SITEPROP SLICE_X16Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y130 IS_PAD 0 SITEPROP SLICE_X16Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y130 IS_RESERVED 0 SITEPROP SLICE_X16Y130 IS_TEST 0 SITEPROP SLICE_X16Y130 IS_USED 0 SITEPROP SLICE_X16Y130 MANUAL_ROUTING SITEPROP SLICE_X16Y130 NAME SLICE_X16Y130 SITEPROP SLICE_X16Y130 NUM_ARCS 138 SITEPROP SLICE_X16Y130 NUM_BELS 32 SITEPROP SLICE_X16Y130 NUM_INPUTS 32 SITEPROP SLICE_X16Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y130 NUM_PINS 45 SITEPROP SLICE_X16Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y130 PROHIBIT 0 SITEPROP SLICE_X16Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y130 RPM_X 57 SITEPROP SLICE_X16Y130 RPM_Y 260 SITEPROP SLICE_X16Y130 SITE_PIPS SITEPROP SLICE_X16Y130 SITE_TYPE SLICEL SITEPROP SLICE_X16Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y131 CLASS site SITEPROP SLICE_X16Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y131 IS_BONDED 0 SITEPROP SLICE_X16Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y131 IS_PAD 0 SITEPROP SLICE_X16Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y131 IS_RESERVED 0 SITEPROP SLICE_X16Y131 IS_TEST 0 SITEPROP SLICE_X16Y131 IS_USED 0 SITEPROP SLICE_X16Y131 MANUAL_ROUTING SITEPROP SLICE_X16Y131 NAME SLICE_X16Y131 SITEPROP SLICE_X16Y131 NUM_ARCS 138 SITEPROP SLICE_X16Y131 NUM_BELS 32 SITEPROP SLICE_X16Y131 NUM_INPUTS 32 SITEPROP SLICE_X16Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y131 NUM_PINS 45 SITEPROP SLICE_X16Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y131 PROHIBIT 0 SITEPROP SLICE_X16Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y131 RPM_X 57 SITEPROP SLICE_X16Y131 RPM_Y 262 SITEPROP SLICE_X16Y131 SITE_PIPS SITEPROP SLICE_X16Y131 SITE_TYPE SLICEL SITEPROP SLICE_X16Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y132 CLASS site SITEPROP SLICE_X16Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y132 IS_BONDED 0 SITEPROP SLICE_X16Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y132 IS_PAD 0 SITEPROP SLICE_X16Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y132 IS_RESERVED 0 SITEPROP SLICE_X16Y132 IS_TEST 0 SITEPROP SLICE_X16Y132 IS_USED 0 SITEPROP SLICE_X16Y132 MANUAL_ROUTING SITEPROP SLICE_X16Y132 NAME SLICE_X16Y132 SITEPROP SLICE_X16Y132 NUM_ARCS 138 SITEPROP SLICE_X16Y132 NUM_BELS 32 SITEPROP SLICE_X16Y132 NUM_INPUTS 32 SITEPROP SLICE_X16Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y132 NUM_PINS 45 SITEPROP SLICE_X16Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y132 PROHIBIT 0 SITEPROP SLICE_X16Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y132 RPM_X 57 SITEPROP SLICE_X16Y132 RPM_Y 264 SITEPROP SLICE_X16Y132 SITE_PIPS SITEPROP SLICE_X16Y132 SITE_TYPE SLICEL SITEPROP SLICE_X16Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y133 CLASS site SITEPROP SLICE_X16Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y133 IS_BONDED 0 SITEPROP SLICE_X16Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y133 IS_PAD 0 SITEPROP SLICE_X16Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y133 IS_RESERVED 0 SITEPROP SLICE_X16Y133 IS_TEST 0 SITEPROP SLICE_X16Y133 IS_USED 0 SITEPROP SLICE_X16Y133 MANUAL_ROUTING SITEPROP SLICE_X16Y133 NAME SLICE_X16Y133 SITEPROP SLICE_X16Y133 NUM_ARCS 138 SITEPROP SLICE_X16Y133 NUM_BELS 32 SITEPROP SLICE_X16Y133 NUM_INPUTS 32 SITEPROP SLICE_X16Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y133 NUM_PINS 45 SITEPROP SLICE_X16Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y133 PROHIBIT 0 SITEPROP SLICE_X16Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y133 RPM_X 57 SITEPROP SLICE_X16Y133 RPM_Y 266 SITEPROP SLICE_X16Y133 SITE_PIPS SITEPROP SLICE_X16Y133 SITE_TYPE SLICEL SITEPROP SLICE_X16Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y134 CLASS site SITEPROP SLICE_X16Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y134 IS_BONDED 0 SITEPROP SLICE_X16Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y134 IS_PAD 0 SITEPROP SLICE_X16Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y134 IS_RESERVED 0 SITEPROP SLICE_X16Y134 IS_TEST 0 SITEPROP SLICE_X16Y134 IS_USED 0 SITEPROP SLICE_X16Y134 MANUAL_ROUTING SITEPROP SLICE_X16Y134 NAME SLICE_X16Y134 SITEPROP SLICE_X16Y134 NUM_ARCS 138 SITEPROP SLICE_X16Y134 NUM_BELS 32 SITEPROP SLICE_X16Y134 NUM_INPUTS 32 SITEPROP SLICE_X16Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y134 NUM_PINS 45 SITEPROP SLICE_X16Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y134 PROHIBIT 0 SITEPROP SLICE_X16Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y134 RPM_X 57 SITEPROP SLICE_X16Y134 RPM_Y 268 SITEPROP SLICE_X16Y134 SITE_PIPS SITEPROP SLICE_X16Y134 SITE_TYPE SLICEL SITEPROP SLICE_X16Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y135 CLASS site SITEPROP SLICE_X16Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y135 IS_BONDED 0 SITEPROP SLICE_X16Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y135 IS_PAD 0 SITEPROP SLICE_X16Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y135 IS_RESERVED 0 SITEPROP SLICE_X16Y135 IS_TEST 0 SITEPROP SLICE_X16Y135 IS_USED 0 SITEPROP SLICE_X16Y135 MANUAL_ROUTING SITEPROP SLICE_X16Y135 NAME SLICE_X16Y135 SITEPROP SLICE_X16Y135 NUM_ARCS 138 SITEPROP SLICE_X16Y135 NUM_BELS 32 SITEPROP SLICE_X16Y135 NUM_INPUTS 32 SITEPROP SLICE_X16Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y135 NUM_PINS 45 SITEPROP SLICE_X16Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y135 PROHIBIT 0 SITEPROP SLICE_X16Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y135 RPM_X 57 SITEPROP SLICE_X16Y135 RPM_Y 270 SITEPROP SLICE_X16Y135 SITE_PIPS SITEPROP SLICE_X16Y135 SITE_TYPE SLICEL SITEPROP SLICE_X16Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y136 CLASS site SITEPROP SLICE_X16Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y136 IS_BONDED 0 SITEPROP SLICE_X16Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y136 IS_PAD 0 SITEPROP SLICE_X16Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y136 IS_RESERVED 0 SITEPROP SLICE_X16Y136 IS_TEST 0 SITEPROP SLICE_X16Y136 IS_USED 0 SITEPROP SLICE_X16Y136 MANUAL_ROUTING SITEPROP SLICE_X16Y136 NAME SLICE_X16Y136 SITEPROP SLICE_X16Y136 NUM_ARCS 138 SITEPROP SLICE_X16Y136 NUM_BELS 32 SITEPROP SLICE_X16Y136 NUM_INPUTS 32 SITEPROP SLICE_X16Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y136 NUM_PINS 45 SITEPROP SLICE_X16Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y136 PROHIBIT 0 SITEPROP SLICE_X16Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y136 RPM_X 57 SITEPROP SLICE_X16Y136 RPM_Y 272 SITEPROP SLICE_X16Y136 SITE_PIPS SITEPROP SLICE_X16Y136 SITE_TYPE SLICEL SITEPROP SLICE_X16Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y137 CLASS site SITEPROP SLICE_X16Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y137 IS_BONDED 0 SITEPROP SLICE_X16Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y137 IS_PAD 0 SITEPROP SLICE_X16Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y137 IS_RESERVED 0 SITEPROP SLICE_X16Y137 IS_TEST 0 SITEPROP SLICE_X16Y137 IS_USED 0 SITEPROP SLICE_X16Y137 MANUAL_ROUTING SITEPROP SLICE_X16Y137 NAME SLICE_X16Y137 SITEPROP SLICE_X16Y137 NUM_ARCS 138 SITEPROP SLICE_X16Y137 NUM_BELS 32 SITEPROP SLICE_X16Y137 NUM_INPUTS 32 SITEPROP SLICE_X16Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y137 NUM_PINS 45 SITEPROP SLICE_X16Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y137 PROHIBIT 0 SITEPROP SLICE_X16Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y137 RPM_X 57 SITEPROP SLICE_X16Y137 RPM_Y 274 SITEPROP SLICE_X16Y137 SITE_PIPS SITEPROP SLICE_X16Y137 SITE_TYPE SLICEL SITEPROP SLICE_X16Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y138 CLASS site SITEPROP SLICE_X16Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y138 IS_BONDED 0 SITEPROP SLICE_X16Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y138 IS_PAD 0 SITEPROP SLICE_X16Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y138 IS_RESERVED 0 SITEPROP SLICE_X16Y138 IS_TEST 0 SITEPROP SLICE_X16Y138 IS_USED 0 SITEPROP SLICE_X16Y138 MANUAL_ROUTING SITEPROP SLICE_X16Y138 NAME SLICE_X16Y138 SITEPROP SLICE_X16Y138 NUM_ARCS 138 SITEPROP SLICE_X16Y138 NUM_BELS 32 SITEPROP SLICE_X16Y138 NUM_INPUTS 32 SITEPROP SLICE_X16Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y138 NUM_PINS 45 SITEPROP SLICE_X16Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y138 PROHIBIT 0 SITEPROP SLICE_X16Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y138 RPM_X 57 SITEPROP SLICE_X16Y138 RPM_Y 276 SITEPROP SLICE_X16Y138 SITE_PIPS SITEPROP SLICE_X16Y138 SITE_TYPE SLICEL SITEPROP SLICE_X16Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y139 CLASS site SITEPROP SLICE_X16Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y139 IS_BONDED 0 SITEPROP SLICE_X16Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y139 IS_PAD 0 SITEPROP SLICE_X16Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y139 IS_RESERVED 0 SITEPROP SLICE_X16Y139 IS_TEST 0 SITEPROP SLICE_X16Y139 IS_USED 0 SITEPROP SLICE_X16Y139 MANUAL_ROUTING SITEPROP SLICE_X16Y139 NAME SLICE_X16Y139 SITEPROP SLICE_X16Y139 NUM_ARCS 138 SITEPROP SLICE_X16Y139 NUM_BELS 32 SITEPROP SLICE_X16Y139 NUM_INPUTS 32 SITEPROP SLICE_X16Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y139 NUM_PINS 45 SITEPROP SLICE_X16Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y139 PROHIBIT 0 SITEPROP SLICE_X16Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y139 RPM_X 57 SITEPROP SLICE_X16Y139 RPM_Y 278 SITEPROP SLICE_X16Y139 SITE_PIPS SITEPROP SLICE_X16Y139 SITE_TYPE SLICEL SITEPROP SLICE_X16Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y140 CLASS site SITEPROP SLICE_X16Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y140 IS_BONDED 0 SITEPROP SLICE_X16Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y140 IS_PAD 0 SITEPROP SLICE_X16Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y140 IS_RESERVED 0 SITEPROP SLICE_X16Y140 IS_TEST 0 SITEPROP SLICE_X16Y140 IS_USED 0 SITEPROP SLICE_X16Y140 MANUAL_ROUTING SITEPROP SLICE_X16Y140 NAME SLICE_X16Y140 SITEPROP SLICE_X16Y140 NUM_ARCS 138 SITEPROP SLICE_X16Y140 NUM_BELS 32 SITEPROP SLICE_X16Y140 NUM_INPUTS 32 SITEPROP SLICE_X16Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y140 NUM_PINS 45 SITEPROP SLICE_X16Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y140 PROHIBIT 0 SITEPROP SLICE_X16Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y140 RPM_X 57 SITEPROP SLICE_X16Y140 RPM_Y 280 SITEPROP SLICE_X16Y140 SITE_PIPS SITEPROP SLICE_X16Y140 SITE_TYPE SLICEL SITEPROP SLICE_X16Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y141 CLASS site SITEPROP SLICE_X16Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y141 IS_BONDED 0 SITEPROP SLICE_X16Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y141 IS_PAD 0 SITEPROP SLICE_X16Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y141 IS_RESERVED 0 SITEPROP SLICE_X16Y141 IS_TEST 0 SITEPROP SLICE_X16Y141 IS_USED 0 SITEPROP SLICE_X16Y141 MANUAL_ROUTING SITEPROP SLICE_X16Y141 NAME SLICE_X16Y141 SITEPROP SLICE_X16Y141 NUM_ARCS 138 SITEPROP SLICE_X16Y141 NUM_BELS 32 SITEPROP SLICE_X16Y141 NUM_INPUTS 32 SITEPROP SLICE_X16Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y141 NUM_PINS 45 SITEPROP SLICE_X16Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y141 PROHIBIT 0 SITEPROP SLICE_X16Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y141 RPM_X 57 SITEPROP SLICE_X16Y141 RPM_Y 282 SITEPROP SLICE_X16Y141 SITE_PIPS SITEPROP SLICE_X16Y141 SITE_TYPE SLICEL SITEPROP SLICE_X16Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y142 CLASS site SITEPROP SLICE_X16Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y142 IS_BONDED 0 SITEPROP SLICE_X16Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y142 IS_PAD 0 SITEPROP SLICE_X16Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y142 IS_RESERVED 0 SITEPROP SLICE_X16Y142 IS_TEST 0 SITEPROP SLICE_X16Y142 IS_USED 0 SITEPROP SLICE_X16Y142 MANUAL_ROUTING SITEPROP SLICE_X16Y142 NAME SLICE_X16Y142 SITEPROP SLICE_X16Y142 NUM_ARCS 138 SITEPROP SLICE_X16Y142 NUM_BELS 32 SITEPROP SLICE_X16Y142 NUM_INPUTS 32 SITEPROP SLICE_X16Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y142 NUM_PINS 45 SITEPROP SLICE_X16Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y142 PROHIBIT 0 SITEPROP SLICE_X16Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y142 RPM_X 57 SITEPROP SLICE_X16Y142 RPM_Y 284 SITEPROP SLICE_X16Y142 SITE_PIPS SITEPROP SLICE_X16Y142 SITE_TYPE SLICEL SITEPROP SLICE_X16Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y143 CLASS site SITEPROP SLICE_X16Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y143 IS_BONDED 0 SITEPROP SLICE_X16Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y143 IS_PAD 0 SITEPROP SLICE_X16Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y143 IS_RESERVED 0 SITEPROP SLICE_X16Y143 IS_TEST 0 SITEPROP SLICE_X16Y143 IS_USED 0 SITEPROP SLICE_X16Y143 MANUAL_ROUTING SITEPROP SLICE_X16Y143 NAME SLICE_X16Y143 SITEPROP SLICE_X16Y143 NUM_ARCS 138 SITEPROP SLICE_X16Y143 NUM_BELS 32 SITEPROP SLICE_X16Y143 NUM_INPUTS 32 SITEPROP SLICE_X16Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y143 NUM_PINS 45 SITEPROP SLICE_X16Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y143 PROHIBIT 0 SITEPROP SLICE_X16Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y143 RPM_X 57 SITEPROP SLICE_X16Y143 RPM_Y 286 SITEPROP SLICE_X16Y143 SITE_PIPS SITEPROP SLICE_X16Y143 SITE_TYPE SLICEL SITEPROP SLICE_X16Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y144 CLASS site SITEPROP SLICE_X16Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y144 IS_BONDED 0 SITEPROP SLICE_X16Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y144 IS_PAD 0 SITEPROP SLICE_X16Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y144 IS_RESERVED 0 SITEPROP SLICE_X16Y144 IS_TEST 0 SITEPROP SLICE_X16Y144 IS_USED 0 SITEPROP SLICE_X16Y144 MANUAL_ROUTING SITEPROP SLICE_X16Y144 NAME SLICE_X16Y144 SITEPROP SLICE_X16Y144 NUM_ARCS 138 SITEPROP SLICE_X16Y144 NUM_BELS 32 SITEPROP SLICE_X16Y144 NUM_INPUTS 32 SITEPROP SLICE_X16Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y144 NUM_PINS 45 SITEPROP SLICE_X16Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y144 PROHIBIT 0 SITEPROP SLICE_X16Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y144 RPM_X 57 SITEPROP SLICE_X16Y144 RPM_Y 288 SITEPROP SLICE_X16Y144 SITE_PIPS SITEPROP SLICE_X16Y144 SITE_TYPE SLICEL SITEPROP SLICE_X16Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y145 CLASS site SITEPROP SLICE_X16Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y145 IS_BONDED 0 SITEPROP SLICE_X16Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y145 IS_PAD 0 SITEPROP SLICE_X16Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y145 IS_RESERVED 0 SITEPROP SLICE_X16Y145 IS_TEST 0 SITEPROP SLICE_X16Y145 IS_USED 0 SITEPROP SLICE_X16Y145 MANUAL_ROUTING SITEPROP SLICE_X16Y145 NAME SLICE_X16Y145 SITEPROP SLICE_X16Y145 NUM_ARCS 138 SITEPROP SLICE_X16Y145 NUM_BELS 32 SITEPROP SLICE_X16Y145 NUM_INPUTS 32 SITEPROP SLICE_X16Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y145 NUM_PINS 45 SITEPROP SLICE_X16Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y145 PROHIBIT 0 SITEPROP SLICE_X16Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y145 RPM_X 57 SITEPROP SLICE_X16Y145 RPM_Y 290 SITEPROP SLICE_X16Y145 SITE_PIPS SITEPROP SLICE_X16Y145 SITE_TYPE SLICEL SITEPROP SLICE_X16Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y146 CLASS site SITEPROP SLICE_X16Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y146 IS_BONDED 0 SITEPROP SLICE_X16Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y146 IS_PAD 0 SITEPROP SLICE_X16Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y146 IS_RESERVED 0 SITEPROP SLICE_X16Y146 IS_TEST 0 SITEPROP SLICE_X16Y146 IS_USED 0 SITEPROP SLICE_X16Y146 MANUAL_ROUTING SITEPROP SLICE_X16Y146 NAME SLICE_X16Y146 SITEPROP SLICE_X16Y146 NUM_ARCS 138 SITEPROP SLICE_X16Y146 NUM_BELS 32 SITEPROP SLICE_X16Y146 NUM_INPUTS 32 SITEPROP SLICE_X16Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y146 NUM_PINS 45 SITEPROP SLICE_X16Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y146 PROHIBIT 0 SITEPROP SLICE_X16Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y146 RPM_X 57 SITEPROP SLICE_X16Y146 RPM_Y 292 SITEPROP SLICE_X16Y146 SITE_PIPS SITEPROP SLICE_X16Y146 SITE_TYPE SLICEL SITEPROP SLICE_X16Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y147 CLASS site SITEPROP SLICE_X16Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y147 IS_BONDED 0 SITEPROP SLICE_X16Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y147 IS_PAD 0 SITEPROP SLICE_X16Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y147 IS_RESERVED 0 SITEPROP SLICE_X16Y147 IS_TEST 0 SITEPROP SLICE_X16Y147 IS_USED 0 SITEPROP SLICE_X16Y147 MANUAL_ROUTING SITEPROP SLICE_X16Y147 NAME SLICE_X16Y147 SITEPROP SLICE_X16Y147 NUM_ARCS 138 SITEPROP SLICE_X16Y147 NUM_BELS 32 SITEPROP SLICE_X16Y147 NUM_INPUTS 32 SITEPROP SLICE_X16Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y147 NUM_PINS 45 SITEPROP SLICE_X16Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y147 PROHIBIT 0 SITEPROP SLICE_X16Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y147 RPM_X 57 SITEPROP SLICE_X16Y147 RPM_Y 294 SITEPROP SLICE_X16Y147 SITE_PIPS SITEPROP SLICE_X16Y147 SITE_TYPE SLICEL SITEPROP SLICE_X16Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y148 CLASS site SITEPROP SLICE_X16Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y148 IS_BONDED 0 SITEPROP SLICE_X16Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y148 IS_PAD 0 SITEPROP SLICE_X16Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y148 IS_RESERVED 0 SITEPROP SLICE_X16Y148 IS_TEST 0 SITEPROP SLICE_X16Y148 IS_USED 0 SITEPROP SLICE_X16Y148 MANUAL_ROUTING SITEPROP SLICE_X16Y148 NAME SLICE_X16Y148 SITEPROP SLICE_X16Y148 NUM_ARCS 138 SITEPROP SLICE_X16Y148 NUM_BELS 32 SITEPROP SLICE_X16Y148 NUM_INPUTS 32 SITEPROP SLICE_X16Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y148 NUM_PINS 45 SITEPROP SLICE_X16Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y148 PROHIBIT 0 SITEPROP SLICE_X16Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y148 RPM_X 57 SITEPROP SLICE_X16Y148 RPM_Y 296 SITEPROP SLICE_X16Y148 SITE_PIPS SITEPROP SLICE_X16Y148 SITE_TYPE SLICEL SITEPROP SLICE_X16Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X16Y149 CLASS site SITEPROP SLICE_X16Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X16Y149 IS_BONDED 0 SITEPROP SLICE_X16Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X16Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y149 IS_PAD 0 SITEPROP SLICE_X16Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X16Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X16Y149 IS_RESERVED 0 SITEPROP SLICE_X16Y149 IS_TEST 0 SITEPROP SLICE_X16Y149 IS_USED 0 SITEPROP SLICE_X16Y149 MANUAL_ROUTING SITEPROP SLICE_X16Y149 NAME SLICE_X16Y149 SITEPROP SLICE_X16Y149 NUM_ARCS 138 SITEPROP SLICE_X16Y149 NUM_BELS 32 SITEPROP SLICE_X16Y149 NUM_INPUTS 32 SITEPROP SLICE_X16Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X16Y149 NUM_PINS 45 SITEPROP SLICE_X16Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X16Y149 PROHIBIT 0 SITEPROP SLICE_X16Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X16Y149 RPM_X 57 SITEPROP SLICE_X16Y149 RPM_Y 298 SITEPROP SLICE_X16Y149 SITE_PIPS SITEPROP SLICE_X16Y149 SITE_TYPE SLICEL SITEPROP SLICE_X17Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y100 CLASS site SITEPROP SLICE_X17Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y100 IS_BONDED 0 SITEPROP SLICE_X17Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y100 IS_PAD 0 SITEPROP SLICE_X17Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y100 IS_RESERVED 0 SITEPROP SLICE_X17Y100 IS_TEST 0 SITEPROP SLICE_X17Y100 IS_USED 0 SITEPROP SLICE_X17Y100 MANUAL_ROUTING SITEPROP SLICE_X17Y100 NAME SLICE_X17Y100 SITEPROP SLICE_X17Y100 NUM_ARCS 138 SITEPROP SLICE_X17Y100 NUM_BELS 32 SITEPROP SLICE_X17Y100 NUM_INPUTS 32 SITEPROP SLICE_X17Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y100 NUM_PINS 45 SITEPROP SLICE_X17Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y100 PROHIBIT 0 SITEPROP SLICE_X17Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y100 RPM_X 59 SITEPROP SLICE_X17Y100 RPM_Y 200 SITEPROP SLICE_X17Y100 SITE_PIPS SITEPROP SLICE_X17Y100 SITE_TYPE SLICEL SITEPROP SLICE_X17Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y101 CLASS site SITEPROP SLICE_X17Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y101 IS_BONDED 0 SITEPROP SLICE_X17Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y101 IS_PAD 0 SITEPROP SLICE_X17Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y101 IS_RESERVED 0 SITEPROP SLICE_X17Y101 IS_TEST 0 SITEPROP SLICE_X17Y101 IS_USED 0 SITEPROP SLICE_X17Y101 MANUAL_ROUTING SITEPROP SLICE_X17Y101 NAME SLICE_X17Y101 SITEPROP SLICE_X17Y101 NUM_ARCS 138 SITEPROP SLICE_X17Y101 NUM_BELS 32 SITEPROP SLICE_X17Y101 NUM_INPUTS 32 SITEPROP SLICE_X17Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y101 NUM_PINS 45 SITEPROP SLICE_X17Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y101 PROHIBIT 0 SITEPROP SLICE_X17Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y101 RPM_X 59 SITEPROP SLICE_X17Y101 RPM_Y 202 SITEPROP SLICE_X17Y101 SITE_PIPS SITEPROP SLICE_X17Y101 SITE_TYPE SLICEL SITEPROP SLICE_X17Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y102 CLASS site SITEPROP SLICE_X17Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y102 IS_BONDED 0 SITEPROP SLICE_X17Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y102 IS_PAD 0 SITEPROP SLICE_X17Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y102 IS_RESERVED 0 SITEPROP SLICE_X17Y102 IS_TEST 0 SITEPROP SLICE_X17Y102 IS_USED 0 SITEPROP SLICE_X17Y102 MANUAL_ROUTING SITEPROP SLICE_X17Y102 NAME SLICE_X17Y102 SITEPROP SLICE_X17Y102 NUM_ARCS 138 SITEPROP SLICE_X17Y102 NUM_BELS 32 SITEPROP SLICE_X17Y102 NUM_INPUTS 32 SITEPROP SLICE_X17Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y102 NUM_PINS 45 SITEPROP SLICE_X17Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y102 PROHIBIT 0 SITEPROP SLICE_X17Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y102 RPM_X 59 SITEPROP SLICE_X17Y102 RPM_Y 204 SITEPROP SLICE_X17Y102 SITE_PIPS SITEPROP SLICE_X17Y102 SITE_TYPE SLICEL SITEPROP SLICE_X17Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y103 CLASS site SITEPROP SLICE_X17Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y103 IS_BONDED 0 SITEPROP SLICE_X17Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y103 IS_PAD 0 SITEPROP SLICE_X17Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y103 IS_RESERVED 0 SITEPROP SLICE_X17Y103 IS_TEST 0 SITEPROP SLICE_X17Y103 IS_USED 0 SITEPROP SLICE_X17Y103 MANUAL_ROUTING SITEPROP SLICE_X17Y103 NAME SLICE_X17Y103 SITEPROP SLICE_X17Y103 NUM_ARCS 138 SITEPROP SLICE_X17Y103 NUM_BELS 32 SITEPROP SLICE_X17Y103 NUM_INPUTS 32 SITEPROP SLICE_X17Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y103 NUM_PINS 45 SITEPROP SLICE_X17Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y103 PROHIBIT 0 SITEPROP SLICE_X17Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y103 RPM_X 59 SITEPROP SLICE_X17Y103 RPM_Y 206 SITEPROP SLICE_X17Y103 SITE_PIPS SITEPROP SLICE_X17Y103 SITE_TYPE SLICEL SITEPROP SLICE_X17Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y104 CLASS site SITEPROP SLICE_X17Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y104 IS_BONDED 0 SITEPROP SLICE_X17Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y104 IS_PAD 0 SITEPROP SLICE_X17Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y104 IS_RESERVED 0 SITEPROP SLICE_X17Y104 IS_TEST 0 SITEPROP SLICE_X17Y104 IS_USED 0 SITEPROP SLICE_X17Y104 MANUAL_ROUTING SITEPROP SLICE_X17Y104 NAME SLICE_X17Y104 SITEPROP SLICE_X17Y104 NUM_ARCS 138 SITEPROP SLICE_X17Y104 NUM_BELS 32 SITEPROP SLICE_X17Y104 NUM_INPUTS 32 SITEPROP SLICE_X17Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y104 NUM_PINS 45 SITEPROP SLICE_X17Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y104 PROHIBIT 0 SITEPROP SLICE_X17Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y104 RPM_X 59 SITEPROP SLICE_X17Y104 RPM_Y 208 SITEPROP SLICE_X17Y104 SITE_PIPS SITEPROP SLICE_X17Y104 SITE_TYPE SLICEL SITEPROP SLICE_X17Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y105 CLASS site SITEPROP SLICE_X17Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y105 IS_BONDED 0 SITEPROP SLICE_X17Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y105 IS_PAD 0 SITEPROP SLICE_X17Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y105 IS_RESERVED 0 SITEPROP SLICE_X17Y105 IS_TEST 0 SITEPROP SLICE_X17Y105 IS_USED 0 SITEPROP SLICE_X17Y105 MANUAL_ROUTING SITEPROP SLICE_X17Y105 NAME SLICE_X17Y105 SITEPROP SLICE_X17Y105 NUM_ARCS 138 SITEPROP SLICE_X17Y105 NUM_BELS 32 SITEPROP SLICE_X17Y105 NUM_INPUTS 32 SITEPROP SLICE_X17Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y105 NUM_PINS 45 SITEPROP SLICE_X17Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y105 PROHIBIT 0 SITEPROP SLICE_X17Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y105 RPM_X 59 SITEPROP SLICE_X17Y105 RPM_Y 210 SITEPROP SLICE_X17Y105 SITE_PIPS SITEPROP SLICE_X17Y105 SITE_TYPE SLICEL SITEPROP SLICE_X17Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y106 CLASS site SITEPROP SLICE_X17Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y106 IS_BONDED 0 SITEPROP SLICE_X17Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y106 IS_PAD 0 SITEPROP SLICE_X17Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y106 IS_RESERVED 0 SITEPROP SLICE_X17Y106 IS_TEST 0 SITEPROP SLICE_X17Y106 IS_USED 0 SITEPROP SLICE_X17Y106 MANUAL_ROUTING SITEPROP SLICE_X17Y106 NAME SLICE_X17Y106 SITEPROP SLICE_X17Y106 NUM_ARCS 138 SITEPROP SLICE_X17Y106 NUM_BELS 32 SITEPROP SLICE_X17Y106 NUM_INPUTS 32 SITEPROP SLICE_X17Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y106 NUM_PINS 45 SITEPROP SLICE_X17Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y106 PROHIBIT 0 SITEPROP SLICE_X17Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y106 RPM_X 59 SITEPROP SLICE_X17Y106 RPM_Y 212 SITEPROP SLICE_X17Y106 SITE_PIPS SITEPROP SLICE_X17Y106 SITE_TYPE SLICEL SITEPROP SLICE_X17Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y107 CLASS site SITEPROP SLICE_X17Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y107 IS_BONDED 0 SITEPROP SLICE_X17Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y107 IS_PAD 0 SITEPROP SLICE_X17Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y107 IS_RESERVED 0 SITEPROP SLICE_X17Y107 IS_TEST 0 SITEPROP SLICE_X17Y107 IS_USED 0 SITEPROP SLICE_X17Y107 MANUAL_ROUTING SITEPROP SLICE_X17Y107 NAME SLICE_X17Y107 SITEPROP SLICE_X17Y107 NUM_ARCS 138 SITEPROP SLICE_X17Y107 NUM_BELS 32 SITEPROP SLICE_X17Y107 NUM_INPUTS 32 SITEPROP SLICE_X17Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y107 NUM_PINS 45 SITEPROP SLICE_X17Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y107 PROHIBIT 0 SITEPROP SLICE_X17Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y107 RPM_X 59 SITEPROP SLICE_X17Y107 RPM_Y 214 SITEPROP SLICE_X17Y107 SITE_PIPS SITEPROP SLICE_X17Y107 SITE_TYPE SLICEL SITEPROP SLICE_X17Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y108 CLASS site SITEPROP SLICE_X17Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y108 IS_BONDED 0 SITEPROP SLICE_X17Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y108 IS_PAD 0 SITEPROP SLICE_X17Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y108 IS_RESERVED 0 SITEPROP SLICE_X17Y108 IS_TEST 0 SITEPROP SLICE_X17Y108 IS_USED 0 SITEPROP SLICE_X17Y108 MANUAL_ROUTING SITEPROP SLICE_X17Y108 NAME SLICE_X17Y108 SITEPROP SLICE_X17Y108 NUM_ARCS 138 SITEPROP SLICE_X17Y108 NUM_BELS 32 SITEPROP SLICE_X17Y108 NUM_INPUTS 32 SITEPROP SLICE_X17Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y108 NUM_PINS 45 SITEPROP SLICE_X17Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y108 PROHIBIT 0 SITEPROP SLICE_X17Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y108 RPM_X 59 SITEPROP SLICE_X17Y108 RPM_Y 216 SITEPROP SLICE_X17Y108 SITE_PIPS SITEPROP SLICE_X17Y108 SITE_TYPE SLICEL SITEPROP SLICE_X17Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y109 CLASS site SITEPROP SLICE_X17Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y109 IS_BONDED 0 SITEPROP SLICE_X17Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y109 IS_PAD 0 SITEPROP SLICE_X17Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y109 IS_RESERVED 0 SITEPROP SLICE_X17Y109 IS_TEST 0 SITEPROP SLICE_X17Y109 IS_USED 0 SITEPROP SLICE_X17Y109 MANUAL_ROUTING SITEPROP SLICE_X17Y109 NAME SLICE_X17Y109 SITEPROP SLICE_X17Y109 NUM_ARCS 138 SITEPROP SLICE_X17Y109 NUM_BELS 32 SITEPROP SLICE_X17Y109 NUM_INPUTS 32 SITEPROP SLICE_X17Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y109 NUM_PINS 45 SITEPROP SLICE_X17Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y109 PROHIBIT 0 SITEPROP SLICE_X17Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y109 RPM_X 59 SITEPROP SLICE_X17Y109 RPM_Y 218 SITEPROP SLICE_X17Y109 SITE_PIPS SITEPROP SLICE_X17Y109 SITE_TYPE SLICEL SITEPROP SLICE_X17Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y110 CLASS site SITEPROP SLICE_X17Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y110 IS_BONDED 0 SITEPROP SLICE_X17Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y110 IS_PAD 0 SITEPROP SLICE_X17Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y110 IS_RESERVED 0 SITEPROP SLICE_X17Y110 IS_TEST 0 SITEPROP SLICE_X17Y110 IS_USED 0 SITEPROP SLICE_X17Y110 MANUAL_ROUTING SITEPROP SLICE_X17Y110 NAME SLICE_X17Y110 SITEPROP SLICE_X17Y110 NUM_ARCS 138 SITEPROP SLICE_X17Y110 NUM_BELS 32 SITEPROP SLICE_X17Y110 NUM_INPUTS 32 SITEPROP SLICE_X17Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y110 NUM_PINS 45 SITEPROP SLICE_X17Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y110 PROHIBIT 0 SITEPROP SLICE_X17Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y110 RPM_X 59 SITEPROP SLICE_X17Y110 RPM_Y 220 SITEPROP SLICE_X17Y110 SITE_PIPS SITEPROP SLICE_X17Y110 SITE_TYPE SLICEL SITEPROP SLICE_X17Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y111 CLASS site SITEPROP SLICE_X17Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y111 IS_BONDED 0 SITEPROP SLICE_X17Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y111 IS_PAD 0 SITEPROP SLICE_X17Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y111 IS_RESERVED 0 SITEPROP SLICE_X17Y111 IS_TEST 0 SITEPROP SLICE_X17Y111 IS_USED 0 SITEPROP SLICE_X17Y111 MANUAL_ROUTING SITEPROP SLICE_X17Y111 NAME SLICE_X17Y111 SITEPROP SLICE_X17Y111 NUM_ARCS 138 SITEPROP SLICE_X17Y111 NUM_BELS 32 SITEPROP SLICE_X17Y111 NUM_INPUTS 32 SITEPROP SLICE_X17Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y111 NUM_PINS 45 SITEPROP SLICE_X17Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y111 PROHIBIT 0 SITEPROP SLICE_X17Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y111 RPM_X 59 SITEPROP SLICE_X17Y111 RPM_Y 222 SITEPROP SLICE_X17Y111 SITE_PIPS SITEPROP SLICE_X17Y111 SITE_TYPE SLICEL SITEPROP SLICE_X17Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y112 CLASS site SITEPROP SLICE_X17Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y112 IS_BONDED 0 SITEPROP SLICE_X17Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y112 IS_PAD 0 SITEPROP SLICE_X17Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y112 IS_RESERVED 0 SITEPROP SLICE_X17Y112 IS_TEST 0 SITEPROP SLICE_X17Y112 IS_USED 0 SITEPROP SLICE_X17Y112 MANUAL_ROUTING SITEPROP SLICE_X17Y112 NAME SLICE_X17Y112 SITEPROP SLICE_X17Y112 NUM_ARCS 138 SITEPROP SLICE_X17Y112 NUM_BELS 32 SITEPROP SLICE_X17Y112 NUM_INPUTS 32 SITEPROP SLICE_X17Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y112 NUM_PINS 45 SITEPROP SLICE_X17Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y112 PROHIBIT 0 SITEPROP SLICE_X17Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y112 RPM_X 59 SITEPROP SLICE_X17Y112 RPM_Y 224 SITEPROP SLICE_X17Y112 SITE_PIPS SITEPROP SLICE_X17Y112 SITE_TYPE SLICEL SITEPROP SLICE_X17Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y113 CLASS site SITEPROP SLICE_X17Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y113 IS_BONDED 0 SITEPROP SLICE_X17Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y113 IS_PAD 0 SITEPROP SLICE_X17Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y113 IS_RESERVED 0 SITEPROP SLICE_X17Y113 IS_TEST 0 SITEPROP SLICE_X17Y113 IS_USED 0 SITEPROP SLICE_X17Y113 MANUAL_ROUTING SITEPROP SLICE_X17Y113 NAME SLICE_X17Y113 SITEPROP SLICE_X17Y113 NUM_ARCS 138 SITEPROP SLICE_X17Y113 NUM_BELS 32 SITEPROP SLICE_X17Y113 NUM_INPUTS 32 SITEPROP SLICE_X17Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y113 NUM_PINS 45 SITEPROP SLICE_X17Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y113 PROHIBIT 0 SITEPROP SLICE_X17Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y113 RPM_X 59 SITEPROP SLICE_X17Y113 RPM_Y 226 SITEPROP SLICE_X17Y113 SITE_PIPS SITEPROP SLICE_X17Y113 SITE_TYPE SLICEL SITEPROP SLICE_X17Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y114 CLASS site SITEPROP SLICE_X17Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y114 IS_BONDED 0 SITEPROP SLICE_X17Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y114 IS_PAD 0 SITEPROP SLICE_X17Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y114 IS_RESERVED 0 SITEPROP SLICE_X17Y114 IS_TEST 0 SITEPROP SLICE_X17Y114 IS_USED 0 SITEPROP SLICE_X17Y114 MANUAL_ROUTING SITEPROP SLICE_X17Y114 NAME SLICE_X17Y114 SITEPROP SLICE_X17Y114 NUM_ARCS 138 SITEPROP SLICE_X17Y114 NUM_BELS 32 SITEPROP SLICE_X17Y114 NUM_INPUTS 32 SITEPROP SLICE_X17Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y114 NUM_PINS 45 SITEPROP SLICE_X17Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y114 PROHIBIT 0 SITEPROP SLICE_X17Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y114 RPM_X 59 SITEPROP SLICE_X17Y114 RPM_Y 228 SITEPROP SLICE_X17Y114 SITE_PIPS SITEPROP SLICE_X17Y114 SITE_TYPE SLICEL SITEPROP SLICE_X17Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y115 CLASS site SITEPROP SLICE_X17Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y115 IS_BONDED 0 SITEPROP SLICE_X17Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y115 IS_PAD 0 SITEPROP SLICE_X17Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y115 IS_RESERVED 0 SITEPROP SLICE_X17Y115 IS_TEST 0 SITEPROP SLICE_X17Y115 IS_USED 0 SITEPROP SLICE_X17Y115 MANUAL_ROUTING SITEPROP SLICE_X17Y115 NAME SLICE_X17Y115 SITEPROP SLICE_X17Y115 NUM_ARCS 138 SITEPROP SLICE_X17Y115 NUM_BELS 32 SITEPROP SLICE_X17Y115 NUM_INPUTS 32 SITEPROP SLICE_X17Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y115 NUM_PINS 45 SITEPROP SLICE_X17Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y115 PROHIBIT 0 SITEPROP SLICE_X17Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y115 RPM_X 59 SITEPROP SLICE_X17Y115 RPM_Y 230 SITEPROP SLICE_X17Y115 SITE_PIPS SITEPROP SLICE_X17Y115 SITE_TYPE SLICEL SITEPROP SLICE_X17Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y116 CLASS site SITEPROP SLICE_X17Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y116 IS_BONDED 0 SITEPROP SLICE_X17Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y116 IS_PAD 0 SITEPROP SLICE_X17Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y116 IS_RESERVED 0 SITEPROP SLICE_X17Y116 IS_TEST 0 SITEPROP SLICE_X17Y116 IS_USED 0 SITEPROP SLICE_X17Y116 MANUAL_ROUTING SITEPROP SLICE_X17Y116 NAME SLICE_X17Y116 SITEPROP SLICE_X17Y116 NUM_ARCS 138 SITEPROP SLICE_X17Y116 NUM_BELS 32 SITEPROP SLICE_X17Y116 NUM_INPUTS 32 SITEPROP SLICE_X17Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y116 NUM_PINS 45 SITEPROP SLICE_X17Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y116 PROHIBIT 0 SITEPROP SLICE_X17Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y116 RPM_X 59 SITEPROP SLICE_X17Y116 RPM_Y 232 SITEPROP SLICE_X17Y116 SITE_PIPS SITEPROP SLICE_X17Y116 SITE_TYPE SLICEL SITEPROP SLICE_X17Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y117 CLASS site SITEPROP SLICE_X17Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y117 IS_BONDED 0 SITEPROP SLICE_X17Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y117 IS_PAD 0 SITEPROP SLICE_X17Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y117 IS_RESERVED 0 SITEPROP SLICE_X17Y117 IS_TEST 0 SITEPROP SLICE_X17Y117 IS_USED 0 SITEPROP SLICE_X17Y117 MANUAL_ROUTING SITEPROP SLICE_X17Y117 NAME SLICE_X17Y117 SITEPROP SLICE_X17Y117 NUM_ARCS 138 SITEPROP SLICE_X17Y117 NUM_BELS 32 SITEPROP SLICE_X17Y117 NUM_INPUTS 32 SITEPROP SLICE_X17Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y117 NUM_PINS 45 SITEPROP SLICE_X17Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y117 PROHIBIT 0 SITEPROP SLICE_X17Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y117 RPM_X 59 SITEPROP SLICE_X17Y117 RPM_Y 234 SITEPROP SLICE_X17Y117 SITE_PIPS SITEPROP SLICE_X17Y117 SITE_TYPE SLICEL SITEPROP SLICE_X17Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y118 CLASS site SITEPROP SLICE_X17Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y118 IS_BONDED 0 SITEPROP SLICE_X17Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y118 IS_PAD 0 SITEPROP SLICE_X17Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y118 IS_RESERVED 0 SITEPROP SLICE_X17Y118 IS_TEST 0 SITEPROP SLICE_X17Y118 IS_USED 0 SITEPROP SLICE_X17Y118 MANUAL_ROUTING SITEPROP SLICE_X17Y118 NAME SLICE_X17Y118 SITEPROP SLICE_X17Y118 NUM_ARCS 138 SITEPROP SLICE_X17Y118 NUM_BELS 32 SITEPROP SLICE_X17Y118 NUM_INPUTS 32 SITEPROP SLICE_X17Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y118 NUM_PINS 45 SITEPROP SLICE_X17Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y118 PROHIBIT 0 SITEPROP SLICE_X17Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y118 RPM_X 59 SITEPROP SLICE_X17Y118 RPM_Y 236 SITEPROP SLICE_X17Y118 SITE_PIPS SITEPROP SLICE_X17Y118 SITE_TYPE SLICEL SITEPROP SLICE_X17Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y119 CLASS site SITEPROP SLICE_X17Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y119 IS_BONDED 0 SITEPROP SLICE_X17Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y119 IS_PAD 0 SITEPROP SLICE_X17Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y119 IS_RESERVED 0 SITEPROP SLICE_X17Y119 IS_TEST 0 SITEPROP SLICE_X17Y119 IS_USED 0 SITEPROP SLICE_X17Y119 MANUAL_ROUTING SITEPROP SLICE_X17Y119 NAME SLICE_X17Y119 SITEPROP SLICE_X17Y119 NUM_ARCS 138 SITEPROP SLICE_X17Y119 NUM_BELS 32 SITEPROP SLICE_X17Y119 NUM_INPUTS 32 SITEPROP SLICE_X17Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y119 NUM_PINS 45 SITEPROP SLICE_X17Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y119 PROHIBIT 0 SITEPROP SLICE_X17Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y119 RPM_X 59 SITEPROP SLICE_X17Y119 RPM_Y 238 SITEPROP SLICE_X17Y119 SITE_PIPS SITEPROP SLICE_X17Y119 SITE_TYPE SLICEL SITEPROP SLICE_X17Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y120 CLASS site SITEPROP SLICE_X17Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y120 IS_BONDED 0 SITEPROP SLICE_X17Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y120 IS_PAD 0 SITEPROP SLICE_X17Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y120 IS_RESERVED 0 SITEPROP SLICE_X17Y120 IS_TEST 0 SITEPROP SLICE_X17Y120 IS_USED 0 SITEPROP SLICE_X17Y120 MANUAL_ROUTING SITEPROP SLICE_X17Y120 NAME SLICE_X17Y120 SITEPROP SLICE_X17Y120 NUM_ARCS 138 SITEPROP SLICE_X17Y120 NUM_BELS 32 SITEPROP SLICE_X17Y120 NUM_INPUTS 32 SITEPROP SLICE_X17Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y120 NUM_PINS 45 SITEPROP SLICE_X17Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y120 PROHIBIT 0 SITEPROP SLICE_X17Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y120 RPM_X 59 SITEPROP SLICE_X17Y120 RPM_Y 240 SITEPROP SLICE_X17Y120 SITE_PIPS SITEPROP SLICE_X17Y120 SITE_TYPE SLICEL SITEPROP SLICE_X17Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y121 CLASS site SITEPROP SLICE_X17Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y121 IS_BONDED 0 SITEPROP SLICE_X17Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y121 IS_PAD 0 SITEPROP SLICE_X17Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y121 IS_RESERVED 0 SITEPROP SLICE_X17Y121 IS_TEST 0 SITEPROP SLICE_X17Y121 IS_USED 0 SITEPROP SLICE_X17Y121 MANUAL_ROUTING SITEPROP SLICE_X17Y121 NAME SLICE_X17Y121 SITEPROP SLICE_X17Y121 NUM_ARCS 138 SITEPROP SLICE_X17Y121 NUM_BELS 32 SITEPROP SLICE_X17Y121 NUM_INPUTS 32 SITEPROP SLICE_X17Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y121 NUM_PINS 45 SITEPROP SLICE_X17Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y121 PROHIBIT 0 SITEPROP SLICE_X17Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y121 RPM_X 59 SITEPROP SLICE_X17Y121 RPM_Y 242 SITEPROP SLICE_X17Y121 SITE_PIPS SITEPROP SLICE_X17Y121 SITE_TYPE SLICEL SITEPROP SLICE_X17Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y122 CLASS site SITEPROP SLICE_X17Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y122 IS_BONDED 0 SITEPROP SLICE_X17Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y122 IS_PAD 0 SITEPROP SLICE_X17Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y122 IS_RESERVED 0 SITEPROP SLICE_X17Y122 IS_TEST 0 SITEPROP SLICE_X17Y122 IS_USED 0 SITEPROP SLICE_X17Y122 MANUAL_ROUTING SITEPROP SLICE_X17Y122 NAME SLICE_X17Y122 SITEPROP SLICE_X17Y122 NUM_ARCS 138 SITEPROP SLICE_X17Y122 NUM_BELS 32 SITEPROP SLICE_X17Y122 NUM_INPUTS 32 SITEPROP SLICE_X17Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y122 NUM_PINS 45 SITEPROP SLICE_X17Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y122 PROHIBIT 0 SITEPROP SLICE_X17Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y122 RPM_X 59 SITEPROP SLICE_X17Y122 RPM_Y 244 SITEPROP SLICE_X17Y122 SITE_PIPS SITEPROP SLICE_X17Y122 SITE_TYPE SLICEL SITEPROP SLICE_X17Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y123 CLASS site SITEPROP SLICE_X17Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y123 IS_BONDED 0 SITEPROP SLICE_X17Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y123 IS_PAD 0 SITEPROP SLICE_X17Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y123 IS_RESERVED 0 SITEPROP SLICE_X17Y123 IS_TEST 0 SITEPROP SLICE_X17Y123 IS_USED 0 SITEPROP SLICE_X17Y123 MANUAL_ROUTING SITEPROP SLICE_X17Y123 NAME SLICE_X17Y123 SITEPROP SLICE_X17Y123 NUM_ARCS 138 SITEPROP SLICE_X17Y123 NUM_BELS 32 SITEPROP SLICE_X17Y123 NUM_INPUTS 32 SITEPROP SLICE_X17Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y123 NUM_PINS 45 SITEPROP SLICE_X17Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y123 PROHIBIT 0 SITEPROP SLICE_X17Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y123 RPM_X 59 SITEPROP SLICE_X17Y123 RPM_Y 246 SITEPROP SLICE_X17Y123 SITE_PIPS SITEPROP SLICE_X17Y123 SITE_TYPE SLICEL SITEPROP SLICE_X17Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y124 CLASS site SITEPROP SLICE_X17Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y124 IS_BONDED 0 SITEPROP SLICE_X17Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y124 IS_PAD 0 SITEPROP SLICE_X17Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y124 IS_RESERVED 0 SITEPROP SLICE_X17Y124 IS_TEST 0 SITEPROP SLICE_X17Y124 IS_USED 0 SITEPROP SLICE_X17Y124 MANUAL_ROUTING SITEPROP SLICE_X17Y124 NAME SLICE_X17Y124 SITEPROP SLICE_X17Y124 NUM_ARCS 138 SITEPROP SLICE_X17Y124 NUM_BELS 32 SITEPROP SLICE_X17Y124 NUM_INPUTS 32 SITEPROP SLICE_X17Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y124 NUM_PINS 45 SITEPROP SLICE_X17Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y124 PROHIBIT 0 SITEPROP SLICE_X17Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y124 RPM_X 59 SITEPROP SLICE_X17Y124 RPM_Y 248 SITEPROP SLICE_X17Y124 SITE_PIPS SITEPROP SLICE_X17Y124 SITE_TYPE SLICEL SITEPROP SLICE_X17Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y125 CLASS site SITEPROP SLICE_X17Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y125 IS_BONDED 0 SITEPROP SLICE_X17Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y125 IS_PAD 0 SITEPROP SLICE_X17Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y125 IS_RESERVED 0 SITEPROP SLICE_X17Y125 IS_TEST 0 SITEPROP SLICE_X17Y125 IS_USED 0 SITEPROP SLICE_X17Y125 MANUAL_ROUTING SITEPROP SLICE_X17Y125 NAME SLICE_X17Y125 SITEPROP SLICE_X17Y125 NUM_ARCS 138 SITEPROP SLICE_X17Y125 NUM_BELS 32 SITEPROP SLICE_X17Y125 NUM_INPUTS 32 SITEPROP SLICE_X17Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y125 NUM_PINS 45 SITEPROP SLICE_X17Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y125 PROHIBIT 0 SITEPROP SLICE_X17Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y125 RPM_X 59 SITEPROP SLICE_X17Y125 RPM_Y 250 SITEPROP SLICE_X17Y125 SITE_PIPS SITEPROP SLICE_X17Y125 SITE_TYPE SLICEL SITEPROP SLICE_X17Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y126 CLASS site SITEPROP SLICE_X17Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y126 IS_BONDED 0 SITEPROP SLICE_X17Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y126 IS_PAD 0 SITEPROP SLICE_X17Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y126 IS_RESERVED 0 SITEPROP SLICE_X17Y126 IS_TEST 0 SITEPROP SLICE_X17Y126 IS_USED 0 SITEPROP SLICE_X17Y126 MANUAL_ROUTING SITEPROP SLICE_X17Y126 NAME SLICE_X17Y126 SITEPROP SLICE_X17Y126 NUM_ARCS 138 SITEPROP SLICE_X17Y126 NUM_BELS 32 SITEPROP SLICE_X17Y126 NUM_INPUTS 32 SITEPROP SLICE_X17Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y126 NUM_PINS 45 SITEPROP SLICE_X17Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y126 PROHIBIT 0 SITEPROP SLICE_X17Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y126 RPM_X 59 SITEPROP SLICE_X17Y126 RPM_Y 252 SITEPROP SLICE_X17Y126 SITE_PIPS SITEPROP SLICE_X17Y126 SITE_TYPE SLICEL SITEPROP SLICE_X17Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y127 CLASS site SITEPROP SLICE_X17Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y127 IS_BONDED 0 SITEPROP SLICE_X17Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y127 IS_PAD 0 SITEPROP SLICE_X17Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y127 IS_RESERVED 0 SITEPROP SLICE_X17Y127 IS_TEST 0 SITEPROP SLICE_X17Y127 IS_USED 0 SITEPROP SLICE_X17Y127 MANUAL_ROUTING SITEPROP SLICE_X17Y127 NAME SLICE_X17Y127 SITEPROP SLICE_X17Y127 NUM_ARCS 138 SITEPROP SLICE_X17Y127 NUM_BELS 32 SITEPROP SLICE_X17Y127 NUM_INPUTS 32 SITEPROP SLICE_X17Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y127 NUM_PINS 45 SITEPROP SLICE_X17Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y127 PROHIBIT 0 SITEPROP SLICE_X17Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y127 RPM_X 59 SITEPROP SLICE_X17Y127 RPM_Y 254 SITEPROP SLICE_X17Y127 SITE_PIPS SITEPROP SLICE_X17Y127 SITE_TYPE SLICEL SITEPROP SLICE_X17Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y128 CLASS site SITEPROP SLICE_X17Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y128 IS_BONDED 0 SITEPROP SLICE_X17Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y128 IS_PAD 0 SITEPROP SLICE_X17Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y128 IS_RESERVED 0 SITEPROP SLICE_X17Y128 IS_TEST 0 SITEPROP SLICE_X17Y128 IS_USED 0 SITEPROP SLICE_X17Y128 MANUAL_ROUTING SITEPROP SLICE_X17Y128 NAME SLICE_X17Y128 SITEPROP SLICE_X17Y128 NUM_ARCS 138 SITEPROP SLICE_X17Y128 NUM_BELS 32 SITEPROP SLICE_X17Y128 NUM_INPUTS 32 SITEPROP SLICE_X17Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y128 NUM_PINS 45 SITEPROP SLICE_X17Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y128 PROHIBIT 0 SITEPROP SLICE_X17Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y128 RPM_X 59 SITEPROP SLICE_X17Y128 RPM_Y 256 SITEPROP SLICE_X17Y128 SITE_PIPS SITEPROP SLICE_X17Y128 SITE_TYPE SLICEL SITEPROP SLICE_X17Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y129 CLASS site SITEPROP SLICE_X17Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y129 IS_BONDED 0 SITEPROP SLICE_X17Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y129 IS_PAD 0 SITEPROP SLICE_X17Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y129 IS_RESERVED 0 SITEPROP SLICE_X17Y129 IS_TEST 0 SITEPROP SLICE_X17Y129 IS_USED 0 SITEPROP SLICE_X17Y129 MANUAL_ROUTING SITEPROP SLICE_X17Y129 NAME SLICE_X17Y129 SITEPROP SLICE_X17Y129 NUM_ARCS 138 SITEPROP SLICE_X17Y129 NUM_BELS 32 SITEPROP SLICE_X17Y129 NUM_INPUTS 32 SITEPROP SLICE_X17Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y129 NUM_PINS 45 SITEPROP SLICE_X17Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y129 PROHIBIT 0 SITEPROP SLICE_X17Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y129 RPM_X 59 SITEPROP SLICE_X17Y129 RPM_Y 258 SITEPROP SLICE_X17Y129 SITE_PIPS SITEPROP SLICE_X17Y129 SITE_TYPE SLICEL SITEPROP SLICE_X17Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y130 CLASS site SITEPROP SLICE_X17Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y130 IS_BONDED 0 SITEPROP SLICE_X17Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y130 IS_PAD 0 SITEPROP SLICE_X17Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y130 IS_RESERVED 0 SITEPROP SLICE_X17Y130 IS_TEST 0 SITEPROP SLICE_X17Y130 IS_USED 0 SITEPROP SLICE_X17Y130 MANUAL_ROUTING SITEPROP SLICE_X17Y130 NAME SLICE_X17Y130 SITEPROP SLICE_X17Y130 NUM_ARCS 138 SITEPROP SLICE_X17Y130 NUM_BELS 32 SITEPROP SLICE_X17Y130 NUM_INPUTS 32 SITEPROP SLICE_X17Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y130 NUM_PINS 45 SITEPROP SLICE_X17Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y130 PROHIBIT 0 SITEPROP SLICE_X17Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y130 RPM_X 59 SITEPROP SLICE_X17Y130 RPM_Y 260 SITEPROP SLICE_X17Y130 SITE_PIPS SITEPROP SLICE_X17Y130 SITE_TYPE SLICEL SITEPROP SLICE_X17Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y131 CLASS site SITEPROP SLICE_X17Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y131 IS_BONDED 0 SITEPROP SLICE_X17Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y131 IS_PAD 0 SITEPROP SLICE_X17Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y131 IS_RESERVED 0 SITEPROP SLICE_X17Y131 IS_TEST 0 SITEPROP SLICE_X17Y131 IS_USED 0 SITEPROP SLICE_X17Y131 MANUAL_ROUTING SITEPROP SLICE_X17Y131 NAME SLICE_X17Y131 SITEPROP SLICE_X17Y131 NUM_ARCS 138 SITEPROP SLICE_X17Y131 NUM_BELS 32 SITEPROP SLICE_X17Y131 NUM_INPUTS 32 SITEPROP SLICE_X17Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y131 NUM_PINS 45 SITEPROP SLICE_X17Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y131 PROHIBIT 0 SITEPROP SLICE_X17Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y131 RPM_X 59 SITEPROP SLICE_X17Y131 RPM_Y 262 SITEPROP SLICE_X17Y131 SITE_PIPS SITEPROP SLICE_X17Y131 SITE_TYPE SLICEL SITEPROP SLICE_X17Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y132 CLASS site SITEPROP SLICE_X17Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y132 IS_BONDED 0 SITEPROP SLICE_X17Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y132 IS_PAD 0 SITEPROP SLICE_X17Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y132 IS_RESERVED 0 SITEPROP SLICE_X17Y132 IS_TEST 0 SITEPROP SLICE_X17Y132 IS_USED 0 SITEPROP SLICE_X17Y132 MANUAL_ROUTING SITEPROP SLICE_X17Y132 NAME SLICE_X17Y132 SITEPROP SLICE_X17Y132 NUM_ARCS 138 SITEPROP SLICE_X17Y132 NUM_BELS 32 SITEPROP SLICE_X17Y132 NUM_INPUTS 32 SITEPROP SLICE_X17Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y132 NUM_PINS 45 SITEPROP SLICE_X17Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y132 PROHIBIT 0 SITEPROP SLICE_X17Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y132 RPM_X 59 SITEPROP SLICE_X17Y132 RPM_Y 264 SITEPROP SLICE_X17Y132 SITE_PIPS SITEPROP SLICE_X17Y132 SITE_TYPE SLICEL SITEPROP SLICE_X17Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y133 CLASS site SITEPROP SLICE_X17Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y133 IS_BONDED 0 SITEPROP SLICE_X17Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y133 IS_PAD 0 SITEPROP SLICE_X17Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y133 IS_RESERVED 0 SITEPROP SLICE_X17Y133 IS_TEST 0 SITEPROP SLICE_X17Y133 IS_USED 0 SITEPROP SLICE_X17Y133 MANUAL_ROUTING SITEPROP SLICE_X17Y133 NAME SLICE_X17Y133 SITEPROP SLICE_X17Y133 NUM_ARCS 138 SITEPROP SLICE_X17Y133 NUM_BELS 32 SITEPROP SLICE_X17Y133 NUM_INPUTS 32 SITEPROP SLICE_X17Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y133 NUM_PINS 45 SITEPROP SLICE_X17Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y133 PROHIBIT 0 SITEPROP SLICE_X17Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y133 RPM_X 59 SITEPROP SLICE_X17Y133 RPM_Y 266 SITEPROP SLICE_X17Y133 SITE_PIPS SITEPROP SLICE_X17Y133 SITE_TYPE SLICEL SITEPROP SLICE_X17Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y134 CLASS site SITEPROP SLICE_X17Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y134 IS_BONDED 0 SITEPROP SLICE_X17Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y134 IS_PAD 0 SITEPROP SLICE_X17Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y134 IS_RESERVED 0 SITEPROP SLICE_X17Y134 IS_TEST 0 SITEPROP SLICE_X17Y134 IS_USED 0 SITEPROP SLICE_X17Y134 MANUAL_ROUTING SITEPROP SLICE_X17Y134 NAME SLICE_X17Y134 SITEPROP SLICE_X17Y134 NUM_ARCS 138 SITEPROP SLICE_X17Y134 NUM_BELS 32 SITEPROP SLICE_X17Y134 NUM_INPUTS 32 SITEPROP SLICE_X17Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y134 NUM_PINS 45 SITEPROP SLICE_X17Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y134 PROHIBIT 0 SITEPROP SLICE_X17Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y134 RPM_X 59 SITEPROP SLICE_X17Y134 RPM_Y 268 SITEPROP SLICE_X17Y134 SITE_PIPS SITEPROP SLICE_X17Y134 SITE_TYPE SLICEL SITEPROP SLICE_X17Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y135 CLASS site SITEPROP SLICE_X17Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y135 IS_BONDED 0 SITEPROP SLICE_X17Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y135 IS_PAD 0 SITEPROP SLICE_X17Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y135 IS_RESERVED 0 SITEPROP SLICE_X17Y135 IS_TEST 0 SITEPROP SLICE_X17Y135 IS_USED 0 SITEPROP SLICE_X17Y135 MANUAL_ROUTING SITEPROP SLICE_X17Y135 NAME SLICE_X17Y135 SITEPROP SLICE_X17Y135 NUM_ARCS 138 SITEPROP SLICE_X17Y135 NUM_BELS 32 SITEPROP SLICE_X17Y135 NUM_INPUTS 32 SITEPROP SLICE_X17Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y135 NUM_PINS 45 SITEPROP SLICE_X17Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y135 PROHIBIT 0 SITEPROP SLICE_X17Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y135 RPM_X 59 SITEPROP SLICE_X17Y135 RPM_Y 270 SITEPROP SLICE_X17Y135 SITE_PIPS SITEPROP SLICE_X17Y135 SITE_TYPE SLICEL SITEPROP SLICE_X17Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y136 CLASS site SITEPROP SLICE_X17Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y136 IS_BONDED 0 SITEPROP SLICE_X17Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y136 IS_PAD 0 SITEPROP SLICE_X17Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y136 IS_RESERVED 0 SITEPROP SLICE_X17Y136 IS_TEST 0 SITEPROP SLICE_X17Y136 IS_USED 0 SITEPROP SLICE_X17Y136 MANUAL_ROUTING SITEPROP SLICE_X17Y136 NAME SLICE_X17Y136 SITEPROP SLICE_X17Y136 NUM_ARCS 138 SITEPROP SLICE_X17Y136 NUM_BELS 32 SITEPROP SLICE_X17Y136 NUM_INPUTS 32 SITEPROP SLICE_X17Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y136 NUM_PINS 45 SITEPROP SLICE_X17Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y136 PROHIBIT 0 SITEPROP SLICE_X17Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y136 RPM_X 59 SITEPROP SLICE_X17Y136 RPM_Y 272 SITEPROP SLICE_X17Y136 SITE_PIPS SITEPROP SLICE_X17Y136 SITE_TYPE SLICEL SITEPROP SLICE_X17Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y137 CLASS site SITEPROP SLICE_X17Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y137 IS_BONDED 0 SITEPROP SLICE_X17Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y137 IS_PAD 0 SITEPROP SLICE_X17Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y137 IS_RESERVED 0 SITEPROP SLICE_X17Y137 IS_TEST 0 SITEPROP SLICE_X17Y137 IS_USED 0 SITEPROP SLICE_X17Y137 MANUAL_ROUTING SITEPROP SLICE_X17Y137 NAME SLICE_X17Y137 SITEPROP SLICE_X17Y137 NUM_ARCS 138 SITEPROP SLICE_X17Y137 NUM_BELS 32 SITEPROP SLICE_X17Y137 NUM_INPUTS 32 SITEPROP SLICE_X17Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y137 NUM_PINS 45 SITEPROP SLICE_X17Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y137 PROHIBIT 0 SITEPROP SLICE_X17Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y137 RPM_X 59 SITEPROP SLICE_X17Y137 RPM_Y 274 SITEPROP SLICE_X17Y137 SITE_PIPS SITEPROP SLICE_X17Y137 SITE_TYPE SLICEL SITEPROP SLICE_X17Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y138 CLASS site SITEPROP SLICE_X17Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y138 IS_BONDED 0 SITEPROP SLICE_X17Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y138 IS_PAD 0 SITEPROP SLICE_X17Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y138 IS_RESERVED 0 SITEPROP SLICE_X17Y138 IS_TEST 0 SITEPROP SLICE_X17Y138 IS_USED 0 SITEPROP SLICE_X17Y138 MANUAL_ROUTING SITEPROP SLICE_X17Y138 NAME SLICE_X17Y138 SITEPROP SLICE_X17Y138 NUM_ARCS 138 SITEPROP SLICE_X17Y138 NUM_BELS 32 SITEPROP SLICE_X17Y138 NUM_INPUTS 32 SITEPROP SLICE_X17Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y138 NUM_PINS 45 SITEPROP SLICE_X17Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y138 PROHIBIT 0 SITEPROP SLICE_X17Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y138 RPM_X 59 SITEPROP SLICE_X17Y138 RPM_Y 276 SITEPROP SLICE_X17Y138 SITE_PIPS SITEPROP SLICE_X17Y138 SITE_TYPE SLICEL SITEPROP SLICE_X17Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y139 CLASS site SITEPROP SLICE_X17Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y139 IS_BONDED 0 SITEPROP SLICE_X17Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y139 IS_PAD 0 SITEPROP SLICE_X17Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y139 IS_RESERVED 0 SITEPROP SLICE_X17Y139 IS_TEST 0 SITEPROP SLICE_X17Y139 IS_USED 0 SITEPROP SLICE_X17Y139 MANUAL_ROUTING SITEPROP SLICE_X17Y139 NAME SLICE_X17Y139 SITEPROP SLICE_X17Y139 NUM_ARCS 138 SITEPROP SLICE_X17Y139 NUM_BELS 32 SITEPROP SLICE_X17Y139 NUM_INPUTS 32 SITEPROP SLICE_X17Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y139 NUM_PINS 45 SITEPROP SLICE_X17Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y139 PROHIBIT 0 SITEPROP SLICE_X17Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y139 RPM_X 59 SITEPROP SLICE_X17Y139 RPM_Y 278 SITEPROP SLICE_X17Y139 SITE_PIPS SITEPROP SLICE_X17Y139 SITE_TYPE SLICEL SITEPROP SLICE_X17Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y140 CLASS site SITEPROP SLICE_X17Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y140 IS_BONDED 0 SITEPROP SLICE_X17Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y140 IS_PAD 0 SITEPROP SLICE_X17Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y140 IS_RESERVED 0 SITEPROP SLICE_X17Y140 IS_TEST 0 SITEPROP SLICE_X17Y140 IS_USED 0 SITEPROP SLICE_X17Y140 MANUAL_ROUTING SITEPROP SLICE_X17Y140 NAME SLICE_X17Y140 SITEPROP SLICE_X17Y140 NUM_ARCS 138 SITEPROP SLICE_X17Y140 NUM_BELS 32 SITEPROP SLICE_X17Y140 NUM_INPUTS 32 SITEPROP SLICE_X17Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y140 NUM_PINS 45 SITEPROP SLICE_X17Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y140 PROHIBIT 0 SITEPROP SLICE_X17Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y140 RPM_X 59 SITEPROP SLICE_X17Y140 RPM_Y 280 SITEPROP SLICE_X17Y140 SITE_PIPS SITEPROP SLICE_X17Y140 SITE_TYPE SLICEL SITEPROP SLICE_X17Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y141 CLASS site SITEPROP SLICE_X17Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y141 IS_BONDED 0 SITEPROP SLICE_X17Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y141 IS_PAD 0 SITEPROP SLICE_X17Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y141 IS_RESERVED 0 SITEPROP SLICE_X17Y141 IS_TEST 0 SITEPROP SLICE_X17Y141 IS_USED 0 SITEPROP SLICE_X17Y141 MANUAL_ROUTING SITEPROP SLICE_X17Y141 NAME SLICE_X17Y141 SITEPROP SLICE_X17Y141 NUM_ARCS 138 SITEPROP SLICE_X17Y141 NUM_BELS 32 SITEPROP SLICE_X17Y141 NUM_INPUTS 32 SITEPROP SLICE_X17Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y141 NUM_PINS 45 SITEPROP SLICE_X17Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y141 PROHIBIT 0 SITEPROP SLICE_X17Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y141 RPM_X 59 SITEPROP SLICE_X17Y141 RPM_Y 282 SITEPROP SLICE_X17Y141 SITE_PIPS SITEPROP SLICE_X17Y141 SITE_TYPE SLICEL SITEPROP SLICE_X17Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y142 CLASS site SITEPROP SLICE_X17Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y142 IS_BONDED 0 SITEPROP SLICE_X17Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y142 IS_PAD 0 SITEPROP SLICE_X17Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y142 IS_RESERVED 0 SITEPROP SLICE_X17Y142 IS_TEST 0 SITEPROP SLICE_X17Y142 IS_USED 0 SITEPROP SLICE_X17Y142 MANUAL_ROUTING SITEPROP SLICE_X17Y142 NAME SLICE_X17Y142 SITEPROP SLICE_X17Y142 NUM_ARCS 138 SITEPROP SLICE_X17Y142 NUM_BELS 32 SITEPROP SLICE_X17Y142 NUM_INPUTS 32 SITEPROP SLICE_X17Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y142 NUM_PINS 45 SITEPROP SLICE_X17Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y142 PROHIBIT 0 SITEPROP SLICE_X17Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y142 RPM_X 59 SITEPROP SLICE_X17Y142 RPM_Y 284 SITEPROP SLICE_X17Y142 SITE_PIPS SITEPROP SLICE_X17Y142 SITE_TYPE SLICEL SITEPROP SLICE_X17Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y143 CLASS site SITEPROP SLICE_X17Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y143 IS_BONDED 0 SITEPROP SLICE_X17Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y143 IS_PAD 0 SITEPROP SLICE_X17Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y143 IS_RESERVED 0 SITEPROP SLICE_X17Y143 IS_TEST 0 SITEPROP SLICE_X17Y143 IS_USED 0 SITEPROP SLICE_X17Y143 MANUAL_ROUTING SITEPROP SLICE_X17Y143 NAME SLICE_X17Y143 SITEPROP SLICE_X17Y143 NUM_ARCS 138 SITEPROP SLICE_X17Y143 NUM_BELS 32 SITEPROP SLICE_X17Y143 NUM_INPUTS 32 SITEPROP SLICE_X17Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y143 NUM_PINS 45 SITEPROP SLICE_X17Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y143 PROHIBIT 0 SITEPROP SLICE_X17Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y143 RPM_X 59 SITEPROP SLICE_X17Y143 RPM_Y 286 SITEPROP SLICE_X17Y143 SITE_PIPS SITEPROP SLICE_X17Y143 SITE_TYPE SLICEL SITEPROP SLICE_X17Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y144 CLASS site SITEPROP SLICE_X17Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y144 IS_BONDED 0 SITEPROP SLICE_X17Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y144 IS_PAD 0 SITEPROP SLICE_X17Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y144 IS_RESERVED 0 SITEPROP SLICE_X17Y144 IS_TEST 0 SITEPROP SLICE_X17Y144 IS_USED 0 SITEPROP SLICE_X17Y144 MANUAL_ROUTING SITEPROP SLICE_X17Y144 NAME SLICE_X17Y144 SITEPROP SLICE_X17Y144 NUM_ARCS 138 SITEPROP SLICE_X17Y144 NUM_BELS 32 SITEPROP SLICE_X17Y144 NUM_INPUTS 32 SITEPROP SLICE_X17Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y144 NUM_PINS 45 SITEPROP SLICE_X17Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y144 PROHIBIT 0 SITEPROP SLICE_X17Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y144 RPM_X 59 SITEPROP SLICE_X17Y144 RPM_Y 288 SITEPROP SLICE_X17Y144 SITE_PIPS SITEPROP SLICE_X17Y144 SITE_TYPE SLICEL SITEPROP SLICE_X17Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y145 CLASS site SITEPROP SLICE_X17Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y145 IS_BONDED 0 SITEPROP SLICE_X17Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y145 IS_PAD 0 SITEPROP SLICE_X17Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y145 IS_RESERVED 0 SITEPROP SLICE_X17Y145 IS_TEST 0 SITEPROP SLICE_X17Y145 IS_USED 0 SITEPROP SLICE_X17Y145 MANUAL_ROUTING SITEPROP SLICE_X17Y145 NAME SLICE_X17Y145 SITEPROP SLICE_X17Y145 NUM_ARCS 138 SITEPROP SLICE_X17Y145 NUM_BELS 32 SITEPROP SLICE_X17Y145 NUM_INPUTS 32 SITEPROP SLICE_X17Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y145 NUM_PINS 45 SITEPROP SLICE_X17Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y145 PROHIBIT 0 SITEPROP SLICE_X17Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y145 RPM_X 59 SITEPROP SLICE_X17Y145 RPM_Y 290 SITEPROP SLICE_X17Y145 SITE_PIPS SITEPROP SLICE_X17Y145 SITE_TYPE SLICEL SITEPROP SLICE_X17Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y146 CLASS site SITEPROP SLICE_X17Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y146 IS_BONDED 0 SITEPROP SLICE_X17Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y146 IS_PAD 0 SITEPROP SLICE_X17Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y146 IS_RESERVED 0 SITEPROP SLICE_X17Y146 IS_TEST 0 SITEPROP SLICE_X17Y146 IS_USED 0 SITEPROP SLICE_X17Y146 MANUAL_ROUTING SITEPROP SLICE_X17Y146 NAME SLICE_X17Y146 SITEPROP SLICE_X17Y146 NUM_ARCS 138 SITEPROP SLICE_X17Y146 NUM_BELS 32 SITEPROP SLICE_X17Y146 NUM_INPUTS 32 SITEPROP SLICE_X17Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y146 NUM_PINS 45 SITEPROP SLICE_X17Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y146 PROHIBIT 0 SITEPROP SLICE_X17Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y146 RPM_X 59 SITEPROP SLICE_X17Y146 RPM_Y 292 SITEPROP SLICE_X17Y146 SITE_PIPS SITEPROP SLICE_X17Y146 SITE_TYPE SLICEL SITEPROP SLICE_X17Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y147 CLASS site SITEPROP SLICE_X17Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y147 IS_BONDED 0 SITEPROP SLICE_X17Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y147 IS_PAD 0 SITEPROP SLICE_X17Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y147 IS_RESERVED 0 SITEPROP SLICE_X17Y147 IS_TEST 0 SITEPROP SLICE_X17Y147 IS_USED 0 SITEPROP SLICE_X17Y147 MANUAL_ROUTING SITEPROP SLICE_X17Y147 NAME SLICE_X17Y147 SITEPROP SLICE_X17Y147 NUM_ARCS 138 SITEPROP SLICE_X17Y147 NUM_BELS 32 SITEPROP SLICE_X17Y147 NUM_INPUTS 32 SITEPROP SLICE_X17Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y147 NUM_PINS 45 SITEPROP SLICE_X17Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y147 PROHIBIT 0 SITEPROP SLICE_X17Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y147 RPM_X 59 SITEPROP SLICE_X17Y147 RPM_Y 294 SITEPROP SLICE_X17Y147 SITE_PIPS SITEPROP SLICE_X17Y147 SITE_TYPE SLICEL SITEPROP SLICE_X17Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y148 CLASS site SITEPROP SLICE_X17Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y148 IS_BONDED 0 SITEPROP SLICE_X17Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y148 IS_PAD 0 SITEPROP SLICE_X17Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y148 IS_RESERVED 0 SITEPROP SLICE_X17Y148 IS_TEST 0 SITEPROP SLICE_X17Y148 IS_USED 0 SITEPROP SLICE_X17Y148 MANUAL_ROUTING SITEPROP SLICE_X17Y148 NAME SLICE_X17Y148 SITEPROP SLICE_X17Y148 NUM_ARCS 138 SITEPROP SLICE_X17Y148 NUM_BELS 32 SITEPROP SLICE_X17Y148 NUM_INPUTS 32 SITEPROP SLICE_X17Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y148 NUM_PINS 45 SITEPROP SLICE_X17Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y148 PROHIBIT 0 SITEPROP SLICE_X17Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y148 RPM_X 59 SITEPROP SLICE_X17Y148 RPM_Y 296 SITEPROP SLICE_X17Y148 SITE_PIPS SITEPROP SLICE_X17Y148 SITE_TYPE SLICEL SITEPROP SLICE_X17Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X17Y149 CLASS site SITEPROP SLICE_X17Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X17Y149 IS_BONDED 0 SITEPROP SLICE_X17Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X17Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y149 IS_PAD 0 SITEPROP SLICE_X17Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X17Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X17Y149 IS_RESERVED 0 SITEPROP SLICE_X17Y149 IS_TEST 0 SITEPROP SLICE_X17Y149 IS_USED 0 SITEPROP SLICE_X17Y149 MANUAL_ROUTING SITEPROP SLICE_X17Y149 NAME SLICE_X17Y149 SITEPROP SLICE_X17Y149 NUM_ARCS 138 SITEPROP SLICE_X17Y149 NUM_BELS 32 SITEPROP SLICE_X17Y149 NUM_INPUTS 32 SITEPROP SLICE_X17Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X17Y149 NUM_PINS 45 SITEPROP SLICE_X17Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X17Y149 PROHIBIT 0 SITEPROP SLICE_X17Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X17Y149 RPM_X 59 SITEPROP SLICE_X17Y149 RPM_Y 298 SITEPROP SLICE_X17Y149 SITE_PIPS SITEPROP SLICE_X17Y149 SITE_TYPE SLICEL SITEPROP SLICE_X18Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y100 CLASS site SITEPROP SLICE_X18Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y100 IS_BONDED 0 SITEPROP SLICE_X18Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y100 IS_PAD 0 SITEPROP SLICE_X18Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y100 IS_RESERVED 0 SITEPROP SLICE_X18Y100 IS_TEST 0 SITEPROP SLICE_X18Y100 IS_USED 0 SITEPROP SLICE_X18Y100 MANUAL_ROUTING SITEPROP SLICE_X18Y100 NAME SLICE_X18Y100 SITEPROP SLICE_X18Y100 NUM_ARCS 138 SITEPROP SLICE_X18Y100 NUM_BELS 32 SITEPROP SLICE_X18Y100 NUM_INPUTS 32 SITEPROP SLICE_X18Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y100 NUM_PINS 45 SITEPROP SLICE_X18Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y100 PROHIBIT 0 SITEPROP SLICE_X18Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y100 RPM_X 61 SITEPROP SLICE_X18Y100 RPM_Y 200 SITEPROP SLICE_X18Y100 SITE_PIPS SITEPROP SLICE_X18Y100 SITE_TYPE SLICEL SITEPROP SLICE_X18Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y101 CLASS site SITEPROP SLICE_X18Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y101 IS_BONDED 0 SITEPROP SLICE_X18Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y101 IS_PAD 0 SITEPROP SLICE_X18Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y101 IS_RESERVED 0 SITEPROP SLICE_X18Y101 IS_TEST 0 SITEPROP SLICE_X18Y101 IS_USED 0 SITEPROP SLICE_X18Y101 MANUAL_ROUTING SITEPROP SLICE_X18Y101 NAME SLICE_X18Y101 SITEPROP SLICE_X18Y101 NUM_ARCS 138 SITEPROP SLICE_X18Y101 NUM_BELS 32 SITEPROP SLICE_X18Y101 NUM_INPUTS 32 SITEPROP SLICE_X18Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y101 NUM_PINS 45 SITEPROP SLICE_X18Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y101 PROHIBIT 0 SITEPROP SLICE_X18Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y101 RPM_X 61 SITEPROP SLICE_X18Y101 RPM_Y 202 SITEPROP SLICE_X18Y101 SITE_PIPS SITEPROP SLICE_X18Y101 SITE_TYPE SLICEL SITEPROP SLICE_X18Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y102 CLASS site SITEPROP SLICE_X18Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y102 IS_BONDED 0 SITEPROP SLICE_X18Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y102 IS_PAD 0 SITEPROP SLICE_X18Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y102 IS_RESERVED 0 SITEPROP SLICE_X18Y102 IS_TEST 0 SITEPROP SLICE_X18Y102 IS_USED 0 SITEPROP SLICE_X18Y102 MANUAL_ROUTING SITEPROP SLICE_X18Y102 NAME SLICE_X18Y102 SITEPROP SLICE_X18Y102 NUM_ARCS 138 SITEPROP SLICE_X18Y102 NUM_BELS 32 SITEPROP SLICE_X18Y102 NUM_INPUTS 32 SITEPROP SLICE_X18Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y102 NUM_PINS 45 SITEPROP SLICE_X18Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y102 PROHIBIT 0 SITEPROP SLICE_X18Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y102 RPM_X 61 SITEPROP SLICE_X18Y102 RPM_Y 204 SITEPROP SLICE_X18Y102 SITE_PIPS SITEPROP SLICE_X18Y102 SITE_TYPE SLICEL SITEPROP SLICE_X18Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y103 CLASS site SITEPROP SLICE_X18Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y103 IS_BONDED 0 SITEPROP SLICE_X18Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y103 IS_PAD 0 SITEPROP SLICE_X18Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y103 IS_RESERVED 0 SITEPROP SLICE_X18Y103 IS_TEST 0 SITEPROP SLICE_X18Y103 IS_USED 0 SITEPROP SLICE_X18Y103 MANUAL_ROUTING SITEPROP SLICE_X18Y103 NAME SLICE_X18Y103 SITEPROP SLICE_X18Y103 NUM_ARCS 138 SITEPROP SLICE_X18Y103 NUM_BELS 32 SITEPROP SLICE_X18Y103 NUM_INPUTS 32 SITEPROP SLICE_X18Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y103 NUM_PINS 45 SITEPROP SLICE_X18Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y103 PROHIBIT 0 SITEPROP SLICE_X18Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y103 RPM_X 61 SITEPROP SLICE_X18Y103 RPM_Y 206 SITEPROP SLICE_X18Y103 SITE_PIPS SITEPROP SLICE_X18Y103 SITE_TYPE SLICEL SITEPROP SLICE_X18Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y104 CLASS site SITEPROP SLICE_X18Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y104 IS_BONDED 0 SITEPROP SLICE_X18Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y104 IS_PAD 0 SITEPROP SLICE_X18Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y104 IS_RESERVED 0 SITEPROP SLICE_X18Y104 IS_TEST 0 SITEPROP SLICE_X18Y104 IS_USED 0 SITEPROP SLICE_X18Y104 MANUAL_ROUTING SITEPROP SLICE_X18Y104 NAME SLICE_X18Y104 SITEPROP SLICE_X18Y104 NUM_ARCS 138 SITEPROP SLICE_X18Y104 NUM_BELS 32 SITEPROP SLICE_X18Y104 NUM_INPUTS 32 SITEPROP SLICE_X18Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y104 NUM_PINS 45 SITEPROP SLICE_X18Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y104 PROHIBIT 0 SITEPROP SLICE_X18Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y104 RPM_X 61 SITEPROP SLICE_X18Y104 RPM_Y 208 SITEPROP SLICE_X18Y104 SITE_PIPS SITEPROP SLICE_X18Y104 SITE_TYPE SLICEL SITEPROP SLICE_X18Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y105 CLASS site SITEPROP SLICE_X18Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y105 IS_BONDED 0 SITEPROP SLICE_X18Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y105 IS_PAD 0 SITEPROP SLICE_X18Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y105 IS_RESERVED 0 SITEPROP SLICE_X18Y105 IS_TEST 0 SITEPROP SLICE_X18Y105 IS_USED 0 SITEPROP SLICE_X18Y105 MANUAL_ROUTING SITEPROP SLICE_X18Y105 NAME SLICE_X18Y105 SITEPROP SLICE_X18Y105 NUM_ARCS 138 SITEPROP SLICE_X18Y105 NUM_BELS 32 SITEPROP SLICE_X18Y105 NUM_INPUTS 32 SITEPROP SLICE_X18Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y105 NUM_PINS 45 SITEPROP SLICE_X18Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y105 PROHIBIT 0 SITEPROP SLICE_X18Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y105 RPM_X 61 SITEPROP SLICE_X18Y105 RPM_Y 210 SITEPROP SLICE_X18Y105 SITE_PIPS SITEPROP SLICE_X18Y105 SITE_TYPE SLICEL SITEPROP SLICE_X18Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y106 CLASS site SITEPROP SLICE_X18Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y106 IS_BONDED 0 SITEPROP SLICE_X18Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y106 IS_PAD 0 SITEPROP SLICE_X18Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y106 IS_RESERVED 0 SITEPROP SLICE_X18Y106 IS_TEST 0 SITEPROP SLICE_X18Y106 IS_USED 0 SITEPROP SLICE_X18Y106 MANUAL_ROUTING SITEPROP SLICE_X18Y106 NAME SLICE_X18Y106 SITEPROP SLICE_X18Y106 NUM_ARCS 138 SITEPROP SLICE_X18Y106 NUM_BELS 32 SITEPROP SLICE_X18Y106 NUM_INPUTS 32 SITEPROP SLICE_X18Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y106 NUM_PINS 45 SITEPROP SLICE_X18Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y106 PROHIBIT 0 SITEPROP SLICE_X18Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y106 RPM_X 61 SITEPROP SLICE_X18Y106 RPM_Y 212 SITEPROP SLICE_X18Y106 SITE_PIPS SITEPROP SLICE_X18Y106 SITE_TYPE SLICEL SITEPROP SLICE_X18Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y107 CLASS site SITEPROP SLICE_X18Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y107 IS_BONDED 0 SITEPROP SLICE_X18Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y107 IS_PAD 0 SITEPROP SLICE_X18Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y107 IS_RESERVED 0 SITEPROP SLICE_X18Y107 IS_TEST 0 SITEPROP SLICE_X18Y107 IS_USED 0 SITEPROP SLICE_X18Y107 MANUAL_ROUTING SITEPROP SLICE_X18Y107 NAME SLICE_X18Y107 SITEPROP SLICE_X18Y107 NUM_ARCS 138 SITEPROP SLICE_X18Y107 NUM_BELS 32 SITEPROP SLICE_X18Y107 NUM_INPUTS 32 SITEPROP SLICE_X18Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y107 NUM_PINS 45 SITEPROP SLICE_X18Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y107 PROHIBIT 0 SITEPROP SLICE_X18Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y107 RPM_X 61 SITEPROP SLICE_X18Y107 RPM_Y 214 SITEPROP SLICE_X18Y107 SITE_PIPS SITEPROP SLICE_X18Y107 SITE_TYPE SLICEL SITEPROP SLICE_X18Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y108 CLASS site SITEPROP SLICE_X18Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y108 IS_BONDED 0 SITEPROP SLICE_X18Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y108 IS_PAD 0 SITEPROP SLICE_X18Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y108 IS_RESERVED 0 SITEPROP SLICE_X18Y108 IS_TEST 0 SITEPROP SLICE_X18Y108 IS_USED 0 SITEPROP SLICE_X18Y108 MANUAL_ROUTING SITEPROP SLICE_X18Y108 NAME SLICE_X18Y108 SITEPROP SLICE_X18Y108 NUM_ARCS 138 SITEPROP SLICE_X18Y108 NUM_BELS 32 SITEPROP SLICE_X18Y108 NUM_INPUTS 32 SITEPROP SLICE_X18Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y108 NUM_PINS 45 SITEPROP SLICE_X18Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y108 PROHIBIT 0 SITEPROP SLICE_X18Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y108 RPM_X 61 SITEPROP SLICE_X18Y108 RPM_Y 216 SITEPROP SLICE_X18Y108 SITE_PIPS SITEPROP SLICE_X18Y108 SITE_TYPE SLICEL SITEPROP SLICE_X18Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y109 CLASS site SITEPROP SLICE_X18Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y109 IS_BONDED 0 SITEPROP SLICE_X18Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y109 IS_PAD 0 SITEPROP SLICE_X18Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y109 IS_RESERVED 0 SITEPROP SLICE_X18Y109 IS_TEST 0 SITEPROP SLICE_X18Y109 IS_USED 0 SITEPROP SLICE_X18Y109 MANUAL_ROUTING SITEPROP SLICE_X18Y109 NAME SLICE_X18Y109 SITEPROP SLICE_X18Y109 NUM_ARCS 138 SITEPROP SLICE_X18Y109 NUM_BELS 32 SITEPROP SLICE_X18Y109 NUM_INPUTS 32 SITEPROP SLICE_X18Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y109 NUM_PINS 45 SITEPROP SLICE_X18Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y109 PROHIBIT 0 SITEPROP SLICE_X18Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y109 RPM_X 61 SITEPROP SLICE_X18Y109 RPM_Y 218 SITEPROP SLICE_X18Y109 SITE_PIPS SITEPROP SLICE_X18Y109 SITE_TYPE SLICEL SITEPROP SLICE_X18Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y110 CLASS site SITEPROP SLICE_X18Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y110 IS_BONDED 0 SITEPROP SLICE_X18Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y110 IS_PAD 0 SITEPROP SLICE_X18Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y110 IS_RESERVED 0 SITEPROP SLICE_X18Y110 IS_TEST 0 SITEPROP SLICE_X18Y110 IS_USED 0 SITEPROP SLICE_X18Y110 MANUAL_ROUTING SITEPROP SLICE_X18Y110 NAME SLICE_X18Y110 SITEPROP SLICE_X18Y110 NUM_ARCS 138 SITEPROP SLICE_X18Y110 NUM_BELS 32 SITEPROP SLICE_X18Y110 NUM_INPUTS 32 SITEPROP SLICE_X18Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y110 NUM_PINS 45 SITEPROP SLICE_X18Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y110 PROHIBIT 0 SITEPROP SLICE_X18Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y110 RPM_X 61 SITEPROP SLICE_X18Y110 RPM_Y 220 SITEPROP SLICE_X18Y110 SITE_PIPS SITEPROP SLICE_X18Y110 SITE_TYPE SLICEL SITEPROP SLICE_X18Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y111 CLASS site SITEPROP SLICE_X18Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y111 IS_BONDED 0 SITEPROP SLICE_X18Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y111 IS_PAD 0 SITEPROP SLICE_X18Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y111 IS_RESERVED 0 SITEPROP SLICE_X18Y111 IS_TEST 0 SITEPROP SLICE_X18Y111 IS_USED 0 SITEPROP SLICE_X18Y111 MANUAL_ROUTING SITEPROP SLICE_X18Y111 NAME SLICE_X18Y111 SITEPROP SLICE_X18Y111 NUM_ARCS 138 SITEPROP SLICE_X18Y111 NUM_BELS 32 SITEPROP SLICE_X18Y111 NUM_INPUTS 32 SITEPROP SLICE_X18Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y111 NUM_PINS 45 SITEPROP SLICE_X18Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y111 PROHIBIT 0 SITEPROP SLICE_X18Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y111 RPM_X 61 SITEPROP SLICE_X18Y111 RPM_Y 222 SITEPROP SLICE_X18Y111 SITE_PIPS SITEPROP SLICE_X18Y111 SITE_TYPE SLICEL SITEPROP SLICE_X18Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y112 CLASS site SITEPROP SLICE_X18Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y112 IS_BONDED 0 SITEPROP SLICE_X18Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y112 IS_PAD 0 SITEPROP SLICE_X18Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y112 IS_RESERVED 0 SITEPROP SLICE_X18Y112 IS_TEST 0 SITEPROP SLICE_X18Y112 IS_USED 0 SITEPROP SLICE_X18Y112 MANUAL_ROUTING SITEPROP SLICE_X18Y112 NAME SLICE_X18Y112 SITEPROP SLICE_X18Y112 NUM_ARCS 138 SITEPROP SLICE_X18Y112 NUM_BELS 32 SITEPROP SLICE_X18Y112 NUM_INPUTS 32 SITEPROP SLICE_X18Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y112 NUM_PINS 45 SITEPROP SLICE_X18Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y112 PROHIBIT 0 SITEPROP SLICE_X18Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y112 RPM_X 61 SITEPROP SLICE_X18Y112 RPM_Y 224 SITEPROP SLICE_X18Y112 SITE_PIPS SITEPROP SLICE_X18Y112 SITE_TYPE SLICEL SITEPROP SLICE_X18Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y113 CLASS site SITEPROP SLICE_X18Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y113 IS_BONDED 0 SITEPROP SLICE_X18Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y113 IS_PAD 0 SITEPROP SLICE_X18Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y113 IS_RESERVED 0 SITEPROP SLICE_X18Y113 IS_TEST 0 SITEPROP SLICE_X18Y113 IS_USED 0 SITEPROP SLICE_X18Y113 MANUAL_ROUTING SITEPROP SLICE_X18Y113 NAME SLICE_X18Y113 SITEPROP SLICE_X18Y113 NUM_ARCS 138 SITEPROP SLICE_X18Y113 NUM_BELS 32 SITEPROP SLICE_X18Y113 NUM_INPUTS 32 SITEPROP SLICE_X18Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y113 NUM_PINS 45 SITEPROP SLICE_X18Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y113 PROHIBIT 0 SITEPROP SLICE_X18Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y113 RPM_X 61 SITEPROP SLICE_X18Y113 RPM_Y 226 SITEPROP SLICE_X18Y113 SITE_PIPS SITEPROP SLICE_X18Y113 SITE_TYPE SLICEL SITEPROP SLICE_X18Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y114 CLASS site SITEPROP SLICE_X18Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y114 IS_BONDED 0 SITEPROP SLICE_X18Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y114 IS_PAD 0 SITEPROP SLICE_X18Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y114 IS_RESERVED 0 SITEPROP SLICE_X18Y114 IS_TEST 0 SITEPROP SLICE_X18Y114 IS_USED 0 SITEPROP SLICE_X18Y114 MANUAL_ROUTING SITEPROP SLICE_X18Y114 NAME SLICE_X18Y114 SITEPROP SLICE_X18Y114 NUM_ARCS 138 SITEPROP SLICE_X18Y114 NUM_BELS 32 SITEPROP SLICE_X18Y114 NUM_INPUTS 32 SITEPROP SLICE_X18Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y114 NUM_PINS 45 SITEPROP SLICE_X18Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y114 PROHIBIT 0 SITEPROP SLICE_X18Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y114 RPM_X 61 SITEPROP SLICE_X18Y114 RPM_Y 228 SITEPROP SLICE_X18Y114 SITE_PIPS SITEPROP SLICE_X18Y114 SITE_TYPE SLICEL SITEPROP SLICE_X18Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y115 CLASS site SITEPROP SLICE_X18Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y115 IS_BONDED 0 SITEPROP SLICE_X18Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y115 IS_PAD 0 SITEPROP SLICE_X18Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y115 IS_RESERVED 0 SITEPROP SLICE_X18Y115 IS_TEST 0 SITEPROP SLICE_X18Y115 IS_USED 0 SITEPROP SLICE_X18Y115 MANUAL_ROUTING SITEPROP SLICE_X18Y115 NAME SLICE_X18Y115 SITEPROP SLICE_X18Y115 NUM_ARCS 138 SITEPROP SLICE_X18Y115 NUM_BELS 32 SITEPROP SLICE_X18Y115 NUM_INPUTS 32 SITEPROP SLICE_X18Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y115 NUM_PINS 45 SITEPROP SLICE_X18Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y115 PROHIBIT 0 SITEPROP SLICE_X18Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y115 RPM_X 61 SITEPROP SLICE_X18Y115 RPM_Y 230 SITEPROP SLICE_X18Y115 SITE_PIPS SITEPROP SLICE_X18Y115 SITE_TYPE SLICEL SITEPROP SLICE_X18Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y116 CLASS site SITEPROP SLICE_X18Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y116 IS_BONDED 0 SITEPROP SLICE_X18Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y116 IS_PAD 0 SITEPROP SLICE_X18Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y116 IS_RESERVED 0 SITEPROP SLICE_X18Y116 IS_TEST 0 SITEPROP SLICE_X18Y116 IS_USED 0 SITEPROP SLICE_X18Y116 MANUAL_ROUTING SITEPROP SLICE_X18Y116 NAME SLICE_X18Y116 SITEPROP SLICE_X18Y116 NUM_ARCS 138 SITEPROP SLICE_X18Y116 NUM_BELS 32 SITEPROP SLICE_X18Y116 NUM_INPUTS 32 SITEPROP SLICE_X18Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y116 NUM_PINS 45 SITEPROP SLICE_X18Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y116 PROHIBIT 0 SITEPROP SLICE_X18Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y116 RPM_X 61 SITEPROP SLICE_X18Y116 RPM_Y 232 SITEPROP SLICE_X18Y116 SITE_PIPS SITEPROP SLICE_X18Y116 SITE_TYPE SLICEL SITEPROP SLICE_X18Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y117 CLASS site SITEPROP SLICE_X18Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y117 IS_BONDED 0 SITEPROP SLICE_X18Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y117 IS_PAD 0 SITEPROP SLICE_X18Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y117 IS_RESERVED 0 SITEPROP SLICE_X18Y117 IS_TEST 0 SITEPROP SLICE_X18Y117 IS_USED 0 SITEPROP SLICE_X18Y117 MANUAL_ROUTING SITEPROP SLICE_X18Y117 NAME SLICE_X18Y117 SITEPROP SLICE_X18Y117 NUM_ARCS 138 SITEPROP SLICE_X18Y117 NUM_BELS 32 SITEPROP SLICE_X18Y117 NUM_INPUTS 32 SITEPROP SLICE_X18Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y117 NUM_PINS 45 SITEPROP SLICE_X18Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y117 PROHIBIT 0 SITEPROP SLICE_X18Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y117 RPM_X 61 SITEPROP SLICE_X18Y117 RPM_Y 234 SITEPROP SLICE_X18Y117 SITE_PIPS SITEPROP SLICE_X18Y117 SITE_TYPE SLICEL SITEPROP SLICE_X18Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y118 CLASS site SITEPROP SLICE_X18Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y118 IS_BONDED 0 SITEPROP SLICE_X18Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y118 IS_PAD 0 SITEPROP SLICE_X18Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y118 IS_RESERVED 0 SITEPROP SLICE_X18Y118 IS_TEST 0 SITEPROP SLICE_X18Y118 IS_USED 0 SITEPROP SLICE_X18Y118 MANUAL_ROUTING SITEPROP SLICE_X18Y118 NAME SLICE_X18Y118 SITEPROP SLICE_X18Y118 NUM_ARCS 138 SITEPROP SLICE_X18Y118 NUM_BELS 32 SITEPROP SLICE_X18Y118 NUM_INPUTS 32 SITEPROP SLICE_X18Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y118 NUM_PINS 45 SITEPROP SLICE_X18Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y118 PROHIBIT 0 SITEPROP SLICE_X18Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y118 RPM_X 61 SITEPROP SLICE_X18Y118 RPM_Y 236 SITEPROP SLICE_X18Y118 SITE_PIPS SITEPROP SLICE_X18Y118 SITE_TYPE SLICEL SITEPROP SLICE_X18Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y119 CLASS site SITEPROP SLICE_X18Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y119 IS_BONDED 0 SITEPROP SLICE_X18Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y119 IS_PAD 0 SITEPROP SLICE_X18Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y119 IS_RESERVED 0 SITEPROP SLICE_X18Y119 IS_TEST 0 SITEPROP SLICE_X18Y119 IS_USED 0 SITEPROP SLICE_X18Y119 MANUAL_ROUTING SITEPROP SLICE_X18Y119 NAME SLICE_X18Y119 SITEPROP SLICE_X18Y119 NUM_ARCS 138 SITEPROP SLICE_X18Y119 NUM_BELS 32 SITEPROP SLICE_X18Y119 NUM_INPUTS 32 SITEPROP SLICE_X18Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y119 NUM_PINS 45 SITEPROP SLICE_X18Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y119 PROHIBIT 0 SITEPROP SLICE_X18Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y119 RPM_X 61 SITEPROP SLICE_X18Y119 RPM_Y 238 SITEPROP SLICE_X18Y119 SITE_PIPS SITEPROP SLICE_X18Y119 SITE_TYPE SLICEL SITEPROP SLICE_X18Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y120 CLASS site SITEPROP SLICE_X18Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y120 IS_BONDED 0 SITEPROP SLICE_X18Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y120 IS_PAD 0 SITEPROP SLICE_X18Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y120 IS_RESERVED 0 SITEPROP SLICE_X18Y120 IS_TEST 0 SITEPROP SLICE_X18Y120 IS_USED 0 SITEPROP SLICE_X18Y120 MANUAL_ROUTING SITEPROP SLICE_X18Y120 NAME SLICE_X18Y120 SITEPROP SLICE_X18Y120 NUM_ARCS 138 SITEPROP SLICE_X18Y120 NUM_BELS 32 SITEPROP SLICE_X18Y120 NUM_INPUTS 32 SITEPROP SLICE_X18Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y120 NUM_PINS 45 SITEPROP SLICE_X18Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y120 PROHIBIT 0 SITEPROP SLICE_X18Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y120 RPM_X 61 SITEPROP SLICE_X18Y120 RPM_Y 240 SITEPROP SLICE_X18Y120 SITE_PIPS SITEPROP SLICE_X18Y120 SITE_TYPE SLICEL SITEPROP SLICE_X18Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y121 CLASS site SITEPROP SLICE_X18Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y121 IS_BONDED 0 SITEPROP SLICE_X18Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y121 IS_PAD 0 SITEPROP SLICE_X18Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y121 IS_RESERVED 0 SITEPROP SLICE_X18Y121 IS_TEST 0 SITEPROP SLICE_X18Y121 IS_USED 0 SITEPROP SLICE_X18Y121 MANUAL_ROUTING SITEPROP SLICE_X18Y121 NAME SLICE_X18Y121 SITEPROP SLICE_X18Y121 NUM_ARCS 138 SITEPROP SLICE_X18Y121 NUM_BELS 32 SITEPROP SLICE_X18Y121 NUM_INPUTS 32 SITEPROP SLICE_X18Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y121 NUM_PINS 45 SITEPROP SLICE_X18Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y121 PROHIBIT 0 SITEPROP SLICE_X18Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y121 RPM_X 61 SITEPROP SLICE_X18Y121 RPM_Y 242 SITEPROP SLICE_X18Y121 SITE_PIPS SITEPROP SLICE_X18Y121 SITE_TYPE SLICEL SITEPROP SLICE_X18Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y122 CLASS site SITEPROP SLICE_X18Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y122 IS_BONDED 0 SITEPROP SLICE_X18Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y122 IS_PAD 0 SITEPROP SLICE_X18Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y122 IS_RESERVED 0 SITEPROP SLICE_X18Y122 IS_TEST 0 SITEPROP SLICE_X18Y122 IS_USED 0 SITEPROP SLICE_X18Y122 MANUAL_ROUTING SITEPROP SLICE_X18Y122 NAME SLICE_X18Y122 SITEPROP SLICE_X18Y122 NUM_ARCS 138 SITEPROP SLICE_X18Y122 NUM_BELS 32 SITEPROP SLICE_X18Y122 NUM_INPUTS 32 SITEPROP SLICE_X18Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y122 NUM_PINS 45 SITEPROP SLICE_X18Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y122 PROHIBIT 0 SITEPROP SLICE_X18Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y122 RPM_X 61 SITEPROP SLICE_X18Y122 RPM_Y 244 SITEPROP SLICE_X18Y122 SITE_PIPS SITEPROP SLICE_X18Y122 SITE_TYPE SLICEL SITEPROP SLICE_X18Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y123 CLASS site SITEPROP SLICE_X18Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y123 IS_BONDED 0 SITEPROP SLICE_X18Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y123 IS_PAD 0 SITEPROP SLICE_X18Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y123 IS_RESERVED 0 SITEPROP SLICE_X18Y123 IS_TEST 0 SITEPROP SLICE_X18Y123 IS_USED 0 SITEPROP SLICE_X18Y123 MANUAL_ROUTING SITEPROP SLICE_X18Y123 NAME SLICE_X18Y123 SITEPROP SLICE_X18Y123 NUM_ARCS 138 SITEPROP SLICE_X18Y123 NUM_BELS 32 SITEPROP SLICE_X18Y123 NUM_INPUTS 32 SITEPROP SLICE_X18Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y123 NUM_PINS 45 SITEPROP SLICE_X18Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y123 PROHIBIT 0 SITEPROP SLICE_X18Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y123 RPM_X 61 SITEPROP SLICE_X18Y123 RPM_Y 246 SITEPROP SLICE_X18Y123 SITE_PIPS SITEPROP SLICE_X18Y123 SITE_TYPE SLICEL SITEPROP SLICE_X18Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y124 CLASS site SITEPROP SLICE_X18Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y124 IS_BONDED 0 SITEPROP SLICE_X18Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y124 IS_PAD 0 SITEPROP SLICE_X18Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y124 IS_RESERVED 0 SITEPROP SLICE_X18Y124 IS_TEST 0 SITEPROP SLICE_X18Y124 IS_USED 0 SITEPROP SLICE_X18Y124 MANUAL_ROUTING SITEPROP SLICE_X18Y124 NAME SLICE_X18Y124 SITEPROP SLICE_X18Y124 NUM_ARCS 138 SITEPROP SLICE_X18Y124 NUM_BELS 32 SITEPROP SLICE_X18Y124 NUM_INPUTS 32 SITEPROP SLICE_X18Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y124 NUM_PINS 45 SITEPROP SLICE_X18Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y124 PROHIBIT 0 SITEPROP SLICE_X18Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y124 RPM_X 61 SITEPROP SLICE_X18Y124 RPM_Y 248 SITEPROP SLICE_X18Y124 SITE_PIPS SITEPROP SLICE_X18Y124 SITE_TYPE SLICEL SITEPROP SLICE_X18Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y125 CLASS site SITEPROP SLICE_X18Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y125 IS_BONDED 0 SITEPROP SLICE_X18Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y125 IS_PAD 0 SITEPROP SLICE_X18Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y125 IS_RESERVED 0 SITEPROP SLICE_X18Y125 IS_TEST 0 SITEPROP SLICE_X18Y125 IS_USED 0 SITEPROP SLICE_X18Y125 MANUAL_ROUTING SITEPROP SLICE_X18Y125 NAME SLICE_X18Y125 SITEPROP SLICE_X18Y125 NUM_ARCS 138 SITEPROP SLICE_X18Y125 NUM_BELS 32 SITEPROP SLICE_X18Y125 NUM_INPUTS 32 SITEPROP SLICE_X18Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y125 NUM_PINS 45 SITEPROP SLICE_X18Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y125 PROHIBIT 0 SITEPROP SLICE_X18Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y125 RPM_X 61 SITEPROP SLICE_X18Y125 RPM_Y 250 SITEPROP SLICE_X18Y125 SITE_PIPS SITEPROP SLICE_X18Y125 SITE_TYPE SLICEL SITEPROP SLICE_X18Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y126 CLASS site SITEPROP SLICE_X18Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y126 IS_BONDED 0 SITEPROP SLICE_X18Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y126 IS_PAD 0 SITEPROP SLICE_X18Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y126 IS_RESERVED 0 SITEPROP SLICE_X18Y126 IS_TEST 0 SITEPROP SLICE_X18Y126 IS_USED 0 SITEPROP SLICE_X18Y126 MANUAL_ROUTING SITEPROP SLICE_X18Y126 NAME SLICE_X18Y126 SITEPROP SLICE_X18Y126 NUM_ARCS 138 SITEPROP SLICE_X18Y126 NUM_BELS 32 SITEPROP SLICE_X18Y126 NUM_INPUTS 32 SITEPROP SLICE_X18Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y126 NUM_PINS 45 SITEPROP SLICE_X18Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y126 PROHIBIT 0 SITEPROP SLICE_X18Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y126 RPM_X 61 SITEPROP SLICE_X18Y126 RPM_Y 252 SITEPROP SLICE_X18Y126 SITE_PIPS SITEPROP SLICE_X18Y126 SITE_TYPE SLICEL SITEPROP SLICE_X18Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y127 CLASS site SITEPROP SLICE_X18Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y127 IS_BONDED 0 SITEPROP SLICE_X18Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y127 IS_PAD 0 SITEPROP SLICE_X18Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y127 IS_RESERVED 0 SITEPROP SLICE_X18Y127 IS_TEST 0 SITEPROP SLICE_X18Y127 IS_USED 0 SITEPROP SLICE_X18Y127 MANUAL_ROUTING SITEPROP SLICE_X18Y127 NAME SLICE_X18Y127 SITEPROP SLICE_X18Y127 NUM_ARCS 138 SITEPROP SLICE_X18Y127 NUM_BELS 32 SITEPROP SLICE_X18Y127 NUM_INPUTS 32 SITEPROP SLICE_X18Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y127 NUM_PINS 45 SITEPROP SLICE_X18Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y127 PROHIBIT 0 SITEPROP SLICE_X18Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y127 RPM_X 61 SITEPROP SLICE_X18Y127 RPM_Y 254 SITEPROP SLICE_X18Y127 SITE_PIPS SITEPROP SLICE_X18Y127 SITE_TYPE SLICEL SITEPROP SLICE_X18Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y128 CLASS site SITEPROP SLICE_X18Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y128 IS_BONDED 0 SITEPROP SLICE_X18Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y128 IS_PAD 0 SITEPROP SLICE_X18Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y128 IS_RESERVED 0 SITEPROP SLICE_X18Y128 IS_TEST 0 SITEPROP SLICE_X18Y128 IS_USED 0 SITEPROP SLICE_X18Y128 MANUAL_ROUTING SITEPROP SLICE_X18Y128 NAME SLICE_X18Y128 SITEPROP SLICE_X18Y128 NUM_ARCS 138 SITEPROP SLICE_X18Y128 NUM_BELS 32 SITEPROP SLICE_X18Y128 NUM_INPUTS 32 SITEPROP SLICE_X18Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y128 NUM_PINS 45 SITEPROP SLICE_X18Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y128 PROHIBIT 0 SITEPROP SLICE_X18Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y128 RPM_X 61 SITEPROP SLICE_X18Y128 RPM_Y 256 SITEPROP SLICE_X18Y128 SITE_PIPS SITEPROP SLICE_X18Y128 SITE_TYPE SLICEL SITEPROP SLICE_X18Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y129 CLASS site SITEPROP SLICE_X18Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y129 IS_BONDED 0 SITEPROP SLICE_X18Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y129 IS_PAD 0 SITEPROP SLICE_X18Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y129 IS_RESERVED 0 SITEPROP SLICE_X18Y129 IS_TEST 0 SITEPROP SLICE_X18Y129 IS_USED 0 SITEPROP SLICE_X18Y129 MANUAL_ROUTING SITEPROP SLICE_X18Y129 NAME SLICE_X18Y129 SITEPROP SLICE_X18Y129 NUM_ARCS 138 SITEPROP SLICE_X18Y129 NUM_BELS 32 SITEPROP SLICE_X18Y129 NUM_INPUTS 32 SITEPROP SLICE_X18Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y129 NUM_PINS 45 SITEPROP SLICE_X18Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y129 PROHIBIT 0 SITEPROP SLICE_X18Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y129 RPM_X 61 SITEPROP SLICE_X18Y129 RPM_Y 258 SITEPROP SLICE_X18Y129 SITE_PIPS SITEPROP SLICE_X18Y129 SITE_TYPE SLICEL SITEPROP SLICE_X18Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y130 CLASS site SITEPROP SLICE_X18Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y130 IS_BONDED 0 SITEPROP SLICE_X18Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y130 IS_PAD 0 SITEPROP SLICE_X18Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y130 IS_RESERVED 0 SITEPROP SLICE_X18Y130 IS_TEST 0 SITEPROP SLICE_X18Y130 IS_USED 0 SITEPROP SLICE_X18Y130 MANUAL_ROUTING SITEPROP SLICE_X18Y130 NAME SLICE_X18Y130 SITEPROP SLICE_X18Y130 NUM_ARCS 138 SITEPROP SLICE_X18Y130 NUM_BELS 32 SITEPROP SLICE_X18Y130 NUM_INPUTS 32 SITEPROP SLICE_X18Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y130 NUM_PINS 45 SITEPROP SLICE_X18Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y130 PROHIBIT 0 SITEPROP SLICE_X18Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y130 RPM_X 61 SITEPROP SLICE_X18Y130 RPM_Y 260 SITEPROP SLICE_X18Y130 SITE_PIPS SITEPROP SLICE_X18Y130 SITE_TYPE SLICEL SITEPROP SLICE_X18Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y131 CLASS site SITEPROP SLICE_X18Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y131 IS_BONDED 0 SITEPROP SLICE_X18Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y131 IS_PAD 0 SITEPROP SLICE_X18Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y131 IS_RESERVED 0 SITEPROP SLICE_X18Y131 IS_TEST 0 SITEPROP SLICE_X18Y131 IS_USED 0 SITEPROP SLICE_X18Y131 MANUAL_ROUTING SITEPROP SLICE_X18Y131 NAME SLICE_X18Y131 SITEPROP SLICE_X18Y131 NUM_ARCS 138 SITEPROP SLICE_X18Y131 NUM_BELS 32 SITEPROP SLICE_X18Y131 NUM_INPUTS 32 SITEPROP SLICE_X18Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y131 NUM_PINS 45 SITEPROP SLICE_X18Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y131 PROHIBIT 0 SITEPROP SLICE_X18Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y131 RPM_X 61 SITEPROP SLICE_X18Y131 RPM_Y 262 SITEPROP SLICE_X18Y131 SITE_PIPS SITEPROP SLICE_X18Y131 SITE_TYPE SLICEL SITEPROP SLICE_X18Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y132 CLASS site SITEPROP SLICE_X18Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y132 IS_BONDED 0 SITEPROP SLICE_X18Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y132 IS_PAD 0 SITEPROP SLICE_X18Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y132 IS_RESERVED 0 SITEPROP SLICE_X18Y132 IS_TEST 0 SITEPROP SLICE_X18Y132 IS_USED 0 SITEPROP SLICE_X18Y132 MANUAL_ROUTING SITEPROP SLICE_X18Y132 NAME SLICE_X18Y132 SITEPROP SLICE_X18Y132 NUM_ARCS 138 SITEPROP SLICE_X18Y132 NUM_BELS 32 SITEPROP SLICE_X18Y132 NUM_INPUTS 32 SITEPROP SLICE_X18Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y132 NUM_PINS 45 SITEPROP SLICE_X18Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y132 PROHIBIT 0 SITEPROP SLICE_X18Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y132 RPM_X 61 SITEPROP SLICE_X18Y132 RPM_Y 264 SITEPROP SLICE_X18Y132 SITE_PIPS SITEPROP SLICE_X18Y132 SITE_TYPE SLICEL SITEPROP SLICE_X18Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y133 CLASS site SITEPROP SLICE_X18Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y133 IS_BONDED 0 SITEPROP SLICE_X18Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y133 IS_PAD 0 SITEPROP SLICE_X18Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y133 IS_RESERVED 0 SITEPROP SLICE_X18Y133 IS_TEST 0 SITEPROP SLICE_X18Y133 IS_USED 0 SITEPROP SLICE_X18Y133 MANUAL_ROUTING SITEPROP SLICE_X18Y133 NAME SLICE_X18Y133 SITEPROP SLICE_X18Y133 NUM_ARCS 138 SITEPROP SLICE_X18Y133 NUM_BELS 32 SITEPROP SLICE_X18Y133 NUM_INPUTS 32 SITEPROP SLICE_X18Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y133 NUM_PINS 45 SITEPROP SLICE_X18Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y133 PROHIBIT 0 SITEPROP SLICE_X18Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y133 RPM_X 61 SITEPROP SLICE_X18Y133 RPM_Y 266 SITEPROP SLICE_X18Y133 SITE_PIPS SITEPROP SLICE_X18Y133 SITE_TYPE SLICEL SITEPROP SLICE_X18Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y134 CLASS site SITEPROP SLICE_X18Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y134 IS_BONDED 0 SITEPROP SLICE_X18Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y134 IS_PAD 0 SITEPROP SLICE_X18Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y134 IS_RESERVED 0 SITEPROP SLICE_X18Y134 IS_TEST 0 SITEPROP SLICE_X18Y134 IS_USED 0 SITEPROP SLICE_X18Y134 MANUAL_ROUTING SITEPROP SLICE_X18Y134 NAME SLICE_X18Y134 SITEPROP SLICE_X18Y134 NUM_ARCS 138 SITEPROP SLICE_X18Y134 NUM_BELS 32 SITEPROP SLICE_X18Y134 NUM_INPUTS 32 SITEPROP SLICE_X18Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y134 NUM_PINS 45 SITEPROP SLICE_X18Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y134 PROHIBIT 0 SITEPROP SLICE_X18Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y134 RPM_X 61 SITEPROP SLICE_X18Y134 RPM_Y 268 SITEPROP SLICE_X18Y134 SITE_PIPS SITEPROP SLICE_X18Y134 SITE_TYPE SLICEL SITEPROP SLICE_X18Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y135 CLASS site SITEPROP SLICE_X18Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y135 IS_BONDED 0 SITEPROP SLICE_X18Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y135 IS_PAD 0 SITEPROP SLICE_X18Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y135 IS_RESERVED 0 SITEPROP SLICE_X18Y135 IS_TEST 0 SITEPROP SLICE_X18Y135 IS_USED 0 SITEPROP SLICE_X18Y135 MANUAL_ROUTING SITEPROP SLICE_X18Y135 NAME SLICE_X18Y135 SITEPROP SLICE_X18Y135 NUM_ARCS 138 SITEPROP SLICE_X18Y135 NUM_BELS 32 SITEPROP SLICE_X18Y135 NUM_INPUTS 32 SITEPROP SLICE_X18Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y135 NUM_PINS 45 SITEPROP SLICE_X18Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y135 PROHIBIT 0 SITEPROP SLICE_X18Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y135 RPM_X 61 SITEPROP SLICE_X18Y135 RPM_Y 270 SITEPROP SLICE_X18Y135 SITE_PIPS SITEPROP SLICE_X18Y135 SITE_TYPE SLICEL SITEPROP SLICE_X18Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y136 CLASS site SITEPROP SLICE_X18Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y136 IS_BONDED 0 SITEPROP SLICE_X18Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y136 IS_PAD 0 SITEPROP SLICE_X18Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y136 IS_RESERVED 0 SITEPROP SLICE_X18Y136 IS_TEST 0 SITEPROP SLICE_X18Y136 IS_USED 0 SITEPROP SLICE_X18Y136 MANUAL_ROUTING SITEPROP SLICE_X18Y136 NAME SLICE_X18Y136 SITEPROP SLICE_X18Y136 NUM_ARCS 138 SITEPROP SLICE_X18Y136 NUM_BELS 32 SITEPROP SLICE_X18Y136 NUM_INPUTS 32 SITEPROP SLICE_X18Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y136 NUM_PINS 45 SITEPROP SLICE_X18Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y136 PROHIBIT 0 SITEPROP SLICE_X18Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y136 RPM_X 61 SITEPROP SLICE_X18Y136 RPM_Y 272 SITEPROP SLICE_X18Y136 SITE_PIPS SITEPROP SLICE_X18Y136 SITE_TYPE SLICEL SITEPROP SLICE_X18Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y137 CLASS site SITEPROP SLICE_X18Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y137 IS_BONDED 0 SITEPROP SLICE_X18Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y137 IS_PAD 0 SITEPROP SLICE_X18Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y137 IS_RESERVED 0 SITEPROP SLICE_X18Y137 IS_TEST 0 SITEPROP SLICE_X18Y137 IS_USED 0 SITEPROP SLICE_X18Y137 MANUAL_ROUTING SITEPROP SLICE_X18Y137 NAME SLICE_X18Y137 SITEPROP SLICE_X18Y137 NUM_ARCS 138 SITEPROP SLICE_X18Y137 NUM_BELS 32 SITEPROP SLICE_X18Y137 NUM_INPUTS 32 SITEPROP SLICE_X18Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y137 NUM_PINS 45 SITEPROP SLICE_X18Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y137 PROHIBIT 0 SITEPROP SLICE_X18Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y137 RPM_X 61 SITEPROP SLICE_X18Y137 RPM_Y 274 SITEPROP SLICE_X18Y137 SITE_PIPS SITEPROP SLICE_X18Y137 SITE_TYPE SLICEL SITEPROP SLICE_X18Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y138 CLASS site SITEPROP SLICE_X18Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y138 IS_BONDED 0 SITEPROP SLICE_X18Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y138 IS_PAD 0 SITEPROP SLICE_X18Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y138 IS_RESERVED 0 SITEPROP SLICE_X18Y138 IS_TEST 0 SITEPROP SLICE_X18Y138 IS_USED 0 SITEPROP SLICE_X18Y138 MANUAL_ROUTING SITEPROP SLICE_X18Y138 NAME SLICE_X18Y138 SITEPROP SLICE_X18Y138 NUM_ARCS 138 SITEPROP SLICE_X18Y138 NUM_BELS 32 SITEPROP SLICE_X18Y138 NUM_INPUTS 32 SITEPROP SLICE_X18Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y138 NUM_PINS 45 SITEPROP SLICE_X18Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y138 PROHIBIT 0 SITEPROP SLICE_X18Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y138 RPM_X 61 SITEPROP SLICE_X18Y138 RPM_Y 276 SITEPROP SLICE_X18Y138 SITE_PIPS SITEPROP SLICE_X18Y138 SITE_TYPE SLICEL SITEPROP SLICE_X18Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y139 CLASS site SITEPROP SLICE_X18Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y139 IS_BONDED 0 SITEPROP SLICE_X18Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y139 IS_PAD 0 SITEPROP SLICE_X18Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y139 IS_RESERVED 0 SITEPROP SLICE_X18Y139 IS_TEST 0 SITEPROP SLICE_X18Y139 IS_USED 0 SITEPROP SLICE_X18Y139 MANUAL_ROUTING SITEPROP SLICE_X18Y139 NAME SLICE_X18Y139 SITEPROP SLICE_X18Y139 NUM_ARCS 138 SITEPROP SLICE_X18Y139 NUM_BELS 32 SITEPROP SLICE_X18Y139 NUM_INPUTS 32 SITEPROP SLICE_X18Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y139 NUM_PINS 45 SITEPROP SLICE_X18Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y139 PROHIBIT 0 SITEPROP SLICE_X18Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y139 RPM_X 61 SITEPROP SLICE_X18Y139 RPM_Y 278 SITEPROP SLICE_X18Y139 SITE_PIPS SITEPROP SLICE_X18Y139 SITE_TYPE SLICEL SITEPROP SLICE_X18Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y140 CLASS site SITEPROP SLICE_X18Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y140 IS_BONDED 0 SITEPROP SLICE_X18Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y140 IS_PAD 0 SITEPROP SLICE_X18Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y140 IS_RESERVED 0 SITEPROP SLICE_X18Y140 IS_TEST 0 SITEPROP SLICE_X18Y140 IS_USED 0 SITEPROP SLICE_X18Y140 MANUAL_ROUTING SITEPROP SLICE_X18Y140 NAME SLICE_X18Y140 SITEPROP SLICE_X18Y140 NUM_ARCS 138 SITEPROP SLICE_X18Y140 NUM_BELS 32 SITEPROP SLICE_X18Y140 NUM_INPUTS 32 SITEPROP SLICE_X18Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y140 NUM_PINS 45 SITEPROP SLICE_X18Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y140 PROHIBIT 0 SITEPROP SLICE_X18Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y140 RPM_X 61 SITEPROP SLICE_X18Y140 RPM_Y 280 SITEPROP SLICE_X18Y140 SITE_PIPS SITEPROP SLICE_X18Y140 SITE_TYPE SLICEL SITEPROP SLICE_X18Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y141 CLASS site SITEPROP SLICE_X18Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y141 IS_BONDED 0 SITEPROP SLICE_X18Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y141 IS_PAD 0 SITEPROP SLICE_X18Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y141 IS_RESERVED 0 SITEPROP SLICE_X18Y141 IS_TEST 0 SITEPROP SLICE_X18Y141 IS_USED 0 SITEPROP SLICE_X18Y141 MANUAL_ROUTING SITEPROP SLICE_X18Y141 NAME SLICE_X18Y141 SITEPROP SLICE_X18Y141 NUM_ARCS 138 SITEPROP SLICE_X18Y141 NUM_BELS 32 SITEPROP SLICE_X18Y141 NUM_INPUTS 32 SITEPROP SLICE_X18Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y141 NUM_PINS 45 SITEPROP SLICE_X18Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y141 PROHIBIT 0 SITEPROP SLICE_X18Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y141 RPM_X 61 SITEPROP SLICE_X18Y141 RPM_Y 282 SITEPROP SLICE_X18Y141 SITE_PIPS SITEPROP SLICE_X18Y141 SITE_TYPE SLICEL SITEPROP SLICE_X18Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y142 CLASS site SITEPROP SLICE_X18Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y142 IS_BONDED 0 SITEPROP SLICE_X18Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y142 IS_PAD 0 SITEPROP SLICE_X18Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y142 IS_RESERVED 0 SITEPROP SLICE_X18Y142 IS_TEST 0 SITEPROP SLICE_X18Y142 IS_USED 0 SITEPROP SLICE_X18Y142 MANUAL_ROUTING SITEPROP SLICE_X18Y142 NAME SLICE_X18Y142 SITEPROP SLICE_X18Y142 NUM_ARCS 138 SITEPROP SLICE_X18Y142 NUM_BELS 32 SITEPROP SLICE_X18Y142 NUM_INPUTS 32 SITEPROP SLICE_X18Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y142 NUM_PINS 45 SITEPROP SLICE_X18Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y142 PROHIBIT 0 SITEPROP SLICE_X18Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y142 RPM_X 61 SITEPROP SLICE_X18Y142 RPM_Y 284 SITEPROP SLICE_X18Y142 SITE_PIPS SITEPROP SLICE_X18Y142 SITE_TYPE SLICEL SITEPROP SLICE_X18Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y143 CLASS site SITEPROP SLICE_X18Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y143 IS_BONDED 0 SITEPROP SLICE_X18Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y143 IS_PAD 0 SITEPROP SLICE_X18Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y143 IS_RESERVED 0 SITEPROP SLICE_X18Y143 IS_TEST 0 SITEPROP SLICE_X18Y143 IS_USED 0 SITEPROP SLICE_X18Y143 MANUAL_ROUTING SITEPROP SLICE_X18Y143 NAME SLICE_X18Y143 SITEPROP SLICE_X18Y143 NUM_ARCS 138 SITEPROP SLICE_X18Y143 NUM_BELS 32 SITEPROP SLICE_X18Y143 NUM_INPUTS 32 SITEPROP SLICE_X18Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y143 NUM_PINS 45 SITEPROP SLICE_X18Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y143 PROHIBIT 0 SITEPROP SLICE_X18Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y143 RPM_X 61 SITEPROP SLICE_X18Y143 RPM_Y 286 SITEPROP SLICE_X18Y143 SITE_PIPS SITEPROP SLICE_X18Y143 SITE_TYPE SLICEL SITEPROP SLICE_X18Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y144 CLASS site SITEPROP SLICE_X18Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y144 IS_BONDED 0 SITEPROP SLICE_X18Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y144 IS_PAD 0 SITEPROP SLICE_X18Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y144 IS_RESERVED 0 SITEPROP SLICE_X18Y144 IS_TEST 0 SITEPROP SLICE_X18Y144 IS_USED 0 SITEPROP SLICE_X18Y144 MANUAL_ROUTING SITEPROP SLICE_X18Y144 NAME SLICE_X18Y144 SITEPROP SLICE_X18Y144 NUM_ARCS 138 SITEPROP SLICE_X18Y144 NUM_BELS 32 SITEPROP SLICE_X18Y144 NUM_INPUTS 32 SITEPROP SLICE_X18Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y144 NUM_PINS 45 SITEPROP SLICE_X18Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y144 PROHIBIT 0 SITEPROP SLICE_X18Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y144 RPM_X 61 SITEPROP SLICE_X18Y144 RPM_Y 288 SITEPROP SLICE_X18Y144 SITE_PIPS SITEPROP SLICE_X18Y144 SITE_TYPE SLICEL SITEPROP SLICE_X18Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y145 CLASS site SITEPROP SLICE_X18Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y145 IS_BONDED 0 SITEPROP SLICE_X18Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y145 IS_PAD 0 SITEPROP SLICE_X18Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y145 IS_RESERVED 0 SITEPROP SLICE_X18Y145 IS_TEST 0 SITEPROP SLICE_X18Y145 IS_USED 0 SITEPROP SLICE_X18Y145 MANUAL_ROUTING SITEPROP SLICE_X18Y145 NAME SLICE_X18Y145 SITEPROP SLICE_X18Y145 NUM_ARCS 138 SITEPROP SLICE_X18Y145 NUM_BELS 32 SITEPROP SLICE_X18Y145 NUM_INPUTS 32 SITEPROP SLICE_X18Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y145 NUM_PINS 45 SITEPROP SLICE_X18Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y145 PROHIBIT 0 SITEPROP SLICE_X18Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y145 RPM_X 61 SITEPROP SLICE_X18Y145 RPM_Y 290 SITEPROP SLICE_X18Y145 SITE_PIPS SITEPROP SLICE_X18Y145 SITE_TYPE SLICEL SITEPROP SLICE_X18Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y146 CLASS site SITEPROP SLICE_X18Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y146 IS_BONDED 0 SITEPROP SLICE_X18Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y146 IS_PAD 0 SITEPROP SLICE_X18Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y146 IS_RESERVED 0 SITEPROP SLICE_X18Y146 IS_TEST 0 SITEPROP SLICE_X18Y146 IS_USED 0 SITEPROP SLICE_X18Y146 MANUAL_ROUTING SITEPROP SLICE_X18Y146 NAME SLICE_X18Y146 SITEPROP SLICE_X18Y146 NUM_ARCS 138 SITEPROP SLICE_X18Y146 NUM_BELS 32 SITEPROP SLICE_X18Y146 NUM_INPUTS 32 SITEPROP SLICE_X18Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y146 NUM_PINS 45 SITEPROP SLICE_X18Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y146 PROHIBIT 0 SITEPROP SLICE_X18Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y146 RPM_X 61 SITEPROP SLICE_X18Y146 RPM_Y 292 SITEPROP SLICE_X18Y146 SITE_PIPS SITEPROP SLICE_X18Y146 SITE_TYPE SLICEL SITEPROP SLICE_X18Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y147 CLASS site SITEPROP SLICE_X18Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y147 IS_BONDED 0 SITEPROP SLICE_X18Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y147 IS_PAD 0 SITEPROP SLICE_X18Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y147 IS_RESERVED 0 SITEPROP SLICE_X18Y147 IS_TEST 0 SITEPROP SLICE_X18Y147 IS_USED 0 SITEPROP SLICE_X18Y147 MANUAL_ROUTING SITEPROP SLICE_X18Y147 NAME SLICE_X18Y147 SITEPROP SLICE_X18Y147 NUM_ARCS 138 SITEPROP SLICE_X18Y147 NUM_BELS 32 SITEPROP SLICE_X18Y147 NUM_INPUTS 32 SITEPROP SLICE_X18Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y147 NUM_PINS 45 SITEPROP SLICE_X18Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y147 PROHIBIT 0 SITEPROP SLICE_X18Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y147 RPM_X 61 SITEPROP SLICE_X18Y147 RPM_Y 294 SITEPROP SLICE_X18Y147 SITE_PIPS SITEPROP SLICE_X18Y147 SITE_TYPE SLICEL SITEPROP SLICE_X18Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y148 CLASS site SITEPROP SLICE_X18Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y148 IS_BONDED 0 SITEPROP SLICE_X18Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y148 IS_PAD 0 SITEPROP SLICE_X18Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y148 IS_RESERVED 0 SITEPROP SLICE_X18Y148 IS_TEST 0 SITEPROP SLICE_X18Y148 IS_USED 0 SITEPROP SLICE_X18Y148 MANUAL_ROUTING SITEPROP SLICE_X18Y148 NAME SLICE_X18Y148 SITEPROP SLICE_X18Y148 NUM_ARCS 138 SITEPROP SLICE_X18Y148 NUM_BELS 32 SITEPROP SLICE_X18Y148 NUM_INPUTS 32 SITEPROP SLICE_X18Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y148 NUM_PINS 45 SITEPROP SLICE_X18Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y148 PROHIBIT 0 SITEPROP SLICE_X18Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y148 RPM_X 61 SITEPROP SLICE_X18Y148 RPM_Y 296 SITEPROP SLICE_X18Y148 SITE_PIPS SITEPROP SLICE_X18Y148 SITE_TYPE SLICEL SITEPROP SLICE_X18Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X18Y149 CLASS site SITEPROP SLICE_X18Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X18Y149 IS_BONDED 0 SITEPROP SLICE_X18Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X18Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y149 IS_PAD 0 SITEPROP SLICE_X18Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X18Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X18Y149 IS_RESERVED 0 SITEPROP SLICE_X18Y149 IS_TEST 0 SITEPROP SLICE_X18Y149 IS_USED 0 SITEPROP SLICE_X18Y149 MANUAL_ROUTING SITEPROP SLICE_X18Y149 NAME SLICE_X18Y149 SITEPROP SLICE_X18Y149 NUM_ARCS 138 SITEPROP SLICE_X18Y149 NUM_BELS 32 SITEPROP SLICE_X18Y149 NUM_INPUTS 32 SITEPROP SLICE_X18Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X18Y149 NUM_PINS 45 SITEPROP SLICE_X18Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X18Y149 PROHIBIT 0 SITEPROP SLICE_X18Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X18Y149 RPM_X 61 SITEPROP SLICE_X18Y149 RPM_Y 298 SITEPROP SLICE_X18Y149 SITE_PIPS SITEPROP SLICE_X18Y149 SITE_TYPE SLICEL SITEPROP SLICE_X19Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y100 CLASS site SITEPROP SLICE_X19Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y100 IS_BONDED 0 SITEPROP SLICE_X19Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y100 IS_PAD 0 SITEPROP SLICE_X19Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y100 IS_RESERVED 0 SITEPROP SLICE_X19Y100 IS_TEST 0 SITEPROP SLICE_X19Y100 IS_USED 0 SITEPROP SLICE_X19Y100 MANUAL_ROUTING SITEPROP SLICE_X19Y100 NAME SLICE_X19Y100 SITEPROP SLICE_X19Y100 NUM_ARCS 138 SITEPROP SLICE_X19Y100 NUM_BELS 32 SITEPROP SLICE_X19Y100 NUM_INPUTS 32 SITEPROP SLICE_X19Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y100 NUM_PINS 45 SITEPROP SLICE_X19Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y100 PROHIBIT 0 SITEPROP SLICE_X19Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y100 RPM_X 63 SITEPROP SLICE_X19Y100 RPM_Y 200 SITEPROP SLICE_X19Y100 SITE_PIPS SITEPROP SLICE_X19Y100 SITE_TYPE SLICEL SITEPROP SLICE_X19Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y101 CLASS site SITEPROP SLICE_X19Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y101 IS_BONDED 0 SITEPROP SLICE_X19Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y101 IS_PAD 0 SITEPROP SLICE_X19Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y101 IS_RESERVED 0 SITEPROP SLICE_X19Y101 IS_TEST 0 SITEPROP SLICE_X19Y101 IS_USED 0 SITEPROP SLICE_X19Y101 MANUAL_ROUTING SITEPROP SLICE_X19Y101 NAME SLICE_X19Y101 SITEPROP SLICE_X19Y101 NUM_ARCS 138 SITEPROP SLICE_X19Y101 NUM_BELS 32 SITEPROP SLICE_X19Y101 NUM_INPUTS 32 SITEPROP SLICE_X19Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y101 NUM_PINS 45 SITEPROP SLICE_X19Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y101 PROHIBIT 0 SITEPROP SLICE_X19Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y101 RPM_X 63 SITEPROP SLICE_X19Y101 RPM_Y 202 SITEPROP SLICE_X19Y101 SITE_PIPS SITEPROP SLICE_X19Y101 SITE_TYPE SLICEL SITEPROP SLICE_X19Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y102 CLASS site SITEPROP SLICE_X19Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y102 IS_BONDED 0 SITEPROP SLICE_X19Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y102 IS_PAD 0 SITEPROP SLICE_X19Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y102 IS_RESERVED 0 SITEPROP SLICE_X19Y102 IS_TEST 0 SITEPROP SLICE_X19Y102 IS_USED 0 SITEPROP SLICE_X19Y102 MANUAL_ROUTING SITEPROP SLICE_X19Y102 NAME SLICE_X19Y102 SITEPROP SLICE_X19Y102 NUM_ARCS 138 SITEPROP SLICE_X19Y102 NUM_BELS 32 SITEPROP SLICE_X19Y102 NUM_INPUTS 32 SITEPROP SLICE_X19Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y102 NUM_PINS 45 SITEPROP SLICE_X19Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y102 PROHIBIT 0 SITEPROP SLICE_X19Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y102 RPM_X 63 SITEPROP SLICE_X19Y102 RPM_Y 204 SITEPROP SLICE_X19Y102 SITE_PIPS SITEPROP SLICE_X19Y102 SITE_TYPE SLICEL SITEPROP SLICE_X19Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y103 CLASS site SITEPROP SLICE_X19Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y103 IS_BONDED 0 SITEPROP SLICE_X19Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y103 IS_PAD 0 SITEPROP SLICE_X19Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y103 IS_RESERVED 0 SITEPROP SLICE_X19Y103 IS_TEST 0 SITEPROP SLICE_X19Y103 IS_USED 0 SITEPROP SLICE_X19Y103 MANUAL_ROUTING SITEPROP SLICE_X19Y103 NAME SLICE_X19Y103 SITEPROP SLICE_X19Y103 NUM_ARCS 138 SITEPROP SLICE_X19Y103 NUM_BELS 32 SITEPROP SLICE_X19Y103 NUM_INPUTS 32 SITEPROP SLICE_X19Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y103 NUM_PINS 45 SITEPROP SLICE_X19Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y103 PROHIBIT 0 SITEPROP SLICE_X19Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y103 RPM_X 63 SITEPROP SLICE_X19Y103 RPM_Y 206 SITEPROP SLICE_X19Y103 SITE_PIPS SITEPROP SLICE_X19Y103 SITE_TYPE SLICEL SITEPROP SLICE_X19Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y104 CLASS site SITEPROP SLICE_X19Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y104 IS_BONDED 0 SITEPROP SLICE_X19Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y104 IS_PAD 0 SITEPROP SLICE_X19Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y104 IS_RESERVED 0 SITEPROP SLICE_X19Y104 IS_TEST 0 SITEPROP SLICE_X19Y104 IS_USED 0 SITEPROP SLICE_X19Y104 MANUAL_ROUTING SITEPROP SLICE_X19Y104 NAME SLICE_X19Y104 SITEPROP SLICE_X19Y104 NUM_ARCS 138 SITEPROP SLICE_X19Y104 NUM_BELS 32 SITEPROP SLICE_X19Y104 NUM_INPUTS 32 SITEPROP SLICE_X19Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y104 NUM_PINS 45 SITEPROP SLICE_X19Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y104 PROHIBIT 0 SITEPROP SLICE_X19Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y104 RPM_X 63 SITEPROP SLICE_X19Y104 RPM_Y 208 SITEPROP SLICE_X19Y104 SITE_PIPS SITEPROP SLICE_X19Y104 SITE_TYPE SLICEL SITEPROP SLICE_X19Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y105 CLASS site SITEPROP SLICE_X19Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y105 IS_BONDED 0 SITEPROP SLICE_X19Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y105 IS_PAD 0 SITEPROP SLICE_X19Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y105 IS_RESERVED 0 SITEPROP SLICE_X19Y105 IS_TEST 0 SITEPROP SLICE_X19Y105 IS_USED 0 SITEPROP SLICE_X19Y105 MANUAL_ROUTING SITEPROP SLICE_X19Y105 NAME SLICE_X19Y105 SITEPROP SLICE_X19Y105 NUM_ARCS 138 SITEPROP SLICE_X19Y105 NUM_BELS 32 SITEPROP SLICE_X19Y105 NUM_INPUTS 32 SITEPROP SLICE_X19Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y105 NUM_PINS 45 SITEPROP SLICE_X19Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y105 PROHIBIT 0 SITEPROP SLICE_X19Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y105 RPM_X 63 SITEPROP SLICE_X19Y105 RPM_Y 210 SITEPROP SLICE_X19Y105 SITE_PIPS SITEPROP SLICE_X19Y105 SITE_TYPE SLICEL SITEPROP SLICE_X19Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y106 CLASS site SITEPROP SLICE_X19Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y106 IS_BONDED 0 SITEPROP SLICE_X19Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y106 IS_PAD 0 SITEPROP SLICE_X19Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y106 IS_RESERVED 0 SITEPROP SLICE_X19Y106 IS_TEST 0 SITEPROP SLICE_X19Y106 IS_USED 0 SITEPROP SLICE_X19Y106 MANUAL_ROUTING SITEPROP SLICE_X19Y106 NAME SLICE_X19Y106 SITEPROP SLICE_X19Y106 NUM_ARCS 138 SITEPROP SLICE_X19Y106 NUM_BELS 32 SITEPROP SLICE_X19Y106 NUM_INPUTS 32 SITEPROP SLICE_X19Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y106 NUM_PINS 45 SITEPROP SLICE_X19Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y106 PROHIBIT 0 SITEPROP SLICE_X19Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y106 RPM_X 63 SITEPROP SLICE_X19Y106 RPM_Y 212 SITEPROP SLICE_X19Y106 SITE_PIPS SITEPROP SLICE_X19Y106 SITE_TYPE SLICEL SITEPROP SLICE_X19Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y107 CLASS site SITEPROP SLICE_X19Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y107 IS_BONDED 0 SITEPROP SLICE_X19Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y107 IS_PAD 0 SITEPROP SLICE_X19Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y107 IS_RESERVED 0 SITEPROP SLICE_X19Y107 IS_TEST 0 SITEPROP SLICE_X19Y107 IS_USED 0 SITEPROP SLICE_X19Y107 MANUAL_ROUTING SITEPROP SLICE_X19Y107 NAME SLICE_X19Y107 SITEPROP SLICE_X19Y107 NUM_ARCS 138 SITEPROP SLICE_X19Y107 NUM_BELS 32 SITEPROP SLICE_X19Y107 NUM_INPUTS 32 SITEPROP SLICE_X19Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y107 NUM_PINS 45 SITEPROP SLICE_X19Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y107 PROHIBIT 0 SITEPROP SLICE_X19Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y107 RPM_X 63 SITEPROP SLICE_X19Y107 RPM_Y 214 SITEPROP SLICE_X19Y107 SITE_PIPS SITEPROP SLICE_X19Y107 SITE_TYPE SLICEL SITEPROP SLICE_X19Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y108 CLASS site SITEPROP SLICE_X19Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y108 IS_BONDED 0 SITEPROP SLICE_X19Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y108 IS_PAD 0 SITEPROP SLICE_X19Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y108 IS_RESERVED 0 SITEPROP SLICE_X19Y108 IS_TEST 0 SITEPROP SLICE_X19Y108 IS_USED 0 SITEPROP SLICE_X19Y108 MANUAL_ROUTING SITEPROP SLICE_X19Y108 NAME SLICE_X19Y108 SITEPROP SLICE_X19Y108 NUM_ARCS 138 SITEPROP SLICE_X19Y108 NUM_BELS 32 SITEPROP SLICE_X19Y108 NUM_INPUTS 32 SITEPROP SLICE_X19Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y108 NUM_PINS 45 SITEPROP SLICE_X19Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y108 PROHIBIT 0 SITEPROP SLICE_X19Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y108 RPM_X 63 SITEPROP SLICE_X19Y108 RPM_Y 216 SITEPROP SLICE_X19Y108 SITE_PIPS SITEPROP SLICE_X19Y108 SITE_TYPE SLICEL SITEPROP SLICE_X19Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y109 CLASS site SITEPROP SLICE_X19Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y109 IS_BONDED 0 SITEPROP SLICE_X19Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y109 IS_PAD 0 SITEPROP SLICE_X19Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y109 IS_RESERVED 0 SITEPROP SLICE_X19Y109 IS_TEST 0 SITEPROP SLICE_X19Y109 IS_USED 0 SITEPROP SLICE_X19Y109 MANUAL_ROUTING SITEPROP SLICE_X19Y109 NAME SLICE_X19Y109 SITEPROP SLICE_X19Y109 NUM_ARCS 138 SITEPROP SLICE_X19Y109 NUM_BELS 32 SITEPROP SLICE_X19Y109 NUM_INPUTS 32 SITEPROP SLICE_X19Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y109 NUM_PINS 45 SITEPROP SLICE_X19Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y109 PROHIBIT 0 SITEPROP SLICE_X19Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y109 RPM_X 63 SITEPROP SLICE_X19Y109 RPM_Y 218 SITEPROP SLICE_X19Y109 SITE_PIPS SITEPROP SLICE_X19Y109 SITE_TYPE SLICEL SITEPROP SLICE_X19Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y110 CLASS site SITEPROP SLICE_X19Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y110 IS_BONDED 0 SITEPROP SLICE_X19Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y110 IS_PAD 0 SITEPROP SLICE_X19Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y110 IS_RESERVED 0 SITEPROP SLICE_X19Y110 IS_TEST 0 SITEPROP SLICE_X19Y110 IS_USED 0 SITEPROP SLICE_X19Y110 MANUAL_ROUTING SITEPROP SLICE_X19Y110 NAME SLICE_X19Y110 SITEPROP SLICE_X19Y110 NUM_ARCS 138 SITEPROP SLICE_X19Y110 NUM_BELS 32 SITEPROP SLICE_X19Y110 NUM_INPUTS 32 SITEPROP SLICE_X19Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y110 NUM_PINS 45 SITEPROP SLICE_X19Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y110 PROHIBIT 0 SITEPROP SLICE_X19Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y110 RPM_X 63 SITEPROP SLICE_X19Y110 RPM_Y 220 SITEPROP SLICE_X19Y110 SITE_PIPS SITEPROP SLICE_X19Y110 SITE_TYPE SLICEL SITEPROP SLICE_X19Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y111 CLASS site SITEPROP SLICE_X19Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y111 IS_BONDED 0 SITEPROP SLICE_X19Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y111 IS_PAD 0 SITEPROP SLICE_X19Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y111 IS_RESERVED 0 SITEPROP SLICE_X19Y111 IS_TEST 0 SITEPROP SLICE_X19Y111 IS_USED 0 SITEPROP SLICE_X19Y111 MANUAL_ROUTING SITEPROP SLICE_X19Y111 NAME SLICE_X19Y111 SITEPROP SLICE_X19Y111 NUM_ARCS 138 SITEPROP SLICE_X19Y111 NUM_BELS 32 SITEPROP SLICE_X19Y111 NUM_INPUTS 32 SITEPROP SLICE_X19Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y111 NUM_PINS 45 SITEPROP SLICE_X19Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y111 PROHIBIT 0 SITEPROP SLICE_X19Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y111 RPM_X 63 SITEPROP SLICE_X19Y111 RPM_Y 222 SITEPROP SLICE_X19Y111 SITE_PIPS SITEPROP SLICE_X19Y111 SITE_TYPE SLICEL SITEPROP SLICE_X19Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y112 CLASS site SITEPROP SLICE_X19Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y112 IS_BONDED 0 SITEPROP SLICE_X19Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y112 IS_PAD 0 SITEPROP SLICE_X19Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y112 IS_RESERVED 0 SITEPROP SLICE_X19Y112 IS_TEST 0 SITEPROP SLICE_X19Y112 IS_USED 0 SITEPROP SLICE_X19Y112 MANUAL_ROUTING SITEPROP SLICE_X19Y112 NAME SLICE_X19Y112 SITEPROP SLICE_X19Y112 NUM_ARCS 138 SITEPROP SLICE_X19Y112 NUM_BELS 32 SITEPROP SLICE_X19Y112 NUM_INPUTS 32 SITEPROP SLICE_X19Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y112 NUM_PINS 45 SITEPROP SLICE_X19Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y112 PROHIBIT 0 SITEPROP SLICE_X19Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y112 RPM_X 63 SITEPROP SLICE_X19Y112 RPM_Y 224 SITEPROP SLICE_X19Y112 SITE_PIPS SITEPROP SLICE_X19Y112 SITE_TYPE SLICEL SITEPROP SLICE_X19Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y113 CLASS site SITEPROP SLICE_X19Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y113 IS_BONDED 0 SITEPROP SLICE_X19Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y113 IS_PAD 0 SITEPROP SLICE_X19Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y113 IS_RESERVED 0 SITEPROP SLICE_X19Y113 IS_TEST 0 SITEPROP SLICE_X19Y113 IS_USED 0 SITEPROP SLICE_X19Y113 MANUAL_ROUTING SITEPROP SLICE_X19Y113 NAME SLICE_X19Y113 SITEPROP SLICE_X19Y113 NUM_ARCS 138 SITEPROP SLICE_X19Y113 NUM_BELS 32 SITEPROP SLICE_X19Y113 NUM_INPUTS 32 SITEPROP SLICE_X19Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y113 NUM_PINS 45 SITEPROP SLICE_X19Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y113 PROHIBIT 0 SITEPROP SLICE_X19Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y113 RPM_X 63 SITEPROP SLICE_X19Y113 RPM_Y 226 SITEPROP SLICE_X19Y113 SITE_PIPS SITEPROP SLICE_X19Y113 SITE_TYPE SLICEL SITEPROP SLICE_X19Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y114 CLASS site SITEPROP SLICE_X19Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y114 IS_BONDED 0 SITEPROP SLICE_X19Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y114 IS_PAD 0 SITEPROP SLICE_X19Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y114 IS_RESERVED 0 SITEPROP SLICE_X19Y114 IS_TEST 0 SITEPROP SLICE_X19Y114 IS_USED 0 SITEPROP SLICE_X19Y114 MANUAL_ROUTING SITEPROP SLICE_X19Y114 NAME SLICE_X19Y114 SITEPROP SLICE_X19Y114 NUM_ARCS 138 SITEPROP SLICE_X19Y114 NUM_BELS 32 SITEPROP SLICE_X19Y114 NUM_INPUTS 32 SITEPROP SLICE_X19Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y114 NUM_PINS 45 SITEPROP SLICE_X19Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y114 PROHIBIT 0 SITEPROP SLICE_X19Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y114 RPM_X 63 SITEPROP SLICE_X19Y114 RPM_Y 228 SITEPROP SLICE_X19Y114 SITE_PIPS SITEPROP SLICE_X19Y114 SITE_TYPE SLICEL SITEPROP SLICE_X19Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y115 CLASS site SITEPROP SLICE_X19Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y115 IS_BONDED 0 SITEPROP SLICE_X19Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y115 IS_PAD 0 SITEPROP SLICE_X19Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y115 IS_RESERVED 0 SITEPROP SLICE_X19Y115 IS_TEST 0 SITEPROP SLICE_X19Y115 IS_USED 0 SITEPROP SLICE_X19Y115 MANUAL_ROUTING SITEPROP SLICE_X19Y115 NAME SLICE_X19Y115 SITEPROP SLICE_X19Y115 NUM_ARCS 138 SITEPROP SLICE_X19Y115 NUM_BELS 32 SITEPROP SLICE_X19Y115 NUM_INPUTS 32 SITEPROP SLICE_X19Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y115 NUM_PINS 45 SITEPROP SLICE_X19Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y115 PROHIBIT 0 SITEPROP SLICE_X19Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y115 RPM_X 63 SITEPROP SLICE_X19Y115 RPM_Y 230 SITEPROP SLICE_X19Y115 SITE_PIPS SITEPROP SLICE_X19Y115 SITE_TYPE SLICEL SITEPROP SLICE_X19Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y116 CLASS site SITEPROP SLICE_X19Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y116 IS_BONDED 0 SITEPROP SLICE_X19Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y116 IS_PAD 0 SITEPROP SLICE_X19Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y116 IS_RESERVED 0 SITEPROP SLICE_X19Y116 IS_TEST 0 SITEPROP SLICE_X19Y116 IS_USED 0 SITEPROP SLICE_X19Y116 MANUAL_ROUTING SITEPROP SLICE_X19Y116 NAME SLICE_X19Y116 SITEPROP SLICE_X19Y116 NUM_ARCS 138 SITEPROP SLICE_X19Y116 NUM_BELS 32 SITEPROP SLICE_X19Y116 NUM_INPUTS 32 SITEPROP SLICE_X19Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y116 NUM_PINS 45 SITEPROP SLICE_X19Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y116 PROHIBIT 0 SITEPROP SLICE_X19Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y116 RPM_X 63 SITEPROP SLICE_X19Y116 RPM_Y 232 SITEPROP SLICE_X19Y116 SITE_PIPS SITEPROP SLICE_X19Y116 SITE_TYPE SLICEL SITEPROP SLICE_X19Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y117 CLASS site SITEPROP SLICE_X19Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y117 IS_BONDED 0 SITEPROP SLICE_X19Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y117 IS_PAD 0 SITEPROP SLICE_X19Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y117 IS_RESERVED 0 SITEPROP SLICE_X19Y117 IS_TEST 0 SITEPROP SLICE_X19Y117 IS_USED 0 SITEPROP SLICE_X19Y117 MANUAL_ROUTING SITEPROP SLICE_X19Y117 NAME SLICE_X19Y117 SITEPROP SLICE_X19Y117 NUM_ARCS 138 SITEPROP SLICE_X19Y117 NUM_BELS 32 SITEPROP SLICE_X19Y117 NUM_INPUTS 32 SITEPROP SLICE_X19Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y117 NUM_PINS 45 SITEPROP SLICE_X19Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y117 PROHIBIT 0 SITEPROP SLICE_X19Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y117 RPM_X 63 SITEPROP SLICE_X19Y117 RPM_Y 234 SITEPROP SLICE_X19Y117 SITE_PIPS SITEPROP SLICE_X19Y117 SITE_TYPE SLICEL SITEPROP SLICE_X19Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y118 CLASS site SITEPROP SLICE_X19Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y118 IS_BONDED 0 SITEPROP SLICE_X19Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y118 IS_PAD 0 SITEPROP SLICE_X19Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y118 IS_RESERVED 0 SITEPROP SLICE_X19Y118 IS_TEST 0 SITEPROP SLICE_X19Y118 IS_USED 0 SITEPROP SLICE_X19Y118 MANUAL_ROUTING SITEPROP SLICE_X19Y118 NAME SLICE_X19Y118 SITEPROP SLICE_X19Y118 NUM_ARCS 138 SITEPROP SLICE_X19Y118 NUM_BELS 32 SITEPROP SLICE_X19Y118 NUM_INPUTS 32 SITEPROP SLICE_X19Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y118 NUM_PINS 45 SITEPROP SLICE_X19Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y118 PROHIBIT 0 SITEPROP SLICE_X19Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y118 RPM_X 63 SITEPROP SLICE_X19Y118 RPM_Y 236 SITEPROP SLICE_X19Y118 SITE_PIPS SITEPROP SLICE_X19Y118 SITE_TYPE SLICEL SITEPROP SLICE_X19Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y119 CLASS site SITEPROP SLICE_X19Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y119 IS_BONDED 0 SITEPROP SLICE_X19Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y119 IS_PAD 0 SITEPROP SLICE_X19Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y119 IS_RESERVED 0 SITEPROP SLICE_X19Y119 IS_TEST 0 SITEPROP SLICE_X19Y119 IS_USED 0 SITEPROP SLICE_X19Y119 MANUAL_ROUTING SITEPROP SLICE_X19Y119 NAME SLICE_X19Y119 SITEPROP SLICE_X19Y119 NUM_ARCS 138 SITEPROP SLICE_X19Y119 NUM_BELS 32 SITEPROP SLICE_X19Y119 NUM_INPUTS 32 SITEPROP SLICE_X19Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y119 NUM_PINS 45 SITEPROP SLICE_X19Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y119 PROHIBIT 0 SITEPROP SLICE_X19Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y119 RPM_X 63 SITEPROP SLICE_X19Y119 RPM_Y 238 SITEPROP SLICE_X19Y119 SITE_PIPS SITEPROP SLICE_X19Y119 SITE_TYPE SLICEL SITEPROP SLICE_X19Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y120 CLASS site SITEPROP SLICE_X19Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y120 IS_BONDED 0 SITEPROP SLICE_X19Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y120 IS_PAD 0 SITEPROP SLICE_X19Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y120 IS_RESERVED 0 SITEPROP SLICE_X19Y120 IS_TEST 0 SITEPROP SLICE_X19Y120 IS_USED 0 SITEPROP SLICE_X19Y120 MANUAL_ROUTING SITEPROP SLICE_X19Y120 NAME SLICE_X19Y120 SITEPROP SLICE_X19Y120 NUM_ARCS 138 SITEPROP SLICE_X19Y120 NUM_BELS 32 SITEPROP SLICE_X19Y120 NUM_INPUTS 32 SITEPROP SLICE_X19Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y120 NUM_PINS 45 SITEPROP SLICE_X19Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y120 PROHIBIT 0 SITEPROP SLICE_X19Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y120 RPM_X 63 SITEPROP SLICE_X19Y120 RPM_Y 240 SITEPROP SLICE_X19Y120 SITE_PIPS SITEPROP SLICE_X19Y120 SITE_TYPE SLICEL SITEPROP SLICE_X19Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y121 CLASS site SITEPROP SLICE_X19Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y121 IS_BONDED 0 SITEPROP SLICE_X19Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y121 IS_PAD 0 SITEPROP SLICE_X19Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y121 IS_RESERVED 0 SITEPROP SLICE_X19Y121 IS_TEST 0 SITEPROP SLICE_X19Y121 IS_USED 0 SITEPROP SLICE_X19Y121 MANUAL_ROUTING SITEPROP SLICE_X19Y121 NAME SLICE_X19Y121 SITEPROP SLICE_X19Y121 NUM_ARCS 138 SITEPROP SLICE_X19Y121 NUM_BELS 32 SITEPROP SLICE_X19Y121 NUM_INPUTS 32 SITEPROP SLICE_X19Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y121 NUM_PINS 45 SITEPROP SLICE_X19Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y121 PROHIBIT 0 SITEPROP SLICE_X19Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y121 RPM_X 63 SITEPROP SLICE_X19Y121 RPM_Y 242 SITEPROP SLICE_X19Y121 SITE_PIPS SITEPROP SLICE_X19Y121 SITE_TYPE SLICEL SITEPROP SLICE_X19Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y122 CLASS site SITEPROP SLICE_X19Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y122 IS_BONDED 0 SITEPROP SLICE_X19Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y122 IS_PAD 0 SITEPROP SLICE_X19Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y122 IS_RESERVED 0 SITEPROP SLICE_X19Y122 IS_TEST 0 SITEPROP SLICE_X19Y122 IS_USED 0 SITEPROP SLICE_X19Y122 MANUAL_ROUTING SITEPROP SLICE_X19Y122 NAME SLICE_X19Y122 SITEPROP SLICE_X19Y122 NUM_ARCS 138 SITEPROP SLICE_X19Y122 NUM_BELS 32 SITEPROP SLICE_X19Y122 NUM_INPUTS 32 SITEPROP SLICE_X19Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y122 NUM_PINS 45 SITEPROP SLICE_X19Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y122 PROHIBIT 0 SITEPROP SLICE_X19Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y122 RPM_X 63 SITEPROP SLICE_X19Y122 RPM_Y 244 SITEPROP SLICE_X19Y122 SITE_PIPS SITEPROP SLICE_X19Y122 SITE_TYPE SLICEL SITEPROP SLICE_X19Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y123 CLASS site SITEPROP SLICE_X19Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y123 IS_BONDED 0 SITEPROP SLICE_X19Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y123 IS_PAD 0 SITEPROP SLICE_X19Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y123 IS_RESERVED 0 SITEPROP SLICE_X19Y123 IS_TEST 0 SITEPROP SLICE_X19Y123 IS_USED 0 SITEPROP SLICE_X19Y123 MANUAL_ROUTING SITEPROP SLICE_X19Y123 NAME SLICE_X19Y123 SITEPROP SLICE_X19Y123 NUM_ARCS 138 SITEPROP SLICE_X19Y123 NUM_BELS 32 SITEPROP SLICE_X19Y123 NUM_INPUTS 32 SITEPROP SLICE_X19Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y123 NUM_PINS 45 SITEPROP SLICE_X19Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y123 PROHIBIT 0 SITEPROP SLICE_X19Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y123 RPM_X 63 SITEPROP SLICE_X19Y123 RPM_Y 246 SITEPROP SLICE_X19Y123 SITE_PIPS SITEPROP SLICE_X19Y123 SITE_TYPE SLICEL SITEPROP SLICE_X19Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y124 CLASS site SITEPROP SLICE_X19Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y124 IS_BONDED 0 SITEPROP SLICE_X19Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y124 IS_PAD 0 SITEPROP SLICE_X19Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y124 IS_RESERVED 0 SITEPROP SLICE_X19Y124 IS_TEST 0 SITEPROP SLICE_X19Y124 IS_USED 0 SITEPROP SLICE_X19Y124 MANUAL_ROUTING SITEPROP SLICE_X19Y124 NAME SLICE_X19Y124 SITEPROP SLICE_X19Y124 NUM_ARCS 138 SITEPROP SLICE_X19Y124 NUM_BELS 32 SITEPROP SLICE_X19Y124 NUM_INPUTS 32 SITEPROP SLICE_X19Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y124 NUM_PINS 45 SITEPROP SLICE_X19Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y124 PROHIBIT 0 SITEPROP SLICE_X19Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y124 RPM_X 63 SITEPROP SLICE_X19Y124 RPM_Y 248 SITEPROP SLICE_X19Y124 SITE_PIPS SITEPROP SLICE_X19Y124 SITE_TYPE SLICEL SITEPROP SLICE_X19Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y125 CLASS site SITEPROP SLICE_X19Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y125 IS_BONDED 0 SITEPROP SLICE_X19Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y125 IS_PAD 0 SITEPROP SLICE_X19Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y125 IS_RESERVED 0 SITEPROP SLICE_X19Y125 IS_TEST 0 SITEPROP SLICE_X19Y125 IS_USED 0 SITEPROP SLICE_X19Y125 MANUAL_ROUTING SITEPROP SLICE_X19Y125 NAME SLICE_X19Y125 SITEPROP SLICE_X19Y125 NUM_ARCS 138 SITEPROP SLICE_X19Y125 NUM_BELS 32 SITEPROP SLICE_X19Y125 NUM_INPUTS 32 SITEPROP SLICE_X19Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y125 NUM_PINS 45 SITEPROP SLICE_X19Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y125 PROHIBIT 0 SITEPROP SLICE_X19Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y125 RPM_X 63 SITEPROP SLICE_X19Y125 RPM_Y 250 SITEPROP SLICE_X19Y125 SITE_PIPS SITEPROP SLICE_X19Y125 SITE_TYPE SLICEL SITEPROP SLICE_X19Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y126 CLASS site SITEPROP SLICE_X19Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y126 IS_BONDED 0 SITEPROP SLICE_X19Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y126 IS_PAD 0 SITEPROP SLICE_X19Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y126 IS_RESERVED 0 SITEPROP SLICE_X19Y126 IS_TEST 0 SITEPROP SLICE_X19Y126 IS_USED 0 SITEPROP SLICE_X19Y126 MANUAL_ROUTING SITEPROP SLICE_X19Y126 NAME SLICE_X19Y126 SITEPROP SLICE_X19Y126 NUM_ARCS 138 SITEPROP SLICE_X19Y126 NUM_BELS 32 SITEPROP SLICE_X19Y126 NUM_INPUTS 32 SITEPROP SLICE_X19Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y126 NUM_PINS 45 SITEPROP SLICE_X19Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y126 PROHIBIT 0 SITEPROP SLICE_X19Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y126 RPM_X 63 SITEPROP SLICE_X19Y126 RPM_Y 252 SITEPROP SLICE_X19Y126 SITE_PIPS SITEPROP SLICE_X19Y126 SITE_TYPE SLICEL SITEPROP SLICE_X19Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y127 CLASS site SITEPROP SLICE_X19Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y127 IS_BONDED 0 SITEPROP SLICE_X19Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y127 IS_PAD 0 SITEPROP SLICE_X19Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y127 IS_RESERVED 0 SITEPROP SLICE_X19Y127 IS_TEST 0 SITEPROP SLICE_X19Y127 IS_USED 0 SITEPROP SLICE_X19Y127 MANUAL_ROUTING SITEPROP SLICE_X19Y127 NAME SLICE_X19Y127 SITEPROP SLICE_X19Y127 NUM_ARCS 138 SITEPROP SLICE_X19Y127 NUM_BELS 32 SITEPROP SLICE_X19Y127 NUM_INPUTS 32 SITEPROP SLICE_X19Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y127 NUM_PINS 45 SITEPROP SLICE_X19Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y127 PROHIBIT 0 SITEPROP SLICE_X19Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y127 RPM_X 63 SITEPROP SLICE_X19Y127 RPM_Y 254 SITEPROP SLICE_X19Y127 SITE_PIPS SITEPROP SLICE_X19Y127 SITE_TYPE SLICEL SITEPROP SLICE_X19Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y128 CLASS site SITEPROP SLICE_X19Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y128 IS_BONDED 0 SITEPROP SLICE_X19Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y128 IS_PAD 0 SITEPROP SLICE_X19Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y128 IS_RESERVED 0 SITEPROP SLICE_X19Y128 IS_TEST 0 SITEPROP SLICE_X19Y128 IS_USED 0 SITEPROP SLICE_X19Y128 MANUAL_ROUTING SITEPROP SLICE_X19Y128 NAME SLICE_X19Y128 SITEPROP SLICE_X19Y128 NUM_ARCS 138 SITEPROP SLICE_X19Y128 NUM_BELS 32 SITEPROP SLICE_X19Y128 NUM_INPUTS 32 SITEPROP SLICE_X19Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y128 NUM_PINS 45 SITEPROP SLICE_X19Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y128 PROHIBIT 0 SITEPROP SLICE_X19Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y128 RPM_X 63 SITEPROP SLICE_X19Y128 RPM_Y 256 SITEPROP SLICE_X19Y128 SITE_PIPS SITEPROP SLICE_X19Y128 SITE_TYPE SLICEL SITEPROP SLICE_X19Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y129 CLASS site SITEPROP SLICE_X19Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y129 IS_BONDED 0 SITEPROP SLICE_X19Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y129 IS_PAD 0 SITEPROP SLICE_X19Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y129 IS_RESERVED 0 SITEPROP SLICE_X19Y129 IS_TEST 0 SITEPROP SLICE_X19Y129 IS_USED 0 SITEPROP SLICE_X19Y129 MANUAL_ROUTING SITEPROP SLICE_X19Y129 NAME SLICE_X19Y129 SITEPROP SLICE_X19Y129 NUM_ARCS 138 SITEPROP SLICE_X19Y129 NUM_BELS 32 SITEPROP SLICE_X19Y129 NUM_INPUTS 32 SITEPROP SLICE_X19Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y129 NUM_PINS 45 SITEPROP SLICE_X19Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y129 PROHIBIT 0 SITEPROP SLICE_X19Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y129 RPM_X 63 SITEPROP SLICE_X19Y129 RPM_Y 258 SITEPROP SLICE_X19Y129 SITE_PIPS SITEPROP SLICE_X19Y129 SITE_TYPE SLICEL SITEPROP SLICE_X19Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y130 CLASS site SITEPROP SLICE_X19Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y130 IS_BONDED 0 SITEPROP SLICE_X19Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y130 IS_PAD 0 SITEPROP SLICE_X19Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y130 IS_RESERVED 0 SITEPROP SLICE_X19Y130 IS_TEST 0 SITEPROP SLICE_X19Y130 IS_USED 0 SITEPROP SLICE_X19Y130 MANUAL_ROUTING SITEPROP SLICE_X19Y130 NAME SLICE_X19Y130 SITEPROP SLICE_X19Y130 NUM_ARCS 138 SITEPROP SLICE_X19Y130 NUM_BELS 32 SITEPROP SLICE_X19Y130 NUM_INPUTS 32 SITEPROP SLICE_X19Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y130 NUM_PINS 45 SITEPROP SLICE_X19Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y130 PROHIBIT 0 SITEPROP SLICE_X19Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y130 RPM_X 63 SITEPROP SLICE_X19Y130 RPM_Y 260 SITEPROP SLICE_X19Y130 SITE_PIPS SITEPROP SLICE_X19Y130 SITE_TYPE SLICEL SITEPROP SLICE_X19Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y131 CLASS site SITEPROP SLICE_X19Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y131 IS_BONDED 0 SITEPROP SLICE_X19Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y131 IS_PAD 0 SITEPROP SLICE_X19Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y131 IS_RESERVED 0 SITEPROP SLICE_X19Y131 IS_TEST 0 SITEPROP SLICE_X19Y131 IS_USED 0 SITEPROP SLICE_X19Y131 MANUAL_ROUTING SITEPROP SLICE_X19Y131 NAME SLICE_X19Y131 SITEPROP SLICE_X19Y131 NUM_ARCS 138 SITEPROP SLICE_X19Y131 NUM_BELS 32 SITEPROP SLICE_X19Y131 NUM_INPUTS 32 SITEPROP SLICE_X19Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y131 NUM_PINS 45 SITEPROP SLICE_X19Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y131 PROHIBIT 0 SITEPROP SLICE_X19Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y131 RPM_X 63 SITEPROP SLICE_X19Y131 RPM_Y 262 SITEPROP SLICE_X19Y131 SITE_PIPS SITEPROP SLICE_X19Y131 SITE_TYPE SLICEL SITEPROP SLICE_X19Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y132 CLASS site SITEPROP SLICE_X19Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y132 IS_BONDED 0 SITEPROP SLICE_X19Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y132 IS_PAD 0 SITEPROP SLICE_X19Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y132 IS_RESERVED 0 SITEPROP SLICE_X19Y132 IS_TEST 0 SITEPROP SLICE_X19Y132 IS_USED 0 SITEPROP SLICE_X19Y132 MANUAL_ROUTING SITEPROP SLICE_X19Y132 NAME SLICE_X19Y132 SITEPROP SLICE_X19Y132 NUM_ARCS 138 SITEPROP SLICE_X19Y132 NUM_BELS 32 SITEPROP SLICE_X19Y132 NUM_INPUTS 32 SITEPROP SLICE_X19Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y132 NUM_PINS 45 SITEPROP SLICE_X19Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y132 PROHIBIT 0 SITEPROP SLICE_X19Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y132 RPM_X 63 SITEPROP SLICE_X19Y132 RPM_Y 264 SITEPROP SLICE_X19Y132 SITE_PIPS SITEPROP SLICE_X19Y132 SITE_TYPE SLICEL SITEPROP SLICE_X19Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y133 CLASS site SITEPROP SLICE_X19Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y133 IS_BONDED 0 SITEPROP SLICE_X19Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y133 IS_PAD 0 SITEPROP SLICE_X19Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y133 IS_RESERVED 0 SITEPROP SLICE_X19Y133 IS_TEST 0 SITEPROP SLICE_X19Y133 IS_USED 0 SITEPROP SLICE_X19Y133 MANUAL_ROUTING SITEPROP SLICE_X19Y133 NAME SLICE_X19Y133 SITEPROP SLICE_X19Y133 NUM_ARCS 138 SITEPROP SLICE_X19Y133 NUM_BELS 32 SITEPROP SLICE_X19Y133 NUM_INPUTS 32 SITEPROP SLICE_X19Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y133 NUM_PINS 45 SITEPROP SLICE_X19Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y133 PROHIBIT 0 SITEPROP SLICE_X19Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y133 RPM_X 63 SITEPROP SLICE_X19Y133 RPM_Y 266 SITEPROP SLICE_X19Y133 SITE_PIPS SITEPROP SLICE_X19Y133 SITE_TYPE SLICEL SITEPROP SLICE_X19Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y134 CLASS site SITEPROP SLICE_X19Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y134 IS_BONDED 0 SITEPROP SLICE_X19Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y134 IS_PAD 0 SITEPROP SLICE_X19Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y134 IS_RESERVED 0 SITEPROP SLICE_X19Y134 IS_TEST 0 SITEPROP SLICE_X19Y134 IS_USED 0 SITEPROP SLICE_X19Y134 MANUAL_ROUTING SITEPROP SLICE_X19Y134 NAME SLICE_X19Y134 SITEPROP SLICE_X19Y134 NUM_ARCS 138 SITEPROP SLICE_X19Y134 NUM_BELS 32 SITEPROP SLICE_X19Y134 NUM_INPUTS 32 SITEPROP SLICE_X19Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y134 NUM_PINS 45 SITEPROP SLICE_X19Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y134 PROHIBIT 0 SITEPROP SLICE_X19Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y134 RPM_X 63 SITEPROP SLICE_X19Y134 RPM_Y 268 SITEPROP SLICE_X19Y134 SITE_PIPS SITEPROP SLICE_X19Y134 SITE_TYPE SLICEL SITEPROP SLICE_X19Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y135 CLASS site SITEPROP SLICE_X19Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y135 IS_BONDED 0 SITEPROP SLICE_X19Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y135 IS_PAD 0 SITEPROP SLICE_X19Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y135 IS_RESERVED 0 SITEPROP SLICE_X19Y135 IS_TEST 0 SITEPROP SLICE_X19Y135 IS_USED 0 SITEPROP SLICE_X19Y135 MANUAL_ROUTING SITEPROP SLICE_X19Y135 NAME SLICE_X19Y135 SITEPROP SLICE_X19Y135 NUM_ARCS 138 SITEPROP SLICE_X19Y135 NUM_BELS 32 SITEPROP SLICE_X19Y135 NUM_INPUTS 32 SITEPROP SLICE_X19Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y135 NUM_PINS 45 SITEPROP SLICE_X19Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y135 PROHIBIT 0 SITEPROP SLICE_X19Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y135 RPM_X 63 SITEPROP SLICE_X19Y135 RPM_Y 270 SITEPROP SLICE_X19Y135 SITE_PIPS SITEPROP SLICE_X19Y135 SITE_TYPE SLICEL SITEPROP SLICE_X19Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y136 CLASS site SITEPROP SLICE_X19Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y136 IS_BONDED 0 SITEPROP SLICE_X19Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y136 IS_PAD 0 SITEPROP SLICE_X19Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y136 IS_RESERVED 0 SITEPROP SLICE_X19Y136 IS_TEST 0 SITEPROP SLICE_X19Y136 IS_USED 0 SITEPROP SLICE_X19Y136 MANUAL_ROUTING SITEPROP SLICE_X19Y136 NAME SLICE_X19Y136 SITEPROP SLICE_X19Y136 NUM_ARCS 138 SITEPROP SLICE_X19Y136 NUM_BELS 32 SITEPROP SLICE_X19Y136 NUM_INPUTS 32 SITEPROP SLICE_X19Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y136 NUM_PINS 45 SITEPROP SLICE_X19Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y136 PROHIBIT 0 SITEPROP SLICE_X19Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y136 RPM_X 63 SITEPROP SLICE_X19Y136 RPM_Y 272 SITEPROP SLICE_X19Y136 SITE_PIPS SITEPROP SLICE_X19Y136 SITE_TYPE SLICEL SITEPROP SLICE_X19Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y137 CLASS site SITEPROP SLICE_X19Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y137 IS_BONDED 0 SITEPROP SLICE_X19Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y137 IS_PAD 0 SITEPROP SLICE_X19Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y137 IS_RESERVED 0 SITEPROP SLICE_X19Y137 IS_TEST 0 SITEPROP SLICE_X19Y137 IS_USED 0 SITEPROP SLICE_X19Y137 MANUAL_ROUTING SITEPROP SLICE_X19Y137 NAME SLICE_X19Y137 SITEPROP SLICE_X19Y137 NUM_ARCS 138 SITEPROP SLICE_X19Y137 NUM_BELS 32 SITEPROP SLICE_X19Y137 NUM_INPUTS 32 SITEPROP SLICE_X19Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y137 NUM_PINS 45 SITEPROP SLICE_X19Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y137 PROHIBIT 0 SITEPROP SLICE_X19Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y137 RPM_X 63 SITEPROP SLICE_X19Y137 RPM_Y 274 SITEPROP SLICE_X19Y137 SITE_PIPS SITEPROP SLICE_X19Y137 SITE_TYPE SLICEL SITEPROP SLICE_X19Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y138 CLASS site SITEPROP SLICE_X19Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y138 IS_BONDED 0 SITEPROP SLICE_X19Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y138 IS_PAD 0 SITEPROP SLICE_X19Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y138 IS_RESERVED 0 SITEPROP SLICE_X19Y138 IS_TEST 0 SITEPROP SLICE_X19Y138 IS_USED 0 SITEPROP SLICE_X19Y138 MANUAL_ROUTING SITEPROP SLICE_X19Y138 NAME SLICE_X19Y138 SITEPROP SLICE_X19Y138 NUM_ARCS 138 SITEPROP SLICE_X19Y138 NUM_BELS 32 SITEPROP SLICE_X19Y138 NUM_INPUTS 32 SITEPROP SLICE_X19Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y138 NUM_PINS 45 SITEPROP SLICE_X19Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y138 PROHIBIT 0 SITEPROP SLICE_X19Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y138 RPM_X 63 SITEPROP SLICE_X19Y138 RPM_Y 276 SITEPROP SLICE_X19Y138 SITE_PIPS SITEPROP SLICE_X19Y138 SITE_TYPE SLICEL SITEPROP SLICE_X19Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y139 CLASS site SITEPROP SLICE_X19Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y139 IS_BONDED 0 SITEPROP SLICE_X19Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y139 IS_PAD 0 SITEPROP SLICE_X19Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y139 IS_RESERVED 0 SITEPROP SLICE_X19Y139 IS_TEST 0 SITEPROP SLICE_X19Y139 IS_USED 0 SITEPROP SLICE_X19Y139 MANUAL_ROUTING SITEPROP SLICE_X19Y139 NAME SLICE_X19Y139 SITEPROP SLICE_X19Y139 NUM_ARCS 138 SITEPROP SLICE_X19Y139 NUM_BELS 32 SITEPROP SLICE_X19Y139 NUM_INPUTS 32 SITEPROP SLICE_X19Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y139 NUM_PINS 45 SITEPROP SLICE_X19Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y139 PROHIBIT 0 SITEPROP SLICE_X19Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y139 RPM_X 63 SITEPROP SLICE_X19Y139 RPM_Y 278 SITEPROP SLICE_X19Y139 SITE_PIPS SITEPROP SLICE_X19Y139 SITE_TYPE SLICEL SITEPROP SLICE_X19Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y140 CLASS site SITEPROP SLICE_X19Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y140 IS_BONDED 0 SITEPROP SLICE_X19Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y140 IS_PAD 0 SITEPROP SLICE_X19Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y140 IS_RESERVED 0 SITEPROP SLICE_X19Y140 IS_TEST 0 SITEPROP SLICE_X19Y140 IS_USED 0 SITEPROP SLICE_X19Y140 MANUAL_ROUTING SITEPROP SLICE_X19Y140 NAME SLICE_X19Y140 SITEPROP SLICE_X19Y140 NUM_ARCS 138 SITEPROP SLICE_X19Y140 NUM_BELS 32 SITEPROP SLICE_X19Y140 NUM_INPUTS 32 SITEPROP SLICE_X19Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y140 NUM_PINS 45 SITEPROP SLICE_X19Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y140 PROHIBIT 0 SITEPROP SLICE_X19Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y140 RPM_X 63 SITEPROP SLICE_X19Y140 RPM_Y 280 SITEPROP SLICE_X19Y140 SITE_PIPS SITEPROP SLICE_X19Y140 SITE_TYPE SLICEL SITEPROP SLICE_X19Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y141 CLASS site SITEPROP SLICE_X19Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y141 IS_BONDED 0 SITEPROP SLICE_X19Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y141 IS_PAD 0 SITEPROP SLICE_X19Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y141 IS_RESERVED 0 SITEPROP SLICE_X19Y141 IS_TEST 0 SITEPROP SLICE_X19Y141 IS_USED 0 SITEPROP SLICE_X19Y141 MANUAL_ROUTING SITEPROP SLICE_X19Y141 NAME SLICE_X19Y141 SITEPROP SLICE_X19Y141 NUM_ARCS 138 SITEPROP SLICE_X19Y141 NUM_BELS 32 SITEPROP SLICE_X19Y141 NUM_INPUTS 32 SITEPROP SLICE_X19Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y141 NUM_PINS 45 SITEPROP SLICE_X19Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y141 PROHIBIT 0 SITEPROP SLICE_X19Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y141 RPM_X 63 SITEPROP SLICE_X19Y141 RPM_Y 282 SITEPROP SLICE_X19Y141 SITE_PIPS SITEPROP SLICE_X19Y141 SITE_TYPE SLICEL SITEPROP SLICE_X19Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y142 CLASS site SITEPROP SLICE_X19Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y142 IS_BONDED 0 SITEPROP SLICE_X19Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y142 IS_PAD 0 SITEPROP SLICE_X19Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y142 IS_RESERVED 0 SITEPROP SLICE_X19Y142 IS_TEST 0 SITEPROP SLICE_X19Y142 IS_USED 0 SITEPROP SLICE_X19Y142 MANUAL_ROUTING SITEPROP SLICE_X19Y142 NAME SLICE_X19Y142 SITEPROP SLICE_X19Y142 NUM_ARCS 138 SITEPROP SLICE_X19Y142 NUM_BELS 32 SITEPROP SLICE_X19Y142 NUM_INPUTS 32 SITEPROP SLICE_X19Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y142 NUM_PINS 45 SITEPROP SLICE_X19Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y142 PROHIBIT 0 SITEPROP SLICE_X19Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y142 RPM_X 63 SITEPROP SLICE_X19Y142 RPM_Y 284 SITEPROP SLICE_X19Y142 SITE_PIPS SITEPROP SLICE_X19Y142 SITE_TYPE SLICEL SITEPROP SLICE_X19Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y143 CLASS site SITEPROP SLICE_X19Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y143 IS_BONDED 0 SITEPROP SLICE_X19Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y143 IS_PAD 0 SITEPROP SLICE_X19Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y143 IS_RESERVED 0 SITEPROP SLICE_X19Y143 IS_TEST 0 SITEPROP SLICE_X19Y143 IS_USED 0 SITEPROP SLICE_X19Y143 MANUAL_ROUTING SITEPROP SLICE_X19Y143 NAME SLICE_X19Y143 SITEPROP SLICE_X19Y143 NUM_ARCS 138 SITEPROP SLICE_X19Y143 NUM_BELS 32 SITEPROP SLICE_X19Y143 NUM_INPUTS 32 SITEPROP SLICE_X19Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y143 NUM_PINS 45 SITEPROP SLICE_X19Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y143 PROHIBIT 0 SITEPROP SLICE_X19Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y143 RPM_X 63 SITEPROP SLICE_X19Y143 RPM_Y 286 SITEPROP SLICE_X19Y143 SITE_PIPS SITEPROP SLICE_X19Y143 SITE_TYPE SLICEL SITEPROP SLICE_X19Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y144 CLASS site SITEPROP SLICE_X19Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y144 IS_BONDED 0 SITEPROP SLICE_X19Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y144 IS_PAD 0 SITEPROP SLICE_X19Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y144 IS_RESERVED 0 SITEPROP SLICE_X19Y144 IS_TEST 0 SITEPROP SLICE_X19Y144 IS_USED 0 SITEPROP SLICE_X19Y144 MANUAL_ROUTING SITEPROP SLICE_X19Y144 NAME SLICE_X19Y144 SITEPROP SLICE_X19Y144 NUM_ARCS 138 SITEPROP SLICE_X19Y144 NUM_BELS 32 SITEPROP SLICE_X19Y144 NUM_INPUTS 32 SITEPROP SLICE_X19Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y144 NUM_PINS 45 SITEPROP SLICE_X19Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y144 PROHIBIT 0 SITEPROP SLICE_X19Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y144 RPM_X 63 SITEPROP SLICE_X19Y144 RPM_Y 288 SITEPROP SLICE_X19Y144 SITE_PIPS SITEPROP SLICE_X19Y144 SITE_TYPE SLICEL SITEPROP SLICE_X19Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y145 CLASS site SITEPROP SLICE_X19Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y145 IS_BONDED 0 SITEPROP SLICE_X19Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y145 IS_PAD 0 SITEPROP SLICE_X19Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y145 IS_RESERVED 0 SITEPROP SLICE_X19Y145 IS_TEST 0 SITEPROP SLICE_X19Y145 IS_USED 0 SITEPROP SLICE_X19Y145 MANUAL_ROUTING SITEPROP SLICE_X19Y145 NAME SLICE_X19Y145 SITEPROP SLICE_X19Y145 NUM_ARCS 138 SITEPROP SLICE_X19Y145 NUM_BELS 32 SITEPROP SLICE_X19Y145 NUM_INPUTS 32 SITEPROP SLICE_X19Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y145 NUM_PINS 45 SITEPROP SLICE_X19Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y145 PROHIBIT 0 SITEPROP SLICE_X19Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y145 RPM_X 63 SITEPROP SLICE_X19Y145 RPM_Y 290 SITEPROP SLICE_X19Y145 SITE_PIPS SITEPROP SLICE_X19Y145 SITE_TYPE SLICEL SITEPROP SLICE_X19Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y146 CLASS site SITEPROP SLICE_X19Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y146 IS_BONDED 0 SITEPROP SLICE_X19Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y146 IS_PAD 0 SITEPROP SLICE_X19Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y146 IS_RESERVED 0 SITEPROP SLICE_X19Y146 IS_TEST 0 SITEPROP SLICE_X19Y146 IS_USED 0 SITEPROP SLICE_X19Y146 MANUAL_ROUTING SITEPROP SLICE_X19Y146 NAME SLICE_X19Y146 SITEPROP SLICE_X19Y146 NUM_ARCS 138 SITEPROP SLICE_X19Y146 NUM_BELS 32 SITEPROP SLICE_X19Y146 NUM_INPUTS 32 SITEPROP SLICE_X19Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y146 NUM_PINS 45 SITEPROP SLICE_X19Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y146 PROHIBIT 0 SITEPROP SLICE_X19Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y146 RPM_X 63 SITEPROP SLICE_X19Y146 RPM_Y 292 SITEPROP SLICE_X19Y146 SITE_PIPS SITEPROP SLICE_X19Y146 SITE_TYPE SLICEL SITEPROP SLICE_X19Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y147 CLASS site SITEPROP SLICE_X19Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y147 IS_BONDED 0 SITEPROP SLICE_X19Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y147 IS_PAD 0 SITEPROP SLICE_X19Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y147 IS_RESERVED 0 SITEPROP SLICE_X19Y147 IS_TEST 0 SITEPROP SLICE_X19Y147 IS_USED 0 SITEPROP SLICE_X19Y147 MANUAL_ROUTING SITEPROP SLICE_X19Y147 NAME SLICE_X19Y147 SITEPROP SLICE_X19Y147 NUM_ARCS 138 SITEPROP SLICE_X19Y147 NUM_BELS 32 SITEPROP SLICE_X19Y147 NUM_INPUTS 32 SITEPROP SLICE_X19Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y147 NUM_PINS 45 SITEPROP SLICE_X19Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y147 PROHIBIT 0 SITEPROP SLICE_X19Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y147 RPM_X 63 SITEPROP SLICE_X19Y147 RPM_Y 294 SITEPROP SLICE_X19Y147 SITE_PIPS SITEPROP SLICE_X19Y147 SITE_TYPE SLICEL SITEPROP SLICE_X19Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y148 CLASS site SITEPROP SLICE_X19Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y148 IS_BONDED 0 SITEPROP SLICE_X19Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y148 IS_PAD 0 SITEPROP SLICE_X19Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y148 IS_RESERVED 0 SITEPROP SLICE_X19Y148 IS_TEST 0 SITEPROP SLICE_X19Y148 IS_USED 0 SITEPROP SLICE_X19Y148 MANUAL_ROUTING SITEPROP SLICE_X19Y148 NAME SLICE_X19Y148 SITEPROP SLICE_X19Y148 NUM_ARCS 138 SITEPROP SLICE_X19Y148 NUM_BELS 32 SITEPROP SLICE_X19Y148 NUM_INPUTS 32 SITEPROP SLICE_X19Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y148 NUM_PINS 45 SITEPROP SLICE_X19Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y148 PROHIBIT 0 SITEPROP SLICE_X19Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y148 RPM_X 63 SITEPROP SLICE_X19Y148 RPM_Y 296 SITEPROP SLICE_X19Y148 SITE_PIPS SITEPROP SLICE_X19Y148 SITE_TYPE SLICEL SITEPROP SLICE_X19Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X19Y149 CLASS site SITEPROP SLICE_X19Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X19Y149 IS_BONDED 0 SITEPROP SLICE_X19Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X19Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y149 IS_PAD 0 SITEPROP SLICE_X19Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X19Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X19Y149 IS_RESERVED 0 SITEPROP SLICE_X19Y149 IS_TEST 0 SITEPROP SLICE_X19Y149 IS_USED 0 SITEPROP SLICE_X19Y149 MANUAL_ROUTING SITEPROP SLICE_X19Y149 NAME SLICE_X19Y149 SITEPROP SLICE_X19Y149 NUM_ARCS 138 SITEPROP SLICE_X19Y149 NUM_BELS 32 SITEPROP SLICE_X19Y149 NUM_INPUTS 32 SITEPROP SLICE_X19Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X19Y149 NUM_PINS 45 SITEPROP SLICE_X19Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X19Y149 PROHIBIT 0 SITEPROP SLICE_X19Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X19Y149 RPM_X 63 SITEPROP SLICE_X19Y149 RPM_Y 298 SITEPROP SLICE_X19Y149 SITE_PIPS SITEPROP SLICE_X19Y149 SITE_TYPE SLICEL SITEPROP SLICE_X1Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y0 CLASS site SITEPROP SLICE_X1Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y0 IS_BONDED 0 SITEPROP SLICE_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y0 IS_PAD 0 SITEPROP SLICE_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y0 IS_RESERVED 0 SITEPROP SLICE_X1Y0 IS_TEST 0 SITEPROP SLICE_X1Y0 IS_USED 0 SITEPROP SLICE_X1Y0 MANUAL_ROUTING SITEPROP SLICE_X1Y0 NAME SLICE_X1Y0 SITEPROP SLICE_X1Y0 NUM_ARCS 138 SITEPROP SLICE_X1Y0 NUM_BELS 32 SITEPROP SLICE_X1Y0 NUM_INPUTS 32 SITEPROP SLICE_X1Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y0 NUM_PINS 45 SITEPROP SLICE_X1Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y0 PROHIBIT 0 SITEPROP SLICE_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y0 RPM_X 19 SITEPROP SLICE_X1Y0 RPM_Y 0 SITEPROP SLICE_X1Y0 SITE_PIPS SITEPROP SLICE_X1Y0 SITE_TYPE SLICEL SITEPROP SLICE_X1Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y1 CLASS site SITEPROP SLICE_X1Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y1 IS_BONDED 0 SITEPROP SLICE_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y1 IS_PAD 0 SITEPROP SLICE_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y1 IS_RESERVED 0 SITEPROP SLICE_X1Y1 IS_TEST 0 SITEPROP SLICE_X1Y1 IS_USED 0 SITEPROP SLICE_X1Y1 MANUAL_ROUTING SITEPROP SLICE_X1Y1 NAME SLICE_X1Y1 SITEPROP SLICE_X1Y1 NUM_ARCS 138 SITEPROP SLICE_X1Y1 NUM_BELS 32 SITEPROP SLICE_X1Y1 NUM_INPUTS 32 SITEPROP SLICE_X1Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y1 NUM_PINS 45 SITEPROP SLICE_X1Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y1 PROHIBIT 0 SITEPROP SLICE_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y1 RPM_X 19 SITEPROP SLICE_X1Y1 RPM_Y 2 SITEPROP SLICE_X1Y1 SITE_PIPS SITEPROP SLICE_X1Y1 SITE_TYPE SLICEL SITEPROP SLICE_X1Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y2 CLASS site SITEPROP SLICE_X1Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y2 IS_BONDED 0 SITEPROP SLICE_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y2 IS_PAD 0 SITEPROP SLICE_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y2 IS_RESERVED 0 SITEPROP SLICE_X1Y2 IS_TEST 0 SITEPROP SLICE_X1Y2 IS_USED 0 SITEPROP SLICE_X1Y2 MANUAL_ROUTING SITEPROP SLICE_X1Y2 NAME SLICE_X1Y2 SITEPROP SLICE_X1Y2 NUM_ARCS 138 SITEPROP SLICE_X1Y2 NUM_BELS 32 SITEPROP SLICE_X1Y2 NUM_INPUTS 32 SITEPROP SLICE_X1Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y2 NUM_PINS 45 SITEPROP SLICE_X1Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y2 PROHIBIT 0 SITEPROP SLICE_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y2 RPM_X 19 SITEPROP SLICE_X1Y2 RPM_Y 4 SITEPROP SLICE_X1Y2 SITE_PIPS SITEPROP SLICE_X1Y2 SITE_TYPE SLICEL SITEPROP SLICE_X1Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y3 CLASS site SITEPROP SLICE_X1Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y3 IS_BONDED 0 SITEPROP SLICE_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y3 IS_PAD 0 SITEPROP SLICE_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y3 IS_RESERVED 0 SITEPROP SLICE_X1Y3 IS_TEST 0 SITEPROP SLICE_X1Y3 IS_USED 0 SITEPROP SLICE_X1Y3 MANUAL_ROUTING SITEPROP SLICE_X1Y3 NAME SLICE_X1Y3 SITEPROP SLICE_X1Y3 NUM_ARCS 138 SITEPROP SLICE_X1Y3 NUM_BELS 32 SITEPROP SLICE_X1Y3 NUM_INPUTS 32 SITEPROP SLICE_X1Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y3 NUM_PINS 45 SITEPROP SLICE_X1Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y3 PROHIBIT 0 SITEPROP SLICE_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y3 RPM_X 19 SITEPROP SLICE_X1Y3 RPM_Y 6 SITEPROP SLICE_X1Y3 SITE_PIPS SITEPROP SLICE_X1Y3 SITE_TYPE SLICEL SITEPROP SLICE_X1Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y4 CLASS site SITEPROP SLICE_X1Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y4 IS_BONDED 0 SITEPROP SLICE_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y4 IS_PAD 0 SITEPROP SLICE_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y4 IS_RESERVED 0 SITEPROP SLICE_X1Y4 IS_TEST 0 SITEPROP SLICE_X1Y4 IS_USED 0 SITEPROP SLICE_X1Y4 MANUAL_ROUTING SITEPROP SLICE_X1Y4 NAME SLICE_X1Y4 SITEPROP SLICE_X1Y4 NUM_ARCS 138 SITEPROP SLICE_X1Y4 NUM_BELS 32 SITEPROP SLICE_X1Y4 NUM_INPUTS 32 SITEPROP SLICE_X1Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y4 NUM_PINS 45 SITEPROP SLICE_X1Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y4 PROHIBIT 0 SITEPROP SLICE_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y4 RPM_X 19 SITEPROP SLICE_X1Y4 RPM_Y 8 SITEPROP SLICE_X1Y4 SITE_PIPS SITEPROP SLICE_X1Y4 SITE_TYPE SLICEL SITEPROP SLICE_X1Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y5 CLASS site SITEPROP SLICE_X1Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y5 IS_BONDED 0 SITEPROP SLICE_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y5 IS_PAD 0 SITEPROP SLICE_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y5 IS_RESERVED 0 SITEPROP SLICE_X1Y5 IS_TEST 0 SITEPROP SLICE_X1Y5 IS_USED 0 SITEPROP SLICE_X1Y5 MANUAL_ROUTING SITEPROP SLICE_X1Y5 NAME SLICE_X1Y5 SITEPROP SLICE_X1Y5 NUM_ARCS 138 SITEPROP SLICE_X1Y5 NUM_BELS 32 SITEPROP SLICE_X1Y5 NUM_INPUTS 32 SITEPROP SLICE_X1Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y5 NUM_PINS 45 SITEPROP SLICE_X1Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y5 PROHIBIT 0 SITEPROP SLICE_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y5 RPM_X 19 SITEPROP SLICE_X1Y5 RPM_Y 10 SITEPROP SLICE_X1Y5 SITE_PIPS SITEPROP SLICE_X1Y5 SITE_TYPE SLICEL SITEPROP SLICE_X1Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y6 CLASS site SITEPROP SLICE_X1Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y6 IS_BONDED 0 SITEPROP SLICE_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y6 IS_PAD 0 SITEPROP SLICE_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y6 IS_RESERVED 0 SITEPROP SLICE_X1Y6 IS_TEST 0 SITEPROP SLICE_X1Y6 IS_USED 0 SITEPROP SLICE_X1Y6 MANUAL_ROUTING SITEPROP SLICE_X1Y6 NAME SLICE_X1Y6 SITEPROP SLICE_X1Y6 NUM_ARCS 138 SITEPROP SLICE_X1Y6 NUM_BELS 32 SITEPROP SLICE_X1Y6 NUM_INPUTS 32 SITEPROP SLICE_X1Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y6 NUM_PINS 45 SITEPROP SLICE_X1Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y6 PROHIBIT 0 SITEPROP SLICE_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y6 RPM_X 19 SITEPROP SLICE_X1Y6 RPM_Y 12 SITEPROP SLICE_X1Y6 SITE_PIPS SITEPROP SLICE_X1Y6 SITE_TYPE SLICEL SITEPROP SLICE_X1Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y7 CLASS site SITEPROP SLICE_X1Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y7 IS_BONDED 0 SITEPROP SLICE_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y7 IS_PAD 0 SITEPROP SLICE_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y7 IS_RESERVED 0 SITEPROP SLICE_X1Y7 IS_TEST 0 SITEPROP SLICE_X1Y7 IS_USED 0 SITEPROP SLICE_X1Y7 MANUAL_ROUTING SITEPROP SLICE_X1Y7 NAME SLICE_X1Y7 SITEPROP SLICE_X1Y7 NUM_ARCS 138 SITEPROP SLICE_X1Y7 NUM_BELS 32 SITEPROP SLICE_X1Y7 NUM_INPUTS 32 SITEPROP SLICE_X1Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y7 NUM_PINS 45 SITEPROP SLICE_X1Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y7 PROHIBIT 0 SITEPROP SLICE_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y7 RPM_X 19 SITEPROP SLICE_X1Y7 RPM_Y 14 SITEPROP SLICE_X1Y7 SITE_PIPS SITEPROP SLICE_X1Y7 SITE_TYPE SLICEL SITEPROP SLICE_X1Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y8 CLASS site SITEPROP SLICE_X1Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y8 IS_BONDED 0 SITEPROP SLICE_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y8 IS_PAD 0 SITEPROP SLICE_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y8 IS_RESERVED 0 SITEPROP SLICE_X1Y8 IS_TEST 0 SITEPROP SLICE_X1Y8 IS_USED 0 SITEPROP SLICE_X1Y8 MANUAL_ROUTING SITEPROP SLICE_X1Y8 NAME SLICE_X1Y8 SITEPROP SLICE_X1Y8 NUM_ARCS 138 SITEPROP SLICE_X1Y8 NUM_BELS 32 SITEPROP SLICE_X1Y8 NUM_INPUTS 32 SITEPROP SLICE_X1Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y8 NUM_PINS 45 SITEPROP SLICE_X1Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y8 PROHIBIT 0 SITEPROP SLICE_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y8 RPM_X 19 SITEPROP SLICE_X1Y8 RPM_Y 16 SITEPROP SLICE_X1Y8 SITE_PIPS SITEPROP SLICE_X1Y8 SITE_TYPE SLICEL SITEPROP SLICE_X1Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y9 CLASS site SITEPROP SLICE_X1Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y9 IS_BONDED 0 SITEPROP SLICE_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y9 IS_PAD 0 SITEPROP SLICE_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y9 IS_RESERVED 0 SITEPROP SLICE_X1Y9 IS_TEST 0 SITEPROP SLICE_X1Y9 IS_USED 0 SITEPROP SLICE_X1Y9 MANUAL_ROUTING SITEPROP SLICE_X1Y9 NAME SLICE_X1Y9 SITEPROP SLICE_X1Y9 NUM_ARCS 138 SITEPROP SLICE_X1Y9 NUM_BELS 32 SITEPROP SLICE_X1Y9 NUM_INPUTS 32 SITEPROP SLICE_X1Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y9 NUM_PINS 45 SITEPROP SLICE_X1Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y9 PROHIBIT 0 SITEPROP SLICE_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y9 RPM_X 19 SITEPROP SLICE_X1Y9 RPM_Y 18 SITEPROP SLICE_X1Y9 SITE_PIPS SITEPROP SLICE_X1Y9 SITE_TYPE SLICEL SITEPROP SLICE_X1Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y10 CLASS site SITEPROP SLICE_X1Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y10 IS_BONDED 0 SITEPROP SLICE_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y10 IS_PAD 0 SITEPROP SLICE_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y10 IS_RESERVED 0 SITEPROP SLICE_X1Y10 IS_TEST 0 SITEPROP SLICE_X1Y10 IS_USED 0 SITEPROP SLICE_X1Y10 MANUAL_ROUTING SITEPROP SLICE_X1Y10 NAME SLICE_X1Y10 SITEPROP SLICE_X1Y10 NUM_ARCS 138 SITEPROP SLICE_X1Y10 NUM_BELS 32 SITEPROP SLICE_X1Y10 NUM_INPUTS 32 SITEPROP SLICE_X1Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y10 NUM_PINS 45 SITEPROP SLICE_X1Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y10 PROHIBIT 0 SITEPROP SLICE_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y10 RPM_X 19 SITEPROP SLICE_X1Y10 RPM_Y 20 SITEPROP SLICE_X1Y10 SITE_PIPS SITEPROP SLICE_X1Y10 SITE_TYPE SLICEL SITEPROP SLICE_X1Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y11 CLASS site SITEPROP SLICE_X1Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y11 IS_BONDED 0 SITEPROP SLICE_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y11 IS_PAD 0 SITEPROP SLICE_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y11 IS_RESERVED 0 SITEPROP SLICE_X1Y11 IS_TEST 0 SITEPROP SLICE_X1Y11 IS_USED 0 SITEPROP SLICE_X1Y11 MANUAL_ROUTING SITEPROP SLICE_X1Y11 NAME SLICE_X1Y11 SITEPROP SLICE_X1Y11 NUM_ARCS 138 SITEPROP SLICE_X1Y11 NUM_BELS 32 SITEPROP SLICE_X1Y11 NUM_INPUTS 32 SITEPROP SLICE_X1Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y11 NUM_PINS 45 SITEPROP SLICE_X1Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y11 PROHIBIT 0 SITEPROP SLICE_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y11 RPM_X 19 SITEPROP SLICE_X1Y11 RPM_Y 22 SITEPROP SLICE_X1Y11 SITE_PIPS SITEPROP SLICE_X1Y11 SITE_TYPE SLICEL SITEPROP SLICE_X1Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y12 CLASS site SITEPROP SLICE_X1Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y12 IS_BONDED 0 SITEPROP SLICE_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y12 IS_PAD 0 SITEPROP SLICE_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y12 IS_RESERVED 0 SITEPROP SLICE_X1Y12 IS_TEST 0 SITEPROP SLICE_X1Y12 IS_USED 0 SITEPROP SLICE_X1Y12 MANUAL_ROUTING SITEPROP SLICE_X1Y12 NAME SLICE_X1Y12 SITEPROP SLICE_X1Y12 NUM_ARCS 138 SITEPROP SLICE_X1Y12 NUM_BELS 32 SITEPROP SLICE_X1Y12 NUM_INPUTS 32 SITEPROP SLICE_X1Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y12 NUM_PINS 45 SITEPROP SLICE_X1Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y12 PROHIBIT 0 SITEPROP SLICE_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y12 RPM_X 19 SITEPROP SLICE_X1Y12 RPM_Y 24 SITEPROP SLICE_X1Y12 SITE_PIPS SITEPROP SLICE_X1Y12 SITE_TYPE SLICEL SITEPROP SLICE_X1Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y13 CLASS site SITEPROP SLICE_X1Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y13 IS_BONDED 0 SITEPROP SLICE_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y13 IS_PAD 0 SITEPROP SLICE_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y13 IS_RESERVED 0 SITEPROP SLICE_X1Y13 IS_TEST 0 SITEPROP SLICE_X1Y13 IS_USED 0 SITEPROP SLICE_X1Y13 MANUAL_ROUTING SITEPROP SLICE_X1Y13 NAME SLICE_X1Y13 SITEPROP SLICE_X1Y13 NUM_ARCS 138 SITEPROP SLICE_X1Y13 NUM_BELS 32 SITEPROP SLICE_X1Y13 NUM_INPUTS 32 SITEPROP SLICE_X1Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y13 NUM_PINS 45 SITEPROP SLICE_X1Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y13 PROHIBIT 0 SITEPROP SLICE_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y13 RPM_X 19 SITEPROP SLICE_X1Y13 RPM_Y 26 SITEPROP SLICE_X1Y13 SITE_PIPS SITEPROP SLICE_X1Y13 SITE_TYPE SLICEL SITEPROP SLICE_X1Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y14 CLASS site SITEPROP SLICE_X1Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y14 IS_BONDED 0 SITEPROP SLICE_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y14 IS_PAD 0 SITEPROP SLICE_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y14 IS_RESERVED 0 SITEPROP SLICE_X1Y14 IS_TEST 0 SITEPROP SLICE_X1Y14 IS_USED 0 SITEPROP SLICE_X1Y14 MANUAL_ROUTING SITEPROP SLICE_X1Y14 NAME SLICE_X1Y14 SITEPROP SLICE_X1Y14 NUM_ARCS 138 SITEPROP SLICE_X1Y14 NUM_BELS 32 SITEPROP SLICE_X1Y14 NUM_INPUTS 32 SITEPROP SLICE_X1Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y14 NUM_PINS 45 SITEPROP SLICE_X1Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y14 PROHIBIT 0 SITEPROP SLICE_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y14 RPM_X 19 SITEPROP SLICE_X1Y14 RPM_Y 28 SITEPROP SLICE_X1Y14 SITE_PIPS SITEPROP SLICE_X1Y14 SITE_TYPE SLICEL SITEPROP SLICE_X1Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y15 CLASS site SITEPROP SLICE_X1Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y15 IS_BONDED 0 SITEPROP SLICE_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y15 IS_PAD 0 SITEPROP SLICE_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y15 IS_RESERVED 0 SITEPROP SLICE_X1Y15 IS_TEST 0 SITEPROP SLICE_X1Y15 IS_USED 0 SITEPROP SLICE_X1Y15 MANUAL_ROUTING SITEPROP SLICE_X1Y15 NAME SLICE_X1Y15 SITEPROP SLICE_X1Y15 NUM_ARCS 138 SITEPROP SLICE_X1Y15 NUM_BELS 32 SITEPROP SLICE_X1Y15 NUM_INPUTS 32 SITEPROP SLICE_X1Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y15 NUM_PINS 45 SITEPROP SLICE_X1Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y15 PROHIBIT 0 SITEPROP SLICE_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y15 RPM_X 19 SITEPROP SLICE_X1Y15 RPM_Y 30 SITEPROP SLICE_X1Y15 SITE_PIPS SITEPROP SLICE_X1Y15 SITE_TYPE SLICEL SITEPROP SLICE_X1Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y16 CLASS site SITEPROP SLICE_X1Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y16 IS_BONDED 0 SITEPROP SLICE_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y16 IS_PAD 0 SITEPROP SLICE_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y16 IS_RESERVED 0 SITEPROP SLICE_X1Y16 IS_TEST 0 SITEPROP SLICE_X1Y16 IS_USED 0 SITEPROP SLICE_X1Y16 MANUAL_ROUTING SITEPROP SLICE_X1Y16 NAME SLICE_X1Y16 SITEPROP SLICE_X1Y16 NUM_ARCS 138 SITEPROP SLICE_X1Y16 NUM_BELS 32 SITEPROP SLICE_X1Y16 NUM_INPUTS 32 SITEPROP SLICE_X1Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y16 NUM_PINS 45 SITEPROP SLICE_X1Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y16 PROHIBIT 0 SITEPROP SLICE_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y16 RPM_X 19 SITEPROP SLICE_X1Y16 RPM_Y 32 SITEPROP SLICE_X1Y16 SITE_PIPS SITEPROP SLICE_X1Y16 SITE_TYPE SLICEL SITEPROP SLICE_X1Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y17 CLASS site SITEPROP SLICE_X1Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y17 IS_BONDED 0 SITEPROP SLICE_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y17 IS_PAD 0 SITEPROP SLICE_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y17 IS_RESERVED 0 SITEPROP SLICE_X1Y17 IS_TEST 0 SITEPROP SLICE_X1Y17 IS_USED 0 SITEPROP SLICE_X1Y17 MANUAL_ROUTING SITEPROP SLICE_X1Y17 NAME SLICE_X1Y17 SITEPROP SLICE_X1Y17 NUM_ARCS 138 SITEPROP SLICE_X1Y17 NUM_BELS 32 SITEPROP SLICE_X1Y17 NUM_INPUTS 32 SITEPROP SLICE_X1Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y17 NUM_PINS 45 SITEPROP SLICE_X1Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y17 PROHIBIT 0 SITEPROP SLICE_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y17 RPM_X 19 SITEPROP SLICE_X1Y17 RPM_Y 34 SITEPROP SLICE_X1Y17 SITE_PIPS SITEPROP SLICE_X1Y17 SITE_TYPE SLICEL SITEPROP SLICE_X1Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y18 CLASS site SITEPROP SLICE_X1Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y18 IS_BONDED 0 SITEPROP SLICE_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y18 IS_PAD 0 SITEPROP SLICE_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y18 IS_RESERVED 0 SITEPROP SLICE_X1Y18 IS_TEST 0 SITEPROP SLICE_X1Y18 IS_USED 0 SITEPROP SLICE_X1Y18 MANUAL_ROUTING SITEPROP SLICE_X1Y18 NAME SLICE_X1Y18 SITEPROP SLICE_X1Y18 NUM_ARCS 138 SITEPROP SLICE_X1Y18 NUM_BELS 32 SITEPROP SLICE_X1Y18 NUM_INPUTS 32 SITEPROP SLICE_X1Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y18 NUM_PINS 45 SITEPROP SLICE_X1Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y18 PROHIBIT 0 SITEPROP SLICE_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y18 RPM_X 19 SITEPROP SLICE_X1Y18 RPM_Y 36 SITEPROP SLICE_X1Y18 SITE_PIPS SITEPROP SLICE_X1Y18 SITE_TYPE SLICEL SITEPROP SLICE_X1Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y19 CLASS site SITEPROP SLICE_X1Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y19 IS_BONDED 0 SITEPROP SLICE_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y19 IS_PAD 0 SITEPROP SLICE_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y19 IS_RESERVED 0 SITEPROP SLICE_X1Y19 IS_TEST 0 SITEPROP SLICE_X1Y19 IS_USED 0 SITEPROP SLICE_X1Y19 MANUAL_ROUTING SITEPROP SLICE_X1Y19 NAME SLICE_X1Y19 SITEPROP SLICE_X1Y19 NUM_ARCS 138 SITEPROP SLICE_X1Y19 NUM_BELS 32 SITEPROP SLICE_X1Y19 NUM_INPUTS 32 SITEPROP SLICE_X1Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y19 NUM_PINS 45 SITEPROP SLICE_X1Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y19 PROHIBIT 0 SITEPROP SLICE_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y19 RPM_X 19 SITEPROP SLICE_X1Y19 RPM_Y 38 SITEPROP SLICE_X1Y19 SITE_PIPS SITEPROP SLICE_X1Y19 SITE_TYPE SLICEL SITEPROP SLICE_X1Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y20 CLASS site SITEPROP SLICE_X1Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y20 IS_BONDED 0 SITEPROP SLICE_X1Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y20 IS_PAD 0 SITEPROP SLICE_X1Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y20 IS_RESERVED 0 SITEPROP SLICE_X1Y20 IS_TEST 0 SITEPROP SLICE_X1Y20 IS_USED 0 SITEPROP SLICE_X1Y20 MANUAL_ROUTING SITEPROP SLICE_X1Y20 NAME SLICE_X1Y20 SITEPROP SLICE_X1Y20 NUM_ARCS 138 SITEPROP SLICE_X1Y20 NUM_BELS 32 SITEPROP SLICE_X1Y20 NUM_INPUTS 32 SITEPROP SLICE_X1Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y20 NUM_PINS 45 SITEPROP SLICE_X1Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y20 PROHIBIT 0 SITEPROP SLICE_X1Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y20 RPM_X 19 SITEPROP SLICE_X1Y20 RPM_Y 40 SITEPROP SLICE_X1Y20 SITE_PIPS SITEPROP SLICE_X1Y20 SITE_TYPE SLICEL SITEPROP SLICE_X1Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y21 CLASS site SITEPROP SLICE_X1Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y21 IS_BONDED 0 SITEPROP SLICE_X1Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y21 IS_PAD 0 SITEPROP SLICE_X1Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y21 IS_RESERVED 0 SITEPROP SLICE_X1Y21 IS_TEST 0 SITEPROP SLICE_X1Y21 IS_USED 0 SITEPROP SLICE_X1Y21 MANUAL_ROUTING SITEPROP SLICE_X1Y21 NAME SLICE_X1Y21 SITEPROP SLICE_X1Y21 NUM_ARCS 138 SITEPROP SLICE_X1Y21 NUM_BELS 32 SITEPROP SLICE_X1Y21 NUM_INPUTS 32 SITEPROP SLICE_X1Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y21 NUM_PINS 45 SITEPROP SLICE_X1Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y21 PROHIBIT 0 SITEPROP SLICE_X1Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y21 RPM_X 19 SITEPROP SLICE_X1Y21 RPM_Y 42 SITEPROP SLICE_X1Y21 SITE_PIPS SITEPROP SLICE_X1Y21 SITE_TYPE SLICEL SITEPROP SLICE_X1Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y22 CLASS site SITEPROP SLICE_X1Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y22 IS_BONDED 0 SITEPROP SLICE_X1Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y22 IS_PAD 0 SITEPROP SLICE_X1Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y22 IS_RESERVED 0 SITEPROP SLICE_X1Y22 IS_TEST 0 SITEPROP SLICE_X1Y22 IS_USED 0 SITEPROP SLICE_X1Y22 MANUAL_ROUTING SITEPROP SLICE_X1Y22 NAME SLICE_X1Y22 SITEPROP SLICE_X1Y22 NUM_ARCS 138 SITEPROP SLICE_X1Y22 NUM_BELS 32 SITEPROP SLICE_X1Y22 NUM_INPUTS 32 SITEPROP SLICE_X1Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y22 NUM_PINS 45 SITEPROP SLICE_X1Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y22 PROHIBIT 0 SITEPROP SLICE_X1Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y22 RPM_X 19 SITEPROP SLICE_X1Y22 RPM_Y 44 SITEPROP SLICE_X1Y22 SITE_PIPS SITEPROP SLICE_X1Y22 SITE_TYPE SLICEL SITEPROP SLICE_X1Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y23 CLASS site SITEPROP SLICE_X1Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y23 IS_BONDED 0 SITEPROP SLICE_X1Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y23 IS_PAD 0 SITEPROP SLICE_X1Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y23 IS_RESERVED 0 SITEPROP SLICE_X1Y23 IS_TEST 0 SITEPROP SLICE_X1Y23 IS_USED 0 SITEPROP SLICE_X1Y23 MANUAL_ROUTING SITEPROP SLICE_X1Y23 NAME SLICE_X1Y23 SITEPROP SLICE_X1Y23 NUM_ARCS 138 SITEPROP SLICE_X1Y23 NUM_BELS 32 SITEPROP SLICE_X1Y23 NUM_INPUTS 32 SITEPROP SLICE_X1Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y23 NUM_PINS 45 SITEPROP SLICE_X1Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y23 PROHIBIT 0 SITEPROP SLICE_X1Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y23 RPM_X 19 SITEPROP SLICE_X1Y23 RPM_Y 46 SITEPROP SLICE_X1Y23 SITE_PIPS SITEPROP SLICE_X1Y23 SITE_TYPE SLICEL SITEPROP SLICE_X1Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y24 CLASS site SITEPROP SLICE_X1Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y24 IS_BONDED 0 SITEPROP SLICE_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y24 IS_PAD 0 SITEPROP SLICE_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y24 IS_RESERVED 0 SITEPROP SLICE_X1Y24 IS_TEST 0 SITEPROP SLICE_X1Y24 IS_USED 0 SITEPROP SLICE_X1Y24 MANUAL_ROUTING SITEPROP SLICE_X1Y24 NAME SLICE_X1Y24 SITEPROP SLICE_X1Y24 NUM_ARCS 138 SITEPROP SLICE_X1Y24 NUM_BELS 32 SITEPROP SLICE_X1Y24 NUM_INPUTS 32 SITEPROP SLICE_X1Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y24 NUM_PINS 45 SITEPROP SLICE_X1Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y24 PROHIBIT 0 SITEPROP SLICE_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y24 RPM_X 19 SITEPROP SLICE_X1Y24 RPM_Y 48 SITEPROP SLICE_X1Y24 SITE_PIPS SITEPROP SLICE_X1Y24 SITE_TYPE SLICEL SITEPROP SLICE_X1Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y25 CLASS site SITEPROP SLICE_X1Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y25 IS_BONDED 0 SITEPROP SLICE_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y25 IS_PAD 0 SITEPROP SLICE_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y25 IS_RESERVED 0 SITEPROP SLICE_X1Y25 IS_TEST 0 SITEPROP SLICE_X1Y25 IS_USED 0 SITEPROP SLICE_X1Y25 MANUAL_ROUTING SITEPROP SLICE_X1Y25 NAME SLICE_X1Y25 SITEPROP SLICE_X1Y25 NUM_ARCS 138 SITEPROP SLICE_X1Y25 NUM_BELS 32 SITEPROP SLICE_X1Y25 NUM_INPUTS 32 SITEPROP SLICE_X1Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y25 NUM_PINS 45 SITEPROP SLICE_X1Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y25 PROHIBIT 0 SITEPROP SLICE_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y25 RPM_X 19 SITEPROP SLICE_X1Y25 RPM_Y 50 SITEPROP SLICE_X1Y25 SITE_PIPS SITEPROP SLICE_X1Y25 SITE_TYPE SLICEL SITEPROP SLICE_X1Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y26 CLASS site SITEPROP SLICE_X1Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y26 IS_BONDED 0 SITEPROP SLICE_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y26 IS_PAD 0 SITEPROP SLICE_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y26 IS_RESERVED 0 SITEPROP SLICE_X1Y26 IS_TEST 0 SITEPROP SLICE_X1Y26 IS_USED 0 SITEPROP SLICE_X1Y26 MANUAL_ROUTING SITEPROP SLICE_X1Y26 NAME SLICE_X1Y26 SITEPROP SLICE_X1Y26 NUM_ARCS 138 SITEPROP SLICE_X1Y26 NUM_BELS 32 SITEPROP SLICE_X1Y26 NUM_INPUTS 32 SITEPROP SLICE_X1Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y26 NUM_PINS 45 SITEPROP SLICE_X1Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y26 PROHIBIT 0 SITEPROP SLICE_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y26 RPM_X 19 SITEPROP SLICE_X1Y26 RPM_Y 52 SITEPROP SLICE_X1Y26 SITE_PIPS SITEPROP SLICE_X1Y26 SITE_TYPE SLICEL SITEPROP SLICE_X1Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y27 CLASS site SITEPROP SLICE_X1Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y27 IS_BONDED 0 SITEPROP SLICE_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y27 IS_PAD 0 SITEPROP SLICE_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y27 IS_RESERVED 0 SITEPROP SLICE_X1Y27 IS_TEST 0 SITEPROP SLICE_X1Y27 IS_USED 0 SITEPROP SLICE_X1Y27 MANUAL_ROUTING SITEPROP SLICE_X1Y27 NAME SLICE_X1Y27 SITEPROP SLICE_X1Y27 NUM_ARCS 138 SITEPROP SLICE_X1Y27 NUM_BELS 32 SITEPROP SLICE_X1Y27 NUM_INPUTS 32 SITEPROP SLICE_X1Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y27 NUM_PINS 45 SITEPROP SLICE_X1Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y27 PROHIBIT 0 SITEPROP SLICE_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y27 RPM_X 19 SITEPROP SLICE_X1Y27 RPM_Y 54 SITEPROP SLICE_X1Y27 SITE_PIPS SITEPROP SLICE_X1Y27 SITE_TYPE SLICEL SITEPROP SLICE_X1Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y28 CLASS site SITEPROP SLICE_X1Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y28 IS_BONDED 0 SITEPROP SLICE_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y28 IS_PAD 0 SITEPROP SLICE_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y28 IS_RESERVED 0 SITEPROP SLICE_X1Y28 IS_TEST 0 SITEPROP SLICE_X1Y28 IS_USED 0 SITEPROP SLICE_X1Y28 MANUAL_ROUTING SITEPROP SLICE_X1Y28 NAME SLICE_X1Y28 SITEPROP SLICE_X1Y28 NUM_ARCS 138 SITEPROP SLICE_X1Y28 NUM_BELS 32 SITEPROP SLICE_X1Y28 NUM_INPUTS 32 SITEPROP SLICE_X1Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y28 NUM_PINS 45 SITEPROP SLICE_X1Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y28 PROHIBIT 0 SITEPROP SLICE_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y28 RPM_X 19 SITEPROP SLICE_X1Y28 RPM_Y 56 SITEPROP SLICE_X1Y28 SITE_PIPS SITEPROP SLICE_X1Y28 SITE_TYPE SLICEL SITEPROP SLICE_X1Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y29 CLASS site SITEPROP SLICE_X1Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y29 IS_BONDED 0 SITEPROP SLICE_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y29 IS_PAD 0 SITEPROP SLICE_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y29 IS_RESERVED 0 SITEPROP SLICE_X1Y29 IS_TEST 0 SITEPROP SLICE_X1Y29 IS_USED 0 SITEPROP SLICE_X1Y29 MANUAL_ROUTING SITEPROP SLICE_X1Y29 NAME SLICE_X1Y29 SITEPROP SLICE_X1Y29 NUM_ARCS 138 SITEPROP SLICE_X1Y29 NUM_BELS 32 SITEPROP SLICE_X1Y29 NUM_INPUTS 32 SITEPROP SLICE_X1Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y29 NUM_PINS 45 SITEPROP SLICE_X1Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y29 PROHIBIT 0 SITEPROP SLICE_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y29 RPM_X 19 SITEPROP SLICE_X1Y29 RPM_Y 58 SITEPROP SLICE_X1Y29 SITE_PIPS SITEPROP SLICE_X1Y29 SITE_TYPE SLICEL SITEPROP SLICE_X1Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y30 CLASS site SITEPROP SLICE_X1Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y30 IS_BONDED 0 SITEPROP SLICE_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y30 IS_PAD 0 SITEPROP SLICE_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y30 IS_RESERVED 0 SITEPROP SLICE_X1Y30 IS_TEST 0 SITEPROP SLICE_X1Y30 IS_USED 0 SITEPROP SLICE_X1Y30 MANUAL_ROUTING SITEPROP SLICE_X1Y30 NAME SLICE_X1Y30 SITEPROP SLICE_X1Y30 NUM_ARCS 138 SITEPROP SLICE_X1Y30 NUM_BELS 32 SITEPROP SLICE_X1Y30 NUM_INPUTS 32 SITEPROP SLICE_X1Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y30 NUM_PINS 45 SITEPROP SLICE_X1Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y30 PROHIBIT 0 SITEPROP SLICE_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y30 RPM_X 19 SITEPROP SLICE_X1Y30 RPM_Y 60 SITEPROP SLICE_X1Y30 SITE_PIPS SITEPROP SLICE_X1Y30 SITE_TYPE SLICEL SITEPROP SLICE_X1Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y31 CLASS site SITEPROP SLICE_X1Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y31 IS_BONDED 0 SITEPROP SLICE_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y31 IS_PAD 0 SITEPROP SLICE_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y31 IS_RESERVED 0 SITEPROP SLICE_X1Y31 IS_TEST 0 SITEPROP SLICE_X1Y31 IS_USED 0 SITEPROP SLICE_X1Y31 MANUAL_ROUTING SITEPROP SLICE_X1Y31 NAME SLICE_X1Y31 SITEPROP SLICE_X1Y31 NUM_ARCS 138 SITEPROP SLICE_X1Y31 NUM_BELS 32 SITEPROP SLICE_X1Y31 NUM_INPUTS 32 SITEPROP SLICE_X1Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y31 NUM_PINS 45 SITEPROP SLICE_X1Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y31 PROHIBIT 0 SITEPROP SLICE_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y31 RPM_X 19 SITEPROP SLICE_X1Y31 RPM_Y 62 SITEPROP SLICE_X1Y31 SITE_PIPS SITEPROP SLICE_X1Y31 SITE_TYPE SLICEL SITEPROP SLICE_X1Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y32 CLASS site SITEPROP SLICE_X1Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y32 IS_BONDED 0 SITEPROP SLICE_X1Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y32 IS_PAD 0 SITEPROP SLICE_X1Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y32 IS_RESERVED 0 SITEPROP SLICE_X1Y32 IS_TEST 0 SITEPROP SLICE_X1Y32 IS_USED 0 SITEPROP SLICE_X1Y32 MANUAL_ROUTING SITEPROP SLICE_X1Y32 NAME SLICE_X1Y32 SITEPROP SLICE_X1Y32 NUM_ARCS 138 SITEPROP SLICE_X1Y32 NUM_BELS 32 SITEPROP SLICE_X1Y32 NUM_INPUTS 32 SITEPROP SLICE_X1Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y32 NUM_PINS 45 SITEPROP SLICE_X1Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y32 PROHIBIT 0 SITEPROP SLICE_X1Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y32 RPM_X 19 SITEPROP SLICE_X1Y32 RPM_Y 64 SITEPROP SLICE_X1Y32 SITE_PIPS SITEPROP SLICE_X1Y32 SITE_TYPE SLICEL SITEPROP SLICE_X1Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y33 CLASS site SITEPROP SLICE_X1Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y33 IS_BONDED 0 SITEPROP SLICE_X1Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y33 IS_PAD 0 SITEPROP SLICE_X1Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y33 IS_RESERVED 0 SITEPROP SLICE_X1Y33 IS_TEST 0 SITEPROP SLICE_X1Y33 IS_USED 0 SITEPROP SLICE_X1Y33 MANUAL_ROUTING SITEPROP SLICE_X1Y33 NAME SLICE_X1Y33 SITEPROP SLICE_X1Y33 NUM_ARCS 138 SITEPROP SLICE_X1Y33 NUM_BELS 32 SITEPROP SLICE_X1Y33 NUM_INPUTS 32 SITEPROP SLICE_X1Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y33 NUM_PINS 45 SITEPROP SLICE_X1Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y33 PROHIBIT 0 SITEPROP SLICE_X1Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y33 RPM_X 19 SITEPROP SLICE_X1Y33 RPM_Y 66 SITEPROP SLICE_X1Y33 SITE_PIPS SITEPROP SLICE_X1Y33 SITE_TYPE SLICEL SITEPROP SLICE_X1Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y34 CLASS site SITEPROP SLICE_X1Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y34 IS_BONDED 0 SITEPROP SLICE_X1Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y34 IS_PAD 0 SITEPROP SLICE_X1Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y34 IS_RESERVED 0 SITEPROP SLICE_X1Y34 IS_TEST 0 SITEPROP SLICE_X1Y34 IS_USED 0 SITEPROP SLICE_X1Y34 MANUAL_ROUTING SITEPROP SLICE_X1Y34 NAME SLICE_X1Y34 SITEPROP SLICE_X1Y34 NUM_ARCS 138 SITEPROP SLICE_X1Y34 NUM_BELS 32 SITEPROP SLICE_X1Y34 NUM_INPUTS 32 SITEPROP SLICE_X1Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y34 NUM_PINS 45 SITEPROP SLICE_X1Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y34 PROHIBIT 0 SITEPROP SLICE_X1Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y34 RPM_X 19 SITEPROP SLICE_X1Y34 RPM_Y 68 SITEPROP SLICE_X1Y34 SITE_PIPS SITEPROP SLICE_X1Y34 SITE_TYPE SLICEL SITEPROP SLICE_X1Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y35 CLASS site SITEPROP SLICE_X1Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y35 IS_BONDED 0 SITEPROP SLICE_X1Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y35 IS_PAD 0 SITEPROP SLICE_X1Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y35 IS_RESERVED 0 SITEPROP SLICE_X1Y35 IS_TEST 0 SITEPROP SLICE_X1Y35 IS_USED 0 SITEPROP SLICE_X1Y35 MANUAL_ROUTING SITEPROP SLICE_X1Y35 NAME SLICE_X1Y35 SITEPROP SLICE_X1Y35 NUM_ARCS 138 SITEPROP SLICE_X1Y35 NUM_BELS 32 SITEPROP SLICE_X1Y35 NUM_INPUTS 32 SITEPROP SLICE_X1Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y35 NUM_PINS 45 SITEPROP SLICE_X1Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y35 PROHIBIT 0 SITEPROP SLICE_X1Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y35 RPM_X 19 SITEPROP SLICE_X1Y35 RPM_Y 70 SITEPROP SLICE_X1Y35 SITE_PIPS SITEPROP SLICE_X1Y35 SITE_TYPE SLICEL SITEPROP SLICE_X1Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y36 CLASS site SITEPROP SLICE_X1Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y36 IS_BONDED 0 SITEPROP SLICE_X1Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y36 IS_PAD 0 SITEPROP SLICE_X1Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y36 IS_RESERVED 0 SITEPROP SLICE_X1Y36 IS_TEST 0 SITEPROP SLICE_X1Y36 IS_USED 0 SITEPROP SLICE_X1Y36 MANUAL_ROUTING SITEPROP SLICE_X1Y36 NAME SLICE_X1Y36 SITEPROP SLICE_X1Y36 NUM_ARCS 138 SITEPROP SLICE_X1Y36 NUM_BELS 32 SITEPROP SLICE_X1Y36 NUM_INPUTS 32 SITEPROP SLICE_X1Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y36 NUM_PINS 45 SITEPROP SLICE_X1Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y36 PROHIBIT 0 SITEPROP SLICE_X1Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y36 RPM_X 19 SITEPROP SLICE_X1Y36 RPM_Y 72 SITEPROP SLICE_X1Y36 SITE_PIPS SITEPROP SLICE_X1Y36 SITE_TYPE SLICEL SITEPROP SLICE_X1Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y37 CLASS site SITEPROP SLICE_X1Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y37 IS_BONDED 0 SITEPROP SLICE_X1Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y37 IS_PAD 0 SITEPROP SLICE_X1Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y37 IS_RESERVED 0 SITEPROP SLICE_X1Y37 IS_TEST 0 SITEPROP SLICE_X1Y37 IS_USED 0 SITEPROP SLICE_X1Y37 MANUAL_ROUTING SITEPROP SLICE_X1Y37 NAME SLICE_X1Y37 SITEPROP SLICE_X1Y37 NUM_ARCS 138 SITEPROP SLICE_X1Y37 NUM_BELS 32 SITEPROP SLICE_X1Y37 NUM_INPUTS 32 SITEPROP SLICE_X1Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y37 NUM_PINS 45 SITEPROP SLICE_X1Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y37 PROHIBIT 0 SITEPROP SLICE_X1Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y37 RPM_X 19 SITEPROP SLICE_X1Y37 RPM_Y 74 SITEPROP SLICE_X1Y37 SITE_PIPS SITEPROP SLICE_X1Y37 SITE_TYPE SLICEL SITEPROP SLICE_X1Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y38 CLASS site SITEPROP SLICE_X1Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y38 IS_BONDED 0 SITEPROP SLICE_X1Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y38 IS_PAD 0 SITEPROP SLICE_X1Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y38 IS_RESERVED 0 SITEPROP SLICE_X1Y38 IS_TEST 0 SITEPROP SLICE_X1Y38 IS_USED 0 SITEPROP SLICE_X1Y38 MANUAL_ROUTING SITEPROP SLICE_X1Y38 NAME SLICE_X1Y38 SITEPROP SLICE_X1Y38 NUM_ARCS 138 SITEPROP SLICE_X1Y38 NUM_BELS 32 SITEPROP SLICE_X1Y38 NUM_INPUTS 32 SITEPROP SLICE_X1Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y38 NUM_PINS 45 SITEPROP SLICE_X1Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y38 PROHIBIT 0 SITEPROP SLICE_X1Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y38 RPM_X 19 SITEPROP SLICE_X1Y38 RPM_Y 76 SITEPROP SLICE_X1Y38 SITE_PIPS SITEPROP SLICE_X1Y38 SITE_TYPE SLICEL SITEPROP SLICE_X1Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y39 CLASS site SITEPROP SLICE_X1Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y39 IS_BONDED 0 SITEPROP SLICE_X1Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y39 IS_PAD 0 SITEPROP SLICE_X1Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y39 IS_RESERVED 0 SITEPROP SLICE_X1Y39 IS_TEST 0 SITEPROP SLICE_X1Y39 IS_USED 0 SITEPROP SLICE_X1Y39 MANUAL_ROUTING SITEPROP SLICE_X1Y39 NAME SLICE_X1Y39 SITEPROP SLICE_X1Y39 NUM_ARCS 138 SITEPROP SLICE_X1Y39 NUM_BELS 32 SITEPROP SLICE_X1Y39 NUM_INPUTS 32 SITEPROP SLICE_X1Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y39 NUM_PINS 45 SITEPROP SLICE_X1Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y39 PROHIBIT 0 SITEPROP SLICE_X1Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y39 RPM_X 19 SITEPROP SLICE_X1Y39 RPM_Y 78 SITEPROP SLICE_X1Y39 SITE_PIPS SITEPROP SLICE_X1Y39 SITE_TYPE SLICEL SITEPROP SLICE_X1Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y40 CLASS site SITEPROP SLICE_X1Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y40 IS_BONDED 0 SITEPROP SLICE_X1Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y40 IS_PAD 0 SITEPROP SLICE_X1Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y40 IS_RESERVED 0 SITEPROP SLICE_X1Y40 IS_TEST 0 SITEPROP SLICE_X1Y40 IS_USED 0 SITEPROP SLICE_X1Y40 MANUAL_ROUTING SITEPROP SLICE_X1Y40 NAME SLICE_X1Y40 SITEPROP SLICE_X1Y40 NUM_ARCS 138 SITEPROP SLICE_X1Y40 NUM_BELS 32 SITEPROP SLICE_X1Y40 NUM_INPUTS 32 SITEPROP SLICE_X1Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y40 NUM_PINS 45 SITEPROP SLICE_X1Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y40 PROHIBIT 0 SITEPROP SLICE_X1Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y40 RPM_X 19 SITEPROP SLICE_X1Y40 RPM_Y 80 SITEPROP SLICE_X1Y40 SITE_PIPS SITEPROP SLICE_X1Y40 SITE_TYPE SLICEL SITEPROP SLICE_X1Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y41 CLASS site SITEPROP SLICE_X1Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y41 IS_BONDED 0 SITEPROP SLICE_X1Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y41 IS_PAD 0 SITEPROP SLICE_X1Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y41 IS_RESERVED 0 SITEPROP SLICE_X1Y41 IS_TEST 0 SITEPROP SLICE_X1Y41 IS_USED 0 SITEPROP SLICE_X1Y41 MANUAL_ROUTING SITEPROP SLICE_X1Y41 NAME SLICE_X1Y41 SITEPROP SLICE_X1Y41 NUM_ARCS 138 SITEPROP SLICE_X1Y41 NUM_BELS 32 SITEPROP SLICE_X1Y41 NUM_INPUTS 32 SITEPROP SLICE_X1Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y41 NUM_PINS 45 SITEPROP SLICE_X1Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y41 PROHIBIT 0 SITEPROP SLICE_X1Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y41 RPM_X 19 SITEPROP SLICE_X1Y41 RPM_Y 82 SITEPROP SLICE_X1Y41 SITE_PIPS SITEPROP SLICE_X1Y41 SITE_TYPE SLICEL SITEPROP SLICE_X1Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y42 CLASS site SITEPROP SLICE_X1Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y42 IS_BONDED 0 SITEPROP SLICE_X1Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y42 IS_PAD 0 SITEPROP SLICE_X1Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y42 IS_RESERVED 0 SITEPROP SLICE_X1Y42 IS_TEST 0 SITEPROP SLICE_X1Y42 IS_USED 0 SITEPROP SLICE_X1Y42 MANUAL_ROUTING SITEPROP SLICE_X1Y42 NAME SLICE_X1Y42 SITEPROP SLICE_X1Y42 NUM_ARCS 138 SITEPROP SLICE_X1Y42 NUM_BELS 32 SITEPROP SLICE_X1Y42 NUM_INPUTS 32 SITEPROP SLICE_X1Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y42 NUM_PINS 45 SITEPROP SLICE_X1Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y42 PROHIBIT 0 SITEPROP SLICE_X1Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y42 RPM_X 19 SITEPROP SLICE_X1Y42 RPM_Y 84 SITEPROP SLICE_X1Y42 SITE_PIPS SITEPROP SLICE_X1Y42 SITE_TYPE SLICEL SITEPROP SLICE_X1Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y43 CLASS site SITEPROP SLICE_X1Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y43 IS_BONDED 0 SITEPROP SLICE_X1Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y43 IS_PAD 0 SITEPROP SLICE_X1Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y43 IS_RESERVED 0 SITEPROP SLICE_X1Y43 IS_TEST 0 SITEPROP SLICE_X1Y43 IS_USED 0 SITEPROP SLICE_X1Y43 MANUAL_ROUTING SITEPROP SLICE_X1Y43 NAME SLICE_X1Y43 SITEPROP SLICE_X1Y43 NUM_ARCS 138 SITEPROP SLICE_X1Y43 NUM_BELS 32 SITEPROP SLICE_X1Y43 NUM_INPUTS 32 SITEPROP SLICE_X1Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y43 NUM_PINS 45 SITEPROP SLICE_X1Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y43 PROHIBIT 0 SITEPROP SLICE_X1Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y43 RPM_X 19 SITEPROP SLICE_X1Y43 RPM_Y 86 SITEPROP SLICE_X1Y43 SITE_PIPS SITEPROP SLICE_X1Y43 SITE_TYPE SLICEL SITEPROP SLICE_X1Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y44 CLASS site SITEPROP SLICE_X1Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y44 IS_BONDED 0 SITEPROP SLICE_X1Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y44 IS_PAD 0 SITEPROP SLICE_X1Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y44 IS_RESERVED 0 SITEPROP SLICE_X1Y44 IS_TEST 0 SITEPROP SLICE_X1Y44 IS_USED 0 SITEPROP SLICE_X1Y44 MANUAL_ROUTING SITEPROP SLICE_X1Y44 NAME SLICE_X1Y44 SITEPROP SLICE_X1Y44 NUM_ARCS 138 SITEPROP SLICE_X1Y44 NUM_BELS 32 SITEPROP SLICE_X1Y44 NUM_INPUTS 32 SITEPROP SLICE_X1Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y44 NUM_PINS 45 SITEPROP SLICE_X1Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y44 PROHIBIT 0 SITEPROP SLICE_X1Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y44 RPM_X 19 SITEPROP SLICE_X1Y44 RPM_Y 88 SITEPROP SLICE_X1Y44 SITE_PIPS SITEPROP SLICE_X1Y44 SITE_TYPE SLICEL SITEPROP SLICE_X1Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y45 CLASS site SITEPROP SLICE_X1Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y45 IS_BONDED 0 SITEPROP SLICE_X1Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y45 IS_PAD 0 SITEPROP SLICE_X1Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y45 IS_RESERVED 0 SITEPROP SLICE_X1Y45 IS_TEST 0 SITEPROP SLICE_X1Y45 IS_USED 0 SITEPROP SLICE_X1Y45 MANUAL_ROUTING SITEPROP SLICE_X1Y45 NAME SLICE_X1Y45 SITEPROP SLICE_X1Y45 NUM_ARCS 138 SITEPROP SLICE_X1Y45 NUM_BELS 32 SITEPROP SLICE_X1Y45 NUM_INPUTS 32 SITEPROP SLICE_X1Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y45 NUM_PINS 45 SITEPROP SLICE_X1Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y45 PROHIBIT 0 SITEPROP SLICE_X1Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y45 RPM_X 19 SITEPROP SLICE_X1Y45 RPM_Y 90 SITEPROP SLICE_X1Y45 SITE_PIPS SITEPROP SLICE_X1Y45 SITE_TYPE SLICEL SITEPROP SLICE_X1Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y46 CLASS site SITEPROP SLICE_X1Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y46 IS_BONDED 0 SITEPROP SLICE_X1Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y46 IS_PAD 0 SITEPROP SLICE_X1Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y46 IS_RESERVED 0 SITEPROP SLICE_X1Y46 IS_TEST 0 SITEPROP SLICE_X1Y46 IS_USED 0 SITEPROP SLICE_X1Y46 MANUAL_ROUTING SITEPROP SLICE_X1Y46 NAME SLICE_X1Y46 SITEPROP SLICE_X1Y46 NUM_ARCS 138 SITEPROP SLICE_X1Y46 NUM_BELS 32 SITEPROP SLICE_X1Y46 NUM_INPUTS 32 SITEPROP SLICE_X1Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y46 NUM_PINS 45 SITEPROP SLICE_X1Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y46 PROHIBIT 0 SITEPROP SLICE_X1Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y46 RPM_X 19 SITEPROP SLICE_X1Y46 RPM_Y 92 SITEPROP SLICE_X1Y46 SITE_PIPS SITEPROP SLICE_X1Y46 SITE_TYPE SLICEL SITEPROP SLICE_X1Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y47 CLASS site SITEPROP SLICE_X1Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y47 IS_BONDED 0 SITEPROP SLICE_X1Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y47 IS_PAD 0 SITEPROP SLICE_X1Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y47 IS_RESERVED 0 SITEPROP SLICE_X1Y47 IS_TEST 0 SITEPROP SLICE_X1Y47 IS_USED 0 SITEPROP SLICE_X1Y47 MANUAL_ROUTING SITEPROP SLICE_X1Y47 NAME SLICE_X1Y47 SITEPROP SLICE_X1Y47 NUM_ARCS 138 SITEPROP SLICE_X1Y47 NUM_BELS 32 SITEPROP SLICE_X1Y47 NUM_INPUTS 32 SITEPROP SLICE_X1Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y47 NUM_PINS 45 SITEPROP SLICE_X1Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y47 PROHIBIT 0 SITEPROP SLICE_X1Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y47 RPM_X 19 SITEPROP SLICE_X1Y47 RPM_Y 94 SITEPROP SLICE_X1Y47 SITE_PIPS SITEPROP SLICE_X1Y47 SITE_TYPE SLICEL SITEPROP SLICE_X1Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y48 CLASS site SITEPROP SLICE_X1Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y48 IS_BONDED 0 SITEPROP SLICE_X1Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y48 IS_PAD 0 SITEPROP SLICE_X1Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y48 IS_RESERVED 0 SITEPROP SLICE_X1Y48 IS_TEST 0 SITEPROP SLICE_X1Y48 IS_USED 0 SITEPROP SLICE_X1Y48 MANUAL_ROUTING SITEPROP SLICE_X1Y48 NAME SLICE_X1Y48 SITEPROP SLICE_X1Y48 NUM_ARCS 138 SITEPROP SLICE_X1Y48 NUM_BELS 32 SITEPROP SLICE_X1Y48 NUM_INPUTS 32 SITEPROP SLICE_X1Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y48 NUM_PINS 45 SITEPROP SLICE_X1Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y48 PROHIBIT 0 SITEPROP SLICE_X1Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y48 RPM_X 19 SITEPROP SLICE_X1Y48 RPM_Y 96 SITEPROP SLICE_X1Y48 SITE_PIPS SITEPROP SLICE_X1Y48 SITE_TYPE SLICEL SITEPROP SLICE_X1Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y49 CLASS site SITEPROP SLICE_X1Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X1Y49 IS_BONDED 0 SITEPROP SLICE_X1Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y49 IS_PAD 0 SITEPROP SLICE_X1Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y49 IS_RESERVED 0 SITEPROP SLICE_X1Y49 IS_TEST 0 SITEPROP SLICE_X1Y49 IS_USED 0 SITEPROP SLICE_X1Y49 MANUAL_ROUTING SITEPROP SLICE_X1Y49 NAME SLICE_X1Y49 SITEPROP SLICE_X1Y49 NUM_ARCS 138 SITEPROP SLICE_X1Y49 NUM_BELS 32 SITEPROP SLICE_X1Y49 NUM_INPUTS 32 SITEPROP SLICE_X1Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y49 NUM_PINS 45 SITEPROP SLICE_X1Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y49 PROHIBIT 0 SITEPROP SLICE_X1Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y49 RPM_X 19 SITEPROP SLICE_X1Y49 RPM_Y 98 SITEPROP SLICE_X1Y49 SITE_PIPS SITEPROP SLICE_X1Y49 SITE_TYPE SLICEL SITEPROP SLICE_X1Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y50 CLASS site SITEPROP SLICE_X1Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y50 IS_BONDED 0 SITEPROP SLICE_X1Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y50 IS_PAD 0 SITEPROP SLICE_X1Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y50 IS_RESERVED 0 SITEPROP SLICE_X1Y50 IS_TEST 0 SITEPROP SLICE_X1Y50 IS_USED 0 SITEPROP SLICE_X1Y50 MANUAL_ROUTING SITEPROP SLICE_X1Y50 NAME SLICE_X1Y50 SITEPROP SLICE_X1Y50 NUM_ARCS 138 SITEPROP SLICE_X1Y50 NUM_BELS 32 SITEPROP SLICE_X1Y50 NUM_INPUTS 32 SITEPROP SLICE_X1Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y50 NUM_PINS 45 SITEPROP SLICE_X1Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y50 PROHIBIT 0 SITEPROP SLICE_X1Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y50 RPM_X 19 SITEPROP SLICE_X1Y50 RPM_Y 100 SITEPROP SLICE_X1Y50 SITE_PIPS SITEPROP SLICE_X1Y50 SITE_TYPE SLICEL SITEPROP SLICE_X1Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y51 CLASS site SITEPROP SLICE_X1Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y51 IS_BONDED 0 SITEPROP SLICE_X1Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y51 IS_PAD 0 SITEPROP SLICE_X1Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y51 IS_RESERVED 0 SITEPROP SLICE_X1Y51 IS_TEST 0 SITEPROP SLICE_X1Y51 IS_USED 0 SITEPROP SLICE_X1Y51 MANUAL_ROUTING SITEPROP SLICE_X1Y51 NAME SLICE_X1Y51 SITEPROP SLICE_X1Y51 NUM_ARCS 138 SITEPROP SLICE_X1Y51 NUM_BELS 32 SITEPROP SLICE_X1Y51 NUM_INPUTS 32 SITEPROP SLICE_X1Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y51 NUM_PINS 45 SITEPROP SLICE_X1Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y51 PROHIBIT 0 SITEPROP SLICE_X1Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y51 RPM_X 19 SITEPROP SLICE_X1Y51 RPM_Y 102 SITEPROP SLICE_X1Y51 SITE_PIPS SITEPROP SLICE_X1Y51 SITE_TYPE SLICEL SITEPROP SLICE_X1Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y52 CLASS site SITEPROP SLICE_X1Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y52 IS_BONDED 0 SITEPROP SLICE_X1Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y52 IS_PAD 0 SITEPROP SLICE_X1Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y52 IS_RESERVED 0 SITEPROP SLICE_X1Y52 IS_TEST 0 SITEPROP SLICE_X1Y52 IS_USED 0 SITEPROP SLICE_X1Y52 MANUAL_ROUTING SITEPROP SLICE_X1Y52 NAME SLICE_X1Y52 SITEPROP SLICE_X1Y52 NUM_ARCS 138 SITEPROP SLICE_X1Y52 NUM_BELS 32 SITEPROP SLICE_X1Y52 NUM_INPUTS 32 SITEPROP SLICE_X1Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y52 NUM_PINS 45 SITEPROP SLICE_X1Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y52 PROHIBIT 0 SITEPROP SLICE_X1Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y52 RPM_X 19 SITEPROP SLICE_X1Y52 RPM_Y 104 SITEPROP SLICE_X1Y52 SITE_PIPS SITEPROP SLICE_X1Y52 SITE_TYPE SLICEL SITEPROP SLICE_X1Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y53 CLASS site SITEPROP SLICE_X1Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y53 IS_BONDED 0 SITEPROP SLICE_X1Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y53 IS_PAD 0 SITEPROP SLICE_X1Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y53 IS_RESERVED 0 SITEPROP SLICE_X1Y53 IS_TEST 0 SITEPROP SLICE_X1Y53 IS_USED 0 SITEPROP SLICE_X1Y53 MANUAL_ROUTING SITEPROP SLICE_X1Y53 NAME SLICE_X1Y53 SITEPROP SLICE_X1Y53 NUM_ARCS 138 SITEPROP SLICE_X1Y53 NUM_BELS 32 SITEPROP SLICE_X1Y53 NUM_INPUTS 32 SITEPROP SLICE_X1Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y53 NUM_PINS 45 SITEPROP SLICE_X1Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y53 PROHIBIT 0 SITEPROP SLICE_X1Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y53 RPM_X 19 SITEPROP SLICE_X1Y53 RPM_Y 106 SITEPROP SLICE_X1Y53 SITE_PIPS SITEPROP SLICE_X1Y53 SITE_TYPE SLICEL SITEPROP SLICE_X1Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y54 CLASS site SITEPROP SLICE_X1Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y54 IS_BONDED 0 SITEPROP SLICE_X1Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y54 IS_PAD 0 SITEPROP SLICE_X1Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y54 IS_RESERVED 0 SITEPROP SLICE_X1Y54 IS_TEST 0 SITEPROP SLICE_X1Y54 IS_USED 0 SITEPROP SLICE_X1Y54 MANUAL_ROUTING SITEPROP SLICE_X1Y54 NAME SLICE_X1Y54 SITEPROP SLICE_X1Y54 NUM_ARCS 138 SITEPROP SLICE_X1Y54 NUM_BELS 32 SITEPROP SLICE_X1Y54 NUM_INPUTS 32 SITEPROP SLICE_X1Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y54 NUM_PINS 45 SITEPROP SLICE_X1Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y54 PROHIBIT 0 SITEPROP SLICE_X1Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y54 RPM_X 19 SITEPROP SLICE_X1Y54 RPM_Y 108 SITEPROP SLICE_X1Y54 SITE_PIPS SITEPROP SLICE_X1Y54 SITE_TYPE SLICEL SITEPROP SLICE_X1Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y55 CLASS site SITEPROP SLICE_X1Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y55 IS_BONDED 0 SITEPROP SLICE_X1Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y55 IS_PAD 0 SITEPROP SLICE_X1Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y55 IS_RESERVED 0 SITEPROP SLICE_X1Y55 IS_TEST 0 SITEPROP SLICE_X1Y55 IS_USED 0 SITEPROP SLICE_X1Y55 MANUAL_ROUTING SITEPROP SLICE_X1Y55 NAME SLICE_X1Y55 SITEPROP SLICE_X1Y55 NUM_ARCS 138 SITEPROP SLICE_X1Y55 NUM_BELS 32 SITEPROP SLICE_X1Y55 NUM_INPUTS 32 SITEPROP SLICE_X1Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y55 NUM_PINS 45 SITEPROP SLICE_X1Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y55 PROHIBIT 0 SITEPROP SLICE_X1Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y55 RPM_X 19 SITEPROP SLICE_X1Y55 RPM_Y 110 SITEPROP SLICE_X1Y55 SITE_PIPS SITEPROP SLICE_X1Y55 SITE_TYPE SLICEL SITEPROP SLICE_X1Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y56 CLASS site SITEPROP SLICE_X1Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y56 IS_BONDED 0 SITEPROP SLICE_X1Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y56 IS_PAD 0 SITEPROP SLICE_X1Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y56 IS_RESERVED 0 SITEPROP SLICE_X1Y56 IS_TEST 0 SITEPROP SLICE_X1Y56 IS_USED 0 SITEPROP SLICE_X1Y56 MANUAL_ROUTING SITEPROP SLICE_X1Y56 NAME SLICE_X1Y56 SITEPROP SLICE_X1Y56 NUM_ARCS 138 SITEPROP SLICE_X1Y56 NUM_BELS 32 SITEPROP SLICE_X1Y56 NUM_INPUTS 32 SITEPROP SLICE_X1Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y56 NUM_PINS 45 SITEPROP SLICE_X1Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y56 PROHIBIT 0 SITEPROP SLICE_X1Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y56 RPM_X 19 SITEPROP SLICE_X1Y56 RPM_Y 112 SITEPROP SLICE_X1Y56 SITE_PIPS SITEPROP SLICE_X1Y56 SITE_TYPE SLICEL SITEPROP SLICE_X1Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y57 CLASS site SITEPROP SLICE_X1Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y57 IS_BONDED 0 SITEPROP SLICE_X1Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y57 IS_PAD 0 SITEPROP SLICE_X1Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y57 IS_RESERVED 0 SITEPROP SLICE_X1Y57 IS_TEST 0 SITEPROP SLICE_X1Y57 IS_USED 0 SITEPROP SLICE_X1Y57 MANUAL_ROUTING SITEPROP SLICE_X1Y57 NAME SLICE_X1Y57 SITEPROP SLICE_X1Y57 NUM_ARCS 138 SITEPROP SLICE_X1Y57 NUM_BELS 32 SITEPROP SLICE_X1Y57 NUM_INPUTS 32 SITEPROP SLICE_X1Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y57 NUM_PINS 45 SITEPROP SLICE_X1Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y57 PROHIBIT 0 SITEPROP SLICE_X1Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y57 RPM_X 19 SITEPROP SLICE_X1Y57 RPM_Y 114 SITEPROP SLICE_X1Y57 SITE_PIPS SITEPROP SLICE_X1Y57 SITE_TYPE SLICEL SITEPROP SLICE_X1Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y58 CLASS site SITEPROP SLICE_X1Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y58 IS_BONDED 0 SITEPROP SLICE_X1Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y58 IS_PAD 0 SITEPROP SLICE_X1Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y58 IS_RESERVED 0 SITEPROP SLICE_X1Y58 IS_TEST 0 SITEPROP SLICE_X1Y58 IS_USED 0 SITEPROP SLICE_X1Y58 MANUAL_ROUTING SITEPROP SLICE_X1Y58 NAME SLICE_X1Y58 SITEPROP SLICE_X1Y58 NUM_ARCS 138 SITEPROP SLICE_X1Y58 NUM_BELS 32 SITEPROP SLICE_X1Y58 NUM_INPUTS 32 SITEPROP SLICE_X1Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y58 NUM_PINS 45 SITEPROP SLICE_X1Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y58 PROHIBIT 0 SITEPROP SLICE_X1Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y58 RPM_X 19 SITEPROP SLICE_X1Y58 RPM_Y 116 SITEPROP SLICE_X1Y58 SITE_PIPS SITEPROP SLICE_X1Y58 SITE_TYPE SLICEL SITEPROP SLICE_X1Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y59 CLASS site SITEPROP SLICE_X1Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y59 IS_BONDED 0 SITEPROP SLICE_X1Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y59 IS_PAD 0 SITEPROP SLICE_X1Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y59 IS_RESERVED 0 SITEPROP SLICE_X1Y59 IS_TEST 0 SITEPROP SLICE_X1Y59 IS_USED 0 SITEPROP SLICE_X1Y59 MANUAL_ROUTING SITEPROP SLICE_X1Y59 NAME SLICE_X1Y59 SITEPROP SLICE_X1Y59 NUM_ARCS 138 SITEPROP SLICE_X1Y59 NUM_BELS 32 SITEPROP SLICE_X1Y59 NUM_INPUTS 32 SITEPROP SLICE_X1Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y59 NUM_PINS 45 SITEPROP SLICE_X1Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y59 PROHIBIT 0 SITEPROP SLICE_X1Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y59 RPM_X 19 SITEPROP SLICE_X1Y59 RPM_Y 118 SITEPROP SLICE_X1Y59 SITE_PIPS SITEPROP SLICE_X1Y59 SITE_TYPE SLICEL SITEPROP SLICE_X1Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y60 CLASS site SITEPROP SLICE_X1Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y60 IS_BONDED 0 SITEPROP SLICE_X1Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y60 IS_PAD 0 SITEPROP SLICE_X1Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y60 IS_RESERVED 0 SITEPROP SLICE_X1Y60 IS_TEST 0 SITEPROP SLICE_X1Y60 IS_USED 0 SITEPROP SLICE_X1Y60 MANUAL_ROUTING SITEPROP SLICE_X1Y60 NAME SLICE_X1Y60 SITEPROP SLICE_X1Y60 NUM_ARCS 138 SITEPROP SLICE_X1Y60 NUM_BELS 32 SITEPROP SLICE_X1Y60 NUM_INPUTS 32 SITEPROP SLICE_X1Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y60 NUM_PINS 45 SITEPROP SLICE_X1Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y60 PROHIBIT 0 SITEPROP SLICE_X1Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y60 RPM_X 19 SITEPROP SLICE_X1Y60 RPM_Y 120 SITEPROP SLICE_X1Y60 SITE_PIPS SITEPROP SLICE_X1Y60 SITE_TYPE SLICEL SITEPROP SLICE_X1Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y61 CLASS site SITEPROP SLICE_X1Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y61 IS_BONDED 0 SITEPROP SLICE_X1Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y61 IS_PAD 0 SITEPROP SLICE_X1Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y61 IS_RESERVED 0 SITEPROP SLICE_X1Y61 IS_TEST 0 SITEPROP SLICE_X1Y61 IS_USED 0 SITEPROP SLICE_X1Y61 MANUAL_ROUTING SITEPROP SLICE_X1Y61 NAME SLICE_X1Y61 SITEPROP SLICE_X1Y61 NUM_ARCS 138 SITEPROP SLICE_X1Y61 NUM_BELS 32 SITEPROP SLICE_X1Y61 NUM_INPUTS 32 SITEPROP SLICE_X1Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y61 NUM_PINS 45 SITEPROP SLICE_X1Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y61 PROHIBIT 0 SITEPROP SLICE_X1Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y61 RPM_X 19 SITEPROP SLICE_X1Y61 RPM_Y 122 SITEPROP SLICE_X1Y61 SITE_PIPS SITEPROP SLICE_X1Y61 SITE_TYPE SLICEL SITEPROP SLICE_X1Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y62 CLASS site SITEPROP SLICE_X1Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y62 IS_BONDED 0 SITEPROP SLICE_X1Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y62 IS_PAD 0 SITEPROP SLICE_X1Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y62 IS_RESERVED 0 SITEPROP SLICE_X1Y62 IS_TEST 0 SITEPROP SLICE_X1Y62 IS_USED 0 SITEPROP SLICE_X1Y62 MANUAL_ROUTING SITEPROP SLICE_X1Y62 NAME SLICE_X1Y62 SITEPROP SLICE_X1Y62 NUM_ARCS 138 SITEPROP SLICE_X1Y62 NUM_BELS 32 SITEPROP SLICE_X1Y62 NUM_INPUTS 32 SITEPROP SLICE_X1Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y62 NUM_PINS 45 SITEPROP SLICE_X1Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y62 PROHIBIT 0 SITEPROP SLICE_X1Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y62 RPM_X 19 SITEPROP SLICE_X1Y62 RPM_Y 124 SITEPROP SLICE_X1Y62 SITE_PIPS SITEPROP SLICE_X1Y62 SITE_TYPE SLICEL SITEPROP SLICE_X1Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y63 CLASS site SITEPROP SLICE_X1Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y63 IS_BONDED 0 SITEPROP SLICE_X1Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y63 IS_PAD 0 SITEPROP SLICE_X1Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y63 IS_RESERVED 0 SITEPROP SLICE_X1Y63 IS_TEST 0 SITEPROP SLICE_X1Y63 IS_USED 0 SITEPROP SLICE_X1Y63 MANUAL_ROUTING SITEPROP SLICE_X1Y63 NAME SLICE_X1Y63 SITEPROP SLICE_X1Y63 NUM_ARCS 138 SITEPROP SLICE_X1Y63 NUM_BELS 32 SITEPROP SLICE_X1Y63 NUM_INPUTS 32 SITEPROP SLICE_X1Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y63 NUM_PINS 45 SITEPROP SLICE_X1Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y63 PROHIBIT 0 SITEPROP SLICE_X1Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y63 RPM_X 19 SITEPROP SLICE_X1Y63 RPM_Y 126 SITEPROP SLICE_X1Y63 SITE_PIPS SITEPROP SLICE_X1Y63 SITE_TYPE SLICEL SITEPROP SLICE_X1Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y64 CLASS site SITEPROP SLICE_X1Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y64 IS_BONDED 0 SITEPROP SLICE_X1Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y64 IS_PAD 0 SITEPROP SLICE_X1Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y64 IS_RESERVED 0 SITEPROP SLICE_X1Y64 IS_TEST 0 SITEPROP SLICE_X1Y64 IS_USED 0 SITEPROP SLICE_X1Y64 MANUAL_ROUTING SITEPROP SLICE_X1Y64 NAME SLICE_X1Y64 SITEPROP SLICE_X1Y64 NUM_ARCS 138 SITEPROP SLICE_X1Y64 NUM_BELS 32 SITEPROP SLICE_X1Y64 NUM_INPUTS 32 SITEPROP SLICE_X1Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y64 NUM_PINS 45 SITEPROP SLICE_X1Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y64 PROHIBIT 0 SITEPROP SLICE_X1Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y64 RPM_X 19 SITEPROP SLICE_X1Y64 RPM_Y 128 SITEPROP SLICE_X1Y64 SITE_PIPS SITEPROP SLICE_X1Y64 SITE_TYPE SLICEL SITEPROP SLICE_X1Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y65 CLASS site SITEPROP SLICE_X1Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y65 IS_BONDED 0 SITEPROP SLICE_X1Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y65 IS_PAD 0 SITEPROP SLICE_X1Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y65 IS_RESERVED 0 SITEPROP SLICE_X1Y65 IS_TEST 0 SITEPROP SLICE_X1Y65 IS_USED 0 SITEPROP SLICE_X1Y65 MANUAL_ROUTING SITEPROP SLICE_X1Y65 NAME SLICE_X1Y65 SITEPROP SLICE_X1Y65 NUM_ARCS 138 SITEPROP SLICE_X1Y65 NUM_BELS 32 SITEPROP SLICE_X1Y65 NUM_INPUTS 32 SITEPROP SLICE_X1Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y65 NUM_PINS 45 SITEPROP SLICE_X1Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y65 PROHIBIT 0 SITEPROP SLICE_X1Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y65 RPM_X 19 SITEPROP SLICE_X1Y65 RPM_Y 130 SITEPROP SLICE_X1Y65 SITE_PIPS SITEPROP SLICE_X1Y65 SITE_TYPE SLICEL SITEPROP SLICE_X1Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y66 CLASS site SITEPROP SLICE_X1Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y66 IS_BONDED 0 SITEPROP SLICE_X1Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y66 IS_PAD 0 SITEPROP SLICE_X1Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y66 IS_RESERVED 0 SITEPROP SLICE_X1Y66 IS_TEST 0 SITEPROP SLICE_X1Y66 IS_USED 0 SITEPROP SLICE_X1Y66 MANUAL_ROUTING SITEPROP SLICE_X1Y66 NAME SLICE_X1Y66 SITEPROP SLICE_X1Y66 NUM_ARCS 138 SITEPROP SLICE_X1Y66 NUM_BELS 32 SITEPROP SLICE_X1Y66 NUM_INPUTS 32 SITEPROP SLICE_X1Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y66 NUM_PINS 45 SITEPROP SLICE_X1Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y66 PROHIBIT 0 SITEPROP SLICE_X1Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y66 RPM_X 19 SITEPROP SLICE_X1Y66 RPM_Y 132 SITEPROP SLICE_X1Y66 SITE_PIPS SITEPROP SLICE_X1Y66 SITE_TYPE SLICEL SITEPROP SLICE_X1Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y67 CLASS site SITEPROP SLICE_X1Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y67 IS_BONDED 0 SITEPROP SLICE_X1Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y67 IS_PAD 0 SITEPROP SLICE_X1Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y67 IS_RESERVED 0 SITEPROP SLICE_X1Y67 IS_TEST 0 SITEPROP SLICE_X1Y67 IS_USED 0 SITEPROP SLICE_X1Y67 MANUAL_ROUTING SITEPROP SLICE_X1Y67 NAME SLICE_X1Y67 SITEPROP SLICE_X1Y67 NUM_ARCS 138 SITEPROP SLICE_X1Y67 NUM_BELS 32 SITEPROP SLICE_X1Y67 NUM_INPUTS 32 SITEPROP SLICE_X1Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y67 NUM_PINS 45 SITEPROP SLICE_X1Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y67 PROHIBIT 0 SITEPROP SLICE_X1Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y67 RPM_X 19 SITEPROP SLICE_X1Y67 RPM_Y 134 SITEPROP SLICE_X1Y67 SITE_PIPS SITEPROP SLICE_X1Y67 SITE_TYPE SLICEL SITEPROP SLICE_X1Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y68 CLASS site SITEPROP SLICE_X1Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y68 IS_BONDED 0 SITEPROP SLICE_X1Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y68 IS_PAD 0 SITEPROP SLICE_X1Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y68 IS_RESERVED 0 SITEPROP SLICE_X1Y68 IS_TEST 0 SITEPROP SLICE_X1Y68 IS_USED 0 SITEPROP SLICE_X1Y68 MANUAL_ROUTING SITEPROP SLICE_X1Y68 NAME SLICE_X1Y68 SITEPROP SLICE_X1Y68 NUM_ARCS 138 SITEPROP SLICE_X1Y68 NUM_BELS 32 SITEPROP SLICE_X1Y68 NUM_INPUTS 32 SITEPROP SLICE_X1Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y68 NUM_PINS 45 SITEPROP SLICE_X1Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y68 PROHIBIT 0 SITEPROP SLICE_X1Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y68 RPM_X 19 SITEPROP SLICE_X1Y68 RPM_Y 136 SITEPROP SLICE_X1Y68 SITE_PIPS SITEPROP SLICE_X1Y68 SITE_TYPE SLICEL SITEPROP SLICE_X1Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y69 CLASS site SITEPROP SLICE_X1Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y69 IS_BONDED 0 SITEPROP SLICE_X1Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y69 IS_PAD 0 SITEPROP SLICE_X1Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y69 IS_RESERVED 0 SITEPROP SLICE_X1Y69 IS_TEST 0 SITEPROP SLICE_X1Y69 IS_USED 0 SITEPROP SLICE_X1Y69 MANUAL_ROUTING SITEPROP SLICE_X1Y69 NAME SLICE_X1Y69 SITEPROP SLICE_X1Y69 NUM_ARCS 138 SITEPROP SLICE_X1Y69 NUM_BELS 32 SITEPROP SLICE_X1Y69 NUM_INPUTS 32 SITEPROP SLICE_X1Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y69 NUM_PINS 45 SITEPROP SLICE_X1Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y69 PROHIBIT 0 SITEPROP SLICE_X1Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y69 RPM_X 19 SITEPROP SLICE_X1Y69 RPM_Y 138 SITEPROP SLICE_X1Y69 SITE_PIPS SITEPROP SLICE_X1Y69 SITE_TYPE SLICEL SITEPROP SLICE_X1Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y70 CLASS site SITEPROP SLICE_X1Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y70 IS_BONDED 0 SITEPROP SLICE_X1Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y70 IS_PAD 0 SITEPROP SLICE_X1Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y70 IS_RESERVED 0 SITEPROP SLICE_X1Y70 IS_TEST 0 SITEPROP SLICE_X1Y70 IS_USED 0 SITEPROP SLICE_X1Y70 MANUAL_ROUTING SITEPROP SLICE_X1Y70 NAME SLICE_X1Y70 SITEPROP SLICE_X1Y70 NUM_ARCS 138 SITEPROP SLICE_X1Y70 NUM_BELS 32 SITEPROP SLICE_X1Y70 NUM_INPUTS 32 SITEPROP SLICE_X1Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y70 NUM_PINS 45 SITEPROP SLICE_X1Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y70 PROHIBIT 0 SITEPROP SLICE_X1Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y70 RPM_X 19 SITEPROP SLICE_X1Y70 RPM_Y 140 SITEPROP SLICE_X1Y70 SITE_PIPS SITEPROP SLICE_X1Y70 SITE_TYPE SLICEL SITEPROP SLICE_X1Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y71 CLASS site SITEPROP SLICE_X1Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y71 IS_BONDED 0 SITEPROP SLICE_X1Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y71 IS_PAD 0 SITEPROP SLICE_X1Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y71 IS_RESERVED 0 SITEPROP SLICE_X1Y71 IS_TEST 0 SITEPROP SLICE_X1Y71 IS_USED 0 SITEPROP SLICE_X1Y71 MANUAL_ROUTING SITEPROP SLICE_X1Y71 NAME SLICE_X1Y71 SITEPROP SLICE_X1Y71 NUM_ARCS 138 SITEPROP SLICE_X1Y71 NUM_BELS 32 SITEPROP SLICE_X1Y71 NUM_INPUTS 32 SITEPROP SLICE_X1Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y71 NUM_PINS 45 SITEPROP SLICE_X1Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y71 PROHIBIT 0 SITEPROP SLICE_X1Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y71 RPM_X 19 SITEPROP SLICE_X1Y71 RPM_Y 142 SITEPROP SLICE_X1Y71 SITE_PIPS SITEPROP SLICE_X1Y71 SITE_TYPE SLICEL SITEPROP SLICE_X1Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y72 CLASS site SITEPROP SLICE_X1Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y72 IS_BONDED 0 SITEPROP SLICE_X1Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y72 IS_PAD 0 SITEPROP SLICE_X1Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y72 IS_RESERVED 0 SITEPROP SLICE_X1Y72 IS_TEST 0 SITEPROP SLICE_X1Y72 IS_USED 0 SITEPROP SLICE_X1Y72 MANUAL_ROUTING SITEPROP SLICE_X1Y72 NAME SLICE_X1Y72 SITEPROP SLICE_X1Y72 NUM_ARCS 138 SITEPROP SLICE_X1Y72 NUM_BELS 32 SITEPROP SLICE_X1Y72 NUM_INPUTS 32 SITEPROP SLICE_X1Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y72 NUM_PINS 45 SITEPROP SLICE_X1Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y72 PROHIBIT 0 SITEPROP SLICE_X1Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y72 RPM_X 19 SITEPROP SLICE_X1Y72 RPM_Y 144 SITEPROP SLICE_X1Y72 SITE_PIPS SITEPROP SLICE_X1Y72 SITE_TYPE SLICEL SITEPROP SLICE_X1Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y73 CLASS site SITEPROP SLICE_X1Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y73 IS_BONDED 0 SITEPROP SLICE_X1Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y73 IS_PAD 0 SITEPROP SLICE_X1Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y73 IS_RESERVED 0 SITEPROP SLICE_X1Y73 IS_TEST 0 SITEPROP SLICE_X1Y73 IS_USED 0 SITEPROP SLICE_X1Y73 MANUAL_ROUTING SITEPROP SLICE_X1Y73 NAME SLICE_X1Y73 SITEPROP SLICE_X1Y73 NUM_ARCS 138 SITEPROP SLICE_X1Y73 NUM_BELS 32 SITEPROP SLICE_X1Y73 NUM_INPUTS 32 SITEPROP SLICE_X1Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y73 NUM_PINS 45 SITEPROP SLICE_X1Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y73 PROHIBIT 0 SITEPROP SLICE_X1Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y73 RPM_X 19 SITEPROP SLICE_X1Y73 RPM_Y 146 SITEPROP SLICE_X1Y73 SITE_PIPS SITEPROP SLICE_X1Y73 SITE_TYPE SLICEL SITEPROP SLICE_X1Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y74 CLASS site SITEPROP SLICE_X1Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y74 IS_BONDED 0 SITEPROP SLICE_X1Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y74 IS_PAD 0 SITEPROP SLICE_X1Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y74 IS_RESERVED 0 SITEPROP SLICE_X1Y74 IS_TEST 0 SITEPROP SLICE_X1Y74 IS_USED 0 SITEPROP SLICE_X1Y74 MANUAL_ROUTING SITEPROP SLICE_X1Y74 NAME SLICE_X1Y74 SITEPROP SLICE_X1Y74 NUM_ARCS 138 SITEPROP SLICE_X1Y74 NUM_BELS 32 SITEPROP SLICE_X1Y74 NUM_INPUTS 32 SITEPROP SLICE_X1Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y74 NUM_PINS 45 SITEPROP SLICE_X1Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y74 PROHIBIT 0 SITEPROP SLICE_X1Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y74 RPM_X 19 SITEPROP SLICE_X1Y74 RPM_Y 148 SITEPROP SLICE_X1Y74 SITE_PIPS SITEPROP SLICE_X1Y74 SITE_TYPE SLICEL SITEPROP SLICE_X1Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y75 CLASS site SITEPROP SLICE_X1Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y75 IS_BONDED 0 SITEPROP SLICE_X1Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y75 IS_PAD 0 SITEPROP SLICE_X1Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y75 IS_RESERVED 0 SITEPROP SLICE_X1Y75 IS_TEST 0 SITEPROP SLICE_X1Y75 IS_USED 0 SITEPROP SLICE_X1Y75 MANUAL_ROUTING SITEPROP SLICE_X1Y75 NAME SLICE_X1Y75 SITEPROP SLICE_X1Y75 NUM_ARCS 138 SITEPROP SLICE_X1Y75 NUM_BELS 32 SITEPROP SLICE_X1Y75 NUM_INPUTS 32 SITEPROP SLICE_X1Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y75 NUM_PINS 45 SITEPROP SLICE_X1Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y75 PROHIBIT 0 SITEPROP SLICE_X1Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y75 RPM_X 19 SITEPROP SLICE_X1Y75 RPM_Y 150 SITEPROP SLICE_X1Y75 SITE_PIPS SITEPROP SLICE_X1Y75 SITE_TYPE SLICEL SITEPROP SLICE_X1Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y76 CLASS site SITEPROP SLICE_X1Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y76 IS_BONDED 0 SITEPROP SLICE_X1Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y76 IS_PAD 0 SITEPROP SLICE_X1Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y76 IS_RESERVED 0 SITEPROP SLICE_X1Y76 IS_TEST 0 SITEPROP SLICE_X1Y76 IS_USED 0 SITEPROP SLICE_X1Y76 MANUAL_ROUTING SITEPROP SLICE_X1Y76 NAME SLICE_X1Y76 SITEPROP SLICE_X1Y76 NUM_ARCS 138 SITEPROP SLICE_X1Y76 NUM_BELS 32 SITEPROP SLICE_X1Y76 NUM_INPUTS 32 SITEPROP SLICE_X1Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y76 NUM_PINS 45 SITEPROP SLICE_X1Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y76 PROHIBIT 0 SITEPROP SLICE_X1Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y76 RPM_X 19 SITEPROP SLICE_X1Y76 RPM_Y 152 SITEPROP SLICE_X1Y76 SITE_PIPS SITEPROP SLICE_X1Y76 SITE_TYPE SLICEL SITEPROP SLICE_X1Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y77 CLASS site SITEPROP SLICE_X1Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y77 IS_BONDED 0 SITEPROP SLICE_X1Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y77 IS_PAD 0 SITEPROP SLICE_X1Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y77 IS_RESERVED 0 SITEPROP SLICE_X1Y77 IS_TEST 0 SITEPROP SLICE_X1Y77 IS_USED 0 SITEPROP SLICE_X1Y77 MANUAL_ROUTING SITEPROP SLICE_X1Y77 NAME SLICE_X1Y77 SITEPROP SLICE_X1Y77 NUM_ARCS 138 SITEPROP SLICE_X1Y77 NUM_BELS 32 SITEPROP SLICE_X1Y77 NUM_INPUTS 32 SITEPROP SLICE_X1Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y77 NUM_PINS 45 SITEPROP SLICE_X1Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y77 PROHIBIT 0 SITEPROP SLICE_X1Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y77 RPM_X 19 SITEPROP SLICE_X1Y77 RPM_Y 154 SITEPROP SLICE_X1Y77 SITE_PIPS SITEPROP SLICE_X1Y77 SITE_TYPE SLICEL SITEPROP SLICE_X1Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y78 CLASS site SITEPROP SLICE_X1Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y78 IS_BONDED 0 SITEPROP SLICE_X1Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y78 IS_PAD 0 SITEPROP SLICE_X1Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y78 IS_RESERVED 0 SITEPROP SLICE_X1Y78 IS_TEST 0 SITEPROP SLICE_X1Y78 IS_USED 0 SITEPROP SLICE_X1Y78 MANUAL_ROUTING SITEPROP SLICE_X1Y78 NAME SLICE_X1Y78 SITEPROP SLICE_X1Y78 NUM_ARCS 138 SITEPROP SLICE_X1Y78 NUM_BELS 32 SITEPROP SLICE_X1Y78 NUM_INPUTS 32 SITEPROP SLICE_X1Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y78 NUM_PINS 45 SITEPROP SLICE_X1Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y78 PROHIBIT 0 SITEPROP SLICE_X1Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y78 RPM_X 19 SITEPROP SLICE_X1Y78 RPM_Y 156 SITEPROP SLICE_X1Y78 SITE_PIPS SITEPROP SLICE_X1Y78 SITE_TYPE SLICEL SITEPROP SLICE_X1Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y79 CLASS site SITEPROP SLICE_X1Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y79 IS_BONDED 0 SITEPROP SLICE_X1Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y79 IS_PAD 0 SITEPROP SLICE_X1Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y79 IS_RESERVED 0 SITEPROP SLICE_X1Y79 IS_TEST 0 SITEPROP SLICE_X1Y79 IS_USED 0 SITEPROP SLICE_X1Y79 MANUAL_ROUTING SITEPROP SLICE_X1Y79 NAME SLICE_X1Y79 SITEPROP SLICE_X1Y79 NUM_ARCS 138 SITEPROP SLICE_X1Y79 NUM_BELS 32 SITEPROP SLICE_X1Y79 NUM_INPUTS 32 SITEPROP SLICE_X1Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y79 NUM_PINS 45 SITEPROP SLICE_X1Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y79 PROHIBIT 0 SITEPROP SLICE_X1Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y79 RPM_X 19 SITEPROP SLICE_X1Y79 RPM_Y 158 SITEPROP SLICE_X1Y79 SITE_PIPS SITEPROP SLICE_X1Y79 SITE_TYPE SLICEL SITEPROP SLICE_X1Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y80 CLASS site SITEPROP SLICE_X1Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y80 IS_BONDED 0 SITEPROP SLICE_X1Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y80 IS_PAD 0 SITEPROP SLICE_X1Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y80 IS_RESERVED 0 SITEPROP SLICE_X1Y80 IS_TEST 0 SITEPROP SLICE_X1Y80 IS_USED 0 SITEPROP SLICE_X1Y80 MANUAL_ROUTING SITEPROP SLICE_X1Y80 NAME SLICE_X1Y80 SITEPROP SLICE_X1Y80 NUM_ARCS 138 SITEPROP SLICE_X1Y80 NUM_BELS 32 SITEPROP SLICE_X1Y80 NUM_INPUTS 32 SITEPROP SLICE_X1Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y80 NUM_PINS 45 SITEPROP SLICE_X1Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y80 PROHIBIT 0 SITEPROP SLICE_X1Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y80 RPM_X 19 SITEPROP SLICE_X1Y80 RPM_Y 160 SITEPROP SLICE_X1Y80 SITE_PIPS SITEPROP SLICE_X1Y80 SITE_TYPE SLICEL SITEPROP SLICE_X1Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y81 CLASS site SITEPROP SLICE_X1Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y81 IS_BONDED 0 SITEPROP SLICE_X1Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y81 IS_PAD 0 SITEPROP SLICE_X1Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y81 IS_RESERVED 0 SITEPROP SLICE_X1Y81 IS_TEST 0 SITEPROP SLICE_X1Y81 IS_USED 0 SITEPROP SLICE_X1Y81 MANUAL_ROUTING SITEPROP SLICE_X1Y81 NAME SLICE_X1Y81 SITEPROP SLICE_X1Y81 NUM_ARCS 138 SITEPROP SLICE_X1Y81 NUM_BELS 32 SITEPROP SLICE_X1Y81 NUM_INPUTS 32 SITEPROP SLICE_X1Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y81 NUM_PINS 45 SITEPROP SLICE_X1Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y81 PROHIBIT 0 SITEPROP SLICE_X1Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y81 RPM_X 19 SITEPROP SLICE_X1Y81 RPM_Y 162 SITEPROP SLICE_X1Y81 SITE_PIPS SITEPROP SLICE_X1Y81 SITE_TYPE SLICEL SITEPROP SLICE_X1Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y82 CLASS site SITEPROP SLICE_X1Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y82 IS_BONDED 0 SITEPROP SLICE_X1Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y82 IS_PAD 0 SITEPROP SLICE_X1Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y82 IS_RESERVED 0 SITEPROP SLICE_X1Y82 IS_TEST 0 SITEPROP SLICE_X1Y82 IS_USED 0 SITEPROP SLICE_X1Y82 MANUAL_ROUTING SITEPROP SLICE_X1Y82 NAME SLICE_X1Y82 SITEPROP SLICE_X1Y82 NUM_ARCS 138 SITEPROP SLICE_X1Y82 NUM_BELS 32 SITEPROP SLICE_X1Y82 NUM_INPUTS 32 SITEPROP SLICE_X1Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y82 NUM_PINS 45 SITEPROP SLICE_X1Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y82 PROHIBIT 0 SITEPROP SLICE_X1Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y82 RPM_X 19 SITEPROP SLICE_X1Y82 RPM_Y 164 SITEPROP SLICE_X1Y82 SITE_PIPS SITEPROP SLICE_X1Y82 SITE_TYPE SLICEL SITEPROP SLICE_X1Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y83 CLASS site SITEPROP SLICE_X1Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y83 IS_BONDED 0 SITEPROP SLICE_X1Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y83 IS_PAD 0 SITEPROP SLICE_X1Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y83 IS_RESERVED 0 SITEPROP SLICE_X1Y83 IS_TEST 0 SITEPROP SLICE_X1Y83 IS_USED 0 SITEPROP SLICE_X1Y83 MANUAL_ROUTING SITEPROP SLICE_X1Y83 NAME SLICE_X1Y83 SITEPROP SLICE_X1Y83 NUM_ARCS 138 SITEPROP SLICE_X1Y83 NUM_BELS 32 SITEPROP SLICE_X1Y83 NUM_INPUTS 32 SITEPROP SLICE_X1Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y83 NUM_PINS 45 SITEPROP SLICE_X1Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y83 PROHIBIT 0 SITEPROP SLICE_X1Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y83 RPM_X 19 SITEPROP SLICE_X1Y83 RPM_Y 166 SITEPROP SLICE_X1Y83 SITE_PIPS SITEPROP SLICE_X1Y83 SITE_TYPE SLICEL SITEPROP SLICE_X1Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y84 CLASS site SITEPROP SLICE_X1Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y84 IS_BONDED 0 SITEPROP SLICE_X1Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y84 IS_PAD 0 SITEPROP SLICE_X1Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y84 IS_RESERVED 0 SITEPROP SLICE_X1Y84 IS_TEST 0 SITEPROP SLICE_X1Y84 IS_USED 0 SITEPROP SLICE_X1Y84 MANUAL_ROUTING SITEPROP SLICE_X1Y84 NAME SLICE_X1Y84 SITEPROP SLICE_X1Y84 NUM_ARCS 138 SITEPROP SLICE_X1Y84 NUM_BELS 32 SITEPROP SLICE_X1Y84 NUM_INPUTS 32 SITEPROP SLICE_X1Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y84 NUM_PINS 45 SITEPROP SLICE_X1Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y84 PROHIBIT 0 SITEPROP SLICE_X1Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y84 RPM_X 19 SITEPROP SLICE_X1Y84 RPM_Y 168 SITEPROP SLICE_X1Y84 SITE_PIPS SITEPROP SLICE_X1Y84 SITE_TYPE SLICEL SITEPROP SLICE_X1Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y85 CLASS site SITEPROP SLICE_X1Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y85 IS_BONDED 0 SITEPROP SLICE_X1Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y85 IS_PAD 0 SITEPROP SLICE_X1Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y85 IS_RESERVED 0 SITEPROP SLICE_X1Y85 IS_TEST 0 SITEPROP SLICE_X1Y85 IS_USED 0 SITEPROP SLICE_X1Y85 MANUAL_ROUTING SITEPROP SLICE_X1Y85 NAME SLICE_X1Y85 SITEPROP SLICE_X1Y85 NUM_ARCS 138 SITEPROP SLICE_X1Y85 NUM_BELS 32 SITEPROP SLICE_X1Y85 NUM_INPUTS 32 SITEPROP SLICE_X1Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y85 NUM_PINS 45 SITEPROP SLICE_X1Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y85 PROHIBIT 0 SITEPROP SLICE_X1Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y85 RPM_X 19 SITEPROP SLICE_X1Y85 RPM_Y 170 SITEPROP SLICE_X1Y85 SITE_PIPS SITEPROP SLICE_X1Y85 SITE_TYPE SLICEL SITEPROP SLICE_X1Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y86 CLASS site SITEPROP SLICE_X1Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y86 IS_BONDED 0 SITEPROP SLICE_X1Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y86 IS_PAD 0 SITEPROP SLICE_X1Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y86 IS_RESERVED 0 SITEPROP SLICE_X1Y86 IS_TEST 0 SITEPROP SLICE_X1Y86 IS_USED 0 SITEPROP SLICE_X1Y86 MANUAL_ROUTING SITEPROP SLICE_X1Y86 NAME SLICE_X1Y86 SITEPROP SLICE_X1Y86 NUM_ARCS 138 SITEPROP SLICE_X1Y86 NUM_BELS 32 SITEPROP SLICE_X1Y86 NUM_INPUTS 32 SITEPROP SLICE_X1Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y86 NUM_PINS 45 SITEPROP SLICE_X1Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y86 PROHIBIT 0 SITEPROP SLICE_X1Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y86 RPM_X 19 SITEPROP SLICE_X1Y86 RPM_Y 172 SITEPROP SLICE_X1Y86 SITE_PIPS SITEPROP SLICE_X1Y86 SITE_TYPE SLICEL SITEPROP SLICE_X1Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y87 CLASS site SITEPROP SLICE_X1Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y87 IS_BONDED 0 SITEPROP SLICE_X1Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y87 IS_PAD 0 SITEPROP SLICE_X1Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y87 IS_RESERVED 0 SITEPROP SLICE_X1Y87 IS_TEST 0 SITEPROP SLICE_X1Y87 IS_USED 0 SITEPROP SLICE_X1Y87 MANUAL_ROUTING SITEPROP SLICE_X1Y87 NAME SLICE_X1Y87 SITEPROP SLICE_X1Y87 NUM_ARCS 138 SITEPROP SLICE_X1Y87 NUM_BELS 32 SITEPROP SLICE_X1Y87 NUM_INPUTS 32 SITEPROP SLICE_X1Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y87 NUM_PINS 45 SITEPROP SLICE_X1Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y87 PROHIBIT 0 SITEPROP SLICE_X1Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y87 RPM_X 19 SITEPROP SLICE_X1Y87 RPM_Y 174 SITEPROP SLICE_X1Y87 SITE_PIPS SITEPROP SLICE_X1Y87 SITE_TYPE SLICEL SITEPROP SLICE_X1Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y88 CLASS site SITEPROP SLICE_X1Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y88 IS_BONDED 0 SITEPROP SLICE_X1Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y88 IS_PAD 0 SITEPROP SLICE_X1Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y88 IS_RESERVED 0 SITEPROP SLICE_X1Y88 IS_TEST 0 SITEPROP SLICE_X1Y88 IS_USED 0 SITEPROP SLICE_X1Y88 MANUAL_ROUTING SITEPROP SLICE_X1Y88 NAME SLICE_X1Y88 SITEPROP SLICE_X1Y88 NUM_ARCS 138 SITEPROP SLICE_X1Y88 NUM_BELS 32 SITEPROP SLICE_X1Y88 NUM_INPUTS 32 SITEPROP SLICE_X1Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y88 NUM_PINS 45 SITEPROP SLICE_X1Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y88 PROHIBIT 0 SITEPROP SLICE_X1Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y88 RPM_X 19 SITEPROP SLICE_X1Y88 RPM_Y 176 SITEPROP SLICE_X1Y88 SITE_PIPS SITEPROP SLICE_X1Y88 SITE_TYPE SLICEL SITEPROP SLICE_X1Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y89 CLASS site SITEPROP SLICE_X1Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y89 IS_BONDED 0 SITEPROP SLICE_X1Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y89 IS_PAD 0 SITEPROP SLICE_X1Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y89 IS_RESERVED 0 SITEPROP SLICE_X1Y89 IS_TEST 0 SITEPROP SLICE_X1Y89 IS_USED 0 SITEPROP SLICE_X1Y89 MANUAL_ROUTING SITEPROP SLICE_X1Y89 NAME SLICE_X1Y89 SITEPROP SLICE_X1Y89 NUM_ARCS 138 SITEPROP SLICE_X1Y89 NUM_BELS 32 SITEPROP SLICE_X1Y89 NUM_INPUTS 32 SITEPROP SLICE_X1Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y89 NUM_PINS 45 SITEPROP SLICE_X1Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y89 PROHIBIT 0 SITEPROP SLICE_X1Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y89 RPM_X 19 SITEPROP SLICE_X1Y89 RPM_Y 178 SITEPROP SLICE_X1Y89 SITE_PIPS SITEPROP SLICE_X1Y89 SITE_TYPE SLICEL SITEPROP SLICE_X1Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y90 CLASS site SITEPROP SLICE_X1Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y90 IS_BONDED 0 SITEPROP SLICE_X1Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y90 IS_PAD 0 SITEPROP SLICE_X1Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y90 IS_RESERVED 0 SITEPROP SLICE_X1Y90 IS_TEST 0 SITEPROP SLICE_X1Y90 IS_USED 0 SITEPROP SLICE_X1Y90 MANUAL_ROUTING SITEPROP SLICE_X1Y90 NAME SLICE_X1Y90 SITEPROP SLICE_X1Y90 NUM_ARCS 138 SITEPROP SLICE_X1Y90 NUM_BELS 32 SITEPROP SLICE_X1Y90 NUM_INPUTS 32 SITEPROP SLICE_X1Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y90 NUM_PINS 45 SITEPROP SLICE_X1Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y90 PROHIBIT 0 SITEPROP SLICE_X1Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y90 RPM_X 19 SITEPROP SLICE_X1Y90 RPM_Y 180 SITEPROP SLICE_X1Y90 SITE_PIPS SITEPROP SLICE_X1Y90 SITE_TYPE SLICEL SITEPROP SLICE_X1Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y91 CLASS site SITEPROP SLICE_X1Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y91 IS_BONDED 0 SITEPROP SLICE_X1Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y91 IS_PAD 0 SITEPROP SLICE_X1Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y91 IS_RESERVED 0 SITEPROP SLICE_X1Y91 IS_TEST 0 SITEPROP SLICE_X1Y91 IS_USED 0 SITEPROP SLICE_X1Y91 MANUAL_ROUTING SITEPROP SLICE_X1Y91 NAME SLICE_X1Y91 SITEPROP SLICE_X1Y91 NUM_ARCS 138 SITEPROP SLICE_X1Y91 NUM_BELS 32 SITEPROP SLICE_X1Y91 NUM_INPUTS 32 SITEPROP SLICE_X1Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y91 NUM_PINS 45 SITEPROP SLICE_X1Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y91 PROHIBIT 0 SITEPROP SLICE_X1Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y91 RPM_X 19 SITEPROP SLICE_X1Y91 RPM_Y 182 SITEPROP SLICE_X1Y91 SITE_PIPS SITEPROP SLICE_X1Y91 SITE_TYPE SLICEL SITEPROP SLICE_X1Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y92 CLASS site SITEPROP SLICE_X1Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y92 IS_BONDED 0 SITEPROP SLICE_X1Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y92 IS_PAD 0 SITEPROP SLICE_X1Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y92 IS_RESERVED 0 SITEPROP SLICE_X1Y92 IS_TEST 0 SITEPROP SLICE_X1Y92 IS_USED 0 SITEPROP SLICE_X1Y92 MANUAL_ROUTING SITEPROP SLICE_X1Y92 NAME SLICE_X1Y92 SITEPROP SLICE_X1Y92 NUM_ARCS 138 SITEPROP SLICE_X1Y92 NUM_BELS 32 SITEPROP SLICE_X1Y92 NUM_INPUTS 32 SITEPROP SLICE_X1Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y92 NUM_PINS 45 SITEPROP SLICE_X1Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y92 PROHIBIT 0 SITEPROP SLICE_X1Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y92 RPM_X 19 SITEPROP SLICE_X1Y92 RPM_Y 184 SITEPROP SLICE_X1Y92 SITE_PIPS SITEPROP SLICE_X1Y92 SITE_TYPE SLICEL SITEPROP SLICE_X1Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y93 CLASS site SITEPROP SLICE_X1Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y93 IS_BONDED 0 SITEPROP SLICE_X1Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y93 IS_PAD 0 SITEPROP SLICE_X1Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y93 IS_RESERVED 0 SITEPROP SLICE_X1Y93 IS_TEST 0 SITEPROP SLICE_X1Y93 IS_USED 0 SITEPROP SLICE_X1Y93 MANUAL_ROUTING SITEPROP SLICE_X1Y93 NAME SLICE_X1Y93 SITEPROP SLICE_X1Y93 NUM_ARCS 138 SITEPROP SLICE_X1Y93 NUM_BELS 32 SITEPROP SLICE_X1Y93 NUM_INPUTS 32 SITEPROP SLICE_X1Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y93 NUM_PINS 45 SITEPROP SLICE_X1Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y93 PROHIBIT 0 SITEPROP SLICE_X1Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y93 RPM_X 19 SITEPROP SLICE_X1Y93 RPM_Y 186 SITEPROP SLICE_X1Y93 SITE_PIPS SITEPROP SLICE_X1Y93 SITE_TYPE SLICEL SITEPROP SLICE_X1Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y94 CLASS site SITEPROP SLICE_X1Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y94 IS_BONDED 0 SITEPROP SLICE_X1Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y94 IS_PAD 0 SITEPROP SLICE_X1Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y94 IS_RESERVED 0 SITEPROP SLICE_X1Y94 IS_TEST 0 SITEPROP SLICE_X1Y94 IS_USED 0 SITEPROP SLICE_X1Y94 MANUAL_ROUTING SITEPROP SLICE_X1Y94 NAME SLICE_X1Y94 SITEPROP SLICE_X1Y94 NUM_ARCS 138 SITEPROP SLICE_X1Y94 NUM_BELS 32 SITEPROP SLICE_X1Y94 NUM_INPUTS 32 SITEPROP SLICE_X1Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y94 NUM_PINS 45 SITEPROP SLICE_X1Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y94 PROHIBIT 0 SITEPROP SLICE_X1Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y94 RPM_X 19 SITEPROP SLICE_X1Y94 RPM_Y 188 SITEPROP SLICE_X1Y94 SITE_PIPS SITEPROP SLICE_X1Y94 SITE_TYPE SLICEL SITEPROP SLICE_X1Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y95 CLASS site SITEPROP SLICE_X1Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y95 IS_BONDED 0 SITEPROP SLICE_X1Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y95 IS_PAD 0 SITEPROP SLICE_X1Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y95 IS_RESERVED 0 SITEPROP SLICE_X1Y95 IS_TEST 0 SITEPROP SLICE_X1Y95 IS_USED 0 SITEPROP SLICE_X1Y95 MANUAL_ROUTING SITEPROP SLICE_X1Y95 NAME SLICE_X1Y95 SITEPROP SLICE_X1Y95 NUM_ARCS 138 SITEPROP SLICE_X1Y95 NUM_BELS 32 SITEPROP SLICE_X1Y95 NUM_INPUTS 32 SITEPROP SLICE_X1Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y95 NUM_PINS 45 SITEPROP SLICE_X1Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y95 PROHIBIT 0 SITEPROP SLICE_X1Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y95 RPM_X 19 SITEPROP SLICE_X1Y95 RPM_Y 190 SITEPROP SLICE_X1Y95 SITE_PIPS SITEPROP SLICE_X1Y95 SITE_TYPE SLICEL SITEPROP SLICE_X1Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y96 CLASS site SITEPROP SLICE_X1Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y96 IS_BONDED 0 SITEPROP SLICE_X1Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y96 IS_PAD 0 SITEPROP SLICE_X1Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y96 IS_RESERVED 0 SITEPROP SLICE_X1Y96 IS_TEST 0 SITEPROP SLICE_X1Y96 IS_USED 0 SITEPROP SLICE_X1Y96 MANUAL_ROUTING SITEPROP SLICE_X1Y96 NAME SLICE_X1Y96 SITEPROP SLICE_X1Y96 NUM_ARCS 138 SITEPROP SLICE_X1Y96 NUM_BELS 32 SITEPROP SLICE_X1Y96 NUM_INPUTS 32 SITEPROP SLICE_X1Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y96 NUM_PINS 45 SITEPROP SLICE_X1Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y96 PROHIBIT 0 SITEPROP SLICE_X1Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y96 RPM_X 19 SITEPROP SLICE_X1Y96 RPM_Y 192 SITEPROP SLICE_X1Y96 SITE_PIPS SITEPROP SLICE_X1Y96 SITE_TYPE SLICEL SITEPROP SLICE_X1Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y97 CLASS site SITEPROP SLICE_X1Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y97 IS_BONDED 0 SITEPROP SLICE_X1Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y97 IS_PAD 0 SITEPROP SLICE_X1Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y97 IS_RESERVED 0 SITEPROP SLICE_X1Y97 IS_TEST 0 SITEPROP SLICE_X1Y97 IS_USED 0 SITEPROP SLICE_X1Y97 MANUAL_ROUTING SITEPROP SLICE_X1Y97 NAME SLICE_X1Y97 SITEPROP SLICE_X1Y97 NUM_ARCS 138 SITEPROP SLICE_X1Y97 NUM_BELS 32 SITEPROP SLICE_X1Y97 NUM_INPUTS 32 SITEPROP SLICE_X1Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y97 NUM_PINS 45 SITEPROP SLICE_X1Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y97 PROHIBIT 0 SITEPROP SLICE_X1Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y97 RPM_X 19 SITEPROP SLICE_X1Y97 RPM_Y 194 SITEPROP SLICE_X1Y97 SITE_PIPS SITEPROP SLICE_X1Y97 SITE_TYPE SLICEL SITEPROP SLICE_X1Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y98 CLASS site SITEPROP SLICE_X1Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y98 IS_BONDED 0 SITEPROP SLICE_X1Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y98 IS_PAD 0 SITEPROP SLICE_X1Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y98 IS_RESERVED 0 SITEPROP SLICE_X1Y98 IS_TEST 0 SITEPROP SLICE_X1Y98 IS_USED 0 SITEPROP SLICE_X1Y98 MANUAL_ROUTING SITEPROP SLICE_X1Y98 NAME SLICE_X1Y98 SITEPROP SLICE_X1Y98 NUM_ARCS 138 SITEPROP SLICE_X1Y98 NUM_BELS 32 SITEPROP SLICE_X1Y98 NUM_INPUTS 32 SITEPROP SLICE_X1Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y98 NUM_PINS 45 SITEPROP SLICE_X1Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y98 PROHIBIT 0 SITEPROP SLICE_X1Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y98 RPM_X 19 SITEPROP SLICE_X1Y98 RPM_Y 196 SITEPROP SLICE_X1Y98 SITE_PIPS SITEPROP SLICE_X1Y98 SITE_TYPE SLICEL SITEPROP SLICE_X1Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y99 CLASS site SITEPROP SLICE_X1Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X1Y99 IS_BONDED 0 SITEPROP SLICE_X1Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y99 IS_PAD 0 SITEPROP SLICE_X1Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y99 IS_RESERVED 0 SITEPROP SLICE_X1Y99 IS_TEST 0 SITEPROP SLICE_X1Y99 IS_USED 0 SITEPROP SLICE_X1Y99 MANUAL_ROUTING SITEPROP SLICE_X1Y99 NAME SLICE_X1Y99 SITEPROP SLICE_X1Y99 NUM_ARCS 138 SITEPROP SLICE_X1Y99 NUM_BELS 32 SITEPROP SLICE_X1Y99 NUM_INPUTS 32 SITEPROP SLICE_X1Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y99 NUM_PINS 45 SITEPROP SLICE_X1Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y99 PROHIBIT 0 SITEPROP SLICE_X1Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y99 RPM_X 19 SITEPROP SLICE_X1Y99 RPM_Y 198 SITEPROP SLICE_X1Y99 SITE_PIPS SITEPROP SLICE_X1Y99 SITE_TYPE SLICEL SITEPROP SLICE_X1Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y100 CLASS site SITEPROP SLICE_X1Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y100 IS_BONDED 0 SITEPROP SLICE_X1Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y100 IS_PAD 0 SITEPROP SLICE_X1Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y100 IS_RESERVED 0 SITEPROP SLICE_X1Y100 IS_TEST 0 SITEPROP SLICE_X1Y100 IS_USED 0 SITEPROP SLICE_X1Y100 MANUAL_ROUTING SITEPROP SLICE_X1Y100 NAME SLICE_X1Y100 SITEPROP SLICE_X1Y100 NUM_ARCS 138 SITEPROP SLICE_X1Y100 NUM_BELS 32 SITEPROP SLICE_X1Y100 NUM_INPUTS 32 SITEPROP SLICE_X1Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y100 NUM_PINS 45 SITEPROP SLICE_X1Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y100 PROHIBIT 0 SITEPROP SLICE_X1Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y100 RPM_X 19 SITEPROP SLICE_X1Y100 RPM_Y 200 SITEPROP SLICE_X1Y100 SITE_PIPS SITEPROP SLICE_X1Y100 SITE_TYPE SLICEL SITEPROP SLICE_X1Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y101 CLASS site SITEPROP SLICE_X1Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y101 IS_BONDED 0 SITEPROP SLICE_X1Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y101 IS_PAD 0 SITEPROP SLICE_X1Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y101 IS_RESERVED 0 SITEPROP SLICE_X1Y101 IS_TEST 0 SITEPROP SLICE_X1Y101 IS_USED 0 SITEPROP SLICE_X1Y101 MANUAL_ROUTING SITEPROP SLICE_X1Y101 NAME SLICE_X1Y101 SITEPROP SLICE_X1Y101 NUM_ARCS 138 SITEPROP SLICE_X1Y101 NUM_BELS 32 SITEPROP SLICE_X1Y101 NUM_INPUTS 32 SITEPROP SLICE_X1Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y101 NUM_PINS 45 SITEPROP SLICE_X1Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y101 PROHIBIT 0 SITEPROP SLICE_X1Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y101 RPM_X 19 SITEPROP SLICE_X1Y101 RPM_Y 202 SITEPROP SLICE_X1Y101 SITE_PIPS SITEPROP SLICE_X1Y101 SITE_TYPE SLICEL SITEPROP SLICE_X1Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y102 CLASS site SITEPROP SLICE_X1Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y102 IS_BONDED 0 SITEPROP SLICE_X1Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y102 IS_PAD 0 SITEPROP SLICE_X1Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y102 IS_RESERVED 0 SITEPROP SLICE_X1Y102 IS_TEST 0 SITEPROP SLICE_X1Y102 IS_USED 0 SITEPROP SLICE_X1Y102 MANUAL_ROUTING SITEPROP SLICE_X1Y102 NAME SLICE_X1Y102 SITEPROP SLICE_X1Y102 NUM_ARCS 138 SITEPROP SLICE_X1Y102 NUM_BELS 32 SITEPROP SLICE_X1Y102 NUM_INPUTS 32 SITEPROP SLICE_X1Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y102 NUM_PINS 45 SITEPROP SLICE_X1Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y102 PROHIBIT 0 SITEPROP SLICE_X1Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y102 RPM_X 19 SITEPROP SLICE_X1Y102 RPM_Y 204 SITEPROP SLICE_X1Y102 SITE_PIPS SITEPROP SLICE_X1Y102 SITE_TYPE SLICEL SITEPROP SLICE_X1Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y103 CLASS site SITEPROP SLICE_X1Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y103 IS_BONDED 0 SITEPROP SLICE_X1Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y103 IS_PAD 0 SITEPROP SLICE_X1Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y103 IS_RESERVED 0 SITEPROP SLICE_X1Y103 IS_TEST 0 SITEPROP SLICE_X1Y103 IS_USED 0 SITEPROP SLICE_X1Y103 MANUAL_ROUTING SITEPROP SLICE_X1Y103 NAME SLICE_X1Y103 SITEPROP SLICE_X1Y103 NUM_ARCS 138 SITEPROP SLICE_X1Y103 NUM_BELS 32 SITEPROP SLICE_X1Y103 NUM_INPUTS 32 SITEPROP SLICE_X1Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y103 NUM_PINS 45 SITEPROP SLICE_X1Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y103 PROHIBIT 0 SITEPROP SLICE_X1Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y103 RPM_X 19 SITEPROP SLICE_X1Y103 RPM_Y 206 SITEPROP SLICE_X1Y103 SITE_PIPS SITEPROP SLICE_X1Y103 SITE_TYPE SLICEL SITEPROP SLICE_X1Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y104 CLASS site SITEPROP SLICE_X1Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y104 IS_BONDED 0 SITEPROP SLICE_X1Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y104 IS_PAD 0 SITEPROP SLICE_X1Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y104 IS_RESERVED 0 SITEPROP SLICE_X1Y104 IS_TEST 0 SITEPROP SLICE_X1Y104 IS_USED 0 SITEPROP SLICE_X1Y104 MANUAL_ROUTING SITEPROP SLICE_X1Y104 NAME SLICE_X1Y104 SITEPROP SLICE_X1Y104 NUM_ARCS 138 SITEPROP SLICE_X1Y104 NUM_BELS 32 SITEPROP SLICE_X1Y104 NUM_INPUTS 32 SITEPROP SLICE_X1Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y104 NUM_PINS 45 SITEPROP SLICE_X1Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y104 PROHIBIT 0 SITEPROP SLICE_X1Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y104 RPM_X 19 SITEPROP SLICE_X1Y104 RPM_Y 208 SITEPROP SLICE_X1Y104 SITE_PIPS SITEPROP SLICE_X1Y104 SITE_TYPE SLICEL SITEPROP SLICE_X1Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y105 CLASS site SITEPROP SLICE_X1Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y105 IS_BONDED 0 SITEPROP SLICE_X1Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y105 IS_PAD 0 SITEPROP SLICE_X1Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y105 IS_RESERVED 0 SITEPROP SLICE_X1Y105 IS_TEST 0 SITEPROP SLICE_X1Y105 IS_USED 0 SITEPROP SLICE_X1Y105 MANUAL_ROUTING SITEPROP SLICE_X1Y105 NAME SLICE_X1Y105 SITEPROP SLICE_X1Y105 NUM_ARCS 138 SITEPROP SLICE_X1Y105 NUM_BELS 32 SITEPROP SLICE_X1Y105 NUM_INPUTS 32 SITEPROP SLICE_X1Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y105 NUM_PINS 45 SITEPROP SLICE_X1Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y105 PROHIBIT 0 SITEPROP SLICE_X1Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y105 RPM_X 19 SITEPROP SLICE_X1Y105 RPM_Y 210 SITEPROP SLICE_X1Y105 SITE_PIPS SITEPROP SLICE_X1Y105 SITE_TYPE SLICEL SITEPROP SLICE_X1Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y106 CLASS site SITEPROP SLICE_X1Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y106 IS_BONDED 0 SITEPROP SLICE_X1Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y106 IS_PAD 0 SITEPROP SLICE_X1Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y106 IS_RESERVED 0 SITEPROP SLICE_X1Y106 IS_TEST 0 SITEPROP SLICE_X1Y106 IS_USED 0 SITEPROP SLICE_X1Y106 MANUAL_ROUTING SITEPROP SLICE_X1Y106 NAME SLICE_X1Y106 SITEPROP SLICE_X1Y106 NUM_ARCS 138 SITEPROP SLICE_X1Y106 NUM_BELS 32 SITEPROP SLICE_X1Y106 NUM_INPUTS 32 SITEPROP SLICE_X1Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y106 NUM_PINS 45 SITEPROP SLICE_X1Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y106 PROHIBIT 0 SITEPROP SLICE_X1Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y106 RPM_X 19 SITEPROP SLICE_X1Y106 RPM_Y 212 SITEPROP SLICE_X1Y106 SITE_PIPS SITEPROP SLICE_X1Y106 SITE_TYPE SLICEL SITEPROP SLICE_X1Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y107 CLASS site SITEPROP SLICE_X1Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y107 IS_BONDED 0 SITEPROP SLICE_X1Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y107 IS_PAD 0 SITEPROP SLICE_X1Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y107 IS_RESERVED 0 SITEPROP SLICE_X1Y107 IS_TEST 0 SITEPROP SLICE_X1Y107 IS_USED 0 SITEPROP SLICE_X1Y107 MANUAL_ROUTING SITEPROP SLICE_X1Y107 NAME SLICE_X1Y107 SITEPROP SLICE_X1Y107 NUM_ARCS 138 SITEPROP SLICE_X1Y107 NUM_BELS 32 SITEPROP SLICE_X1Y107 NUM_INPUTS 32 SITEPROP SLICE_X1Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y107 NUM_PINS 45 SITEPROP SLICE_X1Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y107 PROHIBIT 0 SITEPROP SLICE_X1Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y107 RPM_X 19 SITEPROP SLICE_X1Y107 RPM_Y 214 SITEPROP SLICE_X1Y107 SITE_PIPS SITEPROP SLICE_X1Y107 SITE_TYPE SLICEL SITEPROP SLICE_X1Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y108 CLASS site SITEPROP SLICE_X1Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y108 IS_BONDED 0 SITEPROP SLICE_X1Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y108 IS_PAD 0 SITEPROP SLICE_X1Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y108 IS_RESERVED 0 SITEPROP SLICE_X1Y108 IS_TEST 0 SITEPROP SLICE_X1Y108 IS_USED 0 SITEPROP SLICE_X1Y108 MANUAL_ROUTING SITEPROP SLICE_X1Y108 NAME SLICE_X1Y108 SITEPROP SLICE_X1Y108 NUM_ARCS 138 SITEPROP SLICE_X1Y108 NUM_BELS 32 SITEPROP SLICE_X1Y108 NUM_INPUTS 32 SITEPROP SLICE_X1Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y108 NUM_PINS 45 SITEPROP SLICE_X1Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y108 PROHIBIT 0 SITEPROP SLICE_X1Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y108 RPM_X 19 SITEPROP SLICE_X1Y108 RPM_Y 216 SITEPROP SLICE_X1Y108 SITE_PIPS SITEPROP SLICE_X1Y108 SITE_TYPE SLICEL SITEPROP SLICE_X1Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y109 CLASS site SITEPROP SLICE_X1Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y109 IS_BONDED 0 SITEPROP SLICE_X1Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y109 IS_PAD 0 SITEPROP SLICE_X1Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y109 IS_RESERVED 0 SITEPROP SLICE_X1Y109 IS_TEST 0 SITEPROP SLICE_X1Y109 IS_USED 0 SITEPROP SLICE_X1Y109 MANUAL_ROUTING SITEPROP SLICE_X1Y109 NAME SLICE_X1Y109 SITEPROP SLICE_X1Y109 NUM_ARCS 138 SITEPROP SLICE_X1Y109 NUM_BELS 32 SITEPROP SLICE_X1Y109 NUM_INPUTS 32 SITEPROP SLICE_X1Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y109 NUM_PINS 45 SITEPROP SLICE_X1Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y109 PROHIBIT 0 SITEPROP SLICE_X1Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y109 RPM_X 19 SITEPROP SLICE_X1Y109 RPM_Y 218 SITEPROP SLICE_X1Y109 SITE_PIPS SITEPROP SLICE_X1Y109 SITE_TYPE SLICEL SITEPROP SLICE_X1Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y110 CLASS site SITEPROP SLICE_X1Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y110 IS_BONDED 0 SITEPROP SLICE_X1Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y110 IS_PAD 0 SITEPROP SLICE_X1Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y110 IS_RESERVED 0 SITEPROP SLICE_X1Y110 IS_TEST 0 SITEPROP SLICE_X1Y110 IS_USED 0 SITEPROP SLICE_X1Y110 MANUAL_ROUTING SITEPROP SLICE_X1Y110 NAME SLICE_X1Y110 SITEPROP SLICE_X1Y110 NUM_ARCS 138 SITEPROP SLICE_X1Y110 NUM_BELS 32 SITEPROP SLICE_X1Y110 NUM_INPUTS 32 SITEPROP SLICE_X1Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y110 NUM_PINS 45 SITEPROP SLICE_X1Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y110 PROHIBIT 0 SITEPROP SLICE_X1Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y110 RPM_X 19 SITEPROP SLICE_X1Y110 RPM_Y 220 SITEPROP SLICE_X1Y110 SITE_PIPS SITEPROP SLICE_X1Y110 SITE_TYPE SLICEL SITEPROP SLICE_X1Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y111 CLASS site SITEPROP SLICE_X1Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y111 IS_BONDED 0 SITEPROP SLICE_X1Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y111 IS_PAD 0 SITEPROP SLICE_X1Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y111 IS_RESERVED 0 SITEPROP SLICE_X1Y111 IS_TEST 0 SITEPROP SLICE_X1Y111 IS_USED 0 SITEPROP SLICE_X1Y111 MANUAL_ROUTING SITEPROP SLICE_X1Y111 NAME SLICE_X1Y111 SITEPROP SLICE_X1Y111 NUM_ARCS 138 SITEPROP SLICE_X1Y111 NUM_BELS 32 SITEPROP SLICE_X1Y111 NUM_INPUTS 32 SITEPROP SLICE_X1Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y111 NUM_PINS 45 SITEPROP SLICE_X1Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y111 PROHIBIT 0 SITEPROP SLICE_X1Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y111 RPM_X 19 SITEPROP SLICE_X1Y111 RPM_Y 222 SITEPROP SLICE_X1Y111 SITE_PIPS SITEPROP SLICE_X1Y111 SITE_TYPE SLICEL SITEPROP SLICE_X1Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y112 CLASS site SITEPROP SLICE_X1Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y112 IS_BONDED 0 SITEPROP SLICE_X1Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y112 IS_PAD 0 SITEPROP SLICE_X1Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y112 IS_RESERVED 0 SITEPROP SLICE_X1Y112 IS_TEST 0 SITEPROP SLICE_X1Y112 IS_USED 0 SITEPROP SLICE_X1Y112 MANUAL_ROUTING SITEPROP SLICE_X1Y112 NAME SLICE_X1Y112 SITEPROP SLICE_X1Y112 NUM_ARCS 138 SITEPROP SLICE_X1Y112 NUM_BELS 32 SITEPROP SLICE_X1Y112 NUM_INPUTS 32 SITEPROP SLICE_X1Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y112 NUM_PINS 45 SITEPROP SLICE_X1Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y112 PROHIBIT 0 SITEPROP SLICE_X1Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y112 RPM_X 19 SITEPROP SLICE_X1Y112 RPM_Y 224 SITEPROP SLICE_X1Y112 SITE_PIPS SITEPROP SLICE_X1Y112 SITE_TYPE SLICEL SITEPROP SLICE_X1Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y113 CLASS site SITEPROP SLICE_X1Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y113 IS_BONDED 0 SITEPROP SLICE_X1Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y113 IS_PAD 0 SITEPROP SLICE_X1Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y113 IS_RESERVED 0 SITEPROP SLICE_X1Y113 IS_TEST 0 SITEPROP SLICE_X1Y113 IS_USED 0 SITEPROP SLICE_X1Y113 MANUAL_ROUTING SITEPROP SLICE_X1Y113 NAME SLICE_X1Y113 SITEPROP SLICE_X1Y113 NUM_ARCS 138 SITEPROP SLICE_X1Y113 NUM_BELS 32 SITEPROP SLICE_X1Y113 NUM_INPUTS 32 SITEPROP SLICE_X1Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y113 NUM_PINS 45 SITEPROP SLICE_X1Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y113 PROHIBIT 0 SITEPROP SLICE_X1Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y113 RPM_X 19 SITEPROP SLICE_X1Y113 RPM_Y 226 SITEPROP SLICE_X1Y113 SITE_PIPS SITEPROP SLICE_X1Y113 SITE_TYPE SLICEL SITEPROP SLICE_X1Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y114 CLASS site SITEPROP SLICE_X1Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y114 IS_BONDED 0 SITEPROP SLICE_X1Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y114 IS_PAD 0 SITEPROP SLICE_X1Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y114 IS_RESERVED 0 SITEPROP SLICE_X1Y114 IS_TEST 0 SITEPROP SLICE_X1Y114 IS_USED 0 SITEPROP SLICE_X1Y114 MANUAL_ROUTING SITEPROP SLICE_X1Y114 NAME SLICE_X1Y114 SITEPROP SLICE_X1Y114 NUM_ARCS 138 SITEPROP SLICE_X1Y114 NUM_BELS 32 SITEPROP SLICE_X1Y114 NUM_INPUTS 32 SITEPROP SLICE_X1Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y114 NUM_PINS 45 SITEPROP SLICE_X1Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y114 PROHIBIT 0 SITEPROP SLICE_X1Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y114 RPM_X 19 SITEPROP SLICE_X1Y114 RPM_Y 228 SITEPROP SLICE_X1Y114 SITE_PIPS SITEPROP SLICE_X1Y114 SITE_TYPE SLICEL SITEPROP SLICE_X1Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y115 CLASS site SITEPROP SLICE_X1Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y115 IS_BONDED 0 SITEPROP SLICE_X1Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y115 IS_PAD 0 SITEPROP SLICE_X1Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y115 IS_RESERVED 0 SITEPROP SLICE_X1Y115 IS_TEST 0 SITEPROP SLICE_X1Y115 IS_USED 0 SITEPROP SLICE_X1Y115 MANUAL_ROUTING SITEPROP SLICE_X1Y115 NAME SLICE_X1Y115 SITEPROP SLICE_X1Y115 NUM_ARCS 138 SITEPROP SLICE_X1Y115 NUM_BELS 32 SITEPROP SLICE_X1Y115 NUM_INPUTS 32 SITEPROP SLICE_X1Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y115 NUM_PINS 45 SITEPROP SLICE_X1Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y115 PROHIBIT 0 SITEPROP SLICE_X1Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y115 RPM_X 19 SITEPROP SLICE_X1Y115 RPM_Y 230 SITEPROP SLICE_X1Y115 SITE_PIPS SITEPROP SLICE_X1Y115 SITE_TYPE SLICEL SITEPROP SLICE_X1Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y116 CLASS site SITEPROP SLICE_X1Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y116 IS_BONDED 0 SITEPROP SLICE_X1Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y116 IS_PAD 0 SITEPROP SLICE_X1Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y116 IS_RESERVED 0 SITEPROP SLICE_X1Y116 IS_TEST 0 SITEPROP SLICE_X1Y116 IS_USED 0 SITEPROP SLICE_X1Y116 MANUAL_ROUTING SITEPROP SLICE_X1Y116 NAME SLICE_X1Y116 SITEPROP SLICE_X1Y116 NUM_ARCS 138 SITEPROP SLICE_X1Y116 NUM_BELS 32 SITEPROP SLICE_X1Y116 NUM_INPUTS 32 SITEPROP SLICE_X1Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y116 NUM_PINS 45 SITEPROP SLICE_X1Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y116 PROHIBIT 0 SITEPROP SLICE_X1Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y116 RPM_X 19 SITEPROP SLICE_X1Y116 RPM_Y 232 SITEPROP SLICE_X1Y116 SITE_PIPS SITEPROP SLICE_X1Y116 SITE_TYPE SLICEL SITEPROP SLICE_X1Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y117 CLASS site SITEPROP SLICE_X1Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y117 IS_BONDED 0 SITEPROP SLICE_X1Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y117 IS_PAD 0 SITEPROP SLICE_X1Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y117 IS_RESERVED 0 SITEPROP SLICE_X1Y117 IS_TEST 0 SITEPROP SLICE_X1Y117 IS_USED 0 SITEPROP SLICE_X1Y117 MANUAL_ROUTING SITEPROP SLICE_X1Y117 NAME SLICE_X1Y117 SITEPROP SLICE_X1Y117 NUM_ARCS 138 SITEPROP SLICE_X1Y117 NUM_BELS 32 SITEPROP SLICE_X1Y117 NUM_INPUTS 32 SITEPROP SLICE_X1Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y117 NUM_PINS 45 SITEPROP SLICE_X1Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y117 PROHIBIT 0 SITEPROP SLICE_X1Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y117 RPM_X 19 SITEPROP SLICE_X1Y117 RPM_Y 234 SITEPROP SLICE_X1Y117 SITE_PIPS SITEPROP SLICE_X1Y117 SITE_TYPE SLICEL SITEPROP SLICE_X1Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y118 CLASS site SITEPROP SLICE_X1Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y118 IS_BONDED 0 SITEPROP SLICE_X1Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y118 IS_PAD 0 SITEPROP SLICE_X1Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y118 IS_RESERVED 0 SITEPROP SLICE_X1Y118 IS_TEST 0 SITEPROP SLICE_X1Y118 IS_USED 0 SITEPROP SLICE_X1Y118 MANUAL_ROUTING SITEPROP SLICE_X1Y118 NAME SLICE_X1Y118 SITEPROP SLICE_X1Y118 NUM_ARCS 138 SITEPROP SLICE_X1Y118 NUM_BELS 32 SITEPROP SLICE_X1Y118 NUM_INPUTS 32 SITEPROP SLICE_X1Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y118 NUM_PINS 45 SITEPROP SLICE_X1Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y118 PROHIBIT 0 SITEPROP SLICE_X1Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y118 RPM_X 19 SITEPROP SLICE_X1Y118 RPM_Y 236 SITEPROP SLICE_X1Y118 SITE_PIPS SITEPROP SLICE_X1Y118 SITE_TYPE SLICEL SITEPROP SLICE_X1Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y119 CLASS site SITEPROP SLICE_X1Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y119 IS_BONDED 0 SITEPROP SLICE_X1Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y119 IS_PAD 0 SITEPROP SLICE_X1Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y119 IS_RESERVED 0 SITEPROP SLICE_X1Y119 IS_TEST 0 SITEPROP SLICE_X1Y119 IS_USED 0 SITEPROP SLICE_X1Y119 MANUAL_ROUTING SITEPROP SLICE_X1Y119 NAME SLICE_X1Y119 SITEPROP SLICE_X1Y119 NUM_ARCS 138 SITEPROP SLICE_X1Y119 NUM_BELS 32 SITEPROP SLICE_X1Y119 NUM_INPUTS 32 SITEPROP SLICE_X1Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y119 NUM_PINS 45 SITEPROP SLICE_X1Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y119 PROHIBIT 0 SITEPROP SLICE_X1Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y119 RPM_X 19 SITEPROP SLICE_X1Y119 RPM_Y 238 SITEPROP SLICE_X1Y119 SITE_PIPS SITEPROP SLICE_X1Y119 SITE_TYPE SLICEL SITEPROP SLICE_X1Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y120 CLASS site SITEPROP SLICE_X1Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y120 IS_BONDED 0 SITEPROP SLICE_X1Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y120 IS_PAD 0 SITEPROP SLICE_X1Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y120 IS_RESERVED 0 SITEPROP SLICE_X1Y120 IS_TEST 0 SITEPROP SLICE_X1Y120 IS_USED 0 SITEPROP SLICE_X1Y120 MANUAL_ROUTING SITEPROP SLICE_X1Y120 NAME SLICE_X1Y120 SITEPROP SLICE_X1Y120 NUM_ARCS 138 SITEPROP SLICE_X1Y120 NUM_BELS 32 SITEPROP SLICE_X1Y120 NUM_INPUTS 32 SITEPROP SLICE_X1Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y120 NUM_PINS 45 SITEPROP SLICE_X1Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y120 PROHIBIT 0 SITEPROP SLICE_X1Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y120 RPM_X 19 SITEPROP SLICE_X1Y120 RPM_Y 240 SITEPROP SLICE_X1Y120 SITE_PIPS SITEPROP SLICE_X1Y120 SITE_TYPE SLICEL SITEPROP SLICE_X1Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y121 CLASS site SITEPROP SLICE_X1Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y121 IS_BONDED 0 SITEPROP SLICE_X1Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y121 IS_PAD 0 SITEPROP SLICE_X1Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y121 IS_RESERVED 0 SITEPROP SLICE_X1Y121 IS_TEST 0 SITEPROP SLICE_X1Y121 IS_USED 0 SITEPROP SLICE_X1Y121 MANUAL_ROUTING SITEPROP SLICE_X1Y121 NAME SLICE_X1Y121 SITEPROP SLICE_X1Y121 NUM_ARCS 138 SITEPROP SLICE_X1Y121 NUM_BELS 32 SITEPROP SLICE_X1Y121 NUM_INPUTS 32 SITEPROP SLICE_X1Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y121 NUM_PINS 45 SITEPROP SLICE_X1Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y121 PROHIBIT 0 SITEPROP SLICE_X1Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y121 RPM_X 19 SITEPROP SLICE_X1Y121 RPM_Y 242 SITEPROP SLICE_X1Y121 SITE_PIPS SITEPROP SLICE_X1Y121 SITE_TYPE SLICEL SITEPROP SLICE_X1Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y122 CLASS site SITEPROP SLICE_X1Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y122 IS_BONDED 0 SITEPROP SLICE_X1Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y122 IS_PAD 0 SITEPROP SLICE_X1Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y122 IS_RESERVED 0 SITEPROP SLICE_X1Y122 IS_TEST 0 SITEPROP SLICE_X1Y122 IS_USED 0 SITEPROP SLICE_X1Y122 MANUAL_ROUTING SITEPROP SLICE_X1Y122 NAME SLICE_X1Y122 SITEPROP SLICE_X1Y122 NUM_ARCS 138 SITEPROP SLICE_X1Y122 NUM_BELS 32 SITEPROP SLICE_X1Y122 NUM_INPUTS 32 SITEPROP SLICE_X1Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y122 NUM_PINS 45 SITEPROP SLICE_X1Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y122 PROHIBIT 0 SITEPROP SLICE_X1Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y122 RPM_X 19 SITEPROP SLICE_X1Y122 RPM_Y 244 SITEPROP SLICE_X1Y122 SITE_PIPS SITEPROP SLICE_X1Y122 SITE_TYPE SLICEL SITEPROP SLICE_X1Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y123 CLASS site SITEPROP SLICE_X1Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y123 IS_BONDED 0 SITEPROP SLICE_X1Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y123 IS_PAD 0 SITEPROP SLICE_X1Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y123 IS_RESERVED 0 SITEPROP SLICE_X1Y123 IS_TEST 0 SITEPROP SLICE_X1Y123 IS_USED 0 SITEPROP SLICE_X1Y123 MANUAL_ROUTING SITEPROP SLICE_X1Y123 NAME SLICE_X1Y123 SITEPROP SLICE_X1Y123 NUM_ARCS 138 SITEPROP SLICE_X1Y123 NUM_BELS 32 SITEPROP SLICE_X1Y123 NUM_INPUTS 32 SITEPROP SLICE_X1Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y123 NUM_PINS 45 SITEPROP SLICE_X1Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y123 PROHIBIT 0 SITEPROP SLICE_X1Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y123 RPM_X 19 SITEPROP SLICE_X1Y123 RPM_Y 246 SITEPROP SLICE_X1Y123 SITE_PIPS SITEPROP SLICE_X1Y123 SITE_TYPE SLICEL SITEPROP SLICE_X1Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y124 CLASS site SITEPROP SLICE_X1Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y124 IS_BONDED 0 SITEPROP SLICE_X1Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y124 IS_PAD 0 SITEPROP SLICE_X1Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y124 IS_RESERVED 0 SITEPROP SLICE_X1Y124 IS_TEST 0 SITEPROP SLICE_X1Y124 IS_USED 0 SITEPROP SLICE_X1Y124 MANUAL_ROUTING SITEPROP SLICE_X1Y124 NAME SLICE_X1Y124 SITEPROP SLICE_X1Y124 NUM_ARCS 138 SITEPROP SLICE_X1Y124 NUM_BELS 32 SITEPROP SLICE_X1Y124 NUM_INPUTS 32 SITEPROP SLICE_X1Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y124 NUM_PINS 45 SITEPROP SLICE_X1Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y124 PROHIBIT 0 SITEPROP SLICE_X1Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y124 RPM_X 19 SITEPROP SLICE_X1Y124 RPM_Y 248 SITEPROP SLICE_X1Y124 SITE_PIPS SITEPROP SLICE_X1Y124 SITE_TYPE SLICEL SITEPROP SLICE_X1Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y125 CLASS site SITEPROP SLICE_X1Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y125 IS_BONDED 0 SITEPROP SLICE_X1Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y125 IS_PAD 0 SITEPROP SLICE_X1Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y125 IS_RESERVED 0 SITEPROP SLICE_X1Y125 IS_TEST 0 SITEPROP SLICE_X1Y125 IS_USED 0 SITEPROP SLICE_X1Y125 MANUAL_ROUTING SITEPROP SLICE_X1Y125 NAME SLICE_X1Y125 SITEPROP SLICE_X1Y125 NUM_ARCS 138 SITEPROP SLICE_X1Y125 NUM_BELS 32 SITEPROP SLICE_X1Y125 NUM_INPUTS 32 SITEPROP SLICE_X1Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y125 NUM_PINS 45 SITEPROP SLICE_X1Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y125 PROHIBIT 0 SITEPROP SLICE_X1Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y125 RPM_X 19 SITEPROP SLICE_X1Y125 RPM_Y 250 SITEPROP SLICE_X1Y125 SITE_PIPS SITEPROP SLICE_X1Y125 SITE_TYPE SLICEL SITEPROP SLICE_X1Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y126 CLASS site SITEPROP SLICE_X1Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y126 IS_BONDED 0 SITEPROP SLICE_X1Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y126 IS_PAD 0 SITEPROP SLICE_X1Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y126 IS_RESERVED 0 SITEPROP SLICE_X1Y126 IS_TEST 0 SITEPROP SLICE_X1Y126 IS_USED 0 SITEPROP SLICE_X1Y126 MANUAL_ROUTING SITEPROP SLICE_X1Y126 NAME SLICE_X1Y126 SITEPROP SLICE_X1Y126 NUM_ARCS 138 SITEPROP SLICE_X1Y126 NUM_BELS 32 SITEPROP SLICE_X1Y126 NUM_INPUTS 32 SITEPROP SLICE_X1Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y126 NUM_PINS 45 SITEPROP SLICE_X1Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y126 PROHIBIT 0 SITEPROP SLICE_X1Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y126 RPM_X 19 SITEPROP SLICE_X1Y126 RPM_Y 252 SITEPROP SLICE_X1Y126 SITE_PIPS SITEPROP SLICE_X1Y126 SITE_TYPE SLICEL SITEPROP SLICE_X1Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y127 CLASS site SITEPROP SLICE_X1Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y127 IS_BONDED 0 SITEPROP SLICE_X1Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y127 IS_PAD 0 SITEPROP SLICE_X1Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y127 IS_RESERVED 0 SITEPROP SLICE_X1Y127 IS_TEST 0 SITEPROP SLICE_X1Y127 IS_USED 0 SITEPROP SLICE_X1Y127 MANUAL_ROUTING SITEPROP SLICE_X1Y127 NAME SLICE_X1Y127 SITEPROP SLICE_X1Y127 NUM_ARCS 138 SITEPROP SLICE_X1Y127 NUM_BELS 32 SITEPROP SLICE_X1Y127 NUM_INPUTS 32 SITEPROP SLICE_X1Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y127 NUM_PINS 45 SITEPROP SLICE_X1Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y127 PROHIBIT 0 SITEPROP SLICE_X1Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y127 RPM_X 19 SITEPROP SLICE_X1Y127 RPM_Y 254 SITEPROP SLICE_X1Y127 SITE_PIPS SITEPROP SLICE_X1Y127 SITE_TYPE SLICEL SITEPROP SLICE_X1Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y128 CLASS site SITEPROP SLICE_X1Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y128 IS_BONDED 0 SITEPROP SLICE_X1Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y128 IS_PAD 0 SITEPROP SLICE_X1Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y128 IS_RESERVED 0 SITEPROP SLICE_X1Y128 IS_TEST 0 SITEPROP SLICE_X1Y128 IS_USED 0 SITEPROP SLICE_X1Y128 MANUAL_ROUTING SITEPROP SLICE_X1Y128 NAME SLICE_X1Y128 SITEPROP SLICE_X1Y128 NUM_ARCS 138 SITEPROP SLICE_X1Y128 NUM_BELS 32 SITEPROP SLICE_X1Y128 NUM_INPUTS 32 SITEPROP SLICE_X1Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y128 NUM_PINS 45 SITEPROP SLICE_X1Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y128 PROHIBIT 0 SITEPROP SLICE_X1Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y128 RPM_X 19 SITEPROP SLICE_X1Y128 RPM_Y 256 SITEPROP SLICE_X1Y128 SITE_PIPS SITEPROP SLICE_X1Y128 SITE_TYPE SLICEL SITEPROP SLICE_X1Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y129 CLASS site SITEPROP SLICE_X1Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y129 IS_BONDED 0 SITEPROP SLICE_X1Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y129 IS_PAD 0 SITEPROP SLICE_X1Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y129 IS_RESERVED 0 SITEPROP SLICE_X1Y129 IS_TEST 0 SITEPROP SLICE_X1Y129 IS_USED 0 SITEPROP SLICE_X1Y129 MANUAL_ROUTING SITEPROP SLICE_X1Y129 NAME SLICE_X1Y129 SITEPROP SLICE_X1Y129 NUM_ARCS 138 SITEPROP SLICE_X1Y129 NUM_BELS 32 SITEPROP SLICE_X1Y129 NUM_INPUTS 32 SITEPROP SLICE_X1Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y129 NUM_PINS 45 SITEPROP SLICE_X1Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y129 PROHIBIT 0 SITEPROP SLICE_X1Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y129 RPM_X 19 SITEPROP SLICE_X1Y129 RPM_Y 258 SITEPROP SLICE_X1Y129 SITE_PIPS SITEPROP SLICE_X1Y129 SITE_TYPE SLICEL SITEPROP SLICE_X1Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y130 CLASS site SITEPROP SLICE_X1Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y130 IS_BONDED 0 SITEPROP SLICE_X1Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y130 IS_PAD 0 SITEPROP SLICE_X1Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y130 IS_RESERVED 0 SITEPROP SLICE_X1Y130 IS_TEST 0 SITEPROP SLICE_X1Y130 IS_USED 0 SITEPROP SLICE_X1Y130 MANUAL_ROUTING SITEPROP SLICE_X1Y130 NAME SLICE_X1Y130 SITEPROP SLICE_X1Y130 NUM_ARCS 138 SITEPROP SLICE_X1Y130 NUM_BELS 32 SITEPROP SLICE_X1Y130 NUM_INPUTS 32 SITEPROP SLICE_X1Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y130 NUM_PINS 45 SITEPROP SLICE_X1Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y130 PROHIBIT 0 SITEPROP SLICE_X1Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y130 RPM_X 19 SITEPROP SLICE_X1Y130 RPM_Y 260 SITEPROP SLICE_X1Y130 SITE_PIPS SITEPROP SLICE_X1Y130 SITE_TYPE SLICEL SITEPROP SLICE_X1Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y131 CLASS site SITEPROP SLICE_X1Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y131 IS_BONDED 0 SITEPROP SLICE_X1Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y131 IS_PAD 0 SITEPROP SLICE_X1Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y131 IS_RESERVED 0 SITEPROP SLICE_X1Y131 IS_TEST 0 SITEPROP SLICE_X1Y131 IS_USED 0 SITEPROP SLICE_X1Y131 MANUAL_ROUTING SITEPROP SLICE_X1Y131 NAME SLICE_X1Y131 SITEPROP SLICE_X1Y131 NUM_ARCS 138 SITEPROP SLICE_X1Y131 NUM_BELS 32 SITEPROP SLICE_X1Y131 NUM_INPUTS 32 SITEPROP SLICE_X1Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y131 NUM_PINS 45 SITEPROP SLICE_X1Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y131 PROHIBIT 0 SITEPROP SLICE_X1Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y131 RPM_X 19 SITEPROP SLICE_X1Y131 RPM_Y 262 SITEPROP SLICE_X1Y131 SITE_PIPS SITEPROP SLICE_X1Y131 SITE_TYPE SLICEL SITEPROP SLICE_X1Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y132 CLASS site SITEPROP SLICE_X1Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y132 IS_BONDED 0 SITEPROP SLICE_X1Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y132 IS_PAD 0 SITEPROP SLICE_X1Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y132 IS_RESERVED 0 SITEPROP SLICE_X1Y132 IS_TEST 0 SITEPROP SLICE_X1Y132 IS_USED 0 SITEPROP SLICE_X1Y132 MANUAL_ROUTING SITEPROP SLICE_X1Y132 NAME SLICE_X1Y132 SITEPROP SLICE_X1Y132 NUM_ARCS 138 SITEPROP SLICE_X1Y132 NUM_BELS 32 SITEPROP SLICE_X1Y132 NUM_INPUTS 32 SITEPROP SLICE_X1Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y132 NUM_PINS 45 SITEPROP SLICE_X1Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y132 PROHIBIT 0 SITEPROP SLICE_X1Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y132 RPM_X 19 SITEPROP SLICE_X1Y132 RPM_Y 264 SITEPROP SLICE_X1Y132 SITE_PIPS SITEPROP SLICE_X1Y132 SITE_TYPE SLICEL SITEPROP SLICE_X1Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y133 CLASS site SITEPROP SLICE_X1Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y133 IS_BONDED 0 SITEPROP SLICE_X1Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y133 IS_PAD 0 SITEPROP SLICE_X1Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y133 IS_RESERVED 0 SITEPROP SLICE_X1Y133 IS_TEST 0 SITEPROP SLICE_X1Y133 IS_USED 0 SITEPROP SLICE_X1Y133 MANUAL_ROUTING SITEPROP SLICE_X1Y133 NAME SLICE_X1Y133 SITEPROP SLICE_X1Y133 NUM_ARCS 138 SITEPROP SLICE_X1Y133 NUM_BELS 32 SITEPROP SLICE_X1Y133 NUM_INPUTS 32 SITEPROP SLICE_X1Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y133 NUM_PINS 45 SITEPROP SLICE_X1Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y133 PROHIBIT 0 SITEPROP SLICE_X1Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y133 RPM_X 19 SITEPROP SLICE_X1Y133 RPM_Y 266 SITEPROP SLICE_X1Y133 SITE_PIPS SITEPROP SLICE_X1Y133 SITE_TYPE SLICEL SITEPROP SLICE_X1Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y134 CLASS site SITEPROP SLICE_X1Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y134 IS_BONDED 0 SITEPROP SLICE_X1Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y134 IS_PAD 0 SITEPROP SLICE_X1Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y134 IS_RESERVED 0 SITEPROP SLICE_X1Y134 IS_TEST 0 SITEPROP SLICE_X1Y134 IS_USED 0 SITEPROP SLICE_X1Y134 MANUAL_ROUTING SITEPROP SLICE_X1Y134 NAME SLICE_X1Y134 SITEPROP SLICE_X1Y134 NUM_ARCS 138 SITEPROP SLICE_X1Y134 NUM_BELS 32 SITEPROP SLICE_X1Y134 NUM_INPUTS 32 SITEPROP SLICE_X1Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y134 NUM_PINS 45 SITEPROP SLICE_X1Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y134 PROHIBIT 0 SITEPROP SLICE_X1Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y134 RPM_X 19 SITEPROP SLICE_X1Y134 RPM_Y 268 SITEPROP SLICE_X1Y134 SITE_PIPS SITEPROP SLICE_X1Y134 SITE_TYPE SLICEL SITEPROP SLICE_X1Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y135 CLASS site SITEPROP SLICE_X1Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y135 IS_BONDED 0 SITEPROP SLICE_X1Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y135 IS_PAD 0 SITEPROP SLICE_X1Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y135 IS_RESERVED 0 SITEPROP SLICE_X1Y135 IS_TEST 0 SITEPROP SLICE_X1Y135 IS_USED 0 SITEPROP SLICE_X1Y135 MANUAL_ROUTING SITEPROP SLICE_X1Y135 NAME SLICE_X1Y135 SITEPROP SLICE_X1Y135 NUM_ARCS 138 SITEPROP SLICE_X1Y135 NUM_BELS 32 SITEPROP SLICE_X1Y135 NUM_INPUTS 32 SITEPROP SLICE_X1Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y135 NUM_PINS 45 SITEPROP SLICE_X1Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y135 PROHIBIT 0 SITEPROP SLICE_X1Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y135 RPM_X 19 SITEPROP SLICE_X1Y135 RPM_Y 270 SITEPROP SLICE_X1Y135 SITE_PIPS SITEPROP SLICE_X1Y135 SITE_TYPE SLICEL SITEPROP SLICE_X1Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y136 CLASS site SITEPROP SLICE_X1Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y136 IS_BONDED 0 SITEPROP SLICE_X1Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y136 IS_PAD 0 SITEPROP SLICE_X1Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y136 IS_RESERVED 0 SITEPROP SLICE_X1Y136 IS_TEST 0 SITEPROP SLICE_X1Y136 IS_USED 0 SITEPROP SLICE_X1Y136 MANUAL_ROUTING SITEPROP SLICE_X1Y136 NAME SLICE_X1Y136 SITEPROP SLICE_X1Y136 NUM_ARCS 138 SITEPROP SLICE_X1Y136 NUM_BELS 32 SITEPROP SLICE_X1Y136 NUM_INPUTS 32 SITEPROP SLICE_X1Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y136 NUM_PINS 45 SITEPROP SLICE_X1Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y136 PROHIBIT 0 SITEPROP SLICE_X1Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y136 RPM_X 19 SITEPROP SLICE_X1Y136 RPM_Y 272 SITEPROP SLICE_X1Y136 SITE_PIPS SITEPROP SLICE_X1Y136 SITE_TYPE SLICEL SITEPROP SLICE_X1Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y137 CLASS site SITEPROP SLICE_X1Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y137 IS_BONDED 0 SITEPROP SLICE_X1Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y137 IS_PAD 0 SITEPROP SLICE_X1Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y137 IS_RESERVED 0 SITEPROP SLICE_X1Y137 IS_TEST 0 SITEPROP SLICE_X1Y137 IS_USED 0 SITEPROP SLICE_X1Y137 MANUAL_ROUTING SITEPROP SLICE_X1Y137 NAME SLICE_X1Y137 SITEPROP SLICE_X1Y137 NUM_ARCS 138 SITEPROP SLICE_X1Y137 NUM_BELS 32 SITEPROP SLICE_X1Y137 NUM_INPUTS 32 SITEPROP SLICE_X1Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y137 NUM_PINS 45 SITEPROP SLICE_X1Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y137 PROHIBIT 0 SITEPROP SLICE_X1Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y137 RPM_X 19 SITEPROP SLICE_X1Y137 RPM_Y 274 SITEPROP SLICE_X1Y137 SITE_PIPS SITEPROP SLICE_X1Y137 SITE_TYPE SLICEL SITEPROP SLICE_X1Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y138 CLASS site SITEPROP SLICE_X1Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y138 IS_BONDED 0 SITEPROP SLICE_X1Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y138 IS_PAD 0 SITEPROP SLICE_X1Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y138 IS_RESERVED 0 SITEPROP SLICE_X1Y138 IS_TEST 0 SITEPROP SLICE_X1Y138 IS_USED 0 SITEPROP SLICE_X1Y138 MANUAL_ROUTING SITEPROP SLICE_X1Y138 NAME SLICE_X1Y138 SITEPROP SLICE_X1Y138 NUM_ARCS 138 SITEPROP SLICE_X1Y138 NUM_BELS 32 SITEPROP SLICE_X1Y138 NUM_INPUTS 32 SITEPROP SLICE_X1Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y138 NUM_PINS 45 SITEPROP SLICE_X1Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y138 PROHIBIT 0 SITEPROP SLICE_X1Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y138 RPM_X 19 SITEPROP SLICE_X1Y138 RPM_Y 276 SITEPROP SLICE_X1Y138 SITE_PIPS SITEPROP SLICE_X1Y138 SITE_TYPE SLICEL SITEPROP SLICE_X1Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y139 CLASS site SITEPROP SLICE_X1Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y139 IS_BONDED 0 SITEPROP SLICE_X1Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y139 IS_PAD 0 SITEPROP SLICE_X1Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y139 IS_RESERVED 0 SITEPROP SLICE_X1Y139 IS_TEST 0 SITEPROP SLICE_X1Y139 IS_USED 0 SITEPROP SLICE_X1Y139 MANUAL_ROUTING SITEPROP SLICE_X1Y139 NAME SLICE_X1Y139 SITEPROP SLICE_X1Y139 NUM_ARCS 138 SITEPROP SLICE_X1Y139 NUM_BELS 32 SITEPROP SLICE_X1Y139 NUM_INPUTS 32 SITEPROP SLICE_X1Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y139 NUM_PINS 45 SITEPROP SLICE_X1Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y139 PROHIBIT 0 SITEPROP SLICE_X1Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y139 RPM_X 19 SITEPROP SLICE_X1Y139 RPM_Y 278 SITEPROP SLICE_X1Y139 SITE_PIPS SITEPROP SLICE_X1Y139 SITE_TYPE SLICEL SITEPROP SLICE_X1Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y140 CLASS site SITEPROP SLICE_X1Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y140 IS_BONDED 0 SITEPROP SLICE_X1Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y140 IS_PAD 0 SITEPROP SLICE_X1Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y140 IS_RESERVED 0 SITEPROP SLICE_X1Y140 IS_TEST 0 SITEPROP SLICE_X1Y140 IS_USED 0 SITEPROP SLICE_X1Y140 MANUAL_ROUTING SITEPROP SLICE_X1Y140 NAME SLICE_X1Y140 SITEPROP SLICE_X1Y140 NUM_ARCS 138 SITEPROP SLICE_X1Y140 NUM_BELS 32 SITEPROP SLICE_X1Y140 NUM_INPUTS 32 SITEPROP SLICE_X1Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y140 NUM_PINS 45 SITEPROP SLICE_X1Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y140 PROHIBIT 0 SITEPROP SLICE_X1Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y140 RPM_X 19 SITEPROP SLICE_X1Y140 RPM_Y 280 SITEPROP SLICE_X1Y140 SITE_PIPS SITEPROP SLICE_X1Y140 SITE_TYPE SLICEL SITEPROP SLICE_X1Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y141 CLASS site SITEPROP SLICE_X1Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y141 IS_BONDED 0 SITEPROP SLICE_X1Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y141 IS_PAD 0 SITEPROP SLICE_X1Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y141 IS_RESERVED 0 SITEPROP SLICE_X1Y141 IS_TEST 0 SITEPROP SLICE_X1Y141 IS_USED 0 SITEPROP SLICE_X1Y141 MANUAL_ROUTING SITEPROP SLICE_X1Y141 NAME SLICE_X1Y141 SITEPROP SLICE_X1Y141 NUM_ARCS 138 SITEPROP SLICE_X1Y141 NUM_BELS 32 SITEPROP SLICE_X1Y141 NUM_INPUTS 32 SITEPROP SLICE_X1Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y141 NUM_PINS 45 SITEPROP SLICE_X1Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y141 PROHIBIT 0 SITEPROP SLICE_X1Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y141 RPM_X 19 SITEPROP SLICE_X1Y141 RPM_Y 282 SITEPROP SLICE_X1Y141 SITE_PIPS SITEPROP SLICE_X1Y141 SITE_TYPE SLICEL SITEPROP SLICE_X1Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y142 CLASS site SITEPROP SLICE_X1Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y142 IS_BONDED 0 SITEPROP SLICE_X1Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y142 IS_PAD 0 SITEPROP SLICE_X1Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y142 IS_RESERVED 0 SITEPROP SLICE_X1Y142 IS_TEST 0 SITEPROP SLICE_X1Y142 IS_USED 0 SITEPROP SLICE_X1Y142 MANUAL_ROUTING SITEPROP SLICE_X1Y142 NAME SLICE_X1Y142 SITEPROP SLICE_X1Y142 NUM_ARCS 138 SITEPROP SLICE_X1Y142 NUM_BELS 32 SITEPROP SLICE_X1Y142 NUM_INPUTS 32 SITEPROP SLICE_X1Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y142 NUM_PINS 45 SITEPROP SLICE_X1Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y142 PROHIBIT 0 SITEPROP SLICE_X1Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y142 RPM_X 19 SITEPROP SLICE_X1Y142 RPM_Y 284 SITEPROP SLICE_X1Y142 SITE_PIPS SITEPROP SLICE_X1Y142 SITE_TYPE SLICEL SITEPROP SLICE_X1Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y143 CLASS site SITEPROP SLICE_X1Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y143 IS_BONDED 0 SITEPROP SLICE_X1Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y143 IS_PAD 0 SITEPROP SLICE_X1Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y143 IS_RESERVED 0 SITEPROP SLICE_X1Y143 IS_TEST 0 SITEPROP SLICE_X1Y143 IS_USED 0 SITEPROP SLICE_X1Y143 MANUAL_ROUTING SITEPROP SLICE_X1Y143 NAME SLICE_X1Y143 SITEPROP SLICE_X1Y143 NUM_ARCS 138 SITEPROP SLICE_X1Y143 NUM_BELS 32 SITEPROP SLICE_X1Y143 NUM_INPUTS 32 SITEPROP SLICE_X1Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y143 NUM_PINS 45 SITEPROP SLICE_X1Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y143 PROHIBIT 0 SITEPROP SLICE_X1Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y143 RPM_X 19 SITEPROP SLICE_X1Y143 RPM_Y 286 SITEPROP SLICE_X1Y143 SITE_PIPS SITEPROP SLICE_X1Y143 SITE_TYPE SLICEL SITEPROP SLICE_X1Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y144 CLASS site SITEPROP SLICE_X1Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y144 IS_BONDED 0 SITEPROP SLICE_X1Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y144 IS_PAD 0 SITEPROP SLICE_X1Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y144 IS_RESERVED 0 SITEPROP SLICE_X1Y144 IS_TEST 0 SITEPROP SLICE_X1Y144 IS_USED 0 SITEPROP SLICE_X1Y144 MANUAL_ROUTING SITEPROP SLICE_X1Y144 NAME SLICE_X1Y144 SITEPROP SLICE_X1Y144 NUM_ARCS 138 SITEPROP SLICE_X1Y144 NUM_BELS 32 SITEPROP SLICE_X1Y144 NUM_INPUTS 32 SITEPROP SLICE_X1Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y144 NUM_PINS 45 SITEPROP SLICE_X1Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y144 PROHIBIT 0 SITEPROP SLICE_X1Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y144 RPM_X 19 SITEPROP SLICE_X1Y144 RPM_Y 288 SITEPROP SLICE_X1Y144 SITE_PIPS SITEPROP SLICE_X1Y144 SITE_TYPE SLICEL SITEPROP SLICE_X1Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y145 CLASS site SITEPROP SLICE_X1Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y145 IS_BONDED 0 SITEPROP SLICE_X1Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y145 IS_PAD 0 SITEPROP SLICE_X1Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y145 IS_RESERVED 0 SITEPROP SLICE_X1Y145 IS_TEST 0 SITEPROP SLICE_X1Y145 IS_USED 0 SITEPROP SLICE_X1Y145 MANUAL_ROUTING SITEPROP SLICE_X1Y145 NAME SLICE_X1Y145 SITEPROP SLICE_X1Y145 NUM_ARCS 138 SITEPROP SLICE_X1Y145 NUM_BELS 32 SITEPROP SLICE_X1Y145 NUM_INPUTS 32 SITEPROP SLICE_X1Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y145 NUM_PINS 45 SITEPROP SLICE_X1Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y145 PROHIBIT 0 SITEPROP SLICE_X1Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y145 RPM_X 19 SITEPROP SLICE_X1Y145 RPM_Y 290 SITEPROP SLICE_X1Y145 SITE_PIPS SITEPROP SLICE_X1Y145 SITE_TYPE SLICEL SITEPROP SLICE_X1Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y146 CLASS site SITEPROP SLICE_X1Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y146 IS_BONDED 0 SITEPROP SLICE_X1Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y146 IS_PAD 0 SITEPROP SLICE_X1Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y146 IS_RESERVED 0 SITEPROP SLICE_X1Y146 IS_TEST 0 SITEPROP SLICE_X1Y146 IS_USED 0 SITEPROP SLICE_X1Y146 MANUAL_ROUTING SITEPROP SLICE_X1Y146 NAME SLICE_X1Y146 SITEPROP SLICE_X1Y146 NUM_ARCS 138 SITEPROP SLICE_X1Y146 NUM_BELS 32 SITEPROP SLICE_X1Y146 NUM_INPUTS 32 SITEPROP SLICE_X1Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y146 NUM_PINS 45 SITEPROP SLICE_X1Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y146 PROHIBIT 0 SITEPROP SLICE_X1Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y146 RPM_X 19 SITEPROP SLICE_X1Y146 RPM_Y 292 SITEPROP SLICE_X1Y146 SITE_PIPS SITEPROP SLICE_X1Y146 SITE_TYPE SLICEL SITEPROP SLICE_X1Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y147 CLASS site SITEPROP SLICE_X1Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y147 IS_BONDED 0 SITEPROP SLICE_X1Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y147 IS_PAD 0 SITEPROP SLICE_X1Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y147 IS_RESERVED 0 SITEPROP SLICE_X1Y147 IS_TEST 0 SITEPROP SLICE_X1Y147 IS_USED 0 SITEPROP SLICE_X1Y147 MANUAL_ROUTING SITEPROP SLICE_X1Y147 NAME SLICE_X1Y147 SITEPROP SLICE_X1Y147 NUM_ARCS 138 SITEPROP SLICE_X1Y147 NUM_BELS 32 SITEPROP SLICE_X1Y147 NUM_INPUTS 32 SITEPROP SLICE_X1Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y147 NUM_PINS 45 SITEPROP SLICE_X1Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y147 PROHIBIT 0 SITEPROP SLICE_X1Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y147 RPM_X 19 SITEPROP SLICE_X1Y147 RPM_Y 294 SITEPROP SLICE_X1Y147 SITE_PIPS SITEPROP SLICE_X1Y147 SITE_TYPE SLICEL SITEPROP SLICE_X1Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y148 CLASS site SITEPROP SLICE_X1Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y148 IS_BONDED 0 SITEPROP SLICE_X1Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y148 IS_PAD 0 SITEPROP SLICE_X1Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y148 IS_RESERVED 0 SITEPROP SLICE_X1Y148 IS_TEST 0 SITEPROP SLICE_X1Y148 IS_USED 0 SITEPROP SLICE_X1Y148 MANUAL_ROUTING SITEPROP SLICE_X1Y148 NAME SLICE_X1Y148 SITEPROP SLICE_X1Y148 NUM_ARCS 138 SITEPROP SLICE_X1Y148 NUM_BELS 32 SITEPROP SLICE_X1Y148 NUM_INPUTS 32 SITEPROP SLICE_X1Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y148 NUM_PINS 45 SITEPROP SLICE_X1Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y148 PROHIBIT 0 SITEPROP SLICE_X1Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y148 RPM_X 19 SITEPROP SLICE_X1Y148 RPM_Y 296 SITEPROP SLICE_X1Y148 SITE_PIPS SITEPROP SLICE_X1Y148 SITE_TYPE SLICEL SITEPROP SLICE_X1Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X1Y149 CLASS site SITEPROP SLICE_X1Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X1Y149 IS_BONDED 0 SITEPROP SLICE_X1Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X1Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y149 IS_PAD 0 SITEPROP SLICE_X1Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X1Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X1Y149 IS_RESERVED 0 SITEPROP SLICE_X1Y149 IS_TEST 0 SITEPROP SLICE_X1Y149 IS_USED 0 SITEPROP SLICE_X1Y149 MANUAL_ROUTING SITEPROP SLICE_X1Y149 NAME SLICE_X1Y149 SITEPROP SLICE_X1Y149 NUM_ARCS 138 SITEPROP SLICE_X1Y149 NUM_BELS 32 SITEPROP SLICE_X1Y149 NUM_INPUTS 32 SITEPROP SLICE_X1Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X1Y149 NUM_PINS 45 SITEPROP SLICE_X1Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X1Y149 PROHIBIT 0 SITEPROP SLICE_X1Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X1Y149 RPM_X 19 SITEPROP SLICE_X1Y149 RPM_Y 298 SITEPROP SLICE_X1Y149 SITE_PIPS SITEPROP SLICE_X1Y149 SITE_TYPE SLICEL SITEPROP SLICE_X20Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y100 CLASS site SITEPROP SLICE_X20Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y100 IS_BONDED 0 SITEPROP SLICE_X20Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y100 IS_PAD 0 SITEPROP SLICE_X20Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y100 IS_RESERVED 0 SITEPROP SLICE_X20Y100 IS_TEST 0 SITEPROP SLICE_X20Y100 IS_USED 0 SITEPROP SLICE_X20Y100 MANUAL_ROUTING SITEPROP SLICE_X20Y100 NAME SLICE_X20Y100 SITEPROP SLICE_X20Y100 NUM_ARCS 138 SITEPROP SLICE_X20Y100 NUM_BELS 32 SITEPROP SLICE_X20Y100 NUM_INPUTS 32 SITEPROP SLICE_X20Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y100 NUM_PINS 45 SITEPROP SLICE_X20Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y100 PROHIBIT 0 SITEPROP SLICE_X20Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y100 RPM_X 65 SITEPROP SLICE_X20Y100 RPM_Y 200 SITEPROP SLICE_X20Y100 SITE_PIPS SITEPROP SLICE_X20Y100 SITE_TYPE SLICEL SITEPROP SLICE_X20Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y101 CLASS site SITEPROP SLICE_X20Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y101 IS_BONDED 0 SITEPROP SLICE_X20Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y101 IS_PAD 0 SITEPROP SLICE_X20Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y101 IS_RESERVED 0 SITEPROP SLICE_X20Y101 IS_TEST 0 SITEPROP SLICE_X20Y101 IS_USED 0 SITEPROP SLICE_X20Y101 MANUAL_ROUTING SITEPROP SLICE_X20Y101 NAME SLICE_X20Y101 SITEPROP SLICE_X20Y101 NUM_ARCS 138 SITEPROP SLICE_X20Y101 NUM_BELS 32 SITEPROP SLICE_X20Y101 NUM_INPUTS 32 SITEPROP SLICE_X20Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y101 NUM_PINS 45 SITEPROP SLICE_X20Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y101 PROHIBIT 0 SITEPROP SLICE_X20Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y101 RPM_X 65 SITEPROP SLICE_X20Y101 RPM_Y 202 SITEPROP SLICE_X20Y101 SITE_PIPS SITEPROP SLICE_X20Y101 SITE_TYPE SLICEL SITEPROP SLICE_X20Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y102 CLASS site SITEPROP SLICE_X20Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y102 IS_BONDED 0 SITEPROP SLICE_X20Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y102 IS_PAD 0 SITEPROP SLICE_X20Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y102 IS_RESERVED 0 SITEPROP SLICE_X20Y102 IS_TEST 0 SITEPROP SLICE_X20Y102 IS_USED 0 SITEPROP SLICE_X20Y102 MANUAL_ROUTING SITEPROP SLICE_X20Y102 NAME SLICE_X20Y102 SITEPROP SLICE_X20Y102 NUM_ARCS 138 SITEPROP SLICE_X20Y102 NUM_BELS 32 SITEPROP SLICE_X20Y102 NUM_INPUTS 32 SITEPROP SLICE_X20Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y102 NUM_PINS 45 SITEPROP SLICE_X20Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y102 PROHIBIT 0 SITEPROP SLICE_X20Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y102 RPM_X 65 SITEPROP SLICE_X20Y102 RPM_Y 204 SITEPROP SLICE_X20Y102 SITE_PIPS SITEPROP SLICE_X20Y102 SITE_TYPE SLICEL SITEPROP SLICE_X20Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y103 CLASS site SITEPROP SLICE_X20Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y103 IS_BONDED 0 SITEPROP SLICE_X20Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y103 IS_PAD 0 SITEPROP SLICE_X20Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y103 IS_RESERVED 0 SITEPROP SLICE_X20Y103 IS_TEST 0 SITEPROP SLICE_X20Y103 IS_USED 0 SITEPROP SLICE_X20Y103 MANUAL_ROUTING SITEPROP SLICE_X20Y103 NAME SLICE_X20Y103 SITEPROP SLICE_X20Y103 NUM_ARCS 138 SITEPROP SLICE_X20Y103 NUM_BELS 32 SITEPROP SLICE_X20Y103 NUM_INPUTS 32 SITEPROP SLICE_X20Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y103 NUM_PINS 45 SITEPROP SLICE_X20Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y103 PROHIBIT 0 SITEPROP SLICE_X20Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y103 RPM_X 65 SITEPROP SLICE_X20Y103 RPM_Y 206 SITEPROP SLICE_X20Y103 SITE_PIPS SITEPROP SLICE_X20Y103 SITE_TYPE SLICEL SITEPROP SLICE_X20Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y104 CLASS site SITEPROP SLICE_X20Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y104 IS_BONDED 0 SITEPROP SLICE_X20Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y104 IS_PAD 0 SITEPROP SLICE_X20Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y104 IS_RESERVED 0 SITEPROP SLICE_X20Y104 IS_TEST 0 SITEPROP SLICE_X20Y104 IS_USED 0 SITEPROP SLICE_X20Y104 MANUAL_ROUTING SITEPROP SLICE_X20Y104 NAME SLICE_X20Y104 SITEPROP SLICE_X20Y104 NUM_ARCS 138 SITEPROP SLICE_X20Y104 NUM_BELS 32 SITEPROP SLICE_X20Y104 NUM_INPUTS 32 SITEPROP SLICE_X20Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y104 NUM_PINS 45 SITEPROP SLICE_X20Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y104 PROHIBIT 0 SITEPROP SLICE_X20Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y104 RPM_X 65 SITEPROP SLICE_X20Y104 RPM_Y 208 SITEPROP SLICE_X20Y104 SITE_PIPS SITEPROP SLICE_X20Y104 SITE_TYPE SLICEL SITEPROP SLICE_X20Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y105 CLASS site SITEPROP SLICE_X20Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y105 IS_BONDED 0 SITEPROP SLICE_X20Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y105 IS_PAD 0 SITEPROP SLICE_X20Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y105 IS_RESERVED 0 SITEPROP SLICE_X20Y105 IS_TEST 0 SITEPROP SLICE_X20Y105 IS_USED 0 SITEPROP SLICE_X20Y105 MANUAL_ROUTING SITEPROP SLICE_X20Y105 NAME SLICE_X20Y105 SITEPROP SLICE_X20Y105 NUM_ARCS 138 SITEPROP SLICE_X20Y105 NUM_BELS 32 SITEPROP SLICE_X20Y105 NUM_INPUTS 32 SITEPROP SLICE_X20Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y105 NUM_PINS 45 SITEPROP SLICE_X20Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y105 PROHIBIT 0 SITEPROP SLICE_X20Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y105 RPM_X 65 SITEPROP SLICE_X20Y105 RPM_Y 210 SITEPROP SLICE_X20Y105 SITE_PIPS SITEPROP SLICE_X20Y105 SITE_TYPE SLICEL SITEPROP SLICE_X20Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y106 CLASS site SITEPROP SLICE_X20Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y106 IS_BONDED 0 SITEPROP SLICE_X20Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y106 IS_PAD 0 SITEPROP SLICE_X20Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y106 IS_RESERVED 0 SITEPROP SLICE_X20Y106 IS_TEST 0 SITEPROP SLICE_X20Y106 IS_USED 0 SITEPROP SLICE_X20Y106 MANUAL_ROUTING SITEPROP SLICE_X20Y106 NAME SLICE_X20Y106 SITEPROP SLICE_X20Y106 NUM_ARCS 138 SITEPROP SLICE_X20Y106 NUM_BELS 32 SITEPROP SLICE_X20Y106 NUM_INPUTS 32 SITEPROP SLICE_X20Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y106 NUM_PINS 45 SITEPROP SLICE_X20Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y106 PROHIBIT 0 SITEPROP SLICE_X20Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y106 RPM_X 65 SITEPROP SLICE_X20Y106 RPM_Y 212 SITEPROP SLICE_X20Y106 SITE_PIPS SITEPROP SLICE_X20Y106 SITE_TYPE SLICEL SITEPROP SLICE_X20Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y107 CLASS site SITEPROP SLICE_X20Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y107 IS_BONDED 0 SITEPROP SLICE_X20Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y107 IS_PAD 0 SITEPROP SLICE_X20Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y107 IS_RESERVED 0 SITEPROP SLICE_X20Y107 IS_TEST 0 SITEPROP SLICE_X20Y107 IS_USED 0 SITEPROP SLICE_X20Y107 MANUAL_ROUTING SITEPROP SLICE_X20Y107 NAME SLICE_X20Y107 SITEPROP SLICE_X20Y107 NUM_ARCS 138 SITEPROP SLICE_X20Y107 NUM_BELS 32 SITEPROP SLICE_X20Y107 NUM_INPUTS 32 SITEPROP SLICE_X20Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y107 NUM_PINS 45 SITEPROP SLICE_X20Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y107 PROHIBIT 0 SITEPROP SLICE_X20Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y107 RPM_X 65 SITEPROP SLICE_X20Y107 RPM_Y 214 SITEPROP SLICE_X20Y107 SITE_PIPS SITEPROP SLICE_X20Y107 SITE_TYPE SLICEL SITEPROP SLICE_X20Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y108 CLASS site SITEPROP SLICE_X20Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y108 IS_BONDED 0 SITEPROP SLICE_X20Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y108 IS_PAD 0 SITEPROP SLICE_X20Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y108 IS_RESERVED 0 SITEPROP SLICE_X20Y108 IS_TEST 0 SITEPROP SLICE_X20Y108 IS_USED 0 SITEPROP SLICE_X20Y108 MANUAL_ROUTING SITEPROP SLICE_X20Y108 NAME SLICE_X20Y108 SITEPROP SLICE_X20Y108 NUM_ARCS 138 SITEPROP SLICE_X20Y108 NUM_BELS 32 SITEPROP SLICE_X20Y108 NUM_INPUTS 32 SITEPROP SLICE_X20Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y108 NUM_PINS 45 SITEPROP SLICE_X20Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y108 PROHIBIT 0 SITEPROP SLICE_X20Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y108 RPM_X 65 SITEPROP SLICE_X20Y108 RPM_Y 216 SITEPROP SLICE_X20Y108 SITE_PIPS SITEPROP SLICE_X20Y108 SITE_TYPE SLICEL SITEPROP SLICE_X20Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y109 CLASS site SITEPROP SLICE_X20Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y109 IS_BONDED 0 SITEPROP SLICE_X20Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y109 IS_PAD 0 SITEPROP SLICE_X20Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y109 IS_RESERVED 0 SITEPROP SLICE_X20Y109 IS_TEST 0 SITEPROP SLICE_X20Y109 IS_USED 0 SITEPROP SLICE_X20Y109 MANUAL_ROUTING SITEPROP SLICE_X20Y109 NAME SLICE_X20Y109 SITEPROP SLICE_X20Y109 NUM_ARCS 138 SITEPROP SLICE_X20Y109 NUM_BELS 32 SITEPROP SLICE_X20Y109 NUM_INPUTS 32 SITEPROP SLICE_X20Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y109 NUM_PINS 45 SITEPROP SLICE_X20Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y109 PROHIBIT 0 SITEPROP SLICE_X20Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y109 RPM_X 65 SITEPROP SLICE_X20Y109 RPM_Y 218 SITEPROP SLICE_X20Y109 SITE_PIPS SITEPROP SLICE_X20Y109 SITE_TYPE SLICEL SITEPROP SLICE_X20Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y110 CLASS site SITEPROP SLICE_X20Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y110 IS_BONDED 0 SITEPROP SLICE_X20Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y110 IS_PAD 0 SITEPROP SLICE_X20Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y110 IS_RESERVED 0 SITEPROP SLICE_X20Y110 IS_TEST 0 SITEPROP SLICE_X20Y110 IS_USED 0 SITEPROP SLICE_X20Y110 MANUAL_ROUTING SITEPROP SLICE_X20Y110 NAME SLICE_X20Y110 SITEPROP SLICE_X20Y110 NUM_ARCS 138 SITEPROP SLICE_X20Y110 NUM_BELS 32 SITEPROP SLICE_X20Y110 NUM_INPUTS 32 SITEPROP SLICE_X20Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y110 NUM_PINS 45 SITEPROP SLICE_X20Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y110 PROHIBIT 0 SITEPROP SLICE_X20Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y110 RPM_X 65 SITEPROP SLICE_X20Y110 RPM_Y 220 SITEPROP SLICE_X20Y110 SITE_PIPS SITEPROP SLICE_X20Y110 SITE_TYPE SLICEL SITEPROP SLICE_X20Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y111 CLASS site SITEPROP SLICE_X20Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y111 IS_BONDED 0 SITEPROP SLICE_X20Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y111 IS_PAD 0 SITEPROP SLICE_X20Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y111 IS_RESERVED 0 SITEPROP SLICE_X20Y111 IS_TEST 0 SITEPROP SLICE_X20Y111 IS_USED 0 SITEPROP SLICE_X20Y111 MANUAL_ROUTING SITEPROP SLICE_X20Y111 NAME SLICE_X20Y111 SITEPROP SLICE_X20Y111 NUM_ARCS 138 SITEPROP SLICE_X20Y111 NUM_BELS 32 SITEPROP SLICE_X20Y111 NUM_INPUTS 32 SITEPROP SLICE_X20Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y111 NUM_PINS 45 SITEPROP SLICE_X20Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y111 PROHIBIT 0 SITEPROP SLICE_X20Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y111 RPM_X 65 SITEPROP SLICE_X20Y111 RPM_Y 222 SITEPROP SLICE_X20Y111 SITE_PIPS SITEPROP SLICE_X20Y111 SITE_TYPE SLICEL SITEPROP SLICE_X20Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y112 CLASS site SITEPROP SLICE_X20Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y112 IS_BONDED 0 SITEPROP SLICE_X20Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y112 IS_PAD 0 SITEPROP SLICE_X20Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y112 IS_RESERVED 0 SITEPROP SLICE_X20Y112 IS_TEST 0 SITEPROP SLICE_X20Y112 IS_USED 0 SITEPROP SLICE_X20Y112 MANUAL_ROUTING SITEPROP SLICE_X20Y112 NAME SLICE_X20Y112 SITEPROP SLICE_X20Y112 NUM_ARCS 138 SITEPROP SLICE_X20Y112 NUM_BELS 32 SITEPROP SLICE_X20Y112 NUM_INPUTS 32 SITEPROP SLICE_X20Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y112 NUM_PINS 45 SITEPROP SLICE_X20Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y112 PROHIBIT 0 SITEPROP SLICE_X20Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y112 RPM_X 65 SITEPROP SLICE_X20Y112 RPM_Y 224 SITEPROP SLICE_X20Y112 SITE_PIPS SITEPROP SLICE_X20Y112 SITE_TYPE SLICEL SITEPROP SLICE_X20Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y113 CLASS site SITEPROP SLICE_X20Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y113 IS_BONDED 0 SITEPROP SLICE_X20Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y113 IS_PAD 0 SITEPROP SLICE_X20Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y113 IS_RESERVED 0 SITEPROP SLICE_X20Y113 IS_TEST 0 SITEPROP SLICE_X20Y113 IS_USED 0 SITEPROP SLICE_X20Y113 MANUAL_ROUTING SITEPROP SLICE_X20Y113 NAME SLICE_X20Y113 SITEPROP SLICE_X20Y113 NUM_ARCS 138 SITEPROP SLICE_X20Y113 NUM_BELS 32 SITEPROP SLICE_X20Y113 NUM_INPUTS 32 SITEPROP SLICE_X20Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y113 NUM_PINS 45 SITEPROP SLICE_X20Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y113 PROHIBIT 0 SITEPROP SLICE_X20Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y113 RPM_X 65 SITEPROP SLICE_X20Y113 RPM_Y 226 SITEPROP SLICE_X20Y113 SITE_PIPS SITEPROP SLICE_X20Y113 SITE_TYPE SLICEL SITEPROP SLICE_X20Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y114 CLASS site SITEPROP SLICE_X20Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y114 IS_BONDED 0 SITEPROP SLICE_X20Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y114 IS_PAD 0 SITEPROP SLICE_X20Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y114 IS_RESERVED 0 SITEPROP SLICE_X20Y114 IS_TEST 0 SITEPROP SLICE_X20Y114 IS_USED 0 SITEPROP SLICE_X20Y114 MANUAL_ROUTING SITEPROP SLICE_X20Y114 NAME SLICE_X20Y114 SITEPROP SLICE_X20Y114 NUM_ARCS 138 SITEPROP SLICE_X20Y114 NUM_BELS 32 SITEPROP SLICE_X20Y114 NUM_INPUTS 32 SITEPROP SLICE_X20Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y114 NUM_PINS 45 SITEPROP SLICE_X20Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y114 PROHIBIT 0 SITEPROP SLICE_X20Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y114 RPM_X 65 SITEPROP SLICE_X20Y114 RPM_Y 228 SITEPROP SLICE_X20Y114 SITE_PIPS SITEPROP SLICE_X20Y114 SITE_TYPE SLICEL SITEPROP SLICE_X20Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y115 CLASS site SITEPROP SLICE_X20Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y115 IS_BONDED 0 SITEPROP SLICE_X20Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y115 IS_PAD 0 SITEPROP SLICE_X20Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y115 IS_RESERVED 0 SITEPROP SLICE_X20Y115 IS_TEST 0 SITEPROP SLICE_X20Y115 IS_USED 0 SITEPROP SLICE_X20Y115 MANUAL_ROUTING SITEPROP SLICE_X20Y115 NAME SLICE_X20Y115 SITEPROP SLICE_X20Y115 NUM_ARCS 138 SITEPROP SLICE_X20Y115 NUM_BELS 32 SITEPROP SLICE_X20Y115 NUM_INPUTS 32 SITEPROP SLICE_X20Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y115 NUM_PINS 45 SITEPROP SLICE_X20Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y115 PROHIBIT 0 SITEPROP SLICE_X20Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y115 RPM_X 65 SITEPROP SLICE_X20Y115 RPM_Y 230 SITEPROP SLICE_X20Y115 SITE_PIPS SITEPROP SLICE_X20Y115 SITE_TYPE SLICEL SITEPROP SLICE_X20Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y116 CLASS site SITEPROP SLICE_X20Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y116 IS_BONDED 0 SITEPROP SLICE_X20Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y116 IS_PAD 0 SITEPROP SLICE_X20Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y116 IS_RESERVED 0 SITEPROP SLICE_X20Y116 IS_TEST 0 SITEPROP SLICE_X20Y116 IS_USED 0 SITEPROP SLICE_X20Y116 MANUAL_ROUTING SITEPROP SLICE_X20Y116 NAME SLICE_X20Y116 SITEPROP SLICE_X20Y116 NUM_ARCS 138 SITEPROP SLICE_X20Y116 NUM_BELS 32 SITEPROP SLICE_X20Y116 NUM_INPUTS 32 SITEPROP SLICE_X20Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y116 NUM_PINS 45 SITEPROP SLICE_X20Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y116 PROHIBIT 0 SITEPROP SLICE_X20Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y116 RPM_X 65 SITEPROP SLICE_X20Y116 RPM_Y 232 SITEPROP SLICE_X20Y116 SITE_PIPS SITEPROP SLICE_X20Y116 SITE_TYPE SLICEL SITEPROP SLICE_X20Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y117 CLASS site SITEPROP SLICE_X20Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y117 IS_BONDED 0 SITEPROP SLICE_X20Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y117 IS_PAD 0 SITEPROP SLICE_X20Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y117 IS_RESERVED 0 SITEPROP SLICE_X20Y117 IS_TEST 0 SITEPROP SLICE_X20Y117 IS_USED 0 SITEPROP SLICE_X20Y117 MANUAL_ROUTING SITEPROP SLICE_X20Y117 NAME SLICE_X20Y117 SITEPROP SLICE_X20Y117 NUM_ARCS 138 SITEPROP SLICE_X20Y117 NUM_BELS 32 SITEPROP SLICE_X20Y117 NUM_INPUTS 32 SITEPROP SLICE_X20Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y117 NUM_PINS 45 SITEPROP SLICE_X20Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y117 PROHIBIT 0 SITEPROP SLICE_X20Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y117 RPM_X 65 SITEPROP SLICE_X20Y117 RPM_Y 234 SITEPROP SLICE_X20Y117 SITE_PIPS SITEPROP SLICE_X20Y117 SITE_TYPE SLICEL SITEPROP SLICE_X20Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y118 CLASS site SITEPROP SLICE_X20Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y118 IS_BONDED 0 SITEPROP SLICE_X20Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y118 IS_PAD 0 SITEPROP SLICE_X20Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y118 IS_RESERVED 0 SITEPROP SLICE_X20Y118 IS_TEST 0 SITEPROP SLICE_X20Y118 IS_USED 0 SITEPROP SLICE_X20Y118 MANUAL_ROUTING SITEPROP SLICE_X20Y118 NAME SLICE_X20Y118 SITEPROP SLICE_X20Y118 NUM_ARCS 138 SITEPROP SLICE_X20Y118 NUM_BELS 32 SITEPROP SLICE_X20Y118 NUM_INPUTS 32 SITEPROP SLICE_X20Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y118 NUM_PINS 45 SITEPROP SLICE_X20Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y118 PROHIBIT 0 SITEPROP SLICE_X20Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y118 RPM_X 65 SITEPROP SLICE_X20Y118 RPM_Y 236 SITEPROP SLICE_X20Y118 SITE_PIPS SITEPROP SLICE_X20Y118 SITE_TYPE SLICEL SITEPROP SLICE_X20Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y119 CLASS site SITEPROP SLICE_X20Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y119 IS_BONDED 0 SITEPROP SLICE_X20Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y119 IS_PAD 0 SITEPROP SLICE_X20Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y119 IS_RESERVED 0 SITEPROP SLICE_X20Y119 IS_TEST 0 SITEPROP SLICE_X20Y119 IS_USED 0 SITEPROP SLICE_X20Y119 MANUAL_ROUTING SITEPROP SLICE_X20Y119 NAME SLICE_X20Y119 SITEPROP SLICE_X20Y119 NUM_ARCS 138 SITEPROP SLICE_X20Y119 NUM_BELS 32 SITEPROP SLICE_X20Y119 NUM_INPUTS 32 SITEPROP SLICE_X20Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y119 NUM_PINS 45 SITEPROP SLICE_X20Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y119 PROHIBIT 0 SITEPROP SLICE_X20Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y119 RPM_X 65 SITEPROP SLICE_X20Y119 RPM_Y 238 SITEPROP SLICE_X20Y119 SITE_PIPS SITEPROP SLICE_X20Y119 SITE_TYPE SLICEL SITEPROP SLICE_X20Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y120 CLASS site SITEPROP SLICE_X20Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y120 IS_BONDED 0 SITEPROP SLICE_X20Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y120 IS_PAD 0 SITEPROP SLICE_X20Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y120 IS_RESERVED 0 SITEPROP SLICE_X20Y120 IS_TEST 0 SITEPROP SLICE_X20Y120 IS_USED 0 SITEPROP SLICE_X20Y120 MANUAL_ROUTING SITEPROP SLICE_X20Y120 NAME SLICE_X20Y120 SITEPROP SLICE_X20Y120 NUM_ARCS 138 SITEPROP SLICE_X20Y120 NUM_BELS 32 SITEPROP SLICE_X20Y120 NUM_INPUTS 32 SITEPROP SLICE_X20Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y120 NUM_PINS 45 SITEPROP SLICE_X20Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y120 PROHIBIT 0 SITEPROP SLICE_X20Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y120 RPM_X 65 SITEPROP SLICE_X20Y120 RPM_Y 240 SITEPROP SLICE_X20Y120 SITE_PIPS SITEPROP SLICE_X20Y120 SITE_TYPE SLICEL SITEPROP SLICE_X20Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y121 CLASS site SITEPROP SLICE_X20Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y121 IS_BONDED 0 SITEPROP SLICE_X20Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y121 IS_PAD 0 SITEPROP SLICE_X20Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y121 IS_RESERVED 0 SITEPROP SLICE_X20Y121 IS_TEST 0 SITEPROP SLICE_X20Y121 IS_USED 0 SITEPROP SLICE_X20Y121 MANUAL_ROUTING SITEPROP SLICE_X20Y121 NAME SLICE_X20Y121 SITEPROP SLICE_X20Y121 NUM_ARCS 138 SITEPROP SLICE_X20Y121 NUM_BELS 32 SITEPROP SLICE_X20Y121 NUM_INPUTS 32 SITEPROP SLICE_X20Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y121 NUM_PINS 45 SITEPROP SLICE_X20Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y121 PROHIBIT 0 SITEPROP SLICE_X20Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y121 RPM_X 65 SITEPROP SLICE_X20Y121 RPM_Y 242 SITEPROP SLICE_X20Y121 SITE_PIPS SITEPROP SLICE_X20Y121 SITE_TYPE SLICEL SITEPROP SLICE_X20Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y122 CLASS site SITEPROP SLICE_X20Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y122 IS_BONDED 0 SITEPROP SLICE_X20Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y122 IS_PAD 0 SITEPROP SLICE_X20Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y122 IS_RESERVED 0 SITEPROP SLICE_X20Y122 IS_TEST 0 SITEPROP SLICE_X20Y122 IS_USED 0 SITEPROP SLICE_X20Y122 MANUAL_ROUTING SITEPROP SLICE_X20Y122 NAME SLICE_X20Y122 SITEPROP SLICE_X20Y122 NUM_ARCS 138 SITEPROP SLICE_X20Y122 NUM_BELS 32 SITEPROP SLICE_X20Y122 NUM_INPUTS 32 SITEPROP SLICE_X20Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y122 NUM_PINS 45 SITEPROP SLICE_X20Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y122 PROHIBIT 0 SITEPROP SLICE_X20Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y122 RPM_X 65 SITEPROP SLICE_X20Y122 RPM_Y 244 SITEPROP SLICE_X20Y122 SITE_PIPS SITEPROP SLICE_X20Y122 SITE_TYPE SLICEL SITEPROP SLICE_X20Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y123 CLASS site SITEPROP SLICE_X20Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y123 IS_BONDED 0 SITEPROP SLICE_X20Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y123 IS_PAD 0 SITEPROP SLICE_X20Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y123 IS_RESERVED 0 SITEPROP SLICE_X20Y123 IS_TEST 0 SITEPROP SLICE_X20Y123 IS_USED 0 SITEPROP SLICE_X20Y123 MANUAL_ROUTING SITEPROP SLICE_X20Y123 NAME SLICE_X20Y123 SITEPROP SLICE_X20Y123 NUM_ARCS 138 SITEPROP SLICE_X20Y123 NUM_BELS 32 SITEPROP SLICE_X20Y123 NUM_INPUTS 32 SITEPROP SLICE_X20Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y123 NUM_PINS 45 SITEPROP SLICE_X20Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y123 PROHIBIT 0 SITEPROP SLICE_X20Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y123 RPM_X 65 SITEPROP SLICE_X20Y123 RPM_Y 246 SITEPROP SLICE_X20Y123 SITE_PIPS SITEPROP SLICE_X20Y123 SITE_TYPE SLICEL SITEPROP SLICE_X20Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y124 CLASS site SITEPROP SLICE_X20Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y124 IS_BONDED 0 SITEPROP SLICE_X20Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y124 IS_PAD 0 SITEPROP SLICE_X20Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y124 IS_RESERVED 0 SITEPROP SLICE_X20Y124 IS_TEST 0 SITEPROP SLICE_X20Y124 IS_USED 0 SITEPROP SLICE_X20Y124 MANUAL_ROUTING SITEPROP SLICE_X20Y124 NAME SLICE_X20Y124 SITEPROP SLICE_X20Y124 NUM_ARCS 138 SITEPROP SLICE_X20Y124 NUM_BELS 32 SITEPROP SLICE_X20Y124 NUM_INPUTS 32 SITEPROP SLICE_X20Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y124 NUM_PINS 45 SITEPROP SLICE_X20Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y124 PROHIBIT 0 SITEPROP SLICE_X20Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y124 RPM_X 65 SITEPROP SLICE_X20Y124 RPM_Y 248 SITEPROP SLICE_X20Y124 SITE_PIPS SITEPROP SLICE_X20Y124 SITE_TYPE SLICEL SITEPROP SLICE_X20Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y125 CLASS site SITEPROP SLICE_X20Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y125 IS_BONDED 0 SITEPROP SLICE_X20Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y125 IS_PAD 0 SITEPROP SLICE_X20Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y125 IS_RESERVED 0 SITEPROP SLICE_X20Y125 IS_TEST 0 SITEPROP SLICE_X20Y125 IS_USED 0 SITEPROP SLICE_X20Y125 MANUAL_ROUTING SITEPROP SLICE_X20Y125 NAME SLICE_X20Y125 SITEPROP SLICE_X20Y125 NUM_ARCS 138 SITEPROP SLICE_X20Y125 NUM_BELS 32 SITEPROP SLICE_X20Y125 NUM_INPUTS 32 SITEPROP SLICE_X20Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y125 NUM_PINS 45 SITEPROP SLICE_X20Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y125 PROHIBIT 0 SITEPROP SLICE_X20Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y125 RPM_X 65 SITEPROP SLICE_X20Y125 RPM_Y 250 SITEPROP SLICE_X20Y125 SITE_PIPS SITEPROP SLICE_X20Y125 SITE_TYPE SLICEL SITEPROP SLICE_X20Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y126 CLASS site SITEPROP SLICE_X20Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y126 IS_BONDED 0 SITEPROP SLICE_X20Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y126 IS_PAD 0 SITEPROP SLICE_X20Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y126 IS_RESERVED 0 SITEPROP SLICE_X20Y126 IS_TEST 0 SITEPROP SLICE_X20Y126 IS_USED 0 SITEPROP SLICE_X20Y126 MANUAL_ROUTING SITEPROP SLICE_X20Y126 NAME SLICE_X20Y126 SITEPROP SLICE_X20Y126 NUM_ARCS 138 SITEPROP SLICE_X20Y126 NUM_BELS 32 SITEPROP SLICE_X20Y126 NUM_INPUTS 32 SITEPROP SLICE_X20Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y126 NUM_PINS 45 SITEPROP SLICE_X20Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y126 PROHIBIT 0 SITEPROP SLICE_X20Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y126 RPM_X 65 SITEPROP SLICE_X20Y126 RPM_Y 252 SITEPROP SLICE_X20Y126 SITE_PIPS SITEPROP SLICE_X20Y126 SITE_TYPE SLICEL SITEPROP SLICE_X20Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y127 CLASS site SITEPROP SLICE_X20Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y127 IS_BONDED 0 SITEPROP SLICE_X20Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y127 IS_PAD 0 SITEPROP SLICE_X20Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y127 IS_RESERVED 0 SITEPROP SLICE_X20Y127 IS_TEST 0 SITEPROP SLICE_X20Y127 IS_USED 0 SITEPROP SLICE_X20Y127 MANUAL_ROUTING SITEPROP SLICE_X20Y127 NAME SLICE_X20Y127 SITEPROP SLICE_X20Y127 NUM_ARCS 138 SITEPROP SLICE_X20Y127 NUM_BELS 32 SITEPROP SLICE_X20Y127 NUM_INPUTS 32 SITEPROP SLICE_X20Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y127 NUM_PINS 45 SITEPROP SLICE_X20Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y127 PROHIBIT 0 SITEPROP SLICE_X20Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y127 RPM_X 65 SITEPROP SLICE_X20Y127 RPM_Y 254 SITEPROP SLICE_X20Y127 SITE_PIPS SITEPROP SLICE_X20Y127 SITE_TYPE SLICEL SITEPROP SLICE_X20Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y128 CLASS site SITEPROP SLICE_X20Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y128 IS_BONDED 0 SITEPROP SLICE_X20Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y128 IS_PAD 0 SITEPROP SLICE_X20Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y128 IS_RESERVED 0 SITEPROP SLICE_X20Y128 IS_TEST 0 SITEPROP SLICE_X20Y128 IS_USED 0 SITEPROP SLICE_X20Y128 MANUAL_ROUTING SITEPROP SLICE_X20Y128 NAME SLICE_X20Y128 SITEPROP SLICE_X20Y128 NUM_ARCS 138 SITEPROP SLICE_X20Y128 NUM_BELS 32 SITEPROP SLICE_X20Y128 NUM_INPUTS 32 SITEPROP SLICE_X20Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y128 NUM_PINS 45 SITEPROP SLICE_X20Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y128 PROHIBIT 0 SITEPROP SLICE_X20Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y128 RPM_X 65 SITEPROP SLICE_X20Y128 RPM_Y 256 SITEPROP SLICE_X20Y128 SITE_PIPS SITEPROP SLICE_X20Y128 SITE_TYPE SLICEL SITEPROP SLICE_X20Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y129 CLASS site SITEPROP SLICE_X20Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y129 IS_BONDED 0 SITEPROP SLICE_X20Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y129 IS_PAD 0 SITEPROP SLICE_X20Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y129 IS_RESERVED 0 SITEPROP SLICE_X20Y129 IS_TEST 0 SITEPROP SLICE_X20Y129 IS_USED 0 SITEPROP SLICE_X20Y129 MANUAL_ROUTING SITEPROP SLICE_X20Y129 NAME SLICE_X20Y129 SITEPROP SLICE_X20Y129 NUM_ARCS 138 SITEPROP SLICE_X20Y129 NUM_BELS 32 SITEPROP SLICE_X20Y129 NUM_INPUTS 32 SITEPROP SLICE_X20Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y129 NUM_PINS 45 SITEPROP SLICE_X20Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y129 PROHIBIT 0 SITEPROP SLICE_X20Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y129 RPM_X 65 SITEPROP SLICE_X20Y129 RPM_Y 258 SITEPROP SLICE_X20Y129 SITE_PIPS SITEPROP SLICE_X20Y129 SITE_TYPE SLICEL SITEPROP SLICE_X20Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y130 CLASS site SITEPROP SLICE_X20Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y130 IS_BONDED 0 SITEPROP SLICE_X20Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y130 IS_PAD 0 SITEPROP SLICE_X20Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y130 IS_RESERVED 0 SITEPROP SLICE_X20Y130 IS_TEST 0 SITEPROP SLICE_X20Y130 IS_USED 0 SITEPROP SLICE_X20Y130 MANUAL_ROUTING SITEPROP SLICE_X20Y130 NAME SLICE_X20Y130 SITEPROP SLICE_X20Y130 NUM_ARCS 138 SITEPROP SLICE_X20Y130 NUM_BELS 32 SITEPROP SLICE_X20Y130 NUM_INPUTS 32 SITEPROP SLICE_X20Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y130 NUM_PINS 45 SITEPROP SLICE_X20Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y130 PROHIBIT 0 SITEPROP SLICE_X20Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y130 RPM_X 65 SITEPROP SLICE_X20Y130 RPM_Y 260 SITEPROP SLICE_X20Y130 SITE_PIPS SITEPROP SLICE_X20Y130 SITE_TYPE SLICEL SITEPROP SLICE_X20Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y131 CLASS site SITEPROP SLICE_X20Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y131 IS_BONDED 0 SITEPROP SLICE_X20Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y131 IS_PAD 0 SITEPROP SLICE_X20Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y131 IS_RESERVED 0 SITEPROP SLICE_X20Y131 IS_TEST 0 SITEPROP SLICE_X20Y131 IS_USED 0 SITEPROP SLICE_X20Y131 MANUAL_ROUTING SITEPROP SLICE_X20Y131 NAME SLICE_X20Y131 SITEPROP SLICE_X20Y131 NUM_ARCS 138 SITEPROP SLICE_X20Y131 NUM_BELS 32 SITEPROP SLICE_X20Y131 NUM_INPUTS 32 SITEPROP SLICE_X20Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y131 NUM_PINS 45 SITEPROP SLICE_X20Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y131 PROHIBIT 0 SITEPROP SLICE_X20Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y131 RPM_X 65 SITEPROP SLICE_X20Y131 RPM_Y 262 SITEPROP SLICE_X20Y131 SITE_PIPS SITEPROP SLICE_X20Y131 SITE_TYPE SLICEL SITEPROP SLICE_X20Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y132 CLASS site SITEPROP SLICE_X20Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y132 IS_BONDED 0 SITEPROP SLICE_X20Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y132 IS_PAD 0 SITEPROP SLICE_X20Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y132 IS_RESERVED 0 SITEPROP SLICE_X20Y132 IS_TEST 0 SITEPROP SLICE_X20Y132 IS_USED 0 SITEPROP SLICE_X20Y132 MANUAL_ROUTING SITEPROP SLICE_X20Y132 NAME SLICE_X20Y132 SITEPROP SLICE_X20Y132 NUM_ARCS 138 SITEPROP SLICE_X20Y132 NUM_BELS 32 SITEPROP SLICE_X20Y132 NUM_INPUTS 32 SITEPROP SLICE_X20Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y132 NUM_PINS 45 SITEPROP SLICE_X20Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y132 PROHIBIT 0 SITEPROP SLICE_X20Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y132 RPM_X 65 SITEPROP SLICE_X20Y132 RPM_Y 264 SITEPROP SLICE_X20Y132 SITE_PIPS SITEPROP SLICE_X20Y132 SITE_TYPE SLICEL SITEPROP SLICE_X20Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y133 CLASS site SITEPROP SLICE_X20Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y133 IS_BONDED 0 SITEPROP SLICE_X20Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y133 IS_PAD 0 SITEPROP SLICE_X20Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y133 IS_RESERVED 0 SITEPROP SLICE_X20Y133 IS_TEST 0 SITEPROP SLICE_X20Y133 IS_USED 0 SITEPROP SLICE_X20Y133 MANUAL_ROUTING SITEPROP SLICE_X20Y133 NAME SLICE_X20Y133 SITEPROP SLICE_X20Y133 NUM_ARCS 138 SITEPROP SLICE_X20Y133 NUM_BELS 32 SITEPROP SLICE_X20Y133 NUM_INPUTS 32 SITEPROP SLICE_X20Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y133 NUM_PINS 45 SITEPROP SLICE_X20Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y133 PROHIBIT 0 SITEPROP SLICE_X20Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y133 RPM_X 65 SITEPROP SLICE_X20Y133 RPM_Y 266 SITEPROP SLICE_X20Y133 SITE_PIPS SITEPROP SLICE_X20Y133 SITE_TYPE SLICEL SITEPROP SLICE_X20Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y134 CLASS site SITEPROP SLICE_X20Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y134 IS_BONDED 0 SITEPROP SLICE_X20Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y134 IS_PAD 0 SITEPROP SLICE_X20Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y134 IS_RESERVED 0 SITEPROP SLICE_X20Y134 IS_TEST 0 SITEPROP SLICE_X20Y134 IS_USED 0 SITEPROP SLICE_X20Y134 MANUAL_ROUTING SITEPROP SLICE_X20Y134 NAME SLICE_X20Y134 SITEPROP SLICE_X20Y134 NUM_ARCS 138 SITEPROP SLICE_X20Y134 NUM_BELS 32 SITEPROP SLICE_X20Y134 NUM_INPUTS 32 SITEPROP SLICE_X20Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y134 NUM_PINS 45 SITEPROP SLICE_X20Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y134 PROHIBIT 0 SITEPROP SLICE_X20Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y134 RPM_X 65 SITEPROP SLICE_X20Y134 RPM_Y 268 SITEPROP SLICE_X20Y134 SITE_PIPS SITEPROP SLICE_X20Y134 SITE_TYPE SLICEL SITEPROP SLICE_X20Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y135 CLASS site SITEPROP SLICE_X20Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y135 IS_BONDED 0 SITEPROP SLICE_X20Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y135 IS_PAD 0 SITEPROP SLICE_X20Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y135 IS_RESERVED 0 SITEPROP SLICE_X20Y135 IS_TEST 0 SITEPROP SLICE_X20Y135 IS_USED 0 SITEPROP SLICE_X20Y135 MANUAL_ROUTING SITEPROP SLICE_X20Y135 NAME SLICE_X20Y135 SITEPROP SLICE_X20Y135 NUM_ARCS 138 SITEPROP SLICE_X20Y135 NUM_BELS 32 SITEPROP SLICE_X20Y135 NUM_INPUTS 32 SITEPROP SLICE_X20Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y135 NUM_PINS 45 SITEPROP SLICE_X20Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y135 PROHIBIT 0 SITEPROP SLICE_X20Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y135 RPM_X 65 SITEPROP SLICE_X20Y135 RPM_Y 270 SITEPROP SLICE_X20Y135 SITE_PIPS SITEPROP SLICE_X20Y135 SITE_TYPE SLICEL SITEPROP SLICE_X20Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y136 CLASS site SITEPROP SLICE_X20Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y136 IS_BONDED 0 SITEPROP SLICE_X20Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y136 IS_PAD 0 SITEPROP SLICE_X20Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y136 IS_RESERVED 0 SITEPROP SLICE_X20Y136 IS_TEST 0 SITEPROP SLICE_X20Y136 IS_USED 0 SITEPROP SLICE_X20Y136 MANUAL_ROUTING SITEPROP SLICE_X20Y136 NAME SLICE_X20Y136 SITEPROP SLICE_X20Y136 NUM_ARCS 138 SITEPROP SLICE_X20Y136 NUM_BELS 32 SITEPROP SLICE_X20Y136 NUM_INPUTS 32 SITEPROP SLICE_X20Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y136 NUM_PINS 45 SITEPROP SLICE_X20Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y136 PROHIBIT 0 SITEPROP SLICE_X20Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y136 RPM_X 65 SITEPROP SLICE_X20Y136 RPM_Y 272 SITEPROP SLICE_X20Y136 SITE_PIPS SITEPROP SLICE_X20Y136 SITE_TYPE SLICEL SITEPROP SLICE_X20Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y137 CLASS site SITEPROP SLICE_X20Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y137 IS_BONDED 0 SITEPROP SLICE_X20Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y137 IS_PAD 0 SITEPROP SLICE_X20Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y137 IS_RESERVED 0 SITEPROP SLICE_X20Y137 IS_TEST 0 SITEPROP SLICE_X20Y137 IS_USED 0 SITEPROP SLICE_X20Y137 MANUAL_ROUTING SITEPROP SLICE_X20Y137 NAME SLICE_X20Y137 SITEPROP SLICE_X20Y137 NUM_ARCS 138 SITEPROP SLICE_X20Y137 NUM_BELS 32 SITEPROP SLICE_X20Y137 NUM_INPUTS 32 SITEPROP SLICE_X20Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y137 NUM_PINS 45 SITEPROP SLICE_X20Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y137 PROHIBIT 0 SITEPROP SLICE_X20Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y137 RPM_X 65 SITEPROP SLICE_X20Y137 RPM_Y 274 SITEPROP SLICE_X20Y137 SITE_PIPS SITEPROP SLICE_X20Y137 SITE_TYPE SLICEL SITEPROP SLICE_X20Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y138 CLASS site SITEPROP SLICE_X20Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y138 IS_BONDED 0 SITEPROP SLICE_X20Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y138 IS_PAD 0 SITEPROP SLICE_X20Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y138 IS_RESERVED 0 SITEPROP SLICE_X20Y138 IS_TEST 0 SITEPROP SLICE_X20Y138 IS_USED 0 SITEPROP SLICE_X20Y138 MANUAL_ROUTING SITEPROP SLICE_X20Y138 NAME SLICE_X20Y138 SITEPROP SLICE_X20Y138 NUM_ARCS 138 SITEPROP SLICE_X20Y138 NUM_BELS 32 SITEPROP SLICE_X20Y138 NUM_INPUTS 32 SITEPROP SLICE_X20Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y138 NUM_PINS 45 SITEPROP SLICE_X20Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y138 PROHIBIT 0 SITEPROP SLICE_X20Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y138 RPM_X 65 SITEPROP SLICE_X20Y138 RPM_Y 276 SITEPROP SLICE_X20Y138 SITE_PIPS SITEPROP SLICE_X20Y138 SITE_TYPE SLICEL SITEPROP SLICE_X20Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y139 CLASS site SITEPROP SLICE_X20Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y139 IS_BONDED 0 SITEPROP SLICE_X20Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y139 IS_PAD 0 SITEPROP SLICE_X20Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y139 IS_RESERVED 0 SITEPROP SLICE_X20Y139 IS_TEST 0 SITEPROP SLICE_X20Y139 IS_USED 0 SITEPROP SLICE_X20Y139 MANUAL_ROUTING SITEPROP SLICE_X20Y139 NAME SLICE_X20Y139 SITEPROP SLICE_X20Y139 NUM_ARCS 138 SITEPROP SLICE_X20Y139 NUM_BELS 32 SITEPROP SLICE_X20Y139 NUM_INPUTS 32 SITEPROP SLICE_X20Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y139 NUM_PINS 45 SITEPROP SLICE_X20Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y139 PROHIBIT 0 SITEPROP SLICE_X20Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y139 RPM_X 65 SITEPROP SLICE_X20Y139 RPM_Y 278 SITEPROP SLICE_X20Y139 SITE_PIPS SITEPROP SLICE_X20Y139 SITE_TYPE SLICEL SITEPROP SLICE_X20Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y140 CLASS site SITEPROP SLICE_X20Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y140 IS_BONDED 0 SITEPROP SLICE_X20Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y140 IS_PAD 0 SITEPROP SLICE_X20Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y140 IS_RESERVED 0 SITEPROP SLICE_X20Y140 IS_TEST 0 SITEPROP SLICE_X20Y140 IS_USED 0 SITEPROP SLICE_X20Y140 MANUAL_ROUTING SITEPROP SLICE_X20Y140 NAME SLICE_X20Y140 SITEPROP SLICE_X20Y140 NUM_ARCS 138 SITEPROP SLICE_X20Y140 NUM_BELS 32 SITEPROP SLICE_X20Y140 NUM_INPUTS 32 SITEPROP SLICE_X20Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y140 NUM_PINS 45 SITEPROP SLICE_X20Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y140 PROHIBIT 0 SITEPROP SLICE_X20Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y140 RPM_X 65 SITEPROP SLICE_X20Y140 RPM_Y 280 SITEPROP SLICE_X20Y140 SITE_PIPS SITEPROP SLICE_X20Y140 SITE_TYPE SLICEL SITEPROP SLICE_X20Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y141 CLASS site SITEPROP SLICE_X20Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y141 IS_BONDED 0 SITEPROP SLICE_X20Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y141 IS_PAD 0 SITEPROP SLICE_X20Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y141 IS_RESERVED 0 SITEPROP SLICE_X20Y141 IS_TEST 0 SITEPROP SLICE_X20Y141 IS_USED 0 SITEPROP SLICE_X20Y141 MANUAL_ROUTING SITEPROP SLICE_X20Y141 NAME SLICE_X20Y141 SITEPROP SLICE_X20Y141 NUM_ARCS 138 SITEPROP SLICE_X20Y141 NUM_BELS 32 SITEPROP SLICE_X20Y141 NUM_INPUTS 32 SITEPROP SLICE_X20Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y141 NUM_PINS 45 SITEPROP SLICE_X20Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y141 PROHIBIT 0 SITEPROP SLICE_X20Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y141 RPM_X 65 SITEPROP SLICE_X20Y141 RPM_Y 282 SITEPROP SLICE_X20Y141 SITE_PIPS SITEPROP SLICE_X20Y141 SITE_TYPE SLICEL SITEPROP SLICE_X20Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y142 CLASS site SITEPROP SLICE_X20Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y142 IS_BONDED 0 SITEPROP SLICE_X20Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y142 IS_PAD 0 SITEPROP SLICE_X20Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y142 IS_RESERVED 0 SITEPROP SLICE_X20Y142 IS_TEST 0 SITEPROP SLICE_X20Y142 IS_USED 0 SITEPROP SLICE_X20Y142 MANUAL_ROUTING SITEPROP SLICE_X20Y142 NAME SLICE_X20Y142 SITEPROP SLICE_X20Y142 NUM_ARCS 138 SITEPROP SLICE_X20Y142 NUM_BELS 32 SITEPROP SLICE_X20Y142 NUM_INPUTS 32 SITEPROP SLICE_X20Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y142 NUM_PINS 45 SITEPROP SLICE_X20Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y142 PROHIBIT 0 SITEPROP SLICE_X20Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y142 RPM_X 65 SITEPROP SLICE_X20Y142 RPM_Y 284 SITEPROP SLICE_X20Y142 SITE_PIPS SITEPROP SLICE_X20Y142 SITE_TYPE SLICEL SITEPROP SLICE_X20Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y143 CLASS site SITEPROP SLICE_X20Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y143 IS_BONDED 0 SITEPROP SLICE_X20Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y143 IS_PAD 0 SITEPROP SLICE_X20Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y143 IS_RESERVED 0 SITEPROP SLICE_X20Y143 IS_TEST 0 SITEPROP SLICE_X20Y143 IS_USED 0 SITEPROP SLICE_X20Y143 MANUAL_ROUTING SITEPROP SLICE_X20Y143 NAME SLICE_X20Y143 SITEPROP SLICE_X20Y143 NUM_ARCS 138 SITEPROP SLICE_X20Y143 NUM_BELS 32 SITEPROP SLICE_X20Y143 NUM_INPUTS 32 SITEPROP SLICE_X20Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y143 NUM_PINS 45 SITEPROP SLICE_X20Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y143 PROHIBIT 0 SITEPROP SLICE_X20Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y143 RPM_X 65 SITEPROP SLICE_X20Y143 RPM_Y 286 SITEPROP SLICE_X20Y143 SITE_PIPS SITEPROP SLICE_X20Y143 SITE_TYPE SLICEL SITEPROP SLICE_X20Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y144 CLASS site SITEPROP SLICE_X20Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y144 IS_BONDED 0 SITEPROP SLICE_X20Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y144 IS_PAD 0 SITEPROP SLICE_X20Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y144 IS_RESERVED 0 SITEPROP SLICE_X20Y144 IS_TEST 0 SITEPROP SLICE_X20Y144 IS_USED 0 SITEPROP SLICE_X20Y144 MANUAL_ROUTING SITEPROP SLICE_X20Y144 NAME SLICE_X20Y144 SITEPROP SLICE_X20Y144 NUM_ARCS 138 SITEPROP SLICE_X20Y144 NUM_BELS 32 SITEPROP SLICE_X20Y144 NUM_INPUTS 32 SITEPROP SLICE_X20Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y144 NUM_PINS 45 SITEPROP SLICE_X20Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y144 PROHIBIT 0 SITEPROP SLICE_X20Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y144 RPM_X 65 SITEPROP SLICE_X20Y144 RPM_Y 288 SITEPROP SLICE_X20Y144 SITE_PIPS SITEPROP SLICE_X20Y144 SITE_TYPE SLICEL SITEPROP SLICE_X20Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y145 CLASS site SITEPROP SLICE_X20Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y145 IS_BONDED 0 SITEPROP SLICE_X20Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y145 IS_PAD 0 SITEPROP SLICE_X20Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y145 IS_RESERVED 0 SITEPROP SLICE_X20Y145 IS_TEST 0 SITEPROP SLICE_X20Y145 IS_USED 0 SITEPROP SLICE_X20Y145 MANUAL_ROUTING SITEPROP SLICE_X20Y145 NAME SLICE_X20Y145 SITEPROP SLICE_X20Y145 NUM_ARCS 138 SITEPROP SLICE_X20Y145 NUM_BELS 32 SITEPROP SLICE_X20Y145 NUM_INPUTS 32 SITEPROP SLICE_X20Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y145 NUM_PINS 45 SITEPROP SLICE_X20Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y145 PROHIBIT 0 SITEPROP SLICE_X20Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y145 RPM_X 65 SITEPROP SLICE_X20Y145 RPM_Y 290 SITEPROP SLICE_X20Y145 SITE_PIPS SITEPROP SLICE_X20Y145 SITE_TYPE SLICEL SITEPROP SLICE_X20Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y146 CLASS site SITEPROP SLICE_X20Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y146 IS_BONDED 0 SITEPROP SLICE_X20Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y146 IS_PAD 0 SITEPROP SLICE_X20Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y146 IS_RESERVED 0 SITEPROP SLICE_X20Y146 IS_TEST 0 SITEPROP SLICE_X20Y146 IS_USED 0 SITEPROP SLICE_X20Y146 MANUAL_ROUTING SITEPROP SLICE_X20Y146 NAME SLICE_X20Y146 SITEPROP SLICE_X20Y146 NUM_ARCS 138 SITEPROP SLICE_X20Y146 NUM_BELS 32 SITEPROP SLICE_X20Y146 NUM_INPUTS 32 SITEPROP SLICE_X20Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y146 NUM_PINS 45 SITEPROP SLICE_X20Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y146 PROHIBIT 0 SITEPROP SLICE_X20Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y146 RPM_X 65 SITEPROP SLICE_X20Y146 RPM_Y 292 SITEPROP SLICE_X20Y146 SITE_PIPS SITEPROP SLICE_X20Y146 SITE_TYPE SLICEL SITEPROP SLICE_X20Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y147 CLASS site SITEPROP SLICE_X20Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y147 IS_BONDED 0 SITEPROP SLICE_X20Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y147 IS_PAD 0 SITEPROP SLICE_X20Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y147 IS_RESERVED 0 SITEPROP SLICE_X20Y147 IS_TEST 0 SITEPROP SLICE_X20Y147 IS_USED 0 SITEPROP SLICE_X20Y147 MANUAL_ROUTING SITEPROP SLICE_X20Y147 NAME SLICE_X20Y147 SITEPROP SLICE_X20Y147 NUM_ARCS 138 SITEPROP SLICE_X20Y147 NUM_BELS 32 SITEPROP SLICE_X20Y147 NUM_INPUTS 32 SITEPROP SLICE_X20Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y147 NUM_PINS 45 SITEPROP SLICE_X20Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y147 PROHIBIT 0 SITEPROP SLICE_X20Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y147 RPM_X 65 SITEPROP SLICE_X20Y147 RPM_Y 294 SITEPROP SLICE_X20Y147 SITE_PIPS SITEPROP SLICE_X20Y147 SITE_TYPE SLICEL SITEPROP SLICE_X20Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y148 CLASS site SITEPROP SLICE_X20Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y148 IS_BONDED 0 SITEPROP SLICE_X20Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y148 IS_PAD 0 SITEPROP SLICE_X20Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y148 IS_RESERVED 0 SITEPROP SLICE_X20Y148 IS_TEST 0 SITEPROP SLICE_X20Y148 IS_USED 0 SITEPROP SLICE_X20Y148 MANUAL_ROUTING SITEPROP SLICE_X20Y148 NAME SLICE_X20Y148 SITEPROP SLICE_X20Y148 NUM_ARCS 138 SITEPROP SLICE_X20Y148 NUM_BELS 32 SITEPROP SLICE_X20Y148 NUM_INPUTS 32 SITEPROP SLICE_X20Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y148 NUM_PINS 45 SITEPROP SLICE_X20Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y148 PROHIBIT 0 SITEPROP SLICE_X20Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y148 RPM_X 65 SITEPROP SLICE_X20Y148 RPM_Y 296 SITEPROP SLICE_X20Y148 SITE_PIPS SITEPROP SLICE_X20Y148 SITE_TYPE SLICEL SITEPROP SLICE_X20Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X20Y149 CLASS site SITEPROP SLICE_X20Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X20Y149 IS_BONDED 0 SITEPROP SLICE_X20Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X20Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y149 IS_PAD 0 SITEPROP SLICE_X20Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X20Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X20Y149 IS_RESERVED 0 SITEPROP SLICE_X20Y149 IS_TEST 0 SITEPROP SLICE_X20Y149 IS_USED 0 SITEPROP SLICE_X20Y149 MANUAL_ROUTING SITEPROP SLICE_X20Y149 NAME SLICE_X20Y149 SITEPROP SLICE_X20Y149 NUM_ARCS 138 SITEPROP SLICE_X20Y149 NUM_BELS 32 SITEPROP SLICE_X20Y149 NUM_INPUTS 32 SITEPROP SLICE_X20Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X20Y149 NUM_PINS 45 SITEPROP SLICE_X20Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X20Y149 PROHIBIT 0 SITEPROP SLICE_X20Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X20Y149 RPM_X 65 SITEPROP SLICE_X20Y149 RPM_Y 298 SITEPROP SLICE_X20Y149 SITE_PIPS SITEPROP SLICE_X20Y149 SITE_TYPE SLICEL SITEPROP SLICE_X21Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y100 CLASS site SITEPROP SLICE_X21Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y100 IS_BONDED 0 SITEPROP SLICE_X21Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y100 IS_PAD 0 SITEPROP SLICE_X21Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y100 IS_RESERVED 0 SITEPROP SLICE_X21Y100 IS_TEST 0 SITEPROP SLICE_X21Y100 IS_USED 0 SITEPROP SLICE_X21Y100 MANUAL_ROUTING SITEPROP SLICE_X21Y100 NAME SLICE_X21Y100 SITEPROP SLICE_X21Y100 NUM_ARCS 138 SITEPROP SLICE_X21Y100 NUM_BELS 32 SITEPROP SLICE_X21Y100 NUM_INPUTS 32 SITEPROP SLICE_X21Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y100 NUM_PINS 45 SITEPROP SLICE_X21Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y100 PROHIBIT 0 SITEPROP SLICE_X21Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y100 RPM_X 67 SITEPROP SLICE_X21Y100 RPM_Y 200 SITEPROP SLICE_X21Y100 SITE_PIPS SITEPROP SLICE_X21Y100 SITE_TYPE SLICEL SITEPROP SLICE_X21Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y101 CLASS site SITEPROP SLICE_X21Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y101 IS_BONDED 0 SITEPROP SLICE_X21Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y101 IS_PAD 0 SITEPROP SLICE_X21Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y101 IS_RESERVED 0 SITEPROP SLICE_X21Y101 IS_TEST 0 SITEPROP SLICE_X21Y101 IS_USED 0 SITEPROP SLICE_X21Y101 MANUAL_ROUTING SITEPROP SLICE_X21Y101 NAME SLICE_X21Y101 SITEPROP SLICE_X21Y101 NUM_ARCS 138 SITEPROP SLICE_X21Y101 NUM_BELS 32 SITEPROP SLICE_X21Y101 NUM_INPUTS 32 SITEPROP SLICE_X21Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y101 NUM_PINS 45 SITEPROP SLICE_X21Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y101 PROHIBIT 0 SITEPROP SLICE_X21Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y101 RPM_X 67 SITEPROP SLICE_X21Y101 RPM_Y 202 SITEPROP SLICE_X21Y101 SITE_PIPS SITEPROP SLICE_X21Y101 SITE_TYPE SLICEL SITEPROP SLICE_X21Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y102 CLASS site SITEPROP SLICE_X21Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y102 IS_BONDED 0 SITEPROP SLICE_X21Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y102 IS_PAD 0 SITEPROP SLICE_X21Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y102 IS_RESERVED 0 SITEPROP SLICE_X21Y102 IS_TEST 0 SITEPROP SLICE_X21Y102 IS_USED 0 SITEPROP SLICE_X21Y102 MANUAL_ROUTING SITEPROP SLICE_X21Y102 NAME SLICE_X21Y102 SITEPROP SLICE_X21Y102 NUM_ARCS 138 SITEPROP SLICE_X21Y102 NUM_BELS 32 SITEPROP SLICE_X21Y102 NUM_INPUTS 32 SITEPROP SLICE_X21Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y102 NUM_PINS 45 SITEPROP SLICE_X21Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y102 PROHIBIT 0 SITEPROP SLICE_X21Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y102 RPM_X 67 SITEPROP SLICE_X21Y102 RPM_Y 204 SITEPROP SLICE_X21Y102 SITE_PIPS SITEPROP SLICE_X21Y102 SITE_TYPE SLICEL SITEPROP SLICE_X21Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y103 CLASS site SITEPROP SLICE_X21Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y103 IS_BONDED 0 SITEPROP SLICE_X21Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y103 IS_PAD 0 SITEPROP SLICE_X21Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y103 IS_RESERVED 0 SITEPROP SLICE_X21Y103 IS_TEST 0 SITEPROP SLICE_X21Y103 IS_USED 0 SITEPROP SLICE_X21Y103 MANUAL_ROUTING SITEPROP SLICE_X21Y103 NAME SLICE_X21Y103 SITEPROP SLICE_X21Y103 NUM_ARCS 138 SITEPROP SLICE_X21Y103 NUM_BELS 32 SITEPROP SLICE_X21Y103 NUM_INPUTS 32 SITEPROP SLICE_X21Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y103 NUM_PINS 45 SITEPROP SLICE_X21Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y103 PROHIBIT 0 SITEPROP SLICE_X21Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y103 RPM_X 67 SITEPROP SLICE_X21Y103 RPM_Y 206 SITEPROP SLICE_X21Y103 SITE_PIPS SITEPROP SLICE_X21Y103 SITE_TYPE SLICEL SITEPROP SLICE_X21Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y104 CLASS site SITEPROP SLICE_X21Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y104 IS_BONDED 0 SITEPROP SLICE_X21Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y104 IS_PAD 0 SITEPROP SLICE_X21Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y104 IS_RESERVED 0 SITEPROP SLICE_X21Y104 IS_TEST 0 SITEPROP SLICE_X21Y104 IS_USED 0 SITEPROP SLICE_X21Y104 MANUAL_ROUTING SITEPROP SLICE_X21Y104 NAME SLICE_X21Y104 SITEPROP SLICE_X21Y104 NUM_ARCS 138 SITEPROP SLICE_X21Y104 NUM_BELS 32 SITEPROP SLICE_X21Y104 NUM_INPUTS 32 SITEPROP SLICE_X21Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y104 NUM_PINS 45 SITEPROP SLICE_X21Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y104 PROHIBIT 0 SITEPROP SLICE_X21Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y104 RPM_X 67 SITEPROP SLICE_X21Y104 RPM_Y 208 SITEPROP SLICE_X21Y104 SITE_PIPS SITEPROP SLICE_X21Y104 SITE_TYPE SLICEL SITEPROP SLICE_X21Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y105 CLASS site SITEPROP SLICE_X21Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y105 IS_BONDED 0 SITEPROP SLICE_X21Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y105 IS_PAD 0 SITEPROP SLICE_X21Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y105 IS_RESERVED 0 SITEPROP SLICE_X21Y105 IS_TEST 0 SITEPROP SLICE_X21Y105 IS_USED 0 SITEPROP SLICE_X21Y105 MANUAL_ROUTING SITEPROP SLICE_X21Y105 NAME SLICE_X21Y105 SITEPROP SLICE_X21Y105 NUM_ARCS 138 SITEPROP SLICE_X21Y105 NUM_BELS 32 SITEPROP SLICE_X21Y105 NUM_INPUTS 32 SITEPROP SLICE_X21Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y105 NUM_PINS 45 SITEPROP SLICE_X21Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y105 PROHIBIT 0 SITEPROP SLICE_X21Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y105 RPM_X 67 SITEPROP SLICE_X21Y105 RPM_Y 210 SITEPROP SLICE_X21Y105 SITE_PIPS SITEPROP SLICE_X21Y105 SITE_TYPE SLICEL SITEPROP SLICE_X21Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y106 CLASS site SITEPROP SLICE_X21Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y106 IS_BONDED 0 SITEPROP SLICE_X21Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y106 IS_PAD 0 SITEPROP SLICE_X21Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y106 IS_RESERVED 0 SITEPROP SLICE_X21Y106 IS_TEST 0 SITEPROP SLICE_X21Y106 IS_USED 0 SITEPROP SLICE_X21Y106 MANUAL_ROUTING SITEPROP SLICE_X21Y106 NAME SLICE_X21Y106 SITEPROP SLICE_X21Y106 NUM_ARCS 138 SITEPROP SLICE_X21Y106 NUM_BELS 32 SITEPROP SLICE_X21Y106 NUM_INPUTS 32 SITEPROP SLICE_X21Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y106 NUM_PINS 45 SITEPROP SLICE_X21Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y106 PROHIBIT 0 SITEPROP SLICE_X21Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y106 RPM_X 67 SITEPROP SLICE_X21Y106 RPM_Y 212 SITEPROP SLICE_X21Y106 SITE_PIPS SITEPROP SLICE_X21Y106 SITE_TYPE SLICEL SITEPROP SLICE_X21Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y107 CLASS site SITEPROP SLICE_X21Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y107 IS_BONDED 0 SITEPROP SLICE_X21Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y107 IS_PAD 0 SITEPROP SLICE_X21Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y107 IS_RESERVED 0 SITEPROP SLICE_X21Y107 IS_TEST 0 SITEPROP SLICE_X21Y107 IS_USED 0 SITEPROP SLICE_X21Y107 MANUAL_ROUTING SITEPROP SLICE_X21Y107 NAME SLICE_X21Y107 SITEPROP SLICE_X21Y107 NUM_ARCS 138 SITEPROP SLICE_X21Y107 NUM_BELS 32 SITEPROP SLICE_X21Y107 NUM_INPUTS 32 SITEPROP SLICE_X21Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y107 NUM_PINS 45 SITEPROP SLICE_X21Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y107 PROHIBIT 0 SITEPROP SLICE_X21Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y107 RPM_X 67 SITEPROP SLICE_X21Y107 RPM_Y 214 SITEPROP SLICE_X21Y107 SITE_PIPS SITEPROP SLICE_X21Y107 SITE_TYPE SLICEL SITEPROP SLICE_X21Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y108 CLASS site SITEPROP SLICE_X21Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y108 IS_BONDED 0 SITEPROP SLICE_X21Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y108 IS_PAD 0 SITEPROP SLICE_X21Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y108 IS_RESERVED 0 SITEPROP SLICE_X21Y108 IS_TEST 0 SITEPROP SLICE_X21Y108 IS_USED 0 SITEPROP SLICE_X21Y108 MANUAL_ROUTING SITEPROP SLICE_X21Y108 NAME SLICE_X21Y108 SITEPROP SLICE_X21Y108 NUM_ARCS 138 SITEPROP SLICE_X21Y108 NUM_BELS 32 SITEPROP SLICE_X21Y108 NUM_INPUTS 32 SITEPROP SLICE_X21Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y108 NUM_PINS 45 SITEPROP SLICE_X21Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y108 PROHIBIT 0 SITEPROP SLICE_X21Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y108 RPM_X 67 SITEPROP SLICE_X21Y108 RPM_Y 216 SITEPROP SLICE_X21Y108 SITE_PIPS SITEPROP SLICE_X21Y108 SITE_TYPE SLICEL SITEPROP SLICE_X21Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y109 CLASS site SITEPROP SLICE_X21Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y109 IS_BONDED 0 SITEPROP SLICE_X21Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y109 IS_PAD 0 SITEPROP SLICE_X21Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y109 IS_RESERVED 0 SITEPROP SLICE_X21Y109 IS_TEST 0 SITEPROP SLICE_X21Y109 IS_USED 0 SITEPROP SLICE_X21Y109 MANUAL_ROUTING SITEPROP SLICE_X21Y109 NAME SLICE_X21Y109 SITEPROP SLICE_X21Y109 NUM_ARCS 138 SITEPROP SLICE_X21Y109 NUM_BELS 32 SITEPROP SLICE_X21Y109 NUM_INPUTS 32 SITEPROP SLICE_X21Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y109 NUM_PINS 45 SITEPROP SLICE_X21Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y109 PROHIBIT 0 SITEPROP SLICE_X21Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y109 RPM_X 67 SITEPROP SLICE_X21Y109 RPM_Y 218 SITEPROP SLICE_X21Y109 SITE_PIPS SITEPROP SLICE_X21Y109 SITE_TYPE SLICEL SITEPROP SLICE_X21Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y110 CLASS site SITEPROP SLICE_X21Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y110 IS_BONDED 0 SITEPROP SLICE_X21Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y110 IS_PAD 0 SITEPROP SLICE_X21Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y110 IS_RESERVED 0 SITEPROP SLICE_X21Y110 IS_TEST 0 SITEPROP SLICE_X21Y110 IS_USED 0 SITEPROP SLICE_X21Y110 MANUAL_ROUTING SITEPROP SLICE_X21Y110 NAME SLICE_X21Y110 SITEPROP SLICE_X21Y110 NUM_ARCS 138 SITEPROP SLICE_X21Y110 NUM_BELS 32 SITEPROP SLICE_X21Y110 NUM_INPUTS 32 SITEPROP SLICE_X21Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y110 NUM_PINS 45 SITEPROP SLICE_X21Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y110 PROHIBIT 0 SITEPROP SLICE_X21Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y110 RPM_X 67 SITEPROP SLICE_X21Y110 RPM_Y 220 SITEPROP SLICE_X21Y110 SITE_PIPS SITEPROP SLICE_X21Y110 SITE_TYPE SLICEL SITEPROP SLICE_X21Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y111 CLASS site SITEPROP SLICE_X21Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y111 IS_BONDED 0 SITEPROP SLICE_X21Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y111 IS_PAD 0 SITEPROP SLICE_X21Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y111 IS_RESERVED 0 SITEPROP SLICE_X21Y111 IS_TEST 0 SITEPROP SLICE_X21Y111 IS_USED 0 SITEPROP SLICE_X21Y111 MANUAL_ROUTING SITEPROP SLICE_X21Y111 NAME SLICE_X21Y111 SITEPROP SLICE_X21Y111 NUM_ARCS 138 SITEPROP SLICE_X21Y111 NUM_BELS 32 SITEPROP SLICE_X21Y111 NUM_INPUTS 32 SITEPROP SLICE_X21Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y111 NUM_PINS 45 SITEPROP SLICE_X21Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y111 PROHIBIT 0 SITEPROP SLICE_X21Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y111 RPM_X 67 SITEPROP SLICE_X21Y111 RPM_Y 222 SITEPROP SLICE_X21Y111 SITE_PIPS SITEPROP SLICE_X21Y111 SITE_TYPE SLICEL SITEPROP SLICE_X21Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y112 CLASS site SITEPROP SLICE_X21Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y112 IS_BONDED 0 SITEPROP SLICE_X21Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y112 IS_PAD 0 SITEPROP SLICE_X21Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y112 IS_RESERVED 0 SITEPROP SLICE_X21Y112 IS_TEST 0 SITEPROP SLICE_X21Y112 IS_USED 0 SITEPROP SLICE_X21Y112 MANUAL_ROUTING SITEPROP SLICE_X21Y112 NAME SLICE_X21Y112 SITEPROP SLICE_X21Y112 NUM_ARCS 138 SITEPROP SLICE_X21Y112 NUM_BELS 32 SITEPROP SLICE_X21Y112 NUM_INPUTS 32 SITEPROP SLICE_X21Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y112 NUM_PINS 45 SITEPROP SLICE_X21Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y112 PROHIBIT 0 SITEPROP SLICE_X21Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y112 RPM_X 67 SITEPROP SLICE_X21Y112 RPM_Y 224 SITEPROP SLICE_X21Y112 SITE_PIPS SITEPROP SLICE_X21Y112 SITE_TYPE SLICEL SITEPROP SLICE_X21Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y113 CLASS site SITEPROP SLICE_X21Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y113 IS_BONDED 0 SITEPROP SLICE_X21Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y113 IS_PAD 0 SITEPROP SLICE_X21Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y113 IS_RESERVED 0 SITEPROP SLICE_X21Y113 IS_TEST 0 SITEPROP SLICE_X21Y113 IS_USED 0 SITEPROP SLICE_X21Y113 MANUAL_ROUTING SITEPROP SLICE_X21Y113 NAME SLICE_X21Y113 SITEPROP SLICE_X21Y113 NUM_ARCS 138 SITEPROP SLICE_X21Y113 NUM_BELS 32 SITEPROP SLICE_X21Y113 NUM_INPUTS 32 SITEPROP SLICE_X21Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y113 NUM_PINS 45 SITEPROP SLICE_X21Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y113 PROHIBIT 0 SITEPROP SLICE_X21Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y113 RPM_X 67 SITEPROP SLICE_X21Y113 RPM_Y 226 SITEPROP SLICE_X21Y113 SITE_PIPS SITEPROP SLICE_X21Y113 SITE_TYPE SLICEL SITEPROP SLICE_X21Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y114 CLASS site SITEPROP SLICE_X21Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y114 IS_BONDED 0 SITEPROP SLICE_X21Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y114 IS_PAD 0 SITEPROP SLICE_X21Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y114 IS_RESERVED 0 SITEPROP SLICE_X21Y114 IS_TEST 0 SITEPROP SLICE_X21Y114 IS_USED 0 SITEPROP SLICE_X21Y114 MANUAL_ROUTING SITEPROP SLICE_X21Y114 NAME SLICE_X21Y114 SITEPROP SLICE_X21Y114 NUM_ARCS 138 SITEPROP SLICE_X21Y114 NUM_BELS 32 SITEPROP SLICE_X21Y114 NUM_INPUTS 32 SITEPROP SLICE_X21Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y114 NUM_PINS 45 SITEPROP SLICE_X21Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y114 PROHIBIT 0 SITEPROP SLICE_X21Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y114 RPM_X 67 SITEPROP SLICE_X21Y114 RPM_Y 228 SITEPROP SLICE_X21Y114 SITE_PIPS SITEPROP SLICE_X21Y114 SITE_TYPE SLICEL SITEPROP SLICE_X21Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y115 CLASS site SITEPROP SLICE_X21Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y115 IS_BONDED 0 SITEPROP SLICE_X21Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y115 IS_PAD 0 SITEPROP SLICE_X21Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y115 IS_RESERVED 0 SITEPROP SLICE_X21Y115 IS_TEST 0 SITEPROP SLICE_X21Y115 IS_USED 0 SITEPROP SLICE_X21Y115 MANUAL_ROUTING SITEPROP SLICE_X21Y115 NAME SLICE_X21Y115 SITEPROP SLICE_X21Y115 NUM_ARCS 138 SITEPROP SLICE_X21Y115 NUM_BELS 32 SITEPROP SLICE_X21Y115 NUM_INPUTS 32 SITEPROP SLICE_X21Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y115 NUM_PINS 45 SITEPROP SLICE_X21Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y115 PROHIBIT 0 SITEPROP SLICE_X21Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y115 RPM_X 67 SITEPROP SLICE_X21Y115 RPM_Y 230 SITEPROP SLICE_X21Y115 SITE_PIPS SITEPROP SLICE_X21Y115 SITE_TYPE SLICEL SITEPROP SLICE_X21Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y116 CLASS site SITEPROP SLICE_X21Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y116 IS_BONDED 0 SITEPROP SLICE_X21Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y116 IS_PAD 0 SITEPROP SLICE_X21Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y116 IS_RESERVED 0 SITEPROP SLICE_X21Y116 IS_TEST 0 SITEPROP SLICE_X21Y116 IS_USED 0 SITEPROP SLICE_X21Y116 MANUAL_ROUTING SITEPROP SLICE_X21Y116 NAME SLICE_X21Y116 SITEPROP SLICE_X21Y116 NUM_ARCS 138 SITEPROP SLICE_X21Y116 NUM_BELS 32 SITEPROP SLICE_X21Y116 NUM_INPUTS 32 SITEPROP SLICE_X21Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y116 NUM_PINS 45 SITEPROP SLICE_X21Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y116 PROHIBIT 0 SITEPROP SLICE_X21Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y116 RPM_X 67 SITEPROP SLICE_X21Y116 RPM_Y 232 SITEPROP SLICE_X21Y116 SITE_PIPS SITEPROP SLICE_X21Y116 SITE_TYPE SLICEL SITEPROP SLICE_X21Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y117 CLASS site SITEPROP SLICE_X21Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y117 IS_BONDED 0 SITEPROP SLICE_X21Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y117 IS_PAD 0 SITEPROP SLICE_X21Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y117 IS_RESERVED 0 SITEPROP SLICE_X21Y117 IS_TEST 0 SITEPROP SLICE_X21Y117 IS_USED 0 SITEPROP SLICE_X21Y117 MANUAL_ROUTING SITEPROP SLICE_X21Y117 NAME SLICE_X21Y117 SITEPROP SLICE_X21Y117 NUM_ARCS 138 SITEPROP SLICE_X21Y117 NUM_BELS 32 SITEPROP SLICE_X21Y117 NUM_INPUTS 32 SITEPROP SLICE_X21Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y117 NUM_PINS 45 SITEPROP SLICE_X21Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y117 PROHIBIT 0 SITEPROP SLICE_X21Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y117 RPM_X 67 SITEPROP SLICE_X21Y117 RPM_Y 234 SITEPROP SLICE_X21Y117 SITE_PIPS SITEPROP SLICE_X21Y117 SITE_TYPE SLICEL SITEPROP SLICE_X21Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y118 CLASS site SITEPROP SLICE_X21Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y118 IS_BONDED 0 SITEPROP SLICE_X21Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y118 IS_PAD 0 SITEPROP SLICE_X21Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y118 IS_RESERVED 0 SITEPROP SLICE_X21Y118 IS_TEST 0 SITEPROP SLICE_X21Y118 IS_USED 0 SITEPROP SLICE_X21Y118 MANUAL_ROUTING SITEPROP SLICE_X21Y118 NAME SLICE_X21Y118 SITEPROP SLICE_X21Y118 NUM_ARCS 138 SITEPROP SLICE_X21Y118 NUM_BELS 32 SITEPROP SLICE_X21Y118 NUM_INPUTS 32 SITEPROP SLICE_X21Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y118 NUM_PINS 45 SITEPROP SLICE_X21Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y118 PROHIBIT 0 SITEPROP SLICE_X21Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y118 RPM_X 67 SITEPROP SLICE_X21Y118 RPM_Y 236 SITEPROP SLICE_X21Y118 SITE_PIPS SITEPROP SLICE_X21Y118 SITE_TYPE SLICEL SITEPROP SLICE_X21Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y119 CLASS site SITEPROP SLICE_X21Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y119 IS_BONDED 0 SITEPROP SLICE_X21Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y119 IS_PAD 0 SITEPROP SLICE_X21Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y119 IS_RESERVED 0 SITEPROP SLICE_X21Y119 IS_TEST 0 SITEPROP SLICE_X21Y119 IS_USED 0 SITEPROP SLICE_X21Y119 MANUAL_ROUTING SITEPROP SLICE_X21Y119 NAME SLICE_X21Y119 SITEPROP SLICE_X21Y119 NUM_ARCS 138 SITEPROP SLICE_X21Y119 NUM_BELS 32 SITEPROP SLICE_X21Y119 NUM_INPUTS 32 SITEPROP SLICE_X21Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y119 NUM_PINS 45 SITEPROP SLICE_X21Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y119 PROHIBIT 0 SITEPROP SLICE_X21Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y119 RPM_X 67 SITEPROP SLICE_X21Y119 RPM_Y 238 SITEPROP SLICE_X21Y119 SITE_PIPS SITEPROP SLICE_X21Y119 SITE_TYPE SLICEL SITEPROP SLICE_X21Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y120 CLASS site SITEPROP SLICE_X21Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y120 IS_BONDED 0 SITEPROP SLICE_X21Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y120 IS_PAD 0 SITEPROP SLICE_X21Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y120 IS_RESERVED 0 SITEPROP SLICE_X21Y120 IS_TEST 0 SITEPROP SLICE_X21Y120 IS_USED 0 SITEPROP SLICE_X21Y120 MANUAL_ROUTING SITEPROP SLICE_X21Y120 NAME SLICE_X21Y120 SITEPROP SLICE_X21Y120 NUM_ARCS 138 SITEPROP SLICE_X21Y120 NUM_BELS 32 SITEPROP SLICE_X21Y120 NUM_INPUTS 32 SITEPROP SLICE_X21Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y120 NUM_PINS 45 SITEPROP SLICE_X21Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y120 PROHIBIT 0 SITEPROP SLICE_X21Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y120 RPM_X 67 SITEPROP SLICE_X21Y120 RPM_Y 240 SITEPROP SLICE_X21Y120 SITE_PIPS SITEPROP SLICE_X21Y120 SITE_TYPE SLICEL SITEPROP SLICE_X21Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y121 CLASS site SITEPROP SLICE_X21Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y121 IS_BONDED 0 SITEPROP SLICE_X21Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y121 IS_PAD 0 SITEPROP SLICE_X21Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y121 IS_RESERVED 0 SITEPROP SLICE_X21Y121 IS_TEST 0 SITEPROP SLICE_X21Y121 IS_USED 0 SITEPROP SLICE_X21Y121 MANUAL_ROUTING SITEPROP SLICE_X21Y121 NAME SLICE_X21Y121 SITEPROP SLICE_X21Y121 NUM_ARCS 138 SITEPROP SLICE_X21Y121 NUM_BELS 32 SITEPROP SLICE_X21Y121 NUM_INPUTS 32 SITEPROP SLICE_X21Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y121 NUM_PINS 45 SITEPROP SLICE_X21Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y121 PROHIBIT 0 SITEPROP SLICE_X21Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y121 RPM_X 67 SITEPROP SLICE_X21Y121 RPM_Y 242 SITEPROP SLICE_X21Y121 SITE_PIPS SITEPROP SLICE_X21Y121 SITE_TYPE SLICEL SITEPROP SLICE_X21Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y122 CLASS site SITEPROP SLICE_X21Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y122 IS_BONDED 0 SITEPROP SLICE_X21Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y122 IS_PAD 0 SITEPROP SLICE_X21Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y122 IS_RESERVED 0 SITEPROP SLICE_X21Y122 IS_TEST 0 SITEPROP SLICE_X21Y122 IS_USED 0 SITEPROP SLICE_X21Y122 MANUAL_ROUTING SITEPROP SLICE_X21Y122 NAME SLICE_X21Y122 SITEPROP SLICE_X21Y122 NUM_ARCS 138 SITEPROP SLICE_X21Y122 NUM_BELS 32 SITEPROP SLICE_X21Y122 NUM_INPUTS 32 SITEPROP SLICE_X21Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y122 NUM_PINS 45 SITEPROP SLICE_X21Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y122 PROHIBIT 0 SITEPROP SLICE_X21Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y122 RPM_X 67 SITEPROP SLICE_X21Y122 RPM_Y 244 SITEPROP SLICE_X21Y122 SITE_PIPS SITEPROP SLICE_X21Y122 SITE_TYPE SLICEL SITEPROP SLICE_X21Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y123 CLASS site SITEPROP SLICE_X21Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y123 IS_BONDED 0 SITEPROP SLICE_X21Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y123 IS_PAD 0 SITEPROP SLICE_X21Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y123 IS_RESERVED 0 SITEPROP SLICE_X21Y123 IS_TEST 0 SITEPROP SLICE_X21Y123 IS_USED 0 SITEPROP SLICE_X21Y123 MANUAL_ROUTING SITEPROP SLICE_X21Y123 NAME SLICE_X21Y123 SITEPROP SLICE_X21Y123 NUM_ARCS 138 SITEPROP SLICE_X21Y123 NUM_BELS 32 SITEPROP SLICE_X21Y123 NUM_INPUTS 32 SITEPROP SLICE_X21Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y123 NUM_PINS 45 SITEPROP SLICE_X21Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y123 PROHIBIT 0 SITEPROP SLICE_X21Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y123 RPM_X 67 SITEPROP SLICE_X21Y123 RPM_Y 246 SITEPROP SLICE_X21Y123 SITE_PIPS SITEPROP SLICE_X21Y123 SITE_TYPE SLICEL SITEPROP SLICE_X21Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y124 CLASS site SITEPROP SLICE_X21Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y124 IS_BONDED 0 SITEPROP SLICE_X21Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y124 IS_PAD 0 SITEPROP SLICE_X21Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y124 IS_RESERVED 0 SITEPROP SLICE_X21Y124 IS_TEST 0 SITEPROP SLICE_X21Y124 IS_USED 0 SITEPROP SLICE_X21Y124 MANUAL_ROUTING SITEPROP SLICE_X21Y124 NAME SLICE_X21Y124 SITEPROP SLICE_X21Y124 NUM_ARCS 138 SITEPROP SLICE_X21Y124 NUM_BELS 32 SITEPROP SLICE_X21Y124 NUM_INPUTS 32 SITEPROP SLICE_X21Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y124 NUM_PINS 45 SITEPROP SLICE_X21Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y124 PROHIBIT 0 SITEPROP SLICE_X21Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y124 RPM_X 67 SITEPROP SLICE_X21Y124 RPM_Y 248 SITEPROP SLICE_X21Y124 SITE_PIPS SITEPROP SLICE_X21Y124 SITE_TYPE SLICEL SITEPROP SLICE_X21Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y125 CLASS site SITEPROP SLICE_X21Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y125 IS_BONDED 0 SITEPROP SLICE_X21Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y125 IS_PAD 0 SITEPROP SLICE_X21Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y125 IS_RESERVED 0 SITEPROP SLICE_X21Y125 IS_TEST 0 SITEPROP SLICE_X21Y125 IS_USED 0 SITEPROP SLICE_X21Y125 MANUAL_ROUTING SITEPROP SLICE_X21Y125 NAME SLICE_X21Y125 SITEPROP SLICE_X21Y125 NUM_ARCS 138 SITEPROP SLICE_X21Y125 NUM_BELS 32 SITEPROP SLICE_X21Y125 NUM_INPUTS 32 SITEPROP SLICE_X21Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y125 NUM_PINS 45 SITEPROP SLICE_X21Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y125 PROHIBIT 0 SITEPROP SLICE_X21Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y125 RPM_X 67 SITEPROP SLICE_X21Y125 RPM_Y 250 SITEPROP SLICE_X21Y125 SITE_PIPS SITEPROP SLICE_X21Y125 SITE_TYPE SLICEL SITEPROP SLICE_X21Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y126 CLASS site SITEPROP SLICE_X21Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y126 IS_BONDED 0 SITEPROP SLICE_X21Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y126 IS_PAD 0 SITEPROP SLICE_X21Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y126 IS_RESERVED 0 SITEPROP SLICE_X21Y126 IS_TEST 0 SITEPROP SLICE_X21Y126 IS_USED 0 SITEPROP SLICE_X21Y126 MANUAL_ROUTING SITEPROP SLICE_X21Y126 NAME SLICE_X21Y126 SITEPROP SLICE_X21Y126 NUM_ARCS 138 SITEPROP SLICE_X21Y126 NUM_BELS 32 SITEPROP SLICE_X21Y126 NUM_INPUTS 32 SITEPROP SLICE_X21Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y126 NUM_PINS 45 SITEPROP SLICE_X21Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y126 PROHIBIT 0 SITEPROP SLICE_X21Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y126 RPM_X 67 SITEPROP SLICE_X21Y126 RPM_Y 252 SITEPROP SLICE_X21Y126 SITE_PIPS SITEPROP SLICE_X21Y126 SITE_TYPE SLICEL SITEPROP SLICE_X21Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y127 CLASS site SITEPROP SLICE_X21Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y127 IS_BONDED 0 SITEPROP SLICE_X21Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y127 IS_PAD 0 SITEPROP SLICE_X21Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y127 IS_RESERVED 0 SITEPROP SLICE_X21Y127 IS_TEST 0 SITEPROP SLICE_X21Y127 IS_USED 0 SITEPROP SLICE_X21Y127 MANUAL_ROUTING SITEPROP SLICE_X21Y127 NAME SLICE_X21Y127 SITEPROP SLICE_X21Y127 NUM_ARCS 138 SITEPROP SLICE_X21Y127 NUM_BELS 32 SITEPROP SLICE_X21Y127 NUM_INPUTS 32 SITEPROP SLICE_X21Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y127 NUM_PINS 45 SITEPROP SLICE_X21Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y127 PROHIBIT 0 SITEPROP SLICE_X21Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y127 RPM_X 67 SITEPROP SLICE_X21Y127 RPM_Y 254 SITEPROP SLICE_X21Y127 SITE_PIPS SITEPROP SLICE_X21Y127 SITE_TYPE SLICEL SITEPROP SLICE_X21Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y128 CLASS site SITEPROP SLICE_X21Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y128 IS_BONDED 0 SITEPROP SLICE_X21Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y128 IS_PAD 0 SITEPROP SLICE_X21Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y128 IS_RESERVED 0 SITEPROP SLICE_X21Y128 IS_TEST 0 SITEPROP SLICE_X21Y128 IS_USED 0 SITEPROP SLICE_X21Y128 MANUAL_ROUTING SITEPROP SLICE_X21Y128 NAME SLICE_X21Y128 SITEPROP SLICE_X21Y128 NUM_ARCS 138 SITEPROP SLICE_X21Y128 NUM_BELS 32 SITEPROP SLICE_X21Y128 NUM_INPUTS 32 SITEPROP SLICE_X21Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y128 NUM_PINS 45 SITEPROP SLICE_X21Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y128 PROHIBIT 0 SITEPROP SLICE_X21Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y128 RPM_X 67 SITEPROP SLICE_X21Y128 RPM_Y 256 SITEPROP SLICE_X21Y128 SITE_PIPS SITEPROP SLICE_X21Y128 SITE_TYPE SLICEL SITEPROP SLICE_X21Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y129 CLASS site SITEPROP SLICE_X21Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y129 IS_BONDED 0 SITEPROP SLICE_X21Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y129 IS_PAD 0 SITEPROP SLICE_X21Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y129 IS_RESERVED 0 SITEPROP SLICE_X21Y129 IS_TEST 0 SITEPROP SLICE_X21Y129 IS_USED 0 SITEPROP SLICE_X21Y129 MANUAL_ROUTING SITEPROP SLICE_X21Y129 NAME SLICE_X21Y129 SITEPROP SLICE_X21Y129 NUM_ARCS 138 SITEPROP SLICE_X21Y129 NUM_BELS 32 SITEPROP SLICE_X21Y129 NUM_INPUTS 32 SITEPROP SLICE_X21Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y129 NUM_PINS 45 SITEPROP SLICE_X21Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y129 PROHIBIT 0 SITEPROP SLICE_X21Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y129 RPM_X 67 SITEPROP SLICE_X21Y129 RPM_Y 258 SITEPROP SLICE_X21Y129 SITE_PIPS SITEPROP SLICE_X21Y129 SITE_TYPE SLICEL SITEPROP SLICE_X21Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y130 CLASS site SITEPROP SLICE_X21Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y130 IS_BONDED 0 SITEPROP SLICE_X21Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y130 IS_PAD 0 SITEPROP SLICE_X21Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y130 IS_RESERVED 0 SITEPROP SLICE_X21Y130 IS_TEST 0 SITEPROP SLICE_X21Y130 IS_USED 0 SITEPROP SLICE_X21Y130 MANUAL_ROUTING SITEPROP SLICE_X21Y130 NAME SLICE_X21Y130 SITEPROP SLICE_X21Y130 NUM_ARCS 138 SITEPROP SLICE_X21Y130 NUM_BELS 32 SITEPROP SLICE_X21Y130 NUM_INPUTS 32 SITEPROP SLICE_X21Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y130 NUM_PINS 45 SITEPROP SLICE_X21Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y130 PROHIBIT 0 SITEPROP SLICE_X21Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y130 RPM_X 67 SITEPROP SLICE_X21Y130 RPM_Y 260 SITEPROP SLICE_X21Y130 SITE_PIPS SITEPROP SLICE_X21Y130 SITE_TYPE SLICEL SITEPROP SLICE_X21Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y131 CLASS site SITEPROP SLICE_X21Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y131 IS_BONDED 0 SITEPROP SLICE_X21Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y131 IS_PAD 0 SITEPROP SLICE_X21Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y131 IS_RESERVED 0 SITEPROP SLICE_X21Y131 IS_TEST 0 SITEPROP SLICE_X21Y131 IS_USED 0 SITEPROP SLICE_X21Y131 MANUAL_ROUTING SITEPROP SLICE_X21Y131 NAME SLICE_X21Y131 SITEPROP SLICE_X21Y131 NUM_ARCS 138 SITEPROP SLICE_X21Y131 NUM_BELS 32 SITEPROP SLICE_X21Y131 NUM_INPUTS 32 SITEPROP SLICE_X21Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y131 NUM_PINS 45 SITEPROP SLICE_X21Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y131 PROHIBIT 0 SITEPROP SLICE_X21Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y131 RPM_X 67 SITEPROP SLICE_X21Y131 RPM_Y 262 SITEPROP SLICE_X21Y131 SITE_PIPS SITEPROP SLICE_X21Y131 SITE_TYPE SLICEL SITEPROP SLICE_X21Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y132 CLASS site SITEPROP SLICE_X21Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y132 IS_BONDED 0 SITEPROP SLICE_X21Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y132 IS_PAD 0 SITEPROP SLICE_X21Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y132 IS_RESERVED 0 SITEPROP SLICE_X21Y132 IS_TEST 0 SITEPROP SLICE_X21Y132 IS_USED 0 SITEPROP SLICE_X21Y132 MANUAL_ROUTING SITEPROP SLICE_X21Y132 NAME SLICE_X21Y132 SITEPROP SLICE_X21Y132 NUM_ARCS 138 SITEPROP SLICE_X21Y132 NUM_BELS 32 SITEPROP SLICE_X21Y132 NUM_INPUTS 32 SITEPROP SLICE_X21Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y132 NUM_PINS 45 SITEPROP SLICE_X21Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y132 PROHIBIT 0 SITEPROP SLICE_X21Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y132 RPM_X 67 SITEPROP SLICE_X21Y132 RPM_Y 264 SITEPROP SLICE_X21Y132 SITE_PIPS SITEPROP SLICE_X21Y132 SITE_TYPE SLICEL SITEPROP SLICE_X21Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y133 CLASS site SITEPROP SLICE_X21Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y133 IS_BONDED 0 SITEPROP SLICE_X21Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y133 IS_PAD 0 SITEPROP SLICE_X21Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y133 IS_RESERVED 0 SITEPROP SLICE_X21Y133 IS_TEST 0 SITEPROP SLICE_X21Y133 IS_USED 0 SITEPROP SLICE_X21Y133 MANUAL_ROUTING SITEPROP SLICE_X21Y133 NAME SLICE_X21Y133 SITEPROP SLICE_X21Y133 NUM_ARCS 138 SITEPROP SLICE_X21Y133 NUM_BELS 32 SITEPROP SLICE_X21Y133 NUM_INPUTS 32 SITEPROP SLICE_X21Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y133 NUM_PINS 45 SITEPROP SLICE_X21Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y133 PROHIBIT 0 SITEPROP SLICE_X21Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y133 RPM_X 67 SITEPROP SLICE_X21Y133 RPM_Y 266 SITEPROP SLICE_X21Y133 SITE_PIPS SITEPROP SLICE_X21Y133 SITE_TYPE SLICEL SITEPROP SLICE_X21Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y134 CLASS site SITEPROP SLICE_X21Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y134 IS_BONDED 0 SITEPROP SLICE_X21Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y134 IS_PAD 0 SITEPROP SLICE_X21Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y134 IS_RESERVED 0 SITEPROP SLICE_X21Y134 IS_TEST 0 SITEPROP SLICE_X21Y134 IS_USED 0 SITEPROP SLICE_X21Y134 MANUAL_ROUTING SITEPROP SLICE_X21Y134 NAME SLICE_X21Y134 SITEPROP SLICE_X21Y134 NUM_ARCS 138 SITEPROP SLICE_X21Y134 NUM_BELS 32 SITEPROP SLICE_X21Y134 NUM_INPUTS 32 SITEPROP SLICE_X21Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y134 NUM_PINS 45 SITEPROP SLICE_X21Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y134 PROHIBIT 0 SITEPROP SLICE_X21Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y134 RPM_X 67 SITEPROP SLICE_X21Y134 RPM_Y 268 SITEPROP SLICE_X21Y134 SITE_PIPS SITEPROP SLICE_X21Y134 SITE_TYPE SLICEL SITEPROP SLICE_X21Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y135 CLASS site SITEPROP SLICE_X21Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y135 IS_BONDED 0 SITEPROP SLICE_X21Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y135 IS_PAD 0 SITEPROP SLICE_X21Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y135 IS_RESERVED 0 SITEPROP SLICE_X21Y135 IS_TEST 0 SITEPROP SLICE_X21Y135 IS_USED 0 SITEPROP SLICE_X21Y135 MANUAL_ROUTING SITEPROP SLICE_X21Y135 NAME SLICE_X21Y135 SITEPROP SLICE_X21Y135 NUM_ARCS 138 SITEPROP SLICE_X21Y135 NUM_BELS 32 SITEPROP SLICE_X21Y135 NUM_INPUTS 32 SITEPROP SLICE_X21Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y135 NUM_PINS 45 SITEPROP SLICE_X21Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y135 PROHIBIT 0 SITEPROP SLICE_X21Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y135 RPM_X 67 SITEPROP SLICE_X21Y135 RPM_Y 270 SITEPROP SLICE_X21Y135 SITE_PIPS SITEPROP SLICE_X21Y135 SITE_TYPE SLICEL SITEPROP SLICE_X21Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y136 CLASS site SITEPROP SLICE_X21Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y136 IS_BONDED 0 SITEPROP SLICE_X21Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y136 IS_PAD 0 SITEPROP SLICE_X21Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y136 IS_RESERVED 0 SITEPROP SLICE_X21Y136 IS_TEST 0 SITEPROP SLICE_X21Y136 IS_USED 0 SITEPROP SLICE_X21Y136 MANUAL_ROUTING SITEPROP SLICE_X21Y136 NAME SLICE_X21Y136 SITEPROP SLICE_X21Y136 NUM_ARCS 138 SITEPROP SLICE_X21Y136 NUM_BELS 32 SITEPROP SLICE_X21Y136 NUM_INPUTS 32 SITEPROP SLICE_X21Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y136 NUM_PINS 45 SITEPROP SLICE_X21Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y136 PROHIBIT 0 SITEPROP SLICE_X21Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y136 RPM_X 67 SITEPROP SLICE_X21Y136 RPM_Y 272 SITEPROP SLICE_X21Y136 SITE_PIPS SITEPROP SLICE_X21Y136 SITE_TYPE SLICEL SITEPROP SLICE_X21Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y137 CLASS site SITEPROP SLICE_X21Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y137 IS_BONDED 0 SITEPROP SLICE_X21Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y137 IS_PAD 0 SITEPROP SLICE_X21Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y137 IS_RESERVED 0 SITEPROP SLICE_X21Y137 IS_TEST 0 SITEPROP SLICE_X21Y137 IS_USED 0 SITEPROP SLICE_X21Y137 MANUAL_ROUTING SITEPROP SLICE_X21Y137 NAME SLICE_X21Y137 SITEPROP SLICE_X21Y137 NUM_ARCS 138 SITEPROP SLICE_X21Y137 NUM_BELS 32 SITEPROP SLICE_X21Y137 NUM_INPUTS 32 SITEPROP SLICE_X21Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y137 NUM_PINS 45 SITEPROP SLICE_X21Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y137 PROHIBIT 0 SITEPROP SLICE_X21Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y137 RPM_X 67 SITEPROP SLICE_X21Y137 RPM_Y 274 SITEPROP SLICE_X21Y137 SITE_PIPS SITEPROP SLICE_X21Y137 SITE_TYPE SLICEL SITEPROP SLICE_X21Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y138 CLASS site SITEPROP SLICE_X21Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y138 IS_BONDED 0 SITEPROP SLICE_X21Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y138 IS_PAD 0 SITEPROP SLICE_X21Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y138 IS_RESERVED 0 SITEPROP SLICE_X21Y138 IS_TEST 0 SITEPROP SLICE_X21Y138 IS_USED 0 SITEPROP SLICE_X21Y138 MANUAL_ROUTING SITEPROP SLICE_X21Y138 NAME SLICE_X21Y138 SITEPROP SLICE_X21Y138 NUM_ARCS 138 SITEPROP SLICE_X21Y138 NUM_BELS 32 SITEPROP SLICE_X21Y138 NUM_INPUTS 32 SITEPROP SLICE_X21Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y138 NUM_PINS 45 SITEPROP SLICE_X21Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y138 PROHIBIT 0 SITEPROP SLICE_X21Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y138 RPM_X 67 SITEPROP SLICE_X21Y138 RPM_Y 276 SITEPROP SLICE_X21Y138 SITE_PIPS SITEPROP SLICE_X21Y138 SITE_TYPE SLICEL SITEPROP SLICE_X21Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y139 CLASS site SITEPROP SLICE_X21Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y139 IS_BONDED 0 SITEPROP SLICE_X21Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y139 IS_PAD 0 SITEPROP SLICE_X21Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y139 IS_RESERVED 0 SITEPROP SLICE_X21Y139 IS_TEST 0 SITEPROP SLICE_X21Y139 IS_USED 0 SITEPROP SLICE_X21Y139 MANUAL_ROUTING SITEPROP SLICE_X21Y139 NAME SLICE_X21Y139 SITEPROP SLICE_X21Y139 NUM_ARCS 138 SITEPROP SLICE_X21Y139 NUM_BELS 32 SITEPROP SLICE_X21Y139 NUM_INPUTS 32 SITEPROP SLICE_X21Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y139 NUM_PINS 45 SITEPROP SLICE_X21Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y139 PROHIBIT 0 SITEPROP SLICE_X21Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y139 RPM_X 67 SITEPROP SLICE_X21Y139 RPM_Y 278 SITEPROP SLICE_X21Y139 SITE_PIPS SITEPROP SLICE_X21Y139 SITE_TYPE SLICEL SITEPROP SLICE_X21Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y140 CLASS site SITEPROP SLICE_X21Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y140 IS_BONDED 0 SITEPROP SLICE_X21Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y140 IS_PAD 0 SITEPROP SLICE_X21Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y140 IS_RESERVED 0 SITEPROP SLICE_X21Y140 IS_TEST 0 SITEPROP SLICE_X21Y140 IS_USED 0 SITEPROP SLICE_X21Y140 MANUAL_ROUTING SITEPROP SLICE_X21Y140 NAME SLICE_X21Y140 SITEPROP SLICE_X21Y140 NUM_ARCS 138 SITEPROP SLICE_X21Y140 NUM_BELS 32 SITEPROP SLICE_X21Y140 NUM_INPUTS 32 SITEPROP SLICE_X21Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y140 NUM_PINS 45 SITEPROP SLICE_X21Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y140 PROHIBIT 0 SITEPROP SLICE_X21Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y140 RPM_X 67 SITEPROP SLICE_X21Y140 RPM_Y 280 SITEPROP SLICE_X21Y140 SITE_PIPS SITEPROP SLICE_X21Y140 SITE_TYPE SLICEL SITEPROP SLICE_X21Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y141 CLASS site SITEPROP SLICE_X21Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y141 IS_BONDED 0 SITEPROP SLICE_X21Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y141 IS_PAD 0 SITEPROP SLICE_X21Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y141 IS_RESERVED 0 SITEPROP SLICE_X21Y141 IS_TEST 0 SITEPROP SLICE_X21Y141 IS_USED 0 SITEPROP SLICE_X21Y141 MANUAL_ROUTING SITEPROP SLICE_X21Y141 NAME SLICE_X21Y141 SITEPROP SLICE_X21Y141 NUM_ARCS 138 SITEPROP SLICE_X21Y141 NUM_BELS 32 SITEPROP SLICE_X21Y141 NUM_INPUTS 32 SITEPROP SLICE_X21Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y141 NUM_PINS 45 SITEPROP SLICE_X21Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y141 PROHIBIT 0 SITEPROP SLICE_X21Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y141 RPM_X 67 SITEPROP SLICE_X21Y141 RPM_Y 282 SITEPROP SLICE_X21Y141 SITE_PIPS SITEPROP SLICE_X21Y141 SITE_TYPE SLICEL SITEPROP SLICE_X21Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y142 CLASS site SITEPROP SLICE_X21Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y142 IS_BONDED 0 SITEPROP SLICE_X21Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y142 IS_PAD 0 SITEPROP SLICE_X21Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y142 IS_RESERVED 0 SITEPROP SLICE_X21Y142 IS_TEST 0 SITEPROP SLICE_X21Y142 IS_USED 0 SITEPROP SLICE_X21Y142 MANUAL_ROUTING SITEPROP SLICE_X21Y142 NAME SLICE_X21Y142 SITEPROP SLICE_X21Y142 NUM_ARCS 138 SITEPROP SLICE_X21Y142 NUM_BELS 32 SITEPROP SLICE_X21Y142 NUM_INPUTS 32 SITEPROP SLICE_X21Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y142 NUM_PINS 45 SITEPROP SLICE_X21Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y142 PROHIBIT 0 SITEPROP SLICE_X21Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y142 RPM_X 67 SITEPROP SLICE_X21Y142 RPM_Y 284 SITEPROP SLICE_X21Y142 SITE_PIPS SITEPROP SLICE_X21Y142 SITE_TYPE SLICEL SITEPROP SLICE_X21Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y143 CLASS site SITEPROP SLICE_X21Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y143 IS_BONDED 0 SITEPROP SLICE_X21Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y143 IS_PAD 0 SITEPROP SLICE_X21Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y143 IS_RESERVED 0 SITEPROP SLICE_X21Y143 IS_TEST 0 SITEPROP SLICE_X21Y143 IS_USED 0 SITEPROP SLICE_X21Y143 MANUAL_ROUTING SITEPROP SLICE_X21Y143 NAME SLICE_X21Y143 SITEPROP SLICE_X21Y143 NUM_ARCS 138 SITEPROP SLICE_X21Y143 NUM_BELS 32 SITEPROP SLICE_X21Y143 NUM_INPUTS 32 SITEPROP SLICE_X21Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y143 NUM_PINS 45 SITEPROP SLICE_X21Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y143 PROHIBIT 0 SITEPROP SLICE_X21Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y143 RPM_X 67 SITEPROP SLICE_X21Y143 RPM_Y 286 SITEPROP SLICE_X21Y143 SITE_PIPS SITEPROP SLICE_X21Y143 SITE_TYPE SLICEL SITEPROP SLICE_X21Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y144 CLASS site SITEPROP SLICE_X21Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y144 IS_BONDED 0 SITEPROP SLICE_X21Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y144 IS_PAD 0 SITEPROP SLICE_X21Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y144 IS_RESERVED 0 SITEPROP SLICE_X21Y144 IS_TEST 0 SITEPROP SLICE_X21Y144 IS_USED 0 SITEPROP SLICE_X21Y144 MANUAL_ROUTING SITEPROP SLICE_X21Y144 NAME SLICE_X21Y144 SITEPROP SLICE_X21Y144 NUM_ARCS 138 SITEPROP SLICE_X21Y144 NUM_BELS 32 SITEPROP SLICE_X21Y144 NUM_INPUTS 32 SITEPROP SLICE_X21Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y144 NUM_PINS 45 SITEPROP SLICE_X21Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y144 PROHIBIT 0 SITEPROP SLICE_X21Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y144 RPM_X 67 SITEPROP SLICE_X21Y144 RPM_Y 288 SITEPROP SLICE_X21Y144 SITE_PIPS SITEPROP SLICE_X21Y144 SITE_TYPE SLICEL SITEPROP SLICE_X21Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y145 CLASS site SITEPROP SLICE_X21Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y145 IS_BONDED 0 SITEPROP SLICE_X21Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y145 IS_PAD 0 SITEPROP SLICE_X21Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y145 IS_RESERVED 0 SITEPROP SLICE_X21Y145 IS_TEST 0 SITEPROP SLICE_X21Y145 IS_USED 0 SITEPROP SLICE_X21Y145 MANUAL_ROUTING SITEPROP SLICE_X21Y145 NAME SLICE_X21Y145 SITEPROP SLICE_X21Y145 NUM_ARCS 138 SITEPROP SLICE_X21Y145 NUM_BELS 32 SITEPROP SLICE_X21Y145 NUM_INPUTS 32 SITEPROP SLICE_X21Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y145 NUM_PINS 45 SITEPROP SLICE_X21Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y145 PROHIBIT 0 SITEPROP SLICE_X21Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y145 RPM_X 67 SITEPROP SLICE_X21Y145 RPM_Y 290 SITEPROP SLICE_X21Y145 SITE_PIPS SITEPROP SLICE_X21Y145 SITE_TYPE SLICEL SITEPROP SLICE_X21Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y146 CLASS site SITEPROP SLICE_X21Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y146 IS_BONDED 0 SITEPROP SLICE_X21Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y146 IS_PAD 0 SITEPROP SLICE_X21Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y146 IS_RESERVED 0 SITEPROP SLICE_X21Y146 IS_TEST 0 SITEPROP SLICE_X21Y146 IS_USED 0 SITEPROP SLICE_X21Y146 MANUAL_ROUTING SITEPROP SLICE_X21Y146 NAME SLICE_X21Y146 SITEPROP SLICE_X21Y146 NUM_ARCS 138 SITEPROP SLICE_X21Y146 NUM_BELS 32 SITEPROP SLICE_X21Y146 NUM_INPUTS 32 SITEPROP SLICE_X21Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y146 NUM_PINS 45 SITEPROP SLICE_X21Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y146 PROHIBIT 0 SITEPROP SLICE_X21Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y146 RPM_X 67 SITEPROP SLICE_X21Y146 RPM_Y 292 SITEPROP SLICE_X21Y146 SITE_PIPS SITEPROP SLICE_X21Y146 SITE_TYPE SLICEL SITEPROP SLICE_X21Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y147 CLASS site SITEPROP SLICE_X21Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y147 IS_BONDED 0 SITEPROP SLICE_X21Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y147 IS_PAD 0 SITEPROP SLICE_X21Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y147 IS_RESERVED 0 SITEPROP SLICE_X21Y147 IS_TEST 0 SITEPROP SLICE_X21Y147 IS_USED 0 SITEPROP SLICE_X21Y147 MANUAL_ROUTING SITEPROP SLICE_X21Y147 NAME SLICE_X21Y147 SITEPROP SLICE_X21Y147 NUM_ARCS 138 SITEPROP SLICE_X21Y147 NUM_BELS 32 SITEPROP SLICE_X21Y147 NUM_INPUTS 32 SITEPROP SLICE_X21Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y147 NUM_PINS 45 SITEPROP SLICE_X21Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y147 PROHIBIT 0 SITEPROP SLICE_X21Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y147 RPM_X 67 SITEPROP SLICE_X21Y147 RPM_Y 294 SITEPROP SLICE_X21Y147 SITE_PIPS SITEPROP SLICE_X21Y147 SITE_TYPE SLICEL SITEPROP SLICE_X21Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y148 CLASS site SITEPROP SLICE_X21Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y148 IS_BONDED 0 SITEPROP SLICE_X21Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y148 IS_PAD 0 SITEPROP SLICE_X21Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y148 IS_RESERVED 0 SITEPROP SLICE_X21Y148 IS_TEST 0 SITEPROP SLICE_X21Y148 IS_USED 0 SITEPROP SLICE_X21Y148 MANUAL_ROUTING SITEPROP SLICE_X21Y148 NAME SLICE_X21Y148 SITEPROP SLICE_X21Y148 NUM_ARCS 138 SITEPROP SLICE_X21Y148 NUM_BELS 32 SITEPROP SLICE_X21Y148 NUM_INPUTS 32 SITEPROP SLICE_X21Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y148 NUM_PINS 45 SITEPROP SLICE_X21Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y148 PROHIBIT 0 SITEPROP SLICE_X21Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y148 RPM_X 67 SITEPROP SLICE_X21Y148 RPM_Y 296 SITEPROP SLICE_X21Y148 SITE_PIPS SITEPROP SLICE_X21Y148 SITE_TYPE SLICEL SITEPROP SLICE_X21Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X21Y149 CLASS site SITEPROP SLICE_X21Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X21Y149 IS_BONDED 0 SITEPROP SLICE_X21Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X21Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y149 IS_PAD 0 SITEPROP SLICE_X21Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X21Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X21Y149 IS_RESERVED 0 SITEPROP SLICE_X21Y149 IS_TEST 0 SITEPROP SLICE_X21Y149 IS_USED 0 SITEPROP SLICE_X21Y149 MANUAL_ROUTING SITEPROP SLICE_X21Y149 NAME SLICE_X21Y149 SITEPROP SLICE_X21Y149 NUM_ARCS 138 SITEPROP SLICE_X21Y149 NUM_BELS 32 SITEPROP SLICE_X21Y149 NUM_INPUTS 32 SITEPROP SLICE_X21Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X21Y149 NUM_PINS 45 SITEPROP SLICE_X21Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X21Y149 PROHIBIT 0 SITEPROP SLICE_X21Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X21Y149 RPM_X 67 SITEPROP SLICE_X21Y149 RPM_Y 298 SITEPROP SLICE_X21Y149 SITE_PIPS SITEPROP SLICE_X21Y149 SITE_TYPE SLICEL SITEPROP SLICE_X22Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y100 CLASS site SITEPROP SLICE_X22Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y100 IS_BONDED 0 SITEPROP SLICE_X22Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y100 IS_PAD 0 SITEPROP SLICE_X22Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y100 IS_RESERVED 0 SITEPROP SLICE_X22Y100 IS_TEST 0 SITEPROP SLICE_X22Y100 IS_USED 0 SITEPROP SLICE_X22Y100 MANUAL_ROUTING SITEPROP SLICE_X22Y100 NAME SLICE_X22Y100 SITEPROP SLICE_X22Y100 NUM_ARCS 138 SITEPROP SLICE_X22Y100 NUM_BELS 32 SITEPROP SLICE_X22Y100 NUM_INPUTS 32 SITEPROP SLICE_X22Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y100 NUM_PINS 45 SITEPROP SLICE_X22Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y100 PROHIBIT 0 SITEPROP SLICE_X22Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y100 RPM_X 69 SITEPROP SLICE_X22Y100 RPM_Y 200 SITEPROP SLICE_X22Y100 SITE_PIPS SITEPROP SLICE_X22Y100 SITE_TYPE SLICEL SITEPROP SLICE_X22Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y101 CLASS site SITEPROP SLICE_X22Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y101 IS_BONDED 0 SITEPROP SLICE_X22Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y101 IS_PAD 0 SITEPROP SLICE_X22Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y101 IS_RESERVED 0 SITEPROP SLICE_X22Y101 IS_TEST 0 SITEPROP SLICE_X22Y101 IS_USED 0 SITEPROP SLICE_X22Y101 MANUAL_ROUTING SITEPROP SLICE_X22Y101 NAME SLICE_X22Y101 SITEPROP SLICE_X22Y101 NUM_ARCS 138 SITEPROP SLICE_X22Y101 NUM_BELS 32 SITEPROP SLICE_X22Y101 NUM_INPUTS 32 SITEPROP SLICE_X22Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y101 NUM_PINS 45 SITEPROP SLICE_X22Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y101 PROHIBIT 0 SITEPROP SLICE_X22Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y101 RPM_X 69 SITEPROP SLICE_X22Y101 RPM_Y 202 SITEPROP SLICE_X22Y101 SITE_PIPS SITEPROP SLICE_X22Y101 SITE_TYPE SLICEL SITEPROP SLICE_X22Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y102 CLASS site SITEPROP SLICE_X22Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y102 IS_BONDED 0 SITEPROP SLICE_X22Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y102 IS_PAD 0 SITEPROP SLICE_X22Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y102 IS_RESERVED 0 SITEPROP SLICE_X22Y102 IS_TEST 0 SITEPROP SLICE_X22Y102 IS_USED 0 SITEPROP SLICE_X22Y102 MANUAL_ROUTING SITEPROP SLICE_X22Y102 NAME SLICE_X22Y102 SITEPROP SLICE_X22Y102 NUM_ARCS 138 SITEPROP SLICE_X22Y102 NUM_BELS 32 SITEPROP SLICE_X22Y102 NUM_INPUTS 32 SITEPROP SLICE_X22Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y102 NUM_PINS 45 SITEPROP SLICE_X22Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y102 PROHIBIT 0 SITEPROP SLICE_X22Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y102 RPM_X 69 SITEPROP SLICE_X22Y102 RPM_Y 204 SITEPROP SLICE_X22Y102 SITE_PIPS SITEPROP SLICE_X22Y102 SITE_TYPE SLICEL SITEPROP SLICE_X22Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y103 CLASS site SITEPROP SLICE_X22Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y103 IS_BONDED 0 SITEPROP SLICE_X22Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y103 IS_PAD 0 SITEPROP SLICE_X22Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y103 IS_RESERVED 0 SITEPROP SLICE_X22Y103 IS_TEST 0 SITEPROP SLICE_X22Y103 IS_USED 0 SITEPROP SLICE_X22Y103 MANUAL_ROUTING SITEPROP SLICE_X22Y103 NAME SLICE_X22Y103 SITEPROP SLICE_X22Y103 NUM_ARCS 138 SITEPROP SLICE_X22Y103 NUM_BELS 32 SITEPROP SLICE_X22Y103 NUM_INPUTS 32 SITEPROP SLICE_X22Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y103 NUM_PINS 45 SITEPROP SLICE_X22Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y103 PROHIBIT 0 SITEPROP SLICE_X22Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y103 RPM_X 69 SITEPROP SLICE_X22Y103 RPM_Y 206 SITEPROP SLICE_X22Y103 SITE_PIPS SITEPROP SLICE_X22Y103 SITE_TYPE SLICEL SITEPROP SLICE_X22Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y104 CLASS site SITEPROP SLICE_X22Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y104 IS_BONDED 0 SITEPROP SLICE_X22Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y104 IS_PAD 0 SITEPROP SLICE_X22Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y104 IS_RESERVED 0 SITEPROP SLICE_X22Y104 IS_TEST 0 SITEPROP SLICE_X22Y104 IS_USED 0 SITEPROP SLICE_X22Y104 MANUAL_ROUTING SITEPROP SLICE_X22Y104 NAME SLICE_X22Y104 SITEPROP SLICE_X22Y104 NUM_ARCS 138 SITEPROP SLICE_X22Y104 NUM_BELS 32 SITEPROP SLICE_X22Y104 NUM_INPUTS 32 SITEPROP SLICE_X22Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y104 NUM_PINS 45 SITEPROP SLICE_X22Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y104 PROHIBIT 0 SITEPROP SLICE_X22Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y104 RPM_X 69 SITEPROP SLICE_X22Y104 RPM_Y 208 SITEPROP SLICE_X22Y104 SITE_PIPS SITEPROP SLICE_X22Y104 SITE_TYPE SLICEL SITEPROP SLICE_X22Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y105 CLASS site SITEPROP SLICE_X22Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y105 IS_BONDED 0 SITEPROP SLICE_X22Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y105 IS_PAD 0 SITEPROP SLICE_X22Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y105 IS_RESERVED 0 SITEPROP SLICE_X22Y105 IS_TEST 0 SITEPROP SLICE_X22Y105 IS_USED 0 SITEPROP SLICE_X22Y105 MANUAL_ROUTING SITEPROP SLICE_X22Y105 NAME SLICE_X22Y105 SITEPROP SLICE_X22Y105 NUM_ARCS 138 SITEPROP SLICE_X22Y105 NUM_BELS 32 SITEPROP SLICE_X22Y105 NUM_INPUTS 32 SITEPROP SLICE_X22Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y105 NUM_PINS 45 SITEPROP SLICE_X22Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y105 PROHIBIT 0 SITEPROP SLICE_X22Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y105 RPM_X 69 SITEPROP SLICE_X22Y105 RPM_Y 210 SITEPROP SLICE_X22Y105 SITE_PIPS SITEPROP SLICE_X22Y105 SITE_TYPE SLICEL SITEPROP SLICE_X22Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y106 CLASS site SITEPROP SLICE_X22Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y106 IS_BONDED 0 SITEPROP SLICE_X22Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y106 IS_PAD 0 SITEPROP SLICE_X22Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y106 IS_RESERVED 0 SITEPROP SLICE_X22Y106 IS_TEST 0 SITEPROP SLICE_X22Y106 IS_USED 0 SITEPROP SLICE_X22Y106 MANUAL_ROUTING SITEPROP SLICE_X22Y106 NAME SLICE_X22Y106 SITEPROP SLICE_X22Y106 NUM_ARCS 138 SITEPROP SLICE_X22Y106 NUM_BELS 32 SITEPROP SLICE_X22Y106 NUM_INPUTS 32 SITEPROP SLICE_X22Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y106 NUM_PINS 45 SITEPROP SLICE_X22Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y106 PROHIBIT 0 SITEPROP SLICE_X22Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y106 RPM_X 69 SITEPROP SLICE_X22Y106 RPM_Y 212 SITEPROP SLICE_X22Y106 SITE_PIPS SITEPROP SLICE_X22Y106 SITE_TYPE SLICEL SITEPROP SLICE_X22Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y107 CLASS site SITEPROP SLICE_X22Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y107 IS_BONDED 0 SITEPROP SLICE_X22Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y107 IS_PAD 0 SITEPROP SLICE_X22Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y107 IS_RESERVED 0 SITEPROP SLICE_X22Y107 IS_TEST 0 SITEPROP SLICE_X22Y107 IS_USED 0 SITEPROP SLICE_X22Y107 MANUAL_ROUTING SITEPROP SLICE_X22Y107 NAME SLICE_X22Y107 SITEPROP SLICE_X22Y107 NUM_ARCS 138 SITEPROP SLICE_X22Y107 NUM_BELS 32 SITEPROP SLICE_X22Y107 NUM_INPUTS 32 SITEPROP SLICE_X22Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y107 NUM_PINS 45 SITEPROP SLICE_X22Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y107 PROHIBIT 0 SITEPROP SLICE_X22Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y107 RPM_X 69 SITEPROP SLICE_X22Y107 RPM_Y 214 SITEPROP SLICE_X22Y107 SITE_PIPS SITEPROP SLICE_X22Y107 SITE_TYPE SLICEL SITEPROP SLICE_X22Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y108 CLASS site SITEPROP SLICE_X22Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y108 IS_BONDED 0 SITEPROP SLICE_X22Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y108 IS_PAD 0 SITEPROP SLICE_X22Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y108 IS_RESERVED 0 SITEPROP SLICE_X22Y108 IS_TEST 0 SITEPROP SLICE_X22Y108 IS_USED 0 SITEPROP SLICE_X22Y108 MANUAL_ROUTING SITEPROP SLICE_X22Y108 NAME SLICE_X22Y108 SITEPROP SLICE_X22Y108 NUM_ARCS 138 SITEPROP SLICE_X22Y108 NUM_BELS 32 SITEPROP SLICE_X22Y108 NUM_INPUTS 32 SITEPROP SLICE_X22Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y108 NUM_PINS 45 SITEPROP SLICE_X22Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y108 PROHIBIT 0 SITEPROP SLICE_X22Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y108 RPM_X 69 SITEPROP SLICE_X22Y108 RPM_Y 216 SITEPROP SLICE_X22Y108 SITE_PIPS SITEPROP SLICE_X22Y108 SITE_TYPE SLICEL SITEPROP SLICE_X22Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y109 CLASS site SITEPROP SLICE_X22Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y109 IS_BONDED 0 SITEPROP SLICE_X22Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y109 IS_PAD 0 SITEPROP SLICE_X22Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y109 IS_RESERVED 0 SITEPROP SLICE_X22Y109 IS_TEST 0 SITEPROP SLICE_X22Y109 IS_USED 0 SITEPROP SLICE_X22Y109 MANUAL_ROUTING SITEPROP SLICE_X22Y109 NAME SLICE_X22Y109 SITEPROP SLICE_X22Y109 NUM_ARCS 138 SITEPROP SLICE_X22Y109 NUM_BELS 32 SITEPROP SLICE_X22Y109 NUM_INPUTS 32 SITEPROP SLICE_X22Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y109 NUM_PINS 45 SITEPROP SLICE_X22Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y109 PROHIBIT 0 SITEPROP SLICE_X22Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y109 RPM_X 69 SITEPROP SLICE_X22Y109 RPM_Y 218 SITEPROP SLICE_X22Y109 SITE_PIPS SITEPROP SLICE_X22Y109 SITE_TYPE SLICEL SITEPROP SLICE_X22Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y110 CLASS site SITEPROP SLICE_X22Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y110 IS_BONDED 0 SITEPROP SLICE_X22Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y110 IS_PAD 0 SITEPROP SLICE_X22Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y110 IS_RESERVED 0 SITEPROP SLICE_X22Y110 IS_TEST 0 SITEPROP SLICE_X22Y110 IS_USED 0 SITEPROP SLICE_X22Y110 MANUAL_ROUTING SITEPROP SLICE_X22Y110 NAME SLICE_X22Y110 SITEPROP SLICE_X22Y110 NUM_ARCS 138 SITEPROP SLICE_X22Y110 NUM_BELS 32 SITEPROP SLICE_X22Y110 NUM_INPUTS 32 SITEPROP SLICE_X22Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y110 NUM_PINS 45 SITEPROP SLICE_X22Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y110 PROHIBIT 0 SITEPROP SLICE_X22Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y110 RPM_X 69 SITEPROP SLICE_X22Y110 RPM_Y 220 SITEPROP SLICE_X22Y110 SITE_PIPS SITEPROP SLICE_X22Y110 SITE_TYPE SLICEL SITEPROP SLICE_X22Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y111 CLASS site SITEPROP SLICE_X22Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y111 IS_BONDED 0 SITEPROP SLICE_X22Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y111 IS_PAD 0 SITEPROP SLICE_X22Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y111 IS_RESERVED 0 SITEPROP SLICE_X22Y111 IS_TEST 0 SITEPROP SLICE_X22Y111 IS_USED 0 SITEPROP SLICE_X22Y111 MANUAL_ROUTING SITEPROP SLICE_X22Y111 NAME SLICE_X22Y111 SITEPROP SLICE_X22Y111 NUM_ARCS 138 SITEPROP SLICE_X22Y111 NUM_BELS 32 SITEPROP SLICE_X22Y111 NUM_INPUTS 32 SITEPROP SLICE_X22Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y111 NUM_PINS 45 SITEPROP SLICE_X22Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y111 PROHIBIT 0 SITEPROP SLICE_X22Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y111 RPM_X 69 SITEPROP SLICE_X22Y111 RPM_Y 222 SITEPROP SLICE_X22Y111 SITE_PIPS SITEPROP SLICE_X22Y111 SITE_TYPE SLICEL SITEPROP SLICE_X22Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y112 CLASS site SITEPROP SLICE_X22Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y112 IS_BONDED 0 SITEPROP SLICE_X22Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y112 IS_PAD 0 SITEPROP SLICE_X22Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y112 IS_RESERVED 0 SITEPROP SLICE_X22Y112 IS_TEST 0 SITEPROP SLICE_X22Y112 IS_USED 0 SITEPROP SLICE_X22Y112 MANUAL_ROUTING SITEPROP SLICE_X22Y112 NAME SLICE_X22Y112 SITEPROP SLICE_X22Y112 NUM_ARCS 138 SITEPROP SLICE_X22Y112 NUM_BELS 32 SITEPROP SLICE_X22Y112 NUM_INPUTS 32 SITEPROP SLICE_X22Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y112 NUM_PINS 45 SITEPROP SLICE_X22Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y112 PROHIBIT 0 SITEPROP SLICE_X22Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y112 RPM_X 69 SITEPROP SLICE_X22Y112 RPM_Y 224 SITEPROP SLICE_X22Y112 SITE_PIPS SITEPROP SLICE_X22Y112 SITE_TYPE SLICEL SITEPROP SLICE_X22Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y113 CLASS site SITEPROP SLICE_X22Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y113 IS_BONDED 0 SITEPROP SLICE_X22Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y113 IS_PAD 0 SITEPROP SLICE_X22Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y113 IS_RESERVED 0 SITEPROP SLICE_X22Y113 IS_TEST 0 SITEPROP SLICE_X22Y113 IS_USED 0 SITEPROP SLICE_X22Y113 MANUAL_ROUTING SITEPROP SLICE_X22Y113 NAME SLICE_X22Y113 SITEPROP SLICE_X22Y113 NUM_ARCS 138 SITEPROP SLICE_X22Y113 NUM_BELS 32 SITEPROP SLICE_X22Y113 NUM_INPUTS 32 SITEPROP SLICE_X22Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y113 NUM_PINS 45 SITEPROP SLICE_X22Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y113 PROHIBIT 0 SITEPROP SLICE_X22Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y113 RPM_X 69 SITEPROP SLICE_X22Y113 RPM_Y 226 SITEPROP SLICE_X22Y113 SITE_PIPS SITEPROP SLICE_X22Y113 SITE_TYPE SLICEL SITEPROP SLICE_X22Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y114 CLASS site SITEPROP SLICE_X22Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y114 IS_BONDED 0 SITEPROP SLICE_X22Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y114 IS_PAD 0 SITEPROP SLICE_X22Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y114 IS_RESERVED 0 SITEPROP SLICE_X22Y114 IS_TEST 0 SITEPROP SLICE_X22Y114 IS_USED 0 SITEPROP SLICE_X22Y114 MANUAL_ROUTING SITEPROP SLICE_X22Y114 NAME SLICE_X22Y114 SITEPROP SLICE_X22Y114 NUM_ARCS 138 SITEPROP SLICE_X22Y114 NUM_BELS 32 SITEPROP SLICE_X22Y114 NUM_INPUTS 32 SITEPROP SLICE_X22Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y114 NUM_PINS 45 SITEPROP SLICE_X22Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y114 PROHIBIT 0 SITEPROP SLICE_X22Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y114 RPM_X 69 SITEPROP SLICE_X22Y114 RPM_Y 228 SITEPROP SLICE_X22Y114 SITE_PIPS SITEPROP SLICE_X22Y114 SITE_TYPE SLICEL SITEPROP SLICE_X22Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y115 CLASS site SITEPROP SLICE_X22Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y115 IS_BONDED 0 SITEPROP SLICE_X22Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y115 IS_PAD 0 SITEPROP SLICE_X22Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y115 IS_RESERVED 0 SITEPROP SLICE_X22Y115 IS_TEST 0 SITEPROP SLICE_X22Y115 IS_USED 0 SITEPROP SLICE_X22Y115 MANUAL_ROUTING SITEPROP SLICE_X22Y115 NAME SLICE_X22Y115 SITEPROP SLICE_X22Y115 NUM_ARCS 138 SITEPROP SLICE_X22Y115 NUM_BELS 32 SITEPROP SLICE_X22Y115 NUM_INPUTS 32 SITEPROP SLICE_X22Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y115 NUM_PINS 45 SITEPROP SLICE_X22Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y115 PROHIBIT 0 SITEPROP SLICE_X22Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y115 RPM_X 69 SITEPROP SLICE_X22Y115 RPM_Y 230 SITEPROP SLICE_X22Y115 SITE_PIPS SITEPROP SLICE_X22Y115 SITE_TYPE SLICEL SITEPROP SLICE_X22Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y116 CLASS site SITEPROP SLICE_X22Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y116 IS_BONDED 0 SITEPROP SLICE_X22Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y116 IS_PAD 0 SITEPROP SLICE_X22Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y116 IS_RESERVED 0 SITEPROP SLICE_X22Y116 IS_TEST 0 SITEPROP SLICE_X22Y116 IS_USED 0 SITEPROP SLICE_X22Y116 MANUAL_ROUTING SITEPROP SLICE_X22Y116 NAME SLICE_X22Y116 SITEPROP SLICE_X22Y116 NUM_ARCS 138 SITEPROP SLICE_X22Y116 NUM_BELS 32 SITEPROP SLICE_X22Y116 NUM_INPUTS 32 SITEPROP SLICE_X22Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y116 NUM_PINS 45 SITEPROP SLICE_X22Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y116 PROHIBIT 0 SITEPROP SLICE_X22Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y116 RPM_X 69 SITEPROP SLICE_X22Y116 RPM_Y 232 SITEPROP SLICE_X22Y116 SITE_PIPS SITEPROP SLICE_X22Y116 SITE_TYPE SLICEL SITEPROP SLICE_X22Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y117 CLASS site SITEPROP SLICE_X22Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y117 IS_BONDED 0 SITEPROP SLICE_X22Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y117 IS_PAD 0 SITEPROP SLICE_X22Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y117 IS_RESERVED 0 SITEPROP SLICE_X22Y117 IS_TEST 0 SITEPROP SLICE_X22Y117 IS_USED 0 SITEPROP SLICE_X22Y117 MANUAL_ROUTING SITEPROP SLICE_X22Y117 NAME SLICE_X22Y117 SITEPROP SLICE_X22Y117 NUM_ARCS 138 SITEPROP SLICE_X22Y117 NUM_BELS 32 SITEPROP SLICE_X22Y117 NUM_INPUTS 32 SITEPROP SLICE_X22Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y117 NUM_PINS 45 SITEPROP SLICE_X22Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y117 PROHIBIT 0 SITEPROP SLICE_X22Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y117 RPM_X 69 SITEPROP SLICE_X22Y117 RPM_Y 234 SITEPROP SLICE_X22Y117 SITE_PIPS SITEPROP SLICE_X22Y117 SITE_TYPE SLICEL SITEPROP SLICE_X22Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y118 CLASS site SITEPROP SLICE_X22Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y118 IS_BONDED 0 SITEPROP SLICE_X22Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y118 IS_PAD 0 SITEPROP SLICE_X22Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y118 IS_RESERVED 0 SITEPROP SLICE_X22Y118 IS_TEST 0 SITEPROP SLICE_X22Y118 IS_USED 0 SITEPROP SLICE_X22Y118 MANUAL_ROUTING SITEPROP SLICE_X22Y118 NAME SLICE_X22Y118 SITEPROP SLICE_X22Y118 NUM_ARCS 138 SITEPROP SLICE_X22Y118 NUM_BELS 32 SITEPROP SLICE_X22Y118 NUM_INPUTS 32 SITEPROP SLICE_X22Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y118 NUM_PINS 45 SITEPROP SLICE_X22Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y118 PROHIBIT 0 SITEPROP SLICE_X22Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y118 RPM_X 69 SITEPROP SLICE_X22Y118 RPM_Y 236 SITEPROP SLICE_X22Y118 SITE_PIPS SITEPROP SLICE_X22Y118 SITE_TYPE SLICEL SITEPROP SLICE_X22Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y119 CLASS site SITEPROP SLICE_X22Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y119 IS_BONDED 0 SITEPROP SLICE_X22Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y119 IS_PAD 0 SITEPROP SLICE_X22Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y119 IS_RESERVED 0 SITEPROP SLICE_X22Y119 IS_TEST 0 SITEPROP SLICE_X22Y119 IS_USED 0 SITEPROP SLICE_X22Y119 MANUAL_ROUTING SITEPROP SLICE_X22Y119 NAME SLICE_X22Y119 SITEPROP SLICE_X22Y119 NUM_ARCS 138 SITEPROP SLICE_X22Y119 NUM_BELS 32 SITEPROP SLICE_X22Y119 NUM_INPUTS 32 SITEPROP SLICE_X22Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y119 NUM_PINS 45 SITEPROP SLICE_X22Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y119 PROHIBIT 0 SITEPROP SLICE_X22Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y119 RPM_X 69 SITEPROP SLICE_X22Y119 RPM_Y 238 SITEPROP SLICE_X22Y119 SITE_PIPS SITEPROP SLICE_X22Y119 SITE_TYPE SLICEL SITEPROP SLICE_X22Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y120 CLASS site SITEPROP SLICE_X22Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y120 IS_BONDED 0 SITEPROP SLICE_X22Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y120 IS_PAD 0 SITEPROP SLICE_X22Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y120 IS_RESERVED 0 SITEPROP SLICE_X22Y120 IS_TEST 0 SITEPROP SLICE_X22Y120 IS_USED 0 SITEPROP SLICE_X22Y120 MANUAL_ROUTING SITEPROP SLICE_X22Y120 NAME SLICE_X22Y120 SITEPROP SLICE_X22Y120 NUM_ARCS 138 SITEPROP SLICE_X22Y120 NUM_BELS 32 SITEPROP SLICE_X22Y120 NUM_INPUTS 32 SITEPROP SLICE_X22Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y120 NUM_PINS 45 SITEPROP SLICE_X22Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y120 PROHIBIT 0 SITEPROP SLICE_X22Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y120 RPM_X 69 SITEPROP SLICE_X22Y120 RPM_Y 240 SITEPROP SLICE_X22Y120 SITE_PIPS SITEPROP SLICE_X22Y120 SITE_TYPE SLICEL SITEPROP SLICE_X22Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y121 CLASS site SITEPROP SLICE_X22Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y121 IS_BONDED 0 SITEPROP SLICE_X22Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y121 IS_PAD 0 SITEPROP SLICE_X22Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y121 IS_RESERVED 0 SITEPROP SLICE_X22Y121 IS_TEST 0 SITEPROP SLICE_X22Y121 IS_USED 0 SITEPROP SLICE_X22Y121 MANUAL_ROUTING SITEPROP SLICE_X22Y121 NAME SLICE_X22Y121 SITEPROP SLICE_X22Y121 NUM_ARCS 138 SITEPROP SLICE_X22Y121 NUM_BELS 32 SITEPROP SLICE_X22Y121 NUM_INPUTS 32 SITEPROP SLICE_X22Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y121 NUM_PINS 45 SITEPROP SLICE_X22Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y121 PROHIBIT 0 SITEPROP SLICE_X22Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y121 RPM_X 69 SITEPROP SLICE_X22Y121 RPM_Y 242 SITEPROP SLICE_X22Y121 SITE_PIPS SITEPROP SLICE_X22Y121 SITE_TYPE SLICEL SITEPROP SLICE_X22Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y122 CLASS site SITEPROP SLICE_X22Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y122 IS_BONDED 0 SITEPROP SLICE_X22Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y122 IS_PAD 0 SITEPROP SLICE_X22Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y122 IS_RESERVED 0 SITEPROP SLICE_X22Y122 IS_TEST 0 SITEPROP SLICE_X22Y122 IS_USED 0 SITEPROP SLICE_X22Y122 MANUAL_ROUTING SITEPROP SLICE_X22Y122 NAME SLICE_X22Y122 SITEPROP SLICE_X22Y122 NUM_ARCS 138 SITEPROP SLICE_X22Y122 NUM_BELS 32 SITEPROP SLICE_X22Y122 NUM_INPUTS 32 SITEPROP SLICE_X22Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y122 NUM_PINS 45 SITEPROP SLICE_X22Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y122 PROHIBIT 0 SITEPROP SLICE_X22Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y122 RPM_X 69 SITEPROP SLICE_X22Y122 RPM_Y 244 SITEPROP SLICE_X22Y122 SITE_PIPS SITEPROP SLICE_X22Y122 SITE_TYPE SLICEL SITEPROP SLICE_X22Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y123 CLASS site SITEPROP SLICE_X22Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y123 IS_BONDED 0 SITEPROP SLICE_X22Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y123 IS_PAD 0 SITEPROP SLICE_X22Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y123 IS_RESERVED 0 SITEPROP SLICE_X22Y123 IS_TEST 0 SITEPROP SLICE_X22Y123 IS_USED 0 SITEPROP SLICE_X22Y123 MANUAL_ROUTING SITEPROP SLICE_X22Y123 NAME SLICE_X22Y123 SITEPROP SLICE_X22Y123 NUM_ARCS 138 SITEPROP SLICE_X22Y123 NUM_BELS 32 SITEPROP SLICE_X22Y123 NUM_INPUTS 32 SITEPROP SLICE_X22Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y123 NUM_PINS 45 SITEPROP SLICE_X22Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y123 PROHIBIT 0 SITEPROP SLICE_X22Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y123 RPM_X 69 SITEPROP SLICE_X22Y123 RPM_Y 246 SITEPROP SLICE_X22Y123 SITE_PIPS SITEPROP SLICE_X22Y123 SITE_TYPE SLICEL SITEPROP SLICE_X22Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y124 CLASS site SITEPROP SLICE_X22Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y124 IS_BONDED 0 SITEPROP SLICE_X22Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y124 IS_PAD 0 SITEPROP SLICE_X22Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y124 IS_RESERVED 0 SITEPROP SLICE_X22Y124 IS_TEST 0 SITEPROP SLICE_X22Y124 IS_USED 0 SITEPROP SLICE_X22Y124 MANUAL_ROUTING SITEPROP SLICE_X22Y124 NAME SLICE_X22Y124 SITEPROP SLICE_X22Y124 NUM_ARCS 138 SITEPROP SLICE_X22Y124 NUM_BELS 32 SITEPROP SLICE_X22Y124 NUM_INPUTS 32 SITEPROP SLICE_X22Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y124 NUM_PINS 45 SITEPROP SLICE_X22Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y124 PROHIBIT 0 SITEPROP SLICE_X22Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y124 RPM_X 69 SITEPROP SLICE_X22Y124 RPM_Y 248 SITEPROP SLICE_X22Y124 SITE_PIPS SITEPROP SLICE_X22Y124 SITE_TYPE SLICEL SITEPROP SLICE_X22Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y125 CLASS site SITEPROP SLICE_X22Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y125 IS_BONDED 0 SITEPROP SLICE_X22Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y125 IS_PAD 0 SITEPROP SLICE_X22Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y125 IS_RESERVED 0 SITEPROP SLICE_X22Y125 IS_TEST 0 SITEPROP SLICE_X22Y125 IS_USED 0 SITEPROP SLICE_X22Y125 MANUAL_ROUTING SITEPROP SLICE_X22Y125 NAME SLICE_X22Y125 SITEPROP SLICE_X22Y125 NUM_ARCS 138 SITEPROP SLICE_X22Y125 NUM_BELS 32 SITEPROP SLICE_X22Y125 NUM_INPUTS 32 SITEPROP SLICE_X22Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y125 NUM_PINS 45 SITEPROP SLICE_X22Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y125 PROHIBIT 0 SITEPROP SLICE_X22Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y125 RPM_X 69 SITEPROP SLICE_X22Y125 RPM_Y 250 SITEPROP SLICE_X22Y125 SITE_PIPS SITEPROP SLICE_X22Y125 SITE_TYPE SLICEL SITEPROP SLICE_X22Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y126 CLASS site SITEPROP SLICE_X22Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y126 IS_BONDED 0 SITEPROP SLICE_X22Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y126 IS_PAD 0 SITEPROP SLICE_X22Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y126 IS_RESERVED 0 SITEPROP SLICE_X22Y126 IS_TEST 0 SITEPROP SLICE_X22Y126 IS_USED 0 SITEPROP SLICE_X22Y126 MANUAL_ROUTING SITEPROP SLICE_X22Y126 NAME SLICE_X22Y126 SITEPROP SLICE_X22Y126 NUM_ARCS 138 SITEPROP SLICE_X22Y126 NUM_BELS 32 SITEPROP SLICE_X22Y126 NUM_INPUTS 32 SITEPROP SLICE_X22Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y126 NUM_PINS 45 SITEPROP SLICE_X22Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y126 PROHIBIT 0 SITEPROP SLICE_X22Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y126 RPM_X 69 SITEPROP SLICE_X22Y126 RPM_Y 252 SITEPROP SLICE_X22Y126 SITE_PIPS SITEPROP SLICE_X22Y126 SITE_TYPE SLICEL SITEPROP SLICE_X22Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y127 CLASS site SITEPROP SLICE_X22Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y127 IS_BONDED 0 SITEPROP SLICE_X22Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y127 IS_PAD 0 SITEPROP SLICE_X22Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y127 IS_RESERVED 0 SITEPROP SLICE_X22Y127 IS_TEST 0 SITEPROP SLICE_X22Y127 IS_USED 0 SITEPROP SLICE_X22Y127 MANUAL_ROUTING SITEPROP SLICE_X22Y127 NAME SLICE_X22Y127 SITEPROP SLICE_X22Y127 NUM_ARCS 138 SITEPROP SLICE_X22Y127 NUM_BELS 32 SITEPROP SLICE_X22Y127 NUM_INPUTS 32 SITEPROP SLICE_X22Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y127 NUM_PINS 45 SITEPROP SLICE_X22Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y127 PROHIBIT 0 SITEPROP SLICE_X22Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y127 RPM_X 69 SITEPROP SLICE_X22Y127 RPM_Y 254 SITEPROP SLICE_X22Y127 SITE_PIPS SITEPROP SLICE_X22Y127 SITE_TYPE SLICEL SITEPROP SLICE_X22Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y128 CLASS site SITEPROP SLICE_X22Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y128 IS_BONDED 0 SITEPROP SLICE_X22Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y128 IS_PAD 0 SITEPROP SLICE_X22Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y128 IS_RESERVED 0 SITEPROP SLICE_X22Y128 IS_TEST 0 SITEPROP SLICE_X22Y128 IS_USED 0 SITEPROP SLICE_X22Y128 MANUAL_ROUTING SITEPROP SLICE_X22Y128 NAME SLICE_X22Y128 SITEPROP SLICE_X22Y128 NUM_ARCS 138 SITEPROP SLICE_X22Y128 NUM_BELS 32 SITEPROP SLICE_X22Y128 NUM_INPUTS 32 SITEPROP SLICE_X22Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y128 NUM_PINS 45 SITEPROP SLICE_X22Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y128 PROHIBIT 0 SITEPROP SLICE_X22Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y128 RPM_X 69 SITEPROP SLICE_X22Y128 RPM_Y 256 SITEPROP SLICE_X22Y128 SITE_PIPS SITEPROP SLICE_X22Y128 SITE_TYPE SLICEL SITEPROP SLICE_X22Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y129 CLASS site SITEPROP SLICE_X22Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y129 IS_BONDED 0 SITEPROP SLICE_X22Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y129 IS_PAD 0 SITEPROP SLICE_X22Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y129 IS_RESERVED 0 SITEPROP SLICE_X22Y129 IS_TEST 0 SITEPROP SLICE_X22Y129 IS_USED 0 SITEPROP SLICE_X22Y129 MANUAL_ROUTING SITEPROP SLICE_X22Y129 NAME SLICE_X22Y129 SITEPROP SLICE_X22Y129 NUM_ARCS 138 SITEPROP SLICE_X22Y129 NUM_BELS 32 SITEPROP SLICE_X22Y129 NUM_INPUTS 32 SITEPROP SLICE_X22Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y129 NUM_PINS 45 SITEPROP SLICE_X22Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y129 PROHIBIT 0 SITEPROP SLICE_X22Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y129 RPM_X 69 SITEPROP SLICE_X22Y129 RPM_Y 258 SITEPROP SLICE_X22Y129 SITE_PIPS SITEPROP SLICE_X22Y129 SITE_TYPE SLICEL SITEPROP SLICE_X22Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y130 CLASS site SITEPROP SLICE_X22Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y130 IS_BONDED 0 SITEPROP SLICE_X22Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y130 IS_PAD 0 SITEPROP SLICE_X22Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y130 IS_RESERVED 0 SITEPROP SLICE_X22Y130 IS_TEST 0 SITEPROP SLICE_X22Y130 IS_USED 0 SITEPROP SLICE_X22Y130 MANUAL_ROUTING SITEPROP SLICE_X22Y130 NAME SLICE_X22Y130 SITEPROP SLICE_X22Y130 NUM_ARCS 138 SITEPROP SLICE_X22Y130 NUM_BELS 32 SITEPROP SLICE_X22Y130 NUM_INPUTS 32 SITEPROP SLICE_X22Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y130 NUM_PINS 45 SITEPROP SLICE_X22Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y130 PROHIBIT 0 SITEPROP SLICE_X22Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y130 RPM_X 69 SITEPROP SLICE_X22Y130 RPM_Y 260 SITEPROP SLICE_X22Y130 SITE_PIPS SITEPROP SLICE_X22Y130 SITE_TYPE SLICEL SITEPROP SLICE_X22Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y131 CLASS site SITEPROP SLICE_X22Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y131 IS_BONDED 0 SITEPROP SLICE_X22Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y131 IS_PAD 0 SITEPROP SLICE_X22Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y131 IS_RESERVED 0 SITEPROP SLICE_X22Y131 IS_TEST 0 SITEPROP SLICE_X22Y131 IS_USED 0 SITEPROP SLICE_X22Y131 MANUAL_ROUTING SITEPROP SLICE_X22Y131 NAME SLICE_X22Y131 SITEPROP SLICE_X22Y131 NUM_ARCS 138 SITEPROP SLICE_X22Y131 NUM_BELS 32 SITEPROP SLICE_X22Y131 NUM_INPUTS 32 SITEPROP SLICE_X22Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y131 NUM_PINS 45 SITEPROP SLICE_X22Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y131 PROHIBIT 0 SITEPROP SLICE_X22Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y131 RPM_X 69 SITEPROP SLICE_X22Y131 RPM_Y 262 SITEPROP SLICE_X22Y131 SITE_PIPS SITEPROP SLICE_X22Y131 SITE_TYPE SLICEL SITEPROP SLICE_X22Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y132 CLASS site SITEPROP SLICE_X22Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y132 IS_BONDED 0 SITEPROP SLICE_X22Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y132 IS_PAD 0 SITEPROP SLICE_X22Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y132 IS_RESERVED 0 SITEPROP SLICE_X22Y132 IS_TEST 0 SITEPROP SLICE_X22Y132 IS_USED 0 SITEPROP SLICE_X22Y132 MANUAL_ROUTING SITEPROP SLICE_X22Y132 NAME SLICE_X22Y132 SITEPROP SLICE_X22Y132 NUM_ARCS 138 SITEPROP SLICE_X22Y132 NUM_BELS 32 SITEPROP SLICE_X22Y132 NUM_INPUTS 32 SITEPROP SLICE_X22Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y132 NUM_PINS 45 SITEPROP SLICE_X22Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y132 PROHIBIT 0 SITEPROP SLICE_X22Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y132 RPM_X 69 SITEPROP SLICE_X22Y132 RPM_Y 264 SITEPROP SLICE_X22Y132 SITE_PIPS SITEPROP SLICE_X22Y132 SITE_TYPE SLICEL SITEPROP SLICE_X22Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y133 CLASS site SITEPROP SLICE_X22Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y133 IS_BONDED 0 SITEPROP SLICE_X22Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y133 IS_PAD 0 SITEPROP SLICE_X22Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y133 IS_RESERVED 0 SITEPROP SLICE_X22Y133 IS_TEST 0 SITEPROP SLICE_X22Y133 IS_USED 0 SITEPROP SLICE_X22Y133 MANUAL_ROUTING SITEPROP SLICE_X22Y133 NAME SLICE_X22Y133 SITEPROP SLICE_X22Y133 NUM_ARCS 138 SITEPROP SLICE_X22Y133 NUM_BELS 32 SITEPROP SLICE_X22Y133 NUM_INPUTS 32 SITEPROP SLICE_X22Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y133 NUM_PINS 45 SITEPROP SLICE_X22Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y133 PROHIBIT 0 SITEPROP SLICE_X22Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y133 RPM_X 69 SITEPROP SLICE_X22Y133 RPM_Y 266 SITEPROP SLICE_X22Y133 SITE_PIPS SITEPROP SLICE_X22Y133 SITE_TYPE SLICEL SITEPROP SLICE_X22Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y134 CLASS site SITEPROP SLICE_X22Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y134 IS_BONDED 0 SITEPROP SLICE_X22Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y134 IS_PAD 0 SITEPROP SLICE_X22Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y134 IS_RESERVED 0 SITEPROP SLICE_X22Y134 IS_TEST 0 SITEPROP SLICE_X22Y134 IS_USED 0 SITEPROP SLICE_X22Y134 MANUAL_ROUTING SITEPROP SLICE_X22Y134 NAME SLICE_X22Y134 SITEPROP SLICE_X22Y134 NUM_ARCS 138 SITEPROP SLICE_X22Y134 NUM_BELS 32 SITEPROP SLICE_X22Y134 NUM_INPUTS 32 SITEPROP SLICE_X22Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y134 NUM_PINS 45 SITEPROP SLICE_X22Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y134 PROHIBIT 0 SITEPROP SLICE_X22Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y134 RPM_X 69 SITEPROP SLICE_X22Y134 RPM_Y 268 SITEPROP SLICE_X22Y134 SITE_PIPS SITEPROP SLICE_X22Y134 SITE_TYPE SLICEL SITEPROP SLICE_X22Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y135 CLASS site SITEPROP SLICE_X22Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y135 IS_BONDED 0 SITEPROP SLICE_X22Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y135 IS_PAD 0 SITEPROP SLICE_X22Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y135 IS_RESERVED 0 SITEPROP SLICE_X22Y135 IS_TEST 0 SITEPROP SLICE_X22Y135 IS_USED 0 SITEPROP SLICE_X22Y135 MANUAL_ROUTING SITEPROP SLICE_X22Y135 NAME SLICE_X22Y135 SITEPROP SLICE_X22Y135 NUM_ARCS 138 SITEPROP SLICE_X22Y135 NUM_BELS 32 SITEPROP SLICE_X22Y135 NUM_INPUTS 32 SITEPROP SLICE_X22Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y135 NUM_PINS 45 SITEPROP SLICE_X22Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y135 PROHIBIT 0 SITEPROP SLICE_X22Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y135 RPM_X 69 SITEPROP SLICE_X22Y135 RPM_Y 270 SITEPROP SLICE_X22Y135 SITE_PIPS SITEPROP SLICE_X22Y135 SITE_TYPE SLICEL SITEPROP SLICE_X22Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y136 CLASS site SITEPROP SLICE_X22Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y136 IS_BONDED 0 SITEPROP SLICE_X22Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y136 IS_PAD 0 SITEPROP SLICE_X22Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y136 IS_RESERVED 0 SITEPROP SLICE_X22Y136 IS_TEST 0 SITEPROP SLICE_X22Y136 IS_USED 0 SITEPROP SLICE_X22Y136 MANUAL_ROUTING SITEPROP SLICE_X22Y136 NAME SLICE_X22Y136 SITEPROP SLICE_X22Y136 NUM_ARCS 138 SITEPROP SLICE_X22Y136 NUM_BELS 32 SITEPROP SLICE_X22Y136 NUM_INPUTS 32 SITEPROP SLICE_X22Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y136 NUM_PINS 45 SITEPROP SLICE_X22Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y136 PROHIBIT 0 SITEPROP SLICE_X22Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y136 RPM_X 69 SITEPROP SLICE_X22Y136 RPM_Y 272 SITEPROP SLICE_X22Y136 SITE_PIPS SITEPROP SLICE_X22Y136 SITE_TYPE SLICEL SITEPROP SLICE_X22Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y137 CLASS site SITEPROP SLICE_X22Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y137 IS_BONDED 0 SITEPROP SLICE_X22Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y137 IS_PAD 0 SITEPROP SLICE_X22Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y137 IS_RESERVED 0 SITEPROP SLICE_X22Y137 IS_TEST 0 SITEPROP SLICE_X22Y137 IS_USED 0 SITEPROP SLICE_X22Y137 MANUAL_ROUTING SITEPROP SLICE_X22Y137 NAME SLICE_X22Y137 SITEPROP SLICE_X22Y137 NUM_ARCS 138 SITEPROP SLICE_X22Y137 NUM_BELS 32 SITEPROP SLICE_X22Y137 NUM_INPUTS 32 SITEPROP SLICE_X22Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y137 NUM_PINS 45 SITEPROP SLICE_X22Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y137 PROHIBIT 0 SITEPROP SLICE_X22Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y137 RPM_X 69 SITEPROP SLICE_X22Y137 RPM_Y 274 SITEPROP SLICE_X22Y137 SITE_PIPS SITEPROP SLICE_X22Y137 SITE_TYPE SLICEL SITEPROP SLICE_X22Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y138 CLASS site SITEPROP SLICE_X22Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y138 IS_BONDED 0 SITEPROP SLICE_X22Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y138 IS_PAD 0 SITEPROP SLICE_X22Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y138 IS_RESERVED 0 SITEPROP SLICE_X22Y138 IS_TEST 0 SITEPROP SLICE_X22Y138 IS_USED 0 SITEPROP SLICE_X22Y138 MANUAL_ROUTING SITEPROP SLICE_X22Y138 NAME SLICE_X22Y138 SITEPROP SLICE_X22Y138 NUM_ARCS 138 SITEPROP SLICE_X22Y138 NUM_BELS 32 SITEPROP SLICE_X22Y138 NUM_INPUTS 32 SITEPROP SLICE_X22Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y138 NUM_PINS 45 SITEPROP SLICE_X22Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y138 PROHIBIT 0 SITEPROP SLICE_X22Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y138 RPM_X 69 SITEPROP SLICE_X22Y138 RPM_Y 276 SITEPROP SLICE_X22Y138 SITE_PIPS SITEPROP SLICE_X22Y138 SITE_TYPE SLICEL SITEPROP SLICE_X22Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y139 CLASS site SITEPROP SLICE_X22Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y139 IS_BONDED 0 SITEPROP SLICE_X22Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y139 IS_PAD 0 SITEPROP SLICE_X22Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y139 IS_RESERVED 0 SITEPROP SLICE_X22Y139 IS_TEST 0 SITEPROP SLICE_X22Y139 IS_USED 0 SITEPROP SLICE_X22Y139 MANUAL_ROUTING SITEPROP SLICE_X22Y139 NAME SLICE_X22Y139 SITEPROP SLICE_X22Y139 NUM_ARCS 138 SITEPROP SLICE_X22Y139 NUM_BELS 32 SITEPROP SLICE_X22Y139 NUM_INPUTS 32 SITEPROP SLICE_X22Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y139 NUM_PINS 45 SITEPROP SLICE_X22Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y139 PROHIBIT 0 SITEPROP SLICE_X22Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y139 RPM_X 69 SITEPROP SLICE_X22Y139 RPM_Y 278 SITEPROP SLICE_X22Y139 SITE_PIPS SITEPROP SLICE_X22Y139 SITE_TYPE SLICEL SITEPROP SLICE_X22Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y140 CLASS site SITEPROP SLICE_X22Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y140 IS_BONDED 0 SITEPROP SLICE_X22Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y140 IS_PAD 0 SITEPROP SLICE_X22Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y140 IS_RESERVED 0 SITEPROP SLICE_X22Y140 IS_TEST 0 SITEPROP SLICE_X22Y140 IS_USED 0 SITEPROP SLICE_X22Y140 MANUAL_ROUTING SITEPROP SLICE_X22Y140 NAME SLICE_X22Y140 SITEPROP SLICE_X22Y140 NUM_ARCS 138 SITEPROP SLICE_X22Y140 NUM_BELS 32 SITEPROP SLICE_X22Y140 NUM_INPUTS 32 SITEPROP SLICE_X22Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y140 NUM_PINS 45 SITEPROP SLICE_X22Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y140 PROHIBIT 0 SITEPROP SLICE_X22Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y140 RPM_X 69 SITEPROP SLICE_X22Y140 RPM_Y 280 SITEPROP SLICE_X22Y140 SITE_PIPS SITEPROP SLICE_X22Y140 SITE_TYPE SLICEL SITEPROP SLICE_X22Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y141 CLASS site SITEPROP SLICE_X22Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y141 IS_BONDED 0 SITEPROP SLICE_X22Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y141 IS_PAD 0 SITEPROP SLICE_X22Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y141 IS_RESERVED 0 SITEPROP SLICE_X22Y141 IS_TEST 0 SITEPROP SLICE_X22Y141 IS_USED 0 SITEPROP SLICE_X22Y141 MANUAL_ROUTING SITEPROP SLICE_X22Y141 NAME SLICE_X22Y141 SITEPROP SLICE_X22Y141 NUM_ARCS 138 SITEPROP SLICE_X22Y141 NUM_BELS 32 SITEPROP SLICE_X22Y141 NUM_INPUTS 32 SITEPROP SLICE_X22Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y141 NUM_PINS 45 SITEPROP SLICE_X22Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y141 PROHIBIT 0 SITEPROP SLICE_X22Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y141 RPM_X 69 SITEPROP SLICE_X22Y141 RPM_Y 282 SITEPROP SLICE_X22Y141 SITE_PIPS SITEPROP SLICE_X22Y141 SITE_TYPE SLICEL SITEPROP SLICE_X22Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y142 CLASS site SITEPROP SLICE_X22Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y142 IS_BONDED 0 SITEPROP SLICE_X22Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y142 IS_PAD 0 SITEPROP SLICE_X22Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y142 IS_RESERVED 0 SITEPROP SLICE_X22Y142 IS_TEST 0 SITEPROP SLICE_X22Y142 IS_USED 0 SITEPROP SLICE_X22Y142 MANUAL_ROUTING SITEPROP SLICE_X22Y142 NAME SLICE_X22Y142 SITEPROP SLICE_X22Y142 NUM_ARCS 138 SITEPROP SLICE_X22Y142 NUM_BELS 32 SITEPROP SLICE_X22Y142 NUM_INPUTS 32 SITEPROP SLICE_X22Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y142 NUM_PINS 45 SITEPROP SLICE_X22Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y142 PROHIBIT 0 SITEPROP SLICE_X22Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y142 RPM_X 69 SITEPROP SLICE_X22Y142 RPM_Y 284 SITEPROP SLICE_X22Y142 SITE_PIPS SITEPROP SLICE_X22Y142 SITE_TYPE SLICEL SITEPROP SLICE_X22Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y143 CLASS site SITEPROP SLICE_X22Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y143 IS_BONDED 0 SITEPROP SLICE_X22Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y143 IS_PAD 0 SITEPROP SLICE_X22Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y143 IS_RESERVED 0 SITEPROP SLICE_X22Y143 IS_TEST 0 SITEPROP SLICE_X22Y143 IS_USED 0 SITEPROP SLICE_X22Y143 MANUAL_ROUTING SITEPROP SLICE_X22Y143 NAME SLICE_X22Y143 SITEPROP SLICE_X22Y143 NUM_ARCS 138 SITEPROP SLICE_X22Y143 NUM_BELS 32 SITEPROP SLICE_X22Y143 NUM_INPUTS 32 SITEPROP SLICE_X22Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y143 NUM_PINS 45 SITEPROP SLICE_X22Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y143 PROHIBIT 0 SITEPROP SLICE_X22Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y143 RPM_X 69 SITEPROP SLICE_X22Y143 RPM_Y 286 SITEPROP SLICE_X22Y143 SITE_PIPS SITEPROP SLICE_X22Y143 SITE_TYPE SLICEL SITEPROP SLICE_X22Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y144 CLASS site SITEPROP SLICE_X22Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y144 IS_BONDED 0 SITEPROP SLICE_X22Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y144 IS_PAD 0 SITEPROP SLICE_X22Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y144 IS_RESERVED 0 SITEPROP SLICE_X22Y144 IS_TEST 0 SITEPROP SLICE_X22Y144 IS_USED 0 SITEPROP SLICE_X22Y144 MANUAL_ROUTING SITEPROP SLICE_X22Y144 NAME SLICE_X22Y144 SITEPROP SLICE_X22Y144 NUM_ARCS 138 SITEPROP SLICE_X22Y144 NUM_BELS 32 SITEPROP SLICE_X22Y144 NUM_INPUTS 32 SITEPROP SLICE_X22Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y144 NUM_PINS 45 SITEPROP SLICE_X22Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y144 PROHIBIT 0 SITEPROP SLICE_X22Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y144 RPM_X 69 SITEPROP SLICE_X22Y144 RPM_Y 288 SITEPROP SLICE_X22Y144 SITE_PIPS SITEPROP SLICE_X22Y144 SITE_TYPE SLICEL SITEPROP SLICE_X22Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y145 CLASS site SITEPROP SLICE_X22Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y145 IS_BONDED 0 SITEPROP SLICE_X22Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y145 IS_PAD 0 SITEPROP SLICE_X22Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y145 IS_RESERVED 0 SITEPROP SLICE_X22Y145 IS_TEST 0 SITEPROP SLICE_X22Y145 IS_USED 0 SITEPROP SLICE_X22Y145 MANUAL_ROUTING SITEPROP SLICE_X22Y145 NAME SLICE_X22Y145 SITEPROP SLICE_X22Y145 NUM_ARCS 138 SITEPROP SLICE_X22Y145 NUM_BELS 32 SITEPROP SLICE_X22Y145 NUM_INPUTS 32 SITEPROP SLICE_X22Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y145 NUM_PINS 45 SITEPROP SLICE_X22Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y145 PROHIBIT 0 SITEPROP SLICE_X22Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y145 RPM_X 69 SITEPROP SLICE_X22Y145 RPM_Y 290 SITEPROP SLICE_X22Y145 SITE_PIPS SITEPROP SLICE_X22Y145 SITE_TYPE SLICEL SITEPROP SLICE_X22Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y146 CLASS site SITEPROP SLICE_X22Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y146 IS_BONDED 0 SITEPROP SLICE_X22Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y146 IS_PAD 0 SITEPROP SLICE_X22Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y146 IS_RESERVED 0 SITEPROP SLICE_X22Y146 IS_TEST 0 SITEPROP SLICE_X22Y146 IS_USED 0 SITEPROP SLICE_X22Y146 MANUAL_ROUTING SITEPROP SLICE_X22Y146 NAME SLICE_X22Y146 SITEPROP SLICE_X22Y146 NUM_ARCS 138 SITEPROP SLICE_X22Y146 NUM_BELS 32 SITEPROP SLICE_X22Y146 NUM_INPUTS 32 SITEPROP SLICE_X22Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y146 NUM_PINS 45 SITEPROP SLICE_X22Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y146 PROHIBIT 0 SITEPROP SLICE_X22Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y146 RPM_X 69 SITEPROP SLICE_X22Y146 RPM_Y 292 SITEPROP SLICE_X22Y146 SITE_PIPS SITEPROP SLICE_X22Y146 SITE_TYPE SLICEL SITEPROP SLICE_X22Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y147 CLASS site SITEPROP SLICE_X22Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y147 IS_BONDED 0 SITEPROP SLICE_X22Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y147 IS_PAD 0 SITEPROP SLICE_X22Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y147 IS_RESERVED 0 SITEPROP SLICE_X22Y147 IS_TEST 0 SITEPROP SLICE_X22Y147 IS_USED 0 SITEPROP SLICE_X22Y147 MANUAL_ROUTING SITEPROP SLICE_X22Y147 NAME SLICE_X22Y147 SITEPROP SLICE_X22Y147 NUM_ARCS 138 SITEPROP SLICE_X22Y147 NUM_BELS 32 SITEPROP SLICE_X22Y147 NUM_INPUTS 32 SITEPROP SLICE_X22Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y147 NUM_PINS 45 SITEPROP SLICE_X22Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y147 PROHIBIT 0 SITEPROP SLICE_X22Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y147 RPM_X 69 SITEPROP SLICE_X22Y147 RPM_Y 294 SITEPROP SLICE_X22Y147 SITE_PIPS SITEPROP SLICE_X22Y147 SITE_TYPE SLICEL SITEPROP SLICE_X22Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y148 CLASS site SITEPROP SLICE_X22Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y148 IS_BONDED 0 SITEPROP SLICE_X22Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y148 IS_PAD 0 SITEPROP SLICE_X22Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y148 IS_RESERVED 0 SITEPROP SLICE_X22Y148 IS_TEST 0 SITEPROP SLICE_X22Y148 IS_USED 0 SITEPROP SLICE_X22Y148 MANUAL_ROUTING SITEPROP SLICE_X22Y148 NAME SLICE_X22Y148 SITEPROP SLICE_X22Y148 NUM_ARCS 138 SITEPROP SLICE_X22Y148 NUM_BELS 32 SITEPROP SLICE_X22Y148 NUM_INPUTS 32 SITEPROP SLICE_X22Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y148 NUM_PINS 45 SITEPROP SLICE_X22Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y148 PROHIBIT 0 SITEPROP SLICE_X22Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y148 RPM_X 69 SITEPROP SLICE_X22Y148 RPM_Y 296 SITEPROP SLICE_X22Y148 SITE_PIPS SITEPROP SLICE_X22Y148 SITE_TYPE SLICEL SITEPROP SLICE_X22Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X22Y149 CLASS site SITEPROP SLICE_X22Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X22Y149 IS_BONDED 0 SITEPROP SLICE_X22Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X22Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y149 IS_PAD 0 SITEPROP SLICE_X22Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X22Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X22Y149 IS_RESERVED 0 SITEPROP SLICE_X22Y149 IS_TEST 0 SITEPROP SLICE_X22Y149 IS_USED 0 SITEPROP SLICE_X22Y149 MANUAL_ROUTING SITEPROP SLICE_X22Y149 NAME SLICE_X22Y149 SITEPROP SLICE_X22Y149 NUM_ARCS 138 SITEPROP SLICE_X22Y149 NUM_BELS 32 SITEPROP SLICE_X22Y149 NUM_INPUTS 32 SITEPROP SLICE_X22Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X22Y149 NUM_PINS 45 SITEPROP SLICE_X22Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X22Y149 PROHIBIT 0 SITEPROP SLICE_X22Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X22Y149 RPM_X 69 SITEPROP SLICE_X22Y149 RPM_Y 298 SITEPROP SLICE_X22Y149 SITE_PIPS SITEPROP SLICE_X22Y149 SITE_TYPE SLICEL SITEPROP SLICE_X23Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y100 CLASS site SITEPROP SLICE_X23Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y100 IS_BONDED 0 SITEPROP SLICE_X23Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y100 IS_PAD 0 SITEPROP SLICE_X23Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y100 IS_RESERVED 0 SITEPROP SLICE_X23Y100 IS_TEST 0 SITEPROP SLICE_X23Y100 IS_USED 0 SITEPROP SLICE_X23Y100 MANUAL_ROUTING SITEPROP SLICE_X23Y100 NAME SLICE_X23Y100 SITEPROP SLICE_X23Y100 NUM_ARCS 138 SITEPROP SLICE_X23Y100 NUM_BELS 32 SITEPROP SLICE_X23Y100 NUM_INPUTS 32 SITEPROP SLICE_X23Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y100 NUM_PINS 45 SITEPROP SLICE_X23Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y100 PROHIBIT 0 SITEPROP SLICE_X23Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y100 RPM_X 71 SITEPROP SLICE_X23Y100 RPM_Y 200 SITEPROP SLICE_X23Y100 SITE_PIPS SITEPROP SLICE_X23Y100 SITE_TYPE SLICEL SITEPROP SLICE_X23Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y101 CLASS site SITEPROP SLICE_X23Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y101 IS_BONDED 0 SITEPROP SLICE_X23Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y101 IS_PAD 0 SITEPROP SLICE_X23Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y101 IS_RESERVED 0 SITEPROP SLICE_X23Y101 IS_TEST 0 SITEPROP SLICE_X23Y101 IS_USED 0 SITEPROP SLICE_X23Y101 MANUAL_ROUTING SITEPROP SLICE_X23Y101 NAME SLICE_X23Y101 SITEPROP SLICE_X23Y101 NUM_ARCS 138 SITEPROP SLICE_X23Y101 NUM_BELS 32 SITEPROP SLICE_X23Y101 NUM_INPUTS 32 SITEPROP SLICE_X23Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y101 NUM_PINS 45 SITEPROP SLICE_X23Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y101 PROHIBIT 0 SITEPROP SLICE_X23Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y101 RPM_X 71 SITEPROP SLICE_X23Y101 RPM_Y 202 SITEPROP SLICE_X23Y101 SITE_PIPS SITEPROP SLICE_X23Y101 SITE_TYPE SLICEL SITEPROP SLICE_X23Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y102 CLASS site SITEPROP SLICE_X23Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y102 IS_BONDED 0 SITEPROP SLICE_X23Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y102 IS_PAD 0 SITEPROP SLICE_X23Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y102 IS_RESERVED 0 SITEPROP SLICE_X23Y102 IS_TEST 0 SITEPROP SLICE_X23Y102 IS_USED 0 SITEPROP SLICE_X23Y102 MANUAL_ROUTING SITEPROP SLICE_X23Y102 NAME SLICE_X23Y102 SITEPROP SLICE_X23Y102 NUM_ARCS 138 SITEPROP SLICE_X23Y102 NUM_BELS 32 SITEPROP SLICE_X23Y102 NUM_INPUTS 32 SITEPROP SLICE_X23Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y102 NUM_PINS 45 SITEPROP SLICE_X23Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y102 PROHIBIT 0 SITEPROP SLICE_X23Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y102 RPM_X 71 SITEPROP SLICE_X23Y102 RPM_Y 204 SITEPROP SLICE_X23Y102 SITE_PIPS SITEPROP SLICE_X23Y102 SITE_TYPE SLICEL SITEPROP SLICE_X23Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y103 CLASS site SITEPROP SLICE_X23Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y103 IS_BONDED 0 SITEPROP SLICE_X23Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y103 IS_PAD 0 SITEPROP SLICE_X23Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y103 IS_RESERVED 0 SITEPROP SLICE_X23Y103 IS_TEST 0 SITEPROP SLICE_X23Y103 IS_USED 0 SITEPROP SLICE_X23Y103 MANUAL_ROUTING SITEPROP SLICE_X23Y103 NAME SLICE_X23Y103 SITEPROP SLICE_X23Y103 NUM_ARCS 138 SITEPROP SLICE_X23Y103 NUM_BELS 32 SITEPROP SLICE_X23Y103 NUM_INPUTS 32 SITEPROP SLICE_X23Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y103 NUM_PINS 45 SITEPROP SLICE_X23Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y103 PROHIBIT 0 SITEPROP SLICE_X23Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y103 RPM_X 71 SITEPROP SLICE_X23Y103 RPM_Y 206 SITEPROP SLICE_X23Y103 SITE_PIPS SITEPROP SLICE_X23Y103 SITE_TYPE SLICEL SITEPROP SLICE_X23Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y104 CLASS site SITEPROP SLICE_X23Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y104 IS_BONDED 0 SITEPROP SLICE_X23Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y104 IS_PAD 0 SITEPROP SLICE_X23Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y104 IS_RESERVED 0 SITEPROP SLICE_X23Y104 IS_TEST 0 SITEPROP SLICE_X23Y104 IS_USED 0 SITEPROP SLICE_X23Y104 MANUAL_ROUTING SITEPROP SLICE_X23Y104 NAME SLICE_X23Y104 SITEPROP SLICE_X23Y104 NUM_ARCS 138 SITEPROP SLICE_X23Y104 NUM_BELS 32 SITEPROP SLICE_X23Y104 NUM_INPUTS 32 SITEPROP SLICE_X23Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y104 NUM_PINS 45 SITEPROP SLICE_X23Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y104 PROHIBIT 0 SITEPROP SLICE_X23Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y104 RPM_X 71 SITEPROP SLICE_X23Y104 RPM_Y 208 SITEPROP SLICE_X23Y104 SITE_PIPS SITEPROP SLICE_X23Y104 SITE_TYPE SLICEL SITEPROP SLICE_X23Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y105 CLASS site SITEPROP SLICE_X23Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y105 IS_BONDED 0 SITEPROP SLICE_X23Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y105 IS_PAD 0 SITEPROP SLICE_X23Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y105 IS_RESERVED 0 SITEPROP SLICE_X23Y105 IS_TEST 0 SITEPROP SLICE_X23Y105 IS_USED 0 SITEPROP SLICE_X23Y105 MANUAL_ROUTING SITEPROP SLICE_X23Y105 NAME SLICE_X23Y105 SITEPROP SLICE_X23Y105 NUM_ARCS 138 SITEPROP SLICE_X23Y105 NUM_BELS 32 SITEPROP SLICE_X23Y105 NUM_INPUTS 32 SITEPROP SLICE_X23Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y105 NUM_PINS 45 SITEPROP SLICE_X23Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y105 PROHIBIT 0 SITEPROP SLICE_X23Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y105 RPM_X 71 SITEPROP SLICE_X23Y105 RPM_Y 210 SITEPROP SLICE_X23Y105 SITE_PIPS SITEPROP SLICE_X23Y105 SITE_TYPE SLICEL SITEPROP SLICE_X23Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y106 CLASS site SITEPROP SLICE_X23Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y106 IS_BONDED 0 SITEPROP SLICE_X23Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y106 IS_PAD 0 SITEPROP SLICE_X23Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y106 IS_RESERVED 0 SITEPROP SLICE_X23Y106 IS_TEST 0 SITEPROP SLICE_X23Y106 IS_USED 0 SITEPROP SLICE_X23Y106 MANUAL_ROUTING SITEPROP SLICE_X23Y106 NAME SLICE_X23Y106 SITEPROP SLICE_X23Y106 NUM_ARCS 138 SITEPROP SLICE_X23Y106 NUM_BELS 32 SITEPROP SLICE_X23Y106 NUM_INPUTS 32 SITEPROP SLICE_X23Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y106 NUM_PINS 45 SITEPROP SLICE_X23Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y106 PROHIBIT 0 SITEPROP SLICE_X23Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y106 RPM_X 71 SITEPROP SLICE_X23Y106 RPM_Y 212 SITEPROP SLICE_X23Y106 SITE_PIPS SITEPROP SLICE_X23Y106 SITE_TYPE SLICEL SITEPROP SLICE_X23Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y107 CLASS site SITEPROP SLICE_X23Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y107 IS_BONDED 0 SITEPROP SLICE_X23Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y107 IS_PAD 0 SITEPROP SLICE_X23Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y107 IS_RESERVED 0 SITEPROP SLICE_X23Y107 IS_TEST 0 SITEPROP SLICE_X23Y107 IS_USED 0 SITEPROP SLICE_X23Y107 MANUAL_ROUTING SITEPROP SLICE_X23Y107 NAME SLICE_X23Y107 SITEPROP SLICE_X23Y107 NUM_ARCS 138 SITEPROP SLICE_X23Y107 NUM_BELS 32 SITEPROP SLICE_X23Y107 NUM_INPUTS 32 SITEPROP SLICE_X23Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y107 NUM_PINS 45 SITEPROP SLICE_X23Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y107 PROHIBIT 0 SITEPROP SLICE_X23Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y107 RPM_X 71 SITEPROP SLICE_X23Y107 RPM_Y 214 SITEPROP SLICE_X23Y107 SITE_PIPS SITEPROP SLICE_X23Y107 SITE_TYPE SLICEL SITEPROP SLICE_X23Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y108 CLASS site SITEPROP SLICE_X23Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y108 IS_BONDED 0 SITEPROP SLICE_X23Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y108 IS_PAD 0 SITEPROP SLICE_X23Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y108 IS_RESERVED 0 SITEPROP SLICE_X23Y108 IS_TEST 0 SITEPROP SLICE_X23Y108 IS_USED 0 SITEPROP SLICE_X23Y108 MANUAL_ROUTING SITEPROP SLICE_X23Y108 NAME SLICE_X23Y108 SITEPROP SLICE_X23Y108 NUM_ARCS 138 SITEPROP SLICE_X23Y108 NUM_BELS 32 SITEPROP SLICE_X23Y108 NUM_INPUTS 32 SITEPROP SLICE_X23Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y108 NUM_PINS 45 SITEPROP SLICE_X23Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y108 PROHIBIT 0 SITEPROP SLICE_X23Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y108 RPM_X 71 SITEPROP SLICE_X23Y108 RPM_Y 216 SITEPROP SLICE_X23Y108 SITE_PIPS SITEPROP SLICE_X23Y108 SITE_TYPE SLICEL SITEPROP SLICE_X23Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y109 CLASS site SITEPROP SLICE_X23Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y109 IS_BONDED 0 SITEPROP SLICE_X23Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y109 IS_PAD 0 SITEPROP SLICE_X23Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y109 IS_RESERVED 0 SITEPROP SLICE_X23Y109 IS_TEST 0 SITEPROP SLICE_X23Y109 IS_USED 0 SITEPROP SLICE_X23Y109 MANUAL_ROUTING SITEPROP SLICE_X23Y109 NAME SLICE_X23Y109 SITEPROP SLICE_X23Y109 NUM_ARCS 138 SITEPROP SLICE_X23Y109 NUM_BELS 32 SITEPROP SLICE_X23Y109 NUM_INPUTS 32 SITEPROP SLICE_X23Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y109 NUM_PINS 45 SITEPROP SLICE_X23Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y109 PROHIBIT 0 SITEPROP SLICE_X23Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y109 RPM_X 71 SITEPROP SLICE_X23Y109 RPM_Y 218 SITEPROP SLICE_X23Y109 SITE_PIPS SITEPROP SLICE_X23Y109 SITE_TYPE SLICEL SITEPROP SLICE_X23Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y110 CLASS site SITEPROP SLICE_X23Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y110 IS_BONDED 0 SITEPROP SLICE_X23Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y110 IS_PAD 0 SITEPROP SLICE_X23Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y110 IS_RESERVED 0 SITEPROP SLICE_X23Y110 IS_TEST 0 SITEPROP SLICE_X23Y110 IS_USED 0 SITEPROP SLICE_X23Y110 MANUAL_ROUTING SITEPROP SLICE_X23Y110 NAME SLICE_X23Y110 SITEPROP SLICE_X23Y110 NUM_ARCS 138 SITEPROP SLICE_X23Y110 NUM_BELS 32 SITEPROP SLICE_X23Y110 NUM_INPUTS 32 SITEPROP SLICE_X23Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y110 NUM_PINS 45 SITEPROP SLICE_X23Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y110 PROHIBIT 0 SITEPROP SLICE_X23Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y110 RPM_X 71 SITEPROP SLICE_X23Y110 RPM_Y 220 SITEPROP SLICE_X23Y110 SITE_PIPS SITEPROP SLICE_X23Y110 SITE_TYPE SLICEL SITEPROP SLICE_X23Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y111 CLASS site SITEPROP SLICE_X23Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y111 IS_BONDED 0 SITEPROP SLICE_X23Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y111 IS_PAD 0 SITEPROP SLICE_X23Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y111 IS_RESERVED 0 SITEPROP SLICE_X23Y111 IS_TEST 0 SITEPROP SLICE_X23Y111 IS_USED 0 SITEPROP SLICE_X23Y111 MANUAL_ROUTING SITEPROP SLICE_X23Y111 NAME SLICE_X23Y111 SITEPROP SLICE_X23Y111 NUM_ARCS 138 SITEPROP SLICE_X23Y111 NUM_BELS 32 SITEPROP SLICE_X23Y111 NUM_INPUTS 32 SITEPROP SLICE_X23Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y111 NUM_PINS 45 SITEPROP SLICE_X23Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y111 PROHIBIT 0 SITEPROP SLICE_X23Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y111 RPM_X 71 SITEPROP SLICE_X23Y111 RPM_Y 222 SITEPROP SLICE_X23Y111 SITE_PIPS SITEPROP SLICE_X23Y111 SITE_TYPE SLICEL SITEPROP SLICE_X23Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y112 CLASS site SITEPROP SLICE_X23Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y112 IS_BONDED 0 SITEPROP SLICE_X23Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y112 IS_PAD 0 SITEPROP SLICE_X23Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y112 IS_RESERVED 0 SITEPROP SLICE_X23Y112 IS_TEST 0 SITEPROP SLICE_X23Y112 IS_USED 0 SITEPROP SLICE_X23Y112 MANUAL_ROUTING SITEPROP SLICE_X23Y112 NAME SLICE_X23Y112 SITEPROP SLICE_X23Y112 NUM_ARCS 138 SITEPROP SLICE_X23Y112 NUM_BELS 32 SITEPROP SLICE_X23Y112 NUM_INPUTS 32 SITEPROP SLICE_X23Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y112 NUM_PINS 45 SITEPROP SLICE_X23Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y112 PROHIBIT 0 SITEPROP SLICE_X23Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y112 RPM_X 71 SITEPROP SLICE_X23Y112 RPM_Y 224 SITEPROP SLICE_X23Y112 SITE_PIPS SITEPROP SLICE_X23Y112 SITE_TYPE SLICEL SITEPROP SLICE_X23Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y113 CLASS site SITEPROP SLICE_X23Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y113 IS_BONDED 0 SITEPROP SLICE_X23Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y113 IS_PAD 0 SITEPROP SLICE_X23Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y113 IS_RESERVED 0 SITEPROP SLICE_X23Y113 IS_TEST 0 SITEPROP SLICE_X23Y113 IS_USED 0 SITEPROP SLICE_X23Y113 MANUAL_ROUTING SITEPROP SLICE_X23Y113 NAME SLICE_X23Y113 SITEPROP SLICE_X23Y113 NUM_ARCS 138 SITEPROP SLICE_X23Y113 NUM_BELS 32 SITEPROP SLICE_X23Y113 NUM_INPUTS 32 SITEPROP SLICE_X23Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y113 NUM_PINS 45 SITEPROP SLICE_X23Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y113 PROHIBIT 0 SITEPROP SLICE_X23Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y113 RPM_X 71 SITEPROP SLICE_X23Y113 RPM_Y 226 SITEPROP SLICE_X23Y113 SITE_PIPS SITEPROP SLICE_X23Y113 SITE_TYPE SLICEL SITEPROP SLICE_X23Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y114 CLASS site SITEPROP SLICE_X23Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y114 IS_BONDED 0 SITEPROP SLICE_X23Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y114 IS_PAD 0 SITEPROP SLICE_X23Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y114 IS_RESERVED 0 SITEPROP SLICE_X23Y114 IS_TEST 0 SITEPROP SLICE_X23Y114 IS_USED 0 SITEPROP SLICE_X23Y114 MANUAL_ROUTING SITEPROP SLICE_X23Y114 NAME SLICE_X23Y114 SITEPROP SLICE_X23Y114 NUM_ARCS 138 SITEPROP SLICE_X23Y114 NUM_BELS 32 SITEPROP SLICE_X23Y114 NUM_INPUTS 32 SITEPROP SLICE_X23Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y114 NUM_PINS 45 SITEPROP SLICE_X23Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y114 PROHIBIT 0 SITEPROP SLICE_X23Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y114 RPM_X 71 SITEPROP SLICE_X23Y114 RPM_Y 228 SITEPROP SLICE_X23Y114 SITE_PIPS SITEPROP SLICE_X23Y114 SITE_TYPE SLICEL SITEPROP SLICE_X23Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y115 CLASS site SITEPROP SLICE_X23Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y115 IS_BONDED 0 SITEPROP SLICE_X23Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y115 IS_PAD 0 SITEPROP SLICE_X23Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y115 IS_RESERVED 0 SITEPROP SLICE_X23Y115 IS_TEST 0 SITEPROP SLICE_X23Y115 IS_USED 0 SITEPROP SLICE_X23Y115 MANUAL_ROUTING SITEPROP SLICE_X23Y115 NAME SLICE_X23Y115 SITEPROP SLICE_X23Y115 NUM_ARCS 138 SITEPROP SLICE_X23Y115 NUM_BELS 32 SITEPROP SLICE_X23Y115 NUM_INPUTS 32 SITEPROP SLICE_X23Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y115 NUM_PINS 45 SITEPROP SLICE_X23Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y115 PROHIBIT 0 SITEPROP SLICE_X23Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y115 RPM_X 71 SITEPROP SLICE_X23Y115 RPM_Y 230 SITEPROP SLICE_X23Y115 SITE_PIPS SITEPROP SLICE_X23Y115 SITE_TYPE SLICEL SITEPROP SLICE_X23Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y116 CLASS site SITEPROP SLICE_X23Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y116 IS_BONDED 0 SITEPROP SLICE_X23Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y116 IS_PAD 0 SITEPROP SLICE_X23Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y116 IS_RESERVED 0 SITEPROP SLICE_X23Y116 IS_TEST 0 SITEPROP SLICE_X23Y116 IS_USED 0 SITEPROP SLICE_X23Y116 MANUAL_ROUTING SITEPROP SLICE_X23Y116 NAME SLICE_X23Y116 SITEPROP SLICE_X23Y116 NUM_ARCS 138 SITEPROP SLICE_X23Y116 NUM_BELS 32 SITEPROP SLICE_X23Y116 NUM_INPUTS 32 SITEPROP SLICE_X23Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y116 NUM_PINS 45 SITEPROP SLICE_X23Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y116 PROHIBIT 0 SITEPROP SLICE_X23Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y116 RPM_X 71 SITEPROP SLICE_X23Y116 RPM_Y 232 SITEPROP SLICE_X23Y116 SITE_PIPS SITEPROP SLICE_X23Y116 SITE_TYPE SLICEL SITEPROP SLICE_X23Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y117 CLASS site SITEPROP SLICE_X23Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y117 IS_BONDED 0 SITEPROP SLICE_X23Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y117 IS_PAD 0 SITEPROP SLICE_X23Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y117 IS_RESERVED 0 SITEPROP SLICE_X23Y117 IS_TEST 0 SITEPROP SLICE_X23Y117 IS_USED 0 SITEPROP SLICE_X23Y117 MANUAL_ROUTING SITEPROP SLICE_X23Y117 NAME SLICE_X23Y117 SITEPROP SLICE_X23Y117 NUM_ARCS 138 SITEPROP SLICE_X23Y117 NUM_BELS 32 SITEPROP SLICE_X23Y117 NUM_INPUTS 32 SITEPROP SLICE_X23Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y117 NUM_PINS 45 SITEPROP SLICE_X23Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y117 PROHIBIT 0 SITEPROP SLICE_X23Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y117 RPM_X 71 SITEPROP SLICE_X23Y117 RPM_Y 234 SITEPROP SLICE_X23Y117 SITE_PIPS SITEPROP SLICE_X23Y117 SITE_TYPE SLICEL SITEPROP SLICE_X23Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y118 CLASS site SITEPROP SLICE_X23Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y118 IS_BONDED 0 SITEPROP SLICE_X23Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y118 IS_PAD 0 SITEPROP SLICE_X23Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y118 IS_RESERVED 0 SITEPROP SLICE_X23Y118 IS_TEST 0 SITEPROP SLICE_X23Y118 IS_USED 0 SITEPROP SLICE_X23Y118 MANUAL_ROUTING SITEPROP SLICE_X23Y118 NAME SLICE_X23Y118 SITEPROP SLICE_X23Y118 NUM_ARCS 138 SITEPROP SLICE_X23Y118 NUM_BELS 32 SITEPROP SLICE_X23Y118 NUM_INPUTS 32 SITEPROP SLICE_X23Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y118 NUM_PINS 45 SITEPROP SLICE_X23Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y118 PROHIBIT 0 SITEPROP SLICE_X23Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y118 RPM_X 71 SITEPROP SLICE_X23Y118 RPM_Y 236 SITEPROP SLICE_X23Y118 SITE_PIPS SITEPROP SLICE_X23Y118 SITE_TYPE SLICEL SITEPROP SLICE_X23Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y119 CLASS site SITEPROP SLICE_X23Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y119 IS_BONDED 0 SITEPROP SLICE_X23Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y119 IS_PAD 0 SITEPROP SLICE_X23Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y119 IS_RESERVED 0 SITEPROP SLICE_X23Y119 IS_TEST 0 SITEPROP SLICE_X23Y119 IS_USED 0 SITEPROP SLICE_X23Y119 MANUAL_ROUTING SITEPROP SLICE_X23Y119 NAME SLICE_X23Y119 SITEPROP SLICE_X23Y119 NUM_ARCS 138 SITEPROP SLICE_X23Y119 NUM_BELS 32 SITEPROP SLICE_X23Y119 NUM_INPUTS 32 SITEPROP SLICE_X23Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y119 NUM_PINS 45 SITEPROP SLICE_X23Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y119 PROHIBIT 0 SITEPROP SLICE_X23Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y119 RPM_X 71 SITEPROP SLICE_X23Y119 RPM_Y 238 SITEPROP SLICE_X23Y119 SITE_PIPS SITEPROP SLICE_X23Y119 SITE_TYPE SLICEL SITEPROP SLICE_X23Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y120 CLASS site SITEPROP SLICE_X23Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y120 IS_BONDED 0 SITEPROP SLICE_X23Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y120 IS_PAD 0 SITEPROP SLICE_X23Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y120 IS_RESERVED 0 SITEPROP SLICE_X23Y120 IS_TEST 0 SITEPROP SLICE_X23Y120 IS_USED 0 SITEPROP SLICE_X23Y120 MANUAL_ROUTING SITEPROP SLICE_X23Y120 NAME SLICE_X23Y120 SITEPROP SLICE_X23Y120 NUM_ARCS 138 SITEPROP SLICE_X23Y120 NUM_BELS 32 SITEPROP SLICE_X23Y120 NUM_INPUTS 32 SITEPROP SLICE_X23Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y120 NUM_PINS 45 SITEPROP SLICE_X23Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y120 PROHIBIT 0 SITEPROP SLICE_X23Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y120 RPM_X 71 SITEPROP SLICE_X23Y120 RPM_Y 240 SITEPROP SLICE_X23Y120 SITE_PIPS SITEPROP SLICE_X23Y120 SITE_TYPE SLICEL SITEPROP SLICE_X23Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y121 CLASS site SITEPROP SLICE_X23Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y121 IS_BONDED 0 SITEPROP SLICE_X23Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y121 IS_PAD 0 SITEPROP SLICE_X23Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y121 IS_RESERVED 0 SITEPROP SLICE_X23Y121 IS_TEST 0 SITEPROP SLICE_X23Y121 IS_USED 0 SITEPROP SLICE_X23Y121 MANUAL_ROUTING SITEPROP SLICE_X23Y121 NAME SLICE_X23Y121 SITEPROP SLICE_X23Y121 NUM_ARCS 138 SITEPROP SLICE_X23Y121 NUM_BELS 32 SITEPROP SLICE_X23Y121 NUM_INPUTS 32 SITEPROP SLICE_X23Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y121 NUM_PINS 45 SITEPROP SLICE_X23Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y121 PROHIBIT 0 SITEPROP SLICE_X23Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y121 RPM_X 71 SITEPROP SLICE_X23Y121 RPM_Y 242 SITEPROP SLICE_X23Y121 SITE_PIPS SITEPROP SLICE_X23Y121 SITE_TYPE SLICEL SITEPROP SLICE_X23Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y122 CLASS site SITEPROP SLICE_X23Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y122 IS_BONDED 0 SITEPROP SLICE_X23Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y122 IS_PAD 0 SITEPROP SLICE_X23Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y122 IS_RESERVED 0 SITEPROP SLICE_X23Y122 IS_TEST 0 SITEPROP SLICE_X23Y122 IS_USED 0 SITEPROP SLICE_X23Y122 MANUAL_ROUTING SITEPROP SLICE_X23Y122 NAME SLICE_X23Y122 SITEPROP SLICE_X23Y122 NUM_ARCS 138 SITEPROP SLICE_X23Y122 NUM_BELS 32 SITEPROP SLICE_X23Y122 NUM_INPUTS 32 SITEPROP SLICE_X23Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y122 NUM_PINS 45 SITEPROP SLICE_X23Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y122 PROHIBIT 0 SITEPROP SLICE_X23Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y122 RPM_X 71 SITEPROP SLICE_X23Y122 RPM_Y 244 SITEPROP SLICE_X23Y122 SITE_PIPS SITEPROP SLICE_X23Y122 SITE_TYPE SLICEL SITEPROP SLICE_X23Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y123 CLASS site SITEPROP SLICE_X23Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y123 IS_BONDED 0 SITEPROP SLICE_X23Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y123 IS_PAD 0 SITEPROP SLICE_X23Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y123 IS_RESERVED 0 SITEPROP SLICE_X23Y123 IS_TEST 0 SITEPROP SLICE_X23Y123 IS_USED 0 SITEPROP SLICE_X23Y123 MANUAL_ROUTING SITEPROP SLICE_X23Y123 NAME SLICE_X23Y123 SITEPROP SLICE_X23Y123 NUM_ARCS 138 SITEPROP SLICE_X23Y123 NUM_BELS 32 SITEPROP SLICE_X23Y123 NUM_INPUTS 32 SITEPROP SLICE_X23Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y123 NUM_PINS 45 SITEPROP SLICE_X23Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y123 PROHIBIT 0 SITEPROP SLICE_X23Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y123 RPM_X 71 SITEPROP SLICE_X23Y123 RPM_Y 246 SITEPROP SLICE_X23Y123 SITE_PIPS SITEPROP SLICE_X23Y123 SITE_TYPE SLICEL SITEPROP SLICE_X23Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y124 CLASS site SITEPROP SLICE_X23Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y124 IS_BONDED 0 SITEPROP SLICE_X23Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y124 IS_PAD 0 SITEPROP SLICE_X23Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y124 IS_RESERVED 0 SITEPROP SLICE_X23Y124 IS_TEST 0 SITEPROP SLICE_X23Y124 IS_USED 0 SITEPROP SLICE_X23Y124 MANUAL_ROUTING SITEPROP SLICE_X23Y124 NAME SLICE_X23Y124 SITEPROP SLICE_X23Y124 NUM_ARCS 138 SITEPROP SLICE_X23Y124 NUM_BELS 32 SITEPROP SLICE_X23Y124 NUM_INPUTS 32 SITEPROP SLICE_X23Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y124 NUM_PINS 45 SITEPROP SLICE_X23Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y124 PROHIBIT 0 SITEPROP SLICE_X23Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y124 RPM_X 71 SITEPROP SLICE_X23Y124 RPM_Y 248 SITEPROP SLICE_X23Y124 SITE_PIPS SITEPROP SLICE_X23Y124 SITE_TYPE SLICEL SITEPROP SLICE_X23Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y125 CLASS site SITEPROP SLICE_X23Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y125 IS_BONDED 0 SITEPROP SLICE_X23Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y125 IS_PAD 0 SITEPROP SLICE_X23Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y125 IS_RESERVED 0 SITEPROP SLICE_X23Y125 IS_TEST 0 SITEPROP SLICE_X23Y125 IS_USED 0 SITEPROP SLICE_X23Y125 MANUAL_ROUTING SITEPROP SLICE_X23Y125 NAME SLICE_X23Y125 SITEPROP SLICE_X23Y125 NUM_ARCS 138 SITEPROP SLICE_X23Y125 NUM_BELS 32 SITEPROP SLICE_X23Y125 NUM_INPUTS 32 SITEPROP SLICE_X23Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y125 NUM_PINS 45 SITEPROP SLICE_X23Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y125 PROHIBIT 0 SITEPROP SLICE_X23Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y125 RPM_X 71 SITEPROP SLICE_X23Y125 RPM_Y 250 SITEPROP SLICE_X23Y125 SITE_PIPS SITEPROP SLICE_X23Y125 SITE_TYPE SLICEL SITEPROP SLICE_X23Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y126 CLASS site SITEPROP SLICE_X23Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y126 IS_BONDED 0 SITEPROP SLICE_X23Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y126 IS_PAD 0 SITEPROP SLICE_X23Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y126 IS_RESERVED 0 SITEPROP SLICE_X23Y126 IS_TEST 0 SITEPROP SLICE_X23Y126 IS_USED 0 SITEPROP SLICE_X23Y126 MANUAL_ROUTING SITEPROP SLICE_X23Y126 NAME SLICE_X23Y126 SITEPROP SLICE_X23Y126 NUM_ARCS 138 SITEPROP SLICE_X23Y126 NUM_BELS 32 SITEPROP SLICE_X23Y126 NUM_INPUTS 32 SITEPROP SLICE_X23Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y126 NUM_PINS 45 SITEPROP SLICE_X23Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y126 PROHIBIT 0 SITEPROP SLICE_X23Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y126 RPM_X 71 SITEPROP SLICE_X23Y126 RPM_Y 252 SITEPROP SLICE_X23Y126 SITE_PIPS SITEPROP SLICE_X23Y126 SITE_TYPE SLICEL SITEPROP SLICE_X23Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y127 CLASS site SITEPROP SLICE_X23Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y127 IS_BONDED 0 SITEPROP SLICE_X23Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y127 IS_PAD 0 SITEPROP SLICE_X23Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y127 IS_RESERVED 0 SITEPROP SLICE_X23Y127 IS_TEST 0 SITEPROP SLICE_X23Y127 IS_USED 0 SITEPROP SLICE_X23Y127 MANUAL_ROUTING SITEPROP SLICE_X23Y127 NAME SLICE_X23Y127 SITEPROP SLICE_X23Y127 NUM_ARCS 138 SITEPROP SLICE_X23Y127 NUM_BELS 32 SITEPROP SLICE_X23Y127 NUM_INPUTS 32 SITEPROP SLICE_X23Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y127 NUM_PINS 45 SITEPROP SLICE_X23Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y127 PROHIBIT 0 SITEPROP SLICE_X23Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y127 RPM_X 71 SITEPROP SLICE_X23Y127 RPM_Y 254 SITEPROP SLICE_X23Y127 SITE_PIPS SITEPROP SLICE_X23Y127 SITE_TYPE SLICEL SITEPROP SLICE_X23Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y128 CLASS site SITEPROP SLICE_X23Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y128 IS_BONDED 0 SITEPROP SLICE_X23Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y128 IS_PAD 0 SITEPROP SLICE_X23Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y128 IS_RESERVED 0 SITEPROP SLICE_X23Y128 IS_TEST 0 SITEPROP SLICE_X23Y128 IS_USED 0 SITEPROP SLICE_X23Y128 MANUAL_ROUTING SITEPROP SLICE_X23Y128 NAME SLICE_X23Y128 SITEPROP SLICE_X23Y128 NUM_ARCS 138 SITEPROP SLICE_X23Y128 NUM_BELS 32 SITEPROP SLICE_X23Y128 NUM_INPUTS 32 SITEPROP SLICE_X23Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y128 NUM_PINS 45 SITEPROP SLICE_X23Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y128 PROHIBIT 0 SITEPROP SLICE_X23Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y128 RPM_X 71 SITEPROP SLICE_X23Y128 RPM_Y 256 SITEPROP SLICE_X23Y128 SITE_PIPS SITEPROP SLICE_X23Y128 SITE_TYPE SLICEL SITEPROP SLICE_X23Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y129 CLASS site SITEPROP SLICE_X23Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y129 IS_BONDED 0 SITEPROP SLICE_X23Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y129 IS_PAD 0 SITEPROP SLICE_X23Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y129 IS_RESERVED 0 SITEPROP SLICE_X23Y129 IS_TEST 0 SITEPROP SLICE_X23Y129 IS_USED 0 SITEPROP SLICE_X23Y129 MANUAL_ROUTING SITEPROP SLICE_X23Y129 NAME SLICE_X23Y129 SITEPROP SLICE_X23Y129 NUM_ARCS 138 SITEPROP SLICE_X23Y129 NUM_BELS 32 SITEPROP SLICE_X23Y129 NUM_INPUTS 32 SITEPROP SLICE_X23Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y129 NUM_PINS 45 SITEPROP SLICE_X23Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y129 PROHIBIT 0 SITEPROP SLICE_X23Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y129 RPM_X 71 SITEPROP SLICE_X23Y129 RPM_Y 258 SITEPROP SLICE_X23Y129 SITE_PIPS SITEPROP SLICE_X23Y129 SITE_TYPE SLICEL SITEPROP SLICE_X23Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y130 CLASS site SITEPROP SLICE_X23Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y130 IS_BONDED 0 SITEPROP SLICE_X23Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y130 IS_PAD 0 SITEPROP SLICE_X23Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y130 IS_RESERVED 0 SITEPROP SLICE_X23Y130 IS_TEST 0 SITEPROP SLICE_X23Y130 IS_USED 0 SITEPROP SLICE_X23Y130 MANUAL_ROUTING SITEPROP SLICE_X23Y130 NAME SLICE_X23Y130 SITEPROP SLICE_X23Y130 NUM_ARCS 138 SITEPROP SLICE_X23Y130 NUM_BELS 32 SITEPROP SLICE_X23Y130 NUM_INPUTS 32 SITEPROP SLICE_X23Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y130 NUM_PINS 45 SITEPROP SLICE_X23Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y130 PROHIBIT 0 SITEPROP SLICE_X23Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y130 RPM_X 71 SITEPROP SLICE_X23Y130 RPM_Y 260 SITEPROP SLICE_X23Y130 SITE_PIPS SITEPROP SLICE_X23Y130 SITE_TYPE SLICEL SITEPROP SLICE_X23Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y131 CLASS site SITEPROP SLICE_X23Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y131 IS_BONDED 0 SITEPROP SLICE_X23Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y131 IS_PAD 0 SITEPROP SLICE_X23Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y131 IS_RESERVED 0 SITEPROP SLICE_X23Y131 IS_TEST 0 SITEPROP SLICE_X23Y131 IS_USED 0 SITEPROP SLICE_X23Y131 MANUAL_ROUTING SITEPROP SLICE_X23Y131 NAME SLICE_X23Y131 SITEPROP SLICE_X23Y131 NUM_ARCS 138 SITEPROP SLICE_X23Y131 NUM_BELS 32 SITEPROP SLICE_X23Y131 NUM_INPUTS 32 SITEPROP SLICE_X23Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y131 NUM_PINS 45 SITEPROP SLICE_X23Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y131 PROHIBIT 0 SITEPROP SLICE_X23Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y131 RPM_X 71 SITEPROP SLICE_X23Y131 RPM_Y 262 SITEPROP SLICE_X23Y131 SITE_PIPS SITEPROP SLICE_X23Y131 SITE_TYPE SLICEL SITEPROP SLICE_X23Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y132 CLASS site SITEPROP SLICE_X23Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y132 IS_BONDED 0 SITEPROP SLICE_X23Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y132 IS_PAD 0 SITEPROP SLICE_X23Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y132 IS_RESERVED 0 SITEPROP SLICE_X23Y132 IS_TEST 0 SITEPROP SLICE_X23Y132 IS_USED 0 SITEPROP SLICE_X23Y132 MANUAL_ROUTING SITEPROP SLICE_X23Y132 NAME SLICE_X23Y132 SITEPROP SLICE_X23Y132 NUM_ARCS 138 SITEPROP SLICE_X23Y132 NUM_BELS 32 SITEPROP SLICE_X23Y132 NUM_INPUTS 32 SITEPROP SLICE_X23Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y132 NUM_PINS 45 SITEPROP SLICE_X23Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y132 PROHIBIT 0 SITEPROP SLICE_X23Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y132 RPM_X 71 SITEPROP SLICE_X23Y132 RPM_Y 264 SITEPROP SLICE_X23Y132 SITE_PIPS SITEPROP SLICE_X23Y132 SITE_TYPE SLICEL SITEPROP SLICE_X23Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y133 CLASS site SITEPROP SLICE_X23Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y133 IS_BONDED 0 SITEPROP SLICE_X23Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y133 IS_PAD 0 SITEPROP SLICE_X23Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y133 IS_RESERVED 0 SITEPROP SLICE_X23Y133 IS_TEST 0 SITEPROP SLICE_X23Y133 IS_USED 0 SITEPROP SLICE_X23Y133 MANUAL_ROUTING SITEPROP SLICE_X23Y133 NAME SLICE_X23Y133 SITEPROP SLICE_X23Y133 NUM_ARCS 138 SITEPROP SLICE_X23Y133 NUM_BELS 32 SITEPROP SLICE_X23Y133 NUM_INPUTS 32 SITEPROP SLICE_X23Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y133 NUM_PINS 45 SITEPROP SLICE_X23Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y133 PROHIBIT 0 SITEPROP SLICE_X23Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y133 RPM_X 71 SITEPROP SLICE_X23Y133 RPM_Y 266 SITEPROP SLICE_X23Y133 SITE_PIPS SITEPROP SLICE_X23Y133 SITE_TYPE SLICEL SITEPROP SLICE_X23Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y134 CLASS site SITEPROP SLICE_X23Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y134 IS_BONDED 0 SITEPROP SLICE_X23Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y134 IS_PAD 0 SITEPROP SLICE_X23Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y134 IS_RESERVED 0 SITEPROP SLICE_X23Y134 IS_TEST 0 SITEPROP SLICE_X23Y134 IS_USED 0 SITEPROP SLICE_X23Y134 MANUAL_ROUTING SITEPROP SLICE_X23Y134 NAME SLICE_X23Y134 SITEPROP SLICE_X23Y134 NUM_ARCS 138 SITEPROP SLICE_X23Y134 NUM_BELS 32 SITEPROP SLICE_X23Y134 NUM_INPUTS 32 SITEPROP SLICE_X23Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y134 NUM_PINS 45 SITEPROP SLICE_X23Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y134 PROHIBIT 0 SITEPROP SLICE_X23Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y134 RPM_X 71 SITEPROP SLICE_X23Y134 RPM_Y 268 SITEPROP SLICE_X23Y134 SITE_PIPS SITEPROP SLICE_X23Y134 SITE_TYPE SLICEL SITEPROP SLICE_X23Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y135 CLASS site SITEPROP SLICE_X23Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y135 IS_BONDED 0 SITEPROP SLICE_X23Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y135 IS_PAD 0 SITEPROP SLICE_X23Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y135 IS_RESERVED 0 SITEPROP SLICE_X23Y135 IS_TEST 0 SITEPROP SLICE_X23Y135 IS_USED 0 SITEPROP SLICE_X23Y135 MANUAL_ROUTING SITEPROP SLICE_X23Y135 NAME SLICE_X23Y135 SITEPROP SLICE_X23Y135 NUM_ARCS 138 SITEPROP SLICE_X23Y135 NUM_BELS 32 SITEPROP SLICE_X23Y135 NUM_INPUTS 32 SITEPROP SLICE_X23Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y135 NUM_PINS 45 SITEPROP SLICE_X23Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y135 PROHIBIT 0 SITEPROP SLICE_X23Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y135 RPM_X 71 SITEPROP SLICE_X23Y135 RPM_Y 270 SITEPROP SLICE_X23Y135 SITE_PIPS SITEPROP SLICE_X23Y135 SITE_TYPE SLICEL SITEPROP SLICE_X23Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y136 CLASS site SITEPROP SLICE_X23Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y136 IS_BONDED 0 SITEPROP SLICE_X23Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y136 IS_PAD 0 SITEPROP SLICE_X23Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y136 IS_RESERVED 0 SITEPROP SLICE_X23Y136 IS_TEST 0 SITEPROP SLICE_X23Y136 IS_USED 0 SITEPROP SLICE_X23Y136 MANUAL_ROUTING SITEPROP SLICE_X23Y136 NAME SLICE_X23Y136 SITEPROP SLICE_X23Y136 NUM_ARCS 138 SITEPROP SLICE_X23Y136 NUM_BELS 32 SITEPROP SLICE_X23Y136 NUM_INPUTS 32 SITEPROP SLICE_X23Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y136 NUM_PINS 45 SITEPROP SLICE_X23Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y136 PROHIBIT 0 SITEPROP SLICE_X23Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y136 RPM_X 71 SITEPROP SLICE_X23Y136 RPM_Y 272 SITEPROP SLICE_X23Y136 SITE_PIPS SITEPROP SLICE_X23Y136 SITE_TYPE SLICEL SITEPROP SLICE_X23Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y137 CLASS site SITEPROP SLICE_X23Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y137 IS_BONDED 0 SITEPROP SLICE_X23Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y137 IS_PAD 0 SITEPROP SLICE_X23Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y137 IS_RESERVED 0 SITEPROP SLICE_X23Y137 IS_TEST 0 SITEPROP SLICE_X23Y137 IS_USED 0 SITEPROP SLICE_X23Y137 MANUAL_ROUTING SITEPROP SLICE_X23Y137 NAME SLICE_X23Y137 SITEPROP SLICE_X23Y137 NUM_ARCS 138 SITEPROP SLICE_X23Y137 NUM_BELS 32 SITEPROP SLICE_X23Y137 NUM_INPUTS 32 SITEPROP SLICE_X23Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y137 NUM_PINS 45 SITEPROP SLICE_X23Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y137 PROHIBIT 0 SITEPROP SLICE_X23Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y137 RPM_X 71 SITEPROP SLICE_X23Y137 RPM_Y 274 SITEPROP SLICE_X23Y137 SITE_PIPS SITEPROP SLICE_X23Y137 SITE_TYPE SLICEL SITEPROP SLICE_X23Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y138 CLASS site SITEPROP SLICE_X23Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y138 IS_BONDED 0 SITEPROP SLICE_X23Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y138 IS_PAD 0 SITEPROP SLICE_X23Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y138 IS_RESERVED 0 SITEPROP SLICE_X23Y138 IS_TEST 0 SITEPROP SLICE_X23Y138 IS_USED 0 SITEPROP SLICE_X23Y138 MANUAL_ROUTING SITEPROP SLICE_X23Y138 NAME SLICE_X23Y138 SITEPROP SLICE_X23Y138 NUM_ARCS 138 SITEPROP SLICE_X23Y138 NUM_BELS 32 SITEPROP SLICE_X23Y138 NUM_INPUTS 32 SITEPROP SLICE_X23Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y138 NUM_PINS 45 SITEPROP SLICE_X23Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y138 PROHIBIT 0 SITEPROP SLICE_X23Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y138 RPM_X 71 SITEPROP SLICE_X23Y138 RPM_Y 276 SITEPROP SLICE_X23Y138 SITE_PIPS SITEPROP SLICE_X23Y138 SITE_TYPE SLICEL SITEPROP SLICE_X23Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y139 CLASS site SITEPROP SLICE_X23Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y139 IS_BONDED 0 SITEPROP SLICE_X23Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y139 IS_PAD 0 SITEPROP SLICE_X23Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y139 IS_RESERVED 0 SITEPROP SLICE_X23Y139 IS_TEST 0 SITEPROP SLICE_X23Y139 IS_USED 0 SITEPROP SLICE_X23Y139 MANUAL_ROUTING SITEPROP SLICE_X23Y139 NAME SLICE_X23Y139 SITEPROP SLICE_X23Y139 NUM_ARCS 138 SITEPROP SLICE_X23Y139 NUM_BELS 32 SITEPROP SLICE_X23Y139 NUM_INPUTS 32 SITEPROP SLICE_X23Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y139 NUM_PINS 45 SITEPROP SLICE_X23Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y139 PROHIBIT 0 SITEPROP SLICE_X23Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y139 RPM_X 71 SITEPROP SLICE_X23Y139 RPM_Y 278 SITEPROP SLICE_X23Y139 SITE_PIPS SITEPROP SLICE_X23Y139 SITE_TYPE SLICEL SITEPROP SLICE_X23Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y140 CLASS site SITEPROP SLICE_X23Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y140 IS_BONDED 0 SITEPROP SLICE_X23Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y140 IS_PAD 0 SITEPROP SLICE_X23Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y140 IS_RESERVED 0 SITEPROP SLICE_X23Y140 IS_TEST 0 SITEPROP SLICE_X23Y140 IS_USED 0 SITEPROP SLICE_X23Y140 MANUAL_ROUTING SITEPROP SLICE_X23Y140 NAME SLICE_X23Y140 SITEPROP SLICE_X23Y140 NUM_ARCS 138 SITEPROP SLICE_X23Y140 NUM_BELS 32 SITEPROP SLICE_X23Y140 NUM_INPUTS 32 SITEPROP SLICE_X23Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y140 NUM_PINS 45 SITEPROP SLICE_X23Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y140 PROHIBIT 0 SITEPROP SLICE_X23Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y140 RPM_X 71 SITEPROP SLICE_X23Y140 RPM_Y 280 SITEPROP SLICE_X23Y140 SITE_PIPS SITEPROP SLICE_X23Y140 SITE_TYPE SLICEL SITEPROP SLICE_X23Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y141 CLASS site SITEPROP SLICE_X23Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y141 IS_BONDED 0 SITEPROP SLICE_X23Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y141 IS_PAD 0 SITEPROP SLICE_X23Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y141 IS_RESERVED 0 SITEPROP SLICE_X23Y141 IS_TEST 0 SITEPROP SLICE_X23Y141 IS_USED 0 SITEPROP SLICE_X23Y141 MANUAL_ROUTING SITEPROP SLICE_X23Y141 NAME SLICE_X23Y141 SITEPROP SLICE_X23Y141 NUM_ARCS 138 SITEPROP SLICE_X23Y141 NUM_BELS 32 SITEPROP SLICE_X23Y141 NUM_INPUTS 32 SITEPROP SLICE_X23Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y141 NUM_PINS 45 SITEPROP SLICE_X23Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y141 PROHIBIT 0 SITEPROP SLICE_X23Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y141 RPM_X 71 SITEPROP SLICE_X23Y141 RPM_Y 282 SITEPROP SLICE_X23Y141 SITE_PIPS SITEPROP SLICE_X23Y141 SITE_TYPE SLICEL SITEPROP SLICE_X23Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y142 CLASS site SITEPROP SLICE_X23Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y142 IS_BONDED 0 SITEPROP SLICE_X23Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y142 IS_PAD 0 SITEPROP SLICE_X23Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y142 IS_RESERVED 0 SITEPROP SLICE_X23Y142 IS_TEST 0 SITEPROP SLICE_X23Y142 IS_USED 0 SITEPROP SLICE_X23Y142 MANUAL_ROUTING SITEPROP SLICE_X23Y142 NAME SLICE_X23Y142 SITEPROP SLICE_X23Y142 NUM_ARCS 138 SITEPROP SLICE_X23Y142 NUM_BELS 32 SITEPROP SLICE_X23Y142 NUM_INPUTS 32 SITEPROP SLICE_X23Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y142 NUM_PINS 45 SITEPROP SLICE_X23Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y142 PROHIBIT 0 SITEPROP SLICE_X23Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y142 RPM_X 71 SITEPROP SLICE_X23Y142 RPM_Y 284 SITEPROP SLICE_X23Y142 SITE_PIPS SITEPROP SLICE_X23Y142 SITE_TYPE SLICEL SITEPROP SLICE_X23Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y143 CLASS site SITEPROP SLICE_X23Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y143 IS_BONDED 0 SITEPROP SLICE_X23Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y143 IS_PAD 0 SITEPROP SLICE_X23Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y143 IS_RESERVED 0 SITEPROP SLICE_X23Y143 IS_TEST 0 SITEPROP SLICE_X23Y143 IS_USED 0 SITEPROP SLICE_X23Y143 MANUAL_ROUTING SITEPROP SLICE_X23Y143 NAME SLICE_X23Y143 SITEPROP SLICE_X23Y143 NUM_ARCS 138 SITEPROP SLICE_X23Y143 NUM_BELS 32 SITEPROP SLICE_X23Y143 NUM_INPUTS 32 SITEPROP SLICE_X23Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y143 NUM_PINS 45 SITEPROP SLICE_X23Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y143 PROHIBIT 0 SITEPROP SLICE_X23Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y143 RPM_X 71 SITEPROP SLICE_X23Y143 RPM_Y 286 SITEPROP SLICE_X23Y143 SITE_PIPS SITEPROP SLICE_X23Y143 SITE_TYPE SLICEL SITEPROP SLICE_X23Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y144 CLASS site SITEPROP SLICE_X23Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y144 IS_BONDED 0 SITEPROP SLICE_X23Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y144 IS_PAD 0 SITEPROP SLICE_X23Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y144 IS_RESERVED 0 SITEPROP SLICE_X23Y144 IS_TEST 0 SITEPROP SLICE_X23Y144 IS_USED 0 SITEPROP SLICE_X23Y144 MANUAL_ROUTING SITEPROP SLICE_X23Y144 NAME SLICE_X23Y144 SITEPROP SLICE_X23Y144 NUM_ARCS 138 SITEPROP SLICE_X23Y144 NUM_BELS 32 SITEPROP SLICE_X23Y144 NUM_INPUTS 32 SITEPROP SLICE_X23Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y144 NUM_PINS 45 SITEPROP SLICE_X23Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y144 PROHIBIT 0 SITEPROP SLICE_X23Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y144 RPM_X 71 SITEPROP SLICE_X23Y144 RPM_Y 288 SITEPROP SLICE_X23Y144 SITE_PIPS SITEPROP SLICE_X23Y144 SITE_TYPE SLICEL SITEPROP SLICE_X23Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y145 CLASS site SITEPROP SLICE_X23Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y145 IS_BONDED 0 SITEPROP SLICE_X23Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y145 IS_PAD 0 SITEPROP SLICE_X23Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y145 IS_RESERVED 0 SITEPROP SLICE_X23Y145 IS_TEST 0 SITEPROP SLICE_X23Y145 IS_USED 0 SITEPROP SLICE_X23Y145 MANUAL_ROUTING SITEPROP SLICE_X23Y145 NAME SLICE_X23Y145 SITEPROP SLICE_X23Y145 NUM_ARCS 138 SITEPROP SLICE_X23Y145 NUM_BELS 32 SITEPROP SLICE_X23Y145 NUM_INPUTS 32 SITEPROP SLICE_X23Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y145 NUM_PINS 45 SITEPROP SLICE_X23Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y145 PROHIBIT 0 SITEPROP SLICE_X23Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y145 RPM_X 71 SITEPROP SLICE_X23Y145 RPM_Y 290 SITEPROP SLICE_X23Y145 SITE_PIPS SITEPROP SLICE_X23Y145 SITE_TYPE SLICEL SITEPROP SLICE_X23Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y146 CLASS site SITEPROP SLICE_X23Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y146 IS_BONDED 0 SITEPROP SLICE_X23Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y146 IS_PAD 0 SITEPROP SLICE_X23Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y146 IS_RESERVED 0 SITEPROP SLICE_X23Y146 IS_TEST 0 SITEPROP SLICE_X23Y146 IS_USED 0 SITEPROP SLICE_X23Y146 MANUAL_ROUTING SITEPROP SLICE_X23Y146 NAME SLICE_X23Y146 SITEPROP SLICE_X23Y146 NUM_ARCS 138 SITEPROP SLICE_X23Y146 NUM_BELS 32 SITEPROP SLICE_X23Y146 NUM_INPUTS 32 SITEPROP SLICE_X23Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y146 NUM_PINS 45 SITEPROP SLICE_X23Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y146 PROHIBIT 0 SITEPROP SLICE_X23Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y146 RPM_X 71 SITEPROP SLICE_X23Y146 RPM_Y 292 SITEPROP SLICE_X23Y146 SITE_PIPS SITEPROP SLICE_X23Y146 SITE_TYPE SLICEL SITEPROP SLICE_X23Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y147 CLASS site SITEPROP SLICE_X23Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y147 IS_BONDED 0 SITEPROP SLICE_X23Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y147 IS_PAD 0 SITEPROP SLICE_X23Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y147 IS_RESERVED 0 SITEPROP SLICE_X23Y147 IS_TEST 0 SITEPROP SLICE_X23Y147 IS_USED 0 SITEPROP SLICE_X23Y147 MANUAL_ROUTING SITEPROP SLICE_X23Y147 NAME SLICE_X23Y147 SITEPROP SLICE_X23Y147 NUM_ARCS 138 SITEPROP SLICE_X23Y147 NUM_BELS 32 SITEPROP SLICE_X23Y147 NUM_INPUTS 32 SITEPROP SLICE_X23Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y147 NUM_PINS 45 SITEPROP SLICE_X23Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y147 PROHIBIT 0 SITEPROP SLICE_X23Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y147 RPM_X 71 SITEPROP SLICE_X23Y147 RPM_Y 294 SITEPROP SLICE_X23Y147 SITE_PIPS SITEPROP SLICE_X23Y147 SITE_TYPE SLICEL SITEPROP SLICE_X23Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y148 CLASS site SITEPROP SLICE_X23Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y148 IS_BONDED 0 SITEPROP SLICE_X23Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y148 IS_PAD 0 SITEPROP SLICE_X23Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y148 IS_RESERVED 0 SITEPROP SLICE_X23Y148 IS_TEST 0 SITEPROP SLICE_X23Y148 IS_USED 0 SITEPROP SLICE_X23Y148 MANUAL_ROUTING SITEPROP SLICE_X23Y148 NAME SLICE_X23Y148 SITEPROP SLICE_X23Y148 NUM_ARCS 138 SITEPROP SLICE_X23Y148 NUM_BELS 32 SITEPROP SLICE_X23Y148 NUM_INPUTS 32 SITEPROP SLICE_X23Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y148 NUM_PINS 45 SITEPROP SLICE_X23Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y148 PROHIBIT 0 SITEPROP SLICE_X23Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y148 RPM_X 71 SITEPROP SLICE_X23Y148 RPM_Y 296 SITEPROP SLICE_X23Y148 SITE_PIPS SITEPROP SLICE_X23Y148 SITE_TYPE SLICEL SITEPROP SLICE_X23Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X23Y149 CLASS site SITEPROP SLICE_X23Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X23Y149 IS_BONDED 0 SITEPROP SLICE_X23Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X23Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y149 IS_PAD 0 SITEPROP SLICE_X23Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X23Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X23Y149 IS_RESERVED 0 SITEPROP SLICE_X23Y149 IS_TEST 0 SITEPROP SLICE_X23Y149 IS_USED 0 SITEPROP SLICE_X23Y149 MANUAL_ROUTING SITEPROP SLICE_X23Y149 NAME SLICE_X23Y149 SITEPROP SLICE_X23Y149 NUM_ARCS 138 SITEPROP SLICE_X23Y149 NUM_BELS 32 SITEPROP SLICE_X23Y149 NUM_INPUTS 32 SITEPROP SLICE_X23Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X23Y149 NUM_PINS 45 SITEPROP SLICE_X23Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X23Y149 PROHIBIT 0 SITEPROP SLICE_X23Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X23Y149 RPM_X 71 SITEPROP SLICE_X23Y149 RPM_Y 298 SITEPROP SLICE_X23Y149 SITE_PIPS SITEPROP SLICE_X23Y149 SITE_TYPE SLICEL SITEPROP SLICE_X24Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y100 CLASS site SITEPROP SLICE_X24Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y100 IS_BONDED 0 SITEPROP SLICE_X24Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y100 IS_PAD 0 SITEPROP SLICE_X24Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y100 IS_RESERVED 0 SITEPROP SLICE_X24Y100 IS_TEST 0 SITEPROP SLICE_X24Y100 IS_USED 0 SITEPROP SLICE_X24Y100 MANUAL_ROUTING SITEPROP SLICE_X24Y100 NAME SLICE_X24Y100 SITEPROP SLICE_X24Y100 NUM_ARCS 138 SITEPROP SLICE_X24Y100 NUM_BELS 32 SITEPROP SLICE_X24Y100 NUM_INPUTS 32 SITEPROP SLICE_X24Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y100 NUM_PINS 45 SITEPROP SLICE_X24Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y100 PROHIBIT 0 SITEPROP SLICE_X24Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y100 RPM_X 73 SITEPROP SLICE_X24Y100 RPM_Y 200 SITEPROP SLICE_X24Y100 SITE_PIPS SITEPROP SLICE_X24Y100 SITE_TYPE SLICEL SITEPROP SLICE_X24Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y101 CLASS site SITEPROP SLICE_X24Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y101 IS_BONDED 0 SITEPROP SLICE_X24Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y101 IS_PAD 0 SITEPROP SLICE_X24Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y101 IS_RESERVED 0 SITEPROP SLICE_X24Y101 IS_TEST 0 SITEPROP SLICE_X24Y101 IS_USED 0 SITEPROP SLICE_X24Y101 MANUAL_ROUTING SITEPROP SLICE_X24Y101 NAME SLICE_X24Y101 SITEPROP SLICE_X24Y101 NUM_ARCS 138 SITEPROP SLICE_X24Y101 NUM_BELS 32 SITEPROP SLICE_X24Y101 NUM_INPUTS 32 SITEPROP SLICE_X24Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y101 NUM_PINS 45 SITEPROP SLICE_X24Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y101 PROHIBIT 0 SITEPROP SLICE_X24Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y101 RPM_X 73 SITEPROP SLICE_X24Y101 RPM_Y 202 SITEPROP SLICE_X24Y101 SITE_PIPS SITEPROP SLICE_X24Y101 SITE_TYPE SLICEL SITEPROP SLICE_X24Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y102 CLASS site SITEPROP SLICE_X24Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y102 IS_BONDED 0 SITEPROP SLICE_X24Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y102 IS_PAD 0 SITEPROP SLICE_X24Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y102 IS_RESERVED 0 SITEPROP SLICE_X24Y102 IS_TEST 0 SITEPROP SLICE_X24Y102 IS_USED 0 SITEPROP SLICE_X24Y102 MANUAL_ROUTING SITEPROP SLICE_X24Y102 NAME SLICE_X24Y102 SITEPROP SLICE_X24Y102 NUM_ARCS 138 SITEPROP SLICE_X24Y102 NUM_BELS 32 SITEPROP SLICE_X24Y102 NUM_INPUTS 32 SITEPROP SLICE_X24Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y102 NUM_PINS 45 SITEPROP SLICE_X24Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y102 PROHIBIT 0 SITEPROP SLICE_X24Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y102 RPM_X 73 SITEPROP SLICE_X24Y102 RPM_Y 204 SITEPROP SLICE_X24Y102 SITE_PIPS SITEPROP SLICE_X24Y102 SITE_TYPE SLICEL SITEPROP SLICE_X24Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y103 CLASS site SITEPROP SLICE_X24Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y103 IS_BONDED 0 SITEPROP SLICE_X24Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y103 IS_PAD 0 SITEPROP SLICE_X24Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y103 IS_RESERVED 0 SITEPROP SLICE_X24Y103 IS_TEST 0 SITEPROP SLICE_X24Y103 IS_USED 0 SITEPROP SLICE_X24Y103 MANUAL_ROUTING SITEPROP SLICE_X24Y103 NAME SLICE_X24Y103 SITEPROP SLICE_X24Y103 NUM_ARCS 138 SITEPROP SLICE_X24Y103 NUM_BELS 32 SITEPROP SLICE_X24Y103 NUM_INPUTS 32 SITEPROP SLICE_X24Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y103 NUM_PINS 45 SITEPROP SLICE_X24Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y103 PROHIBIT 0 SITEPROP SLICE_X24Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y103 RPM_X 73 SITEPROP SLICE_X24Y103 RPM_Y 206 SITEPROP SLICE_X24Y103 SITE_PIPS SITEPROP SLICE_X24Y103 SITE_TYPE SLICEL SITEPROP SLICE_X24Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y104 CLASS site SITEPROP SLICE_X24Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y104 IS_BONDED 0 SITEPROP SLICE_X24Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y104 IS_PAD 0 SITEPROP SLICE_X24Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y104 IS_RESERVED 0 SITEPROP SLICE_X24Y104 IS_TEST 0 SITEPROP SLICE_X24Y104 IS_USED 0 SITEPROP SLICE_X24Y104 MANUAL_ROUTING SITEPROP SLICE_X24Y104 NAME SLICE_X24Y104 SITEPROP SLICE_X24Y104 NUM_ARCS 138 SITEPROP SLICE_X24Y104 NUM_BELS 32 SITEPROP SLICE_X24Y104 NUM_INPUTS 32 SITEPROP SLICE_X24Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y104 NUM_PINS 45 SITEPROP SLICE_X24Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y104 PROHIBIT 0 SITEPROP SLICE_X24Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y104 RPM_X 73 SITEPROP SLICE_X24Y104 RPM_Y 208 SITEPROP SLICE_X24Y104 SITE_PIPS SITEPROP SLICE_X24Y104 SITE_TYPE SLICEL SITEPROP SLICE_X24Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y105 CLASS site SITEPROP SLICE_X24Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y105 IS_BONDED 0 SITEPROP SLICE_X24Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y105 IS_PAD 0 SITEPROP SLICE_X24Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y105 IS_RESERVED 0 SITEPROP SLICE_X24Y105 IS_TEST 0 SITEPROP SLICE_X24Y105 IS_USED 0 SITEPROP SLICE_X24Y105 MANUAL_ROUTING SITEPROP SLICE_X24Y105 NAME SLICE_X24Y105 SITEPROP SLICE_X24Y105 NUM_ARCS 138 SITEPROP SLICE_X24Y105 NUM_BELS 32 SITEPROP SLICE_X24Y105 NUM_INPUTS 32 SITEPROP SLICE_X24Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y105 NUM_PINS 45 SITEPROP SLICE_X24Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y105 PROHIBIT 0 SITEPROP SLICE_X24Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y105 RPM_X 73 SITEPROP SLICE_X24Y105 RPM_Y 210 SITEPROP SLICE_X24Y105 SITE_PIPS SITEPROP SLICE_X24Y105 SITE_TYPE SLICEL SITEPROP SLICE_X24Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y106 CLASS site SITEPROP SLICE_X24Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y106 IS_BONDED 0 SITEPROP SLICE_X24Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y106 IS_PAD 0 SITEPROP SLICE_X24Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y106 IS_RESERVED 0 SITEPROP SLICE_X24Y106 IS_TEST 0 SITEPROP SLICE_X24Y106 IS_USED 0 SITEPROP SLICE_X24Y106 MANUAL_ROUTING SITEPROP SLICE_X24Y106 NAME SLICE_X24Y106 SITEPROP SLICE_X24Y106 NUM_ARCS 138 SITEPROP SLICE_X24Y106 NUM_BELS 32 SITEPROP SLICE_X24Y106 NUM_INPUTS 32 SITEPROP SLICE_X24Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y106 NUM_PINS 45 SITEPROP SLICE_X24Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y106 PROHIBIT 0 SITEPROP SLICE_X24Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y106 RPM_X 73 SITEPROP SLICE_X24Y106 RPM_Y 212 SITEPROP SLICE_X24Y106 SITE_PIPS SITEPROP SLICE_X24Y106 SITE_TYPE SLICEL SITEPROP SLICE_X24Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y107 CLASS site SITEPROP SLICE_X24Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y107 IS_BONDED 0 SITEPROP SLICE_X24Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y107 IS_PAD 0 SITEPROP SLICE_X24Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y107 IS_RESERVED 0 SITEPROP SLICE_X24Y107 IS_TEST 0 SITEPROP SLICE_X24Y107 IS_USED 0 SITEPROP SLICE_X24Y107 MANUAL_ROUTING SITEPROP SLICE_X24Y107 NAME SLICE_X24Y107 SITEPROP SLICE_X24Y107 NUM_ARCS 138 SITEPROP SLICE_X24Y107 NUM_BELS 32 SITEPROP SLICE_X24Y107 NUM_INPUTS 32 SITEPROP SLICE_X24Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y107 NUM_PINS 45 SITEPROP SLICE_X24Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y107 PROHIBIT 0 SITEPROP SLICE_X24Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y107 RPM_X 73 SITEPROP SLICE_X24Y107 RPM_Y 214 SITEPROP SLICE_X24Y107 SITE_PIPS SITEPROP SLICE_X24Y107 SITE_TYPE SLICEL SITEPROP SLICE_X24Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y108 CLASS site SITEPROP SLICE_X24Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y108 IS_BONDED 0 SITEPROP SLICE_X24Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y108 IS_PAD 0 SITEPROP SLICE_X24Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y108 IS_RESERVED 0 SITEPROP SLICE_X24Y108 IS_TEST 0 SITEPROP SLICE_X24Y108 IS_USED 0 SITEPROP SLICE_X24Y108 MANUAL_ROUTING SITEPROP SLICE_X24Y108 NAME SLICE_X24Y108 SITEPROP SLICE_X24Y108 NUM_ARCS 138 SITEPROP SLICE_X24Y108 NUM_BELS 32 SITEPROP SLICE_X24Y108 NUM_INPUTS 32 SITEPROP SLICE_X24Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y108 NUM_PINS 45 SITEPROP SLICE_X24Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y108 PROHIBIT 0 SITEPROP SLICE_X24Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y108 RPM_X 73 SITEPROP SLICE_X24Y108 RPM_Y 216 SITEPROP SLICE_X24Y108 SITE_PIPS SITEPROP SLICE_X24Y108 SITE_TYPE SLICEL SITEPROP SLICE_X24Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y109 CLASS site SITEPROP SLICE_X24Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y109 IS_BONDED 0 SITEPROP SLICE_X24Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y109 IS_PAD 0 SITEPROP SLICE_X24Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y109 IS_RESERVED 0 SITEPROP SLICE_X24Y109 IS_TEST 0 SITEPROP SLICE_X24Y109 IS_USED 0 SITEPROP SLICE_X24Y109 MANUAL_ROUTING SITEPROP SLICE_X24Y109 NAME SLICE_X24Y109 SITEPROP SLICE_X24Y109 NUM_ARCS 138 SITEPROP SLICE_X24Y109 NUM_BELS 32 SITEPROP SLICE_X24Y109 NUM_INPUTS 32 SITEPROP SLICE_X24Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y109 NUM_PINS 45 SITEPROP SLICE_X24Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y109 PROHIBIT 0 SITEPROP SLICE_X24Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y109 RPM_X 73 SITEPROP SLICE_X24Y109 RPM_Y 218 SITEPROP SLICE_X24Y109 SITE_PIPS SITEPROP SLICE_X24Y109 SITE_TYPE SLICEL SITEPROP SLICE_X24Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y110 CLASS site SITEPROP SLICE_X24Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y110 IS_BONDED 0 SITEPROP SLICE_X24Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y110 IS_PAD 0 SITEPROP SLICE_X24Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y110 IS_RESERVED 0 SITEPROP SLICE_X24Y110 IS_TEST 0 SITEPROP SLICE_X24Y110 IS_USED 0 SITEPROP SLICE_X24Y110 MANUAL_ROUTING SITEPROP SLICE_X24Y110 NAME SLICE_X24Y110 SITEPROP SLICE_X24Y110 NUM_ARCS 138 SITEPROP SLICE_X24Y110 NUM_BELS 32 SITEPROP SLICE_X24Y110 NUM_INPUTS 32 SITEPROP SLICE_X24Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y110 NUM_PINS 45 SITEPROP SLICE_X24Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y110 PROHIBIT 0 SITEPROP SLICE_X24Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y110 RPM_X 73 SITEPROP SLICE_X24Y110 RPM_Y 220 SITEPROP SLICE_X24Y110 SITE_PIPS SITEPROP SLICE_X24Y110 SITE_TYPE SLICEL SITEPROP SLICE_X24Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y111 CLASS site SITEPROP SLICE_X24Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y111 IS_BONDED 0 SITEPROP SLICE_X24Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y111 IS_PAD 0 SITEPROP SLICE_X24Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y111 IS_RESERVED 0 SITEPROP SLICE_X24Y111 IS_TEST 0 SITEPROP SLICE_X24Y111 IS_USED 0 SITEPROP SLICE_X24Y111 MANUAL_ROUTING SITEPROP SLICE_X24Y111 NAME SLICE_X24Y111 SITEPROP SLICE_X24Y111 NUM_ARCS 138 SITEPROP SLICE_X24Y111 NUM_BELS 32 SITEPROP SLICE_X24Y111 NUM_INPUTS 32 SITEPROP SLICE_X24Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y111 NUM_PINS 45 SITEPROP SLICE_X24Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y111 PROHIBIT 0 SITEPROP SLICE_X24Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y111 RPM_X 73 SITEPROP SLICE_X24Y111 RPM_Y 222 SITEPROP SLICE_X24Y111 SITE_PIPS SITEPROP SLICE_X24Y111 SITE_TYPE SLICEL SITEPROP SLICE_X24Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y112 CLASS site SITEPROP SLICE_X24Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y112 IS_BONDED 0 SITEPROP SLICE_X24Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y112 IS_PAD 0 SITEPROP SLICE_X24Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y112 IS_RESERVED 0 SITEPROP SLICE_X24Y112 IS_TEST 0 SITEPROP SLICE_X24Y112 IS_USED 0 SITEPROP SLICE_X24Y112 MANUAL_ROUTING SITEPROP SLICE_X24Y112 NAME SLICE_X24Y112 SITEPROP SLICE_X24Y112 NUM_ARCS 138 SITEPROP SLICE_X24Y112 NUM_BELS 32 SITEPROP SLICE_X24Y112 NUM_INPUTS 32 SITEPROP SLICE_X24Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y112 NUM_PINS 45 SITEPROP SLICE_X24Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y112 PROHIBIT 0 SITEPROP SLICE_X24Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y112 RPM_X 73 SITEPROP SLICE_X24Y112 RPM_Y 224 SITEPROP SLICE_X24Y112 SITE_PIPS SITEPROP SLICE_X24Y112 SITE_TYPE SLICEL SITEPROP SLICE_X24Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y113 CLASS site SITEPROP SLICE_X24Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y113 IS_BONDED 0 SITEPROP SLICE_X24Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y113 IS_PAD 0 SITEPROP SLICE_X24Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y113 IS_RESERVED 0 SITEPROP SLICE_X24Y113 IS_TEST 0 SITEPROP SLICE_X24Y113 IS_USED 0 SITEPROP SLICE_X24Y113 MANUAL_ROUTING SITEPROP SLICE_X24Y113 NAME SLICE_X24Y113 SITEPROP SLICE_X24Y113 NUM_ARCS 138 SITEPROP SLICE_X24Y113 NUM_BELS 32 SITEPROP SLICE_X24Y113 NUM_INPUTS 32 SITEPROP SLICE_X24Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y113 NUM_PINS 45 SITEPROP SLICE_X24Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y113 PROHIBIT 0 SITEPROP SLICE_X24Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y113 RPM_X 73 SITEPROP SLICE_X24Y113 RPM_Y 226 SITEPROP SLICE_X24Y113 SITE_PIPS SITEPROP SLICE_X24Y113 SITE_TYPE SLICEL SITEPROP SLICE_X24Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y114 CLASS site SITEPROP SLICE_X24Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y114 IS_BONDED 0 SITEPROP SLICE_X24Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y114 IS_PAD 0 SITEPROP SLICE_X24Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y114 IS_RESERVED 0 SITEPROP SLICE_X24Y114 IS_TEST 0 SITEPROP SLICE_X24Y114 IS_USED 0 SITEPROP SLICE_X24Y114 MANUAL_ROUTING SITEPROP SLICE_X24Y114 NAME SLICE_X24Y114 SITEPROP SLICE_X24Y114 NUM_ARCS 138 SITEPROP SLICE_X24Y114 NUM_BELS 32 SITEPROP SLICE_X24Y114 NUM_INPUTS 32 SITEPROP SLICE_X24Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y114 NUM_PINS 45 SITEPROP SLICE_X24Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y114 PROHIBIT 0 SITEPROP SLICE_X24Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y114 RPM_X 73 SITEPROP SLICE_X24Y114 RPM_Y 228 SITEPROP SLICE_X24Y114 SITE_PIPS SITEPROP SLICE_X24Y114 SITE_TYPE SLICEL SITEPROP SLICE_X24Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y115 CLASS site SITEPROP SLICE_X24Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y115 IS_BONDED 0 SITEPROP SLICE_X24Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y115 IS_PAD 0 SITEPROP SLICE_X24Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y115 IS_RESERVED 0 SITEPROP SLICE_X24Y115 IS_TEST 0 SITEPROP SLICE_X24Y115 IS_USED 0 SITEPROP SLICE_X24Y115 MANUAL_ROUTING SITEPROP SLICE_X24Y115 NAME SLICE_X24Y115 SITEPROP SLICE_X24Y115 NUM_ARCS 138 SITEPROP SLICE_X24Y115 NUM_BELS 32 SITEPROP SLICE_X24Y115 NUM_INPUTS 32 SITEPROP SLICE_X24Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y115 NUM_PINS 45 SITEPROP SLICE_X24Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y115 PROHIBIT 0 SITEPROP SLICE_X24Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y115 RPM_X 73 SITEPROP SLICE_X24Y115 RPM_Y 230 SITEPROP SLICE_X24Y115 SITE_PIPS SITEPROP SLICE_X24Y115 SITE_TYPE SLICEL SITEPROP SLICE_X24Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y116 CLASS site SITEPROP SLICE_X24Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y116 IS_BONDED 0 SITEPROP SLICE_X24Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y116 IS_PAD 0 SITEPROP SLICE_X24Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y116 IS_RESERVED 0 SITEPROP SLICE_X24Y116 IS_TEST 0 SITEPROP SLICE_X24Y116 IS_USED 0 SITEPROP SLICE_X24Y116 MANUAL_ROUTING SITEPROP SLICE_X24Y116 NAME SLICE_X24Y116 SITEPROP SLICE_X24Y116 NUM_ARCS 138 SITEPROP SLICE_X24Y116 NUM_BELS 32 SITEPROP SLICE_X24Y116 NUM_INPUTS 32 SITEPROP SLICE_X24Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y116 NUM_PINS 45 SITEPROP SLICE_X24Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y116 PROHIBIT 0 SITEPROP SLICE_X24Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y116 RPM_X 73 SITEPROP SLICE_X24Y116 RPM_Y 232 SITEPROP SLICE_X24Y116 SITE_PIPS SITEPROP SLICE_X24Y116 SITE_TYPE SLICEL SITEPROP SLICE_X24Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y117 CLASS site SITEPROP SLICE_X24Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y117 IS_BONDED 0 SITEPROP SLICE_X24Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y117 IS_PAD 0 SITEPROP SLICE_X24Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y117 IS_RESERVED 0 SITEPROP SLICE_X24Y117 IS_TEST 0 SITEPROP SLICE_X24Y117 IS_USED 0 SITEPROP SLICE_X24Y117 MANUAL_ROUTING SITEPROP SLICE_X24Y117 NAME SLICE_X24Y117 SITEPROP SLICE_X24Y117 NUM_ARCS 138 SITEPROP SLICE_X24Y117 NUM_BELS 32 SITEPROP SLICE_X24Y117 NUM_INPUTS 32 SITEPROP SLICE_X24Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y117 NUM_PINS 45 SITEPROP SLICE_X24Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y117 PROHIBIT 0 SITEPROP SLICE_X24Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y117 RPM_X 73 SITEPROP SLICE_X24Y117 RPM_Y 234 SITEPROP SLICE_X24Y117 SITE_PIPS SITEPROP SLICE_X24Y117 SITE_TYPE SLICEL SITEPROP SLICE_X24Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y118 CLASS site SITEPROP SLICE_X24Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y118 IS_BONDED 0 SITEPROP SLICE_X24Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y118 IS_PAD 0 SITEPROP SLICE_X24Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y118 IS_RESERVED 0 SITEPROP SLICE_X24Y118 IS_TEST 0 SITEPROP SLICE_X24Y118 IS_USED 0 SITEPROP SLICE_X24Y118 MANUAL_ROUTING SITEPROP SLICE_X24Y118 NAME SLICE_X24Y118 SITEPROP SLICE_X24Y118 NUM_ARCS 138 SITEPROP SLICE_X24Y118 NUM_BELS 32 SITEPROP SLICE_X24Y118 NUM_INPUTS 32 SITEPROP SLICE_X24Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y118 NUM_PINS 45 SITEPROP SLICE_X24Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y118 PROHIBIT 0 SITEPROP SLICE_X24Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y118 RPM_X 73 SITEPROP SLICE_X24Y118 RPM_Y 236 SITEPROP SLICE_X24Y118 SITE_PIPS SITEPROP SLICE_X24Y118 SITE_TYPE SLICEL SITEPROP SLICE_X24Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y119 CLASS site SITEPROP SLICE_X24Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y119 IS_BONDED 0 SITEPROP SLICE_X24Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y119 IS_PAD 0 SITEPROP SLICE_X24Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y119 IS_RESERVED 0 SITEPROP SLICE_X24Y119 IS_TEST 0 SITEPROP SLICE_X24Y119 IS_USED 0 SITEPROP SLICE_X24Y119 MANUAL_ROUTING SITEPROP SLICE_X24Y119 NAME SLICE_X24Y119 SITEPROP SLICE_X24Y119 NUM_ARCS 138 SITEPROP SLICE_X24Y119 NUM_BELS 32 SITEPROP SLICE_X24Y119 NUM_INPUTS 32 SITEPROP SLICE_X24Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y119 NUM_PINS 45 SITEPROP SLICE_X24Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y119 PROHIBIT 0 SITEPROP SLICE_X24Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y119 RPM_X 73 SITEPROP SLICE_X24Y119 RPM_Y 238 SITEPROP SLICE_X24Y119 SITE_PIPS SITEPROP SLICE_X24Y119 SITE_TYPE SLICEL SITEPROP SLICE_X24Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y120 CLASS site SITEPROP SLICE_X24Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y120 IS_BONDED 0 SITEPROP SLICE_X24Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y120 IS_PAD 0 SITEPROP SLICE_X24Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y120 IS_RESERVED 0 SITEPROP SLICE_X24Y120 IS_TEST 0 SITEPROP SLICE_X24Y120 IS_USED 0 SITEPROP SLICE_X24Y120 MANUAL_ROUTING SITEPROP SLICE_X24Y120 NAME SLICE_X24Y120 SITEPROP SLICE_X24Y120 NUM_ARCS 138 SITEPROP SLICE_X24Y120 NUM_BELS 32 SITEPROP SLICE_X24Y120 NUM_INPUTS 32 SITEPROP SLICE_X24Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y120 NUM_PINS 45 SITEPROP SLICE_X24Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y120 PROHIBIT 0 SITEPROP SLICE_X24Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y120 RPM_X 73 SITEPROP SLICE_X24Y120 RPM_Y 240 SITEPROP SLICE_X24Y120 SITE_PIPS SITEPROP SLICE_X24Y120 SITE_TYPE SLICEL SITEPROP SLICE_X24Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y121 CLASS site SITEPROP SLICE_X24Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y121 IS_BONDED 0 SITEPROP SLICE_X24Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y121 IS_PAD 0 SITEPROP SLICE_X24Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y121 IS_RESERVED 0 SITEPROP SLICE_X24Y121 IS_TEST 0 SITEPROP SLICE_X24Y121 IS_USED 0 SITEPROP SLICE_X24Y121 MANUAL_ROUTING SITEPROP SLICE_X24Y121 NAME SLICE_X24Y121 SITEPROP SLICE_X24Y121 NUM_ARCS 138 SITEPROP SLICE_X24Y121 NUM_BELS 32 SITEPROP SLICE_X24Y121 NUM_INPUTS 32 SITEPROP SLICE_X24Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y121 NUM_PINS 45 SITEPROP SLICE_X24Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y121 PROHIBIT 0 SITEPROP SLICE_X24Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y121 RPM_X 73 SITEPROP SLICE_X24Y121 RPM_Y 242 SITEPROP SLICE_X24Y121 SITE_PIPS SITEPROP SLICE_X24Y121 SITE_TYPE SLICEL SITEPROP SLICE_X24Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y122 CLASS site SITEPROP SLICE_X24Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y122 IS_BONDED 0 SITEPROP SLICE_X24Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y122 IS_PAD 0 SITEPROP SLICE_X24Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y122 IS_RESERVED 0 SITEPROP SLICE_X24Y122 IS_TEST 0 SITEPROP SLICE_X24Y122 IS_USED 0 SITEPROP SLICE_X24Y122 MANUAL_ROUTING SITEPROP SLICE_X24Y122 NAME SLICE_X24Y122 SITEPROP SLICE_X24Y122 NUM_ARCS 138 SITEPROP SLICE_X24Y122 NUM_BELS 32 SITEPROP SLICE_X24Y122 NUM_INPUTS 32 SITEPROP SLICE_X24Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y122 NUM_PINS 45 SITEPROP SLICE_X24Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y122 PROHIBIT 0 SITEPROP SLICE_X24Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y122 RPM_X 73 SITEPROP SLICE_X24Y122 RPM_Y 244 SITEPROP SLICE_X24Y122 SITE_PIPS SITEPROP SLICE_X24Y122 SITE_TYPE SLICEL SITEPROP SLICE_X24Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y123 CLASS site SITEPROP SLICE_X24Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y123 IS_BONDED 0 SITEPROP SLICE_X24Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y123 IS_PAD 0 SITEPROP SLICE_X24Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y123 IS_RESERVED 0 SITEPROP SLICE_X24Y123 IS_TEST 0 SITEPROP SLICE_X24Y123 IS_USED 0 SITEPROP SLICE_X24Y123 MANUAL_ROUTING SITEPROP SLICE_X24Y123 NAME SLICE_X24Y123 SITEPROP SLICE_X24Y123 NUM_ARCS 138 SITEPROP SLICE_X24Y123 NUM_BELS 32 SITEPROP SLICE_X24Y123 NUM_INPUTS 32 SITEPROP SLICE_X24Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y123 NUM_PINS 45 SITEPROP SLICE_X24Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y123 PROHIBIT 0 SITEPROP SLICE_X24Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y123 RPM_X 73 SITEPROP SLICE_X24Y123 RPM_Y 246 SITEPROP SLICE_X24Y123 SITE_PIPS SITEPROP SLICE_X24Y123 SITE_TYPE SLICEL SITEPROP SLICE_X24Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y124 CLASS site SITEPROP SLICE_X24Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y124 IS_BONDED 0 SITEPROP SLICE_X24Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y124 IS_PAD 0 SITEPROP SLICE_X24Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y124 IS_RESERVED 0 SITEPROP SLICE_X24Y124 IS_TEST 0 SITEPROP SLICE_X24Y124 IS_USED 0 SITEPROP SLICE_X24Y124 MANUAL_ROUTING SITEPROP SLICE_X24Y124 NAME SLICE_X24Y124 SITEPROP SLICE_X24Y124 NUM_ARCS 138 SITEPROP SLICE_X24Y124 NUM_BELS 32 SITEPROP SLICE_X24Y124 NUM_INPUTS 32 SITEPROP SLICE_X24Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y124 NUM_PINS 45 SITEPROP SLICE_X24Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y124 PROHIBIT 0 SITEPROP SLICE_X24Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y124 RPM_X 73 SITEPROP SLICE_X24Y124 RPM_Y 248 SITEPROP SLICE_X24Y124 SITE_PIPS SITEPROP SLICE_X24Y124 SITE_TYPE SLICEL SITEPROP SLICE_X24Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y125 CLASS site SITEPROP SLICE_X24Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y125 IS_BONDED 0 SITEPROP SLICE_X24Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y125 IS_PAD 0 SITEPROP SLICE_X24Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y125 IS_RESERVED 0 SITEPROP SLICE_X24Y125 IS_TEST 0 SITEPROP SLICE_X24Y125 IS_USED 0 SITEPROP SLICE_X24Y125 MANUAL_ROUTING SITEPROP SLICE_X24Y125 NAME SLICE_X24Y125 SITEPROP SLICE_X24Y125 NUM_ARCS 138 SITEPROP SLICE_X24Y125 NUM_BELS 32 SITEPROP SLICE_X24Y125 NUM_INPUTS 32 SITEPROP SLICE_X24Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y125 NUM_PINS 45 SITEPROP SLICE_X24Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y125 PROHIBIT 0 SITEPROP SLICE_X24Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y125 RPM_X 73 SITEPROP SLICE_X24Y125 RPM_Y 250 SITEPROP SLICE_X24Y125 SITE_PIPS SITEPROP SLICE_X24Y125 SITE_TYPE SLICEL SITEPROP SLICE_X24Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y126 CLASS site SITEPROP SLICE_X24Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y126 IS_BONDED 0 SITEPROP SLICE_X24Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y126 IS_PAD 0 SITEPROP SLICE_X24Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y126 IS_RESERVED 0 SITEPROP SLICE_X24Y126 IS_TEST 0 SITEPROP SLICE_X24Y126 IS_USED 0 SITEPROP SLICE_X24Y126 MANUAL_ROUTING SITEPROP SLICE_X24Y126 NAME SLICE_X24Y126 SITEPROP SLICE_X24Y126 NUM_ARCS 138 SITEPROP SLICE_X24Y126 NUM_BELS 32 SITEPROP SLICE_X24Y126 NUM_INPUTS 32 SITEPROP SLICE_X24Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y126 NUM_PINS 45 SITEPROP SLICE_X24Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y126 PROHIBIT 0 SITEPROP SLICE_X24Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y126 RPM_X 73 SITEPROP SLICE_X24Y126 RPM_Y 252 SITEPROP SLICE_X24Y126 SITE_PIPS SITEPROP SLICE_X24Y126 SITE_TYPE SLICEL SITEPROP SLICE_X24Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y127 CLASS site SITEPROP SLICE_X24Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y127 IS_BONDED 0 SITEPROP SLICE_X24Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y127 IS_PAD 0 SITEPROP SLICE_X24Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y127 IS_RESERVED 0 SITEPROP SLICE_X24Y127 IS_TEST 0 SITEPROP SLICE_X24Y127 IS_USED 0 SITEPROP SLICE_X24Y127 MANUAL_ROUTING SITEPROP SLICE_X24Y127 NAME SLICE_X24Y127 SITEPROP SLICE_X24Y127 NUM_ARCS 138 SITEPROP SLICE_X24Y127 NUM_BELS 32 SITEPROP SLICE_X24Y127 NUM_INPUTS 32 SITEPROP SLICE_X24Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y127 NUM_PINS 45 SITEPROP SLICE_X24Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y127 PROHIBIT 0 SITEPROP SLICE_X24Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y127 RPM_X 73 SITEPROP SLICE_X24Y127 RPM_Y 254 SITEPROP SLICE_X24Y127 SITE_PIPS SITEPROP SLICE_X24Y127 SITE_TYPE SLICEL SITEPROP SLICE_X24Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y128 CLASS site SITEPROP SLICE_X24Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y128 IS_BONDED 0 SITEPROP SLICE_X24Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y128 IS_PAD 0 SITEPROP SLICE_X24Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y128 IS_RESERVED 0 SITEPROP SLICE_X24Y128 IS_TEST 0 SITEPROP SLICE_X24Y128 IS_USED 0 SITEPROP SLICE_X24Y128 MANUAL_ROUTING SITEPROP SLICE_X24Y128 NAME SLICE_X24Y128 SITEPROP SLICE_X24Y128 NUM_ARCS 138 SITEPROP SLICE_X24Y128 NUM_BELS 32 SITEPROP SLICE_X24Y128 NUM_INPUTS 32 SITEPROP SLICE_X24Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y128 NUM_PINS 45 SITEPROP SLICE_X24Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y128 PROHIBIT 0 SITEPROP SLICE_X24Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y128 RPM_X 73 SITEPROP SLICE_X24Y128 RPM_Y 256 SITEPROP SLICE_X24Y128 SITE_PIPS SITEPROP SLICE_X24Y128 SITE_TYPE SLICEL SITEPROP SLICE_X24Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y129 CLASS site SITEPROP SLICE_X24Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y129 IS_BONDED 0 SITEPROP SLICE_X24Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y129 IS_PAD 0 SITEPROP SLICE_X24Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y129 IS_RESERVED 0 SITEPROP SLICE_X24Y129 IS_TEST 0 SITEPROP SLICE_X24Y129 IS_USED 0 SITEPROP SLICE_X24Y129 MANUAL_ROUTING SITEPROP SLICE_X24Y129 NAME SLICE_X24Y129 SITEPROP SLICE_X24Y129 NUM_ARCS 138 SITEPROP SLICE_X24Y129 NUM_BELS 32 SITEPROP SLICE_X24Y129 NUM_INPUTS 32 SITEPROP SLICE_X24Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y129 NUM_PINS 45 SITEPROP SLICE_X24Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y129 PROHIBIT 0 SITEPROP SLICE_X24Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y129 RPM_X 73 SITEPROP SLICE_X24Y129 RPM_Y 258 SITEPROP SLICE_X24Y129 SITE_PIPS SITEPROP SLICE_X24Y129 SITE_TYPE SLICEL SITEPROP SLICE_X24Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y130 CLASS site SITEPROP SLICE_X24Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y130 IS_BONDED 0 SITEPROP SLICE_X24Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y130 IS_PAD 0 SITEPROP SLICE_X24Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y130 IS_RESERVED 0 SITEPROP SLICE_X24Y130 IS_TEST 0 SITEPROP SLICE_X24Y130 IS_USED 0 SITEPROP SLICE_X24Y130 MANUAL_ROUTING SITEPROP SLICE_X24Y130 NAME SLICE_X24Y130 SITEPROP SLICE_X24Y130 NUM_ARCS 138 SITEPROP SLICE_X24Y130 NUM_BELS 32 SITEPROP SLICE_X24Y130 NUM_INPUTS 32 SITEPROP SLICE_X24Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y130 NUM_PINS 45 SITEPROP SLICE_X24Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y130 PROHIBIT 0 SITEPROP SLICE_X24Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y130 RPM_X 73 SITEPROP SLICE_X24Y130 RPM_Y 260 SITEPROP SLICE_X24Y130 SITE_PIPS SITEPROP SLICE_X24Y130 SITE_TYPE SLICEL SITEPROP SLICE_X24Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y131 CLASS site SITEPROP SLICE_X24Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y131 IS_BONDED 0 SITEPROP SLICE_X24Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y131 IS_PAD 0 SITEPROP SLICE_X24Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y131 IS_RESERVED 0 SITEPROP SLICE_X24Y131 IS_TEST 0 SITEPROP SLICE_X24Y131 IS_USED 0 SITEPROP SLICE_X24Y131 MANUAL_ROUTING SITEPROP SLICE_X24Y131 NAME SLICE_X24Y131 SITEPROP SLICE_X24Y131 NUM_ARCS 138 SITEPROP SLICE_X24Y131 NUM_BELS 32 SITEPROP SLICE_X24Y131 NUM_INPUTS 32 SITEPROP SLICE_X24Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y131 NUM_PINS 45 SITEPROP SLICE_X24Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y131 PROHIBIT 0 SITEPROP SLICE_X24Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y131 RPM_X 73 SITEPROP SLICE_X24Y131 RPM_Y 262 SITEPROP SLICE_X24Y131 SITE_PIPS SITEPROP SLICE_X24Y131 SITE_TYPE SLICEL SITEPROP SLICE_X24Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y132 CLASS site SITEPROP SLICE_X24Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y132 IS_BONDED 0 SITEPROP SLICE_X24Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y132 IS_PAD 0 SITEPROP SLICE_X24Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y132 IS_RESERVED 0 SITEPROP SLICE_X24Y132 IS_TEST 0 SITEPROP SLICE_X24Y132 IS_USED 0 SITEPROP SLICE_X24Y132 MANUAL_ROUTING SITEPROP SLICE_X24Y132 NAME SLICE_X24Y132 SITEPROP SLICE_X24Y132 NUM_ARCS 138 SITEPROP SLICE_X24Y132 NUM_BELS 32 SITEPROP SLICE_X24Y132 NUM_INPUTS 32 SITEPROP SLICE_X24Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y132 NUM_PINS 45 SITEPROP SLICE_X24Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y132 PROHIBIT 0 SITEPROP SLICE_X24Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y132 RPM_X 73 SITEPROP SLICE_X24Y132 RPM_Y 264 SITEPROP SLICE_X24Y132 SITE_PIPS SITEPROP SLICE_X24Y132 SITE_TYPE SLICEL SITEPROP SLICE_X24Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y133 CLASS site SITEPROP SLICE_X24Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y133 IS_BONDED 0 SITEPROP SLICE_X24Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y133 IS_PAD 0 SITEPROP SLICE_X24Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y133 IS_RESERVED 0 SITEPROP SLICE_X24Y133 IS_TEST 0 SITEPROP SLICE_X24Y133 IS_USED 0 SITEPROP SLICE_X24Y133 MANUAL_ROUTING SITEPROP SLICE_X24Y133 NAME SLICE_X24Y133 SITEPROP SLICE_X24Y133 NUM_ARCS 138 SITEPROP SLICE_X24Y133 NUM_BELS 32 SITEPROP SLICE_X24Y133 NUM_INPUTS 32 SITEPROP SLICE_X24Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y133 NUM_PINS 45 SITEPROP SLICE_X24Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y133 PROHIBIT 0 SITEPROP SLICE_X24Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y133 RPM_X 73 SITEPROP SLICE_X24Y133 RPM_Y 266 SITEPROP SLICE_X24Y133 SITE_PIPS SITEPROP SLICE_X24Y133 SITE_TYPE SLICEL SITEPROP SLICE_X24Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y134 CLASS site SITEPROP SLICE_X24Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y134 IS_BONDED 0 SITEPROP SLICE_X24Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y134 IS_PAD 0 SITEPROP SLICE_X24Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y134 IS_RESERVED 0 SITEPROP SLICE_X24Y134 IS_TEST 0 SITEPROP SLICE_X24Y134 IS_USED 0 SITEPROP SLICE_X24Y134 MANUAL_ROUTING SITEPROP SLICE_X24Y134 NAME SLICE_X24Y134 SITEPROP SLICE_X24Y134 NUM_ARCS 138 SITEPROP SLICE_X24Y134 NUM_BELS 32 SITEPROP SLICE_X24Y134 NUM_INPUTS 32 SITEPROP SLICE_X24Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y134 NUM_PINS 45 SITEPROP SLICE_X24Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y134 PROHIBIT 0 SITEPROP SLICE_X24Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y134 RPM_X 73 SITEPROP SLICE_X24Y134 RPM_Y 268 SITEPROP SLICE_X24Y134 SITE_PIPS SITEPROP SLICE_X24Y134 SITE_TYPE SLICEL SITEPROP SLICE_X24Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y135 CLASS site SITEPROP SLICE_X24Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y135 IS_BONDED 0 SITEPROP SLICE_X24Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y135 IS_PAD 0 SITEPROP SLICE_X24Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y135 IS_RESERVED 0 SITEPROP SLICE_X24Y135 IS_TEST 0 SITEPROP SLICE_X24Y135 IS_USED 0 SITEPROP SLICE_X24Y135 MANUAL_ROUTING SITEPROP SLICE_X24Y135 NAME SLICE_X24Y135 SITEPROP SLICE_X24Y135 NUM_ARCS 138 SITEPROP SLICE_X24Y135 NUM_BELS 32 SITEPROP SLICE_X24Y135 NUM_INPUTS 32 SITEPROP SLICE_X24Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y135 NUM_PINS 45 SITEPROP SLICE_X24Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y135 PROHIBIT 0 SITEPROP SLICE_X24Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y135 RPM_X 73 SITEPROP SLICE_X24Y135 RPM_Y 270 SITEPROP SLICE_X24Y135 SITE_PIPS SITEPROP SLICE_X24Y135 SITE_TYPE SLICEL SITEPROP SLICE_X24Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y136 CLASS site SITEPROP SLICE_X24Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y136 IS_BONDED 0 SITEPROP SLICE_X24Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y136 IS_PAD 0 SITEPROP SLICE_X24Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y136 IS_RESERVED 0 SITEPROP SLICE_X24Y136 IS_TEST 0 SITEPROP SLICE_X24Y136 IS_USED 0 SITEPROP SLICE_X24Y136 MANUAL_ROUTING SITEPROP SLICE_X24Y136 NAME SLICE_X24Y136 SITEPROP SLICE_X24Y136 NUM_ARCS 138 SITEPROP SLICE_X24Y136 NUM_BELS 32 SITEPROP SLICE_X24Y136 NUM_INPUTS 32 SITEPROP SLICE_X24Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y136 NUM_PINS 45 SITEPROP SLICE_X24Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y136 PROHIBIT 0 SITEPROP SLICE_X24Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y136 RPM_X 73 SITEPROP SLICE_X24Y136 RPM_Y 272 SITEPROP SLICE_X24Y136 SITE_PIPS SITEPROP SLICE_X24Y136 SITE_TYPE SLICEL SITEPROP SLICE_X24Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y137 CLASS site SITEPROP SLICE_X24Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y137 IS_BONDED 0 SITEPROP SLICE_X24Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y137 IS_PAD 0 SITEPROP SLICE_X24Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y137 IS_RESERVED 0 SITEPROP SLICE_X24Y137 IS_TEST 0 SITEPROP SLICE_X24Y137 IS_USED 0 SITEPROP SLICE_X24Y137 MANUAL_ROUTING SITEPROP SLICE_X24Y137 NAME SLICE_X24Y137 SITEPROP SLICE_X24Y137 NUM_ARCS 138 SITEPROP SLICE_X24Y137 NUM_BELS 32 SITEPROP SLICE_X24Y137 NUM_INPUTS 32 SITEPROP SLICE_X24Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y137 NUM_PINS 45 SITEPROP SLICE_X24Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y137 PROHIBIT 0 SITEPROP SLICE_X24Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y137 RPM_X 73 SITEPROP SLICE_X24Y137 RPM_Y 274 SITEPROP SLICE_X24Y137 SITE_PIPS SITEPROP SLICE_X24Y137 SITE_TYPE SLICEL SITEPROP SLICE_X24Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y138 CLASS site SITEPROP SLICE_X24Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y138 IS_BONDED 0 SITEPROP SLICE_X24Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y138 IS_PAD 0 SITEPROP SLICE_X24Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y138 IS_RESERVED 0 SITEPROP SLICE_X24Y138 IS_TEST 0 SITEPROP SLICE_X24Y138 IS_USED 0 SITEPROP SLICE_X24Y138 MANUAL_ROUTING SITEPROP SLICE_X24Y138 NAME SLICE_X24Y138 SITEPROP SLICE_X24Y138 NUM_ARCS 138 SITEPROP SLICE_X24Y138 NUM_BELS 32 SITEPROP SLICE_X24Y138 NUM_INPUTS 32 SITEPROP SLICE_X24Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y138 NUM_PINS 45 SITEPROP SLICE_X24Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y138 PROHIBIT 0 SITEPROP SLICE_X24Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y138 RPM_X 73 SITEPROP SLICE_X24Y138 RPM_Y 276 SITEPROP SLICE_X24Y138 SITE_PIPS SITEPROP SLICE_X24Y138 SITE_TYPE SLICEL SITEPROP SLICE_X24Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y139 CLASS site SITEPROP SLICE_X24Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y139 IS_BONDED 0 SITEPROP SLICE_X24Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y139 IS_PAD 0 SITEPROP SLICE_X24Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y139 IS_RESERVED 0 SITEPROP SLICE_X24Y139 IS_TEST 0 SITEPROP SLICE_X24Y139 IS_USED 0 SITEPROP SLICE_X24Y139 MANUAL_ROUTING SITEPROP SLICE_X24Y139 NAME SLICE_X24Y139 SITEPROP SLICE_X24Y139 NUM_ARCS 138 SITEPROP SLICE_X24Y139 NUM_BELS 32 SITEPROP SLICE_X24Y139 NUM_INPUTS 32 SITEPROP SLICE_X24Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y139 NUM_PINS 45 SITEPROP SLICE_X24Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y139 PROHIBIT 0 SITEPROP SLICE_X24Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y139 RPM_X 73 SITEPROP SLICE_X24Y139 RPM_Y 278 SITEPROP SLICE_X24Y139 SITE_PIPS SITEPROP SLICE_X24Y139 SITE_TYPE SLICEL SITEPROP SLICE_X24Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y140 CLASS site SITEPROP SLICE_X24Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y140 IS_BONDED 0 SITEPROP SLICE_X24Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y140 IS_PAD 0 SITEPROP SLICE_X24Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y140 IS_RESERVED 0 SITEPROP SLICE_X24Y140 IS_TEST 0 SITEPROP SLICE_X24Y140 IS_USED 0 SITEPROP SLICE_X24Y140 MANUAL_ROUTING SITEPROP SLICE_X24Y140 NAME SLICE_X24Y140 SITEPROP SLICE_X24Y140 NUM_ARCS 138 SITEPROP SLICE_X24Y140 NUM_BELS 32 SITEPROP SLICE_X24Y140 NUM_INPUTS 32 SITEPROP SLICE_X24Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y140 NUM_PINS 45 SITEPROP SLICE_X24Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y140 PROHIBIT 0 SITEPROP SLICE_X24Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y140 RPM_X 73 SITEPROP SLICE_X24Y140 RPM_Y 280 SITEPROP SLICE_X24Y140 SITE_PIPS SITEPROP SLICE_X24Y140 SITE_TYPE SLICEL SITEPROP SLICE_X24Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y141 CLASS site SITEPROP SLICE_X24Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y141 IS_BONDED 0 SITEPROP SLICE_X24Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y141 IS_PAD 0 SITEPROP SLICE_X24Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y141 IS_RESERVED 0 SITEPROP SLICE_X24Y141 IS_TEST 0 SITEPROP SLICE_X24Y141 IS_USED 0 SITEPROP SLICE_X24Y141 MANUAL_ROUTING SITEPROP SLICE_X24Y141 NAME SLICE_X24Y141 SITEPROP SLICE_X24Y141 NUM_ARCS 138 SITEPROP SLICE_X24Y141 NUM_BELS 32 SITEPROP SLICE_X24Y141 NUM_INPUTS 32 SITEPROP SLICE_X24Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y141 NUM_PINS 45 SITEPROP SLICE_X24Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y141 PROHIBIT 0 SITEPROP SLICE_X24Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y141 RPM_X 73 SITEPROP SLICE_X24Y141 RPM_Y 282 SITEPROP SLICE_X24Y141 SITE_PIPS SITEPROP SLICE_X24Y141 SITE_TYPE SLICEL SITEPROP SLICE_X24Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y142 CLASS site SITEPROP SLICE_X24Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y142 IS_BONDED 0 SITEPROP SLICE_X24Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y142 IS_PAD 0 SITEPROP SLICE_X24Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y142 IS_RESERVED 0 SITEPROP SLICE_X24Y142 IS_TEST 0 SITEPROP SLICE_X24Y142 IS_USED 0 SITEPROP SLICE_X24Y142 MANUAL_ROUTING SITEPROP SLICE_X24Y142 NAME SLICE_X24Y142 SITEPROP SLICE_X24Y142 NUM_ARCS 138 SITEPROP SLICE_X24Y142 NUM_BELS 32 SITEPROP SLICE_X24Y142 NUM_INPUTS 32 SITEPROP SLICE_X24Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y142 NUM_PINS 45 SITEPROP SLICE_X24Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y142 PROHIBIT 0 SITEPROP SLICE_X24Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y142 RPM_X 73 SITEPROP SLICE_X24Y142 RPM_Y 284 SITEPROP SLICE_X24Y142 SITE_PIPS SITEPROP SLICE_X24Y142 SITE_TYPE SLICEL SITEPROP SLICE_X24Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y143 CLASS site SITEPROP SLICE_X24Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y143 IS_BONDED 0 SITEPROP SLICE_X24Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y143 IS_PAD 0 SITEPROP SLICE_X24Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y143 IS_RESERVED 0 SITEPROP SLICE_X24Y143 IS_TEST 0 SITEPROP SLICE_X24Y143 IS_USED 0 SITEPROP SLICE_X24Y143 MANUAL_ROUTING SITEPROP SLICE_X24Y143 NAME SLICE_X24Y143 SITEPROP SLICE_X24Y143 NUM_ARCS 138 SITEPROP SLICE_X24Y143 NUM_BELS 32 SITEPROP SLICE_X24Y143 NUM_INPUTS 32 SITEPROP SLICE_X24Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y143 NUM_PINS 45 SITEPROP SLICE_X24Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y143 PROHIBIT 0 SITEPROP SLICE_X24Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y143 RPM_X 73 SITEPROP SLICE_X24Y143 RPM_Y 286 SITEPROP SLICE_X24Y143 SITE_PIPS SITEPROP SLICE_X24Y143 SITE_TYPE SLICEL SITEPROP SLICE_X24Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y144 CLASS site SITEPROP SLICE_X24Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y144 IS_BONDED 0 SITEPROP SLICE_X24Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y144 IS_PAD 0 SITEPROP SLICE_X24Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y144 IS_RESERVED 0 SITEPROP SLICE_X24Y144 IS_TEST 0 SITEPROP SLICE_X24Y144 IS_USED 0 SITEPROP SLICE_X24Y144 MANUAL_ROUTING SITEPROP SLICE_X24Y144 NAME SLICE_X24Y144 SITEPROP SLICE_X24Y144 NUM_ARCS 138 SITEPROP SLICE_X24Y144 NUM_BELS 32 SITEPROP SLICE_X24Y144 NUM_INPUTS 32 SITEPROP SLICE_X24Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y144 NUM_PINS 45 SITEPROP SLICE_X24Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y144 PROHIBIT 0 SITEPROP SLICE_X24Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y144 RPM_X 73 SITEPROP SLICE_X24Y144 RPM_Y 288 SITEPROP SLICE_X24Y144 SITE_PIPS SITEPROP SLICE_X24Y144 SITE_TYPE SLICEL SITEPROP SLICE_X24Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y145 CLASS site SITEPROP SLICE_X24Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y145 IS_BONDED 0 SITEPROP SLICE_X24Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y145 IS_PAD 0 SITEPROP SLICE_X24Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y145 IS_RESERVED 0 SITEPROP SLICE_X24Y145 IS_TEST 0 SITEPROP SLICE_X24Y145 IS_USED 0 SITEPROP SLICE_X24Y145 MANUAL_ROUTING SITEPROP SLICE_X24Y145 NAME SLICE_X24Y145 SITEPROP SLICE_X24Y145 NUM_ARCS 138 SITEPROP SLICE_X24Y145 NUM_BELS 32 SITEPROP SLICE_X24Y145 NUM_INPUTS 32 SITEPROP SLICE_X24Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y145 NUM_PINS 45 SITEPROP SLICE_X24Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y145 PROHIBIT 0 SITEPROP SLICE_X24Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y145 RPM_X 73 SITEPROP SLICE_X24Y145 RPM_Y 290 SITEPROP SLICE_X24Y145 SITE_PIPS SITEPROP SLICE_X24Y145 SITE_TYPE SLICEL SITEPROP SLICE_X24Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y146 CLASS site SITEPROP SLICE_X24Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y146 IS_BONDED 0 SITEPROP SLICE_X24Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y146 IS_PAD 0 SITEPROP SLICE_X24Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y146 IS_RESERVED 0 SITEPROP SLICE_X24Y146 IS_TEST 0 SITEPROP SLICE_X24Y146 IS_USED 0 SITEPROP SLICE_X24Y146 MANUAL_ROUTING SITEPROP SLICE_X24Y146 NAME SLICE_X24Y146 SITEPROP SLICE_X24Y146 NUM_ARCS 138 SITEPROP SLICE_X24Y146 NUM_BELS 32 SITEPROP SLICE_X24Y146 NUM_INPUTS 32 SITEPROP SLICE_X24Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y146 NUM_PINS 45 SITEPROP SLICE_X24Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y146 PROHIBIT 0 SITEPROP SLICE_X24Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y146 RPM_X 73 SITEPROP SLICE_X24Y146 RPM_Y 292 SITEPROP SLICE_X24Y146 SITE_PIPS SITEPROP SLICE_X24Y146 SITE_TYPE SLICEL SITEPROP SLICE_X24Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y147 CLASS site SITEPROP SLICE_X24Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y147 IS_BONDED 0 SITEPROP SLICE_X24Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y147 IS_PAD 0 SITEPROP SLICE_X24Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y147 IS_RESERVED 0 SITEPROP SLICE_X24Y147 IS_TEST 0 SITEPROP SLICE_X24Y147 IS_USED 0 SITEPROP SLICE_X24Y147 MANUAL_ROUTING SITEPROP SLICE_X24Y147 NAME SLICE_X24Y147 SITEPROP SLICE_X24Y147 NUM_ARCS 138 SITEPROP SLICE_X24Y147 NUM_BELS 32 SITEPROP SLICE_X24Y147 NUM_INPUTS 32 SITEPROP SLICE_X24Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y147 NUM_PINS 45 SITEPROP SLICE_X24Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y147 PROHIBIT 0 SITEPROP SLICE_X24Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y147 RPM_X 73 SITEPROP SLICE_X24Y147 RPM_Y 294 SITEPROP SLICE_X24Y147 SITE_PIPS SITEPROP SLICE_X24Y147 SITE_TYPE SLICEL SITEPROP SLICE_X24Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y148 CLASS site SITEPROP SLICE_X24Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y148 IS_BONDED 0 SITEPROP SLICE_X24Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y148 IS_PAD 0 SITEPROP SLICE_X24Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y148 IS_RESERVED 0 SITEPROP SLICE_X24Y148 IS_TEST 0 SITEPROP SLICE_X24Y148 IS_USED 0 SITEPROP SLICE_X24Y148 MANUAL_ROUTING SITEPROP SLICE_X24Y148 NAME SLICE_X24Y148 SITEPROP SLICE_X24Y148 NUM_ARCS 138 SITEPROP SLICE_X24Y148 NUM_BELS 32 SITEPROP SLICE_X24Y148 NUM_INPUTS 32 SITEPROP SLICE_X24Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y148 NUM_PINS 45 SITEPROP SLICE_X24Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y148 PROHIBIT 0 SITEPROP SLICE_X24Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y148 RPM_X 73 SITEPROP SLICE_X24Y148 RPM_Y 296 SITEPROP SLICE_X24Y148 SITE_PIPS SITEPROP SLICE_X24Y148 SITE_TYPE SLICEL SITEPROP SLICE_X24Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X24Y149 CLASS site SITEPROP SLICE_X24Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X24Y149 IS_BONDED 0 SITEPROP SLICE_X24Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X24Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y149 IS_PAD 0 SITEPROP SLICE_X24Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X24Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X24Y149 IS_RESERVED 0 SITEPROP SLICE_X24Y149 IS_TEST 0 SITEPROP SLICE_X24Y149 IS_USED 0 SITEPROP SLICE_X24Y149 MANUAL_ROUTING SITEPROP SLICE_X24Y149 NAME SLICE_X24Y149 SITEPROP SLICE_X24Y149 NUM_ARCS 138 SITEPROP SLICE_X24Y149 NUM_BELS 32 SITEPROP SLICE_X24Y149 NUM_INPUTS 32 SITEPROP SLICE_X24Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X24Y149 NUM_PINS 45 SITEPROP SLICE_X24Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X24Y149 PROHIBIT 0 SITEPROP SLICE_X24Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X24Y149 RPM_X 73 SITEPROP SLICE_X24Y149 RPM_Y 298 SITEPROP SLICE_X24Y149 SITE_PIPS SITEPROP SLICE_X24Y149 SITE_TYPE SLICEL SITEPROP SLICE_X25Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y100 CLASS site SITEPROP SLICE_X25Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y100 IS_BONDED 0 SITEPROP SLICE_X25Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y100 IS_PAD 0 SITEPROP SLICE_X25Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y100 IS_RESERVED 0 SITEPROP SLICE_X25Y100 IS_TEST 0 SITEPROP SLICE_X25Y100 IS_USED 0 SITEPROP SLICE_X25Y100 MANUAL_ROUTING SITEPROP SLICE_X25Y100 NAME SLICE_X25Y100 SITEPROP SLICE_X25Y100 NUM_ARCS 138 SITEPROP SLICE_X25Y100 NUM_BELS 32 SITEPROP SLICE_X25Y100 NUM_INPUTS 32 SITEPROP SLICE_X25Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y100 NUM_PINS 45 SITEPROP SLICE_X25Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y100 PROHIBIT 0 SITEPROP SLICE_X25Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y100 RPM_X 75 SITEPROP SLICE_X25Y100 RPM_Y 200 SITEPROP SLICE_X25Y100 SITE_PIPS SITEPROP SLICE_X25Y100 SITE_TYPE SLICEL SITEPROP SLICE_X25Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y101 CLASS site SITEPROP SLICE_X25Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y101 IS_BONDED 0 SITEPROP SLICE_X25Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y101 IS_PAD 0 SITEPROP SLICE_X25Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y101 IS_RESERVED 0 SITEPROP SLICE_X25Y101 IS_TEST 0 SITEPROP SLICE_X25Y101 IS_USED 0 SITEPROP SLICE_X25Y101 MANUAL_ROUTING SITEPROP SLICE_X25Y101 NAME SLICE_X25Y101 SITEPROP SLICE_X25Y101 NUM_ARCS 138 SITEPROP SLICE_X25Y101 NUM_BELS 32 SITEPROP SLICE_X25Y101 NUM_INPUTS 32 SITEPROP SLICE_X25Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y101 NUM_PINS 45 SITEPROP SLICE_X25Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y101 PROHIBIT 0 SITEPROP SLICE_X25Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y101 RPM_X 75 SITEPROP SLICE_X25Y101 RPM_Y 202 SITEPROP SLICE_X25Y101 SITE_PIPS SITEPROP SLICE_X25Y101 SITE_TYPE SLICEL SITEPROP SLICE_X25Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y102 CLASS site SITEPROP SLICE_X25Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y102 IS_BONDED 0 SITEPROP SLICE_X25Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y102 IS_PAD 0 SITEPROP SLICE_X25Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y102 IS_RESERVED 0 SITEPROP SLICE_X25Y102 IS_TEST 0 SITEPROP SLICE_X25Y102 IS_USED 0 SITEPROP SLICE_X25Y102 MANUAL_ROUTING SITEPROP SLICE_X25Y102 NAME SLICE_X25Y102 SITEPROP SLICE_X25Y102 NUM_ARCS 138 SITEPROP SLICE_X25Y102 NUM_BELS 32 SITEPROP SLICE_X25Y102 NUM_INPUTS 32 SITEPROP SLICE_X25Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y102 NUM_PINS 45 SITEPROP SLICE_X25Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y102 PROHIBIT 0 SITEPROP SLICE_X25Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y102 RPM_X 75 SITEPROP SLICE_X25Y102 RPM_Y 204 SITEPROP SLICE_X25Y102 SITE_PIPS SITEPROP SLICE_X25Y102 SITE_TYPE SLICEL SITEPROP SLICE_X25Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y103 CLASS site SITEPROP SLICE_X25Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y103 IS_BONDED 0 SITEPROP SLICE_X25Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y103 IS_PAD 0 SITEPROP SLICE_X25Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y103 IS_RESERVED 0 SITEPROP SLICE_X25Y103 IS_TEST 0 SITEPROP SLICE_X25Y103 IS_USED 0 SITEPROP SLICE_X25Y103 MANUAL_ROUTING SITEPROP SLICE_X25Y103 NAME SLICE_X25Y103 SITEPROP SLICE_X25Y103 NUM_ARCS 138 SITEPROP SLICE_X25Y103 NUM_BELS 32 SITEPROP SLICE_X25Y103 NUM_INPUTS 32 SITEPROP SLICE_X25Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y103 NUM_PINS 45 SITEPROP SLICE_X25Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y103 PROHIBIT 0 SITEPROP SLICE_X25Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y103 RPM_X 75 SITEPROP SLICE_X25Y103 RPM_Y 206 SITEPROP SLICE_X25Y103 SITE_PIPS SITEPROP SLICE_X25Y103 SITE_TYPE SLICEL SITEPROP SLICE_X25Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y104 CLASS site SITEPROP SLICE_X25Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y104 IS_BONDED 0 SITEPROP SLICE_X25Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y104 IS_PAD 0 SITEPROP SLICE_X25Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y104 IS_RESERVED 0 SITEPROP SLICE_X25Y104 IS_TEST 0 SITEPROP SLICE_X25Y104 IS_USED 0 SITEPROP SLICE_X25Y104 MANUAL_ROUTING SITEPROP SLICE_X25Y104 NAME SLICE_X25Y104 SITEPROP SLICE_X25Y104 NUM_ARCS 138 SITEPROP SLICE_X25Y104 NUM_BELS 32 SITEPROP SLICE_X25Y104 NUM_INPUTS 32 SITEPROP SLICE_X25Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y104 NUM_PINS 45 SITEPROP SLICE_X25Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y104 PROHIBIT 0 SITEPROP SLICE_X25Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y104 RPM_X 75 SITEPROP SLICE_X25Y104 RPM_Y 208 SITEPROP SLICE_X25Y104 SITE_PIPS SITEPROP SLICE_X25Y104 SITE_TYPE SLICEL SITEPROP SLICE_X25Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y105 CLASS site SITEPROP SLICE_X25Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y105 IS_BONDED 0 SITEPROP SLICE_X25Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y105 IS_PAD 0 SITEPROP SLICE_X25Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y105 IS_RESERVED 0 SITEPROP SLICE_X25Y105 IS_TEST 0 SITEPROP SLICE_X25Y105 IS_USED 0 SITEPROP SLICE_X25Y105 MANUAL_ROUTING SITEPROP SLICE_X25Y105 NAME SLICE_X25Y105 SITEPROP SLICE_X25Y105 NUM_ARCS 138 SITEPROP SLICE_X25Y105 NUM_BELS 32 SITEPROP SLICE_X25Y105 NUM_INPUTS 32 SITEPROP SLICE_X25Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y105 NUM_PINS 45 SITEPROP SLICE_X25Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y105 PROHIBIT 0 SITEPROP SLICE_X25Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y105 RPM_X 75 SITEPROP SLICE_X25Y105 RPM_Y 210 SITEPROP SLICE_X25Y105 SITE_PIPS SITEPROP SLICE_X25Y105 SITE_TYPE SLICEL SITEPROP SLICE_X25Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y106 CLASS site SITEPROP SLICE_X25Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y106 IS_BONDED 0 SITEPROP SLICE_X25Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y106 IS_PAD 0 SITEPROP SLICE_X25Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y106 IS_RESERVED 0 SITEPROP SLICE_X25Y106 IS_TEST 0 SITEPROP SLICE_X25Y106 IS_USED 0 SITEPROP SLICE_X25Y106 MANUAL_ROUTING SITEPROP SLICE_X25Y106 NAME SLICE_X25Y106 SITEPROP SLICE_X25Y106 NUM_ARCS 138 SITEPROP SLICE_X25Y106 NUM_BELS 32 SITEPROP SLICE_X25Y106 NUM_INPUTS 32 SITEPROP SLICE_X25Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y106 NUM_PINS 45 SITEPROP SLICE_X25Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y106 PROHIBIT 0 SITEPROP SLICE_X25Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y106 RPM_X 75 SITEPROP SLICE_X25Y106 RPM_Y 212 SITEPROP SLICE_X25Y106 SITE_PIPS SITEPROP SLICE_X25Y106 SITE_TYPE SLICEL SITEPROP SLICE_X25Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y107 CLASS site SITEPROP SLICE_X25Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y107 IS_BONDED 0 SITEPROP SLICE_X25Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y107 IS_PAD 0 SITEPROP SLICE_X25Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y107 IS_RESERVED 0 SITEPROP SLICE_X25Y107 IS_TEST 0 SITEPROP SLICE_X25Y107 IS_USED 0 SITEPROP SLICE_X25Y107 MANUAL_ROUTING SITEPROP SLICE_X25Y107 NAME SLICE_X25Y107 SITEPROP SLICE_X25Y107 NUM_ARCS 138 SITEPROP SLICE_X25Y107 NUM_BELS 32 SITEPROP SLICE_X25Y107 NUM_INPUTS 32 SITEPROP SLICE_X25Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y107 NUM_PINS 45 SITEPROP SLICE_X25Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y107 PROHIBIT 0 SITEPROP SLICE_X25Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y107 RPM_X 75 SITEPROP SLICE_X25Y107 RPM_Y 214 SITEPROP SLICE_X25Y107 SITE_PIPS SITEPROP SLICE_X25Y107 SITE_TYPE SLICEL SITEPROP SLICE_X25Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y108 CLASS site SITEPROP SLICE_X25Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y108 IS_BONDED 0 SITEPROP SLICE_X25Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y108 IS_PAD 0 SITEPROP SLICE_X25Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y108 IS_RESERVED 0 SITEPROP SLICE_X25Y108 IS_TEST 0 SITEPROP SLICE_X25Y108 IS_USED 0 SITEPROP SLICE_X25Y108 MANUAL_ROUTING SITEPROP SLICE_X25Y108 NAME SLICE_X25Y108 SITEPROP SLICE_X25Y108 NUM_ARCS 138 SITEPROP SLICE_X25Y108 NUM_BELS 32 SITEPROP SLICE_X25Y108 NUM_INPUTS 32 SITEPROP SLICE_X25Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y108 NUM_PINS 45 SITEPROP SLICE_X25Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y108 PROHIBIT 0 SITEPROP SLICE_X25Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y108 RPM_X 75 SITEPROP SLICE_X25Y108 RPM_Y 216 SITEPROP SLICE_X25Y108 SITE_PIPS SITEPROP SLICE_X25Y108 SITE_TYPE SLICEL SITEPROP SLICE_X25Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y109 CLASS site SITEPROP SLICE_X25Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y109 IS_BONDED 0 SITEPROP SLICE_X25Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y109 IS_PAD 0 SITEPROP SLICE_X25Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y109 IS_RESERVED 0 SITEPROP SLICE_X25Y109 IS_TEST 0 SITEPROP SLICE_X25Y109 IS_USED 0 SITEPROP SLICE_X25Y109 MANUAL_ROUTING SITEPROP SLICE_X25Y109 NAME SLICE_X25Y109 SITEPROP SLICE_X25Y109 NUM_ARCS 138 SITEPROP SLICE_X25Y109 NUM_BELS 32 SITEPROP SLICE_X25Y109 NUM_INPUTS 32 SITEPROP SLICE_X25Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y109 NUM_PINS 45 SITEPROP SLICE_X25Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y109 PROHIBIT 0 SITEPROP SLICE_X25Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y109 RPM_X 75 SITEPROP SLICE_X25Y109 RPM_Y 218 SITEPROP SLICE_X25Y109 SITE_PIPS SITEPROP SLICE_X25Y109 SITE_TYPE SLICEL SITEPROP SLICE_X25Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y110 CLASS site SITEPROP SLICE_X25Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y110 IS_BONDED 0 SITEPROP SLICE_X25Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y110 IS_PAD 0 SITEPROP SLICE_X25Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y110 IS_RESERVED 0 SITEPROP SLICE_X25Y110 IS_TEST 0 SITEPROP SLICE_X25Y110 IS_USED 0 SITEPROP SLICE_X25Y110 MANUAL_ROUTING SITEPROP SLICE_X25Y110 NAME SLICE_X25Y110 SITEPROP SLICE_X25Y110 NUM_ARCS 138 SITEPROP SLICE_X25Y110 NUM_BELS 32 SITEPROP SLICE_X25Y110 NUM_INPUTS 32 SITEPROP SLICE_X25Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y110 NUM_PINS 45 SITEPROP SLICE_X25Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y110 PROHIBIT 0 SITEPROP SLICE_X25Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y110 RPM_X 75 SITEPROP SLICE_X25Y110 RPM_Y 220 SITEPROP SLICE_X25Y110 SITE_PIPS SITEPROP SLICE_X25Y110 SITE_TYPE SLICEL SITEPROP SLICE_X25Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y111 CLASS site SITEPROP SLICE_X25Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y111 IS_BONDED 0 SITEPROP SLICE_X25Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y111 IS_PAD 0 SITEPROP SLICE_X25Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y111 IS_RESERVED 0 SITEPROP SLICE_X25Y111 IS_TEST 0 SITEPROP SLICE_X25Y111 IS_USED 0 SITEPROP SLICE_X25Y111 MANUAL_ROUTING SITEPROP SLICE_X25Y111 NAME SLICE_X25Y111 SITEPROP SLICE_X25Y111 NUM_ARCS 138 SITEPROP SLICE_X25Y111 NUM_BELS 32 SITEPROP SLICE_X25Y111 NUM_INPUTS 32 SITEPROP SLICE_X25Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y111 NUM_PINS 45 SITEPROP SLICE_X25Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y111 PROHIBIT 0 SITEPROP SLICE_X25Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y111 RPM_X 75 SITEPROP SLICE_X25Y111 RPM_Y 222 SITEPROP SLICE_X25Y111 SITE_PIPS SITEPROP SLICE_X25Y111 SITE_TYPE SLICEL SITEPROP SLICE_X25Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y112 CLASS site SITEPROP SLICE_X25Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y112 IS_BONDED 0 SITEPROP SLICE_X25Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y112 IS_PAD 0 SITEPROP SLICE_X25Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y112 IS_RESERVED 0 SITEPROP SLICE_X25Y112 IS_TEST 0 SITEPROP SLICE_X25Y112 IS_USED 0 SITEPROP SLICE_X25Y112 MANUAL_ROUTING SITEPROP SLICE_X25Y112 NAME SLICE_X25Y112 SITEPROP SLICE_X25Y112 NUM_ARCS 138 SITEPROP SLICE_X25Y112 NUM_BELS 32 SITEPROP SLICE_X25Y112 NUM_INPUTS 32 SITEPROP SLICE_X25Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y112 NUM_PINS 45 SITEPROP SLICE_X25Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y112 PROHIBIT 0 SITEPROP SLICE_X25Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y112 RPM_X 75 SITEPROP SLICE_X25Y112 RPM_Y 224 SITEPROP SLICE_X25Y112 SITE_PIPS SITEPROP SLICE_X25Y112 SITE_TYPE SLICEL SITEPROP SLICE_X25Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y113 CLASS site SITEPROP SLICE_X25Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y113 IS_BONDED 0 SITEPROP SLICE_X25Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y113 IS_PAD 0 SITEPROP SLICE_X25Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y113 IS_RESERVED 0 SITEPROP SLICE_X25Y113 IS_TEST 0 SITEPROP SLICE_X25Y113 IS_USED 0 SITEPROP SLICE_X25Y113 MANUAL_ROUTING SITEPROP SLICE_X25Y113 NAME SLICE_X25Y113 SITEPROP SLICE_X25Y113 NUM_ARCS 138 SITEPROP SLICE_X25Y113 NUM_BELS 32 SITEPROP SLICE_X25Y113 NUM_INPUTS 32 SITEPROP SLICE_X25Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y113 NUM_PINS 45 SITEPROP SLICE_X25Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y113 PROHIBIT 0 SITEPROP SLICE_X25Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y113 RPM_X 75 SITEPROP SLICE_X25Y113 RPM_Y 226 SITEPROP SLICE_X25Y113 SITE_PIPS SITEPROP SLICE_X25Y113 SITE_TYPE SLICEL SITEPROP SLICE_X25Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y114 CLASS site SITEPROP SLICE_X25Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y114 IS_BONDED 0 SITEPROP SLICE_X25Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y114 IS_PAD 0 SITEPROP SLICE_X25Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y114 IS_RESERVED 0 SITEPROP SLICE_X25Y114 IS_TEST 0 SITEPROP SLICE_X25Y114 IS_USED 0 SITEPROP SLICE_X25Y114 MANUAL_ROUTING SITEPROP SLICE_X25Y114 NAME SLICE_X25Y114 SITEPROP SLICE_X25Y114 NUM_ARCS 138 SITEPROP SLICE_X25Y114 NUM_BELS 32 SITEPROP SLICE_X25Y114 NUM_INPUTS 32 SITEPROP SLICE_X25Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y114 NUM_PINS 45 SITEPROP SLICE_X25Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y114 PROHIBIT 0 SITEPROP SLICE_X25Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y114 RPM_X 75 SITEPROP SLICE_X25Y114 RPM_Y 228 SITEPROP SLICE_X25Y114 SITE_PIPS SITEPROP SLICE_X25Y114 SITE_TYPE SLICEL SITEPROP SLICE_X25Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y115 CLASS site SITEPROP SLICE_X25Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y115 IS_BONDED 0 SITEPROP SLICE_X25Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y115 IS_PAD 0 SITEPROP SLICE_X25Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y115 IS_RESERVED 0 SITEPROP SLICE_X25Y115 IS_TEST 0 SITEPROP SLICE_X25Y115 IS_USED 0 SITEPROP SLICE_X25Y115 MANUAL_ROUTING SITEPROP SLICE_X25Y115 NAME SLICE_X25Y115 SITEPROP SLICE_X25Y115 NUM_ARCS 138 SITEPROP SLICE_X25Y115 NUM_BELS 32 SITEPROP SLICE_X25Y115 NUM_INPUTS 32 SITEPROP SLICE_X25Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y115 NUM_PINS 45 SITEPROP SLICE_X25Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y115 PROHIBIT 0 SITEPROP SLICE_X25Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y115 RPM_X 75 SITEPROP SLICE_X25Y115 RPM_Y 230 SITEPROP SLICE_X25Y115 SITE_PIPS SITEPROP SLICE_X25Y115 SITE_TYPE SLICEL SITEPROP SLICE_X25Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y116 CLASS site SITEPROP SLICE_X25Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y116 IS_BONDED 0 SITEPROP SLICE_X25Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y116 IS_PAD 0 SITEPROP SLICE_X25Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y116 IS_RESERVED 0 SITEPROP SLICE_X25Y116 IS_TEST 0 SITEPROP SLICE_X25Y116 IS_USED 0 SITEPROP SLICE_X25Y116 MANUAL_ROUTING SITEPROP SLICE_X25Y116 NAME SLICE_X25Y116 SITEPROP SLICE_X25Y116 NUM_ARCS 138 SITEPROP SLICE_X25Y116 NUM_BELS 32 SITEPROP SLICE_X25Y116 NUM_INPUTS 32 SITEPROP SLICE_X25Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y116 NUM_PINS 45 SITEPROP SLICE_X25Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y116 PROHIBIT 0 SITEPROP SLICE_X25Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y116 RPM_X 75 SITEPROP SLICE_X25Y116 RPM_Y 232 SITEPROP SLICE_X25Y116 SITE_PIPS SITEPROP SLICE_X25Y116 SITE_TYPE SLICEL SITEPROP SLICE_X25Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y117 CLASS site SITEPROP SLICE_X25Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y117 IS_BONDED 0 SITEPROP SLICE_X25Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y117 IS_PAD 0 SITEPROP SLICE_X25Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y117 IS_RESERVED 0 SITEPROP SLICE_X25Y117 IS_TEST 0 SITEPROP SLICE_X25Y117 IS_USED 0 SITEPROP SLICE_X25Y117 MANUAL_ROUTING SITEPROP SLICE_X25Y117 NAME SLICE_X25Y117 SITEPROP SLICE_X25Y117 NUM_ARCS 138 SITEPROP SLICE_X25Y117 NUM_BELS 32 SITEPROP SLICE_X25Y117 NUM_INPUTS 32 SITEPROP SLICE_X25Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y117 NUM_PINS 45 SITEPROP SLICE_X25Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y117 PROHIBIT 0 SITEPROP SLICE_X25Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y117 RPM_X 75 SITEPROP SLICE_X25Y117 RPM_Y 234 SITEPROP SLICE_X25Y117 SITE_PIPS SITEPROP SLICE_X25Y117 SITE_TYPE SLICEL SITEPROP SLICE_X25Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y118 CLASS site SITEPROP SLICE_X25Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y118 IS_BONDED 0 SITEPROP SLICE_X25Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y118 IS_PAD 0 SITEPROP SLICE_X25Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y118 IS_RESERVED 0 SITEPROP SLICE_X25Y118 IS_TEST 0 SITEPROP SLICE_X25Y118 IS_USED 0 SITEPROP SLICE_X25Y118 MANUAL_ROUTING SITEPROP SLICE_X25Y118 NAME SLICE_X25Y118 SITEPROP SLICE_X25Y118 NUM_ARCS 138 SITEPROP SLICE_X25Y118 NUM_BELS 32 SITEPROP SLICE_X25Y118 NUM_INPUTS 32 SITEPROP SLICE_X25Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y118 NUM_PINS 45 SITEPROP SLICE_X25Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y118 PROHIBIT 0 SITEPROP SLICE_X25Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y118 RPM_X 75 SITEPROP SLICE_X25Y118 RPM_Y 236 SITEPROP SLICE_X25Y118 SITE_PIPS SITEPROP SLICE_X25Y118 SITE_TYPE SLICEL SITEPROP SLICE_X25Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y119 CLASS site SITEPROP SLICE_X25Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y119 IS_BONDED 0 SITEPROP SLICE_X25Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y119 IS_PAD 0 SITEPROP SLICE_X25Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y119 IS_RESERVED 0 SITEPROP SLICE_X25Y119 IS_TEST 0 SITEPROP SLICE_X25Y119 IS_USED 0 SITEPROP SLICE_X25Y119 MANUAL_ROUTING SITEPROP SLICE_X25Y119 NAME SLICE_X25Y119 SITEPROP SLICE_X25Y119 NUM_ARCS 138 SITEPROP SLICE_X25Y119 NUM_BELS 32 SITEPROP SLICE_X25Y119 NUM_INPUTS 32 SITEPROP SLICE_X25Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y119 NUM_PINS 45 SITEPROP SLICE_X25Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y119 PROHIBIT 0 SITEPROP SLICE_X25Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y119 RPM_X 75 SITEPROP SLICE_X25Y119 RPM_Y 238 SITEPROP SLICE_X25Y119 SITE_PIPS SITEPROP SLICE_X25Y119 SITE_TYPE SLICEL SITEPROP SLICE_X25Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y120 CLASS site SITEPROP SLICE_X25Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y120 IS_BONDED 0 SITEPROP SLICE_X25Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y120 IS_PAD 0 SITEPROP SLICE_X25Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y120 IS_RESERVED 0 SITEPROP SLICE_X25Y120 IS_TEST 0 SITEPROP SLICE_X25Y120 IS_USED 0 SITEPROP SLICE_X25Y120 MANUAL_ROUTING SITEPROP SLICE_X25Y120 NAME SLICE_X25Y120 SITEPROP SLICE_X25Y120 NUM_ARCS 138 SITEPROP SLICE_X25Y120 NUM_BELS 32 SITEPROP SLICE_X25Y120 NUM_INPUTS 32 SITEPROP SLICE_X25Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y120 NUM_PINS 45 SITEPROP SLICE_X25Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y120 PROHIBIT 0 SITEPROP SLICE_X25Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y120 RPM_X 75 SITEPROP SLICE_X25Y120 RPM_Y 240 SITEPROP SLICE_X25Y120 SITE_PIPS SITEPROP SLICE_X25Y120 SITE_TYPE SLICEL SITEPROP SLICE_X25Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y121 CLASS site SITEPROP SLICE_X25Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y121 IS_BONDED 0 SITEPROP SLICE_X25Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y121 IS_PAD 0 SITEPROP SLICE_X25Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y121 IS_RESERVED 0 SITEPROP SLICE_X25Y121 IS_TEST 0 SITEPROP SLICE_X25Y121 IS_USED 0 SITEPROP SLICE_X25Y121 MANUAL_ROUTING SITEPROP SLICE_X25Y121 NAME SLICE_X25Y121 SITEPROP SLICE_X25Y121 NUM_ARCS 138 SITEPROP SLICE_X25Y121 NUM_BELS 32 SITEPROP SLICE_X25Y121 NUM_INPUTS 32 SITEPROP SLICE_X25Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y121 NUM_PINS 45 SITEPROP SLICE_X25Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y121 PROHIBIT 0 SITEPROP SLICE_X25Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y121 RPM_X 75 SITEPROP SLICE_X25Y121 RPM_Y 242 SITEPROP SLICE_X25Y121 SITE_PIPS SITEPROP SLICE_X25Y121 SITE_TYPE SLICEL SITEPROP SLICE_X25Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y122 CLASS site SITEPROP SLICE_X25Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y122 IS_BONDED 0 SITEPROP SLICE_X25Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y122 IS_PAD 0 SITEPROP SLICE_X25Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y122 IS_RESERVED 0 SITEPROP SLICE_X25Y122 IS_TEST 0 SITEPROP SLICE_X25Y122 IS_USED 0 SITEPROP SLICE_X25Y122 MANUAL_ROUTING SITEPROP SLICE_X25Y122 NAME SLICE_X25Y122 SITEPROP SLICE_X25Y122 NUM_ARCS 138 SITEPROP SLICE_X25Y122 NUM_BELS 32 SITEPROP SLICE_X25Y122 NUM_INPUTS 32 SITEPROP SLICE_X25Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y122 NUM_PINS 45 SITEPROP SLICE_X25Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y122 PROHIBIT 0 SITEPROP SLICE_X25Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y122 RPM_X 75 SITEPROP SLICE_X25Y122 RPM_Y 244 SITEPROP SLICE_X25Y122 SITE_PIPS SITEPROP SLICE_X25Y122 SITE_TYPE SLICEL SITEPROP SLICE_X25Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y123 CLASS site SITEPROP SLICE_X25Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y123 IS_BONDED 0 SITEPROP SLICE_X25Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y123 IS_PAD 0 SITEPROP SLICE_X25Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y123 IS_RESERVED 0 SITEPROP SLICE_X25Y123 IS_TEST 0 SITEPROP SLICE_X25Y123 IS_USED 0 SITEPROP SLICE_X25Y123 MANUAL_ROUTING SITEPROP SLICE_X25Y123 NAME SLICE_X25Y123 SITEPROP SLICE_X25Y123 NUM_ARCS 138 SITEPROP SLICE_X25Y123 NUM_BELS 32 SITEPROP SLICE_X25Y123 NUM_INPUTS 32 SITEPROP SLICE_X25Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y123 NUM_PINS 45 SITEPROP SLICE_X25Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y123 PROHIBIT 0 SITEPROP SLICE_X25Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y123 RPM_X 75 SITEPROP SLICE_X25Y123 RPM_Y 246 SITEPROP SLICE_X25Y123 SITE_PIPS SITEPROP SLICE_X25Y123 SITE_TYPE SLICEL SITEPROP SLICE_X25Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y124 CLASS site SITEPROP SLICE_X25Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y124 IS_BONDED 0 SITEPROP SLICE_X25Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y124 IS_PAD 0 SITEPROP SLICE_X25Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y124 IS_RESERVED 0 SITEPROP SLICE_X25Y124 IS_TEST 0 SITEPROP SLICE_X25Y124 IS_USED 0 SITEPROP SLICE_X25Y124 MANUAL_ROUTING SITEPROP SLICE_X25Y124 NAME SLICE_X25Y124 SITEPROP SLICE_X25Y124 NUM_ARCS 138 SITEPROP SLICE_X25Y124 NUM_BELS 32 SITEPROP SLICE_X25Y124 NUM_INPUTS 32 SITEPROP SLICE_X25Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y124 NUM_PINS 45 SITEPROP SLICE_X25Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y124 PROHIBIT 0 SITEPROP SLICE_X25Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y124 RPM_X 75 SITEPROP SLICE_X25Y124 RPM_Y 248 SITEPROP SLICE_X25Y124 SITE_PIPS SITEPROP SLICE_X25Y124 SITE_TYPE SLICEL SITEPROP SLICE_X25Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y125 CLASS site SITEPROP SLICE_X25Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y125 IS_BONDED 0 SITEPROP SLICE_X25Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y125 IS_PAD 0 SITEPROP SLICE_X25Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y125 IS_RESERVED 0 SITEPROP SLICE_X25Y125 IS_TEST 0 SITEPROP SLICE_X25Y125 IS_USED 0 SITEPROP SLICE_X25Y125 MANUAL_ROUTING SITEPROP SLICE_X25Y125 NAME SLICE_X25Y125 SITEPROP SLICE_X25Y125 NUM_ARCS 138 SITEPROP SLICE_X25Y125 NUM_BELS 32 SITEPROP SLICE_X25Y125 NUM_INPUTS 32 SITEPROP SLICE_X25Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y125 NUM_PINS 45 SITEPROP SLICE_X25Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y125 PROHIBIT 0 SITEPROP SLICE_X25Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y125 RPM_X 75 SITEPROP SLICE_X25Y125 RPM_Y 250 SITEPROP SLICE_X25Y125 SITE_PIPS SITEPROP SLICE_X25Y125 SITE_TYPE SLICEL SITEPROP SLICE_X25Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y126 CLASS site SITEPROP SLICE_X25Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y126 IS_BONDED 0 SITEPROP SLICE_X25Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y126 IS_PAD 0 SITEPROP SLICE_X25Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y126 IS_RESERVED 0 SITEPROP SLICE_X25Y126 IS_TEST 0 SITEPROP SLICE_X25Y126 IS_USED 0 SITEPROP SLICE_X25Y126 MANUAL_ROUTING SITEPROP SLICE_X25Y126 NAME SLICE_X25Y126 SITEPROP SLICE_X25Y126 NUM_ARCS 138 SITEPROP SLICE_X25Y126 NUM_BELS 32 SITEPROP SLICE_X25Y126 NUM_INPUTS 32 SITEPROP SLICE_X25Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y126 NUM_PINS 45 SITEPROP SLICE_X25Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y126 PROHIBIT 0 SITEPROP SLICE_X25Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y126 RPM_X 75 SITEPROP SLICE_X25Y126 RPM_Y 252 SITEPROP SLICE_X25Y126 SITE_PIPS SITEPROP SLICE_X25Y126 SITE_TYPE SLICEL SITEPROP SLICE_X25Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y127 CLASS site SITEPROP SLICE_X25Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y127 IS_BONDED 0 SITEPROP SLICE_X25Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y127 IS_PAD 0 SITEPROP SLICE_X25Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y127 IS_RESERVED 0 SITEPROP SLICE_X25Y127 IS_TEST 0 SITEPROP SLICE_X25Y127 IS_USED 0 SITEPROP SLICE_X25Y127 MANUAL_ROUTING SITEPROP SLICE_X25Y127 NAME SLICE_X25Y127 SITEPROP SLICE_X25Y127 NUM_ARCS 138 SITEPROP SLICE_X25Y127 NUM_BELS 32 SITEPROP SLICE_X25Y127 NUM_INPUTS 32 SITEPROP SLICE_X25Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y127 NUM_PINS 45 SITEPROP SLICE_X25Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y127 PROHIBIT 0 SITEPROP SLICE_X25Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y127 RPM_X 75 SITEPROP SLICE_X25Y127 RPM_Y 254 SITEPROP SLICE_X25Y127 SITE_PIPS SITEPROP SLICE_X25Y127 SITE_TYPE SLICEL SITEPROP SLICE_X25Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y128 CLASS site SITEPROP SLICE_X25Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y128 IS_BONDED 0 SITEPROP SLICE_X25Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y128 IS_PAD 0 SITEPROP SLICE_X25Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y128 IS_RESERVED 0 SITEPROP SLICE_X25Y128 IS_TEST 0 SITEPROP SLICE_X25Y128 IS_USED 0 SITEPROP SLICE_X25Y128 MANUAL_ROUTING SITEPROP SLICE_X25Y128 NAME SLICE_X25Y128 SITEPROP SLICE_X25Y128 NUM_ARCS 138 SITEPROP SLICE_X25Y128 NUM_BELS 32 SITEPROP SLICE_X25Y128 NUM_INPUTS 32 SITEPROP SLICE_X25Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y128 NUM_PINS 45 SITEPROP SLICE_X25Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y128 PROHIBIT 0 SITEPROP SLICE_X25Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y128 RPM_X 75 SITEPROP SLICE_X25Y128 RPM_Y 256 SITEPROP SLICE_X25Y128 SITE_PIPS SITEPROP SLICE_X25Y128 SITE_TYPE SLICEL SITEPROP SLICE_X25Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y129 CLASS site SITEPROP SLICE_X25Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y129 IS_BONDED 0 SITEPROP SLICE_X25Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y129 IS_PAD 0 SITEPROP SLICE_X25Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y129 IS_RESERVED 0 SITEPROP SLICE_X25Y129 IS_TEST 0 SITEPROP SLICE_X25Y129 IS_USED 0 SITEPROP SLICE_X25Y129 MANUAL_ROUTING SITEPROP SLICE_X25Y129 NAME SLICE_X25Y129 SITEPROP SLICE_X25Y129 NUM_ARCS 138 SITEPROP SLICE_X25Y129 NUM_BELS 32 SITEPROP SLICE_X25Y129 NUM_INPUTS 32 SITEPROP SLICE_X25Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y129 NUM_PINS 45 SITEPROP SLICE_X25Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y129 PROHIBIT 0 SITEPROP SLICE_X25Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y129 RPM_X 75 SITEPROP SLICE_X25Y129 RPM_Y 258 SITEPROP SLICE_X25Y129 SITE_PIPS SITEPROP SLICE_X25Y129 SITE_TYPE SLICEL SITEPROP SLICE_X25Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y130 CLASS site SITEPROP SLICE_X25Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y130 IS_BONDED 0 SITEPROP SLICE_X25Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y130 IS_PAD 0 SITEPROP SLICE_X25Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y130 IS_RESERVED 0 SITEPROP SLICE_X25Y130 IS_TEST 0 SITEPROP SLICE_X25Y130 IS_USED 0 SITEPROP SLICE_X25Y130 MANUAL_ROUTING SITEPROP SLICE_X25Y130 NAME SLICE_X25Y130 SITEPROP SLICE_X25Y130 NUM_ARCS 138 SITEPROP SLICE_X25Y130 NUM_BELS 32 SITEPROP SLICE_X25Y130 NUM_INPUTS 32 SITEPROP SLICE_X25Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y130 NUM_PINS 45 SITEPROP SLICE_X25Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y130 PROHIBIT 0 SITEPROP SLICE_X25Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y130 RPM_X 75 SITEPROP SLICE_X25Y130 RPM_Y 260 SITEPROP SLICE_X25Y130 SITE_PIPS SITEPROP SLICE_X25Y130 SITE_TYPE SLICEL SITEPROP SLICE_X25Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y131 CLASS site SITEPROP SLICE_X25Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y131 IS_BONDED 0 SITEPROP SLICE_X25Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y131 IS_PAD 0 SITEPROP SLICE_X25Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y131 IS_RESERVED 0 SITEPROP SLICE_X25Y131 IS_TEST 0 SITEPROP SLICE_X25Y131 IS_USED 0 SITEPROP SLICE_X25Y131 MANUAL_ROUTING SITEPROP SLICE_X25Y131 NAME SLICE_X25Y131 SITEPROP SLICE_X25Y131 NUM_ARCS 138 SITEPROP SLICE_X25Y131 NUM_BELS 32 SITEPROP SLICE_X25Y131 NUM_INPUTS 32 SITEPROP SLICE_X25Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y131 NUM_PINS 45 SITEPROP SLICE_X25Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y131 PROHIBIT 0 SITEPROP SLICE_X25Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y131 RPM_X 75 SITEPROP SLICE_X25Y131 RPM_Y 262 SITEPROP SLICE_X25Y131 SITE_PIPS SITEPROP SLICE_X25Y131 SITE_TYPE SLICEL SITEPROP SLICE_X25Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y132 CLASS site SITEPROP SLICE_X25Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y132 IS_BONDED 0 SITEPROP SLICE_X25Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y132 IS_PAD 0 SITEPROP SLICE_X25Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y132 IS_RESERVED 0 SITEPROP SLICE_X25Y132 IS_TEST 0 SITEPROP SLICE_X25Y132 IS_USED 0 SITEPROP SLICE_X25Y132 MANUAL_ROUTING SITEPROP SLICE_X25Y132 NAME SLICE_X25Y132 SITEPROP SLICE_X25Y132 NUM_ARCS 138 SITEPROP SLICE_X25Y132 NUM_BELS 32 SITEPROP SLICE_X25Y132 NUM_INPUTS 32 SITEPROP SLICE_X25Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y132 NUM_PINS 45 SITEPROP SLICE_X25Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y132 PROHIBIT 0 SITEPROP SLICE_X25Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y132 RPM_X 75 SITEPROP SLICE_X25Y132 RPM_Y 264 SITEPROP SLICE_X25Y132 SITE_PIPS SITEPROP SLICE_X25Y132 SITE_TYPE SLICEL SITEPROP SLICE_X25Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y133 CLASS site SITEPROP SLICE_X25Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y133 IS_BONDED 0 SITEPROP SLICE_X25Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y133 IS_PAD 0 SITEPROP SLICE_X25Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y133 IS_RESERVED 0 SITEPROP SLICE_X25Y133 IS_TEST 0 SITEPROP SLICE_X25Y133 IS_USED 0 SITEPROP SLICE_X25Y133 MANUAL_ROUTING SITEPROP SLICE_X25Y133 NAME SLICE_X25Y133 SITEPROP SLICE_X25Y133 NUM_ARCS 138 SITEPROP SLICE_X25Y133 NUM_BELS 32 SITEPROP SLICE_X25Y133 NUM_INPUTS 32 SITEPROP SLICE_X25Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y133 NUM_PINS 45 SITEPROP SLICE_X25Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y133 PROHIBIT 0 SITEPROP SLICE_X25Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y133 RPM_X 75 SITEPROP SLICE_X25Y133 RPM_Y 266 SITEPROP SLICE_X25Y133 SITE_PIPS SITEPROP SLICE_X25Y133 SITE_TYPE SLICEL SITEPROP SLICE_X25Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y134 CLASS site SITEPROP SLICE_X25Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y134 IS_BONDED 0 SITEPROP SLICE_X25Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y134 IS_PAD 0 SITEPROP SLICE_X25Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y134 IS_RESERVED 0 SITEPROP SLICE_X25Y134 IS_TEST 0 SITEPROP SLICE_X25Y134 IS_USED 0 SITEPROP SLICE_X25Y134 MANUAL_ROUTING SITEPROP SLICE_X25Y134 NAME SLICE_X25Y134 SITEPROP SLICE_X25Y134 NUM_ARCS 138 SITEPROP SLICE_X25Y134 NUM_BELS 32 SITEPROP SLICE_X25Y134 NUM_INPUTS 32 SITEPROP SLICE_X25Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y134 NUM_PINS 45 SITEPROP SLICE_X25Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y134 PROHIBIT 0 SITEPROP SLICE_X25Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y134 RPM_X 75 SITEPROP SLICE_X25Y134 RPM_Y 268 SITEPROP SLICE_X25Y134 SITE_PIPS SITEPROP SLICE_X25Y134 SITE_TYPE SLICEL SITEPROP SLICE_X25Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y135 CLASS site SITEPROP SLICE_X25Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y135 IS_BONDED 0 SITEPROP SLICE_X25Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y135 IS_PAD 0 SITEPROP SLICE_X25Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y135 IS_RESERVED 0 SITEPROP SLICE_X25Y135 IS_TEST 0 SITEPROP SLICE_X25Y135 IS_USED 0 SITEPROP SLICE_X25Y135 MANUAL_ROUTING SITEPROP SLICE_X25Y135 NAME SLICE_X25Y135 SITEPROP SLICE_X25Y135 NUM_ARCS 138 SITEPROP SLICE_X25Y135 NUM_BELS 32 SITEPROP SLICE_X25Y135 NUM_INPUTS 32 SITEPROP SLICE_X25Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y135 NUM_PINS 45 SITEPROP SLICE_X25Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y135 PROHIBIT 0 SITEPROP SLICE_X25Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y135 RPM_X 75 SITEPROP SLICE_X25Y135 RPM_Y 270 SITEPROP SLICE_X25Y135 SITE_PIPS SITEPROP SLICE_X25Y135 SITE_TYPE SLICEL SITEPROP SLICE_X25Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y136 CLASS site SITEPROP SLICE_X25Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y136 IS_BONDED 0 SITEPROP SLICE_X25Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y136 IS_PAD 0 SITEPROP SLICE_X25Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y136 IS_RESERVED 0 SITEPROP SLICE_X25Y136 IS_TEST 0 SITEPROP SLICE_X25Y136 IS_USED 0 SITEPROP SLICE_X25Y136 MANUAL_ROUTING SITEPROP SLICE_X25Y136 NAME SLICE_X25Y136 SITEPROP SLICE_X25Y136 NUM_ARCS 138 SITEPROP SLICE_X25Y136 NUM_BELS 32 SITEPROP SLICE_X25Y136 NUM_INPUTS 32 SITEPROP SLICE_X25Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y136 NUM_PINS 45 SITEPROP SLICE_X25Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y136 PROHIBIT 0 SITEPROP SLICE_X25Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y136 RPM_X 75 SITEPROP SLICE_X25Y136 RPM_Y 272 SITEPROP SLICE_X25Y136 SITE_PIPS SITEPROP SLICE_X25Y136 SITE_TYPE SLICEL SITEPROP SLICE_X25Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y137 CLASS site SITEPROP SLICE_X25Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y137 IS_BONDED 0 SITEPROP SLICE_X25Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y137 IS_PAD 0 SITEPROP SLICE_X25Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y137 IS_RESERVED 0 SITEPROP SLICE_X25Y137 IS_TEST 0 SITEPROP SLICE_X25Y137 IS_USED 0 SITEPROP SLICE_X25Y137 MANUAL_ROUTING SITEPROP SLICE_X25Y137 NAME SLICE_X25Y137 SITEPROP SLICE_X25Y137 NUM_ARCS 138 SITEPROP SLICE_X25Y137 NUM_BELS 32 SITEPROP SLICE_X25Y137 NUM_INPUTS 32 SITEPROP SLICE_X25Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y137 NUM_PINS 45 SITEPROP SLICE_X25Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y137 PROHIBIT 0 SITEPROP SLICE_X25Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y137 RPM_X 75 SITEPROP SLICE_X25Y137 RPM_Y 274 SITEPROP SLICE_X25Y137 SITE_PIPS SITEPROP SLICE_X25Y137 SITE_TYPE SLICEL SITEPROP SLICE_X25Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y138 CLASS site SITEPROP SLICE_X25Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y138 IS_BONDED 0 SITEPROP SLICE_X25Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y138 IS_PAD 0 SITEPROP SLICE_X25Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y138 IS_RESERVED 0 SITEPROP SLICE_X25Y138 IS_TEST 0 SITEPROP SLICE_X25Y138 IS_USED 0 SITEPROP SLICE_X25Y138 MANUAL_ROUTING SITEPROP SLICE_X25Y138 NAME SLICE_X25Y138 SITEPROP SLICE_X25Y138 NUM_ARCS 138 SITEPROP SLICE_X25Y138 NUM_BELS 32 SITEPROP SLICE_X25Y138 NUM_INPUTS 32 SITEPROP SLICE_X25Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y138 NUM_PINS 45 SITEPROP SLICE_X25Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y138 PROHIBIT 0 SITEPROP SLICE_X25Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y138 RPM_X 75 SITEPROP SLICE_X25Y138 RPM_Y 276 SITEPROP SLICE_X25Y138 SITE_PIPS SITEPROP SLICE_X25Y138 SITE_TYPE SLICEL SITEPROP SLICE_X25Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y139 CLASS site SITEPROP SLICE_X25Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y139 IS_BONDED 0 SITEPROP SLICE_X25Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y139 IS_PAD 0 SITEPROP SLICE_X25Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y139 IS_RESERVED 0 SITEPROP SLICE_X25Y139 IS_TEST 0 SITEPROP SLICE_X25Y139 IS_USED 0 SITEPROP SLICE_X25Y139 MANUAL_ROUTING SITEPROP SLICE_X25Y139 NAME SLICE_X25Y139 SITEPROP SLICE_X25Y139 NUM_ARCS 138 SITEPROP SLICE_X25Y139 NUM_BELS 32 SITEPROP SLICE_X25Y139 NUM_INPUTS 32 SITEPROP SLICE_X25Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y139 NUM_PINS 45 SITEPROP SLICE_X25Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y139 PROHIBIT 0 SITEPROP SLICE_X25Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y139 RPM_X 75 SITEPROP SLICE_X25Y139 RPM_Y 278 SITEPROP SLICE_X25Y139 SITE_PIPS SITEPROP SLICE_X25Y139 SITE_TYPE SLICEL SITEPROP SLICE_X25Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y140 CLASS site SITEPROP SLICE_X25Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y140 IS_BONDED 0 SITEPROP SLICE_X25Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y140 IS_PAD 0 SITEPROP SLICE_X25Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y140 IS_RESERVED 0 SITEPROP SLICE_X25Y140 IS_TEST 0 SITEPROP SLICE_X25Y140 IS_USED 0 SITEPROP SLICE_X25Y140 MANUAL_ROUTING SITEPROP SLICE_X25Y140 NAME SLICE_X25Y140 SITEPROP SLICE_X25Y140 NUM_ARCS 138 SITEPROP SLICE_X25Y140 NUM_BELS 32 SITEPROP SLICE_X25Y140 NUM_INPUTS 32 SITEPROP SLICE_X25Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y140 NUM_PINS 45 SITEPROP SLICE_X25Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y140 PROHIBIT 0 SITEPROP SLICE_X25Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y140 RPM_X 75 SITEPROP SLICE_X25Y140 RPM_Y 280 SITEPROP SLICE_X25Y140 SITE_PIPS SITEPROP SLICE_X25Y140 SITE_TYPE SLICEL SITEPROP SLICE_X25Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y141 CLASS site SITEPROP SLICE_X25Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y141 IS_BONDED 0 SITEPROP SLICE_X25Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y141 IS_PAD 0 SITEPROP SLICE_X25Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y141 IS_RESERVED 0 SITEPROP SLICE_X25Y141 IS_TEST 0 SITEPROP SLICE_X25Y141 IS_USED 0 SITEPROP SLICE_X25Y141 MANUAL_ROUTING SITEPROP SLICE_X25Y141 NAME SLICE_X25Y141 SITEPROP SLICE_X25Y141 NUM_ARCS 138 SITEPROP SLICE_X25Y141 NUM_BELS 32 SITEPROP SLICE_X25Y141 NUM_INPUTS 32 SITEPROP SLICE_X25Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y141 NUM_PINS 45 SITEPROP SLICE_X25Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y141 PROHIBIT 0 SITEPROP SLICE_X25Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y141 RPM_X 75 SITEPROP SLICE_X25Y141 RPM_Y 282 SITEPROP SLICE_X25Y141 SITE_PIPS SITEPROP SLICE_X25Y141 SITE_TYPE SLICEL SITEPROP SLICE_X25Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y142 CLASS site SITEPROP SLICE_X25Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y142 IS_BONDED 0 SITEPROP SLICE_X25Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y142 IS_PAD 0 SITEPROP SLICE_X25Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y142 IS_RESERVED 0 SITEPROP SLICE_X25Y142 IS_TEST 0 SITEPROP SLICE_X25Y142 IS_USED 0 SITEPROP SLICE_X25Y142 MANUAL_ROUTING SITEPROP SLICE_X25Y142 NAME SLICE_X25Y142 SITEPROP SLICE_X25Y142 NUM_ARCS 138 SITEPROP SLICE_X25Y142 NUM_BELS 32 SITEPROP SLICE_X25Y142 NUM_INPUTS 32 SITEPROP SLICE_X25Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y142 NUM_PINS 45 SITEPROP SLICE_X25Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y142 PROHIBIT 0 SITEPROP SLICE_X25Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y142 RPM_X 75 SITEPROP SLICE_X25Y142 RPM_Y 284 SITEPROP SLICE_X25Y142 SITE_PIPS SITEPROP SLICE_X25Y142 SITE_TYPE SLICEL SITEPROP SLICE_X25Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y143 CLASS site SITEPROP SLICE_X25Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y143 IS_BONDED 0 SITEPROP SLICE_X25Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y143 IS_PAD 0 SITEPROP SLICE_X25Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y143 IS_RESERVED 0 SITEPROP SLICE_X25Y143 IS_TEST 0 SITEPROP SLICE_X25Y143 IS_USED 0 SITEPROP SLICE_X25Y143 MANUAL_ROUTING SITEPROP SLICE_X25Y143 NAME SLICE_X25Y143 SITEPROP SLICE_X25Y143 NUM_ARCS 138 SITEPROP SLICE_X25Y143 NUM_BELS 32 SITEPROP SLICE_X25Y143 NUM_INPUTS 32 SITEPROP SLICE_X25Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y143 NUM_PINS 45 SITEPROP SLICE_X25Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y143 PROHIBIT 0 SITEPROP SLICE_X25Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y143 RPM_X 75 SITEPROP SLICE_X25Y143 RPM_Y 286 SITEPROP SLICE_X25Y143 SITE_PIPS SITEPROP SLICE_X25Y143 SITE_TYPE SLICEL SITEPROP SLICE_X25Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y144 CLASS site SITEPROP SLICE_X25Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y144 IS_BONDED 0 SITEPROP SLICE_X25Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y144 IS_PAD 0 SITEPROP SLICE_X25Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y144 IS_RESERVED 0 SITEPROP SLICE_X25Y144 IS_TEST 0 SITEPROP SLICE_X25Y144 IS_USED 0 SITEPROP SLICE_X25Y144 MANUAL_ROUTING SITEPROP SLICE_X25Y144 NAME SLICE_X25Y144 SITEPROP SLICE_X25Y144 NUM_ARCS 138 SITEPROP SLICE_X25Y144 NUM_BELS 32 SITEPROP SLICE_X25Y144 NUM_INPUTS 32 SITEPROP SLICE_X25Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y144 NUM_PINS 45 SITEPROP SLICE_X25Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y144 PROHIBIT 0 SITEPROP SLICE_X25Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y144 RPM_X 75 SITEPROP SLICE_X25Y144 RPM_Y 288 SITEPROP SLICE_X25Y144 SITE_PIPS SITEPROP SLICE_X25Y144 SITE_TYPE SLICEL SITEPROP SLICE_X25Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y145 CLASS site SITEPROP SLICE_X25Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y145 IS_BONDED 0 SITEPROP SLICE_X25Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y145 IS_PAD 0 SITEPROP SLICE_X25Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y145 IS_RESERVED 0 SITEPROP SLICE_X25Y145 IS_TEST 0 SITEPROP SLICE_X25Y145 IS_USED 0 SITEPROP SLICE_X25Y145 MANUAL_ROUTING SITEPROP SLICE_X25Y145 NAME SLICE_X25Y145 SITEPROP SLICE_X25Y145 NUM_ARCS 138 SITEPROP SLICE_X25Y145 NUM_BELS 32 SITEPROP SLICE_X25Y145 NUM_INPUTS 32 SITEPROP SLICE_X25Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y145 NUM_PINS 45 SITEPROP SLICE_X25Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y145 PROHIBIT 0 SITEPROP SLICE_X25Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y145 RPM_X 75 SITEPROP SLICE_X25Y145 RPM_Y 290 SITEPROP SLICE_X25Y145 SITE_PIPS SITEPROP SLICE_X25Y145 SITE_TYPE SLICEL SITEPROP SLICE_X25Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y146 CLASS site SITEPROP SLICE_X25Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y146 IS_BONDED 0 SITEPROP SLICE_X25Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y146 IS_PAD 0 SITEPROP SLICE_X25Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y146 IS_RESERVED 0 SITEPROP SLICE_X25Y146 IS_TEST 0 SITEPROP SLICE_X25Y146 IS_USED 0 SITEPROP SLICE_X25Y146 MANUAL_ROUTING SITEPROP SLICE_X25Y146 NAME SLICE_X25Y146 SITEPROP SLICE_X25Y146 NUM_ARCS 138 SITEPROP SLICE_X25Y146 NUM_BELS 32 SITEPROP SLICE_X25Y146 NUM_INPUTS 32 SITEPROP SLICE_X25Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y146 NUM_PINS 45 SITEPROP SLICE_X25Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y146 PROHIBIT 0 SITEPROP SLICE_X25Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y146 RPM_X 75 SITEPROP SLICE_X25Y146 RPM_Y 292 SITEPROP SLICE_X25Y146 SITE_PIPS SITEPROP SLICE_X25Y146 SITE_TYPE SLICEL SITEPROP SLICE_X25Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y147 CLASS site SITEPROP SLICE_X25Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y147 IS_BONDED 0 SITEPROP SLICE_X25Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y147 IS_PAD 0 SITEPROP SLICE_X25Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y147 IS_RESERVED 0 SITEPROP SLICE_X25Y147 IS_TEST 0 SITEPROP SLICE_X25Y147 IS_USED 0 SITEPROP SLICE_X25Y147 MANUAL_ROUTING SITEPROP SLICE_X25Y147 NAME SLICE_X25Y147 SITEPROP SLICE_X25Y147 NUM_ARCS 138 SITEPROP SLICE_X25Y147 NUM_BELS 32 SITEPROP SLICE_X25Y147 NUM_INPUTS 32 SITEPROP SLICE_X25Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y147 NUM_PINS 45 SITEPROP SLICE_X25Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y147 PROHIBIT 0 SITEPROP SLICE_X25Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y147 RPM_X 75 SITEPROP SLICE_X25Y147 RPM_Y 294 SITEPROP SLICE_X25Y147 SITE_PIPS SITEPROP SLICE_X25Y147 SITE_TYPE SLICEL SITEPROP SLICE_X25Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y148 CLASS site SITEPROP SLICE_X25Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y148 IS_BONDED 0 SITEPROP SLICE_X25Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y148 IS_PAD 0 SITEPROP SLICE_X25Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y148 IS_RESERVED 0 SITEPROP SLICE_X25Y148 IS_TEST 0 SITEPROP SLICE_X25Y148 IS_USED 0 SITEPROP SLICE_X25Y148 MANUAL_ROUTING SITEPROP SLICE_X25Y148 NAME SLICE_X25Y148 SITEPROP SLICE_X25Y148 NUM_ARCS 138 SITEPROP SLICE_X25Y148 NUM_BELS 32 SITEPROP SLICE_X25Y148 NUM_INPUTS 32 SITEPROP SLICE_X25Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y148 NUM_PINS 45 SITEPROP SLICE_X25Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y148 PROHIBIT 0 SITEPROP SLICE_X25Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y148 RPM_X 75 SITEPROP SLICE_X25Y148 RPM_Y 296 SITEPROP SLICE_X25Y148 SITE_PIPS SITEPROP SLICE_X25Y148 SITE_TYPE SLICEL SITEPROP SLICE_X25Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X25Y149 CLASS site SITEPROP SLICE_X25Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X25Y149 IS_BONDED 0 SITEPROP SLICE_X25Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X25Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y149 IS_PAD 0 SITEPROP SLICE_X25Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X25Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X25Y149 IS_RESERVED 0 SITEPROP SLICE_X25Y149 IS_TEST 0 SITEPROP SLICE_X25Y149 IS_USED 0 SITEPROP SLICE_X25Y149 MANUAL_ROUTING SITEPROP SLICE_X25Y149 NAME SLICE_X25Y149 SITEPROP SLICE_X25Y149 NUM_ARCS 138 SITEPROP SLICE_X25Y149 NUM_BELS 32 SITEPROP SLICE_X25Y149 NUM_INPUTS 32 SITEPROP SLICE_X25Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X25Y149 NUM_PINS 45 SITEPROP SLICE_X25Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X25Y149 PROHIBIT 0 SITEPROP SLICE_X25Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X25Y149 RPM_X 75 SITEPROP SLICE_X25Y149 RPM_Y 298 SITEPROP SLICE_X25Y149 SITE_PIPS SITEPROP SLICE_X25Y149 SITE_TYPE SLICEL SITEPROP SLICE_X26Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y100 CLASS site SITEPROP SLICE_X26Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y100 IS_BONDED 0 SITEPROP SLICE_X26Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y100 IS_PAD 0 SITEPROP SLICE_X26Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y100 IS_RESERVED 0 SITEPROP SLICE_X26Y100 IS_TEST 0 SITEPROP SLICE_X26Y100 IS_USED 0 SITEPROP SLICE_X26Y100 MANUAL_ROUTING SITEPROP SLICE_X26Y100 NAME SLICE_X26Y100 SITEPROP SLICE_X26Y100 NUM_ARCS 138 SITEPROP SLICE_X26Y100 NUM_BELS 32 SITEPROP SLICE_X26Y100 NUM_INPUTS 32 SITEPROP SLICE_X26Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y100 NUM_PINS 45 SITEPROP SLICE_X26Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y100 PROHIBIT 0 SITEPROP SLICE_X26Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y100 RPM_X 77 SITEPROP SLICE_X26Y100 RPM_Y 200 SITEPROP SLICE_X26Y100 SITE_PIPS SITEPROP SLICE_X26Y100 SITE_TYPE SLICEL SITEPROP SLICE_X26Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y101 CLASS site SITEPROP SLICE_X26Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y101 IS_BONDED 0 SITEPROP SLICE_X26Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y101 IS_PAD 0 SITEPROP SLICE_X26Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y101 IS_RESERVED 0 SITEPROP SLICE_X26Y101 IS_TEST 0 SITEPROP SLICE_X26Y101 IS_USED 0 SITEPROP SLICE_X26Y101 MANUAL_ROUTING SITEPROP SLICE_X26Y101 NAME SLICE_X26Y101 SITEPROP SLICE_X26Y101 NUM_ARCS 138 SITEPROP SLICE_X26Y101 NUM_BELS 32 SITEPROP SLICE_X26Y101 NUM_INPUTS 32 SITEPROP SLICE_X26Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y101 NUM_PINS 45 SITEPROP SLICE_X26Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y101 PROHIBIT 0 SITEPROP SLICE_X26Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y101 RPM_X 77 SITEPROP SLICE_X26Y101 RPM_Y 202 SITEPROP SLICE_X26Y101 SITE_PIPS SITEPROP SLICE_X26Y101 SITE_TYPE SLICEL SITEPROP SLICE_X26Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y102 CLASS site SITEPROP SLICE_X26Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y102 IS_BONDED 0 SITEPROP SLICE_X26Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y102 IS_PAD 0 SITEPROP SLICE_X26Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y102 IS_RESERVED 0 SITEPROP SLICE_X26Y102 IS_TEST 0 SITEPROP SLICE_X26Y102 IS_USED 0 SITEPROP SLICE_X26Y102 MANUAL_ROUTING SITEPROP SLICE_X26Y102 NAME SLICE_X26Y102 SITEPROP SLICE_X26Y102 NUM_ARCS 138 SITEPROP SLICE_X26Y102 NUM_BELS 32 SITEPROP SLICE_X26Y102 NUM_INPUTS 32 SITEPROP SLICE_X26Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y102 NUM_PINS 45 SITEPROP SLICE_X26Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y102 PROHIBIT 0 SITEPROP SLICE_X26Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y102 RPM_X 77 SITEPROP SLICE_X26Y102 RPM_Y 204 SITEPROP SLICE_X26Y102 SITE_PIPS SITEPROP SLICE_X26Y102 SITE_TYPE SLICEL SITEPROP SLICE_X26Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y103 CLASS site SITEPROP SLICE_X26Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y103 IS_BONDED 0 SITEPROP SLICE_X26Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y103 IS_PAD 0 SITEPROP SLICE_X26Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y103 IS_RESERVED 0 SITEPROP SLICE_X26Y103 IS_TEST 0 SITEPROP SLICE_X26Y103 IS_USED 0 SITEPROP SLICE_X26Y103 MANUAL_ROUTING SITEPROP SLICE_X26Y103 NAME SLICE_X26Y103 SITEPROP SLICE_X26Y103 NUM_ARCS 138 SITEPROP SLICE_X26Y103 NUM_BELS 32 SITEPROP SLICE_X26Y103 NUM_INPUTS 32 SITEPROP SLICE_X26Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y103 NUM_PINS 45 SITEPROP SLICE_X26Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y103 PROHIBIT 0 SITEPROP SLICE_X26Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y103 RPM_X 77 SITEPROP SLICE_X26Y103 RPM_Y 206 SITEPROP SLICE_X26Y103 SITE_PIPS SITEPROP SLICE_X26Y103 SITE_TYPE SLICEL SITEPROP SLICE_X26Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y104 CLASS site SITEPROP SLICE_X26Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y104 IS_BONDED 0 SITEPROP SLICE_X26Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y104 IS_PAD 0 SITEPROP SLICE_X26Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y104 IS_RESERVED 0 SITEPROP SLICE_X26Y104 IS_TEST 0 SITEPROP SLICE_X26Y104 IS_USED 0 SITEPROP SLICE_X26Y104 MANUAL_ROUTING SITEPROP SLICE_X26Y104 NAME SLICE_X26Y104 SITEPROP SLICE_X26Y104 NUM_ARCS 138 SITEPROP SLICE_X26Y104 NUM_BELS 32 SITEPROP SLICE_X26Y104 NUM_INPUTS 32 SITEPROP SLICE_X26Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y104 NUM_PINS 45 SITEPROP SLICE_X26Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y104 PROHIBIT 0 SITEPROP SLICE_X26Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y104 RPM_X 77 SITEPROP SLICE_X26Y104 RPM_Y 208 SITEPROP SLICE_X26Y104 SITE_PIPS SITEPROP SLICE_X26Y104 SITE_TYPE SLICEL SITEPROP SLICE_X26Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y105 CLASS site SITEPROP SLICE_X26Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y105 IS_BONDED 0 SITEPROP SLICE_X26Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y105 IS_PAD 0 SITEPROP SLICE_X26Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y105 IS_RESERVED 0 SITEPROP SLICE_X26Y105 IS_TEST 0 SITEPROP SLICE_X26Y105 IS_USED 0 SITEPROP SLICE_X26Y105 MANUAL_ROUTING SITEPROP SLICE_X26Y105 NAME SLICE_X26Y105 SITEPROP SLICE_X26Y105 NUM_ARCS 138 SITEPROP SLICE_X26Y105 NUM_BELS 32 SITEPROP SLICE_X26Y105 NUM_INPUTS 32 SITEPROP SLICE_X26Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y105 NUM_PINS 45 SITEPROP SLICE_X26Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y105 PROHIBIT 0 SITEPROP SLICE_X26Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y105 RPM_X 77 SITEPROP SLICE_X26Y105 RPM_Y 210 SITEPROP SLICE_X26Y105 SITE_PIPS SITEPROP SLICE_X26Y105 SITE_TYPE SLICEL SITEPROP SLICE_X26Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y106 CLASS site SITEPROP SLICE_X26Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y106 IS_BONDED 0 SITEPROP SLICE_X26Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y106 IS_PAD 0 SITEPROP SLICE_X26Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y106 IS_RESERVED 0 SITEPROP SLICE_X26Y106 IS_TEST 0 SITEPROP SLICE_X26Y106 IS_USED 0 SITEPROP SLICE_X26Y106 MANUAL_ROUTING SITEPROP SLICE_X26Y106 NAME SLICE_X26Y106 SITEPROP SLICE_X26Y106 NUM_ARCS 138 SITEPROP SLICE_X26Y106 NUM_BELS 32 SITEPROP SLICE_X26Y106 NUM_INPUTS 32 SITEPROP SLICE_X26Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y106 NUM_PINS 45 SITEPROP SLICE_X26Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y106 PROHIBIT 0 SITEPROP SLICE_X26Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y106 RPM_X 77 SITEPROP SLICE_X26Y106 RPM_Y 212 SITEPROP SLICE_X26Y106 SITE_PIPS SITEPROP SLICE_X26Y106 SITE_TYPE SLICEL SITEPROP SLICE_X26Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y107 CLASS site SITEPROP SLICE_X26Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y107 IS_BONDED 0 SITEPROP SLICE_X26Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y107 IS_PAD 0 SITEPROP SLICE_X26Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y107 IS_RESERVED 0 SITEPROP SLICE_X26Y107 IS_TEST 0 SITEPROP SLICE_X26Y107 IS_USED 0 SITEPROP SLICE_X26Y107 MANUAL_ROUTING SITEPROP SLICE_X26Y107 NAME SLICE_X26Y107 SITEPROP SLICE_X26Y107 NUM_ARCS 138 SITEPROP SLICE_X26Y107 NUM_BELS 32 SITEPROP SLICE_X26Y107 NUM_INPUTS 32 SITEPROP SLICE_X26Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y107 NUM_PINS 45 SITEPROP SLICE_X26Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y107 PROHIBIT 0 SITEPROP SLICE_X26Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y107 RPM_X 77 SITEPROP SLICE_X26Y107 RPM_Y 214 SITEPROP SLICE_X26Y107 SITE_PIPS SITEPROP SLICE_X26Y107 SITE_TYPE SLICEL SITEPROP SLICE_X26Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y108 CLASS site SITEPROP SLICE_X26Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y108 IS_BONDED 0 SITEPROP SLICE_X26Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y108 IS_PAD 0 SITEPROP SLICE_X26Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y108 IS_RESERVED 0 SITEPROP SLICE_X26Y108 IS_TEST 0 SITEPROP SLICE_X26Y108 IS_USED 0 SITEPROP SLICE_X26Y108 MANUAL_ROUTING SITEPROP SLICE_X26Y108 NAME SLICE_X26Y108 SITEPROP SLICE_X26Y108 NUM_ARCS 138 SITEPROP SLICE_X26Y108 NUM_BELS 32 SITEPROP SLICE_X26Y108 NUM_INPUTS 32 SITEPROP SLICE_X26Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y108 NUM_PINS 45 SITEPROP SLICE_X26Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y108 PROHIBIT 0 SITEPROP SLICE_X26Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y108 RPM_X 77 SITEPROP SLICE_X26Y108 RPM_Y 216 SITEPROP SLICE_X26Y108 SITE_PIPS SITEPROP SLICE_X26Y108 SITE_TYPE SLICEL SITEPROP SLICE_X26Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y109 CLASS site SITEPROP SLICE_X26Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y109 IS_BONDED 0 SITEPROP SLICE_X26Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y109 IS_PAD 0 SITEPROP SLICE_X26Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y109 IS_RESERVED 0 SITEPROP SLICE_X26Y109 IS_TEST 0 SITEPROP SLICE_X26Y109 IS_USED 0 SITEPROP SLICE_X26Y109 MANUAL_ROUTING SITEPROP SLICE_X26Y109 NAME SLICE_X26Y109 SITEPROP SLICE_X26Y109 NUM_ARCS 138 SITEPROP SLICE_X26Y109 NUM_BELS 32 SITEPROP SLICE_X26Y109 NUM_INPUTS 32 SITEPROP SLICE_X26Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y109 NUM_PINS 45 SITEPROP SLICE_X26Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y109 PROHIBIT 0 SITEPROP SLICE_X26Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y109 RPM_X 77 SITEPROP SLICE_X26Y109 RPM_Y 218 SITEPROP SLICE_X26Y109 SITE_PIPS SITEPROP SLICE_X26Y109 SITE_TYPE SLICEL SITEPROP SLICE_X26Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y110 CLASS site SITEPROP SLICE_X26Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y110 IS_BONDED 0 SITEPROP SLICE_X26Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y110 IS_PAD 0 SITEPROP SLICE_X26Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y110 IS_RESERVED 0 SITEPROP SLICE_X26Y110 IS_TEST 0 SITEPROP SLICE_X26Y110 IS_USED 0 SITEPROP SLICE_X26Y110 MANUAL_ROUTING SITEPROP SLICE_X26Y110 NAME SLICE_X26Y110 SITEPROP SLICE_X26Y110 NUM_ARCS 138 SITEPROP SLICE_X26Y110 NUM_BELS 32 SITEPROP SLICE_X26Y110 NUM_INPUTS 32 SITEPROP SLICE_X26Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y110 NUM_PINS 45 SITEPROP SLICE_X26Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y110 PROHIBIT 0 SITEPROP SLICE_X26Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y110 RPM_X 77 SITEPROP SLICE_X26Y110 RPM_Y 220 SITEPROP SLICE_X26Y110 SITE_PIPS SITEPROP SLICE_X26Y110 SITE_TYPE SLICEL SITEPROP SLICE_X26Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y111 CLASS site SITEPROP SLICE_X26Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y111 IS_BONDED 0 SITEPROP SLICE_X26Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y111 IS_PAD 0 SITEPROP SLICE_X26Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y111 IS_RESERVED 0 SITEPROP SLICE_X26Y111 IS_TEST 0 SITEPROP SLICE_X26Y111 IS_USED 0 SITEPROP SLICE_X26Y111 MANUAL_ROUTING SITEPROP SLICE_X26Y111 NAME SLICE_X26Y111 SITEPROP SLICE_X26Y111 NUM_ARCS 138 SITEPROP SLICE_X26Y111 NUM_BELS 32 SITEPROP SLICE_X26Y111 NUM_INPUTS 32 SITEPROP SLICE_X26Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y111 NUM_PINS 45 SITEPROP SLICE_X26Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y111 PROHIBIT 0 SITEPROP SLICE_X26Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y111 RPM_X 77 SITEPROP SLICE_X26Y111 RPM_Y 222 SITEPROP SLICE_X26Y111 SITE_PIPS SITEPROP SLICE_X26Y111 SITE_TYPE SLICEL SITEPROP SLICE_X26Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y112 CLASS site SITEPROP SLICE_X26Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y112 IS_BONDED 0 SITEPROP SLICE_X26Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y112 IS_PAD 0 SITEPROP SLICE_X26Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y112 IS_RESERVED 0 SITEPROP SLICE_X26Y112 IS_TEST 0 SITEPROP SLICE_X26Y112 IS_USED 0 SITEPROP SLICE_X26Y112 MANUAL_ROUTING SITEPROP SLICE_X26Y112 NAME SLICE_X26Y112 SITEPROP SLICE_X26Y112 NUM_ARCS 138 SITEPROP SLICE_X26Y112 NUM_BELS 32 SITEPROP SLICE_X26Y112 NUM_INPUTS 32 SITEPROP SLICE_X26Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y112 NUM_PINS 45 SITEPROP SLICE_X26Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y112 PROHIBIT 0 SITEPROP SLICE_X26Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y112 RPM_X 77 SITEPROP SLICE_X26Y112 RPM_Y 224 SITEPROP SLICE_X26Y112 SITE_PIPS SITEPROP SLICE_X26Y112 SITE_TYPE SLICEL SITEPROP SLICE_X26Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y113 CLASS site SITEPROP SLICE_X26Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y113 IS_BONDED 0 SITEPROP SLICE_X26Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y113 IS_PAD 0 SITEPROP SLICE_X26Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y113 IS_RESERVED 0 SITEPROP SLICE_X26Y113 IS_TEST 0 SITEPROP SLICE_X26Y113 IS_USED 0 SITEPROP SLICE_X26Y113 MANUAL_ROUTING SITEPROP SLICE_X26Y113 NAME SLICE_X26Y113 SITEPROP SLICE_X26Y113 NUM_ARCS 138 SITEPROP SLICE_X26Y113 NUM_BELS 32 SITEPROP SLICE_X26Y113 NUM_INPUTS 32 SITEPROP SLICE_X26Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y113 NUM_PINS 45 SITEPROP SLICE_X26Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y113 PROHIBIT 0 SITEPROP SLICE_X26Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y113 RPM_X 77 SITEPROP SLICE_X26Y113 RPM_Y 226 SITEPROP SLICE_X26Y113 SITE_PIPS SITEPROP SLICE_X26Y113 SITE_TYPE SLICEL SITEPROP SLICE_X26Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y114 CLASS site SITEPROP SLICE_X26Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y114 IS_BONDED 0 SITEPROP SLICE_X26Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y114 IS_PAD 0 SITEPROP SLICE_X26Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y114 IS_RESERVED 0 SITEPROP SLICE_X26Y114 IS_TEST 0 SITEPROP SLICE_X26Y114 IS_USED 0 SITEPROP SLICE_X26Y114 MANUAL_ROUTING SITEPROP SLICE_X26Y114 NAME SLICE_X26Y114 SITEPROP SLICE_X26Y114 NUM_ARCS 138 SITEPROP SLICE_X26Y114 NUM_BELS 32 SITEPROP SLICE_X26Y114 NUM_INPUTS 32 SITEPROP SLICE_X26Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y114 NUM_PINS 45 SITEPROP SLICE_X26Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y114 PROHIBIT 0 SITEPROP SLICE_X26Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y114 RPM_X 77 SITEPROP SLICE_X26Y114 RPM_Y 228 SITEPROP SLICE_X26Y114 SITE_PIPS SITEPROP SLICE_X26Y114 SITE_TYPE SLICEL SITEPROP SLICE_X26Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y115 CLASS site SITEPROP SLICE_X26Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y115 IS_BONDED 0 SITEPROP SLICE_X26Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y115 IS_PAD 0 SITEPROP SLICE_X26Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y115 IS_RESERVED 0 SITEPROP SLICE_X26Y115 IS_TEST 0 SITEPROP SLICE_X26Y115 IS_USED 0 SITEPROP SLICE_X26Y115 MANUAL_ROUTING SITEPROP SLICE_X26Y115 NAME SLICE_X26Y115 SITEPROP SLICE_X26Y115 NUM_ARCS 138 SITEPROP SLICE_X26Y115 NUM_BELS 32 SITEPROP SLICE_X26Y115 NUM_INPUTS 32 SITEPROP SLICE_X26Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y115 NUM_PINS 45 SITEPROP SLICE_X26Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y115 PROHIBIT 0 SITEPROP SLICE_X26Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y115 RPM_X 77 SITEPROP SLICE_X26Y115 RPM_Y 230 SITEPROP SLICE_X26Y115 SITE_PIPS SITEPROP SLICE_X26Y115 SITE_TYPE SLICEL SITEPROP SLICE_X26Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y116 CLASS site SITEPROP SLICE_X26Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y116 IS_BONDED 0 SITEPROP SLICE_X26Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y116 IS_PAD 0 SITEPROP SLICE_X26Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y116 IS_RESERVED 0 SITEPROP SLICE_X26Y116 IS_TEST 0 SITEPROP SLICE_X26Y116 IS_USED 0 SITEPROP SLICE_X26Y116 MANUAL_ROUTING SITEPROP SLICE_X26Y116 NAME SLICE_X26Y116 SITEPROP SLICE_X26Y116 NUM_ARCS 138 SITEPROP SLICE_X26Y116 NUM_BELS 32 SITEPROP SLICE_X26Y116 NUM_INPUTS 32 SITEPROP SLICE_X26Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y116 NUM_PINS 45 SITEPROP SLICE_X26Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y116 PROHIBIT 0 SITEPROP SLICE_X26Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y116 RPM_X 77 SITEPROP SLICE_X26Y116 RPM_Y 232 SITEPROP SLICE_X26Y116 SITE_PIPS SITEPROP SLICE_X26Y116 SITE_TYPE SLICEL SITEPROP SLICE_X26Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y117 CLASS site SITEPROP SLICE_X26Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y117 IS_BONDED 0 SITEPROP SLICE_X26Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y117 IS_PAD 0 SITEPROP SLICE_X26Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y117 IS_RESERVED 0 SITEPROP SLICE_X26Y117 IS_TEST 0 SITEPROP SLICE_X26Y117 IS_USED 0 SITEPROP SLICE_X26Y117 MANUAL_ROUTING SITEPROP SLICE_X26Y117 NAME SLICE_X26Y117 SITEPROP SLICE_X26Y117 NUM_ARCS 138 SITEPROP SLICE_X26Y117 NUM_BELS 32 SITEPROP SLICE_X26Y117 NUM_INPUTS 32 SITEPROP SLICE_X26Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y117 NUM_PINS 45 SITEPROP SLICE_X26Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y117 PROHIBIT 0 SITEPROP SLICE_X26Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y117 RPM_X 77 SITEPROP SLICE_X26Y117 RPM_Y 234 SITEPROP SLICE_X26Y117 SITE_PIPS SITEPROP SLICE_X26Y117 SITE_TYPE SLICEL SITEPROP SLICE_X26Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y118 CLASS site SITEPROP SLICE_X26Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y118 IS_BONDED 0 SITEPROP SLICE_X26Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y118 IS_PAD 0 SITEPROP SLICE_X26Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y118 IS_RESERVED 0 SITEPROP SLICE_X26Y118 IS_TEST 0 SITEPROP SLICE_X26Y118 IS_USED 0 SITEPROP SLICE_X26Y118 MANUAL_ROUTING SITEPROP SLICE_X26Y118 NAME SLICE_X26Y118 SITEPROP SLICE_X26Y118 NUM_ARCS 138 SITEPROP SLICE_X26Y118 NUM_BELS 32 SITEPROP SLICE_X26Y118 NUM_INPUTS 32 SITEPROP SLICE_X26Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y118 NUM_PINS 45 SITEPROP SLICE_X26Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y118 PROHIBIT 0 SITEPROP SLICE_X26Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y118 RPM_X 77 SITEPROP SLICE_X26Y118 RPM_Y 236 SITEPROP SLICE_X26Y118 SITE_PIPS SITEPROP SLICE_X26Y118 SITE_TYPE SLICEL SITEPROP SLICE_X26Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y119 CLASS site SITEPROP SLICE_X26Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y119 IS_BONDED 0 SITEPROP SLICE_X26Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y119 IS_PAD 0 SITEPROP SLICE_X26Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y119 IS_RESERVED 0 SITEPROP SLICE_X26Y119 IS_TEST 0 SITEPROP SLICE_X26Y119 IS_USED 0 SITEPROP SLICE_X26Y119 MANUAL_ROUTING SITEPROP SLICE_X26Y119 NAME SLICE_X26Y119 SITEPROP SLICE_X26Y119 NUM_ARCS 138 SITEPROP SLICE_X26Y119 NUM_BELS 32 SITEPROP SLICE_X26Y119 NUM_INPUTS 32 SITEPROP SLICE_X26Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y119 NUM_PINS 45 SITEPROP SLICE_X26Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y119 PROHIBIT 0 SITEPROP SLICE_X26Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y119 RPM_X 77 SITEPROP SLICE_X26Y119 RPM_Y 238 SITEPROP SLICE_X26Y119 SITE_PIPS SITEPROP SLICE_X26Y119 SITE_TYPE SLICEL SITEPROP SLICE_X26Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y120 CLASS site SITEPROP SLICE_X26Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y120 IS_BONDED 0 SITEPROP SLICE_X26Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y120 IS_PAD 0 SITEPROP SLICE_X26Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y120 IS_RESERVED 0 SITEPROP SLICE_X26Y120 IS_TEST 0 SITEPROP SLICE_X26Y120 IS_USED 0 SITEPROP SLICE_X26Y120 MANUAL_ROUTING SITEPROP SLICE_X26Y120 NAME SLICE_X26Y120 SITEPROP SLICE_X26Y120 NUM_ARCS 138 SITEPROP SLICE_X26Y120 NUM_BELS 32 SITEPROP SLICE_X26Y120 NUM_INPUTS 32 SITEPROP SLICE_X26Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y120 NUM_PINS 45 SITEPROP SLICE_X26Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y120 PROHIBIT 0 SITEPROP SLICE_X26Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y120 RPM_X 77 SITEPROP SLICE_X26Y120 RPM_Y 240 SITEPROP SLICE_X26Y120 SITE_PIPS SITEPROP SLICE_X26Y120 SITE_TYPE SLICEL SITEPROP SLICE_X26Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y121 CLASS site SITEPROP SLICE_X26Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y121 IS_BONDED 0 SITEPROP SLICE_X26Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y121 IS_PAD 0 SITEPROP SLICE_X26Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y121 IS_RESERVED 0 SITEPROP SLICE_X26Y121 IS_TEST 0 SITEPROP SLICE_X26Y121 IS_USED 0 SITEPROP SLICE_X26Y121 MANUAL_ROUTING SITEPROP SLICE_X26Y121 NAME SLICE_X26Y121 SITEPROP SLICE_X26Y121 NUM_ARCS 138 SITEPROP SLICE_X26Y121 NUM_BELS 32 SITEPROP SLICE_X26Y121 NUM_INPUTS 32 SITEPROP SLICE_X26Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y121 NUM_PINS 45 SITEPROP SLICE_X26Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y121 PROHIBIT 0 SITEPROP SLICE_X26Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y121 RPM_X 77 SITEPROP SLICE_X26Y121 RPM_Y 242 SITEPROP SLICE_X26Y121 SITE_PIPS SITEPROP SLICE_X26Y121 SITE_TYPE SLICEL SITEPROP SLICE_X26Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y122 CLASS site SITEPROP SLICE_X26Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y122 IS_BONDED 0 SITEPROP SLICE_X26Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y122 IS_PAD 0 SITEPROP SLICE_X26Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y122 IS_RESERVED 0 SITEPROP SLICE_X26Y122 IS_TEST 0 SITEPROP SLICE_X26Y122 IS_USED 0 SITEPROP SLICE_X26Y122 MANUAL_ROUTING SITEPROP SLICE_X26Y122 NAME SLICE_X26Y122 SITEPROP SLICE_X26Y122 NUM_ARCS 138 SITEPROP SLICE_X26Y122 NUM_BELS 32 SITEPROP SLICE_X26Y122 NUM_INPUTS 32 SITEPROP SLICE_X26Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y122 NUM_PINS 45 SITEPROP SLICE_X26Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y122 PROHIBIT 0 SITEPROP SLICE_X26Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y122 RPM_X 77 SITEPROP SLICE_X26Y122 RPM_Y 244 SITEPROP SLICE_X26Y122 SITE_PIPS SITEPROP SLICE_X26Y122 SITE_TYPE SLICEL SITEPROP SLICE_X26Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y123 CLASS site SITEPROP SLICE_X26Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y123 IS_BONDED 0 SITEPROP SLICE_X26Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y123 IS_PAD 0 SITEPROP SLICE_X26Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y123 IS_RESERVED 0 SITEPROP SLICE_X26Y123 IS_TEST 0 SITEPROP SLICE_X26Y123 IS_USED 0 SITEPROP SLICE_X26Y123 MANUAL_ROUTING SITEPROP SLICE_X26Y123 NAME SLICE_X26Y123 SITEPROP SLICE_X26Y123 NUM_ARCS 138 SITEPROP SLICE_X26Y123 NUM_BELS 32 SITEPROP SLICE_X26Y123 NUM_INPUTS 32 SITEPROP SLICE_X26Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y123 NUM_PINS 45 SITEPROP SLICE_X26Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y123 PROHIBIT 0 SITEPROP SLICE_X26Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y123 RPM_X 77 SITEPROP SLICE_X26Y123 RPM_Y 246 SITEPROP SLICE_X26Y123 SITE_PIPS SITEPROP SLICE_X26Y123 SITE_TYPE SLICEL SITEPROP SLICE_X26Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y124 CLASS site SITEPROP SLICE_X26Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y124 IS_BONDED 0 SITEPROP SLICE_X26Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y124 IS_PAD 0 SITEPROP SLICE_X26Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y124 IS_RESERVED 0 SITEPROP SLICE_X26Y124 IS_TEST 0 SITEPROP SLICE_X26Y124 IS_USED 0 SITEPROP SLICE_X26Y124 MANUAL_ROUTING SITEPROP SLICE_X26Y124 NAME SLICE_X26Y124 SITEPROP SLICE_X26Y124 NUM_ARCS 138 SITEPROP SLICE_X26Y124 NUM_BELS 32 SITEPROP SLICE_X26Y124 NUM_INPUTS 32 SITEPROP SLICE_X26Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y124 NUM_PINS 45 SITEPROP SLICE_X26Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y124 PROHIBIT 0 SITEPROP SLICE_X26Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y124 RPM_X 77 SITEPROP SLICE_X26Y124 RPM_Y 248 SITEPROP SLICE_X26Y124 SITE_PIPS SITEPROP SLICE_X26Y124 SITE_TYPE SLICEL SITEPROP SLICE_X26Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y125 CLASS site SITEPROP SLICE_X26Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y125 IS_BONDED 0 SITEPROP SLICE_X26Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y125 IS_PAD 0 SITEPROP SLICE_X26Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y125 IS_RESERVED 0 SITEPROP SLICE_X26Y125 IS_TEST 0 SITEPROP SLICE_X26Y125 IS_USED 0 SITEPROP SLICE_X26Y125 MANUAL_ROUTING SITEPROP SLICE_X26Y125 NAME SLICE_X26Y125 SITEPROP SLICE_X26Y125 NUM_ARCS 138 SITEPROP SLICE_X26Y125 NUM_BELS 32 SITEPROP SLICE_X26Y125 NUM_INPUTS 32 SITEPROP SLICE_X26Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y125 NUM_PINS 45 SITEPROP SLICE_X26Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y125 PROHIBIT 0 SITEPROP SLICE_X26Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y125 RPM_X 77 SITEPROP SLICE_X26Y125 RPM_Y 250 SITEPROP SLICE_X26Y125 SITE_PIPS SITEPROP SLICE_X26Y125 SITE_TYPE SLICEL SITEPROP SLICE_X26Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y126 CLASS site SITEPROP SLICE_X26Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y126 IS_BONDED 0 SITEPROP SLICE_X26Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y126 IS_PAD 0 SITEPROP SLICE_X26Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y126 IS_RESERVED 0 SITEPROP SLICE_X26Y126 IS_TEST 0 SITEPROP SLICE_X26Y126 IS_USED 0 SITEPROP SLICE_X26Y126 MANUAL_ROUTING SITEPROP SLICE_X26Y126 NAME SLICE_X26Y126 SITEPROP SLICE_X26Y126 NUM_ARCS 138 SITEPROP SLICE_X26Y126 NUM_BELS 32 SITEPROP SLICE_X26Y126 NUM_INPUTS 32 SITEPROP SLICE_X26Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y126 NUM_PINS 45 SITEPROP SLICE_X26Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y126 PROHIBIT 0 SITEPROP SLICE_X26Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y126 RPM_X 77 SITEPROP SLICE_X26Y126 RPM_Y 252 SITEPROP SLICE_X26Y126 SITE_PIPS SITEPROP SLICE_X26Y126 SITE_TYPE SLICEL SITEPROP SLICE_X26Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y127 CLASS site SITEPROP SLICE_X26Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y127 IS_BONDED 0 SITEPROP SLICE_X26Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y127 IS_PAD 0 SITEPROP SLICE_X26Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y127 IS_RESERVED 0 SITEPROP SLICE_X26Y127 IS_TEST 0 SITEPROP SLICE_X26Y127 IS_USED 0 SITEPROP SLICE_X26Y127 MANUAL_ROUTING SITEPROP SLICE_X26Y127 NAME SLICE_X26Y127 SITEPROP SLICE_X26Y127 NUM_ARCS 138 SITEPROP SLICE_X26Y127 NUM_BELS 32 SITEPROP SLICE_X26Y127 NUM_INPUTS 32 SITEPROP SLICE_X26Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y127 NUM_PINS 45 SITEPROP SLICE_X26Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y127 PROHIBIT 0 SITEPROP SLICE_X26Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y127 RPM_X 77 SITEPROP SLICE_X26Y127 RPM_Y 254 SITEPROP SLICE_X26Y127 SITE_PIPS SITEPROP SLICE_X26Y127 SITE_TYPE SLICEL SITEPROP SLICE_X26Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y128 CLASS site SITEPROP SLICE_X26Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y128 IS_BONDED 0 SITEPROP SLICE_X26Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y128 IS_PAD 0 SITEPROP SLICE_X26Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y128 IS_RESERVED 0 SITEPROP SLICE_X26Y128 IS_TEST 0 SITEPROP SLICE_X26Y128 IS_USED 0 SITEPROP SLICE_X26Y128 MANUAL_ROUTING SITEPROP SLICE_X26Y128 NAME SLICE_X26Y128 SITEPROP SLICE_X26Y128 NUM_ARCS 138 SITEPROP SLICE_X26Y128 NUM_BELS 32 SITEPROP SLICE_X26Y128 NUM_INPUTS 32 SITEPROP SLICE_X26Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y128 NUM_PINS 45 SITEPROP SLICE_X26Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y128 PROHIBIT 0 SITEPROP SLICE_X26Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y128 RPM_X 77 SITEPROP SLICE_X26Y128 RPM_Y 256 SITEPROP SLICE_X26Y128 SITE_PIPS SITEPROP SLICE_X26Y128 SITE_TYPE SLICEL SITEPROP SLICE_X26Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y129 CLASS site SITEPROP SLICE_X26Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y129 IS_BONDED 0 SITEPROP SLICE_X26Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y129 IS_PAD 0 SITEPROP SLICE_X26Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y129 IS_RESERVED 0 SITEPROP SLICE_X26Y129 IS_TEST 0 SITEPROP SLICE_X26Y129 IS_USED 0 SITEPROP SLICE_X26Y129 MANUAL_ROUTING SITEPROP SLICE_X26Y129 NAME SLICE_X26Y129 SITEPROP SLICE_X26Y129 NUM_ARCS 138 SITEPROP SLICE_X26Y129 NUM_BELS 32 SITEPROP SLICE_X26Y129 NUM_INPUTS 32 SITEPROP SLICE_X26Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y129 NUM_PINS 45 SITEPROP SLICE_X26Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y129 PROHIBIT 0 SITEPROP SLICE_X26Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y129 RPM_X 77 SITEPROP SLICE_X26Y129 RPM_Y 258 SITEPROP SLICE_X26Y129 SITE_PIPS SITEPROP SLICE_X26Y129 SITE_TYPE SLICEL SITEPROP SLICE_X26Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y130 CLASS site SITEPROP SLICE_X26Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y130 IS_BONDED 0 SITEPROP SLICE_X26Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y130 IS_PAD 0 SITEPROP SLICE_X26Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y130 IS_RESERVED 0 SITEPROP SLICE_X26Y130 IS_TEST 0 SITEPROP SLICE_X26Y130 IS_USED 0 SITEPROP SLICE_X26Y130 MANUAL_ROUTING SITEPROP SLICE_X26Y130 NAME SLICE_X26Y130 SITEPROP SLICE_X26Y130 NUM_ARCS 138 SITEPROP SLICE_X26Y130 NUM_BELS 32 SITEPROP SLICE_X26Y130 NUM_INPUTS 32 SITEPROP SLICE_X26Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y130 NUM_PINS 45 SITEPROP SLICE_X26Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y130 PROHIBIT 0 SITEPROP SLICE_X26Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y130 RPM_X 77 SITEPROP SLICE_X26Y130 RPM_Y 260 SITEPROP SLICE_X26Y130 SITE_PIPS SITEPROP SLICE_X26Y130 SITE_TYPE SLICEL SITEPROP SLICE_X26Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y131 CLASS site SITEPROP SLICE_X26Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y131 IS_BONDED 0 SITEPROP SLICE_X26Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y131 IS_PAD 0 SITEPROP SLICE_X26Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y131 IS_RESERVED 0 SITEPROP SLICE_X26Y131 IS_TEST 0 SITEPROP SLICE_X26Y131 IS_USED 0 SITEPROP SLICE_X26Y131 MANUAL_ROUTING SITEPROP SLICE_X26Y131 NAME SLICE_X26Y131 SITEPROP SLICE_X26Y131 NUM_ARCS 138 SITEPROP SLICE_X26Y131 NUM_BELS 32 SITEPROP SLICE_X26Y131 NUM_INPUTS 32 SITEPROP SLICE_X26Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y131 NUM_PINS 45 SITEPROP SLICE_X26Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y131 PROHIBIT 0 SITEPROP SLICE_X26Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y131 RPM_X 77 SITEPROP SLICE_X26Y131 RPM_Y 262 SITEPROP SLICE_X26Y131 SITE_PIPS SITEPROP SLICE_X26Y131 SITE_TYPE SLICEL SITEPROP SLICE_X26Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y132 CLASS site SITEPROP SLICE_X26Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y132 IS_BONDED 0 SITEPROP SLICE_X26Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y132 IS_PAD 0 SITEPROP SLICE_X26Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y132 IS_RESERVED 0 SITEPROP SLICE_X26Y132 IS_TEST 0 SITEPROP SLICE_X26Y132 IS_USED 0 SITEPROP SLICE_X26Y132 MANUAL_ROUTING SITEPROP SLICE_X26Y132 NAME SLICE_X26Y132 SITEPROP SLICE_X26Y132 NUM_ARCS 138 SITEPROP SLICE_X26Y132 NUM_BELS 32 SITEPROP SLICE_X26Y132 NUM_INPUTS 32 SITEPROP SLICE_X26Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y132 NUM_PINS 45 SITEPROP SLICE_X26Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y132 PROHIBIT 0 SITEPROP SLICE_X26Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y132 RPM_X 77 SITEPROP SLICE_X26Y132 RPM_Y 264 SITEPROP SLICE_X26Y132 SITE_PIPS SITEPROP SLICE_X26Y132 SITE_TYPE SLICEL SITEPROP SLICE_X26Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y133 CLASS site SITEPROP SLICE_X26Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y133 IS_BONDED 0 SITEPROP SLICE_X26Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y133 IS_PAD 0 SITEPROP SLICE_X26Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y133 IS_RESERVED 0 SITEPROP SLICE_X26Y133 IS_TEST 0 SITEPROP SLICE_X26Y133 IS_USED 0 SITEPROP SLICE_X26Y133 MANUAL_ROUTING SITEPROP SLICE_X26Y133 NAME SLICE_X26Y133 SITEPROP SLICE_X26Y133 NUM_ARCS 138 SITEPROP SLICE_X26Y133 NUM_BELS 32 SITEPROP SLICE_X26Y133 NUM_INPUTS 32 SITEPROP SLICE_X26Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y133 NUM_PINS 45 SITEPROP SLICE_X26Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y133 PROHIBIT 0 SITEPROP SLICE_X26Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y133 RPM_X 77 SITEPROP SLICE_X26Y133 RPM_Y 266 SITEPROP SLICE_X26Y133 SITE_PIPS SITEPROP SLICE_X26Y133 SITE_TYPE SLICEL SITEPROP SLICE_X26Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y134 CLASS site SITEPROP SLICE_X26Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y134 IS_BONDED 0 SITEPROP SLICE_X26Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y134 IS_PAD 0 SITEPROP SLICE_X26Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y134 IS_RESERVED 0 SITEPROP SLICE_X26Y134 IS_TEST 0 SITEPROP SLICE_X26Y134 IS_USED 0 SITEPROP SLICE_X26Y134 MANUAL_ROUTING SITEPROP SLICE_X26Y134 NAME SLICE_X26Y134 SITEPROP SLICE_X26Y134 NUM_ARCS 138 SITEPROP SLICE_X26Y134 NUM_BELS 32 SITEPROP SLICE_X26Y134 NUM_INPUTS 32 SITEPROP SLICE_X26Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y134 NUM_PINS 45 SITEPROP SLICE_X26Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y134 PROHIBIT 0 SITEPROP SLICE_X26Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y134 RPM_X 77 SITEPROP SLICE_X26Y134 RPM_Y 268 SITEPROP SLICE_X26Y134 SITE_PIPS SITEPROP SLICE_X26Y134 SITE_TYPE SLICEL SITEPROP SLICE_X26Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y135 CLASS site SITEPROP SLICE_X26Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y135 IS_BONDED 0 SITEPROP SLICE_X26Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y135 IS_PAD 0 SITEPROP SLICE_X26Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y135 IS_RESERVED 0 SITEPROP SLICE_X26Y135 IS_TEST 0 SITEPROP SLICE_X26Y135 IS_USED 0 SITEPROP SLICE_X26Y135 MANUAL_ROUTING SITEPROP SLICE_X26Y135 NAME SLICE_X26Y135 SITEPROP SLICE_X26Y135 NUM_ARCS 138 SITEPROP SLICE_X26Y135 NUM_BELS 32 SITEPROP SLICE_X26Y135 NUM_INPUTS 32 SITEPROP SLICE_X26Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y135 NUM_PINS 45 SITEPROP SLICE_X26Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y135 PROHIBIT 0 SITEPROP SLICE_X26Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y135 RPM_X 77 SITEPROP SLICE_X26Y135 RPM_Y 270 SITEPROP SLICE_X26Y135 SITE_PIPS SITEPROP SLICE_X26Y135 SITE_TYPE SLICEL SITEPROP SLICE_X26Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y136 CLASS site SITEPROP SLICE_X26Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y136 IS_BONDED 0 SITEPROP SLICE_X26Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y136 IS_PAD 0 SITEPROP SLICE_X26Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y136 IS_RESERVED 0 SITEPROP SLICE_X26Y136 IS_TEST 0 SITEPROP SLICE_X26Y136 IS_USED 0 SITEPROP SLICE_X26Y136 MANUAL_ROUTING SITEPROP SLICE_X26Y136 NAME SLICE_X26Y136 SITEPROP SLICE_X26Y136 NUM_ARCS 138 SITEPROP SLICE_X26Y136 NUM_BELS 32 SITEPROP SLICE_X26Y136 NUM_INPUTS 32 SITEPROP SLICE_X26Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y136 NUM_PINS 45 SITEPROP SLICE_X26Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y136 PROHIBIT 0 SITEPROP SLICE_X26Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y136 RPM_X 77 SITEPROP SLICE_X26Y136 RPM_Y 272 SITEPROP SLICE_X26Y136 SITE_PIPS SITEPROP SLICE_X26Y136 SITE_TYPE SLICEL SITEPROP SLICE_X26Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y137 CLASS site SITEPROP SLICE_X26Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y137 IS_BONDED 0 SITEPROP SLICE_X26Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y137 IS_PAD 0 SITEPROP SLICE_X26Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y137 IS_RESERVED 0 SITEPROP SLICE_X26Y137 IS_TEST 0 SITEPROP SLICE_X26Y137 IS_USED 0 SITEPROP SLICE_X26Y137 MANUAL_ROUTING SITEPROP SLICE_X26Y137 NAME SLICE_X26Y137 SITEPROP SLICE_X26Y137 NUM_ARCS 138 SITEPROP SLICE_X26Y137 NUM_BELS 32 SITEPROP SLICE_X26Y137 NUM_INPUTS 32 SITEPROP SLICE_X26Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y137 NUM_PINS 45 SITEPROP SLICE_X26Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y137 PROHIBIT 0 SITEPROP SLICE_X26Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y137 RPM_X 77 SITEPROP SLICE_X26Y137 RPM_Y 274 SITEPROP SLICE_X26Y137 SITE_PIPS SITEPROP SLICE_X26Y137 SITE_TYPE SLICEL SITEPROP SLICE_X26Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y138 CLASS site SITEPROP SLICE_X26Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y138 IS_BONDED 0 SITEPROP SLICE_X26Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y138 IS_PAD 0 SITEPROP SLICE_X26Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y138 IS_RESERVED 0 SITEPROP SLICE_X26Y138 IS_TEST 0 SITEPROP SLICE_X26Y138 IS_USED 0 SITEPROP SLICE_X26Y138 MANUAL_ROUTING SITEPROP SLICE_X26Y138 NAME SLICE_X26Y138 SITEPROP SLICE_X26Y138 NUM_ARCS 138 SITEPROP SLICE_X26Y138 NUM_BELS 32 SITEPROP SLICE_X26Y138 NUM_INPUTS 32 SITEPROP SLICE_X26Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y138 NUM_PINS 45 SITEPROP SLICE_X26Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y138 PROHIBIT 0 SITEPROP SLICE_X26Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y138 RPM_X 77 SITEPROP SLICE_X26Y138 RPM_Y 276 SITEPROP SLICE_X26Y138 SITE_PIPS SITEPROP SLICE_X26Y138 SITE_TYPE SLICEL SITEPROP SLICE_X26Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y139 CLASS site SITEPROP SLICE_X26Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y139 IS_BONDED 0 SITEPROP SLICE_X26Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y139 IS_PAD 0 SITEPROP SLICE_X26Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y139 IS_RESERVED 0 SITEPROP SLICE_X26Y139 IS_TEST 0 SITEPROP SLICE_X26Y139 IS_USED 0 SITEPROP SLICE_X26Y139 MANUAL_ROUTING SITEPROP SLICE_X26Y139 NAME SLICE_X26Y139 SITEPROP SLICE_X26Y139 NUM_ARCS 138 SITEPROP SLICE_X26Y139 NUM_BELS 32 SITEPROP SLICE_X26Y139 NUM_INPUTS 32 SITEPROP SLICE_X26Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y139 NUM_PINS 45 SITEPROP SLICE_X26Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y139 PROHIBIT 0 SITEPROP SLICE_X26Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y139 RPM_X 77 SITEPROP SLICE_X26Y139 RPM_Y 278 SITEPROP SLICE_X26Y139 SITE_PIPS SITEPROP SLICE_X26Y139 SITE_TYPE SLICEL SITEPROP SLICE_X26Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y140 CLASS site SITEPROP SLICE_X26Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y140 IS_BONDED 0 SITEPROP SLICE_X26Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y140 IS_PAD 0 SITEPROP SLICE_X26Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y140 IS_RESERVED 0 SITEPROP SLICE_X26Y140 IS_TEST 0 SITEPROP SLICE_X26Y140 IS_USED 0 SITEPROP SLICE_X26Y140 MANUAL_ROUTING SITEPROP SLICE_X26Y140 NAME SLICE_X26Y140 SITEPROP SLICE_X26Y140 NUM_ARCS 138 SITEPROP SLICE_X26Y140 NUM_BELS 32 SITEPROP SLICE_X26Y140 NUM_INPUTS 32 SITEPROP SLICE_X26Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y140 NUM_PINS 45 SITEPROP SLICE_X26Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y140 PROHIBIT 0 SITEPROP SLICE_X26Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y140 RPM_X 77 SITEPROP SLICE_X26Y140 RPM_Y 280 SITEPROP SLICE_X26Y140 SITE_PIPS SITEPROP SLICE_X26Y140 SITE_TYPE SLICEL SITEPROP SLICE_X26Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y141 CLASS site SITEPROP SLICE_X26Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y141 IS_BONDED 0 SITEPROP SLICE_X26Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y141 IS_PAD 0 SITEPROP SLICE_X26Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y141 IS_RESERVED 0 SITEPROP SLICE_X26Y141 IS_TEST 0 SITEPROP SLICE_X26Y141 IS_USED 0 SITEPROP SLICE_X26Y141 MANUAL_ROUTING SITEPROP SLICE_X26Y141 NAME SLICE_X26Y141 SITEPROP SLICE_X26Y141 NUM_ARCS 138 SITEPROP SLICE_X26Y141 NUM_BELS 32 SITEPROP SLICE_X26Y141 NUM_INPUTS 32 SITEPROP SLICE_X26Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y141 NUM_PINS 45 SITEPROP SLICE_X26Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y141 PROHIBIT 0 SITEPROP SLICE_X26Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y141 RPM_X 77 SITEPROP SLICE_X26Y141 RPM_Y 282 SITEPROP SLICE_X26Y141 SITE_PIPS SITEPROP SLICE_X26Y141 SITE_TYPE SLICEL SITEPROP SLICE_X26Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y142 CLASS site SITEPROP SLICE_X26Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y142 IS_BONDED 0 SITEPROP SLICE_X26Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y142 IS_PAD 0 SITEPROP SLICE_X26Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y142 IS_RESERVED 0 SITEPROP SLICE_X26Y142 IS_TEST 0 SITEPROP SLICE_X26Y142 IS_USED 0 SITEPROP SLICE_X26Y142 MANUAL_ROUTING SITEPROP SLICE_X26Y142 NAME SLICE_X26Y142 SITEPROP SLICE_X26Y142 NUM_ARCS 138 SITEPROP SLICE_X26Y142 NUM_BELS 32 SITEPROP SLICE_X26Y142 NUM_INPUTS 32 SITEPROP SLICE_X26Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y142 NUM_PINS 45 SITEPROP SLICE_X26Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y142 PROHIBIT 0 SITEPROP SLICE_X26Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y142 RPM_X 77 SITEPROP SLICE_X26Y142 RPM_Y 284 SITEPROP SLICE_X26Y142 SITE_PIPS SITEPROP SLICE_X26Y142 SITE_TYPE SLICEL SITEPROP SLICE_X26Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y143 CLASS site SITEPROP SLICE_X26Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y143 IS_BONDED 0 SITEPROP SLICE_X26Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y143 IS_PAD 0 SITEPROP SLICE_X26Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y143 IS_RESERVED 0 SITEPROP SLICE_X26Y143 IS_TEST 0 SITEPROP SLICE_X26Y143 IS_USED 0 SITEPROP SLICE_X26Y143 MANUAL_ROUTING SITEPROP SLICE_X26Y143 NAME SLICE_X26Y143 SITEPROP SLICE_X26Y143 NUM_ARCS 138 SITEPROP SLICE_X26Y143 NUM_BELS 32 SITEPROP SLICE_X26Y143 NUM_INPUTS 32 SITEPROP SLICE_X26Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y143 NUM_PINS 45 SITEPROP SLICE_X26Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y143 PROHIBIT 0 SITEPROP SLICE_X26Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y143 RPM_X 77 SITEPROP SLICE_X26Y143 RPM_Y 286 SITEPROP SLICE_X26Y143 SITE_PIPS SITEPROP SLICE_X26Y143 SITE_TYPE SLICEL SITEPROP SLICE_X26Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y144 CLASS site SITEPROP SLICE_X26Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y144 IS_BONDED 0 SITEPROP SLICE_X26Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y144 IS_PAD 0 SITEPROP SLICE_X26Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y144 IS_RESERVED 0 SITEPROP SLICE_X26Y144 IS_TEST 0 SITEPROP SLICE_X26Y144 IS_USED 0 SITEPROP SLICE_X26Y144 MANUAL_ROUTING SITEPROP SLICE_X26Y144 NAME SLICE_X26Y144 SITEPROP SLICE_X26Y144 NUM_ARCS 138 SITEPROP SLICE_X26Y144 NUM_BELS 32 SITEPROP SLICE_X26Y144 NUM_INPUTS 32 SITEPROP SLICE_X26Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y144 NUM_PINS 45 SITEPROP SLICE_X26Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y144 PROHIBIT 0 SITEPROP SLICE_X26Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y144 RPM_X 77 SITEPROP SLICE_X26Y144 RPM_Y 288 SITEPROP SLICE_X26Y144 SITE_PIPS SITEPROP SLICE_X26Y144 SITE_TYPE SLICEL SITEPROP SLICE_X26Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y145 CLASS site SITEPROP SLICE_X26Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y145 IS_BONDED 0 SITEPROP SLICE_X26Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y145 IS_PAD 0 SITEPROP SLICE_X26Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y145 IS_RESERVED 0 SITEPROP SLICE_X26Y145 IS_TEST 0 SITEPROP SLICE_X26Y145 IS_USED 0 SITEPROP SLICE_X26Y145 MANUAL_ROUTING SITEPROP SLICE_X26Y145 NAME SLICE_X26Y145 SITEPROP SLICE_X26Y145 NUM_ARCS 138 SITEPROP SLICE_X26Y145 NUM_BELS 32 SITEPROP SLICE_X26Y145 NUM_INPUTS 32 SITEPROP SLICE_X26Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y145 NUM_PINS 45 SITEPROP SLICE_X26Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y145 PROHIBIT 0 SITEPROP SLICE_X26Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y145 RPM_X 77 SITEPROP SLICE_X26Y145 RPM_Y 290 SITEPROP SLICE_X26Y145 SITE_PIPS SITEPROP SLICE_X26Y145 SITE_TYPE SLICEL SITEPROP SLICE_X26Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y146 CLASS site SITEPROP SLICE_X26Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y146 IS_BONDED 0 SITEPROP SLICE_X26Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y146 IS_PAD 0 SITEPROP SLICE_X26Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y146 IS_RESERVED 0 SITEPROP SLICE_X26Y146 IS_TEST 0 SITEPROP SLICE_X26Y146 IS_USED 0 SITEPROP SLICE_X26Y146 MANUAL_ROUTING SITEPROP SLICE_X26Y146 NAME SLICE_X26Y146 SITEPROP SLICE_X26Y146 NUM_ARCS 138 SITEPROP SLICE_X26Y146 NUM_BELS 32 SITEPROP SLICE_X26Y146 NUM_INPUTS 32 SITEPROP SLICE_X26Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y146 NUM_PINS 45 SITEPROP SLICE_X26Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y146 PROHIBIT 0 SITEPROP SLICE_X26Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y146 RPM_X 77 SITEPROP SLICE_X26Y146 RPM_Y 292 SITEPROP SLICE_X26Y146 SITE_PIPS SITEPROP SLICE_X26Y146 SITE_TYPE SLICEL SITEPROP SLICE_X26Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y147 CLASS site SITEPROP SLICE_X26Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y147 IS_BONDED 0 SITEPROP SLICE_X26Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y147 IS_PAD 0 SITEPROP SLICE_X26Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y147 IS_RESERVED 0 SITEPROP SLICE_X26Y147 IS_TEST 0 SITEPROP SLICE_X26Y147 IS_USED 0 SITEPROP SLICE_X26Y147 MANUAL_ROUTING SITEPROP SLICE_X26Y147 NAME SLICE_X26Y147 SITEPROP SLICE_X26Y147 NUM_ARCS 138 SITEPROP SLICE_X26Y147 NUM_BELS 32 SITEPROP SLICE_X26Y147 NUM_INPUTS 32 SITEPROP SLICE_X26Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y147 NUM_PINS 45 SITEPROP SLICE_X26Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y147 PROHIBIT 0 SITEPROP SLICE_X26Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y147 RPM_X 77 SITEPROP SLICE_X26Y147 RPM_Y 294 SITEPROP SLICE_X26Y147 SITE_PIPS SITEPROP SLICE_X26Y147 SITE_TYPE SLICEL SITEPROP SLICE_X26Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y148 CLASS site SITEPROP SLICE_X26Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y148 IS_BONDED 0 SITEPROP SLICE_X26Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y148 IS_PAD 0 SITEPROP SLICE_X26Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y148 IS_RESERVED 0 SITEPROP SLICE_X26Y148 IS_TEST 0 SITEPROP SLICE_X26Y148 IS_USED 0 SITEPROP SLICE_X26Y148 MANUAL_ROUTING SITEPROP SLICE_X26Y148 NAME SLICE_X26Y148 SITEPROP SLICE_X26Y148 NUM_ARCS 138 SITEPROP SLICE_X26Y148 NUM_BELS 32 SITEPROP SLICE_X26Y148 NUM_INPUTS 32 SITEPROP SLICE_X26Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y148 NUM_PINS 45 SITEPROP SLICE_X26Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y148 PROHIBIT 0 SITEPROP SLICE_X26Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y148 RPM_X 77 SITEPROP SLICE_X26Y148 RPM_Y 296 SITEPROP SLICE_X26Y148 SITE_PIPS SITEPROP SLICE_X26Y148 SITE_TYPE SLICEL SITEPROP SLICE_X26Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X26Y149 CLASS site SITEPROP SLICE_X26Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X26Y149 IS_BONDED 0 SITEPROP SLICE_X26Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X26Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y149 IS_PAD 0 SITEPROP SLICE_X26Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X26Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X26Y149 IS_RESERVED 0 SITEPROP SLICE_X26Y149 IS_TEST 0 SITEPROP SLICE_X26Y149 IS_USED 0 SITEPROP SLICE_X26Y149 MANUAL_ROUTING SITEPROP SLICE_X26Y149 NAME SLICE_X26Y149 SITEPROP SLICE_X26Y149 NUM_ARCS 138 SITEPROP SLICE_X26Y149 NUM_BELS 32 SITEPROP SLICE_X26Y149 NUM_INPUTS 32 SITEPROP SLICE_X26Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X26Y149 NUM_PINS 45 SITEPROP SLICE_X26Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X26Y149 PROHIBIT 0 SITEPROP SLICE_X26Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X26Y149 RPM_X 77 SITEPROP SLICE_X26Y149 RPM_Y 298 SITEPROP SLICE_X26Y149 SITE_PIPS SITEPROP SLICE_X26Y149 SITE_TYPE SLICEL SITEPROP SLICE_X27Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y100 CLASS site SITEPROP SLICE_X27Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y100 IS_BONDED 0 SITEPROP SLICE_X27Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y100 IS_PAD 0 SITEPROP SLICE_X27Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y100 IS_RESERVED 0 SITEPROP SLICE_X27Y100 IS_TEST 0 SITEPROP SLICE_X27Y100 IS_USED 0 SITEPROP SLICE_X27Y100 MANUAL_ROUTING SITEPROP SLICE_X27Y100 NAME SLICE_X27Y100 SITEPROP SLICE_X27Y100 NUM_ARCS 138 SITEPROP SLICE_X27Y100 NUM_BELS 32 SITEPROP SLICE_X27Y100 NUM_INPUTS 32 SITEPROP SLICE_X27Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y100 NUM_PINS 45 SITEPROP SLICE_X27Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y100 PROHIBIT 0 SITEPROP SLICE_X27Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y100 RPM_X 79 SITEPROP SLICE_X27Y100 RPM_Y 200 SITEPROP SLICE_X27Y100 SITE_PIPS SITEPROP SLICE_X27Y100 SITE_TYPE SLICEL SITEPROP SLICE_X27Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y101 CLASS site SITEPROP SLICE_X27Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y101 IS_BONDED 0 SITEPROP SLICE_X27Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y101 IS_PAD 0 SITEPROP SLICE_X27Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y101 IS_RESERVED 0 SITEPROP SLICE_X27Y101 IS_TEST 0 SITEPROP SLICE_X27Y101 IS_USED 0 SITEPROP SLICE_X27Y101 MANUAL_ROUTING SITEPROP SLICE_X27Y101 NAME SLICE_X27Y101 SITEPROP SLICE_X27Y101 NUM_ARCS 138 SITEPROP SLICE_X27Y101 NUM_BELS 32 SITEPROP SLICE_X27Y101 NUM_INPUTS 32 SITEPROP SLICE_X27Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y101 NUM_PINS 45 SITEPROP SLICE_X27Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y101 PROHIBIT 0 SITEPROP SLICE_X27Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y101 RPM_X 79 SITEPROP SLICE_X27Y101 RPM_Y 202 SITEPROP SLICE_X27Y101 SITE_PIPS SITEPROP SLICE_X27Y101 SITE_TYPE SLICEL SITEPROP SLICE_X27Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y102 CLASS site SITEPROP SLICE_X27Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y102 IS_BONDED 0 SITEPROP SLICE_X27Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y102 IS_PAD 0 SITEPROP SLICE_X27Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y102 IS_RESERVED 0 SITEPROP SLICE_X27Y102 IS_TEST 0 SITEPROP SLICE_X27Y102 IS_USED 0 SITEPROP SLICE_X27Y102 MANUAL_ROUTING SITEPROP SLICE_X27Y102 NAME SLICE_X27Y102 SITEPROP SLICE_X27Y102 NUM_ARCS 138 SITEPROP SLICE_X27Y102 NUM_BELS 32 SITEPROP SLICE_X27Y102 NUM_INPUTS 32 SITEPROP SLICE_X27Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y102 NUM_PINS 45 SITEPROP SLICE_X27Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y102 PROHIBIT 0 SITEPROP SLICE_X27Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y102 RPM_X 79 SITEPROP SLICE_X27Y102 RPM_Y 204 SITEPROP SLICE_X27Y102 SITE_PIPS SITEPROP SLICE_X27Y102 SITE_TYPE SLICEL SITEPROP SLICE_X27Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y103 CLASS site SITEPROP SLICE_X27Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y103 IS_BONDED 0 SITEPROP SLICE_X27Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y103 IS_PAD 0 SITEPROP SLICE_X27Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y103 IS_RESERVED 0 SITEPROP SLICE_X27Y103 IS_TEST 0 SITEPROP SLICE_X27Y103 IS_USED 0 SITEPROP SLICE_X27Y103 MANUAL_ROUTING SITEPROP SLICE_X27Y103 NAME SLICE_X27Y103 SITEPROP SLICE_X27Y103 NUM_ARCS 138 SITEPROP SLICE_X27Y103 NUM_BELS 32 SITEPROP SLICE_X27Y103 NUM_INPUTS 32 SITEPROP SLICE_X27Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y103 NUM_PINS 45 SITEPROP SLICE_X27Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y103 PROHIBIT 0 SITEPROP SLICE_X27Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y103 RPM_X 79 SITEPROP SLICE_X27Y103 RPM_Y 206 SITEPROP SLICE_X27Y103 SITE_PIPS SITEPROP SLICE_X27Y103 SITE_TYPE SLICEL SITEPROP SLICE_X27Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y104 CLASS site SITEPROP SLICE_X27Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y104 IS_BONDED 0 SITEPROP SLICE_X27Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y104 IS_PAD 0 SITEPROP SLICE_X27Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y104 IS_RESERVED 0 SITEPROP SLICE_X27Y104 IS_TEST 0 SITEPROP SLICE_X27Y104 IS_USED 0 SITEPROP SLICE_X27Y104 MANUAL_ROUTING SITEPROP SLICE_X27Y104 NAME SLICE_X27Y104 SITEPROP SLICE_X27Y104 NUM_ARCS 138 SITEPROP SLICE_X27Y104 NUM_BELS 32 SITEPROP SLICE_X27Y104 NUM_INPUTS 32 SITEPROP SLICE_X27Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y104 NUM_PINS 45 SITEPROP SLICE_X27Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y104 PROHIBIT 0 SITEPROP SLICE_X27Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y104 RPM_X 79 SITEPROP SLICE_X27Y104 RPM_Y 208 SITEPROP SLICE_X27Y104 SITE_PIPS SITEPROP SLICE_X27Y104 SITE_TYPE SLICEL SITEPROP SLICE_X27Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y105 CLASS site SITEPROP SLICE_X27Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y105 IS_BONDED 0 SITEPROP SLICE_X27Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y105 IS_PAD 0 SITEPROP SLICE_X27Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y105 IS_RESERVED 0 SITEPROP SLICE_X27Y105 IS_TEST 0 SITEPROP SLICE_X27Y105 IS_USED 0 SITEPROP SLICE_X27Y105 MANUAL_ROUTING SITEPROP SLICE_X27Y105 NAME SLICE_X27Y105 SITEPROP SLICE_X27Y105 NUM_ARCS 138 SITEPROP SLICE_X27Y105 NUM_BELS 32 SITEPROP SLICE_X27Y105 NUM_INPUTS 32 SITEPROP SLICE_X27Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y105 NUM_PINS 45 SITEPROP SLICE_X27Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y105 PROHIBIT 0 SITEPROP SLICE_X27Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y105 RPM_X 79 SITEPROP SLICE_X27Y105 RPM_Y 210 SITEPROP SLICE_X27Y105 SITE_PIPS SITEPROP SLICE_X27Y105 SITE_TYPE SLICEL SITEPROP SLICE_X27Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y106 CLASS site SITEPROP SLICE_X27Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y106 IS_BONDED 0 SITEPROP SLICE_X27Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y106 IS_PAD 0 SITEPROP SLICE_X27Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y106 IS_RESERVED 0 SITEPROP SLICE_X27Y106 IS_TEST 0 SITEPROP SLICE_X27Y106 IS_USED 0 SITEPROP SLICE_X27Y106 MANUAL_ROUTING SITEPROP SLICE_X27Y106 NAME SLICE_X27Y106 SITEPROP SLICE_X27Y106 NUM_ARCS 138 SITEPROP SLICE_X27Y106 NUM_BELS 32 SITEPROP SLICE_X27Y106 NUM_INPUTS 32 SITEPROP SLICE_X27Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y106 NUM_PINS 45 SITEPROP SLICE_X27Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y106 PROHIBIT 0 SITEPROP SLICE_X27Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y106 RPM_X 79 SITEPROP SLICE_X27Y106 RPM_Y 212 SITEPROP SLICE_X27Y106 SITE_PIPS SITEPROP SLICE_X27Y106 SITE_TYPE SLICEL SITEPROP SLICE_X27Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y107 CLASS site SITEPROP SLICE_X27Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y107 IS_BONDED 0 SITEPROP SLICE_X27Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y107 IS_PAD 0 SITEPROP SLICE_X27Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y107 IS_RESERVED 0 SITEPROP SLICE_X27Y107 IS_TEST 0 SITEPROP SLICE_X27Y107 IS_USED 0 SITEPROP SLICE_X27Y107 MANUAL_ROUTING SITEPROP SLICE_X27Y107 NAME SLICE_X27Y107 SITEPROP SLICE_X27Y107 NUM_ARCS 138 SITEPROP SLICE_X27Y107 NUM_BELS 32 SITEPROP SLICE_X27Y107 NUM_INPUTS 32 SITEPROP SLICE_X27Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y107 NUM_PINS 45 SITEPROP SLICE_X27Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y107 PROHIBIT 0 SITEPROP SLICE_X27Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y107 RPM_X 79 SITEPROP SLICE_X27Y107 RPM_Y 214 SITEPROP SLICE_X27Y107 SITE_PIPS SITEPROP SLICE_X27Y107 SITE_TYPE SLICEL SITEPROP SLICE_X27Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y108 CLASS site SITEPROP SLICE_X27Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y108 IS_BONDED 0 SITEPROP SLICE_X27Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y108 IS_PAD 0 SITEPROP SLICE_X27Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y108 IS_RESERVED 0 SITEPROP SLICE_X27Y108 IS_TEST 0 SITEPROP SLICE_X27Y108 IS_USED 0 SITEPROP SLICE_X27Y108 MANUAL_ROUTING SITEPROP SLICE_X27Y108 NAME SLICE_X27Y108 SITEPROP SLICE_X27Y108 NUM_ARCS 138 SITEPROP SLICE_X27Y108 NUM_BELS 32 SITEPROP SLICE_X27Y108 NUM_INPUTS 32 SITEPROP SLICE_X27Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y108 NUM_PINS 45 SITEPROP SLICE_X27Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y108 PROHIBIT 0 SITEPROP SLICE_X27Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y108 RPM_X 79 SITEPROP SLICE_X27Y108 RPM_Y 216 SITEPROP SLICE_X27Y108 SITE_PIPS SITEPROP SLICE_X27Y108 SITE_TYPE SLICEL SITEPROP SLICE_X27Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y109 CLASS site SITEPROP SLICE_X27Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y109 IS_BONDED 0 SITEPROP SLICE_X27Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y109 IS_PAD 0 SITEPROP SLICE_X27Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y109 IS_RESERVED 0 SITEPROP SLICE_X27Y109 IS_TEST 0 SITEPROP SLICE_X27Y109 IS_USED 0 SITEPROP SLICE_X27Y109 MANUAL_ROUTING SITEPROP SLICE_X27Y109 NAME SLICE_X27Y109 SITEPROP SLICE_X27Y109 NUM_ARCS 138 SITEPROP SLICE_X27Y109 NUM_BELS 32 SITEPROP SLICE_X27Y109 NUM_INPUTS 32 SITEPROP SLICE_X27Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y109 NUM_PINS 45 SITEPROP SLICE_X27Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y109 PROHIBIT 0 SITEPROP SLICE_X27Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y109 RPM_X 79 SITEPROP SLICE_X27Y109 RPM_Y 218 SITEPROP SLICE_X27Y109 SITE_PIPS SITEPROP SLICE_X27Y109 SITE_TYPE SLICEL SITEPROP SLICE_X27Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y110 CLASS site SITEPROP SLICE_X27Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y110 IS_BONDED 0 SITEPROP SLICE_X27Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y110 IS_PAD 0 SITEPROP SLICE_X27Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y110 IS_RESERVED 0 SITEPROP SLICE_X27Y110 IS_TEST 0 SITEPROP SLICE_X27Y110 IS_USED 0 SITEPROP SLICE_X27Y110 MANUAL_ROUTING SITEPROP SLICE_X27Y110 NAME SLICE_X27Y110 SITEPROP SLICE_X27Y110 NUM_ARCS 138 SITEPROP SLICE_X27Y110 NUM_BELS 32 SITEPROP SLICE_X27Y110 NUM_INPUTS 32 SITEPROP SLICE_X27Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y110 NUM_PINS 45 SITEPROP SLICE_X27Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y110 PROHIBIT 0 SITEPROP SLICE_X27Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y110 RPM_X 79 SITEPROP SLICE_X27Y110 RPM_Y 220 SITEPROP SLICE_X27Y110 SITE_PIPS SITEPROP SLICE_X27Y110 SITE_TYPE SLICEL SITEPROP SLICE_X27Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y111 CLASS site SITEPROP SLICE_X27Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y111 IS_BONDED 0 SITEPROP SLICE_X27Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y111 IS_PAD 0 SITEPROP SLICE_X27Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y111 IS_RESERVED 0 SITEPROP SLICE_X27Y111 IS_TEST 0 SITEPROP SLICE_X27Y111 IS_USED 0 SITEPROP SLICE_X27Y111 MANUAL_ROUTING SITEPROP SLICE_X27Y111 NAME SLICE_X27Y111 SITEPROP SLICE_X27Y111 NUM_ARCS 138 SITEPROP SLICE_X27Y111 NUM_BELS 32 SITEPROP SLICE_X27Y111 NUM_INPUTS 32 SITEPROP SLICE_X27Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y111 NUM_PINS 45 SITEPROP SLICE_X27Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y111 PROHIBIT 0 SITEPROP SLICE_X27Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y111 RPM_X 79 SITEPROP SLICE_X27Y111 RPM_Y 222 SITEPROP SLICE_X27Y111 SITE_PIPS SITEPROP SLICE_X27Y111 SITE_TYPE SLICEL SITEPROP SLICE_X27Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y112 CLASS site SITEPROP SLICE_X27Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y112 IS_BONDED 0 SITEPROP SLICE_X27Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y112 IS_PAD 0 SITEPROP SLICE_X27Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y112 IS_RESERVED 0 SITEPROP SLICE_X27Y112 IS_TEST 0 SITEPROP SLICE_X27Y112 IS_USED 0 SITEPROP SLICE_X27Y112 MANUAL_ROUTING SITEPROP SLICE_X27Y112 NAME SLICE_X27Y112 SITEPROP SLICE_X27Y112 NUM_ARCS 138 SITEPROP SLICE_X27Y112 NUM_BELS 32 SITEPROP SLICE_X27Y112 NUM_INPUTS 32 SITEPROP SLICE_X27Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y112 NUM_PINS 45 SITEPROP SLICE_X27Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y112 PROHIBIT 0 SITEPROP SLICE_X27Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y112 RPM_X 79 SITEPROP SLICE_X27Y112 RPM_Y 224 SITEPROP SLICE_X27Y112 SITE_PIPS SITEPROP SLICE_X27Y112 SITE_TYPE SLICEL SITEPROP SLICE_X27Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y113 CLASS site SITEPROP SLICE_X27Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y113 IS_BONDED 0 SITEPROP SLICE_X27Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y113 IS_PAD 0 SITEPROP SLICE_X27Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y113 IS_RESERVED 0 SITEPROP SLICE_X27Y113 IS_TEST 0 SITEPROP SLICE_X27Y113 IS_USED 0 SITEPROP SLICE_X27Y113 MANUAL_ROUTING SITEPROP SLICE_X27Y113 NAME SLICE_X27Y113 SITEPROP SLICE_X27Y113 NUM_ARCS 138 SITEPROP SLICE_X27Y113 NUM_BELS 32 SITEPROP SLICE_X27Y113 NUM_INPUTS 32 SITEPROP SLICE_X27Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y113 NUM_PINS 45 SITEPROP SLICE_X27Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y113 PROHIBIT 0 SITEPROP SLICE_X27Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y113 RPM_X 79 SITEPROP SLICE_X27Y113 RPM_Y 226 SITEPROP SLICE_X27Y113 SITE_PIPS SITEPROP SLICE_X27Y113 SITE_TYPE SLICEL SITEPROP SLICE_X27Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y114 CLASS site SITEPROP SLICE_X27Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y114 IS_BONDED 0 SITEPROP SLICE_X27Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y114 IS_PAD 0 SITEPROP SLICE_X27Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y114 IS_RESERVED 0 SITEPROP SLICE_X27Y114 IS_TEST 0 SITEPROP SLICE_X27Y114 IS_USED 0 SITEPROP SLICE_X27Y114 MANUAL_ROUTING SITEPROP SLICE_X27Y114 NAME SLICE_X27Y114 SITEPROP SLICE_X27Y114 NUM_ARCS 138 SITEPROP SLICE_X27Y114 NUM_BELS 32 SITEPROP SLICE_X27Y114 NUM_INPUTS 32 SITEPROP SLICE_X27Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y114 NUM_PINS 45 SITEPROP SLICE_X27Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y114 PROHIBIT 0 SITEPROP SLICE_X27Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y114 RPM_X 79 SITEPROP SLICE_X27Y114 RPM_Y 228 SITEPROP SLICE_X27Y114 SITE_PIPS SITEPROP SLICE_X27Y114 SITE_TYPE SLICEL SITEPROP SLICE_X27Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y115 CLASS site SITEPROP SLICE_X27Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y115 IS_BONDED 0 SITEPROP SLICE_X27Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y115 IS_PAD 0 SITEPROP SLICE_X27Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y115 IS_RESERVED 0 SITEPROP SLICE_X27Y115 IS_TEST 0 SITEPROP SLICE_X27Y115 IS_USED 0 SITEPROP SLICE_X27Y115 MANUAL_ROUTING SITEPROP SLICE_X27Y115 NAME SLICE_X27Y115 SITEPROP SLICE_X27Y115 NUM_ARCS 138 SITEPROP SLICE_X27Y115 NUM_BELS 32 SITEPROP SLICE_X27Y115 NUM_INPUTS 32 SITEPROP SLICE_X27Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y115 NUM_PINS 45 SITEPROP SLICE_X27Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y115 PROHIBIT 0 SITEPROP SLICE_X27Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y115 RPM_X 79 SITEPROP SLICE_X27Y115 RPM_Y 230 SITEPROP SLICE_X27Y115 SITE_PIPS SITEPROP SLICE_X27Y115 SITE_TYPE SLICEL SITEPROP SLICE_X27Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y116 CLASS site SITEPROP SLICE_X27Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y116 IS_BONDED 0 SITEPROP SLICE_X27Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y116 IS_PAD 0 SITEPROP SLICE_X27Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y116 IS_RESERVED 0 SITEPROP SLICE_X27Y116 IS_TEST 0 SITEPROP SLICE_X27Y116 IS_USED 0 SITEPROP SLICE_X27Y116 MANUAL_ROUTING SITEPROP SLICE_X27Y116 NAME SLICE_X27Y116 SITEPROP SLICE_X27Y116 NUM_ARCS 138 SITEPROP SLICE_X27Y116 NUM_BELS 32 SITEPROP SLICE_X27Y116 NUM_INPUTS 32 SITEPROP SLICE_X27Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y116 NUM_PINS 45 SITEPROP SLICE_X27Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y116 PROHIBIT 0 SITEPROP SLICE_X27Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y116 RPM_X 79 SITEPROP SLICE_X27Y116 RPM_Y 232 SITEPROP SLICE_X27Y116 SITE_PIPS SITEPROP SLICE_X27Y116 SITE_TYPE SLICEL SITEPROP SLICE_X27Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y117 CLASS site SITEPROP SLICE_X27Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y117 IS_BONDED 0 SITEPROP SLICE_X27Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y117 IS_PAD 0 SITEPROP SLICE_X27Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y117 IS_RESERVED 0 SITEPROP SLICE_X27Y117 IS_TEST 0 SITEPROP SLICE_X27Y117 IS_USED 0 SITEPROP SLICE_X27Y117 MANUAL_ROUTING SITEPROP SLICE_X27Y117 NAME SLICE_X27Y117 SITEPROP SLICE_X27Y117 NUM_ARCS 138 SITEPROP SLICE_X27Y117 NUM_BELS 32 SITEPROP SLICE_X27Y117 NUM_INPUTS 32 SITEPROP SLICE_X27Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y117 NUM_PINS 45 SITEPROP SLICE_X27Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y117 PROHIBIT 0 SITEPROP SLICE_X27Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y117 RPM_X 79 SITEPROP SLICE_X27Y117 RPM_Y 234 SITEPROP SLICE_X27Y117 SITE_PIPS SITEPROP SLICE_X27Y117 SITE_TYPE SLICEL SITEPROP SLICE_X27Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y118 CLASS site SITEPROP SLICE_X27Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y118 IS_BONDED 0 SITEPROP SLICE_X27Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y118 IS_PAD 0 SITEPROP SLICE_X27Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y118 IS_RESERVED 0 SITEPROP SLICE_X27Y118 IS_TEST 0 SITEPROP SLICE_X27Y118 IS_USED 0 SITEPROP SLICE_X27Y118 MANUAL_ROUTING SITEPROP SLICE_X27Y118 NAME SLICE_X27Y118 SITEPROP SLICE_X27Y118 NUM_ARCS 138 SITEPROP SLICE_X27Y118 NUM_BELS 32 SITEPROP SLICE_X27Y118 NUM_INPUTS 32 SITEPROP SLICE_X27Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y118 NUM_PINS 45 SITEPROP SLICE_X27Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y118 PROHIBIT 0 SITEPROP SLICE_X27Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y118 RPM_X 79 SITEPROP SLICE_X27Y118 RPM_Y 236 SITEPROP SLICE_X27Y118 SITE_PIPS SITEPROP SLICE_X27Y118 SITE_TYPE SLICEL SITEPROP SLICE_X27Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y119 CLASS site SITEPROP SLICE_X27Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y119 IS_BONDED 0 SITEPROP SLICE_X27Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y119 IS_PAD 0 SITEPROP SLICE_X27Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y119 IS_RESERVED 0 SITEPROP SLICE_X27Y119 IS_TEST 0 SITEPROP SLICE_X27Y119 IS_USED 0 SITEPROP SLICE_X27Y119 MANUAL_ROUTING SITEPROP SLICE_X27Y119 NAME SLICE_X27Y119 SITEPROP SLICE_X27Y119 NUM_ARCS 138 SITEPROP SLICE_X27Y119 NUM_BELS 32 SITEPROP SLICE_X27Y119 NUM_INPUTS 32 SITEPROP SLICE_X27Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y119 NUM_PINS 45 SITEPROP SLICE_X27Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y119 PROHIBIT 0 SITEPROP SLICE_X27Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y119 RPM_X 79 SITEPROP SLICE_X27Y119 RPM_Y 238 SITEPROP SLICE_X27Y119 SITE_PIPS SITEPROP SLICE_X27Y119 SITE_TYPE SLICEL SITEPROP SLICE_X27Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y120 CLASS site SITEPROP SLICE_X27Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y120 IS_BONDED 0 SITEPROP SLICE_X27Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y120 IS_PAD 0 SITEPROP SLICE_X27Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y120 IS_RESERVED 0 SITEPROP SLICE_X27Y120 IS_TEST 0 SITEPROP SLICE_X27Y120 IS_USED 0 SITEPROP SLICE_X27Y120 MANUAL_ROUTING SITEPROP SLICE_X27Y120 NAME SLICE_X27Y120 SITEPROP SLICE_X27Y120 NUM_ARCS 138 SITEPROP SLICE_X27Y120 NUM_BELS 32 SITEPROP SLICE_X27Y120 NUM_INPUTS 32 SITEPROP SLICE_X27Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y120 NUM_PINS 45 SITEPROP SLICE_X27Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y120 PROHIBIT 0 SITEPROP SLICE_X27Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y120 RPM_X 79 SITEPROP SLICE_X27Y120 RPM_Y 240 SITEPROP SLICE_X27Y120 SITE_PIPS SITEPROP SLICE_X27Y120 SITE_TYPE SLICEL SITEPROP SLICE_X27Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y121 CLASS site SITEPROP SLICE_X27Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y121 IS_BONDED 0 SITEPROP SLICE_X27Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y121 IS_PAD 0 SITEPROP SLICE_X27Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y121 IS_RESERVED 0 SITEPROP SLICE_X27Y121 IS_TEST 0 SITEPROP SLICE_X27Y121 IS_USED 0 SITEPROP SLICE_X27Y121 MANUAL_ROUTING SITEPROP SLICE_X27Y121 NAME SLICE_X27Y121 SITEPROP SLICE_X27Y121 NUM_ARCS 138 SITEPROP SLICE_X27Y121 NUM_BELS 32 SITEPROP SLICE_X27Y121 NUM_INPUTS 32 SITEPROP SLICE_X27Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y121 NUM_PINS 45 SITEPROP SLICE_X27Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y121 PROHIBIT 0 SITEPROP SLICE_X27Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y121 RPM_X 79 SITEPROP SLICE_X27Y121 RPM_Y 242 SITEPROP SLICE_X27Y121 SITE_PIPS SITEPROP SLICE_X27Y121 SITE_TYPE SLICEL SITEPROP SLICE_X27Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y122 CLASS site SITEPROP SLICE_X27Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y122 IS_BONDED 0 SITEPROP SLICE_X27Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y122 IS_PAD 0 SITEPROP SLICE_X27Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y122 IS_RESERVED 0 SITEPROP SLICE_X27Y122 IS_TEST 0 SITEPROP SLICE_X27Y122 IS_USED 0 SITEPROP SLICE_X27Y122 MANUAL_ROUTING SITEPROP SLICE_X27Y122 NAME SLICE_X27Y122 SITEPROP SLICE_X27Y122 NUM_ARCS 138 SITEPROP SLICE_X27Y122 NUM_BELS 32 SITEPROP SLICE_X27Y122 NUM_INPUTS 32 SITEPROP SLICE_X27Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y122 NUM_PINS 45 SITEPROP SLICE_X27Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y122 PROHIBIT 0 SITEPROP SLICE_X27Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y122 RPM_X 79 SITEPROP SLICE_X27Y122 RPM_Y 244 SITEPROP SLICE_X27Y122 SITE_PIPS SITEPROP SLICE_X27Y122 SITE_TYPE SLICEL SITEPROP SLICE_X27Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y123 CLASS site SITEPROP SLICE_X27Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y123 IS_BONDED 0 SITEPROP SLICE_X27Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y123 IS_PAD 0 SITEPROP SLICE_X27Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y123 IS_RESERVED 0 SITEPROP SLICE_X27Y123 IS_TEST 0 SITEPROP SLICE_X27Y123 IS_USED 0 SITEPROP SLICE_X27Y123 MANUAL_ROUTING SITEPROP SLICE_X27Y123 NAME SLICE_X27Y123 SITEPROP SLICE_X27Y123 NUM_ARCS 138 SITEPROP SLICE_X27Y123 NUM_BELS 32 SITEPROP SLICE_X27Y123 NUM_INPUTS 32 SITEPROP SLICE_X27Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y123 NUM_PINS 45 SITEPROP SLICE_X27Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y123 PROHIBIT 0 SITEPROP SLICE_X27Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y123 RPM_X 79 SITEPROP SLICE_X27Y123 RPM_Y 246 SITEPROP SLICE_X27Y123 SITE_PIPS SITEPROP SLICE_X27Y123 SITE_TYPE SLICEL SITEPROP SLICE_X27Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y124 CLASS site SITEPROP SLICE_X27Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y124 IS_BONDED 0 SITEPROP SLICE_X27Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y124 IS_PAD 0 SITEPROP SLICE_X27Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y124 IS_RESERVED 0 SITEPROP SLICE_X27Y124 IS_TEST 0 SITEPROP SLICE_X27Y124 IS_USED 0 SITEPROP SLICE_X27Y124 MANUAL_ROUTING SITEPROP SLICE_X27Y124 NAME SLICE_X27Y124 SITEPROP SLICE_X27Y124 NUM_ARCS 138 SITEPROP SLICE_X27Y124 NUM_BELS 32 SITEPROP SLICE_X27Y124 NUM_INPUTS 32 SITEPROP SLICE_X27Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y124 NUM_PINS 45 SITEPROP SLICE_X27Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y124 PROHIBIT 0 SITEPROP SLICE_X27Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y124 RPM_X 79 SITEPROP SLICE_X27Y124 RPM_Y 248 SITEPROP SLICE_X27Y124 SITE_PIPS SITEPROP SLICE_X27Y124 SITE_TYPE SLICEL SITEPROP SLICE_X27Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y125 CLASS site SITEPROP SLICE_X27Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y125 IS_BONDED 0 SITEPROP SLICE_X27Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y125 IS_PAD 0 SITEPROP SLICE_X27Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y125 IS_RESERVED 0 SITEPROP SLICE_X27Y125 IS_TEST 0 SITEPROP SLICE_X27Y125 IS_USED 0 SITEPROP SLICE_X27Y125 MANUAL_ROUTING SITEPROP SLICE_X27Y125 NAME SLICE_X27Y125 SITEPROP SLICE_X27Y125 NUM_ARCS 138 SITEPROP SLICE_X27Y125 NUM_BELS 32 SITEPROP SLICE_X27Y125 NUM_INPUTS 32 SITEPROP SLICE_X27Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y125 NUM_PINS 45 SITEPROP SLICE_X27Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y125 PROHIBIT 0 SITEPROP SLICE_X27Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y125 RPM_X 79 SITEPROP SLICE_X27Y125 RPM_Y 250 SITEPROP SLICE_X27Y125 SITE_PIPS SITEPROP SLICE_X27Y125 SITE_TYPE SLICEL SITEPROP SLICE_X27Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y126 CLASS site SITEPROP SLICE_X27Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y126 IS_BONDED 0 SITEPROP SLICE_X27Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y126 IS_PAD 0 SITEPROP SLICE_X27Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y126 IS_RESERVED 0 SITEPROP SLICE_X27Y126 IS_TEST 0 SITEPROP SLICE_X27Y126 IS_USED 0 SITEPROP SLICE_X27Y126 MANUAL_ROUTING SITEPROP SLICE_X27Y126 NAME SLICE_X27Y126 SITEPROP SLICE_X27Y126 NUM_ARCS 138 SITEPROP SLICE_X27Y126 NUM_BELS 32 SITEPROP SLICE_X27Y126 NUM_INPUTS 32 SITEPROP SLICE_X27Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y126 NUM_PINS 45 SITEPROP SLICE_X27Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y126 PROHIBIT 0 SITEPROP SLICE_X27Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y126 RPM_X 79 SITEPROP SLICE_X27Y126 RPM_Y 252 SITEPROP SLICE_X27Y126 SITE_PIPS SITEPROP SLICE_X27Y126 SITE_TYPE SLICEL SITEPROP SLICE_X27Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y127 CLASS site SITEPROP SLICE_X27Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y127 IS_BONDED 0 SITEPROP SLICE_X27Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y127 IS_PAD 0 SITEPROP SLICE_X27Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y127 IS_RESERVED 0 SITEPROP SLICE_X27Y127 IS_TEST 0 SITEPROP SLICE_X27Y127 IS_USED 0 SITEPROP SLICE_X27Y127 MANUAL_ROUTING SITEPROP SLICE_X27Y127 NAME SLICE_X27Y127 SITEPROP SLICE_X27Y127 NUM_ARCS 138 SITEPROP SLICE_X27Y127 NUM_BELS 32 SITEPROP SLICE_X27Y127 NUM_INPUTS 32 SITEPROP SLICE_X27Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y127 NUM_PINS 45 SITEPROP SLICE_X27Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y127 PROHIBIT 0 SITEPROP SLICE_X27Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y127 RPM_X 79 SITEPROP SLICE_X27Y127 RPM_Y 254 SITEPROP SLICE_X27Y127 SITE_PIPS SITEPROP SLICE_X27Y127 SITE_TYPE SLICEL SITEPROP SLICE_X27Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y128 CLASS site SITEPROP SLICE_X27Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y128 IS_BONDED 0 SITEPROP SLICE_X27Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y128 IS_PAD 0 SITEPROP SLICE_X27Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y128 IS_RESERVED 0 SITEPROP SLICE_X27Y128 IS_TEST 0 SITEPROP SLICE_X27Y128 IS_USED 0 SITEPROP SLICE_X27Y128 MANUAL_ROUTING SITEPROP SLICE_X27Y128 NAME SLICE_X27Y128 SITEPROP SLICE_X27Y128 NUM_ARCS 138 SITEPROP SLICE_X27Y128 NUM_BELS 32 SITEPROP SLICE_X27Y128 NUM_INPUTS 32 SITEPROP SLICE_X27Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y128 NUM_PINS 45 SITEPROP SLICE_X27Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y128 PROHIBIT 0 SITEPROP SLICE_X27Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y128 RPM_X 79 SITEPROP SLICE_X27Y128 RPM_Y 256 SITEPROP SLICE_X27Y128 SITE_PIPS SITEPROP SLICE_X27Y128 SITE_TYPE SLICEL SITEPROP SLICE_X27Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y129 CLASS site SITEPROP SLICE_X27Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y129 IS_BONDED 0 SITEPROP SLICE_X27Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y129 IS_PAD 0 SITEPROP SLICE_X27Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y129 IS_RESERVED 0 SITEPROP SLICE_X27Y129 IS_TEST 0 SITEPROP SLICE_X27Y129 IS_USED 0 SITEPROP SLICE_X27Y129 MANUAL_ROUTING SITEPROP SLICE_X27Y129 NAME SLICE_X27Y129 SITEPROP SLICE_X27Y129 NUM_ARCS 138 SITEPROP SLICE_X27Y129 NUM_BELS 32 SITEPROP SLICE_X27Y129 NUM_INPUTS 32 SITEPROP SLICE_X27Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y129 NUM_PINS 45 SITEPROP SLICE_X27Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y129 PROHIBIT 0 SITEPROP SLICE_X27Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y129 RPM_X 79 SITEPROP SLICE_X27Y129 RPM_Y 258 SITEPROP SLICE_X27Y129 SITE_PIPS SITEPROP SLICE_X27Y129 SITE_TYPE SLICEL SITEPROP SLICE_X27Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y130 CLASS site SITEPROP SLICE_X27Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y130 IS_BONDED 0 SITEPROP SLICE_X27Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y130 IS_PAD 0 SITEPROP SLICE_X27Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y130 IS_RESERVED 0 SITEPROP SLICE_X27Y130 IS_TEST 0 SITEPROP SLICE_X27Y130 IS_USED 0 SITEPROP SLICE_X27Y130 MANUAL_ROUTING SITEPROP SLICE_X27Y130 NAME SLICE_X27Y130 SITEPROP SLICE_X27Y130 NUM_ARCS 138 SITEPROP SLICE_X27Y130 NUM_BELS 32 SITEPROP SLICE_X27Y130 NUM_INPUTS 32 SITEPROP SLICE_X27Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y130 NUM_PINS 45 SITEPROP SLICE_X27Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y130 PROHIBIT 0 SITEPROP SLICE_X27Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y130 RPM_X 79 SITEPROP SLICE_X27Y130 RPM_Y 260 SITEPROP SLICE_X27Y130 SITE_PIPS SITEPROP SLICE_X27Y130 SITE_TYPE SLICEL SITEPROP SLICE_X27Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y131 CLASS site SITEPROP SLICE_X27Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y131 IS_BONDED 0 SITEPROP SLICE_X27Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y131 IS_PAD 0 SITEPROP SLICE_X27Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y131 IS_RESERVED 0 SITEPROP SLICE_X27Y131 IS_TEST 0 SITEPROP SLICE_X27Y131 IS_USED 0 SITEPROP SLICE_X27Y131 MANUAL_ROUTING SITEPROP SLICE_X27Y131 NAME SLICE_X27Y131 SITEPROP SLICE_X27Y131 NUM_ARCS 138 SITEPROP SLICE_X27Y131 NUM_BELS 32 SITEPROP SLICE_X27Y131 NUM_INPUTS 32 SITEPROP SLICE_X27Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y131 NUM_PINS 45 SITEPROP SLICE_X27Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y131 PROHIBIT 0 SITEPROP SLICE_X27Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y131 RPM_X 79 SITEPROP SLICE_X27Y131 RPM_Y 262 SITEPROP SLICE_X27Y131 SITE_PIPS SITEPROP SLICE_X27Y131 SITE_TYPE SLICEL SITEPROP SLICE_X27Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y132 CLASS site SITEPROP SLICE_X27Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y132 IS_BONDED 0 SITEPROP SLICE_X27Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y132 IS_PAD 0 SITEPROP SLICE_X27Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y132 IS_RESERVED 0 SITEPROP SLICE_X27Y132 IS_TEST 0 SITEPROP SLICE_X27Y132 IS_USED 0 SITEPROP SLICE_X27Y132 MANUAL_ROUTING SITEPROP SLICE_X27Y132 NAME SLICE_X27Y132 SITEPROP SLICE_X27Y132 NUM_ARCS 138 SITEPROP SLICE_X27Y132 NUM_BELS 32 SITEPROP SLICE_X27Y132 NUM_INPUTS 32 SITEPROP SLICE_X27Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y132 NUM_PINS 45 SITEPROP SLICE_X27Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y132 PROHIBIT 0 SITEPROP SLICE_X27Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y132 RPM_X 79 SITEPROP SLICE_X27Y132 RPM_Y 264 SITEPROP SLICE_X27Y132 SITE_PIPS SITEPROP SLICE_X27Y132 SITE_TYPE SLICEL SITEPROP SLICE_X27Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y133 CLASS site SITEPROP SLICE_X27Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y133 IS_BONDED 0 SITEPROP SLICE_X27Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y133 IS_PAD 0 SITEPROP SLICE_X27Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y133 IS_RESERVED 0 SITEPROP SLICE_X27Y133 IS_TEST 0 SITEPROP SLICE_X27Y133 IS_USED 0 SITEPROP SLICE_X27Y133 MANUAL_ROUTING SITEPROP SLICE_X27Y133 NAME SLICE_X27Y133 SITEPROP SLICE_X27Y133 NUM_ARCS 138 SITEPROP SLICE_X27Y133 NUM_BELS 32 SITEPROP SLICE_X27Y133 NUM_INPUTS 32 SITEPROP SLICE_X27Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y133 NUM_PINS 45 SITEPROP SLICE_X27Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y133 PROHIBIT 0 SITEPROP SLICE_X27Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y133 RPM_X 79 SITEPROP SLICE_X27Y133 RPM_Y 266 SITEPROP SLICE_X27Y133 SITE_PIPS SITEPROP SLICE_X27Y133 SITE_TYPE SLICEL SITEPROP SLICE_X27Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y134 CLASS site SITEPROP SLICE_X27Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y134 IS_BONDED 0 SITEPROP SLICE_X27Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y134 IS_PAD 0 SITEPROP SLICE_X27Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y134 IS_RESERVED 0 SITEPROP SLICE_X27Y134 IS_TEST 0 SITEPROP SLICE_X27Y134 IS_USED 0 SITEPROP SLICE_X27Y134 MANUAL_ROUTING SITEPROP SLICE_X27Y134 NAME SLICE_X27Y134 SITEPROP SLICE_X27Y134 NUM_ARCS 138 SITEPROP SLICE_X27Y134 NUM_BELS 32 SITEPROP SLICE_X27Y134 NUM_INPUTS 32 SITEPROP SLICE_X27Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y134 NUM_PINS 45 SITEPROP SLICE_X27Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y134 PROHIBIT 0 SITEPROP SLICE_X27Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y134 RPM_X 79 SITEPROP SLICE_X27Y134 RPM_Y 268 SITEPROP SLICE_X27Y134 SITE_PIPS SITEPROP SLICE_X27Y134 SITE_TYPE SLICEL SITEPROP SLICE_X27Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y135 CLASS site SITEPROP SLICE_X27Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y135 IS_BONDED 0 SITEPROP SLICE_X27Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y135 IS_PAD 0 SITEPROP SLICE_X27Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y135 IS_RESERVED 0 SITEPROP SLICE_X27Y135 IS_TEST 0 SITEPROP SLICE_X27Y135 IS_USED 0 SITEPROP SLICE_X27Y135 MANUAL_ROUTING SITEPROP SLICE_X27Y135 NAME SLICE_X27Y135 SITEPROP SLICE_X27Y135 NUM_ARCS 138 SITEPROP SLICE_X27Y135 NUM_BELS 32 SITEPROP SLICE_X27Y135 NUM_INPUTS 32 SITEPROP SLICE_X27Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y135 NUM_PINS 45 SITEPROP SLICE_X27Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y135 PROHIBIT 0 SITEPROP SLICE_X27Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y135 RPM_X 79 SITEPROP SLICE_X27Y135 RPM_Y 270 SITEPROP SLICE_X27Y135 SITE_PIPS SITEPROP SLICE_X27Y135 SITE_TYPE SLICEL SITEPROP SLICE_X27Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y136 CLASS site SITEPROP SLICE_X27Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y136 IS_BONDED 0 SITEPROP SLICE_X27Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y136 IS_PAD 0 SITEPROP SLICE_X27Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y136 IS_RESERVED 0 SITEPROP SLICE_X27Y136 IS_TEST 0 SITEPROP SLICE_X27Y136 IS_USED 0 SITEPROP SLICE_X27Y136 MANUAL_ROUTING SITEPROP SLICE_X27Y136 NAME SLICE_X27Y136 SITEPROP SLICE_X27Y136 NUM_ARCS 138 SITEPROP SLICE_X27Y136 NUM_BELS 32 SITEPROP SLICE_X27Y136 NUM_INPUTS 32 SITEPROP SLICE_X27Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y136 NUM_PINS 45 SITEPROP SLICE_X27Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y136 PROHIBIT 0 SITEPROP SLICE_X27Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y136 RPM_X 79 SITEPROP SLICE_X27Y136 RPM_Y 272 SITEPROP SLICE_X27Y136 SITE_PIPS SITEPROP SLICE_X27Y136 SITE_TYPE SLICEL SITEPROP SLICE_X27Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y137 CLASS site SITEPROP SLICE_X27Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y137 IS_BONDED 0 SITEPROP SLICE_X27Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y137 IS_PAD 0 SITEPROP SLICE_X27Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y137 IS_RESERVED 0 SITEPROP SLICE_X27Y137 IS_TEST 0 SITEPROP SLICE_X27Y137 IS_USED 0 SITEPROP SLICE_X27Y137 MANUAL_ROUTING SITEPROP SLICE_X27Y137 NAME SLICE_X27Y137 SITEPROP SLICE_X27Y137 NUM_ARCS 138 SITEPROP SLICE_X27Y137 NUM_BELS 32 SITEPROP SLICE_X27Y137 NUM_INPUTS 32 SITEPROP SLICE_X27Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y137 NUM_PINS 45 SITEPROP SLICE_X27Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y137 PROHIBIT 0 SITEPROP SLICE_X27Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y137 RPM_X 79 SITEPROP SLICE_X27Y137 RPM_Y 274 SITEPROP SLICE_X27Y137 SITE_PIPS SITEPROP SLICE_X27Y137 SITE_TYPE SLICEL SITEPROP SLICE_X27Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y138 CLASS site SITEPROP SLICE_X27Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y138 IS_BONDED 0 SITEPROP SLICE_X27Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y138 IS_PAD 0 SITEPROP SLICE_X27Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y138 IS_RESERVED 0 SITEPROP SLICE_X27Y138 IS_TEST 0 SITEPROP SLICE_X27Y138 IS_USED 0 SITEPROP SLICE_X27Y138 MANUAL_ROUTING SITEPROP SLICE_X27Y138 NAME SLICE_X27Y138 SITEPROP SLICE_X27Y138 NUM_ARCS 138 SITEPROP SLICE_X27Y138 NUM_BELS 32 SITEPROP SLICE_X27Y138 NUM_INPUTS 32 SITEPROP SLICE_X27Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y138 NUM_PINS 45 SITEPROP SLICE_X27Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y138 PROHIBIT 0 SITEPROP SLICE_X27Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y138 RPM_X 79 SITEPROP SLICE_X27Y138 RPM_Y 276 SITEPROP SLICE_X27Y138 SITE_PIPS SITEPROP SLICE_X27Y138 SITE_TYPE SLICEL SITEPROP SLICE_X27Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y139 CLASS site SITEPROP SLICE_X27Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y139 IS_BONDED 0 SITEPROP SLICE_X27Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y139 IS_PAD 0 SITEPROP SLICE_X27Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y139 IS_RESERVED 0 SITEPROP SLICE_X27Y139 IS_TEST 0 SITEPROP SLICE_X27Y139 IS_USED 0 SITEPROP SLICE_X27Y139 MANUAL_ROUTING SITEPROP SLICE_X27Y139 NAME SLICE_X27Y139 SITEPROP SLICE_X27Y139 NUM_ARCS 138 SITEPROP SLICE_X27Y139 NUM_BELS 32 SITEPROP SLICE_X27Y139 NUM_INPUTS 32 SITEPROP SLICE_X27Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y139 NUM_PINS 45 SITEPROP SLICE_X27Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y139 PROHIBIT 0 SITEPROP SLICE_X27Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y139 RPM_X 79 SITEPROP SLICE_X27Y139 RPM_Y 278 SITEPROP SLICE_X27Y139 SITE_PIPS SITEPROP SLICE_X27Y139 SITE_TYPE SLICEL SITEPROP SLICE_X27Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y140 CLASS site SITEPROP SLICE_X27Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y140 IS_BONDED 0 SITEPROP SLICE_X27Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y140 IS_PAD 0 SITEPROP SLICE_X27Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y140 IS_RESERVED 0 SITEPROP SLICE_X27Y140 IS_TEST 0 SITEPROP SLICE_X27Y140 IS_USED 0 SITEPROP SLICE_X27Y140 MANUAL_ROUTING SITEPROP SLICE_X27Y140 NAME SLICE_X27Y140 SITEPROP SLICE_X27Y140 NUM_ARCS 138 SITEPROP SLICE_X27Y140 NUM_BELS 32 SITEPROP SLICE_X27Y140 NUM_INPUTS 32 SITEPROP SLICE_X27Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y140 NUM_PINS 45 SITEPROP SLICE_X27Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y140 PROHIBIT 0 SITEPROP SLICE_X27Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y140 RPM_X 79 SITEPROP SLICE_X27Y140 RPM_Y 280 SITEPROP SLICE_X27Y140 SITE_PIPS SITEPROP SLICE_X27Y140 SITE_TYPE SLICEL SITEPROP SLICE_X27Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y141 CLASS site SITEPROP SLICE_X27Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y141 IS_BONDED 0 SITEPROP SLICE_X27Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y141 IS_PAD 0 SITEPROP SLICE_X27Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y141 IS_RESERVED 0 SITEPROP SLICE_X27Y141 IS_TEST 0 SITEPROP SLICE_X27Y141 IS_USED 0 SITEPROP SLICE_X27Y141 MANUAL_ROUTING SITEPROP SLICE_X27Y141 NAME SLICE_X27Y141 SITEPROP SLICE_X27Y141 NUM_ARCS 138 SITEPROP SLICE_X27Y141 NUM_BELS 32 SITEPROP SLICE_X27Y141 NUM_INPUTS 32 SITEPROP SLICE_X27Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y141 NUM_PINS 45 SITEPROP SLICE_X27Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y141 PROHIBIT 0 SITEPROP SLICE_X27Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y141 RPM_X 79 SITEPROP SLICE_X27Y141 RPM_Y 282 SITEPROP SLICE_X27Y141 SITE_PIPS SITEPROP SLICE_X27Y141 SITE_TYPE SLICEL SITEPROP SLICE_X27Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y142 CLASS site SITEPROP SLICE_X27Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y142 IS_BONDED 0 SITEPROP SLICE_X27Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y142 IS_PAD 0 SITEPROP SLICE_X27Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y142 IS_RESERVED 0 SITEPROP SLICE_X27Y142 IS_TEST 0 SITEPROP SLICE_X27Y142 IS_USED 0 SITEPROP SLICE_X27Y142 MANUAL_ROUTING SITEPROP SLICE_X27Y142 NAME SLICE_X27Y142 SITEPROP SLICE_X27Y142 NUM_ARCS 138 SITEPROP SLICE_X27Y142 NUM_BELS 32 SITEPROP SLICE_X27Y142 NUM_INPUTS 32 SITEPROP SLICE_X27Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y142 NUM_PINS 45 SITEPROP SLICE_X27Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y142 PROHIBIT 0 SITEPROP SLICE_X27Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y142 RPM_X 79 SITEPROP SLICE_X27Y142 RPM_Y 284 SITEPROP SLICE_X27Y142 SITE_PIPS SITEPROP SLICE_X27Y142 SITE_TYPE SLICEL SITEPROP SLICE_X27Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y143 CLASS site SITEPROP SLICE_X27Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y143 IS_BONDED 0 SITEPROP SLICE_X27Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y143 IS_PAD 0 SITEPROP SLICE_X27Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y143 IS_RESERVED 0 SITEPROP SLICE_X27Y143 IS_TEST 0 SITEPROP SLICE_X27Y143 IS_USED 0 SITEPROP SLICE_X27Y143 MANUAL_ROUTING SITEPROP SLICE_X27Y143 NAME SLICE_X27Y143 SITEPROP SLICE_X27Y143 NUM_ARCS 138 SITEPROP SLICE_X27Y143 NUM_BELS 32 SITEPROP SLICE_X27Y143 NUM_INPUTS 32 SITEPROP SLICE_X27Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y143 NUM_PINS 45 SITEPROP SLICE_X27Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y143 PROHIBIT 0 SITEPROP SLICE_X27Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y143 RPM_X 79 SITEPROP SLICE_X27Y143 RPM_Y 286 SITEPROP SLICE_X27Y143 SITE_PIPS SITEPROP SLICE_X27Y143 SITE_TYPE SLICEL SITEPROP SLICE_X27Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y144 CLASS site SITEPROP SLICE_X27Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y144 IS_BONDED 0 SITEPROP SLICE_X27Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y144 IS_PAD 0 SITEPROP SLICE_X27Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y144 IS_RESERVED 0 SITEPROP SLICE_X27Y144 IS_TEST 0 SITEPROP SLICE_X27Y144 IS_USED 0 SITEPROP SLICE_X27Y144 MANUAL_ROUTING SITEPROP SLICE_X27Y144 NAME SLICE_X27Y144 SITEPROP SLICE_X27Y144 NUM_ARCS 138 SITEPROP SLICE_X27Y144 NUM_BELS 32 SITEPROP SLICE_X27Y144 NUM_INPUTS 32 SITEPROP SLICE_X27Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y144 NUM_PINS 45 SITEPROP SLICE_X27Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y144 PROHIBIT 0 SITEPROP SLICE_X27Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y144 RPM_X 79 SITEPROP SLICE_X27Y144 RPM_Y 288 SITEPROP SLICE_X27Y144 SITE_PIPS SITEPROP SLICE_X27Y144 SITE_TYPE SLICEL SITEPROP SLICE_X27Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y145 CLASS site SITEPROP SLICE_X27Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y145 IS_BONDED 0 SITEPROP SLICE_X27Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y145 IS_PAD 0 SITEPROP SLICE_X27Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y145 IS_RESERVED 0 SITEPROP SLICE_X27Y145 IS_TEST 0 SITEPROP SLICE_X27Y145 IS_USED 0 SITEPROP SLICE_X27Y145 MANUAL_ROUTING SITEPROP SLICE_X27Y145 NAME SLICE_X27Y145 SITEPROP SLICE_X27Y145 NUM_ARCS 138 SITEPROP SLICE_X27Y145 NUM_BELS 32 SITEPROP SLICE_X27Y145 NUM_INPUTS 32 SITEPROP SLICE_X27Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y145 NUM_PINS 45 SITEPROP SLICE_X27Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y145 PROHIBIT 0 SITEPROP SLICE_X27Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y145 RPM_X 79 SITEPROP SLICE_X27Y145 RPM_Y 290 SITEPROP SLICE_X27Y145 SITE_PIPS SITEPROP SLICE_X27Y145 SITE_TYPE SLICEL SITEPROP SLICE_X27Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y146 CLASS site SITEPROP SLICE_X27Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y146 IS_BONDED 0 SITEPROP SLICE_X27Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y146 IS_PAD 0 SITEPROP SLICE_X27Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y146 IS_RESERVED 0 SITEPROP SLICE_X27Y146 IS_TEST 0 SITEPROP SLICE_X27Y146 IS_USED 0 SITEPROP SLICE_X27Y146 MANUAL_ROUTING SITEPROP SLICE_X27Y146 NAME SLICE_X27Y146 SITEPROP SLICE_X27Y146 NUM_ARCS 138 SITEPROP SLICE_X27Y146 NUM_BELS 32 SITEPROP SLICE_X27Y146 NUM_INPUTS 32 SITEPROP SLICE_X27Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y146 NUM_PINS 45 SITEPROP SLICE_X27Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y146 PROHIBIT 0 SITEPROP SLICE_X27Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y146 RPM_X 79 SITEPROP SLICE_X27Y146 RPM_Y 292 SITEPROP SLICE_X27Y146 SITE_PIPS SITEPROP SLICE_X27Y146 SITE_TYPE SLICEL SITEPROP SLICE_X27Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y147 CLASS site SITEPROP SLICE_X27Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y147 IS_BONDED 0 SITEPROP SLICE_X27Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y147 IS_PAD 0 SITEPROP SLICE_X27Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y147 IS_RESERVED 0 SITEPROP SLICE_X27Y147 IS_TEST 0 SITEPROP SLICE_X27Y147 IS_USED 0 SITEPROP SLICE_X27Y147 MANUAL_ROUTING SITEPROP SLICE_X27Y147 NAME SLICE_X27Y147 SITEPROP SLICE_X27Y147 NUM_ARCS 138 SITEPROP SLICE_X27Y147 NUM_BELS 32 SITEPROP SLICE_X27Y147 NUM_INPUTS 32 SITEPROP SLICE_X27Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y147 NUM_PINS 45 SITEPROP SLICE_X27Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y147 PROHIBIT 0 SITEPROP SLICE_X27Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y147 RPM_X 79 SITEPROP SLICE_X27Y147 RPM_Y 294 SITEPROP SLICE_X27Y147 SITE_PIPS SITEPROP SLICE_X27Y147 SITE_TYPE SLICEL SITEPROP SLICE_X27Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y148 CLASS site SITEPROP SLICE_X27Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y148 IS_BONDED 0 SITEPROP SLICE_X27Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y148 IS_PAD 0 SITEPROP SLICE_X27Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y148 IS_RESERVED 0 SITEPROP SLICE_X27Y148 IS_TEST 0 SITEPROP SLICE_X27Y148 IS_USED 0 SITEPROP SLICE_X27Y148 MANUAL_ROUTING SITEPROP SLICE_X27Y148 NAME SLICE_X27Y148 SITEPROP SLICE_X27Y148 NUM_ARCS 138 SITEPROP SLICE_X27Y148 NUM_BELS 32 SITEPROP SLICE_X27Y148 NUM_INPUTS 32 SITEPROP SLICE_X27Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y148 NUM_PINS 45 SITEPROP SLICE_X27Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y148 PROHIBIT 0 SITEPROP SLICE_X27Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y148 RPM_X 79 SITEPROP SLICE_X27Y148 RPM_Y 296 SITEPROP SLICE_X27Y148 SITE_PIPS SITEPROP SLICE_X27Y148 SITE_TYPE SLICEL SITEPROP SLICE_X27Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X27Y149 CLASS site SITEPROP SLICE_X27Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X27Y149 IS_BONDED 0 SITEPROP SLICE_X27Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X27Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y149 IS_PAD 0 SITEPROP SLICE_X27Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X27Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X27Y149 IS_RESERVED 0 SITEPROP SLICE_X27Y149 IS_TEST 0 SITEPROP SLICE_X27Y149 IS_USED 0 SITEPROP SLICE_X27Y149 MANUAL_ROUTING SITEPROP SLICE_X27Y149 NAME SLICE_X27Y149 SITEPROP SLICE_X27Y149 NUM_ARCS 138 SITEPROP SLICE_X27Y149 NUM_BELS 32 SITEPROP SLICE_X27Y149 NUM_INPUTS 32 SITEPROP SLICE_X27Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X27Y149 NUM_PINS 45 SITEPROP SLICE_X27Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X27Y149 PROHIBIT 0 SITEPROP SLICE_X27Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X27Y149 RPM_X 79 SITEPROP SLICE_X27Y149 RPM_Y 298 SITEPROP SLICE_X27Y149 SITE_PIPS SITEPROP SLICE_X27Y149 SITE_TYPE SLICEL SITEPROP SLICE_X28Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y0 CLASS site SITEPROP SLICE_X28Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y0 IS_BONDED 0 SITEPROP SLICE_X28Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y0 IS_PAD 0 SITEPROP SLICE_X28Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y0 IS_RESERVED 0 SITEPROP SLICE_X28Y0 IS_TEST 0 SITEPROP SLICE_X28Y0 IS_USED 0 SITEPROP SLICE_X28Y0 MANUAL_ROUTING SITEPROP SLICE_X28Y0 NAME SLICE_X28Y0 SITEPROP SLICE_X28Y0 NUM_ARCS 138 SITEPROP SLICE_X28Y0 NUM_BELS 32 SITEPROP SLICE_X28Y0 NUM_INPUTS 32 SITEPROP SLICE_X28Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y0 NUM_PINS 45 SITEPROP SLICE_X28Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y0 PROHIBIT 0 SITEPROP SLICE_X28Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y0 RPM_X 81 SITEPROP SLICE_X28Y0 RPM_Y 0 SITEPROP SLICE_X28Y0 SITE_PIPS SITEPROP SLICE_X28Y0 SITE_TYPE SLICEL SITEPROP SLICE_X28Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y1 CLASS site SITEPROP SLICE_X28Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y1 IS_BONDED 0 SITEPROP SLICE_X28Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y1 IS_PAD 0 SITEPROP SLICE_X28Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y1 IS_RESERVED 0 SITEPROP SLICE_X28Y1 IS_TEST 0 SITEPROP SLICE_X28Y1 IS_USED 0 SITEPROP SLICE_X28Y1 MANUAL_ROUTING SITEPROP SLICE_X28Y1 NAME SLICE_X28Y1 SITEPROP SLICE_X28Y1 NUM_ARCS 138 SITEPROP SLICE_X28Y1 NUM_BELS 32 SITEPROP SLICE_X28Y1 NUM_INPUTS 32 SITEPROP SLICE_X28Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y1 NUM_PINS 45 SITEPROP SLICE_X28Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y1 PROHIBIT 0 SITEPROP SLICE_X28Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y1 RPM_X 81 SITEPROP SLICE_X28Y1 RPM_Y 2 SITEPROP SLICE_X28Y1 SITE_PIPS SITEPROP SLICE_X28Y1 SITE_TYPE SLICEL SITEPROP SLICE_X28Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y2 CLASS site SITEPROP SLICE_X28Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y2 IS_BONDED 0 SITEPROP SLICE_X28Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y2 IS_PAD 0 SITEPROP SLICE_X28Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y2 IS_RESERVED 0 SITEPROP SLICE_X28Y2 IS_TEST 0 SITEPROP SLICE_X28Y2 IS_USED 0 SITEPROP SLICE_X28Y2 MANUAL_ROUTING SITEPROP SLICE_X28Y2 NAME SLICE_X28Y2 SITEPROP SLICE_X28Y2 NUM_ARCS 138 SITEPROP SLICE_X28Y2 NUM_BELS 32 SITEPROP SLICE_X28Y2 NUM_INPUTS 32 SITEPROP SLICE_X28Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y2 NUM_PINS 45 SITEPROP SLICE_X28Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y2 PROHIBIT 0 SITEPROP SLICE_X28Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y2 RPM_X 81 SITEPROP SLICE_X28Y2 RPM_Y 4 SITEPROP SLICE_X28Y2 SITE_PIPS SITEPROP SLICE_X28Y2 SITE_TYPE SLICEL SITEPROP SLICE_X28Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y3 CLASS site SITEPROP SLICE_X28Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y3 IS_BONDED 0 SITEPROP SLICE_X28Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y3 IS_PAD 0 SITEPROP SLICE_X28Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y3 IS_RESERVED 0 SITEPROP SLICE_X28Y3 IS_TEST 0 SITEPROP SLICE_X28Y3 IS_USED 0 SITEPROP SLICE_X28Y3 MANUAL_ROUTING SITEPROP SLICE_X28Y3 NAME SLICE_X28Y3 SITEPROP SLICE_X28Y3 NUM_ARCS 138 SITEPROP SLICE_X28Y3 NUM_BELS 32 SITEPROP SLICE_X28Y3 NUM_INPUTS 32 SITEPROP SLICE_X28Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y3 NUM_PINS 45 SITEPROP SLICE_X28Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y3 PROHIBIT 0 SITEPROP SLICE_X28Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y3 RPM_X 81 SITEPROP SLICE_X28Y3 RPM_Y 6 SITEPROP SLICE_X28Y3 SITE_PIPS SITEPROP SLICE_X28Y3 SITE_TYPE SLICEL SITEPROP SLICE_X28Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y4 CLASS site SITEPROP SLICE_X28Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y4 IS_BONDED 0 SITEPROP SLICE_X28Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y4 IS_PAD 0 SITEPROP SLICE_X28Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y4 IS_RESERVED 0 SITEPROP SLICE_X28Y4 IS_TEST 0 SITEPROP SLICE_X28Y4 IS_USED 0 SITEPROP SLICE_X28Y4 MANUAL_ROUTING SITEPROP SLICE_X28Y4 NAME SLICE_X28Y4 SITEPROP SLICE_X28Y4 NUM_ARCS 138 SITEPROP SLICE_X28Y4 NUM_BELS 32 SITEPROP SLICE_X28Y4 NUM_INPUTS 32 SITEPROP SLICE_X28Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y4 NUM_PINS 45 SITEPROP SLICE_X28Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y4 PROHIBIT 0 SITEPROP SLICE_X28Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y4 RPM_X 81 SITEPROP SLICE_X28Y4 RPM_Y 8 SITEPROP SLICE_X28Y4 SITE_PIPS SITEPROP SLICE_X28Y4 SITE_TYPE SLICEL SITEPROP SLICE_X28Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y5 CLASS site SITEPROP SLICE_X28Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y5 IS_BONDED 0 SITEPROP SLICE_X28Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y5 IS_PAD 0 SITEPROP SLICE_X28Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y5 IS_RESERVED 0 SITEPROP SLICE_X28Y5 IS_TEST 0 SITEPROP SLICE_X28Y5 IS_USED 0 SITEPROP SLICE_X28Y5 MANUAL_ROUTING SITEPROP SLICE_X28Y5 NAME SLICE_X28Y5 SITEPROP SLICE_X28Y5 NUM_ARCS 138 SITEPROP SLICE_X28Y5 NUM_BELS 32 SITEPROP SLICE_X28Y5 NUM_INPUTS 32 SITEPROP SLICE_X28Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y5 NUM_PINS 45 SITEPROP SLICE_X28Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y5 PROHIBIT 0 SITEPROP SLICE_X28Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y5 RPM_X 81 SITEPROP SLICE_X28Y5 RPM_Y 10 SITEPROP SLICE_X28Y5 SITE_PIPS SITEPROP SLICE_X28Y5 SITE_TYPE SLICEL SITEPROP SLICE_X28Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y6 CLASS site SITEPROP SLICE_X28Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y6 IS_BONDED 0 SITEPROP SLICE_X28Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y6 IS_PAD 0 SITEPROP SLICE_X28Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y6 IS_RESERVED 0 SITEPROP SLICE_X28Y6 IS_TEST 0 SITEPROP SLICE_X28Y6 IS_USED 0 SITEPROP SLICE_X28Y6 MANUAL_ROUTING SITEPROP SLICE_X28Y6 NAME SLICE_X28Y6 SITEPROP SLICE_X28Y6 NUM_ARCS 138 SITEPROP SLICE_X28Y6 NUM_BELS 32 SITEPROP SLICE_X28Y6 NUM_INPUTS 32 SITEPROP SLICE_X28Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y6 NUM_PINS 45 SITEPROP SLICE_X28Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y6 PROHIBIT 0 SITEPROP SLICE_X28Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y6 RPM_X 81 SITEPROP SLICE_X28Y6 RPM_Y 12 SITEPROP SLICE_X28Y6 SITE_PIPS SITEPROP SLICE_X28Y6 SITE_TYPE SLICEL SITEPROP SLICE_X28Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y7 CLASS site SITEPROP SLICE_X28Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y7 IS_BONDED 0 SITEPROP SLICE_X28Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y7 IS_PAD 0 SITEPROP SLICE_X28Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y7 IS_RESERVED 0 SITEPROP SLICE_X28Y7 IS_TEST 0 SITEPROP SLICE_X28Y7 IS_USED 0 SITEPROP SLICE_X28Y7 MANUAL_ROUTING SITEPROP SLICE_X28Y7 NAME SLICE_X28Y7 SITEPROP SLICE_X28Y7 NUM_ARCS 138 SITEPROP SLICE_X28Y7 NUM_BELS 32 SITEPROP SLICE_X28Y7 NUM_INPUTS 32 SITEPROP SLICE_X28Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y7 NUM_PINS 45 SITEPROP SLICE_X28Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y7 PROHIBIT 0 SITEPROP SLICE_X28Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y7 RPM_X 81 SITEPROP SLICE_X28Y7 RPM_Y 14 SITEPROP SLICE_X28Y7 SITE_PIPS SITEPROP SLICE_X28Y7 SITE_TYPE SLICEL SITEPROP SLICE_X28Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y8 CLASS site SITEPROP SLICE_X28Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y8 IS_BONDED 0 SITEPROP SLICE_X28Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y8 IS_PAD 0 SITEPROP SLICE_X28Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y8 IS_RESERVED 0 SITEPROP SLICE_X28Y8 IS_TEST 0 SITEPROP SLICE_X28Y8 IS_USED 0 SITEPROP SLICE_X28Y8 MANUAL_ROUTING SITEPROP SLICE_X28Y8 NAME SLICE_X28Y8 SITEPROP SLICE_X28Y8 NUM_ARCS 138 SITEPROP SLICE_X28Y8 NUM_BELS 32 SITEPROP SLICE_X28Y8 NUM_INPUTS 32 SITEPROP SLICE_X28Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y8 NUM_PINS 45 SITEPROP SLICE_X28Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y8 PROHIBIT 0 SITEPROP SLICE_X28Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y8 RPM_X 81 SITEPROP SLICE_X28Y8 RPM_Y 16 SITEPROP SLICE_X28Y8 SITE_PIPS SITEPROP SLICE_X28Y8 SITE_TYPE SLICEL SITEPROP SLICE_X28Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y9 CLASS site SITEPROP SLICE_X28Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y9 IS_BONDED 0 SITEPROP SLICE_X28Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y9 IS_PAD 0 SITEPROP SLICE_X28Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y9 IS_RESERVED 0 SITEPROP SLICE_X28Y9 IS_TEST 0 SITEPROP SLICE_X28Y9 IS_USED 0 SITEPROP SLICE_X28Y9 MANUAL_ROUTING SITEPROP SLICE_X28Y9 NAME SLICE_X28Y9 SITEPROP SLICE_X28Y9 NUM_ARCS 138 SITEPROP SLICE_X28Y9 NUM_BELS 32 SITEPROP SLICE_X28Y9 NUM_INPUTS 32 SITEPROP SLICE_X28Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y9 NUM_PINS 45 SITEPROP SLICE_X28Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y9 PROHIBIT 0 SITEPROP SLICE_X28Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y9 RPM_X 81 SITEPROP SLICE_X28Y9 RPM_Y 18 SITEPROP SLICE_X28Y9 SITE_PIPS SITEPROP SLICE_X28Y9 SITE_TYPE SLICEL SITEPROP SLICE_X28Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y10 CLASS site SITEPROP SLICE_X28Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y10 IS_BONDED 0 SITEPROP SLICE_X28Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y10 IS_PAD 0 SITEPROP SLICE_X28Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y10 IS_RESERVED 0 SITEPROP SLICE_X28Y10 IS_TEST 0 SITEPROP SLICE_X28Y10 IS_USED 0 SITEPROP SLICE_X28Y10 MANUAL_ROUTING SITEPROP SLICE_X28Y10 NAME SLICE_X28Y10 SITEPROP SLICE_X28Y10 NUM_ARCS 138 SITEPROP SLICE_X28Y10 NUM_BELS 32 SITEPROP SLICE_X28Y10 NUM_INPUTS 32 SITEPROP SLICE_X28Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y10 NUM_PINS 45 SITEPROP SLICE_X28Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y10 PROHIBIT 0 SITEPROP SLICE_X28Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y10 RPM_X 81 SITEPROP SLICE_X28Y10 RPM_Y 20 SITEPROP SLICE_X28Y10 SITE_PIPS SITEPROP SLICE_X28Y10 SITE_TYPE SLICEL SITEPROP SLICE_X28Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y11 CLASS site SITEPROP SLICE_X28Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y11 IS_BONDED 0 SITEPROP SLICE_X28Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y11 IS_PAD 0 SITEPROP SLICE_X28Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y11 IS_RESERVED 0 SITEPROP SLICE_X28Y11 IS_TEST 0 SITEPROP SLICE_X28Y11 IS_USED 0 SITEPROP SLICE_X28Y11 MANUAL_ROUTING SITEPROP SLICE_X28Y11 NAME SLICE_X28Y11 SITEPROP SLICE_X28Y11 NUM_ARCS 138 SITEPROP SLICE_X28Y11 NUM_BELS 32 SITEPROP SLICE_X28Y11 NUM_INPUTS 32 SITEPROP SLICE_X28Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y11 NUM_PINS 45 SITEPROP SLICE_X28Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y11 PROHIBIT 0 SITEPROP SLICE_X28Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y11 RPM_X 81 SITEPROP SLICE_X28Y11 RPM_Y 22 SITEPROP SLICE_X28Y11 SITE_PIPS SITEPROP SLICE_X28Y11 SITE_TYPE SLICEL SITEPROP SLICE_X28Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y12 CLASS site SITEPROP SLICE_X28Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y12 IS_BONDED 0 SITEPROP SLICE_X28Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y12 IS_PAD 0 SITEPROP SLICE_X28Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y12 IS_RESERVED 0 SITEPROP SLICE_X28Y12 IS_TEST 0 SITEPROP SLICE_X28Y12 IS_USED 0 SITEPROP SLICE_X28Y12 MANUAL_ROUTING SITEPROP SLICE_X28Y12 NAME SLICE_X28Y12 SITEPROP SLICE_X28Y12 NUM_ARCS 138 SITEPROP SLICE_X28Y12 NUM_BELS 32 SITEPROP SLICE_X28Y12 NUM_INPUTS 32 SITEPROP SLICE_X28Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y12 NUM_PINS 45 SITEPROP SLICE_X28Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y12 PROHIBIT 0 SITEPROP SLICE_X28Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y12 RPM_X 81 SITEPROP SLICE_X28Y12 RPM_Y 24 SITEPROP SLICE_X28Y12 SITE_PIPS SITEPROP SLICE_X28Y12 SITE_TYPE SLICEL SITEPROP SLICE_X28Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y13 CLASS site SITEPROP SLICE_X28Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y13 IS_BONDED 0 SITEPROP SLICE_X28Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y13 IS_PAD 0 SITEPROP SLICE_X28Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y13 IS_RESERVED 0 SITEPROP SLICE_X28Y13 IS_TEST 0 SITEPROP SLICE_X28Y13 IS_USED 0 SITEPROP SLICE_X28Y13 MANUAL_ROUTING SITEPROP SLICE_X28Y13 NAME SLICE_X28Y13 SITEPROP SLICE_X28Y13 NUM_ARCS 138 SITEPROP SLICE_X28Y13 NUM_BELS 32 SITEPROP SLICE_X28Y13 NUM_INPUTS 32 SITEPROP SLICE_X28Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y13 NUM_PINS 45 SITEPROP SLICE_X28Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y13 PROHIBIT 0 SITEPROP SLICE_X28Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y13 RPM_X 81 SITEPROP SLICE_X28Y13 RPM_Y 26 SITEPROP SLICE_X28Y13 SITE_PIPS SITEPROP SLICE_X28Y13 SITE_TYPE SLICEL SITEPROP SLICE_X28Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y14 CLASS site SITEPROP SLICE_X28Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y14 IS_BONDED 0 SITEPROP SLICE_X28Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y14 IS_PAD 0 SITEPROP SLICE_X28Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y14 IS_RESERVED 0 SITEPROP SLICE_X28Y14 IS_TEST 0 SITEPROP SLICE_X28Y14 IS_USED 0 SITEPROP SLICE_X28Y14 MANUAL_ROUTING SITEPROP SLICE_X28Y14 NAME SLICE_X28Y14 SITEPROP SLICE_X28Y14 NUM_ARCS 138 SITEPROP SLICE_X28Y14 NUM_BELS 32 SITEPROP SLICE_X28Y14 NUM_INPUTS 32 SITEPROP SLICE_X28Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y14 NUM_PINS 45 SITEPROP SLICE_X28Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y14 PROHIBIT 0 SITEPROP SLICE_X28Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y14 RPM_X 81 SITEPROP SLICE_X28Y14 RPM_Y 28 SITEPROP SLICE_X28Y14 SITE_PIPS SITEPROP SLICE_X28Y14 SITE_TYPE SLICEL SITEPROP SLICE_X28Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y15 CLASS site SITEPROP SLICE_X28Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y15 IS_BONDED 0 SITEPROP SLICE_X28Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y15 IS_PAD 0 SITEPROP SLICE_X28Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y15 IS_RESERVED 0 SITEPROP SLICE_X28Y15 IS_TEST 0 SITEPROP SLICE_X28Y15 IS_USED 0 SITEPROP SLICE_X28Y15 MANUAL_ROUTING SITEPROP SLICE_X28Y15 NAME SLICE_X28Y15 SITEPROP SLICE_X28Y15 NUM_ARCS 138 SITEPROP SLICE_X28Y15 NUM_BELS 32 SITEPROP SLICE_X28Y15 NUM_INPUTS 32 SITEPROP SLICE_X28Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y15 NUM_PINS 45 SITEPROP SLICE_X28Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y15 PROHIBIT 0 SITEPROP SLICE_X28Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y15 RPM_X 81 SITEPROP SLICE_X28Y15 RPM_Y 30 SITEPROP SLICE_X28Y15 SITE_PIPS SITEPROP SLICE_X28Y15 SITE_TYPE SLICEL SITEPROP SLICE_X28Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y16 CLASS site SITEPROP SLICE_X28Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y16 IS_BONDED 0 SITEPROP SLICE_X28Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y16 IS_PAD 0 SITEPROP SLICE_X28Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y16 IS_RESERVED 0 SITEPROP SLICE_X28Y16 IS_TEST 0 SITEPROP SLICE_X28Y16 IS_USED 0 SITEPROP SLICE_X28Y16 MANUAL_ROUTING SITEPROP SLICE_X28Y16 NAME SLICE_X28Y16 SITEPROP SLICE_X28Y16 NUM_ARCS 138 SITEPROP SLICE_X28Y16 NUM_BELS 32 SITEPROP SLICE_X28Y16 NUM_INPUTS 32 SITEPROP SLICE_X28Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y16 NUM_PINS 45 SITEPROP SLICE_X28Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y16 PROHIBIT 0 SITEPROP SLICE_X28Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y16 RPM_X 81 SITEPROP SLICE_X28Y16 RPM_Y 32 SITEPROP SLICE_X28Y16 SITE_PIPS SITEPROP SLICE_X28Y16 SITE_TYPE SLICEL SITEPROP SLICE_X28Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y17 CLASS site SITEPROP SLICE_X28Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y17 IS_BONDED 0 SITEPROP SLICE_X28Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y17 IS_PAD 0 SITEPROP SLICE_X28Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y17 IS_RESERVED 0 SITEPROP SLICE_X28Y17 IS_TEST 0 SITEPROP SLICE_X28Y17 IS_USED 0 SITEPROP SLICE_X28Y17 MANUAL_ROUTING SITEPROP SLICE_X28Y17 NAME SLICE_X28Y17 SITEPROP SLICE_X28Y17 NUM_ARCS 138 SITEPROP SLICE_X28Y17 NUM_BELS 32 SITEPROP SLICE_X28Y17 NUM_INPUTS 32 SITEPROP SLICE_X28Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y17 NUM_PINS 45 SITEPROP SLICE_X28Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y17 PROHIBIT 0 SITEPROP SLICE_X28Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y17 RPM_X 81 SITEPROP SLICE_X28Y17 RPM_Y 34 SITEPROP SLICE_X28Y17 SITE_PIPS SITEPROP SLICE_X28Y17 SITE_TYPE SLICEL SITEPROP SLICE_X28Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y18 CLASS site SITEPROP SLICE_X28Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y18 IS_BONDED 0 SITEPROP SLICE_X28Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y18 IS_PAD 0 SITEPROP SLICE_X28Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y18 IS_RESERVED 0 SITEPROP SLICE_X28Y18 IS_TEST 0 SITEPROP SLICE_X28Y18 IS_USED 0 SITEPROP SLICE_X28Y18 MANUAL_ROUTING SITEPROP SLICE_X28Y18 NAME SLICE_X28Y18 SITEPROP SLICE_X28Y18 NUM_ARCS 138 SITEPROP SLICE_X28Y18 NUM_BELS 32 SITEPROP SLICE_X28Y18 NUM_INPUTS 32 SITEPROP SLICE_X28Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y18 NUM_PINS 45 SITEPROP SLICE_X28Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y18 PROHIBIT 0 SITEPROP SLICE_X28Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y18 RPM_X 81 SITEPROP SLICE_X28Y18 RPM_Y 36 SITEPROP SLICE_X28Y18 SITE_PIPS SITEPROP SLICE_X28Y18 SITE_TYPE SLICEL SITEPROP SLICE_X28Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y19 CLASS site SITEPROP SLICE_X28Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y19 IS_BONDED 0 SITEPROP SLICE_X28Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y19 IS_PAD 0 SITEPROP SLICE_X28Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y19 IS_RESERVED 0 SITEPROP SLICE_X28Y19 IS_TEST 0 SITEPROP SLICE_X28Y19 IS_USED 0 SITEPROP SLICE_X28Y19 MANUAL_ROUTING SITEPROP SLICE_X28Y19 NAME SLICE_X28Y19 SITEPROP SLICE_X28Y19 NUM_ARCS 138 SITEPROP SLICE_X28Y19 NUM_BELS 32 SITEPROP SLICE_X28Y19 NUM_INPUTS 32 SITEPROP SLICE_X28Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y19 NUM_PINS 45 SITEPROP SLICE_X28Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y19 PROHIBIT 0 SITEPROP SLICE_X28Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y19 RPM_X 81 SITEPROP SLICE_X28Y19 RPM_Y 38 SITEPROP SLICE_X28Y19 SITE_PIPS SITEPROP SLICE_X28Y19 SITE_TYPE SLICEL SITEPROP SLICE_X28Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y20 CLASS site SITEPROP SLICE_X28Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y20 IS_BONDED 0 SITEPROP SLICE_X28Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y20 IS_PAD 0 SITEPROP SLICE_X28Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y20 IS_RESERVED 0 SITEPROP SLICE_X28Y20 IS_TEST 0 SITEPROP SLICE_X28Y20 IS_USED 0 SITEPROP SLICE_X28Y20 MANUAL_ROUTING SITEPROP SLICE_X28Y20 NAME SLICE_X28Y20 SITEPROP SLICE_X28Y20 NUM_ARCS 138 SITEPROP SLICE_X28Y20 NUM_BELS 32 SITEPROP SLICE_X28Y20 NUM_INPUTS 32 SITEPROP SLICE_X28Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y20 NUM_PINS 45 SITEPROP SLICE_X28Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y20 PROHIBIT 0 SITEPROP SLICE_X28Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y20 RPM_X 81 SITEPROP SLICE_X28Y20 RPM_Y 40 SITEPROP SLICE_X28Y20 SITE_PIPS SITEPROP SLICE_X28Y20 SITE_TYPE SLICEL SITEPROP SLICE_X28Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y21 CLASS site SITEPROP SLICE_X28Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y21 IS_BONDED 0 SITEPROP SLICE_X28Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y21 IS_PAD 0 SITEPROP SLICE_X28Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y21 IS_RESERVED 0 SITEPROP SLICE_X28Y21 IS_TEST 0 SITEPROP SLICE_X28Y21 IS_USED 0 SITEPROP SLICE_X28Y21 MANUAL_ROUTING SITEPROP SLICE_X28Y21 NAME SLICE_X28Y21 SITEPROP SLICE_X28Y21 NUM_ARCS 138 SITEPROP SLICE_X28Y21 NUM_BELS 32 SITEPROP SLICE_X28Y21 NUM_INPUTS 32 SITEPROP SLICE_X28Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y21 NUM_PINS 45 SITEPROP SLICE_X28Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y21 PROHIBIT 0 SITEPROP SLICE_X28Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y21 RPM_X 81 SITEPROP SLICE_X28Y21 RPM_Y 42 SITEPROP SLICE_X28Y21 SITE_PIPS SITEPROP SLICE_X28Y21 SITE_TYPE SLICEL SITEPROP SLICE_X28Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y22 CLASS site SITEPROP SLICE_X28Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y22 IS_BONDED 0 SITEPROP SLICE_X28Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y22 IS_PAD 0 SITEPROP SLICE_X28Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y22 IS_RESERVED 0 SITEPROP SLICE_X28Y22 IS_TEST 0 SITEPROP SLICE_X28Y22 IS_USED 0 SITEPROP SLICE_X28Y22 MANUAL_ROUTING SITEPROP SLICE_X28Y22 NAME SLICE_X28Y22 SITEPROP SLICE_X28Y22 NUM_ARCS 138 SITEPROP SLICE_X28Y22 NUM_BELS 32 SITEPROP SLICE_X28Y22 NUM_INPUTS 32 SITEPROP SLICE_X28Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y22 NUM_PINS 45 SITEPROP SLICE_X28Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y22 PROHIBIT 0 SITEPROP SLICE_X28Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y22 RPM_X 81 SITEPROP SLICE_X28Y22 RPM_Y 44 SITEPROP SLICE_X28Y22 SITE_PIPS SITEPROP SLICE_X28Y22 SITE_TYPE SLICEL SITEPROP SLICE_X28Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y23 CLASS site SITEPROP SLICE_X28Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y23 IS_BONDED 0 SITEPROP SLICE_X28Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y23 IS_PAD 0 SITEPROP SLICE_X28Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y23 IS_RESERVED 0 SITEPROP SLICE_X28Y23 IS_TEST 0 SITEPROP SLICE_X28Y23 IS_USED 0 SITEPROP SLICE_X28Y23 MANUAL_ROUTING SITEPROP SLICE_X28Y23 NAME SLICE_X28Y23 SITEPROP SLICE_X28Y23 NUM_ARCS 138 SITEPROP SLICE_X28Y23 NUM_BELS 32 SITEPROP SLICE_X28Y23 NUM_INPUTS 32 SITEPROP SLICE_X28Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y23 NUM_PINS 45 SITEPROP SLICE_X28Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y23 PROHIBIT 0 SITEPROP SLICE_X28Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y23 RPM_X 81 SITEPROP SLICE_X28Y23 RPM_Y 46 SITEPROP SLICE_X28Y23 SITE_PIPS SITEPROP SLICE_X28Y23 SITE_TYPE SLICEL SITEPROP SLICE_X28Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y24 CLASS site SITEPROP SLICE_X28Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y24 IS_BONDED 0 SITEPROP SLICE_X28Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y24 IS_PAD 0 SITEPROP SLICE_X28Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y24 IS_RESERVED 0 SITEPROP SLICE_X28Y24 IS_TEST 0 SITEPROP SLICE_X28Y24 IS_USED 0 SITEPROP SLICE_X28Y24 MANUAL_ROUTING SITEPROP SLICE_X28Y24 NAME SLICE_X28Y24 SITEPROP SLICE_X28Y24 NUM_ARCS 138 SITEPROP SLICE_X28Y24 NUM_BELS 32 SITEPROP SLICE_X28Y24 NUM_INPUTS 32 SITEPROP SLICE_X28Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y24 NUM_PINS 45 SITEPROP SLICE_X28Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y24 PROHIBIT 0 SITEPROP SLICE_X28Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y24 RPM_X 81 SITEPROP SLICE_X28Y24 RPM_Y 48 SITEPROP SLICE_X28Y24 SITE_PIPS SITEPROP SLICE_X28Y24 SITE_TYPE SLICEL SITEPROP SLICE_X28Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y25 CLASS site SITEPROP SLICE_X28Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y25 IS_BONDED 0 SITEPROP SLICE_X28Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y25 IS_PAD 0 SITEPROP SLICE_X28Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y25 IS_RESERVED 0 SITEPROP SLICE_X28Y25 IS_TEST 0 SITEPROP SLICE_X28Y25 IS_USED 0 SITEPROP SLICE_X28Y25 MANUAL_ROUTING SITEPROP SLICE_X28Y25 NAME SLICE_X28Y25 SITEPROP SLICE_X28Y25 NUM_ARCS 138 SITEPROP SLICE_X28Y25 NUM_BELS 32 SITEPROP SLICE_X28Y25 NUM_INPUTS 32 SITEPROP SLICE_X28Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y25 NUM_PINS 45 SITEPROP SLICE_X28Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y25 PROHIBIT 0 SITEPROP SLICE_X28Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y25 RPM_X 81 SITEPROP SLICE_X28Y25 RPM_Y 50 SITEPROP SLICE_X28Y25 SITE_PIPS SITEPROP SLICE_X28Y25 SITE_TYPE SLICEL SITEPROP SLICE_X28Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y26 CLASS site SITEPROP SLICE_X28Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y26 IS_BONDED 0 SITEPROP SLICE_X28Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y26 IS_PAD 0 SITEPROP SLICE_X28Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y26 IS_RESERVED 0 SITEPROP SLICE_X28Y26 IS_TEST 0 SITEPROP SLICE_X28Y26 IS_USED 0 SITEPROP SLICE_X28Y26 MANUAL_ROUTING SITEPROP SLICE_X28Y26 NAME SLICE_X28Y26 SITEPROP SLICE_X28Y26 NUM_ARCS 138 SITEPROP SLICE_X28Y26 NUM_BELS 32 SITEPROP SLICE_X28Y26 NUM_INPUTS 32 SITEPROP SLICE_X28Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y26 NUM_PINS 45 SITEPROP SLICE_X28Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y26 PROHIBIT 0 SITEPROP SLICE_X28Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y26 RPM_X 81 SITEPROP SLICE_X28Y26 RPM_Y 52 SITEPROP SLICE_X28Y26 SITE_PIPS SITEPROP SLICE_X28Y26 SITE_TYPE SLICEL SITEPROP SLICE_X28Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y27 CLASS site SITEPROP SLICE_X28Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y27 IS_BONDED 0 SITEPROP SLICE_X28Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y27 IS_PAD 0 SITEPROP SLICE_X28Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y27 IS_RESERVED 0 SITEPROP SLICE_X28Y27 IS_TEST 0 SITEPROP SLICE_X28Y27 IS_USED 0 SITEPROP SLICE_X28Y27 MANUAL_ROUTING SITEPROP SLICE_X28Y27 NAME SLICE_X28Y27 SITEPROP SLICE_X28Y27 NUM_ARCS 138 SITEPROP SLICE_X28Y27 NUM_BELS 32 SITEPROP SLICE_X28Y27 NUM_INPUTS 32 SITEPROP SLICE_X28Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y27 NUM_PINS 45 SITEPROP SLICE_X28Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y27 PROHIBIT 0 SITEPROP SLICE_X28Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y27 RPM_X 81 SITEPROP SLICE_X28Y27 RPM_Y 54 SITEPROP SLICE_X28Y27 SITE_PIPS SITEPROP SLICE_X28Y27 SITE_TYPE SLICEL SITEPROP SLICE_X28Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y28 CLASS site SITEPROP SLICE_X28Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y28 IS_BONDED 0 SITEPROP SLICE_X28Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y28 IS_PAD 0 SITEPROP SLICE_X28Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y28 IS_RESERVED 0 SITEPROP SLICE_X28Y28 IS_TEST 0 SITEPROP SLICE_X28Y28 IS_USED 0 SITEPROP SLICE_X28Y28 MANUAL_ROUTING SITEPROP SLICE_X28Y28 NAME SLICE_X28Y28 SITEPROP SLICE_X28Y28 NUM_ARCS 138 SITEPROP SLICE_X28Y28 NUM_BELS 32 SITEPROP SLICE_X28Y28 NUM_INPUTS 32 SITEPROP SLICE_X28Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y28 NUM_PINS 45 SITEPROP SLICE_X28Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y28 PROHIBIT 0 SITEPROP SLICE_X28Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y28 RPM_X 81 SITEPROP SLICE_X28Y28 RPM_Y 56 SITEPROP SLICE_X28Y28 SITE_PIPS SITEPROP SLICE_X28Y28 SITE_TYPE SLICEL SITEPROP SLICE_X28Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y29 CLASS site SITEPROP SLICE_X28Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y29 IS_BONDED 0 SITEPROP SLICE_X28Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y29 IS_PAD 0 SITEPROP SLICE_X28Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y29 IS_RESERVED 0 SITEPROP SLICE_X28Y29 IS_TEST 0 SITEPROP SLICE_X28Y29 IS_USED 0 SITEPROP SLICE_X28Y29 MANUAL_ROUTING SITEPROP SLICE_X28Y29 NAME SLICE_X28Y29 SITEPROP SLICE_X28Y29 NUM_ARCS 138 SITEPROP SLICE_X28Y29 NUM_BELS 32 SITEPROP SLICE_X28Y29 NUM_INPUTS 32 SITEPROP SLICE_X28Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y29 NUM_PINS 45 SITEPROP SLICE_X28Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y29 PROHIBIT 0 SITEPROP SLICE_X28Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y29 RPM_X 81 SITEPROP SLICE_X28Y29 RPM_Y 58 SITEPROP SLICE_X28Y29 SITE_PIPS SITEPROP SLICE_X28Y29 SITE_TYPE SLICEL SITEPROP SLICE_X28Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y30 CLASS site SITEPROP SLICE_X28Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y30 IS_BONDED 0 SITEPROP SLICE_X28Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y30 IS_PAD 0 SITEPROP SLICE_X28Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y30 IS_RESERVED 0 SITEPROP SLICE_X28Y30 IS_TEST 0 SITEPROP SLICE_X28Y30 IS_USED 0 SITEPROP SLICE_X28Y30 MANUAL_ROUTING SITEPROP SLICE_X28Y30 NAME SLICE_X28Y30 SITEPROP SLICE_X28Y30 NUM_ARCS 138 SITEPROP SLICE_X28Y30 NUM_BELS 32 SITEPROP SLICE_X28Y30 NUM_INPUTS 32 SITEPROP SLICE_X28Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y30 NUM_PINS 45 SITEPROP SLICE_X28Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y30 PROHIBIT 0 SITEPROP SLICE_X28Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y30 RPM_X 81 SITEPROP SLICE_X28Y30 RPM_Y 60 SITEPROP SLICE_X28Y30 SITE_PIPS SITEPROP SLICE_X28Y30 SITE_TYPE SLICEL SITEPROP SLICE_X28Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y31 CLASS site SITEPROP SLICE_X28Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y31 IS_BONDED 0 SITEPROP SLICE_X28Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y31 IS_PAD 0 SITEPROP SLICE_X28Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y31 IS_RESERVED 0 SITEPROP SLICE_X28Y31 IS_TEST 0 SITEPROP SLICE_X28Y31 IS_USED 0 SITEPROP SLICE_X28Y31 MANUAL_ROUTING SITEPROP SLICE_X28Y31 NAME SLICE_X28Y31 SITEPROP SLICE_X28Y31 NUM_ARCS 138 SITEPROP SLICE_X28Y31 NUM_BELS 32 SITEPROP SLICE_X28Y31 NUM_INPUTS 32 SITEPROP SLICE_X28Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y31 NUM_PINS 45 SITEPROP SLICE_X28Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y31 PROHIBIT 0 SITEPROP SLICE_X28Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y31 RPM_X 81 SITEPROP SLICE_X28Y31 RPM_Y 62 SITEPROP SLICE_X28Y31 SITE_PIPS SITEPROP SLICE_X28Y31 SITE_TYPE SLICEL SITEPROP SLICE_X28Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y32 CLASS site SITEPROP SLICE_X28Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y32 IS_BONDED 0 SITEPROP SLICE_X28Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y32 IS_PAD 0 SITEPROP SLICE_X28Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y32 IS_RESERVED 0 SITEPROP SLICE_X28Y32 IS_TEST 0 SITEPROP SLICE_X28Y32 IS_USED 0 SITEPROP SLICE_X28Y32 MANUAL_ROUTING SITEPROP SLICE_X28Y32 NAME SLICE_X28Y32 SITEPROP SLICE_X28Y32 NUM_ARCS 138 SITEPROP SLICE_X28Y32 NUM_BELS 32 SITEPROP SLICE_X28Y32 NUM_INPUTS 32 SITEPROP SLICE_X28Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y32 NUM_PINS 45 SITEPROP SLICE_X28Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y32 PROHIBIT 0 SITEPROP SLICE_X28Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y32 RPM_X 81 SITEPROP SLICE_X28Y32 RPM_Y 64 SITEPROP SLICE_X28Y32 SITE_PIPS SITEPROP SLICE_X28Y32 SITE_TYPE SLICEL SITEPROP SLICE_X28Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y33 CLASS site SITEPROP SLICE_X28Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y33 IS_BONDED 0 SITEPROP SLICE_X28Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y33 IS_PAD 0 SITEPROP SLICE_X28Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y33 IS_RESERVED 0 SITEPROP SLICE_X28Y33 IS_TEST 0 SITEPROP SLICE_X28Y33 IS_USED 0 SITEPROP SLICE_X28Y33 MANUAL_ROUTING SITEPROP SLICE_X28Y33 NAME SLICE_X28Y33 SITEPROP SLICE_X28Y33 NUM_ARCS 138 SITEPROP SLICE_X28Y33 NUM_BELS 32 SITEPROP SLICE_X28Y33 NUM_INPUTS 32 SITEPROP SLICE_X28Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y33 NUM_PINS 45 SITEPROP SLICE_X28Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y33 PROHIBIT 0 SITEPROP SLICE_X28Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y33 RPM_X 81 SITEPROP SLICE_X28Y33 RPM_Y 66 SITEPROP SLICE_X28Y33 SITE_PIPS SITEPROP SLICE_X28Y33 SITE_TYPE SLICEL SITEPROP SLICE_X28Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y34 CLASS site SITEPROP SLICE_X28Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y34 IS_BONDED 0 SITEPROP SLICE_X28Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y34 IS_PAD 0 SITEPROP SLICE_X28Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y34 IS_RESERVED 0 SITEPROP SLICE_X28Y34 IS_TEST 0 SITEPROP SLICE_X28Y34 IS_USED 0 SITEPROP SLICE_X28Y34 MANUAL_ROUTING SITEPROP SLICE_X28Y34 NAME SLICE_X28Y34 SITEPROP SLICE_X28Y34 NUM_ARCS 138 SITEPROP SLICE_X28Y34 NUM_BELS 32 SITEPROP SLICE_X28Y34 NUM_INPUTS 32 SITEPROP SLICE_X28Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y34 NUM_PINS 45 SITEPROP SLICE_X28Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y34 PROHIBIT 0 SITEPROP SLICE_X28Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y34 RPM_X 81 SITEPROP SLICE_X28Y34 RPM_Y 68 SITEPROP SLICE_X28Y34 SITE_PIPS SITEPROP SLICE_X28Y34 SITE_TYPE SLICEL SITEPROP SLICE_X28Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y35 CLASS site SITEPROP SLICE_X28Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y35 IS_BONDED 0 SITEPROP SLICE_X28Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y35 IS_PAD 0 SITEPROP SLICE_X28Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y35 IS_RESERVED 0 SITEPROP SLICE_X28Y35 IS_TEST 0 SITEPROP SLICE_X28Y35 IS_USED 0 SITEPROP SLICE_X28Y35 MANUAL_ROUTING SITEPROP SLICE_X28Y35 NAME SLICE_X28Y35 SITEPROP SLICE_X28Y35 NUM_ARCS 138 SITEPROP SLICE_X28Y35 NUM_BELS 32 SITEPROP SLICE_X28Y35 NUM_INPUTS 32 SITEPROP SLICE_X28Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y35 NUM_PINS 45 SITEPROP SLICE_X28Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y35 PROHIBIT 0 SITEPROP SLICE_X28Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y35 RPM_X 81 SITEPROP SLICE_X28Y35 RPM_Y 70 SITEPROP SLICE_X28Y35 SITE_PIPS SITEPROP SLICE_X28Y35 SITE_TYPE SLICEL SITEPROP SLICE_X28Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y36 CLASS site SITEPROP SLICE_X28Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y36 IS_BONDED 0 SITEPROP SLICE_X28Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y36 IS_PAD 0 SITEPROP SLICE_X28Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y36 IS_RESERVED 0 SITEPROP SLICE_X28Y36 IS_TEST 0 SITEPROP SLICE_X28Y36 IS_USED 0 SITEPROP SLICE_X28Y36 MANUAL_ROUTING SITEPROP SLICE_X28Y36 NAME SLICE_X28Y36 SITEPROP SLICE_X28Y36 NUM_ARCS 138 SITEPROP SLICE_X28Y36 NUM_BELS 32 SITEPROP SLICE_X28Y36 NUM_INPUTS 32 SITEPROP SLICE_X28Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y36 NUM_PINS 45 SITEPROP SLICE_X28Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y36 PROHIBIT 0 SITEPROP SLICE_X28Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y36 RPM_X 81 SITEPROP SLICE_X28Y36 RPM_Y 72 SITEPROP SLICE_X28Y36 SITE_PIPS SITEPROP SLICE_X28Y36 SITE_TYPE SLICEL SITEPROP SLICE_X28Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y37 CLASS site SITEPROP SLICE_X28Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y37 IS_BONDED 0 SITEPROP SLICE_X28Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y37 IS_PAD 0 SITEPROP SLICE_X28Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y37 IS_RESERVED 0 SITEPROP SLICE_X28Y37 IS_TEST 0 SITEPROP SLICE_X28Y37 IS_USED 0 SITEPROP SLICE_X28Y37 MANUAL_ROUTING SITEPROP SLICE_X28Y37 NAME SLICE_X28Y37 SITEPROP SLICE_X28Y37 NUM_ARCS 138 SITEPROP SLICE_X28Y37 NUM_BELS 32 SITEPROP SLICE_X28Y37 NUM_INPUTS 32 SITEPROP SLICE_X28Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y37 NUM_PINS 45 SITEPROP SLICE_X28Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y37 PROHIBIT 0 SITEPROP SLICE_X28Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y37 RPM_X 81 SITEPROP SLICE_X28Y37 RPM_Y 74 SITEPROP SLICE_X28Y37 SITE_PIPS SITEPROP SLICE_X28Y37 SITE_TYPE SLICEL SITEPROP SLICE_X28Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y38 CLASS site SITEPROP SLICE_X28Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y38 IS_BONDED 0 SITEPROP SLICE_X28Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y38 IS_PAD 0 SITEPROP SLICE_X28Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y38 IS_RESERVED 0 SITEPROP SLICE_X28Y38 IS_TEST 0 SITEPROP SLICE_X28Y38 IS_USED 0 SITEPROP SLICE_X28Y38 MANUAL_ROUTING SITEPROP SLICE_X28Y38 NAME SLICE_X28Y38 SITEPROP SLICE_X28Y38 NUM_ARCS 138 SITEPROP SLICE_X28Y38 NUM_BELS 32 SITEPROP SLICE_X28Y38 NUM_INPUTS 32 SITEPROP SLICE_X28Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y38 NUM_PINS 45 SITEPROP SLICE_X28Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y38 PROHIBIT 0 SITEPROP SLICE_X28Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y38 RPM_X 81 SITEPROP SLICE_X28Y38 RPM_Y 76 SITEPROP SLICE_X28Y38 SITE_PIPS SITEPROP SLICE_X28Y38 SITE_TYPE SLICEL SITEPROP SLICE_X28Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y39 CLASS site SITEPROP SLICE_X28Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y39 IS_BONDED 0 SITEPROP SLICE_X28Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y39 IS_PAD 0 SITEPROP SLICE_X28Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y39 IS_RESERVED 0 SITEPROP SLICE_X28Y39 IS_TEST 0 SITEPROP SLICE_X28Y39 IS_USED 0 SITEPROP SLICE_X28Y39 MANUAL_ROUTING SITEPROP SLICE_X28Y39 NAME SLICE_X28Y39 SITEPROP SLICE_X28Y39 NUM_ARCS 138 SITEPROP SLICE_X28Y39 NUM_BELS 32 SITEPROP SLICE_X28Y39 NUM_INPUTS 32 SITEPROP SLICE_X28Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y39 NUM_PINS 45 SITEPROP SLICE_X28Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y39 PROHIBIT 0 SITEPROP SLICE_X28Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y39 RPM_X 81 SITEPROP SLICE_X28Y39 RPM_Y 78 SITEPROP SLICE_X28Y39 SITE_PIPS SITEPROP SLICE_X28Y39 SITE_TYPE SLICEL SITEPROP SLICE_X28Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y40 CLASS site SITEPROP SLICE_X28Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y40 IS_BONDED 0 SITEPROP SLICE_X28Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y40 IS_PAD 0 SITEPROP SLICE_X28Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y40 IS_RESERVED 0 SITEPROP SLICE_X28Y40 IS_TEST 0 SITEPROP SLICE_X28Y40 IS_USED 0 SITEPROP SLICE_X28Y40 MANUAL_ROUTING SITEPROP SLICE_X28Y40 NAME SLICE_X28Y40 SITEPROP SLICE_X28Y40 NUM_ARCS 138 SITEPROP SLICE_X28Y40 NUM_BELS 32 SITEPROP SLICE_X28Y40 NUM_INPUTS 32 SITEPROP SLICE_X28Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y40 NUM_PINS 45 SITEPROP SLICE_X28Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y40 PROHIBIT 0 SITEPROP SLICE_X28Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y40 RPM_X 81 SITEPROP SLICE_X28Y40 RPM_Y 80 SITEPROP SLICE_X28Y40 SITE_PIPS SITEPROP SLICE_X28Y40 SITE_TYPE SLICEL SITEPROP SLICE_X28Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y41 CLASS site SITEPROP SLICE_X28Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y41 IS_BONDED 0 SITEPROP SLICE_X28Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y41 IS_PAD 0 SITEPROP SLICE_X28Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y41 IS_RESERVED 0 SITEPROP SLICE_X28Y41 IS_TEST 0 SITEPROP SLICE_X28Y41 IS_USED 0 SITEPROP SLICE_X28Y41 MANUAL_ROUTING SITEPROP SLICE_X28Y41 NAME SLICE_X28Y41 SITEPROP SLICE_X28Y41 NUM_ARCS 138 SITEPROP SLICE_X28Y41 NUM_BELS 32 SITEPROP SLICE_X28Y41 NUM_INPUTS 32 SITEPROP SLICE_X28Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y41 NUM_PINS 45 SITEPROP SLICE_X28Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y41 PROHIBIT 0 SITEPROP SLICE_X28Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y41 RPM_X 81 SITEPROP SLICE_X28Y41 RPM_Y 82 SITEPROP SLICE_X28Y41 SITE_PIPS SITEPROP SLICE_X28Y41 SITE_TYPE SLICEL SITEPROP SLICE_X28Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y42 CLASS site SITEPROP SLICE_X28Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y42 IS_BONDED 0 SITEPROP SLICE_X28Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y42 IS_PAD 0 SITEPROP SLICE_X28Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y42 IS_RESERVED 0 SITEPROP SLICE_X28Y42 IS_TEST 0 SITEPROP SLICE_X28Y42 IS_USED 0 SITEPROP SLICE_X28Y42 MANUAL_ROUTING SITEPROP SLICE_X28Y42 NAME SLICE_X28Y42 SITEPROP SLICE_X28Y42 NUM_ARCS 138 SITEPROP SLICE_X28Y42 NUM_BELS 32 SITEPROP SLICE_X28Y42 NUM_INPUTS 32 SITEPROP SLICE_X28Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y42 NUM_PINS 45 SITEPROP SLICE_X28Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y42 PROHIBIT 0 SITEPROP SLICE_X28Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y42 RPM_X 81 SITEPROP SLICE_X28Y42 RPM_Y 84 SITEPROP SLICE_X28Y42 SITE_PIPS SITEPROP SLICE_X28Y42 SITE_TYPE SLICEL SITEPROP SLICE_X28Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y43 CLASS site SITEPROP SLICE_X28Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y43 IS_BONDED 0 SITEPROP SLICE_X28Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y43 IS_PAD 0 SITEPROP SLICE_X28Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y43 IS_RESERVED 0 SITEPROP SLICE_X28Y43 IS_TEST 0 SITEPROP SLICE_X28Y43 IS_USED 0 SITEPROP SLICE_X28Y43 MANUAL_ROUTING SITEPROP SLICE_X28Y43 NAME SLICE_X28Y43 SITEPROP SLICE_X28Y43 NUM_ARCS 138 SITEPROP SLICE_X28Y43 NUM_BELS 32 SITEPROP SLICE_X28Y43 NUM_INPUTS 32 SITEPROP SLICE_X28Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y43 NUM_PINS 45 SITEPROP SLICE_X28Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y43 PROHIBIT 0 SITEPROP SLICE_X28Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y43 RPM_X 81 SITEPROP SLICE_X28Y43 RPM_Y 86 SITEPROP SLICE_X28Y43 SITE_PIPS SITEPROP SLICE_X28Y43 SITE_TYPE SLICEL SITEPROP SLICE_X28Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y44 CLASS site SITEPROP SLICE_X28Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y44 IS_BONDED 0 SITEPROP SLICE_X28Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y44 IS_PAD 0 SITEPROP SLICE_X28Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y44 IS_RESERVED 0 SITEPROP SLICE_X28Y44 IS_TEST 0 SITEPROP SLICE_X28Y44 IS_USED 0 SITEPROP SLICE_X28Y44 MANUAL_ROUTING SITEPROP SLICE_X28Y44 NAME SLICE_X28Y44 SITEPROP SLICE_X28Y44 NUM_ARCS 138 SITEPROP SLICE_X28Y44 NUM_BELS 32 SITEPROP SLICE_X28Y44 NUM_INPUTS 32 SITEPROP SLICE_X28Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y44 NUM_PINS 45 SITEPROP SLICE_X28Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y44 PROHIBIT 0 SITEPROP SLICE_X28Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y44 RPM_X 81 SITEPROP SLICE_X28Y44 RPM_Y 88 SITEPROP SLICE_X28Y44 SITE_PIPS SITEPROP SLICE_X28Y44 SITE_TYPE SLICEL SITEPROP SLICE_X28Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y45 CLASS site SITEPROP SLICE_X28Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y45 IS_BONDED 0 SITEPROP SLICE_X28Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y45 IS_PAD 0 SITEPROP SLICE_X28Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y45 IS_RESERVED 0 SITEPROP SLICE_X28Y45 IS_TEST 0 SITEPROP SLICE_X28Y45 IS_USED 0 SITEPROP SLICE_X28Y45 MANUAL_ROUTING SITEPROP SLICE_X28Y45 NAME SLICE_X28Y45 SITEPROP SLICE_X28Y45 NUM_ARCS 138 SITEPROP SLICE_X28Y45 NUM_BELS 32 SITEPROP SLICE_X28Y45 NUM_INPUTS 32 SITEPROP SLICE_X28Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y45 NUM_PINS 45 SITEPROP SLICE_X28Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y45 PROHIBIT 0 SITEPROP SLICE_X28Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y45 RPM_X 81 SITEPROP SLICE_X28Y45 RPM_Y 90 SITEPROP SLICE_X28Y45 SITE_PIPS SITEPROP SLICE_X28Y45 SITE_TYPE SLICEL SITEPROP SLICE_X28Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y46 CLASS site SITEPROP SLICE_X28Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y46 IS_BONDED 0 SITEPROP SLICE_X28Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y46 IS_PAD 0 SITEPROP SLICE_X28Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y46 IS_RESERVED 0 SITEPROP SLICE_X28Y46 IS_TEST 0 SITEPROP SLICE_X28Y46 IS_USED 0 SITEPROP SLICE_X28Y46 MANUAL_ROUTING SITEPROP SLICE_X28Y46 NAME SLICE_X28Y46 SITEPROP SLICE_X28Y46 NUM_ARCS 138 SITEPROP SLICE_X28Y46 NUM_BELS 32 SITEPROP SLICE_X28Y46 NUM_INPUTS 32 SITEPROP SLICE_X28Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y46 NUM_PINS 45 SITEPROP SLICE_X28Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y46 PROHIBIT 0 SITEPROP SLICE_X28Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y46 RPM_X 81 SITEPROP SLICE_X28Y46 RPM_Y 92 SITEPROP SLICE_X28Y46 SITE_PIPS SITEPROP SLICE_X28Y46 SITE_TYPE SLICEL SITEPROP SLICE_X28Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y47 CLASS site SITEPROP SLICE_X28Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y47 IS_BONDED 0 SITEPROP SLICE_X28Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y47 IS_PAD 0 SITEPROP SLICE_X28Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y47 IS_RESERVED 0 SITEPROP SLICE_X28Y47 IS_TEST 0 SITEPROP SLICE_X28Y47 IS_USED 0 SITEPROP SLICE_X28Y47 MANUAL_ROUTING SITEPROP SLICE_X28Y47 NAME SLICE_X28Y47 SITEPROP SLICE_X28Y47 NUM_ARCS 138 SITEPROP SLICE_X28Y47 NUM_BELS 32 SITEPROP SLICE_X28Y47 NUM_INPUTS 32 SITEPROP SLICE_X28Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y47 NUM_PINS 45 SITEPROP SLICE_X28Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y47 PROHIBIT 0 SITEPROP SLICE_X28Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y47 RPM_X 81 SITEPROP SLICE_X28Y47 RPM_Y 94 SITEPROP SLICE_X28Y47 SITE_PIPS SITEPROP SLICE_X28Y47 SITE_TYPE SLICEL SITEPROP SLICE_X28Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y48 CLASS site SITEPROP SLICE_X28Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y48 IS_BONDED 0 SITEPROP SLICE_X28Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y48 IS_PAD 0 SITEPROP SLICE_X28Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y48 IS_RESERVED 0 SITEPROP SLICE_X28Y48 IS_TEST 0 SITEPROP SLICE_X28Y48 IS_USED 0 SITEPROP SLICE_X28Y48 MANUAL_ROUTING SITEPROP SLICE_X28Y48 NAME SLICE_X28Y48 SITEPROP SLICE_X28Y48 NUM_ARCS 138 SITEPROP SLICE_X28Y48 NUM_BELS 32 SITEPROP SLICE_X28Y48 NUM_INPUTS 32 SITEPROP SLICE_X28Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y48 NUM_PINS 45 SITEPROP SLICE_X28Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y48 PROHIBIT 0 SITEPROP SLICE_X28Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y48 RPM_X 81 SITEPROP SLICE_X28Y48 RPM_Y 96 SITEPROP SLICE_X28Y48 SITE_PIPS SITEPROP SLICE_X28Y48 SITE_TYPE SLICEL SITEPROP SLICE_X28Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y49 CLASS site SITEPROP SLICE_X28Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X28Y49 IS_BONDED 0 SITEPROP SLICE_X28Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y49 IS_PAD 0 SITEPROP SLICE_X28Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y49 IS_RESERVED 0 SITEPROP SLICE_X28Y49 IS_TEST 0 SITEPROP SLICE_X28Y49 IS_USED 0 SITEPROP SLICE_X28Y49 MANUAL_ROUTING SITEPROP SLICE_X28Y49 NAME SLICE_X28Y49 SITEPROP SLICE_X28Y49 NUM_ARCS 138 SITEPROP SLICE_X28Y49 NUM_BELS 32 SITEPROP SLICE_X28Y49 NUM_INPUTS 32 SITEPROP SLICE_X28Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y49 NUM_PINS 45 SITEPROP SLICE_X28Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y49 PROHIBIT 0 SITEPROP SLICE_X28Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y49 RPM_X 81 SITEPROP SLICE_X28Y49 RPM_Y 98 SITEPROP SLICE_X28Y49 SITE_PIPS SITEPROP SLICE_X28Y49 SITE_TYPE SLICEL SITEPROP SLICE_X28Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y50 CLASS site SITEPROP SLICE_X28Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y50 IS_BONDED 0 SITEPROP SLICE_X28Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y50 IS_PAD 0 SITEPROP SLICE_X28Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y50 IS_RESERVED 0 SITEPROP SLICE_X28Y50 IS_TEST 0 SITEPROP SLICE_X28Y50 IS_USED 0 SITEPROP SLICE_X28Y50 MANUAL_ROUTING SITEPROP SLICE_X28Y50 NAME SLICE_X28Y50 SITEPROP SLICE_X28Y50 NUM_ARCS 138 SITEPROP SLICE_X28Y50 NUM_BELS 32 SITEPROP SLICE_X28Y50 NUM_INPUTS 32 SITEPROP SLICE_X28Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y50 NUM_PINS 45 SITEPROP SLICE_X28Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y50 PROHIBIT 0 SITEPROP SLICE_X28Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y50 RPM_X 81 SITEPROP SLICE_X28Y50 RPM_Y 100 SITEPROP SLICE_X28Y50 SITE_PIPS SITEPROP SLICE_X28Y50 SITE_TYPE SLICEL SITEPROP SLICE_X28Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y51 CLASS site SITEPROP SLICE_X28Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y51 IS_BONDED 0 SITEPROP SLICE_X28Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y51 IS_PAD 0 SITEPROP SLICE_X28Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y51 IS_RESERVED 0 SITEPROP SLICE_X28Y51 IS_TEST 0 SITEPROP SLICE_X28Y51 IS_USED 0 SITEPROP SLICE_X28Y51 MANUAL_ROUTING SITEPROP SLICE_X28Y51 NAME SLICE_X28Y51 SITEPROP SLICE_X28Y51 NUM_ARCS 138 SITEPROP SLICE_X28Y51 NUM_BELS 32 SITEPROP SLICE_X28Y51 NUM_INPUTS 32 SITEPROP SLICE_X28Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y51 NUM_PINS 45 SITEPROP SLICE_X28Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y51 PROHIBIT 0 SITEPROP SLICE_X28Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y51 RPM_X 81 SITEPROP SLICE_X28Y51 RPM_Y 102 SITEPROP SLICE_X28Y51 SITE_PIPS SITEPROP SLICE_X28Y51 SITE_TYPE SLICEL SITEPROP SLICE_X28Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y52 CLASS site SITEPROP SLICE_X28Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y52 IS_BONDED 0 SITEPROP SLICE_X28Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y52 IS_PAD 0 SITEPROP SLICE_X28Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y52 IS_RESERVED 0 SITEPROP SLICE_X28Y52 IS_TEST 0 SITEPROP SLICE_X28Y52 IS_USED 0 SITEPROP SLICE_X28Y52 MANUAL_ROUTING SITEPROP SLICE_X28Y52 NAME SLICE_X28Y52 SITEPROP SLICE_X28Y52 NUM_ARCS 138 SITEPROP SLICE_X28Y52 NUM_BELS 32 SITEPROP SLICE_X28Y52 NUM_INPUTS 32 SITEPROP SLICE_X28Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y52 NUM_PINS 45 SITEPROP SLICE_X28Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y52 PROHIBIT 0 SITEPROP SLICE_X28Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y52 RPM_X 81 SITEPROP SLICE_X28Y52 RPM_Y 104 SITEPROP SLICE_X28Y52 SITE_PIPS SITEPROP SLICE_X28Y52 SITE_TYPE SLICEL SITEPROP SLICE_X28Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y53 CLASS site SITEPROP SLICE_X28Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y53 IS_BONDED 0 SITEPROP SLICE_X28Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y53 IS_PAD 0 SITEPROP SLICE_X28Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y53 IS_RESERVED 0 SITEPROP SLICE_X28Y53 IS_TEST 0 SITEPROP SLICE_X28Y53 IS_USED 0 SITEPROP SLICE_X28Y53 MANUAL_ROUTING SITEPROP SLICE_X28Y53 NAME SLICE_X28Y53 SITEPROP SLICE_X28Y53 NUM_ARCS 138 SITEPROP SLICE_X28Y53 NUM_BELS 32 SITEPROP SLICE_X28Y53 NUM_INPUTS 32 SITEPROP SLICE_X28Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y53 NUM_PINS 45 SITEPROP SLICE_X28Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y53 PROHIBIT 0 SITEPROP SLICE_X28Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y53 RPM_X 81 SITEPROP SLICE_X28Y53 RPM_Y 106 SITEPROP SLICE_X28Y53 SITE_PIPS SITEPROP SLICE_X28Y53 SITE_TYPE SLICEL SITEPROP SLICE_X28Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y54 CLASS site SITEPROP SLICE_X28Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y54 IS_BONDED 0 SITEPROP SLICE_X28Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y54 IS_PAD 0 SITEPROP SLICE_X28Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y54 IS_RESERVED 0 SITEPROP SLICE_X28Y54 IS_TEST 0 SITEPROP SLICE_X28Y54 IS_USED 0 SITEPROP SLICE_X28Y54 MANUAL_ROUTING SITEPROP SLICE_X28Y54 NAME SLICE_X28Y54 SITEPROP SLICE_X28Y54 NUM_ARCS 138 SITEPROP SLICE_X28Y54 NUM_BELS 32 SITEPROP SLICE_X28Y54 NUM_INPUTS 32 SITEPROP SLICE_X28Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y54 NUM_PINS 45 SITEPROP SLICE_X28Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y54 PROHIBIT 0 SITEPROP SLICE_X28Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y54 RPM_X 81 SITEPROP SLICE_X28Y54 RPM_Y 108 SITEPROP SLICE_X28Y54 SITE_PIPS SITEPROP SLICE_X28Y54 SITE_TYPE SLICEL SITEPROP SLICE_X28Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y55 CLASS site SITEPROP SLICE_X28Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y55 IS_BONDED 0 SITEPROP SLICE_X28Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y55 IS_PAD 0 SITEPROP SLICE_X28Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y55 IS_RESERVED 0 SITEPROP SLICE_X28Y55 IS_TEST 0 SITEPROP SLICE_X28Y55 IS_USED 0 SITEPROP SLICE_X28Y55 MANUAL_ROUTING SITEPROP SLICE_X28Y55 NAME SLICE_X28Y55 SITEPROP SLICE_X28Y55 NUM_ARCS 138 SITEPROP SLICE_X28Y55 NUM_BELS 32 SITEPROP SLICE_X28Y55 NUM_INPUTS 32 SITEPROP SLICE_X28Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y55 NUM_PINS 45 SITEPROP SLICE_X28Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y55 PROHIBIT 0 SITEPROP SLICE_X28Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y55 RPM_X 81 SITEPROP SLICE_X28Y55 RPM_Y 110 SITEPROP SLICE_X28Y55 SITE_PIPS SITEPROP SLICE_X28Y55 SITE_TYPE SLICEL SITEPROP SLICE_X28Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y56 CLASS site SITEPROP SLICE_X28Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y56 IS_BONDED 0 SITEPROP SLICE_X28Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y56 IS_PAD 0 SITEPROP SLICE_X28Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y56 IS_RESERVED 0 SITEPROP SLICE_X28Y56 IS_TEST 0 SITEPROP SLICE_X28Y56 IS_USED 0 SITEPROP SLICE_X28Y56 MANUAL_ROUTING SITEPROP SLICE_X28Y56 NAME SLICE_X28Y56 SITEPROP SLICE_X28Y56 NUM_ARCS 138 SITEPROP SLICE_X28Y56 NUM_BELS 32 SITEPROP SLICE_X28Y56 NUM_INPUTS 32 SITEPROP SLICE_X28Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y56 NUM_PINS 45 SITEPROP SLICE_X28Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y56 PROHIBIT 0 SITEPROP SLICE_X28Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y56 RPM_X 81 SITEPROP SLICE_X28Y56 RPM_Y 112 SITEPROP SLICE_X28Y56 SITE_PIPS SITEPROP SLICE_X28Y56 SITE_TYPE SLICEL SITEPROP SLICE_X28Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y57 CLASS site SITEPROP SLICE_X28Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y57 IS_BONDED 0 SITEPROP SLICE_X28Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y57 IS_PAD 0 SITEPROP SLICE_X28Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y57 IS_RESERVED 0 SITEPROP SLICE_X28Y57 IS_TEST 0 SITEPROP SLICE_X28Y57 IS_USED 0 SITEPROP SLICE_X28Y57 MANUAL_ROUTING SITEPROP SLICE_X28Y57 NAME SLICE_X28Y57 SITEPROP SLICE_X28Y57 NUM_ARCS 138 SITEPROP SLICE_X28Y57 NUM_BELS 32 SITEPROP SLICE_X28Y57 NUM_INPUTS 32 SITEPROP SLICE_X28Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y57 NUM_PINS 45 SITEPROP SLICE_X28Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y57 PROHIBIT 0 SITEPROP SLICE_X28Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y57 RPM_X 81 SITEPROP SLICE_X28Y57 RPM_Y 114 SITEPROP SLICE_X28Y57 SITE_PIPS SITEPROP SLICE_X28Y57 SITE_TYPE SLICEL SITEPROP SLICE_X28Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y58 CLASS site SITEPROP SLICE_X28Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y58 IS_BONDED 0 SITEPROP SLICE_X28Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y58 IS_PAD 0 SITEPROP SLICE_X28Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y58 IS_RESERVED 0 SITEPROP SLICE_X28Y58 IS_TEST 0 SITEPROP SLICE_X28Y58 IS_USED 0 SITEPROP SLICE_X28Y58 MANUAL_ROUTING SITEPROP SLICE_X28Y58 NAME SLICE_X28Y58 SITEPROP SLICE_X28Y58 NUM_ARCS 138 SITEPROP SLICE_X28Y58 NUM_BELS 32 SITEPROP SLICE_X28Y58 NUM_INPUTS 32 SITEPROP SLICE_X28Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y58 NUM_PINS 45 SITEPROP SLICE_X28Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y58 PROHIBIT 0 SITEPROP SLICE_X28Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y58 RPM_X 81 SITEPROP SLICE_X28Y58 RPM_Y 116 SITEPROP SLICE_X28Y58 SITE_PIPS SITEPROP SLICE_X28Y58 SITE_TYPE SLICEL SITEPROP SLICE_X28Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y59 CLASS site SITEPROP SLICE_X28Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y59 IS_BONDED 0 SITEPROP SLICE_X28Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y59 IS_PAD 0 SITEPROP SLICE_X28Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y59 IS_RESERVED 0 SITEPROP SLICE_X28Y59 IS_TEST 0 SITEPROP SLICE_X28Y59 IS_USED 0 SITEPROP SLICE_X28Y59 MANUAL_ROUTING SITEPROP SLICE_X28Y59 NAME SLICE_X28Y59 SITEPROP SLICE_X28Y59 NUM_ARCS 138 SITEPROP SLICE_X28Y59 NUM_BELS 32 SITEPROP SLICE_X28Y59 NUM_INPUTS 32 SITEPROP SLICE_X28Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y59 NUM_PINS 45 SITEPROP SLICE_X28Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y59 PROHIBIT 0 SITEPROP SLICE_X28Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y59 RPM_X 81 SITEPROP SLICE_X28Y59 RPM_Y 118 SITEPROP SLICE_X28Y59 SITE_PIPS SITEPROP SLICE_X28Y59 SITE_TYPE SLICEL SITEPROP SLICE_X28Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y60 CLASS site SITEPROP SLICE_X28Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y60 IS_BONDED 0 SITEPROP SLICE_X28Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y60 IS_PAD 0 SITEPROP SLICE_X28Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y60 IS_RESERVED 0 SITEPROP SLICE_X28Y60 IS_TEST 0 SITEPROP SLICE_X28Y60 IS_USED 0 SITEPROP SLICE_X28Y60 MANUAL_ROUTING SITEPROP SLICE_X28Y60 NAME SLICE_X28Y60 SITEPROP SLICE_X28Y60 NUM_ARCS 138 SITEPROP SLICE_X28Y60 NUM_BELS 32 SITEPROP SLICE_X28Y60 NUM_INPUTS 32 SITEPROP SLICE_X28Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y60 NUM_PINS 45 SITEPROP SLICE_X28Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y60 PROHIBIT 0 SITEPROP SLICE_X28Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y60 RPM_X 81 SITEPROP SLICE_X28Y60 RPM_Y 120 SITEPROP SLICE_X28Y60 SITE_PIPS SITEPROP SLICE_X28Y60 SITE_TYPE SLICEL SITEPROP SLICE_X28Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y61 CLASS site SITEPROP SLICE_X28Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y61 IS_BONDED 0 SITEPROP SLICE_X28Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y61 IS_PAD 0 SITEPROP SLICE_X28Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y61 IS_RESERVED 0 SITEPROP SLICE_X28Y61 IS_TEST 0 SITEPROP SLICE_X28Y61 IS_USED 0 SITEPROP SLICE_X28Y61 MANUAL_ROUTING SITEPROP SLICE_X28Y61 NAME SLICE_X28Y61 SITEPROP SLICE_X28Y61 NUM_ARCS 138 SITEPROP SLICE_X28Y61 NUM_BELS 32 SITEPROP SLICE_X28Y61 NUM_INPUTS 32 SITEPROP SLICE_X28Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y61 NUM_PINS 45 SITEPROP SLICE_X28Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y61 PROHIBIT 0 SITEPROP SLICE_X28Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y61 RPM_X 81 SITEPROP SLICE_X28Y61 RPM_Y 122 SITEPROP SLICE_X28Y61 SITE_PIPS SITEPROP SLICE_X28Y61 SITE_TYPE SLICEL SITEPROP SLICE_X28Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y62 CLASS site SITEPROP SLICE_X28Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y62 IS_BONDED 0 SITEPROP SLICE_X28Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y62 IS_PAD 0 SITEPROP SLICE_X28Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y62 IS_RESERVED 0 SITEPROP SLICE_X28Y62 IS_TEST 0 SITEPROP SLICE_X28Y62 IS_USED 0 SITEPROP SLICE_X28Y62 MANUAL_ROUTING SITEPROP SLICE_X28Y62 NAME SLICE_X28Y62 SITEPROP SLICE_X28Y62 NUM_ARCS 138 SITEPROP SLICE_X28Y62 NUM_BELS 32 SITEPROP SLICE_X28Y62 NUM_INPUTS 32 SITEPROP SLICE_X28Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y62 NUM_PINS 45 SITEPROP SLICE_X28Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y62 PROHIBIT 0 SITEPROP SLICE_X28Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y62 RPM_X 81 SITEPROP SLICE_X28Y62 RPM_Y 124 SITEPROP SLICE_X28Y62 SITE_PIPS SITEPROP SLICE_X28Y62 SITE_TYPE SLICEL SITEPROP SLICE_X28Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y63 CLASS site SITEPROP SLICE_X28Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y63 IS_BONDED 0 SITEPROP SLICE_X28Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y63 IS_PAD 0 SITEPROP SLICE_X28Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y63 IS_RESERVED 0 SITEPROP SLICE_X28Y63 IS_TEST 0 SITEPROP SLICE_X28Y63 IS_USED 0 SITEPROP SLICE_X28Y63 MANUAL_ROUTING SITEPROP SLICE_X28Y63 NAME SLICE_X28Y63 SITEPROP SLICE_X28Y63 NUM_ARCS 138 SITEPROP SLICE_X28Y63 NUM_BELS 32 SITEPROP SLICE_X28Y63 NUM_INPUTS 32 SITEPROP SLICE_X28Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y63 NUM_PINS 45 SITEPROP SLICE_X28Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y63 PROHIBIT 0 SITEPROP SLICE_X28Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y63 RPM_X 81 SITEPROP SLICE_X28Y63 RPM_Y 126 SITEPROP SLICE_X28Y63 SITE_PIPS SITEPROP SLICE_X28Y63 SITE_TYPE SLICEL SITEPROP SLICE_X28Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y64 CLASS site SITEPROP SLICE_X28Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y64 IS_BONDED 0 SITEPROP SLICE_X28Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y64 IS_PAD 0 SITEPROP SLICE_X28Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y64 IS_RESERVED 0 SITEPROP SLICE_X28Y64 IS_TEST 0 SITEPROP SLICE_X28Y64 IS_USED 0 SITEPROP SLICE_X28Y64 MANUAL_ROUTING SITEPROP SLICE_X28Y64 NAME SLICE_X28Y64 SITEPROP SLICE_X28Y64 NUM_ARCS 138 SITEPROP SLICE_X28Y64 NUM_BELS 32 SITEPROP SLICE_X28Y64 NUM_INPUTS 32 SITEPROP SLICE_X28Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y64 NUM_PINS 45 SITEPROP SLICE_X28Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y64 PROHIBIT 0 SITEPROP SLICE_X28Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y64 RPM_X 81 SITEPROP SLICE_X28Y64 RPM_Y 128 SITEPROP SLICE_X28Y64 SITE_PIPS SITEPROP SLICE_X28Y64 SITE_TYPE SLICEL SITEPROP SLICE_X28Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y65 CLASS site SITEPROP SLICE_X28Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y65 IS_BONDED 0 SITEPROP SLICE_X28Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y65 IS_PAD 0 SITEPROP SLICE_X28Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y65 IS_RESERVED 0 SITEPROP SLICE_X28Y65 IS_TEST 0 SITEPROP SLICE_X28Y65 IS_USED 0 SITEPROP SLICE_X28Y65 MANUAL_ROUTING SITEPROP SLICE_X28Y65 NAME SLICE_X28Y65 SITEPROP SLICE_X28Y65 NUM_ARCS 138 SITEPROP SLICE_X28Y65 NUM_BELS 32 SITEPROP SLICE_X28Y65 NUM_INPUTS 32 SITEPROP SLICE_X28Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y65 NUM_PINS 45 SITEPROP SLICE_X28Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y65 PROHIBIT 0 SITEPROP SLICE_X28Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y65 RPM_X 81 SITEPROP SLICE_X28Y65 RPM_Y 130 SITEPROP SLICE_X28Y65 SITE_PIPS SITEPROP SLICE_X28Y65 SITE_TYPE SLICEL SITEPROP SLICE_X28Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y66 CLASS site SITEPROP SLICE_X28Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y66 IS_BONDED 0 SITEPROP SLICE_X28Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y66 IS_PAD 0 SITEPROP SLICE_X28Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y66 IS_RESERVED 0 SITEPROP SLICE_X28Y66 IS_TEST 0 SITEPROP SLICE_X28Y66 IS_USED 0 SITEPROP SLICE_X28Y66 MANUAL_ROUTING SITEPROP SLICE_X28Y66 NAME SLICE_X28Y66 SITEPROP SLICE_X28Y66 NUM_ARCS 138 SITEPROP SLICE_X28Y66 NUM_BELS 32 SITEPROP SLICE_X28Y66 NUM_INPUTS 32 SITEPROP SLICE_X28Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y66 NUM_PINS 45 SITEPROP SLICE_X28Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y66 PROHIBIT 0 SITEPROP SLICE_X28Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y66 RPM_X 81 SITEPROP SLICE_X28Y66 RPM_Y 132 SITEPROP SLICE_X28Y66 SITE_PIPS SITEPROP SLICE_X28Y66 SITE_TYPE SLICEL SITEPROP SLICE_X28Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y67 CLASS site SITEPROP SLICE_X28Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y67 IS_BONDED 0 SITEPROP SLICE_X28Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y67 IS_PAD 0 SITEPROP SLICE_X28Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y67 IS_RESERVED 0 SITEPROP SLICE_X28Y67 IS_TEST 0 SITEPROP SLICE_X28Y67 IS_USED 0 SITEPROP SLICE_X28Y67 MANUAL_ROUTING SITEPROP SLICE_X28Y67 NAME SLICE_X28Y67 SITEPROP SLICE_X28Y67 NUM_ARCS 138 SITEPROP SLICE_X28Y67 NUM_BELS 32 SITEPROP SLICE_X28Y67 NUM_INPUTS 32 SITEPROP SLICE_X28Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y67 NUM_PINS 45 SITEPROP SLICE_X28Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y67 PROHIBIT 0 SITEPROP SLICE_X28Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y67 RPM_X 81 SITEPROP SLICE_X28Y67 RPM_Y 134 SITEPROP SLICE_X28Y67 SITE_PIPS SITEPROP SLICE_X28Y67 SITE_TYPE SLICEL SITEPROP SLICE_X28Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y68 CLASS site SITEPROP SLICE_X28Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y68 IS_BONDED 0 SITEPROP SLICE_X28Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y68 IS_PAD 0 SITEPROP SLICE_X28Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y68 IS_RESERVED 0 SITEPROP SLICE_X28Y68 IS_TEST 0 SITEPROP SLICE_X28Y68 IS_USED 0 SITEPROP SLICE_X28Y68 MANUAL_ROUTING SITEPROP SLICE_X28Y68 NAME SLICE_X28Y68 SITEPROP SLICE_X28Y68 NUM_ARCS 138 SITEPROP SLICE_X28Y68 NUM_BELS 32 SITEPROP SLICE_X28Y68 NUM_INPUTS 32 SITEPROP SLICE_X28Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y68 NUM_PINS 45 SITEPROP SLICE_X28Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y68 PROHIBIT 0 SITEPROP SLICE_X28Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y68 RPM_X 81 SITEPROP SLICE_X28Y68 RPM_Y 136 SITEPROP SLICE_X28Y68 SITE_PIPS SITEPROP SLICE_X28Y68 SITE_TYPE SLICEL SITEPROP SLICE_X28Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y69 CLASS site SITEPROP SLICE_X28Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y69 IS_BONDED 0 SITEPROP SLICE_X28Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y69 IS_PAD 0 SITEPROP SLICE_X28Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y69 IS_RESERVED 0 SITEPROP SLICE_X28Y69 IS_TEST 0 SITEPROP SLICE_X28Y69 IS_USED 0 SITEPROP SLICE_X28Y69 MANUAL_ROUTING SITEPROP SLICE_X28Y69 NAME SLICE_X28Y69 SITEPROP SLICE_X28Y69 NUM_ARCS 138 SITEPROP SLICE_X28Y69 NUM_BELS 32 SITEPROP SLICE_X28Y69 NUM_INPUTS 32 SITEPROP SLICE_X28Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y69 NUM_PINS 45 SITEPROP SLICE_X28Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y69 PROHIBIT 0 SITEPROP SLICE_X28Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y69 RPM_X 81 SITEPROP SLICE_X28Y69 RPM_Y 138 SITEPROP SLICE_X28Y69 SITE_PIPS SITEPROP SLICE_X28Y69 SITE_TYPE SLICEL SITEPROP SLICE_X28Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y70 CLASS site SITEPROP SLICE_X28Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y70 IS_BONDED 0 SITEPROP SLICE_X28Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y70 IS_PAD 0 SITEPROP SLICE_X28Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y70 IS_RESERVED 0 SITEPROP SLICE_X28Y70 IS_TEST 0 SITEPROP SLICE_X28Y70 IS_USED 0 SITEPROP SLICE_X28Y70 MANUAL_ROUTING SITEPROP SLICE_X28Y70 NAME SLICE_X28Y70 SITEPROP SLICE_X28Y70 NUM_ARCS 138 SITEPROP SLICE_X28Y70 NUM_BELS 32 SITEPROP SLICE_X28Y70 NUM_INPUTS 32 SITEPROP SLICE_X28Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y70 NUM_PINS 45 SITEPROP SLICE_X28Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y70 PROHIBIT 0 SITEPROP SLICE_X28Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y70 RPM_X 81 SITEPROP SLICE_X28Y70 RPM_Y 140 SITEPROP SLICE_X28Y70 SITE_PIPS SITEPROP SLICE_X28Y70 SITE_TYPE SLICEL SITEPROP SLICE_X28Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y71 CLASS site SITEPROP SLICE_X28Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y71 IS_BONDED 0 SITEPROP SLICE_X28Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y71 IS_PAD 0 SITEPROP SLICE_X28Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y71 IS_RESERVED 0 SITEPROP SLICE_X28Y71 IS_TEST 0 SITEPROP SLICE_X28Y71 IS_USED 0 SITEPROP SLICE_X28Y71 MANUAL_ROUTING SITEPROP SLICE_X28Y71 NAME SLICE_X28Y71 SITEPROP SLICE_X28Y71 NUM_ARCS 138 SITEPROP SLICE_X28Y71 NUM_BELS 32 SITEPROP SLICE_X28Y71 NUM_INPUTS 32 SITEPROP SLICE_X28Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y71 NUM_PINS 45 SITEPROP SLICE_X28Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y71 PROHIBIT 0 SITEPROP SLICE_X28Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y71 RPM_X 81 SITEPROP SLICE_X28Y71 RPM_Y 142 SITEPROP SLICE_X28Y71 SITE_PIPS SITEPROP SLICE_X28Y71 SITE_TYPE SLICEL SITEPROP SLICE_X28Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y72 CLASS site SITEPROP SLICE_X28Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y72 IS_BONDED 0 SITEPROP SLICE_X28Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y72 IS_PAD 0 SITEPROP SLICE_X28Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y72 IS_RESERVED 0 SITEPROP SLICE_X28Y72 IS_TEST 0 SITEPROP SLICE_X28Y72 IS_USED 0 SITEPROP SLICE_X28Y72 MANUAL_ROUTING SITEPROP SLICE_X28Y72 NAME SLICE_X28Y72 SITEPROP SLICE_X28Y72 NUM_ARCS 138 SITEPROP SLICE_X28Y72 NUM_BELS 32 SITEPROP SLICE_X28Y72 NUM_INPUTS 32 SITEPROP SLICE_X28Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y72 NUM_PINS 45 SITEPROP SLICE_X28Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y72 PROHIBIT 0 SITEPROP SLICE_X28Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y72 RPM_X 81 SITEPROP SLICE_X28Y72 RPM_Y 144 SITEPROP SLICE_X28Y72 SITE_PIPS SITEPROP SLICE_X28Y72 SITE_TYPE SLICEL SITEPROP SLICE_X28Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y73 CLASS site SITEPROP SLICE_X28Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y73 IS_BONDED 0 SITEPROP SLICE_X28Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y73 IS_PAD 0 SITEPROP SLICE_X28Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y73 IS_RESERVED 0 SITEPROP SLICE_X28Y73 IS_TEST 0 SITEPROP SLICE_X28Y73 IS_USED 0 SITEPROP SLICE_X28Y73 MANUAL_ROUTING SITEPROP SLICE_X28Y73 NAME SLICE_X28Y73 SITEPROP SLICE_X28Y73 NUM_ARCS 138 SITEPROP SLICE_X28Y73 NUM_BELS 32 SITEPROP SLICE_X28Y73 NUM_INPUTS 32 SITEPROP SLICE_X28Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y73 NUM_PINS 45 SITEPROP SLICE_X28Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y73 PROHIBIT 0 SITEPROP SLICE_X28Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y73 RPM_X 81 SITEPROP SLICE_X28Y73 RPM_Y 146 SITEPROP SLICE_X28Y73 SITE_PIPS SITEPROP SLICE_X28Y73 SITE_TYPE SLICEL SITEPROP SLICE_X28Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y74 CLASS site SITEPROP SLICE_X28Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y74 IS_BONDED 0 SITEPROP SLICE_X28Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y74 IS_PAD 0 SITEPROP SLICE_X28Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y74 IS_RESERVED 0 SITEPROP SLICE_X28Y74 IS_TEST 0 SITEPROP SLICE_X28Y74 IS_USED 0 SITEPROP SLICE_X28Y74 MANUAL_ROUTING SITEPROP SLICE_X28Y74 NAME SLICE_X28Y74 SITEPROP SLICE_X28Y74 NUM_ARCS 138 SITEPROP SLICE_X28Y74 NUM_BELS 32 SITEPROP SLICE_X28Y74 NUM_INPUTS 32 SITEPROP SLICE_X28Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y74 NUM_PINS 45 SITEPROP SLICE_X28Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y74 PROHIBIT 0 SITEPROP SLICE_X28Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y74 RPM_X 81 SITEPROP SLICE_X28Y74 RPM_Y 148 SITEPROP SLICE_X28Y74 SITE_PIPS SITEPROP SLICE_X28Y74 SITE_TYPE SLICEL SITEPROP SLICE_X28Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y75 CLASS site SITEPROP SLICE_X28Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y75 IS_BONDED 0 SITEPROP SLICE_X28Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y75 IS_PAD 0 SITEPROP SLICE_X28Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y75 IS_RESERVED 0 SITEPROP SLICE_X28Y75 IS_TEST 0 SITEPROP SLICE_X28Y75 IS_USED 0 SITEPROP SLICE_X28Y75 MANUAL_ROUTING SITEPROP SLICE_X28Y75 NAME SLICE_X28Y75 SITEPROP SLICE_X28Y75 NUM_ARCS 138 SITEPROP SLICE_X28Y75 NUM_BELS 32 SITEPROP SLICE_X28Y75 NUM_INPUTS 32 SITEPROP SLICE_X28Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y75 NUM_PINS 45 SITEPROP SLICE_X28Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y75 PROHIBIT 0 SITEPROP SLICE_X28Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y75 RPM_X 81 SITEPROP SLICE_X28Y75 RPM_Y 150 SITEPROP SLICE_X28Y75 SITE_PIPS SITEPROP SLICE_X28Y75 SITE_TYPE SLICEL SITEPROP SLICE_X28Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y76 CLASS site SITEPROP SLICE_X28Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y76 IS_BONDED 0 SITEPROP SLICE_X28Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y76 IS_PAD 0 SITEPROP SLICE_X28Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y76 IS_RESERVED 0 SITEPROP SLICE_X28Y76 IS_TEST 0 SITEPROP SLICE_X28Y76 IS_USED 0 SITEPROP SLICE_X28Y76 MANUAL_ROUTING SITEPROP SLICE_X28Y76 NAME SLICE_X28Y76 SITEPROP SLICE_X28Y76 NUM_ARCS 138 SITEPROP SLICE_X28Y76 NUM_BELS 32 SITEPROP SLICE_X28Y76 NUM_INPUTS 32 SITEPROP SLICE_X28Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y76 NUM_PINS 45 SITEPROP SLICE_X28Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y76 PROHIBIT 0 SITEPROP SLICE_X28Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y76 RPM_X 81 SITEPROP SLICE_X28Y76 RPM_Y 152 SITEPROP SLICE_X28Y76 SITE_PIPS SITEPROP SLICE_X28Y76 SITE_TYPE SLICEL SITEPROP SLICE_X28Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y77 CLASS site SITEPROP SLICE_X28Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y77 IS_BONDED 0 SITEPROP SLICE_X28Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y77 IS_PAD 0 SITEPROP SLICE_X28Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y77 IS_RESERVED 0 SITEPROP SLICE_X28Y77 IS_TEST 0 SITEPROP SLICE_X28Y77 IS_USED 0 SITEPROP SLICE_X28Y77 MANUAL_ROUTING SITEPROP SLICE_X28Y77 NAME SLICE_X28Y77 SITEPROP SLICE_X28Y77 NUM_ARCS 138 SITEPROP SLICE_X28Y77 NUM_BELS 32 SITEPROP SLICE_X28Y77 NUM_INPUTS 32 SITEPROP SLICE_X28Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y77 NUM_PINS 45 SITEPROP SLICE_X28Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y77 PROHIBIT 0 SITEPROP SLICE_X28Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y77 RPM_X 81 SITEPROP SLICE_X28Y77 RPM_Y 154 SITEPROP SLICE_X28Y77 SITE_PIPS SITEPROP SLICE_X28Y77 SITE_TYPE SLICEL SITEPROP SLICE_X28Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y78 CLASS site SITEPROP SLICE_X28Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y78 IS_BONDED 0 SITEPROP SLICE_X28Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y78 IS_PAD 0 SITEPROP SLICE_X28Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y78 IS_RESERVED 0 SITEPROP SLICE_X28Y78 IS_TEST 0 SITEPROP SLICE_X28Y78 IS_USED 0 SITEPROP SLICE_X28Y78 MANUAL_ROUTING SITEPROP SLICE_X28Y78 NAME SLICE_X28Y78 SITEPROP SLICE_X28Y78 NUM_ARCS 138 SITEPROP SLICE_X28Y78 NUM_BELS 32 SITEPROP SLICE_X28Y78 NUM_INPUTS 32 SITEPROP SLICE_X28Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y78 NUM_PINS 45 SITEPROP SLICE_X28Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y78 PROHIBIT 0 SITEPROP SLICE_X28Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y78 RPM_X 81 SITEPROP SLICE_X28Y78 RPM_Y 156 SITEPROP SLICE_X28Y78 SITE_PIPS SITEPROP SLICE_X28Y78 SITE_TYPE SLICEL SITEPROP SLICE_X28Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y79 CLASS site SITEPROP SLICE_X28Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y79 IS_BONDED 0 SITEPROP SLICE_X28Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y79 IS_PAD 0 SITEPROP SLICE_X28Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y79 IS_RESERVED 0 SITEPROP SLICE_X28Y79 IS_TEST 0 SITEPROP SLICE_X28Y79 IS_USED 0 SITEPROP SLICE_X28Y79 MANUAL_ROUTING SITEPROP SLICE_X28Y79 NAME SLICE_X28Y79 SITEPROP SLICE_X28Y79 NUM_ARCS 138 SITEPROP SLICE_X28Y79 NUM_BELS 32 SITEPROP SLICE_X28Y79 NUM_INPUTS 32 SITEPROP SLICE_X28Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y79 NUM_PINS 45 SITEPROP SLICE_X28Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y79 PROHIBIT 0 SITEPROP SLICE_X28Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y79 RPM_X 81 SITEPROP SLICE_X28Y79 RPM_Y 158 SITEPROP SLICE_X28Y79 SITE_PIPS SITEPROP SLICE_X28Y79 SITE_TYPE SLICEL SITEPROP SLICE_X28Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y80 CLASS site SITEPROP SLICE_X28Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y80 IS_BONDED 0 SITEPROP SLICE_X28Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y80 IS_PAD 0 SITEPROP SLICE_X28Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y80 IS_RESERVED 0 SITEPROP SLICE_X28Y80 IS_TEST 0 SITEPROP SLICE_X28Y80 IS_USED 0 SITEPROP SLICE_X28Y80 MANUAL_ROUTING SITEPROP SLICE_X28Y80 NAME SLICE_X28Y80 SITEPROP SLICE_X28Y80 NUM_ARCS 138 SITEPROP SLICE_X28Y80 NUM_BELS 32 SITEPROP SLICE_X28Y80 NUM_INPUTS 32 SITEPROP SLICE_X28Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y80 NUM_PINS 45 SITEPROP SLICE_X28Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y80 PROHIBIT 0 SITEPROP SLICE_X28Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y80 RPM_X 81 SITEPROP SLICE_X28Y80 RPM_Y 160 SITEPROP SLICE_X28Y80 SITE_PIPS SITEPROP SLICE_X28Y80 SITE_TYPE SLICEL SITEPROP SLICE_X28Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y81 CLASS site SITEPROP SLICE_X28Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y81 IS_BONDED 0 SITEPROP SLICE_X28Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y81 IS_PAD 0 SITEPROP SLICE_X28Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y81 IS_RESERVED 0 SITEPROP SLICE_X28Y81 IS_TEST 0 SITEPROP SLICE_X28Y81 IS_USED 0 SITEPROP SLICE_X28Y81 MANUAL_ROUTING SITEPROP SLICE_X28Y81 NAME SLICE_X28Y81 SITEPROP SLICE_X28Y81 NUM_ARCS 138 SITEPROP SLICE_X28Y81 NUM_BELS 32 SITEPROP SLICE_X28Y81 NUM_INPUTS 32 SITEPROP SLICE_X28Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y81 NUM_PINS 45 SITEPROP SLICE_X28Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y81 PROHIBIT 0 SITEPROP SLICE_X28Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y81 RPM_X 81 SITEPROP SLICE_X28Y81 RPM_Y 162 SITEPROP SLICE_X28Y81 SITE_PIPS SITEPROP SLICE_X28Y81 SITE_TYPE SLICEL SITEPROP SLICE_X28Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y82 CLASS site SITEPROP SLICE_X28Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y82 IS_BONDED 0 SITEPROP SLICE_X28Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y82 IS_PAD 0 SITEPROP SLICE_X28Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y82 IS_RESERVED 0 SITEPROP SLICE_X28Y82 IS_TEST 0 SITEPROP SLICE_X28Y82 IS_USED 0 SITEPROP SLICE_X28Y82 MANUAL_ROUTING SITEPROP SLICE_X28Y82 NAME SLICE_X28Y82 SITEPROP SLICE_X28Y82 NUM_ARCS 138 SITEPROP SLICE_X28Y82 NUM_BELS 32 SITEPROP SLICE_X28Y82 NUM_INPUTS 32 SITEPROP SLICE_X28Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y82 NUM_PINS 45 SITEPROP SLICE_X28Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y82 PROHIBIT 0 SITEPROP SLICE_X28Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y82 RPM_X 81 SITEPROP SLICE_X28Y82 RPM_Y 164 SITEPROP SLICE_X28Y82 SITE_PIPS SITEPROP SLICE_X28Y82 SITE_TYPE SLICEL SITEPROP SLICE_X28Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y83 CLASS site SITEPROP SLICE_X28Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y83 IS_BONDED 0 SITEPROP SLICE_X28Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y83 IS_PAD 0 SITEPROP SLICE_X28Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y83 IS_RESERVED 0 SITEPROP SLICE_X28Y83 IS_TEST 0 SITEPROP SLICE_X28Y83 IS_USED 0 SITEPROP SLICE_X28Y83 MANUAL_ROUTING SITEPROP SLICE_X28Y83 NAME SLICE_X28Y83 SITEPROP SLICE_X28Y83 NUM_ARCS 138 SITEPROP SLICE_X28Y83 NUM_BELS 32 SITEPROP SLICE_X28Y83 NUM_INPUTS 32 SITEPROP SLICE_X28Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y83 NUM_PINS 45 SITEPROP SLICE_X28Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y83 PROHIBIT 0 SITEPROP SLICE_X28Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y83 RPM_X 81 SITEPROP SLICE_X28Y83 RPM_Y 166 SITEPROP SLICE_X28Y83 SITE_PIPS SITEPROP SLICE_X28Y83 SITE_TYPE SLICEL SITEPROP SLICE_X28Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y84 CLASS site SITEPROP SLICE_X28Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y84 IS_BONDED 0 SITEPROP SLICE_X28Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y84 IS_PAD 0 SITEPROP SLICE_X28Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y84 IS_RESERVED 0 SITEPROP SLICE_X28Y84 IS_TEST 0 SITEPROP SLICE_X28Y84 IS_USED 0 SITEPROP SLICE_X28Y84 MANUAL_ROUTING SITEPROP SLICE_X28Y84 NAME SLICE_X28Y84 SITEPROP SLICE_X28Y84 NUM_ARCS 138 SITEPROP SLICE_X28Y84 NUM_BELS 32 SITEPROP SLICE_X28Y84 NUM_INPUTS 32 SITEPROP SLICE_X28Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y84 NUM_PINS 45 SITEPROP SLICE_X28Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y84 PROHIBIT 0 SITEPROP SLICE_X28Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y84 RPM_X 81 SITEPROP SLICE_X28Y84 RPM_Y 168 SITEPROP SLICE_X28Y84 SITE_PIPS SITEPROP SLICE_X28Y84 SITE_TYPE SLICEL SITEPROP SLICE_X28Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y85 CLASS site SITEPROP SLICE_X28Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y85 IS_BONDED 0 SITEPROP SLICE_X28Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y85 IS_PAD 0 SITEPROP SLICE_X28Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y85 IS_RESERVED 0 SITEPROP SLICE_X28Y85 IS_TEST 0 SITEPROP SLICE_X28Y85 IS_USED 0 SITEPROP SLICE_X28Y85 MANUAL_ROUTING SITEPROP SLICE_X28Y85 NAME SLICE_X28Y85 SITEPROP SLICE_X28Y85 NUM_ARCS 138 SITEPROP SLICE_X28Y85 NUM_BELS 32 SITEPROP SLICE_X28Y85 NUM_INPUTS 32 SITEPROP SLICE_X28Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y85 NUM_PINS 45 SITEPROP SLICE_X28Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y85 PROHIBIT 0 SITEPROP SLICE_X28Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y85 RPM_X 81 SITEPROP SLICE_X28Y85 RPM_Y 170 SITEPROP SLICE_X28Y85 SITE_PIPS SITEPROP SLICE_X28Y85 SITE_TYPE SLICEL SITEPROP SLICE_X28Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y86 CLASS site SITEPROP SLICE_X28Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y86 IS_BONDED 0 SITEPROP SLICE_X28Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y86 IS_PAD 0 SITEPROP SLICE_X28Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y86 IS_RESERVED 0 SITEPROP SLICE_X28Y86 IS_TEST 0 SITEPROP SLICE_X28Y86 IS_USED 0 SITEPROP SLICE_X28Y86 MANUAL_ROUTING SITEPROP SLICE_X28Y86 NAME SLICE_X28Y86 SITEPROP SLICE_X28Y86 NUM_ARCS 138 SITEPROP SLICE_X28Y86 NUM_BELS 32 SITEPROP SLICE_X28Y86 NUM_INPUTS 32 SITEPROP SLICE_X28Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y86 NUM_PINS 45 SITEPROP SLICE_X28Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y86 PROHIBIT 0 SITEPROP SLICE_X28Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y86 RPM_X 81 SITEPROP SLICE_X28Y86 RPM_Y 172 SITEPROP SLICE_X28Y86 SITE_PIPS SITEPROP SLICE_X28Y86 SITE_TYPE SLICEL SITEPROP SLICE_X28Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y87 CLASS site SITEPROP SLICE_X28Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y87 IS_BONDED 0 SITEPROP SLICE_X28Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y87 IS_PAD 0 SITEPROP SLICE_X28Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y87 IS_RESERVED 0 SITEPROP SLICE_X28Y87 IS_TEST 0 SITEPROP SLICE_X28Y87 IS_USED 0 SITEPROP SLICE_X28Y87 MANUAL_ROUTING SITEPROP SLICE_X28Y87 NAME SLICE_X28Y87 SITEPROP SLICE_X28Y87 NUM_ARCS 138 SITEPROP SLICE_X28Y87 NUM_BELS 32 SITEPROP SLICE_X28Y87 NUM_INPUTS 32 SITEPROP SLICE_X28Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y87 NUM_PINS 45 SITEPROP SLICE_X28Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y87 PROHIBIT 0 SITEPROP SLICE_X28Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y87 RPM_X 81 SITEPROP SLICE_X28Y87 RPM_Y 174 SITEPROP SLICE_X28Y87 SITE_PIPS SITEPROP SLICE_X28Y87 SITE_TYPE SLICEL SITEPROP SLICE_X28Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y88 CLASS site SITEPROP SLICE_X28Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y88 IS_BONDED 0 SITEPROP SLICE_X28Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y88 IS_PAD 0 SITEPROP SLICE_X28Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y88 IS_RESERVED 0 SITEPROP SLICE_X28Y88 IS_TEST 0 SITEPROP SLICE_X28Y88 IS_USED 0 SITEPROP SLICE_X28Y88 MANUAL_ROUTING SITEPROP SLICE_X28Y88 NAME SLICE_X28Y88 SITEPROP SLICE_X28Y88 NUM_ARCS 138 SITEPROP SLICE_X28Y88 NUM_BELS 32 SITEPROP SLICE_X28Y88 NUM_INPUTS 32 SITEPROP SLICE_X28Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y88 NUM_PINS 45 SITEPROP SLICE_X28Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y88 PROHIBIT 0 SITEPROP SLICE_X28Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y88 RPM_X 81 SITEPROP SLICE_X28Y88 RPM_Y 176 SITEPROP SLICE_X28Y88 SITE_PIPS SITEPROP SLICE_X28Y88 SITE_TYPE SLICEL SITEPROP SLICE_X28Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y89 CLASS site SITEPROP SLICE_X28Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y89 IS_BONDED 0 SITEPROP SLICE_X28Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y89 IS_PAD 0 SITEPROP SLICE_X28Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y89 IS_RESERVED 0 SITEPROP SLICE_X28Y89 IS_TEST 0 SITEPROP SLICE_X28Y89 IS_USED 0 SITEPROP SLICE_X28Y89 MANUAL_ROUTING SITEPROP SLICE_X28Y89 NAME SLICE_X28Y89 SITEPROP SLICE_X28Y89 NUM_ARCS 138 SITEPROP SLICE_X28Y89 NUM_BELS 32 SITEPROP SLICE_X28Y89 NUM_INPUTS 32 SITEPROP SLICE_X28Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y89 NUM_PINS 45 SITEPROP SLICE_X28Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y89 PROHIBIT 0 SITEPROP SLICE_X28Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y89 RPM_X 81 SITEPROP SLICE_X28Y89 RPM_Y 178 SITEPROP SLICE_X28Y89 SITE_PIPS SITEPROP SLICE_X28Y89 SITE_TYPE SLICEL SITEPROP SLICE_X28Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y90 CLASS site SITEPROP SLICE_X28Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y90 IS_BONDED 0 SITEPROP SLICE_X28Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y90 IS_PAD 0 SITEPROP SLICE_X28Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y90 IS_RESERVED 0 SITEPROP SLICE_X28Y90 IS_TEST 0 SITEPROP SLICE_X28Y90 IS_USED 0 SITEPROP SLICE_X28Y90 MANUAL_ROUTING SITEPROP SLICE_X28Y90 NAME SLICE_X28Y90 SITEPROP SLICE_X28Y90 NUM_ARCS 138 SITEPROP SLICE_X28Y90 NUM_BELS 32 SITEPROP SLICE_X28Y90 NUM_INPUTS 32 SITEPROP SLICE_X28Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y90 NUM_PINS 45 SITEPROP SLICE_X28Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y90 PROHIBIT 0 SITEPROP SLICE_X28Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y90 RPM_X 81 SITEPROP SLICE_X28Y90 RPM_Y 180 SITEPROP SLICE_X28Y90 SITE_PIPS SITEPROP SLICE_X28Y90 SITE_TYPE SLICEL SITEPROP SLICE_X28Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y91 CLASS site SITEPROP SLICE_X28Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y91 IS_BONDED 0 SITEPROP SLICE_X28Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y91 IS_PAD 0 SITEPROP SLICE_X28Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y91 IS_RESERVED 0 SITEPROP SLICE_X28Y91 IS_TEST 0 SITEPROP SLICE_X28Y91 IS_USED 0 SITEPROP SLICE_X28Y91 MANUAL_ROUTING SITEPROP SLICE_X28Y91 NAME SLICE_X28Y91 SITEPROP SLICE_X28Y91 NUM_ARCS 138 SITEPROP SLICE_X28Y91 NUM_BELS 32 SITEPROP SLICE_X28Y91 NUM_INPUTS 32 SITEPROP SLICE_X28Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y91 NUM_PINS 45 SITEPROP SLICE_X28Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y91 PROHIBIT 0 SITEPROP SLICE_X28Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y91 RPM_X 81 SITEPROP SLICE_X28Y91 RPM_Y 182 SITEPROP SLICE_X28Y91 SITE_PIPS SITEPROP SLICE_X28Y91 SITE_TYPE SLICEL SITEPROP SLICE_X28Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y92 CLASS site SITEPROP SLICE_X28Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y92 IS_BONDED 0 SITEPROP SLICE_X28Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y92 IS_PAD 0 SITEPROP SLICE_X28Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y92 IS_RESERVED 0 SITEPROP SLICE_X28Y92 IS_TEST 0 SITEPROP SLICE_X28Y92 IS_USED 0 SITEPROP SLICE_X28Y92 MANUAL_ROUTING SITEPROP SLICE_X28Y92 NAME SLICE_X28Y92 SITEPROP SLICE_X28Y92 NUM_ARCS 138 SITEPROP SLICE_X28Y92 NUM_BELS 32 SITEPROP SLICE_X28Y92 NUM_INPUTS 32 SITEPROP SLICE_X28Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y92 NUM_PINS 45 SITEPROP SLICE_X28Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y92 PROHIBIT 0 SITEPROP SLICE_X28Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y92 RPM_X 81 SITEPROP SLICE_X28Y92 RPM_Y 184 SITEPROP SLICE_X28Y92 SITE_PIPS SITEPROP SLICE_X28Y92 SITE_TYPE SLICEL SITEPROP SLICE_X28Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y93 CLASS site SITEPROP SLICE_X28Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y93 IS_BONDED 0 SITEPROP SLICE_X28Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y93 IS_PAD 0 SITEPROP SLICE_X28Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y93 IS_RESERVED 0 SITEPROP SLICE_X28Y93 IS_TEST 0 SITEPROP SLICE_X28Y93 IS_USED 0 SITEPROP SLICE_X28Y93 MANUAL_ROUTING SITEPROP SLICE_X28Y93 NAME SLICE_X28Y93 SITEPROP SLICE_X28Y93 NUM_ARCS 138 SITEPROP SLICE_X28Y93 NUM_BELS 32 SITEPROP SLICE_X28Y93 NUM_INPUTS 32 SITEPROP SLICE_X28Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y93 NUM_PINS 45 SITEPROP SLICE_X28Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y93 PROHIBIT 0 SITEPROP SLICE_X28Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y93 RPM_X 81 SITEPROP SLICE_X28Y93 RPM_Y 186 SITEPROP SLICE_X28Y93 SITE_PIPS SITEPROP SLICE_X28Y93 SITE_TYPE SLICEL SITEPROP SLICE_X28Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y94 CLASS site SITEPROP SLICE_X28Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y94 IS_BONDED 0 SITEPROP SLICE_X28Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y94 IS_PAD 0 SITEPROP SLICE_X28Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y94 IS_RESERVED 0 SITEPROP SLICE_X28Y94 IS_TEST 0 SITEPROP SLICE_X28Y94 IS_USED 0 SITEPROP SLICE_X28Y94 MANUAL_ROUTING SITEPROP SLICE_X28Y94 NAME SLICE_X28Y94 SITEPROP SLICE_X28Y94 NUM_ARCS 138 SITEPROP SLICE_X28Y94 NUM_BELS 32 SITEPROP SLICE_X28Y94 NUM_INPUTS 32 SITEPROP SLICE_X28Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y94 NUM_PINS 45 SITEPROP SLICE_X28Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y94 PROHIBIT 0 SITEPROP SLICE_X28Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y94 RPM_X 81 SITEPROP SLICE_X28Y94 RPM_Y 188 SITEPROP SLICE_X28Y94 SITE_PIPS SITEPROP SLICE_X28Y94 SITE_TYPE SLICEL SITEPROP SLICE_X28Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y95 CLASS site SITEPROP SLICE_X28Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y95 IS_BONDED 0 SITEPROP SLICE_X28Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y95 IS_PAD 0 SITEPROP SLICE_X28Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y95 IS_RESERVED 0 SITEPROP SLICE_X28Y95 IS_TEST 0 SITEPROP SLICE_X28Y95 IS_USED 0 SITEPROP SLICE_X28Y95 MANUAL_ROUTING SITEPROP SLICE_X28Y95 NAME SLICE_X28Y95 SITEPROP SLICE_X28Y95 NUM_ARCS 138 SITEPROP SLICE_X28Y95 NUM_BELS 32 SITEPROP SLICE_X28Y95 NUM_INPUTS 32 SITEPROP SLICE_X28Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y95 NUM_PINS 45 SITEPROP SLICE_X28Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y95 PROHIBIT 0 SITEPROP SLICE_X28Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y95 RPM_X 81 SITEPROP SLICE_X28Y95 RPM_Y 190 SITEPROP SLICE_X28Y95 SITE_PIPS SITEPROP SLICE_X28Y95 SITE_TYPE SLICEL SITEPROP SLICE_X28Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y96 CLASS site SITEPROP SLICE_X28Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y96 IS_BONDED 0 SITEPROP SLICE_X28Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y96 IS_PAD 0 SITEPROP SLICE_X28Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y96 IS_RESERVED 0 SITEPROP SLICE_X28Y96 IS_TEST 0 SITEPROP SLICE_X28Y96 IS_USED 0 SITEPROP SLICE_X28Y96 MANUAL_ROUTING SITEPROP SLICE_X28Y96 NAME SLICE_X28Y96 SITEPROP SLICE_X28Y96 NUM_ARCS 138 SITEPROP SLICE_X28Y96 NUM_BELS 32 SITEPROP SLICE_X28Y96 NUM_INPUTS 32 SITEPROP SLICE_X28Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y96 NUM_PINS 45 SITEPROP SLICE_X28Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y96 PROHIBIT 0 SITEPROP SLICE_X28Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y96 RPM_X 81 SITEPROP SLICE_X28Y96 RPM_Y 192 SITEPROP SLICE_X28Y96 SITE_PIPS SITEPROP SLICE_X28Y96 SITE_TYPE SLICEL SITEPROP SLICE_X28Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y97 CLASS site SITEPROP SLICE_X28Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y97 IS_BONDED 0 SITEPROP SLICE_X28Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y97 IS_PAD 0 SITEPROP SLICE_X28Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y97 IS_RESERVED 0 SITEPROP SLICE_X28Y97 IS_TEST 0 SITEPROP SLICE_X28Y97 IS_USED 0 SITEPROP SLICE_X28Y97 MANUAL_ROUTING SITEPROP SLICE_X28Y97 NAME SLICE_X28Y97 SITEPROP SLICE_X28Y97 NUM_ARCS 138 SITEPROP SLICE_X28Y97 NUM_BELS 32 SITEPROP SLICE_X28Y97 NUM_INPUTS 32 SITEPROP SLICE_X28Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y97 NUM_PINS 45 SITEPROP SLICE_X28Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y97 PROHIBIT 0 SITEPROP SLICE_X28Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y97 RPM_X 81 SITEPROP SLICE_X28Y97 RPM_Y 194 SITEPROP SLICE_X28Y97 SITE_PIPS SITEPROP SLICE_X28Y97 SITE_TYPE SLICEL SITEPROP SLICE_X28Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y98 CLASS site SITEPROP SLICE_X28Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y98 IS_BONDED 0 SITEPROP SLICE_X28Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y98 IS_PAD 0 SITEPROP SLICE_X28Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y98 IS_RESERVED 0 SITEPROP SLICE_X28Y98 IS_TEST 0 SITEPROP SLICE_X28Y98 IS_USED 0 SITEPROP SLICE_X28Y98 MANUAL_ROUTING SITEPROP SLICE_X28Y98 NAME SLICE_X28Y98 SITEPROP SLICE_X28Y98 NUM_ARCS 138 SITEPROP SLICE_X28Y98 NUM_BELS 32 SITEPROP SLICE_X28Y98 NUM_INPUTS 32 SITEPROP SLICE_X28Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y98 NUM_PINS 45 SITEPROP SLICE_X28Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y98 PROHIBIT 0 SITEPROP SLICE_X28Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y98 RPM_X 81 SITEPROP SLICE_X28Y98 RPM_Y 196 SITEPROP SLICE_X28Y98 SITE_PIPS SITEPROP SLICE_X28Y98 SITE_TYPE SLICEL SITEPROP SLICE_X28Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y99 CLASS site SITEPROP SLICE_X28Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X28Y99 IS_BONDED 0 SITEPROP SLICE_X28Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y99 IS_PAD 0 SITEPROP SLICE_X28Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y99 IS_RESERVED 0 SITEPROP SLICE_X28Y99 IS_TEST 0 SITEPROP SLICE_X28Y99 IS_USED 0 SITEPROP SLICE_X28Y99 MANUAL_ROUTING SITEPROP SLICE_X28Y99 NAME SLICE_X28Y99 SITEPROP SLICE_X28Y99 NUM_ARCS 138 SITEPROP SLICE_X28Y99 NUM_BELS 32 SITEPROP SLICE_X28Y99 NUM_INPUTS 32 SITEPROP SLICE_X28Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y99 NUM_PINS 45 SITEPROP SLICE_X28Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y99 PROHIBIT 0 SITEPROP SLICE_X28Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y99 RPM_X 81 SITEPROP SLICE_X28Y99 RPM_Y 198 SITEPROP SLICE_X28Y99 SITE_PIPS SITEPROP SLICE_X28Y99 SITE_TYPE SLICEL SITEPROP SLICE_X28Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y100 CLASS site SITEPROP SLICE_X28Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y100 IS_BONDED 0 SITEPROP SLICE_X28Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y100 IS_PAD 0 SITEPROP SLICE_X28Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y100 IS_RESERVED 0 SITEPROP SLICE_X28Y100 IS_TEST 0 SITEPROP SLICE_X28Y100 IS_USED 0 SITEPROP SLICE_X28Y100 MANUAL_ROUTING SITEPROP SLICE_X28Y100 NAME SLICE_X28Y100 SITEPROP SLICE_X28Y100 NUM_ARCS 138 SITEPROP SLICE_X28Y100 NUM_BELS 32 SITEPROP SLICE_X28Y100 NUM_INPUTS 32 SITEPROP SLICE_X28Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y100 NUM_PINS 45 SITEPROP SLICE_X28Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y100 PROHIBIT 0 SITEPROP SLICE_X28Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y100 RPM_X 81 SITEPROP SLICE_X28Y100 RPM_Y 200 SITEPROP SLICE_X28Y100 SITE_PIPS SITEPROP SLICE_X28Y100 SITE_TYPE SLICEL SITEPROP SLICE_X28Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y101 CLASS site SITEPROP SLICE_X28Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y101 IS_BONDED 0 SITEPROP SLICE_X28Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y101 IS_PAD 0 SITEPROP SLICE_X28Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y101 IS_RESERVED 0 SITEPROP SLICE_X28Y101 IS_TEST 0 SITEPROP SLICE_X28Y101 IS_USED 0 SITEPROP SLICE_X28Y101 MANUAL_ROUTING SITEPROP SLICE_X28Y101 NAME SLICE_X28Y101 SITEPROP SLICE_X28Y101 NUM_ARCS 138 SITEPROP SLICE_X28Y101 NUM_BELS 32 SITEPROP SLICE_X28Y101 NUM_INPUTS 32 SITEPROP SLICE_X28Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y101 NUM_PINS 45 SITEPROP SLICE_X28Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y101 PROHIBIT 0 SITEPROP SLICE_X28Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y101 RPM_X 81 SITEPROP SLICE_X28Y101 RPM_Y 202 SITEPROP SLICE_X28Y101 SITE_PIPS SITEPROP SLICE_X28Y101 SITE_TYPE SLICEL SITEPROP SLICE_X28Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y102 CLASS site SITEPROP SLICE_X28Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y102 IS_BONDED 0 SITEPROP SLICE_X28Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y102 IS_PAD 0 SITEPROP SLICE_X28Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y102 IS_RESERVED 0 SITEPROP SLICE_X28Y102 IS_TEST 0 SITEPROP SLICE_X28Y102 IS_USED 0 SITEPROP SLICE_X28Y102 MANUAL_ROUTING SITEPROP SLICE_X28Y102 NAME SLICE_X28Y102 SITEPROP SLICE_X28Y102 NUM_ARCS 138 SITEPROP SLICE_X28Y102 NUM_BELS 32 SITEPROP SLICE_X28Y102 NUM_INPUTS 32 SITEPROP SLICE_X28Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y102 NUM_PINS 45 SITEPROP SLICE_X28Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y102 PROHIBIT 0 SITEPROP SLICE_X28Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y102 RPM_X 81 SITEPROP SLICE_X28Y102 RPM_Y 204 SITEPROP SLICE_X28Y102 SITE_PIPS SITEPROP SLICE_X28Y102 SITE_TYPE SLICEL SITEPROP SLICE_X28Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y103 CLASS site SITEPROP SLICE_X28Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y103 IS_BONDED 0 SITEPROP SLICE_X28Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y103 IS_PAD 0 SITEPROP SLICE_X28Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y103 IS_RESERVED 0 SITEPROP SLICE_X28Y103 IS_TEST 0 SITEPROP SLICE_X28Y103 IS_USED 0 SITEPROP SLICE_X28Y103 MANUAL_ROUTING SITEPROP SLICE_X28Y103 NAME SLICE_X28Y103 SITEPROP SLICE_X28Y103 NUM_ARCS 138 SITEPROP SLICE_X28Y103 NUM_BELS 32 SITEPROP SLICE_X28Y103 NUM_INPUTS 32 SITEPROP SLICE_X28Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y103 NUM_PINS 45 SITEPROP SLICE_X28Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y103 PROHIBIT 0 SITEPROP SLICE_X28Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y103 RPM_X 81 SITEPROP SLICE_X28Y103 RPM_Y 206 SITEPROP SLICE_X28Y103 SITE_PIPS SITEPROP SLICE_X28Y103 SITE_TYPE SLICEL SITEPROP SLICE_X28Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y104 CLASS site SITEPROP SLICE_X28Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y104 IS_BONDED 0 SITEPROP SLICE_X28Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y104 IS_PAD 0 SITEPROP SLICE_X28Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y104 IS_RESERVED 0 SITEPROP SLICE_X28Y104 IS_TEST 0 SITEPROP SLICE_X28Y104 IS_USED 0 SITEPROP SLICE_X28Y104 MANUAL_ROUTING SITEPROP SLICE_X28Y104 NAME SLICE_X28Y104 SITEPROP SLICE_X28Y104 NUM_ARCS 138 SITEPROP SLICE_X28Y104 NUM_BELS 32 SITEPROP SLICE_X28Y104 NUM_INPUTS 32 SITEPROP SLICE_X28Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y104 NUM_PINS 45 SITEPROP SLICE_X28Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y104 PROHIBIT 0 SITEPROP SLICE_X28Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y104 RPM_X 81 SITEPROP SLICE_X28Y104 RPM_Y 208 SITEPROP SLICE_X28Y104 SITE_PIPS SITEPROP SLICE_X28Y104 SITE_TYPE SLICEL SITEPROP SLICE_X28Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y105 CLASS site SITEPROP SLICE_X28Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y105 IS_BONDED 0 SITEPROP SLICE_X28Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y105 IS_PAD 0 SITEPROP SLICE_X28Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y105 IS_RESERVED 0 SITEPROP SLICE_X28Y105 IS_TEST 0 SITEPROP SLICE_X28Y105 IS_USED 0 SITEPROP SLICE_X28Y105 MANUAL_ROUTING SITEPROP SLICE_X28Y105 NAME SLICE_X28Y105 SITEPROP SLICE_X28Y105 NUM_ARCS 138 SITEPROP SLICE_X28Y105 NUM_BELS 32 SITEPROP SLICE_X28Y105 NUM_INPUTS 32 SITEPROP SLICE_X28Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y105 NUM_PINS 45 SITEPROP SLICE_X28Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y105 PROHIBIT 0 SITEPROP SLICE_X28Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y105 RPM_X 81 SITEPROP SLICE_X28Y105 RPM_Y 210 SITEPROP SLICE_X28Y105 SITE_PIPS SITEPROP SLICE_X28Y105 SITE_TYPE SLICEL SITEPROP SLICE_X28Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y106 CLASS site SITEPROP SLICE_X28Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y106 IS_BONDED 0 SITEPROP SLICE_X28Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y106 IS_PAD 0 SITEPROP SLICE_X28Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y106 IS_RESERVED 0 SITEPROP SLICE_X28Y106 IS_TEST 0 SITEPROP SLICE_X28Y106 IS_USED 0 SITEPROP SLICE_X28Y106 MANUAL_ROUTING SITEPROP SLICE_X28Y106 NAME SLICE_X28Y106 SITEPROP SLICE_X28Y106 NUM_ARCS 138 SITEPROP SLICE_X28Y106 NUM_BELS 32 SITEPROP SLICE_X28Y106 NUM_INPUTS 32 SITEPROP SLICE_X28Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y106 NUM_PINS 45 SITEPROP SLICE_X28Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y106 PROHIBIT 0 SITEPROP SLICE_X28Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y106 RPM_X 81 SITEPROP SLICE_X28Y106 RPM_Y 212 SITEPROP SLICE_X28Y106 SITE_PIPS SITEPROP SLICE_X28Y106 SITE_TYPE SLICEL SITEPROP SLICE_X28Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y107 CLASS site SITEPROP SLICE_X28Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y107 IS_BONDED 0 SITEPROP SLICE_X28Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y107 IS_PAD 0 SITEPROP SLICE_X28Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y107 IS_RESERVED 0 SITEPROP SLICE_X28Y107 IS_TEST 0 SITEPROP SLICE_X28Y107 IS_USED 0 SITEPROP SLICE_X28Y107 MANUAL_ROUTING SITEPROP SLICE_X28Y107 NAME SLICE_X28Y107 SITEPROP SLICE_X28Y107 NUM_ARCS 138 SITEPROP SLICE_X28Y107 NUM_BELS 32 SITEPROP SLICE_X28Y107 NUM_INPUTS 32 SITEPROP SLICE_X28Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y107 NUM_PINS 45 SITEPROP SLICE_X28Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y107 PROHIBIT 0 SITEPROP SLICE_X28Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y107 RPM_X 81 SITEPROP SLICE_X28Y107 RPM_Y 214 SITEPROP SLICE_X28Y107 SITE_PIPS SITEPROP SLICE_X28Y107 SITE_TYPE SLICEL SITEPROP SLICE_X28Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y108 CLASS site SITEPROP SLICE_X28Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y108 IS_BONDED 0 SITEPROP SLICE_X28Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y108 IS_PAD 0 SITEPROP SLICE_X28Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y108 IS_RESERVED 0 SITEPROP SLICE_X28Y108 IS_TEST 0 SITEPROP SLICE_X28Y108 IS_USED 0 SITEPROP SLICE_X28Y108 MANUAL_ROUTING SITEPROP SLICE_X28Y108 NAME SLICE_X28Y108 SITEPROP SLICE_X28Y108 NUM_ARCS 138 SITEPROP SLICE_X28Y108 NUM_BELS 32 SITEPROP SLICE_X28Y108 NUM_INPUTS 32 SITEPROP SLICE_X28Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y108 NUM_PINS 45 SITEPROP SLICE_X28Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y108 PROHIBIT 0 SITEPROP SLICE_X28Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y108 RPM_X 81 SITEPROP SLICE_X28Y108 RPM_Y 216 SITEPROP SLICE_X28Y108 SITE_PIPS SITEPROP SLICE_X28Y108 SITE_TYPE SLICEL SITEPROP SLICE_X28Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y109 CLASS site SITEPROP SLICE_X28Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y109 IS_BONDED 0 SITEPROP SLICE_X28Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y109 IS_PAD 0 SITEPROP SLICE_X28Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y109 IS_RESERVED 0 SITEPROP SLICE_X28Y109 IS_TEST 0 SITEPROP SLICE_X28Y109 IS_USED 0 SITEPROP SLICE_X28Y109 MANUAL_ROUTING SITEPROP SLICE_X28Y109 NAME SLICE_X28Y109 SITEPROP SLICE_X28Y109 NUM_ARCS 138 SITEPROP SLICE_X28Y109 NUM_BELS 32 SITEPROP SLICE_X28Y109 NUM_INPUTS 32 SITEPROP SLICE_X28Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y109 NUM_PINS 45 SITEPROP SLICE_X28Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y109 PROHIBIT 0 SITEPROP SLICE_X28Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y109 RPM_X 81 SITEPROP SLICE_X28Y109 RPM_Y 218 SITEPROP SLICE_X28Y109 SITE_PIPS SITEPROP SLICE_X28Y109 SITE_TYPE SLICEL SITEPROP SLICE_X28Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y110 CLASS site SITEPROP SLICE_X28Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y110 IS_BONDED 0 SITEPROP SLICE_X28Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y110 IS_PAD 0 SITEPROP SLICE_X28Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y110 IS_RESERVED 0 SITEPROP SLICE_X28Y110 IS_TEST 0 SITEPROP SLICE_X28Y110 IS_USED 0 SITEPROP SLICE_X28Y110 MANUAL_ROUTING SITEPROP SLICE_X28Y110 NAME SLICE_X28Y110 SITEPROP SLICE_X28Y110 NUM_ARCS 138 SITEPROP SLICE_X28Y110 NUM_BELS 32 SITEPROP SLICE_X28Y110 NUM_INPUTS 32 SITEPROP SLICE_X28Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y110 NUM_PINS 45 SITEPROP SLICE_X28Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y110 PROHIBIT 0 SITEPROP SLICE_X28Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y110 RPM_X 81 SITEPROP SLICE_X28Y110 RPM_Y 220 SITEPROP SLICE_X28Y110 SITE_PIPS SITEPROP SLICE_X28Y110 SITE_TYPE SLICEL SITEPROP SLICE_X28Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y111 CLASS site SITEPROP SLICE_X28Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y111 IS_BONDED 0 SITEPROP SLICE_X28Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y111 IS_PAD 0 SITEPROP SLICE_X28Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y111 IS_RESERVED 0 SITEPROP SLICE_X28Y111 IS_TEST 0 SITEPROP SLICE_X28Y111 IS_USED 0 SITEPROP SLICE_X28Y111 MANUAL_ROUTING SITEPROP SLICE_X28Y111 NAME SLICE_X28Y111 SITEPROP SLICE_X28Y111 NUM_ARCS 138 SITEPROP SLICE_X28Y111 NUM_BELS 32 SITEPROP SLICE_X28Y111 NUM_INPUTS 32 SITEPROP SLICE_X28Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y111 NUM_PINS 45 SITEPROP SLICE_X28Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y111 PROHIBIT 0 SITEPROP SLICE_X28Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y111 RPM_X 81 SITEPROP SLICE_X28Y111 RPM_Y 222 SITEPROP SLICE_X28Y111 SITE_PIPS SITEPROP SLICE_X28Y111 SITE_TYPE SLICEL SITEPROP SLICE_X28Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y112 CLASS site SITEPROP SLICE_X28Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y112 IS_BONDED 0 SITEPROP SLICE_X28Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y112 IS_PAD 0 SITEPROP SLICE_X28Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y112 IS_RESERVED 0 SITEPROP SLICE_X28Y112 IS_TEST 0 SITEPROP SLICE_X28Y112 IS_USED 0 SITEPROP SLICE_X28Y112 MANUAL_ROUTING SITEPROP SLICE_X28Y112 NAME SLICE_X28Y112 SITEPROP SLICE_X28Y112 NUM_ARCS 138 SITEPROP SLICE_X28Y112 NUM_BELS 32 SITEPROP SLICE_X28Y112 NUM_INPUTS 32 SITEPROP SLICE_X28Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y112 NUM_PINS 45 SITEPROP SLICE_X28Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y112 PROHIBIT 0 SITEPROP SLICE_X28Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y112 RPM_X 81 SITEPROP SLICE_X28Y112 RPM_Y 224 SITEPROP SLICE_X28Y112 SITE_PIPS SITEPROP SLICE_X28Y112 SITE_TYPE SLICEL SITEPROP SLICE_X28Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y113 CLASS site SITEPROP SLICE_X28Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y113 IS_BONDED 0 SITEPROP SLICE_X28Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y113 IS_PAD 0 SITEPROP SLICE_X28Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y113 IS_RESERVED 0 SITEPROP SLICE_X28Y113 IS_TEST 0 SITEPROP SLICE_X28Y113 IS_USED 0 SITEPROP SLICE_X28Y113 MANUAL_ROUTING SITEPROP SLICE_X28Y113 NAME SLICE_X28Y113 SITEPROP SLICE_X28Y113 NUM_ARCS 138 SITEPROP SLICE_X28Y113 NUM_BELS 32 SITEPROP SLICE_X28Y113 NUM_INPUTS 32 SITEPROP SLICE_X28Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y113 NUM_PINS 45 SITEPROP SLICE_X28Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y113 PROHIBIT 0 SITEPROP SLICE_X28Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y113 RPM_X 81 SITEPROP SLICE_X28Y113 RPM_Y 226 SITEPROP SLICE_X28Y113 SITE_PIPS SITEPROP SLICE_X28Y113 SITE_TYPE SLICEL SITEPROP SLICE_X28Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y114 CLASS site SITEPROP SLICE_X28Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y114 IS_BONDED 0 SITEPROP SLICE_X28Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y114 IS_PAD 0 SITEPROP SLICE_X28Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y114 IS_RESERVED 0 SITEPROP SLICE_X28Y114 IS_TEST 0 SITEPROP SLICE_X28Y114 IS_USED 0 SITEPROP SLICE_X28Y114 MANUAL_ROUTING SITEPROP SLICE_X28Y114 NAME SLICE_X28Y114 SITEPROP SLICE_X28Y114 NUM_ARCS 138 SITEPROP SLICE_X28Y114 NUM_BELS 32 SITEPROP SLICE_X28Y114 NUM_INPUTS 32 SITEPROP SLICE_X28Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y114 NUM_PINS 45 SITEPROP SLICE_X28Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y114 PROHIBIT 0 SITEPROP SLICE_X28Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y114 RPM_X 81 SITEPROP SLICE_X28Y114 RPM_Y 228 SITEPROP SLICE_X28Y114 SITE_PIPS SITEPROP SLICE_X28Y114 SITE_TYPE SLICEL SITEPROP SLICE_X28Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y115 CLASS site SITEPROP SLICE_X28Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y115 IS_BONDED 0 SITEPROP SLICE_X28Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y115 IS_PAD 0 SITEPROP SLICE_X28Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y115 IS_RESERVED 0 SITEPROP SLICE_X28Y115 IS_TEST 0 SITEPROP SLICE_X28Y115 IS_USED 0 SITEPROP SLICE_X28Y115 MANUAL_ROUTING SITEPROP SLICE_X28Y115 NAME SLICE_X28Y115 SITEPROP SLICE_X28Y115 NUM_ARCS 138 SITEPROP SLICE_X28Y115 NUM_BELS 32 SITEPROP SLICE_X28Y115 NUM_INPUTS 32 SITEPROP SLICE_X28Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y115 NUM_PINS 45 SITEPROP SLICE_X28Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y115 PROHIBIT 0 SITEPROP SLICE_X28Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y115 RPM_X 81 SITEPROP SLICE_X28Y115 RPM_Y 230 SITEPROP SLICE_X28Y115 SITE_PIPS SITEPROP SLICE_X28Y115 SITE_TYPE SLICEL SITEPROP SLICE_X28Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y116 CLASS site SITEPROP SLICE_X28Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y116 IS_BONDED 0 SITEPROP SLICE_X28Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y116 IS_PAD 0 SITEPROP SLICE_X28Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y116 IS_RESERVED 0 SITEPROP SLICE_X28Y116 IS_TEST 0 SITEPROP SLICE_X28Y116 IS_USED 0 SITEPROP SLICE_X28Y116 MANUAL_ROUTING SITEPROP SLICE_X28Y116 NAME SLICE_X28Y116 SITEPROP SLICE_X28Y116 NUM_ARCS 138 SITEPROP SLICE_X28Y116 NUM_BELS 32 SITEPROP SLICE_X28Y116 NUM_INPUTS 32 SITEPROP SLICE_X28Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y116 NUM_PINS 45 SITEPROP SLICE_X28Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y116 PROHIBIT 0 SITEPROP SLICE_X28Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y116 RPM_X 81 SITEPROP SLICE_X28Y116 RPM_Y 232 SITEPROP SLICE_X28Y116 SITE_PIPS SITEPROP SLICE_X28Y116 SITE_TYPE SLICEL SITEPROP SLICE_X28Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y117 CLASS site SITEPROP SLICE_X28Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y117 IS_BONDED 0 SITEPROP SLICE_X28Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y117 IS_PAD 0 SITEPROP SLICE_X28Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y117 IS_RESERVED 0 SITEPROP SLICE_X28Y117 IS_TEST 0 SITEPROP SLICE_X28Y117 IS_USED 0 SITEPROP SLICE_X28Y117 MANUAL_ROUTING SITEPROP SLICE_X28Y117 NAME SLICE_X28Y117 SITEPROP SLICE_X28Y117 NUM_ARCS 138 SITEPROP SLICE_X28Y117 NUM_BELS 32 SITEPROP SLICE_X28Y117 NUM_INPUTS 32 SITEPROP SLICE_X28Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y117 NUM_PINS 45 SITEPROP SLICE_X28Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y117 PROHIBIT 0 SITEPROP SLICE_X28Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y117 RPM_X 81 SITEPROP SLICE_X28Y117 RPM_Y 234 SITEPROP SLICE_X28Y117 SITE_PIPS SITEPROP SLICE_X28Y117 SITE_TYPE SLICEL SITEPROP SLICE_X28Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y118 CLASS site SITEPROP SLICE_X28Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y118 IS_BONDED 0 SITEPROP SLICE_X28Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y118 IS_PAD 0 SITEPROP SLICE_X28Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y118 IS_RESERVED 0 SITEPROP SLICE_X28Y118 IS_TEST 0 SITEPROP SLICE_X28Y118 IS_USED 0 SITEPROP SLICE_X28Y118 MANUAL_ROUTING SITEPROP SLICE_X28Y118 NAME SLICE_X28Y118 SITEPROP SLICE_X28Y118 NUM_ARCS 138 SITEPROP SLICE_X28Y118 NUM_BELS 32 SITEPROP SLICE_X28Y118 NUM_INPUTS 32 SITEPROP SLICE_X28Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y118 NUM_PINS 45 SITEPROP SLICE_X28Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y118 PROHIBIT 0 SITEPROP SLICE_X28Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y118 RPM_X 81 SITEPROP SLICE_X28Y118 RPM_Y 236 SITEPROP SLICE_X28Y118 SITE_PIPS SITEPROP SLICE_X28Y118 SITE_TYPE SLICEL SITEPROP SLICE_X28Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y119 CLASS site SITEPROP SLICE_X28Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y119 IS_BONDED 0 SITEPROP SLICE_X28Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y119 IS_PAD 0 SITEPROP SLICE_X28Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y119 IS_RESERVED 0 SITEPROP SLICE_X28Y119 IS_TEST 0 SITEPROP SLICE_X28Y119 IS_USED 0 SITEPROP SLICE_X28Y119 MANUAL_ROUTING SITEPROP SLICE_X28Y119 NAME SLICE_X28Y119 SITEPROP SLICE_X28Y119 NUM_ARCS 138 SITEPROP SLICE_X28Y119 NUM_BELS 32 SITEPROP SLICE_X28Y119 NUM_INPUTS 32 SITEPROP SLICE_X28Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y119 NUM_PINS 45 SITEPROP SLICE_X28Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y119 PROHIBIT 0 SITEPROP SLICE_X28Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y119 RPM_X 81 SITEPROP SLICE_X28Y119 RPM_Y 238 SITEPROP SLICE_X28Y119 SITE_PIPS SITEPROP SLICE_X28Y119 SITE_TYPE SLICEL SITEPROP SLICE_X28Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y120 CLASS site SITEPROP SLICE_X28Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y120 IS_BONDED 0 SITEPROP SLICE_X28Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y120 IS_PAD 0 SITEPROP SLICE_X28Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y120 IS_RESERVED 0 SITEPROP SLICE_X28Y120 IS_TEST 0 SITEPROP SLICE_X28Y120 IS_USED 0 SITEPROP SLICE_X28Y120 MANUAL_ROUTING SITEPROP SLICE_X28Y120 NAME SLICE_X28Y120 SITEPROP SLICE_X28Y120 NUM_ARCS 138 SITEPROP SLICE_X28Y120 NUM_BELS 32 SITEPROP SLICE_X28Y120 NUM_INPUTS 32 SITEPROP SLICE_X28Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y120 NUM_PINS 45 SITEPROP SLICE_X28Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y120 PROHIBIT 0 SITEPROP SLICE_X28Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y120 RPM_X 81 SITEPROP SLICE_X28Y120 RPM_Y 240 SITEPROP SLICE_X28Y120 SITE_PIPS SITEPROP SLICE_X28Y120 SITE_TYPE SLICEL SITEPROP SLICE_X28Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y121 CLASS site SITEPROP SLICE_X28Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y121 IS_BONDED 0 SITEPROP SLICE_X28Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y121 IS_PAD 0 SITEPROP SLICE_X28Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y121 IS_RESERVED 0 SITEPROP SLICE_X28Y121 IS_TEST 0 SITEPROP SLICE_X28Y121 IS_USED 0 SITEPROP SLICE_X28Y121 MANUAL_ROUTING SITEPROP SLICE_X28Y121 NAME SLICE_X28Y121 SITEPROP SLICE_X28Y121 NUM_ARCS 138 SITEPROP SLICE_X28Y121 NUM_BELS 32 SITEPROP SLICE_X28Y121 NUM_INPUTS 32 SITEPROP SLICE_X28Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y121 NUM_PINS 45 SITEPROP SLICE_X28Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y121 PROHIBIT 0 SITEPROP SLICE_X28Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y121 RPM_X 81 SITEPROP SLICE_X28Y121 RPM_Y 242 SITEPROP SLICE_X28Y121 SITE_PIPS SITEPROP SLICE_X28Y121 SITE_TYPE SLICEL SITEPROP SLICE_X28Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y122 CLASS site SITEPROP SLICE_X28Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y122 IS_BONDED 0 SITEPROP SLICE_X28Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y122 IS_PAD 0 SITEPROP SLICE_X28Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y122 IS_RESERVED 0 SITEPROP SLICE_X28Y122 IS_TEST 0 SITEPROP SLICE_X28Y122 IS_USED 0 SITEPROP SLICE_X28Y122 MANUAL_ROUTING SITEPROP SLICE_X28Y122 NAME SLICE_X28Y122 SITEPROP SLICE_X28Y122 NUM_ARCS 138 SITEPROP SLICE_X28Y122 NUM_BELS 32 SITEPROP SLICE_X28Y122 NUM_INPUTS 32 SITEPROP SLICE_X28Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y122 NUM_PINS 45 SITEPROP SLICE_X28Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y122 PROHIBIT 0 SITEPROP SLICE_X28Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y122 RPM_X 81 SITEPROP SLICE_X28Y122 RPM_Y 244 SITEPROP SLICE_X28Y122 SITE_PIPS SITEPROP SLICE_X28Y122 SITE_TYPE SLICEL SITEPROP SLICE_X28Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y123 CLASS site SITEPROP SLICE_X28Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y123 IS_BONDED 0 SITEPROP SLICE_X28Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y123 IS_PAD 0 SITEPROP SLICE_X28Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y123 IS_RESERVED 0 SITEPROP SLICE_X28Y123 IS_TEST 0 SITEPROP SLICE_X28Y123 IS_USED 0 SITEPROP SLICE_X28Y123 MANUAL_ROUTING SITEPROP SLICE_X28Y123 NAME SLICE_X28Y123 SITEPROP SLICE_X28Y123 NUM_ARCS 138 SITEPROP SLICE_X28Y123 NUM_BELS 32 SITEPROP SLICE_X28Y123 NUM_INPUTS 32 SITEPROP SLICE_X28Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y123 NUM_PINS 45 SITEPROP SLICE_X28Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y123 PROHIBIT 0 SITEPROP SLICE_X28Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y123 RPM_X 81 SITEPROP SLICE_X28Y123 RPM_Y 246 SITEPROP SLICE_X28Y123 SITE_PIPS SITEPROP SLICE_X28Y123 SITE_TYPE SLICEL SITEPROP SLICE_X28Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y124 CLASS site SITEPROP SLICE_X28Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y124 IS_BONDED 0 SITEPROP SLICE_X28Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y124 IS_PAD 0 SITEPROP SLICE_X28Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y124 IS_RESERVED 0 SITEPROP SLICE_X28Y124 IS_TEST 0 SITEPROP SLICE_X28Y124 IS_USED 0 SITEPROP SLICE_X28Y124 MANUAL_ROUTING SITEPROP SLICE_X28Y124 NAME SLICE_X28Y124 SITEPROP SLICE_X28Y124 NUM_ARCS 138 SITEPROP SLICE_X28Y124 NUM_BELS 32 SITEPROP SLICE_X28Y124 NUM_INPUTS 32 SITEPROP SLICE_X28Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y124 NUM_PINS 45 SITEPROP SLICE_X28Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y124 PROHIBIT 0 SITEPROP SLICE_X28Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y124 RPM_X 81 SITEPROP SLICE_X28Y124 RPM_Y 248 SITEPROP SLICE_X28Y124 SITE_PIPS SITEPROP SLICE_X28Y124 SITE_TYPE SLICEL SITEPROP SLICE_X28Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y125 CLASS site SITEPROP SLICE_X28Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y125 IS_BONDED 0 SITEPROP SLICE_X28Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y125 IS_PAD 0 SITEPROP SLICE_X28Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y125 IS_RESERVED 0 SITEPROP SLICE_X28Y125 IS_TEST 0 SITEPROP SLICE_X28Y125 IS_USED 0 SITEPROP SLICE_X28Y125 MANUAL_ROUTING SITEPROP SLICE_X28Y125 NAME SLICE_X28Y125 SITEPROP SLICE_X28Y125 NUM_ARCS 138 SITEPROP SLICE_X28Y125 NUM_BELS 32 SITEPROP SLICE_X28Y125 NUM_INPUTS 32 SITEPROP SLICE_X28Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y125 NUM_PINS 45 SITEPROP SLICE_X28Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y125 PROHIBIT 0 SITEPROP SLICE_X28Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y125 RPM_X 81 SITEPROP SLICE_X28Y125 RPM_Y 250 SITEPROP SLICE_X28Y125 SITE_PIPS SITEPROP SLICE_X28Y125 SITE_TYPE SLICEL SITEPROP SLICE_X28Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y126 CLASS site SITEPROP SLICE_X28Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y126 IS_BONDED 0 SITEPROP SLICE_X28Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y126 IS_PAD 0 SITEPROP SLICE_X28Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y126 IS_RESERVED 0 SITEPROP SLICE_X28Y126 IS_TEST 0 SITEPROP SLICE_X28Y126 IS_USED 0 SITEPROP SLICE_X28Y126 MANUAL_ROUTING SITEPROP SLICE_X28Y126 NAME SLICE_X28Y126 SITEPROP SLICE_X28Y126 NUM_ARCS 138 SITEPROP SLICE_X28Y126 NUM_BELS 32 SITEPROP SLICE_X28Y126 NUM_INPUTS 32 SITEPROP SLICE_X28Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y126 NUM_PINS 45 SITEPROP SLICE_X28Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y126 PROHIBIT 0 SITEPROP SLICE_X28Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y126 RPM_X 81 SITEPROP SLICE_X28Y126 RPM_Y 252 SITEPROP SLICE_X28Y126 SITE_PIPS SITEPROP SLICE_X28Y126 SITE_TYPE SLICEL SITEPROP SLICE_X28Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y127 CLASS site SITEPROP SLICE_X28Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y127 IS_BONDED 0 SITEPROP SLICE_X28Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y127 IS_PAD 0 SITEPROP SLICE_X28Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y127 IS_RESERVED 0 SITEPROP SLICE_X28Y127 IS_TEST 0 SITEPROP SLICE_X28Y127 IS_USED 0 SITEPROP SLICE_X28Y127 MANUAL_ROUTING SITEPROP SLICE_X28Y127 NAME SLICE_X28Y127 SITEPROP SLICE_X28Y127 NUM_ARCS 138 SITEPROP SLICE_X28Y127 NUM_BELS 32 SITEPROP SLICE_X28Y127 NUM_INPUTS 32 SITEPROP SLICE_X28Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y127 NUM_PINS 45 SITEPROP SLICE_X28Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y127 PROHIBIT 0 SITEPROP SLICE_X28Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y127 RPM_X 81 SITEPROP SLICE_X28Y127 RPM_Y 254 SITEPROP SLICE_X28Y127 SITE_PIPS SITEPROP SLICE_X28Y127 SITE_TYPE SLICEL SITEPROP SLICE_X28Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y128 CLASS site SITEPROP SLICE_X28Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y128 IS_BONDED 0 SITEPROP SLICE_X28Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y128 IS_PAD 0 SITEPROP SLICE_X28Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y128 IS_RESERVED 0 SITEPROP SLICE_X28Y128 IS_TEST 0 SITEPROP SLICE_X28Y128 IS_USED 0 SITEPROP SLICE_X28Y128 MANUAL_ROUTING SITEPROP SLICE_X28Y128 NAME SLICE_X28Y128 SITEPROP SLICE_X28Y128 NUM_ARCS 138 SITEPROP SLICE_X28Y128 NUM_BELS 32 SITEPROP SLICE_X28Y128 NUM_INPUTS 32 SITEPROP SLICE_X28Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y128 NUM_PINS 45 SITEPROP SLICE_X28Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y128 PROHIBIT 0 SITEPROP SLICE_X28Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y128 RPM_X 81 SITEPROP SLICE_X28Y128 RPM_Y 256 SITEPROP SLICE_X28Y128 SITE_PIPS SITEPROP SLICE_X28Y128 SITE_TYPE SLICEL SITEPROP SLICE_X28Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y129 CLASS site SITEPROP SLICE_X28Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y129 IS_BONDED 0 SITEPROP SLICE_X28Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y129 IS_PAD 0 SITEPROP SLICE_X28Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y129 IS_RESERVED 0 SITEPROP SLICE_X28Y129 IS_TEST 0 SITEPROP SLICE_X28Y129 IS_USED 0 SITEPROP SLICE_X28Y129 MANUAL_ROUTING SITEPROP SLICE_X28Y129 NAME SLICE_X28Y129 SITEPROP SLICE_X28Y129 NUM_ARCS 138 SITEPROP SLICE_X28Y129 NUM_BELS 32 SITEPROP SLICE_X28Y129 NUM_INPUTS 32 SITEPROP SLICE_X28Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y129 NUM_PINS 45 SITEPROP SLICE_X28Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y129 PROHIBIT 0 SITEPROP SLICE_X28Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y129 RPM_X 81 SITEPROP SLICE_X28Y129 RPM_Y 258 SITEPROP SLICE_X28Y129 SITE_PIPS SITEPROP SLICE_X28Y129 SITE_TYPE SLICEL SITEPROP SLICE_X28Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y130 CLASS site SITEPROP SLICE_X28Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y130 IS_BONDED 0 SITEPROP SLICE_X28Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y130 IS_PAD 0 SITEPROP SLICE_X28Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y130 IS_RESERVED 0 SITEPROP SLICE_X28Y130 IS_TEST 0 SITEPROP SLICE_X28Y130 IS_USED 0 SITEPROP SLICE_X28Y130 MANUAL_ROUTING SITEPROP SLICE_X28Y130 NAME SLICE_X28Y130 SITEPROP SLICE_X28Y130 NUM_ARCS 138 SITEPROP SLICE_X28Y130 NUM_BELS 32 SITEPROP SLICE_X28Y130 NUM_INPUTS 32 SITEPROP SLICE_X28Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y130 NUM_PINS 45 SITEPROP SLICE_X28Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y130 PROHIBIT 0 SITEPROP SLICE_X28Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y130 RPM_X 81 SITEPROP SLICE_X28Y130 RPM_Y 260 SITEPROP SLICE_X28Y130 SITE_PIPS SITEPROP SLICE_X28Y130 SITE_TYPE SLICEL SITEPROP SLICE_X28Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y131 CLASS site SITEPROP SLICE_X28Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y131 IS_BONDED 0 SITEPROP SLICE_X28Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y131 IS_PAD 0 SITEPROP SLICE_X28Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y131 IS_RESERVED 0 SITEPROP SLICE_X28Y131 IS_TEST 0 SITEPROP SLICE_X28Y131 IS_USED 0 SITEPROP SLICE_X28Y131 MANUAL_ROUTING SITEPROP SLICE_X28Y131 NAME SLICE_X28Y131 SITEPROP SLICE_X28Y131 NUM_ARCS 138 SITEPROP SLICE_X28Y131 NUM_BELS 32 SITEPROP SLICE_X28Y131 NUM_INPUTS 32 SITEPROP SLICE_X28Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y131 NUM_PINS 45 SITEPROP SLICE_X28Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y131 PROHIBIT 0 SITEPROP SLICE_X28Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y131 RPM_X 81 SITEPROP SLICE_X28Y131 RPM_Y 262 SITEPROP SLICE_X28Y131 SITE_PIPS SITEPROP SLICE_X28Y131 SITE_TYPE SLICEL SITEPROP SLICE_X28Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y132 CLASS site SITEPROP SLICE_X28Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y132 IS_BONDED 0 SITEPROP SLICE_X28Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y132 IS_PAD 0 SITEPROP SLICE_X28Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y132 IS_RESERVED 0 SITEPROP SLICE_X28Y132 IS_TEST 0 SITEPROP SLICE_X28Y132 IS_USED 0 SITEPROP SLICE_X28Y132 MANUAL_ROUTING SITEPROP SLICE_X28Y132 NAME SLICE_X28Y132 SITEPROP SLICE_X28Y132 NUM_ARCS 138 SITEPROP SLICE_X28Y132 NUM_BELS 32 SITEPROP SLICE_X28Y132 NUM_INPUTS 32 SITEPROP SLICE_X28Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y132 NUM_PINS 45 SITEPROP SLICE_X28Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y132 PROHIBIT 0 SITEPROP SLICE_X28Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y132 RPM_X 81 SITEPROP SLICE_X28Y132 RPM_Y 264 SITEPROP SLICE_X28Y132 SITE_PIPS SITEPROP SLICE_X28Y132 SITE_TYPE SLICEL SITEPROP SLICE_X28Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y133 CLASS site SITEPROP SLICE_X28Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y133 IS_BONDED 0 SITEPROP SLICE_X28Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y133 IS_PAD 0 SITEPROP SLICE_X28Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y133 IS_RESERVED 0 SITEPROP SLICE_X28Y133 IS_TEST 0 SITEPROP SLICE_X28Y133 IS_USED 0 SITEPROP SLICE_X28Y133 MANUAL_ROUTING SITEPROP SLICE_X28Y133 NAME SLICE_X28Y133 SITEPROP SLICE_X28Y133 NUM_ARCS 138 SITEPROP SLICE_X28Y133 NUM_BELS 32 SITEPROP SLICE_X28Y133 NUM_INPUTS 32 SITEPROP SLICE_X28Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y133 NUM_PINS 45 SITEPROP SLICE_X28Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y133 PROHIBIT 0 SITEPROP SLICE_X28Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y133 RPM_X 81 SITEPROP SLICE_X28Y133 RPM_Y 266 SITEPROP SLICE_X28Y133 SITE_PIPS SITEPROP SLICE_X28Y133 SITE_TYPE SLICEL SITEPROP SLICE_X28Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y134 CLASS site SITEPROP SLICE_X28Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y134 IS_BONDED 0 SITEPROP SLICE_X28Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y134 IS_PAD 0 SITEPROP SLICE_X28Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y134 IS_RESERVED 0 SITEPROP SLICE_X28Y134 IS_TEST 0 SITEPROP SLICE_X28Y134 IS_USED 0 SITEPROP SLICE_X28Y134 MANUAL_ROUTING SITEPROP SLICE_X28Y134 NAME SLICE_X28Y134 SITEPROP SLICE_X28Y134 NUM_ARCS 138 SITEPROP SLICE_X28Y134 NUM_BELS 32 SITEPROP SLICE_X28Y134 NUM_INPUTS 32 SITEPROP SLICE_X28Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y134 NUM_PINS 45 SITEPROP SLICE_X28Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y134 PROHIBIT 0 SITEPROP SLICE_X28Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y134 RPM_X 81 SITEPROP SLICE_X28Y134 RPM_Y 268 SITEPROP SLICE_X28Y134 SITE_PIPS SITEPROP SLICE_X28Y134 SITE_TYPE SLICEL SITEPROP SLICE_X28Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y135 CLASS site SITEPROP SLICE_X28Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y135 IS_BONDED 0 SITEPROP SLICE_X28Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y135 IS_PAD 0 SITEPROP SLICE_X28Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y135 IS_RESERVED 0 SITEPROP SLICE_X28Y135 IS_TEST 0 SITEPROP SLICE_X28Y135 IS_USED 0 SITEPROP SLICE_X28Y135 MANUAL_ROUTING SITEPROP SLICE_X28Y135 NAME SLICE_X28Y135 SITEPROP SLICE_X28Y135 NUM_ARCS 138 SITEPROP SLICE_X28Y135 NUM_BELS 32 SITEPROP SLICE_X28Y135 NUM_INPUTS 32 SITEPROP SLICE_X28Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y135 NUM_PINS 45 SITEPROP SLICE_X28Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y135 PROHIBIT 0 SITEPROP SLICE_X28Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y135 RPM_X 81 SITEPROP SLICE_X28Y135 RPM_Y 270 SITEPROP SLICE_X28Y135 SITE_PIPS SITEPROP SLICE_X28Y135 SITE_TYPE SLICEL SITEPROP SLICE_X28Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y136 CLASS site SITEPROP SLICE_X28Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y136 IS_BONDED 0 SITEPROP SLICE_X28Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y136 IS_PAD 0 SITEPROP SLICE_X28Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y136 IS_RESERVED 0 SITEPROP SLICE_X28Y136 IS_TEST 0 SITEPROP SLICE_X28Y136 IS_USED 0 SITEPROP SLICE_X28Y136 MANUAL_ROUTING SITEPROP SLICE_X28Y136 NAME SLICE_X28Y136 SITEPROP SLICE_X28Y136 NUM_ARCS 138 SITEPROP SLICE_X28Y136 NUM_BELS 32 SITEPROP SLICE_X28Y136 NUM_INPUTS 32 SITEPROP SLICE_X28Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y136 NUM_PINS 45 SITEPROP SLICE_X28Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y136 PROHIBIT 0 SITEPROP SLICE_X28Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y136 RPM_X 81 SITEPROP SLICE_X28Y136 RPM_Y 272 SITEPROP SLICE_X28Y136 SITE_PIPS SITEPROP SLICE_X28Y136 SITE_TYPE SLICEL SITEPROP SLICE_X28Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y137 CLASS site SITEPROP SLICE_X28Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y137 IS_BONDED 0 SITEPROP SLICE_X28Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y137 IS_PAD 0 SITEPROP SLICE_X28Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y137 IS_RESERVED 0 SITEPROP SLICE_X28Y137 IS_TEST 0 SITEPROP SLICE_X28Y137 IS_USED 0 SITEPROP SLICE_X28Y137 MANUAL_ROUTING SITEPROP SLICE_X28Y137 NAME SLICE_X28Y137 SITEPROP SLICE_X28Y137 NUM_ARCS 138 SITEPROP SLICE_X28Y137 NUM_BELS 32 SITEPROP SLICE_X28Y137 NUM_INPUTS 32 SITEPROP SLICE_X28Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y137 NUM_PINS 45 SITEPROP SLICE_X28Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y137 PROHIBIT 0 SITEPROP SLICE_X28Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y137 RPM_X 81 SITEPROP SLICE_X28Y137 RPM_Y 274 SITEPROP SLICE_X28Y137 SITE_PIPS SITEPROP SLICE_X28Y137 SITE_TYPE SLICEL SITEPROP SLICE_X28Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y138 CLASS site SITEPROP SLICE_X28Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y138 IS_BONDED 0 SITEPROP SLICE_X28Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y138 IS_PAD 0 SITEPROP SLICE_X28Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y138 IS_RESERVED 0 SITEPROP SLICE_X28Y138 IS_TEST 0 SITEPROP SLICE_X28Y138 IS_USED 0 SITEPROP SLICE_X28Y138 MANUAL_ROUTING SITEPROP SLICE_X28Y138 NAME SLICE_X28Y138 SITEPROP SLICE_X28Y138 NUM_ARCS 138 SITEPROP SLICE_X28Y138 NUM_BELS 32 SITEPROP SLICE_X28Y138 NUM_INPUTS 32 SITEPROP SLICE_X28Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y138 NUM_PINS 45 SITEPROP SLICE_X28Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y138 PROHIBIT 0 SITEPROP SLICE_X28Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y138 RPM_X 81 SITEPROP SLICE_X28Y138 RPM_Y 276 SITEPROP SLICE_X28Y138 SITE_PIPS SITEPROP SLICE_X28Y138 SITE_TYPE SLICEL SITEPROP SLICE_X28Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y139 CLASS site SITEPROP SLICE_X28Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y139 IS_BONDED 0 SITEPROP SLICE_X28Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y139 IS_PAD 0 SITEPROP SLICE_X28Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y139 IS_RESERVED 0 SITEPROP SLICE_X28Y139 IS_TEST 0 SITEPROP SLICE_X28Y139 IS_USED 0 SITEPROP SLICE_X28Y139 MANUAL_ROUTING SITEPROP SLICE_X28Y139 NAME SLICE_X28Y139 SITEPROP SLICE_X28Y139 NUM_ARCS 138 SITEPROP SLICE_X28Y139 NUM_BELS 32 SITEPROP SLICE_X28Y139 NUM_INPUTS 32 SITEPROP SLICE_X28Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y139 NUM_PINS 45 SITEPROP SLICE_X28Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y139 PROHIBIT 0 SITEPROP SLICE_X28Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y139 RPM_X 81 SITEPROP SLICE_X28Y139 RPM_Y 278 SITEPROP SLICE_X28Y139 SITE_PIPS SITEPROP SLICE_X28Y139 SITE_TYPE SLICEL SITEPROP SLICE_X28Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y140 CLASS site SITEPROP SLICE_X28Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y140 IS_BONDED 0 SITEPROP SLICE_X28Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y140 IS_PAD 0 SITEPROP SLICE_X28Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y140 IS_RESERVED 0 SITEPROP SLICE_X28Y140 IS_TEST 0 SITEPROP SLICE_X28Y140 IS_USED 0 SITEPROP SLICE_X28Y140 MANUAL_ROUTING SITEPROP SLICE_X28Y140 NAME SLICE_X28Y140 SITEPROP SLICE_X28Y140 NUM_ARCS 138 SITEPROP SLICE_X28Y140 NUM_BELS 32 SITEPROP SLICE_X28Y140 NUM_INPUTS 32 SITEPROP SLICE_X28Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y140 NUM_PINS 45 SITEPROP SLICE_X28Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y140 PROHIBIT 0 SITEPROP SLICE_X28Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y140 RPM_X 81 SITEPROP SLICE_X28Y140 RPM_Y 280 SITEPROP SLICE_X28Y140 SITE_PIPS SITEPROP SLICE_X28Y140 SITE_TYPE SLICEL SITEPROP SLICE_X28Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y141 CLASS site SITEPROP SLICE_X28Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y141 IS_BONDED 0 SITEPROP SLICE_X28Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y141 IS_PAD 0 SITEPROP SLICE_X28Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y141 IS_RESERVED 0 SITEPROP SLICE_X28Y141 IS_TEST 0 SITEPROP SLICE_X28Y141 IS_USED 0 SITEPROP SLICE_X28Y141 MANUAL_ROUTING SITEPROP SLICE_X28Y141 NAME SLICE_X28Y141 SITEPROP SLICE_X28Y141 NUM_ARCS 138 SITEPROP SLICE_X28Y141 NUM_BELS 32 SITEPROP SLICE_X28Y141 NUM_INPUTS 32 SITEPROP SLICE_X28Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y141 NUM_PINS 45 SITEPROP SLICE_X28Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y141 PROHIBIT 0 SITEPROP SLICE_X28Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y141 RPM_X 81 SITEPROP SLICE_X28Y141 RPM_Y 282 SITEPROP SLICE_X28Y141 SITE_PIPS SITEPROP SLICE_X28Y141 SITE_TYPE SLICEL SITEPROP SLICE_X28Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y142 CLASS site SITEPROP SLICE_X28Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y142 IS_BONDED 0 SITEPROP SLICE_X28Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y142 IS_PAD 0 SITEPROP SLICE_X28Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y142 IS_RESERVED 0 SITEPROP SLICE_X28Y142 IS_TEST 0 SITEPROP SLICE_X28Y142 IS_USED 0 SITEPROP SLICE_X28Y142 MANUAL_ROUTING SITEPROP SLICE_X28Y142 NAME SLICE_X28Y142 SITEPROP SLICE_X28Y142 NUM_ARCS 138 SITEPROP SLICE_X28Y142 NUM_BELS 32 SITEPROP SLICE_X28Y142 NUM_INPUTS 32 SITEPROP SLICE_X28Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y142 NUM_PINS 45 SITEPROP SLICE_X28Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y142 PROHIBIT 0 SITEPROP SLICE_X28Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y142 RPM_X 81 SITEPROP SLICE_X28Y142 RPM_Y 284 SITEPROP SLICE_X28Y142 SITE_PIPS SITEPROP SLICE_X28Y142 SITE_TYPE SLICEL SITEPROP SLICE_X28Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y143 CLASS site SITEPROP SLICE_X28Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y143 IS_BONDED 0 SITEPROP SLICE_X28Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y143 IS_PAD 0 SITEPROP SLICE_X28Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y143 IS_RESERVED 0 SITEPROP SLICE_X28Y143 IS_TEST 0 SITEPROP SLICE_X28Y143 IS_USED 0 SITEPROP SLICE_X28Y143 MANUAL_ROUTING SITEPROP SLICE_X28Y143 NAME SLICE_X28Y143 SITEPROP SLICE_X28Y143 NUM_ARCS 138 SITEPROP SLICE_X28Y143 NUM_BELS 32 SITEPROP SLICE_X28Y143 NUM_INPUTS 32 SITEPROP SLICE_X28Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y143 NUM_PINS 45 SITEPROP SLICE_X28Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y143 PROHIBIT 0 SITEPROP SLICE_X28Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y143 RPM_X 81 SITEPROP SLICE_X28Y143 RPM_Y 286 SITEPROP SLICE_X28Y143 SITE_PIPS SITEPROP SLICE_X28Y143 SITE_TYPE SLICEL SITEPROP SLICE_X28Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y144 CLASS site SITEPROP SLICE_X28Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y144 IS_BONDED 0 SITEPROP SLICE_X28Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y144 IS_PAD 0 SITEPROP SLICE_X28Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y144 IS_RESERVED 0 SITEPROP SLICE_X28Y144 IS_TEST 0 SITEPROP SLICE_X28Y144 IS_USED 0 SITEPROP SLICE_X28Y144 MANUAL_ROUTING SITEPROP SLICE_X28Y144 NAME SLICE_X28Y144 SITEPROP SLICE_X28Y144 NUM_ARCS 138 SITEPROP SLICE_X28Y144 NUM_BELS 32 SITEPROP SLICE_X28Y144 NUM_INPUTS 32 SITEPROP SLICE_X28Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y144 NUM_PINS 45 SITEPROP SLICE_X28Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y144 PROHIBIT 0 SITEPROP SLICE_X28Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y144 RPM_X 81 SITEPROP SLICE_X28Y144 RPM_Y 288 SITEPROP SLICE_X28Y144 SITE_PIPS SITEPROP SLICE_X28Y144 SITE_TYPE SLICEL SITEPROP SLICE_X28Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y145 CLASS site SITEPROP SLICE_X28Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y145 IS_BONDED 0 SITEPROP SLICE_X28Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y145 IS_PAD 0 SITEPROP SLICE_X28Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y145 IS_RESERVED 0 SITEPROP SLICE_X28Y145 IS_TEST 0 SITEPROP SLICE_X28Y145 IS_USED 0 SITEPROP SLICE_X28Y145 MANUAL_ROUTING SITEPROP SLICE_X28Y145 NAME SLICE_X28Y145 SITEPROP SLICE_X28Y145 NUM_ARCS 138 SITEPROP SLICE_X28Y145 NUM_BELS 32 SITEPROP SLICE_X28Y145 NUM_INPUTS 32 SITEPROP SLICE_X28Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y145 NUM_PINS 45 SITEPROP SLICE_X28Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y145 PROHIBIT 0 SITEPROP SLICE_X28Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y145 RPM_X 81 SITEPROP SLICE_X28Y145 RPM_Y 290 SITEPROP SLICE_X28Y145 SITE_PIPS SITEPROP SLICE_X28Y145 SITE_TYPE SLICEL SITEPROP SLICE_X28Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y146 CLASS site SITEPROP SLICE_X28Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y146 IS_BONDED 0 SITEPROP SLICE_X28Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y146 IS_PAD 0 SITEPROP SLICE_X28Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y146 IS_RESERVED 0 SITEPROP SLICE_X28Y146 IS_TEST 0 SITEPROP SLICE_X28Y146 IS_USED 0 SITEPROP SLICE_X28Y146 MANUAL_ROUTING SITEPROP SLICE_X28Y146 NAME SLICE_X28Y146 SITEPROP SLICE_X28Y146 NUM_ARCS 138 SITEPROP SLICE_X28Y146 NUM_BELS 32 SITEPROP SLICE_X28Y146 NUM_INPUTS 32 SITEPROP SLICE_X28Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y146 NUM_PINS 45 SITEPROP SLICE_X28Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y146 PROHIBIT 0 SITEPROP SLICE_X28Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y146 RPM_X 81 SITEPROP SLICE_X28Y146 RPM_Y 292 SITEPROP SLICE_X28Y146 SITE_PIPS SITEPROP SLICE_X28Y146 SITE_TYPE SLICEL SITEPROP SLICE_X28Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y147 CLASS site SITEPROP SLICE_X28Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y147 IS_BONDED 0 SITEPROP SLICE_X28Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y147 IS_PAD 0 SITEPROP SLICE_X28Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y147 IS_RESERVED 0 SITEPROP SLICE_X28Y147 IS_TEST 0 SITEPROP SLICE_X28Y147 IS_USED 0 SITEPROP SLICE_X28Y147 MANUAL_ROUTING SITEPROP SLICE_X28Y147 NAME SLICE_X28Y147 SITEPROP SLICE_X28Y147 NUM_ARCS 138 SITEPROP SLICE_X28Y147 NUM_BELS 32 SITEPROP SLICE_X28Y147 NUM_INPUTS 32 SITEPROP SLICE_X28Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y147 NUM_PINS 45 SITEPROP SLICE_X28Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y147 PROHIBIT 0 SITEPROP SLICE_X28Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y147 RPM_X 81 SITEPROP SLICE_X28Y147 RPM_Y 294 SITEPROP SLICE_X28Y147 SITE_PIPS SITEPROP SLICE_X28Y147 SITE_TYPE SLICEL SITEPROP SLICE_X28Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y148 CLASS site SITEPROP SLICE_X28Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y148 IS_BONDED 0 SITEPROP SLICE_X28Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y148 IS_PAD 0 SITEPROP SLICE_X28Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y148 IS_RESERVED 0 SITEPROP SLICE_X28Y148 IS_TEST 0 SITEPROP SLICE_X28Y148 IS_USED 0 SITEPROP SLICE_X28Y148 MANUAL_ROUTING SITEPROP SLICE_X28Y148 NAME SLICE_X28Y148 SITEPROP SLICE_X28Y148 NUM_ARCS 138 SITEPROP SLICE_X28Y148 NUM_BELS 32 SITEPROP SLICE_X28Y148 NUM_INPUTS 32 SITEPROP SLICE_X28Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y148 NUM_PINS 45 SITEPROP SLICE_X28Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y148 PROHIBIT 0 SITEPROP SLICE_X28Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y148 RPM_X 81 SITEPROP SLICE_X28Y148 RPM_Y 296 SITEPROP SLICE_X28Y148 SITE_PIPS SITEPROP SLICE_X28Y148 SITE_TYPE SLICEL SITEPROP SLICE_X28Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X28Y149 CLASS site SITEPROP SLICE_X28Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X28Y149 IS_BONDED 0 SITEPROP SLICE_X28Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X28Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y149 IS_PAD 0 SITEPROP SLICE_X28Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X28Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X28Y149 IS_RESERVED 0 SITEPROP SLICE_X28Y149 IS_TEST 0 SITEPROP SLICE_X28Y149 IS_USED 0 SITEPROP SLICE_X28Y149 MANUAL_ROUTING SITEPROP SLICE_X28Y149 NAME SLICE_X28Y149 SITEPROP SLICE_X28Y149 NUM_ARCS 138 SITEPROP SLICE_X28Y149 NUM_BELS 32 SITEPROP SLICE_X28Y149 NUM_INPUTS 32 SITEPROP SLICE_X28Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X28Y149 NUM_PINS 45 SITEPROP SLICE_X28Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X28Y149 PROHIBIT 0 SITEPROP SLICE_X28Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X28Y149 RPM_X 81 SITEPROP SLICE_X28Y149 RPM_Y 298 SITEPROP SLICE_X28Y149 SITE_PIPS SITEPROP SLICE_X28Y149 SITE_TYPE SLICEL SITEPROP SLICE_X29Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y0 CLASS site SITEPROP SLICE_X29Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y0 IS_BONDED 0 SITEPROP SLICE_X29Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y0 IS_PAD 0 SITEPROP SLICE_X29Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y0 IS_RESERVED 0 SITEPROP SLICE_X29Y0 IS_TEST 0 SITEPROP SLICE_X29Y0 IS_USED 0 SITEPROP SLICE_X29Y0 MANUAL_ROUTING SITEPROP SLICE_X29Y0 NAME SLICE_X29Y0 SITEPROP SLICE_X29Y0 NUM_ARCS 138 SITEPROP SLICE_X29Y0 NUM_BELS 32 SITEPROP SLICE_X29Y0 NUM_INPUTS 32 SITEPROP SLICE_X29Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y0 NUM_PINS 45 SITEPROP SLICE_X29Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y0 PROHIBIT 0 SITEPROP SLICE_X29Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y0 RPM_X 83 SITEPROP SLICE_X29Y0 RPM_Y 0 SITEPROP SLICE_X29Y0 SITE_PIPS SITEPROP SLICE_X29Y0 SITE_TYPE SLICEL SITEPROP SLICE_X29Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y1 CLASS site SITEPROP SLICE_X29Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y1 IS_BONDED 0 SITEPROP SLICE_X29Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y1 IS_PAD 0 SITEPROP SLICE_X29Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y1 IS_RESERVED 0 SITEPROP SLICE_X29Y1 IS_TEST 0 SITEPROP SLICE_X29Y1 IS_USED 0 SITEPROP SLICE_X29Y1 MANUAL_ROUTING SITEPROP SLICE_X29Y1 NAME SLICE_X29Y1 SITEPROP SLICE_X29Y1 NUM_ARCS 138 SITEPROP SLICE_X29Y1 NUM_BELS 32 SITEPROP SLICE_X29Y1 NUM_INPUTS 32 SITEPROP SLICE_X29Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y1 NUM_PINS 45 SITEPROP SLICE_X29Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y1 PROHIBIT 0 SITEPROP SLICE_X29Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y1 RPM_X 83 SITEPROP SLICE_X29Y1 RPM_Y 2 SITEPROP SLICE_X29Y1 SITE_PIPS SITEPROP SLICE_X29Y1 SITE_TYPE SLICEL SITEPROP SLICE_X29Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y2 CLASS site SITEPROP SLICE_X29Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y2 IS_BONDED 0 SITEPROP SLICE_X29Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y2 IS_PAD 0 SITEPROP SLICE_X29Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y2 IS_RESERVED 0 SITEPROP SLICE_X29Y2 IS_TEST 0 SITEPROP SLICE_X29Y2 IS_USED 0 SITEPROP SLICE_X29Y2 MANUAL_ROUTING SITEPROP SLICE_X29Y2 NAME SLICE_X29Y2 SITEPROP SLICE_X29Y2 NUM_ARCS 138 SITEPROP SLICE_X29Y2 NUM_BELS 32 SITEPROP SLICE_X29Y2 NUM_INPUTS 32 SITEPROP SLICE_X29Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y2 NUM_PINS 45 SITEPROP SLICE_X29Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y2 PROHIBIT 0 SITEPROP SLICE_X29Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y2 RPM_X 83 SITEPROP SLICE_X29Y2 RPM_Y 4 SITEPROP SLICE_X29Y2 SITE_PIPS SITEPROP SLICE_X29Y2 SITE_TYPE SLICEL SITEPROP SLICE_X29Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y3 CLASS site SITEPROP SLICE_X29Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y3 IS_BONDED 0 SITEPROP SLICE_X29Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y3 IS_PAD 0 SITEPROP SLICE_X29Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y3 IS_RESERVED 0 SITEPROP SLICE_X29Y3 IS_TEST 0 SITEPROP SLICE_X29Y3 IS_USED 0 SITEPROP SLICE_X29Y3 MANUAL_ROUTING SITEPROP SLICE_X29Y3 NAME SLICE_X29Y3 SITEPROP SLICE_X29Y3 NUM_ARCS 138 SITEPROP SLICE_X29Y3 NUM_BELS 32 SITEPROP SLICE_X29Y3 NUM_INPUTS 32 SITEPROP SLICE_X29Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y3 NUM_PINS 45 SITEPROP SLICE_X29Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y3 PROHIBIT 0 SITEPROP SLICE_X29Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y3 RPM_X 83 SITEPROP SLICE_X29Y3 RPM_Y 6 SITEPROP SLICE_X29Y3 SITE_PIPS SITEPROP SLICE_X29Y3 SITE_TYPE SLICEL SITEPROP SLICE_X29Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y4 CLASS site SITEPROP SLICE_X29Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y4 IS_BONDED 0 SITEPROP SLICE_X29Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y4 IS_PAD 0 SITEPROP SLICE_X29Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y4 IS_RESERVED 0 SITEPROP SLICE_X29Y4 IS_TEST 0 SITEPROP SLICE_X29Y4 IS_USED 0 SITEPROP SLICE_X29Y4 MANUAL_ROUTING SITEPROP SLICE_X29Y4 NAME SLICE_X29Y4 SITEPROP SLICE_X29Y4 NUM_ARCS 138 SITEPROP SLICE_X29Y4 NUM_BELS 32 SITEPROP SLICE_X29Y4 NUM_INPUTS 32 SITEPROP SLICE_X29Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y4 NUM_PINS 45 SITEPROP SLICE_X29Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y4 PROHIBIT 0 SITEPROP SLICE_X29Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y4 RPM_X 83 SITEPROP SLICE_X29Y4 RPM_Y 8 SITEPROP SLICE_X29Y4 SITE_PIPS SITEPROP SLICE_X29Y4 SITE_TYPE SLICEL SITEPROP SLICE_X29Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y5 CLASS site SITEPROP SLICE_X29Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y5 IS_BONDED 0 SITEPROP SLICE_X29Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y5 IS_PAD 0 SITEPROP SLICE_X29Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y5 IS_RESERVED 0 SITEPROP SLICE_X29Y5 IS_TEST 0 SITEPROP SLICE_X29Y5 IS_USED 0 SITEPROP SLICE_X29Y5 MANUAL_ROUTING SITEPROP SLICE_X29Y5 NAME SLICE_X29Y5 SITEPROP SLICE_X29Y5 NUM_ARCS 138 SITEPROP SLICE_X29Y5 NUM_BELS 32 SITEPROP SLICE_X29Y5 NUM_INPUTS 32 SITEPROP SLICE_X29Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y5 NUM_PINS 45 SITEPROP SLICE_X29Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y5 PROHIBIT 0 SITEPROP SLICE_X29Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y5 RPM_X 83 SITEPROP SLICE_X29Y5 RPM_Y 10 SITEPROP SLICE_X29Y5 SITE_PIPS SITEPROP SLICE_X29Y5 SITE_TYPE SLICEL SITEPROP SLICE_X29Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y6 CLASS site SITEPROP SLICE_X29Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y6 IS_BONDED 0 SITEPROP SLICE_X29Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y6 IS_PAD 0 SITEPROP SLICE_X29Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y6 IS_RESERVED 0 SITEPROP SLICE_X29Y6 IS_TEST 0 SITEPROP SLICE_X29Y6 IS_USED 0 SITEPROP SLICE_X29Y6 MANUAL_ROUTING SITEPROP SLICE_X29Y6 NAME SLICE_X29Y6 SITEPROP SLICE_X29Y6 NUM_ARCS 138 SITEPROP SLICE_X29Y6 NUM_BELS 32 SITEPROP SLICE_X29Y6 NUM_INPUTS 32 SITEPROP SLICE_X29Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y6 NUM_PINS 45 SITEPROP SLICE_X29Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y6 PROHIBIT 0 SITEPROP SLICE_X29Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y6 RPM_X 83 SITEPROP SLICE_X29Y6 RPM_Y 12 SITEPROP SLICE_X29Y6 SITE_PIPS SITEPROP SLICE_X29Y6 SITE_TYPE SLICEL SITEPROP SLICE_X29Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y7 CLASS site SITEPROP SLICE_X29Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y7 IS_BONDED 0 SITEPROP SLICE_X29Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y7 IS_PAD 0 SITEPROP SLICE_X29Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y7 IS_RESERVED 0 SITEPROP SLICE_X29Y7 IS_TEST 0 SITEPROP SLICE_X29Y7 IS_USED 0 SITEPROP SLICE_X29Y7 MANUAL_ROUTING SITEPROP SLICE_X29Y7 NAME SLICE_X29Y7 SITEPROP SLICE_X29Y7 NUM_ARCS 138 SITEPROP SLICE_X29Y7 NUM_BELS 32 SITEPROP SLICE_X29Y7 NUM_INPUTS 32 SITEPROP SLICE_X29Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y7 NUM_PINS 45 SITEPROP SLICE_X29Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y7 PROHIBIT 0 SITEPROP SLICE_X29Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y7 RPM_X 83 SITEPROP SLICE_X29Y7 RPM_Y 14 SITEPROP SLICE_X29Y7 SITE_PIPS SITEPROP SLICE_X29Y7 SITE_TYPE SLICEL SITEPROP SLICE_X29Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y8 CLASS site SITEPROP SLICE_X29Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y8 IS_BONDED 0 SITEPROP SLICE_X29Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y8 IS_PAD 0 SITEPROP SLICE_X29Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y8 IS_RESERVED 0 SITEPROP SLICE_X29Y8 IS_TEST 0 SITEPROP SLICE_X29Y8 IS_USED 0 SITEPROP SLICE_X29Y8 MANUAL_ROUTING SITEPROP SLICE_X29Y8 NAME SLICE_X29Y8 SITEPROP SLICE_X29Y8 NUM_ARCS 138 SITEPROP SLICE_X29Y8 NUM_BELS 32 SITEPROP SLICE_X29Y8 NUM_INPUTS 32 SITEPROP SLICE_X29Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y8 NUM_PINS 45 SITEPROP SLICE_X29Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y8 PROHIBIT 0 SITEPROP SLICE_X29Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y8 RPM_X 83 SITEPROP SLICE_X29Y8 RPM_Y 16 SITEPROP SLICE_X29Y8 SITE_PIPS SITEPROP SLICE_X29Y8 SITE_TYPE SLICEL SITEPROP SLICE_X29Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y9 CLASS site SITEPROP SLICE_X29Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y9 IS_BONDED 0 SITEPROP SLICE_X29Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y9 IS_PAD 0 SITEPROP SLICE_X29Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y9 IS_RESERVED 0 SITEPROP SLICE_X29Y9 IS_TEST 0 SITEPROP SLICE_X29Y9 IS_USED 0 SITEPROP SLICE_X29Y9 MANUAL_ROUTING SITEPROP SLICE_X29Y9 NAME SLICE_X29Y9 SITEPROP SLICE_X29Y9 NUM_ARCS 138 SITEPROP SLICE_X29Y9 NUM_BELS 32 SITEPROP SLICE_X29Y9 NUM_INPUTS 32 SITEPROP SLICE_X29Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y9 NUM_PINS 45 SITEPROP SLICE_X29Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y9 PROHIBIT 0 SITEPROP SLICE_X29Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y9 RPM_X 83 SITEPROP SLICE_X29Y9 RPM_Y 18 SITEPROP SLICE_X29Y9 SITE_PIPS SITEPROP SLICE_X29Y9 SITE_TYPE SLICEL SITEPROP SLICE_X29Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y10 CLASS site SITEPROP SLICE_X29Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y10 IS_BONDED 0 SITEPROP SLICE_X29Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y10 IS_PAD 0 SITEPROP SLICE_X29Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y10 IS_RESERVED 0 SITEPROP SLICE_X29Y10 IS_TEST 0 SITEPROP SLICE_X29Y10 IS_USED 0 SITEPROP SLICE_X29Y10 MANUAL_ROUTING SITEPROP SLICE_X29Y10 NAME SLICE_X29Y10 SITEPROP SLICE_X29Y10 NUM_ARCS 138 SITEPROP SLICE_X29Y10 NUM_BELS 32 SITEPROP SLICE_X29Y10 NUM_INPUTS 32 SITEPROP SLICE_X29Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y10 NUM_PINS 45 SITEPROP SLICE_X29Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y10 PROHIBIT 0 SITEPROP SLICE_X29Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y10 RPM_X 83 SITEPROP SLICE_X29Y10 RPM_Y 20 SITEPROP SLICE_X29Y10 SITE_PIPS SITEPROP SLICE_X29Y10 SITE_TYPE SLICEL SITEPROP SLICE_X29Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y11 CLASS site SITEPROP SLICE_X29Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y11 IS_BONDED 0 SITEPROP SLICE_X29Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y11 IS_PAD 0 SITEPROP SLICE_X29Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y11 IS_RESERVED 0 SITEPROP SLICE_X29Y11 IS_TEST 0 SITEPROP SLICE_X29Y11 IS_USED 0 SITEPROP SLICE_X29Y11 MANUAL_ROUTING SITEPROP SLICE_X29Y11 NAME SLICE_X29Y11 SITEPROP SLICE_X29Y11 NUM_ARCS 138 SITEPROP SLICE_X29Y11 NUM_BELS 32 SITEPROP SLICE_X29Y11 NUM_INPUTS 32 SITEPROP SLICE_X29Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y11 NUM_PINS 45 SITEPROP SLICE_X29Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y11 PROHIBIT 0 SITEPROP SLICE_X29Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y11 RPM_X 83 SITEPROP SLICE_X29Y11 RPM_Y 22 SITEPROP SLICE_X29Y11 SITE_PIPS SITEPROP SLICE_X29Y11 SITE_TYPE SLICEL SITEPROP SLICE_X29Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y12 CLASS site SITEPROP SLICE_X29Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y12 IS_BONDED 0 SITEPROP SLICE_X29Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y12 IS_PAD 0 SITEPROP SLICE_X29Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y12 IS_RESERVED 0 SITEPROP SLICE_X29Y12 IS_TEST 0 SITEPROP SLICE_X29Y12 IS_USED 0 SITEPROP SLICE_X29Y12 MANUAL_ROUTING SITEPROP SLICE_X29Y12 NAME SLICE_X29Y12 SITEPROP SLICE_X29Y12 NUM_ARCS 138 SITEPROP SLICE_X29Y12 NUM_BELS 32 SITEPROP SLICE_X29Y12 NUM_INPUTS 32 SITEPROP SLICE_X29Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y12 NUM_PINS 45 SITEPROP SLICE_X29Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y12 PROHIBIT 0 SITEPROP SLICE_X29Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y12 RPM_X 83 SITEPROP SLICE_X29Y12 RPM_Y 24 SITEPROP SLICE_X29Y12 SITE_PIPS SITEPROP SLICE_X29Y12 SITE_TYPE SLICEL SITEPROP SLICE_X29Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y13 CLASS site SITEPROP SLICE_X29Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y13 IS_BONDED 0 SITEPROP SLICE_X29Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y13 IS_PAD 0 SITEPROP SLICE_X29Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y13 IS_RESERVED 0 SITEPROP SLICE_X29Y13 IS_TEST 0 SITEPROP SLICE_X29Y13 IS_USED 0 SITEPROP SLICE_X29Y13 MANUAL_ROUTING SITEPROP SLICE_X29Y13 NAME SLICE_X29Y13 SITEPROP SLICE_X29Y13 NUM_ARCS 138 SITEPROP SLICE_X29Y13 NUM_BELS 32 SITEPROP SLICE_X29Y13 NUM_INPUTS 32 SITEPROP SLICE_X29Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y13 NUM_PINS 45 SITEPROP SLICE_X29Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y13 PROHIBIT 0 SITEPROP SLICE_X29Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y13 RPM_X 83 SITEPROP SLICE_X29Y13 RPM_Y 26 SITEPROP SLICE_X29Y13 SITE_PIPS SITEPROP SLICE_X29Y13 SITE_TYPE SLICEL SITEPROP SLICE_X29Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y14 CLASS site SITEPROP SLICE_X29Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y14 IS_BONDED 0 SITEPROP SLICE_X29Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y14 IS_PAD 0 SITEPROP SLICE_X29Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y14 IS_RESERVED 0 SITEPROP SLICE_X29Y14 IS_TEST 0 SITEPROP SLICE_X29Y14 IS_USED 0 SITEPROP SLICE_X29Y14 MANUAL_ROUTING SITEPROP SLICE_X29Y14 NAME SLICE_X29Y14 SITEPROP SLICE_X29Y14 NUM_ARCS 138 SITEPROP SLICE_X29Y14 NUM_BELS 32 SITEPROP SLICE_X29Y14 NUM_INPUTS 32 SITEPROP SLICE_X29Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y14 NUM_PINS 45 SITEPROP SLICE_X29Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y14 PROHIBIT 0 SITEPROP SLICE_X29Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y14 RPM_X 83 SITEPROP SLICE_X29Y14 RPM_Y 28 SITEPROP SLICE_X29Y14 SITE_PIPS SITEPROP SLICE_X29Y14 SITE_TYPE SLICEL SITEPROP SLICE_X29Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y15 CLASS site SITEPROP SLICE_X29Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y15 IS_BONDED 0 SITEPROP SLICE_X29Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y15 IS_PAD 0 SITEPROP SLICE_X29Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y15 IS_RESERVED 0 SITEPROP SLICE_X29Y15 IS_TEST 0 SITEPROP SLICE_X29Y15 IS_USED 0 SITEPROP SLICE_X29Y15 MANUAL_ROUTING SITEPROP SLICE_X29Y15 NAME SLICE_X29Y15 SITEPROP SLICE_X29Y15 NUM_ARCS 138 SITEPROP SLICE_X29Y15 NUM_BELS 32 SITEPROP SLICE_X29Y15 NUM_INPUTS 32 SITEPROP SLICE_X29Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y15 NUM_PINS 45 SITEPROP SLICE_X29Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y15 PROHIBIT 0 SITEPROP SLICE_X29Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y15 RPM_X 83 SITEPROP SLICE_X29Y15 RPM_Y 30 SITEPROP SLICE_X29Y15 SITE_PIPS SITEPROP SLICE_X29Y15 SITE_TYPE SLICEL SITEPROP SLICE_X29Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y16 CLASS site SITEPROP SLICE_X29Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y16 IS_BONDED 0 SITEPROP SLICE_X29Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y16 IS_PAD 0 SITEPROP SLICE_X29Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y16 IS_RESERVED 0 SITEPROP SLICE_X29Y16 IS_TEST 0 SITEPROP SLICE_X29Y16 IS_USED 0 SITEPROP SLICE_X29Y16 MANUAL_ROUTING SITEPROP SLICE_X29Y16 NAME SLICE_X29Y16 SITEPROP SLICE_X29Y16 NUM_ARCS 138 SITEPROP SLICE_X29Y16 NUM_BELS 32 SITEPROP SLICE_X29Y16 NUM_INPUTS 32 SITEPROP SLICE_X29Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y16 NUM_PINS 45 SITEPROP SLICE_X29Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y16 PROHIBIT 0 SITEPROP SLICE_X29Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y16 RPM_X 83 SITEPROP SLICE_X29Y16 RPM_Y 32 SITEPROP SLICE_X29Y16 SITE_PIPS SITEPROP SLICE_X29Y16 SITE_TYPE SLICEL SITEPROP SLICE_X29Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y17 CLASS site SITEPROP SLICE_X29Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y17 IS_BONDED 0 SITEPROP SLICE_X29Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y17 IS_PAD 0 SITEPROP SLICE_X29Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y17 IS_RESERVED 0 SITEPROP SLICE_X29Y17 IS_TEST 0 SITEPROP SLICE_X29Y17 IS_USED 0 SITEPROP SLICE_X29Y17 MANUAL_ROUTING SITEPROP SLICE_X29Y17 NAME SLICE_X29Y17 SITEPROP SLICE_X29Y17 NUM_ARCS 138 SITEPROP SLICE_X29Y17 NUM_BELS 32 SITEPROP SLICE_X29Y17 NUM_INPUTS 32 SITEPROP SLICE_X29Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y17 NUM_PINS 45 SITEPROP SLICE_X29Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y17 PROHIBIT 0 SITEPROP SLICE_X29Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y17 RPM_X 83 SITEPROP SLICE_X29Y17 RPM_Y 34 SITEPROP SLICE_X29Y17 SITE_PIPS SITEPROP SLICE_X29Y17 SITE_TYPE SLICEL SITEPROP SLICE_X29Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y18 CLASS site SITEPROP SLICE_X29Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y18 IS_BONDED 0 SITEPROP SLICE_X29Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y18 IS_PAD 0 SITEPROP SLICE_X29Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y18 IS_RESERVED 0 SITEPROP SLICE_X29Y18 IS_TEST 0 SITEPROP SLICE_X29Y18 IS_USED 0 SITEPROP SLICE_X29Y18 MANUAL_ROUTING SITEPROP SLICE_X29Y18 NAME SLICE_X29Y18 SITEPROP SLICE_X29Y18 NUM_ARCS 138 SITEPROP SLICE_X29Y18 NUM_BELS 32 SITEPROP SLICE_X29Y18 NUM_INPUTS 32 SITEPROP SLICE_X29Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y18 NUM_PINS 45 SITEPROP SLICE_X29Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y18 PROHIBIT 0 SITEPROP SLICE_X29Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y18 RPM_X 83 SITEPROP SLICE_X29Y18 RPM_Y 36 SITEPROP SLICE_X29Y18 SITE_PIPS SITEPROP SLICE_X29Y18 SITE_TYPE SLICEL SITEPROP SLICE_X29Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y19 CLASS site SITEPROP SLICE_X29Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y19 IS_BONDED 0 SITEPROP SLICE_X29Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y19 IS_PAD 0 SITEPROP SLICE_X29Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y19 IS_RESERVED 0 SITEPROP SLICE_X29Y19 IS_TEST 0 SITEPROP SLICE_X29Y19 IS_USED 0 SITEPROP SLICE_X29Y19 MANUAL_ROUTING SITEPROP SLICE_X29Y19 NAME SLICE_X29Y19 SITEPROP SLICE_X29Y19 NUM_ARCS 138 SITEPROP SLICE_X29Y19 NUM_BELS 32 SITEPROP SLICE_X29Y19 NUM_INPUTS 32 SITEPROP SLICE_X29Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y19 NUM_PINS 45 SITEPROP SLICE_X29Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y19 PROHIBIT 0 SITEPROP SLICE_X29Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y19 RPM_X 83 SITEPROP SLICE_X29Y19 RPM_Y 38 SITEPROP SLICE_X29Y19 SITE_PIPS SITEPROP SLICE_X29Y19 SITE_TYPE SLICEL SITEPROP SLICE_X29Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y20 CLASS site SITEPROP SLICE_X29Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y20 IS_BONDED 0 SITEPROP SLICE_X29Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y20 IS_PAD 0 SITEPROP SLICE_X29Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y20 IS_RESERVED 0 SITEPROP SLICE_X29Y20 IS_TEST 0 SITEPROP SLICE_X29Y20 IS_USED 0 SITEPROP SLICE_X29Y20 MANUAL_ROUTING SITEPROP SLICE_X29Y20 NAME SLICE_X29Y20 SITEPROP SLICE_X29Y20 NUM_ARCS 138 SITEPROP SLICE_X29Y20 NUM_BELS 32 SITEPROP SLICE_X29Y20 NUM_INPUTS 32 SITEPROP SLICE_X29Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y20 NUM_PINS 45 SITEPROP SLICE_X29Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y20 PROHIBIT 0 SITEPROP SLICE_X29Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y20 RPM_X 83 SITEPROP SLICE_X29Y20 RPM_Y 40 SITEPROP SLICE_X29Y20 SITE_PIPS SITEPROP SLICE_X29Y20 SITE_TYPE SLICEL SITEPROP SLICE_X29Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y21 CLASS site SITEPROP SLICE_X29Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y21 IS_BONDED 0 SITEPROP SLICE_X29Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y21 IS_PAD 0 SITEPROP SLICE_X29Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y21 IS_RESERVED 0 SITEPROP SLICE_X29Y21 IS_TEST 0 SITEPROP SLICE_X29Y21 IS_USED 0 SITEPROP SLICE_X29Y21 MANUAL_ROUTING SITEPROP SLICE_X29Y21 NAME SLICE_X29Y21 SITEPROP SLICE_X29Y21 NUM_ARCS 138 SITEPROP SLICE_X29Y21 NUM_BELS 32 SITEPROP SLICE_X29Y21 NUM_INPUTS 32 SITEPROP SLICE_X29Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y21 NUM_PINS 45 SITEPROP SLICE_X29Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y21 PROHIBIT 0 SITEPROP SLICE_X29Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y21 RPM_X 83 SITEPROP SLICE_X29Y21 RPM_Y 42 SITEPROP SLICE_X29Y21 SITE_PIPS SITEPROP SLICE_X29Y21 SITE_TYPE SLICEL SITEPROP SLICE_X29Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y22 CLASS site SITEPROP SLICE_X29Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y22 IS_BONDED 0 SITEPROP SLICE_X29Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y22 IS_PAD 0 SITEPROP SLICE_X29Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y22 IS_RESERVED 0 SITEPROP SLICE_X29Y22 IS_TEST 0 SITEPROP SLICE_X29Y22 IS_USED 0 SITEPROP SLICE_X29Y22 MANUAL_ROUTING SITEPROP SLICE_X29Y22 NAME SLICE_X29Y22 SITEPROP SLICE_X29Y22 NUM_ARCS 138 SITEPROP SLICE_X29Y22 NUM_BELS 32 SITEPROP SLICE_X29Y22 NUM_INPUTS 32 SITEPROP SLICE_X29Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y22 NUM_PINS 45 SITEPROP SLICE_X29Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y22 PROHIBIT 0 SITEPROP SLICE_X29Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y22 RPM_X 83 SITEPROP SLICE_X29Y22 RPM_Y 44 SITEPROP SLICE_X29Y22 SITE_PIPS SITEPROP SLICE_X29Y22 SITE_TYPE SLICEL SITEPROP SLICE_X29Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y23 CLASS site SITEPROP SLICE_X29Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y23 IS_BONDED 0 SITEPROP SLICE_X29Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y23 IS_PAD 0 SITEPROP SLICE_X29Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y23 IS_RESERVED 0 SITEPROP SLICE_X29Y23 IS_TEST 0 SITEPROP SLICE_X29Y23 IS_USED 0 SITEPROP SLICE_X29Y23 MANUAL_ROUTING SITEPROP SLICE_X29Y23 NAME SLICE_X29Y23 SITEPROP SLICE_X29Y23 NUM_ARCS 138 SITEPROP SLICE_X29Y23 NUM_BELS 32 SITEPROP SLICE_X29Y23 NUM_INPUTS 32 SITEPROP SLICE_X29Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y23 NUM_PINS 45 SITEPROP SLICE_X29Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y23 PROHIBIT 0 SITEPROP SLICE_X29Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y23 RPM_X 83 SITEPROP SLICE_X29Y23 RPM_Y 46 SITEPROP SLICE_X29Y23 SITE_PIPS SITEPROP SLICE_X29Y23 SITE_TYPE SLICEL SITEPROP SLICE_X29Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y24 CLASS site SITEPROP SLICE_X29Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y24 IS_BONDED 0 SITEPROP SLICE_X29Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y24 IS_PAD 0 SITEPROP SLICE_X29Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y24 IS_RESERVED 0 SITEPROP SLICE_X29Y24 IS_TEST 0 SITEPROP SLICE_X29Y24 IS_USED 0 SITEPROP SLICE_X29Y24 MANUAL_ROUTING SITEPROP SLICE_X29Y24 NAME SLICE_X29Y24 SITEPROP SLICE_X29Y24 NUM_ARCS 138 SITEPROP SLICE_X29Y24 NUM_BELS 32 SITEPROP SLICE_X29Y24 NUM_INPUTS 32 SITEPROP SLICE_X29Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y24 NUM_PINS 45 SITEPROP SLICE_X29Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y24 PROHIBIT 0 SITEPROP SLICE_X29Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y24 RPM_X 83 SITEPROP SLICE_X29Y24 RPM_Y 48 SITEPROP SLICE_X29Y24 SITE_PIPS SITEPROP SLICE_X29Y24 SITE_TYPE SLICEL SITEPROP SLICE_X29Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y25 CLASS site SITEPROP SLICE_X29Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y25 IS_BONDED 0 SITEPROP SLICE_X29Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y25 IS_PAD 0 SITEPROP SLICE_X29Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y25 IS_RESERVED 0 SITEPROP SLICE_X29Y25 IS_TEST 0 SITEPROP SLICE_X29Y25 IS_USED 0 SITEPROP SLICE_X29Y25 MANUAL_ROUTING SITEPROP SLICE_X29Y25 NAME SLICE_X29Y25 SITEPROP SLICE_X29Y25 NUM_ARCS 138 SITEPROP SLICE_X29Y25 NUM_BELS 32 SITEPROP SLICE_X29Y25 NUM_INPUTS 32 SITEPROP SLICE_X29Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y25 NUM_PINS 45 SITEPROP SLICE_X29Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y25 PROHIBIT 0 SITEPROP SLICE_X29Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y25 RPM_X 83 SITEPROP SLICE_X29Y25 RPM_Y 50 SITEPROP SLICE_X29Y25 SITE_PIPS SITEPROP SLICE_X29Y25 SITE_TYPE SLICEL SITEPROP SLICE_X29Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y26 CLASS site SITEPROP SLICE_X29Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y26 IS_BONDED 0 SITEPROP SLICE_X29Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y26 IS_PAD 0 SITEPROP SLICE_X29Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y26 IS_RESERVED 0 SITEPROP SLICE_X29Y26 IS_TEST 0 SITEPROP SLICE_X29Y26 IS_USED 0 SITEPROP SLICE_X29Y26 MANUAL_ROUTING SITEPROP SLICE_X29Y26 NAME SLICE_X29Y26 SITEPROP SLICE_X29Y26 NUM_ARCS 138 SITEPROP SLICE_X29Y26 NUM_BELS 32 SITEPROP SLICE_X29Y26 NUM_INPUTS 32 SITEPROP SLICE_X29Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y26 NUM_PINS 45 SITEPROP SLICE_X29Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y26 PROHIBIT 0 SITEPROP SLICE_X29Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y26 RPM_X 83 SITEPROP SLICE_X29Y26 RPM_Y 52 SITEPROP SLICE_X29Y26 SITE_PIPS SITEPROP SLICE_X29Y26 SITE_TYPE SLICEL SITEPROP SLICE_X29Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y27 CLASS site SITEPROP SLICE_X29Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y27 IS_BONDED 0 SITEPROP SLICE_X29Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y27 IS_PAD 0 SITEPROP SLICE_X29Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y27 IS_RESERVED 0 SITEPROP SLICE_X29Y27 IS_TEST 0 SITEPROP SLICE_X29Y27 IS_USED 0 SITEPROP SLICE_X29Y27 MANUAL_ROUTING SITEPROP SLICE_X29Y27 NAME SLICE_X29Y27 SITEPROP SLICE_X29Y27 NUM_ARCS 138 SITEPROP SLICE_X29Y27 NUM_BELS 32 SITEPROP SLICE_X29Y27 NUM_INPUTS 32 SITEPROP SLICE_X29Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y27 NUM_PINS 45 SITEPROP SLICE_X29Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y27 PROHIBIT 0 SITEPROP SLICE_X29Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y27 RPM_X 83 SITEPROP SLICE_X29Y27 RPM_Y 54 SITEPROP SLICE_X29Y27 SITE_PIPS SITEPROP SLICE_X29Y27 SITE_TYPE SLICEL SITEPROP SLICE_X29Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y28 CLASS site SITEPROP SLICE_X29Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y28 IS_BONDED 0 SITEPROP SLICE_X29Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y28 IS_PAD 0 SITEPROP SLICE_X29Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y28 IS_RESERVED 0 SITEPROP SLICE_X29Y28 IS_TEST 0 SITEPROP SLICE_X29Y28 IS_USED 0 SITEPROP SLICE_X29Y28 MANUAL_ROUTING SITEPROP SLICE_X29Y28 NAME SLICE_X29Y28 SITEPROP SLICE_X29Y28 NUM_ARCS 138 SITEPROP SLICE_X29Y28 NUM_BELS 32 SITEPROP SLICE_X29Y28 NUM_INPUTS 32 SITEPROP SLICE_X29Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y28 NUM_PINS 45 SITEPROP SLICE_X29Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y28 PROHIBIT 0 SITEPROP SLICE_X29Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y28 RPM_X 83 SITEPROP SLICE_X29Y28 RPM_Y 56 SITEPROP SLICE_X29Y28 SITE_PIPS SITEPROP SLICE_X29Y28 SITE_TYPE SLICEL SITEPROP SLICE_X29Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y29 CLASS site SITEPROP SLICE_X29Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y29 IS_BONDED 0 SITEPROP SLICE_X29Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y29 IS_PAD 0 SITEPROP SLICE_X29Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y29 IS_RESERVED 0 SITEPROP SLICE_X29Y29 IS_TEST 0 SITEPROP SLICE_X29Y29 IS_USED 0 SITEPROP SLICE_X29Y29 MANUAL_ROUTING SITEPROP SLICE_X29Y29 NAME SLICE_X29Y29 SITEPROP SLICE_X29Y29 NUM_ARCS 138 SITEPROP SLICE_X29Y29 NUM_BELS 32 SITEPROP SLICE_X29Y29 NUM_INPUTS 32 SITEPROP SLICE_X29Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y29 NUM_PINS 45 SITEPROP SLICE_X29Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y29 PROHIBIT 0 SITEPROP SLICE_X29Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y29 RPM_X 83 SITEPROP SLICE_X29Y29 RPM_Y 58 SITEPROP SLICE_X29Y29 SITE_PIPS SITEPROP SLICE_X29Y29 SITE_TYPE SLICEL SITEPROP SLICE_X29Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y30 CLASS site SITEPROP SLICE_X29Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y30 IS_BONDED 0 SITEPROP SLICE_X29Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y30 IS_PAD 0 SITEPROP SLICE_X29Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y30 IS_RESERVED 0 SITEPROP SLICE_X29Y30 IS_TEST 0 SITEPROP SLICE_X29Y30 IS_USED 0 SITEPROP SLICE_X29Y30 MANUAL_ROUTING SITEPROP SLICE_X29Y30 NAME SLICE_X29Y30 SITEPROP SLICE_X29Y30 NUM_ARCS 138 SITEPROP SLICE_X29Y30 NUM_BELS 32 SITEPROP SLICE_X29Y30 NUM_INPUTS 32 SITEPROP SLICE_X29Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y30 NUM_PINS 45 SITEPROP SLICE_X29Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y30 PROHIBIT 0 SITEPROP SLICE_X29Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y30 RPM_X 83 SITEPROP SLICE_X29Y30 RPM_Y 60 SITEPROP SLICE_X29Y30 SITE_PIPS SITEPROP SLICE_X29Y30 SITE_TYPE SLICEL SITEPROP SLICE_X29Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y31 CLASS site SITEPROP SLICE_X29Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y31 IS_BONDED 0 SITEPROP SLICE_X29Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y31 IS_PAD 0 SITEPROP SLICE_X29Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y31 IS_RESERVED 0 SITEPROP SLICE_X29Y31 IS_TEST 0 SITEPROP SLICE_X29Y31 IS_USED 0 SITEPROP SLICE_X29Y31 MANUAL_ROUTING SITEPROP SLICE_X29Y31 NAME SLICE_X29Y31 SITEPROP SLICE_X29Y31 NUM_ARCS 138 SITEPROP SLICE_X29Y31 NUM_BELS 32 SITEPROP SLICE_X29Y31 NUM_INPUTS 32 SITEPROP SLICE_X29Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y31 NUM_PINS 45 SITEPROP SLICE_X29Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y31 PROHIBIT 0 SITEPROP SLICE_X29Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y31 RPM_X 83 SITEPROP SLICE_X29Y31 RPM_Y 62 SITEPROP SLICE_X29Y31 SITE_PIPS SITEPROP SLICE_X29Y31 SITE_TYPE SLICEL SITEPROP SLICE_X29Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y32 CLASS site SITEPROP SLICE_X29Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y32 IS_BONDED 0 SITEPROP SLICE_X29Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y32 IS_PAD 0 SITEPROP SLICE_X29Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y32 IS_RESERVED 0 SITEPROP SLICE_X29Y32 IS_TEST 0 SITEPROP SLICE_X29Y32 IS_USED 0 SITEPROP SLICE_X29Y32 MANUAL_ROUTING SITEPROP SLICE_X29Y32 NAME SLICE_X29Y32 SITEPROP SLICE_X29Y32 NUM_ARCS 138 SITEPROP SLICE_X29Y32 NUM_BELS 32 SITEPROP SLICE_X29Y32 NUM_INPUTS 32 SITEPROP SLICE_X29Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y32 NUM_PINS 45 SITEPROP SLICE_X29Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y32 PROHIBIT 0 SITEPROP SLICE_X29Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y32 RPM_X 83 SITEPROP SLICE_X29Y32 RPM_Y 64 SITEPROP SLICE_X29Y32 SITE_PIPS SITEPROP SLICE_X29Y32 SITE_TYPE SLICEL SITEPROP SLICE_X29Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y33 CLASS site SITEPROP SLICE_X29Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y33 IS_BONDED 0 SITEPROP SLICE_X29Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y33 IS_PAD 0 SITEPROP SLICE_X29Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y33 IS_RESERVED 0 SITEPROP SLICE_X29Y33 IS_TEST 0 SITEPROP SLICE_X29Y33 IS_USED 0 SITEPROP SLICE_X29Y33 MANUAL_ROUTING SITEPROP SLICE_X29Y33 NAME SLICE_X29Y33 SITEPROP SLICE_X29Y33 NUM_ARCS 138 SITEPROP SLICE_X29Y33 NUM_BELS 32 SITEPROP SLICE_X29Y33 NUM_INPUTS 32 SITEPROP SLICE_X29Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y33 NUM_PINS 45 SITEPROP SLICE_X29Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y33 PROHIBIT 0 SITEPROP SLICE_X29Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y33 RPM_X 83 SITEPROP SLICE_X29Y33 RPM_Y 66 SITEPROP SLICE_X29Y33 SITE_PIPS SITEPROP SLICE_X29Y33 SITE_TYPE SLICEL SITEPROP SLICE_X29Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y34 CLASS site SITEPROP SLICE_X29Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y34 IS_BONDED 0 SITEPROP SLICE_X29Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y34 IS_PAD 0 SITEPROP SLICE_X29Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y34 IS_RESERVED 0 SITEPROP SLICE_X29Y34 IS_TEST 0 SITEPROP SLICE_X29Y34 IS_USED 0 SITEPROP SLICE_X29Y34 MANUAL_ROUTING SITEPROP SLICE_X29Y34 NAME SLICE_X29Y34 SITEPROP SLICE_X29Y34 NUM_ARCS 138 SITEPROP SLICE_X29Y34 NUM_BELS 32 SITEPROP SLICE_X29Y34 NUM_INPUTS 32 SITEPROP SLICE_X29Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y34 NUM_PINS 45 SITEPROP SLICE_X29Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y34 PROHIBIT 0 SITEPROP SLICE_X29Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y34 RPM_X 83 SITEPROP SLICE_X29Y34 RPM_Y 68 SITEPROP SLICE_X29Y34 SITE_PIPS SITEPROP SLICE_X29Y34 SITE_TYPE SLICEL SITEPROP SLICE_X29Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y35 CLASS site SITEPROP SLICE_X29Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y35 IS_BONDED 0 SITEPROP SLICE_X29Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y35 IS_PAD 0 SITEPROP SLICE_X29Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y35 IS_RESERVED 0 SITEPROP SLICE_X29Y35 IS_TEST 0 SITEPROP SLICE_X29Y35 IS_USED 0 SITEPROP SLICE_X29Y35 MANUAL_ROUTING SITEPROP SLICE_X29Y35 NAME SLICE_X29Y35 SITEPROP SLICE_X29Y35 NUM_ARCS 138 SITEPROP SLICE_X29Y35 NUM_BELS 32 SITEPROP SLICE_X29Y35 NUM_INPUTS 32 SITEPROP SLICE_X29Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y35 NUM_PINS 45 SITEPROP SLICE_X29Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y35 PROHIBIT 0 SITEPROP SLICE_X29Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y35 RPM_X 83 SITEPROP SLICE_X29Y35 RPM_Y 70 SITEPROP SLICE_X29Y35 SITE_PIPS SITEPROP SLICE_X29Y35 SITE_TYPE SLICEL SITEPROP SLICE_X29Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y36 CLASS site SITEPROP SLICE_X29Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y36 IS_BONDED 0 SITEPROP SLICE_X29Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y36 IS_PAD 0 SITEPROP SLICE_X29Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y36 IS_RESERVED 0 SITEPROP SLICE_X29Y36 IS_TEST 0 SITEPROP SLICE_X29Y36 IS_USED 0 SITEPROP SLICE_X29Y36 MANUAL_ROUTING SITEPROP SLICE_X29Y36 NAME SLICE_X29Y36 SITEPROP SLICE_X29Y36 NUM_ARCS 138 SITEPROP SLICE_X29Y36 NUM_BELS 32 SITEPROP SLICE_X29Y36 NUM_INPUTS 32 SITEPROP SLICE_X29Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y36 NUM_PINS 45 SITEPROP SLICE_X29Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y36 PROHIBIT 0 SITEPROP SLICE_X29Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y36 RPM_X 83 SITEPROP SLICE_X29Y36 RPM_Y 72 SITEPROP SLICE_X29Y36 SITE_PIPS SITEPROP SLICE_X29Y36 SITE_TYPE SLICEL SITEPROP SLICE_X29Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y37 CLASS site SITEPROP SLICE_X29Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y37 IS_BONDED 0 SITEPROP SLICE_X29Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y37 IS_PAD 0 SITEPROP SLICE_X29Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y37 IS_RESERVED 0 SITEPROP SLICE_X29Y37 IS_TEST 0 SITEPROP SLICE_X29Y37 IS_USED 0 SITEPROP SLICE_X29Y37 MANUAL_ROUTING SITEPROP SLICE_X29Y37 NAME SLICE_X29Y37 SITEPROP SLICE_X29Y37 NUM_ARCS 138 SITEPROP SLICE_X29Y37 NUM_BELS 32 SITEPROP SLICE_X29Y37 NUM_INPUTS 32 SITEPROP SLICE_X29Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y37 NUM_PINS 45 SITEPROP SLICE_X29Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y37 PROHIBIT 0 SITEPROP SLICE_X29Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y37 RPM_X 83 SITEPROP SLICE_X29Y37 RPM_Y 74 SITEPROP SLICE_X29Y37 SITE_PIPS SITEPROP SLICE_X29Y37 SITE_TYPE SLICEL SITEPROP SLICE_X29Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y38 CLASS site SITEPROP SLICE_X29Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y38 IS_BONDED 0 SITEPROP SLICE_X29Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y38 IS_PAD 0 SITEPROP SLICE_X29Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y38 IS_RESERVED 0 SITEPROP SLICE_X29Y38 IS_TEST 0 SITEPROP SLICE_X29Y38 IS_USED 0 SITEPROP SLICE_X29Y38 MANUAL_ROUTING SITEPROP SLICE_X29Y38 NAME SLICE_X29Y38 SITEPROP SLICE_X29Y38 NUM_ARCS 138 SITEPROP SLICE_X29Y38 NUM_BELS 32 SITEPROP SLICE_X29Y38 NUM_INPUTS 32 SITEPROP SLICE_X29Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y38 NUM_PINS 45 SITEPROP SLICE_X29Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y38 PROHIBIT 0 SITEPROP SLICE_X29Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y38 RPM_X 83 SITEPROP SLICE_X29Y38 RPM_Y 76 SITEPROP SLICE_X29Y38 SITE_PIPS SITEPROP SLICE_X29Y38 SITE_TYPE SLICEL SITEPROP SLICE_X29Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y39 CLASS site SITEPROP SLICE_X29Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y39 IS_BONDED 0 SITEPROP SLICE_X29Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y39 IS_PAD 0 SITEPROP SLICE_X29Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y39 IS_RESERVED 0 SITEPROP SLICE_X29Y39 IS_TEST 0 SITEPROP SLICE_X29Y39 IS_USED 0 SITEPROP SLICE_X29Y39 MANUAL_ROUTING SITEPROP SLICE_X29Y39 NAME SLICE_X29Y39 SITEPROP SLICE_X29Y39 NUM_ARCS 138 SITEPROP SLICE_X29Y39 NUM_BELS 32 SITEPROP SLICE_X29Y39 NUM_INPUTS 32 SITEPROP SLICE_X29Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y39 NUM_PINS 45 SITEPROP SLICE_X29Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y39 PROHIBIT 0 SITEPROP SLICE_X29Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y39 RPM_X 83 SITEPROP SLICE_X29Y39 RPM_Y 78 SITEPROP SLICE_X29Y39 SITE_PIPS SITEPROP SLICE_X29Y39 SITE_TYPE SLICEL SITEPROP SLICE_X29Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y40 CLASS site SITEPROP SLICE_X29Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y40 IS_BONDED 0 SITEPROP SLICE_X29Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y40 IS_PAD 0 SITEPROP SLICE_X29Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y40 IS_RESERVED 0 SITEPROP SLICE_X29Y40 IS_TEST 0 SITEPROP SLICE_X29Y40 IS_USED 0 SITEPROP SLICE_X29Y40 MANUAL_ROUTING SITEPROP SLICE_X29Y40 NAME SLICE_X29Y40 SITEPROP SLICE_X29Y40 NUM_ARCS 138 SITEPROP SLICE_X29Y40 NUM_BELS 32 SITEPROP SLICE_X29Y40 NUM_INPUTS 32 SITEPROP SLICE_X29Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y40 NUM_PINS 45 SITEPROP SLICE_X29Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y40 PROHIBIT 0 SITEPROP SLICE_X29Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y40 RPM_X 83 SITEPROP SLICE_X29Y40 RPM_Y 80 SITEPROP SLICE_X29Y40 SITE_PIPS SITEPROP SLICE_X29Y40 SITE_TYPE SLICEL SITEPROP SLICE_X29Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y41 CLASS site SITEPROP SLICE_X29Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y41 IS_BONDED 0 SITEPROP SLICE_X29Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y41 IS_PAD 0 SITEPROP SLICE_X29Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y41 IS_RESERVED 0 SITEPROP SLICE_X29Y41 IS_TEST 0 SITEPROP SLICE_X29Y41 IS_USED 0 SITEPROP SLICE_X29Y41 MANUAL_ROUTING SITEPROP SLICE_X29Y41 NAME SLICE_X29Y41 SITEPROP SLICE_X29Y41 NUM_ARCS 138 SITEPROP SLICE_X29Y41 NUM_BELS 32 SITEPROP SLICE_X29Y41 NUM_INPUTS 32 SITEPROP SLICE_X29Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y41 NUM_PINS 45 SITEPROP SLICE_X29Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y41 PROHIBIT 0 SITEPROP SLICE_X29Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y41 RPM_X 83 SITEPROP SLICE_X29Y41 RPM_Y 82 SITEPROP SLICE_X29Y41 SITE_PIPS SITEPROP SLICE_X29Y41 SITE_TYPE SLICEL SITEPROP SLICE_X29Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y42 CLASS site SITEPROP SLICE_X29Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y42 IS_BONDED 0 SITEPROP SLICE_X29Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y42 IS_PAD 0 SITEPROP SLICE_X29Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y42 IS_RESERVED 0 SITEPROP SLICE_X29Y42 IS_TEST 0 SITEPROP SLICE_X29Y42 IS_USED 0 SITEPROP SLICE_X29Y42 MANUAL_ROUTING SITEPROP SLICE_X29Y42 NAME SLICE_X29Y42 SITEPROP SLICE_X29Y42 NUM_ARCS 138 SITEPROP SLICE_X29Y42 NUM_BELS 32 SITEPROP SLICE_X29Y42 NUM_INPUTS 32 SITEPROP SLICE_X29Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y42 NUM_PINS 45 SITEPROP SLICE_X29Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y42 PROHIBIT 0 SITEPROP SLICE_X29Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y42 RPM_X 83 SITEPROP SLICE_X29Y42 RPM_Y 84 SITEPROP SLICE_X29Y42 SITE_PIPS SITEPROP SLICE_X29Y42 SITE_TYPE SLICEL SITEPROP SLICE_X29Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y43 CLASS site SITEPROP SLICE_X29Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y43 IS_BONDED 0 SITEPROP SLICE_X29Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y43 IS_PAD 0 SITEPROP SLICE_X29Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y43 IS_RESERVED 0 SITEPROP SLICE_X29Y43 IS_TEST 0 SITEPROP SLICE_X29Y43 IS_USED 0 SITEPROP SLICE_X29Y43 MANUAL_ROUTING SITEPROP SLICE_X29Y43 NAME SLICE_X29Y43 SITEPROP SLICE_X29Y43 NUM_ARCS 138 SITEPROP SLICE_X29Y43 NUM_BELS 32 SITEPROP SLICE_X29Y43 NUM_INPUTS 32 SITEPROP SLICE_X29Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y43 NUM_PINS 45 SITEPROP SLICE_X29Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y43 PROHIBIT 0 SITEPROP SLICE_X29Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y43 RPM_X 83 SITEPROP SLICE_X29Y43 RPM_Y 86 SITEPROP SLICE_X29Y43 SITE_PIPS SITEPROP SLICE_X29Y43 SITE_TYPE SLICEL SITEPROP SLICE_X29Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y44 CLASS site SITEPROP SLICE_X29Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y44 IS_BONDED 0 SITEPROP SLICE_X29Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y44 IS_PAD 0 SITEPROP SLICE_X29Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y44 IS_RESERVED 0 SITEPROP SLICE_X29Y44 IS_TEST 0 SITEPROP SLICE_X29Y44 IS_USED 0 SITEPROP SLICE_X29Y44 MANUAL_ROUTING SITEPROP SLICE_X29Y44 NAME SLICE_X29Y44 SITEPROP SLICE_X29Y44 NUM_ARCS 138 SITEPROP SLICE_X29Y44 NUM_BELS 32 SITEPROP SLICE_X29Y44 NUM_INPUTS 32 SITEPROP SLICE_X29Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y44 NUM_PINS 45 SITEPROP SLICE_X29Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y44 PROHIBIT 0 SITEPROP SLICE_X29Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y44 RPM_X 83 SITEPROP SLICE_X29Y44 RPM_Y 88 SITEPROP SLICE_X29Y44 SITE_PIPS SITEPROP SLICE_X29Y44 SITE_TYPE SLICEL SITEPROP SLICE_X29Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y45 CLASS site SITEPROP SLICE_X29Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y45 IS_BONDED 0 SITEPROP SLICE_X29Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y45 IS_PAD 0 SITEPROP SLICE_X29Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y45 IS_RESERVED 0 SITEPROP SLICE_X29Y45 IS_TEST 0 SITEPROP SLICE_X29Y45 IS_USED 0 SITEPROP SLICE_X29Y45 MANUAL_ROUTING SITEPROP SLICE_X29Y45 NAME SLICE_X29Y45 SITEPROP SLICE_X29Y45 NUM_ARCS 138 SITEPROP SLICE_X29Y45 NUM_BELS 32 SITEPROP SLICE_X29Y45 NUM_INPUTS 32 SITEPROP SLICE_X29Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y45 NUM_PINS 45 SITEPROP SLICE_X29Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y45 PROHIBIT 0 SITEPROP SLICE_X29Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y45 RPM_X 83 SITEPROP SLICE_X29Y45 RPM_Y 90 SITEPROP SLICE_X29Y45 SITE_PIPS SITEPROP SLICE_X29Y45 SITE_TYPE SLICEL SITEPROP SLICE_X29Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y46 CLASS site SITEPROP SLICE_X29Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y46 IS_BONDED 0 SITEPROP SLICE_X29Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y46 IS_PAD 0 SITEPROP SLICE_X29Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y46 IS_RESERVED 0 SITEPROP SLICE_X29Y46 IS_TEST 0 SITEPROP SLICE_X29Y46 IS_USED 0 SITEPROP SLICE_X29Y46 MANUAL_ROUTING SITEPROP SLICE_X29Y46 NAME SLICE_X29Y46 SITEPROP SLICE_X29Y46 NUM_ARCS 138 SITEPROP SLICE_X29Y46 NUM_BELS 32 SITEPROP SLICE_X29Y46 NUM_INPUTS 32 SITEPROP SLICE_X29Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y46 NUM_PINS 45 SITEPROP SLICE_X29Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y46 PROHIBIT 0 SITEPROP SLICE_X29Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y46 RPM_X 83 SITEPROP SLICE_X29Y46 RPM_Y 92 SITEPROP SLICE_X29Y46 SITE_PIPS SITEPROP SLICE_X29Y46 SITE_TYPE SLICEL SITEPROP SLICE_X29Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y47 CLASS site SITEPROP SLICE_X29Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y47 IS_BONDED 0 SITEPROP SLICE_X29Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y47 IS_PAD 0 SITEPROP SLICE_X29Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y47 IS_RESERVED 0 SITEPROP SLICE_X29Y47 IS_TEST 0 SITEPROP SLICE_X29Y47 IS_USED 0 SITEPROP SLICE_X29Y47 MANUAL_ROUTING SITEPROP SLICE_X29Y47 NAME SLICE_X29Y47 SITEPROP SLICE_X29Y47 NUM_ARCS 138 SITEPROP SLICE_X29Y47 NUM_BELS 32 SITEPROP SLICE_X29Y47 NUM_INPUTS 32 SITEPROP SLICE_X29Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y47 NUM_PINS 45 SITEPROP SLICE_X29Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y47 PROHIBIT 0 SITEPROP SLICE_X29Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y47 RPM_X 83 SITEPROP SLICE_X29Y47 RPM_Y 94 SITEPROP SLICE_X29Y47 SITE_PIPS SITEPROP SLICE_X29Y47 SITE_TYPE SLICEL SITEPROP SLICE_X29Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y48 CLASS site SITEPROP SLICE_X29Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y48 IS_BONDED 0 SITEPROP SLICE_X29Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y48 IS_PAD 0 SITEPROP SLICE_X29Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y48 IS_RESERVED 0 SITEPROP SLICE_X29Y48 IS_TEST 0 SITEPROP SLICE_X29Y48 IS_USED 0 SITEPROP SLICE_X29Y48 MANUAL_ROUTING SITEPROP SLICE_X29Y48 NAME SLICE_X29Y48 SITEPROP SLICE_X29Y48 NUM_ARCS 138 SITEPROP SLICE_X29Y48 NUM_BELS 32 SITEPROP SLICE_X29Y48 NUM_INPUTS 32 SITEPROP SLICE_X29Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y48 NUM_PINS 45 SITEPROP SLICE_X29Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y48 PROHIBIT 0 SITEPROP SLICE_X29Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y48 RPM_X 83 SITEPROP SLICE_X29Y48 RPM_Y 96 SITEPROP SLICE_X29Y48 SITE_PIPS SITEPROP SLICE_X29Y48 SITE_TYPE SLICEL SITEPROP SLICE_X29Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y49 CLASS site SITEPROP SLICE_X29Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X29Y49 IS_BONDED 0 SITEPROP SLICE_X29Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y49 IS_PAD 0 SITEPROP SLICE_X29Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y49 IS_RESERVED 0 SITEPROP SLICE_X29Y49 IS_TEST 0 SITEPROP SLICE_X29Y49 IS_USED 0 SITEPROP SLICE_X29Y49 MANUAL_ROUTING SITEPROP SLICE_X29Y49 NAME SLICE_X29Y49 SITEPROP SLICE_X29Y49 NUM_ARCS 138 SITEPROP SLICE_X29Y49 NUM_BELS 32 SITEPROP SLICE_X29Y49 NUM_INPUTS 32 SITEPROP SLICE_X29Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y49 NUM_PINS 45 SITEPROP SLICE_X29Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y49 PROHIBIT 0 SITEPROP SLICE_X29Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y49 RPM_X 83 SITEPROP SLICE_X29Y49 RPM_Y 98 SITEPROP SLICE_X29Y49 SITE_PIPS SITEPROP SLICE_X29Y49 SITE_TYPE SLICEL SITEPROP SLICE_X29Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y50 CLASS site SITEPROP SLICE_X29Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y50 IS_BONDED 0 SITEPROP SLICE_X29Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y50 IS_PAD 0 SITEPROP SLICE_X29Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y50 IS_RESERVED 0 SITEPROP SLICE_X29Y50 IS_TEST 0 SITEPROP SLICE_X29Y50 IS_USED 0 SITEPROP SLICE_X29Y50 MANUAL_ROUTING SITEPROP SLICE_X29Y50 NAME SLICE_X29Y50 SITEPROP SLICE_X29Y50 NUM_ARCS 138 SITEPROP SLICE_X29Y50 NUM_BELS 32 SITEPROP SLICE_X29Y50 NUM_INPUTS 32 SITEPROP SLICE_X29Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y50 NUM_PINS 45 SITEPROP SLICE_X29Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y50 PROHIBIT 0 SITEPROP SLICE_X29Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y50 RPM_X 83 SITEPROP SLICE_X29Y50 RPM_Y 100 SITEPROP SLICE_X29Y50 SITE_PIPS SITEPROP SLICE_X29Y50 SITE_TYPE SLICEL SITEPROP SLICE_X29Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y51 CLASS site SITEPROP SLICE_X29Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y51 IS_BONDED 0 SITEPROP SLICE_X29Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y51 IS_PAD 0 SITEPROP SLICE_X29Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y51 IS_RESERVED 0 SITEPROP SLICE_X29Y51 IS_TEST 0 SITEPROP SLICE_X29Y51 IS_USED 0 SITEPROP SLICE_X29Y51 MANUAL_ROUTING SITEPROP SLICE_X29Y51 NAME SLICE_X29Y51 SITEPROP SLICE_X29Y51 NUM_ARCS 138 SITEPROP SLICE_X29Y51 NUM_BELS 32 SITEPROP SLICE_X29Y51 NUM_INPUTS 32 SITEPROP SLICE_X29Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y51 NUM_PINS 45 SITEPROP SLICE_X29Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y51 PROHIBIT 0 SITEPROP SLICE_X29Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y51 RPM_X 83 SITEPROP SLICE_X29Y51 RPM_Y 102 SITEPROP SLICE_X29Y51 SITE_PIPS SITEPROP SLICE_X29Y51 SITE_TYPE SLICEL SITEPROP SLICE_X29Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y52 CLASS site SITEPROP SLICE_X29Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y52 IS_BONDED 0 SITEPROP SLICE_X29Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y52 IS_PAD 0 SITEPROP SLICE_X29Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y52 IS_RESERVED 0 SITEPROP SLICE_X29Y52 IS_TEST 0 SITEPROP SLICE_X29Y52 IS_USED 0 SITEPROP SLICE_X29Y52 MANUAL_ROUTING SITEPROP SLICE_X29Y52 NAME SLICE_X29Y52 SITEPROP SLICE_X29Y52 NUM_ARCS 138 SITEPROP SLICE_X29Y52 NUM_BELS 32 SITEPROP SLICE_X29Y52 NUM_INPUTS 32 SITEPROP SLICE_X29Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y52 NUM_PINS 45 SITEPROP SLICE_X29Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y52 PROHIBIT 0 SITEPROP SLICE_X29Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y52 RPM_X 83 SITEPROP SLICE_X29Y52 RPM_Y 104 SITEPROP SLICE_X29Y52 SITE_PIPS SITEPROP SLICE_X29Y52 SITE_TYPE SLICEL SITEPROP SLICE_X29Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y53 CLASS site SITEPROP SLICE_X29Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y53 IS_BONDED 0 SITEPROP SLICE_X29Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y53 IS_PAD 0 SITEPROP SLICE_X29Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y53 IS_RESERVED 0 SITEPROP SLICE_X29Y53 IS_TEST 0 SITEPROP SLICE_X29Y53 IS_USED 0 SITEPROP SLICE_X29Y53 MANUAL_ROUTING SITEPROP SLICE_X29Y53 NAME SLICE_X29Y53 SITEPROP SLICE_X29Y53 NUM_ARCS 138 SITEPROP SLICE_X29Y53 NUM_BELS 32 SITEPROP SLICE_X29Y53 NUM_INPUTS 32 SITEPROP SLICE_X29Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y53 NUM_PINS 45 SITEPROP SLICE_X29Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y53 PROHIBIT 0 SITEPROP SLICE_X29Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y53 RPM_X 83 SITEPROP SLICE_X29Y53 RPM_Y 106 SITEPROP SLICE_X29Y53 SITE_PIPS SITEPROP SLICE_X29Y53 SITE_TYPE SLICEL SITEPROP SLICE_X29Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y54 CLASS site SITEPROP SLICE_X29Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y54 IS_BONDED 0 SITEPROP SLICE_X29Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y54 IS_PAD 0 SITEPROP SLICE_X29Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y54 IS_RESERVED 0 SITEPROP SLICE_X29Y54 IS_TEST 0 SITEPROP SLICE_X29Y54 IS_USED 0 SITEPROP SLICE_X29Y54 MANUAL_ROUTING SITEPROP SLICE_X29Y54 NAME SLICE_X29Y54 SITEPROP SLICE_X29Y54 NUM_ARCS 138 SITEPROP SLICE_X29Y54 NUM_BELS 32 SITEPROP SLICE_X29Y54 NUM_INPUTS 32 SITEPROP SLICE_X29Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y54 NUM_PINS 45 SITEPROP SLICE_X29Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y54 PROHIBIT 0 SITEPROP SLICE_X29Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y54 RPM_X 83 SITEPROP SLICE_X29Y54 RPM_Y 108 SITEPROP SLICE_X29Y54 SITE_PIPS SITEPROP SLICE_X29Y54 SITE_TYPE SLICEL SITEPROP SLICE_X29Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y55 CLASS site SITEPROP SLICE_X29Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y55 IS_BONDED 0 SITEPROP SLICE_X29Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y55 IS_PAD 0 SITEPROP SLICE_X29Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y55 IS_RESERVED 0 SITEPROP SLICE_X29Y55 IS_TEST 0 SITEPROP SLICE_X29Y55 IS_USED 0 SITEPROP SLICE_X29Y55 MANUAL_ROUTING SITEPROP SLICE_X29Y55 NAME SLICE_X29Y55 SITEPROP SLICE_X29Y55 NUM_ARCS 138 SITEPROP SLICE_X29Y55 NUM_BELS 32 SITEPROP SLICE_X29Y55 NUM_INPUTS 32 SITEPROP SLICE_X29Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y55 NUM_PINS 45 SITEPROP SLICE_X29Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y55 PROHIBIT 0 SITEPROP SLICE_X29Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y55 RPM_X 83 SITEPROP SLICE_X29Y55 RPM_Y 110 SITEPROP SLICE_X29Y55 SITE_PIPS SITEPROP SLICE_X29Y55 SITE_TYPE SLICEL SITEPROP SLICE_X29Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y56 CLASS site SITEPROP SLICE_X29Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y56 IS_BONDED 0 SITEPROP SLICE_X29Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y56 IS_PAD 0 SITEPROP SLICE_X29Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y56 IS_RESERVED 0 SITEPROP SLICE_X29Y56 IS_TEST 0 SITEPROP SLICE_X29Y56 IS_USED 0 SITEPROP SLICE_X29Y56 MANUAL_ROUTING SITEPROP SLICE_X29Y56 NAME SLICE_X29Y56 SITEPROP SLICE_X29Y56 NUM_ARCS 138 SITEPROP SLICE_X29Y56 NUM_BELS 32 SITEPROP SLICE_X29Y56 NUM_INPUTS 32 SITEPROP SLICE_X29Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y56 NUM_PINS 45 SITEPROP SLICE_X29Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y56 PROHIBIT 0 SITEPROP SLICE_X29Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y56 RPM_X 83 SITEPROP SLICE_X29Y56 RPM_Y 112 SITEPROP SLICE_X29Y56 SITE_PIPS SITEPROP SLICE_X29Y56 SITE_TYPE SLICEL SITEPROP SLICE_X29Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y57 CLASS site SITEPROP SLICE_X29Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y57 IS_BONDED 0 SITEPROP SLICE_X29Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y57 IS_PAD 0 SITEPROP SLICE_X29Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y57 IS_RESERVED 0 SITEPROP SLICE_X29Y57 IS_TEST 0 SITEPROP SLICE_X29Y57 IS_USED 0 SITEPROP SLICE_X29Y57 MANUAL_ROUTING SITEPROP SLICE_X29Y57 NAME SLICE_X29Y57 SITEPROP SLICE_X29Y57 NUM_ARCS 138 SITEPROP SLICE_X29Y57 NUM_BELS 32 SITEPROP SLICE_X29Y57 NUM_INPUTS 32 SITEPROP SLICE_X29Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y57 NUM_PINS 45 SITEPROP SLICE_X29Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y57 PROHIBIT 0 SITEPROP SLICE_X29Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y57 RPM_X 83 SITEPROP SLICE_X29Y57 RPM_Y 114 SITEPROP SLICE_X29Y57 SITE_PIPS SITEPROP SLICE_X29Y57 SITE_TYPE SLICEL SITEPROP SLICE_X29Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y58 CLASS site SITEPROP SLICE_X29Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y58 IS_BONDED 0 SITEPROP SLICE_X29Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y58 IS_PAD 0 SITEPROP SLICE_X29Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y58 IS_RESERVED 0 SITEPROP SLICE_X29Y58 IS_TEST 0 SITEPROP SLICE_X29Y58 IS_USED 0 SITEPROP SLICE_X29Y58 MANUAL_ROUTING SITEPROP SLICE_X29Y58 NAME SLICE_X29Y58 SITEPROP SLICE_X29Y58 NUM_ARCS 138 SITEPROP SLICE_X29Y58 NUM_BELS 32 SITEPROP SLICE_X29Y58 NUM_INPUTS 32 SITEPROP SLICE_X29Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y58 NUM_PINS 45 SITEPROP SLICE_X29Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y58 PROHIBIT 0 SITEPROP SLICE_X29Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y58 RPM_X 83 SITEPROP SLICE_X29Y58 RPM_Y 116 SITEPROP SLICE_X29Y58 SITE_PIPS SITEPROP SLICE_X29Y58 SITE_TYPE SLICEL SITEPROP SLICE_X29Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y59 CLASS site SITEPROP SLICE_X29Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y59 IS_BONDED 0 SITEPROP SLICE_X29Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y59 IS_PAD 0 SITEPROP SLICE_X29Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y59 IS_RESERVED 0 SITEPROP SLICE_X29Y59 IS_TEST 0 SITEPROP SLICE_X29Y59 IS_USED 0 SITEPROP SLICE_X29Y59 MANUAL_ROUTING SITEPROP SLICE_X29Y59 NAME SLICE_X29Y59 SITEPROP SLICE_X29Y59 NUM_ARCS 138 SITEPROP SLICE_X29Y59 NUM_BELS 32 SITEPROP SLICE_X29Y59 NUM_INPUTS 32 SITEPROP SLICE_X29Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y59 NUM_PINS 45 SITEPROP SLICE_X29Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y59 PROHIBIT 0 SITEPROP SLICE_X29Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y59 RPM_X 83 SITEPROP SLICE_X29Y59 RPM_Y 118 SITEPROP SLICE_X29Y59 SITE_PIPS SITEPROP SLICE_X29Y59 SITE_TYPE SLICEL SITEPROP SLICE_X29Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y60 CLASS site SITEPROP SLICE_X29Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y60 IS_BONDED 0 SITEPROP SLICE_X29Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y60 IS_PAD 0 SITEPROP SLICE_X29Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y60 IS_RESERVED 0 SITEPROP SLICE_X29Y60 IS_TEST 0 SITEPROP SLICE_X29Y60 IS_USED 0 SITEPROP SLICE_X29Y60 MANUAL_ROUTING SITEPROP SLICE_X29Y60 NAME SLICE_X29Y60 SITEPROP SLICE_X29Y60 NUM_ARCS 138 SITEPROP SLICE_X29Y60 NUM_BELS 32 SITEPROP SLICE_X29Y60 NUM_INPUTS 32 SITEPROP SLICE_X29Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y60 NUM_PINS 45 SITEPROP SLICE_X29Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y60 PROHIBIT 0 SITEPROP SLICE_X29Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y60 RPM_X 83 SITEPROP SLICE_X29Y60 RPM_Y 120 SITEPROP SLICE_X29Y60 SITE_PIPS SITEPROP SLICE_X29Y60 SITE_TYPE SLICEL SITEPROP SLICE_X29Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y61 CLASS site SITEPROP SLICE_X29Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y61 IS_BONDED 0 SITEPROP SLICE_X29Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y61 IS_PAD 0 SITEPROP SLICE_X29Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y61 IS_RESERVED 0 SITEPROP SLICE_X29Y61 IS_TEST 0 SITEPROP SLICE_X29Y61 IS_USED 0 SITEPROP SLICE_X29Y61 MANUAL_ROUTING SITEPROP SLICE_X29Y61 NAME SLICE_X29Y61 SITEPROP SLICE_X29Y61 NUM_ARCS 138 SITEPROP SLICE_X29Y61 NUM_BELS 32 SITEPROP SLICE_X29Y61 NUM_INPUTS 32 SITEPROP SLICE_X29Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y61 NUM_PINS 45 SITEPROP SLICE_X29Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y61 PROHIBIT 0 SITEPROP SLICE_X29Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y61 RPM_X 83 SITEPROP SLICE_X29Y61 RPM_Y 122 SITEPROP SLICE_X29Y61 SITE_PIPS SITEPROP SLICE_X29Y61 SITE_TYPE SLICEL SITEPROP SLICE_X29Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y62 CLASS site SITEPROP SLICE_X29Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y62 IS_BONDED 0 SITEPROP SLICE_X29Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y62 IS_PAD 0 SITEPROP SLICE_X29Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y62 IS_RESERVED 0 SITEPROP SLICE_X29Y62 IS_TEST 0 SITEPROP SLICE_X29Y62 IS_USED 0 SITEPROP SLICE_X29Y62 MANUAL_ROUTING SITEPROP SLICE_X29Y62 NAME SLICE_X29Y62 SITEPROP SLICE_X29Y62 NUM_ARCS 138 SITEPROP SLICE_X29Y62 NUM_BELS 32 SITEPROP SLICE_X29Y62 NUM_INPUTS 32 SITEPROP SLICE_X29Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y62 NUM_PINS 45 SITEPROP SLICE_X29Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y62 PROHIBIT 0 SITEPROP SLICE_X29Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y62 RPM_X 83 SITEPROP SLICE_X29Y62 RPM_Y 124 SITEPROP SLICE_X29Y62 SITE_PIPS SITEPROP SLICE_X29Y62 SITE_TYPE SLICEL SITEPROP SLICE_X29Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y63 CLASS site SITEPROP SLICE_X29Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y63 IS_BONDED 0 SITEPROP SLICE_X29Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y63 IS_PAD 0 SITEPROP SLICE_X29Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y63 IS_RESERVED 0 SITEPROP SLICE_X29Y63 IS_TEST 0 SITEPROP SLICE_X29Y63 IS_USED 0 SITEPROP SLICE_X29Y63 MANUAL_ROUTING SITEPROP SLICE_X29Y63 NAME SLICE_X29Y63 SITEPROP SLICE_X29Y63 NUM_ARCS 138 SITEPROP SLICE_X29Y63 NUM_BELS 32 SITEPROP SLICE_X29Y63 NUM_INPUTS 32 SITEPROP SLICE_X29Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y63 NUM_PINS 45 SITEPROP SLICE_X29Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y63 PROHIBIT 0 SITEPROP SLICE_X29Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y63 RPM_X 83 SITEPROP SLICE_X29Y63 RPM_Y 126 SITEPROP SLICE_X29Y63 SITE_PIPS SITEPROP SLICE_X29Y63 SITE_TYPE SLICEL SITEPROP SLICE_X29Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y64 CLASS site SITEPROP SLICE_X29Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y64 IS_BONDED 0 SITEPROP SLICE_X29Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y64 IS_PAD 0 SITEPROP SLICE_X29Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y64 IS_RESERVED 0 SITEPROP SLICE_X29Y64 IS_TEST 0 SITEPROP SLICE_X29Y64 IS_USED 0 SITEPROP SLICE_X29Y64 MANUAL_ROUTING SITEPROP SLICE_X29Y64 NAME SLICE_X29Y64 SITEPROP SLICE_X29Y64 NUM_ARCS 138 SITEPROP SLICE_X29Y64 NUM_BELS 32 SITEPROP SLICE_X29Y64 NUM_INPUTS 32 SITEPROP SLICE_X29Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y64 NUM_PINS 45 SITEPROP SLICE_X29Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y64 PROHIBIT 0 SITEPROP SLICE_X29Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y64 RPM_X 83 SITEPROP SLICE_X29Y64 RPM_Y 128 SITEPROP SLICE_X29Y64 SITE_PIPS SITEPROP SLICE_X29Y64 SITE_TYPE SLICEL SITEPROP SLICE_X29Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y65 CLASS site SITEPROP SLICE_X29Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y65 IS_BONDED 0 SITEPROP SLICE_X29Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y65 IS_PAD 0 SITEPROP SLICE_X29Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y65 IS_RESERVED 0 SITEPROP SLICE_X29Y65 IS_TEST 0 SITEPROP SLICE_X29Y65 IS_USED 0 SITEPROP SLICE_X29Y65 MANUAL_ROUTING SITEPROP SLICE_X29Y65 NAME SLICE_X29Y65 SITEPROP SLICE_X29Y65 NUM_ARCS 138 SITEPROP SLICE_X29Y65 NUM_BELS 32 SITEPROP SLICE_X29Y65 NUM_INPUTS 32 SITEPROP SLICE_X29Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y65 NUM_PINS 45 SITEPROP SLICE_X29Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y65 PROHIBIT 0 SITEPROP SLICE_X29Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y65 RPM_X 83 SITEPROP SLICE_X29Y65 RPM_Y 130 SITEPROP SLICE_X29Y65 SITE_PIPS SITEPROP SLICE_X29Y65 SITE_TYPE SLICEL SITEPROP SLICE_X29Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y66 CLASS site SITEPROP SLICE_X29Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y66 IS_BONDED 0 SITEPROP SLICE_X29Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y66 IS_PAD 0 SITEPROP SLICE_X29Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y66 IS_RESERVED 0 SITEPROP SLICE_X29Y66 IS_TEST 0 SITEPROP SLICE_X29Y66 IS_USED 0 SITEPROP SLICE_X29Y66 MANUAL_ROUTING SITEPROP SLICE_X29Y66 NAME SLICE_X29Y66 SITEPROP SLICE_X29Y66 NUM_ARCS 138 SITEPROP SLICE_X29Y66 NUM_BELS 32 SITEPROP SLICE_X29Y66 NUM_INPUTS 32 SITEPROP SLICE_X29Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y66 NUM_PINS 45 SITEPROP SLICE_X29Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y66 PROHIBIT 0 SITEPROP SLICE_X29Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y66 RPM_X 83 SITEPROP SLICE_X29Y66 RPM_Y 132 SITEPROP SLICE_X29Y66 SITE_PIPS SITEPROP SLICE_X29Y66 SITE_TYPE SLICEL SITEPROP SLICE_X29Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y67 CLASS site SITEPROP SLICE_X29Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y67 IS_BONDED 0 SITEPROP SLICE_X29Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y67 IS_PAD 0 SITEPROP SLICE_X29Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y67 IS_RESERVED 0 SITEPROP SLICE_X29Y67 IS_TEST 0 SITEPROP SLICE_X29Y67 IS_USED 0 SITEPROP SLICE_X29Y67 MANUAL_ROUTING SITEPROP SLICE_X29Y67 NAME SLICE_X29Y67 SITEPROP SLICE_X29Y67 NUM_ARCS 138 SITEPROP SLICE_X29Y67 NUM_BELS 32 SITEPROP SLICE_X29Y67 NUM_INPUTS 32 SITEPROP SLICE_X29Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y67 NUM_PINS 45 SITEPROP SLICE_X29Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y67 PROHIBIT 0 SITEPROP SLICE_X29Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y67 RPM_X 83 SITEPROP SLICE_X29Y67 RPM_Y 134 SITEPROP SLICE_X29Y67 SITE_PIPS SITEPROP SLICE_X29Y67 SITE_TYPE SLICEL SITEPROP SLICE_X29Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y68 CLASS site SITEPROP SLICE_X29Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y68 IS_BONDED 0 SITEPROP SLICE_X29Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y68 IS_PAD 0 SITEPROP SLICE_X29Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y68 IS_RESERVED 0 SITEPROP SLICE_X29Y68 IS_TEST 0 SITEPROP SLICE_X29Y68 IS_USED 0 SITEPROP SLICE_X29Y68 MANUAL_ROUTING SITEPROP SLICE_X29Y68 NAME SLICE_X29Y68 SITEPROP SLICE_X29Y68 NUM_ARCS 138 SITEPROP SLICE_X29Y68 NUM_BELS 32 SITEPROP SLICE_X29Y68 NUM_INPUTS 32 SITEPROP SLICE_X29Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y68 NUM_PINS 45 SITEPROP SLICE_X29Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y68 PROHIBIT 0 SITEPROP SLICE_X29Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y68 RPM_X 83 SITEPROP SLICE_X29Y68 RPM_Y 136 SITEPROP SLICE_X29Y68 SITE_PIPS SITEPROP SLICE_X29Y68 SITE_TYPE SLICEL SITEPROP SLICE_X29Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y69 CLASS site SITEPROP SLICE_X29Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y69 IS_BONDED 0 SITEPROP SLICE_X29Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y69 IS_PAD 0 SITEPROP SLICE_X29Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y69 IS_RESERVED 0 SITEPROP SLICE_X29Y69 IS_TEST 0 SITEPROP SLICE_X29Y69 IS_USED 0 SITEPROP SLICE_X29Y69 MANUAL_ROUTING SITEPROP SLICE_X29Y69 NAME SLICE_X29Y69 SITEPROP SLICE_X29Y69 NUM_ARCS 138 SITEPROP SLICE_X29Y69 NUM_BELS 32 SITEPROP SLICE_X29Y69 NUM_INPUTS 32 SITEPROP SLICE_X29Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y69 NUM_PINS 45 SITEPROP SLICE_X29Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y69 PROHIBIT 0 SITEPROP SLICE_X29Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y69 RPM_X 83 SITEPROP SLICE_X29Y69 RPM_Y 138 SITEPROP SLICE_X29Y69 SITE_PIPS SITEPROP SLICE_X29Y69 SITE_TYPE SLICEL SITEPROP SLICE_X29Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y70 CLASS site SITEPROP SLICE_X29Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y70 IS_BONDED 0 SITEPROP SLICE_X29Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y70 IS_PAD 0 SITEPROP SLICE_X29Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y70 IS_RESERVED 0 SITEPROP SLICE_X29Y70 IS_TEST 0 SITEPROP SLICE_X29Y70 IS_USED 0 SITEPROP SLICE_X29Y70 MANUAL_ROUTING SITEPROP SLICE_X29Y70 NAME SLICE_X29Y70 SITEPROP SLICE_X29Y70 NUM_ARCS 138 SITEPROP SLICE_X29Y70 NUM_BELS 32 SITEPROP SLICE_X29Y70 NUM_INPUTS 32 SITEPROP SLICE_X29Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y70 NUM_PINS 45 SITEPROP SLICE_X29Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y70 PROHIBIT 0 SITEPROP SLICE_X29Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y70 RPM_X 83 SITEPROP SLICE_X29Y70 RPM_Y 140 SITEPROP SLICE_X29Y70 SITE_PIPS SITEPROP SLICE_X29Y70 SITE_TYPE SLICEL SITEPROP SLICE_X29Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y71 CLASS site SITEPROP SLICE_X29Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y71 IS_BONDED 0 SITEPROP SLICE_X29Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y71 IS_PAD 0 SITEPROP SLICE_X29Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y71 IS_RESERVED 0 SITEPROP SLICE_X29Y71 IS_TEST 0 SITEPROP SLICE_X29Y71 IS_USED 0 SITEPROP SLICE_X29Y71 MANUAL_ROUTING SITEPROP SLICE_X29Y71 NAME SLICE_X29Y71 SITEPROP SLICE_X29Y71 NUM_ARCS 138 SITEPROP SLICE_X29Y71 NUM_BELS 32 SITEPROP SLICE_X29Y71 NUM_INPUTS 32 SITEPROP SLICE_X29Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y71 NUM_PINS 45 SITEPROP SLICE_X29Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y71 PROHIBIT 0 SITEPROP SLICE_X29Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y71 RPM_X 83 SITEPROP SLICE_X29Y71 RPM_Y 142 SITEPROP SLICE_X29Y71 SITE_PIPS SITEPROP SLICE_X29Y71 SITE_TYPE SLICEL SITEPROP SLICE_X29Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y72 CLASS site SITEPROP SLICE_X29Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y72 IS_BONDED 0 SITEPROP SLICE_X29Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y72 IS_PAD 0 SITEPROP SLICE_X29Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y72 IS_RESERVED 0 SITEPROP SLICE_X29Y72 IS_TEST 0 SITEPROP SLICE_X29Y72 IS_USED 0 SITEPROP SLICE_X29Y72 MANUAL_ROUTING SITEPROP SLICE_X29Y72 NAME SLICE_X29Y72 SITEPROP SLICE_X29Y72 NUM_ARCS 138 SITEPROP SLICE_X29Y72 NUM_BELS 32 SITEPROP SLICE_X29Y72 NUM_INPUTS 32 SITEPROP SLICE_X29Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y72 NUM_PINS 45 SITEPROP SLICE_X29Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y72 PROHIBIT 0 SITEPROP SLICE_X29Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y72 RPM_X 83 SITEPROP SLICE_X29Y72 RPM_Y 144 SITEPROP SLICE_X29Y72 SITE_PIPS SITEPROP SLICE_X29Y72 SITE_TYPE SLICEL SITEPROP SLICE_X29Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y73 CLASS site SITEPROP SLICE_X29Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y73 IS_BONDED 0 SITEPROP SLICE_X29Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y73 IS_PAD 0 SITEPROP SLICE_X29Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y73 IS_RESERVED 0 SITEPROP SLICE_X29Y73 IS_TEST 0 SITEPROP SLICE_X29Y73 IS_USED 0 SITEPROP SLICE_X29Y73 MANUAL_ROUTING SITEPROP SLICE_X29Y73 NAME SLICE_X29Y73 SITEPROP SLICE_X29Y73 NUM_ARCS 138 SITEPROP SLICE_X29Y73 NUM_BELS 32 SITEPROP SLICE_X29Y73 NUM_INPUTS 32 SITEPROP SLICE_X29Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y73 NUM_PINS 45 SITEPROP SLICE_X29Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y73 PROHIBIT 0 SITEPROP SLICE_X29Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y73 RPM_X 83 SITEPROP SLICE_X29Y73 RPM_Y 146 SITEPROP SLICE_X29Y73 SITE_PIPS SITEPROP SLICE_X29Y73 SITE_TYPE SLICEL SITEPROP SLICE_X29Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y74 CLASS site SITEPROP SLICE_X29Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y74 IS_BONDED 0 SITEPROP SLICE_X29Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y74 IS_PAD 0 SITEPROP SLICE_X29Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y74 IS_RESERVED 0 SITEPROP SLICE_X29Y74 IS_TEST 0 SITEPROP SLICE_X29Y74 IS_USED 0 SITEPROP SLICE_X29Y74 MANUAL_ROUTING SITEPROP SLICE_X29Y74 NAME SLICE_X29Y74 SITEPROP SLICE_X29Y74 NUM_ARCS 138 SITEPROP SLICE_X29Y74 NUM_BELS 32 SITEPROP SLICE_X29Y74 NUM_INPUTS 32 SITEPROP SLICE_X29Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y74 NUM_PINS 45 SITEPROP SLICE_X29Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y74 PROHIBIT 0 SITEPROP SLICE_X29Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y74 RPM_X 83 SITEPROP SLICE_X29Y74 RPM_Y 148 SITEPROP SLICE_X29Y74 SITE_PIPS SITEPROP SLICE_X29Y74 SITE_TYPE SLICEL SITEPROP SLICE_X29Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y75 CLASS site SITEPROP SLICE_X29Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y75 IS_BONDED 0 SITEPROP SLICE_X29Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y75 IS_PAD 0 SITEPROP SLICE_X29Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y75 IS_RESERVED 0 SITEPROP SLICE_X29Y75 IS_TEST 0 SITEPROP SLICE_X29Y75 IS_USED 0 SITEPROP SLICE_X29Y75 MANUAL_ROUTING SITEPROP SLICE_X29Y75 NAME SLICE_X29Y75 SITEPROP SLICE_X29Y75 NUM_ARCS 138 SITEPROP SLICE_X29Y75 NUM_BELS 32 SITEPROP SLICE_X29Y75 NUM_INPUTS 32 SITEPROP SLICE_X29Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y75 NUM_PINS 45 SITEPROP SLICE_X29Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y75 PROHIBIT 0 SITEPROP SLICE_X29Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y75 RPM_X 83 SITEPROP SLICE_X29Y75 RPM_Y 150 SITEPROP SLICE_X29Y75 SITE_PIPS SITEPROP SLICE_X29Y75 SITE_TYPE SLICEL SITEPROP SLICE_X29Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y76 CLASS site SITEPROP SLICE_X29Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y76 IS_BONDED 0 SITEPROP SLICE_X29Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y76 IS_PAD 0 SITEPROP SLICE_X29Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y76 IS_RESERVED 0 SITEPROP SLICE_X29Y76 IS_TEST 0 SITEPROP SLICE_X29Y76 IS_USED 0 SITEPROP SLICE_X29Y76 MANUAL_ROUTING SITEPROP SLICE_X29Y76 NAME SLICE_X29Y76 SITEPROP SLICE_X29Y76 NUM_ARCS 138 SITEPROP SLICE_X29Y76 NUM_BELS 32 SITEPROP SLICE_X29Y76 NUM_INPUTS 32 SITEPROP SLICE_X29Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y76 NUM_PINS 45 SITEPROP SLICE_X29Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y76 PROHIBIT 0 SITEPROP SLICE_X29Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y76 RPM_X 83 SITEPROP SLICE_X29Y76 RPM_Y 152 SITEPROP SLICE_X29Y76 SITE_PIPS SITEPROP SLICE_X29Y76 SITE_TYPE SLICEL SITEPROP SLICE_X29Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y77 CLASS site SITEPROP SLICE_X29Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y77 IS_BONDED 0 SITEPROP SLICE_X29Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y77 IS_PAD 0 SITEPROP SLICE_X29Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y77 IS_RESERVED 0 SITEPROP SLICE_X29Y77 IS_TEST 0 SITEPROP SLICE_X29Y77 IS_USED 0 SITEPROP SLICE_X29Y77 MANUAL_ROUTING SITEPROP SLICE_X29Y77 NAME SLICE_X29Y77 SITEPROP SLICE_X29Y77 NUM_ARCS 138 SITEPROP SLICE_X29Y77 NUM_BELS 32 SITEPROP SLICE_X29Y77 NUM_INPUTS 32 SITEPROP SLICE_X29Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y77 NUM_PINS 45 SITEPROP SLICE_X29Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y77 PROHIBIT 0 SITEPROP SLICE_X29Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y77 RPM_X 83 SITEPROP SLICE_X29Y77 RPM_Y 154 SITEPROP SLICE_X29Y77 SITE_PIPS SITEPROP SLICE_X29Y77 SITE_TYPE SLICEL SITEPROP SLICE_X29Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y78 CLASS site SITEPROP SLICE_X29Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y78 IS_BONDED 0 SITEPROP SLICE_X29Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y78 IS_PAD 0 SITEPROP SLICE_X29Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y78 IS_RESERVED 0 SITEPROP SLICE_X29Y78 IS_TEST 0 SITEPROP SLICE_X29Y78 IS_USED 0 SITEPROP SLICE_X29Y78 MANUAL_ROUTING SITEPROP SLICE_X29Y78 NAME SLICE_X29Y78 SITEPROP SLICE_X29Y78 NUM_ARCS 138 SITEPROP SLICE_X29Y78 NUM_BELS 32 SITEPROP SLICE_X29Y78 NUM_INPUTS 32 SITEPROP SLICE_X29Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y78 NUM_PINS 45 SITEPROP SLICE_X29Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y78 PROHIBIT 0 SITEPROP SLICE_X29Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y78 RPM_X 83 SITEPROP SLICE_X29Y78 RPM_Y 156 SITEPROP SLICE_X29Y78 SITE_PIPS SITEPROP SLICE_X29Y78 SITE_TYPE SLICEL SITEPROP SLICE_X29Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y79 CLASS site SITEPROP SLICE_X29Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y79 IS_BONDED 0 SITEPROP SLICE_X29Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y79 IS_PAD 0 SITEPROP SLICE_X29Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y79 IS_RESERVED 0 SITEPROP SLICE_X29Y79 IS_TEST 0 SITEPROP SLICE_X29Y79 IS_USED 0 SITEPROP SLICE_X29Y79 MANUAL_ROUTING SITEPROP SLICE_X29Y79 NAME SLICE_X29Y79 SITEPROP SLICE_X29Y79 NUM_ARCS 138 SITEPROP SLICE_X29Y79 NUM_BELS 32 SITEPROP SLICE_X29Y79 NUM_INPUTS 32 SITEPROP SLICE_X29Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y79 NUM_PINS 45 SITEPROP SLICE_X29Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y79 PROHIBIT 0 SITEPROP SLICE_X29Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y79 RPM_X 83 SITEPROP SLICE_X29Y79 RPM_Y 158 SITEPROP SLICE_X29Y79 SITE_PIPS SITEPROP SLICE_X29Y79 SITE_TYPE SLICEL SITEPROP SLICE_X29Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y80 CLASS site SITEPROP SLICE_X29Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y80 IS_BONDED 0 SITEPROP SLICE_X29Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y80 IS_PAD 0 SITEPROP SLICE_X29Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y80 IS_RESERVED 0 SITEPROP SLICE_X29Y80 IS_TEST 0 SITEPROP SLICE_X29Y80 IS_USED 0 SITEPROP SLICE_X29Y80 MANUAL_ROUTING SITEPROP SLICE_X29Y80 NAME SLICE_X29Y80 SITEPROP SLICE_X29Y80 NUM_ARCS 138 SITEPROP SLICE_X29Y80 NUM_BELS 32 SITEPROP SLICE_X29Y80 NUM_INPUTS 32 SITEPROP SLICE_X29Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y80 NUM_PINS 45 SITEPROP SLICE_X29Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y80 PROHIBIT 0 SITEPROP SLICE_X29Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y80 RPM_X 83 SITEPROP SLICE_X29Y80 RPM_Y 160 SITEPROP SLICE_X29Y80 SITE_PIPS SITEPROP SLICE_X29Y80 SITE_TYPE SLICEL SITEPROP SLICE_X29Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y81 CLASS site SITEPROP SLICE_X29Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y81 IS_BONDED 0 SITEPROP SLICE_X29Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y81 IS_PAD 0 SITEPROP SLICE_X29Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y81 IS_RESERVED 0 SITEPROP SLICE_X29Y81 IS_TEST 0 SITEPROP SLICE_X29Y81 IS_USED 0 SITEPROP SLICE_X29Y81 MANUAL_ROUTING SITEPROP SLICE_X29Y81 NAME SLICE_X29Y81 SITEPROP SLICE_X29Y81 NUM_ARCS 138 SITEPROP SLICE_X29Y81 NUM_BELS 32 SITEPROP SLICE_X29Y81 NUM_INPUTS 32 SITEPROP SLICE_X29Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y81 NUM_PINS 45 SITEPROP SLICE_X29Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y81 PROHIBIT 0 SITEPROP SLICE_X29Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y81 RPM_X 83 SITEPROP SLICE_X29Y81 RPM_Y 162 SITEPROP SLICE_X29Y81 SITE_PIPS SITEPROP SLICE_X29Y81 SITE_TYPE SLICEL SITEPROP SLICE_X29Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y82 CLASS site SITEPROP SLICE_X29Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y82 IS_BONDED 0 SITEPROP SLICE_X29Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y82 IS_PAD 0 SITEPROP SLICE_X29Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y82 IS_RESERVED 0 SITEPROP SLICE_X29Y82 IS_TEST 0 SITEPROP SLICE_X29Y82 IS_USED 0 SITEPROP SLICE_X29Y82 MANUAL_ROUTING SITEPROP SLICE_X29Y82 NAME SLICE_X29Y82 SITEPROP SLICE_X29Y82 NUM_ARCS 138 SITEPROP SLICE_X29Y82 NUM_BELS 32 SITEPROP SLICE_X29Y82 NUM_INPUTS 32 SITEPROP SLICE_X29Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y82 NUM_PINS 45 SITEPROP SLICE_X29Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y82 PROHIBIT 0 SITEPROP SLICE_X29Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y82 RPM_X 83 SITEPROP SLICE_X29Y82 RPM_Y 164 SITEPROP SLICE_X29Y82 SITE_PIPS SITEPROP SLICE_X29Y82 SITE_TYPE SLICEL SITEPROP SLICE_X29Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y83 CLASS site SITEPROP SLICE_X29Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y83 IS_BONDED 0 SITEPROP SLICE_X29Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y83 IS_PAD 0 SITEPROP SLICE_X29Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y83 IS_RESERVED 0 SITEPROP SLICE_X29Y83 IS_TEST 0 SITEPROP SLICE_X29Y83 IS_USED 0 SITEPROP SLICE_X29Y83 MANUAL_ROUTING SITEPROP SLICE_X29Y83 NAME SLICE_X29Y83 SITEPROP SLICE_X29Y83 NUM_ARCS 138 SITEPROP SLICE_X29Y83 NUM_BELS 32 SITEPROP SLICE_X29Y83 NUM_INPUTS 32 SITEPROP SLICE_X29Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y83 NUM_PINS 45 SITEPROP SLICE_X29Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y83 PROHIBIT 0 SITEPROP SLICE_X29Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y83 RPM_X 83 SITEPROP SLICE_X29Y83 RPM_Y 166 SITEPROP SLICE_X29Y83 SITE_PIPS SITEPROP SLICE_X29Y83 SITE_TYPE SLICEL SITEPROP SLICE_X29Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y84 CLASS site SITEPROP SLICE_X29Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y84 IS_BONDED 0 SITEPROP SLICE_X29Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y84 IS_PAD 0 SITEPROP SLICE_X29Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y84 IS_RESERVED 0 SITEPROP SLICE_X29Y84 IS_TEST 0 SITEPROP SLICE_X29Y84 IS_USED 0 SITEPROP SLICE_X29Y84 MANUAL_ROUTING SITEPROP SLICE_X29Y84 NAME SLICE_X29Y84 SITEPROP SLICE_X29Y84 NUM_ARCS 138 SITEPROP SLICE_X29Y84 NUM_BELS 32 SITEPROP SLICE_X29Y84 NUM_INPUTS 32 SITEPROP SLICE_X29Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y84 NUM_PINS 45 SITEPROP SLICE_X29Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y84 PROHIBIT 0 SITEPROP SLICE_X29Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y84 RPM_X 83 SITEPROP SLICE_X29Y84 RPM_Y 168 SITEPROP SLICE_X29Y84 SITE_PIPS SITEPROP SLICE_X29Y84 SITE_TYPE SLICEL SITEPROP SLICE_X29Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y85 CLASS site SITEPROP SLICE_X29Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y85 IS_BONDED 0 SITEPROP SLICE_X29Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y85 IS_PAD 0 SITEPROP SLICE_X29Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y85 IS_RESERVED 0 SITEPROP SLICE_X29Y85 IS_TEST 0 SITEPROP SLICE_X29Y85 IS_USED 0 SITEPROP SLICE_X29Y85 MANUAL_ROUTING SITEPROP SLICE_X29Y85 NAME SLICE_X29Y85 SITEPROP SLICE_X29Y85 NUM_ARCS 138 SITEPROP SLICE_X29Y85 NUM_BELS 32 SITEPROP SLICE_X29Y85 NUM_INPUTS 32 SITEPROP SLICE_X29Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y85 NUM_PINS 45 SITEPROP SLICE_X29Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y85 PROHIBIT 0 SITEPROP SLICE_X29Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y85 RPM_X 83 SITEPROP SLICE_X29Y85 RPM_Y 170 SITEPROP SLICE_X29Y85 SITE_PIPS SITEPROP SLICE_X29Y85 SITE_TYPE SLICEL SITEPROP SLICE_X29Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y86 CLASS site SITEPROP SLICE_X29Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y86 IS_BONDED 0 SITEPROP SLICE_X29Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y86 IS_PAD 0 SITEPROP SLICE_X29Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y86 IS_RESERVED 0 SITEPROP SLICE_X29Y86 IS_TEST 0 SITEPROP SLICE_X29Y86 IS_USED 0 SITEPROP SLICE_X29Y86 MANUAL_ROUTING SITEPROP SLICE_X29Y86 NAME SLICE_X29Y86 SITEPROP SLICE_X29Y86 NUM_ARCS 138 SITEPROP SLICE_X29Y86 NUM_BELS 32 SITEPROP SLICE_X29Y86 NUM_INPUTS 32 SITEPROP SLICE_X29Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y86 NUM_PINS 45 SITEPROP SLICE_X29Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y86 PROHIBIT 0 SITEPROP SLICE_X29Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y86 RPM_X 83 SITEPROP SLICE_X29Y86 RPM_Y 172 SITEPROP SLICE_X29Y86 SITE_PIPS SITEPROP SLICE_X29Y86 SITE_TYPE SLICEL SITEPROP SLICE_X29Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y87 CLASS site SITEPROP SLICE_X29Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y87 IS_BONDED 0 SITEPROP SLICE_X29Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y87 IS_PAD 0 SITEPROP SLICE_X29Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y87 IS_RESERVED 0 SITEPROP SLICE_X29Y87 IS_TEST 0 SITEPROP SLICE_X29Y87 IS_USED 0 SITEPROP SLICE_X29Y87 MANUAL_ROUTING SITEPROP SLICE_X29Y87 NAME SLICE_X29Y87 SITEPROP SLICE_X29Y87 NUM_ARCS 138 SITEPROP SLICE_X29Y87 NUM_BELS 32 SITEPROP SLICE_X29Y87 NUM_INPUTS 32 SITEPROP SLICE_X29Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y87 NUM_PINS 45 SITEPROP SLICE_X29Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y87 PROHIBIT 0 SITEPROP SLICE_X29Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y87 RPM_X 83 SITEPROP SLICE_X29Y87 RPM_Y 174 SITEPROP SLICE_X29Y87 SITE_PIPS SITEPROP SLICE_X29Y87 SITE_TYPE SLICEL SITEPROP SLICE_X29Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y88 CLASS site SITEPROP SLICE_X29Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y88 IS_BONDED 0 SITEPROP SLICE_X29Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y88 IS_PAD 0 SITEPROP SLICE_X29Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y88 IS_RESERVED 0 SITEPROP SLICE_X29Y88 IS_TEST 0 SITEPROP SLICE_X29Y88 IS_USED 0 SITEPROP SLICE_X29Y88 MANUAL_ROUTING SITEPROP SLICE_X29Y88 NAME SLICE_X29Y88 SITEPROP SLICE_X29Y88 NUM_ARCS 138 SITEPROP SLICE_X29Y88 NUM_BELS 32 SITEPROP SLICE_X29Y88 NUM_INPUTS 32 SITEPROP SLICE_X29Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y88 NUM_PINS 45 SITEPROP SLICE_X29Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y88 PROHIBIT 0 SITEPROP SLICE_X29Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y88 RPM_X 83 SITEPROP SLICE_X29Y88 RPM_Y 176 SITEPROP SLICE_X29Y88 SITE_PIPS SITEPROP SLICE_X29Y88 SITE_TYPE SLICEL SITEPROP SLICE_X29Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y89 CLASS site SITEPROP SLICE_X29Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y89 IS_BONDED 0 SITEPROP SLICE_X29Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y89 IS_PAD 0 SITEPROP SLICE_X29Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y89 IS_RESERVED 0 SITEPROP SLICE_X29Y89 IS_TEST 0 SITEPROP SLICE_X29Y89 IS_USED 0 SITEPROP SLICE_X29Y89 MANUAL_ROUTING SITEPROP SLICE_X29Y89 NAME SLICE_X29Y89 SITEPROP SLICE_X29Y89 NUM_ARCS 138 SITEPROP SLICE_X29Y89 NUM_BELS 32 SITEPROP SLICE_X29Y89 NUM_INPUTS 32 SITEPROP SLICE_X29Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y89 NUM_PINS 45 SITEPROP SLICE_X29Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y89 PROHIBIT 0 SITEPROP SLICE_X29Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y89 RPM_X 83 SITEPROP SLICE_X29Y89 RPM_Y 178 SITEPROP SLICE_X29Y89 SITE_PIPS SITEPROP SLICE_X29Y89 SITE_TYPE SLICEL SITEPROP SLICE_X29Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y90 CLASS site SITEPROP SLICE_X29Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y90 IS_BONDED 0 SITEPROP SLICE_X29Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y90 IS_PAD 0 SITEPROP SLICE_X29Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y90 IS_RESERVED 0 SITEPROP SLICE_X29Y90 IS_TEST 0 SITEPROP SLICE_X29Y90 IS_USED 0 SITEPROP SLICE_X29Y90 MANUAL_ROUTING SITEPROP SLICE_X29Y90 NAME SLICE_X29Y90 SITEPROP SLICE_X29Y90 NUM_ARCS 138 SITEPROP SLICE_X29Y90 NUM_BELS 32 SITEPROP SLICE_X29Y90 NUM_INPUTS 32 SITEPROP SLICE_X29Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y90 NUM_PINS 45 SITEPROP SLICE_X29Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y90 PROHIBIT 0 SITEPROP SLICE_X29Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y90 RPM_X 83 SITEPROP SLICE_X29Y90 RPM_Y 180 SITEPROP SLICE_X29Y90 SITE_PIPS SITEPROP SLICE_X29Y90 SITE_TYPE SLICEL SITEPROP SLICE_X29Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y91 CLASS site SITEPROP SLICE_X29Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y91 IS_BONDED 0 SITEPROP SLICE_X29Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y91 IS_PAD 0 SITEPROP SLICE_X29Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y91 IS_RESERVED 0 SITEPROP SLICE_X29Y91 IS_TEST 0 SITEPROP SLICE_X29Y91 IS_USED 0 SITEPROP SLICE_X29Y91 MANUAL_ROUTING SITEPROP SLICE_X29Y91 NAME SLICE_X29Y91 SITEPROP SLICE_X29Y91 NUM_ARCS 138 SITEPROP SLICE_X29Y91 NUM_BELS 32 SITEPROP SLICE_X29Y91 NUM_INPUTS 32 SITEPROP SLICE_X29Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y91 NUM_PINS 45 SITEPROP SLICE_X29Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y91 PROHIBIT 0 SITEPROP SLICE_X29Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y91 RPM_X 83 SITEPROP SLICE_X29Y91 RPM_Y 182 SITEPROP SLICE_X29Y91 SITE_PIPS SITEPROP SLICE_X29Y91 SITE_TYPE SLICEL SITEPROP SLICE_X29Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y92 CLASS site SITEPROP SLICE_X29Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y92 IS_BONDED 0 SITEPROP SLICE_X29Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y92 IS_PAD 0 SITEPROP SLICE_X29Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y92 IS_RESERVED 0 SITEPROP SLICE_X29Y92 IS_TEST 0 SITEPROP SLICE_X29Y92 IS_USED 0 SITEPROP SLICE_X29Y92 MANUAL_ROUTING SITEPROP SLICE_X29Y92 NAME SLICE_X29Y92 SITEPROP SLICE_X29Y92 NUM_ARCS 138 SITEPROP SLICE_X29Y92 NUM_BELS 32 SITEPROP SLICE_X29Y92 NUM_INPUTS 32 SITEPROP SLICE_X29Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y92 NUM_PINS 45 SITEPROP SLICE_X29Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y92 PROHIBIT 0 SITEPROP SLICE_X29Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y92 RPM_X 83 SITEPROP SLICE_X29Y92 RPM_Y 184 SITEPROP SLICE_X29Y92 SITE_PIPS SITEPROP SLICE_X29Y92 SITE_TYPE SLICEL SITEPROP SLICE_X29Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y93 CLASS site SITEPROP SLICE_X29Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y93 IS_BONDED 0 SITEPROP SLICE_X29Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y93 IS_PAD 0 SITEPROP SLICE_X29Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y93 IS_RESERVED 0 SITEPROP SLICE_X29Y93 IS_TEST 0 SITEPROP SLICE_X29Y93 IS_USED 0 SITEPROP SLICE_X29Y93 MANUAL_ROUTING SITEPROP SLICE_X29Y93 NAME SLICE_X29Y93 SITEPROP SLICE_X29Y93 NUM_ARCS 138 SITEPROP SLICE_X29Y93 NUM_BELS 32 SITEPROP SLICE_X29Y93 NUM_INPUTS 32 SITEPROP SLICE_X29Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y93 NUM_PINS 45 SITEPROP SLICE_X29Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y93 PROHIBIT 0 SITEPROP SLICE_X29Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y93 RPM_X 83 SITEPROP SLICE_X29Y93 RPM_Y 186 SITEPROP SLICE_X29Y93 SITE_PIPS SITEPROP SLICE_X29Y93 SITE_TYPE SLICEL SITEPROP SLICE_X29Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y94 CLASS site SITEPROP SLICE_X29Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y94 IS_BONDED 0 SITEPROP SLICE_X29Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y94 IS_PAD 0 SITEPROP SLICE_X29Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y94 IS_RESERVED 0 SITEPROP SLICE_X29Y94 IS_TEST 0 SITEPROP SLICE_X29Y94 IS_USED 0 SITEPROP SLICE_X29Y94 MANUAL_ROUTING SITEPROP SLICE_X29Y94 NAME SLICE_X29Y94 SITEPROP SLICE_X29Y94 NUM_ARCS 138 SITEPROP SLICE_X29Y94 NUM_BELS 32 SITEPROP SLICE_X29Y94 NUM_INPUTS 32 SITEPROP SLICE_X29Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y94 NUM_PINS 45 SITEPROP SLICE_X29Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y94 PROHIBIT 0 SITEPROP SLICE_X29Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y94 RPM_X 83 SITEPROP SLICE_X29Y94 RPM_Y 188 SITEPROP SLICE_X29Y94 SITE_PIPS SITEPROP SLICE_X29Y94 SITE_TYPE SLICEL SITEPROP SLICE_X29Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y95 CLASS site SITEPROP SLICE_X29Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y95 IS_BONDED 0 SITEPROP SLICE_X29Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y95 IS_PAD 0 SITEPROP SLICE_X29Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y95 IS_RESERVED 0 SITEPROP SLICE_X29Y95 IS_TEST 0 SITEPROP SLICE_X29Y95 IS_USED 0 SITEPROP SLICE_X29Y95 MANUAL_ROUTING SITEPROP SLICE_X29Y95 NAME SLICE_X29Y95 SITEPROP SLICE_X29Y95 NUM_ARCS 138 SITEPROP SLICE_X29Y95 NUM_BELS 32 SITEPROP SLICE_X29Y95 NUM_INPUTS 32 SITEPROP SLICE_X29Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y95 NUM_PINS 45 SITEPROP SLICE_X29Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y95 PROHIBIT 0 SITEPROP SLICE_X29Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y95 RPM_X 83 SITEPROP SLICE_X29Y95 RPM_Y 190 SITEPROP SLICE_X29Y95 SITE_PIPS SITEPROP SLICE_X29Y95 SITE_TYPE SLICEL SITEPROP SLICE_X29Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y96 CLASS site SITEPROP SLICE_X29Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y96 IS_BONDED 0 SITEPROP SLICE_X29Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y96 IS_PAD 0 SITEPROP SLICE_X29Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y96 IS_RESERVED 0 SITEPROP SLICE_X29Y96 IS_TEST 0 SITEPROP SLICE_X29Y96 IS_USED 0 SITEPROP SLICE_X29Y96 MANUAL_ROUTING SITEPROP SLICE_X29Y96 NAME SLICE_X29Y96 SITEPROP SLICE_X29Y96 NUM_ARCS 138 SITEPROP SLICE_X29Y96 NUM_BELS 32 SITEPROP SLICE_X29Y96 NUM_INPUTS 32 SITEPROP SLICE_X29Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y96 NUM_PINS 45 SITEPROP SLICE_X29Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y96 PROHIBIT 0 SITEPROP SLICE_X29Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y96 RPM_X 83 SITEPROP SLICE_X29Y96 RPM_Y 192 SITEPROP SLICE_X29Y96 SITE_PIPS SITEPROP SLICE_X29Y96 SITE_TYPE SLICEL SITEPROP SLICE_X29Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y97 CLASS site SITEPROP SLICE_X29Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y97 IS_BONDED 0 SITEPROP SLICE_X29Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y97 IS_PAD 0 SITEPROP SLICE_X29Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y97 IS_RESERVED 0 SITEPROP SLICE_X29Y97 IS_TEST 0 SITEPROP SLICE_X29Y97 IS_USED 0 SITEPROP SLICE_X29Y97 MANUAL_ROUTING SITEPROP SLICE_X29Y97 NAME SLICE_X29Y97 SITEPROP SLICE_X29Y97 NUM_ARCS 138 SITEPROP SLICE_X29Y97 NUM_BELS 32 SITEPROP SLICE_X29Y97 NUM_INPUTS 32 SITEPROP SLICE_X29Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y97 NUM_PINS 45 SITEPROP SLICE_X29Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y97 PROHIBIT 0 SITEPROP SLICE_X29Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y97 RPM_X 83 SITEPROP SLICE_X29Y97 RPM_Y 194 SITEPROP SLICE_X29Y97 SITE_PIPS SITEPROP SLICE_X29Y97 SITE_TYPE SLICEL SITEPROP SLICE_X29Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y98 CLASS site SITEPROP SLICE_X29Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y98 IS_BONDED 0 SITEPROP SLICE_X29Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y98 IS_PAD 0 SITEPROP SLICE_X29Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y98 IS_RESERVED 0 SITEPROP SLICE_X29Y98 IS_TEST 0 SITEPROP SLICE_X29Y98 IS_USED 0 SITEPROP SLICE_X29Y98 MANUAL_ROUTING SITEPROP SLICE_X29Y98 NAME SLICE_X29Y98 SITEPROP SLICE_X29Y98 NUM_ARCS 138 SITEPROP SLICE_X29Y98 NUM_BELS 32 SITEPROP SLICE_X29Y98 NUM_INPUTS 32 SITEPROP SLICE_X29Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y98 NUM_PINS 45 SITEPROP SLICE_X29Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y98 PROHIBIT 0 SITEPROP SLICE_X29Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y98 RPM_X 83 SITEPROP SLICE_X29Y98 RPM_Y 196 SITEPROP SLICE_X29Y98 SITE_PIPS SITEPROP SLICE_X29Y98 SITE_TYPE SLICEL SITEPROP SLICE_X29Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y99 CLASS site SITEPROP SLICE_X29Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X29Y99 IS_BONDED 0 SITEPROP SLICE_X29Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y99 IS_PAD 0 SITEPROP SLICE_X29Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y99 IS_RESERVED 0 SITEPROP SLICE_X29Y99 IS_TEST 0 SITEPROP SLICE_X29Y99 IS_USED 0 SITEPROP SLICE_X29Y99 MANUAL_ROUTING SITEPROP SLICE_X29Y99 NAME SLICE_X29Y99 SITEPROP SLICE_X29Y99 NUM_ARCS 138 SITEPROP SLICE_X29Y99 NUM_BELS 32 SITEPROP SLICE_X29Y99 NUM_INPUTS 32 SITEPROP SLICE_X29Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y99 NUM_PINS 45 SITEPROP SLICE_X29Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y99 PROHIBIT 0 SITEPROP SLICE_X29Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y99 RPM_X 83 SITEPROP SLICE_X29Y99 RPM_Y 198 SITEPROP SLICE_X29Y99 SITE_PIPS SITEPROP SLICE_X29Y99 SITE_TYPE SLICEL SITEPROP SLICE_X29Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y100 CLASS site SITEPROP SLICE_X29Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y100 IS_BONDED 0 SITEPROP SLICE_X29Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y100 IS_PAD 0 SITEPROP SLICE_X29Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y100 IS_RESERVED 0 SITEPROP SLICE_X29Y100 IS_TEST 0 SITEPROP SLICE_X29Y100 IS_USED 0 SITEPROP SLICE_X29Y100 MANUAL_ROUTING SITEPROP SLICE_X29Y100 NAME SLICE_X29Y100 SITEPROP SLICE_X29Y100 NUM_ARCS 138 SITEPROP SLICE_X29Y100 NUM_BELS 32 SITEPROP SLICE_X29Y100 NUM_INPUTS 32 SITEPROP SLICE_X29Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y100 NUM_PINS 45 SITEPROP SLICE_X29Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y100 PROHIBIT 0 SITEPROP SLICE_X29Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y100 RPM_X 83 SITEPROP SLICE_X29Y100 RPM_Y 200 SITEPROP SLICE_X29Y100 SITE_PIPS SITEPROP SLICE_X29Y100 SITE_TYPE SLICEL SITEPROP SLICE_X29Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y101 CLASS site SITEPROP SLICE_X29Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y101 IS_BONDED 0 SITEPROP SLICE_X29Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y101 IS_PAD 0 SITEPROP SLICE_X29Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y101 IS_RESERVED 0 SITEPROP SLICE_X29Y101 IS_TEST 0 SITEPROP SLICE_X29Y101 IS_USED 0 SITEPROP SLICE_X29Y101 MANUAL_ROUTING SITEPROP SLICE_X29Y101 NAME SLICE_X29Y101 SITEPROP SLICE_X29Y101 NUM_ARCS 138 SITEPROP SLICE_X29Y101 NUM_BELS 32 SITEPROP SLICE_X29Y101 NUM_INPUTS 32 SITEPROP SLICE_X29Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y101 NUM_PINS 45 SITEPROP SLICE_X29Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y101 PROHIBIT 0 SITEPROP SLICE_X29Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y101 RPM_X 83 SITEPROP SLICE_X29Y101 RPM_Y 202 SITEPROP SLICE_X29Y101 SITE_PIPS SITEPROP SLICE_X29Y101 SITE_TYPE SLICEL SITEPROP SLICE_X29Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y102 CLASS site SITEPROP SLICE_X29Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y102 IS_BONDED 0 SITEPROP SLICE_X29Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y102 IS_PAD 0 SITEPROP SLICE_X29Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y102 IS_RESERVED 0 SITEPROP SLICE_X29Y102 IS_TEST 0 SITEPROP SLICE_X29Y102 IS_USED 0 SITEPROP SLICE_X29Y102 MANUAL_ROUTING SITEPROP SLICE_X29Y102 NAME SLICE_X29Y102 SITEPROP SLICE_X29Y102 NUM_ARCS 138 SITEPROP SLICE_X29Y102 NUM_BELS 32 SITEPROP SLICE_X29Y102 NUM_INPUTS 32 SITEPROP SLICE_X29Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y102 NUM_PINS 45 SITEPROP SLICE_X29Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y102 PROHIBIT 0 SITEPROP SLICE_X29Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y102 RPM_X 83 SITEPROP SLICE_X29Y102 RPM_Y 204 SITEPROP SLICE_X29Y102 SITE_PIPS SITEPROP SLICE_X29Y102 SITE_TYPE SLICEL SITEPROP SLICE_X29Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y103 CLASS site SITEPROP SLICE_X29Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y103 IS_BONDED 0 SITEPROP SLICE_X29Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y103 IS_PAD 0 SITEPROP SLICE_X29Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y103 IS_RESERVED 0 SITEPROP SLICE_X29Y103 IS_TEST 0 SITEPROP SLICE_X29Y103 IS_USED 0 SITEPROP SLICE_X29Y103 MANUAL_ROUTING SITEPROP SLICE_X29Y103 NAME SLICE_X29Y103 SITEPROP SLICE_X29Y103 NUM_ARCS 138 SITEPROP SLICE_X29Y103 NUM_BELS 32 SITEPROP SLICE_X29Y103 NUM_INPUTS 32 SITEPROP SLICE_X29Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y103 NUM_PINS 45 SITEPROP SLICE_X29Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y103 PROHIBIT 0 SITEPROP SLICE_X29Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y103 RPM_X 83 SITEPROP SLICE_X29Y103 RPM_Y 206 SITEPROP SLICE_X29Y103 SITE_PIPS SITEPROP SLICE_X29Y103 SITE_TYPE SLICEL SITEPROP SLICE_X29Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y104 CLASS site SITEPROP SLICE_X29Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y104 IS_BONDED 0 SITEPROP SLICE_X29Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y104 IS_PAD 0 SITEPROP SLICE_X29Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y104 IS_RESERVED 0 SITEPROP SLICE_X29Y104 IS_TEST 0 SITEPROP SLICE_X29Y104 IS_USED 0 SITEPROP SLICE_X29Y104 MANUAL_ROUTING SITEPROP SLICE_X29Y104 NAME SLICE_X29Y104 SITEPROP SLICE_X29Y104 NUM_ARCS 138 SITEPROP SLICE_X29Y104 NUM_BELS 32 SITEPROP SLICE_X29Y104 NUM_INPUTS 32 SITEPROP SLICE_X29Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y104 NUM_PINS 45 SITEPROP SLICE_X29Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y104 PROHIBIT 0 SITEPROP SLICE_X29Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y104 RPM_X 83 SITEPROP SLICE_X29Y104 RPM_Y 208 SITEPROP SLICE_X29Y104 SITE_PIPS SITEPROP SLICE_X29Y104 SITE_TYPE SLICEL SITEPROP SLICE_X29Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y105 CLASS site SITEPROP SLICE_X29Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y105 IS_BONDED 0 SITEPROP SLICE_X29Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y105 IS_PAD 0 SITEPROP SLICE_X29Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y105 IS_RESERVED 0 SITEPROP SLICE_X29Y105 IS_TEST 0 SITEPROP SLICE_X29Y105 IS_USED 0 SITEPROP SLICE_X29Y105 MANUAL_ROUTING SITEPROP SLICE_X29Y105 NAME SLICE_X29Y105 SITEPROP SLICE_X29Y105 NUM_ARCS 138 SITEPROP SLICE_X29Y105 NUM_BELS 32 SITEPROP SLICE_X29Y105 NUM_INPUTS 32 SITEPROP SLICE_X29Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y105 NUM_PINS 45 SITEPROP SLICE_X29Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y105 PROHIBIT 0 SITEPROP SLICE_X29Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y105 RPM_X 83 SITEPROP SLICE_X29Y105 RPM_Y 210 SITEPROP SLICE_X29Y105 SITE_PIPS SITEPROP SLICE_X29Y105 SITE_TYPE SLICEL SITEPROP SLICE_X29Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y106 CLASS site SITEPROP SLICE_X29Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y106 IS_BONDED 0 SITEPROP SLICE_X29Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y106 IS_PAD 0 SITEPROP SLICE_X29Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y106 IS_RESERVED 0 SITEPROP SLICE_X29Y106 IS_TEST 0 SITEPROP SLICE_X29Y106 IS_USED 0 SITEPROP SLICE_X29Y106 MANUAL_ROUTING SITEPROP SLICE_X29Y106 NAME SLICE_X29Y106 SITEPROP SLICE_X29Y106 NUM_ARCS 138 SITEPROP SLICE_X29Y106 NUM_BELS 32 SITEPROP SLICE_X29Y106 NUM_INPUTS 32 SITEPROP SLICE_X29Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y106 NUM_PINS 45 SITEPROP SLICE_X29Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y106 PROHIBIT 0 SITEPROP SLICE_X29Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y106 RPM_X 83 SITEPROP SLICE_X29Y106 RPM_Y 212 SITEPROP SLICE_X29Y106 SITE_PIPS SITEPROP SLICE_X29Y106 SITE_TYPE SLICEL SITEPROP SLICE_X29Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y107 CLASS site SITEPROP SLICE_X29Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y107 IS_BONDED 0 SITEPROP SLICE_X29Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y107 IS_PAD 0 SITEPROP SLICE_X29Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y107 IS_RESERVED 0 SITEPROP SLICE_X29Y107 IS_TEST 0 SITEPROP SLICE_X29Y107 IS_USED 0 SITEPROP SLICE_X29Y107 MANUAL_ROUTING SITEPROP SLICE_X29Y107 NAME SLICE_X29Y107 SITEPROP SLICE_X29Y107 NUM_ARCS 138 SITEPROP SLICE_X29Y107 NUM_BELS 32 SITEPROP SLICE_X29Y107 NUM_INPUTS 32 SITEPROP SLICE_X29Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y107 NUM_PINS 45 SITEPROP SLICE_X29Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y107 PROHIBIT 0 SITEPROP SLICE_X29Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y107 RPM_X 83 SITEPROP SLICE_X29Y107 RPM_Y 214 SITEPROP SLICE_X29Y107 SITE_PIPS SITEPROP SLICE_X29Y107 SITE_TYPE SLICEL SITEPROP SLICE_X29Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y108 CLASS site SITEPROP SLICE_X29Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y108 IS_BONDED 0 SITEPROP SLICE_X29Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y108 IS_PAD 0 SITEPROP SLICE_X29Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y108 IS_RESERVED 0 SITEPROP SLICE_X29Y108 IS_TEST 0 SITEPROP SLICE_X29Y108 IS_USED 0 SITEPROP SLICE_X29Y108 MANUAL_ROUTING SITEPROP SLICE_X29Y108 NAME SLICE_X29Y108 SITEPROP SLICE_X29Y108 NUM_ARCS 138 SITEPROP SLICE_X29Y108 NUM_BELS 32 SITEPROP SLICE_X29Y108 NUM_INPUTS 32 SITEPROP SLICE_X29Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y108 NUM_PINS 45 SITEPROP SLICE_X29Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y108 PROHIBIT 0 SITEPROP SLICE_X29Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y108 RPM_X 83 SITEPROP SLICE_X29Y108 RPM_Y 216 SITEPROP SLICE_X29Y108 SITE_PIPS SITEPROP SLICE_X29Y108 SITE_TYPE SLICEL SITEPROP SLICE_X29Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y109 CLASS site SITEPROP SLICE_X29Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y109 IS_BONDED 0 SITEPROP SLICE_X29Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y109 IS_PAD 0 SITEPROP SLICE_X29Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y109 IS_RESERVED 0 SITEPROP SLICE_X29Y109 IS_TEST 0 SITEPROP SLICE_X29Y109 IS_USED 0 SITEPROP SLICE_X29Y109 MANUAL_ROUTING SITEPROP SLICE_X29Y109 NAME SLICE_X29Y109 SITEPROP SLICE_X29Y109 NUM_ARCS 138 SITEPROP SLICE_X29Y109 NUM_BELS 32 SITEPROP SLICE_X29Y109 NUM_INPUTS 32 SITEPROP SLICE_X29Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y109 NUM_PINS 45 SITEPROP SLICE_X29Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y109 PROHIBIT 0 SITEPROP SLICE_X29Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y109 RPM_X 83 SITEPROP SLICE_X29Y109 RPM_Y 218 SITEPROP SLICE_X29Y109 SITE_PIPS SITEPROP SLICE_X29Y109 SITE_TYPE SLICEL SITEPROP SLICE_X29Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y110 CLASS site SITEPROP SLICE_X29Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y110 IS_BONDED 0 SITEPROP SLICE_X29Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y110 IS_PAD 0 SITEPROP SLICE_X29Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y110 IS_RESERVED 0 SITEPROP SLICE_X29Y110 IS_TEST 0 SITEPROP SLICE_X29Y110 IS_USED 0 SITEPROP SLICE_X29Y110 MANUAL_ROUTING SITEPROP SLICE_X29Y110 NAME SLICE_X29Y110 SITEPROP SLICE_X29Y110 NUM_ARCS 138 SITEPROP SLICE_X29Y110 NUM_BELS 32 SITEPROP SLICE_X29Y110 NUM_INPUTS 32 SITEPROP SLICE_X29Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y110 NUM_PINS 45 SITEPROP SLICE_X29Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y110 PROHIBIT 0 SITEPROP SLICE_X29Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y110 RPM_X 83 SITEPROP SLICE_X29Y110 RPM_Y 220 SITEPROP SLICE_X29Y110 SITE_PIPS SITEPROP SLICE_X29Y110 SITE_TYPE SLICEL SITEPROP SLICE_X29Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y111 CLASS site SITEPROP SLICE_X29Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y111 IS_BONDED 0 SITEPROP SLICE_X29Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y111 IS_PAD 0 SITEPROP SLICE_X29Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y111 IS_RESERVED 0 SITEPROP SLICE_X29Y111 IS_TEST 0 SITEPROP SLICE_X29Y111 IS_USED 0 SITEPROP SLICE_X29Y111 MANUAL_ROUTING SITEPROP SLICE_X29Y111 NAME SLICE_X29Y111 SITEPROP SLICE_X29Y111 NUM_ARCS 138 SITEPROP SLICE_X29Y111 NUM_BELS 32 SITEPROP SLICE_X29Y111 NUM_INPUTS 32 SITEPROP SLICE_X29Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y111 NUM_PINS 45 SITEPROP SLICE_X29Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y111 PROHIBIT 0 SITEPROP SLICE_X29Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y111 RPM_X 83 SITEPROP SLICE_X29Y111 RPM_Y 222 SITEPROP SLICE_X29Y111 SITE_PIPS SITEPROP SLICE_X29Y111 SITE_TYPE SLICEL SITEPROP SLICE_X29Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y112 CLASS site SITEPROP SLICE_X29Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y112 IS_BONDED 0 SITEPROP SLICE_X29Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y112 IS_PAD 0 SITEPROP SLICE_X29Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y112 IS_RESERVED 0 SITEPROP SLICE_X29Y112 IS_TEST 0 SITEPROP SLICE_X29Y112 IS_USED 0 SITEPROP SLICE_X29Y112 MANUAL_ROUTING SITEPROP SLICE_X29Y112 NAME SLICE_X29Y112 SITEPROP SLICE_X29Y112 NUM_ARCS 138 SITEPROP SLICE_X29Y112 NUM_BELS 32 SITEPROP SLICE_X29Y112 NUM_INPUTS 32 SITEPROP SLICE_X29Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y112 NUM_PINS 45 SITEPROP SLICE_X29Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y112 PROHIBIT 0 SITEPROP SLICE_X29Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y112 RPM_X 83 SITEPROP SLICE_X29Y112 RPM_Y 224 SITEPROP SLICE_X29Y112 SITE_PIPS SITEPROP SLICE_X29Y112 SITE_TYPE SLICEL SITEPROP SLICE_X29Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y113 CLASS site SITEPROP SLICE_X29Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y113 IS_BONDED 0 SITEPROP SLICE_X29Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y113 IS_PAD 0 SITEPROP SLICE_X29Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y113 IS_RESERVED 0 SITEPROP SLICE_X29Y113 IS_TEST 0 SITEPROP SLICE_X29Y113 IS_USED 0 SITEPROP SLICE_X29Y113 MANUAL_ROUTING SITEPROP SLICE_X29Y113 NAME SLICE_X29Y113 SITEPROP SLICE_X29Y113 NUM_ARCS 138 SITEPROP SLICE_X29Y113 NUM_BELS 32 SITEPROP SLICE_X29Y113 NUM_INPUTS 32 SITEPROP SLICE_X29Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y113 NUM_PINS 45 SITEPROP SLICE_X29Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y113 PROHIBIT 0 SITEPROP SLICE_X29Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y113 RPM_X 83 SITEPROP SLICE_X29Y113 RPM_Y 226 SITEPROP SLICE_X29Y113 SITE_PIPS SITEPROP SLICE_X29Y113 SITE_TYPE SLICEL SITEPROP SLICE_X29Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y114 CLASS site SITEPROP SLICE_X29Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y114 IS_BONDED 0 SITEPROP SLICE_X29Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y114 IS_PAD 0 SITEPROP SLICE_X29Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y114 IS_RESERVED 0 SITEPROP SLICE_X29Y114 IS_TEST 0 SITEPROP SLICE_X29Y114 IS_USED 0 SITEPROP SLICE_X29Y114 MANUAL_ROUTING SITEPROP SLICE_X29Y114 NAME SLICE_X29Y114 SITEPROP SLICE_X29Y114 NUM_ARCS 138 SITEPROP SLICE_X29Y114 NUM_BELS 32 SITEPROP SLICE_X29Y114 NUM_INPUTS 32 SITEPROP SLICE_X29Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y114 NUM_PINS 45 SITEPROP SLICE_X29Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y114 PROHIBIT 0 SITEPROP SLICE_X29Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y114 RPM_X 83 SITEPROP SLICE_X29Y114 RPM_Y 228 SITEPROP SLICE_X29Y114 SITE_PIPS SITEPROP SLICE_X29Y114 SITE_TYPE SLICEL SITEPROP SLICE_X29Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y115 CLASS site SITEPROP SLICE_X29Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y115 IS_BONDED 0 SITEPROP SLICE_X29Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y115 IS_PAD 0 SITEPROP SLICE_X29Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y115 IS_RESERVED 0 SITEPROP SLICE_X29Y115 IS_TEST 0 SITEPROP SLICE_X29Y115 IS_USED 0 SITEPROP SLICE_X29Y115 MANUAL_ROUTING SITEPROP SLICE_X29Y115 NAME SLICE_X29Y115 SITEPROP SLICE_X29Y115 NUM_ARCS 138 SITEPROP SLICE_X29Y115 NUM_BELS 32 SITEPROP SLICE_X29Y115 NUM_INPUTS 32 SITEPROP SLICE_X29Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y115 NUM_PINS 45 SITEPROP SLICE_X29Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y115 PROHIBIT 0 SITEPROP SLICE_X29Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y115 RPM_X 83 SITEPROP SLICE_X29Y115 RPM_Y 230 SITEPROP SLICE_X29Y115 SITE_PIPS SITEPROP SLICE_X29Y115 SITE_TYPE SLICEL SITEPROP SLICE_X29Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y116 CLASS site SITEPROP SLICE_X29Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y116 IS_BONDED 0 SITEPROP SLICE_X29Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y116 IS_PAD 0 SITEPROP SLICE_X29Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y116 IS_RESERVED 0 SITEPROP SLICE_X29Y116 IS_TEST 0 SITEPROP SLICE_X29Y116 IS_USED 0 SITEPROP SLICE_X29Y116 MANUAL_ROUTING SITEPROP SLICE_X29Y116 NAME SLICE_X29Y116 SITEPROP SLICE_X29Y116 NUM_ARCS 138 SITEPROP SLICE_X29Y116 NUM_BELS 32 SITEPROP SLICE_X29Y116 NUM_INPUTS 32 SITEPROP SLICE_X29Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y116 NUM_PINS 45 SITEPROP SLICE_X29Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y116 PROHIBIT 0 SITEPROP SLICE_X29Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y116 RPM_X 83 SITEPROP SLICE_X29Y116 RPM_Y 232 SITEPROP SLICE_X29Y116 SITE_PIPS SITEPROP SLICE_X29Y116 SITE_TYPE SLICEL SITEPROP SLICE_X29Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y117 CLASS site SITEPROP SLICE_X29Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y117 IS_BONDED 0 SITEPROP SLICE_X29Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y117 IS_PAD 0 SITEPROP SLICE_X29Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y117 IS_RESERVED 0 SITEPROP SLICE_X29Y117 IS_TEST 0 SITEPROP SLICE_X29Y117 IS_USED 0 SITEPROP SLICE_X29Y117 MANUAL_ROUTING SITEPROP SLICE_X29Y117 NAME SLICE_X29Y117 SITEPROP SLICE_X29Y117 NUM_ARCS 138 SITEPROP SLICE_X29Y117 NUM_BELS 32 SITEPROP SLICE_X29Y117 NUM_INPUTS 32 SITEPROP SLICE_X29Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y117 NUM_PINS 45 SITEPROP SLICE_X29Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y117 PROHIBIT 0 SITEPROP SLICE_X29Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y117 RPM_X 83 SITEPROP SLICE_X29Y117 RPM_Y 234 SITEPROP SLICE_X29Y117 SITE_PIPS SITEPROP SLICE_X29Y117 SITE_TYPE SLICEL SITEPROP SLICE_X29Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y118 CLASS site SITEPROP SLICE_X29Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y118 IS_BONDED 0 SITEPROP SLICE_X29Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y118 IS_PAD 0 SITEPROP SLICE_X29Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y118 IS_RESERVED 0 SITEPROP SLICE_X29Y118 IS_TEST 0 SITEPROP SLICE_X29Y118 IS_USED 0 SITEPROP SLICE_X29Y118 MANUAL_ROUTING SITEPROP SLICE_X29Y118 NAME SLICE_X29Y118 SITEPROP SLICE_X29Y118 NUM_ARCS 138 SITEPROP SLICE_X29Y118 NUM_BELS 32 SITEPROP SLICE_X29Y118 NUM_INPUTS 32 SITEPROP SLICE_X29Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y118 NUM_PINS 45 SITEPROP SLICE_X29Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y118 PROHIBIT 0 SITEPROP SLICE_X29Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y118 RPM_X 83 SITEPROP SLICE_X29Y118 RPM_Y 236 SITEPROP SLICE_X29Y118 SITE_PIPS SITEPROP SLICE_X29Y118 SITE_TYPE SLICEL SITEPROP SLICE_X29Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y119 CLASS site SITEPROP SLICE_X29Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y119 IS_BONDED 0 SITEPROP SLICE_X29Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y119 IS_PAD 0 SITEPROP SLICE_X29Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y119 IS_RESERVED 0 SITEPROP SLICE_X29Y119 IS_TEST 0 SITEPROP SLICE_X29Y119 IS_USED 0 SITEPROP SLICE_X29Y119 MANUAL_ROUTING SITEPROP SLICE_X29Y119 NAME SLICE_X29Y119 SITEPROP SLICE_X29Y119 NUM_ARCS 138 SITEPROP SLICE_X29Y119 NUM_BELS 32 SITEPROP SLICE_X29Y119 NUM_INPUTS 32 SITEPROP SLICE_X29Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y119 NUM_PINS 45 SITEPROP SLICE_X29Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y119 PROHIBIT 0 SITEPROP SLICE_X29Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y119 RPM_X 83 SITEPROP SLICE_X29Y119 RPM_Y 238 SITEPROP SLICE_X29Y119 SITE_PIPS SITEPROP SLICE_X29Y119 SITE_TYPE SLICEL SITEPROP SLICE_X29Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y120 CLASS site SITEPROP SLICE_X29Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y120 IS_BONDED 0 SITEPROP SLICE_X29Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y120 IS_PAD 0 SITEPROP SLICE_X29Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y120 IS_RESERVED 0 SITEPROP SLICE_X29Y120 IS_TEST 0 SITEPROP SLICE_X29Y120 IS_USED 0 SITEPROP SLICE_X29Y120 MANUAL_ROUTING SITEPROP SLICE_X29Y120 NAME SLICE_X29Y120 SITEPROP SLICE_X29Y120 NUM_ARCS 138 SITEPROP SLICE_X29Y120 NUM_BELS 32 SITEPROP SLICE_X29Y120 NUM_INPUTS 32 SITEPROP SLICE_X29Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y120 NUM_PINS 45 SITEPROP SLICE_X29Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y120 PROHIBIT 0 SITEPROP SLICE_X29Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y120 RPM_X 83 SITEPROP SLICE_X29Y120 RPM_Y 240 SITEPROP SLICE_X29Y120 SITE_PIPS SITEPROP SLICE_X29Y120 SITE_TYPE SLICEL SITEPROP SLICE_X29Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y121 CLASS site SITEPROP SLICE_X29Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y121 IS_BONDED 0 SITEPROP SLICE_X29Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y121 IS_PAD 0 SITEPROP SLICE_X29Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y121 IS_RESERVED 0 SITEPROP SLICE_X29Y121 IS_TEST 0 SITEPROP SLICE_X29Y121 IS_USED 0 SITEPROP SLICE_X29Y121 MANUAL_ROUTING SITEPROP SLICE_X29Y121 NAME SLICE_X29Y121 SITEPROP SLICE_X29Y121 NUM_ARCS 138 SITEPROP SLICE_X29Y121 NUM_BELS 32 SITEPROP SLICE_X29Y121 NUM_INPUTS 32 SITEPROP SLICE_X29Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y121 NUM_PINS 45 SITEPROP SLICE_X29Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y121 PROHIBIT 0 SITEPROP SLICE_X29Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y121 RPM_X 83 SITEPROP SLICE_X29Y121 RPM_Y 242 SITEPROP SLICE_X29Y121 SITE_PIPS SITEPROP SLICE_X29Y121 SITE_TYPE SLICEL SITEPROP SLICE_X29Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y122 CLASS site SITEPROP SLICE_X29Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y122 IS_BONDED 0 SITEPROP SLICE_X29Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y122 IS_PAD 0 SITEPROP SLICE_X29Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y122 IS_RESERVED 0 SITEPROP SLICE_X29Y122 IS_TEST 0 SITEPROP SLICE_X29Y122 IS_USED 0 SITEPROP SLICE_X29Y122 MANUAL_ROUTING SITEPROP SLICE_X29Y122 NAME SLICE_X29Y122 SITEPROP SLICE_X29Y122 NUM_ARCS 138 SITEPROP SLICE_X29Y122 NUM_BELS 32 SITEPROP SLICE_X29Y122 NUM_INPUTS 32 SITEPROP SLICE_X29Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y122 NUM_PINS 45 SITEPROP SLICE_X29Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y122 PROHIBIT 0 SITEPROP SLICE_X29Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y122 RPM_X 83 SITEPROP SLICE_X29Y122 RPM_Y 244 SITEPROP SLICE_X29Y122 SITE_PIPS SITEPROP SLICE_X29Y122 SITE_TYPE SLICEL SITEPROP SLICE_X29Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y123 CLASS site SITEPROP SLICE_X29Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y123 IS_BONDED 0 SITEPROP SLICE_X29Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y123 IS_PAD 0 SITEPROP SLICE_X29Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y123 IS_RESERVED 0 SITEPROP SLICE_X29Y123 IS_TEST 0 SITEPROP SLICE_X29Y123 IS_USED 0 SITEPROP SLICE_X29Y123 MANUAL_ROUTING SITEPROP SLICE_X29Y123 NAME SLICE_X29Y123 SITEPROP SLICE_X29Y123 NUM_ARCS 138 SITEPROP SLICE_X29Y123 NUM_BELS 32 SITEPROP SLICE_X29Y123 NUM_INPUTS 32 SITEPROP SLICE_X29Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y123 NUM_PINS 45 SITEPROP SLICE_X29Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y123 PROHIBIT 0 SITEPROP SLICE_X29Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y123 RPM_X 83 SITEPROP SLICE_X29Y123 RPM_Y 246 SITEPROP SLICE_X29Y123 SITE_PIPS SITEPROP SLICE_X29Y123 SITE_TYPE SLICEL SITEPROP SLICE_X29Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y124 CLASS site SITEPROP SLICE_X29Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y124 IS_BONDED 0 SITEPROP SLICE_X29Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y124 IS_PAD 0 SITEPROP SLICE_X29Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y124 IS_RESERVED 0 SITEPROP SLICE_X29Y124 IS_TEST 0 SITEPROP SLICE_X29Y124 IS_USED 0 SITEPROP SLICE_X29Y124 MANUAL_ROUTING SITEPROP SLICE_X29Y124 NAME SLICE_X29Y124 SITEPROP SLICE_X29Y124 NUM_ARCS 138 SITEPROP SLICE_X29Y124 NUM_BELS 32 SITEPROP SLICE_X29Y124 NUM_INPUTS 32 SITEPROP SLICE_X29Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y124 NUM_PINS 45 SITEPROP SLICE_X29Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y124 PROHIBIT 0 SITEPROP SLICE_X29Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y124 RPM_X 83 SITEPROP SLICE_X29Y124 RPM_Y 248 SITEPROP SLICE_X29Y124 SITE_PIPS SITEPROP SLICE_X29Y124 SITE_TYPE SLICEL SITEPROP SLICE_X29Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y125 CLASS site SITEPROP SLICE_X29Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y125 IS_BONDED 0 SITEPROP SLICE_X29Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y125 IS_PAD 0 SITEPROP SLICE_X29Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y125 IS_RESERVED 0 SITEPROP SLICE_X29Y125 IS_TEST 0 SITEPROP SLICE_X29Y125 IS_USED 0 SITEPROP SLICE_X29Y125 MANUAL_ROUTING SITEPROP SLICE_X29Y125 NAME SLICE_X29Y125 SITEPROP SLICE_X29Y125 NUM_ARCS 138 SITEPROP SLICE_X29Y125 NUM_BELS 32 SITEPROP SLICE_X29Y125 NUM_INPUTS 32 SITEPROP SLICE_X29Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y125 NUM_PINS 45 SITEPROP SLICE_X29Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y125 PROHIBIT 0 SITEPROP SLICE_X29Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y125 RPM_X 83 SITEPROP SLICE_X29Y125 RPM_Y 250 SITEPROP SLICE_X29Y125 SITE_PIPS SITEPROP SLICE_X29Y125 SITE_TYPE SLICEL SITEPROP SLICE_X29Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y126 CLASS site SITEPROP SLICE_X29Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y126 IS_BONDED 0 SITEPROP SLICE_X29Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y126 IS_PAD 0 SITEPROP SLICE_X29Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y126 IS_RESERVED 0 SITEPROP SLICE_X29Y126 IS_TEST 0 SITEPROP SLICE_X29Y126 IS_USED 0 SITEPROP SLICE_X29Y126 MANUAL_ROUTING SITEPROP SLICE_X29Y126 NAME SLICE_X29Y126 SITEPROP SLICE_X29Y126 NUM_ARCS 138 SITEPROP SLICE_X29Y126 NUM_BELS 32 SITEPROP SLICE_X29Y126 NUM_INPUTS 32 SITEPROP SLICE_X29Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y126 NUM_PINS 45 SITEPROP SLICE_X29Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y126 PROHIBIT 0 SITEPROP SLICE_X29Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y126 RPM_X 83 SITEPROP SLICE_X29Y126 RPM_Y 252 SITEPROP SLICE_X29Y126 SITE_PIPS SITEPROP SLICE_X29Y126 SITE_TYPE SLICEL SITEPROP SLICE_X29Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y127 CLASS site SITEPROP SLICE_X29Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y127 IS_BONDED 0 SITEPROP SLICE_X29Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y127 IS_PAD 0 SITEPROP SLICE_X29Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y127 IS_RESERVED 0 SITEPROP SLICE_X29Y127 IS_TEST 0 SITEPROP SLICE_X29Y127 IS_USED 0 SITEPROP SLICE_X29Y127 MANUAL_ROUTING SITEPROP SLICE_X29Y127 NAME SLICE_X29Y127 SITEPROP SLICE_X29Y127 NUM_ARCS 138 SITEPROP SLICE_X29Y127 NUM_BELS 32 SITEPROP SLICE_X29Y127 NUM_INPUTS 32 SITEPROP SLICE_X29Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y127 NUM_PINS 45 SITEPROP SLICE_X29Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y127 PROHIBIT 0 SITEPROP SLICE_X29Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y127 RPM_X 83 SITEPROP SLICE_X29Y127 RPM_Y 254 SITEPROP SLICE_X29Y127 SITE_PIPS SITEPROP SLICE_X29Y127 SITE_TYPE SLICEL SITEPROP SLICE_X29Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y128 CLASS site SITEPROP SLICE_X29Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y128 IS_BONDED 0 SITEPROP SLICE_X29Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y128 IS_PAD 0 SITEPROP SLICE_X29Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y128 IS_RESERVED 0 SITEPROP SLICE_X29Y128 IS_TEST 0 SITEPROP SLICE_X29Y128 IS_USED 0 SITEPROP SLICE_X29Y128 MANUAL_ROUTING SITEPROP SLICE_X29Y128 NAME SLICE_X29Y128 SITEPROP SLICE_X29Y128 NUM_ARCS 138 SITEPROP SLICE_X29Y128 NUM_BELS 32 SITEPROP SLICE_X29Y128 NUM_INPUTS 32 SITEPROP SLICE_X29Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y128 NUM_PINS 45 SITEPROP SLICE_X29Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y128 PROHIBIT 0 SITEPROP SLICE_X29Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y128 RPM_X 83 SITEPROP SLICE_X29Y128 RPM_Y 256 SITEPROP SLICE_X29Y128 SITE_PIPS SITEPROP SLICE_X29Y128 SITE_TYPE SLICEL SITEPROP SLICE_X29Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y129 CLASS site SITEPROP SLICE_X29Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y129 IS_BONDED 0 SITEPROP SLICE_X29Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y129 IS_PAD 0 SITEPROP SLICE_X29Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y129 IS_RESERVED 0 SITEPROP SLICE_X29Y129 IS_TEST 0 SITEPROP SLICE_X29Y129 IS_USED 0 SITEPROP SLICE_X29Y129 MANUAL_ROUTING SITEPROP SLICE_X29Y129 NAME SLICE_X29Y129 SITEPROP SLICE_X29Y129 NUM_ARCS 138 SITEPROP SLICE_X29Y129 NUM_BELS 32 SITEPROP SLICE_X29Y129 NUM_INPUTS 32 SITEPROP SLICE_X29Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y129 NUM_PINS 45 SITEPROP SLICE_X29Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y129 PROHIBIT 0 SITEPROP SLICE_X29Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y129 RPM_X 83 SITEPROP SLICE_X29Y129 RPM_Y 258 SITEPROP SLICE_X29Y129 SITE_PIPS SITEPROP SLICE_X29Y129 SITE_TYPE SLICEL SITEPROP SLICE_X29Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y130 CLASS site SITEPROP SLICE_X29Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y130 IS_BONDED 0 SITEPROP SLICE_X29Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y130 IS_PAD 0 SITEPROP SLICE_X29Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y130 IS_RESERVED 0 SITEPROP SLICE_X29Y130 IS_TEST 0 SITEPROP SLICE_X29Y130 IS_USED 0 SITEPROP SLICE_X29Y130 MANUAL_ROUTING SITEPROP SLICE_X29Y130 NAME SLICE_X29Y130 SITEPROP SLICE_X29Y130 NUM_ARCS 138 SITEPROP SLICE_X29Y130 NUM_BELS 32 SITEPROP SLICE_X29Y130 NUM_INPUTS 32 SITEPROP SLICE_X29Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y130 NUM_PINS 45 SITEPROP SLICE_X29Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y130 PROHIBIT 0 SITEPROP SLICE_X29Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y130 RPM_X 83 SITEPROP SLICE_X29Y130 RPM_Y 260 SITEPROP SLICE_X29Y130 SITE_PIPS SITEPROP SLICE_X29Y130 SITE_TYPE SLICEL SITEPROP SLICE_X29Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y131 CLASS site SITEPROP SLICE_X29Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y131 IS_BONDED 0 SITEPROP SLICE_X29Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y131 IS_PAD 0 SITEPROP SLICE_X29Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y131 IS_RESERVED 0 SITEPROP SLICE_X29Y131 IS_TEST 0 SITEPROP SLICE_X29Y131 IS_USED 0 SITEPROP SLICE_X29Y131 MANUAL_ROUTING SITEPROP SLICE_X29Y131 NAME SLICE_X29Y131 SITEPROP SLICE_X29Y131 NUM_ARCS 138 SITEPROP SLICE_X29Y131 NUM_BELS 32 SITEPROP SLICE_X29Y131 NUM_INPUTS 32 SITEPROP SLICE_X29Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y131 NUM_PINS 45 SITEPROP SLICE_X29Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y131 PROHIBIT 0 SITEPROP SLICE_X29Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y131 RPM_X 83 SITEPROP SLICE_X29Y131 RPM_Y 262 SITEPROP SLICE_X29Y131 SITE_PIPS SITEPROP SLICE_X29Y131 SITE_TYPE SLICEL SITEPROP SLICE_X29Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y132 CLASS site SITEPROP SLICE_X29Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y132 IS_BONDED 0 SITEPROP SLICE_X29Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y132 IS_PAD 0 SITEPROP SLICE_X29Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y132 IS_RESERVED 0 SITEPROP SLICE_X29Y132 IS_TEST 0 SITEPROP SLICE_X29Y132 IS_USED 0 SITEPROP SLICE_X29Y132 MANUAL_ROUTING SITEPROP SLICE_X29Y132 NAME SLICE_X29Y132 SITEPROP SLICE_X29Y132 NUM_ARCS 138 SITEPROP SLICE_X29Y132 NUM_BELS 32 SITEPROP SLICE_X29Y132 NUM_INPUTS 32 SITEPROP SLICE_X29Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y132 NUM_PINS 45 SITEPROP SLICE_X29Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y132 PROHIBIT 0 SITEPROP SLICE_X29Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y132 RPM_X 83 SITEPROP SLICE_X29Y132 RPM_Y 264 SITEPROP SLICE_X29Y132 SITE_PIPS SITEPROP SLICE_X29Y132 SITE_TYPE SLICEL SITEPROP SLICE_X29Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y133 CLASS site SITEPROP SLICE_X29Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y133 IS_BONDED 0 SITEPROP SLICE_X29Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y133 IS_PAD 0 SITEPROP SLICE_X29Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y133 IS_RESERVED 0 SITEPROP SLICE_X29Y133 IS_TEST 0 SITEPROP SLICE_X29Y133 IS_USED 0 SITEPROP SLICE_X29Y133 MANUAL_ROUTING SITEPROP SLICE_X29Y133 NAME SLICE_X29Y133 SITEPROP SLICE_X29Y133 NUM_ARCS 138 SITEPROP SLICE_X29Y133 NUM_BELS 32 SITEPROP SLICE_X29Y133 NUM_INPUTS 32 SITEPROP SLICE_X29Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y133 NUM_PINS 45 SITEPROP SLICE_X29Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y133 PROHIBIT 0 SITEPROP SLICE_X29Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y133 RPM_X 83 SITEPROP SLICE_X29Y133 RPM_Y 266 SITEPROP SLICE_X29Y133 SITE_PIPS SITEPROP SLICE_X29Y133 SITE_TYPE SLICEL SITEPROP SLICE_X29Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y134 CLASS site SITEPROP SLICE_X29Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y134 IS_BONDED 0 SITEPROP SLICE_X29Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y134 IS_PAD 0 SITEPROP SLICE_X29Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y134 IS_RESERVED 0 SITEPROP SLICE_X29Y134 IS_TEST 0 SITEPROP SLICE_X29Y134 IS_USED 0 SITEPROP SLICE_X29Y134 MANUAL_ROUTING SITEPROP SLICE_X29Y134 NAME SLICE_X29Y134 SITEPROP SLICE_X29Y134 NUM_ARCS 138 SITEPROP SLICE_X29Y134 NUM_BELS 32 SITEPROP SLICE_X29Y134 NUM_INPUTS 32 SITEPROP SLICE_X29Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y134 NUM_PINS 45 SITEPROP SLICE_X29Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y134 PROHIBIT 0 SITEPROP SLICE_X29Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y134 RPM_X 83 SITEPROP SLICE_X29Y134 RPM_Y 268 SITEPROP SLICE_X29Y134 SITE_PIPS SITEPROP SLICE_X29Y134 SITE_TYPE SLICEL SITEPROP SLICE_X29Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y135 CLASS site SITEPROP SLICE_X29Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y135 IS_BONDED 0 SITEPROP SLICE_X29Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y135 IS_PAD 0 SITEPROP SLICE_X29Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y135 IS_RESERVED 0 SITEPROP SLICE_X29Y135 IS_TEST 0 SITEPROP SLICE_X29Y135 IS_USED 0 SITEPROP SLICE_X29Y135 MANUAL_ROUTING SITEPROP SLICE_X29Y135 NAME SLICE_X29Y135 SITEPROP SLICE_X29Y135 NUM_ARCS 138 SITEPROP SLICE_X29Y135 NUM_BELS 32 SITEPROP SLICE_X29Y135 NUM_INPUTS 32 SITEPROP SLICE_X29Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y135 NUM_PINS 45 SITEPROP SLICE_X29Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y135 PROHIBIT 0 SITEPROP SLICE_X29Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y135 RPM_X 83 SITEPROP SLICE_X29Y135 RPM_Y 270 SITEPROP SLICE_X29Y135 SITE_PIPS SITEPROP SLICE_X29Y135 SITE_TYPE SLICEL SITEPROP SLICE_X29Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y136 CLASS site SITEPROP SLICE_X29Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y136 IS_BONDED 0 SITEPROP SLICE_X29Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y136 IS_PAD 0 SITEPROP SLICE_X29Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y136 IS_RESERVED 0 SITEPROP SLICE_X29Y136 IS_TEST 0 SITEPROP SLICE_X29Y136 IS_USED 0 SITEPROP SLICE_X29Y136 MANUAL_ROUTING SITEPROP SLICE_X29Y136 NAME SLICE_X29Y136 SITEPROP SLICE_X29Y136 NUM_ARCS 138 SITEPROP SLICE_X29Y136 NUM_BELS 32 SITEPROP SLICE_X29Y136 NUM_INPUTS 32 SITEPROP SLICE_X29Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y136 NUM_PINS 45 SITEPROP SLICE_X29Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y136 PROHIBIT 0 SITEPROP SLICE_X29Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y136 RPM_X 83 SITEPROP SLICE_X29Y136 RPM_Y 272 SITEPROP SLICE_X29Y136 SITE_PIPS SITEPROP SLICE_X29Y136 SITE_TYPE SLICEL SITEPROP SLICE_X29Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y137 CLASS site SITEPROP SLICE_X29Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y137 IS_BONDED 0 SITEPROP SLICE_X29Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y137 IS_PAD 0 SITEPROP SLICE_X29Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y137 IS_RESERVED 0 SITEPROP SLICE_X29Y137 IS_TEST 0 SITEPROP SLICE_X29Y137 IS_USED 0 SITEPROP SLICE_X29Y137 MANUAL_ROUTING SITEPROP SLICE_X29Y137 NAME SLICE_X29Y137 SITEPROP SLICE_X29Y137 NUM_ARCS 138 SITEPROP SLICE_X29Y137 NUM_BELS 32 SITEPROP SLICE_X29Y137 NUM_INPUTS 32 SITEPROP SLICE_X29Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y137 NUM_PINS 45 SITEPROP SLICE_X29Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y137 PROHIBIT 0 SITEPROP SLICE_X29Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y137 RPM_X 83 SITEPROP SLICE_X29Y137 RPM_Y 274 SITEPROP SLICE_X29Y137 SITE_PIPS SITEPROP SLICE_X29Y137 SITE_TYPE SLICEL SITEPROP SLICE_X29Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y138 CLASS site SITEPROP SLICE_X29Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y138 IS_BONDED 0 SITEPROP SLICE_X29Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y138 IS_PAD 0 SITEPROP SLICE_X29Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y138 IS_RESERVED 0 SITEPROP SLICE_X29Y138 IS_TEST 0 SITEPROP SLICE_X29Y138 IS_USED 0 SITEPROP SLICE_X29Y138 MANUAL_ROUTING SITEPROP SLICE_X29Y138 NAME SLICE_X29Y138 SITEPROP SLICE_X29Y138 NUM_ARCS 138 SITEPROP SLICE_X29Y138 NUM_BELS 32 SITEPROP SLICE_X29Y138 NUM_INPUTS 32 SITEPROP SLICE_X29Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y138 NUM_PINS 45 SITEPROP SLICE_X29Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y138 PROHIBIT 0 SITEPROP SLICE_X29Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y138 RPM_X 83 SITEPROP SLICE_X29Y138 RPM_Y 276 SITEPROP SLICE_X29Y138 SITE_PIPS SITEPROP SLICE_X29Y138 SITE_TYPE SLICEL SITEPROP SLICE_X29Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y139 CLASS site SITEPROP SLICE_X29Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y139 IS_BONDED 0 SITEPROP SLICE_X29Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y139 IS_PAD 0 SITEPROP SLICE_X29Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y139 IS_RESERVED 0 SITEPROP SLICE_X29Y139 IS_TEST 0 SITEPROP SLICE_X29Y139 IS_USED 0 SITEPROP SLICE_X29Y139 MANUAL_ROUTING SITEPROP SLICE_X29Y139 NAME SLICE_X29Y139 SITEPROP SLICE_X29Y139 NUM_ARCS 138 SITEPROP SLICE_X29Y139 NUM_BELS 32 SITEPROP SLICE_X29Y139 NUM_INPUTS 32 SITEPROP SLICE_X29Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y139 NUM_PINS 45 SITEPROP SLICE_X29Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y139 PROHIBIT 0 SITEPROP SLICE_X29Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y139 RPM_X 83 SITEPROP SLICE_X29Y139 RPM_Y 278 SITEPROP SLICE_X29Y139 SITE_PIPS SITEPROP SLICE_X29Y139 SITE_TYPE SLICEL SITEPROP SLICE_X29Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y140 CLASS site SITEPROP SLICE_X29Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y140 IS_BONDED 0 SITEPROP SLICE_X29Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y140 IS_PAD 0 SITEPROP SLICE_X29Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y140 IS_RESERVED 0 SITEPROP SLICE_X29Y140 IS_TEST 0 SITEPROP SLICE_X29Y140 IS_USED 0 SITEPROP SLICE_X29Y140 MANUAL_ROUTING SITEPROP SLICE_X29Y140 NAME SLICE_X29Y140 SITEPROP SLICE_X29Y140 NUM_ARCS 138 SITEPROP SLICE_X29Y140 NUM_BELS 32 SITEPROP SLICE_X29Y140 NUM_INPUTS 32 SITEPROP SLICE_X29Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y140 NUM_PINS 45 SITEPROP SLICE_X29Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y140 PROHIBIT 0 SITEPROP SLICE_X29Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y140 RPM_X 83 SITEPROP SLICE_X29Y140 RPM_Y 280 SITEPROP SLICE_X29Y140 SITE_PIPS SITEPROP SLICE_X29Y140 SITE_TYPE SLICEL SITEPROP SLICE_X29Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y141 CLASS site SITEPROP SLICE_X29Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y141 IS_BONDED 0 SITEPROP SLICE_X29Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y141 IS_PAD 0 SITEPROP SLICE_X29Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y141 IS_RESERVED 0 SITEPROP SLICE_X29Y141 IS_TEST 0 SITEPROP SLICE_X29Y141 IS_USED 0 SITEPROP SLICE_X29Y141 MANUAL_ROUTING SITEPROP SLICE_X29Y141 NAME SLICE_X29Y141 SITEPROP SLICE_X29Y141 NUM_ARCS 138 SITEPROP SLICE_X29Y141 NUM_BELS 32 SITEPROP SLICE_X29Y141 NUM_INPUTS 32 SITEPROP SLICE_X29Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y141 NUM_PINS 45 SITEPROP SLICE_X29Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y141 PROHIBIT 0 SITEPROP SLICE_X29Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y141 RPM_X 83 SITEPROP SLICE_X29Y141 RPM_Y 282 SITEPROP SLICE_X29Y141 SITE_PIPS SITEPROP SLICE_X29Y141 SITE_TYPE SLICEL SITEPROP SLICE_X29Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y142 CLASS site SITEPROP SLICE_X29Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y142 IS_BONDED 0 SITEPROP SLICE_X29Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y142 IS_PAD 0 SITEPROP SLICE_X29Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y142 IS_RESERVED 0 SITEPROP SLICE_X29Y142 IS_TEST 0 SITEPROP SLICE_X29Y142 IS_USED 0 SITEPROP SLICE_X29Y142 MANUAL_ROUTING SITEPROP SLICE_X29Y142 NAME SLICE_X29Y142 SITEPROP SLICE_X29Y142 NUM_ARCS 138 SITEPROP SLICE_X29Y142 NUM_BELS 32 SITEPROP SLICE_X29Y142 NUM_INPUTS 32 SITEPROP SLICE_X29Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y142 NUM_PINS 45 SITEPROP SLICE_X29Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y142 PROHIBIT 0 SITEPROP SLICE_X29Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y142 RPM_X 83 SITEPROP SLICE_X29Y142 RPM_Y 284 SITEPROP SLICE_X29Y142 SITE_PIPS SITEPROP SLICE_X29Y142 SITE_TYPE SLICEL SITEPROP SLICE_X29Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y143 CLASS site SITEPROP SLICE_X29Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y143 IS_BONDED 0 SITEPROP SLICE_X29Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y143 IS_PAD 0 SITEPROP SLICE_X29Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y143 IS_RESERVED 0 SITEPROP SLICE_X29Y143 IS_TEST 0 SITEPROP SLICE_X29Y143 IS_USED 0 SITEPROP SLICE_X29Y143 MANUAL_ROUTING SITEPROP SLICE_X29Y143 NAME SLICE_X29Y143 SITEPROP SLICE_X29Y143 NUM_ARCS 138 SITEPROP SLICE_X29Y143 NUM_BELS 32 SITEPROP SLICE_X29Y143 NUM_INPUTS 32 SITEPROP SLICE_X29Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y143 NUM_PINS 45 SITEPROP SLICE_X29Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y143 PROHIBIT 0 SITEPROP SLICE_X29Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y143 RPM_X 83 SITEPROP SLICE_X29Y143 RPM_Y 286 SITEPROP SLICE_X29Y143 SITE_PIPS SITEPROP SLICE_X29Y143 SITE_TYPE SLICEL SITEPROP SLICE_X29Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y144 CLASS site SITEPROP SLICE_X29Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y144 IS_BONDED 0 SITEPROP SLICE_X29Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y144 IS_PAD 0 SITEPROP SLICE_X29Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y144 IS_RESERVED 0 SITEPROP SLICE_X29Y144 IS_TEST 0 SITEPROP SLICE_X29Y144 IS_USED 0 SITEPROP SLICE_X29Y144 MANUAL_ROUTING SITEPROP SLICE_X29Y144 NAME SLICE_X29Y144 SITEPROP SLICE_X29Y144 NUM_ARCS 138 SITEPROP SLICE_X29Y144 NUM_BELS 32 SITEPROP SLICE_X29Y144 NUM_INPUTS 32 SITEPROP SLICE_X29Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y144 NUM_PINS 45 SITEPROP SLICE_X29Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y144 PROHIBIT 0 SITEPROP SLICE_X29Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y144 RPM_X 83 SITEPROP SLICE_X29Y144 RPM_Y 288 SITEPROP SLICE_X29Y144 SITE_PIPS SITEPROP SLICE_X29Y144 SITE_TYPE SLICEL SITEPROP SLICE_X29Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y145 CLASS site SITEPROP SLICE_X29Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y145 IS_BONDED 0 SITEPROP SLICE_X29Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y145 IS_PAD 0 SITEPROP SLICE_X29Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y145 IS_RESERVED 0 SITEPROP SLICE_X29Y145 IS_TEST 0 SITEPROP SLICE_X29Y145 IS_USED 0 SITEPROP SLICE_X29Y145 MANUAL_ROUTING SITEPROP SLICE_X29Y145 NAME SLICE_X29Y145 SITEPROP SLICE_X29Y145 NUM_ARCS 138 SITEPROP SLICE_X29Y145 NUM_BELS 32 SITEPROP SLICE_X29Y145 NUM_INPUTS 32 SITEPROP SLICE_X29Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y145 NUM_PINS 45 SITEPROP SLICE_X29Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y145 PROHIBIT 0 SITEPROP SLICE_X29Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y145 RPM_X 83 SITEPROP SLICE_X29Y145 RPM_Y 290 SITEPROP SLICE_X29Y145 SITE_PIPS SITEPROP SLICE_X29Y145 SITE_TYPE SLICEL SITEPROP SLICE_X29Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y146 CLASS site SITEPROP SLICE_X29Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y146 IS_BONDED 0 SITEPROP SLICE_X29Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y146 IS_PAD 0 SITEPROP SLICE_X29Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y146 IS_RESERVED 0 SITEPROP SLICE_X29Y146 IS_TEST 0 SITEPROP SLICE_X29Y146 IS_USED 0 SITEPROP SLICE_X29Y146 MANUAL_ROUTING SITEPROP SLICE_X29Y146 NAME SLICE_X29Y146 SITEPROP SLICE_X29Y146 NUM_ARCS 138 SITEPROP SLICE_X29Y146 NUM_BELS 32 SITEPROP SLICE_X29Y146 NUM_INPUTS 32 SITEPROP SLICE_X29Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y146 NUM_PINS 45 SITEPROP SLICE_X29Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y146 PROHIBIT 0 SITEPROP SLICE_X29Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y146 RPM_X 83 SITEPROP SLICE_X29Y146 RPM_Y 292 SITEPROP SLICE_X29Y146 SITE_PIPS SITEPROP SLICE_X29Y146 SITE_TYPE SLICEL SITEPROP SLICE_X29Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y147 CLASS site SITEPROP SLICE_X29Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y147 IS_BONDED 0 SITEPROP SLICE_X29Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y147 IS_PAD 0 SITEPROP SLICE_X29Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y147 IS_RESERVED 0 SITEPROP SLICE_X29Y147 IS_TEST 0 SITEPROP SLICE_X29Y147 IS_USED 0 SITEPROP SLICE_X29Y147 MANUAL_ROUTING SITEPROP SLICE_X29Y147 NAME SLICE_X29Y147 SITEPROP SLICE_X29Y147 NUM_ARCS 138 SITEPROP SLICE_X29Y147 NUM_BELS 32 SITEPROP SLICE_X29Y147 NUM_INPUTS 32 SITEPROP SLICE_X29Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y147 NUM_PINS 45 SITEPROP SLICE_X29Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y147 PROHIBIT 0 SITEPROP SLICE_X29Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y147 RPM_X 83 SITEPROP SLICE_X29Y147 RPM_Y 294 SITEPROP SLICE_X29Y147 SITE_PIPS SITEPROP SLICE_X29Y147 SITE_TYPE SLICEL SITEPROP SLICE_X29Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y148 CLASS site SITEPROP SLICE_X29Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y148 IS_BONDED 0 SITEPROP SLICE_X29Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y148 IS_PAD 0 SITEPROP SLICE_X29Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y148 IS_RESERVED 0 SITEPROP SLICE_X29Y148 IS_TEST 0 SITEPROP SLICE_X29Y148 IS_USED 0 SITEPROP SLICE_X29Y148 MANUAL_ROUTING SITEPROP SLICE_X29Y148 NAME SLICE_X29Y148 SITEPROP SLICE_X29Y148 NUM_ARCS 138 SITEPROP SLICE_X29Y148 NUM_BELS 32 SITEPROP SLICE_X29Y148 NUM_INPUTS 32 SITEPROP SLICE_X29Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y148 NUM_PINS 45 SITEPROP SLICE_X29Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y148 PROHIBIT 0 SITEPROP SLICE_X29Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y148 RPM_X 83 SITEPROP SLICE_X29Y148 RPM_Y 296 SITEPROP SLICE_X29Y148 SITE_PIPS SITEPROP SLICE_X29Y148 SITE_TYPE SLICEL SITEPROP SLICE_X29Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X29Y149 CLASS site SITEPROP SLICE_X29Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X29Y149 IS_BONDED 0 SITEPROP SLICE_X29Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X29Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y149 IS_PAD 0 SITEPROP SLICE_X29Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X29Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X29Y149 IS_RESERVED 0 SITEPROP SLICE_X29Y149 IS_TEST 0 SITEPROP SLICE_X29Y149 IS_USED 0 SITEPROP SLICE_X29Y149 MANUAL_ROUTING SITEPROP SLICE_X29Y149 NAME SLICE_X29Y149 SITEPROP SLICE_X29Y149 NUM_ARCS 138 SITEPROP SLICE_X29Y149 NUM_BELS 32 SITEPROP SLICE_X29Y149 NUM_INPUTS 32 SITEPROP SLICE_X29Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X29Y149 NUM_PINS 45 SITEPROP SLICE_X29Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X29Y149 PROHIBIT 0 SITEPROP SLICE_X29Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X29Y149 RPM_X 83 SITEPROP SLICE_X29Y149 RPM_Y 298 SITEPROP SLICE_X29Y149 SITE_PIPS SITEPROP SLICE_X29Y149 SITE_TYPE SLICEL SITEPROP SLICE_X2Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y0 CLASS site SITEPROP SLICE_X2Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y0 IS_BONDED 0 SITEPROP SLICE_X2Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y0 IS_PAD 0 SITEPROP SLICE_X2Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y0 IS_RESERVED 0 SITEPROP SLICE_X2Y0 IS_TEST 0 SITEPROP SLICE_X2Y0 IS_USED 0 SITEPROP SLICE_X2Y0 MANUAL_ROUTING SITEPROP SLICE_X2Y0 NAME SLICE_X2Y0 SITEPROP SLICE_X2Y0 NUM_ARCS 153 SITEPROP SLICE_X2Y0 NUM_BELS 32 SITEPROP SLICE_X2Y0 NUM_INPUTS 37 SITEPROP SLICE_X2Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y0 NUM_PINS 50 SITEPROP SLICE_X2Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y0 PROHIBIT 0 SITEPROP SLICE_X2Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y0 RPM_X 21 SITEPROP SLICE_X2Y0 RPM_Y 0 SITEPROP SLICE_X2Y0 SITE_PIPS SITEPROP SLICE_X2Y0 SITE_TYPE SLICEM SITEPROP SLICE_X2Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y1 CLASS site SITEPROP SLICE_X2Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y1 IS_BONDED 0 SITEPROP SLICE_X2Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y1 IS_PAD 0 SITEPROP SLICE_X2Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y1 IS_RESERVED 0 SITEPROP SLICE_X2Y1 IS_TEST 0 SITEPROP SLICE_X2Y1 IS_USED 0 SITEPROP SLICE_X2Y1 MANUAL_ROUTING SITEPROP SLICE_X2Y1 NAME SLICE_X2Y1 SITEPROP SLICE_X2Y1 NUM_ARCS 153 SITEPROP SLICE_X2Y1 NUM_BELS 32 SITEPROP SLICE_X2Y1 NUM_INPUTS 37 SITEPROP SLICE_X2Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y1 NUM_PINS 50 SITEPROP SLICE_X2Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y1 PROHIBIT 0 SITEPROP SLICE_X2Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y1 RPM_X 21 SITEPROP SLICE_X2Y1 RPM_Y 2 SITEPROP SLICE_X2Y1 SITE_PIPS SITEPROP SLICE_X2Y1 SITE_TYPE SLICEM SITEPROP SLICE_X2Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y2 CLASS site SITEPROP SLICE_X2Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y2 IS_BONDED 0 SITEPROP SLICE_X2Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y2 IS_PAD 0 SITEPROP SLICE_X2Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y2 IS_RESERVED 0 SITEPROP SLICE_X2Y2 IS_TEST 0 SITEPROP SLICE_X2Y2 IS_USED 0 SITEPROP SLICE_X2Y2 MANUAL_ROUTING SITEPROP SLICE_X2Y2 NAME SLICE_X2Y2 SITEPROP SLICE_X2Y2 NUM_ARCS 153 SITEPROP SLICE_X2Y2 NUM_BELS 32 SITEPROP SLICE_X2Y2 NUM_INPUTS 37 SITEPROP SLICE_X2Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y2 NUM_PINS 50 SITEPROP SLICE_X2Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y2 PROHIBIT 0 SITEPROP SLICE_X2Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y2 RPM_X 21 SITEPROP SLICE_X2Y2 RPM_Y 4 SITEPROP SLICE_X2Y2 SITE_PIPS SITEPROP SLICE_X2Y2 SITE_TYPE SLICEM SITEPROP SLICE_X2Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y3 CLASS site SITEPROP SLICE_X2Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y3 IS_BONDED 0 SITEPROP SLICE_X2Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y3 IS_PAD 0 SITEPROP SLICE_X2Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y3 IS_RESERVED 0 SITEPROP SLICE_X2Y3 IS_TEST 0 SITEPROP SLICE_X2Y3 IS_USED 0 SITEPROP SLICE_X2Y3 MANUAL_ROUTING SITEPROP SLICE_X2Y3 NAME SLICE_X2Y3 SITEPROP SLICE_X2Y3 NUM_ARCS 153 SITEPROP SLICE_X2Y3 NUM_BELS 32 SITEPROP SLICE_X2Y3 NUM_INPUTS 37 SITEPROP SLICE_X2Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y3 NUM_PINS 50 SITEPROP SLICE_X2Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y3 PROHIBIT 0 SITEPROP SLICE_X2Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y3 RPM_X 21 SITEPROP SLICE_X2Y3 RPM_Y 6 SITEPROP SLICE_X2Y3 SITE_PIPS SITEPROP SLICE_X2Y3 SITE_TYPE SLICEM SITEPROP SLICE_X2Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y4 CLASS site SITEPROP SLICE_X2Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y4 IS_BONDED 0 SITEPROP SLICE_X2Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y4 IS_PAD 0 SITEPROP SLICE_X2Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y4 IS_RESERVED 0 SITEPROP SLICE_X2Y4 IS_TEST 0 SITEPROP SLICE_X2Y4 IS_USED 0 SITEPROP SLICE_X2Y4 MANUAL_ROUTING SITEPROP SLICE_X2Y4 NAME SLICE_X2Y4 SITEPROP SLICE_X2Y4 NUM_ARCS 153 SITEPROP SLICE_X2Y4 NUM_BELS 32 SITEPROP SLICE_X2Y4 NUM_INPUTS 37 SITEPROP SLICE_X2Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y4 NUM_PINS 50 SITEPROP SLICE_X2Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y4 PROHIBIT 0 SITEPROP SLICE_X2Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y4 RPM_X 21 SITEPROP SLICE_X2Y4 RPM_Y 8 SITEPROP SLICE_X2Y4 SITE_PIPS SITEPROP SLICE_X2Y4 SITE_TYPE SLICEM SITEPROP SLICE_X2Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y5 CLASS site SITEPROP SLICE_X2Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y5 IS_BONDED 0 SITEPROP SLICE_X2Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y5 IS_PAD 0 SITEPROP SLICE_X2Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y5 IS_RESERVED 0 SITEPROP SLICE_X2Y5 IS_TEST 0 SITEPROP SLICE_X2Y5 IS_USED 0 SITEPROP SLICE_X2Y5 MANUAL_ROUTING SITEPROP SLICE_X2Y5 NAME SLICE_X2Y5 SITEPROP SLICE_X2Y5 NUM_ARCS 153 SITEPROP SLICE_X2Y5 NUM_BELS 32 SITEPROP SLICE_X2Y5 NUM_INPUTS 37 SITEPROP SLICE_X2Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y5 NUM_PINS 50 SITEPROP SLICE_X2Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y5 PROHIBIT 0 SITEPROP SLICE_X2Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y5 RPM_X 21 SITEPROP SLICE_X2Y5 RPM_Y 10 SITEPROP SLICE_X2Y5 SITE_PIPS SITEPROP SLICE_X2Y5 SITE_TYPE SLICEM SITEPROP SLICE_X2Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y6 CLASS site SITEPROP SLICE_X2Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y6 IS_BONDED 0 SITEPROP SLICE_X2Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y6 IS_PAD 0 SITEPROP SLICE_X2Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y6 IS_RESERVED 0 SITEPROP SLICE_X2Y6 IS_TEST 0 SITEPROP SLICE_X2Y6 IS_USED 0 SITEPROP SLICE_X2Y6 MANUAL_ROUTING SITEPROP SLICE_X2Y6 NAME SLICE_X2Y6 SITEPROP SLICE_X2Y6 NUM_ARCS 153 SITEPROP SLICE_X2Y6 NUM_BELS 32 SITEPROP SLICE_X2Y6 NUM_INPUTS 37 SITEPROP SLICE_X2Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y6 NUM_PINS 50 SITEPROP SLICE_X2Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y6 PROHIBIT 0 SITEPROP SLICE_X2Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y6 RPM_X 21 SITEPROP SLICE_X2Y6 RPM_Y 12 SITEPROP SLICE_X2Y6 SITE_PIPS SITEPROP SLICE_X2Y6 SITE_TYPE SLICEM SITEPROP SLICE_X2Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y7 CLASS site SITEPROP SLICE_X2Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y7 IS_BONDED 0 SITEPROP SLICE_X2Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y7 IS_PAD 0 SITEPROP SLICE_X2Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y7 IS_RESERVED 0 SITEPROP SLICE_X2Y7 IS_TEST 0 SITEPROP SLICE_X2Y7 IS_USED 0 SITEPROP SLICE_X2Y7 MANUAL_ROUTING SITEPROP SLICE_X2Y7 NAME SLICE_X2Y7 SITEPROP SLICE_X2Y7 NUM_ARCS 153 SITEPROP SLICE_X2Y7 NUM_BELS 32 SITEPROP SLICE_X2Y7 NUM_INPUTS 37 SITEPROP SLICE_X2Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y7 NUM_PINS 50 SITEPROP SLICE_X2Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y7 PROHIBIT 0 SITEPROP SLICE_X2Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y7 RPM_X 21 SITEPROP SLICE_X2Y7 RPM_Y 14 SITEPROP SLICE_X2Y7 SITE_PIPS SITEPROP SLICE_X2Y7 SITE_TYPE SLICEM SITEPROP SLICE_X2Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y8 CLASS site SITEPROP SLICE_X2Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y8 IS_BONDED 0 SITEPROP SLICE_X2Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y8 IS_PAD 0 SITEPROP SLICE_X2Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y8 IS_RESERVED 0 SITEPROP SLICE_X2Y8 IS_TEST 0 SITEPROP SLICE_X2Y8 IS_USED 0 SITEPROP SLICE_X2Y8 MANUAL_ROUTING SITEPROP SLICE_X2Y8 NAME SLICE_X2Y8 SITEPROP SLICE_X2Y8 NUM_ARCS 153 SITEPROP SLICE_X2Y8 NUM_BELS 32 SITEPROP SLICE_X2Y8 NUM_INPUTS 37 SITEPROP SLICE_X2Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y8 NUM_PINS 50 SITEPROP SLICE_X2Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y8 PROHIBIT 0 SITEPROP SLICE_X2Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y8 RPM_X 21 SITEPROP SLICE_X2Y8 RPM_Y 16 SITEPROP SLICE_X2Y8 SITE_PIPS SITEPROP SLICE_X2Y8 SITE_TYPE SLICEM SITEPROP SLICE_X2Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y9 CLASS site SITEPROP SLICE_X2Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y9 IS_BONDED 0 SITEPROP SLICE_X2Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y9 IS_PAD 0 SITEPROP SLICE_X2Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y9 IS_RESERVED 0 SITEPROP SLICE_X2Y9 IS_TEST 0 SITEPROP SLICE_X2Y9 IS_USED 0 SITEPROP SLICE_X2Y9 MANUAL_ROUTING SITEPROP SLICE_X2Y9 NAME SLICE_X2Y9 SITEPROP SLICE_X2Y9 NUM_ARCS 153 SITEPROP SLICE_X2Y9 NUM_BELS 32 SITEPROP SLICE_X2Y9 NUM_INPUTS 37 SITEPROP SLICE_X2Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y9 NUM_PINS 50 SITEPROP SLICE_X2Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y9 PROHIBIT 0 SITEPROP SLICE_X2Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y9 RPM_X 21 SITEPROP SLICE_X2Y9 RPM_Y 18 SITEPROP SLICE_X2Y9 SITE_PIPS SITEPROP SLICE_X2Y9 SITE_TYPE SLICEM SITEPROP SLICE_X2Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y10 CLASS site SITEPROP SLICE_X2Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y10 IS_BONDED 0 SITEPROP SLICE_X2Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y10 IS_PAD 0 SITEPROP SLICE_X2Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y10 IS_RESERVED 0 SITEPROP SLICE_X2Y10 IS_TEST 0 SITEPROP SLICE_X2Y10 IS_USED 0 SITEPROP SLICE_X2Y10 MANUAL_ROUTING SITEPROP SLICE_X2Y10 NAME SLICE_X2Y10 SITEPROP SLICE_X2Y10 NUM_ARCS 153 SITEPROP SLICE_X2Y10 NUM_BELS 32 SITEPROP SLICE_X2Y10 NUM_INPUTS 37 SITEPROP SLICE_X2Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y10 NUM_PINS 50 SITEPROP SLICE_X2Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y10 PROHIBIT 0 SITEPROP SLICE_X2Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y10 RPM_X 21 SITEPROP SLICE_X2Y10 RPM_Y 20 SITEPROP SLICE_X2Y10 SITE_PIPS SITEPROP SLICE_X2Y10 SITE_TYPE SLICEM SITEPROP SLICE_X2Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y11 CLASS site SITEPROP SLICE_X2Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y11 IS_BONDED 0 SITEPROP SLICE_X2Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y11 IS_PAD 0 SITEPROP SLICE_X2Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y11 IS_RESERVED 0 SITEPROP SLICE_X2Y11 IS_TEST 0 SITEPROP SLICE_X2Y11 IS_USED 0 SITEPROP SLICE_X2Y11 MANUAL_ROUTING SITEPROP SLICE_X2Y11 NAME SLICE_X2Y11 SITEPROP SLICE_X2Y11 NUM_ARCS 153 SITEPROP SLICE_X2Y11 NUM_BELS 32 SITEPROP SLICE_X2Y11 NUM_INPUTS 37 SITEPROP SLICE_X2Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y11 NUM_PINS 50 SITEPROP SLICE_X2Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y11 PROHIBIT 0 SITEPROP SLICE_X2Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y11 RPM_X 21 SITEPROP SLICE_X2Y11 RPM_Y 22 SITEPROP SLICE_X2Y11 SITE_PIPS SITEPROP SLICE_X2Y11 SITE_TYPE SLICEM SITEPROP SLICE_X2Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y12 CLASS site SITEPROP SLICE_X2Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y12 IS_BONDED 0 SITEPROP SLICE_X2Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y12 IS_PAD 0 SITEPROP SLICE_X2Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y12 IS_RESERVED 0 SITEPROP SLICE_X2Y12 IS_TEST 0 SITEPROP SLICE_X2Y12 IS_USED 0 SITEPROP SLICE_X2Y12 MANUAL_ROUTING SITEPROP SLICE_X2Y12 NAME SLICE_X2Y12 SITEPROP SLICE_X2Y12 NUM_ARCS 153 SITEPROP SLICE_X2Y12 NUM_BELS 32 SITEPROP SLICE_X2Y12 NUM_INPUTS 37 SITEPROP SLICE_X2Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y12 NUM_PINS 50 SITEPROP SLICE_X2Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y12 PROHIBIT 0 SITEPROP SLICE_X2Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y12 RPM_X 21 SITEPROP SLICE_X2Y12 RPM_Y 24 SITEPROP SLICE_X2Y12 SITE_PIPS SITEPROP SLICE_X2Y12 SITE_TYPE SLICEM SITEPROP SLICE_X2Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y13 CLASS site SITEPROP SLICE_X2Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y13 IS_BONDED 0 SITEPROP SLICE_X2Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y13 IS_PAD 0 SITEPROP SLICE_X2Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y13 IS_RESERVED 0 SITEPROP SLICE_X2Y13 IS_TEST 0 SITEPROP SLICE_X2Y13 IS_USED 0 SITEPROP SLICE_X2Y13 MANUAL_ROUTING SITEPROP SLICE_X2Y13 NAME SLICE_X2Y13 SITEPROP SLICE_X2Y13 NUM_ARCS 153 SITEPROP SLICE_X2Y13 NUM_BELS 32 SITEPROP SLICE_X2Y13 NUM_INPUTS 37 SITEPROP SLICE_X2Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y13 NUM_PINS 50 SITEPROP SLICE_X2Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y13 PROHIBIT 0 SITEPROP SLICE_X2Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y13 RPM_X 21 SITEPROP SLICE_X2Y13 RPM_Y 26 SITEPROP SLICE_X2Y13 SITE_PIPS SITEPROP SLICE_X2Y13 SITE_TYPE SLICEM SITEPROP SLICE_X2Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y14 CLASS site SITEPROP SLICE_X2Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y14 IS_BONDED 0 SITEPROP SLICE_X2Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y14 IS_PAD 0 SITEPROP SLICE_X2Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y14 IS_RESERVED 0 SITEPROP SLICE_X2Y14 IS_TEST 0 SITEPROP SLICE_X2Y14 IS_USED 0 SITEPROP SLICE_X2Y14 MANUAL_ROUTING SITEPROP SLICE_X2Y14 NAME SLICE_X2Y14 SITEPROP SLICE_X2Y14 NUM_ARCS 153 SITEPROP SLICE_X2Y14 NUM_BELS 32 SITEPROP SLICE_X2Y14 NUM_INPUTS 37 SITEPROP SLICE_X2Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y14 NUM_PINS 50 SITEPROP SLICE_X2Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y14 PROHIBIT 0 SITEPROP SLICE_X2Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y14 RPM_X 21 SITEPROP SLICE_X2Y14 RPM_Y 28 SITEPROP SLICE_X2Y14 SITE_PIPS SITEPROP SLICE_X2Y14 SITE_TYPE SLICEM SITEPROP SLICE_X2Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y15 CLASS site SITEPROP SLICE_X2Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y15 IS_BONDED 0 SITEPROP SLICE_X2Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y15 IS_PAD 0 SITEPROP SLICE_X2Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y15 IS_RESERVED 0 SITEPROP SLICE_X2Y15 IS_TEST 0 SITEPROP SLICE_X2Y15 IS_USED 0 SITEPROP SLICE_X2Y15 MANUAL_ROUTING SITEPROP SLICE_X2Y15 NAME SLICE_X2Y15 SITEPROP SLICE_X2Y15 NUM_ARCS 153 SITEPROP SLICE_X2Y15 NUM_BELS 32 SITEPROP SLICE_X2Y15 NUM_INPUTS 37 SITEPROP SLICE_X2Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y15 NUM_PINS 50 SITEPROP SLICE_X2Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y15 PROHIBIT 0 SITEPROP SLICE_X2Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y15 RPM_X 21 SITEPROP SLICE_X2Y15 RPM_Y 30 SITEPROP SLICE_X2Y15 SITE_PIPS SITEPROP SLICE_X2Y15 SITE_TYPE SLICEM SITEPROP SLICE_X2Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y16 CLASS site SITEPROP SLICE_X2Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y16 IS_BONDED 0 SITEPROP SLICE_X2Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y16 IS_PAD 0 SITEPROP SLICE_X2Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y16 IS_RESERVED 0 SITEPROP SLICE_X2Y16 IS_TEST 0 SITEPROP SLICE_X2Y16 IS_USED 0 SITEPROP SLICE_X2Y16 MANUAL_ROUTING SITEPROP SLICE_X2Y16 NAME SLICE_X2Y16 SITEPROP SLICE_X2Y16 NUM_ARCS 153 SITEPROP SLICE_X2Y16 NUM_BELS 32 SITEPROP SLICE_X2Y16 NUM_INPUTS 37 SITEPROP SLICE_X2Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y16 NUM_PINS 50 SITEPROP SLICE_X2Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y16 PROHIBIT 0 SITEPROP SLICE_X2Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y16 RPM_X 21 SITEPROP SLICE_X2Y16 RPM_Y 32 SITEPROP SLICE_X2Y16 SITE_PIPS SITEPROP SLICE_X2Y16 SITE_TYPE SLICEM SITEPROP SLICE_X2Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y17 CLASS site SITEPROP SLICE_X2Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y17 IS_BONDED 0 SITEPROP SLICE_X2Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y17 IS_PAD 0 SITEPROP SLICE_X2Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y17 IS_RESERVED 0 SITEPROP SLICE_X2Y17 IS_TEST 0 SITEPROP SLICE_X2Y17 IS_USED 0 SITEPROP SLICE_X2Y17 MANUAL_ROUTING SITEPROP SLICE_X2Y17 NAME SLICE_X2Y17 SITEPROP SLICE_X2Y17 NUM_ARCS 153 SITEPROP SLICE_X2Y17 NUM_BELS 32 SITEPROP SLICE_X2Y17 NUM_INPUTS 37 SITEPROP SLICE_X2Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y17 NUM_PINS 50 SITEPROP SLICE_X2Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y17 PROHIBIT 0 SITEPROP SLICE_X2Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y17 RPM_X 21 SITEPROP SLICE_X2Y17 RPM_Y 34 SITEPROP SLICE_X2Y17 SITE_PIPS SITEPROP SLICE_X2Y17 SITE_TYPE SLICEM SITEPROP SLICE_X2Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y18 CLASS site SITEPROP SLICE_X2Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y18 IS_BONDED 0 SITEPROP SLICE_X2Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y18 IS_PAD 0 SITEPROP SLICE_X2Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y18 IS_RESERVED 0 SITEPROP SLICE_X2Y18 IS_TEST 0 SITEPROP SLICE_X2Y18 IS_USED 0 SITEPROP SLICE_X2Y18 MANUAL_ROUTING SITEPROP SLICE_X2Y18 NAME SLICE_X2Y18 SITEPROP SLICE_X2Y18 NUM_ARCS 153 SITEPROP SLICE_X2Y18 NUM_BELS 32 SITEPROP SLICE_X2Y18 NUM_INPUTS 37 SITEPROP SLICE_X2Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y18 NUM_PINS 50 SITEPROP SLICE_X2Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y18 PROHIBIT 0 SITEPROP SLICE_X2Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y18 RPM_X 21 SITEPROP SLICE_X2Y18 RPM_Y 36 SITEPROP SLICE_X2Y18 SITE_PIPS SITEPROP SLICE_X2Y18 SITE_TYPE SLICEM SITEPROP SLICE_X2Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y19 CLASS site SITEPROP SLICE_X2Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y19 IS_BONDED 0 SITEPROP SLICE_X2Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y19 IS_PAD 0 SITEPROP SLICE_X2Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y19 IS_RESERVED 0 SITEPROP SLICE_X2Y19 IS_TEST 0 SITEPROP SLICE_X2Y19 IS_USED 0 SITEPROP SLICE_X2Y19 MANUAL_ROUTING SITEPROP SLICE_X2Y19 NAME SLICE_X2Y19 SITEPROP SLICE_X2Y19 NUM_ARCS 153 SITEPROP SLICE_X2Y19 NUM_BELS 32 SITEPROP SLICE_X2Y19 NUM_INPUTS 37 SITEPROP SLICE_X2Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y19 NUM_PINS 50 SITEPROP SLICE_X2Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y19 PROHIBIT 0 SITEPROP SLICE_X2Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y19 RPM_X 21 SITEPROP SLICE_X2Y19 RPM_Y 38 SITEPROP SLICE_X2Y19 SITE_PIPS SITEPROP SLICE_X2Y19 SITE_TYPE SLICEM SITEPROP SLICE_X2Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y20 CLASS site SITEPROP SLICE_X2Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y20 IS_BONDED 0 SITEPROP SLICE_X2Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y20 IS_PAD 0 SITEPROP SLICE_X2Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y20 IS_RESERVED 0 SITEPROP SLICE_X2Y20 IS_TEST 0 SITEPROP SLICE_X2Y20 IS_USED 0 SITEPROP SLICE_X2Y20 MANUAL_ROUTING SITEPROP SLICE_X2Y20 NAME SLICE_X2Y20 SITEPROP SLICE_X2Y20 NUM_ARCS 153 SITEPROP SLICE_X2Y20 NUM_BELS 32 SITEPROP SLICE_X2Y20 NUM_INPUTS 37 SITEPROP SLICE_X2Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y20 NUM_PINS 50 SITEPROP SLICE_X2Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y20 PROHIBIT 0 SITEPROP SLICE_X2Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y20 RPM_X 21 SITEPROP SLICE_X2Y20 RPM_Y 40 SITEPROP SLICE_X2Y20 SITE_PIPS SITEPROP SLICE_X2Y20 SITE_TYPE SLICEM SITEPROP SLICE_X2Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y21 CLASS site SITEPROP SLICE_X2Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y21 IS_BONDED 0 SITEPROP SLICE_X2Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y21 IS_PAD 0 SITEPROP SLICE_X2Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y21 IS_RESERVED 0 SITEPROP SLICE_X2Y21 IS_TEST 0 SITEPROP SLICE_X2Y21 IS_USED 0 SITEPROP SLICE_X2Y21 MANUAL_ROUTING SITEPROP SLICE_X2Y21 NAME SLICE_X2Y21 SITEPROP SLICE_X2Y21 NUM_ARCS 153 SITEPROP SLICE_X2Y21 NUM_BELS 32 SITEPROP SLICE_X2Y21 NUM_INPUTS 37 SITEPROP SLICE_X2Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y21 NUM_PINS 50 SITEPROP SLICE_X2Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y21 PROHIBIT 0 SITEPROP SLICE_X2Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y21 RPM_X 21 SITEPROP SLICE_X2Y21 RPM_Y 42 SITEPROP SLICE_X2Y21 SITE_PIPS SITEPROP SLICE_X2Y21 SITE_TYPE SLICEM SITEPROP SLICE_X2Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y22 CLASS site SITEPROP SLICE_X2Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y22 IS_BONDED 0 SITEPROP SLICE_X2Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y22 IS_PAD 0 SITEPROP SLICE_X2Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y22 IS_RESERVED 0 SITEPROP SLICE_X2Y22 IS_TEST 0 SITEPROP SLICE_X2Y22 IS_USED 0 SITEPROP SLICE_X2Y22 MANUAL_ROUTING SITEPROP SLICE_X2Y22 NAME SLICE_X2Y22 SITEPROP SLICE_X2Y22 NUM_ARCS 153 SITEPROP SLICE_X2Y22 NUM_BELS 32 SITEPROP SLICE_X2Y22 NUM_INPUTS 37 SITEPROP SLICE_X2Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y22 NUM_PINS 50 SITEPROP SLICE_X2Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y22 PROHIBIT 0 SITEPROP SLICE_X2Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y22 RPM_X 21 SITEPROP SLICE_X2Y22 RPM_Y 44 SITEPROP SLICE_X2Y22 SITE_PIPS SITEPROP SLICE_X2Y22 SITE_TYPE SLICEM SITEPROP SLICE_X2Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y23 CLASS site SITEPROP SLICE_X2Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y23 IS_BONDED 0 SITEPROP SLICE_X2Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y23 IS_PAD 0 SITEPROP SLICE_X2Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y23 IS_RESERVED 0 SITEPROP SLICE_X2Y23 IS_TEST 0 SITEPROP SLICE_X2Y23 IS_USED 0 SITEPROP SLICE_X2Y23 MANUAL_ROUTING SITEPROP SLICE_X2Y23 NAME SLICE_X2Y23 SITEPROP SLICE_X2Y23 NUM_ARCS 153 SITEPROP SLICE_X2Y23 NUM_BELS 32 SITEPROP SLICE_X2Y23 NUM_INPUTS 37 SITEPROP SLICE_X2Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y23 NUM_PINS 50 SITEPROP SLICE_X2Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y23 PROHIBIT 0 SITEPROP SLICE_X2Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y23 RPM_X 21 SITEPROP SLICE_X2Y23 RPM_Y 46 SITEPROP SLICE_X2Y23 SITE_PIPS SITEPROP SLICE_X2Y23 SITE_TYPE SLICEM SITEPROP SLICE_X2Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y24 CLASS site SITEPROP SLICE_X2Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y24 IS_BONDED 0 SITEPROP SLICE_X2Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y24 IS_PAD 0 SITEPROP SLICE_X2Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y24 IS_RESERVED 0 SITEPROP SLICE_X2Y24 IS_TEST 0 SITEPROP SLICE_X2Y24 IS_USED 0 SITEPROP SLICE_X2Y24 MANUAL_ROUTING SITEPROP SLICE_X2Y24 NAME SLICE_X2Y24 SITEPROP SLICE_X2Y24 NUM_ARCS 153 SITEPROP SLICE_X2Y24 NUM_BELS 32 SITEPROP SLICE_X2Y24 NUM_INPUTS 37 SITEPROP SLICE_X2Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y24 NUM_PINS 50 SITEPROP SLICE_X2Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y24 PROHIBIT 0 SITEPROP SLICE_X2Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y24 RPM_X 21 SITEPROP SLICE_X2Y24 RPM_Y 48 SITEPROP SLICE_X2Y24 SITE_PIPS SITEPROP SLICE_X2Y24 SITE_TYPE SLICEM SITEPROP SLICE_X2Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y25 CLASS site SITEPROP SLICE_X2Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y25 IS_BONDED 0 SITEPROP SLICE_X2Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y25 IS_PAD 0 SITEPROP SLICE_X2Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y25 IS_RESERVED 0 SITEPROP SLICE_X2Y25 IS_TEST 0 SITEPROP SLICE_X2Y25 IS_USED 0 SITEPROP SLICE_X2Y25 MANUAL_ROUTING SITEPROP SLICE_X2Y25 NAME SLICE_X2Y25 SITEPROP SLICE_X2Y25 NUM_ARCS 153 SITEPROP SLICE_X2Y25 NUM_BELS 32 SITEPROP SLICE_X2Y25 NUM_INPUTS 37 SITEPROP SLICE_X2Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y25 NUM_PINS 50 SITEPROP SLICE_X2Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y25 PROHIBIT 0 SITEPROP SLICE_X2Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y25 RPM_X 21 SITEPROP SLICE_X2Y25 RPM_Y 50 SITEPROP SLICE_X2Y25 SITE_PIPS SITEPROP SLICE_X2Y25 SITE_TYPE SLICEM SITEPROP SLICE_X2Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y26 CLASS site SITEPROP SLICE_X2Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y26 IS_BONDED 0 SITEPROP SLICE_X2Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y26 IS_PAD 0 SITEPROP SLICE_X2Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y26 IS_RESERVED 0 SITEPROP SLICE_X2Y26 IS_TEST 0 SITEPROP SLICE_X2Y26 IS_USED 0 SITEPROP SLICE_X2Y26 MANUAL_ROUTING SITEPROP SLICE_X2Y26 NAME SLICE_X2Y26 SITEPROP SLICE_X2Y26 NUM_ARCS 153 SITEPROP SLICE_X2Y26 NUM_BELS 32 SITEPROP SLICE_X2Y26 NUM_INPUTS 37 SITEPROP SLICE_X2Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y26 NUM_PINS 50 SITEPROP SLICE_X2Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y26 PROHIBIT 0 SITEPROP SLICE_X2Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y26 RPM_X 21 SITEPROP SLICE_X2Y26 RPM_Y 52 SITEPROP SLICE_X2Y26 SITE_PIPS SITEPROP SLICE_X2Y26 SITE_TYPE SLICEM SITEPROP SLICE_X2Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y27 CLASS site SITEPROP SLICE_X2Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y27 IS_BONDED 0 SITEPROP SLICE_X2Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y27 IS_PAD 0 SITEPROP SLICE_X2Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y27 IS_RESERVED 0 SITEPROP SLICE_X2Y27 IS_TEST 0 SITEPROP SLICE_X2Y27 IS_USED 0 SITEPROP SLICE_X2Y27 MANUAL_ROUTING SITEPROP SLICE_X2Y27 NAME SLICE_X2Y27 SITEPROP SLICE_X2Y27 NUM_ARCS 153 SITEPROP SLICE_X2Y27 NUM_BELS 32 SITEPROP SLICE_X2Y27 NUM_INPUTS 37 SITEPROP SLICE_X2Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y27 NUM_PINS 50 SITEPROP SLICE_X2Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y27 PROHIBIT 0 SITEPROP SLICE_X2Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y27 RPM_X 21 SITEPROP SLICE_X2Y27 RPM_Y 54 SITEPROP SLICE_X2Y27 SITE_PIPS SITEPROP SLICE_X2Y27 SITE_TYPE SLICEM SITEPROP SLICE_X2Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y28 CLASS site SITEPROP SLICE_X2Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y28 IS_BONDED 0 SITEPROP SLICE_X2Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y28 IS_PAD 0 SITEPROP SLICE_X2Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y28 IS_RESERVED 0 SITEPROP SLICE_X2Y28 IS_TEST 0 SITEPROP SLICE_X2Y28 IS_USED 0 SITEPROP SLICE_X2Y28 MANUAL_ROUTING SITEPROP SLICE_X2Y28 NAME SLICE_X2Y28 SITEPROP SLICE_X2Y28 NUM_ARCS 153 SITEPROP SLICE_X2Y28 NUM_BELS 32 SITEPROP SLICE_X2Y28 NUM_INPUTS 37 SITEPROP SLICE_X2Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y28 NUM_PINS 50 SITEPROP SLICE_X2Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y28 PROHIBIT 0 SITEPROP SLICE_X2Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y28 RPM_X 21 SITEPROP SLICE_X2Y28 RPM_Y 56 SITEPROP SLICE_X2Y28 SITE_PIPS SITEPROP SLICE_X2Y28 SITE_TYPE SLICEM SITEPROP SLICE_X2Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y29 CLASS site SITEPROP SLICE_X2Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y29 IS_BONDED 0 SITEPROP SLICE_X2Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y29 IS_PAD 0 SITEPROP SLICE_X2Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y29 IS_RESERVED 0 SITEPROP SLICE_X2Y29 IS_TEST 0 SITEPROP SLICE_X2Y29 IS_USED 0 SITEPROP SLICE_X2Y29 MANUAL_ROUTING SITEPROP SLICE_X2Y29 NAME SLICE_X2Y29 SITEPROP SLICE_X2Y29 NUM_ARCS 153 SITEPROP SLICE_X2Y29 NUM_BELS 32 SITEPROP SLICE_X2Y29 NUM_INPUTS 37 SITEPROP SLICE_X2Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y29 NUM_PINS 50 SITEPROP SLICE_X2Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y29 PROHIBIT 0 SITEPROP SLICE_X2Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y29 RPM_X 21 SITEPROP SLICE_X2Y29 RPM_Y 58 SITEPROP SLICE_X2Y29 SITE_PIPS SITEPROP SLICE_X2Y29 SITE_TYPE SLICEM SITEPROP SLICE_X2Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y30 CLASS site SITEPROP SLICE_X2Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y30 IS_BONDED 0 SITEPROP SLICE_X2Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y30 IS_PAD 0 SITEPROP SLICE_X2Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y30 IS_RESERVED 0 SITEPROP SLICE_X2Y30 IS_TEST 0 SITEPROP SLICE_X2Y30 IS_USED 0 SITEPROP SLICE_X2Y30 MANUAL_ROUTING SITEPROP SLICE_X2Y30 NAME SLICE_X2Y30 SITEPROP SLICE_X2Y30 NUM_ARCS 153 SITEPROP SLICE_X2Y30 NUM_BELS 32 SITEPROP SLICE_X2Y30 NUM_INPUTS 37 SITEPROP SLICE_X2Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y30 NUM_PINS 50 SITEPROP SLICE_X2Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y30 PROHIBIT 0 SITEPROP SLICE_X2Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y30 RPM_X 21 SITEPROP SLICE_X2Y30 RPM_Y 60 SITEPROP SLICE_X2Y30 SITE_PIPS SITEPROP SLICE_X2Y30 SITE_TYPE SLICEM SITEPROP SLICE_X2Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y31 CLASS site SITEPROP SLICE_X2Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y31 IS_BONDED 0 SITEPROP SLICE_X2Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y31 IS_PAD 0 SITEPROP SLICE_X2Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y31 IS_RESERVED 0 SITEPROP SLICE_X2Y31 IS_TEST 0 SITEPROP SLICE_X2Y31 IS_USED 0 SITEPROP SLICE_X2Y31 MANUAL_ROUTING SITEPROP SLICE_X2Y31 NAME SLICE_X2Y31 SITEPROP SLICE_X2Y31 NUM_ARCS 153 SITEPROP SLICE_X2Y31 NUM_BELS 32 SITEPROP SLICE_X2Y31 NUM_INPUTS 37 SITEPROP SLICE_X2Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y31 NUM_PINS 50 SITEPROP SLICE_X2Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y31 PROHIBIT 0 SITEPROP SLICE_X2Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y31 RPM_X 21 SITEPROP SLICE_X2Y31 RPM_Y 62 SITEPROP SLICE_X2Y31 SITE_PIPS SITEPROP SLICE_X2Y31 SITE_TYPE SLICEM SITEPROP SLICE_X2Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y32 CLASS site SITEPROP SLICE_X2Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y32 IS_BONDED 0 SITEPROP SLICE_X2Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y32 IS_PAD 0 SITEPROP SLICE_X2Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y32 IS_RESERVED 0 SITEPROP SLICE_X2Y32 IS_TEST 0 SITEPROP SLICE_X2Y32 IS_USED 0 SITEPROP SLICE_X2Y32 MANUAL_ROUTING SITEPROP SLICE_X2Y32 NAME SLICE_X2Y32 SITEPROP SLICE_X2Y32 NUM_ARCS 153 SITEPROP SLICE_X2Y32 NUM_BELS 32 SITEPROP SLICE_X2Y32 NUM_INPUTS 37 SITEPROP SLICE_X2Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y32 NUM_PINS 50 SITEPROP SLICE_X2Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y32 PROHIBIT 0 SITEPROP SLICE_X2Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y32 RPM_X 21 SITEPROP SLICE_X2Y32 RPM_Y 64 SITEPROP SLICE_X2Y32 SITE_PIPS SITEPROP SLICE_X2Y32 SITE_TYPE SLICEM SITEPROP SLICE_X2Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y33 CLASS site SITEPROP SLICE_X2Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y33 IS_BONDED 0 SITEPROP SLICE_X2Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y33 IS_PAD 0 SITEPROP SLICE_X2Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y33 IS_RESERVED 0 SITEPROP SLICE_X2Y33 IS_TEST 0 SITEPROP SLICE_X2Y33 IS_USED 0 SITEPROP SLICE_X2Y33 MANUAL_ROUTING SITEPROP SLICE_X2Y33 NAME SLICE_X2Y33 SITEPROP SLICE_X2Y33 NUM_ARCS 153 SITEPROP SLICE_X2Y33 NUM_BELS 32 SITEPROP SLICE_X2Y33 NUM_INPUTS 37 SITEPROP SLICE_X2Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y33 NUM_PINS 50 SITEPROP SLICE_X2Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y33 PROHIBIT 0 SITEPROP SLICE_X2Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y33 RPM_X 21 SITEPROP SLICE_X2Y33 RPM_Y 66 SITEPROP SLICE_X2Y33 SITE_PIPS SITEPROP SLICE_X2Y33 SITE_TYPE SLICEM SITEPROP SLICE_X2Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y34 CLASS site SITEPROP SLICE_X2Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y34 IS_BONDED 0 SITEPROP SLICE_X2Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y34 IS_PAD 0 SITEPROP SLICE_X2Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y34 IS_RESERVED 0 SITEPROP SLICE_X2Y34 IS_TEST 0 SITEPROP SLICE_X2Y34 IS_USED 0 SITEPROP SLICE_X2Y34 MANUAL_ROUTING SITEPROP SLICE_X2Y34 NAME SLICE_X2Y34 SITEPROP SLICE_X2Y34 NUM_ARCS 153 SITEPROP SLICE_X2Y34 NUM_BELS 32 SITEPROP SLICE_X2Y34 NUM_INPUTS 37 SITEPROP SLICE_X2Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y34 NUM_PINS 50 SITEPROP SLICE_X2Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y34 PROHIBIT 0 SITEPROP SLICE_X2Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y34 RPM_X 21 SITEPROP SLICE_X2Y34 RPM_Y 68 SITEPROP SLICE_X2Y34 SITE_PIPS SITEPROP SLICE_X2Y34 SITE_TYPE SLICEM SITEPROP SLICE_X2Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y35 CLASS site SITEPROP SLICE_X2Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y35 IS_BONDED 0 SITEPROP SLICE_X2Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y35 IS_PAD 0 SITEPROP SLICE_X2Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y35 IS_RESERVED 0 SITEPROP SLICE_X2Y35 IS_TEST 0 SITEPROP SLICE_X2Y35 IS_USED 0 SITEPROP SLICE_X2Y35 MANUAL_ROUTING SITEPROP SLICE_X2Y35 NAME SLICE_X2Y35 SITEPROP SLICE_X2Y35 NUM_ARCS 153 SITEPROP SLICE_X2Y35 NUM_BELS 32 SITEPROP SLICE_X2Y35 NUM_INPUTS 37 SITEPROP SLICE_X2Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y35 NUM_PINS 50 SITEPROP SLICE_X2Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y35 PROHIBIT 0 SITEPROP SLICE_X2Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y35 RPM_X 21 SITEPROP SLICE_X2Y35 RPM_Y 70 SITEPROP SLICE_X2Y35 SITE_PIPS SITEPROP SLICE_X2Y35 SITE_TYPE SLICEM SITEPROP SLICE_X2Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y36 CLASS site SITEPROP SLICE_X2Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y36 IS_BONDED 0 SITEPROP SLICE_X2Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y36 IS_PAD 0 SITEPROP SLICE_X2Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y36 IS_RESERVED 0 SITEPROP SLICE_X2Y36 IS_TEST 0 SITEPROP SLICE_X2Y36 IS_USED 0 SITEPROP SLICE_X2Y36 MANUAL_ROUTING SITEPROP SLICE_X2Y36 NAME SLICE_X2Y36 SITEPROP SLICE_X2Y36 NUM_ARCS 153 SITEPROP SLICE_X2Y36 NUM_BELS 32 SITEPROP SLICE_X2Y36 NUM_INPUTS 37 SITEPROP SLICE_X2Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y36 NUM_PINS 50 SITEPROP SLICE_X2Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y36 PROHIBIT 0 SITEPROP SLICE_X2Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y36 RPM_X 21 SITEPROP SLICE_X2Y36 RPM_Y 72 SITEPROP SLICE_X2Y36 SITE_PIPS SITEPROP SLICE_X2Y36 SITE_TYPE SLICEM SITEPROP SLICE_X2Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y37 CLASS site SITEPROP SLICE_X2Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y37 IS_BONDED 0 SITEPROP SLICE_X2Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y37 IS_PAD 0 SITEPROP SLICE_X2Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y37 IS_RESERVED 0 SITEPROP SLICE_X2Y37 IS_TEST 0 SITEPROP SLICE_X2Y37 IS_USED 0 SITEPROP SLICE_X2Y37 MANUAL_ROUTING SITEPROP SLICE_X2Y37 NAME SLICE_X2Y37 SITEPROP SLICE_X2Y37 NUM_ARCS 153 SITEPROP SLICE_X2Y37 NUM_BELS 32 SITEPROP SLICE_X2Y37 NUM_INPUTS 37 SITEPROP SLICE_X2Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y37 NUM_PINS 50 SITEPROP SLICE_X2Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y37 PROHIBIT 0 SITEPROP SLICE_X2Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y37 RPM_X 21 SITEPROP SLICE_X2Y37 RPM_Y 74 SITEPROP SLICE_X2Y37 SITE_PIPS SITEPROP SLICE_X2Y37 SITE_TYPE SLICEM SITEPROP SLICE_X2Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y38 CLASS site SITEPROP SLICE_X2Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y38 IS_BONDED 0 SITEPROP SLICE_X2Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y38 IS_PAD 0 SITEPROP SLICE_X2Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y38 IS_RESERVED 0 SITEPROP SLICE_X2Y38 IS_TEST 0 SITEPROP SLICE_X2Y38 IS_USED 0 SITEPROP SLICE_X2Y38 MANUAL_ROUTING SITEPROP SLICE_X2Y38 NAME SLICE_X2Y38 SITEPROP SLICE_X2Y38 NUM_ARCS 153 SITEPROP SLICE_X2Y38 NUM_BELS 32 SITEPROP SLICE_X2Y38 NUM_INPUTS 37 SITEPROP SLICE_X2Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y38 NUM_PINS 50 SITEPROP SLICE_X2Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y38 PROHIBIT 0 SITEPROP SLICE_X2Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y38 RPM_X 21 SITEPROP SLICE_X2Y38 RPM_Y 76 SITEPROP SLICE_X2Y38 SITE_PIPS SITEPROP SLICE_X2Y38 SITE_TYPE SLICEM SITEPROP SLICE_X2Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y39 CLASS site SITEPROP SLICE_X2Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y39 IS_BONDED 0 SITEPROP SLICE_X2Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y39 IS_PAD 0 SITEPROP SLICE_X2Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y39 IS_RESERVED 0 SITEPROP SLICE_X2Y39 IS_TEST 0 SITEPROP SLICE_X2Y39 IS_USED 0 SITEPROP SLICE_X2Y39 MANUAL_ROUTING SITEPROP SLICE_X2Y39 NAME SLICE_X2Y39 SITEPROP SLICE_X2Y39 NUM_ARCS 153 SITEPROP SLICE_X2Y39 NUM_BELS 32 SITEPROP SLICE_X2Y39 NUM_INPUTS 37 SITEPROP SLICE_X2Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y39 NUM_PINS 50 SITEPROP SLICE_X2Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y39 PROHIBIT 0 SITEPROP SLICE_X2Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y39 RPM_X 21 SITEPROP SLICE_X2Y39 RPM_Y 78 SITEPROP SLICE_X2Y39 SITE_PIPS SITEPROP SLICE_X2Y39 SITE_TYPE SLICEM SITEPROP SLICE_X2Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y40 CLASS site SITEPROP SLICE_X2Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y40 IS_BONDED 0 SITEPROP SLICE_X2Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y40 IS_PAD 0 SITEPROP SLICE_X2Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y40 IS_RESERVED 0 SITEPROP SLICE_X2Y40 IS_TEST 0 SITEPROP SLICE_X2Y40 IS_USED 0 SITEPROP SLICE_X2Y40 MANUAL_ROUTING SITEPROP SLICE_X2Y40 NAME SLICE_X2Y40 SITEPROP SLICE_X2Y40 NUM_ARCS 153 SITEPROP SLICE_X2Y40 NUM_BELS 32 SITEPROP SLICE_X2Y40 NUM_INPUTS 37 SITEPROP SLICE_X2Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y40 NUM_PINS 50 SITEPROP SLICE_X2Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y40 PROHIBIT 0 SITEPROP SLICE_X2Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y40 RPM_X 21 SITEPROP SLICE_X2Y40 RPM_Y 80 SITEPROP SLICE_X2Y40 SITE_PIPS SITEPROP SLICE_X2Y40 SITE_TYPE SLICEM SITEPROP SLICE_X2Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y41 CLASS site SITEPROP SLICE_X2Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y41 IS_BONDED 0 SITEPROP SLICE_X2Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y41 IS_PAD 0 SITEPROP SLICE_X2Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y41 IS_RESERVED 0 SITEPROP SLICE_X2Y41 IS_TEST 0 SITEPROP SLICE_X2Y41 IS_USED 0 SITEPROP SLICE_X2Y41 MANUAL_ROUTING SITEPROP SLICE_X2Y41 NAME SLICE_X2Y41 SITEPROP SLICE_X2Y41 NUM_ARCS 153 SITEPROP SLICE_X2Y41 NUM_BELS 32 SITEPROP SLICE_X2Y41 NUM_INPUTS 37 SITEPROP SLICE_X2Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y41 NUM_PINS 50 SITEPROP SLICE_X2Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y41 PROHIBIT 0 SITEPROP SLICE_X2Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y41 RPM_X 21 SITEPROP SLICE_X2Y41 RPM_Y 82 SITEPROP SLICE_X2Y41 SITE_PIPS SITEPROP SLICE_X2Y41 SITE_TYPE SLICEM SITEPROP SLICE_X2Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y42 CLASS site SITEPROP SLICE_X2Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y42 IS_BONDED 0 SITEPROP SLICE_X2Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y42 IS_PAD 0 SITEPROP SLICE_X2Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y42 IS_RESERVED 0 SITEPROP SLICE_X2Y42 IS_TEST 0 SITEPROP SLICE_X2Y42 IS_USED 0 SITEPROP SLICE_X2Y42 MANUAL_ROUTING SITEPROP SLICE_X2Y42 NAME SLICE_X2Y42 SITEPROP SLICE_X2Y42 NUM_ARCS 153 SITEPROP SLICE_X2Y42 NUM_BELS 32 SITEPROP SLICE_X2Y42 NUM_INPUTS 37 SITEPROP SLICE_X2Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y42 NUM_PINS 50 SITEPROP SLICE_X2Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y42 PROHIBIT 0 SITEPROP SLICE_X2Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y42 RPM_X 21 SITEPROP SLICE_X2Y42 RPM_Y 84 SITEPROP SLICE_X2Y42 SITE_PIPS SITEPROP SLICE_X2Y42 SITE_TYPE SLICEM SITEPROP SLICE_X2Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y43 CLASS site SITEPROP SLICE_X2Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y43 IS_BONDED 0 SITEPROP SLICE_X2Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y43 IS_PAD 0 SITEPROP SLICE_X2Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y43 IS_RESERVED 0 SITEPROP SLICE_X2Y43 IS_TEST 0 SITEPROP SLICE_X2Y43 IS_USED 0 SITEPROP SLICE_X2Y43 MANUAL_ROUTING SITEPROP SLICE_X2Y43 NAME SLICE_X2Y43 SITEPROP SLICE_X2Y43 NUM_ARCS 153 SITEPROP SLICE_X2Y43 NUM_BELS 32 SITEPROP SLICE_X2Y43 NUM_INPUTS 37 SITEPROP SLICE_X2Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y43 NUM_PINS 50 SITEPROP SLICE_X2Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y43 PROHIBIT 0 SITEPROP SLICE_X2Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y43 RPM_X 21 SITEPROP SLICE_X2Y43 RPM_Y 86 SITEPROP SLICE_X2Y43 SITE_PIPS SITEPROP SLICE_X2Y43 SITE_TYPE SLICEM SITEPROP SLICE_X2Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y44 CLASS site SITEPROP SLICE_X2Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y44 IS_BONDED 0 SITEPROP SLICE_X2Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y44 IS_PAD 0 SITEPROP SLICE_X2Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y44 IS_RESERVED 0 SITEPROP SLICE_X2Y44 IS_TEST 0 SITEPROP SLICE_X2Y44 IS_USED 0 SITEPROP SLICE_X2Y44 MANUAL_ROUTING SITEPROP SLICE_X2Y44 NAME SLICE_X2Y44 SITEPROP SLICE_X2Y44 NUM_ARCS 153 SITEPROP SLICE_X2Y44 NUM_BELS 32 SITEPROP SLICE_X2Y44 NUM_INPUTS 37 SITEPROP SLICE_X2Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y44 NUM_PINS 50 SITEPROP SLICE_X2Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y44 PROHIBIT 0 SITEPROP SLICE_X2Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y44 RPM_X 21 SITEPROP SLICE_X2Y44 RPM_Y 88 SITEPROP SLICE_X2Y44 SITE_PIPS SITEPROP SLICE_X2Y44 SITE_TYPE SLICEM SITEPROP SLICE_X2Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y45 CLASS site SITEPROP SLICE_X2Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y45 IS_BONDED 0 SITEPROP SLICE_X2Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y45 IS_PAD 0 SITEPROP SLICE_X2Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y45 IS_RESERVED 0 SITEPROP SLICE_X2Y45 IS_TEST 0 SITEPROP SLICE_X2Y45 IS_USED 0 SITEPROP SLICE_X2Y45 MANUAL_ROUTING SITEPROP SLICE_X2Y45 NAME SLICE_X2Y45 SITEPROP SLICE_X2Y45 NUM_ARCS 153 SITEPROP SLICE_X2Y45 NUM_BELS 32 SITEPROP SLICE_X2Y45 NUM_INPUTS 37 SITEPROP SLICE_X2Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y45 NUM_PINS 50 SITEPROP SLICE_X2Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y45 PROHIBIT 0 SITEPROP SLICE_X2Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y45 RPM_X 21 SITEPROP SLICE_X2Y45 RPM_Y 90 SITEPROP SLICE_X2Y45 SITE_PIPS SITEPROP SLICE_X2Y45 SITE_TYPE SLICEM SITEPROP SLICE_X2Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y46 CLASS site SITEPROP SLICE_X2Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y46 IS_BONDED 0 SITEPROP SLICE_X2Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y46 IS_PAD 0 SITEPROP SLICE_X2Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y46 IS_RESERVED 0 SITEPROP SLICE_X2Y46 IS_TEST 0 SITEPROP SLICE_X2Y46 IS_USED 0 SITEPROP SLICE_X2Y46 MANUAL_ROUTING SITEPROP SLICE_X2Y46 NAME SLICE_X2Y46 SITEPROP SLICE_X2Y46 NUM_ARCS 153 SITEPROP SLICE_X2Y46 NUM_BELS 32 SITEPROP SLICE_X2Y46 NUM_INPUTS 37 SITEPROP SLICE_X2Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y46 NUM_PINS 50 SITEPROP SLICE_X2Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y46 PROHIBIT 0 SITEPROP SLICE_X2Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y46 RPM_X 21 SITEPROP SLICE_X2Y46 RPM_Y 92 SITEPROP SLICE_X2Y46 SITE_PIPS SITEPROP SLICE_X2Y46 SITE_TYPE SLICEM SITEPROP SLICE_X2Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y47 CLASS site SITEPROP SLICE_X2Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y47 IS_BONDED 0 SITEPROP SLICE_X2Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y47 IS_PAD 0 SITEPROP SLICE_X2Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y47 IS_RESERVED 0 SITEPROP SLICE_X2Y47 IS_TEST 0 SITEPROP SLICE_X2Y47 IS_USED 0 SITEPROP SLICE_X2Y47 MANUAL_ROUTING SITEPROP SLICE_X2Y47 NAME SLICE_X2Y47 SITEPROP SLICE_X2Y47 NUM_ARCS 153 SITEPROP SLICE_X2Y47 NUM_BELS 32 SITEPROP SLICE_X2Y47 NUM_INPUTS 37 SITEPROP SLICE_X2Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y47 NUM_PINS 50 SITEPROP SLICE_X2Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y47 PROHIBIT 0 SITEPROP SLICE_X2Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y47 RPM_X 21 SITEPROP SLICE_X2Y47 RPM_Y 94 SITEPROP SLICE_X2Y47 SITE_PIPS SITEPROP SLICE_X2Y47 SITE_TYPE SLICEM SITEPROP SLICE_X2Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y48 CLASS site SITEPROP SLICE_X2Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y48 IS_BONDED 0 SITEPROP SLICE_X2Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y48 IS_PAD 0 SITEPROP SLICE_X2Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y48 IS_RESERVED 0 SITEPROP SLICE_X2Y48 IS_TEST 0 SITEPROP SLICE_X2Y48 IS_USED 0 SITEPROP SLICE_X2Y48 MANUAL_ROUTING SITEPROP SLICE_X2Y48 NAME SLICE_X2Y48 SITEPROP SLICE_X2Y48 NUM_ARCS 153 SITEPROP SLICE_X2Y48 NUM_BELS 32 SITEPROP SLICE_X2Y48 NUM_INPUTS 37 SITEPROP SLICE_X2Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y48 NUM_PINS 50 SITEPROP SLICE_X2Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y48 PROHIBIT 0 SITEPROP SLICE_X2Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y48 RPM_X 21 SITEPROP SLICE_X2Y48 RPM_Y 96 SITEPROP SLICE_X2Y48 SITE_PIPS SITEPROP SLICE_X2Y48 SITE_TYPE SLICEM SITEPROP SLICE_X2Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y49 CLASS site SITEPROP SLICE_X2Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X2Y49 IS_BONDED 0 SITEPROP SLICE_X2Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y49 IS_PAD 0 SITEPROP SLICE_X2Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y49 IS_RESERVED 0 SITEPROP SLICE_X2Y49 IS_TEST 0 SITEPROP SLICE_X2Y49 IS_USED 0 SITEPROP SLICE_X2Y49 MANUAL_ROUTING SITEPROP SLICE_X2Y49 NAME SLICE_X2Y49 SITEPROP SLICE_X2Y49 NUM_ARCS 153 SITEPROP SLICE_X2Y49 NUM_BELS 32 SITEPROP SLICE_X2Y49 NUM_INPUTS 37 SITEPROP SLICE_X2Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y49 NUM_PINS 50 SITEPROP SLICE_X2Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y49 PROHIBIT 0 SITEPROP SLICE_X2Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y49 RPM_X 21 SITEPROP SLICE_X2Y49 RPM_Y 98 SITEPROP SLICE_X2Y49 SITE_PIPS SITEPROP SLICE_X2Y49 SITE_TYPE SLICEM SITEPROP SLICE_X2Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y50 CLASS site SITEPROP SLICE_X2Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y50 IS_BONDED 0 SITEPROP SLICE_X2Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y50 IS_PAD 0 SITEPROP SLICE_X2Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y50 IS_RESERVED 0 SITEPROP SLICE_X2Y50 IS_TEST 0 SITEPROP SLICE_X2Y50 IS_USED 0 SITEPROP SLICE_X2Y50 MANUAL_ROUTING SITEPROP SLICE_X2Y50 NAME SLICE_X2Y50 SITEPROP SLICE_X2Y50 NUM_ARCS 153 SITEPROP SLICE_X2Y50 NUM_BELS 32 SITEPROP SLICE_X2Y50 NUM_INPUTS 37 SITEPROP SLICE_X2Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y50 NUM_PINS 50 SITEPROP SLICE_X2Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y50 PROHIBIT 0 SITEPROP SLICE_X2Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y50 RPM_X 21 SITEPROP SLICE_X2Y50 RPM_Y 100 SITEPROP SLICE_X2Y50 SITE_PIPS SITEPROP SLICE_X2Y50 SITE_TYPE SLICEM SITEPROP SLICE_X2Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y51 CLASS site SITEPROP SLICE_X2Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y51 IS_BONDED 0 SITEPROP SLICE_X2Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y51 IS_PAD 0 SITEPROP SLICE_X2Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y51 IS_RESERVED 0 SITEPROP SLICE_X2Y51 IS_TEST 0 SITEPROP SLICE_X2Y51 IS_USED 0 SITEPROP SLICE_X2Y51 MANUAL_ROUTING SITEPROP SLICE_X2Y51 NAME SLICE_X2Y51 SITEPROP SLICE_X2Y51 NUM_ARCS 153 SITEPROP SLICE_X2Y51 NUM_BELS 32 SITEPROP SLICE_X2Y51 NUM_INPUTS 37 SITEPROP SLICE_X2Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y51 NUM_PINS 50 SITEPROP SLICE_X2Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y51 PROHIBIT 0 SITEPROP SLICE_X2Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y51 RPM_X 21 SITEPROP SLICE_X2Y51 RPM_Y 102 SITEPROP SLICE_X2Y51 SITE_PIPS SITEPROP SLICE_X2Y51 SITE_TYPE SLICEM SITEPROP SLICE_X2Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y52 CLASS site SITEPROP SLICE_X2Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y52 IS_BONDED 0 SITEPROP SLICE_X2Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y52 IS_PAD 0 SITEPROP SLICE_X2Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y52 IS_RESERVED 0 SITEPROP SLICE_X2Y52 IS_TEST 0 SITEPROP SLICE_X2Y52 IS_USED 0 SITEPROP SLICE_X2Y52 MANUAL_ROUTING SITEPROP SLICE_X2Y52 NAME SLICE_X2Y52 SITEPROP SLICE_X2Y52 NUM_ARCS 153 SITEPROP SLICE_X2Y52 NUM_BELS 32 SITEPROP SLICE_X2Y52 NUM_INPUTS 37 SITEPROP SLICE_X2Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y52 NUM_PINS 50 SITEPROP SLICE_X2Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y52 PROHIBIT 0 SITEPROP SLICE_X2Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y52 RPM_X 21 SITEPROP SLICE_X2Y52 RPM_Y 104 SITEPROP SLICE_X2Y52 SITE_PIPS SITEPROP SLICE_X2Y52 SITE_TYPE SLICEM SITEPROP SLICE_X2Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y53 CLASS site SITEPROP SLICE_X2Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y53 IS_BONDED 0 SITEPROP SLICE_X2Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y53 IS_PAD 0 SITEPROP SLICE_X2Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y53 IS_RESERVED 0 SITEPROP SLICE_X2Y53 IS_TEST 0 SITEPROP SLICE_X2Y53 IS_USED 0 SITEPROP SLICE_X2Y53 MANUAL_ROUTING SITEPROP SLICE_X2Y53 NAME SLICE_X2Y53 SITEPROP SLICE_X2Y53 NUM_ARCS 153 SITEPROP SLICE_X2Y53 NUM_BELS 32 SITEPROP SLICE_X2Y53 NUM_INPUTS 37 SITEPROP SLICE_X2Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y53 NUM_PINS 50 SITEPROP SLICE_X2Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y53 PROHIBIT 0 SITEPROP SLICE_X2Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y53 RPM_X 21 SITEPROP SLICE_X2Y53 RPM_Y 106 SITEPROP SLICE_X2Y53 SITE_PIPS SITEPROP SLICE_X2Y53 SITE_TYPE SLICEM SITEPROP SLICE_X2Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y54 CLASS site SITEPROP SLICE_X2Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y54 IS_BONDED 0 SITEPROP SLICE_X2Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y54 IS_PAD 0 SITEPROP SLICE_X2Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y54 IS_RESERVED 0 SITEPROP SLICE_X2Y54 IS_TEST 0 SITEPROP SLICE_X2Y54 IS_USED 0 SITEPROP SLICE_X2Y54 MANUAL_ROUTING SITEPROP SLICE_X2Y54 NAME SLICE_X2Y54 SITEPROP SLICE_X2Y54 NUM_ARCS 153 SITEPROP SLICE_X2Y54 NUM_BELS 32 SITEPROP SLICE_X2Y54 NUM_INPUTS 37 SITEPROP SLICE_X2Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y54 NUM_PINS 50 SITEPROP SLICE_X2Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y54 PROHIBIT 0 SITEPROP SLICE_X2Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y54 RPM_X 21 SITEPROP SLICE_X2Y54 RPM_Y 108 SITEPROP SLICE_X2Y54 SITE_PIPS SITEPROP SLICE_X2Y54 SITE_TYPE SLICEM SITEPROP SLICE_X2Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y55 CLASS site SITEPROP SLICE_X2Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y55 IS_BONDED 0 SITEPROP SLICE_X2Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y55 IS_PAD 0 SITEPROP SLICE_X2Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y55 IS_RESERVED 0 SITEPROP SLICE_X2Y55 IS_TEST 0 SITEPROP SLICE_X2Y55 IS_USED 0 SITEPROP SLICE_X2Y55 MANUAL_ROUTING SITEPROP SLICE_X2Y55 NAME SLICE_X2Y55 SITEPROP SLICE_X2Y55 NUM_ARCS 153 SITEPROP SLICE_X2Y55 NUM_BELS 32 SITEPROP SLICE_X2Y55 NUM_INPUTS 37 SITEPROP SLICE_X2Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y55 NUM_PINS 50 SITEPROP SLICE_X2Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y55 PROHIBIT 0 SITEPROP SLICE_X2Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y55 RPM_X 21 SITEPROP SLICE_X2Y55 RPM_Y 110 SITEPROP SLICE_X2Y55 SITE_PIPS SITEPROP SLICE_X2Y55 SITE_TYPE SLICEM SITEPROP SLICE_X2Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y56 CLASS site SITEPROP SLICE_X2Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y56 IS_BONDED 0 SITEPROP SLICE_X2Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y56 IS_PAD 0 SITEPROP SLICE_X2Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y56 IS_RESERVED 0 SITEPROP SLICE_X2Y56 IS_TEST 0 SITEPROP SLICE_X2Y56 IS_USED 0 SITEPROP SLICE_X2Y56 MANUAL_ROUTING SITEPROP SLICE_X2Y56 NAME SLICE_X2Y56 SITEPROP SLICE_X2Y56 NUM_ARCS 153 SITEPROP SLICE_X2Y56 NUM_BELS 32 SITEPROP SLICE_X2Y56 NUM_INPUTS 37 SITEPROP SLICE_X2Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y56 NUM_PINS 50 SITEPROP SLICE_X2Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y56 PROHIBIT 0 SITEPROP SLICE_X2Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y56 RPM_X 21 SITEPROP SLICE_X2Y56 RPM_Y 112 SITEPROP SLICE_X2Y56 SITE_PIPS SITEPROP SLICE_X2Y56 SITE_TYPE SLICEM SITEPROP SLICE_X2Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y57 CLASS site SITEPROP SLICE_X2Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y57 IS_BONDED 0 SITEPROP SLICE_X2Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y57 IS_PAD 0 SITEPROP SLICE_X2Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y57 IS_RESERVED 0 SITEPROP SLICE_X2Y57 IS_TEST 0 SITEPROP SLICE_X2Y57 IS_USED 0 SITEPROP SLICE_X2Y57 MANUAL_ROUTING SITEPROP SLICE_X2Y57 NAME SLICE_X2Y57 SITEPROP SLICE_X2Y57 NUM_ARCS 153 SITEPROP SLICE_X2Y57 NUM_BELS 32 SITEPROP SLICE_X2Y57 NUM_INPUTS 37 SITEPROP SLICE_X2Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y57 NUM_PINS 50 SITEPROP SLICE_X2Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y57 PROHIBIT 0 SITEPROP SLICE_X2Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y57 RPM_X 21 SITEPROP SLICE_X2Y57 RPM_Y 114 SITEPROP SLICE_X2Y57 SITE_PIPS SITEPROP SLICE_X2Y57 SITE_TYPE SLICEM SITEPROP SLICE_X2Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y58 CLASS site SITEPROP SLICE_X2Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y58 IS_BONDED 0 SITEPROP SLICE_X2Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y58 IS_PAD 0 SITEPROP SLICE_X2Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y58 IS_RESERVED 0 SITEPROP SLICE_X2Y58 IS_TEST 0 SITEPROP SLICE_X2Y58 IS_USED 0 SITEPROP SLICE_X2Y58 MANUAL_ROUTING SITEPROP SLICE_X2Y58 NAME SLICE_X2Y58 SITEPROP SLICE_X2Y58 NUM_ARCS 153 SITEPROP SLICE_X2Y58 NUM_BELS 32 SITEPROP SLICE_X2Y58 NUM_INPUTS 37 SITEPROP SLICE_X2Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y58 NUM_PINS 50 SITEPROP SLICE_X2Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y58 PROHIBIT 0 SITEPROP SLICE_X2Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y58 RPM_X 21 SITEPROP SLICE_X2Y58 RPM_Y 116 SITEPROP SLICE_X2Y58 SITE_PIPS SITEPROP SLICE_X2Y58 SITE_TYPE SLICEM SITEPROP SLICE_X2Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y59 CLASS site SITEPROP SLICE_X2Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y59 IS_BONDED 0 SITEPROP SLICE_X2Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y59 IS_PAD 0 SITEPROP SLICE_X2Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y59 IS_RESERVED 0 SITEPROP SLICE_X2Y59 IS_TEST 0 SITEPROP SLICE_X2Y59 IS_USED 0 SITEPROP SLICE_X2Y59 MANUAL_ROUTING SITEPROP SLICE_X2Y59 NAME SLICE_X2Y59 SITEPROP SLICE_X2Y59 NUM_ARCS 153 SITEPROP SLICE_X2Y59 NUM_BELS 32 SITEPROP SLICE_X2Y59 NUM_INPUTS 37 SITEPROP SLICE_X2Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y59 NUM_PINS 50 SITEPROP SLICE_X2Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y59 PROHIBIT 0 SITEPROP SLICE_X2Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y59 RPM_X 21 SITEPROP SLICE_X2Y59 RPM_Y 118 SITEPROP SLICE_X2Y59 SITE_PIPS SITEPROP SLICE_X2Y59 SITE_TYPE SLICEM SITEPROP SLICE_X2Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y60 CLASS site SITEPROP SLICE_X2Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y60 IS_BONDED 0 SITEPROP SLICE_X2Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y60 IS_PAD 0 SITEPROP SLICE_X2Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y60 IS_RESERVED 0 SITEPROP SLICE_X2Y60 IS_TEST 0 SITEPROP SLICE_X2Y60 IS_USED 0 SITEPROP SLICE_X2Y60 MANUAL_ROUTING SITEPROP SLICE_X2Y60 NAME SLICE_X2Y60 SITEPROP SLICE_X2Y60 NUM_ARCS 153 SITEPROP SLICE_X2Y60 NUM_BELS 32 SITEPROP SLICE_X2Y60 NUM_INPUTS 37 SITEPROP SLICE_X2Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y60 NUM_PINS 50 SITEPROP SLICE_X2Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y60 PROHIBIT 0 SITEPROP SLICE_X2Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y60 RPM_X 21 SITEPROP SLICE_X2Y60 RPM_Y 120 SITEPROP SLICE_X2Y60 SITE_PIPS SITEPROP SLICE_X2Y60 SITE_TYPE SLICEM SITEPROP SLICE_X2Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y61 CLASS site SITEPROP SLICE_X2Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y61 IS_BONDED 0 SITEPROP SLICE_X2Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y61 IS_PAD 0 SITEPROP SLICE_X2Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y61 IS_RESERVED 0 SITEPROP SLICE_X2Y61 IS_TEST 0 SITEPROP SLICE_X2Y61 IS_USED 0 SITEPROP SLICE_X2Y61 MANUAL_ROUTING SITEPROP SLICE_X2Y61 NAME SLICE_X2Y61 SITEPROP SLICE_X2Y61 NUM_ARCS 153 SITEPROP SLICE_X2Y61 NUM_BELS 32 SITEPROP SLICE_X2Y61 NUM_INPUTS 37 SITEPROP SLICE_X2Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y61 NUM_PINS 50 SITEPROP SLICE_X2Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y61 PROHIBIT 0 SITEPROP SLICE_X2Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y61 RPM_X 21 SITEPROP SLICE_X2Y61 RPM_Y 122 SITEPROP SLICE_X2Y61 SITE_PIPS SITEPROP SLICE_X2Y61 SITE_TYPE SLICEM SITEPROP SLICE_X2Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y62 CLASS site SITEPROP SLICE_X2Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y62 IS_BONDED 0 SITEPROP SLICE_X2Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y62 IS_PAD 0 SITEPROP SLICE_X2Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y62 IS_RESERVED 0 SITEPROP SLICE_X2Y62 IS_TEST 0 SITEPROP SLICE_X2Y62 IS_USED 0 SITEPROP SLICE_X2Y62 MANUAL_ROUTING SITEPROP SLICE_X2Y62 NAME SLICE_X2Y62 SITEPROP SLICE_X2Y62 NUM_ARCS 153 SITEPROP SLICE_X2Y62 NUM_BELS 32 SITEPROP SLICE_X2Y62 NUM_INPUTS 37 SITEPROP SLICE_X2Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y62 NUM_PINS 50 SITEPROP SLICE_X2Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y62 PROHIBIT 0 SITEPROP SLICE_X2Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y62 RPM_X 21 SITEPROP SLICE_X2Y62 RPM_Y 124 SITEPROP SLICE_X2Y62 SITE_PIPS SITEPROP SLICE_X2Y62 SITE_TYPE SLICEM SITEPROP SLICE_X2Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y63 CLASS site SITEPROP SLICE_X2Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y63 IS_BONDED 0 SITEPROP SLICE_X2Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y63 IS_PAD 0 SITEPROP SLICE_X2Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y63 IS_RESERVED 0 SITEPROP SLICE_X2Y63 IS_TEST 0 SITEPROP SLICE_X2Y63 IS_USED 0 SITEPROP SLICE_X2Y63 MANUAL_ROUTING SITEPROP SLICE_X2Y63 NAME SLICE_X2Y63 SITEPROP SLICE_X2Y63 NUM_ARCS 153 SITEPROP SLICE_X2Y63 NUM_BELS 32 SITEPROP SLICE_X2Y63 NUM_INPUTS 37 SITEPROP SLICE_X2Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y63 NUM_PINS 50 SITEPROP SLICE_X2Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y63 PROHIBIT 0 SITEPROP SLICE_X2Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y63 RPM_X 21 SITEPROP SLICE_X2Y63 RPM_Y 126 SITEPROP SLICE_X2Y63 SITE_PIPS SITEPROP SLICE_X2Y63 SITE_TYPE SLICEM SITEPROP SLICE_X2Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y64 CLASS site SITEPROP SLICE_X2Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y64 IS_BONDED 0 SITEPROP SLICE_X2Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y64 IS_PAD 0 SITEPROP SLICE_X2Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y64 IS_RESERVED 0 SITEPROP SLICE_X2Y64 IS_TEST 0 SITEPROP SLICE_X2Y64 IS_USED 0 SITEPROP SLICE_X2Y64 MANUAL_ROUTING SITEPROP SLICE_X2Y64 NAME SLICE_X2Y64 SITEPROP SLICE_X2Y64 NUM_ARCS 153 SITEPROP SLICE_X2Y64 NUM_BELS 32 SITEPROP SLICE_X2Y64 NUM_INPUTS 37 SITEPROP SLICE_X2Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y64 NUM_PINS 50 SITEPROP SLICE_X2Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y64 PROHIBIT 0 SITEPROP SLICE_X2Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y64 RPM_X 21 SITEPROP SLICE_X2Y64 RPM_Y 128 SITEPROP SLICE_X2Y64 SITE_PIPS SITEPROP SLICE_X2Y64 SITE_TYPE SLICEM SITEPROP SLICE_X2Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y65 CLASS site SITEPROP SLICE_X2Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y65 IS_BONDED 0 SITEPROP SLICE_X2Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y65 IS_PAD 0 SITEPROP SLICE_X2Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y65 IS_RESERVED 0 SITEPROP SLICE_X2Y65 IS_TEST 0 SITEPROP SLICE_X2Y65 IS_USED 0 SITEPROP SLICE_X2Y65 MANUAL_ROUTING SITEPROP SLICE_X2Y65 NAME SLICE_X2Y65 SITEPROP SLICE_X2Y65 NUM_ARCS 153 SITEPROP SLICE_X2Y65 NUM_BELS 32 SITEPROP SLICE_X2Y65 NUM_INPUTS 37 SITEPROP SLICE_X2Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y65 NUM_PINS 50 SITEPROP SLICE_X2Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y65 PROHIBIT 0 SITEPROP SLICE_X2Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y65 RPM_X 21 SITEPROP SLICE_X2Y65 RPM_Y 130 SITEPROP SLICE_X2Y65 SITE_PIPS SITEPROP SLICE_X2Y65 SITE_TYPE SLICEM SITEPROP SLICE_X2Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y66 CLASS site SITEPROP SLICE_X2Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y66 IS_BONDED 0 SITEPROP SLICE_X2Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y66 IS_PAD 0 SITEPROP SLICE_X2Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y66 IS_RESERVED 0 SITEPROP SLICE_X2Y66 IS_TEST 0 SITEPROP SLICE_X2Y66 IS_USED 0 SITEPROP SLICE_X2Y66 MANUAL_ROUTING SITEPROP SLICE_X2Y66 NAME SLICE_X2Y66 SITEPROP SLICE_X2Y66 NUM_ARCS 153 SITEPROP SLICE_X2Y66 NUM_BELS 32 SITEPROP SLICE_X2Y66 NUM_INPUTS 37 SITEPROP SLICE_X2Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y66 NUM_PINS 50 SITEPROP SLICE_X2Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y66 PROHIBIT 0 SITEPROP SLICE_X2Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y66 RPM_X 21 SITEPROP SLICE_X2Y66 RPM_Y 132 SITEPROP SLICE_X2Y66 SITE_PIPS SITEPROP SLICE_X2Y66 SITE_TYPE SLICEM SITEPROP SLICE_X2Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y67 CLASS site SITEPROP SLICE_X2Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y67 IS_BONDED 0 SITEPROP SLICE_X2Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y67 IS_PAD 0 SITEPROP SLICE_X2Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y67 IS_RESERVED 0 SITEPROP SLICE_X2Y67 IS_TEST 0 SITEPROP SLICE_X2Y67 IS_USED 0 SITEPROP SLICE_X2Y67 MANUAL_ROUTING SITEPROP SLICE_X2Y67 NAME SLICE_X2Y67 SITEPROP SLICE_X2Y67 NUM_ARCS 153 SITEPROP SLICE_X2Y67 NUM_BELS 32 SITEPROP SLICE_X2Y67 NUM_INPUTS 37 SITEPROP SLICE_X2Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y67 NUM_PINS 50 SITEPROP SLICE_X2Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y67 PROHIBIT 0 SITEPROP SLICE_X2Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y67 RPM_X 21 SITEPROP SLICE_X2Y67 RPM_Y 134 SITEPROP SLICE_X2Y67 SITE_PIPS SITEPROP SLICE_X2Y67 SITE_TYPE SLICEM SITEPROP SLICE_X2Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y68 CLASS site SITEPROP SLICE_X2Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y68 IS_BONDED 0 SITEPROP SLICE_X2Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y68 IS_PAD 0 SITEPROP SLICE_X2Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y68 IS_RESERVED 0 SITEPROP SLICE_X2Y68 IS_TEST 0 SITEPROP SLICE_X2Y68 IS_USED 0 SITEPROP SLICE_X2Y68 MANUAL_ROUTING SITEPROP SLICE_X2Y68 NAME SLICE_X2Y68 SITEPROP SLICE_X2Y68 NUM_ARCS 153 SITEPROP SLICE_X2Y68 NUM_BELS 32 SITEPROP SLICE_X2Y68 NUM_INPUTS 37 SITEPROP SLICE_X2Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y68 NUM_PINS 50 SITEPROP SLICE_X2Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y68 PROHIBIT 0 SITEPROP SLICE_X2Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y68 RPM_X 21 SITEPROP SLICE_X2Y68 RPM_Y 136 SITEPROP SLICE_X2Y68 SITE_PIPS SITEPROP SLICE_X2Y68 SITE_TYPE SLICEM SITEPROP SLICE_X2Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y69 CLASS site SITEPROP SLICE_X2Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y69 IS_BONDED 0 SITEPROP SLICE_X2Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y69 IS_PAD 0 SITEPROP SLICE_X2Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y69 IS_RESERVED 0 SITEPROP SLICE_X2Y69 IS_TEST 0 SITEPROP SLICE_X2Y69 IS_USED 0 SITEPROP SLICE_X2Y69 MANUAL_ROUTING SITEPROP SLICE_X2Y69 NAME SLICE_X2Y69 SITEPROP SLICE_X2Y69 NUM_ARCS 153 SITEPROP SLICE_X2Y69 NUM_BELS 32 SITEPROP SLICE_X2Y69 NUM_INPUTS 37 SITEPROP SLICE_X2Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y69 NUM_PINS 50 SITEPROP SLICE_X2Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y69 PROHIBIT 0 SITEPROP SLICE_X2Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y69 RPM_X 21 SITEPROP SLICE_X2Y69 RPM_Y 138 SITEPROP SLICE_X2Y69 SITE_PIPS SITEPROP SLICE_X2Y69 SITE_TYPE SLICEM SITEPROP SLICE_X2Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y70 CLASS site SITEPROP SLICE_X2Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y70 IS_BONDED 0 SITEPROP SLICE_X2Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y70 IS_PAD 0 SITEPROP SLICE_X2Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y70 IS_RESERVED 0 SITEPROP SLICE_X2Y70 IS_TEST 0 SITEPROP SLICE_X2Y70 IS_USED 0 SITEPROP SLICE_X2Y70 MANUAL_ROUTING SITEPROP SLICE_X2Y70 NAME SLICE_X2Y70 SITEPROP SLICE_X2Y70 NUM_ARCS 153 SITEPROP SLICE_X2Y70 NUM_BELS 32 SITEPROP SLICE_X2Y70 NUM_INPUTS 37 SITEPROP SLICE_X2Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y70 NUM_PINS 50 SITEPROP SLICE_X2Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y70 PROHIBIT 0 SITEPROP SLICE_X2Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y70 RPM_X 21 SITEPROP SLICE_X2Y70 RPM_Y 140 SITEPROP SLICE_X2Y70 SITE_PIPS SITEPROP SLICE_X2Y70 SITE_TYPE SLICEM SITEPROP SLICE_X2Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y71 CLASS site SITEPROP SLICE_X2Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y71 IS_BONDED 0 SITEPROP SLICE_X2Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y71 IS_PAD 0 SITEPROP SLICE_X2Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y71 IS_RESERVED 0 SITEPROP SLICE_X2Y71 IS_TEST 0 SITEPROP SLICE_X2Y71 IS_USED 0 SITEPROP SLICE_X2Y71 MANUAL_ROUTING SITEPROP SLICE_X2Y71 NAME SLICE_X2Y71 SITEPROP SLICE_X2Y71 NUM_ARCS 153 SITEPROP SLICE_X2Y71 NUM_BELS 32 SITEPROP SLICE_X2Y71 NUM_INPUTS 37 SITEPROP SLICE_X2Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y71 NUM_PINS 50 SITEPROP SLICE_X2Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y71 PROHIBIT 0 SITEPROP SLICE_X2Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y71 RPM_X 21 SITEPROP SLICE_X2Y71 RPM_Y 142 SITEPROP SLICE_X2Y71 SITE_PIPS SITEPROP SLICE_X2Y71 SITE_TYPE SLICEM SITEPROP SLICE_X2Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y72 CLASS site SITEPROP SLICE_X2Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y72 IS_BONDED 0 SITEPROP SLICE_X2Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y72 IS_PAD 0 SITEPROP SLICE_X2Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y72 IS_RESERVED 0 SITEPROP SLICE_X2Y72 IS_TEST 0 SITEPROP SLICE_X2Y72 IS_USED 0 SITEPROP SLICE_X2Y72 MANUAL_ROUTING SITEPROP SLICE_X2Y72 NAME SLICE_X2Y72 SITEPROP SLICE_X2Y72 NUM_ARCS 153 SITEPROP SLICE_X2Y72 NUM_BELS 32 SITEPROP SLICE_X2Y72 NUM_INPUTS 37 SITEPROP SLICE_X2Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y72 NUM_PINS 50 SITEPROP SLICE_X2Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y72 PROHIBIT 0 SITEPROP SLICE_X2Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y72 RPM_X 21 SITEPROP SLICE_X2Y72 RPM_Y 144 SITEPROP SLICE_X2Y72 SITE_PIPS SITEPROP SLICE_X2Y72 SITE_TYPE SLICEM SITEPROP SLICE_X2Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y73 CLASS site SITEPROP SLICE_X2Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y73 IS_BONDED 0 SITEPROP SLICE_X2Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y73 IS_PAD 0 SITEPROP SLICE_X2Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y73 IS_RESERVED 0 SITEPROP SLICE_X2Y73 IS_TEST 0 SITEPROP SLICE_X2Y73 IS_USED 0 SITEPROP SLICE_X2Y73 MANUAL_ROUTING SITEPROP SLICE_X2Y73 NAME SLICE_X2Y73 SITEPROP SLICE_X2Y73 NUM_ARCS 153 SITEPROP SLICE_X2Y73 NUM_BELS 32 SITEPROP SLICE_X2Y73 NUM_INPUTS 37 SITEPROP SLICE_X2Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y73 NUM_PINS 50 SITEPROP SLICE_X2Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y73 PROHIBIT 0 SITEPROP SLICE_X2Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y73 RPM_X 21 SITEPROP SLICE_X2Y73 RPM_Y 146 SITEPROP SLICE_X2Y73 SITE_PIPS SITEPROP SLICE_X2Y73 SITE_TYPE SLICEM SITEPROP SLICE_X2Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y74 CLASS site SITEPROP SLICE_X2Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y74 IS_BONDED 0 SITEPROP SLICE_X2Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y74 IS_PAD 0 SITEPROP SLICE_X2Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y74 IS_RESERVED 0 SITEPROP SLICE_X2Y74 IS_TEST 0 SITEPROP SLICE_X2Y74 IS_USED 0 SITEPROP SLICE_X2Y74 MANUAL_ROUTING SITEPROP SLICE_X2Y74 NAME SLICE_X2Y74 SITEPROP SLICE_X2Y74 NUM_ARCS 153 SITEPROP SLICE_X2Y74 NUM_BELS 32 SITEPROP SLICE_X2Y74 NUM_INPUTS 37 SITEPROP SLICE_X2Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y74 NUM_PINS 50 SITEPROP SLICE_X2Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y74 PROHIBIT 0 SITEPROP SLICE_X2Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y74 RPM_X 21 SITEPROP SLICE_X2Y74 RPM_Y 148 SITEPROP SLICE_X2Y74 SITE_PIPS SITEPROP SLICE_X2Y74 SITE_TYPE SLICEM SITEPROP SLICE_X2Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y75 CLASS site SITEPROP SLICE_X2Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y75 IS_BONDED 0 SITEPROP SLICE_X2Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y75 IS_PAD 0 SITEPROP SLICE_X2Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y75 IS_RESERVED 0 SITEPROP SLICE_X2Y75 IS_TEST 0 SITEPROP SLICE_X2Y75 IS_USED 0 SITEPROP SLICE_X2Y75 MANUAL_ROUTING SITEPROP SLICE_X2Y75 NAME SLICE_X2Y75 SITEPROP SLICE_X2Y75 NUM_ARCS 153 SITEPROP SLICE_X2Y75 NUM_BELS 32 SITEPROP SLICE_X2Y75 NUM_INPUTS 37 SITEPROP SLICE_X2Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y75 NUM_PINS 50 SITEPROP SLICE_X2Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y75 PROHIBIT 0 SITEPROP SLICE_X2Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y75 RPM_X 21 SITEPROP SLICE_X2Y75 RPM_Y 150 SITEPROP SLICE_X2Y75 SITE_PIPS SITEPROP SLICE_X2Y75 SITE_TYPE SLICEM SITEPROP SLICE_X2Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y76 CLASS site SITEPROP SLICE_X2Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y76 IS_BONDED 0 SITEPROP SLICE_X2Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y76 IS_PAD 0 SITEPROP SLICE_X2Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y76 IS_RESERVED 0 SITEPROP SLICE_X2Y76 IS_TEST 0 SITEPROP SLICE_X2Y76 IS_USED 0 SITEPROP SLICE_X2Y76 MANUAL_ROUTING SITEPROP SLICE_X2Y76 NAME SLICE_X2Y76 SITEPROP SLICE_X2Y76 NUM_ARCS 153 SITEPROP SLICE_X2Y76 NUM_BELS 32 SITEPROP SLICE_X2Y76 NUM_INPUTS 37 SITEPROP SLICE_X2Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y76 NUM_PINS 50 SITEPROP SLICE_X2Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y76 PROHIBIT 0 SITEPROP SLICE_X2Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y76 RPM_X 21 SITEPROP SLICE_X2Y76 RPM_Y 152 SITEPROP SLICE_X2Y76 SITE_PIPS SITEPROP SLICE_X2Y76 SITE_TYPE SLICEM SITEPROP SLICE_X2Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y77 CLASS site SITEPROP SLICE_X2Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y77 IS_BONDED 0 SITEPROP SLICE_X2Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y77 IS_PAD 0 SITEPROP SLICE_X2Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y77 IS_RESERVED 0 SITEPROP SLICE_X2Y77 IS_TEST 0 SITEPROP SLICE_X2Y77 IS_USED 0 SITEPROP SLICE_X2Y77 MANUAL_ROUTING SITEPROP SLICE_X2Y77 NAME SLICE_X2Y77 SITEPROP SLICE_X2Y77 NUM_ARCS 153 SITEPROP SLICE_X2Y77 NUM_BELS 32 SITEPROP SLICE_X2Y77 NUM_INPUTS 37 SITEPROP SLICE_X2Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y77 NUM_PINS 50 SITEPROP SLICE_X2Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y77 PROHIBIT 0 SITEPROP SLICE_X2Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y77 RPM_X 21 SITEPROP SLICE_X2Y77 RPM_Y 154 SITEPROP SLICE_X2Y77 SITE_PIPS SITEPROP SLICE_X2Y77 SITE_TYPE SLICEM SITEPROP SLICE_X2Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y78 CLASS site SITEPROP SLICE_X2Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y78 IS_BONDED 0 SITEPROP SLICE_X2Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y78 IS_PAD 0 SITEPROP SLICE_X2Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y78 IS_RESERVED 0 SITEPROP SLICE_X2Y78 IS_TEST 0 SITEPROP SLICE_X2Y78 IS_USED 0 SITEPROP SLICE_X2Y78 MANUAL_ROUTING SITEPROP SLICE_X2Y78 NAME SLICE_X2Y78 SITEPROP SLICE_X2Y78 NUM_ARCS 153 SITEPROP SLICE_X2Y78 NUM_BELS 32 SITEPROP SLICE_X2Y78 NUM_INPUTS 37 SITEPROP SLICE_X2Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y78 NUM_PINS 50 SITEPROP SLICE_X2Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y78 PROHIBIT 0 SITEPROP SLICE_X2Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y78 RPM_X 21 SITEPROP SLICE_X2Y78 RPM_Y 156 SITEPROP SLICE_X2Y78 SITE_PIPS SITEPROP SLICE_X2Y78 SITE_TYPE SLICEM SITEPROP SLICE_X2Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y79 CLASS site SITEPROP SLICE_X2Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y79 IS_BONDED 0 SITEPROP SLICE_X2Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y79 IS_PAD 0 SITEPROP SLICE_X2Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y79 IS_RESERVED 0 SITEPROP SLICE_X2Y79 IS_TEST 0 SITEPROP SLICE_X2Y79 IS_USED 0 SITEPROP SLICE_X2Y79 MANUAL_ROUTING SITEPROP SLICE_X2Y79 NAME SLICE_X2Y79 SITEPROP SLICE_X2Y79 NUM_ARCS 153 SITEPROP SLICE_X2Y79 NUM_BELS 32 SITEPROP SLICE_X2Y79 NUM_INPUTS 37 SITEPROP SLICE_X2Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y79 NUM_PINS 50 SITEPROP SLICE_X2Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y79 PROHIBIT 0 SITEPROP SLICE_X2Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y79 RPM_X 21 SITEPROP SLICE_X2Y79 RPM_Y 158 SITEPROP SLICE_X2Y79 SITE_PIPS SITEPROP SLICE_X2Y79 SITE_TYPE SLICEM SITEPROP SLICE_X2Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y80 CLASS site SITEPROP SLICE_X2Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y80 IS_BONDED 0 SITEPROP SLICE_X2Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y80 IS_PAD 0 SITEPROP SLICE_X2Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y80 IS_RESERVED 0 SITEPROP SLICE_X2Y80 IS_TEST 0 SITEPROP SLICE_X2Y80 IS_USED 0 SITEPROP SLICE_X2Y80 MANUAL_ROUTING SITEPROP SLICE_X2Y80 NAME SLICE_X2Y80 SITEPROP SLICE_X2Y80 NUM_ARCS 153 SITEPROP SLICE_X2Y80 NUM_BELS 32 SITEPROP SLICE_X2Y80 NUM_INPUTS 37 SITEPROP SLICE_X2Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y80 NUM_PINS 50 SITEPROP SLICE_X2Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y80 PROHIBIT 0 SITEPROP SLICE_X2Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y80 RPM_X 21 SITEPROP SLICE_X2Y80 RPM_Y 160 SITEPROP SLICE_X2Y80 SITE_PIPS SITEPROP SLICE_X2Y80 SITE_TYPE SLICEM SITEPROP SLICE_X2Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y81 CLASS site SITEPROP SLICE_X2Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y81 IS_BONDED 0 SITEPROP SLICE_X2Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y81 IS_PAD 0 SITEPROP SLICE_X2Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y81 IS_RESERVED 0 SITEPROP SLICE_X2Y81 IS_TEST 0 SITEPROP SLICE_X2Y81 IS_USED 0 SITEPROP SLICE_X2Y81 MANUAL_ROUTING SITEPROP SLICE_X2Y81 NAME SLICE_X2Y81 SITEPROP SLICE_X2Y81 NUM_ARCS 153 SITEPROP SLICE_X2Y81 NUM_BELS 32 SITEPROP SLICE_X2Y81 NUM_INPUTS 37 SITEPROP SLICE_X2Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y81 NUM_PINS 50 SITEPROP SLICE_X2Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y81 PROHIBIT 0 SITEPROP SLICE_X2Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y81 RPM_X 21 SITEPROP SLICE_X2Y81 RPM_Y 162 SITEPROP SLICE_X2Y81 SITE_PIPS SITEPROP SLICE_X2Y81 SITE_TYPE SLICEM SITEPROP SLICE_X2Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y82 CLASS site SITEPROP SLICE_X2Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y82 IS_BONDED 0 SITEPROP SLICE_X2Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y82 IS_PAD 0 SITEPROP SLICE_X2Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y82 IS_RESERVED 0 SITEPROP SLICE_X2Y82 IS_TEST 0 SITEPROP SLICE_X2Y82 IS_USED 0 SITEPROP SLICE_X2Y82 MANUAL_ROUTING SITEPROP SLICE_X2Y82 NAME SLICE_X2Y82 SITEPROP SLICE_X2Y82 NUM_ARCS 153 SITEPROP SLICE_X2Y82 NUM_BELS 32 SITEPROP SLICE_X2Y82 NUM_INPUTS 37 SITEPROP SLICE_X2Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y82 NUM_PINS 50 SITEPROP SLICE_X2Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y82 PROHIBIT 0 SITEPROP SLICE_X2Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y82 RPM_X 21 SITEPROP SLICE_X2Y82 RPM_Y 164 SITEPROP SLICE_X2Y82 SITE_PIPS SITEPROP SLICE_X2Y82 SITE_TYPE SLICEM SITEPROP SLICE_X2Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y83 CLASS site SITEPROP SLICE_X2Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y83 IS_BONDED 0 SITEPROP SLICE_X2Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y83 IS_PAD 0 SITEPROP SLICE_X2Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y83 IS_RESERVED 0 SITEPROP SLICE_X2Y83 IS_TEST 0 SITEPROP SLICE_X2Y83 IS_USED 0 SITEPROP SLICE_X2Y83 MANUAL_ROUTING SITEPROP SLICE_X2Y83 NAME SLICE_X2Y83 SITEPROP SLICE_X2Y83 NUM_ARCS 153 SITEPROP SLICE_X2Y83 NUM_BELS 32 SITEPROP SLICE_X2Y83 NUM_INPUTS 37 SITEPROP SLICE_X2Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y83 NUM_PINS 50 SITEPROP SLICE_X2Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y83 PROHIBIT 0 SITEPROP SLICE_X2Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y83 RPM_X 21 SITEPROP SLICE_X2Y83 RPM_Y 166 SITEPROP SLICE_X2Y83 SITE_PIPS SITEPROP SLICE_X2Y83 SITE_TYPE SLICEM SITEPROP SLICE_X2Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y84 CLASS site SITEPROP SLICE_X2Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y84 IS_BONDED 0 SITEPROP SLICE_X2Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y84 IS_PAD 0 SITEPROP SLICE_X2Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y84 IS_RESERVED 0 SITEPROP SLICE_X2Y84 IS_TEST 0 SITEPROP SLICE_X2Y84 IS_USED 0 SITEPROP SLICE_X2Y84 MANUAL_ROUTING SITEPROP SLICE_X2Y84 NAME SLICE_X2Y84 SITEPROP SLICE_X2Y84 NUM_ARCS 153 SITEPROP SLICE_X2Y84 NUM_BELS 32 SITEPROP SLICE_X2Y84 NUM_INPUTS 37 SITEPROP SLICE_X2Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y84 NUM_PINS 50 SITEPROP SLICE_X2Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y84 PROHIBIT 0 SITEPROP SLICE_X2Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y84 RPM_X 21 SITEPROP SLICE_X2Y84 RPM_Y 168 SITEPROP SLICE_X2Y84 SITE_PIPS SITEPROP SLICE_X2Y84 SITE_TYPE SLICEM SITEPROP SLICE_X2Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y85 CLASS site SITEPROP SLICE_X2Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y85 IS_BONDED 0 SITEPROP SLICE_X2Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y85 IS_PAD 0 SITEPROP SLICE_X2Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y85 IS_RESERVED 0 SITEPROP SLICE_X2Y85 IS_TEST 0 SITEPROP SLICE_X2Y85 IS_USED 0 SITEPROP SLICE_X2Y85 MANUAL_ROUTING SITEPROP SLICE_X2Y85 NAME SLICE_X2Y85 SITEPROP SLICE_X2Y85 NUM_ARCS 153 SITEPROP SLICE_X2Y85 NUM_BELS 32 SITEPROP SLICE_X2Y85 NUM_INPUTS 37 SITEPROP SLICE_X2Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y85 NUM_PINS 50 SITEPROP SLICE_X2Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y85 PROHIBIT 0 SITEPROP SLICE_X2Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y85 RPM_X 21 SITEPROP SLICE_X2Y85 RPM_Y 170 SITEPROP SLICE_X2Y85 SITE_PIPS SITEPROP SLICE_X2Y85 SITE_TYPE SLICEM SITEPROP SLICE_X2Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y86 CLASS site SITEPROP SLICE_X2Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y86 IS_BONDED 0 SITEPROP SLICE_X2Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y86 IS_PAD 0 SITEPROP SLICE_X2Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y86 IS_RESERVED 0 SITEPROP SLICE_X2Y86 IS_TEST 0 SITEPROP SLICE_X2Y86 IS_USED 0 SITEPROP SLICE_X2Y86 MANUAL_ROUTING SITEPROP SLICE_X2Y86 NAME SLICE_X2Y86 SITEPROP SLICE_X2Y86 NUM_ARCS 153 SITEPROP SLICE_X2Y86 NUM_BELS 32 SITEPROP SLICE_X2Y86 NUM_INPUTS 37 SITEPROP SLICE_X2Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y86 NUM_PINS 50 SITEPROP SLICE_X2Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y86 PROHIBIT 0 SITEPROP SLICE_X2Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y86 RPM_X 21 SITEPROP SLICE_X2Y86 RPM_Y 172 SITEPROP SLICE_X2Y86 SITE_PIPS SITEPROP SLICE_X2Y86 SITE_TYPE SLICEM SITEPROP SLICE_X2Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y87 CLASS site SITEPROP SLICE_X2Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y87 IS_BONDED 0 SITEPROP SLICE_X2Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y87 IS_PAD 0 SITEPROP SLICE_X2Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y87 IS_RESERVED 0 SITEPROP SLICE_X2Y87 IS_TEST 0 SITEPROP SLICE_X2Y87 IS_USED 0 SITEPROP SLICE_X2Y87 MANUAL_ROUTING SITEPROP SLICE_X2Y87 NAME SLICE_X2Y87 SITEPROP SLICE_X2Y87 NUM_ARCS 153 SITEPROP SLICE_X2Y87 NUM_BELS 32 SITEPROP SLICE_X2Y87 NUM_INPUTS 37 SITEPROP SLICE_X2Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y87 NUM_PINS 50 SITEPROP SLICE_X2Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y87 PROHIBIT 0 SITEPROP SLICE_X2Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y87 RPM_X 21 SITEPROP SLICE_X2Y87 RPM_Y 174 SITEPROP SLICE_X2Y87 SITE_PIPS SITEPROP SLICE_X2Y87 SITE_TYPE SLICEM SITEPROP SLICE_X2Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y88 CLASS site SITEPROP SLICE_X2Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y88 IS_BONDED 0 SITEPROP SLICE_X2Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y88 IS_PAD 0 SITEPROP SLICE_X2Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y88 IS_RESERVED 0 SITEPROP SLICE_X2Y88 IS_TEST 0 SITEPROP SLICE_X2Y88 IS_USED 0 SITEPROP SLICE_X2Y88 MANUAL_ROUTING SITEPROP SLICE_X2Y88 NAME SLICE_X2Y88 SITEPROP SLICE_X2Y88 NUM_ARCS 153 SITEPROP SLICE_X2Y88 NUM_BELS 32 SITEPROP SLICE_X2Y88 NUM_INPUTS 37 SITEPROP SLICE_X2Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y88 NUM_PINS 50 SITEPROP SLICE_X2Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y88 PROHIBIT 0 SITEPROP SLICE_X2Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y88 RPM_X 21 SITEPROP SLICE_X2Y88 RPM_Y 176 SITEPROP SLICE_X2Y88 SITE_PIPS SITEPROP SLICE_X2Y88 SITE_TYPE SLICEM SITEPROP SLICE_X2Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y89 CLASS site SITEPROP SLICE_X2Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y89 IS_BONDED 0 SITEPROP SLICE_X2Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y89 IS_PAD 0 SITEPROP SLICE_X2Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y89 IS_RESERVED 0 SITEPROP SLICE_X2Y89 IS_TEST 0 SITEPROP SLICE_X2Y89 IS_USED 0 SITEPROP SLICE_X2Y89 MANUAL_ROUTING SITEPROP SLICE_X2Y89 NAME SLICE_X2Y89 SITEPROP SLICE_X2Y89 NUM_ARCS 153 SITEPROP SLICE_X2Y89 NUM_BELS 32 SITEPROP SLICE_X2Y89 NUM_INPUTS 37 SITEPROP SLICE_X2Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y89 NUM_PINS 50 SITEPROP SLICE_X2Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y89 PROHIBIT 0 SITEPROP SLICE_X2Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y89 RPM_X 21 SITEPROP SLICE_X2Y89 RPM_Y 178 SITEPROP SLICE_X2Y89 SITE_PIPS SITEPROP SLICE_X2Y89 SITE_TYPE SLICEM SITEPROP SLICE_X2Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y90 CLASS site SITEPROP SLICE_X2Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y90 IS_BONDED 0 SITEPROP SLICE_X2Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y90 IS_PAD 0 SITEPROP SLICE_X2Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y90 IS_RESERVED 0 SITEPROP SLICE_X2Y90 IS_TEST 0 SITEPROP SLICE_X2Y90 IS_USED 0 SITEPROP SLICE_X2Y90 MANUAL_ROUTING SITEPROP SLICE_X2Y90 NAME SLICE_X2Y90 SITEPROP SLICE_X2Y90 NUM_ARCS 153 SITEPROP SLICE_X2Y90 NUM_BELS 32 SITEPROP SLICE_X2Y90 NUM_INPUTS 37 SITEPROP SLICE_X2Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y90 NUM_PINS 50 SITEPROP SLICE_X2Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y90 PROHIBIT 0 SITEPROP SLICE_X2Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y90 RPM_X 21 SITEPROP SLICE_X2Y90 RPM_Y 180 SITEPROP SLICE_X2Y90 SITE_PIPS SITEPROP SLICE_X2Y90 SITE_TYPE SLICEM SITEPROP SLICE_X2Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y91 CLASS site SITEPROP SLICE_X2Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y91 IS_BONDED 0 SITEPROP SLICE_X2Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y91 IS_PAD 0 SITEPROP SLICE_X2Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y91 IS_RESERVED 0 SITEPROP SLICE_X2Y91 IS_TEST 0 SITEPROP SLICE_X2Y91 IS_USED 0 SITEPROP SLICE_X2Y91 MANUAL_ROUTING SITEPROP SLICE_X2Y91 NAME SLICE_X2Y91 SITEPROP SLICE_X2Y91 NUM_ARCS 153 SITEPROP SLICE_X2Y91 NUM_BELS 32 SITEPROP SLICE_X2Y91 NUM_INPUTS 37 SITEPROP SLICE_X2Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y91 NUM_PINS 50 SITEPROP SLICE_X2Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y91 PROHIBIT 0 SITEPROP SLICE_X2Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y91 RPM_X 21 SITEPROP SLICE_X2Y91 RPM_Y 182 SITEPROP SLICE_X2Y91 SITE_PIPS SITEPROP SLICE_X2Y91 SITE_TYPE SLICEM SITEPROP SLICE_X2Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y92 CLASS site SITEPROP SLICE_X2Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y92 IS_BONDED 0 SITEPROP SLICE_X2Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y92 IS_PAD 0 SITEPROP SLICE_X2Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y92 IS_RESERVED 0 SITEPROP SLICE_X2Y92 IS_TEST 0 SITEPROP SLICE_X2Y92 IS_USED 0 SITEPROP SLICE_X2Y92 MANUAL_ROUTING SITEPROP SLICE_X2Y92 NAME SLICE_X2Y92 SITEPROP SLICE_X2Y92 NUM_ARCS 153 SITEPROP SLICE_X2Y92 NUM_BELS 32 SITEPROP SLICE_X2Y92 NUM_INPUTS 37 SITEPROP SLICE_X2Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y92 NUM_PINS 50 SITEPROP SLICE_X2Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y92 PROHIBIT 0 SITEPROP SLICE_X2Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y92 RPM_X 21 SITEPROP SLICE_X2Y92 RPM_Y 184 SITEPROP SLICE_X2Y92 SITE_PIPS SITEPROP SLICE_X2Y92 SITE_TYPE SLICEM SITEPROP SLICE_X2Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y93 CLASS site SITEPROP SLICE_X2Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y93 IS_BONDED 0 SITEPROP SLICE_X2Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y93 IS_PAD 0 SITEPROP SLICE_X2Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y93 IS_RESERVED 0 SITEPROP SLICE_X2Y93 IS_TEST 0 SITEPROP SLICE_X2Y93 IS_USED 0 SITEPROP SLICE_X2Y93 MANUAL_ROUTING SITEPROP SLICE_X2Y93 NAME SLICE_X2Y93 SITEPROP SLICE_X2Y93 NUM_ARCS 153 SITEPROP SLICE_X2Y93 NUM_BELS 32 SITEPROP SLICE_X2Y93 NUM_INPUTS 37 SITEPROP SLICE_X2Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y93 NUM_PINS 50 SITEPROP SLICE_X2Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y93 PROHIBIT 0 SITEPROP SLICE_X2Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y93 RPM_X 21 SITEPROP SLICE_X2Y93 RPM_Y 186 SITEPROP SLICE_X2Y93 SITE_PIPS SITEPROP SLICE_X2Y93 SITE_TYPE SLICEM SITEPROP SLICE_X2Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y94 CLASS site SITEPROP SLICE_X2Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y94 IS_BONDED 0 SITEPROP SLICE_X2Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y94 IS_PAD 0 SITEPROP SLICE_X2Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y94 IS_RESERVED 0 SITEPROP SLICE_X2Y94 IS_TEST 0 SITEPROP SLICE_X2Y94 IS_USED 0 SITEPROP SLICE_X2Y94 MANUAL_ROUTING SITEPROP SLICE_X2Y94 NAME SLICE_X2Y94 SITEPROP SLICE_X2Y94 NUM_ARCS 153 SITEPROP SLICE_X2Y94 NUM_BELS 32 SITEPROP SLICE_X2Y94 NUM_INPUTS 37 SITEPROP SLICE_X2Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y94 NUM_PINS 50 SITEPROP SLICE_X2Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y94 PROHIBIT 0 SITEPROP SLICE_X2Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y94 RPM_X 21 SITEPROP SLICE_X2Y94 RPM_Y 188 SITEPROP SLICE_X2Y94 SITE_PIPS SITEPROP SLICE_X2Y94 SITE_TYPE SLICEM SITEPROP SLICE_X2Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y95 CLASS site SITEPROP SLICE_X2Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y95 IS_BONDED 0 SITEPROP SLICE_X2Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y95 IS_PAD 0 SITEPROP SLICE_X2Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y95 IS_RESERVED 0 SITEPROP SLICE_X2Y95 IS_TEST 0 SITEPROP SLICE_X2Y95 IS_USED 0 SITEPROP SLICE_X2Y95 MANUAL_ROUTING SITEPROP SLICE_X2Y95 NAME SLICE_X2Y95 SITEPROP SLICE_X2Y95 NUM_ARCS 153 SITEPROP SLICE_X2Y95 NUM_BELS 32 SITEPROP SLICE_X2Y95 NUM_INPUTS 37 SITEPROP SLICE_X2Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y95 NUM_PINS 50 SITEPROP SLICE_X2Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y95 PROHIBIT 0 SITEPROP SLICE_X2Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y95 RPM_X 21 SITEPROP SLICE_X2Y95 RPM_Y 190 SITEPROP SLICE_X2Y95 SITE_PIPS SITEPROP SLICE_X2Y95 SITE_TYPE SLICEM SITEPROP SLICE_X2Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y96 CLASS site SITEPROP SLICE_X2Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y96 IS_BONDED 0 SITEPROP SLICE_X2Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y96 IS_PAD 0 SITEPROP SLICE_X2Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y96 IS_RESERVED 0 SITEPROP SLICE_X2Y96 IS_TEST 0 SITEPROP SLICE_X2Y96 IS_USED 0 SITEPROP SLICE_X2Y96 MANUAL_ROUTING SITEPROP SLICE_X2Y96 NAME SLICE_X2Y96 SITEPROP SLICE_X2Y96 NUM_ARCS 153 SITEPROP SLICE_X2Y96 NUM_BELS 32 SITEPROP SLICE_X2Y96 NUM_INPUTS 37 SITEPROP SLICE_X2Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y96 NUM_PINS 50 SITEPROP SLICE_X2Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y96 PROHIBIT 0 SITEPROP SLICE_X2Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y96 RPM_X 21 SITEPROP SLICE_X2Y96 RPM_Y 192 SITEPROP SLICE_X2Y96 SITE_PIPS SITEPROP SLICE_X2Y96 SITE_TYPE SLICEM SITEPROP SLICE_X2Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y97 CLASS site SITEPROP SLICE_X2Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y97 IS_BONDED 0 SITEPROP SLICE_X2Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y97 IS_PAD 0 SITEPROP SLICE_X2Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y97 IS_RESERVED 0 SITEPROP SLICE_X2Y97 IS_TEST 0 SITEPROP SLICE_X2Y97 IS_USED 0 SITEPROP SLICE_X2Y97 MANUAL_ROUTING SITEPROP SLICE_X2Y97 NAME SLICE_X2Y97 SITEPROP SLICE_X2Y97 NUM_ARCS 153 SITEPROP SLICE_X2Y97 NUM_BELS 32 SITEPROP SLICE_X2Y97 NUM_INPUTS 37 SITEPROP SLICE_X2Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y97 NUM_PINS 50 SITEPROP SLICE_X2Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y97 PROHIBIT 0 SITEPROP SLICE_X2Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y97 RPM_X 21 SITEPROP SLICE_X2Y97 RPM_Y 194 SITEPROP SLICE_X2Y97 SITE_PIPS SITEPROP SLICE_X2Y97 SITE_TYPE SLICEM SITEPROP SLICE_X2Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y98 CLASS site SITEPROP SLICE_X2Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y98 IS_BONDED 0 SITEPROP SLICE_X2Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y98 IS_PAD 0 SITEPROP SLICE_X2Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y98 IS_RESERVED 0 SITEPROP SLICE_X2Y98 IS_TEST 0 SITEPROP SLICE_X2Y98 IS_USED 0 SITEPROP SLICE_X2Y98 MANUAL_ROUTING SITEPROP SLICE_X2Y98 NAME SLICE_X2Y98 SITEPROP SLICE_X2Y98 NUM_ARCS 153 SITEPROP SLICE_X2Y98 NUM_BELS 32 SITEPROP SLICE_X2Y98 NUM_INPUTS 37 SITEPROP SLICE_X2Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y98 NUM_PINS 50 SITEPROP SLICE_X2Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y98 PROHIBIT 0 SITEPROP SLICE_X2Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y98 RPM_X 21 SITEPROP SLICE_X2Y98 RPM_Y 196 SITEPROP SLICE_X2Y98 SITE_PIPS SITEPROP SLICE_X2Y98 SITE_TYPE SLICEM SITEPROP SLICE_X2Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y99 CLASS site SITEPROP SLICE_X2Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X2Y99 IS_BONDED 0 SITEPROP SLICE_X2Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y99 IS_PAD 0 SITEPROP SLICE_X2Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y99 IS_RESERVED 0 SITEPROP SLICE_X2Y99 IS_TEST 0 SITEPROP SLICE_X2Y99 IS_USED 0 SITEPROP SLICE_X2Y99 MANUAL_ROUTING SITEPROP SLICE_X2Y99 NAME SLICE_X2Y99 SITEPROP SLICE_X2Y99 NUM_ARCS 153 SITEPROP SLICE_X2Y99 NUM_BELS 32 SITEPROP SLICE_X2Y99 NUM_INPUTS 37 SITEPROP SLICE_X2Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y99 NUM_PINS 50 SITEPROP SLICE_X2Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y99 PROHIBIT 0 SITEPROP SLICE_X2Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y99 RPM_X 21 SITEPROP SLICE_X2Y99 RPM_Y 198 SITEPROP SLICE_X2Y99 SITE_PIPS SITEPROP SLICE_X2Y99 SITE_TYPE SLICEM SITEPROP SLICE_X2Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y100 CLASS site SITEPROP SLICE_X2Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y100 IS_BONDED 0 SITEPROP SLICE_X2Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y100 IS_PAD 0 SITEPROP SLICE_X2Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y100 IS_RESERVED 0 SITEPROP SLICE_X2Y100 IS_TEST 0 SITEPROP SLICE_X2Y100 IS_USED 0 SITEPROP SLICE_X2Y100 MANUAL_ROUTING SITEPROP SLICE_X2Y100 NAME SLICE_X2Y100 SITEPROP SLICE_X2Y100 NUM_ARCS 153 SITEPROP SLICE_X2Y100 NUM_BELS 32 SITEPROP SLICE_X2Y100 NUM_INPUTS 37 SITEPROP SLICE_X2Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y100 NUM_PINS 50 SITEPROP SLICE_X2Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y100 PROHIBIT 0 SITEPROP SLICE_X2Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y100 RPM_X 21 SITEPROP SLICE_X2Y100 RPM_Y 200 SITEPROP SLICE_X2Y100 SITE_PIPS SITEPROP SLICE_X2Y100 SITE_TYPE SLICEM SITEPROP SLICE_X2Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y101 CLASS site SITEPROP SLICE_X2Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y101 IS_BONDED 0 SITEPROP SLICE_X2Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y101 IS_PAD 0 SITEPROP SLICE_X2Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y101 IS_RESERVED 0 SITEPROP SLICE_X2Y101 IS_TEST 0 SITEPROP SLICE_X2Y101 IS_USED 0 SITEPROP SLICE_X2Y101 MANUAL_ROUTING SITEPROP SLICE_X2Y101 NAME SLICE_X2Y101 SITEPROP SLICE_X2Y101 NUM_ARCS 153 SITEPROP SLICE_X2Y101 NUM_BELS 32 SITEPROP SLICE_X2Y101 NUM_INPUTS 37 SITEPROP SLICE_X2Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y101 NUM_PINS 50 SITEPROP SLICE_X2Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y101 PROHIBIT 0 SITEPROP SLICE_X2Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y101 RPM_X 21 SITEPROP SLICE_X2Y101 RPM_Y 202 SITEPROP SLICE_X2Y101 SITE_PIPS SITEPROP SLICE_X2Y101 SITE_TYPE SLICEM SITEPROP SLICE_X2Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y102 CLASS site SITEPROP SLICE_X2Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y102 IS_BONDED 0 SITEPROP SLICE_X2Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y102 IS_PAD 0 SITEPROP SLICE_X2Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y102 IS_RESERVED 0 SITEPROP SLICE_X2Y102 IS_TEST 0 SITEPROP SLICE_X2Y102 IS_USED 0 SITEPROP SLICE_X2Y102 MANUAL_ROUTING SITEPROP SLICE_X2Y102 NAME SLICE_X2Y102 SITEPROP SLICE_X2Y102 NUM_ARCS 153 SITEPROP SLICE_X2Y102 NUM_BELS 32 SITEPROP SLICE_X2Y102 NUM_INPUTS 37 SITEPROP SLICE_X2Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y102 NUM_PINS 50 SITEPROP SLICE_X2Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y102 PROHIBIT 0 SITEPROP SLICE_X2Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y102 RPM_X 21 SITEPROP SLICE_X2Y102 RPM_Y 204 SITEPROP SLICE_X2Y102 SITE_PIPS SITEPROP SLICE_X2Y102 SITE_TYPE SLICEM SITEPROP SLICE_X2Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y103 CLASS site SITEPROP SLICE_X2Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y103 IS_BONDED 0 SITEPROP SLICE_X2Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y103 IS_PAD 0 SITEPROP SLICE_X2Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y103 IS_RESERVED 0 SITEPROP SLICE_X2Y103 IS_TEST 0 SITEPROP SLICE_X2Y103 IS_USED 0 SITEPROP SLICE_X2Y103 MANUAL_ROUTING SITEPROP SLICE_X2Y103 NAME SLICE_X2Y103 SITEPROP SLICE_X2Y103 NUM_ARCS 153 SITEPROP SLICE_X2Y103 NUM_BELS 32 SITEPROP SLICE_X2Y103 NUM_INPUTS 37 SITEPROP SLICE_X2Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y103 NUM_PINS 50 SITEPROP SLICE_X2Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y103 PROHIBIT 0 SITEPROP SLICE_X2Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y103 RPM_X 21 SITEPROP SLICE_X2Y103 RPM_Y 206 SITEPROP SLICE_X2Y103 SITE_PIPS SITEPROP SLICE_X2Y103 SITE_TYPE SLICEM SITEPROP SLICE_X2Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y104 CLASS site SITEPROP SLICE_X2Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y104 IS_BONDED 0 SITEPROP SLICE_X2Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y104 IS_PAD 0 SITEPROP SLICE_X2Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y104 IS_RESERVED 0 SITEPROP SLICE_X2Y104 IS_TEST 0 SITEPROP SLICE_X2Y104 IS_USED 0 SITEPROP SLICE_X2Y104 MANUAL_ROUTING SITEPROP SLICE_X2Y104 NAME SLICE_X2Y104 SITEPROP SLICE_X2Y104 NUM_ARCS 153 SITEPROP SLICE_X2Y104 NUM_BELS 32 SITEPROP SLICE_X2Y104 NUM_INPUTS 37 SITEPROP SLICE_X2Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y104 NUM_PINS 50 SITEPROP SLICE_X2Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y104 PROHIBIT 0 SITEPROP SLICE_X2Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y104 RPM_X 21 SITEPROP SLICE_X2Y104 RPM_Y 208 SITEPROP SLICE_X2Y104 SITE_PIPS SITEPROP SLICE_X2Y104 SITE_TYPE SLICEM SITEPROP SLICE_X2Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y105 CLASS site SITEPROP SLICE_X2Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y105 IS_BONDED 0 SITEPROP SLICE_X2Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y105 IS_PAD 0 SITEPROP SLICE_X2Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y105 IS_RESERVED 0 SITEPROP SLICE_X2Y105 IS_TEST 0 SITEPROP SLICE_X2Y105 IS_USED 0 SITEPROP SLICE_X2Y105 MANUAL_ROUTING SITEPROP SLICE_X2Y105 NAME SLICE_X2Y105 SITEPROP SLICE_X2Y105 NUM_ARCS 153 SITEPROP SLICE_X2Y105 NUM_BELS 32 SITEPROP SLICE_X2Y105 NUM_INPUTS 37 SITEPROP SLICE_X2Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y105 NUM_PINS 50 SITEPROP SLICE_X2Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y105 PROHIBIT 0 SITEPROP SLICE_X2Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y105 RPM_X 21 SITEPROP SLICE_X2Y105 RPM_Y 210 SITEPROP SLICE_X2Y105 SITE_PIPS SITEPROP SLICE_X2Y105 SITE_TYPE SLICEM SITEPROP SLICE_X2Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y106 CLASS site SITEPROP SLICE_X2Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y106 IS_BONDED 0 SITEPROP SLICE_X2Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y106 IS_PAD 0 SITEPROP SLICE_X2Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y106 IS_RESERVED 0 SITEPROP SLICE_X2Y106 IS_TEST 0 SITEPROP SLICE_X2Y106 IS_USED 0 SITEPROP SLICE_X2Y106 MANUAL_ROUTING SITEPROP SLICE_X2Y106 NAME SLICE_X2Y106 SITEPROP SLICE_X2Y106 NUM_ARCS 153 SITEPROP SLICE_X2Y106 NUM_BELS 32 SITEPROP SLICE_X2Y106 NUM_INPUTS 37 SITEPROP SLICE_X2Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y106 NUM_PINS 50 SITEPROP SLICE_X2Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y106 PROHIBIT 0 SITEPROP SLICE_X2Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y106 RPM_X 21 SITEPROP SLICE_X2Y106 RPM_Y 212 SITEPROP SLICE_X2Y106 SITE_PIPS SITEPROP SLICE_X2Y106 SITE_TYPE SLICEM SITEPROP SLICE_X2Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y107 CLASS site SITEPROP SLICE_X2Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y107 IS_BONDED 0 SITEPROP SLICE_X2Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y107 IS_PAD 0 SITEPROP SLICE_X2Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y107 IS_RESERVED 0 SITEPROP SLICE_X2Y107 IS_TEST 0 SITEPROP SLICE_X2Y107 IS_USED 0 SITEPROP SLICE_X2Y107 MANUAL_ROUTING SITEPROP SLICE_X2Y107 NAME SLICE_X2Y107 SITEPROP SLICE_X2Y107 NUM_ARCS 153 SITEPROP SLICE_X2Y107 NUM_BELS 32 SITEPROP SLICE_X2Y107 NUM_INPUTS 37 SITEPROP SLICE_X2Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y107 NUM_PINS 50 SITEPROP SLICE_X2Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y107 PROHIBIT 0 SITEPROP SLICE_X2Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y107 RPM_X 21 SITEPROP SLICE_X2Y107 RPM_Y 214 SITEPROP SLICE_X2Y107 SITE_PIPS SITEPROP SLICE_X2Y107 SITE_TYPE SLICEM SITEPROP SLICE_X2Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y108 CLASS site SITEPROP SLICE_X2Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y108 IS_BONDED 0 SITEPROP SLICE_X2Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y108 IS_PAD 0 SITEPROP SLICE_X2Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y108 IS_RESERVED 0 SITEPROP SLICE_X2Y108 IS_TEST 0 SITEPROP SLICE_X2Y108 IS_USED 0 SITEPROP SLICE_X2Y108 MANUAL_ROUTING SITEPROP SLICE_X2Y108 NAME SLICE_X2Y108 SITEPROP SLICE_X2Y108 NUM_ARCS 153 SITEPROP SLICE_X2Y108 NUM_BELS 32 SITEPROP SLICE_X2Y108 NUM_INPUTS 37 SITEPROP SLICE_X2Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y108 NUM_PINS 50 SITEPROP SLICE_X2Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y108 PROHIBIT 0 SITEPROP SLICE_X2Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y108 RPM_X 21 SITEPROP SLICE_X2Y108 RPM_Y 216 SITEPROP SLICE_X2Y108 SITE_PIPS SITEPROP SLICE_X2Y108 SITE_TYPE SLICEM SITEPROP SLICE_X2Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y109 CLASS site SITEPROP SLICE_X2Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y109 IS_BONDED 0 SITEPROP SLICE_X2Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y109 IS_PAD 0 SITEPROP SLICE_X2Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y109 IS_RESERVED 0 SITEPROP SLICE_X2Y109 IS_TEST 0 SITEPROP SLICE_X2Y109 IS_USED 0 SITEPROP SLICE_X2Y109 MANUAL_ROUTING SITEPROP SLICE_X2Y109 NAME SLICE_X2Y109 SITEPROP SLICE_X2Y109 NUM_ARCS 153 SITEPROP SLICE_X2Y109 NUM_BELS 32 SITEPROP SLICE_X2Y109 NUM_INPUTS 37 SITEPROP SLICE_X2Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y109 NUM_PINS 50 SITEPROP SLICE_X2Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y109 PROHIBIT 0 SITEPROP SLICE_X2Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y109 RPM_X 21 SITEPROP SLICE_X2Y109 RPM_Y 218 SITEPROP SLICE_X2Y109 SITE_PIPS SITEPROP SLICE_X2Y109 SITE_TYPE SLICEM SITEPROP SLICE_X2Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y110 CLASS site SITEPROP SLICE_X2Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y110 IS_BONDED 0 SITEPROP SLICE_X2Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y110 IS_PAD 0 SITEPROP SLICE_X2Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y110 IS_RESERVED 0 SITEPROP SLICE_X2Y110 IS_TEST 0 SITEPROP SLICE_X2Y110 IS_USED 0 SITEPROP SLICE_X2Y110 MANUAL_ROUTING SITEPROP SLICE_X2Y110 NAME SLICE_X2Y110 SITEPROP SLICE_X2Y110 NUM_ARCS 153 SITEPROP SLICE_X2Y110 NUM_BELS 32 SITEPROP SLICE_X2Y110 NUM_INPUTS 37 SITEPROP SLICE_X2Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y110 NUM_PINS 50 SITEPROP SLICE_X2Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y110 PROHIBIT 0 SITEPROP SLICE_X2Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y110 RPM_X 21 SITEPROP SLICE_X2Y110 RPM_Y 220 SITEPROP SLICE_X2Y110 SITE_PIPS SITEPROP SLICE_X2Y110 SITE_TYPE SLICEM SITEPROP SLICE_X2Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y111 CLASS site SITEPROP SLICE_X2Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y111 IS_BONDED 0 SITEPROP SLICE_X2Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y111 IS_PAD 0 SITEPROP SLICE_X2Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y111 IS_RESERVED 0 SITEPROP SLICE_X2Y111 IS_TEST 0 SITEPROP SLICE_X2Y111 IS_USED 0 SITEPROP SLICE_X2Y111 MANUAL_ROUTING SITEPROP SLICE_X2Y111 NAME SLICE_X2Y111 SITEPROP SLICE_X2Y111 NUM_ARCS 153 SITEPROP SLICE_X2Y111 NUM_BELS 32 SITEPROP SLICE_X2Y111 NUM_INPUTS 37 SITEPROP SLICE_X2Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y111 NUM_PINS 50 SITEPROP SLICE_X2Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y111 PROHIBIT 0 SITEPROP SLICE_X2Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y111 RPM_X 21 SITEPROP SLICE_X2Y111 RPM_Y 222 SITEPROP SLICE_X2Y111 SITE_PIPS SITEPROP SLICE_X2Y111 SITE_TYPE SLICEM SITEPROP SLICE_X2Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y112 CLASS site SITEPROP SLICE_X2Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y112 IS_BONDED 0 SITEPROP SLICE_X2Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y112 IS_PAD 0 SITEPROP SLICE_X2Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y112 IS_RESERVED 0 SITEPROP SLICE_X2Y112 IS_TEST 0 SITEPROP SLICE_X2Y112 IS_USED 0 SITEPROP SLICE_X2Y112 MANUAL_ROUTING SITEPROP SLICE_X2Y112 NAME SLICE_X2Y112 SITEPROP SLICE_X2Y112 NUM_ARCS 153 SITEPROP SLICE_X2Y112 NUM_BELS 32 SITEPROP SLICE_X2Y112 NUM_INPUTS 37 SITEPROP SLICE_X2Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y112 NUM_PINS 50 SITEPROP SLICE_X2Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y112 PROHIBIT 0 SITEPROP SLICE_X2Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y112 RPM_X 21 SITEPROP SLICE_X2Y112 RPM_Y 224 SITEPROP SLICE_X2Y112 SITE_PIPS SITEPROP SLICE_X2Y112 SITE_TYPE SLICEM SITEPROP SLICE_X2Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y113 CLASS site SITEPROP SLICE_X2Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y113 IS_BONDED 0 SITEPROP SLICE_X2Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y113 IS_PAD 0 SITEPROP SLICE_X2Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y113 IS_RESERVED 0 SITEPROP SLICE_X2Y113 IS_TEST 0 SITEPROP SLICE_X2Y113 IS_USED 0 SITEPROP SLICE_X2Y113 MANUAL_ROUTING SITEPROP SLICE_X2Y113 NAME SLICE_X2Y113 SITEPROP SLICE_X2Y113 NUM_ARCS 153 SITEPROP SLICE_X2Y113 NUM_BELS 32 SITEPROP SLICE_X2Y113 NUM_INPUTS 37 SITEPROP SLICE_X2Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y113 NUM_PINS 50 SITEPROP SLICE_X2Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y113 PROHIBIT 0 SITEPROP SLICE_X2Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y113 RPM_X 21 SITEPROP SLICE_X2Y113 RPM_Y 226 SITEPROP SLICE_X2Y113 SITE_PIPS SITEPROP SLICE_X2Y113 SITE_TYPE SLICEM SITEPROP SLICE_X2Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y114 CLASS site SITEPROP SLICE_X2Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y114 IS_BONDED 0 SITEPROP SLICE_X2Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y114 IS_PAD 0 SITEPROP SLICE_X2Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y114 IS_RESERVED 0 SITEPROP SLICE_X2Y114 IS_TEST 0 SITEPROP SLICE_X2Y114 IS_USED 0 SITEPROP SLICE_X2Y114 MANUAL_ROUTING SITEPROP SLICE_X2Y114 NAME SLICE_X2Y114 SITEPROP SLICE_X2Y114 NUM_ARCS 153 SITEPROP SLICE_X2Y114 NUM_BELS 32 SITEPROP SLICE_X2Y114 NUM_INPUTS 37 SITEPROP SLICE_X2Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y114 NUM_PINS 50 SITEPROP SLICE_X2Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y114 PROHIBIT 0 SITEPROP SLICE_X2Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y114 RPM_X 21 SITEPROP SLICE_X2Y114 RPM_Y 228 SITEPROP SLICE_X2Y114 SITE_PIPS SITEPROP SLICE_X2Y114 SITE_TYPE SLICEM SITEPROP SLICE_X2Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y115 CLASS site SITEPROP SLICE_X2Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y115 IS_BONDED 0 SITEPROP SLICE_X2Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y115 IS_PAD 0 SITEPROP SLICE_X2Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y115 IS_RESERVED 0 SITEPROP SLICE_X2Y115 IS_TEST 0 SITEPROP SLICE_X2Y115 IS_USED 0 SITEPROP SLICE_X2Y115 MANUAL_ROUTING SITEPROP SLICE_X2Y115 NAME SLICE_X2Y115 SITEPROP SLICE_X2Y115 NUM_ARCS 153 SITEPROP SLICE_X2Y115 NUM_BELS 32 SITEPROP SLICE_X2Y115 NUM_INPUTS 37 SITEPROP SLICE_X2Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y115 NUM_PINS 50 SITEPROP SLICE_X2Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y115 PROHIBIT 0 SITEPROP SLICE_X2Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y115 RPM_X 21 SITEPROP SLICE_X2Y115 RPM_Y 230 SITEPROP SLICE_X2Y115 SITE_PIPS SITEPROP SLICE_X2Y115 SITE_TYPE SLICEM SITEPROP SLICE_X2Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y116 CLASS site SITEPROP SLICE_X2Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y116 IS_BONDED 0 SITEPROP SLICE_X2Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y116 IS_PAD 0 SITEPROP SLICE_X2Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y116 IS_RESERVED 0 SITEPROP SLICE_X2Y116 IS_TEST 0 SITEPROP SLICE_X2Y116 IS_USED 0 SITEPROP SLICE_X2Y116 MANUAL_ROUTING SITEPROP SLICE_X2Y116 NAME SLICE_X2Y116 SITEPROP SLICE_X2Y116 NUM_ARCS 153 SITEPROP SLICE_X2Y116 NUM_BELS 32 SITEPROP SLICE_X2Y116 NUM_INPUTS 37 SITEPROP SLICE_X2Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y116 NUM_PINS 50 SITEPROP SLICE_X2Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y116 PROHIBIT 0 SITEPROP SLICE_X2Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y116 RPM_X 21 SITEPROP SLICE_X2Y116 RPM_Y 232 SITEPROP SLICE_X2Y116 SITE_PIPS SITEPROP SLICE_X2Y116 SITE_TYPE SLICEM SITEPROP SLICE_X2Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y117 CLASS site SITEPROP SLICE_X2Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y117 IS_BONDED 0 SITEPROP SLICE_X2Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y117 IS_PAD 0 SITEPROP SLICE_X2Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y117 IS_RESERVED 0 SITEPROP SLICE_X2Y117 IS_TEST 0 SITEPROP SLICE_X2Y117 IS_USED 0 SITEPROP SLICE_X2Y117 MANUAL_ROUTING SITEPROP SLICE_X2Y117 NAME SLICE_X2Y117 SITEPROP SLICE_X2Y117 NUM_ARCS 153 SITEPROP SLICE_X2Y117 NUM_BELS 32 SITEPROP SLICE_X2Y117 NUM_INPUTS 37 SITEPROP SLICE_X2Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y117 NUM_PINS 50 SITEPROP SLICE_X2Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y117 PROHIBIT 0 SITEPROP SLICE_X2Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y117 RPM_X 21 SITEPROP SLICE_X2Y117 RPM_Y 234 SITEPROP SLICE_X2Y117 SITE_PIPS SITEPROP SLICE_X2Y117 SITE_TYPE SLICEM SITEPROP SLICE_X2Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y118 CLASS site SITEPROP SLICE_X2Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y118 IS_BONDED 0 SITEPROP SLICE_X2Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y118 IS_PAD 0 SITEPROP SLICE_X2Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y118 IS_RESERVED 0 SITEPROP SLICE_X2Y118 IS_TEST 0 SITEPROP SLICE_X2Y118 IS_USED 0 SITEPROP SLICE_X2Y118 MANUAL_ROUTING SITEPROP SLICE_X2Y118 NAME SLICE_X2Y118 SITEPROP SLICE_X2Y118 NUM_ARCS 153 SITEPROP SLICE_X2Y118 NUM_BELS 32 SITEPROP SLICE_X2Y118 NUM_INPUTS 37 SITEPROP SLICE_X2Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y118 NUM_PINS 50 SITEPROP SLICE_X2Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y118 PROHIBIT 0 SITEPROP SLICE_X2Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y118 RPM_X 21 SITEPROP SLICE_X2Y118 RPM_Y 236 SITEPROP SLICE_X2Y118 SITE_PIPS SITEPROP SLICE_X2Y118 SITE_TYPE SLICEM SITEPROP SLICE_X2Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y119 CLASS site SITEPROP SLICE_X2Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y119 IS_BONDED 0 SITEPROP SLICE_X2Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y119 IS_PAD 0 SITEPROP SLICE_X2Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y119 IS_RESERVED 0 SITEPROP SLICE_X2Y119 IS_TEST 0 SITEPROP SLICE_X2Y119 IS_USED 0 SITEPROP SLICE_X2Y119 MANUAL_ROUTING SITEPROP SLICE_X2Y119 NAME SLICE_X2Y119 SITEPROP SLICE_X2Y119 NUM_ARCS 153 SITEPROP SLICE_X2Y119 NUM_BELS 32 SITEPROP SLICE_X2Y119 NUM_INPUTS 37 SITEPROP SLICE_X2Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y119 NUM_PINS 50 SITEPROP SLICE_X2Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y119 PROHIBIT 0 SITEPROP SLICE_X2Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y119 RPM_X 21 SITEPROP SLICE_X2Y119 RPM_Y 238 SITEPROP SLICE_X2Y119 SITE_PIPS SITEPROP SLICE_X2Y119 SITE_TYPE SLICEM SITEPROP SLICE_X2Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y120 CLASS site SITEPROP SLICE_X2Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y120 IS_BONDED 0 SITEPROP SLICE_X2Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y120 IS_PAD 0 SITEPROP SLICE_X2Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y120 IS_RESERVED 0 SITEPROP SLICE_X2Y120 IS_TEST 0 SITEPROP SLICE_X2Y120 IS_USED 0 SITEPROP SLICE_X2Y120 MANUAL_ROUTING SITEPROP SLICE_X2Y120 NAME SLICE_X2Y120 SITEPROP SLICE_X2Y120 NUM_ARCS 153 SITEPROP SLICE_X2Y120 NUM_BELS 32 SITEPROP SLICE_X2Y120 NUM_INPUTS 37 SITEPROP SLICE_X2Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y120 NUM_PINS 50 SITEPROP SLICE_X2Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y120 PROHIBIT 0 SITEPROP SLICE_X2Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y120 RPM_X 21 SITEPROP SLICE_X2Y120 RPM_Y 240 SITEPROP SLICE_X2Y120 SITE_PIPS SITEPROP SLICE_X2Y120 SITE_TYPE SLICEM SITEPROP SLICE_X2Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y121 CLASS site SITEPROP SLICE_X2Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y121 IS_BONDED 0 SITEPROP SLICE_X2Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y121 IS_PAD 0 SITEPROP SLICE_X2Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y121 IS_RESERVED 0 SITEPROP SLICE_X2Y121 IS_TEST 0 SITEPROP SLICE_X2Y121 IS_USED 0 SITEPROP SLICE_X2Y121 MANUAL_ROUTING SITEPROP SLICE_X2Y121 NAME SLICE_X2Y121 SITEPROP SLICE_X2Y121 NUM_ARCS 153 SITEPROP SLICE_X2Y121 NUM_BELS 32 SITEPROP SLICE_X2Y121 NUM_INPUTS 37 SITEPROP SLICE_X2Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y121 NUM_PINS 50 SITEPROP SLICE_X2Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y121 PROHIBIT 0 SITEPROP SLICE_X2Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y121 RPM_X 21 SITEPROP SLICE_X2Y121 RPM_Y 242 SITEPROP SLICE_X2Y121 SITE_PIPS SITEPROP SLICE_X2Y121 SITE_TYPE SLICEM SITEPROP SLICE_X2Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y122 CLASS site SITEPROP SLICE_X2Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y122 IS_BONDED 0 SITEPROP SLICE_X2Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y122 IS_PAD 0 SITEPROP SLICE_X2Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y122 IS_RESERVED 0 SITEPROP SLICE_X2Y122 IS_TEST 0 SITEPROP SLICE_X2Y122 IS_USED 0 SITEPROP SLICE_X2Y122 MANUAL_ROUTING SITEPROP SLICE_X2Y122 NAME SLICE_X2Y122 SITEPROP SLICE_X2Y122 NUM_ARCS 153 SITEPROP SLICE_X2Y122 NUM_BELS 32 SITEPROP SLICE_X2Y122 NUM_INPUTS 37 SITEPROP SLICE_X2Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y122 NUM_PINS 50 SITEPROP SLICE_X2Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y122 PROHIBIT 0 SITEPROP SLICE_X2Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y122 RPM_X 21 SITEPROP SLICE_X2Y122 RPM_Y 244 SITEPROP SLICE_X2Y122 SITE_PIPS SITEPROP SLICE_X2Y122 SITE_TYPE SLICEM SITEPROP SLICE_X2Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y123 CLASS site SITEPROP SLICE_X2Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y123 IS_BONDED 0 SITEPROP SLICE_X2Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y123 IS_PAD 0 SITEPROP SLICE_X2Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y123 IS_RESERVED 0 SITEPROP SLICE_X2Y123 IS_TEST 0 SITEPROP SLICE_X2Y123 IS_USED 0 SITEPROP SLICE_X2Y123 MANUAL_ROUTING SITEPROP SLICE_X2Y123 NAME SLICE_X2Y123 SITEPROP SLICE_X2Y123 NUM_ARCS 153 SITEPROP SLICE_X2Y123 NUM_BELS 32 SITEPROP SLICE_X2Y123 NUM_INPUTS 37 SITEPROP SLICE_X2Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y123 NUM_PINS 50 SITEPROP SLICE_X2Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y123 PROHIBIT 0 SITEPROP SLICE_X2Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y123 RPM_X 21 SITEPROP SLICE_X2Y123 RPM_Y 246 SITEPROP SLICE_X2Y123 SITE_PIPS SITEPROP SLICE_X2Y123 SITE_TYPE SLICEM SITEPROP SLICE_X2Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y124 CLASS site SITEPROP SLICE_X2Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y124 IS_BONDED 0 SITEPROP SLICE_X2Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y124 IS_PAD 0 SITEPROP SLICE_X2Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y124 IS_RESERVED 0 SITEPROP SLICE_X2Y124 IS_TEST 0 SITEPROP SLICE_X2Y124 IS_USED 0 SITEPROP SLICE_X2Y124 MANUAL_ROUTING SITEPROP SLICE_X2Y124 NAME SLICE_X2Y124 SITEPROP SLICE_X2Y124 NUM_ARCS 153 SITEPROP SLICE_X2Y124 NUM_BELS 32 SITEPROP SLICE_X2Y124 NUM_INPUTS 37 SITEPROP SLICE_X2Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y124 NUM_PINS 50 SITEPROP SLICE_X2Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y124 PROHIBIT 0 SITEPROP SLICE_X2Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y124 RPM_X 21 SITEPROP SLICE_X2Y124 RPM_Y 248 SITEPROP SLICE_X2Y124 SITE_PIPS SITEPROP SLICE_X2Y124 SITE_TYPE SLICEM SITEPROP SLICE_X2Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y125 CLASS site SITEPROP SLICE_X2Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y125 IS_BONDED 0 SITEPROP SLICE_X2Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y125 IS_PAD 0 SITEPROP SLICE_X2Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y125 IS_RESERVED 0 SITEPROP SLICE_X2Y125 IS_TEST 0 SITEPROP SLICE_X2Y125 IS_USED 0 SITEPROP SLICE_X2Y125 MANUAL_ROUTING SITEPROP SLICE_X2Y125 NAME SLICE_X2Y125 SITEPROP SLICE_X2Y125 NUM_ARCS 153 SITEPROP SLICE_X2Y125 NUM_BELS 32 SITEPROP SLICE_X2Y125 NUM_INPUTS 37 SITEPROP SLICE_X2Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y125 NUM_PINS 50 SITEPROP SLICE_X2Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y125 PROHIBIT 0 SITEPROP SLICE_X2Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y125 RPM_X 21 SITEPROP SLICE_X2Y125 RPM_Y 250 SITEPROP SLICE_X2Y125 SITE_PIPS SITEPROP SLICE_X2Y125 SITE_TYPE SLICEM SITEPROP SLICE_X2Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y126 CLASS site SITEPROP SLICE_X2Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y126 IS_BONDED 0 SITEPROP SLICE_X2Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y126 IS_PAD 0 SITEPROP SLICE_X2Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y126 IS_RESERVED 0 SITEPROP SLICE_X2Y126 IS_TEST 0 SITEPROP SLICE_X2Y126 IS_USED 0 SITEPROP SLICE_X2Y126 MANUAL_ROUTING SITEPROP SLICE_X2Y126 NAME SLICE_X2Y126 SITEPROP SLICE_X2Y126 NUM_ARCS 153 SITEPROP SLICE_X2Y126 NUM_BELS 32 SITEPROP SLICE_X2Y126 NUM_INPUTS 37 SITEPROP SLICE_X2Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y126 NUM_PINS 50 SITEPROP SLICE_X2Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y126 PROHIBIT 0 SITEPROP SLICE_X2Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y126 RPM_X 21 SITEPROP SLICE_X2Y126 RPM_Y 252 SITEPROP SLICE_X2Y126 SITE_PIPS SITEPROP SLICE_X2Y126 SITE_TYPE SLICEM SITEPROP SLICE_X2Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y127 CLASS site SITEPROP SLICE_X2Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y127 IS_BONDED 0 SITEPROP SLICE_X2Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y127 IS_PAD 0 SITEPROP SLICE_X2Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y127 IS_RESERVED 0 SITEPROP SLICE_X2Y127 IS_TEST 0 SITEPROP SLICE_X2Y127 IS_USED 0 SITEPROP SLICE_X2Y127 MANUAL_ROUTING SITEPROP SLICE_X2Y127 NAME SLICE_X2Y127 SITEPROP SLICE_X2Y127 NUM_ARCS 153 SITEPROP SLICE_X2Y127 NUM_BELS 32 SITEPROP SLICE_X2Y127 NUM_INPUTS 37 SITEPROP SLICE_X2Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y127 NUM_PINS 50 SITEPROP SLICE_X2Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y127 PROHIBIT 0 SITEPROP SLICE_X2Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y127 RPM_X 21 SITEPROP SLICE_X2Y127 RPM_Y 254 SITEPROP SLICE_X2Y127 SITE_PIPS SITEPROP SLICE_X2Y127 SITE_TYPE SLICEM SITEPROP SLICE_X2Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y128 CLASS site SITEPROP SLICE_X2Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y128 IS_BONDED 0 SITEPROP SLICE_X2Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y128 IS_PAD 0 SITEPROP SLICE_X2Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y128 IS_RESERVED 0 SITEPROP SLICE_X2Y128 IS_TEST 0 SITEPROP SLICE_X2Y128 IS_USED 0 SITEPROP SLICE_X2Y128 MANUAL_ROUTING SITEPROP SLICE_X2Y128 NAME SLICE_X2Y128 SITEPROP SLICE_X2Y128 NUM_ARCS 153 SITEPROP SLICE_X2Y128 NUM_BELS 32 SITEPROP SLICE_X2Y128 NUM_INPUTS 37 SITEPROP SLICE_X2Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y128 NUM_PINS 50 SITEPROP SLICE_X2Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y128 PROHIBIT 0 SITEPROP SLICE_X2Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y128 RPM_X 21 SITEPROP SLICE_X2Y128 RPM_Y 256 SITEPROP SLICE_X2Y128 SITE_PIPS SITEPROP SLICE_X2Y128 SITE_TYPE SLICEM SITEPROP SLICE_X2Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y129 CLASS site SITEPROP SLICE_X2Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y129 IS_BONDED 0 SITEPROP SLICE_X2Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y129 IS_PAD 0 SITEPROP SLICE_X2Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y129 IS_RESERVED 0 SITEPROP SLICE_X2Y129 IS_TEST 0 SITEPROP SLICE_X2Y129 IS_USED 0 SITEPROP SLICE_X2Y129 MANUAL_ROUTING SITEPROP SLICE_X2Y129 NAME SLICE_X2Y129 SITEPROP SLICE_X2Y129 NUM_ARCS 153 SITEPROP SLICE_X2Y129 NUM_BELS 32 SITEPROP SLICE_X2Y129 NUM_INPUTS 37 SITEPROP SLICE_X2Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y129 NUM_PINS 50 SITEPROP SLICE_X2Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y129 PROHIBIT 0 SITEPROP SLICE_X2Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y129 RPM_X 21 SITEPROP SLICE_X2Y129 RPM_Y 258 SITEPROP SLICE_X2Y129 SITE_PIPS SITEPROP SLICE_X2Y129 SITE_TYPE SLICEM SITEPROP SLICE_X2Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y130 CLASS site SITEPROP SLICE_X2Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y130 IS_BONDED 0 SITEPROP SLICE_X2Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y130 IS_PAD 0 SITEPROP SLICE_X2Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y130 IS_RESERVED 0 SITEPROP SLICE_X2Y130 IS_TEST 0 SITEPROP SLICE_X2Y130 IS_USED 0 SITEPROP SLICE_X2Y130 MANUAL_ROUTING SITEPROP SLICE_X2Y130 NAME SLICE_X2Y130 SITEPROP SLICE_X2Y130 NUM_ARCS 153 SITEPROP SLICE_X2Y130 NUM_BELS 32 SITEPROP SLICE_X2Y130 NUM_INPUTS 37 SITEPROP SLICE_X2Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y130 NUM_PINS 50 SITEPROP SLICE_X2Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y130 PROHIBIT 0 SITEPROP SLICE_X2Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y130 RPM_X 21 SITEPROP SLICE_X2Y130 RPM_Y 260 SITEPROP SLICE_X2Y130 SITE_PIPS SITEPROP SLICE_X2Y130 SITE_TYPE SLICEM SITEPROP SLICE_X2Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y131 CLASS site SITEPROP SLICE_X2Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y131 IS_BONDED 0 SITEPROP SLICE_X2Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y131 IS_PAD 0 SITEPROP SLICE_X2Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y131 IS_RESERVED 0 SITEPROP SLICE_X2Y131 IS_TEST 0 SITEPROP SLICE_X2Y131 IS_USED 0 SITEPROP SLICE_X2Y131 MANUAL_ROUTING SITEPROP SLICE_X2Y131 NAME SLICE_X2Y131 SITEPROP SLICE_X2Y131 NUM_ARCS 153 SITEPROP SLICE_X2Y131 NUM_BELS 32 SITEPROP SLICE_X2Y131 NUM_INPUTS 37 SITEPROP SLICE_X2Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y131 NUM_PINS 50 SITEPROP SLICE_X2Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y131 PROHIBIT 0 SITEPROP SLICE_X2Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y131 RPM_X 21 SITEPROP SLICE_X2Y131 RPM_Y 262 SITEPROP SLICE_X2Y131 SITE_PIPS SITEPROP SLICE_X2Y131 SITE_TYPE SLICEM SITEPROP SLICE_X2Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y132 CLASS site SITEPROP SLICE_X2Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y132 IS_BONDED 0 SITEPROP SLICE_X2Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y132 IS_PAD 0 SITEPROP SLICE_X2Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y132 IS_RESERVED 0 SITEPROP SLICE_X2Y132 IS_TEST 0 SITEPROP SLICE_X2Y132 IS_USED 0 SITEPROP SLICE_X2Y132 MANUAL_ROUTING SITEPROP SLICE_X2Y132 NAME SLICE_X2Y132 SITEPROP SLICE_X2Y132 NUM_ARCS 153 SITEPROP SLICE_X2Y132 NUM_BELS 32 SITEPROP SLICE_X2Y132 NUM_INPUTS 37 SITEPROP SLICE_X2Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y132 NUM_PINS 50 SITEPROP SLICE_X2Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y132 PROHIBIT 0 SITEPROP SLICE_X2Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y132 RPM_X 21 SITEPROP SLICE_X2Y132 RPM_Y 264 SITEPROP SLICE_X2Y132 SITE_PIPS SITEPROP SLICE_X2Y132 SITE_TYPE SLICEM SITEPROP SLICE_X2Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y133 CLASS site SITEPROP SLICE_X2Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y133 IS_BONDED 0 SITEPROP SLICE_X2Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y133 IS_PAD 0 SITEPROP SLICE_X2Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y133 IS_RESERVED 0 SITEPROP SLICE_X2Y133 IS_TEST 0 SITEPROP SLICE_X2Y133 IS_USED 0 SITEPROP SLICE_X2Y133 MANUAL_ROUTING SITEPROP SLICE_X2Y133 NAME SLICE_X2Y133 SITEPROP SLICE_X2Y133 NUM_ARCS 153 SITEPROP SLICE_X2Y133 NUM_BELS 32 SITEPROP SLICE_X2Y133 NUM_INPUTS 37 SITEPROP SLICE_X2Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y133 NUM_PINS 50 SITEPROP SLICE_X2Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y133 PROHIBIT 0 SITEPROP SLICE_X2Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y133 RPM_X 21 SITEPROP SLICE_X2Y133 RPM_Y 266 SITEPROP SLICE_X2Y133 SITE_PIPS SITEPROP SLICE_X2Y133 SITE_TYPE SLICEM SITEPROP SLICE_X2Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y134 CLASS site SITEPROP SLICE_X2Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y134 IS_BONDED 0 SITEPROP SLICE_X2Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y134 IS_PAD 0 SITEPROP SLICE_X2Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y134 IS_RESERVED 0 SITEPROP SLICE_X2Y134 IS_TEST 0 SITEPROP SLICE_X2Y134 IS_USED 0 SITEPROP SLICE_X2Y134 MANUAL_ROUTING SITEPROP SLICE_X2Y134 NAME SLICE_X2Y134 SITEPROP SLICE_X2Y134 NUM_ARCS 153 SITEPROP SLICE_X2Y134 NUM_BELS 32 SITEPROP SLICE_X2Y134 NUM_INPUTS 37 SITEPROP SLICE_X2Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y134 NUM_PINS 50 SITEPROP SLICE_X2Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y134 PROHIBIT 0 SITEPROP SLICE_X2Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y134 RPM_X 21 SITEPROP SLICE_X2Y134 RPM_Y 268 SITEPROP SLICE_X2Y134 SITE_PIPS SITEPROP SLICE_X2Y134 SITE_TYPE SLICEM SITEPROP SLICE_X2Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y135 CLASS site SITEPROP SLICE_X2Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y135 IS_BONDED 0 SITEPROP SLICE_X2Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y135 IS_PAD 0 SITEPROP SLICE_X2Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y135 IS_RESERVED 0 SITEPROP SLICE_X2Y135 IS_TEST 0 SITEPROP SLICE_X2Y135 IS_USED 0 SITEPROP SLICE_X2Y135 MANUAL_ROUTING SITEPROP SLICE_X2Y135 NAME SLICE_X2Y135 SITEPROP SLICE_X2Y135 NUM_ARCS 153 SITEPROP SLICE_X2Y135 NUM_BELS 32 SITEPROP SLICE_X2Y135 NUM_INPUTS 37 SITEPROP SLICE_X2Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y135 NUM_PINS 50 SITEPROP SLICE_X2Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y135 PROHIBIT 0 SITEPROP SLICE_X2Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y135 RPM_X 21 SITEPROP SLICE_X2Y135 RPM_Y 270 SITEPROP SLICE_X2Y135 SITE_PIPS SITEPROP SLICE_X2Y135 SITE_TYPE SLICEM SITEPROP SLICE_X2Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y136 CLASS site SITEPROP SLICE_X2Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y136 IS_BONDED 0 SITEPROP SLICE_X2Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y136 IS_PAD 0 SITEPROP SLICE_X2Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y136 IS_RESERVED 0 SITEPROP SLICE_X2Y136 IS_TEST 0 SITEPROP SLICE_X2Y136 IS_USED 0 SITEPROP SLICE_X2Y136 MANUAL_ROUTING SITEPROP SLICE_X2Y136 NAME SLICE_X2Y136 SITEPROP SLICE_X2Y136 NUM_ARCS 153 SITEPROP SLICE_X2Y136 NUM_BELS 32 SITEPROP SLICE_X2Y136 NUM_INPUTS 37 SITEPROP SLICE_X2Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y136 NUM_PINS 50 SITEPROP SLICE_X2Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y136 PROHIBIT 0 SITEPROP SLICE_X2Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y136 RPM_X 21 SITEPROP SLICE_X2Y136 RPM_Y 272 SITEPROP SLICE_X2Y136 SITE_PIPS SITEPROP SLICE_X2Y136 SITE_TYPE SLICEM SITEPROP SLICE_X2Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y137 CLASS site SITEPROP SLICE_X2Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y137 IS_BONDED 0 SITEPROP SLICE_X2Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y137 IS_PAD 0 SITEPROP SLICE_X2Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y137 IS_RESERVED 0 SITEPROP SLICE_X2Y137 IS_TEST 0 SITEPROP SLICE_X2Y137 IS_USED 0 SITEPROP SLICE_X2Y137 MANUAL_ROUTING SITEPROP SLICE_X2Y137 NAME SLICE_X2Y137 SITEPROP SLICE_X2Y137 NUM_ARCS 153 SITEPROP SLICE_X2Y137 NUM_BELS 32 SITEPROP SLICE_X2Y137 NUM_INPUTS 37 SITEPROP SLICE_X2Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y137 NUM_PINS 50 SITEPROP SLICE_X2Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y137 PROHIBIT 0 SITEPROP SLICE_X2Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y137 RPM_X 21 SITEPROP SLICE_X2Y137 RPM_Y 274 SITEPROP SLICE_X2Y137 SITE_PIPS SITEPROP SLICE_X2Y137 SITE_TYPE SLICEM SITEPROP SLICE_X2Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y138 CLASS site SITEPROP SLICE_X2Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y138 IS_BONDED 0 SITEPROP SLICE_X2Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y138 IS_PAD 0 SITEPROP SLICE_X2Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y138 IS_RESERVED 0 SITEPROP SLICE_X2Y138 IS_TEST 0 SITEPROP SLICE_X2Y138 IS_USED 0 SITEPROP SLICE_X2Y138 MANUAL_ROUTING SITEPROP SLICE_X2Y138 NAME SLICE_X2Y138 SITEPROP SLICE_X2Y138 NUM_ARCS 153 SITEPROP SLICE_X2Y138 NUM_BELS 32 SITEPROP SLICE_X2Y138 NUM_INPUTS 37 SITEPROP SLICE_X2Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y138 NUM_PINS 50 SITEPROP SLICE_X2Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y138 PROHIBIT 0 SITEPROP SLICE_X2Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y138 RPM_X 21 SITEPROP SLICE_X2Y138 RPM_Y 276 SITEPROP SLICE_X2Y138 SITE_PIPS SITEPROP SLICE_X2Y138 SITE_TYPE SLICEM SITEPROP SLICE_X2Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y139 CLASS site SITEPROP SLICE_X2Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y139 IS_BONDED 0 SITEPROP SLICE_X2Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y139 IS_PAD 0 SITEPROP SLICE_X2Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y139 IS_RESERVED 0 SITEPROP SLICE_X2Y139 IS_TEST 0 SITEPROP SLICE_X2Y139 IS_USED 0 SITEPROP SLICE_X2Y139 MANUAL_ROUTING SITEPROP SLICE_X2Y139 NAME SLICE_X2Y139 SITEPROP SLICE_X2Y139 NUM_ARCS 153 SITEPROP SLICE_X2Y139 NUM_BELS 32 SITEPROP SLICE_X2Y139 NUM_INPUTS 37 SITEPROP SLICE_X2Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y139 NUM_PINS 50 SITEPROP SLICE_X2Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y139 PROHIBIT 0 SITEPROP SLICE_X2Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y139 RPM_X 21 SITEPROP SLICE_X2Y139 RPM_Y 278 SITEPROP SLICE_X2Y139 SITE_PIPS SITEPROP SLICE_X2Y139 SITE_TYPE SLICEM SITEPROP SLICE_X2Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y140 CLASS site SITEPROP SLICE_X2Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y140 IS_BONDED 0 SITEPROP SLICE_X2Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y140 IS_PAD 0 SITEPROP SLICE_X2Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y140 IS_RESERVED 0 SITEPROP SLICE_X2Y140 IS_TEST 0 SITEPROP SLICE_X2Y140 IS_USED 0 SITEPROP SLICE_X2Y140 MANUAL_ROUTING SITEPROP SLICE_X2Y140 NAME SLICE_X2Y140 SITEPROP SLICE_X2Y140 NUM_ARCS 153 SITEPROP SLICE_X2Y140 NUM_BELS 32 SITEPROP SLICE_X2Y140 NUM_INPUTS 37 SITEPROP SLICE_X2Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y140 NUM_PINS 50 SITEPROP SLICE_X2Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y140 PROHIBIT 0 SITEPROP SLICE_X2Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y140 RPM_X 21 SITEPROP SLICE_X2Y140 RPM_Y 280 SITEPROP SLICE_X2Y140 SITE_PIPS SITEPROP SLICE_X2Y140 SITE_TYPE SLICEM SITEPROP SLICE_X2Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y141 CLASS site SITEPROP SLICE_X2Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y141 IS_BONDED 0 SITEPROP SLICE_X2Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y141 IS_PAD 0 SITEPROP SLICE_X2Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y141 IS_RESERVED 0 SITEPROP SLICE_X2Y141 IS_TEST 0 SITEPROP SLICE_X2Y141 IS_USED 0 SITEPROP SLICE_X2Y141 MANUAL_ROUTING SITEPROP SLICE_X2Y141 NAME SLICE_X2Y141 SITEPROP SLICE_X2Y141 NUM_ARCS 153 SITEPROP SLICE_X2Y141 NUM_BELS 32 SITEPROP SLICE_X2Y141 NUM_INPUTS 37 SITEPROP SLICE_X2Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y141 NUM_PINS 50 SITEPROP SLICE_X2Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y141 PROHIBIT 0 SITEPROP SLICE_X2Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y141 RPM_X 21 SITEPROP SLICE_X2Y141 RPM_Y 282 SITEPROP SLICE_X2Y141 SITE_PIPS SITEPROP SLICE_X2Y141 SITE_TYPE SLICEM SITEPROP SLICE_X2Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y142 CLASS site SITEPROP SLICE_X2Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y142 IS_BONDED 0 SITEPROP SLICE_X2Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y142 IS_PAD 0 SITEPROP SLICE_X2Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y142 IS_RESERVED 0 SITEPROP SLICE_X2Y142 IS_TEST 0 SITEPROP SLICE_X2Y142 IS_USED 0 SITEPROP SLICE_X2Y142 MANUAL_ROUTING SITEPROP SLICE_X2Y142 NAME SLICE_X2Y142 SITEPROP SLICE_X2Y142 NUM_ARCS 153 SITEPROP SLICE_X2Y142 NUM_BELS 32 SITEPROP SLICE_X2Y142 NUM_INPUTS 37 SITEPROP SLICE_X2Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y142 NUM_PINS 50 SITEPROP SLICE_X2Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y142 PROHIBIT 0 SITEPROP SLICE_X2Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y142 RPM_X 21 SITEPROP SLICE_X2Y142 RPM_Y 284 SITEPROP SLICE_X2Y142 SITE_PIPS SITEPROP SLICE_X2Y142 SITE_TYPE SLICEM SITEPROP SLICE_X2Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y143 CLASS site SITEPROP SLICE_X2Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y143 IS_BONDED 0 SITEPROP SLICE_X2Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y143 IS_PAD 0 SITEPROP SLICE_X2Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y143 IS_RESERVED 0 SITEPROP SLICE_X2Y143 IS_TEST 0 SITEPROP SLICE_X2Y143 IS_USED 0 SITEPROP SLICE_X2Y143 MANUAL_ROUTING SITEPROP SLICE_X2Y143 NAME SLICE_X2Y143 SITEPROP SLICE_X2Y143 NUM_ARCS 153 SITEPROP SLICE_X2Y143 NUM_BELS 32 SITEPROP SLICE_X2Y143 NUM_INPUTS 37 SITEPROP SLICE_X2Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y143 NUM_PINS 50 SITEPROP SLICE_X2Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y143 PROHIBIT 0 SITEPROP SLICE_X2Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y143 RPM_X 21 SITEPROP SLICE_X2Y143 RPM_Y 286 SITEPROP SLICE_X2Y143 SITE_PIPS SITEPROP SLICE_X2Y143 SITE_TYPE SLICEM SITEPROP SLICE_X2Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y144 CLASS site SITEPROP SLICE_X2Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y144 IS_BONDED 0 SITEPROP SLICE_X2Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y144 IS_PAD 0 SITEPROP SLICE_X2Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y144 IS_RESERVED 0 SITEPROP SLICE_X2Y144 IS_TEST 0 SITEPROP SLICE_X2Y144 IS_USED 0 SITEPROP SLICE_X2Y144 MANUAL_ROUTING SITEPROP SLICE_X2Y144 NAME SLICE_X2Y144 SITEPROP SLICE_X2Y144 NUM_ARCS 153 SITEPROP SLICE_X2Y144 NUM_BELS 32 SITEPROP SLICE_X2Y144 NUM_INPUTS 37 SITEPROP SLICE_X2Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y144 NUM_PINS 50 SITEPROP SLICE_X2Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y144 PROHIBIT 0 SITEPROP SLICE_X2Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y144 RPM_X 21 SITEPROP SLICE_X2Y144 RPM_Y 288 SITEPROP SLICE_X2Y144 SITE_PIPS SITEPROP SLICE_X2Y144 SITE_TYPE SLICEM SITEPROP SLICE_X2Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y145 CLASS site SITEPROP SLICE_X2Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y145 IS_BONDED 0 SITEPROP SLICE_X2Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y145 IS_PAD 0 SITEPROP SLICE_X2Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y145 IS_RESERVED 0 SITEPROP SLICE_X2Y145 IS_TEST 0 SITEPROP SLICE_X2Y145 IS_USED 0 SITEPROP SLICE_X2Y145 MANUAL_ROUTING SITEPROP SLICE_X2Y145 NAME SLICE_X2Y145 SITEPROP SLICE_X2Y145 NUM_ARCS 153 SITEPROP SLICE_X2Y145 NUM_BELS 32 SITEPROP SLICE_X2Y145 NUM_INPUTS 37 SITEPROP SLICE_X2Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y145 NUM_PINS 50 SITEPROP SLICE_X2Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y145 PROHIBIT 0 SITEPROP SLICE_X2Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y145 RPM_X 21 SITEPROP SLICE_X2Y145 RPM_Y 290 SITEPROP SLICE_X2Y145 SITE_PIPS SITEPROP SLICE_X2Y145 SITE_TYPE SLICEM SITEPROP SLICE_X2Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y146 CLASS site SITEPROP SLICE_X2Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y146 IS_BONDED 0 SITEPROP SLICE_X2Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y146 IS_PAD 0 SITEPROP SLICE_X2Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y146 IS_RESERVED 0 SITEPROP SLICE_X2Y146 IS_TEST 0 SITEPROP SLICE_X2Y146 IS_USED 0 SITEPROP SLICE_X2Y146 MANUAL_ROUTING SITEPROP SLICE_X2Y146 NAME SLICE_X2Y146 SITEPROP SLICE_X2Y146 NUM_ARCS 153 SITEPROP SLICE_X2Y146 NUM_BELS 32 SITEPROP SLICE_X2Y146 NUM_INPUTS 37 SITEPROP SLICE_X2Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y146 NUM_PINS 50 SITEPROP SLICE_X2Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y146 PROHIBIT 0 SITEPROP SLICE_X2Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y146 RPM_X 21 SITEPROP SLICE_X2Y146 RPM_Y 292 SITEPROP SLICE_X2Y146 SITE_PIPS SITEPROP SLICE_X2Y146 SITE_TYPE SLICEM SITEPROP SLICE_X2Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y147 CLASS site SITEPROP SLICE_X2Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y147 IS_BONDED 0 SITEPROP SLICE_X2Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y147 IS_PAD 0 SITEPROP SLICE_X2Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y147 IS_RESERVED 0 SITEPROP SLICE_X2Y147 IS_TEST 0 SITEPROP SLICE_X2Y147 IS_USED 0 SITEPROP SLICE_X2Y147 MANUAL_ROUTING SITEPROP SLICE_X2Y147 NAME SLICE_X2Y147 SITEPROP SLICE_X2Y147 NUM_ARCS 153 SITEPROP SLICE_X2Y147 NUM_BELS 32 SITEPROP SLICE_X2Y147 NUM_INPUTS 37 SITEPROP SLICE_X2Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y147 NUM_PINS 50 SITEPROP SLICE_X2Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y147 PROHIBIT 0 SITEPROP SLICE_X2Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y147 RPM_X 21 SITEPROP SLICE_X2Y147 RPM_Y 294 SITEPROP SLICE_X2Y147 SITE_PIPS SITEPROP SLICE_X2Y147 SITE_TYPE SLICEM SITEPROP SLICE_X2Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y148 CLASS site SITEPROP SLICE_X2Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y148 IS_BONDED 0 SITEPROP SLICE_X2Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y148 IS_PAD 0 SITEPROP SLICE_X2Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y148 IS_RESERVED 0 SITEPROP SLICE_X2Y148 IS_TEST 0 SITEPROP SLICE_X2Y148 IS_USED 0 SITEPROP SLICE_X2Y148 MANUAL_ROUTING SITEPROP SLICE_X2Y148 NAME SLICE_X2Y148 SITEPROP SLICE_X2Y148 NUM_ARCS 153 SITEPROP SLICE_X2Y148 NUM_BELS 32 SITEPROP SLICE_X2Y148 NUM_INPUTS 37 SITEPROP SLICE_X2Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y148 NUM_PINS 50 SITEPROP SLICE_X2Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y148 PROHIBIT 0 SITEPROP SLICE_X2Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y148 RPM_X 21 SITEPROP SLICE_X2Y148 RPM_Y 296 SITEPROP SLICE_X2Y148 SITE_PIPS SITEPROP SLICE_X2Y148 SITE_TYPE SLICEM SITEPROP SLICE_X2Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X2Y149 CLASS site SITEPROP SLICE_X2Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X2Y149 IS_BONDED 0 SITEPROP SLICE_X2Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X2Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y149 IS_PAD 0 SITEPROP SLICE_X2Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X2Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X2Y149 IS_RESERVED 0 SITEPROP SLICE_X2Y149 IS_TEST 0 SITEPROP SLICE_X2Y149 IS_USED 0 SITEPROP SLICE_X2Y149 MANUAL_ROUTING SITEPROP SLICE_X2Y149 NAME SLICE_X2Y149 SITEPROP SLICE_X2Y149 NUM_ARCS 153 SITEPROP SLICE_X2Y149 NUM_BELS 32 SITEPROP SLICE_X2Y149 NUM_INPUTS 37 SITEPROP SLICE_X2Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X2Y149 NUM_PINS 50 SITEPROP SLICE_X2Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X2Y149 PROHIBIT 0 SITEPROP SLICE_X2Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X2Y149 RPM_X 21 SITEPROP SLICE_X2Y149 RPM_Y 298 SITEPROP SLICE_X2Y149 SITE_PIPS SITEPROP SLICE_X2Y149 SITE_TYPE SLICEM SITEPROP SLICE_X30Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y0 CLASS site SITEPROP SLICE_X30Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y0 IS_BONDED 0 SITEPROP SLICE_X30Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y0 IS_PAD 0 SITEPROP SLICE_X30Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y0 IS_RESERVED 0 SITEPROP SLICE_X30Y0 IS_TEST 0 SITEPROP SLICE_X30Y0 IS_USED 0 SITEPROP SLICE_X30Y0 MANUAL_ROUTING SITEPROP SLICE_X30Y0 NAME SLICE_X30Y0 SITEPROP SLICE_X30Y0 NUM_ARCS 153 SITEPROP SLICE_X30Y0 NUM_BELS 32 SITEPROP SLICE_X30Y0 NUM_INPUTS 37 SITEPROP SLICE_X30Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y0 NUM_PINS 50 SITEPROP SLICE_X30Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y0 PROHIBIT 0 SITEPROP SLICE_X30Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y0 RPM_X 85 SITEPROP SLICE_X30Y0 RPM_Y 0 SITEPROP SLICE_X30Y0 SITE_PIPS SITEPROP SLICE_X30Y0 SITE_TYPE SLICEM SITEPROP SLICE_X30Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y1 CLASS site SITEPROP SLICE_X30Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y1 IS_BONDED 0 SITEPROP SLICE_X30Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y1 IS_PAD 0 SITEPROP SLICE_X30Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y1 IS_RESERVED 0 SITEPROP SLICE_X30Y1 IS_TEST 0 SITEPROP SLICE_X30Y1 IS_USED 0 SITEPROP SLICE_X30Y1 MANUAL_ROUTING SITEPROP SLICE_X30Y1 NAME SLICE_X30Y1 SITEPROP SLICE_X30Y1 NUM_ARCS 153 SITEPROP SLICE_X30Y1 NUM_BELS 32 SITEPROP SLICE_X30Y1 NUM_INPUTS 37 SITEPROP SLICE_X30Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y1 NUM_PINS 50 SITEPROP SLICE_X30Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y1 PROHIBIT 0 SITEPROP SLICE_X30Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y1 RPM_X 85 SITEPROP SLICE_X30Y1 RPM_Y 2 SITEPROP SLICE_X30Y1 SITE_PIPS SITEPROP SLICE_X30Y1 SITE_TYPE SLICEM SITEPROP SLICE_X30Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y2 CLASS site SITEPROP SLICE_X30Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y2 IS_BONDED 0 SITEPROP SLICE_X30Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y2 IS_PAD 0 SITEPROP SLICE_X30Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y2 IS_RESERVED 0 SITEPROP SLICE_X30Y2 IS_TEST 0 SITEPROP SLICE_X30Y2 IS_USED 0 SITEPROP SLICE_X30Y2 MANUAL_ROUTING SITEPROP SLICE_X30Y2 NAME SLICE_X30Y2 SITEPROP SLICE_X30Y2 NUM_ARCS 153 SITEPROP SLICE_X30Y2 NUM_BELS 32 SITEPROP SLICE_X30Y2 NUM_INPUTS 37 SITEPROP SLICE_X30Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y2 NUM_PINS 50 SITEPROP SLICE_X30Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y2 PROHIBIT 0 SITEPROP SLICE_X30Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y2 RPM_X 85 SITEPROP SLICE_X30Y2 RPM_Y 4 SITEPROP SLICE_X30Y2 SITE_PIPS SITEPROP SLICE_X30Y2 SITE_TYPE SLICEM SITEPROP SLICE_X30Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y3 CLASS site SITEPROP SLICE_X30Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y3 IS_BONDED 0 SITEPROP SLICE_X30Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y3 IS_PAD 0 SITEPROP SLICE_X30Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y3 IS_RESERVED 0 SITEPROP SLICE_X30Y3 IS_TEST 0 SITEPROP SLICE_X30Y3 IS_USED 0 SITEPROP SLICE_X30Y3 MANUAL_ROUTING SITEPROP SLICE_X30Y3 NAME SLICE_X30Y3 SITEPROP SLICE_X30Y3 NUM_ARCS 153 SITEPROP SLICE_X30Y3 NUM_BELS 32 SITEPROP SLICE_X30Y3 NUM_INPUTS 37 SITEPROP SLICE_X30Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y3 NUM_PINS 50 SITEPROP SLICE_X30Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y3 PROHIBIT 0 SITEPROP SLICE_X30Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y3 RPM_X 85 SITEPROP SLICE_X30Y3 RPM_Y 6 SITEPROP SLICE_X30Y3 SITE_PIPS SITEPROP SLICE_X30Y3 SITE_TYPE SLICEM SITEPROP SLICE_X30Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y4 CLASS site SITEPROP SLICE_X30Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y4 IS_BONDED 0 SITEPROP SLICE_X30Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y4 IS_PAD 0 SITEPROP SLICE_X30Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y4 IS_RESERVED 0 SITEPROP SLICE_X30Y4 IS_TEST 0 SITEPROP SLICE_X30Y4 IS_USED 0 SITEPROP SLICE_X30Y4 MANUAL_ROUTING SITEPROP SLICE_X30Y4 NAME SLICE_X30Y4 SITEPROP SLICE_X30Y4 NUM_ARCS 153 SITEPROP SLICE_X30Y4 NUM_BELS 32 SITEPROP SLICE_X30Y4 NUM_INPUTS 37 SITEPROP SLICE_X30Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y4 NUM_PINS 50 SITEPROP SLICE_X30Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y4 PROHIBIT 0 SITEPROP SLICE_X30Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y4 RPM_X 85 SITEPROP SLICE_X30Y4 RPM_Y 8 SITEPROP SLICE_X30Y4 SITE_PIPS SITEPROP SLICE_X30Y4 SITE_TYPE SLICEM SITEPROP SLICE_X30Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y5 CLASS site SITEPROP SLICE_X30Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y5 IS_BONDED 0 SITEPROP SLICE_X30Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y5 IS_PAD 0 SITEPROP SLICE_X30Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y5 IS_RESERVED 0 SITEPROP SLICE_X30Y5 IS_TEST 0 SITEPROP SLICE_X30Y5 IS_USED 0 SITEPROP SLICE_X30Y5 MANUAL_ROUTING SITEPROP SLICE_X30Y5 NAME SLICE_X30Y5 SITEPROP SLICE_X30Y5 NUM_ARCS 153 SITEPROP SLICE_X30Y5 NUM_BELS 32 SITEPROP SLICE_X30Y5 NUM_INPUTS 37 SITEPROP SLICE_X30Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y5 NUM_PINS 50 SITEPROP SLICE_X30Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y5 PROHIBIT 0 SITEPROP SLICE_X30Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y5 RPM_X 85 SITEPROP SLICE_X30Y5 RPM_Y 10 SITEPROP SLICE_X30Y5 SITE_PIPS SITEPROP SLICE_X30Y5 SITE_TYPE SLICEM SITEPROP SLICE_X30Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y6 CLASS site SITEPROP SLICE_X30Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y6 IS_BONDED 0 SITEPROP SLICE_X30Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y6 IS_PAD 0 SITEPROP SLICE_X30Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y6 IS_RESERVED 0 SITEPROP SLICE_X30Y6 IS_TEST 0 SITEPROP SLICE_X30Y6 IS_USED 0 SITEPROP SLICE_X30Y6 MANUAL_ROUTING SITEPROP SLICE_X30Y6 NAME SLICE_X30Y6 SITEPROP SLICE_X30Y6 NUM_ARCS 153 SITEPROP SLICE_X30Y6 NUM_BELS 32 SITEPROP SLICE_X30Y6 NUM_INPUTS 37 SITEPROP SLICE_X30Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y6 NUM_PINS 50 SITEPROP SLICE_X30Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y6 PROHIBIT 0 SITEPROP SLICE_X30Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y6 RPM_X 85 SITEPROP SLICE_X30Y6 RPM_Y 12 SITEPROP SLICE_X30Y6 SITE_PIPS SITEPROP SLICE_X30Y6 SITE_TYPE SLICEM SITEPROP SLICE_X30Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y7 CLASS site SITEPROP SLICE_X30Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y7 IS_BONDED 0 SITEPROP SLICE_X30Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y7 IS_PAD 0 SITEPROP SLICE_X30Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y7 IS_RESERVED 0 SITEPROP SLICE_X30Y7 IS_TEST 0 SITEPROP SLICE_X30Y7 IS_USED 0 SITEPROP SLICE_X30Y7 MANUAL_ROUTING SITEPROP SLICE_X30Y7 NAME SLICE_X30Y7 SITEPROP SLICE_X30Y7 NUM_ARCS 153 SITEPROP SLICE_X30Y7 NUM_BELS 32 SITEPROP SLICE_X30Y7 NUM_INPUTS 37 SITEPROP SLICE_X30Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y7 NUM_PINS 50 SITEPROP SLICE_X30Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y7 PROHIBIT 0 SITEPROP SLICE_X30Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y7 RPM_X 85 SITEPROP SLICE_X30Y7 RPM_Y 14 SITEPROP SLICE_X30Y7 SITE_PIPS SITEPROP SLICE_X30Y7 SITE_TYPE SLICEM SITEPROP SLICE_X30Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y8 CLASS site SITEPROP SLICE_X30Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y8 IS_BONDED 0 SITEPROP SLICE_X30Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y8 IS_PAD 0 SITEPROP SLICE_X30Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y8 IS_RESERVED 0 SITEPROP SLICE_X30Y8 IS_TEST 0 SITEPROP SLICE_X30Y8 IS_USED 0 SITEPROP SLICE_X30Y8 MANUAL_ROUTING SITEPROP SLICE_X30Y8 NAME SLICE_X30Y8 SITEPROP SLICE_X30Y8 NUM_ARCS 153 SITEPROP SLICE_X30Y8 NUM_BELS 32 SITEPROP SLICE_X30Y8 NUM_INPUTS 37 SITEPROP SLICE_X30Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y8 NUM_PINS 50 SITEPROP SLICE_X30Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y8 PROHIBIT 0 SITEPROP SLICE_X30Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y8 RPM_X 85 SITEPROP SLICE_X30Y8 RPM_Y 16 SITEPROP SLICE_X30Y8 SITE_PIPS SITEPROP SLICE_X30Y8 SITE_TYPE SLICEM SITEPROP SLICE_X30Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y9 CLASS site SITEPROP SLICE_X30Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y9 IS_BONDED 0 SITEPROP SLICE_X30Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y9 IS_PAD 0 SITEPROP SLICE_X30Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y9 IS_RESERVED 0 SITEPROP SLICE_X30Y9 IS_TEST 0 SITEPROP SLICE_X30Y9 IS_USED 0 SITEPROP SLICE_X30Y9 MANUAL_ROUTING SITEPROP SLICE_X30Y9 NAME SLICE_X30Y9 SITEPROP SLICE_X30Y9 NUM_ARCS 153 SITEPROP SLICE_X30Y9 NUM_BELS 32 SITEPROP SLICE_X30Y9 NUM_INPUTS 37 SITEPROP SLICE_X30Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y9 NUM_PINS 50 SITEPROP SLICE_X30Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y9 PROHIBIT 0 SITEPROP SLICE_X30Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y9 RPM_X 85 SITEPROP SLICE_X30Y9 RPM_Y 18 SITEPROP SLICE_X30Y9 SITE_PIPS SITEPROP SLICE_X30Y9 SITE_TYPE SLICEM SITEPROP SLICE_X30Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y10 CLASS site SITEPROP SLICE_X30Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y10 IS_BONDED 0 SITEPROP SLICE_X30Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y10 IS_PAD 0 SITEPROP SLICE_X30Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y10 IS_RESERVED 0 SITEPROP SLICE_X30Y10 IS_TEST 0 SITEPROP SLICE_X30Y10 IS_USED 0 SITEPROP SLICE_X30Y10 MANUAL_ROUTING SITEPROP SLICE_X30Y10 NAME SLICE_X30Y10 SITEPROP SLICE_X30Y10 NUM_ARCS 153 SITEPROP SLICE_X30Y10 NUM_BELS 32 SITEPROP SLICE_X30Y10 NUM_INPUTS 37 SITEPROP SLICE_X30Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y10 NUM_PINS 50 SITEPROP SLICE_X30Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y10 PROHIBIT 0 SITEPROP SLICE_X30Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y10 RPM_X 85 SITEPROP SLICE_X30Y10 RPM_Y 20 SITEPROP SLICE_X30Y10 SITE_PIPS SITEPROP SLICE_X30Y10 SITE_TYPE SLICEM SITEPROP SLICE_X30Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y11 CLASS site SITEPROP SLICE_X30Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y11 IS_BONDED 0 SITEPROP SLICE_X30Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y11 IS_PAD 0 SITEPROP SLICE_X30Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y11 IS_RESERVED 0 SITEPROP SLICE_X30Y11 IS_TEST 0 SITEPROP SLICE_X30Y11 IS_USED 0 SITEPROP SLICE_X30Y11 MANUAL_ROUTING SITEPROP SLICE_X30Y11 NAME SLICE_X30Y11 SITEPROP SLICE_X30Y11 NUM_ARCS 153 SITEPROP SLICE_X30Y11 NUM_BELS 32 SITEPROP SLICE_X30Y11 NUM_INPUTS 37 SITEPROP SLICE_X30Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y11 NUM_PINS 50 SITEPROP SLICE_X30Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y11 PROHIBIT 0 SITEPROP SLICE_X30Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y11 RPM_X 85 SITEPROP SLICE_X30Y11 RPM_Y 22 SITEPROP SLICE_X30Y11 SITE_PIPS SITEPROP SLICE_X30Y11 SITE_TYPE SLICEM SITEPROP SLICE_X30Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y12 CLASS site SITEPROP SLICE_X30Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y12 IS_BONDED 0 SITEPROP SLICE_X30Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y12 IS_PAD 0 SITEPROP SLICE_X30Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y12 IS_RESERVED 0 SITEPROP SLICE_X30Y12 IS_TEST 0 SITEPROP SLICE_X30Y12 IS_USED 0 SITEPROP SLICE_X30Y12 MANUAL_ROUTING SITEPROP SLICE_X30Y12 NAME SLICE_X30Y12 SITEPROP SLICE_X30Y12 NUM_ARCS 153 SITEPROP SLICE_X30Y12 NUM_BELS 32 SITEPROP SLICE_X30Y12 NUM_INPUTS 37 SITEPROP SLICE_X30Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y12 NUM_PINS 50 SITEPROP SLICE_X30Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y12 PROHIBIT 0 SITEPROP SLICE_X30Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y12 RPM_X 85 SITEPROP SLICE_X30Y12 RPM_Y 24 SITEPROP SLICE_X30Y12 SITE_PIPS SITEPROP SLICE_X30Y12 SITE_TYPE SLICEM SITEPROP SLICE_X30Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y13 CLASS site SITEPROP SLICE_X30Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y13 IS_BONDED 0 SITEPROP SLICE_X30Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y13 IS_PAD 0 SITEPROP SLICE_X30Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y13 IS_RESERVED 0 SITEPROP SLICE_X30Y13 IS_TEST 0 SITEPROP SLICE_X30Y13 IS_USED 0 SITEPROP SLICE_X30Y13 MANUAL_ROUTING SITEPROP SLICE_X30Y13 NAME SLICE_X30Y13 SITEPROP SLICE_X30Y13 NUM_ARCS 153 SITEPROP SLICE_X30Y13 NUM_BELS 32 SITEPROP SLICE_X30Y13 NUM_INPUTS 37 SITEPROP SLICE_X30Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y13 NUM_PINS 50 SITEPROP SLICE_X30Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y13 PROHIBIT 0 SITEPROP SLICE_X30Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y13 RPM_X 85 SITEPROP SLICE_X30Y13 RPM_Y 26 SITEPROP SLICE_X30Y13 SITE_PIPS SITEPROP SLICE_X30Y13 SITE_TYPE SLICEM SITEPROP SLICE_X30Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y14 CLASS site SITEPROP SLICE_X30Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y14 IS_BONDED 0 SITEPROP SLICE_X30Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y14 IS_PAD 0 SITEPROP SLICE_X30Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y14 IS_RESERVED 0 SITEPROP SLICE_X30Y14 IS_TEST 0 SITEPROP SLICE_X30Y14 IS_USED 0 SITEPROP SLICE_X30Y14 MANUAL_ROUTING SITEPROP SLICE_X30Y14 NAME SLICE_X30Y14 SITEPROP SLICE_X30Y14 NUM_ARCS 153 SITEPROP SLICE_X30Y14 NUM_BELS 32 SITEPROP SLICE_X30Y14 NUM_INPUTS 37 SITEPROP SLICE_X30Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y14 NUM_PINS 50 SITEPROP SLICE_X30Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y14 PROHIBIT 0 SITEPROP SLICE_X30Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y14 RPM_X 85 SITEPROP SLICE_X30Y14 RPM_Y 28 SITEPROP SLICE_X30Y14 SITE_PIPS SITEPROP SLICE_X30Y14 SITE_TYPE SLICEM SITEPROP SLICE_X30Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y15 CLASS site SITEPROP SLICE_X30Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y15 IS_BONDED 0 SITEPROP SLICE_X30Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y15 IS_PAD 0 SITEPROP SLICE_X30Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y15 IS_RESERVED 0 SITEPROP SLICE_X30Y15 IS_TEST 0 SITEPROP SLICE_X30Y15 IS_USED 0 SITEPROP SLICE_X30Y15 MANUAL_ROUTING SITEPROP SLICE_X30Y15 NAME SLICE_X30Y15 SITEPROP SLICE_X30Y15 NUM_ARCS 153 SITEPROP SLICE_X30Y15 NUM_BELS 32 SITEPROP SLICE_X30Y15 NUM_INPUTS 37 SITEPROP SLICE_X30Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y15 NUM_PINS 50 SITEPROP SLICE_X30Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y15 PROHIBIT 0 SITEPROP SLICE_X30Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y15 RPM_X 85 SITEPROP SLICE_X30Y15 RPM_Y 30 SITEPROP SLICE_X30Y15 SITE_PIPS SITEPROP SLICE_X30Y15 SITE_TYPE SLICEM SITEPROP SLICE_X30Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y16 CLASS site SITEPROP SLICE_X30Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y16 IS_BONDED 0 SITEPROP SLICE_X30Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y16 IS_PAD 0 SITEPROP SLICE_X30Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y16 IS_RESERVED 0 SITEPROP SLICE_X30Y16 IS_TEST 0 SITEPROP SLICE_X30Y16 IS_USED 0 SITEPROP SLICE_X30Y16 MANUAL_ROUTING SITEPROP SLICE_X30Y16 NAME SLICE_X30Y16 SITEPROP SLICE_X30Y16 NUM_ARCS 153 SITEPROP SLICE_X30Y16 NUM_BELS 32 SITEPROP SLICE_X30Y16 NUM_INPUTS 37 SITEPROP SLICE_X30Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y16 NUM_PINS 50 SITEPROP SLICE_X30Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y16 PROHIBIT 0 SITEPROP SLICE_X30Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y16 RPM_X 85 SITEPROP SLICE_X30Y16 RPM_Y 32 SITEPROP SLICE_X30Y16 SITE_PIPS SITEPROP SLICE_X30Y16 SITE_TYPE SLICEM SITEPROP SLICE_X30Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y17 CLASS site SITEPROP SLICE_X30Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y17 IS_BONDED 0 SITEPROP SLICE_X30Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y17 IS_PAD 0 SITEPROP SLICE_X30Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y17 IS_RESERVED 0 SITEPROP SLICE_X30Y17 IS_TEST 0 SITEPROP SLICE_X30Y17 IS_USED 0 SITEPROP SLICE_X30Y17 MANUAL_ROUTING SITEPROP SLICE_X30Y17 NAME SLICE_X30Y17 SITEPROP SLICE_X30Y17 NUM_ARCS 153 SITEPROP SLICE_X30Y17 NUM_BELS 32 SITEPROP SLICE_X30Y17 NUM_INPUTS 37 SITEPROP SLICE_X30Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y17 NUM_PINS 50 SITEPROP SLICE_X30Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y17 PROHIBIT 0 SITEPROP SLICE_X30Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y17 RPM_X 85 SITEPROP SLICE_X30Y17 RPM_Y 34 SITEPROP SLICE_X30Y17 SITE_PIPS SITEPROP SLICE_X30Y17 SITE_TYPE SLICEM SITEPROP SLICE_X30Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y18 CLASS site SITEPROP SLICE_X30Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y18 IS_BONDED 0 SITEPROP SLICE_X30Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y18 IS_PAD 0 SITEPROP SLICE_X30Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y18 IS_RESERVED 0 SITEPROP SLICE_X30Y18 IS_TEST 0 SITEPROP SLICE_X30Y18 IS_USED 0 SITEPROP SLICE_X30Y18 MANUAL_ROUTING SITEPROP SLICE_X30Y18 NAME SLICE_X30Y18 SITEPROP SLICE_X30Y18 NUM_ARCS 153 SITEPROP SLICE_X30Y18 NUM_BELS 32 SITEPROP SLICE_X30Y18 NUM_INPUTS 37 SITEPROP SLICE_X30Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y18 NUM_PINS 50 SITEPROP SLICE_X30Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y18 PROHIBIT 0 SITEPROP SLICE_X30Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y18 RPM_X 85 SITEPROP SLICE_X30Y18 RPM_Y 36 SITEPROP SLICE_X30Y18 SITE_PIPS SITEPROP SLICE_X30Y18 SITE_TYPE SLICEM SITEPROP SLICE_X30Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y19 CLASS site SITEPROP SLICE_X30Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y19 IS_BONDED 0 SITEPROP SLICE_X30Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y19 IS_PAD 0 SITEPROP SLICE_X30Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y19 IS_RESERVED 0 SITEPROP SLICE_X30Y19 IS_TEST 0 SITEPROP SLICE_X30Y19 IS_USED 0 SITEPROP SLICE_X30Y19 MANUAL_ROUTING SITEPROP SLICE_X30Y19 NAME SLICE_X30Y19 SITEPROP SLICE_X30Y19 NUM_ARCS 153 SITEPROP SLICE_X30Y19 NUM_BELS 32 SITEPROP SLICE_X30Y19 NUM_INPUTS 37 SITEPROP SLICE_X30Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y19 NUM_PINS 50 SITEPROP SLICE_X30Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y19 PROHIBIT 0 SITEPROP SLICE_X30Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y19 RPM_X 85 SITEPROP SLICE_X30Y19 RPM_Y 38 SITEPROP SLICE_X30Y19 SITE_PIPS SITEPROP SLICE_X30Y19 SITE_TYPE SLICEM SITEPROP SLICE_X30Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y20 CLASS site SITEPROP SLICE_X30Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y20 IS_BONDED 0 SITEPROP SLICE_X30Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y20 IS_PAD 0 SITEPROP SLICE_X30Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y20 IS_RESERVED 0 SITEPROP SLICE_X30Y20 IS_TEST 0 SITEPROP SLICE_X30Y20 IS_USED 0 SITEPROP SLICE_X30Y20 MANUAL_ROUTING SITEPROP SLICE_X30Y20 NAME SLICE_X30Y20 SITEPROP SLICE_X30Y20 NUM_ARCS 153 SITEPROP SLICE_X30Y20 NUM_BELS 32 SITEPROP SLICE_X30Y20 NUM_INPUTS 37 SITEPROP SLICE_X30Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y20 NUM_PINS 50 SITEPROP SLICE_X30Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y20 PROHIBIT 0 SITEPROP SLICE_X30Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y20 RPM_X 85 SITEPROP SLICE_X30Y20 RPM_Y 40 SITEPROP SLICE_X30Y20 SITE_PIPS SITEPROP SLICE_X30Y20 SITE_TYPE SLICEM SITEPROP SLICE_X30Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y21 CLASS site SITEPROP SLICE_X30Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y21 IS_BONDED 0 SITEPROP SLICE_X30Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y21 IS_PAD 0 SITEPROP SLICE_X30Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y21 IS_RESERVED 0 SITEPROP SLICE_X30Y21 IS_TEST 0 SITEPROP SLICE_X30Y21 IS_USED 0 SITEPROP SLICE_X30Y21 MANUAL_ROUTING SITEPROP SLICE_X30Y21 NAME SLICE_X30Y21 SITEPROP SLICE_X30Y21 NUM_ARCS 153 SITEPROP SLICE_X30Y21 NUM_BELS 32 SITEPROP SLICE_X30Y21 NUM_INPUTS 37 SITEPROP SLICE_X30Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y21 NUM_PINS 50 SITEPROP SLICE_X30Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y21 PROHIBIT 0 SITEPROP SLICE_X30Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y21 RPM_X 85 SITEPROP SLICE_X30Y21 RPM_Y 42 SITEPROP SLICE_X30Y21 SITE_PIPS SITEPROP SLICE_X30Y21 SITE_TYPE SLICEM SITEPROP SLICE_X30Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y22 CLASS site SITEPROP SLICE_X30Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y22 IS_BONDED 0 SITEPROP SLICE_X30Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y22 IS_PAD 0 SITEPROP SLICE_X30Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y22 IS_RESERVED 0 SITEPROP SLICE_X30Y22 IS_TEST 0 SITEPROP SLICE_X30Y22 IS_USED 0 SITEPROP SLICE_X30Y22 MANUAL_ROUTING SITEPROP SLICE_X30Y22 NAME SLICE_X30Y22 SITEPROP SLICE_X30Y22 NUM_ARCS 153 SITEPROP SLICE_X30Y22 NUM_BELS 32 SITEPROP SLICE_X30Y22 NUM_INPUTS 37 SITEPROP SLICE_X30Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y22 NUM_PINS 50 SITEPROP SLICE_X30Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y22 PROHIBIT 0 SITEPROP SLICE_X30Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y22 RPM_X 85 SITEPROP SLICE_X30Y22 RPM_Y 44 SITEPROP SLICE_X30Y22 SITE_PIPS SITEPROP SLICE_X30Y22 SITE_TYPE SLICEM SITEPROP SLICE_X30Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y23 CLASS site SITEPROP SLICE_X30Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y23 IS_BONDED 0 SITEPROP SLICE_X30Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y23 IS_PAD 0 SITEPROP SLICE_X30Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y23 IS_RESERVED 0 SITEPROP SLICE_X30Y23 IS_TEST 0 SITEPROP SLICE_X30Y23 IS_USED 0 SITEPROP SLICE_X30Y23 MANUAL_ROUTING SITEPROP SLICE_X30Y23 NAME SLICE_X30Y23 SITEPROP SLICE_X30Y23 NUM_ARCS 153 SITEPROP SLICE_X30Y23 NUM_BELS 32 SITEPROP SLICE_X30Y23 NUM_INPUTS 37 SITEPROP SLICE_X30Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y23 NUM_PINS 50 SITEPROP SLICE_X30Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y23 PROHIBIT 0 SITEPROP SLICE_X30Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y23 RPM_X 85 SITEPROP SLICE_X30Y23 RPM_Y 46 SITEPROP SLICE_X30Y23 SITE_PIPS SITEPROP SLICE_X30Y23 SITE_TYPE SLICEM SITEPROP SLICE_X30Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y24 CLASS site SITEPROP SLICE_X30Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y24 IS_BONDED 0 SITEPROP SLICE_X30Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y24 IS_PAD 0 SITEPROP SLICE_X30Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y24 IS_RESERVED 0 SITEPROP SLICE_X30Y24 IS_TEST 0 SITEPROP SLICE_X30Y24 IS_USED 0 SITEPROP SLICE_X30Y24 MANUAL_ROUTING SITEPROP SLICE_X30Y24 NAME SLICE_X30Y24 SITEPROP SLICE_X30Y24 NUM_ARCS 153 SITEPROP SLICE_X30Y24 NUM_BELS 32 SITEPROP SLICE_X30Y24 NUM_INPUTS 37 SITEPROP SLICE_X30Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y24 NUM_PINS 50 SITEPROP SLICE_X30Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y24 PROHIBIT 0 SITEPROP SLICE_X30Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y24 RPM_X 85 SITEPROP SLICE_X30Y24 RPM_Y 48 SITEPROP SLICE_X30Y24 SITE_PIPS SITEPROP SLICE_X30Y24 SITE_TYPE SLICEM SITEPROP SLICE_X30Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y25 CLASS site SITEPROP SLICE_X30Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y25 IS_BONDED 0 SITEPROP SLICE_X30Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y25 IS_PAD 0 SITEPROP SLICE_X30Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y25 IS_RESERVED 0 SITEPROP SLICE_X30Y25 IS_TEST 0 SITEPROP SLICE_X30Y25 IS_USED 0 SITEPROP SLICE_X30Y25 MANUAL_ROUTING SITEPROP SLICE_X30Y25 NAME SLICE_X30Y25 SITEPROP SLICE_X30Y25 NUM_ARCS 153 SITEPROP SLICE_X30Y25 NUM_BELS 32 SITEPROP SLICE_X30Y25 NUM_INPUTS 37 SITEPROP SLICE_X30Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y25 NUM_PINS 50 SITEPROP SLICE_X30Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y25 PROHIBIT 0 SITEPROP SLICE_X30Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y25 RPM_X 85 SITEPROP SLICE_X30Y25 RPM_Y 50 SITEPROP SLICE_X30Y25 SITE_PIPS SITEPROP SLICE_X30Y25 SITE_TYPE SLICEM SITEPROP SLICE_X30Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y26 CLASS site SITEPROP SLICE_X30Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y26 IS_BONDED 0 SITEPROP SLICE_X30Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y26 IS_PAD 0 SITEPROP SLICE_X30Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y26 IS_RESERVED 0 SITEPROP SLICE_X30Y26 IS_TEST 0 SITEPROP SLICE_X30Y26 IS_USED 0 SITEPROP SLICE_X30Y26 MANUAL_ROUTING SITEPROP SLICE_X30Y26 NAME SLICE_X30Y26 SITEPROP SLICE_X30Y26 NUM_ARCS 153 SITEPROP SLICE_X30Y26 NUM_BELS 32 SITEPROP SLICE_X30Y26 NUM_INPUTS 37 SITEPROP SLICE_X30Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y26 NUM_PINS 50 SITEPROP SLICE_X30Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y26 PROHIBIT 0 SITEPROP SLICE_X30Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y26 RPM_X 85 SITEPROP SLICE_X30Y26 RPM_Y 52 SITEPROP SLICE_X30Y26 SITE_PIPS SITEPROP SLICE_X30Y26 SITE_TYPE SLICEM SITEPROP SLICE_X30Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y27 CLASS site SITEPROP SLICE_X30Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y27 IS_BONDED 0 SITEPROP SLICE_X30Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y27 IS_PAD 0 SITEPROP SLICE_X30Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y27 IS_RESERVED 0 SITEPROP SLICE_X30Y27 IS_TEST 0 SITEPROP SLICE_X30Y27 IS_USED 0 SITEPROP SLICE_X30Y27 MANUAL_ROUTING SITEPROP SLICE_X30Y27 NAME SLICE_X30Y27 SITEPROP SLICE_X30Y27 NUM_ARCS 153 SITEPROP SLICE_X30Y27 NUM_BELS 32 SITEPROP SLICE_X30Y27 NUM_INPUTS 37 SITEPROP SLICE_X30Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y27 NUM_PINS 50 SITEPROP SLICE_X30Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y27 PROHIBIT 0 SITEPROP SLICE_X30Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y27 RPM_X 85 SITEPROP SLICE_X30Y27 RPM_Y 54 SITEPROP SLICE_X30Y27 SITE_PIPS SITEPROP SLICE_X30Y27 SITE_TYPE SLICEM SITEPROP SLICE_X30Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y28 CLASS site SITEPROP SLICE_X30Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y28 IS_BONDED 0 SITEPROP SLICE_X30Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y28 IS_PAD 0 SITEPROP SLICE_X30Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y28 IS_RESERVED 0 SITEPROP SLICE_X30Y28 IS_TEST 0 SITEPROP SLICE_X30Y28 IS_USED 0 SITEPROP SLICE_X30Y28 MANUAL_ROUTING SITEPROP SLICE_X30Y28 NAME SLICE_X30Y28 SITEPROP SLICE_X30Y28 NUM_ARCS 153 SITEPROP SLICE_X30Y28 NUM_BELS 32 SITEPROP SLICE_X30Y28 NUM_INPUTS 37 SITEPROP SLICE_X30Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y28 NUM_PINS 50 SITEPROP SLICE_X30Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y28 PROHIBIT 0 SITEPROP SLICE_X30Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y28 RPM_X 85 SITEPROP SLICE_X30Y28 RPM_Y 56 SITEPROP SLICE_X30Y28 SITE_PIPS SITEPROP SLICE_X30Y28 SITE_TYPE SLICEM SITEPROP SLICE_X30Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y29 CLASS site SITEPROP SLICE_X30Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y29 IS_BONDED 0 SITEPROP SLICE_X30Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y29 IS_PAD 0 SITEPROP SLICE_X30Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y29 IS_RESERVED 0 SITEPROP SLICE_X30Y29 IS_TEST 0 SITEPROP SLICE_X30Y29 IS_USED 0 SITEPROP SLICE_X30Y29 MANUAL_ROUTING SITEPROP SLICE_X30Y29 NAME SLICE_X30Y29 SITEPROP SLICE_X30Y29 NUM_ARCS 153 SITEPROP SLICE_X30Y29 NUM_BELS 32 SITEPROP SLICE_X30Y29 NUM_INPUTS 37 SITEPROP SLICE_X30Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y29 NUM_PINS 50 SITEPROP SLICE_X30Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y29 PROHIBIT 0 SITEPROP SLICE_X30Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y29 RPM_X 85 SITEPROP SLICE_X30Y29 RPM_Y 58 SITEPROP SLICE_X30Y29 SITE_PIPS SITEPROP SLICE_X30Y29 SITE_TYPE SLICEM SITEPROP SLICE_X30Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y30 CLASS site SITEPROP SLICE_X30Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y30 IS_BONDED 0 SITEPROP SLICE_X30Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y30 IS_PAD 0 SITEPROP SLICE_X30Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y30 IS_RESERVED 0 SITEPROP SLICE_X30Y30 IS_TEST 0 SITEPROP SLICE_X30Y30 IS_USED 0 SITEPROP SLICE_X30Y30 MANUAL_ROUTING SITEPROP SLICE_X30Y30 NAME SLICE_X30Y30 SITEPROP SLICE_X30Y30 NUM_ARCS 153 SITEPROP SLICE_X30Y30 NUM_BELS 32 SITEPROP SLICE_X30Y30 NUM_INPUTS 37 SITEPROP SLICE_X30Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y30 NUM_PINS 50 SITEPROP SLICE_X30Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y30 PROHIBIT 0 SITEPROP SLICE_X30Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y30 RPM_X 85 SITEPROP SLICE_X30Y30 RPM_Y 60 SITEPROP SLICE_X30Y30 SITE_PIPS SITEPROP SLICE_X30Y30 SITE_TYPE SLICEM SITEPROP SLICE_X30Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y31 CLASS site SITEPROP SLICE_X30Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y31 IS_BONDED 0 SITEPROP SLICE_X30Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y31 IS_PAD 0 SITEPROP SLICE_X30Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y31 IS_RESERVED 0 SITEPROP SLICE_X30Y31 IS_TEST 0 SITEPROP SLICE_X30Y31 IS_USED 0 SITEPROP SLICE_X30Y31 MANUAL_ROUTING SITEPROP SLICE_X30Y31 NAME SLICE_X30Y31 SITEPROP SLICE_X30Y31 NUM_ARCS 153 SITEPROP SLICE_X30Y31 NUM_BELS 32 SITEPROP SLICE_X30Y31 NUM_INPUTS 37 SITEPROP SLICE_X30Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y31 NUM_PINS 50 SITEPROP SLICE_X30Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y31 PROHIBIT 0 SITEPROP SLICE_X30Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y31 RPM_X 85 SITEPROP SLICE_X30Y31 RPM_Y 62 SITEPROP SLICE_X30Y31 SITE_PIPS SITEPROP SLICE_X30Y31 SITE_TYPE SLICEM SITEPROP SLICE_X30Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y32 CLASS site SITEPROP SLICE_X30Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y32 IS_BONDED 0 SITEPROP SLICE_X30Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y32 IS_PAD 0 SITEPROP SLICE_X30Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y32 IS_RESERVED 0 SITEPROP SLICE_X30Y32 IS_TEST 0 SITEPROP SLICE_X30Y32 IS_USED 0 SITEPROP SLICE_X30Y32 MANUAL_ROUTING SITEPROP SLICE_X30Y32 NAME SLICE_X30Y32 SITEPROP SLICE_X30Y32 NUM_ARCS 153 SITEPROP SLICE_X30Y32 NUM_BELS 32 SITEPROP SLICE_X30Y32 NUM_INPUTS 37 SITEPROP SLICE_X30Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y32 NUM_PINS 50 SITEPROP SLICE_X30Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y32 PROHIBIT 0 SITEPROP SLICE_X30Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y32 RPM_X 85 SITEPROP SLICE_X30Y32 RPM_Y 64 SITEPROP SLICE_X30Y32 SITE_PIPS SITEPROP SLICE_X30Y32 SITE_TYPE SLICEM SITEPROP SLICE_X30Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y33 CLASS site SITEPROP SLICE_X30Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y33 IS_BONDED 0 SITEPROP SLICE_X30Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y33 IS_PAD 0 SITEPROP SLICE_X30Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y33 IS_RESERVED 0 SITEPROP SLICE_X30Y33 IS_TEST 0 SITEPROP SLICE_X30Y33 IS_USED 0 SITEPROP SLICE_X30Y33 MANUAL_ROUTING SITEPROP SLICE_X30Y33 NAME SLICE_X30Y33 SITEPROP SLICE_X30Y33 NUM_ARCS 153 SITEPROP SLICE_X30Y33 NUM_BELS 32 SITEPROP SLICE_X30Y33 NUM_INPUTS 37 SITEPROP SLICE_X30Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y33 NUM_PINS 50 SITEPROP SLICE_X30Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y33 PROHIBIT 0 SITEPROP SLICE_X30Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y33 RPM_X 85 SITEPROP SLICE_X30Y33 RPM_Y 66 SITEPROP SLICE_X30Y33 SITE_PIPS SITEPROP SLICE_X30Y33 SITE_TYPE SLICEM SITEPROP SLICE_X30Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y34 CLASS site SITEPROP SLICE_X30Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y34 IS_BONDED 0 SITEPROP SLICE_X30Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y34 IS_PAD 0 SITEPROP SLICE_X30Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y34 IS_RESERVED 0 SITEPROP SLICE_X30Y34 IS_TEST 0 SITEPROP SLICE_X30Y34 IS_USED 0 SITEPROP SLICE_X30Y34 MANUAL_ROUTING SITEPROP SLICE_X30Y34 NAME SLICE_X30Y34 SITEPROP SLICE_X30Y34 NUM_ARCS 153 SITEPROP SLICE_X30Y34 NUM_BELS 32 SITEPROP SLICE_X30Y34 NUM_INPUTS 37 SITEPROP SLICE_X30Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y34 NUM_PINS 50 SITEPROP SLICE_X30Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y34 PROHIBIT 0 SITEPROP SLICE_X30Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y34 RPM_X 85 SITEPROP SLICE_X30Y34 RPM_Y 68 SITEPROP SLICE_X30Y34 SITE_PIPS SITEPROP SLICE_X30Y34 SITE_TYPE SLICEM SITEPROP SLICE_X30Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y35 CLASS site SITEPROP SLICE_X30Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y35 IS_BONDED 0 SITEPROP SLICE_X30Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y35 IS_PAD 0 SITEPROP SLICE_X30Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y35 IS_RESERVED 0 SITEPROP SLICE_X30Y35 IS_TEST 0 SITEPROP SLICE_X30Y35 IS_USED 0 SITEPROP SLICE_X30Y35 MANUAL_ROUTING SITEPROP SLICE_X30Y35 NAME SLICE_X30Y35 SITEPROP SLICE_X30Y35 NUM_ARCS 153 SITEPROP SLICE_X30Y35 NUM_BELS 32 SITEPROP SLICE_X30Y35 NUM_INPUTS 37 SITEPROP SLICE_X30Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y35 NUM_PINS 50 SITEPROP SLICE_X30Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y35 PROHIBIT 0 SITEPROP SLICE_X30Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y35 RPM_X 85 SITEPROP SLICE_X30Y35 RPM_Y 70 SITEPROP SLICE_X30Y35 SITE_PIPS SITEPROP SLICE_X30Y35 SITE_TYPE SLICEM SITEPROP SLICE_X30Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y36 CLASS site SITEPROP SLICE_X30Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y36 IS_BONDED 0 SITEPROP SLICE_X30Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y36 IS_PAD 0 SITEPROP SLICE_X30Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y36 IS_RESERVED 0 SITEPROP SLICE_X30Y36 IS_TEST 0 SITEPROP SLICE_X30Y36 IS_USED 0 SITEPROP SLICE_X30Y36 MANUAL_ROUTING SITEPROP SLICE_X30Y36 NAME SLICE_X30Y36 SITEPROP SLICE_X30Y36 NUM_ARCS 153 SITEPROP SLICE_X30Y36 NUM_BELS 32 SITEPROP SLICE_X30Y36 NUM_INPUTS 37 SITEPROP SLICE_X30Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y36 NUM_PINS 50 SITEPROP SLICE_X30Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y36 PROHIBIT 0 SITEPROP SLICE_X30Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y36 RPM_X 85 SITEPROP SLICE_X30Y36 RPM_Y 72 SITEPROP SLICE_X30Y36 SITE_PIPS SITEPROP SLICE_X30Y36 SITE_TYPE SLICEM SITEPROP SLICE_X30Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y37 CLASS site SITEPROP SLICE_X30Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y37 IS_BONDED 0 SITEPROP SLICE_X30Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y37 IS_PAD 0 SITEPROP SLICE_X30Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y37 IS_RESERVED 0 SITEPROP SLICE_X30Y37 IS_TEST 0 SITEPROP SLICE_X30Y37 IS_USED 0 SITEPROP SLICE_X30Y37 MANUAL_ROUTING SITEPROP SLICE_X30Y37 NAME SLICE_X30Y37 SITEPROP SLICE_X30Y37 NUM_ARCS 153 SITEPROP SLICE_X30Y37 NUM_BELS 32 SITEPROP SLICE_X30Y37 NUM_INPUTS 37 SITEPROP SLICE_X30Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y37 NUM_PINS 50 SITEPROP SLICE_X30Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y37 PROHIBIT 0 SITEPROP SLICE_X30Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y37 RPM_X 85 SITEPROP SLICE_X30Y37 RPM_Y 74 SITEPROP SLICE_X30Y37 SITE_PIPS SITEPROP SLICE_X30Y37 SITE_TYPE SLICEM SITEPROP SLICE_X30Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y38 CLASS site SITEPROP SLICE_X30Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y38 IS_BONDED 0 SITEPROP SLICE_X30Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y38 IS_PAD 0 SITEPROP SLICE_X30Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y38 IS_RESERVED 0 SITEPROP SLICE_X30Y38 IS_TEST 0 SITEPROP SLICE_X30Y38 IS_USED 0 SITEPROP SLICE_X30Y38 MANUAL_ROUTING SITEPROP SLICE_X30Y38 NAME SLICE_X30Y38 SITEPROP SLICE_X30Y38 NUM_ARCS 153 SITEPROP SLICE_X30Y38 NUM_BELS 32 SITEPROP SLICE_X30Y38 NUM_INPUTS 37 SITEPROP SLICE_X30Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y38 NUM_PINS 50 SITEPROP SLICE_X30Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y38 PROHIBIT 0 SITEPROP SLICE_X30Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y38 RPM_X 85 SITEPROP SLICE_X30Y38 RPM_Y 76 SITEPROP SLICE_X30Y38 SITE_PIPS SITEPROP SLICE_X30Y38 SITE_TYPE SLICEM SITEPROP SLICE_X30Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y39 CLASS site SITEPROP SLICE_X30Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y39 IS_BONDED 0 SITEPROP SLICE_X30Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y39 IS_PAD 0 SITEPROP SLICE_X30Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y39 IS_RESERVED 0 SITEPROP SLICE_X30Y39 IS_TEST 0 SITEPROP SLICE_X30Y39 IS_USED 0 SITEPROP SLICE_X30Y39 MANUAL_ROUTING SITEPROP SLICE_X30Y39 NAME SLICE_X30Y39 SITEPROP SLICE_X30Y39 NUM_ARCS 153 SITEPROP SLICE_X30Y39 NUM_BELS 32 SITEPROP SLICE_X30Y39 NUM_INPUTS 37 SITEPROP SLICE_X30Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y39 NUM_PINS 50 SITEPROP SLICE_X30Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y39 PROHIBIT 0 SITEPROP SLICE_X30Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y39 RPM_X 85 SITEPROP SLICE_X30Y39 RPM_Y 78 SITEPROP SLICE_X30Y39 SITE_PIPS SITEPROP SLICE_X30Y39 SITE_TYPE SLICEM SITEPROP SLICE_X30Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y40 CLASS site SITEPROP SLICE_X30Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y40 IS_BONDED 0 SITEPROP SLICE_X30Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y40 IS_PAD 0 SITEPROP SLICE_X30Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y40 IS_RESERVED 0 SITEPROP SLICE_X30Y40 IS_TEST 0 SITEPROP SLICE_X30Y40 IS_USED 0 SITEPROP SLICE_X30Y40 MANUAL_ROUTING SITEPROP SLICE_X30Y40 NAME SLICE_X30Y40 SITEPROP SLICE_X30Y40 NUM_ARCS 153 SITEPROP SLICE_X30Y40 NUM_BELS 32 SITEPROP SLICE_X30Y40 NUM_INPUTS 37 SITEPROP SLICE_X30Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y40 NUM_PINS 50 SITEPROP SLICE_X30Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y40 PROHIBIT 0 SITEPROP SLICE_X30Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y40 RPM_X 85 SITEPROP SLICE_X30Y40 RPM_Y 80 SITEPROP SLICE_X30Y40 SITE_PIPS SITEPROP SLICE_X30Y40 SITE_TYPE SLICEM SITEPROP SLICE_X30Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y41 CLASS site SITEPROP SLICE_X30Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y41 IS_BONDED 0 SITEPROP SLICE_X30Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y41 IS_PAD 0 SITEPROP SLICE_X30Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y41 IS_RESERVED 0 SITEPROP SLICE_X30Y41 IS_TEST 0 SITEPROP SLICE_X30Y41 IS_USED 0 SITEPROP SLICE_X30Y41 MANUAL_ROUTING SITEPROP SLICE_X30Y41 NAME SLICE_X30Y41 SITEPROP SLICE_X30Y41 NUM_ARCS 153 SITEPROP SLICE_X30Y41 NUM_BELS 32 SITEPROP SLICE_X30Y41 NUM_INPUTS 37 SITEPROP SLICE_X30Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y41 NUM_PINS 50 SITEPROP SLICE_X30Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y41 PROHIBIT 0 SITEPROP SLICE_X30Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y41 RPM_X 85 SITEPROP SLICE_X30Y41 RPM_Y 82 SITEPROP SLICE_X30Y41 SITE_PIPS SITEPROP SLICE_X30Y41 SITE_TYPE SLICEM SITEPROP SLICE_X30Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y42 CLASS site SITEPROP SLICE_X30Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y42 IS_BONDED 0 SITEPROP SLICE_X30Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y42 IS_PAD 0 SITEPROP SLICE_X30Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y42 IS_RESERVED 0 SITEPROP SLICE_X30Y42 IS_TEST 0 SITEPROP SLICE_X30Y42 IS_USED 0 SITEPROP SLICE_X30Y42 MANUAL_ROUTING SITEPROP SLICE_X30Y42 NAME SLICE_X30Y42 SITEPROP SLICE_X30Y42 NUM_ARCS 153 SITEPROP SLICE_X30Y42 NUM_BELS 32 SITEPROP SLICE_X30Y42 NUM_INPUTS 37 SITEPROP SLICE_X30Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y42 NUM_PINS 50 SITEPROP SLICE_X30Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y42 PROHIBIT 0 SITEPROP SLICE_X30Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y42 RPM_X 85 SITEPROP SLICE_X30Y42 RPM_Y 84 SITEPROP SLICE_X30Y42 SITE_PIPS SITEPROP SLICE_X30Y42 SITE_TYPE SLICEM SITEPROP SLICE_X30Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y43 CLASS site SITEPROP SLICE_X30Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y43 IS_BONDED 0 SITEPROP SLICE_X30Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y43 IS_PAD 0 SITEPROP SLICE_X30Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y43 IS_RESERVED 0 SITEPROP SLICE_X30Y43 IS_TEST 0 SITEPROP SLICE_X30Y43 IS_USED 0 SITEPROP SLICE_X30Y43 MANUAL_ROUTING SITEPROP SLICE_X30Y43 NAME SLICE_X30Y43 SITEPROP SLICE_X30Y43 NUM_ARCS 153 SITEPROP SLICE_X30Y43 NUM_BELS 32 SITEPROP SLICE_X30Y43 NUM_INPUTS 37 SITEPROP SLICE_X30Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y43 NUM_PINS 50 SITEPROP SLICE_X30Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y43 PROHIBIT 0 SITEPROP SLICE_X30Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y43 RPM_X 85 SITEPROP SLICE_X30Y43 RPM_Y 86 SITEPROP SLICE_X30Y43 SITE_PIPS SITEPROP SLICE_X30Y43 SITE_TYPE SLICEM SITEPROP SLICE_X30Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y44 CLASS site SITEPROP SLICE_X30Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y44 IS_BONDED 0 SITEPROP SLICE_X30Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y44 IS_PAD 0 SITEPROP SLICE_X30Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y44 IS_RESERVED 0 SITEPROP SLICE_X30Y44 IS_TEST 0 SITEPROP SLICE_X30Y44 IS_USED 0 SITEPROP SLICE_X30Y44 MANUAL_ROUTING SITEPROP SLICE_X30Y44 NAME SLICE_X30Y44 SITEPROP SLICE_X30Y44 NUM_ARCS 153 SITEPROP SLICE_X30Y44 NUM_BELS 32 SITEPROP SLICE_X30Y44 NUM_INPUTS 37 SITEPROP SLICE_X30Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y44 NUM_PINS 50 SITEPROP SLICE_X30Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y44 PROHIBIT 0 SITEPROP SLICE_X30Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y44 RPM_X 85 SITEPROP SLICE_X30Y44 RPM_Y 88 SITEPROP SLICE_X30Y44 SITE_PIPS SITEPROP SLICE_X30Y44 SITE_TYPE SLICEM SITEPROP SLICE_X30Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y45 CLASS site SITEPROP SLICE_X30Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y45 IS_BONDED 0 SITEPROP SLICE_X30Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y45 IS_PAD 0 SITEPROP SLICE_X30Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y45 IS_RESERVED 0 SITEPROP SLICE_X30Y45 IS_TEST 0 SITEPROP SLICE_X30Y45 IS_USED 0 SITEPROP SLICE_X30Y45 MANUAL_ROUTING SITEPROP SLICE_X30Y45 NAME SLICE_X30Y45 SITEPROP SLICE_X30Y45 NUM_ARCS 153 SITEPROP SLICE_X30Y45 NUM_BELS 32 SITEPROP SLICE_X30Y45 NUM_INPUTS 37 SITEPROP SLICE_X30Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y45 NUM_PINS 50 SITEPROP SLICE_X30Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y45 PROHIBIT 0 SITEPROP SLICE_X30Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y45 RPM_X 85 SITEPROP SLICE_X30Y45 RPM_Y 90 SITEPROP SLICE_X30Y45 SITE_PIPS SITEPROP SLICE_X30Y45 SITE_TYPE SLICEM SITEPROP SLICE_X30Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y46 CLASS site SITEPROP SLICE_X30Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y46 IS_BONDED 0 SITEPROP SLICE_X30Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y46 IS_PAD 0 SITEPROP SLICE_X30Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y46 IS_RESERVED 0 SITEPROP SLICE_X30Y46 IS_TEST 0 SITEPROP SLICE_X30Y46 IS_USED 0 SITEPROP SLICE_X30Y46 MANUAL_ROUTING SITEPROP SLICE_X30Y46 NAME SLICE_X30Y46 SITEPROP SLICE_X30Y46 NUM_ARCS 153 SITEPROP SLICE_X30Y46 NUM_BELS 32 SITEPROP SLICE_X30Y46 NUM_INPUTS 37 SITEPROP SLICE_X30Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y46 NUM_PINS 50 SITEPROP SLICE_X30Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y46 PROHIBIT 0 SITEPROP SLICE_X30Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y46 RPM_X 85 SITEPROP SLICE_X30Y46 RPM_Y 92 SITEPROP SLICE_X30Y46 SITE_PIPS SITEPROP SLICE_X30Y46 SITE_TYPE SLICEM SITEPROP SLICE_X30Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y47 CLASS site SITEPROP SLICE_X30Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y47 IS_BONDED 0 SITEPROP SLICE_X30Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y47 IS_PAD 0 SITEPROP SLICE_X30Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y47 IS_RESERVED 0 SITEPROP SLICE_X30Y47 IS_TEST 0 SITEPROP SLICE_X30Y47 IS_USED 0 SITEPROP SLICE_X30Y47 MANUAL_ROUTING SITEPROP SLICE_X30Y47 NAME SLICE_X30Y47 SITEPROP SLICE_X30Y47 NUM_ARCS 153 SITEPROP SLICE_X30Y47 NUM_BELS 32 SITEPROP SLICE_X30Y47 NUM_INPUTS 37 SITEPROP SLICE_X30Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y47 NUM_PINS 50 SITEPROP SLICE_X30Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y47 PROHIBIT 0 SITEPROP SLICE_X30Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y47 RPM_X 85 SITEPROP SLICE_X30Y47 RPM_Y 94 SITEPROP SLICE_X30Y47 SITE_PIPS SITEPROP SLICE_X30Y47 SITE_TYPE SLICEM SITEPROP SLICE_X30Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y48 CLASS site SITEPROP SLICE_X30Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y48 IS_BONDED 0 SITEPROP SLICE_X30Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y48 IS_PAD 0 SITEPROP SLICE_X30Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y48 IS_RESERVED 0 SITEPROP SLICE_X30Y48 IS_TEST 0 SITEPROP SLICE_X30Y48 IS_USED 0 SITEPROP SLICE_X30Y48 MANUAL_ROUTING SITEPROP SLICE_X30Y48 NAME SLICE_X30Y48 SITEPROP SLICE_X30Y48 NUM_ARCS 153 SITEPROP SLICE_X30Y48 NUM_BELS 32 SITEPROP SLICE_X30Y48 NUM_INPUTS 37 SITEPROP SLICE_X30Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y48 NUM_PINS 50 SITEPROP SLICE_X30Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y48 PROHIBIT 0 SITEPROP SLICE_X30Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y48 RPM_X 85 SITEPROP SLICE_X30Y48 RPM_Y 96 SITEPROP SLICE_X30Y48 SITE_PIPS SITEPROP SLICE_X30Y48 SITE_TYPE SLICEM SITEPROP SLICE_X30Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y49 CLASS site SITEPROP SLICE_X30Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X30Y49 IS_BONDED 0 SITEPROP SLICE_X30Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y49 IS_PAD 0 SITEPROP SLICE_X30Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y49 IS_RESERVED 0 SITEPROP SLICE_X30Y49 IS_TEST 0 SITEPROP SLICE_X30Y49 IS_USED 0 SITEPROP SLICE_X30Y49 MANUAL_ROUTING SITEPROP SLICE_X30Y49 NAME SLICE_X30Y49 SITEPROP SLICE_X30Y49 NUM_ARCS 153 SITEPROP SLICE_X30Y49 NUM_BELS 32 SITEPROP SLICE_X30Y49 NUM_INPUTS 37 SITEPROP SLICE_X30Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y49 NUM_PINS 50 SITEPROP SLICE_X30Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y49 PROHIBIT 0 SITEPROP SLICE_X30Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y49 RPM_X 85 SITEPROP SLICE_X30Y49 RPM_Y 98 SITEPROP SLICE_X30Y49 SITE_PIPS SITEPROP SLICE_X30Y49 SITE_TYPE SLICEM SITEPROP SLICE_X30Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y50 CLASS site SITEPROP SLICE_X30Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y50 IS_BONDED 0 SITEPROP SLICE_X30Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y50 IS_PAD 0 SITEPROP SLICE_X30Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y50 IS_RESERVED 0 SITEPROP SLICE_X30Y50 IS_TEST 0 SITEPROP SLICE_X30Y50 IS_USED 0 SITEPROP SLICE_X30Y50 MANUAL_ROUTING SITEPROP SLICE_X30Y50 NAME SLICE_X30Y50 SITEPROP SLICE_X30Y50 NUM_ARCS 153 SITEPROP SLICE_X30Y50 NUM_BELS 32 SITEPROP SLICE_X30Y50 NUM_INPUTS 37 SITEPROP SLICE_X30Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y50 NUM_PINS 50 SITEPROP SLICE_X30Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y50 PROHIBIT 0 SITEPROP SLICE_X30Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y50 RPM_X 85 SITEPROP SLICE_X30Y50 RPM_Y 100 SITEPROP SLICE_X30Y50 SITE_PIPS SITEPROP SLICE_X30Y50 SITE_TYPE SLICEM SITEPROP SLICE_X30Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y51 CLASS site SITEPROP SLICE_X30Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y51 IS_BONDED 0 SITEPROP SLICE_X30Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y51 IS_PAD 0 SITEPROP SLICE_X30Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y51 IS_RESERVED 0 SITEPROP SLICE_X30Y51 IS_TEST 0 SITEPROP SLICE_X30Y51 IS_USED 0 SITEPROP SLICE_X30Y51 MANUAL_ROUTING SITEPROP SLICE_X30Y51 NAME SLICE_X30Y51 SITEPROP SLICE_X30Y51 NUM_ARCS 153 SITEPROP SLICE_X30Y51 NUM_BELS 32 SITEPROP SLICE_X30Y51 NUM_INPUTS 37 SITEPROP SLICE_X30Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y51 NUM_PINS 50 SITEPROP SLICE_X30Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y51 PROHIBIT 0 SITEPROP SLICE_X30Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y51 RPM_X 85 SITEPROP SLICE_X30Y51 RPM_Y 102 SITEPROP SLICE_X30Y51 SITE_PIPS SITEPROP SLICE_X30Y51 SITE_TYPE SLICEM SITEPROP SLICE_X30Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y52 CLASS site SITEPROP SLICE_X30Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y52 IS_BONDED 0 SITEPROP SLICE_X30Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y52 IS_PAD 0 SITEPROP SLICE_X30Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y52 IS_RESERVED 0 SITEPROP SLICE_X30Y52 IS_TEST 0 SITEPROP SLICE_X30Y52 IS_USED 0 SITEPROP SLICE_X30Y52 MANUAL_ROUTING SITEPROP SLICE_X30Y52 NAME SLICE_X30Y52 SITEPROP SLICE_X30Y52 NUM_ARCS 153 SITEPROP SLICE_X30Y52 NUM_BELS 32 SITEPROP SLICE_X30Y52 NUM_INPUTS 37 SITEPROP SLICE_X30Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y52 NUM_PINS 50 SITEPROP SLICE_X30Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y52 PROHIBIT 0 SITEPROP SLICE_X30Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y52 RPM_X 85 SITEPROP SLICE_X30Y52 RPM_Y 104 SITEPROP SLICE_X30Y52 SITE_PIPS SITEPROP SLICE_X30Y52 SITE_TYPE SLICEM SITEPROP SLICE_X30Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y53 CLASS site SITEPROP SLICE_X30Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y53 IS_BONDED 0 SITEPROP SLICE_X30Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y53 IS_PAD 0 SITEPROP SLICE_X30Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y53 IS_RESERVED 0 SITEPROP SLICE_X30Y53 IS_TEST 0 SITEPROP SLICE_X30Y53 IS_USED 0 SITEPROP SLICE_X30Y53 MANUAL_ROUTING SITEPROP SLICE_X30Y53 NAME SLICE_X30Y53 SITEPROP SLICE_X30Y53 NUM_ARCS 153 SITEPROP SLICE_X30Y53 NUM_BELS 32 SITEPROP SLICE_X30Y53 NUM_INPUTS 37 SITEPROP SLICE_X30Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y53 NUM_PINS 50 SITEPROP SLICE_X30Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y53 PROHIBIT 0 SITEPROP SLICE_X30Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y53 RPM_X 85 SITEPROP SLICE_X30Y53 RPM_Y 106 SITEPROP SLICE_X30Y53 SITE_PIPS SITEPROP SLICE_X30Y53 SITE_TYPE SLICEM SITEPROP SLICE_X30Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y54 CLASS site SITEPROP SLICE_X30Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y54 IS_BONDED 0 SITEPROP SLICE_X30Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y54 IS_PAD 0 SITEPROP SLICE_X30Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y54 IS_RESERVED 0 SITEPROP SLICE_X30Y54 IS_TEST 0 SITEPROP SLICE_X30Y54 IS_USED 0 SITEPROP SLICE_X30Y54 MANUAL_ROUTING SITEPROP SLICE_X30Y54 NAME SLICE_X30Y54 SITEPROP SLICE_X30Y54 NUM_ARCS 153 SITEPROP SLICE_X30Y54 NUM_BELS 32 SITEPROP SLICE_X30Y54 NUM_INPUTS 37 SITEPROP SLICE_X30Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y54 NUM_PINS 50 SITEPROP SLICE_X30Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y54 PROHIBIT 0 SITEPROP SLICE_X30Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y54 RPM_X 85 SITEPROP SLICE_X30Y54 RPM_Y 108 SITEPROP SLICE_X30Y54 SITE_PIPS SITEPROP SLICE_X30Y54 SITE_TYPE SLICEM SITEPROP SLICE_X30Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y55 CLASS site SITEPROP SLICE_X30Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y55 IS_BONDED 0 SITEPROP SLICE_X30Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y55 IS_PAD 0 SITEPROP SLICE_X30Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y55 IS_RESERVED 0 SITEPROP SLICE_X30Y55 IS_TEST 0 SITEPROP SLICE_X30Y55 IS_USED 0 SITEPROP SLICE_X30Y55 MANUAL_ROUTING SITEPROP SLICE_X30Y55 NAME SLICE_X30Y55 SITEPROP SLICE_X30Y55 NUM_ARCS 153 SITEPROP SLICE_X30Y55 NUM_BELS 32 SITEPROP SLICE_X30Y55 NUM_INPUTS 37 SITEPROP SLICE_X30Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y55 NUM_PINS 50 SITEPROP SLICE_X30Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y55 PROHIBIT 0 SITEPROP SLICE_X30Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y55 RPM_X 85 SITEPROP SLICE_X30Y55 RPM_Y 110 SITEPROP SLICE_X30Y55 SITE_PIPS SITEPROP SLICE_X30Y55 SITE_TYPE SLICEM SITEPROP SLICE_X30Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y56 CLASS site SITEPROP SLICE_X30Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y56 IS_BONDED 0 SITEPROP SLICE_X30Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y56 IS_PAD 0 SITEPROP SLICE_X30Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y56 IS_RESERVED 0 SITEPROP SLICE_X30Y56 IS_TEST 0 SITEPROP SLICE_X30Y56 IS_USED 0 SITEPROP SLICE_X30Y56 MANUAL_ROUTING SITEPROP SLICE_X30Y56 NAME SLICE_X30Y56 SITEPROP SLICE_X30Y56 NUM_ARCS 153 SITEPROP SLICE_X30Y56 NUM_BELS 32 SITEPROP SLICE_X30Y56 NUM_INPUTS 37 SITEPROP SLICE_X30Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y56 NUM_PINS 50 SITEPROP SLICE_X30Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y56 PROHIBIT 0 SITEPROP SLICE_X30Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y56 RPM_X 85 SITEPROP SLICE_X30Y56 RPM_Y 112 SITEPROP SLICE_X30Y56 SITE_PIPS SITEPROP SLICE_X30Y56 SITE_TYPE SLICEM SITEPROP SLICE_X30Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y57 CLASS site SITEPROP SLICE_X30Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y57 IS_BONDED 0 SITEPROP SLICE_X30Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y57 IS_PAD 0 SITEPROP SLICE_X30Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y57 IS_RESERVED 0 SITEPROP SLICE_X30Y57 IS_TEST 0 SITEPROP SLICE_X30Y57 IS_USED 0 SITEPROP SLICE_X30Y57 MANUAL_ROUTING SITEPROP SLICE_X30Y57 NAME SLICE_X30Y57 SITEPROP SLICE_X30Y57 NUM_ARCS 153 SITEPROP SLICE_X30Y57 NUM_BELS 32 SITEPROP SLICE_X30Y57 NUM_INPUTS 37 SITEPROP SLICE_X30Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y57 NUM_PINS 50 SITEPROP SLICE_X30Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y57 PROHIBIT 0 SITEPROP SLICE_X30Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y57 RPM_X 85 SITEPROP SLICE_X30Y57 RPM_Y 114 SITEPROP SLICE_X30Y57 SITE_PIPS SITEPROP SLICE_X30Y57 SITE_TYPE SLICEM SITEPROP SLICE_X30Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y58 CLASS site SITEPROP SLICE_X30Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y58 IS_BONDED 0 SITEPROP SLICE_X30Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y58 IS_PAD 0 SITEPROP SLICE_X30Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y58 IS_RESERVED 0 SITEPROP SLICE_X30Y58 IS_TEST 0 SITEPROP SLICE_X30Y58 IS_USED 0 SITEPROP SLICE_X30Y58 MANUAL_ROUTING SITEPROP SLICE_X30Y58 NAME SLICE_X30Y58 SITEPROP SLICE_X30Y58 NUM_ARCS 153 SITEPROP SLICE_X30Y58 NUM_BELS 32 SITEPROP SLICE_X30Y58 NUM_INPUTS 37 SITEPROP SLICE_X30Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y58 NUM_PINS 50 SITEPROP SLICE_X30Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y58 PROHIBIT 0 SITEPROP SLICE_X30Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y58 RPM_X 85 SITEPROP SLICE_X30Y58 RPM_Y 116 SITEPROP SLICE_X30Y58 SITE_PIPS SITEPROP SLICE_X30Y58 SITE_TYPE SLICEM SITEPROP SLICE_X30Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y59 CLASS site SITEPROP SLICE_X30Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y59 IS_BONDED 0 SITEPROP SLICE_X30Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y59 IS_PAD 0 SITEPROP SLICE_X30Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y59 IS_RESERVED 0 SITEPROP SLICE_X30Y59 IS_TEST 0 SITEPROP SLICE_X30Y59 IS_USED 0 SITEPROP SLICE_X30Y59 MANUAL_ROUTING SITEPROP SLICE_X30Y59 NAME SLICE_X30Y59 SITEPROP SLICE_X30Y59 NUM_ARCS 153 SITEPROP SLICE_X30Y59 NUM_BELS 32 SITEPROP SLICE_X30Y59 NUM_INPUTS 37 SITEPROP SLICE_X30Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y59 NUM_PINS 50 SITEPROP SLICE_X30Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y59 PROHIBIT 0 SITEPROP SLICE_X30Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y59 RPM_X 85 SITEPROP SLICE_X30Y59 RPM_Y 118 SITEPROP SLICE_X30Y59 SITE_PIPS SITEPROP SLICE_X30Y59 SITE_TYPE SLICEM SITEPROP SLICE_X30Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y60 CLASS site SITEPROP SLICE_X30Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y60 IS_BONDED 0 SITEPROP SLICE_X30Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y60 IS_PAD 0 SITEPROP SLICE_X30Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y60 IS_RESERVED 0 SITEPROP SLICE_X30Y60 IS_TEST 0 SITEPROP SLICE_X30Y60 IS_USED 0 SITEPROP SLICE_X30Y60 MANUAL_ROUTING SITEPROP SLICE_X30Y60 NAME SLICE_X30Y60 SITEPROP SLICE_X30Y60 NUM_ARCS 153 SITEPROP SLICE_X30Y60 NUM_BELS 32 SITEPROP SLICE_X30Y60 NUM_INPUTS 37 SITEPROP SLICE_X30Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y60 NUM_PINS 50 SITEPROP SLICE_X30Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y60 PROHIBIT 0 SITEPROP SLICE_X30Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y60 RPM_X 85 SITEPROP SLICE_X30Y60 RPM_Y 120 SITEPROP SLICE_X30Y60 SITE_PIPS SITEPROP SLICE_X30Y60 SITE_TYPE SLICEM SITEPROP SLICE_X30Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y61 CLASS site SITEPROP SLICE_X30Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y61 IS_BONDED 0 SITEPROP SLICE_X30Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y61 IS_PAD 0 SITEPROP SLICE_X30Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y61 IS_RESERVED 0 SITEPROP SLICE_X30Y61 IS_TEST 0 SITEPROP SLICE_X30Y61 IS_USED 0 SITEPROP SLICE_X30Y61 MANUAL_ROUTING SITEPROP SLICE_X30Y61 NAME SLICE_X30Y61 SITEPROP SLICE_X30Y61 NUM_ARCS 153 SITEPROP SLICE_X30Y61 NUM_BELS 32 SITEPROP SLICE_X30Y61 NUM_INPUTS 37 SITEPROP SLICE_X30Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y61 NUM_PINS 50 SITEPROP SLICE_X30Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y61 PROHIBIT 0 SITEPROP SLICE_X30Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y61 RPM_X 85 SITEPROP SLICE_X30Y61 RPM_Y 122 SITEPROP SLICE_X30Y61 SITE_PIPS SITEPROP SLICE_X30Y61 SITE_TYPE SLICEM SITEPROP SLICE_X30Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y62 CLASS site SITEPROP SLICE_X30Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y62 IS_BONDED 0 SITEPROP SLICE_X30Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y62 IS_PAD 0 SITEPROP SLICE_X30Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y62 IS_RESERVED 0 SITEPROP SLICE_X30Y62 IS_TEST 0 SITEPROP SLICE_X30Y62 IS_USED 0 SITEPROP SLICE_X30Y62 MANUAL_ROUTING SITEPROP SLICE_X30Y62 NAME SLICE_X30Y62 SITEPROP SLICE_X30Y62 NUM_ARCS 153 SITEPROP SLICE_X30Y62 NUM_BELS 32 SITEPROP SLICE_X30Y62 NUM_INPUTS 37 SITEPROP SLICE_X30Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y62 NUM_PINS 50 SITEPROP SLICE_X30Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y62 PROHIBIT 0 SITEPROP SLICE_X30Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y62 RPM_X 85 SITEPROP SLICE_X30Y62 RPM_Y 124 SITEPROP SLICE_X30Y62 SITE_PIPS SITEPROP SLICE_X30Y62 SITE_TYPE SLICEM SITEPROP SLICE_X30Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y63 CLASS site SITEPROP SLICE_X30Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y63 IS_BONDED 0 SITEPROP SLICE_X30Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y63 IS_PAD 0 SITEPROP SLICE_X30Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y63 IS_RESERVED 0 SITEPROP SLICE_X30Y63 IS_TEST 0 SITEPROP SLICE_X30Y63 IS_USED 0 SITEPROP SLICE_X30Y63 MANUAL_ROUTING SITEPROP SLICE_X30Y63 NAME SLICE_X30Y63 SITEPROP SLICE_X30Y63 NUM_ARCS 153 SITEPROP SLICE_X30Y63 NUM_BELS 32 SITEPROP SLICE_X30Y63 NUM_INPUTS 37 SITEPROP SLICE_X30Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y63 NUM_PINS 50 SITEPROP SLICE_X30Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y63 PROHIBIT 0 SITEPROP SLICE_X30Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y63 RPM_X 85 SITEPROP SLICE_X30Y63 RPM_Y 126 SITEPROP SLICE_X30Y63 SITE_PIPS SITEPROP SLICE_X30Y63 SITE_TYPE SLICEM SITEPROP SLICE_X30Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y64 CLASS site SITEPROP SLICE_X30Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y64 IS_BONDED 0 SITEPROP SLICE_X30Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y64 IS_PAD 0 SITEPROP SLICE_X30Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y64 IS_RESERVED 0 SITEPROP SLICE_X30Y64 IS_TEST 0 SITEPROP SLICE_X30Y64 IS_USED 0 SITEPROP SLICE_X30Y64 MANUAL_ROUTING SITEPROP SLICE_X30Y64 NAME SLICE_X30Y64 SITEPROP SLICE_X30Y64 NUM_ARCS 153 SITEPROP SLICE_X30Y64 NUM_BELS 32 SITEPROP SLICE_X30Y64 NUM_INPUTS 37 SITEPROP SLICE_X30Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y64 NUM_PINS 50 SITEPROP SLICE_X30Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y64 PROHIBIT 0 SITEPROP SLICE_X30Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y64 RPM_X 85 SITEPROP SLICE_X30Y64 RPM_Y 128 SITEPROP SLICE_X30Y64 SITE_PIPS SITEPROP SLICE_X30Y64 SITE_TYPE SLICEM SITEPROP SLICE_X30Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y65 CLASS site SITEPROP SLICE_X30Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y65 IS_BONDED 0 SITEPROP SLICE_X30Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y65 IS_PAD 0 SITEPROP SLICE_X30Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y65 IS_RESERVED 0 SITEPROP SLICE_X30Y65 IS_TEST 0 SITEPROP SLICE_X30Y65 IS_USED 0 SITEPROP SLICE_X30Y65 MANUAL_ROUTING SITEPROP SLICE_X30Y65 NAME SLICE_X30Y65 SITEPROP SLICE_X30Y65 NUM_ARCS 153 SITEPROP SLICE_X30Y65 NUM_BELS 32 SITEPROP SLICE_X30Y65 NUM_INPUTS 37 SITEPROP SLICE_X30Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y65 NUM_PINS 50 SITEPROP SLICE_X30Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y65 PROHIBIT 0 SITEPROP SLICE_X30Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y65 RPM_X 85 SITEPROP SLICE_X30Y65 RPM_Y 130 SITEPROP SLICE_X30Y65 SITE_PIPS SITEPROP SLICE_X30Y65 SITE_TYPE SLICEM SITEPROP SLICE_X30Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y66 CLASS site SITEPROP SLICE_X30Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y66 IS_BONDED 0 SITEPROP SLICE_X30Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y66 IS_PAD 0 SITEPROP SLICE_X30Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y66 IS_RESERVED 0 SITEPROP SLICE_X30Y66 IS_TEST 0 SITEPROP SLICE_X30Y66 IS_USED 0 SITEPROP SLICE_X30Y66 MANUAL_ROUTING SITEPROP SLICE_X30Y66 NAME SLICE_X30Y66 SITEPROP SLICE_X30Y66 NUM_ARCS 153 SITEPROP SLICE_X30Y66 NUM_BELS 32 SITEPROP SLICE_X30Y66 NUM_INPUTS 37 SITEPROP SLICE_X30Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y66 NUM_PINS 50 SITEPROP SLICE_X30Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y66 PROHIBIT 0 SITEPROP SLICE_X30Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y66 RPM_X 85 SITEPROP SLICE_X30Y66 RPM_Y 132 SITEPROP SLICE_X30Y66 SITE_PIPS SITEPROP SLICE_X30Y66 SITE_TYPE SLICEM SITEPROP SLICE_X30Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y67 CLASS site SITEPROP SLICE_X30Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y67 IS_BONDED 0 SITEPROP SLICE_X30Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y67 IS_PAD 0 SITEPROP SLICE_X30Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y67 IS_RESERVED 0 SITEPROP SLICE_X30Y67 IS_TEST 0 SITEPROP SLICE_X30Y67 IS_USED 0 SITEPROP SLICE_X30Y67 MANUAL_ROUTING SITEPROP SLICE_X30Y67 NAME SLICE_X30Y67 SITEPROP SLICE_X30Y67 NUM_ARCS 153 SITEPROP SLICE_X30Y67 NUM_BELS 32 SITEPROP SLICE_X30Y67 NUM_INPUTS 37 SITEPROP SLICE_X30Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y67 NUM_PINS 50 SITEPROP SLICE_X30Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y67 PROHIBIT 0 SITEPROP SLICE_X30Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y67 RPM_X 85 SITEPROP SLICE_X30Y67 RPM_Y 134 SITEPROP SLICE_X30Y67 SITE_PIPS SITEPROP SLICE_X30Y67 SITE_TYPE SLICEM SITEPROP SLICE_X30Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y68 CLASS site SITEPROP SLICE_X30Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y68 IS_BONDED 0 SITEPROP SLICE_X30Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y68 IS_PAD 0 SITEPROP SLICE_X30Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y68 IS_RESERVED 0 SITEPROP SLICE_X30Y68 IS_TEST 0 SITEPROP SLICE_X30Y68 IS_USED 0 SITEPROP SLICE_X30Y68 MANUAL_ROUTING SITEPROP SLICE_X30Y68 NAME SLICE_X30Y68 SITEPROP SLICE_X30Y68 NUM_ARCS 153 SITEPROP SLICE_X30Y68 NUM_BELS 32 SITEPROP SLICE_X30Y68 NUM_INPUTS 37 SITEPROP SLICE_X30Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y68 NUM_PINS 50 SITEPROP SLICE_X30Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y68 PROHIBIT 0 SITEPROP SLICE_X30Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y68 RPM_X 85 SITEPROP SLICE_X30Y68 RPM_Y 136 SITEPROP SLICE_X30Y68 SITE_PIPS SITEPROP SLICE_X30Y68 SITE_TYPE SLICEM SITEPROP SLICE_X30Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y69 CLASS site SITEPROP SLICE_X30Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y69 IS_BONDED 0 SITEPROP SLICE_X30Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y69 IS_PAD 0 SITEPROP SLICE_X30Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y69 IS_RESERVED 0 SITEPROP SLICE_X30Y69 IS_TEST 0 SITEPROP SLICE_X30Y69 IS_USED 0 SITEPROP SLICE_X30Y69 MANUAL_ROUTING SITEPROP SLICE_X30Y69 NAME SLICE_X30Y69 SITEPROP SLICE_X30Y69 NUM_ARCS 153 SITEPROP SLICE_X30Y69 NUM_BELS 32 SITEPROP SLICE_X30Y69 NUM_INPUTS 37 SITEPROP SLICE_X30Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y69 NUM_PINS 50 SITEPROP SLICE_X30Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y69 PROHIBIT 0 SITEPROP SLICE_X30Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y69 RPM_X 85 SITEPROP SLICE_X30Y69 RPM_Y 138 SITEPROP SLICE_X30Y69 SITE_PIPS SITEPROP SLICE_X30Y69 SITE_TYPE SLICEM SITEPROP SLICE_X30Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y70 CLASS site SITEPROP SLICE_X30Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y70 IS_BONDED 0 SITEPROP SLICE_X30Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y70 IS_PAD 0 SITEPROP SLICE_X30Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y70 IS_RESERVED 0 SITEPROP SLICE_X30Y70 IS_TEST 0 SITEPROP SLICE_X30Y70 IS_USED 0 SITEPROP SLICE_X30Y70 MANUAL_ROUTING SITEPROP SLICE_X30Y70 NAME SLICE_X30Y70 SITEPROP SLICE_X30Y70 NUM_ARCS 153 SITEPROP SLICE_X30Y70 NUM_BELS 32 SITEPROP SLICE_X30Y70 NUM_INPUTS 37 SITEPROP SLICE_X30Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y70 NUM_PINS 50 SITEPROP SLICE_X30Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y70 PROHIBIT 0 SITEPROP SLICE_X30Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y70 RPM_X 85 SITEPROP SLICE_X30Y70 RPM_Y 140 SITEPROP SLICE_X30Y70 SITE_PIPS SITEPROP SLICE_X30Y70 SITE_TYPE SLICEM SITEPROP SLICE_X30Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y71 CLASS site SITEPROP SLICE_X30Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y71 IS_BONDED 0 SITEPROP SLICE_X30Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y71 IS_PAD 0 SITEPROP SLICE_X30Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y71 IS_RESERVED 0 SITEPROP SLICE_X30Y71 IS_TEST 0 SITEPROP SLICE_X30Y71 IS_USED 0 SITEPROP SLICE_X30Y71 MANUAL_ROUTING SITEPROP SLICE_X30Y71 NAME SLICE_X30Y71 SITEPROP SLICE_X30Y71 NUM_ARCS 153 SITEPROP SLICE_X30Y71 NUM_BELS 32 SITEPROP SLICE_X30Y71 NUM_INPUTS 37 SITEPROP SLICE_X30Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y71 NUM_PINS 50 SITEPROP SLICE_X30Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y71 PROHIBIT 0 SITEPROP SLICE_X30Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y71 RPM_X 85 SITEPROP SLICE_X30Y71 RPM_Y 142 SITEPROP SLICE_X30Y71 SITE_PIPS SITEPROP SLICE_X30Y71 SITE_TYPE SLICEM SITEPROP SLICE_X30Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y72 CLASS site SITEPROP SLICE_X30Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y72 IS_BONDED 0 SITEPROP SLICE_X30Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y72 IS_PAD 0 SITEPROP SLICE_X30Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y72 IS_RESERVED 0 SITEPROP SLICE_X30Y72 IS_TEST 0 SITEPROP SLICE_X30Y72 IS_USED 0 SITEPROP SLICE_X30Y72 MANUAL_ROUTING SITEPROP SLICE_X30Y72 NAME SLICE_X30Y72 SITEPROP SLICE_X30Y72 NUM_ARCS 153 SITEPROP SLICE_X30Y72 NUM_BELS 32 SITEPROP SLICE_X30Y72 NUM_INPUTS 37 SITEPROP SLICE_X30Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y72 NUM_PINS 50 SITEPROP SLICE_X30Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y72 PROHIBIT 0 SITEPROP SLICE_X30Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y72 RPM_X 85 SITEPROP SLICE_X30Y72 RPM_Y 144 SITEPROP SLICE_X30Y72 SITE_PIPS SITEPROP SLICE_X30Y72 SITE_TYPE SLICEM SITEPROP SLICE_X30Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y73 CLASS site SITEPROP SLICE_X30Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y73 IS_BONDED 0 SITEPROP SLICE_X30Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y73 IS_PAD 0 SITEPROP SLICE_X30Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y73 IS_RESERVED 0 SITEPROP SLICE_X30Y73 IS_TEST 0 SITEPROP SLICE_X30Y73 IS_USED 0 SITEPROP SLICE_X30Y73 MANUAL_ROUTING SITEPROP SLICE_X30Y73 NAME SLICE_X30Y73 SITEPROP SLICE_X30Y73 NUM_ARCS 153 SITEPROP SLICE_X30Y73 NUM_BELS 32 SITEPROP SLICE_X30Y73 NUM_INPUTS 37 SITEPROP SLICE_X30Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y73 NUM_PINS 50 SITEPROP SLICE_X30Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y73 PROHIBIT 0 SITEPROP SLICE_X30Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y73 RPM_X 85 SITEPROP SLICE_X30Y73 RPM_Y 146 SITEPROP SLICE_X30Y73 SITE_PIPS SITEPROP SLICE_X30Y73 SITE_TYPE SLICEM SITEPROP SLICE_X30Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y74 CLASS site SITEPROP SLICE_X30Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y74 IS_BONDED 0 SITEPROP SLICE_X30Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y74 IS_PAD 0 SITEPROP SLICE_X30Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y74 IS_RESERVED 0 SITEPROP SLICE_X30Y74 IS_TEST 0 SITEPROP SLICE_X30Y74 IS_USED 0 SITEPROP SLICE_X30Y74 MANUAL_ROUTING SITEPROP SLICE_X30Y74 NAME SLICE_X30Y74 SITEPROP SLICE_X30Y74 NUM_ARCS 153 SITEPROP SLICE_X30Y74 NUM_BELS 32 SITEPROP SLICE_X30Y74 NUM_INPUTS 37 SITEPROP SLICE_X30Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y74 NUM_PINS 50 SITEPROP SLICE_X30Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y74 PROHIBIT 0 SITEPROP SLICE_X30Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y74 RPM_X 85 SITEPROP SLICE_X30Y74 RPM_Y 148 SITEPROP SLICE_X30Y74 SITE_PIPS SITEPROP SLICE_X30Y74 SITE_TYPE SLICEM SITEPROP SLICE_X30Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y75 CLASS site SITEPROP SLICE_X30Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y75 IS_BONDED 0 SITEPROP SLICE_X30Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y75 IS_PAD 0 SITEPROP SLICE_X30Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y75 IS_RESERVED 0 SITEPROP SLICE_X30Y75 IS_TEST 0 SITEPROP SLICE_X30Y75 IS_USED 0 SITEPROP SLICE_X30Y75 MANUAL_ROUTING SITEPROP SLICE_X30Y75 NAME SLICE_X30Y75 SITEPROP SLICE_X30Y75 NUM_ARCS 153 SITEPROP SLICE_X30Y75 NUM_BELS 32 SITEPROP SLICE_X30Y75 NUM_INPUTS 37 SITEPROP SLICE_X30Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y75 NUM_PINS 50 SITEPROP SLICE_X30Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y75 PROHIBIT 0 SITEPROP SLICE_X30Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y75 RPM_X 85 SITEPROP SLICE_X30Y75 RPM_Y 150 SITEPROP SLICE_X30Y75 SITE_PIPS SITEPROP SLICE_X30Y75 SITE_TYPE SLICEM SITEPROP SLICE_X30Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y76 CLASS site SITEPROP SLICE_X30Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y76 IS_BONDED 0 SITEPROP SLICE_X30Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y76 IS_PAD 0 SITEPROP SLICE_X30Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y76 IS_RESERVED 0 SITEPROP SLICE_X30Y76 IS_TEST 0 SITEPROP SLICE_X30Y76 IS_USED 0 SITEPROP SLICE_X30Y76 MANUAL_ROUTING SITEPROP SLICE_X30Y76 NAME SLICE_X30Y76 SITEPROP SLICE_X30Y76 NUM_ARCS 153 SITEPROP SLICE_X30Y76 NUM_BELS 32 SITEPROP SLICE_X30Y76 NUM_INPUTS 37 SITEPROP SLICE_X30Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y76 NUM_PINS 50 SITEPROP SLICE_X30Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y76 PROHIBIT 0 SITEPROP SLICE_X30Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y76 RPM_X 85 SITEPROP SLICE_X30Y76 RPM_Y 152 SITEPROP SLICE_X30Y76 SITE_PIPS SITEPROP SLICE_X30Y76 SITE_TYPE SLICEM SITEPROP SLICE_X30Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y77 CLASS site SITEPROP SLICE_X30Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y77 IS_BONDED 0 SITEPROP SLICE_X30Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y77 IS_PAD 0 SITEPROP SLICE_X30Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y77 IS_RESERVED 0 SITEPROP SLICE_X30Y77 IS_TEST 0 SITEPROP SLICE_X30Y77 IS_USED 0 SITEPROP SLICE_X30Y77 MANUAL_ROUTING SITEPROP SLICE_X30Y77 NAME SLICE_X30Y77 SITEPROP SLICE_X30Y77 NUM_ARCS 153 SITEPROP SLICE_X30Y77 NUM_BELS 32 SITEPROP SLICE_X30Y77 NUM_INPUTS 37 SITEPROP SLICE_X30Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y77 NUM_PINS 50 SITEPROP SLICE_X30Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y77 PROHIBIT 0 SITEPROP SLICE_X30Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y77 RPM_X 85 SITEPROP SLICE_X30Y77 RPM_Y 154 SITEPROP SLICE_X30Y77 SITE_PIPS SITEPROP SLICE_X30Y77 SITE_TYPE SLICEM SITEPROP SLICE_X30Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y78 CLASS site SITEPROP SLICE_X30Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y78 IS_BONDED 0 SITEPROP SLICE_X30Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y78 IS_PAD 0 SITEPROP SLICE_X30Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y78 IS_RESERVED 0 SITEPROP SLICE_X30Y78 IS_TEST 0 SITEPROP SLICE_X30Y78 IS_USED 0 SITEPROP SLICE_X30Y78 MANUAL_ROUTING SITEPROP SLICE_X30Y78 NAME SLICE_X30Y78 SITEPROP SLICE_X30Y78 NUM_ARCS 153 SITEPROP SLICE_X30Y78 NUM_BELS 32 SITEPROP SLICE_X30Y78 NUM_INPUTS 37 SITEPROP SLICE_X30Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y78 NUM_PINS 50 SITEPROP SLICE_X30Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y78 PROHIBIT 0 SITEPROP SLICE_X30Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y78 RPM_X 85 SITEPROP SLICE_X30Y78 RPM_Y 156 SITEPROP SLICE_X30Y78 SITE_PIPS SITEPROP SLICE_X30Y78 SITE_TYPE SLICEM SITEPROP SLICE_X30Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y79 CLASS site SITEPROP SLICE_X30Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y79 IS_BONDED 0 SITEPROP SLICE_X30Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y79 IS_PAD 0 SITEPROP SLICE_X30Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y79 IS_RESERVED 0 SITEPROP SLICE_X30Y79 IS_TEST 0 SITEPROP SLICE_X30Y79 IS_USED 0 SITEPROP SLICE_X30Y79 MANUAL_ROUTING SITEPROP SLICE_X30Y79 NAME SLICE_X30Y79 SITEPROP SLICE_X30Y79 NUM_ARCS 153 SITEPROP SLICE_X30Y79 NUM_BELS 32 SITEPROP SLICE_X30Y79 NUM_INPUTS 37 SITEPROP SLICE_X30Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y79 NUM_PINS 50 SITEPROP SLICE_X30Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y79 PROHIBIT 0 SITEPROP SLICE_X30Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y79 RPM_X 85 SITEPROP SLICE_X30Y79 RPM_Y 158 SITEPROP SLICE_X30Y79 SITE_PIPS SITEPROP SLICE_X30Y79 SITE_TYPE SLICEM SITEPROP SLICE_X30Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y80 CLASS site SITEPROP SLICE_X30Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y80 IS_BONDED 0 SITEPROP SLICE_X30Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y80 IS_PAD 0 SITEPROP SLICE_X30Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y80 IS_RESERVED 0 SITEPROP SLICE_X30Y80 IS_TEST 0 SITEPROP SLICE_X30Y80 IS_USED 0 SITEPROP SLICE_X30Y80 MANUAL_ROUTING SITEPROP SLICE_X30Y80 NAME SLICE_X30Y80 SITEPROP SLICE_X30Y80 NUM_ARCS 153 SITEPROP SLICE_X30Y80 NUM_BELS 32 SITEPROP SLICE_X30Y80 NUM_INPUTS 37 SITEPROP SLICE_X30Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y80 NUM_PINS 50 SITEPROP SLICE_X30Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y80 PROHIBIT 0 SITEPROP SLICE_X30Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y80 RPM_X 85 SITEPROP SLICE_X30Y80 RPM_Y 160 SITEPROP SLICE_X30Y80 SITE_PIPS SITEPROP SLICE_X30Y80 SITE_TYPE SLICEM SITEPROP SLICE_X30Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y81 CLASS site SITEPROP SLICE_X30Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y81 IS_BONDED 0 SITEPROP SLICE_X30Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y81 IS_PAD 0 SITEPROP SLICE_X30Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y81 IS_RESERVED 0 SITEPROP SLICE_X30Y81 IS_TEST 0 SITEPROP SLICE_X30Y81 IS_USED 0 SITEPROP SLICE_X30Y81 MANUAL_ROUTING SITEPROP SLICE_X30Y81 NAME SLICE_X30Y81 SITEPROP SLICE_X30Y81 NUM_ARCS 153 SITEPROP SLICE_X30Y81 NUM_BELS 32 SITEPROP SLICE_X30Y81 NUM_INPUTS 37 SITEPROP SLICE_X30Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y81 NUM_PINS 50 SITEPROP SLICE_X30Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y81 PROHIBIT 0 SITEPROP SLICE_X30Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y81 RPM_X 85 SITEPROP SLICE_X30Y81 RPM_Y 162 SITEPROP SLICE_X30Y81 SITE_PIPS SITEPROP SLICE_X30Y81 SITE_TYPE SLICEM SITEPROP SLICE_X30Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y82 CLASS site SITEPROP SLICE_X30Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y82 IS_BONDED 0 SITEPROP SLICE_X30Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y82 IS_PAD 0 SITEPROP SLICE_X30Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y82 IS_RESERVED 0 SITEPROP SLICE_X30Y82 IS_TEST 0 SITEPROP SLICE_X30Y82 IS_USED 0 SITEPROP SLICE_X30Y82 MANUAL_ROUTING SITEPROP SLICE_X30Y82 NAME SLICE_X30Y82 SITEPROP SLICE_X30Y82 NUM_ARCS 153 SITEPROP SLICE_X30Y82 NUM_BELS 32 SITEPROP SLICE_X30Y82 NUM_INPUTS 37 SITEPROP SLICE_X30Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y82 NUM_PINS 50 SITEPROP SLICE_X30Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y82 PROHIBIT 0 SITEPROP SLICE_X30Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y82 RPM_X 85 SITEPROP SLICE_X30Y82 RPM_Y 164 SITEPROP SLICE_X30Y82 SITE_PIPS SITEPROP SLICE_X30Y82 SITE_TYPE SLICEM SITEPROP SLICE_X30Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y83 CLASS site SITEPROP SLICE_X30Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y83 IS_BONDED 0 SITEPROP SLICE_X30Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y83 IS_PAD 0 SITEPROP SLICE_X30Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y83 IS_RESERVED 0 SITEPROP SLICE_X30Y83 IS_TEST 0 SITEPROP SLICE_X30Y83 IS_USED 0 SITEPROP SLICE_X30Y83 MANUAL_ROUTING SITEPROP SLICE_X30Y83 NAME SLICE_X30Y83 SITEPROP SLICE_X30Y83 NUM_ARCS 153 SITEPROP SLICE_X30Y83 NUM_BELS 32 SITEPROP SLICE_X30Y83 NUM_INPUTS 37 SITEPROP SLICE_X30Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y83 NUM_PINS 50 SITEPROP SLICE_X30Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y83 PROHIBIT 0 SITEPROP SLICE_X30Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y83 RPM_X 85 SITEPROP SLICE_X30Y83 RPM_Y 166 SITEPROP SLICE_X30Y83 SITE_PIPS SITEPROP SLICE_X30Y83 SITE_TYPE SLICEM SITEPROP SLICE_X30Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y84 CLASS site SITEPROP SLICE_X30Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y84 IS_BONDED 0 SITEPROP SLICE_X30Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y84 IS_PAD 0 SITEPROP SLICE_X30Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y84 IS_RESERVED 0 SITEPROP SLICE_X30Y84 IS_TEST 0 SITEPROP SLICE_X30Y84 IS_USED 0 SITEPROP SLICE_X30Y84 MANUAL_ROUTING SITEPROP SLICE_X30Y84 NAME SLICE_X30Y84 SITEPROP SLICE_X30Y84 NUM_ARCS 153 SITEPROP SLICE_X30Y84 NUM_BELS 32 SITEPROP SLICE_X30Y84 NUM_INPUTS 37 SITEPROP SLICE_X30Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y84 NUM_PINS 50 SITEPROP SLICE_X30Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y84 PROHIBIT 0 SITEPROP SLICE_X30Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y84 RPM_X 85 SITEPROP SLICE_X30Y84 RPM_Y 168 SITEPROP SLICE_X30Y84 SITE_PIPS SITEPROP SLICE_X30Y84 SITE_TYPE SLICEM SITEPROP SLICE_X30Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y85 CLASS site SITEPROP SLICE_X30Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y85 IS_BONDED 0 SITEPROP SLICE_X30Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y85 IS_PAD 0 SITEPROP SLICE_X30Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y85 IS_RESERVED 0 SITEPROP SLICE_X30Y85 IS_TEST 0 SITEPROP SLICE_X30Y85 IS_USED 0 SITEPROP SLICE_X30Y85 MANUAL_ROUTING SITEPROP SLICE_X30Y85 NAME SLICE_X30Y85 SITEPROP SLICE_X30Y85 NUM_ARCS 153 SITEPROP SLICE_X30Y85 NUM_BELS 32 SITEPROP SLICE_X30Y85 NUM_INPUTS 37 SITEPROP SLICE_X30Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y85 NUM_PINS 50 SITEPROP SLICE_X30Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y85 PROHIBIT 0 SITEPROP SLICE_X30Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y85 RPM_X 85 SITEPROP SLICE_X30Y85 RPM_Y 170 SITEPROP SLICE_X30Y85 SITE_PIPS SITEPROP SLICE_X30Y85 SITE_TYPE SLICEM SITEPROP SLICE_X30Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y86 CLASS site SITEPROP SLICE_X30Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y86 IS_BONDED 0 SITEPROP SLICE_X30Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y86 IS_PAD 0 SITEPROP SLICE_X30Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y86 IS_RESERVED 0 SITEPROP SLICE_X30Y86 IS_TEST 0 SITEPROP SLICE_X30Y86 IS_USED 0 SITEPROP SLICE_X30Y86 MANUAL_ROUTING SITEPROP SLICE_X30Y86 NAME SLICE_X30Y86 SITEPROP SLICE_X30Y86 NUM_ARCS 153 SITEPROP SLICE_X30Y86 NUM_BELS 32 SITEPROP SLICE_X30Y86 NUM_INPUTS 37 SITEPROP SLICE_X30Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y86 NUM_PINS 50 SITEPROP SLICE_X30Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y86 PROHIBIT 0 SITEPROP SLICE_X30Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y86 RPM_X 85 SITEPROP SLICE_X30Y86 RPM_Y 172 SITEPROP SLICE_X30Y86 SITE_PIPS SITEPROP SLICE_X30Y86 SITE_TYPE SLICEM SITEPROP SLICE_X30Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y87 CLASS site SITEPROP SLICE_X30Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y87 IS_BONDED 0 SITEPROP SLICE_X30Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y87 IS_PAD 0 SITEPROP SLICE_X30Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y87 IS_RESERVED 0 SITEPROP SLICE_X30Y87 IS_TEST 0 SITEPROP SLICE_X30Y87 IS_USED 0 SITEPROP SLICE_X30Y87 MANUAL_ROUTING SITEPROP SLICE_X30Y87 NAME SLICE_X30Y87 SITEPROP SLICE_X30Y87 NUM_ARCS 153 SITEPROP SLICE_X30Y87 NUM_BELS 32 SITEPROP SLICE_X30Y87 NUM_INPUTS 37 SITEPROP SLICE_X30Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y87 NUM_PINS 50 SITEPROP SLICE_X30Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y87 PROHIBIT 0 SITEPROP SLICE_X30Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y87 RPM_X 85 SITEPROP SLICE_X30Y87 RPM_Y 174 SITEPROP SLICE_X30Y87 SITE_PIPS SITEPROP SLICE_X30Y87 SITE_TYPE SLICEM SITEPROP SLICE_X30Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y88 CLASS site SITEPROP SLICE_X30Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y88 IS_BONDED 0 SITEPROP SLICE_X30Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y88 IS_PAD 0 SITEPROP SLICE_X30Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y88 IS_RESERVED 0 SITEPROP SLICE_X30Y88 IS_TEST 0 SITEPROP SLICE_X30Y88 IS_USED 0 SITEPROP SLICE_X30Y88 MANUAL_ROUTING SITEPROP SLICE_X30Y88 NAME SLICE_X30Y88 SITEPROP SLICE_X30Y88 NUM_ARCS 153 SITEPROP SLICE_X30Y88 NUM_BELS 32 SITEPROP SLICE_X30Y88 NUM_INPUTS 37 SITEPROP SLICE_X30Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y88 NUM_PINS 50 SITEPROP SLICE_X30Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y88 PROHIBIT 0 SITEPROP SLICE_X30Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y88 RPM_X 85 SITEPROP SLICE_X30Y88 RPM_Y 176 SITEPROP SLICE_X30Y88 SITE_PIPS SITEPROP SLICE_X30Y88 SITE_TYPE SLICEM SITEPROP SLICE_X30Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y89 CLASS site SITEPROP SLICE_X30Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y89 IS_BONDED 0 SITEPROP SLICE_X30Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y89 IS_PAD 0 SITEPROP SLICE_X30Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y89 IS_RESERVED 0 SITEPROP SLICE_X30Y89 IS_TEST 0 SITEPROP SLICE_X30Y89 IS_USED 0 SITEPROP SLICE_X30Y89 MANUAL_ROUTING SITEPROP SLICE_X30Y89 NAME SLICE_X30Y89 SITEPROP SLICE_X30Y89 NUM_ARCS 153 SITEPROP SLICE_X30Y89 NUM_BELS 32 SITEPROP SLICE_X30Y89 NUM_INPUTS 37 SITEPROP SLICE_X30Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y89 NUM_PINS 50 SITEPROP SLICE_X30Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y89 PROHIBIT 0 SITEPROP SLICE_X30Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y89 RPM_X 85 SITEPROP SLICE_X30Y89 RPM_Y 178 SITEPROP SLICE_X30Y89 SITE_PIPS SITEPROP SLICE_X30Y89 SITE_TYPE SLICEM SITEPROP SLICE_X30Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y90 CLASS site SITEPROP SLICE_X30Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y90 IS_BONDED 0 SITEPROP SLICE_X30Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y90 IS_PAD 0 SITEPROP SLICE_X30Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y90 IS_RESERVED 0 SITEPROP SLICE_X30Y90 IS_TEST 0 SITEPROP SLICE_X30Y90 IS_USED 0 SITEPROP SLICE_X30Y90 MANUAL_ROUTING SITEPROP SLICE_X30Y90 NAME SLICE_X30Y90 SITEPROP SLICE_X30Y90 NUM_ARCS 153 SITEPROP SLICE_X30Y90 NUM_BELS 32 SITEPROP SLICE_X30Y90 NUM_INPUTS 37 SITEPROP SLICE_X30Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y90 NUM_PINS 50 SITEPROP SLICE_X30Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y90 PROHIBIT 0 SITEPROP SLICE_X30Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y90 RPM_X 85 SITEPROP SLICE_X30Y90 RPM_Y 180 SITEPROP SLICE_X30Y90 SITE_PIPS SITEPROP SLICE_X30Y90 SITE_TYPE SLICEM SITEPROP SLICE_X30Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y91 CLASS site SITEPROP SLICE_X30Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y91 IS_BONDED 0 SITEPROP SLICE_X30Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y91 IS_PAD 0 SITEPROP SLICE_X30Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y91 IS_RESERVED 0 SITEPROP SLICE_X30Y91 IS_TEST 0 SITEPROP SLICE_X30Y91 IS_USED 0 SITEPROP SLICE_X30Y91 MANUAL_ROUTING SITEPROP SLICE_X30Y91 NAME SLICE_X30Y91 SITEPROP SLICE_X30Y91 NUM_ARCS 153 SITEPROP SLICE_X30Y91 NUM_BELS 32 SITEPROP SLICE_X30Y91 NUM_INPUTS 37 SITEPROP SLICE_X30Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y91 NUM_PINS 50 SITEPROP SLICE_X30Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y91 PROHIBIT 0 SITEPROP SLICE_X30Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y91 RPM_X 85 SITEPROP SLICE_X30Y91 RPM_Y 182 SITEPROP SLICE_X30Y91 SITE_PIPS SITEPROP SLICE_X30Y91 SITE_TYPE SLICEM SITEPROP SLICE_X30Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y92 CLASS site SITEPROP SLICE_X30Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y92 IS_BONDED 0 SITEPROP SLICE_X30Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y92 IS_PAD 0 SITEPROP SLICE_X30Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y92 IS_RESERVED 0 SITEPROP SLICE_X30Y92 IS_TEST 0 SITEPROP SLICE_X30Y92 IS_USED 0 SITEPROP SLICE_X30Y92 MANUAL_ROUTING SITEPROP SLICE_X30Y92 NAME SLICE_X30Y92 SITEPROP SLICE_X30Y92 NUM_ARCS 153 SITEPROP SLICE_X30Y92 NUM_BELS 32 SITEPROP SLICE_X30Y92 NUM_INPUTS 37 SITEPROP SLICE_X30Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y92 NUM_PINS 50 SITEPROP SLICE_X30Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y92 PROHIBIT 0 SITEPROP SLICE_X30Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y92 RPM_X 85 SITEPROP SLICE_X30Y92 RPM_Y 184 SITEPROP SLICE_X30Y92 SITE_PIPS SITEPROP SLICE_X30Y92 SITE_TYPE SLICEM SITEPROP SLICE_X30Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y93 CLASS site SITEPROP SLICE_X30Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y93 IS_BONDED 0 SITEPROP SLICE_X30Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y93 IS_PAD 0 SITEPROP SLICE_X30Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y93 IS_RESERVED 0 SITEPROP SLICE_X30Y93 IS_TEST 0 SITEPROP SLICE_X30Y93 IS_USED 0 SITEPROP SLICE_X30Y93 MANUAL_ROUTING SITEPROP SLICE_X30Y93 NAME SLICE_X30Y93 SITEPROP SLICE_X30Y93 NUM_ARCS 153 SITEPROP SLICE_X30Y93 NUM_BELS 32 SITEPROP SLICE_X30Y93 NUM_INPUTS 37 SITEPROP SLICE_X30Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y93 NUM_PINS 50 SITEPROP SLICE_X30Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y93 PROHIBIT 0 SITEPROP SLICE_X30Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y93 RPM_X 85 SITEPROP SLICE_X30Y93 RPM_Y 186 SITEPROP SLICE_X30Y93 SITE_PIPS SITEPROP SLICE_X30Y93 SITE_TYPE SLICEM SITEPROP SLICE_X30Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y94 CLASS site SITEPROP SLICE_X30Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y94 IS_BONDED 0 SITEPROP SLICE_X30Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y94 IS_PAD 0 SITEPROP SLICE_X30Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y94 IS_RESERVED 0 SITEPROP SLICE_X30Y94 IS_TEST 0 SITEPROP SLICE_X30Y94 IS_USED 0 SITEPROP SLICE_X30Y94 MANUAL_ROUTING SITEPROP SLICE_X30Y94 NAME SLICE_X30Y94 SITEPROP SLICE_X30Y94 NUM_ARCS 153 SITEPROP SLICE_X30Y94 NUM_BELS 32 SITEPROP SLICE_X30Y94 NUM_INPUTS 37 SITEPROP SLICE_X30Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y94 NUM_PINS 50 SITEPROP SLICE_X30Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y94 PROHIBIT 0 SITEPROP SLICE_X30Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y94 RPM_X 85 SITEPROP SLICE_X30Y94 RPM_Y 188 SITEPROP SLICE_X30Y94 SITE_PIPS SITEPROP SLICE_X30Y94 SITE_TYPE SLICEM SITEPROP SLICE_X30Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y95 CLASS site SITEPROP SLICE_X30Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y95 IS_BONDED 0 SITEPROP SLICE_X30Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y95 IS_PAD 0 SITEPROP SLICE_X30Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y95 IS_RESERVED 0 SITEPROP SLICE_X30Y95 IS_TEST 0 SITEPROP SLICE_X30Y95 IS_USED 0 SITEPROP SLICE_X30Y95 MANUAL_ROUTING SITEPROP SLICE_X30Y95 NAME SLICE_X30Y95 SITEPROP SLICE_X30Y95 NUM_ARCS 153 SITEPROP SLICE_X30Y95 NUM_BELS 32 SITEPROP SLICE_X30Y95 NUM_INPUTS 37 SITEPROP SLICE_X30Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y95 NUM_PINS 50 SITEPROP SLICE_X30Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y95 PROHIBIT 0 SITEPROP SLICE_X30Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y95 RPM_X 85 SITEPROP SLICE_X30Y95 RPM_Y 190 SITEPROP SLICE_X30Y95 SITE_PIPS SITEPROP SLICE_X30Y95 SITE_TYPE SLICEM SITEPROP SLICE_X30Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y96 CLASS site SITEPROP SLICE_X30Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y96 IS_BONDED 0 SITEPROP SLICE_X30Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y96 IS_PAD 0 SITEPROP SLICE_X30Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y96 IS_RESERVED 0 SITEPROP SLICE_X30Y96 IS_TEST 0 SITEPROP SLICE_X30Y96 IS_USED 0 SITEPROP SLICE_X30Y96 MANUAL_ROUTING SITEPROP SLICE_X30Y96 NAME SLICE_X30Y96 SITEPROP SLICE_X30Y96 NUM_ARCS 153 SITEPROP SLICE_X30Y96 NUM_BELS 32 SITEPROP SLICE_X30Y96 NUM_INPUTS 37 SITEPROP SLICE_X30Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y96 NUM_PINS 50 SITEPROP SLICE_X30Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y96 PROHIBIT 0 SITEPROP SLICE_X30Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y96 RPM_X 85 SITEPROP SLICE_X30Y96 RPM_Y 192 SITEPROP SLICE_X30Y96 SITE_PIPS SITEPROP SLICE_X30Y96 SITE_TYPE SLICEM SITEPROP SLICE_X30Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y97 CLASS site SITEPROP SLICE_X30Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y97 IS_BONDED 0 SITEPROP SLICE_X30Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y97 IS_PAD 0 SITEPROP SLICE_X30Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y97 IS_RESERVED 0 SITEPROP SLICE_X30Y97 IS_TEST 0 SITEPROP SLICE_X30Y97 IS_USED 0 SITEPROP SLICE_X30Y97 MANUAL_ROUTING SITEPROP SLICE_X30Y97 NAME SLICE_X30Y97 SITEPROP SLICE_X30Y97 NUM_ARCS 153 SITEPROP SLICE_X30Y97 NUM_BELS 32 SITEPROP SLICE_X30Y97 NUM_INPUTS 37 SITEPROP SLICE_X30Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y97 NUM_PINS 50 SITEPROP SLICE_X30Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y97 PROHIBIT 0 SITEPROP SLICE_X30Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y97 RPM_X 85 SITEPROP SLICE_X30Y97 RPM_Y 194 SITEPROP SLICE_X30Y97 SITE_PIPS SITEPROP SLICE_X30Y97 SITE_TYPE SLICEM SITEPROP SLICE_X30Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y98 CLASS site SITEPROP SLICE_X30Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y98 IS_BONDED 0 SITEPROP SLICE_X30Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y98 IS_PAD 0 SITEPROP SLICE_X30Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y98 IS_RESERVED 0 SITEPROP SLICE_X30Y98 IS_TEST 0 SITEPROP SLICE_X30Y98 IS_USED 0 SITEPROP SLICE_X30Y98 MANUAL_ROUTING SITEPROP SLICE_X30Y98 NAME SLICE_X30Y98 SITEPROP SLICE_X30Y98 NUM_ARCS 153 SITEPROP SLICE_X30Y98 NUM_BELS 32 SITEPROP SLICE_X30Y98 NUM_INPUTS 37 SITEPROP SLICE_X30Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y98 NUM_PINS 50 SITEPROP SLICE_X30Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y98 PROHIBIT 0 SITEPROP SLICE_X30Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y98 RPM_X 85 SITEPROP SLICE_X30Y98 RPM_Y 196 SITEPROP SLICE_X30Y98 SITE_PIPS SITEPROP SLICE_X30Y98 SITE_TYPE SLICEM SITEPROP SLICE_X30Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y99 CLASS site SITEPROP SLICE_X30Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X30Y99 IS_BONDED 0 SITEPROP SLICE_X30Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y99 IS_PAD 0 SITEPROP SLICE_X30Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y99 IS_RESERVED 0 SITEPROP SLICE_X30Y99 IS_TEST 0 SITEPROP SLICE_X30Y99 IS_USED 0 SITEPROP SLICE_X30Y99 MANUAL_ROUTING SITEPROP SLICE_X30Y99 NAME SLICE_X30Y99 SITEPROP SLICE_X30Y99 NUM_ARCS 153 SITEPROP SLICE_X30Y99 NUM_BELS 32 SITEPROP SLICE_X30Y99 NUM_INPUTS 37 SITEPROP SLICE_X30Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y99 NUM_PINS 50 SITEPROP SLICE_X30Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y99 PROHIBIT 0 SITEPROP SLICE_X30Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y99 RPM_X 85 SITEPROP SLICE_X30Y99 RPM_Y 198 SITEPROP SLICE_X30Y99 SITE_PIPS SITEPROP SLICE_X30Y99 SITE_TYPE SLICEM SITEPROP SLICE_X30Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y100 CLASS site SITEPROP SLICE_X30Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y100 IS_BONDED 0 SITEPROP SLICE_X30Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y100 IS_PAD 0 SITEPROP SLICE_X30Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y100 IS_RESERVED 0 SITEPROP SLICE_X30Y100 IS_TEST 0 SITEPROP SLICE_X30Y100 IS_USED 0 SITEPROP SLICE_X30Y100 MANUAL_ROUTING SITEPROP SLICE_X30Y100 NAME SLICE_X30Y100 SITEPROP SLICE_X30Y100 NUM_ARCS 153 SITEPROP SLICE_X30Y100 NUM_BELS 32 SITEPROP SLICE_X30Y100 NUM_INPUTS 37 SITEPROP SLICE_X30Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y100 NUM_PINS 50 SITEPROP SLICE_X30Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y100 PROHIBIT 0 SITEPROP SLICE_X30Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y100 RPM_X 85 SITEPROP SLICE_X30Y100 RPM_Y 200 SITEPROP SLICE_X30Y100 SITE_PIPS SITEPROP SLICE_X30Y100 SITE_TYPE SLICEM SITEPROP SLICE_X30Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y101 CLASS site SITEPROP SLICE_X30Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y101 IS_BONDED 0 SITEPROP SLICE_X30Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y101 IS_PAD 0 SITEPROP SLICE_X30Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y101 IS_RESERVED 0 SITEPROP SLICE_X30Y101 IS_TEST 0 SITEPROP SLICE_X30Y101 IS_USED 0 SITEPROP SLICE_X30Y101 MANUAL_ROUTING SITEPROP SLICE_X30Y101 NAME SLICE_X30Y101 SITEPROP SLICE_X30Y101 NUM_ARCS 153 SITEPROP SLICE_X30Y101 NUM_BELS 32 SITEPROP SLICE_X30Y101 NUM_INPUTS 37 SITEPROP SLICE_X30Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y101 NUM_PINS 50 SITEPROP SLICE_X30Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y101 PROHIBIT 0 SITEPROP SLICE_X30Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y101 RPM_X 85 SITEPROP SLICE_X30Y101 RPM_Y 202 SITEPROP SLICE_X30Y101 SITE_PIPS SITEPROP SLICE_X30Y101 SITE_TYPE SLICEM SITEPROP SLICE_X30Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y102 CLASS site SITEPROP SLICE_X30Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y102 IS_BONDED 0 SITEPROP SLICE_X30Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y102 IS_PAD 0 SITEPROP SLICE_X30Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y102 IS_RESERVED 0 SITEPROP SLICE_X30Y102 IS_TEST 0 SITEPROP SLICE_X30Y102 IS_USED 0 SITEPROP SLICE_X30Y102 MANUAL_ROUTING SITEPROP SLICE_X30Y102 NAME SLICE_X30Y102 SITEPROP SLICE_X30Y102 NUM_ARCS 153 SITEPROP SLICE_X30Y102 NUM_BELS 32 SITEPROP SLICE_X30Y102 NUM_INPUTS 37 SITEPROP SLICE_X30Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y102 NUM_PINS 50 SITEPROP SLICE_X30Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y102 PROHIBIT 0 SITEPROP SLICE_X30Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y102 RPM_X 85 SITEPROP SLICE_X30Y102 RPM_Y 204 SITEPROP SLICE_X30Y102 SITE_PIPS SITEPROP SLICE_X30Y102 SITE_TYPE SLICEM SITEPROP SLICE_X30Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y103 CLASS site SITEPROP SLICE_X30Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y103 IS_BONDED 0 SITEPROP SLICE_X30Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y103 IS_PAD 0 SITEPROP SLICE_X30Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y103 IS_RESERVED 0 SITEPROP SLICE_X30Y103 IS_TEST 0 SITEPROP SLICE_X30Y103 IS_USED 0 SITEPROP SLICE_X30Y103 MANUAL_ROUTING SITEPROP SLICE_X30Y103 NAME SLICE_X30Y103 SITEPROP SLICE_X30Y103 NUM_ARCS 153 SITEPROP SLICE_X30Y103 NUM_BELS 32 SITEPROP SLICE_X30Y103 NUM_INPUTS 37 SITEPROP SLICE_X30Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y103 NUM_PINS 50 SITEPROP SLICE_X30Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y103 PROHIBIT 0 SITEPROP SLICE_X30Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y103 RPM_X 85 SITEPROP SLICE_X30Y103 RPM_Y 206 SITEPROP SLICE_X30Y103 SITE_PIPS SITEPROP SLICE_X30Y103 SITE_TYPE SLICEM SITEPROP SLICE_X30Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y104 CLASS site SITEPROP SLICE_X30Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y104 IS_BONDED 0 SITEPROP SLICE_X30Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y104 IS_PAD 0 SITEPROP SLICE_X30Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y104 IS_RESERVED 0 SITEPROP SLICE_X30Y104 IS_TEST 0 SITEPROP SLICE_X30Y104 IS_USED 0 SITEPROP SLICE_X30Y104 MANUAL_ROUTING SITEPROP SLICE_X30Y104 NAME SLICE_X30Y104 SITEPROP SLICE_X30Y104 NUM_ARCS 153 SITEPROP SLICE_X30Y104 NUM_BELS 32 SITEPROP SLICE_X30Y104 NUM_INPUTS 37 SITEPROP SLICE_X30Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y104 NUM_PINS 50 SITEPROP SLICE_X30Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y104 PROHIBIT 0 SITEPROP SLICE_X30Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y104 RPM_X 85 SITEPROP SLICE_X30Y104 RPM_Y 208 SITEPROP SLICE_X30Y104 SITE_PIPS SITEPROP SLICE_X30Y104 SITE_TYPE SLICEM SITEPROP SLICE_X30Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y105 CLASS site SITEPROP SLICE_X30Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y105 IS_BONDED 0 SITEPROP SLICE_X30Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y105 IS_PAD 0 SITEPROP SLICE_X30Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y105 IS_RESERVED 0 SITEPROP SLICE_X30Y105 IS_TEST 0 SITEPROP SLICE_X30Y105 IS_USED 0 SITEPROP SLICE_X30Y105 MANUAL_ROUTING SITEPROP SLICE_X30Y105 NAME SLICE_X30Y105 SITEPROP SLICE_X30Y105 NUM_ARCS 153 SITEPROP SLICE_X30Y105 NUM_BELS 32 SITEPROP SLICE_X30Y105 NUM_INPUTS 37 SITEPROP SLICE_X30Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y105 NUM_PINS 50 SITEPROP SLICE_X30Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y105 PROHIBIT 0 SITEPROP SLICE_X30Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y105 RPM_X 85 SITEPROP SLICE_X30Y105 RPM_Y 210 SITEPROP SLICE_X30Y105 SITE_PIPS SITEPROP SLICE_X30Y105 SITE_TYPE SLICEM SITEPROP SLICE_X30Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y106 CLASS site SITEPROP SLICE_X30Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y106 IS_BONDED 0 SITEPROP SLICE_X30Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y106 IS_PAD 0 SITEPROP SLICE_X30Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y106 IS_RESERVED 0 SITEPROP SLICE_X30Y106 IS_TEST 0 SITEPROP SLICE_X30Y106 IS_USED 0 SITEPROP SLICE_X30Y106 MANUAL_ROUTING SITEPROP SLICE_X30Y106 NAME SLICE_X30Y106 SITEPROP SLICE_X30Y106 NUM_ARCS 153 SITEPROP SLICE_X30Y106 NUM_BELS 32 SITEPROP SLICE_X30Y106 NUM_INPUTS 37 SITEPROP SLICE_X30Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y106 NUM_PINS 50 SITEPROP SLICE_X30Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y106 PROHIBIT 0 SITEPROP SLICE_X30Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y106 RPM_X 85 SITEPROP SLICE_X30Y106 RPM_Y 212 SITEPROP SLICE_X30Y106 SITE_PIPS SITEPROP SLICE_X30Y106 SITE_TYPE SLICEM SITEPROP SLICE_X30Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y107 CLASS site SITEPROP SLICE_X30Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y107 IS_BONDED 0 SITEPROP SLICE_X30Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y107 IS_PAD 0 SITEPROP SLICE_X30Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y107 IS_RESERVED 0 SITEPROP SLICE_X30Y107 IS_TEST 0 SITEPROP SLICE_X30Y107 IS_USED 0 SITEPROP SLICE_X30Y107 MANUAL_ROUTING SITEPROP SLICE_X30Y107 NAME SLICE_X30Y107 SITEPROP SLICE_X30Y107 NUM_ARCS 153 SITEPROP SLICE_X30Y107 NUM_BELS 32 SITEPROP SLICE_X30Y107 NUM_INPUTS 37 SITEPROP SLICE_X30Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y107 NUM_PINS 50 SITEPROP SLICE_X30Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y107 PROHIBIT 0 SITEPROP SLICE_X30Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y107 RPM_X 85 SITEPROP SLICE_X30Y107 RPM_Y 214 SITEPROP SLICE_X30Y107 SITE_PIPS SITEPROP SLICE_X30Y107 SITE_TYPE SLICEM SITEPROP SLICE_X30Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y108 CLASS site SITEPROP SLICE_X30Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y108 IS_BONDED 0 SITEPROP SLICE_X30Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y108 IS_PAD 0 SITEPROP SLICE_X30Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y108 IS_RESERVED 0 SITEPROP SLICE_X30Y108 IS_TEST 0 SITEPROP SLICE_X30Y108 IS_USED 0 SITEPROP SLICE_X30Y108 MANUAL_ROUTING SITEPROP SLICE_X30Y108 NAME SLICE_X30Y108 SITEPROP SLICE_X30Y108 NUM_ARCS 153 SITEPROP SLICE_X30Y108 NUM_BELS 32 SITEPROP SLICE_X30Y108 NUM_INPUTS 37 SITEPROP SLICE_X30Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y108 NUM_PINS 50 SITEPROP SLICE_X30Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y108 PROHIBIT 0 SITEPROP SLICE_X30Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y108 RPM_X 85 SITEPROP SLICE_X30Y108 RPM_Y 216 SITEPROP SLICE_X30Y108 SITE_PIPS SITEPROP SLICE_X30Y108 SITE_TYPE SLICEM SITEPROP SLICE_X30Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y109 CLASS site SITEPROP SLICE_X30Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y109 IS_BONDED 0 SITEPROP SLICE_X30Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y109 IS_PAD 0 SITEPROP SLICE_X30Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y109 IS_RESERVED 0 SITEPROP SLICE_X30Y109 IS_TEST 0 SITEPROP SLICE_X30Y109 IS_USED 0 SITEPROP SLICE_X30Y109 MANUAL_ROUTING SITEPROP SLICE_X30Y109 NAME SLICE_X30Y109 SITEPROP SLICE_X30Y109 NUM_ARCS 153 SITEPROP SLICE_X30Y109 NUM_BELS 32 SITEPROP SLICE_X30Y109 NUM_INPUTS 37 SITEPROP SLICE_X30Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y109 NUM_PINS 50 SITEPROP SLICE_X30Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y109 PROHIBIT 0 SITEPROP SLICE_X30Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y109 RPM_X 85 SITEPROP SLICE_X30Y109 RPM_Y 218 SITEPROP SLICE_X30Y109 SITE_PIPS SITEPROP SLICE_X30Y109 SITE_TYPE SLICEM SITEPROP SLICE_X30Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y110 CLASS site SITEPROP SLICE_X30Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y110 IS_BONDED 0 SITEPROP SLICE_X30Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y110 IS_PAD 0 SITEPROP SLICE_X30Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y110 IS_RESERVED 0 SITEPROP SLICE_X30Y110 IS_TEST 0 SITEPROP SLICE_X30Y110 IS_USED 0 SITEPROP SLICE_X30Y110 MANUAL_ROUTING SITEPROP SLICE_X30Y110 NAME SLICE_X30Y110 SITEPROP SLICE_X30Y110 NUM_ARCS 153 SITEPROP SLICE_X30Y110 NUM_BELS 32 SITEPROP SLICE_X30Y110 NUM_INPUTS 37 SITEPROP SLICE_X30Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y110 NUM_PINS 50 SITEPROP SLICE_X30Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y110 PROHIBIT 0 SITEPROP SLICE_X30Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y110 RPM_X 85 SITEPROP SLICE_X30Y110 RPM_Y 220 SITEPROP SLICE_X30Y110 SITE_PIPS SITEPROP SLICE_X30Y110 SITE_TYPE SLICEM SITEPROP SLICE_X30Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y111 CLASS site SITEPROP SLICE_X30Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y111 IS_BONDED 0 SITEPROP SLICE_X30Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y111 IS_PAD 0 SITEPROP SLICE_X30Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y111 IS_RESERVED 0 SITEPROP SLICE_X30Y111 IS_TEST 0 SITEPROP SLICE_X30Y111 IS_USED 0 SITEPROP SLICE_X30Y111 MANUAL_ROUTING SITEPROP SLICE_X30Y111 NAME SLICE_X30Y111 SITEPROP SLICE_X30Y111 NUM_ARCS 153 SITEPROP SLICE_X30Y111 NUM_BELS 32 SITEPROP SLICE_X30Y111 NUM_INPUTS 37 SITEPROP SLICE_X30Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y111 NUM_PINS 50 SITEPROP SLICE_X30Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y111 PROHIBIT 0 SITEPROP SLICE_X30Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y111 RPM_X 85 SITEPROP SLICE_X30Y111 RPM_Y 222 SITEPROP SLICE_X30Y111 SITE_PIPS SITEPROP SLICE_X30Y111 SITE_TYPE SLICEM SITEPROP SLICE_X30Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y112 CLASS site SITEPROP SLICE_X30Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y112 IS_BONDED 0 SITEPROP SLICE_X30Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y112 IS_PAD 0 SITEPROP SLICE_X30Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y112 IS_RESERVED 0 SITEPROP SLICE_X30Y112 IS_TEST 0 SITEPROP SLICE_X30Y112 IS_USED 0 SITEPROP SLICE_X30Y112 MANUAL_ROUTING SITEPROP SLICE_X30Y112 NAME SLICE_X30Y112 SITEPROP SLICE_X30Y112 NUM_ARCS 153 SITEPROP SLICE_X30Y112 NUM_BELS 32 SITEPROP SLICE_X30Y112 NUM_INPUTS 37 SITEPROP SLICE_X30Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y112 NUM_PINS 50 SITEPROP SLICE_X30Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y112 PROHIBIT 0 SITEPROP SLICE_X30Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y112 RPM_X 85 SITEPROP SLICE_X30Y112 RPM_Y 224 SITEPROP SLICE_X30Y112 SITE_PIPS SITEPROP SLICE_X30Y112 SITE_TYPE SLICEM SITEPROP SLICE_X30Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y113 CLASS site SITEPROP SLICE_X30Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y113 IS_BONDED 0 SITEPROP SLICE_X30Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y113 IS_PAD 0 SITEPROP SLICE_X30Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y113 IS_RESERVED 0 SITEPROP SLICE_X30Y113 IS_TEST 0 SITEPROP SLICE_X30Y113 IS_USED 0 SITEPROP SLICE_X30Y113 MANUAL_ROUTING SITEPROP SLICE_X30Y113 NAME SLICE_X30Y113 SITEPROP SLICE_X30Y113 NUM_ARCS 153 SITEPROP SLICE_X30Y113 NUM_BELS 32 SITEPROP SLICE_X30Y113 NUM_INPUTS 37 SITEPROP SLICE_X30Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y113 NUM_PINS 50 SITEPROP SLICE_X30Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y113 PROHIBIT 0 SITEPROP SLICE_X30Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y113 RPM_X 85 SITEPROP SLICE_X30Y113 RPM_Y 226 SITEPROP SLICE_X30Y113 SITE_PIPS SITEPROP SLICE_X30Y113 SITE_TYPE SLICEM SITEPROP SLICE_X30Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y114 CLASS site SITEPROP SLICE_X30Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y114 IS_BONDED 0 SITEPROP SLICE_X30Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y114 IS_PAD 0 SITEPROP SLICE_X30Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y114 IS_RESERVED 0 SITEPROP SLICE_X30Y114 IS_TEST 0 SITEPROP SLICE_X30Y114 IS_USED 0 SITEPROP SLICE_X30Y114 MANUAL_ROUTING SITEPROP SLICE_X30Y114 NAME SLICE_X30Y114 SITEPROP SLICE_X30Y114 NUM_ARCS 153 SITEPROP SLICE_X30Y114 NUM_BELS 32 SITEPROP SLICE_X30Y114 NUM_INPUTS 37 SITEPROP SLICE_X30Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y114 NUM_PINS 50 SITEPROP SLICE_X30Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y114 PROHIBIT 0 SITEPROP SLICE_X30Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y114 RPM_X 85 SITEPROP SLICE_X30Y114 RPM_Y 228 SITEPROP SLICE_X30Y114 SITE_PIPS SITEPROP SLICE_X30Y114 SITE_TYPE SLICEM SITEPROP SLICE_X30Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y115 CLASS site SITEPROP SLICE_X30Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y115 IS_BONDED 0 SITEPROP SLICE_X30Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y115 IS_PAD 0 SITEPROP SLICE_X30Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y115 IS_RESERVED 0 SITEPROP SLICE_X30Y115 IS_TEST 0 SITEPROP SLICE_X30Y115 IS_USED 0 SITEPROP SLICE_X30Y115 MANUAL_ROUTING SITEPROP SLICE_X30Y115 NAME SLICE_X30Y115 SITEPROP SLICE_X30Y115 NUM_ARCS 153 SITEPROP SLICE_X30Y115 NUM_BELS 32 SITEPROP SLICE_X30Y115 NUM_INPUTS 37 SITEPROP SLICE_X30Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y115 NUM_PINS 50 SITEPROP SLICE_X30Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y115 PROHIBIT 0 SITEPROP SLICE_X30Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y115 RPM_X 85 SITEPROP SLICE_X30Y115 RPM_Y 230 SITEPROP SLICE_X30Y115 SITE_PIPS SITEPROP SLICE_X30Y115 SITE_TYPE SLICEM SITEPROP SLICE_X30Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y116 CLASS site SITEPROP SLICE_X30Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y116 IS_BONDED 0 SITEPROP SLICE_X30Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y116 IS_PAD 0 SITEPROP SLICE_X30Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y116 IS_RESERVED 0 SITEPROP SLICE_X30Y116 IS_TEST 0 SITEPROP SLICE_X30Y116 IS_USED 0 SITEPROP SLICE_X30Y116 MANUAL_ROUTING SITEPROP SLICE_X30Y116 NAME SLICE_X30Y116 SITEPROP SLICE_X30Y116 NUM_ARCS 153 SITEPROP SLICE_X30Y116 NUM_BELS 32 SITEPROP SLICE_X30Y116 NUM_INPUTS 37 SITEPROP SLICE_X30Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y116 NUM_PINS 50 SITEPROP SLICE_X30Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y116 PROHIBIT 0 SITEPROP SLICE_X30Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y116 RPM_X 85 SITEPROP SLICE_X30Y116 RPM_Y 232 SITEPROP SLICE_X30Y116 SITE_PIPS SITEPROP SLICE_X30Y116 SITE_TYPE SLICEM SITEPROP SLICE_X30Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y117 CLASS site SITEPROP SLICE_X30Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y117 IS_BONDED 0 SITEPROP SLICE_X30Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y117 IS_PAD 0 SITEPROP SLICE_X30Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y117 IS_RESERVED 0 SITEPROP SLICE_X30Y117 IS_TEST 0 SITEPROP SLICE_X30Y117 IS_USED 0 SITEPROP SLICE_X30Y117 MANUAL_ROUTING SITEPROP SLICE_X30Y117 NAME SLICE_X30Y117 SITEPROP SLICE_X30Y117 NUM_ARCS 153 SITEPROP SLICE_X30Y117 NUM_BELS 32 SITEPROP SLICE_X30Y117 NUM_INPUTS 37 SITEPROP SLICE_X30Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y117 NUM_PINS 50 SITEPROP SLICE_X30Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y117 PROHIBIT 0 SITEPROP SLICE_X30Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y117 RPM_X 85 SITEPROP SLICE_X30Y117 RPM_Y 234 SITEPROP SLICE_X30Y117 SITE_PIPS SITEPROP SLICE_X30Y117 SITE_TYPE SLICEM SITEPROP SLICE_X30Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y118 CLASS site SITEPROP SLICE_X30Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y118 IS_BONDED 0 SITEPROP SLICE_X30Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y118 IS_PAD 0 SITEPROP SLICE_X30Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y118 IS_RESERVED 0 SITEPROP SLICE_X30Y118 IS_TEST 0 SITEPROP SLICE_X30Y118 IS_USED 0 SITEPROP SLICE_X30Y118 MANUAL_ROUTING SITEPROP SLICE_X30Y118 NAME SLICE_X30Y118 SITEPROP SLICE_X30Y118 NUM_ARCS 153 SITEPROP SLICE_X30Y118 NUM_BELS 32 SITEPROP SLICE_X30Y118 NUM_INPUTS 37 SITEPROP SLICE_X30Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y118 NUM_PINS 50 SITEPROP SLICE_X30Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y118 PROHIBIT 0 SITEPROP SLICE_X30Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y118 RPM_X 85 SITEPROP SLICE_X30Y118 RPM_Y 236 SITEPROP SLICE_X30Y118 SITE_PIPS SITEPROP SLICE_X30Y118 SITE_TYPE SLICEM SITEPROP SLICE_X30Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y119 CLASS site SITEPROP SLICE_X30Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y119 IS_BONDED 0 SITEPROP SLICE_X30Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y119 IS_PAD 0 SITEPROP SLICE_X30Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y119 IS_RESERVED 0 SITEPROP SLICE_X30Y119 IS_TEST 0 SITEPROP SLICE_X30Y119 IS_USED 0 SITEPROP SLICE_X30Y119 MANUAL_ROUTING SITEPROP SLICE_X30Y119 NAME SLICE_X30Y119 SITEPROP SLICE_X30Y119 NUM_ARCS 153 SITEPROP SLICE_X30Y119 NUM_BELS 32 SITEPROP SLICE_X30Y119 NUM_INPUTS 37 SITEPROP SLICE_X30Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y119 NUM_PINS 50 SITEPROP SLICE_X30Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y119 PROHIBIT 0 SITEPROP SLICE_X30Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y119 RPM_X 85 SITEPROP SLICE_X30Y119 RPM_Y 238 SITEPROP SLICE_X30Y119 SITE_PIPS SITEPROP SLICE_X30Y119 SITE_TYPE SLICEM SITEPROP SLICE_X30Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y120 CLASS site SITEPROP SLICE_X30Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y120 IS_BONDED 0 SITEPROP SLICE_X30Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y120 IS_PAD 0 SITEPROP SLICE_X30Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y120 IS_RESERVED 0 SITEPROP SLICE_X30Y120 IS_TEST 0 SITEPROP SLICE_X30Y120 IS_USED 0 SITEPROP SLICE_X30Y120 MANUAL_ROUTING SITEPROP SLICE_X30Y120 NAME SLICE_X30Y120 SITEPROP SLICE_X30Y120 NUM_ARCS 153 SITEPROP SLICE_X30Y120 NUM_BELS 32 SITEPROP SLICE_X30Y120 NUM_INPUTS 37 SITEPROP SLICE_X30Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y120 NUM_PINS 50 SITEPROP SLICE_X30Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y120 PROHIBIT 0 SITEPROP SLICE_X30Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y120 RPM_X 85 SITEPROP SLICE_X30Y120 RPM_Y 240 SITEPROP SLICE_X30Y120 SITE_PIPS SITEPROP SLICE_X30Y120 SITE_TYPE SLICEM SITEPROP SLICE_X30Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y121 CLASS site SITEPROP SLICE_X30Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y121 IS_BONDED 0 SITEPROP SLICE_X30Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y121 IS_PAD 0 SITEPROP SLICE_X30Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y121 IS_RESERVED 0 SITEPROP SLICE_X30Y121 IS_TEST 0 SITEPROP SLICE_X30Y121 IS_USED 0 SITEPROP SLICE_X30Y121 MANUAL_ROUTING SITEPROP SLICE_X30Y121 NAME SLICE_X30Y121 SITEPROP SLICE_X30Y121 NUM_ARCS 153 SITEPROP SLICE_X30Y121 NUM_BELS 32 SITEPROP SLICE_X30Y121 NUM_INPUTS 37 SITEPROP SLICE_X30Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y121 NUM_PINS 50 SITEPROP SLICE_X30Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y121 PROHIBIT 0 SITEPROP SLICE_X30Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y121 RPM_X 85 SITEPROP SLICE_X30Y121 RPM_Y 242 SITEPROP SLICE_X30Y121 SITE_PIPS SITEPROP SLICE_X30Y121 SITE_TYPE SLICEM SITEPROP SLICE_X30Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y122 CLASS site SITEPROP SLICE_X30Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y122 IS_BONDED 0 SITEPROP SLICE_X30Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y122 IS_PAD 0 SITEPROP SLICE_X30Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y122 IS_RESERVED 0 SITEPROP SLICE_X30Y122 IS_TEST 0 SITEPROP SLICE_X30Y122 IS_USED 0 SITEPROP SLICE_X30Y122 MANUAL_ROUTING SITEPROP SLICE_X30Y122 NAME SLICE_X30Y122 SITEPROP SLICE_X30Y122 NUM_ARCS 153 SITEPROP SLICE_X30Y122 NUM_BELS 32 SITEPROP SLICE_X30Y122 NUM_INPUTS 37 SITEPROP SLICE_X30Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y122 NUM_PINS 50 SITEPROP SLICE_X30Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y122 PROHIBIT 0 SITEPROP SLICE_X30Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y122 RPM_X 85 SITEPROP SLICE_X30Y122 RPM_Y 244 SITEPROP SLICE_X30Y122 SITE_PIPS SITEPROP SLICE_X30Y122 SITE_TYPE SLICEM SITEPROP SLICE_X30Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y123 CLASS site SITEPROP SLICE_X30Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y123 IS_BONDED 0 SITEPROP SLICE_X30Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y123 IS_PAD 0 SITEPROP SLICE_X30Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y123 IS_RESERVED 0 SITEPROP SLICE_X30Y123 IS_TEST 0 SITEPROP SLICE_X30Y123 IS_USED 0 SITEPROP SLICE_X30Y123 MANUAL_ROUTING SITEPROP SLICE_X30Y123 NAME SLICE_X30Y123 SITEPROP SLICE_X30Y123 NUM_ARCS 153 SITEPROP SLICE_X30Y123 NUM_BELS 32 SITEPROP SLICE_X30Y123 NUM_INPUTS 37 SITEPROP SLICE_X30Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y123 NUM_PINS 50 SITEPROP SLICE_X30Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y123 PROHIBIT 0 SITEPROP SLICE_X30Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y123 RPM_X 85 SITEPROP SLICE_X30Y123 RPM_Y 246 SITEPROP SLICE_X30Y123 SITE_PIPS SITEPROP SLICE_X30Y123 SITE_TYPE SLICEM SITEPROP SLICE_X30Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y124 CLASS site SITEPROP SLICE_X30Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y124 IS_BONDED 0 SITEPROP SLICE_X30Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y124 IS_PAD 0 SITEPROP SLICE_X30Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y124 IS_RESERVED 0 SITEPROP SLICE_X30Y124 IS_TEST 0 SITEPROP SLICE_X30Y124 IS_USED 0 SITEPROP SLICE_X30Y124 MANUAL_ROUTING SITEPROP SLICE_X30Y124 NAME SLICE_X30Y124 SITEPROP SLICE_X30Y124 NUM_ARCS 153 SITEPROP SLICE_X30Y124 NUM_BELS 32 SITEPROP SLICE_X30Y124 NUM_INPUTS 37 SITEPROP SLICE_X30Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y124 NUM_PINS 50 SITEPROP SLICE_X30Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y124 PROHIBIT 0 SITEPROP SLICE_X30Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y124 RPM_X 85 SITEPROP SLICE_X30Y124 RPM_Y 248 SITEPROP SLICE_X30Y124 SITE_PIPS SITEPROP SLICE_X30Y124 SITE_TYPE SLICEM SITEPROP SLICE_X30Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y125 CLASS site SITEPROP SLICE_X30Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y125 IS_BONDED 0 SITEPROP SLICE_X30Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y125 IS_PAD 0 SITEPROP SLICE_X30Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y125 IS_RESERVED 0 SITEPROP SLICE_X30Y125 IS_TEST 0 SITEPROP SLICE_X30Y125 IS_USED 0 SITEPROP SLICE_X30Y125 MANUAL_ROUTING SITEPROP SLICE_X30Y125 NAME SLICE_X30Y125 SITEPROP SLICE_X30Y125 NUM_ARCS 153 SITEPROP SLICE_X30Y125 NUM_BELS 32 SITEPROP SLICE_X30Y125 NUM_INPUTS 37 SITEPROP SLICE_X30Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y125 NUM_PINS 50 SITEPROP SLICE_X30Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y125 PROHIBIT 0 SITEPROP SLICE_X30Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y125 RPM_X 85 SITEPROP SLICE_X30Y125 RPM_Y 250 SITEPROP SLICE_X30Y125 SITE_PIPS SITEPROP SLICE_X30Y125 SITE_TYPE SLICEM SITEPROP SLICE_X30Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y126 CLASS site SITEPROP SLICE_X30Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y126 IS_BONDED 0 SITEPROP SLICE_X30Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y126 IS_PAD 0 SITEPROP SLICE_X30Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y126 IS_RESERVED 0 SITEPROP SLICE_X30Y126 IS_TEST 0 SITEPROP SLICE_X30Y126 IS_USED 0 SITEPROP SLICE_X30Y126 MANUAL_ROUTING SITEPROP SLICE_X30Y126 NAME SLICE_X30Y126 SITEPROP SLICE_X30Y126 NUM_ARCS 153 SITEPROP SLICE_X30Y126 NUM_BELS 32 SITEPROP SLICE_X30Y126 NUM_INPUTS 37 SITEPROP SLICE_X30Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y126 NUM_PINS 50 SITEPROP SLICE_X30Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y126 PROHIBIT 0 SITEPROP SLICE_X30Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y126 RPM_X 85 SITEPROP SLICE_X30Y126 RPM_Y 252 SITEPROP SLICE_X30Y126 SITE_PIPS SITEPROP SLICE_X30Y126 SITE_TYPE SLICEM SITEPROP SLICE_X30Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y127 CLASS site SITEPROP SLICE_X30Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y127 IS_BONDED 0 SITEPROP SLICE_X30Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y127 IS_PAD 0 SITEPROP SLICE_X30Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y127 IS_RESERVED 0 SITEPROP SLICE_X30Y127 IS_TEST 0 SITEPROP SLICE_X30Y127 IS_USED 0 SITEPROP SLICE_X30Y127 MANUAL_ROUTING SITEPROP SLICE_X30Y127 NAME SLICE_X30Y127 SITEPROP SLICE_X30Y127 NUM_ARCS 153 SITEPROP SLICE_X30Y127 NUM_BELS 32 SITEPROP SLICE_X30Y127 NUM_INPUTS 37 SITEPROP SLICE_X30Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y127 NUM_PINS 50 SITEPROP SLICE_X30Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y127 PROHIBIT 0 SITEPROP SLICE_X30Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y127 RPM_X 85 SITEPROP SLICE_X30Y127 RPM_Y 254 SITEPROP SLICE_X30Y127 SITE_PIPS SITEPROP SLICE_X30Y127 SITE_TYPE SLICEM SITEPROP SLICE_X30Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y128 CLASS site SITEPROP SLICE_X30Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y128 IS_BONDED 0 SITEPROP SLICE_X30Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y128 IS_PAD 0 SITEPROP SLICE_X30Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y128 IS_RESERVED 0 SITEPROP SLICE_X30Y128 IS_TEST 0 SITEPROP SLICE_X30Y128 IS_USED 0 SITEPROP SLICE_X30Y128 MANUAL_ROUTING SITEPROP SLICE_X30Y128 NAME SLICE_X30Y128 SITEPROP SLICE_X30Y128 NUM_ARCS 153 SITEPROP SLICE_X30Y128 NUM_BELS 32 SITEPROP SLICE_X30Y128 NUM_INPUTS 37 SITEPROP SLICE_X30Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y128 NUM_PINS 50 SITEPROP SLICE_X30Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y128 PROHIBIT 0 SITEPROP SLICE_X30Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y128 RPM_X 85 SITEPROP SLICE_X30Y128 RPM_Y 256 SITEPROP SLICE_X30Y128 SITE_PIPS SITEPROP SLICE_X30Y128 SITE_TYPE SLICEM SITEPROP SLICE_X30Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y129 CLASS site SITEPROP SLICE_X30Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y129 IS_BONDED 0 SITEPROP SLICE_X30Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y129 IS_PAD 0 SITEPROP SLICE_X30Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y129 IS_RESERVED 0 SITEPROP SLICE_X30Y129 IS_TEST 0 SITEPROP SLICE_X30Y129 IS_USED 0 SITEPROP SLICE_X30Y129 MANUAL_ROUTING SITEPROP SLICE_X30Y129 NAME SLICE_X30Y129 SITEPROP SLICE_X30Y129 NUM_ARCS 153 SITEPROP SLICE_X30Y129 NUM_BELS 32 SITEPROP SLICE_X30Y129 NUM_INPUTS 37 SITEPROP SLICE_X30Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y129 NUM_PINS 50 SITEPROP SLICE_X30Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y129 PROHIBIT 0 SITEPROP SLICE_X30Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y129 RPM_X 85 SITEPROP SLICE_X30Y129 RPM_Y 258 SITEPROP SLICE_X30Y129 SITE_PIPS SITEPROP SLICE_X30Y129 SITE_TYPE SLICEM SITEPROP SLICE_X30Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y130 CLASS site SITEPROP SLICE_X30Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y130 IS_BONDED 0 SITEPROP SLICE_X30Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y130 IS_PAD 0 SITEPROP SLICE_X30Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y130 IS_RESERVED 0 SITEPROP SLICE_X30Y130 IS_TEST 0 SITEPROP SLICE_X30Y130 IS_USED 0 SITEPROP SLICE_X30Y130 MANUAL_ROUTING SITEPROP SLICE_X30Y130 NAME SLICE_X30Y130 SITEPROP SLICE_X30Y130 NUM_ARCS 153 SITEPROP SLICE_X30Y130 NUM_BELS 32 SITEPROP SLICE_X30Y130 NUM_INPUTS 37 SITEPROP SLICE_X30Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y130 NUM_PINS 50 SITEPROP SLICE_X30Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y130 PROHIBIT 0 SITEPROP SLICE_X30Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y130 RPM_X 85 SITEPROP SLICE_X30Y130 RPM_Y 260 SITEPROP SLICE_X30Y130 SITE_PIPS SITEPROP SLICE_X30Y130 SITE_TYPE SLICEM SITEPROP SLICE_X30Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y131 CLASS site SITEPROP SLICE_X30Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y131 IS_BONDED 0 SITEPROP SLICE_X30Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y131 IS_PAD 0 SITEPROP SLICE_X30Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y131 IS_RESERVED 0 SITEPROP SLICE_X30Y131 IS_TEST 0 SITEPROP SLICE_X30Y131 IS_USED 0 SITEPROP SLICE_X30Y131 MANUAL_ROUTING SITEPROP SLICE_X30Y131 NAME SLICE_X30Y131 SITEPROP SLICE_X30Y131 NUM_ARCS 153 SITEPROP SLICE_X30Y131 NUM_BELS 32 SITEPROP SLICE_X30Y131 NUM_INPUTS 37 SITEPROP SLICE_X30Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y131 NUM_PINS 50 SITEPROP SLICE_X30Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y131 PROHIBIT 0 SITEPROP SLICE_X30Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y131 RPM_X 85 SITEPROP SLICE_X30Y131 RPM_Y 262 SITEPROP SLICE_X30Y131 SITE_PIPS SITEPROP SLICE_X30Y131 SITE_TYPE SLICEM SITEPROP SLICE_X30Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y132 CLASS site SITEPROP SLICE_X30Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y132 IS_BONDED 0 SITEPROP SLICE_X30Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y132 IS_PAD 0 SITEPROP SLICE_X30Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y132 IS_RESERVED 0 SITEPROP SLICE_X30Y132 IS_TEST 0 SITEPROP SLICE_X30Y132 IS_USED 0 SITEPROP SLICE_X30Y132 MANUAL_ROUTING SITEPROP SLICE_X30Y132 NAME SLICE_X30Y132 SITEPROP SLICE_X30Y132 NUM_ARCS 153 SITEPROP SLICE_X30Y132 NUM_BELS 32 SITEPROP SLICE_X30Y132 NUM_INPUTS 37 SITEPROP SLICE_X30Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y132 NUM_PINS 50 SITEPROP SLICE_X30Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y132 PROHIBIT 0 SITEPROP SLICE_X30Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y132 RPM_X 85 SITEPROP SLICE_X30Y132 RPM_Y 264 SITEPROP SLICE_X30Y132 SITE_PIPS SITEPROP SLICE_X30Y132 SITE_TYPE SLICEM SITEPROP SLICE_X30Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y133 CLASS site SITEPROP SLICE_X30Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y133 IS_BONDED 0 SITEPROP SLICE_X30Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y133 IS_PAD 0 SITEPROP SLICE_X30Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y133 IS_RESERVED 0 SITEPROP SLICE_X30Y133 IS_TEST 0 SITEPROP SLICE_X30Y133 IS_USED 0 SITEPROP SLICE_X30Y133 MANUAL_ROUTING SITEPROP SLICE_X30Y133 NAME SLICE_X30Y133 SITEPROP SLICE_X30Y133 NUM_ARCS 153 SITEPROP SLICE_X30Y133 NUM_BELS 32 SITEPROP SLICE_X30Y133 NUM_INPUTS 37 SITEPROP SLICE_X30Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y133 NUM_PINS 50 SITEPROP SLICE_X30Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y133 PROHIBIT 0 SITEPROP SLICE_X30Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y133 RPM_X 85 SITEPROP SLICE_X30Y133 RPM_Y 266 SITEPROP SLICE_X30Y133 SITE_PIPS SITEPROP SLICE_X30Y133 SITE_TYPE SLICEM SITEPROP SLICE_X30Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y134 CLASS site SITEPROP SLICE_X30Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y134 IS_BONDED 0 SITEPROP SLICE_X30Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y134 IS_PAD 0 SITEPROP SLICE_X30Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y134 IS_RESERVED 0 SITEPROP SLICE_X30Y134 IS_TEST 0 SITEPROP SLICE_X30Y134 IS_USED 0 SITEPROP SLICE_X30Y134 MANUAL_ROUTING SITEPROP SLICE_X30Y134 NAME SLICE_X30Y134 SITEPROP SLICE_X30Y134 NUM_ARCS 153 SITEPROP SLICE_X30Y134 NUM_BELS 32 SITEPROP SLICE_X30Y134 NUM_INPUTS 37 SITEPROP SLICE_X30Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y134 NUM_PINS 50 SITEPROP SLICE_X30Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y134 PROHIBIT 0 SITEPROP SLICE_X30Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y134 RPM_X 85 SITEPROP SLICE_X30Y134 RPM_Y 268 SITEPROP SLICE_X30Y134 SITE_PIPS SITEPROP SLICE_X30Y134 SITE_TYPE SLICEM SITEPROP SLICE_X30Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y135 CLASS site SITEPROP SLICE_X30Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y135 IS_BONDED 0 SITEPROP SLICE_X30Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y135 IS_PAD 0 SITEPROP SLICE_X30Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y135 IS_RESERVED 0 SITEPROP SLICE_X30Y135 IS_TEST 0 SITEPROP SLICE_X30Y135 IS_USED 0 SITEPROP SLICE_X30Y135 MANUAL_ROUTING SITEPROP SLICE_X30Y135 NAME SLICE_X30Y135 SITEPROP SLICE_X30Y135 NUM_ARCS 153 SITEPROP SLICE_X30Y135 NUM_BELS 32 SITEPROP SLICE_X30Y135 NUM_INPUTS 37 SITEPROP SLICE_X30Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y135 NUM_PINS 50 SITEPROP SLICE_X30Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y135 PROHIBIT 0 SITEPROP SLICE_X30Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y135 RPM_X 85 SITEPROP SLICE_X30Y135 RPM_Y 270 SITEPROP SLICE_X30Y135 SITE_PIPS SITEPROP SLICE_X30Y135 SITE_TYPE SLICEM SITEPROP SLICE_X30Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y136 CLASS site SITEPROP SLICE_X30Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y136 IS_BONDED 0 SITEPROP SLICE_X30Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y136 IS_PAD 0 SITEPROP SLICE_X30Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y136 IS_RESERVED 0 SITEPROP SLICE_X30Y136 IS_TEST 0 SITEPROP SLICE_X30Y136 IS_USED 0 SITEPROP SLICE_X30Y136 MANUAL_ROUTING SITEPROP SLICE_X30Y136 NAME SLICE_X30Y136 SITEPROP SLICE_X30Y136 NUM_ARCS 153 SITEPROP SLICE_X30Y136 NUM_BELS 32 SITEPROP SLICE_X30Y136 NUM_INPUTS 37 SITEPROP SLICE_X30Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y136 NUM_PINS 50 SITEPROP SLICE_X30Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y136 PROHIBIT 0 SITEPROP SLICE_X30Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y136 RPM_X 85 SITEPROP SLICE_X30Y136 RPM_Y 272 SITEPROP SLICE_X30Y136 SITE_PIPS SITEPROP SLICE_X30Y136 SITE_TYPE SLICEM SITEPROP SLICE_X30Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y137 CLASS site SITEPROP SLICE_X30Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y137 IS_BONDED 0 SITEPROP SLICE_X30Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y137 IS_PAD 0 SITEPROP SLICE_X30Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y137 IS_RESERVED 0 SITEPROP SLICE_X30Y137 IS_TEST 0 SITEPROP SLICE_X30Y137 IS_USED 0 SITEPROP SLICE_X30Y137 MANUAL_ROUTING SITEPROP SLICE_X30Y137 NAME SLICE_X30Y137 SITEPROP SLICE_X30Y137 NUM_ARCS 153 SITEPROP SLICE_X30Y137 NUM_BELS 32 SITEPROP SLICE_X30Y137 NUM_INPUTS 37 SITEPROP SLICE_X30Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y137 NUM_PINS 50 SITEPROP SLICE_X30Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y137 PROHIBIT 0 SITEPROP SLICE_X30Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y137 RPM_X 85 SITEPROP SLICE_X30Y137 RPM_Y 274 SITEPROP SLICE_X30Y137 SITE_PIPS SITEPROP SLICE_X30Y137 SITE_TYPE SLICEM SITEPROP SLICE_X30Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y138 CLASS site SITEPROP SLICE_X30Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y138 IS_BONDED 0 SITEPROP SLICE_X30Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y138 IS_PAD 0 SITEPROP SLICE_X30Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y138 IS_RESERVED 0 SITEPROP SLICE_X30Y138 IS_TEST 0 SITEPROP SLICE_X30Y138 IS_USED 0 SITEPROP SLICE_X30Y138 MANUAL_ROUTING SITEPROP SLICE_X30Y138 NAME SLICE_X30Y138 SITEPROP SLICE_X30Y138 NUM_ARCS 153 SITEPROP SLICE_X30Y138 NUM_BELS 32 SITEPROP SLICE_X30Y138 NUM_INPUTS 37 SITEPROP SLICE_X30Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y138 NUM_PINS 50 SITEPROP SLICE_X30Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y138 PROHIBIT 0 SITEPROP SLICE_X30Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y138 RPM_X 85 SITEPROP SLICE_X30Y138 RPM_Y 276 SITEPROP SLICE_X30Y138 SITE_PIPS SITEPROP SLICE_X30Y138 SITE_TYPE SLICEM SITEPROP SLICE_X30Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y139 CLASS site SITEPROP SLICE_X30Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y139 IS_BONDED 0 SITEPROP SLICE_X30Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y139 IS_PAD 0 SITEPROP SLICE_X30Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y139 IS_RESERVED 0 SITEPROP SLICE_X30Y139 IS_TEST 0 SITEPROP SLICE_X30Y139 IS_USED 0 SITEPROP SLICE_X30Y139 MANUAL_ROUTING SITEPROP SLICE_X30Y139 NAME SLICE_X30Y139 SITEPROP SLICE_X30Y139 NUM_ARCS 153 SITEPROP SLICE_X30Y139 NUM_BELS 32 SITEPROP SLICE_X30Y139 NUM_INPUTS 37 SITEPROP SLICE_X30Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y139 NUM_PINS 50 SITEPROP SLICE_X30Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y139 PROHIBIT 0 SITEPROP SLICE_X30Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y139 RPM_X 85 SITEPROP SLICE_X30Y139 RPM_Y 278 SITEPROP SLICE_X30Y139 SITE_PIPS SITEPROP SLICE_X30Y139 SITE_TYPE SLICEM SITEPROP SLICE_X30Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y140 CLASS site SITEPROP SLICE_X30Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y140 IS_BONDED 0 SITEPROP SLICE_X30Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y140 IS_PAD 0 SITEPROP SLICE_X30Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y140 IS_RESERVED 0 SITEPROP SLICE_X30Y140 IS_TEST 0 SITEPROP SLICE_X30Y140 IS_USED 0 SITEPROP SLICE_X30Y140 MANUAL_ROUTING SITEPROP SLICE_X30Y140 NAME SLICE_X30Y140 SITEPROP SLICE_X30Y140 NUM_ARCS 153 SITEPROP SLICE_X30Y140 NUM_BELS 32 SITEPROP SLICE_X30Y140 NUM_INPUTS 37 SITEPROP SLICE_X30Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y140 NUM_PINS 50 SITEPROP SLICE_X30Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y140 PROHIBIT 0 SITEPROP SLICE_X30Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y140 RPM_X 85 SITEPROP SLICE_X30Y140 RPM_Y 280 SITEPROP SLICE_X30Y140 SITE_PIPS SITEPROP SLICE_X30Y140 SITE_TYPE SLICEM SITEPROP SLICE_X30Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y141 CLASS site SITEPROP SLICE_X30Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y141 IS_BONDED 0 SITEPROP SLICE_X30Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y141 IS_PAD 0 SITEPROP SLICE_X30Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y141 IS_RESERVED 0 SITEPROP SLICE_X30Y141 IS_TEST 0 SITEPROP SLICE_X30Y141 IS_USED 0 SITEPROP SLICE_X30Y141 MANUAL_ROUTING SITEPROP SLICE_X30Y141 NAME SLICE_X30Y141 SITEPROP SLICE_X30Y141 NUM_ARCS 153 SITEPROP SLICE_X30Y141 NUM_BELS 32 SITEPROP SLICE_X30Y141 NUM_INPUTS 37 SITEPROP SLICE_X30Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y141 NUM_PINS 50 SITEPROP SLICE_X30Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y141 PROHIBIT 0 SITEPROP SLICE_X30Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y141 RPM_X 85 SITEPROP SLICE_X30Y141 RPM_Y 282 SITEPROP SLICE_X30Y141 SITE_PIPS SITEPROP SLICE_X30Y141 SITE_TYPE SLICEM SITEPROP SLICE_X30Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y142 CLASS site SITEPROP SLICE_X30Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y142 IS_BONDED 0 SITEPROP SLICE_X30Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y142 IS_PAD 0 SITEPROP SLICE_X30Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y142 IS_RESERVED 0 SITEPROP SLICE_X30Y142 IS_TEST 0 SITEPROP SLICE_X30Y142 IS_USED 0 SITEPROP SLICE_X30Y142 MANUAL_ROUTING SITEPROP SLICE_X30Y142 NAME SLICE_X30Y142 SITEPROP SLICE_X30Y142 NUM_ARCS 153 SITEPROP SLICE_X30Y142 NUM_BELS 32 SITEPROP SLICE_X30Y142 NUM_INPUTS 37 SITEPROP SLICE_X30Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y142 NUM_PINS 50 SITEPROP SLICE_X30Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y142 PROHIBIT 0 SITEPROP SLICE_X30Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y142 RPM_X 85 SITEPROP SLICE_X30Y142 RPM_Y 284 SITEPROP SLICE_X30Y142 SITE_PIPS SITEPROP SLICE_X30Y142 SITE_TYPE SLICEM SITEPROP SLICE_X30Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y143 CLASS site SITEPROP SLICE_X30Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y143 IS_BONDED 0 SITEPROP SLICE_X30Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y143 IS_PAD 0 SITEPROP SLICE_X30Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y143 IS_RESERVED 0 SITEPROP SLICE_X30Y143 IS_TEST 0 SITEPROP SLICE_X30Y143 IS_USED 0 SITEPROP SLICE_X30Y143 MANUAL_ROUTING SITEPROP SLICE_X30Y143 NAME SLICE_X30Y143 SITEPROP SLICE_X30Y143 NUM_ARCS 153 SITEPROP SLICE_X30Y143 NUM_BELS 32 SITEPROP SLICE_X30Y143 NUM_INPUTS 37 SITEPROP SLICE_X30Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y143 NUM_PINS 50 SITEPROP SLICE_X30Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y143 PROHIBIT 0 SITEPROP SLICE_X30Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y143 RPM_X 85 SITEPROP SLICE_X30Y143 RPM_Y 286 SITEPROP SLICE_X30Y143 SITE_PIPS SITEPROP SLICE_X30Y143 SITE_TYPE SLICEM SITEPROP SLICE_X30Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y144 CLASS site SITEPROP SLICE_X30Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y144 IS_BONDED 0 SITEPROP SLICE_X30Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y144 IS_PAD 0 SITEPROP SLICE_X30Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y144 IS_RESERVED 0 SITEPROP SLICE_X30Y144 IS_TEST 0 SITEPROP SLICE_X30Y144 IS_USED 0 SITEPROP SLICE_X30Y144 MANUAL_ROUTING SITEPROP SLICE_X30Y144 NAME SLICE_X30Y144 SITEPROP SLICE_X30Y144 NUM_ARCS 153 SITEPROP SLICE_X30Y144 NUM_BELS 32 SITEPROP SLICE_X30Y144 NUM_INPUTS 37 SITEPROP SLICE_X30Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y144 NUM_PINS 50 SITEPROP SLICE_X30Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y144 PROHIBIT 0 SITEPROP SLICE_X30Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y144 RPM_X 85 SITEPROP SLICE_X30Y144 RPM_Y 288 SITEPROP SLICE_X30Y144 SITE_PIPS SITEPROP SLICE_X30Y144 SITE_TYPE SLICEM SITEPROP SLICE_X30Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y145 CLASS site SITEPROP SLICE_X30Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y145 IS_BONDED 0 SITEPROP SLICE_X30Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y145 IS_PAD 0 SITEPROP SLICE_X30Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y145 IS_RESERVED 0 SITEPROP SLICE_X30Y145 IS_TEST 0 SITEPROP SLICE_X30Y145 IS_USED 0 SITEPROP SLICE_X30Y145 MANUAL_ROUTING SITEPROP SLICE_X30Y145 NAME SLICE_X30Y145 SITEPROP SLICE_X30Y145 NUM_ARCS 153 SITEPROP SLICE_X30Y145 NUM_BELS 32 SITEPROP SLICE_X30Y145 NUM_INPUTS 37 SITEPROP SLICE_X30Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y145 NUM_PINS 50 SITEPROP SLICE_X30Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y145 PROHIBIT 0 SITEPROP SLICE_X30Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y145 RPM_X 85 SITEPROP SLICE_X30Y145 RPM_Y 290 SITEPROP SLICE_X30Y145 SITE_PIPS SITEPROP SLICE_X30Y145 SITE_TYPE SLICEM SITEPROP SLICE_X30Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y146 CLASS site SITEPROP SLICE_X30Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y146 IS_BONDED 0 SITEPROP SLICE_X30Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y146 IS_PAD 0 SITEPROP SLICE_X30Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y146 IS_RESERVED 0 SITEPROP SLICE_X30Y146 IS_TEST 0 SITEPROP SLICE_X30Y146 IS_USED 0 SITEPROP SLICE_X30Y146 MANUAL_ROUTING SITEPROP SLICE_X30Y146 NAME SLICE_X30Y146 SITEPROP SLICE_X30Y146 NUM_ARCS 153 SITEPROP SLICE_X30Y146 NUM_BELS 32 SITEPROP SLICE_X30Y146 NUM_INPUTS 37 SITEPROP SLICE_X30Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y146 NUM_PINS 50 SITEPROP SLICE_X30Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y146 PROHIBIT 0 SITEPROP SLICE_X30Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y146 RPM_X 85 SITEPROP SLICE_X30Y146 RPM_Y 292 SITEPROP SLICE_X30Y146 SITE_PIPS SITEPROP SLICE_X30Y146 SITE_TYPE SLICEM SITEPROP SLICE_X30Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y147 CLASS site SITEPROP SLICE_X30Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y147 IS_BONDED 0 SITEPROP SLICE_X30Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y147 IS_PAD 0 SITEPROP SLICE_X30Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y147 IS_RESERVED 0 SITEPROP SLICE_X30Y147 IS_TEST 0 SITEPROP SLICE_X30Y147 IS_USED 0 SITEPROP SLICE_X30Y147 MANUAL_ROUTING SITEPROP SLICE_X30Y147 NAME SLICE_X30Y147 SITEPROP SLICE_X30Y147 NUM_ARCS 153 SITEPROP SLICE_X30Y147 NUM_BELS 32 SITEPROP SLICE_X30Y147 NUM_INPUTS 37 SITEPROP SLICE_X30Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y147 NUM_PINS 50 SITEPROP SLICE_X30Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y147 PROHIBIT 0 SITEPROP SLICE_X30Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y147 RPM_X 85 SITEPROP SLICE_X30Y147 RPM_Y 294 SITEPROP SLICE_X30Y147 SITE_PIPS SITEPROP SLICE_X30Y147 SITE_TYPE SLICEM SITEPROP SLICE_X30Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y148 CLASS site SITEPROP SLICE_X30Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y148 IS_BONDED 0 SITEPROP SLICE_X30Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y148 IS_PAD 0 SITEPROP SLICE_X30Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y148 IS_RESERVED 0 SITEPROP SLICE_X30Y148 IS_TEST 0 SITEPROP SLICE_X30Y148 IS_USED 0 SITEPROP SLICE_X30Y148 MANUAL_ROUTING SITEPROP SLICE_X30Y148 NAME SLICE_X30Y148 SITEPROP SLICE_X30Y148 NUM_ARCS 153 SITEPROP SLICE_X30Y148 NUM_BELS 32 SITEPROP SLICE_X30Y148 NUM_INPUTS 37 SITEPROP SLICE_X30Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y148 NUM_PINS 50 SITEPROP SLICE_X30Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y148 PROHIBIT 0 SITEPROP SLICE_X30Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y148 RPM_X 85 SITEPROP SLICE_X30Y148 RPM_Y 296 SITEPROP SLICE_X30Y148 SITE_PIPS SITEPROP SLICE_X30Y148 SITE_TYPE SLICEM SITEPROP SLICE_X30Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X30Y149 CLASS site SITEPROP SLICE_X30Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X30Y149 IS_BONDED 0 SITEPROP SLICE_X30Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X30Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y149 IS_PAD 0 SITEPROP SLICE_X30Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X30Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X30Y149 IS_RESERVED 0 SITEPROP SLICE_X30Y149 IS_TEST 0 SITEPROP SLICE_X30Y149 IS_USED 0 SITEPROP SLICE_X30Y149 MANUAL_ROUTING SITEPROP SLICE_X30Y149 NAME SLICE_X30Y149 SITEPROP SLICE_X30Y149 NUM_ARCS 153 SITEPROP SLICE_X30Y149 NUM_BELS 32 SITEPROP SLICE_X30Y149 NUM_INPUTS 37 SITEPROP SLICE_X30Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X30Y149 NUM_PINS 50 SITEPROP SLICE_X30Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X30Y149 PROHIBIT 0 SITEPROP SLICE_X30Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X30Y149 RPM_X 85 SITEPROP SLICE_X30Y149 RPM_Y 298 SITEPROP SLICE_X30Y149 SITE_PIPS SITEPROP SLICE_X30Y149 SITE_TYPE SLICEM SITEPROP SLICE_X31Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y0 CLASS site SITEPROP SLICE_X31Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y0 IS_BONDED 0 SITEPROP SLICE_X31Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y0 IS_PAD 0 SITEPROP SLICE_X31Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y0 IS_RESERVED 0 SITEPROP SLICE_X31Y0 IS_TEST 0 SITEPROP SLICE_X31Y0 IS_USED 0 SITEPROP SLICE_X31Y0 MANUAL_ROUTING SITEPROP SLICE_X31Y0 NAME SLICE_X31Y0 SITEPROP SLICE_X31Y0 NUM_ARCS 138 SITEPROP SLICE_X31Y0 NUM_BELS 32 SITEPROP SLICE_X31Y0 NUM_INPUTS 32 SITEPROP SLICE_X31Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y0 NUM_PINS 45 SITEPROP SLICE_X31Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y0 PROHIBIT 0 SITEPROP SLICE_X31Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y0 RPM_X 87 SITEPROP SLICE_X31Y0 RPM_Y 0 SITEPROP SLICE_X31Y0 SITE_PIPS SITEPROP SLICE_X31Y0 SITE_TYPE SLICEL SITEPROP SLICE_X31Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y1 CLASS site SITEPROP SLICE_X31Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y1 IS_BONDED 0 SITEPROP SLICE_X31Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y1 IS_PAD 0 SITEPROP SLICE_X31Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y1 IS_RESERVED 0 SITEPROP SLICE_X31Y1 IS_TEST 0 SITEPROP SLICE_X31Y1 IS_USED 0 SITEPROP SLICE_X31Y1 MANUAL_ROUTING SITEPROP SLICE_X31Y1 NAME SLICE_X31Y1 SITEPROP SLICE_X31Y1 NUM_ARCS 138 SITEPROP SLICE_X31Y1 NUM_BELS 32 SITEPROP SLICE_X31Y1 NUM_INPUTS 32 SITEPROP SLICE_X31Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y1 NUM_PINS 45 SITEPROP SLICE_X31Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y1 PROHIBIT 0 SITEPROP SLICE_X31Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y1 RPM_X 87 SITEPROP SLICE_X31Y1 RPM_Y 2 SITEPROP SLICE_X31Y1 SITE_PIPS SITEPROP SLICE_X31Y1 SITE_TYPE SLICEL SITEPROP SLICE_X31Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y2 CLASS site SITEPROP SLICE_X31Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y2 IS_BONDED 0 SITEPROP SLICE_X31Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y2 IS_PAD 0 SITEPROP SLICE_X31Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y2 IS_RESERVED 0 SITEPROP SLICE_X31Y2 IS_TEST 0 SITEPROP SLICE_X31Y2 IS_USED 0 SITEPROP SLICE_X31Y2 MANUAL_ROUTING SITEPROP SLICE_X31Y2 NAME SLICE_X31Y2 SITEPROP SLICE_X31Y2 NUM_ARCS 138 SITEPROP SLICE_X31Y2 NUM_BELS 32 SITEPROP SLICE_X31Y2 NUM_INPUTS 32 SITEPROP SLICE_X31Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y2 NUM_PINS 45 SITEPROP SLICE_X31Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y2 PROHIBIT 0 SITEPROP SLICE_X31Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y2 RPM_X 87 SITEPROP SLICE_X31Y2 RPM_Y 4 SITEPROP SLICE_X31Y2 SITE_PIPS SITEPROP SLICE_X31Y2 SITE_TYPE SLICEL SITEPROP SLICE_X31Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y3 CLASS site SITEPROP SLICE_X31Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y3 IS_BONDED 0 SITEPROP SLICE_X31Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y3 IS_PAD 0 SITEPROP SLICE_X31Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y3 IS_RESERVED 0 SITEPROP SLICE_X31Y3 IS_TEST 0 SITEPROP SLICE_X31Y3 IS_USED 0 SITEPROP SLICE_X31Y3 MANUAL_ROUTING SITEPROP SLICE_X31Y3 NAME SLICE_X31Y3 SITEPROP SLICE_X31Y3 NUM_ARCS 138 SITEPROP SLICE_X31Y3 NUM_BELS 32 SITEPROP SLICE_X31Y3 NUM_INPUTS 32 SITEPROP SLICE_X31Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y3 NUM_PINS 45 SITEPROP SLICE_X31Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y3 PROHIBIT 0 SITEPROP SLICE_X31Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y3 RPM_X 87 SITEPROP SLICE_X31Y3 RPM_Y 6 SITEPROP SLICE_X31Y3 SITE_PIPS SITEPROP SLICE_X31Y3 SITE_TYPE SLICEL SITEPROP SLICE_X31Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y4 CLASS site SITEPROP SLICE_X31Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y4 IS_BONDED 0 SITEPROP SLICE_X31Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y4 IS_PAD 0 SITEPROP SLICE_X31Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y4 IS_RESERVED 0 SITEPROP SLICE_X31Y4 IS_TEST 0 SITEPROP SLICE_X31Y4 IS_USED 0 SITEPROP SLICE_X31Y4 MANUAL_ROUTING SITEPROP SLICE_X31Y4 NAME SLICE_X31Y4 SITEPROP SLICE_X31Y4 NUM_ARCS 138 SITEPROP SLICE_X31Y4 NUM_BELS 32 SITEPROP SLICE_X31Y4 NUM_INPUTS 32 SITEPROP SLICE_X31Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y4 NUM_PINS 45 SITEPROP SLICE_X31Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y4 PROHIBIT 0 SITEPROP SLICE_X31Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y4 RPM_X 87 SITEPROP SLICE_X31Y4 RPM_Y 8 SITEPROP SLICE_X31Y4 SITE_PIPS SITEPROP SLICE_X31Y4 SITE_TYPE SLICEL SITEPROP SLICE_X31Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y5 CLASS site SITEPROP SLICE_X31Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y5 IS_BONDED 0 SITEPROP SLICE_X31Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y5 IS_PAD 0 SITEPROP SLICE_X31Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y5 IS_RESERVED 0 SITEPROP SLICE_X31Y5 IS_TEST 0 SITEPROP SLICE_X31Y5 IS_USED 0 SITEPROP SLICE_X31Y5 MANUAL_ROUTING SITEPROP SLICE_X31Y5 NAME SLICE_X31Y5 SITEPROP SLICE_X31Y5 NUM_ARCS 138 SITEPROP SLICE_X31Y5 NUM_BELS 32 SITEPROP SLICE_X31Y5 NUM_INPUTS 32 SITEPROP SLICE_X31Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y5 NUM_PINS 45 SITEPROP SLICE_X31Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y5 PROHIBIT 0 SITEPROP SLICE_X31Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y5 RPM_X 87 SITEPROP SLICE_X31Y5 RPM_Y 10 SITEPROP SLICE_X31Y5 SITE_PIPS SITEPROP SLICE_X31Y5 SITE_TYPE SLICEL SITEPROP SLICE_X31Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y6 CLASS site SITEPROP SLICE_X31Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y6 IS_BONDED 0 SITEPROP SLICE_X31Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y6 IS_PAD 0 SITEPROP SLICE_X31Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y6 IS_RESERVED 0 SITEPROP SLICE_X31Y6 IS_TEST 0 SITEPROP SLICE_X31Y6 IS_USED 0 SITEPROP SLICE_X31Y6 MANUAL_ROUTING SITEPROP SLICE_X31Y6 NAME SLICE_X31Y6 SITEPROP SLICE_X31Y6 NUM_ARCS 138 SITEPROP SLICE_X31Y6 NUM_BELS 32 SITEPROP SLICE_X31Y6 NUM_INPUTS 32 SITEPROP SLICE_X31Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y6 NUM_PINS 45 SITEPROP SLICE_X31Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y6 PROHIBIT 0 SITEPROP SLICE_X31Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y6 RPM_X 87 SITEPROP SLICE_X31Y6 RPM_Y 12 SITEPROP SLICE_X31Y6 SITE_PIPS SITEPROP SLICE_X31Y6 SITE_TYPE SLICEL SITEPROP SLICE_X31Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y7 CLASS site SITEPROP SLICE_X31Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y7 IS_BONDED 0 SITEPROP SLICE_X31Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y7 IS_PAD 0 SITEPROP SLICE_X31Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y7 IS_RESERVED 0 SITEPROP SLICE_X31Y7 IS_TEST 0 SITEPROP SLICE_X31Y7 IS_USED 0 SITEPROP SLICE_X31Y7 MANUAL_ROUTING SITEPROP SLICE_X31Y7 NAME SLICE_X31Y7 SITEPROP SLICE_X31Y7 NUM_ARCS 138 SITEPROP SLICE_X31Y7 NUM_BELS 32 SITEPROP SLICE_X31Y7 NUM_INPUTS 32 SITEPROP SLICE_X31Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y7 NUM_PINS 45 SITEPROP SLICE_X31Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y7 PROHIBIT 0 SITEPROP SLICE_X31Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y7 RPM_X 87 SITEPROP SLICE_X31Y7 RPM_Y 14 SITEPROP SLICE_X31Y7 SITE_PIPS SITEPROP SLICE_X31Y7 SITE_TYPE SLICEL SITEPROP SLICE_X31Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y8 CLASS site SITEPROP SLICE_X31Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y8 IS_BONDED 0 SITEPROP SLICE_X31Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y8 IS_PAD 0 SITEPROP SLICE_X31Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y8 IS_RESERVED 0 SITEPROP SLICE_X31Y8 IS_TEST 0 SITEPROP SLICE_X31Y8 IS_USED 0 SITEPROP SLICE_X31Y8 MANUAL_ROUTING SITEPROP SLICE_X31Y8 NAME SLICE_X31Y8 SITEPROP SLICE_X31Y8 NUM_ARCS 138 SITEPROP SLICE_X31Y8 NUM_BELS 32 SITEPROP SLICE_X31Y8 NUM_INPUTS 32 SITEPROP SLICE_X31Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y8 NUM_PINS 45 SITEPROP SLICE_X31Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y8 PROHIBIT 0 SITEPROP SLICE_X31Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y8 RPM_X 87 SITEPROP SLICE_X31Y8 RPM_Y 16 SITEPROP SLICE_X31Y8 SITE_PIPS SITEPROP SLICE_X31Y8 SITE_TYPE SLICEL SITEPROP SLICE_X31Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y9 CLASS site SITEPROP SLICE_X31Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y9 IS_BONDED 0 SITEPROP SLICE_X31Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y9 IS_PAD 0 SITEPROP SLICE_X31Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y9 IS_RESERVED 0 SITEPROP SLICE_X31Y9 IS_TEST 0 SITEPROP SLICE_X31Y9 IS_USED 0 SITEPROP SLICE_X31Y9 MANUAL_ROUTING SITEPROP SLICE_X31Y9 NAME SLICE_X31Y9 SITEPROP SLICE_X31Y9 NUM_ARCS 138 SITEPROP SLICE_X31Y9 NUM_BELS 32 SITEPROP SLICE_X31Y9 NUM_INPUTS 32 SITEPROP SLICE_X31Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y9 NUM_PINS 45 SITEPROP SLICE_X31Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y9 PROHIBIT 0 SITEPROP SLICE_X31Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y9 RPM_X 87 SITEPROP SLICE_X31Y9 RPM_Y 18 SITEPROP SLICE_X31Y9 SITE_PIPS SITEPROP SLICE_X31Y9 SITE_TYPE SLICEL SITEPROP SLICE_X31Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y10 CLASS site SITEPROP SLICE_X31Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y10 IS_BONDED 0 SITEPROP SLICE_X31Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y10 IS_PAD 0 SITEPROP SLICE_X31Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y10 IS_RESERVED 0 SITEPROP SLICE_X31Y10 IS_TEST 0 SITEPROP SLICE_X31Y10 IS_USED 0 SITEPROP SLICE_X31Y10 MANUAL_ROUTING SITEPROP SLICE_X31Y10 NAME SLICE_X31Y10 SITEPROP SLICE_X31Y10 NUM_ARCS 138 SITEPROP SLICE_X31Y10 NUM_BELS 32 SITEPROP SLICE_X31Y10 NUM_INPUTS 32 SITEPROP SLICE_X31Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y10 NUM_PINS 45 SITEPROP SLICE_X31Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y10 PROHIBIT 0 SITEPROP SLICE_X31Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y10 RPM_X 87 SITEPROP SLICE_X31Y10 RPM_Y 20 SITEPROP SLICE_X31Y10 SITE_PIPS SITEPROP SLICE_X31Y10 SITE_TYPE SLICEL SITEPROP SLICE_X31Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y11 CLASS site SITEPROP SLICE_X31Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y11 IS_BONDED 0 SITEPROP SLICE_X31Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y11 IS_PAD 0 SITEPROP SLICE_X31Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y11 IS_RESERVED 0 SITEPROP SLICE_X31Y11 IS_TEST 0 SITEPROP SLICE_X31Y11 IS_USED 0 SITEPROP SLICE_X31Y11 MANUAL_ROUTING SITEPROP SLICE_X31Y11 NAME SLICE_X31Y11 SITEPROP SLICE_X31Y11 NUM_ARCS 138 SITEPROP SLICE_X31Y11 NUM_BELS 32 SITEPROP SLICE_X31Y11 NUM_INPUTS 32 SITEPROP SLICE_X31Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y11 NUM_PINS 45 SITEPROP SLICE_X31Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y11 PROHIBIT 0 SITEPROP SLICE_X31Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y11 RPM_X 87 SITEPROP SLICE_X31Y11 RPM_Y 22 SITEPROP SLICE_X31Y11 SITE_PIPS SITEPROP SLICE_X31Y11 SITE_TYPE SLICEL SITEPROP SLICE_X31Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y12 CLASS site SITEPROP SLICE_X31Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y12 IS_BONDED 0 SITEPROP SLICE_X31Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y12 IS_PAD 0 SITEPROP SLICE_X31Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y12 IS_RESERVED 0 SITEPROP SLICE_X31Y12 IS_TEST 0 SITEPROP SLICE_X31Y12 IS_USED 0 SITEPROP SLICE_X31Y12 MANUAL_ROUTING SITEPROP SLICE_X31Y12 NAME SLICE_X31Y12 SITEPROP SLICE_X31Y12 NUM_ARCS 138 SITEPROP SLICE_X31Y12 NUM_BELS 32 SITEPROP SLICE_X31Y12 NUM_INPUTS 32 SITEPROP SLICE_X31Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y12 NUM_PINS 45 SITEPROP SLICE_X31Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y12 PROHIBIT 0 SITEPROP SLICE_X31Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y12 RPM_X 87 SITEPROP SLICE_X31Y12 RPM_Y 24 SITEPROP SLICE_X31Y12 SITE_PIPS SITEPROP SLICE_X31Y12 SITE_TYPE SLICEL SITEPROP SLICE_X31Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y13 CLASS site SITEPROP SLICE_X31Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y13 IS_BONDED 0 SITEPROP SLICE_X31Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y13 IS_PAD 0 SITEPROP SLICE_X31Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y13 IS_RESERVED 0 SITEPROP SLICE_X31Y13 IS_TEST 0 SITEPROP SLICE_X31Y13 IS_USED 0 SITEPROP SLICE_X31Y13 MANUAL_ROUTING SITEPROP SLICE_X31Y13 NAME SLICE_X31Y13 SITEPROP SLICE_X31Y13 NUM_ARCS 138 SITEPROP SLICE_X31Y13 NUM_BELS 32 SITEPROP SLICE_X31Y13 NUM_INPUTS 32 SITEPROP SLICE_X31Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y13 NUM_PINS 45 SITEPROP SLICE_X31Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y13 PROHIBIT 0 SITEPROP SLICE_X31Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y13 RPM_X 87 SITEPROP SLICE_X31Y13 RPM_Y 26 SITEPROP SLICE_X31Y13 SITE_PIPS SITEPROP SLICE_X31Y13 SITE_TYPE SLICEL SITEPROP SLICE_X31Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y14 CLASS site SITEPROP SLICE_X31Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y14 IS_BONDED 0 SITEPROP SLICE_X31Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y14 IS_PAD 0 SITEPROP SLICE_X31Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y14 IS_RESERVED 0 SITEPROP SLICE_X31Y14 IS_TEST 0 SITEPROP SLICE_X31Y14 IS_USED 0 SITEPROP SLICE_X31Y14 MANUAL_ROUTING SITEPROP SLICE_X31Y14 NAME SLICE_X31Y14 SITEPROP SLICE_X31Y14 NUM_ARCS 138 SITEPROP SLICE_X31Y14 NUM_BELS 32 SITEPROP SLICE_X31Y14 NUM_INPUTS 32 SITEPROP SLICE_X31Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y14 NUM_PINS 45 SITEPROP SLICE_X31Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y14 PROHIBIT 0 SITEPROP SLICE_X31Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y14 RPM_X 87 SITEPROP SLICE_X31Y14 RPM_Y 28 SITEPROP SLICE_X31Y14 SITE_PIPS SITEPROP SLICE_X31Y14 SITE_TYPE SLICEL SITEPROP SLICE_X31Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y15 CLASS site SITEPROP SLICE_X31Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y15 IS_BONDED 0 SITEPROP SLICE_X31Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y15 IS_PAD 0 SITEPROP SLICE_X31Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y15 IS_RESERVED 0 SITEPROP SLICE_X31Y15 IS_TEST 0 SITEPROP SLICE_X31Y15 IS_USED 0 SITEPROP SLICE_X31Y15 MANUAL_ROUTING SITEPROP SLICE_X31Y15 NAME SLICE_X31Y15 SITEPROP SLICE_X31Y15 NUM_ARCS 138 SITEPROP SLICE_X31Y15 NUM_BELS 32 SITEPROP SLICE_X31Y15 NUM_INPUTS 32 SITEPROP SLICE_X31Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y15 NUM_PINS 45 SITEPROP SLICE_X31Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y15 PROHIBIT 0 SITEPROP SLICE_X31Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y15 RPM_X 87 SITEPROP SLICE_X31Y15 RPM_Y 30 SITEPROP SLICE_X31Y15 SITE_PIPS SITEPROP SLICE_X31Y15 SITE_TYPE SLICEL SITEPROP SLICE_X31Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y16 CLASS site SITEPROP SLICE_X31Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y16 IS_BONDED 0 SITEPROP SLICE_X31Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y16 IS_PAD 0 SITEPROP SLICE_X31Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y16 IS_RESERVED 0 SITEPROP SLICE_X31Y16 IS_TEST 0 SITEPROP SLICE_X31Y16 IS_USED 0 SITEPROP SLICE_X31Y16 MANUAL_ROUTING SITEPROP SLICE_X31Y16 NAME SLICE_X31Y16 SITEPROP SLICE_X31Y16 NUM_ARCS 138 SITEPROP SLICE_X31Y16 NUM_BELS 32 SITEPROP SLICE_X31Y16 NUM_INPUTS 32 SITEPROP SLICE_X31Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y16 NUM_PINS 45 SITEPROP SLICE_X31Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y16 PROHIBIT 0 SITEPROP SLICE_X31Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y16 RPM_X 87 SITEPROP SLICE_X31Y16 RPM_Y 32 SITEPROP SLICE_X31Y16 SITE_PIPS SITEPROP SLICE_X31Y16 SITE_TYPE SLICEL SITEPROP SLICE_X31Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y17 CLASS site SITEPROP SLICE_X31Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y17 IS_BONDED 0 SITEPROP SLICE_X31Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y17 IS_PAD 0 SITEPROP SLICE_X31Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y17 IS_RESERVED 0 SITEPROP SLICE_X31Y17 IS_TEST 0 SITEPROP SLICE_X31Y17 IS_USED 0 SITEPROP SLICE_X31Y17 MANUAL_ROUTING SITEPROP SLICE_X31Y17 NAME SLICE_X31Y17 SITEPROP SLICE_X31Y17 NUM_ARCS 138 SITEPROP SLICE_X31Y17 NUM_BELS 32 SITEPROP SLICE_X31Y17 NUM_INPUTS 32 SITEPROP SLICE_X31Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y17 NUM_PINS 45 SITEPROP SLICE_X31Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y17 PROHIBIT 0 SITEPROP SLICE_X31Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y17 RPM_X 87 SITEPROP SLICE_X31Y17 RPM_Y 34 SITEPROP SLICE_X31Y17 SITE_PIPS SITEPROP SLICE_X31Y17 SITE_TYPE SLICEL SITEPROP SLICE_X31Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y18 CLASS site SITEPROP SLICE_X31Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y18 IS_BONDED 0 SITEPROP SLICE_X31Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y18 IS_PAD 0 SITEPROP SLICE_X31Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y18 IS_RESERVED 0 SITEPROP SLICE_X31Y18 IS_TEST 0 SITEPROP SLICE_X31Y18 IS_USED 0 SITEPROP SLICE_X31Y18 MANUAL_ROUTING SITEPROP SLICE_X31Y18 NAME SLICE_X31Y18 SITEPROP SLICE_X31Y18 NUM_ARCS 138 SITEPROP SLICE_X31Y18 NUM_BELS 32 SITEPROP SLICE_X31Y18 NUM_INPUTS 32 SITEPROP SLICE_X31Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y18 NUM_PINS 45 SITEPROP SLICE_X31Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y18 PROHIBIT 0 SITEPROP SLICE_X31Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y18 RPM_X 87 SITEPROP SLICE_X31Y18 RPM_Y 36 SITEPROP SLICE_X31Y18 SITE_PIPS SITEPROP SLICE_X31Y18 SITE_TYPE SLICEL SITEPROP SLICE_X31Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y19 CLASS site SITEPROP SLICE_X31Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y19 IS_BONDED 0 SITEPROP SLICE_X31Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y19 IS_PAD 0 SITEPROP SLICE_X31Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y19 IS_RESERVED 0 SITEPROP SLICE_X31Y19 IS_TEST 0 SITEPROP SLICE_X31Y19 IS_USED 0 SITEPROP SLICE_X31Y19 MANUAL_ROUTING SITEPROP SLICE_X31Y19 NAME SLICE_X31Y19 SITEPROP SLICE_X31Y19 NUM_ARCS 138 SITEPROP SLICE_X31Y19 NUM_BELS 32 SITEPROP SLICE_X31Y19 NUM_INPUTS 32 SITEPROP SLICE_X31Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y19 NUM_PINS 45 SITEPROP SLICE_X31Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y19 PROHIBIT 0 SITEPROP SLICE_X31Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y19 RPM_X 87 SITEPROP SLICE_X31Y19 RPM_Y 38 SITEPROP SLICE_X31Y19 SITE_PIPS SITEPROP SLICE_X31Y19 SITE_TYPE SLICEL SITEPROP SLICE_X31Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y20 CLASS site SITEPROP SLICE_X31Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y20 IS_BONDED 0 SITEPROP SLICE_X31Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y20 IS_PAD 0 SITEPROP SLICE_X31Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y20 IS_RESERVED 0 SITEPROP SLICE_X31Y20 IS_TEST 0 SITEPROP SLICE_X31Y20 IS_USED 0 SITEPROP SLICE_X31Y20 MANUAL_ROUTING SITEPROP SLICE_X31Y20 NAME SLICE_X31Y20 SITEPROP SLICE_X31Y20 NUM_ARCS 138 SITEPROP SLICE_X31Y20 NUM_BELS 32 SITEPROP SLICE_X31Y20 NUM_INPUTS 32 SITEPROP SLICE_X31Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y20 NUM_PINS 45 SITEPROP SLICE_X31Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y20 PROHIBIT 0 SITEPROP SLICE_X31Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y20 RPM_X 87 SITEPROP SLICE_X31Y20 RPM_Y 40 SITEPROP SLICE_X31Y20 SITE_PIPS SITEPROP SLICE_X31Y20 SITE_TYPE SLICEL SITEPROP SLICE_X31Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y21 CLASS site SITEPROP SLICE_X31Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y21 IS_BONDED 0 SITEPROP SLICE_X31Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y21 IS_PAD 0 SITEPROP SLICE_X31Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y21 IS_RESERVED 0 SITEPROP SLICE_X31Y21 IS_TEST 0 SITEPROP SLICE_X31Y21 IS_USED 0 SITEPROP SLICE_X31Y21 MANUAL_ROUTING SITEPROP SLICE_X31Y21 NAME SLICE_X31Y21 SITEPROP SLICE_X31Y21 NUM_ARCS 138 SITEPROP SLICE_X31Y21 NUM_BELS 32 SITEPROP SLICE_X31Y21 NUM_INPUTS 32 SITEPROP SLICE_X31Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y21 NUM_PINS 45 SITEPROP SLICE_X31Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y21 PROHIBIT 0 SITEPROP SLICE_X31Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y21 RPM_X 87 SITEPROP SLICE_X31Y21 RPM_Y 42 SITEPROP SLICE_X31Y21 SITE_PIPS SITEPROP SLICE_X31Y21 SITE_TYPE SLICEL SITEPROP SLICE_X31Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y22 CLASS site SITEPROP SLICE_X31Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y22 IS_BONDED 0 SITEPROP SLICE_X31Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y22 IS_PAD 0 SITEPROP SLICE_X31Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y22 IS_RESERVED 0 SITEPROP SLICE_X31Y22 IS_TEST 0 SITEPROP SLICE_X31Y22 IS_USED 0 SITEPROP SLICE_X31Y22 MANUAL_ROUTING SITEPROP SLICE_X31Y22 NAME SLICE_X31Y22 SITEPROP SLICE_X31Y22 NUM_ARCS 138 SITEPROP SLICE_X31Y22 NUM_BELS 32 SITEPROP SLICE_X31Y22 NUM_INPUTS 32 SITEPROP SLICE_X31Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y22 NUM_PINS 45 SITEPROP SLICE_X31Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y22 PROHIBIT 0 SITEPROP SLICE_X31Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y22 RPM_X 87 SITEPROP SLICE_X31Y22 RPM_Y 44 SITEPROP SLICE_X31Y22 SITE_PIPS SITEPROP SLICE_X31Y22 SITE_TYPE SLICEL SITEPROP SLICE_X31Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y23 CLASS site SITEPROP SLICE_X31Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y23 IS_BONDED 0 SITEPROP SLICE_X31Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y23 IS_PAD 0 SITEPROP SLICE_X31Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y23 IS_RESERVED 0 SITEPROP SLICE_X31Y23 IS_TEST 0 SITEPROP SLICE_X31Y23 IS_USED 0 SITEPROP SLICE_X31Y23 MANUAL_ROUTING SITEPROP SLICE_X31Y23 NAME SLICE_X31Y23 SITEPROP SLICE_X31Y23 NUM_ARCS 138 SITEPROP SLICE_X31Y23 NUM_BELS 32 SITEPROP SLICE_X31Y23 NUM_INPUTS 32 SITEPROP SLICE_X31Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y23 NUM_PINS 45 SITEPROP SLICE_X31Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y23 PROHIBIT 0 SITEPROP SLICE_X31Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y23 RPM_X 87 SITEPROP SLICE_X31Y23 RPM_Y 46 SITEPROP SLICE_X31Y23 SITE_PIPS SITEPROP SLICE_X31Y23 SITE_TYPE SLICEL SITEPROP SLICE_X31Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y24 CLASS site SITEPROP SLICE_X31Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y24 IS_BONDED 0 SITEPROP SLICE_X31Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y24 IS_PAD 0 SITEPROP SLICE_X31Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y24 IS_RESERVED 0 SITEPROP SLICE_X31Y24 IS_TEST 0 SITEPROP SLICE_X31Y24 IS_USED 0 SITEPROP SLICE_X31Y24 MANUAL_ROUTING SITEPROP SLICE_X31Y24 NAME SLICE_X31Y24 SITEPROP SLICE_X31Y24 NUM_ARCS 138 SITEPROP SLICE_X31Y24 NUM_BELS 32 SITEPROP SLICE_X31Y24 NUM_INPUTS 32 SITEPROP SLICE_X31Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y24 NUM_PINS 45 SITEPROP SLICE_X31Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y24 PROHIBIT 0 SITEPROP SLICE_X31Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y24 RPM_X 87 SITEPROP SLICE_X31Y24 RPM_Y 48 SITEPROP SLICE_X31Y24 SITE_PIPS SITEPROP SLICE_X31Y24 SITE_TYPE SLICEL SITEPROP SLICE_X31Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y25 CLASS site SITEPROP SLICE_X31Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y25 IS_BONDED 0 SITEPROP SLICE_X31Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y25 IS_PAD 0 SITEPROP SLICE_X31Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y25 IS_RESERVED 0 SITEPROP SLICE_X31Y25 IS_TEST 0 SITEPROP SLICE_X31Y25 IS_USED 0 SITEPROP SLICE_X31Y25 MANUAL_ROUTING SITEPROP SLICE_X31Y25 NAME SLICE_X31Y25 SITEPROP SLICE_X31Y25 NUM_ARCS 138 SITEPROP SLICE_X31Y25 NUM_BELS 32 SITEPROP SLICE_X31Y25 NUM_INPUTS 32 SITEPROP SLICE_X31Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y25 NUM_PINS 45 SITEPROP SLICE_X31Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y25 PROHIBIT 0 SITEPROP SLICE_X31Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y25 RPM_X 87 SITEPROP SLICE_X31Y25 RPM_Y 50 SITEPROP SLICE_X31Y25 SITE_PIPS SITEPROP SLICE_X31Y25 SITE_TYPE SLICEL SITEPROP SLICE_X31Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y26 CLASS site SITEPROP SLICE_X31Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y26 IS_BONDED 0 SITEPROP SLICE_X31Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y26 IS_PAD 0 SITEPROP SLICE_X31Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y26 IS_RESERVED 0 SITEPROP SLICE_X31Y26 IS_TEST 0 SITEPROP SLICE_X31Y26 IS_USED 0 SITEPROP SLICE_X31Y26 MANUAL_ROUTING SITEPROP SLICE_X31Y26 NAME SLICE_X31Y26 SITEPROP SLICE_X31Y26 NUM_ARCS 138 SITEPROP SLICE_X31Y26 NUM_BELS 32 SITEPROP SLICE_X31Y26 NUM_INPUTS 32 SITEPROP SLICE_X31Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y26 NUM_PINS 45 SITEPROP SLICE_X31Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y26 PROHIBIT 0 SITEPROP SLICE_X31Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y26 RPM_X 87 SITEPROP SLICE_X31Y26 RPM_Y 52 SITEPROP SLICE_X31Y26 SITE_PIPS SITEPROP SLICE_X31Y26 SITE_TYPE SLICEL SITEPROP SLICE_X31Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y27 CLASS site SITEPROP SLICE_X31Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y27 IS_BONDED 0 SITEPROP SLICE_X31Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y27 IS_PAD 0 SITEPROP SLICE_X31Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y27 IS_RESERVED 0 SITEPROP SLICE_X31Y27 IS_TEST 0 SITEPROP SLICE_X31Y27 IS_USED 0 SITEPROP SLICE_X31Y27 MANUAL_ROUTING SITEPROP SLICE_X31Y27 NAME SLICE_X31Y27 SITEPROP SLICE_X31Y27 NUM_ARCS 138 SITEPROP SLICE_X31Y27 NUM_BELS 32 SITEPROP SLICE_X31Y27 NUM_INPUTS 32 SITEPROP SLICE_X31Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y27 NUM_PINS 45 SITEPROP SLICE_X31Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y27 PROHIBIT 0 SITEPROP SLICE_X31Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y27 RPM_X 87 SITEPROP SLICE_X31Y27 RPM_Y 54 SITEPROP SLICE_X31Y27 SITE_PIPS SITEPROP SLICE_X31Y27 SITE_TYPE SLICEL SITEPROP SLICE_X31Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y28 CLASS site SITEPROP SLICE_X31Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y28 IS_BONDED 0 SITEPROP SLICE_X31Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y28 IS_PAD 0 SITEPROP SLICE_X31Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y28 IS_RESERVED 0 SITEPROP SLICE_X31Y28 IS_TEST 0 SITEPROP SLICE_X31Y28 IS_USED 0 SITEPROP SLICE_X31Y28 MANUAL_ROUTING SITEPROP SLICE_X31Y28 NAME SLICE_X31Y28 SITEPROP SLICE_X31Y28 NUM_ARCS 138 SITEPROP SLICE_X31Y28 NUM_BELS 32 SITEPROP SLICE_X31Y28 NUM_INPUTS 32 SITEPROP SLICE_X31Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y28 NUM_PINS 45 SITEPROP SLICE_X31Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y28 PROHIBIT 0 SITEPROP SLICE_X31Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y28 RPM_X 87 SITEPROP SLICE_X31Y28 RPM_Y 56 SITEPROP SLICE_X31Y28 SITE_PIPS SITEPROP SLICE_X31Y28 SITE_TYPE SLICEL SITEPROP SLICE_X31Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y29 CLASS site SITEPROP SLICE_X31Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y29 IS_BONDED 0 SITEPROP SLICE_X31Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y29 IS_PAD 0 SITEPROP SLICE_X31Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y29 IS_RESERVED 0 SITEPROP SLICE_X31Y29 IS_TEST 0 SITEPROP SLICE_X31Y29 IS_USED 0 SITEPROP SLICE_X31Y29 MANUAL_ROUTING SITEPROP SLICE_X31Y29 NAME SLICE_X31Y29 SITEPROP SLICE_X31Y29 NUM_ARCS 138 SITEPROP SLICE_X31Y29 NUM_BELS 32 SITEPROP SLICE_X31Y29 NUM_INPUTS 32 SITEPROP SLICE_X31Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y29 NUM_PINS 45 SITEPROP SLICE_X31Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y29 PROHIBIT 0 SITEPROP SLICE_X31Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y29 RPM_X 87 SITEPROP SLICE_X31Y29 RPM_Y 58 SITEPROP SLICE_X31Y29 SITE_PIPS SITEPROP SLICE_X31Y29 SITE_TYPE SLICEL SITEPROP SLICE_X31Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y30 CLASS site SITEPROP SLICE_X31Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y30 IS_BONDED 0 SITEPROP SLICE_X31Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y30 IS_PAD 0 SITEPROP SLICE_X31Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y30 IS_RESERVED 0 SITEPROP SLICE_X31Y30 IS_TEST 0 SITEPROP SLICE_X31Y30 IS_USED 0 SITEPROP SLICE_X31Y30 MANUAL_ROUTING SITEPROP SLICE_X31Y30 NAME SLICE_X31Y30 SITEPROP SLICE_X31Y30 NUM_ARCS 138 SITEPROP SLICE_X31Y30 NUM_BELS 32 SITEPROP SLICE_X31Y30 NUM_INPUTS 32 SITEPROP SLICE_X31Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y30 NUM_PINS 45 SITEPROP SLICE_X31Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y30 PROHIBIT 0 SITEPROP SLICE_X31Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y30 RPM_X 87 SITEPROP SLICE_X31Y30 RPM_Y 60 SITEPROP SLICE_X31Y30 SITE_PIPS SITEPROP SLICE_X31Y30 SITE_TYPE SLICEL SITEPROP SLICE_X31Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y31 CLASS site SITEPROP SLICE_X31Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y31 IS_BONDED 0 SITEPROP SLICE_X31Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y31 IS_PAD 0 SITEPROP SLICE_X31Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y31 IS_RESERVED 0 SITEPROP SLICE_X31Y31 IS_TEST 0 SITEPROP SLICE_X31Y31 IS_USED 0 SITEPROP SLICE_X31Y31 MANUAL_ROUTING SITEPROP SLICE_X31Y31 NAME SLICE_X31Y31 SITEPROP SLICE_X31Y31 NUM_ARCS 138 SITEPROP SLICE_X31Y31 NUM_BELS 32 SITEPROP SLICE_X31Y31 NUM_INPUTS 32 SITEPROP SLICE_X31Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y31 NUM_PINS 45 SITEPROP SLICE_X31Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y31 PROHIBIT 0 SITEPROP SLICE_X31Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y31 RPM_X 87 SITEPROP SLICE_X31Y31 RPM_Y 62 SITEPROP SLICE_X31Y31 SITE_PIPS SITEPROP SLICE_X31Y31 SITE_TYPE SLICEL SITEPROP SLICE_X31Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y32 CLASS site SITEPROP SLICE_X31Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y32 IS_BONDED 0 SITEPROP SLICE_X31Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y32 IS_PAD 0 SITEPROP SLICE_X31Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y32 IS_RESERVED 0 SITEPROP SLICE_X31Y32 IS_TEST 0 SITEPROP SLICE_X31Y32 IS_USED 0 SITEPROP SLICE_X31Y32 MANUAL_ROUTING SITEPROP SLICE_X31Y32 NAME SLICE_X31Y32 SITEPROP SLICE_X31Y32 NUM_ARCS 138 SITEPROP SLICE_X31Y32 NUM_BELS 32 SITEPROP SLICE_X31Y32 NUM_INPUTS 32 SITEPROP SLICE_X31Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y32 NUM_PINS 45 SITEPROP SLICE_X31Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y32 PROHIBIT 0 SITEPROP SLICE_X31Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y32 RPM_X 87 SITEPROP SLICE_X31Y32 RPM_Y 64 SITEPROP SLICE_X31Y32 SITE_PIPS SITEPROP SLICE_X31Y32 SITE_TYPE SLICEL SITEPROP SLICE_X31Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y33 CLASS site SITEPROP SLICE_X31Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y33 IS_BONDED 0 SITEPROP SLICE_X31Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y33 IS_PAD 0 SITEPROP SLICE_X31Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y33 IS_RESERVED 0 SITEPROP SLICE_X31Y33 IS_TEST 0 SITEPROP SLICE_X31Y33 IS_USED 0 SITEPROP SLICE_X31Y33 MANUAL_ROUTING SITEPROP SLICE_X31Y33 NAME SLICE_X31Y33 SITEPROP SLICE_X31Y33 NUM_ARCS 138 SITEPROP SLICE_X31Y33 NUM_BELS 32 SITEPROP SLICE_X31Y33 NUM_INPUTS 32 SITEPROP SLICE_X31Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y33 NUM_PINS 45 SITEPROP SLICE_X31Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y33 PROHIBIT 0 SITEPROP SLICE_X31Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y33 RPM_X 87 SITEPROP SLICE_X31Y33 RPM_Y 66 SITEPROP SLICE_X31Y33 SITE_PIPS SITEPROP SLICE_X31Y33 SITE_TYPE SLICEL SITEPROP SLICE_X31Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y34 CLASS site SITEPROP SLICE_X31Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y34 IS_BONDED 0 SITEPROP SLICE_X31Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y34 IS_PAD 0 SITEPROP SLICE_X31Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y34 IS_RESERVED 0 SITEPROP SLICE_X31Y34 IS_TEST 0 SITEPROP SLICE_X31Y34 IS_USED 0 SITEPROP SLICE_X31Y34 MANUAL_ROUTING SITEPROP SLICE_X31Y34 NAME SLICE_X31Y34 SITEPROP SLICE_X31Y34 NUM_ARCS 138 SITEPROP SLICE_X31Y34 NUM_BELS 32 SITEPROP SLICE_X31Y34 NUM_INPUTS 32 SITEPROP SLICE_X31Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y34 NUM_PINS 45 SITEPROP SLICE_X31Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y34 PROHIBIT 0 SITEPROP SLICE_X31Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y34 RPM_X 87 SITEPROP SLICE_X31Y34 RPM_Y 68 SITEPROP SLICE_X31Y34 SITE_PIPS SITEPROP SLICE_X31Y34 SITE_TYPE SLICEL SITEPROP SLICE_X31Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y35 CLASS site SITEPROP SLICE_X31Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y35 IS_BONDED 0 SITEPROP SLICE_X31Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y35 IS_PAD 0 SITEPROP SLICE_X31Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y35 IS_RESERVED 0 SITEPROP SLICE_X31Y35 IS_TEST 0 SITEPROP SLICE_X31Y35 IS_USED 0 SITEPROP SLICE_X31Y35 MANUAL_ROUTING SITEPROP SLICE_X31Y35 NAME SLICE_X31Y35 SITEPROP SLICE_X31Y35 NUM_ARCS 138 SITEPROP SLICE_X31Y35 NUM_BELS 32 SITEPROP SLICE_X31Y35 NUM_INPUTS 32 SITEPROP SLICE_X31Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y35 NUM_PINS 45 SITEPROP SLICE_X31Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y35 PROHIBIT 0 SITEPROP SLICE_X31Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y35 RPM_X 87 SITEPROP SLICE_X31Y35 RPM_Y 70 SITEPROP SLICE_X31Y35 SITE_PIPS SITEPROP SLICE_X31Y35 SITE_TYPE SLICEL SITEPROP SLICE_X31Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y36 CLASS site SITEPROP SLICE_X31Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y36 IS_BONDED 0 SITEPROP SLICE_X31Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y36 IS_PAD 0 SITEPROP SLICE_X31Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y36 IS_RESERVED 0 SITEPROP SLICE_X31Y36 IS_TEST 0 SITEPROP SLICE_X31Y36 IS_USED 0 SITEPROP SLICE_X31Y36 MANUAL_ROUTING SITEPROP SLICE_X31Y36 NAME SLICE_X31Y36 SITEPROP SLICE_X31Y36 NUM_ARCS 138 SITEPROP SLICE_X31Y36 NUM_BELS 32 SITEPROP SLICE_X31Y36 NUM_INPUTS 32 SITEPROP SLICE_X31Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y36 NUM_PINS 45 SITEPROP SLICE_X31Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y36 PROHIBIT 0 SITEPROP SLICE_X31Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y36 RPM_X 87 SITEPROP SLICE_X31Y36 RPM_Y 72 SITEPROP SLICE_X31Y36 SITE_PIPS SITEPROP SLICE_X31Y36 SITE_TYPE SLICEL SITEPROP SLICE_X31Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y37 CLASS site SITEPROP SLICE_X31Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y37 IS_BONDED 0 SITEPROP SLICE_X31Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y37 IS_PAD 0 SITEPROP SLICE_X31Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y37 IS_RESERVED 0 SITEPROP SLICE_X31Y37 IS_TEST 0 SITEPROP SLICE_X31Y37 IS_USED 0 SITEPROP SLICE_X31Y37 MANUAL_ROUTING SITEPROP SLICE_X31Y37 NAME SLICE_X31Y37 SITEPROP SLICE_X31Y37 NUM_ARCS 138 SITEPROP SLICE_X31Y37 NUM_BELS 32 SITEPROP SLICE_X31Y37 NUM_INPUTS 32 SITEPROP SLICE_X31Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y37 NUM_PINS 45 SITEPROP SLICE_X31Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y37 PROHIBIT 0 SITEPROP SLICE_X31Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y37 RPM_X 87 SITEPROP SLICE_X31Y37 RPM_Y 74 SITEPROP SLICE_X31Y37 SITE_PIPS SITEPROP SLICE_X31Y37 SITE_TYPE SLICEL SITEPROP SLICE_X31Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y38 CLASS site SITEPROP SLICE_X31Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y38 IS_BONDED 0 SITEPROP SLICE_X31Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y38 IS_PAD 0 SITEPROP SLICE_X31Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y38 IS_RESERVED 0 SITEPROP SLICE_X31Y38 IS_TEST 0 SITEPROP SLICE_X31Y38 IS_USED 0 SITEPROP SLICE_X31Y38 MANUAL_ROUTING SITEPROP SLICE_X31Y38 NAME SLICE_X31Y38 SITEPROP SLICE_X31Y38 NUM_ARCS 138 SITEPROP SLICE_X31Y38 NUM_BELS 32 SITEPROP SLICE_X31Y38 NUM_INPUTS 32 SITEPROP SLICE_X31Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y38 NUM_PINS 45 SITEPROP SLICE_X31Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y38 PROHIBIT 0 SITEPROP SLICE_X31Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y38 RPM_X 87 SITEPROP SLICE_X31Y38 RPM_Y 76 SITEPROP SLICE_X31Y38 SITE_PIPS SITEPROP SLICE_X31Y38 SITE_TYPE SLICEL SITEPROP SLICE_X31Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y39 CLASS site SITEPROP SLICE_X31Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y39 IS_BONDED 0 SITEPROP SLICE_X31Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y39 IS_PAD 0 SITEPROP SLICE_X31Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y39 IS_RESERVED 0 SITEPROP SLICE_X31Y39 IS_TEST 0 SITEPROP SLICE_X31Y39 IS_USED 0 SITEPROP SLICE_X31Y39 MANUAL_ROUTING SITEPROP SLICE_X31Y39 NAME SLICE_X31Y39 SITEPROP SLICE_X31Y39 NUM_ARCS 138 SITEPROP SLICE_X31Y39 NUM_BELS 32 SITEPROP SLICE_X31Y39 NUM_INPUTS 32 SITEPROP SLICE_X31Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y39 NUM_PINS 45 SITEPROP SLICE_X31Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y39 PROHIBIT 0 SITEPROP SLICE_X31Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y39 RPM_X 87 SITEPROP SLICE_X31Y39 RPM_Y 78 SITEPROP SLICE_X31Y39 SITE_PIPS SITEPROP SLICE_X31Y39 SITE_TYPE SLICEL SITEPROP SLICE_X31Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y40 CLASS site SITEPROP SLICE_X31Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y40 IS_BONDED 0 SITEPROP SLICE_X31Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y40 IS_PAD 0 SITEPROP SLICE_X31Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y40 IS_RESERVED 0 SITEPROP SLICE_X31Y40 IS_TEST 0 SITEPROP SLICE_X31Y40 IS_USED 0 SITEPROP SLICE_X31Y40 MANUAL_ROUTING SITEPROP SLICE_X31Y40 NAME SLICE_X31Y40 SITEPROP SLICE_X31Y40 NUM_ARCS 138 SITEPROP SLICE_X31Y40 NUM_BELS 32 SITEPROP SLICE_X31Y40 NUM_INPUTS 32 SITEPROP SLICE_X31Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y40 NUM_PINS 45 SITEPROP SLICE_X31Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y40 PROHIBIT 0 SITEPROP SLICE_X31Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y40 RPM_X 87 SITEPROP SLICE_X31Y40 RPM_Y 80 SITEPROP SLICE_X31Y40 SITE_PIPS SITEPROP SLICE_X31Y40 SITE_TYPE SLICEL SITEPROP SLICE_X31Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y41 CLASS site SITEPROP SLICE_X31Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y41 IS_BONDED 0 SITEPROP SLICE_X31Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y41 IS_PAD 0 SITEPROP SLICE_X31Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y41 IS_RESERVED 0 SITEPROP SLICE_X31Y41 IS_TEST 0 SITEPROP SLICE_X31Y41 IS_USED 0 SITEPROP SLICE_X31Y41 MANUAL_ROUTING SITEPROP SLICE_X31Y41 NAME SLICE_X31Y41 SITEPROP SLICE_X31Y41 NUM_ARCS 138 SITEPROP SLICE_X31Y41 NUM_BELS 32 SITEPROP SLICE_X31Y41 NUM_INPUTS 32 SITEPROP SLICE_X31Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y41 NUM_PINS 45 SITEPROP SLICE_X31Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y41 PROHIBIT 0 SITEPROP SLICE_X31Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y41 RPM_X 87 SITEPROP SLICE_X31Y41 RPM_Y 82 SITEPROP SLICE_X31Y41 SITE_PIPS SITEPROP SLICE_X31Y41 SITE_TYPE SLICEL SITEPROP SLICE_X31Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y42 CLASS site SITEPROP SLICE_X31Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y42 IS_BONDED 0 SITEPROP SLICE_X31Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y42 IS_PAD 0 SITEPROP SLICE_X31Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y42 IS_RESERVED 0 SITEPROP SLICE_X31Y42 IS_TEST 0 SITEPROP SLICE_X31Y42 IS_USED 0 SITEPROP SLICE_X31Y42 MANUAL_ROUTING SITEPROP SLICE_X31Y42 NAME SLICE_X31Y42 SITEPROP SLICE_X31Y42 NUM_ARCS 138 SITEPROP SLICE_X31Y42 NUM_BELS 32 SITEPROP SLICE_X31Y42 NUM_INPUTS 32 SITEPROP SLICE_X31Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y42 NUM_PINS 45 SITEPROP SLICE_X31Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y42 PROHIBIT 0 SITEPROP SLICE_X31Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y42 RPM_X 87 SITEPROP SLICE_X31Y42 RPM_Y 84 SITEPROP SLICE_X31Y42 SITE_PIPS SITEPROP SLICE_X31Y42 SITE_TYPE SLICEL SITEPROP SLICE_X31Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y43 CLASS site SITEPROP SLICE_X31Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y43 IS_BONDED 0 SITEPROP SLICE_X31Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y43 IS_PAD 0 SITEPROP SLICE_X31Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y43 IS_RESERVED 0 SITEPROP SLICE_X31Y43 IS_TEST 0 SITEPROP SLICE_X31Y43 IS_USED 0 SITEPROP SLICE_X31Y43 MANUAL_ROUTING SITEPROP SLICE_X31Y43 NAME SLICE_X31Y43 SITEPROP SLICE_X31Y43 NUM_ARCS 138 SITEPROP SLICE_X31Y43 NUM_BELS 32 SITEPROP SLICE_X31Y43 NUM_INPUTS 32 SITEPROP SLICE_X31Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y43 NUM_PINS 45 SITEPROP SLICE_X31Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y43 PROHIBIT 0 SITEPROP SLICE_X31Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y43 RPM_X 87 SITEPROP SLICE_X31Y43 RPM_Y 86 SITEPROP SLICE_X31Y43 SITE_PIPS SITEPROP SLICE_X31Y43 SITE_TYPE SLICEL SITEPROP SLICE_X31Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y44 CLASS site SITEPROP SLICE_X31Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y44 IS_BONDED 0 SITEPROP SLICE_X31Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y44 IS_PAD 0 SITEPROP SLICE_X31Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y44 IS_RESERVED 0 SITEPROP SLICE_X31Y44 IS_TEST 0 SITEPROP SLICE_X31Y44 IS_USED 0 SITEPROP SLICE_X31Y44 MANUAL_ROUTING SITEPROP SLICE_X31Y44 NAME SLICE_X31Y44 SITEPROP SLICE_X31Y44 NUM_ARCS 138 SITEPROP SLICE_X31Y44 NUM_BELS 32 SITEPROP SLICE_X31Y44 NUM_INPUTS 32 SITEPROP SLICE_X31Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y44 NUM_PINS 45 SITEPROP SLICE_X31Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y44 PROHIBIT 0 SITEPROP SLICE_X31Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y44 RPM_X 87 SITEPROP SLICE_X31Y44 RPM_Y 88 SITEPROP SLICE_X31Y44 SITE_PIPS SITEPROP SLICE_X31Y44 SITE_TYPE SLICEL SITEPROP SLICE_X31Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y45 CLASS site SITEPROP SLICE_X31Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y45 IS_BONDED 0 SITEPROP SLICE_X31Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y45 IS_PAD 0 SITEPROP SLICE_X31Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y45 IS_RESERVED 0 SITEPROP SLICE_X31Y45 IS_TEST 0 SITEPROP SLICE_X31Y45 IS_USED 0 SITEPROP SLICE_X31Y45 MANUAL_ROUTING SITEPROP SLICE_X31Y45 NAME SLICE_X31Y45 SITEPROP SLICE_X31Y45 NUM_ARCS 138 SITEPROP SLICE_X31Y45 NUM_BELS 32 SITEPROP SLICE_X31Y45 NUM_INPUTS 32 SITEPROP SLICE_X31Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y45 NUM_PINS 45 SITEPROP SLICE_X31Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y45 PROHIBIT 0 SITEPROP SLICE_X31Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y45 RPM_X 87 SITEPROP SLICE_X31Y45 RPM_Y 90 SITEPROP SLICE_X31Y45 SITE_PIPS SITEPROP SLICE_X31Y45 SITE_TYPE SLICEL SITEPROP SLICE_X31Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y46 CLASS site SITEPROP SLICE_X31Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y46 IS_BONDED 0 SITEPROP SLICE_X31Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y46 IS_PAD 0 SITEPROP SLICE_X31Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y46 IS_RESERVED 0 SITEPROP SLICE_X31Y46 IS_TEST 0 SITEPROP SLICE_X31Y46 IS_USED 0 SITEPROP SLICE_X31Y46 MANUAL_ROUTING SITEPROP SLICE_X31Y46 NAME SLICE_X31Y46 SITEPROP SLICE_X31Y46 NUM_ARCS 138 SITEPROP SLICE_X31Y46 NUM_BELS 32 SITEPROP SLICE_X31Y46 NUM_INPUTS 32 SITEPROP SLICE_X31Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y46 NUM_PINS 45 SITEPROP SLICE_X31Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y46 PROHIBIT 0 SITEPROP SLICE_X31Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y46 RPM_X 87 SITEPROP SLICE_X31Y46 RPM_Y 92 SITEPROP SLICE_X31Y46 SITE_PIPS SITEPROP SLICE_X31Y46 SITE_TYPE SLICEL SITEPROP SLICE_X31Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y47 CLASS site SITEPROP SLICE_X31Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y47 IS_BONDED 0 SITEPROP SLICE_X31Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y47 IS_PAD 0 SITEPROP SLICE_X31Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y47 IS_RESERVED 0 SITEPROP SLICE_X31Y47 IS_TEST 0 SITEPROP SLICE_X31Y47 IS_USED 0 SITEPROP SLICE_X31Y47 MANUAL_ROUTING SITEPROP SLICE_X31Y47 NAME SLICE_X31Y47 SITEPROP SLICE_X31Y47 NUM_ARCS 138 SITEPROP SLICE_X31Y47 NUM_BELS 32 SITEPROP SLICE_X31Y47 NUM_INPUTS 32 SITEPROP SLICE_X31Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y47 NUM_PINS 45 SITEPROP SLICE_X31Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y47 PROHIBIT 0 SITEPROP SLICE_X31Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y47 RPM_X 87 SITEPROP SLICE_X31Y47 RPM_Y 94 SITEPROP SLICE_X31Y47 SITE_PIPS SITEPROP SLICE_X31Y47 SITE_TYPE SLICEL SITEPROP SLICE_X31Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y48 CLASS site SITEPROP SLICE_X31Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y48 IS_BONDED 0 SITEPROP SLICE_X31Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y48 IS_PAD 0 SITEPROP SLICE_X31Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y48 IS_RESERVED 0 SITEPROP SLICE_X31Y48 IS_TEST 0 SITEPROP SLICE_X31Y48 IS_USED 0 SITEPROP SLICE_X31Y48 MANUAL_ROUTING SITEPROP SLICE_X31Y48 NAME SLICE_X31Y48 SITEPROP SLICE_X31Y48 NUM_ARCS 138 SITEPROP SLICE_X31Y48 NUM_BELS 32 SITEPROP SLICE_X31Y48 NUM_INPUTS 32 SITEPROP SLICE_X31Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y48 NUM_PINS 45 SITEPROP SLICE_X31Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y48 PROHIBIT 0 SITEPROP SLICE_X31Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y48 RPM_X 87 SITEPROP SLICE_X31Y48 RPM_Y 96 SITEPROP SLICE_X31Y48 SITE_PIPS SITEPROP SLICE_X31Y48 SITE_TYPE SLICEL SITEPROP SLICE_X31Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y49 CLASS site SITEPROP SLICE_X31Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X31Y49 IS_BONDED 0 SITEPROP SLICE_X31Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y49 IS_PAD 0 SITEPROP SLICE_X31Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y49 IS_RESERVED 0 SITEPROP SLICE_X31Y49 IS_TEST 0 SITEPROP SLICE_X31Y49 IS_USED 0 SITEPROP SLICE_X31Y49 MANUAL_ROUTING SITEPROP SLICE_X31Y49 NAME SLICE_X31Y49 SITEPROP SLICE_X31Y49 NUM_ARCS 138 SITEPROP SLICE_X31Y49 NUM_BELS 32 SITEPROP SLICE_X31Y49 NUM_INPUTS 32 SITEPROP SLICE_X31Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y49 NUM_PINS 45 SITEPROP SLICE_X31Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y49 PROHIBIT 0 SITEPROP SLICE_X31Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y49 RPM_X 87 SITEPROP SLICE_X31Y49 RPM_Y 98 SITEPROP SLICE_X31Y49 SITE_PIPS SITEPROP SLICE_X31Y49 SITE_TYPE SLICEL SITEPROP SLICE_X31Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y50 CLASS site SITEPROP SLICE_X31Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y50 IS_BONDED 0 SITEPROP SLICE_X31Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y50 IS_PAD 0 SITEPROP SLICE_X31Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y50 IS_RESERVED 0 SITEPROP SLICE_X31Y50 IS_TEST 0 SITEPROP SLICE_X31Y50 IS_USED 0 SITEPROP SLICE_X31Y50 MANUAL_ROUTING SITEPROP SLICE_X31Y50 NAME SLICE_X31Y50 SITEPROP SLICE_X31Y50 NUM_ARCS 138 SITEPROP SLICE_X31Y50 NUM_BELS 32 SITEPROP SLICE_X31Y50 NUM_INPUTS 32 SITEPROP SLICE_X31Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y50 NUM_PINS 45 SITEPROP SLICE_X31Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y50 PROHIBIT 0 SITEPROP SLICE_X31Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y50 RPM_X 87 SITEPROP SLICE_X31Y50 RPM_Y 100 SITEPROP SLICE_X31Y50 SITE_PIPS SITEPROP SLICE_X31Y50 SITE_TYPE SLICEL SITEPROP SLICE_X31Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y51 CLASS site SITEPROP SLICE_X31Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y51 IS_BONDED 0 SITEPROP SLICE_X31Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y51 IS_PAD 0 SITEPROP SLICE_X31Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y51 IS_RESERVED 0 SITEPROP SLICE_X31Y51 IS_TEST 0 SITEPROP SLICE_X31Y51 IS_USED 0 SITEPROP SLICE_X31Y51 MANUAL_ROUTING SITEPROP SLICE_X31Y51 NAME SLICE_X31Y51 SITEPROP SLICE_X31Y51 NUM_ARCS 138 SITEPROP SLICE_X31Y51 NUM_BELS 32 SITEPROP SLICE_X31Y51 NUM_INPUTS 32 SITEPROP SLICE_X31Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y51 NUM_PINS 45 SITEPROP SLICE_X31Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y51 PROHIBIT 0 SITEPROP SLICE_X31Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y51 RPM_X 87 SITEPROP SLICE_X31Y51 RPM_Y 102 SITEPROP SLICE_X31Y51 SITE_PIPS SITEPROP SLICE_X31Y51 SITE_TYPE SLICEL SITEPROP SLICE_X31Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y52 CLASS site SITEPROP SLICE_X31Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y52 IS_BONDED 0 SITEPROP SLICE_X31Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y52 IS_PAD 0 SITEPROP SLICE_X31Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y52 IS_RESERVED 0 SITEPROP SLICE_X31Y52 IS_TEST 0 SITEPROP SLICE_X31Y52 IS_USED 0 SITEPROP SLICE_X31Y52 MANUAL_ROUTING SITEPROP SLICE_X31Y52 NAME SLICE_X31Y52 SITEPROP SLICE_X31Y52 NUM_ARCS 138 SITEPROP SLICE_X31Y52 NUM_BELS 32 SITEPROP SLICE_X31Y52 NUM_INPUTS 32 SITEPROP SLICE_X31Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y52 NUM_PINS 45 SITEPROP SLICE_X31Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y52 PROHIBIT 0 SITEPROP SLICE_X31Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y52 RPM_X 87 SITEPROP SLICE_X31Y52 RPM_Y 104 SITEPROP SLICE_X31Y52 SITE_PIPS SITEPROP SLICE_X31Y52 SITE_TYPE SLICEL SITEPROP SLICE_X31Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y53 CLASS site SITEPROP SLICE_X31Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y53 IS_BONDED 0 SITEPROP SLICE_X31Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y53 IS_PAD 0 SITEPROP SLICE_X31Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y53 IS_RESERVED 0 SITEPROP SLICE_X31Y53 IS_TEST 0 SITEPROP SLICE_X31Y53 IS_USED 0 SITEPROP SLICE_X31Y53 MANUAL_ROUTING SITEPROP SLICE_X31Y53 NAME SLICE_X31Y53 SITEPROP SLICE_X31Y53 NUM_ARCS 138 SITEPROP SLICE_X31Y53 NUM_BELS 32 SITEPROP SLICE_X31Y53 NUM_INPUTS 32 SITEPROP SLICE_X31Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y53 NUM_PINS 45 SITEPROP SLICE_X31Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y53 PROHIBIT 0 SITEPROP SLICE_X31Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y53 RPM_X 87 SITEPROP SLICE_X31Y53 RPM_Y 106 SITEPROP SLICE_X31Y53 SITE_PIPS SITEPROP SLICE_X31Y53 SITE_TYPE SLICEL SITEPROP SLICE_X31Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y54 CLASS site SITEPROP SLICE_X31Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y54 IS_BONDED 0 SITEPROP SLICE_X31Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y54 IS_PAD 0 SITEPROP SLICE_X31Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y54 IS_RESERVED 0 SITEPROP SLICE_X31Y54 IS_TEST 0 SITEPROP SLICE_X31Y54 IS_USED 0 SITEPROP SLICE_X31Y54 MANUAL_ROUTING SITEPROP SLICE_X31Y54 NAME SLICE_X31Y54 SITEPROP SLICE_X31Y54 NUM_ARCS 138 SITEPROP SLICE_X31Y54 NUM_BELS 32 SITEPROP SLICE_X31Y54 NUM_INPUTS 32 SITEPROP SLICE_X31Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y54 NUM_PINS 45 SITEPROP SLICE_X31Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y54 PROHIBIT 0 SITEPROP SLICE_X31Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y54 RPM_X 87 SITEPROP SLICE_X31Y54 RPM_Y 108 SITEPROP SLICE_X31Y54 SITE_PIPS SITEPROP SLICE_X31Y54 SITE_TYPE SLICEL SITEPROP SLICE_X31Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y55 CLASS site SITEPROP SLICE_X31Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y55 IS_BONDED 0 SITEPROP SLICE_X31Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y55 IS_PAD 0 SITEPROP SLICE_X31Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y55 IS_RESERVED 0 SITEPROP SLICE_X31Y55 IS_TEST 0 SITEPROP SLICE_X31Y55 IS_USED 0 SITEPROP SLICE_X31Y55 MANUAL_ROUTING SITEPROP SLICE_X31Y55 NAME SLICE_X31Y55 SITEPROP SLICE_X31Y55 NUM_ARCS 138 SITEPROP SLICE_X31Y55 NUM_BELS 32 SITEPROP SLICE_X31Y55 NUM_INPUTS 32 SITEPROP SLICE_X31Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y55 NUM_PINS 45 SITEPROP SLICE_X31Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y55 PROHIBIT 0 SITEPROP SLICE_X31Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y55 RPM_X 87 SITEPROP SLICE_X31Y55 RPM_Y 110 SITEPROP SLICE_X31Y55 SITE_PIPS SITEPROP SLICE_X31Y55 SITE_TYPE SLICEL SITEPROP SLICE_X31Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y56 CLASS site SITEPROP SLICE_X31Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y56 IS_BONDED 0 SITEPROP SLICE_X31Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y56 IS_PAD 0 SITEPROP SLICE_X31Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y56 IS_RESERVED 0 SITEPROP SLICE_X31Y56 IS_TEST 0 SITEPROP SLICE_X31Y56 IS_USED 0 SITEPROP SLICE_X31Y56 MANUAL_ROUTING SITEPROP SLICE_X31Y56 NAME SLICE_X31Y56 SITEPROP SLICE_X31Y56 NUM_ARCS 138 SITEPROP SLICE_X31Y56 NUM_BELS 32 SITEPROP SLICE_X31Y56 NUM_INPUTS 32 SITEPROP SLICE_X31Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y56 NUM_PINS 45 SITEPROP SLICE_X31Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y56 PROHIBIT 0 SITEPROP SLICE_X31Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y56 RPM_X 87 SITEPROP SLICE_X31Y56 RPM_Y 112 SITEPROP SLICE_X31Y56 SITE_PIPS SITEPROP SLICE_X31Y56 SITE_TYPE SLICEL SITEPROP SLICE_X31Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y57 CLASS site SITEPROP SLICE_X31Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y57 IS_BONDED 0 SITEPROP SLICE_X31Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y57 IS_PAD 0 SITEPROP SLICE_X31Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y57 IS_RESERVED 0 SITEPROP SLICE_X31Y57 IS_TEST 0 SITEPROP SLICE_X31Y57 IS_USED 0 SITEPROP SLICE_X31Y57 MANUAL_ROUTING SITEPROP SLICE_X31Y57 NAME SLICE_X31Y57 SITEPROP SLICE_X31Y57 NUM_ARCS 138 SITEPROP SLICE_X31Y57 NUM_BELS 32 SITEPROP SLICE_X31Y57 NUM_INPUTS 32 SITEPROP SLICE_X31Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y57 NUM_PINS 45 SITEPROP SLICE_X31Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y57 PROHIBIT 0 SITEPROP SLICE_X31Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y57 RPM_X 87 SITEPROP SLICE_X31Y57 RPM_Y 114 SITEPROP SLICE_X31Y57 SITE_PIPS SITEPROP SLICE_X31Y57 SITE_TYPE SLICEL SITEPROP SLICE_X31Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y58 CLASS site SITEPROP SLICE_X31Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y58 IS_BONDED 0 SITEPROP SLICE_X31Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y58 IS_PAD 0 SITEPROP SLICE_X31Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y58 IS_RESERVED 0 SITEPROP SLICE_X31Y58 IS_TEST 0 SITEPROP SLICE_X31Y58 IS_USED 0 SITEPROP SLICE_X31Y58 MANUAL_ROUTING SITEPROP SLICE_X31Y58 NAME SLICE_X31Y58 SITEPROP SLICE_X31Y58 NUM_ARCS 138 SITEPROP SLICE_X31Y58 NUM_BELS 32 SITEPROP SLICE_X31Y58 NUM_INPUTS 32 SITEPROP SLICE_X31Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y58 NUM_PINS 45 SITEPROP SLICE_X31Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y58 PROHIBIT 0 SITEPROP SLICE_X31Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y58 RPM_X 87 SITEPROP SLICE_X31Y58 RPM_Y 116 SITEPROP SLICE_X31Y58 SITE_PIPS SITEPROP SLICE_X31Y58 SITE_TYPE SLICEL SITEPROP SLICE_X31Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y59 CLASS site SITEPROP SLICE_X31Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y59 IS_BONDED 0 SITEPROP SLICE_X31Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y59 IS_PAD 0 SITEPROP SLICE_X31Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y59 IS_RESERVED 0 SITEPROP SLICE_X31Y59 IS_TEST 0 SITEPROP SLICE_X31Y59 IS_USED 0 SITEPROP SLICE_X31Y59 MANUAL_ROUTING SITEPROP SLICE_X31Y59 NAME SLICE_X31Y59 SITEPROP SLICE_X31Y59 NUM_ARCS 138 SITEPROP SLICE_X31Y59 NUM_BELS 32 SITEPROP SLICE_X31Y59 NUM_INPUTS 32 SITEPROP SLICE_X31Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y59 NUM_PINS 45 SITEPROP SLICE_X31Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y59 PROHIBIT 0 SITEPROP SLICE_X31Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y59 RPM_X 87 SITEPROP SLICE_X31Y59 RPM_Y 118 SITEPROP SLICE_X31Y59 SITE_PIPS SITEPROP SLICE_X31Y59 SITE_TYPE SLICEL SITEPROP SLICE_X31Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y60 CLASS site SITEPROP SLICE_X31Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y60 IS_BONDED 0 SITEPROP SLICE_X31Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y60 IS_PAD 0 SITEPROP SLICE_X31Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y60 IS_RESERVED 0 SITEPROP SLICE_X31Y60 IS_TEST 0 SITEPROP SLICE_X31Y60 IS_USED 0 SITEPROP SLICE_X31Y60 MANUAL_ROUTING SITEPROP SLICE_X31Y60 NAME SLICE_X31Y60 SITEPROP SLICE_X31Y60 NUM_ARCS 138 SITEPROP SLICE_X31Y60 NUM_BELS 32 SITEPROP SLICE_X31Y60 NUM_INPUTS 32 SITEPROP SLICE_X31Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y60 NUM_PINS 45 SITEPROP SLICE_X31Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y60 PROHIBIT 0 SITEPROP SLICE_X31Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y60 RPM_X 87 SITEPROP SLICE_X31Y60 RPM_Y 120 SITEPROP SLICE_X31Y60 SITE_PIPS SITEPROP SLICE_X31Y60 SITE_TYPE SLICEL SITEPROP SLICE_X31Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y61 CLASS site SITEPROP SLICE_X31Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y61 IS_BONDED 0 SITEPROP SLICE_X31Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y61 IS_PAD 0 SITEPROP SLICE_X31Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y61 IS_RESERVED 0 SITEPROP SLICE_X31Y61 IS_TEST 0 SITEPROP SLICE_X31Y61 IS_USED 0 SITEPROP SLICE_X31Y61 MANUAL_ROUTING SITEPROP SLICE_X31Y61 NAME SLICE_X31Y61 SITEPROP SLICE_X31Y61 NUM_ARCS 138 SITEPROP SLICE_X31Y61 NUM_BELS 32 SITEPROP SLICE_X31Y61 NUM_INPUTS 32 SITEPROP SLICE_X31Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y61 NUM_PINS 45 SITEPROP SLICE_X31Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y61 PROHIBIT 0 SITEPROP SLICE_X31Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y61 RPM_X 87 SITEPROP SLICE_X31Y61 RPM_Y 122 SITEPROP SLICE_X31Y61 SITE_PIPS SITEPROP SLICE_X31Y61 SITE_TYPE SLICEL SITEPROP SLICE_X31Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y62 CLASS site SITEPROP SLICE_X31Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y62 IS_BONDED 0 SITEPROP SLICE_X31Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y62 IS_PAD 0 SITEPROP SLICE_X31Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y62 IS_RESERVED 0 SITEPROP SLICE_X31Y62 IS_TEST 0 SITEPROP SLICE_X31Y62 IS_USED 0 SITEPROP SLICE_X31Y62 MANUAL_ROUTING SITEPROP SLICE_X31Y62 NAME SLICE_X31Y62 SITEPROP SLICE_X31Y62 NUM_ARCS 138 SITEPROP SLICE_X31Y62 NUM_BELS 32 SITEPROP SLICE_X31Y62 NUM_INPUTS 32 SITEPROP SLICE_X31Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y62 NUM_PINS 45 SITEPROP SLICE_X31Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y62 PROHIBIT 0 SITEPROP SLICE_X31Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y62 RPM_X 87 SITEPROP SLICE_X31Y62 RPM_Y 124 SITEPROP SLICE_X31Y62 SITE_PIPS SITEPROP SLICE_X31Y62 SITE_TYPE SLICEL SITEPROP SLICE_X31Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y63 CLASS site SITEPROP SLICE_X31Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y63 IS_BONDED 0 SITEPROP SLICE_X31Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y63 IS_PAD 0 SITEPROP SLICE_X31Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y63 IS_RESERVED 0 SITEPROP SLICE_X31Y63 IS_TEST 0 SITEPROP SLICE_X31Y63 IS_USED 0 SITEPROP SLICE_X31Y63 MANUAL_ROUTING SITEPROP SLICE_X31Y63 NAME SLICE_X31Y63 SITEPROP SLICE_X31Y63 NUM_ARCS 138 SITEPROP SLICE_X31Y63 NUM_BELS 32 SITEPROP SLICE_X31Y63 NUM_INPUTS 32 SITEPROP SLICE_X31Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y63 NUM_PINS 45 SITEPROP SLICE_X31Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y63 PROHIBIT 0 SITEPROP SLICE_X31Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y63 RPM_X 87 SITEPROP SLICE_X31Y63 RPM_Y 126 SITEPROP SLICE_X31Y63 SITE_PIPS SITEPROP SLICE_X31Y63 SITE_TYPE SLICEL SITEPROP SLICE_X31Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y64 CLASS site SITEPROP SLICE_X31Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y64 IS_BONDED 0 SITEPROP SLICE_X31Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y64 IS_PAD 0 SITEPROP SLICE_X31Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y64 IS_RESERVED 0 SITEPROP SLICE_X31Y64 IS_TEST 0 SITEPROP SLICE_X31Y64 IS_USED 0 SITEPROP SLICE_X31Y64 MANUAL_ROUTING SITEPROP SLICE_X31Y64 NAME SLICE_X31Y64 SITEPROP SLICE_X31Y64 NUM_ARCS 138 SITEPROP SLICE_X31Y64 NUM_BELS 32 SITEPROP SLICE_X31Y64 NUM_INPUTS 32 SITEPROP SLICE_X31Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y64 NUM_PINS 45 SITEPROP SLICE_X31Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y64 PROHIBIT 0 SITEPROP SLICE_X31Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y64 RPM_X 87 SITEPROP SLICE_X31Y64 RPM_Y 128 SITEPROP SLICE_X31Y64 SITE_PIPS SITEPROP SLICE_X31Y64 SITE_TYPE SLICEL SITEPROP SLICE_X31Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y65 CLASS site SITEPROP SLICE_X31Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y65 IS_BONDED 0 SITEPROP SLICE_X31Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y65 IS_PAD 0 SITEPROP SLICE_X31Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y65 IS_RESERVED 0 SITEPROP SLICE_X31Y65 IS_TEST 0 SITEPROP SLICE_X31Y65 IS_USED 0 SITEPROP SLICE_X31Y65 MANUAL_ROUTING SITEPROP SLICE_X31Y65 NAME SLICE_X31Y65 SITEPROP SLICE_X31Y65 NUM_ARCS 138 SITEPROP SLICE_X31Y65 NUM_BELS 32 SITEPROP SLICE_X31Y65 NUM_INPUTS 32 SITEPROP SLICE_X31Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y65 NUM_PINS 45 SITEPROP SLICE_X31Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y65 PROHIBIT 0 SITEPROP SLICE_X31Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y65 RPM_X 87 SITEPROP SLICE_X31Y65 RPM_Y 130 SITEPROP SLICE_X31Y65 SITE_PIPS SITEPROP SLICE_X31Y65 SITE_TYPE SLICEL SITEPROP SLICE_X31Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y66 CLASS site SITEPROP SLICE_X31Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y66 IS_BONDED 0 SITEPROP SLICE_X31Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y66 IS_PAD 0 SITEPROP SLICE_X31Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y66 IS_RESERVED 0 SITEPROP SLICE_X31Y66 IS_TEST 0 SITEPROP SLICE_X31Y66 IS_USED 0 SITEPROP SLICE_X31Y66 MANUAL_ROUTING SITEPROP SLICE_X31Y66 NAME SLICE_X31Y66 SITEPROP SLICE_X31Y66 NUM_ARCS 138 SITEPROP SLICE_X31Y66 NUM_BELS 32 SITEPROP SLICE_X31Y66 NUM_INPUTS 32 SITEPROP SLICE_X31Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y66 NUM_PINS 45 SITEPROP SLICE_X31Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y66 PROHIBIT 0 SITEPROP SLICE_X31Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y66 RPM_X 87 SITEPROP SLICE_X31Y66 RPM_Y 132 SITEPROP SLICE_X31Y66 SITE_PIPS SITEPROP SLICE_X31Y66 SITE_TYPE SLICEL SITEPROP SLICE_X31Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y67 CLASS site SITEPROP SLICE_X31Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y67 IS_BONDED 0 SITEPROP SLICE_X31Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y67 IS_PAD 0 SITEPROP SLICE_X31Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y67 IS_RESERVED 0 SITEPROP SLICE_X31Y67 IS_TEST 0 SITEPROP SLICE_X31Y67 IS_USED 0 SITEPROP SLICE_X31Y67 MANUAL_ROUTING SITEPROP SLICE_X31Y67 NAME SLICE_X31Y67 SITEPROP SLICE_X31Y67 NUM_ARCS 138 SITEPROP SLICE_X31Y67 NUM_BELS 32 SITEPROP SLICE_X31Y67 NUM_INPUTS 32 SITEPROP SLICE_X31Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y67 NUM_PINS 45 SITEPROP SLICE_X31Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y67 PROHIBIT 0 SITEPROP SLICE_X31Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y67 RPM_X 87 SITEPROP SLICE_X31Y67 RPM_Y 134 SITEPROP SLICE_X31Y67 SITE_PIPS SITEPROP SLICE_X31Y67 SITE_TYPE SLICEL SITEPROP SLICE_X31Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y68 CLASS site SITEPROP SLICE_X31Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y68 IS_BONDED 0 SITEPROP SLICE_X31Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y68 IS_PAD 0 SITEPROP SLICE_X31Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y68 IS_RESERVED 0 SITEPROP SLICE_X31Y68 IS_TEST 0 SITEPROP SLICE_X31Y68 IS_USED 0 SITEPROP SLICE_X31Y68 MANUAL_ROUTING SITEPROP SLICE_X31Y68 NAME SLICE_X31Y68 SITEPROP SLICE_X31Y68 NUM_ARCS 138 SITEPROP SLICE_X31Y68 NUM_BELS 32 SITEPROP SLICE_X31Y68 NUM_INPUTS 32 SITEPROP SLICE_X31Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y68 NUM_PINS 45 SITEPROP SLICE_X31Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y68 PROHIBIT 0 SITEPROP SLICE_X31Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y68 RPM_X 87 SITEPROP SLICE_X31Y68 RPM_Y 136 SITEPROP SLICE_X31Y68 SITE_PIPS SITEPROP SLICE_X31Y68 SITE_TYPE SLICEL SITEPROP SLICE_X31Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y69 CLASS site SITEPROP SLICE_X31Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y69 IS_BONDED 0 SITEPROP SLICE_X31Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y69 IS_PAD 0 SITEPROP SLICE_X31Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y69 IS_RESERVED 0 SITEPROP SLICE_X31Y69 IS_TEST 0 SITEPROP SLICE_X31Y69 IS_USED 0 SITEPROP SLICE_X31Y69 MANUAL_ROUTING SITEPROP SLICE_X31Y69 NAME SLICE_X31Y69 SITEPROP SLICE_X31Y69 NUM_ARCS 138 SITEPROP SLICE_X31Y69 NUM_BELS 32 SITEPROP SLICE_X31Y69 NUM_INPUTS 32 SITEPROP SLICE_X31Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y69 NUM_PINS 45 SITEPROP SLICE_X31Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y69 PROHIBIT 0 SITEPROP SLICE_X31Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y69 RPM_X 87 SITEPROP SLICE_X31Y69 RPM_Y 138 SITEPROP SLICE_X31Y69 SITE_PIPS SITEPROP SLICE_X31Y69 SITE_TYPE SLICEL SITEPROP SLICE_X31Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y70 CLASS site SITEPROP SLICE_X31Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y70 IS_BONDED 0 SITEPROP SLICE_X31Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y70 IS_PAD 0 SITEPROP SLICE_X31Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y70 IS_RESERVED 0 SITEPROP SLICE_X31Y70 IS_TEST 0 SITEPROP SLICE_X31Y70 IS_USED 0 SITEPROP SLICE_X31Y70 MANUAL_ROUTING SITEPROP SLICE_X31Y70 NAME SLICE_X31Y70 SITEPROP SLICE_X31Y70 NUM_ARCS 138 SITEPROP SLICE_X31Y70 NUM_BELS 32 SITEPROP SLICE_X31Y70 NUM_INPUTS 32 SITEPROP SLICE_X31Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y70 NUM_PINS 45 SITEPROP SLICE_X31Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y70 PROHIBIT 0 SITEPROP SLICE_X31Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y70 RPM_X 87 SITEPROP SLICE_X31Y70 RPM_Y 140 SITEPROP SLICE_X31Y70 SITE_PIPS SITEPROP SLICE_X31Y70 SITE_TYPE SLICEL SITEPROP SLICE_X31Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y71 CLASS site SITEPROP SLICE_X31Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y71 IS_BONDED 0 SITEPROP SLICE_X31Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y71 IS_PAD 0 SITEPROP SLICE_X31Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y71 IS_RESERVED 0 SITEPROP SLICE_X31Y71 IS_TEST 0 SITEPROP SLICE_X31Y71 IS_USED 0 SITEPROP SLICE_X31Y71 MANUAL_ROUTING SITEPROP SLICE_X31Y71 NAME SLICE_X31Y71 SITEPROP SLICE_X31Y71 NUM_ARCS 138 SITEPROP SLICE_X31Y71 NUM_BELS 32 SITEPROP SLICE_X31Y71 NUM_INPUTS 32 SITEPROP SLICE_X31Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y71 NUM_PINS 45 SITEPROP SLICE_X31Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y71 PROHIBIT 0 SITEPROP SLICE_X31Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y71 RPM_X 87 SITEPROP SLICE_X31Y71 RPM_Y 142 SITEPROP SLICE_X31Y71 SITE_PIPS SITEPROP SLICE_X31Y71 SITE_TYPE SLICEL SITEPROP SLICE_X31Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y72 CLASS site SITEPROP SLICE_X31Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y72 IS_BONDED 0 SITEPROP SLICE_X31Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y72 IS_PAD 0 SITEPROP SLICE_X31Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y72 IS_RESERVED 0 SITEPROP SLICE_X31Y72 IS_TEST 0 SITEPROP SLICE_X31Y72 IS_USED 0 SITEPROP SLICE_X31Y72 MANUAL_ROUTING SITEPROP SLICE_X31Y72 NAME SLICE_X31Y72 SITEPROP SLICE_X31Y72 NUM_ARCS 138 SITEPROP SLICE_X31Y72 NUM_BELS 32 SITEPROP SLICE_X31Y72 NUM_INPUTS 32 SITEPROP SLICE_X31Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y72 NUM_PINS 45 SITEPROP SLICE_X31Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y72 PROHIBIT 0 SITEPROP SLICE_X31Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y72 RPM_X 87 SITEPROP SLICE_X31Y72 RPM_Y 144 SITEPROP SLICE_X31Y72 SITE_PIPS SITEPROP SLICE_X31Y72 SITE_TYPE SLICEL SITEPROP SLICE_X31Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y73 CLASS site SITEPROP SLICE_X31Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y73 IS_BONDED 0 SITEPROP SLICE_X31Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y73 IS_PAD 0 SITEPROP SLICE_X31Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y73 IS_RESERVED 0 SITEPROP SLICE_X31Y73 IS_TEST 0 SITEPROP SLICE_X31Y73 IS_USED 0 SITEPROP SLICE_X31Y73 MANUAL_ROUTING SITEPROP SLICE_X31Y73 NAME SLICE_X31Y73 SITEPROP SLICE_X31Y73 NUM_ARCS 138 SITEPROP SLICE_X31Y73 NUM_BELS 32 SITEPROP SLICE_X31Y73 NUM_INPUTS 32 SITEPROP SLICE_X31Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y73 NUM_PINS 45 SITEPROP SLICE_X31Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y73 PROHIBIT 0 SITEPROP SLICE_X31Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y73 RPM_X 87 SITEPROP SLICE_X31Y73 RPM_Y 146 SITEPROP SLICE_X31Y73 SITE_PIPS SITEPROP SLICE_X31Y73 SITE_TYPE SLICEL SITEPROP SLICE_X31Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y74 CLASS site SITEPROP SLICE_X31Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y74 IS_BONDED 0 SITEPROP SLICE_X31Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y74 IS_PAD 0 SITEPROP SLICE_X31Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y74 IS_RESERVED 0 SITEPROP SLICE_X31Y74 IS_TEST 0 SITEPROP SLICE_X31Y74 IS_USED 0 SITEPROP SLICE_X31Y74 MANUAL_ROUTING SITEPROP SLICE_X31Y74 NAME SLICE_X31Y74 SITEPROP SLICE_X31Y74 NUM_ARCS 138 SITEPROP SLICE_X31Y74 NUM_BELS 32 SITEPROP SLICE_X31Y74 NUM_INPUTS 32 SITEPROP SLICE_X31Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y74 NUM_PINS 45 SITEPROP SLICE_X31Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y74 PROHIBIT 0 SITEPROP SLICE_X31Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y74 RPM_X 87 SITEPROP SLICE_X31Y74 RPM_Y 148 SITEPROP SLICE_X31Y74 SITE_PIPS SITEPROP SLICE_X31Y74 SITE_TYPE SLICEL SITEPROP SLICE_X31Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y75 CLASS site SITEPROP SLICE_X31Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y75 IS_BONDED 0 SITEPROP SLICE_X31Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y75 IS_PAD 0 SITEPROP SLICE_X31Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y75 IS_RESERVED 0 SITEPROP SLICE_X31Y75 IS_TEST 0 SITEPROP SLICE_X31Y75 IS_USED 0 SITEPROP SLICE_X31Y75 MANUAL_ROUTING SITEPROP SLICE_X31Y75 NAME SLICE_X31Y75 SITEPROP SLICE_X31Y75 NUM_ARCS 138 SITEPROP SLICE_X31Y75 NUM_BELS 32 SITEPROP SLICE_X31Y75 NUM_INPUTS 32 SITEPROP SLICE_X31Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y75 NUM_PINS 45 SITEPROP SLICE_X31Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y75 PROHIBIT 0 SITEPROP SLICE_X31Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y75 RPM_X 87 SITEPROP SLICE_X31Y75 RPM_Y 150 SITEPROP SLICE_X31Y75 SITE_PIPS SITEPROP SLICE_X31Y75 SITE_TYPE SLICEL SITEPROP SLICE_X31Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y76 CLASS site SITEPROP SLICE_X31Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y76 IS_BONDED 0 SITEPROP SLICE_X31Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y76 IS_PAD 0 SITEPROP SLICE_X31Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y76 IS_RESERVED 0 SITEPROP SLICE_X31Y76 IS_TEST 0 SITEPROP SLICE_X31Y76 IS_USED 0 SITEPROP SLICE_X31Y76 MANUAL_ROUTING SITEPROP SLICE_X31Y76 NAME SLICE_X31Y76 SITEPROP SLICE_X31Y76 NUM_ARCS 138 SITEPROP SLICE_X31Y76 NUM_BELS 32 SITEPROP SLICE_X31Y76 NUM_INPUTS 32 SITEPROP SLICE_X31Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y76 NUM_PINS 45 SITEPROP SLICE_X31Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y76 PROHIBIT 0 SITEPROP SLICE_X31Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y76 RPM_X 87 SITEPROP SLICE_X31Y76 RPM_Y 152 SITEPROP SLICE_X31Y76 SITE_PIPS SITEPROP SLICE_X31Y76 SITE_TYPE SLICEL SITEPROP SLICE_X31Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y77 CLASS site SITEPROP SLICE_X31Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y77 IS_BONDED 0 SITEPROP SLICE_X31Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y77 IS_PAD 0 SITEPROP SLICE_X31Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y77 IS_RESERVED 0 SITEPROP SLICE_X31Y77 IS_TEST 0 SITEPROP SLICE_X31Y77 IS_USED 0 SITEPROP SLICE_X31Y77 MANUAL_ROUTING SITEPROP SLICE_X31Y77 NAME SLICE_X31Y77 SITEPROP SLICE_X31Y77 NUM_ARCS 138 SITEPROP SLICE_X31Y77 NUM_BELS 32 SITEPROP SLICE_X31Y77 NUM_INPUTS 32 SITEPROP SLICE_X31Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y77 NUM_PINS 45 SITEPROP SLICE_X31Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y77 PROHIBIT 0 SITEPROP SLICE_X31Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y77 RPM_X 87 SITEPROP SLICE_X31Y77 RPM_Y 154 SITEPROP SLICE_X31Y77 SITE_PIPS SITEPROP SLICE_X31Y77 SITE_TYPE SLICEL SITEPROP SLICE_X31Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y78 CLASS site SITEPROP SLICE_X31Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y78 IS_BONDED 0 SITEPROP SLICE_X31Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y78 IS_PAD 0 SITEPROP SLICE_X31Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y78 IS_RESERVED 0 SITEPROP SLICE_X31Y78 IS_TEST 0 SITEPROP SLICE_X31Y78 IS_USED 0 SITEPROP SLICE_X31Y78 MANUAL_ROUTING SITEPROP SLICE_X31Y78 NAME SLICE_X31Y78 SITEPROP SLICE_X31Y78 NUM_ARCS 138 SITEPROP SLICE_X31Y78 NUM_BELS 32 SITEPROP SLICE_X31Y78 NUM_INPUTS 32 SITEPROP SLICE_X31Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y78 NUM_PINS 45 SITEPROP SLICE_X31Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y78 PROHIBIT 0 SITEPROP SLICE_X31Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y78 RPM_X 87 SITEPROP SLICE_X31Y78 RPM_Y 156 SITEPROP SLICE_X31Y78 SITE_PIPS SITEPROP SLICE_X31Y78 SITE_TYPE SLICEL SITEPROP SLICE_X31Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y79 CLASS site SITEPROP SLICE_X31Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y79 IS_BONDED 0 SITEPROP SLICE_X31Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y79 IS_PAD 0 SITEPROP SLICE_X31Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y79 IS_RESERVED 0 SITEPROP SLICE_X31Y79 IS_TEST 0 SITEPROP SLICE_X31Y79 IS_USED 0 SITEPROP SLICE_X31Y79 MANUAL_ROUTING SITEPROP SLICE_X31Y79 NAME SLICE_X31Y79 SITEPROP SLICE_X31Y79 NUM_ARCS 138 SITEPROP SLICE_X31Y79 NUM_BELS 32 SITEPROP SLICE_X31Y79 NUM_INPUTS 32 SITEPROP SLICE_X31Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y79 NUM_PINS 45 SITEPROP SLICE_X31Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y79 PROHIBIT 0 SITEPROP SLICE_X31Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y79 RPM_X 87 SITEPROP SLICE_X31Y79 RPM_Y 158 SITEPROP SLICE_X31Y79 SITE_PIPS SITEPROP SLICE_X31Y79 SITE_TYPE SLICEL SITEPROP SLICE_X31Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y80 CLASS site SITEPROP SLICE_X31Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y80 IS_BONDED 0 SITEPROP SLICE_X31Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y80 IS_PAD 0 SITEPROP SLICE_X31Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y80 IS_RESERVED 0 SITEPROP SLICE_X31Y80 IS_TEST 0 SITEPROP SLICE_X31Y80 IS_USED 0 SITEPROP SLICE_X31Y80 MANUAL_ROUTING SITEPROP SLICE_X31Y80 NAME SLICE_X31Y80 SITEPROP SLICE_X31Y80 NUM_ARCS 138 SITEPROP SLICE_X31Y80 NUM_BELS 32 SITEPROP SLICE_X31Y80 NUM_INPUTS 32 SITEPROP SLICE_X31Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y80 NUM_PINS 45 SITEPROP SLICE_X31Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y80 PROHIBIT 0 SITEPROP SLICE_X31Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y80 RPM_X 87 SITEPROP SLICE_X31Y80 RPM_Y 160 SITEPROP SLICE_X31Y80 SITE_PIPS SITEPROP SLICE_X31Y80 SITE_TYPE SLICEL SITEPROP SLICE_X31Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y81 CLASS site SITEPROP SLICE_X31Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y81 IS_BONDED 0 SITEPROP SLICE_X31Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y81 IS_PAD 0 SITEPROP SLICE_X31Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y81 IS_RESERVED 0 SITEPROP SLICE_X31Y81 IS_TEST 0 SITEPROP SLICE_X31Y81 IS_USED 0 SITEPROP SLICE_X31Y81 MANUAL_ROUTING SITEPROP SLICE_X31Y81 NAME SLICE_X31Y81 SITEPROP SLICE_X31Y81 NUM_ARCS 138 SITEPROP SLICE_X31Y81 NUM_BELS 32 SITEPROP SLICE_X31Y81 NUM_INPUTS 32 SITEPROP SLICE_X31Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y81 NUM_PINS 45 SITEPROP SLICE_X31Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y81 PROHIBIT 0 SITEPROP SLICE_X31Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y81 RPM_X 87 SITEPROP SLICE_X31Y81 RPM_Y 162 SITEPROP SLICE_X31Y81 SITE_PIPS SITEPROP SLICE_X31Y81 SITE_TYPE SLICEL SITEPROP SLICE_X31Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y82 CLASS site SITEPROP SLICE_X31Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y82 IS_BONDED 0 SITEPROP SLICE_X31Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y82 IS_PAD 0 SITEPROP SLICE_X31Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y82 IS_RESERVED 0 SITEPROP SLICE_X31Y82 IS_TEST 0 SITEPROP SLICE_X31Y82 IS_USED 0 SITEPROP SLICE_X31Y82 MANUAL_ROUTING SITEPROP SLICE_X31Y82 NAME SLICE_X31Y82 SITEPROP SLICE_X31Y82 NUM_ARCS 138 SITEPROP SLICE_X31Y82 NUM_BELS 32 SITEPROP SLICE_X31Y82 NUM_INPUTS 32 SITEPROP SLICE_X31Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y82 NUM_PINS 45 SITEPROP SLICE_X31Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y82 PROHIBIT 0 SITEPROP SLICE_X31Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y82 RPM_X 87 SITEPROP SLICE_X31Y82 RPM_Y 164 SITEPROP SLICE_X31Y82 SITE_PIPS SITEPROP SLICE_X31Y82 SITE_TYPE SLICEL SITEPROP SLICE_X31Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y83 CLASS site SITEPROP SLICE_X31Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y83 IS_BONDED 0 SITEPROP SLICE_X31Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y83 IS_PAD 0 SITEPROP SLICE_X31Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y83 IS_RESERVED 0 SITEPROP SLICE_X31Y83 IS_TEST 0 SITEPROP SLICE_X31Y83 IS_USED 0 SITEPROP SLICE_X31Y83 MANUAL_ROUTING SITEPROP SLICE_X31Y83 NAME SLICE_X31Y83 SITEPROP SLICE_X31Y83 NUM_ARCS 138 SITEPROP SLICE_X31Y83 NUM_BELS 32 SITEPROP SLICE_X31Y83 NUM_INPUTS 32 SITEPROP SLICE_X31Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y83 NUM_PINS 45 SITEPROP SLICE_X31Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y83 PROHIBIT 0 SITEPROP SLICE_X31Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y83 RPM_X 87 SITEPROP SLICE_X31Y83 RPM_Y 166 SITEPROP SLICE_X31Y83 SITE_PIPS SITEPROP SLICE_X31Y83 SITE_TYPE SLICEL SITEPROP SLICE_X31Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y84 CLASS site SITEPROP SLICE_X31Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y84 IS_BONDED 0 SITEPROP SLICE_X31Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y84 IS_PAD 0 SITEPROP SLICE_X31Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y84 IS_RESERVED 0 SITEPROP SLICE_X31Y84 IS_TEST 0 SITEPROP SLICE_X31Y84 IS_USED 0 SITEPROP SLICE_X31Y84 MANUAL_ROUTING SITEPROP SLICE_X31Y84 NAME SLICE_X31Y84 SITEPROP SLICE_X31Y84 NUM_ARCS 138 SITEPROP SLICE_X31Y84 NUM_BELS 32 SITEPROP SLICE_X31Y84 NUM_INPUTS 32 SITEPROP SLICE_X31Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y84 NUM_PINS 45 SITEPROP SLICE_X31Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y84 PROHIBIT 0 SITEPROP SLICE_X31Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y84 RPM_X 87 SITEPROP SLICE_X31Y84 RPM_Y 168 SITEPROP SLICE_X31Y84 SITE_PIPS SITEPROP SLICE_X31Y84 SITE_TYPE SLICEL SITEPROP SLICE_X31Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y85 CLASS site SITEPROP SLICE_X31Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y85 IS_BONDED 0 SITEPROP SLICE_X31Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y85 IS_PAD 0 SITEPROP SLICE_X31Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y85 IS_RESERVED 0 SITEPROP SLICE_X31Y85 IS_TEST 0 SITEPROP SLICE_X31Y85 IS_USED 0 SITEPROP SLICE_X31Y85 MANUAL_ROUTING SITEPROP SLICE_X31Y85 NAME SLICE_X31Y85 SITEPROP SLICE_X31Y85 NUM_ARCS 138 SITEPROP SLICE_X31Y85 NUM_BELS 32 SITEPROP SLICE_X31Y85 NUM_INPUTS 32 SITEPROP SLICE_X31Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y85 NUM_PINS 45 SITEPROP SLICE_X31Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y85 PROHIBIT 0 SITEPROP SLICE_X31Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y85 RPM_X 87 SITEPROP SLICE_X31Y85 RPM_Y 170 SITEPROP SLICE_X31Y85 SITE_PIPS SITEPROP SLICE_X31Y85 SITE_TYPE SLICEL SITEPROP SLICE_X31Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y86 CLASS site SITEPROP SLICE_X31Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y86 IS_BONDED 0 SITEPROP SLICE_X31Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y86 IS_PAD 0 SITEPROP SLICE_X31Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y86 IS_RESERVED 0 SITEPROP SLICE_X31Y86 IS_TEST 0 SITEPROP SLICE_X31Y86 IS_USED 0 SITEPROP SLICE_X31Y86 MANUAL_ROUTING SITEPROP SLICE_X31Y86 NAME SLICE_X31Y86 SITEPROP SLICE_X31Y86 NUM_ARCS 138 SITEPROP SLICE_X31Y86 NUM_BELS 32 SITEPROP SLICE_X31Y86 NUM_INPUTS 32 SITEPROP SLICE_X31Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y86 NUM_PINS 45 SITEPROP SLICE_X31Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y86 PROHIBIT 0 SITEPROP SLICE_X31Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y86 RPM_X 87 SITEPROP SLICE_X31Y86 RPM_Y 172 SITEPROP SLICE_X31Y86 SITE_PIPS SITEPROP SLICE_X31Y86 SITE_TYPE SLICEL SITEPROP SLICE_X31Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y87 CLASS site SITEPROP SLICE_X31Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y87 IS_BONDED 0 SITEPROP SLICE_X31Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y87 IS_PAD 0 SITEPROP SLICE_X31Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y87 IS_RESERVED 0 SITEPROP SLICE_X31Y87 IS_TEST 0 SITEPROP SLICE_X31Y87 IS_USED 0 SITEPROP SLICE_X31Y87 MANUAL_ROUTING SITEPROP SLICE_X31Y87 NAME SLICE_X31Y87 SITEPROP SLICE_X31Y87 NUM_ARCS 138 SITEPROP SLICE_X31Y87 NUM_BELS 32 SITEPROP SLICE_X31Y87 NUM_INPUTS 32 SITEPROP SLICE_X31Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y87 NUM_PINS 45 SITEPROP SLICE_X31Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y87 PROHIBIT 0 SITEPROP SLICE_X31Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y87 RPM_X 87 SITEPROP SLICE_X31Y87 RPM_Y 174 SITEPROP SLICE_X31Y87 SITE_PIPS SITEPROP SLICE_X31Y87 SITE_TYPE SLICEL SITEPROP SLICE_X31Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y88 CLASS site SITEPROP SLICE_X31Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y88 IS_BONDED 0 SITEPROP SLICE_X31Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y88 IS_PAD 0 SITEPROP SLICE_X31Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y88 IS_RESERVED 0 SITEPROP SLICE_X31Y88 IS_TEST 0 SITEPROP SLICE_X31Y88 IS_USED 0 SITEPROP SLICE_X31Y88 MANUAL_ROUTING SITEPROP SLICE_X31Y88 NAME SLICE_X31Y88 SITEPROP SLICE_X31Y88 NUM_ARCS 138 SITEPROP SLICE_X31Y88 NUM_BELS 32 SITEPROP SLICE_X31Y88 NUM_INPUTS 32 SITEPROP SLICE_X31Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y88 NUM_PINS 45 SITEPROP SLICE_X31Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y88 PROHIBIT 0 SITEPROP SLICE_X31Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y88 RPM_X 87 SITEPROP SLICE_X31Y88 RPM_Y 176 SITEPROP SLICE_X31Y88 SITE_PIPS SITEPROP SLICE_X31Y88 SITE_TYPE SLICEL SITEPROP SLICE_X31Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y89 CLASS site SITEPROP SLICE_X31Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y89 IS_BONDED 0 SITEPROP SLICE_X31Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y89 IS_PAD 0 SITEPROP SLICE_X31Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y89 IS_RESERVED 0 SITEPROP SLICE_X31Y89 IS_TEST 0 SITEPROP SLICE_X31Y89 IS_USED 0 SITEPROP SLICE_X31Y89 MANUAL_ROUTING SITEPROP SLICE_X31Y89 NAME SLICE_X31Y89 SITEPROP SLICE_X31Y89 NUM_ARCS 138 SITEPROP SLICE_X31Y89 NUM_BELS 32 SITEPROP SLICE_X31Y89 NUM_INPUTS 32 SITEPROP SLICE_X31Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y89 NUM_PINS 45 SITEPROP SLICE_X31Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y89 PROHIBIT 0 SITEPROP SLICE_X31Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y89 RPM_X 87 SITEPROP SLICE_X31Y89 RPM_Y 178 SITEPROP SLICE_X31Y89 SITE_PIPS SITEPROP SLICE_X31Y89 SITE_TYPE SLICEL SITEPROP SLICE_X31Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y90 CLASS site SITEPROP SLICE_X31Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y90 IS_BONDED 0 SITEPROP SLICE_X31Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y90 IS_PAD 0 SITEPROP SLICE_X31Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y90 IS_RESERVED 0 SITEPROP SLICE_X31Y90 IS_TEST 0 SITEPROP SLICE_X31Y90 IS_USED 0 SITEPROP SLICE_X31Y90 MANUAL_ROUTING SITEPROP SLICE_X31Y90 NAME SLICE_X31Y90 SITEPROP SLICE_X31Y90 NUM_ARCS 138 SITEPROP SLICE_X31Y90 NUM_BELS 32 SITEPROP SLICE_X31Y90 NUM_INPUTS 32 SITEPROP SLICE_X31Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y90 NUM_PINS 45 SITEPROP SLICE_X31Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y90 PROHIBIT 0 SITEPROP SLICE_X31Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y90 RPM_X 87 SITEPROP SLICE_X31Y90 RPM_Y 180 SITEPROP SLICE_X31Y90 SITE_PIPS SITEPROP SLICE_X31Y90 SITE_TYPE SLICEL SITEPROP SLICE_X31Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y91 CLASS site SITEPROP SLICE_X31Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y91 IS_BONDED 0 SITEPROP SLICE_X31Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y91 IS_PAD 0 SITEPROP SLICE_X31Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y91 IS_RESERVED 0 SITEPROP SLICE_X31Y91 IS_TEST 0 SITEPROP SLICE_X31Y91 IS_USED 0 SITEPROP SLICE_X31Y91 MANUAL_ROUTING SITEPROP SLICE_X31Y91 NAME SLICE_X31Y91 SITEPROP SLICE_X31Y91 NUM_ARCS 138 SITEPROP SLICE_X31Y91 NUM_BELS 32 SITEPROP SLICE_X31Y91 NUM_INPUTS 32 SITEPROP SLICE_X31Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y91 NUM_PINS 45 SITEPROP SLICE_X31Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y91 PROHIBIT 0 SITEPROP SLICE_X31Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y91 RPM_X 87 SITEPROP SLICE_X31Y91 RPM_Y 182 SITEPROP SLICE_X31Y91 SITE_PIPS SITEPROP SLICE_X31Y91 SITE_TYPE SLICEL SITEPROP SLICE_X31Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y92 CLASS site SITEPROP SLICE_X31Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y92 IS_BONDED 0 SITEPROP SLICE_X31Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y92 IS_PAD 0 SITEPROP SLICE_X31Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y92 IS_RESERVED 0 SITEPROP SLICE_X31Y92 IS_TEST 0 SITEPROP SLICE_X31Y92 IS_USED 0 SITEPROP SLICE_X31Y92 MANUAL_ROUTING SITEPROP SLICE_X31Y92 NAME SLICE_X31Y92 SITEPROP SLICE_X31Y92 NUM_ARCS 138 SITEPROP SLICE_X31Y92 NUM_BELS 32 SITEPROP SLICE_X31Y92 NUM_INPUTS 32 SITEPROP SLICE_X31Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y92 NUM_PINS 45 SITEPROP SLICE_X31Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y92 PROHIBIT 0 SITEPROP SLICE_X31Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y92 RPM_X 87 SITEPROP SLICE_X31Y92 RPM_Y 184 SITEPROP SLICE_X31Y92 SITE_PIPS SITEPROP SLICE_X31Y92 SITE_TYPE SLICEL SITEPROP SLICE_X31Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y93 CLASS site SITEPROP SLICE_X31Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y93 IS_BONDED 0 SITEPROP SLICE_X31Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y93 IS_PAD 0 SITEPROP SLICE_X31Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y93 IS_RESERVED 0 SITEPROP SLICE_X31Y93 IS_TEST 0 SITEPROP SLICE_X31Y93 IS_USED 0 SITEPROP SLICE_X31Y93 MANUAL_ROUTING SITEPROP SLICE_X31Y93 NAME SLICE_X31Y93 SITEPROP SLICE_X31Y93 NUM_ARCS 138 SITEPROP SLICE_X31Y93 NUM_BELS 32 SITEPROP SLICE_X31Y93 NUM_INPUTS 32 SITEPROP SLICE_X31Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y93 NUM_PINS 45 SITEPROP SLICE_X31Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y93 PROHIBIT 0 SITEPROP SLICE_X31Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y93 RPM_X 87 SITEPROP SLICE_X31Y93 RPM_Y 186 SITEPROP SLICE_X31Y93 SITE_PIPS SITEPROP SLICE_X31Y93 SITE_TYPE SLICEL SITEPROP SLICE_X31Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y94 CLASS site SITEPROP SLICE_X31Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y94 IS_BONDED 0 SITEPROP SLICE_X31Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y94 IS_PAD 0 SITEPROP SLICE_X31Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y94 IS_RESERVED 0 SITEPROP SLICE_X31Y94 IS_TEST 0 SITEPROP SLICE_X31Y94 IS_USED 0 SITEPROP SLICE_X31Y94 MANUAL_ROUTING SITEPROP SLICE_X31Y94 NAME SLICE_X31Y94 SITEPROP SLICE_X31Y94 NUM_ARCS 138 SITEPROP SLICE_X31Y94 NUM_BELS 32 SITEPROP SLICE_X31Y94 NUM_INPUTS 32 SITEPROP SLICE_X31Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y94 NUM_PINS 45 SITEPROP SLICE_X31Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y94 PROHIBIT 0 SITEPROP SLICE_X31Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y94 RPM_X 87 SITEPROP SLICE_X31Y94 RPM_Y 188 SITEPROP SLICE_X31Y94 SITE_PIPS SITEPROP SLICE_X31Y94 SITE_TYPE SLICEL SITEPROP SLICE_X31Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y95 CLASS site SITEPROP SLICE_X31Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y95 IS_BONDED 0 SITEPROP SLICE_X31Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y95 IS_PAD 0 SITEPROP SLICE_X31Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y95 IS_RESERVED 0 SITEPROP SLICE_X31Y95 IS_TEST 0 SITEPROP SLICE_X31Y95 IS_USED 0 SITEPROP SLICE_X31Y95 MANUAL_ROUTING SITEPROP SLICE_X31Y95 NAME SLICE_X31Y95 SITEPROP SLICE_X31Y95 NUM_ARCS 138 SITEPROP SLICE_X31Y95 NUM_BELS 32 SITEPROP SLICE_X31Y95 NUM_INPUTS 32 SITEPROP SLICE_X31Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y95 NUM_PINS 45 SITEPROP SLICE_X31Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y95 PROHIBIT 0 SITEPROP SLICE_X31Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y95 RPM_X 87 SITEPROP SLICE_X31Y95 RPM_Y 190 SITEPROP SLICE_X31Y95 SITE_PIPS SITEPROP SLICE_X31Y95 SITE_TYPE SLICEL SITEPROP SLICE_X31Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y96 CLASS site SITEPROP SLICE_X31Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y96 IS_BONDED 0 SITEPROP SLICE_X31Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y96 IS_PAD 0 SITEPROP SLICE_X31Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y96 IS_RESERVED 0 SITEPROP SLICE_X31Y96 IS_TEST 0 SITEPROP SLICE_X31Y96 IS_USED 0 SITEPROP SLICE_X31Y96 MANUAL_ROUTING SITEPROP SLICE_X31Y96 NAME SLICE_X31Y96 SITEPROP SLICE_X31Y96 NUM_ARCS 138 SITEPROP SLICE_X31Y96 NUM_BELS 32 SITEPROP SLICE_X31Y96 NUM_INPUTS 32 SITEPROP SLICE_X31Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y96 NUM_PINS 45 SITEPROP SLICE_X31Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y96 PROHIBIT 0 SITEPROP SLICE_X31Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y96 RPM_X 87 SITEPROP SLICE_X31Y96 RPM_Y 192 SITEPROP SLICE_X31Y96 SITE_PIPS SITEPROP SLICE_X31Y96 SITE_TYPE SLICEL SITEPROP SLICE_X31Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y97 CLASS site SITEPROP SLICE_X31Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y97 IS_BONDED 0 SITEPROP SLICE_X31Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y97 IS_PAD 0 SITEPROP SLICE_X31Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y97 IS_RESERVED 0 SITEPROP SLICE_X31Y97 IS_TEST 0 SITEPROP SLICE_X31Y97 IS_USED 0 SITEPROP SLICE_X31Y97 MANUAL_ROUTING SITEPROP SLICE_X31Y97 NAME SLICE_X31Y97 SITEPROP SLICE_X31Y97 NUM_ARCS 138 SITEPROP SLICE_X31Y97 NUM_BELS 32 SITEPROP SLICE_X31Y97 NUM_INPUTS 32 SITEPROP SLICE_X31Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y97 NUM_PINS 45 SITEPROP SLICE_X31Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y97 PROHIBIT 0 SITEPROP SLICE_X31Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y97 RPM_X 87 SITEPROP SLICE_X31Y97 RPM_Y 194 SITEPROP SLICE_X31Y97 SITE_PIPS SITEPROP SLICE_X31Y97 SITE_TYPE SLICEL SITEPROP SLICE_X31Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y98 CLASS site SITEPROP SLICE_X31Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y98 IS_BONDED 0 SITEPROP SLICE_X31Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y98 IS_PAD 0 SITEPROP SLICE_X31Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y98 IS_RESERVED 0 SITEPROP SLICE_X31Y98 IS_TEST 0 SITEPROP SLICE_X31Y98 IS_USED 0 SITEPROP SLICE_X31Y98 MANUAL_ROUTING SITEPROP SLICE_X31Y98 NAME SLICE_X31Y98 SITEPROP SLICE_X31Y98 NUM_ARCS 138 SITEPROP SLICE_X31Y98 NUM_BELS 32 SITEPROP SLICE_X31Y98 NUM_INPUTS 32 SITEPROP SLICE_X31Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y98 NUM_PINS 45 SITEPROP SLICE_X31Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y98 PROHIBIT 0 SITEPROP SLICE_X31Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y98 RPM_X 87 SITEPROP SLICE_X31Y98 RPM_Y 196 SITEPROP SLICE_X31Y98 SITE_PIPS SITEPROP SLICE_X31Y98 SITE_TYPE SLICEL SITEPROP SLICE_X31Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y99 CLASS site SITEPROP SLICE_X31Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X31Y99 IS_BONDED 0 SITEPROP SLICE_X31Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y99 IS_PAD 0 SITEPROP SLICE_X31Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y99 IS_RESERVED 0 SITEPROP SLICE_X31Y99 IS_TEST 0 SITEPROP SLICE_X31Y99 IS_USED 0 SITEPROP SLICE_X31Y99 MANUAL_ROUTING SITEPROP SLICE_X31Y99 NAME SLICE_X31Y99 SITEPROP SLICE_X31Y99 NUM_ARCS 138 SITEPROP SLICE_X31Y99 NUM_BELS 32 SITEPROP SLICE_X31Y99 NUM_INPUTS 32 SITEPROP SLICE_X31Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y99 NUM_PINS 45 SITEPROP SLICE_X31Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y99 PROHIBIT 0 SITEPROP SLICE_X31Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y99 RPM_X 87 SITEPROP SLICE_X31Y99 RPM_Y 198 SITEPROP SLICE_X31Y99 SITE_PIPS SITEPROP SLICE_X31Y99 SITE_TYPE SLICEL SITEPROP SLICE_X31Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y100 CLASS site SITEPROP SLICE_X31Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y100 IS_BONDED 0 SITEPROP SLICE_X31Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y100 IS_PAD 0 SITEPROP SLICE_X31Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y100 IS_RESERVED 0 SITEPROP SLICE_X31Y100 IS_TEST 0 SITEPROP SLICE_X31Y100 IS_USED 0 SITEPROP SLICE_X31Y100 MANUAL_ROUTING SITEPROP SLICE_X31Y100 NAME SLICE_X31Y100 SITEPROP SLICE_X31Y100 NUM_ARCS 138 SITEPROP SLICE_X31Y100 NUM_BELS 32 SITEPROP SLICE_X31Y100 NUM_INPUTS 32 SITEPROP SLICE_X31Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y100 NUM_PINS 45 SITEPROP SLICE_X31Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y100 PROHIBIT 0 SITEPROP SLICE_X31Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y100 RPM_X 87 SITEPROP SLICE_X31Y100 RPM_Y 200 SITEPROP SLICE_X31Y100 SITE_PIPS SITEPROP SLICE_X31Y100 SITE_TYPE SLICEL SITEPROP SLICE_X31Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y101 CLASS site SITEPROP SLICE_X31Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y101 IS_BONDED 0 SITEPROP SLICE_X31Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y101 IS_PAD 0 SITEPROP SLICE_X31Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y101 IS_RESERVED 0 SITEPROP SLICE_X31Y101 IS_TEST 0 SITEPROP SLICE_X31Y101 IS_USED 0 SITEPROP SLICE_X31Y101 MANUAL_ROUTING SITEPROP SLICE_X31Y101 NAME SLICE_X31Y101 SITEPROP SLICE_X31Y101 NUM_ARCS 138 SITEPROP SLICE_X31Y101 NUM_BELS 32 SITEPROP SLICE_X31Y101 NUM_INPUTS 32 SITEPROP SLICE_X31Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y101 NUM_PINS 45 SITEPROP SLICE_X31Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y101 PROHIBIT 0 SITEPROP SLICE_X31Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y101 RPM_X 87 SITEPROP SLICE_X31Y101 RPM_Y 202 SITEPROP SLICE_X31Y101 SITE_PIPS SITEPROP SLICE_X31Y101 SITE_TYPE SLICEL SITEPROP SLICE_X31Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y102 CLASS site SITEPROP SLICE_X31Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y102 IS_BONDED 0 SITEPROP SLICE_X31Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y102 IS_PAD 0 SITEPROP SLICE_X31Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y102 IS_RESERVED 0 SITEPROP SLICE_X31Y102 IS_TEST 0 SITEPROP SLICE_X31Y102 IS_USED 0 SITEPROP SLICE_X31Y102 MANUAL_ROUTING SITEPROP SLICE_X31Y102 NAME SLICE_X31Y102 SITEPROP SLICE_X31Y102 NUM_ARCS 138 SITEPROP SLICE_X31Y102 NUM_BELS 32 SITEPROP SLICE_X31Y102 NUM_INPUTS 32 SITEPROP SLICE_X31Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y102 NUM_PINS 45 SITEPROP SLICE_X31Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y102 PROHIBIT 0 SITEPROP SLICE_X31Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y102 RPM_X 87 SITEPROP SLICE_X31Y102 RPM_Y 204 SITEPROP SLICE_X31Y102 SITE_PIPS SITEPROP SLICE_X31Y102 SITE_TYPE SLICEL SITEPROP SLICE_X31Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y103 CLASS site SITEPROP SLICE_X31Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y103 IS_BONDED 0 SITEPROP SLICE_X31Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y103 IS_PAD 0 SITEPROP SLICE_X31Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y103 IS_RESERVED 0 SITEPROP SLICE_X31Y103 IS_TEST 0 SITEPROP SLICE_X31Y103 IS_USED 0 SITEPROP SLICE_X31Y103 MANUAL_ROUTING SITEPROP SLICE_X31Y103 NAME SLICE_X31Y103 SITEPROP SLICE_X31Y103 NUM_ARCS 138 SITEPROP SLICE_X31Y103 NUM_BELS 32 SITEPROP SLICE_X31Y103 NUM_INPUTS 32 SITEPROP SLICE_X31Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y103 NUM_PINS 45 SITEPROP SLICE_X31Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y103 PROHIBIT 0 SITEPROP SLICE_X31Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y103 RPM_X 87 SITEPROP SLICE_X31Y103 RPM_Y 206 SITEPROP SLICE_X31Y103 SITE_PIPS SITEPROP SLICE_X31Y103 SITE_TYPE SLICEL SITEPROP SLICE_X31Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y104 CLASS site SITEPROP SLICE_X31Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y104 IS_BONDED 0 SITEPROP SLICE_X31Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y104 IS_PAD 0 SITEPROP SLICE_X31Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y104 IS_RESERVED 0 SITEPROP SLICE_X31Y104 IS_TEST 0 SITEPROP SLICE_X31Y104 IS_USED 0 SITEPROP SLICE_X31Y104 MANUAL_ROUTING SITEPROP SLICE_X31Y104 NAME SLICE_X31Y104 SITEPROP SLICE_X31Y104 NUM_ARCS 138 SITEPROP SLICE_X31Y104 NUM_BELS 32 SITEPROP SLICE_X31Y104 NUM_INPUTS 32 SITEPROP SLICE_X31Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y104 NUM_PINS 45 SITEPROP SLICE_X31Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y104 PROHIBIT 0 SITEPROP SLICE_X31Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y104 RPM_X 87 SITEPROP SLICE_X31Y104 RPM_Y 208 SITEPROP SLICE_X31Y104 SITE_PIPS SITEPROP SLICE_X31Y104 SITE_TYPE SLICEL SITEPROP SLICE_X31Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y105 CLASS site SITEPROP SLICE_X31Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y105 IS_BONDED 0 SITEPROP SLICE_X31Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y105 IS_PAD 0 SITEPROP SLICE_X31Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y105 IS_RESERVED 0 SITEPROP SLICE_X31Y105 IS_TEST 0 SITEPROP SLICE_X31Y105 IS_USED 0 SITEPROP SLICE_X31Y105 MANUAL_ROUTING SITEPROP SLICE_X31Y105 NAME SLICE_X31Y105 SITEPROP SLICE_X31Y105 NUM_ARCS 138 SITEPROP SLICE_X31Y105 NUM_BELS 32 SITEPROP SLICE_X31Y105 NUM_INPUTS 32 SITEPROP SLICE_X31Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y105 NUM_PINS 45 SITEPROP SLICE_X31Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y105 PROHIBIT 0 SITEPROP SLICE_X31Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y105 RPM_X 87 SITEPROP SLICE_X31Y105 RPM_Y 210 SITEPROP SLICE_X31Y105 SITE_PIPS SITEPROP SLICE_X31Y105 SITE_TYPE SLICEL SITEPROP SLICE_X31Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y106 CLASS site SITEPROP SLICE_X31Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y106 IS_BONDED 0 SITEPROP SLICE_X31Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y106 IS_PAD 0 SITEPROP SLICE_X31Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y106 IS_RESERVED 0 SITEPROP SLICE_X31Y106 IS_TEST 0 SITEPROP SLICE_X31Y106 IS_USED 0 SITEPROP SLICE_X31Y106 MANUAL_ROUTING SITEPROP SLICE_X31Y106 NAME SLICE_X31Y106 SITEPROP SLICE_X31Y106 NUM_ARCS 138 SITEPROP SLICE_X31Y106 NUM_BELS 32 SITEPROP SLICE_X31Y106 NUM_INPUTS 32 SITEPROP SLICE_X31Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y106 NUM_PINS 45 SITEPROP SLICE_X31Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y106 PROHIBIT 0 SITEPROP SLICE_X31Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y106 RPM_X 87 SITEPROP SLICE_X31Y106 RPM_Y 212 SITEPROP SLICE_X31Y106 SITE_PIPS SITEPROP SLICE_X31Y106 SITE_TYPE SLICEL SITEPROP SLICE_X31Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y107 CLASS site SITEPROP SLICE_X31Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y107 IS_BONDED 0 SITEPROP SLICE_X31Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y107 IS_PAD 0 SITEPROP SLICE_X31Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y107 IS_RESERVED 0 SITEPROP SLICE_X31Y107 IS_TEST 0 SITEPROP SLICE_X31Y107 IS_USED 0 SITEPROP SLICE_X31Y107 MANUAL_ROUTING SITEPROP SLICE_X31Y107 NAME SLICE_X31Y107 SITEPROP SLICE_X31Y107 NUM_ARCS 138 SITEPROP SLICE_X31Y107 NUM_BELS 32 SITEPROP SLICE_X31Y107 NUM_INPUTS 32 SITEPROP SLICE_X31Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y107 NUM_PINS 45 SITEPROP SLICE_X31Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y107 PROHIBIT 0 SITEPROP SLICE_X31Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y107 RPM_X 87 SITEPROP SLICE_X31Y107 RPM_Y 214 SITEPROP SLICE_X31Y107 SITE_PIPS SITEPROP SLICE_X31Y107 SITE_TYPE SLICEL SITEPROP SLICE_X31Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y108 CLASS site SITEPROP SLICE_X31Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y108 IS_BONDED 0 SITEPROP SLICE_X31Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y108 IS_PAD 0 SITEPROP SLICE_X31Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y108 IS_RESERVED 0 SITEPROP SLICE_X31Y108 IS_TEST 0 SITEPROP SLICE_X31Y108 IS_USED 0 SITEPROP SLICE_X31Y108 MANUAL_ROUTING SITEPROP SLICE_X31Y108 NAME SLICE_X31Y108 SITEPROP SLICE_X31Y108 NUM_ARCS 138 SITEPROP SLICE_X31Y108 NUM_BELS 32 SITEPROP SLICE_X31Y108 NUM_INPUTS 32 SITEPROP SLICE_X31Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y108 NUM_PINS 45 SITEPROP SLICE_X31Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y108 PROHIBIT 0 SITEPROP SLICE_X31Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y108 RPM_X 87 SITEPROP SLICE_X31Y108 RPM_Y 216 SITEPROP SLICE_X31Y108 SITE_PIPS SITEPROP SLICE_X31Y108 SITE_TYPE SLICEL SITEPROP SLICE_X31Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y109 CLASS site SITEPROP SLICE_X31Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y109 IS_BONDED 0 SITEPROP SLICE_X31Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y109 IS_PAD 0 SITEPROP SLICE_X31Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y109 IS_RESERVED 0 SITEPROP SLICE_X31Y109 IS_TEST 0 SITEPROP SLICE_X31Y109 IS_USED 0 SITEPROP SLICE_X31Y109 MANUAL_ROUTING SITEPROP SLICE_X31Y109 NAME SLICE_X31Y109 SITEPROP SLICE_X31Y109 NUM_ARCS 138 SITEPROP SLICE_X31Y109 NUM_BELS 32 SITEPROP SLICE_X31Y109 NUM_INPUTS 32 SITEPROP SLICE_X31Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y109 NUM_PINS 45 SITEPROP SLICE_X31Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y109 PROHIBIT 0 SITEPROP SLICE_X31Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y109 RPM_X 87 SITEPROP SLICE_X31Y109 RPM_Y 218 SITEPROP SLICE_X31Y109 SITE_PIPS SITEPROP SLICE_X31Y109 SITE_TYPE SLICEL SITEPROP SLICE_X31Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y110 CLASS site SITEPROP SLICE_X31Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y110 IS_BONDED 0 SITEPROP SLICE_X31Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y110 IS_PAD 0 SITEPROP SLICE_X31Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y110 IS_RESERVED 0 SITEPROP SLICE_X31Y110 IS_TEST 0 SITEPROP SLICE_X31Y110 IS_USED 0 SITEPROP SLICE_X31Y110 MANUAL_ROUTING SITEPROP SLICE_X31Y110 NAME SLICE_X31Y110 SITEPROP SLICE_X31Y110 NUM_ARCS 138 SITEPROP SLICE_X31Y110 NUM_BELS 32 SITEPROP SLICE_X31Y110 NUM_INPUTS 32 SITEPROP SLICE_X31Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y110 NUM_PINS 45 SITEPROP SLICE_X31Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y110 PROHIBIT 0 SITEPROP SLICE_X31Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y110 RPM_X 87 SITEPROP SLICE_X31Y110 RPM_Y 220 SITEPROP SLICE_X31Y110 SITE_PIPS SITEPROP SLICE_X31Y110 SITE_TYPE SLICEL SITEPROP SLICE_X31Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y111 CLASS site SITEPROP SLICE_X31Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y111 IS_BONDED 0 SITEPROP SLICE_X31Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y111 IS_PAD 0 SITEPROP SLICE_X31Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y111 IS_RESERVED 0 SITEPROP SLICE_X31Y111 IS_TEST 0 SITEPROP SLICE_X31Y111 IS_USED 0 SITEPROP SLICE_X31Y111 MANUAL_ROUTING SITEPROP SLICE_X31Y111 NAME SLICE_X31Y111 SITEPROP SLICE_X31Y111 NUM_ARCS 138 SITEPROP SLICE_X31Y111 NUM_BELS 32 SITEPROP SLICE_X31Y111 NUM_INPUTS 32 SITEPROP SLICE_X31Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y111 NUM_PINS 45 SITEPROP SLICE_X31Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y111 PROHIBIT 0 SITEPROP SLICE_X31Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y111 RPM_X 87 SITEPROP SLICE_X31Y111 RPM_Y 222 SITEPROP SLICE_X31Y111 SITE_PIPS SITEPROP SLICE_X31Y111 SITE_TYPE SLICEL SITEPROP SLICE_X31Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y112 CLASS site SITEPROP SLICE_X31Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y112 IS_BONDED 0 SITEPROP SLICE_X31Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y112 IS_PAD 0 SITEPROP SLICE_X31Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y112 IS_RESERVED 0 SITEPROP SLICE_X31Y112 IS_TEST 0 SITEPROP SLICE_X31Y112 IS_USED 0 SITEPROP SLICE_X31Y112 MANUAL_ROUTING SITEPROP SLICE_X31Y112 NAME SLICE_X31Y112 SITEPROP SLICE_X31Y112 NUM_ARCS 138 SITEPROP SLICE_X31Y112 NUM_BELS 32 SITEPROP SLICE_X31Y112 NUM_INPUTS 32 SITEPROP SLICE_X31Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y112 NUM_PINS 45 SITEPROP SLICE_X31Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y112 PROHIBIT 0 SITEPROP SLICE_X31Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y112 RPM_X 87 SITEPROP SLICE_X31Y112 RPM_Y 224 SITEPROP SLICE_X31Y112 SITE_PIPS SITEPROP SLICE_X31Y112 SITE_TYPE SLICEL SITEPROP SLICE_X31Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y113 CLASS site SITEPROP SLICE_X31Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y113 IS_BONDED 0 SITEPROP SLICE_X31Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y113 IS_PAD 0 SITEPROP SLICE_X31Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y113 IS_RESERVED 0 SITEPROP SLICE_X31Y113 IS_TEST 0 SITEPROP SLICE_X31Y113 IS_USED 0 SITEPROP SLICE_X31Y113 MANUAL_ROUTING SITEPROP SLICE_X31Y113 NAME SLICE_X31Y113 SITEPROP SLICE_X31Y113 NUM_ARCS 138 SITEPROP SLICE_X31Y113 NUM_BELS 32 SITEPROP SLICE_X31Y113 NUM_INPUTS 32 SITEPROP SLICE_X31Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y113 NUM_PINS 45 SITEPROP SLICE_X31Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y113 PROHIBIT 0 SITEPROP SLICE_X31Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y113 RPM_X 87 SITEPROP SLICE_X31Y113 RPM_Y 226 SITEPROP SLICE_X31Y113 SITE_PIPS SITEPROP SLICE_X31Y113 SITE_TYPE SLICEL SITEPROP SLICE_X31Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y114 CLASS site SITEPROP SLICE_X31Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y114 IS_BONDED 0 SITEPROP SLICE_X31Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y114 IS_PAD 0 SITEPROP SLICE_X31Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y114 IS_RESERVED 0 SITEPROP SLICE_X31Y114 IS_TEST 0 SITEPROP SLICE_X31Y114 IS_USED 0 SITEPROP SLICE_X31Y114 MANUAL_ROUTING SITEPROP SLICE_X31Y114 NAME SLICE_X31Y114 SITEPROP SLICE_X31Y114 NUM_ARCS 138 SITEPROP SLICE_X31Y114 NUM_BELS 32 SITEPROP SLICE_X31Y114 NUM_INPUTS 32 SITEPROP SLICE_X31Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y114 NUM_PINS 45 SITEPROP SLICE_X31Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y114 PROHIBIT 0 SITEPROP SLICE_X31Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y114 RPM_X 87 SITEPROP SLICE_X31Y114 RPM_Y 228 SITEPROP SLICE_X31Y114 SITE_PIPS SITEPROP SLICE_X31Y114 SITE_TYPE SLICEL SITEPROP SLICE_X31Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y115 CLASS site SITEPROP SLICE_X31Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y115 IS_BONDED 0 SITEPROP SLICE_X31Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y115 IS_PAD 0 SITEPROP SLICE_X31Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y115 IS_RESERVED 0 SITEPROP SLICE_X31Y115 IS_TEST 0 SITEPROP SLICE_X31Y115 IS_USED 0 SITEPROP SLICE_X31Y115 MANUAL_ROUTING SITEPROP SLICE_X31Y115 NAME SLICE_X31Y115 SITEPROP SLICE_X31Y115 NUM_ARCS 138 SITEPROP SLICE_X31Y115 NUM_BELS 32 SITEPROP SLICE_X31Y115 NUM_INPUTS 32 SITEPROP SLICE_X31Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y115 NUM_PINS 45 SITEPROP SLICE_X31Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y115 PROHIBIT 0 SITEPROP SLICE_X31Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y115 RPM_X 87 SITEPROP SLICE_X31Y115 RPM_Y 230 SITEPROP SLICE_X31Y115 SITE_PIPS SITEPROP SLICE_X31Y115 SITE_TYPE SLICEL SITEPROP SLICE_X31Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y116 CLASS site SITEPROP SLICE_X31Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y116 IS_BONDED 0 SITEPROP SLICE_X31Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y116 IS_PAD 0 SITEPROP SLICE_X31Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y116 IS_RESERVED 0 SITEPROP SLICE_X31Y116 IS_TEST 0 SITEPROP SLICE_X31Y116 IS_USED 0 SITEPROP SLICE_X31Y116 MANUAL_ROUTING SITEPROP SLICE_X31Y116 NAME SLICE_X31Y116 SITEPROP SLICE_X31Y116 NUM_ARCS 138 SITEPROP SLICE_X31Y116 NUM_BELS 32 SITEPROP SLICE_X31Y116 NUM_INPUTS 32 SITEPROP SLICE_X31Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y116 NUM_PINS 45 SITEPROP SLICE_X31Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y116 PROHIBIT 0 SITEPROP SLICE_X31Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y116 RPM_X 87 SITEPROP SLICE_X31Y116 RPM_Y 232 SITEPROP SLICE_X31Y116 SITE_PIPS SITEPROP SLICE_X31Y116 SITE_TYPE SLICEL SITEPROP SLICE_X31Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y117 CLASS site SITEPROP SLICE_X31Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y117 IS_BONDED 0 SITEPROP SLICE_X31Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y117 IS_PAD 0 SITEPROP SLICE_X31Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y117 IS_RESERVED 0 SITEPROP SLICE_X31Y117 IS_TEST 0 SITEPROP SLICE_X31Y117 IS_USED 0 SITEPROP SLICE_X31Y117 MANUAL_ROUTING SITEPROP SLICE_X31Y117 NAME SLICE_X31Y117 SITEPROP SLICE_X31Y117 NUM_ARCS 138 SITEPROP SLICE_X31Y117 NUM_BELS 32 SITEPROP SLICE_X31Y117 NUM_INPUTS 32 SITEPROP SLICE_X31Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y117 NUM_PINS 45 SITEPROP SLICE_X31Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y117 PROHIBIT 0 SITEPROP SLICE_X31Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y117 RPM_X 87 SITEPROP SLICE_X31Y117 RPM_Y 234 SITEPROP SLICE_X31Y117 SITE_PIPS SITEPROP SLICE_X31Y117 SITE_TYPE SLICEL SITEPROP SLICE_X31Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y118 CLASS site SITEPROP SLICE_X31Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y118 IS_BONDED 0 SITEPROP SLICE_X31Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y118 IS_PAD 0 SITEPROP SLICE_X31Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y118 IS_RESERVED 0 SITEPROP SLICE_X31Y118 IS_TEST 0 SITEPROP SLICE_X31Y118 IS_USED 0 SITEPROP SLICE_X31Y118 MANUAL_ROUTING SITEPROP SLICE_X31Y118 NAME SLICE_X31Y118 SITEPROP SLICE_X31Y118 NUM_ARCS 138 SITEPROP SLICE_X31Y118 NUM_BELS 32 SITEPROP SLICE_X31Y118 NUM_INPUTS 32 SITEPROP SLICE_X31Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y118 NUM_PINS 45 SITEPROP SLICE_X31Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y118 PROHIBIT 0 SITEPROP SLICE_X31Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y118 RPM_X 87 SITEPROP SLICE_X31Y118 RPM_Y 236 SITEPROP SLICE_X31Y118 SITE_PIPS SITEPROP SLICE_X31Y118 SITE_TYPE SLICEL SITEPROP SLICE_X31Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y119 CLASS site SITEPROP SLICE_X31Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y119 IS_BONDED 0 SITEPROP SLICE_X31Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y119 IS_PAD 0 SITEPROP SLICE_X31Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y119 IS_RESERVED 0 SITEPROP SLICE_X31Y119 IS_TEST 0 SITEPROP SLICE_X31Y119 IS_USED 0 SITEPROP SLICE_X31Y119 MANUAL_ROUTING SITEPROP SLICE_X31Y119 NAME SLICE_X31Y119 SITEPROP SLICE_X31Y119 NUM_ARCS 138 SITEPROP SLICE_X31Y119 NUM_BELS 32 SITEPROP SLICE_X31Y119 NUM_INPUTS 32 SITEPROP SLICE_X31Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y119 NUM_PINS 45 SITEPROP SLICE_X31Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y119 PROHIBIT 0 SITEPROP SLICE_X31Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y119 RPM_X 87 SITEPROP SLICE_X31Y119 RPM_Y 238 SITEPROP SLICE_X31Y119 SITE_PIPS SITEPROP SLICE_X31Y119 SITE_TYPE SLICEL SITEPROP SLICE_X31Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y120 CLASS site SITEPROP SLICE_X31Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y120 IS_BONDED 0 SITEPROP SLICE_X31Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y120 IS_PAD 0 SITEPROP SLICE_X31Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y120 IS_RESERVED 0 SITEPROP SLICE_X31Y120 IS_TEST 0 SITEPROP SLICE_X31Y120 IS_USED 0 SITEPROP SLICE_X31Y120 MANUAL_ROUTING SITEPROP SLICE_X31Y120 NAME SLICE_X31Y120 SITEPROP SLICE_X31Y120 NUM_ARCS 138 SITEPROP SLICE_X31Y120 NUM_BELS 32 SITEPROP SLICE_X31Y120 NUM_INPUTS 32 SITEPROP SLICE_X31Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y120 NUM_PINS 45 SITEPROP SLICE_X31Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y120 PROHIBIT 0 SITEPROP SLICE_X31Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y120 RPM_X 87 SITEPROP SLICE_X31Y120 RPM_Y 240 SITEPROP SLICE_X31Y120 SITE_PIPS SITEPROP SLICE_X31Y120 SITE_TYPE SLICEL SITEPROP SLICE_X31Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y121 CLASS site SITEPROP SLICE_X31Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y121 IS_BONDED 0 SITEPROP SLICE_X31Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y121 IS_PAD 0 SITEPROP SLICE_X31Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y121 IS_RESERVED 0 SITEPROP SLICE_X31Y121 IS_TEST 0 SITEPROP SLICE_X31Y121 IS_USED 0 SITEPROP SLICE_X31Y121 MANUAL_ROUTING SITEPROP SLICE_X31Y121 NAME SLICE_X31Y121 SITEPROP SLICE_X31Y121 NUM_ARCS 138 SITEPROP SLICE_X31Y121 NUM_BELS 32 SITEPROP SLICE_X31Y121 NUM_INPUTS 32 SITEPROP SLICE_X31Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y121 NUM_PINS 45 SITEPROP SLICE_X31Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y121 PROHIBIT 0 SITEPROP SLICE_X31Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y121 RPM_X 87 SITEPROP SLICE_X31Y121 RPM_Y 242 SITEPROP SLICE_X31Y121 SITE_PIPS SITEPROP SLICE_X31Y121 SITE_TYPE SLICEL SITEPROP SLICE_X31Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y122 CLASS site SITEPROP SLICE_X31Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y122 IS_BONDED 0 SITEPROP SLICE_X31Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y122 IS_PAD 0 SITEPROP SLICE_X31Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y122 IS_RESERVED 0 SITEPROP SLICE_X31Y122 IS_TEST 0 SITEPROP SLICE_X31Y122 IS_USED 0 SITEPROP SLICE_X31Y122 MANUAL_ROUTING SITEPROP SLICE_X31Y122 NAME SLICE_X31Y122 SITEPROP SLICE_X31Y122 NUM_ARCS 138 SITEPROP SLICE_X31Y122 NUM_BELS 32 SITEPROP SLICE_X31Y122 NUM_INPUTS 32 SITEPROP SLICE_X31Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y122 NUM_PINS 45 SITEPROP SLICE_X31Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y122 PROHIBIT 0 SITEPROP SLICE_X31Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y122 RPM_X 87 SITEPROP SLICE_X31Y122 RPM_Y 244 SITEPROP SLICE_X31Y122 SITE_PIPS SITEPROP SLICE_X31Y122 SITE_TYPE SLICEL SITEPROP SLICE_X31Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y123 CLASS site SITEPROP SLICE_X31Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y123 IS_BONDED 0 SITEPROP SLICE_X31Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y123 IS_PAD 0 SITEPROP SLICE_X31Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y123 IS_RESERVED 0 SITEPROP SLICE_X31Y123 IS_TEST 0 SITEPROP SLICE_X31Y123 IS_USED 0 SITEPROP SLICE_X31Y123 MANUAL_ROUTING SITEPROP SLICE_X31Y123 NAME SLICE_X31Y123 SITEPROP SLICE_X31Y123 NUM_ARCS 138 SITEPROP SLICE_X31Y123 NUM_BELS 32 SITEPROP SLICE_X31Y123 NUM_INPUTS 32 SITEPROP SLICE_X31Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y123 NUM_PINS 45 SITEPROP SLICE_X31Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y123 PROHIBIT 0 SITEPROP SLICE_X31Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y123 RPM_X 87 SITEPROP SLICE_X31Y123 RPM_Y 246 SITEPROP SLICE_X31Y123 SITE_PIPS SITEPROP SLICE_X31Y123 SITE_TYPE SLICEL SITEPROP SLICE_X31Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y124 CLASS site SITEPROP SLICE_X31Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y124 IS_BONDED 0 SITEPROP SLICE_X31Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y124 IS_PAD 0 SITEPROP SLICE_X31Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y124 IS_RESERVED 0 SITEPROP SLICE_X31Y124 IS_TEST 0 SITEPROP SLICE_X31Y124 IS_USED 0 SITEPROP SLICE_X31Y124 MANUAL_ROUTING SITEPROP SLICE_X31Y124 NAME SLICE_X31Y124 SITEPROP SLICE_X31Y124 NUM_ARCS 138 SITEPROP SLICE_X31Y124 NUM_BELS 32 SITEPROP SLICE_X31Y124 NUM_INPUTS 32 SITEPROP SLICE_X31Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y124 NUM_PINS 45 SITEPROP SLICE_X31Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y124 PROHIBIT 0 SITEPROP SLICE_X31Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y124 RPM_X 87 SITEPROP SLICE_X31Y124 RPM_Y 248 SITEPROP SLICE_X31Y124 SITE_PIPS SITEPROP SLICE_X31Y124 SITE_TYPE SLICEL SITEPROP SLICE_X31Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y125 CLASS site SITEPROP SLICE_X31Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y125 IS_BONDED 0 SITEPROP SLICE_X31Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y125 IS_PAD 0 SITEPROP SLICE_X31Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y125 IS_RESERVED 0 SITEPROP SLICE_X31Y125 IS_TEST 0 SITEPROP SLICE_X31Y125 IS_USED 0 SITEPROP SLICE_X31Y125 MANUAL_ROUTING SITEPROP SLICE_X31Y125 NAME SLICE_X31Y125 SITEPROP SLICE_X31Y125 NUM_ARCS 138 SITEPROP SLICE_X31Y125 NUM_BELS 32 SITEPROP SLICE_X31Y125 NUM_INPUTS 32 SITEPROP SLICE_X31Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y125 NUM_PINS 45 SITEPROP SLICE_X31Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y125 PROHIBIT 0 SITEPROP SLICE_X31Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y125 RPM_X 87 SITEPROP SLICE_X31Y125 RPM_Y 250 SITEPROP SLICE_X31Y125 SITE_PIPS SITEPROP SLICE_X31Y125 SITE_TYPE SLICEL SITEPROP SLICE_X31Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y126 CLASS site SITEPROP SLICE_X31Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y126 IS_BONDED 0 SITEPROP SLICE_X31Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y126 IS_PAD 0 SITEPROP SLICE_X31Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y126 IS_RESERVED 0 SITEPROP SLICE_X31Y126 IS_TEST 0 SITEPROP SLICE_X31Y126 IS_USED 0 SITEPROP SLICE_X31Y126 MANUAL_ROUTING SITEPROP SLICE_X31Y126 NAME SLICE_X31Y126 SITEPROP SLICE_X31Y126 NUM_ARCS 138 SITEPROP SLICE_X31Y126 NUM_BELS 32 SITEPROP SLICE_X31Y126 NUM_INPUTS 32 SITEPROP SLICE_X31Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y126 NUM_PINS 45 SITEPROP SLICE_X31Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y126 PROHIBIT 0 SITEPROP SLICE_X31Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y126 RPM_X 87 SITEPROP SLICE_X31Y126 RPM_Y 252 SITEPROP SLICE_X31Y126 SITE_PIPS SITEPROP SLICE_X31Y126 SITE_TYPE SLICEL SITEPROP SLICE_X31Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y127 CLASS site SITEPROP SLICE_X31Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y127 IS_BONDED 0 SITEPROP SLICE_X31Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y127 IS_PAD 0 SITEPROP SLICE_X31Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y127 IS_RESERVED 0 SITEPROP SLICE_X31Y127 IS_TEST 0 SITEPROP SLICE_X31Y127 IS_USED 0 SITEPROP SLICE_X31Y127 MANUAL_ROUTING SITEPROP SLICE_X31Y127 NAME SLICE_X31Y127 SITEPROP SLICE_X31Y127 NUM_ARCS 138 SITEPROP SLICE_X31Y127 NUM_BELS 32 SITEPROP SLICE_X31Y127 NUM_INPUTS 32 SITEPROP SLICE_X31Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y127 NUM_PINS 45 SITEPROP SLICE_X31Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y127 PROHIBIT 0 SITEPROP SLICE_X31Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y127 RPM_X 87 SITEPROP SLICE_X31Y127 RPM_Y 254 SITEPROP SLICE_X31Y127 SITE_PIPS SITEPROP SLICE_X31Y127 SITE_TYPE SLICEL SITEPROP SLICE_X31Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y128 CLASS site SITEPROP SLICE_X31Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y128 IS_BONDED 0 SITEPROP SLICE_X31Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y128 IS_PAD 0 SITEPROP SLICE_X31Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y128 IS_RESERVED 0 SITEPROP SLICE_X31Y128 IS_TEST 0 SITEPROP SLICE_X31Y128 IS_USED 0 SITEPROP SLICE_X31Y128 MANUAL_ROUTING SITEPROP SLICE_X31Y128 NAME SLICE_X31Y128 SITEPROP SLICE_X31Y128 NUM_ARCS 138 SITEPROP SLICE_X31Y128 NUM_BELS 32 SITEPROP SLICE_X31Y128 NUM_INPUTS 32 SITEPROP SLICE_X31Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y128 NUM_PINS 45 SITEPROP SLICE_X31Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y128 PROHIBIT 0 SITEPROP SLICE_X31Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y128 RPM_X 87 SITEPROP SLICE_X31Y128 RPM_Y 256 SITEPROP SLICE_X31Y128 SITE_PIPS SITEPROP SLICE_X31Y128 SITE_TYPE SLICEL SITEPROP SLICE_X31Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y129 CLASS site SITEPROP SLICE_X31Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y129 IS_BONDED 0 SITEPROP SLICE_X31Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y129 IS_PAD 0 SITEPROP SLICE_X31Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y129 IS_RESERVED 0 SITEPROP SLICE_X31Y129 IS_TEST 0 SITEPROP SLICE_X31Y129 IS_USED 0 SITEPROP SLICE_X31Y129 MANUAL_ROUTING SITEPROP SLICE_X31Y129 NAME SLICE_X31Y129 SITEPROP SLICE_X31Y129 NUM_ARCS 138 SITEPROP SLICE_X31Y129 NUM_BELS 32 SITEPROP SLICE_X31Y129 NUM_INPUTS 32 SITEPROP SLICE_X31Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y129 NUM_PINS 45 SITEPROP SLICE_X31Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y129 PROHIBIT 0 SITEPROP SLICE_X31Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y129 RPM_X 87 SITEPROP SLICE_X31Y129 RPM_Y 258 SITEPROP SLICE_X31Y129 SITE_PIPS SITEPROP SLICE_X31Y129 SITE_TYPE SLICEL SITEPROP SLICE_X31Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y130 CLASS site SITEPROP SLICE_X31Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y130 IS_BONDED 0 SITEPROP SLICE_X31Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y130 IS_PAD 0 SITEPROP SLICE_X31Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y130 IS_RESERVED 0 SITEPROP SLICE_X31Y130 IS_TEST 0 SITEPROP SLICE_X31Y130 IS_USED 0 SITEPROP SLICE_X31Y130 MANUAL_ROUTING SITEPROP SLICE_X31Y130 NAME SLICE_X31Y130 SITEPROP SLICE_X31Y130 NUM_ARCS 138 SITEPROP SLICE_X31Y130 NUM_BELS 32 SITEPROP SLICE_X31Y130 NUM_INPUTS 32 SITEPROP SLICE_X31Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y130 NUM_PINS 45 SITEPROP SLICE_X31Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y130 PROHIBIT 0 SITEPROP SLICE_X31Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y130 RPM_X 87 SITEPROP SLICE_X31Y130 RPM_Y 260 SITEPROP SLICE_X31Y130 SITE_PIPS SITEPROP SLICE_X31Y130 SITE_TYPE SLICEL SITEPROP SLICE_X31Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y131 CLASS site SITEPROP SLICE_X31Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y131 IS_BONDED 0 SITEPROP SLICE_X31Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y131 IS_PAD 0 SITEPROP SLICE_X31Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y131 IS_RESERVED 0 SITEPROP SLICE_X31Y131 IS_TEST 0 SITEPROP SLICE_X31Y131 IS_USED 0 SITEPROP SLICE_X31Y131 MANUAL_ROUTING SITEPROP SLICE_X31Y131 NAME SLICE_X31Y131 SITEPROP SLICE_X31Y131 NUM_ARCS 138 SITEPROP SLICE_X31Y131 NUM_BELS 32 SITEPROP SLICE_X31Y131 NUM_INPUTS 32 SITEPROP SLICE_X31Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y131 NUM_PINS 45 SITEPROP SLICE_X31Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y131 PROHIBIT 0 SITEPROP SLICE_X31Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y131 RPM_X 87 SITEPROP SLICE_X31Y131 RPM_Y 262 SITEPROP SLICE_X31Y131 SITE_PIPS SITEPROP SLICE_X31Y131 SITE_TYPE SLICEL SITEPROP SLICE_X31Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y132 CLASS site SITEPROP SLICE_X31Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y132 IS_BONDED 0 SITEPROP SLICE_X31Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y132 IS_PAD 0 SITEPROP SLICE_X31Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y132 IS_RESERVED 0 SITEPROP SLICE_X31Y132 IS_TEST 0 SITEPROP SLICE_X31Y132 IS_USED 0 SITEPROP SLICE_X31Y132 MANUAL_ROUTING SITEPROP SLICE_X31Y132 NAME SLICE_X31Y132 SITEPROP SLICE_X31Y132 NUM_ARCS 138 SITEPROP SLICE_X31Y132 NUM_BELS 32 SITEPROP SLICE_X31Y132 NUM_INPUTS 32 SITEPROP SLICE_X31Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y132 NUM_PINS 45 SITEPROP SLICE_X31Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y132 PROHIBIT 0 SITEPROP SLICE_X31Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y132 RPM_X 87 SITEPROP SLICE_X31Y132 RPM_Y 264 SITEPROP SLICE_X31Y132 SITE_PIPS SITEPROP SLICE_X31Y132 SITE_TYPE SLICEL SITEPROP SLICE_X31Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y133 CLASS site SITEPROP SLICE_X31Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y133 IS_BONDED 0 SITEPROP SLICE_X31Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y133 IS_PAD 0 SITEPROP SLICE_X31Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y133 IS_RESERVED 0 SITEPROP SLICE_X31Y133 IS_TEST 0 SITEPROP SLICE_X31Y133 IS_USED 0 SITEPROP SLICE_X31Y133 MANUAL_ROUTING SITEPROP SLICE_X31Y133 NAME SLICE_X31Y133 SITEPROP SLICE_X31Y133 NUM_ARCS 138 SITEPROP SLICE_X31Y133 NUM_BELS 32 SITEPROP SLICE_X31Y133 NUM_INPUTS 32 SITEPROP SLICE_X31Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y133 NUM_PINS 45 SITEPROP SLICE_X31Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y133 PROHIBIT 0 SITEPROP SLICE_X31Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y133 RPM_X 87 SITEPROP SLICE_X31Y133 RPM_Y 266 SITEPROP SLICE_X31Y133 SITE_PIPS SITEPROP SLICE_X31Y133 SITE_TYPE SLICEL SITEPROP SLICE_X31Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y134 CLASS site SITEPROP SLICE_X31Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y134 IS_BONDED 0 SITEPROP SLICE_X31Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y134 IS_PAD 0 SITEPROP SLICE_X31Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y134 IS_RESERVED 0 SITEPROP SLICE_X31Y134 IS_TEST 0 SITEPROP SLICE_X31Y134 IS_USED 0 SITEPROP SLICE_X31Y134 MANUAL_ROUTING SITEPROP SLICE_X31Y134 NAME SLICE_X31Y134 SITEPROP SLICE_X31Y134 NUM_ARCS 138 SITEPROP SLICE_X31Y134 NUM_BELS 32 SITEPROP SLICE_X31Y134 NUM_INPUTS 32 SITEPROP SLICE_X31Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y134 NUM_PINS 45 SITEPROP SLICE_X31Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y134 PROHIBIT 0 SITEPROP SLICE_X31Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y134 RPM_X 87 SITEPROP SLICE_X31Y134 RPM_Y 268 SITEPROP SLICE_X31Y134 SITE_PIPS SITEPROP SLICE_X31Y134 SITE_TYPE SLICEL SITEPROP SLICE_X31Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y135 CLASS site SITEPROP SLICE_X31Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y135 IS_BONDED 0 SITEPROP SLICE_X31Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y135 IS_PAD 0 SITEPROP SLICE_X31Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y135 IS_RESERVED 0 SITEPROP SLICE_X31Y135 IS_TEST 0 SITEPROP SLICE_X31Y135 IS_USED 0 SITEPROP SLICE_X31Y135 MANUAL_ROUTING SITEPROP SLICE_X31Y135 NAME SLICE_X31Y135 SITEPROP SLICE_X31Y135 NUM_ARCS 138 SITEPROP SLICE_X31Y135 NUM_BELS 32 SITEPROP SLICE_X31Y135 NUM_INPUTS 32 SITEPROP SLICE_X31Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y135 NUM_PINS 45 SITEPROP SLICE_X31Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y135 PROHIBIT 0 SITEPROP SLICE_X31Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y135 RPM_X 87 SITEPROP SLICE_X31Y135 RPM_Y 270 SITEPROP SLICE_X31Y135 SITE_PIPS SITEPROP SLICE_X31Y135 SITE_TYPE SLICEL SITEPROP SLICE_X31Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y136 CLASS site SITEPROP SLICE_X31Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y136 IS_BONDED 0 SITEPROP SLICE_X31Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y136 IS_PAD 0 SITEPROP SLICE_X31Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y136 IS_RESERVED 0 SITEPROP SLICE_X31Y136 IS_TEST 0 SITEPROP SLICE_X31Y136 IS_USED 0 SITEPROP SLICE_X31Y136 MANUAL_ROUTING SITEPROP SLICE_X31Y136 NAME SLICE_X31Y136 SITEPROP SLICE_X31Y136 NUM_ARCS 138 SITEPROP SLICE_X31Y136 NUM_BELS 32 SITEPROP SLICE_X31Y136 NUM_INPUTS 32 SITEPROP SLICE_X31Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y136 NUM_PINS 45 SITEPROP SLICE_X31Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y136 PROHIBIT 0 SITEPROP SLICE_X31Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y136 RPM_X 87 SITEPROP SLICE_X31Y136 RPM_Y 272 SITEPROP SLICE_X31Y136 SITE_PIPS SITEPROP SLICE_X31Y136 SITE_TYPE SLICEL SITEPROP SLICE_X31Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y137 CLASS site SITEPROP SLICE_X31Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y137 IS_BONDED 0 SITEPROP SLICE_X31Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y137 IS_PAD 0 SITEPROP SLICE_X31Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y137 IS_RESERVED 0 SITEPROP SLICE_X31Y137 IS_TEST 0 SITEPROP SLICE_X31Y137 IS_USED 0 SITEPROP SLICE_X31Y137 MANUAL_ROUTING SITEPROP SLICE_X31Y137 NAME SLICE_X31Y137 SITEPROP SLICE_X31Y137 NUM_ARCS 138 SITEPROP SLICE_X31Y137 NUM_BELS 32 SITEPROP SLICE_X31Y137 NUM_INPUTS 32 SITEPROP SLICE_X31Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y137 NUM_PINS 45 SITEPROP SLICE_X31Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y137 PROHIBIT 0 SITEPROP SLICE_X31Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y137 RPM_X 87 SITEPROP SLICE_X31Y137 RPM_Y 274 SITEPROP SLICE_X31Y137 SITE_PIPS SITEPROP SLICE_X31Y137 SITE_TYPE SLICEL SITEPROP SLICE_X31Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y138 CLASS site SITEPROP SLICE_X31Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y138 IS_BONDED 0 SITEPROP SLICE_X31Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y138 IS_PAD 0 SITEPROP SLICE_X31Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y138 IS_RESERVED 0 SITEPROP SLICE_X31Y138 IS_TEST 0 SITEPROP SLICE_X31Y138 IS_USED 0 SITEPROP SLICE_X31Y138 MANUAL_ROUTING SITEPROP SLICE_X31Y138 NAME SLICE_X31Y138 SITEPROP SLICE_X31Y138 NUM_ARCS 138 SITEPROP SLICE_X31Y138 NUM_BELS 32 SITEPROP SLICE_X31Y138 NUM_INPUTS 32 SITEPROP SLICE_X31Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y138 NUM_PINS 45 SITEPROP SLICE_X31Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y138 PROHIBIT 0 SITEPROP SLICE_X31Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y138 RPM_X 87 SITEPROP SLICE_X31Y138 RPM_Y 276 SITEPROP SLICE_X31Y138 SITE_PIPS SITEPROP SLICE_X31Y138 SITE_TYPE SLICEL SITEPROP SLICE_X31Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y139 CLASS site SITEPROP SLICE_X31Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y139 IS_BONDED 0 SITEPROP SLICE_X31Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y139 IS_PAD 0 SITEPROP SLICE_X31Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y139 IS_RESERVED 0 SITEPROP SLICE_X31Y139 IS_TEST 0 SITEPROP SLICE_X31Y139 IS_USED 0 SITEPROP SLICE_X31Y139 MANUAL_ROUTING SITEPROP SLICE_X31Y139 NAME SLICE_X31Y139 SITEPROP SLICE_X31Y139 NUM_ARCS 138 SITEPROP SLICE_X31Y139 NUM_BELS 32 SITEPROP SLICE_X31Y139 NUM_INPUTS 32 SITEPROP SLICE_X31Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y139 NUM_PINS 45 SITEPROP SLICE_X31Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y139 PROHIBIT 0 SITEPROP SLICE_X31Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y139 RPM_X 87 SITEPROP SLICE_X31Y139 RPM_Y 278 SITEPROP SLICE_X31Y139 SITE_PIPS SITEPROP SLICE_X31Y139 SITE_TYPE SLICEL SITEPROP SLICE_X31Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y140 CLASS site SITEPROP SLICE_X31Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y140 IS_BONDED 0 SITEPROP SLICE_X31Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y140 IS_PAD 0 SITEPROP SLICE_X31Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y140 IS_RESERVED 0 SITEPROP SLICE_X31Y140 IS_TEST 0 SITEPROP SLICE_X31Y140 IS_USED 0 SITEPROP SLICE_X31Y140 MANUAL_ROUTING SITEPROP SLICE_X31Y140 NAME SLICE_X31Y140 SITEPROP SLICE_X31Y140 NUM_ARCS 138 SITEPROP SLICE_X31Y140 NUM_BELS 32 SITEPROP SLICE_X31Y140 NUM_INPUTS 32 SITEPROP SLICE_X31Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y140 NUM_PINS 45 SITEPROP SLICE_X31Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y140 PROHIBIT 0 SITEPROP SLICE_X31Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y140 RPM_X 87 SITEPROP SLICE_X31Y140 RPM_Y 280 SITEPROP SLICE_X31Y140 SITE_PIPS SITEPROP SLICE_X31Y140 SITE_TYPE SLICEL SITEPROP SLICE_X31Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y141 CLASS site SITEPROP SLICE_X31Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y141 IS_BONDED 0 SITEPROP SLICE_X31Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y141 IS_PAD 0 SITEPROP SLICE_X31Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y141 IS_RESERVED 0 SITEPROP SLICE_X31Y141 IS_TEST 0 SITEPROP SLICE_X31Y141 IS_USED 0 SITEPROP SLICE_X31Y141 MANUAL_ROUTING SITEPROP SLICE_X31Y141 NAME SLICE_X31Y141 SITEPROP SLICE_X31Y141 NUM_ARCS 138 SITEPROP SLICE_X31Y141 NUM_BELS 32 SITEPROP SLICE_X31Y141 NUM_INPUTS 32 SITEPROP SLICE_X31Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y141 NUM_PINS 45 SITEPROP SLICE_X31Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y141 PROHIBIT 0 SITEPROP SLICE_X31Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y141 RPM_X 87 SITEPROP SLICE_X31Y141 RPM_Y 282 SITEPROP SLICE_X31Y141 SITE_PIPS SITEPROP SLICE_X31Y141 SITE_TYPE SLICEL SITEPROP SLICE_X31Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y142 CLASS site SITEPROP SLICE_X31Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y142 IS_BONDED 0 SITEPROP SLICE_X31Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y142 IS_PAD 0 SITEPROP SLICE_X31Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y142 IS_RESERVED 0 SITEPROP SLICE_X31Y142 IS_TEST 0 SITEPROP SLICE_X31Y142 IS_USED 0 SITEPROP SLICE_X31Y142 MANUAL_ROUTING SITEPROP SLICE_X31Y142 NAME SLICE_X31Y142 SITEPROP SLICE_X31Y142 NUM_ARCS 138 SITEPROP SLICE_X31Y142 NUM_BELS 32 SITEPROP SLICE_X31Y142 NUM_INPUTS 32 SITEPROP SLICE_X31Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y142 NUM_PINS 45 SITEPROP SLICE_X31Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y142 PROHIBIT 0 SITEPROP SLICE_X31Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y142 RPM_X 87 SITEPROP SLICE_X31Y142 RPM_Y 284 SITEPROP SLICE_X31Y142 SITE_PIPS SITEPROP SLICE_X31Y142 SITE_TYPE SLICEL SITEPROP SLICE_X31Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y143 CLASS site SITEPROP SLICE_X31Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y143 IS_BONDED 0 SITEPROP SLICE_X31Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y143 IS_PAD 0 SITEPROP SLICE_X31Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y143 IS_RESERVED 0 SITEPROP SLICE_X31Y143 IS_TEST 0 SITEPROP SLICE_X31Y143 IS_USED 0 SITEPROP SLICE_X31Y143 MANUAL_ROUTING SITEPROP SLICE_X31Y143 NAME SLICE_X31Y143 SITEPROP SLICE_X31Y143 NUM_ARCS 138 SITEPROP SLICE_X31Y143 NUM_BELS 32 SITEPROP SLICE_X31Y143 NUM_INPUTS 32 SITEPROP SLICE_X31Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y143 NUM_PINS 45 SITEPROP SLICE_X31Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y143 PROHIBIT 0 SITEPROP SLICE_X31Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y143 RPM_X 87 SITEPROP SLICE_X31Y143 RPM_Y 286 SITEPROP SLICE_X31Y143 SITE_PIPS SITEPROP SLICE_X31Y143 SITE_TYPE SLICEL SITEPROP SLICE_X31Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y144 CLASS site SITEPROP SLICE_X31Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y144 IS_BONDED 0 SITEPROP SLICE_X31Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y144 IS_PAD 0 SITEPROP SLICE_X31Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y144 IS_RESERVED 0 SITEPROP SLICE_X31Y144 IS_TEST 0 SITEPROP SLICE_X31Y144 IS_USED 0 SITEPROP SLICE_X31Y144 MANUAL_ROUTING SITEPROP SLICE_X31Y144 NAME SLICE_X31Y144 SITEPROP SLICE_X31Y144 NUM_ARCS 138 SITEPROP SLICE_X31Y144 NUM_BELS 32 SITEPROP SLICE_X31Y144 NUM_INPUTS 32 SITEPROP SLICE_X31Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y144 NUM_PINS 45 SITEPROP SLICE_X31Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y144 PROHIBIT 0 SITEPROP SLICE_X31Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y144 RPM_X 87 SITEPROP SLICE_X31Y144 RPM_Y 288 SITEPROP SLICE_X31Y144 SITE_PIPS SITEPROP SLICE_X31Y144 SITE_TYPE SLICEL SITEPROP SLICE_X31Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y145 CLASS site SITEPROP SLICE_X31Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y145 IS_BONDED 0 SITEPROP SLICE_X31Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y145 IS_PAD 0 SITEPROP SLICE_X31Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y145 IS_RESERVED 0 SITEPROP SLICE_X31Y145 IS_TEST 0 SITEPROP SLICE_X31Y145 IS_USED 0 SITEPROP SLICE_X31Y145 MANUAL_ROUTING SITEPROP SLICE_X31Y145 NAME SLICE_X31Y145 SITEPROP SLICE_X31Y145 NUM_ARCS 138 SITEPROP SLICE_X31Y145 NUM_BELS 32 SITEPROP SLICE_X31Y145 NUM_INPUTS 32 SITEPROP SLICE_X31Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y145 NUM_PINS 45 SITEPROP SLICE_X31Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y145 PROHIBIT 0 SITEPROP SLICE_X31Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y145 RPM_X 87 SITEPROP SLICE_X31Y145 RPM_Y 290 SITEPROP SLICE_X31Y145 SITE_PIPS SITEPROP SLICE_X31Y145 SITE_TYPE SLICEL SITEPROP SLICE_X31Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y146 CLASS site SITEPROP SLICE_X31Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y146 IS_BONDED 0 SITEPROP SLICE_X31Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y146 IS_PAD 0 SITEPROP SLICE_X31Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y146 IS_RESERVED 0 SITEPROP SLICE_X31Y146 IS_TEST 0 SITEPROP SLICE_X31Y146 IS_USED 0 SITEPROP SLICE_X31Y146 MANUAL_ROUTING SITEPROP SLICE_X31Y146 NAME SLICE_X31Y146 SITEPROP SLICE_X31Y146 NUM_ARCS 138 SITEPROP SLICE_X31Y146 NUM_BELS 32 SITEPROP SLICE_X31Y146 NUM_INPUTS 32 SITEPROP SLICE_X31Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y146 NUM_PINS 45 SITEPROP SLICE_X31Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y146 PROHIBIT 0 SITEPROP SLICE_X31Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y146 RPM_X 87 SITEPROP SLICE_X31Y146 RPM_Y 292 SITEPROP SLICE_X31Y146 SITE_PIPS SITEPROP SLICE_X31Y146 SITE_TYPE SLICEL SITEPROP SLICE_X31Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y147 CLASS site SITEPROP SLICE_X31Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y147 IS_BONDED 0 SITEPROP SLICE_X31Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y147 IS_PAD 0 SITEPROP SLICE_X31Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y147 IS_RESERVED 0 SITEPROP SLICE_X31Y147 IS_TEST 0 SITEPROP SLICE_X31Y147 IS_USED 0 SITEPROP SLICE_X31Y147 MANUAL_ROUTING SITEPROP SLICE_X31Y147 NAME SLICE_X31Y147 SITEPROP SLICE_X31Y147 NUM_ARCS 138 SITEPROP SLICE_X31Y147 NUM_BELS 32 SITEPROP SLICE_X31Y147 NUM_INPUTS 32 SITEPROP SLICE_X31Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y147 NUM_PINS 45 SITEPROP SLICE_X31Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y147 PROHIBIT 0 SITEPROP SLICE_X31Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y147 RPM_X 87 SITEPROP SLICE_X31Y147 RPM_Y 294 SITEPROP SLICE_X31Y147 SITE_PIPS SITEPROP SLICE_X31Y147 SITE_TYPE SLICEL SITEPROP SLICE_X31Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y148 CLASS site SITEPROP SLICE_X31Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y148 IS_BONDED 0 SITEPROP SLICE_X31Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y148 IS_PAD 0 SITEPROP SLICE_X31Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y148 IS_RESERVED 0 SITEPROP SLICE_X31Y148 IS_TEST 0 SITEPROP SLICE_X31Y148 IS_USED 0 SITEPROP SLICE_X31Y148 MANUAL_ROUTING SITEPROP SLICE_X31Y148 NAME SLICE_X31Y148 SITEPROP SLICE_X31Y148 NUM_ARCS 138 SITEPROP SLICE_X31Y148 NUM_BELS 32 SITEPROP SLICE_X31Y148 NUM_INPUTS 32 SITEPROP SLICE_X31Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y148 NUM_PINS 45 SITEPROP SLICE_X31Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y148 PROHIBIT 0 SITEPROP SLICE_X31Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y148 RPM_X 87 SITEPROP SLICE_X31Y148 RPM_Y 296 SITEPROP SLICE_X31Y148 SITE_PIPS SITEPROP SLICE_X31Y148 SITE_TYPE SLICEL SITEPROP SLICE_X31Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X31Y149 CLASS site SITEPROP SLICE_X31Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X31Y149 IS_BONDED 0 SITEPROP SLICE_X31Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X31Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y149 IS_PAD 0 SITEPROP SLICE_X31Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X31Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X31Y149 IS_RESERVED 0 SITEPROP SLICE_X31Y149 IS_TEST 0 SITEPROP SLICE_X31Y149 IS_USED 0 SITEPROP SLICE_X31Y149 MANUAL_ROUTING SITEPROP SLICE_X31Y149 NAME SLICE_X31Y149 SITEPROP SLICE_X31Y149 NUM_ARCS 138 SITEPROP SLICE_X31Y149 NUM_BELS 32 SITEPROP SLICE_X31Y149 NUM_INPUTS 32 SITEPROP SLICE_X31Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X31Y149 NUM_PINS 45 SITEPROP SLICE_X31Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X31Y149 PROHIBIT 0 SITEPROP SLICE_X31Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X31Y149 RPM_X 87 SITEPROP SLICE_X31Y149 RPM_Y 298 SITEPROP SLICE_X31Y149 SITE_PIPS SITEPROP SLICE_X31Y149 SITE_TYPE SLICEL SITEPROP SLICE_X32Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y0 CLASS site SITEPROP SLICE_X32Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y0 IS_BONDED 0 SITEPROP SLICE_X32Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y0 IS_PAD 0 SITEPROP SLICE_X32Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y0 IS_RESERVED 0 SITEPROP SLICE_X32Y0 IS_TEST 0 SITEPROP SLICE_X32Y0 IS_USED 0 SITEPROP SLICE_X32Y0 MANUAL_ROUTING SITEPROP SLICE_X32Y0 NAME SLICE_X32Y0 SITEPROP SLICE_X32Y0 NUM_ARCS 138 SITEPROP SLICE_X32Y0 NUM_BELS 32 SITEPROP SLICE_X32Y0 NUM_INPUTS 32 SITEPROP SLICE_X32Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y0 NUM_PINS 45 SITEPROP SLICE_X32Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y0 PROHIBIT 0 SITEPROP SLICE_X32Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y0 RPM_X 89 SITEPROP SLICE_X32Y0 RPM_Y 0 SITEPROP SLICE_X32Y0 SITE_PIPS SITEPROP SLICE_X32Y0 SITE_TYPE SLICEL SITEPROP SLICE_X32Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y1 CLASS site SITEPROP SLICE_X32Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y1 IS_BONDED 0 SITEPROP SLICE_X32Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y1 IS_PAD 0 SITEPROP SLICE_X32Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y1 IS_RESERVED 0 SITEPROP SLICE_X32Y1 IS_TEST 0 SITEPROP SLICE_X32Y1 IS_USED 0 SITEPROP SLICE_X32Y1 MANUAL_ROUTING SITEPROP SLICE_X32Y1 NAME SLICE_X32Y1 SITEPROP SLICE_X32Y1 NUM_ARCS 138 SITEPROP SLICE_X32Y1 NUM_BELS 32 SITEPROP SLICE_X32Y1 NUM_INPUTS 32 SITEPROP SLICE_X32Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y1 NUM_PINS 45 SITEPROP SLICE_X32Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y1 PROHIBIT 0 SITEPROP SLICE_X32Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y1 RPM_X 89 SITEPROP SLICE_X32Y1 RPM_Y 2 SITEPROP SLICE_X32Y1 SITE_PIPS SITEPROP SLICE_X32Y1 SITE_TYPE SLICEL SITEPROP SLICE_X32Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y2 CLASS site SITEPROP SLICE_X32Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y2 IS_BONDED 0 SITEPROP SLICE_X32Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y2 IS_PAD 0 SITEPROP SLICE_X32Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y2 IS_RESERVED 0 SITEPROP SLICE_X32Y2 IS_TEST 0 SITEPROP SLICE_X32Y2 IS_USED 0 SITEPROP SLICE_X32Y2 MANUAL_ROUTING SITEPROP SLICE_X32Y2 NAME SLICE_X32Y2 SITEPROP SLICE_X32Y2 NUM_ARCS 138 SITEPROP SLICE_X32Y2 NUM_BELS 32 SITEPROP SLICE_X32Y2 NUM_INPUTS 32 SITEPROP SLICE_X32Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y2 NUM_PINS 45 SITEPROP SLICE_X32Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y2 PROHIBIT 0 SITEPROP SLICE_X32Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y2 RPM_X 89 SITEPROP SLICE_X32Y2 RPM_Y 4 SITEPROP SLICE_X32Y2 SITE_PIPS SITEPROP SLICE_X32Y2 SITE_TYPE SLICEL SITEPROP SLICE_X32Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y3 CLASS site SITEPROP SLICE_X32Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y3 IS_BONDED 0 SITEPROP SLICE_X32Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y3 IS_PAD 0 SITEPROP SLICE_X32Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y3 IS_RESERVED 0 SITEPROP SLICE_X32Y3 IS_TEST 0 SITEPROP SLICE_X32Y3 IS_USED 0 SITEPROP SLICE_X32Y3 MANUAL_ROUTING SITEPROP SLICE_X32Y3 NAME SLICE_X32Y3 SITEPROP SLICE_X32Y3 NUM_ARCS 138 SITEPROP SLICE_X32Y3 NUM_BELS 32 SITEPROP SLICE_X32Y3 NUM_INPUTS 32 SITEPROP SLICE_X32Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y3 NUM_PINS 45 SITEPROP SLICE_X32Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y3 PROHIBIT 0 SITEPROP SLICE_X32Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y3 RPM_X 89 SITEPROP SLICE_X32Y3 RPM_Y 6 SITEPROP SLICE_X32Y3 SITE_PIPS SITEPROP SLICE_X32Y3 SITE_TYPE SLICEL SITEPROP SLICE_X32Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y4 CLASS site SITEPROP SLICE_X32Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y4 IS_BONDED 0 SITEPROP SLICE_X32Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y4 IS_PAD 0 SITEPROP SLICE_X32Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y4 IS_RESERVED 0 SITEPROP SLICE_X32Y4 IS_TEST 0 SITEPROP SLICE_X32Y4 IS_USED 0 SITEPROP SLICE_X32Y4 MANUAL_ROUTING SITEPROP SLICE_X32Y4 NAME SLICE_X32Y4 SITEPROP SLICE_X32Y4 NUM_ARCS 138 SITEPROP SLICE_X32Y4 NUM_BELS 32 SITEPROP SLICE_X32Y4 NUM_INPUTS 32 SITEPROP SLICE_X32Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y4 NUM_PINS 45 SITEPROP SLICE_X32Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y4 PROHIBIT 0 SITEPROP SLICE_X32Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y4 RPM_X 89 SITEPROP SLICE_X32Y4 RPM_Y 8 SITEPROP SLICE_X32Y4 SITE_PIPS SITEPROP SLICE_X32Y4 SITE_TYPE SLICEL SITEPROP SLICE_X32Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y5 CLASS site SITEPROP SLICE_X32Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y5 IS_BONDED 0 SITEPROP SLICE_X32Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y5 IS_PAD 0 SITEPROP SLICE_X32Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y5 IS_RESERVED 0 SITEPROP SLICE_X32Y5 IS_TEST 0 SITEPROP SLICE_X32Y5 IS_USED 0 SITEPROP SLICE_X32Y5 MANUAL_ROUTING SITEPROP SLICE_X32Y5 NAME SLICE_X32Y5 SITEPROP SLICE_X32Y5 NUM_ARCS 138 SITEPROP SLICE_X32Y5 NUM_BELS 32 SITEPROP SLICE_X32Y5 NUM_INPUTS 32 SITEPROP SLICE_X32Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y5 NUM_PINS 45 SITEPROP SLICE_X32Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y5 PROHIBIT 0 SITEPROP SLICE_X32Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y5 RPM_X 89 SITEPROP SLICE_X32Y5 RPM_Y 10 SITEPROP SLICE_X32Y5 SITE_PIPS SITEPROP SLICE_X32Y5 SITE_TYPE SLICEL SITEPROP SLICE_X32Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y6 CLASS site SITEPROP SLICE_X32Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y6 IS_BONDED 0 SITEPROP SLICE_X32Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y6 IS_PAD 0 SITEPROP SLICE_X32Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y6 IS_RESERVED 0 SITEPROP SLICE_X32Y6 IS_TEST 0 SITEPROP SLICE_X32Y6 IS_USED 0 SITEPROP SLICE_X32Y6 MANUAL_ROUTING SITEPROP SLICE_X32Y6 NAME SLICE_X32Y6 SITEPROP SLICE_X32Y6 NUM_ARCS 138 SITEPROP SLICE_X32Y6 NUM_BELS 32 SITEPROP SLICE_X32Y6 NUM_INPUTS 32 SITEPROP SLICE_X32Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y6 NUM_PINS 45 SITEPROP SLICE_X32Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y6 PROHIBIT 0 SITEPROP SLICE_X32Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y6 RPM_X 89 SITEPROP SLICE_X32Y6 RPM_Y 12 SITEPROP SLICE_X32Y6 SITE_PIPS SITEPROP SLICE_X32Y6 SITE_TYPE SLICEL SITEPROP SLICE_X32Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y7 CLASS site SITEPROP SLICE_X32Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y7 IS_BONDED 0 SITEPROP SLICE_X32Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y7 IS_PAD 0 SITEPROP SLICE_X32Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y7 IS_RESERVED 0 SITEPROP SLICE_X32Y7 IS_TEST 0 SITEPROP SLICE_X32Y7 IS_USED 0 SITEPROP SLICE_X32Y7 MANUAL_ROUTING SITEPROP SLICE_X32Y7 NAME SLICE_X32Y7 SITEPROP SLICE_X32Y7 NUM_ARCS 138 SITEPROP SLICE_X32Y7 NUM_BELS 32 SITEPROP SLICE_X32Y7 NUM_INPUTS 32 SITEPROP SLICE_X32Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y7 NUM_PINS 45 SITEPROP SLICE_X32Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y7 PROHIBIT 0 SITEPROP SLICE_X32Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y7 RPM_X 89 SITEPROP SLICE_X32Y7 RPM_Y 14 SITEPROP SLICE_X32Y7 SITE_PIPS SITEPROP SLICE_X32Y7 SITE_TYPE SLICEL SITEPROP SLICE_X32Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y8 CLASS site SITEPROP SLICE_X32Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y8 IS_BONDED 0 SITEPROP SLICE_X32Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y8 IS_PAD 0 SITEPROP SLICE_X32Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y8 IS_RESERVED 0 SITEPROP SLICE_X32Y8 IS_TEST 0 SITEPROP SLICE_X32Y8 IS_USED 0 SITEPROP SLICE_X32Y8 MANUAL_ROUTING SITEPROP SLICE_X32Y8 NAME SLICE_X32Y8 SITEPROP SLICE_X32Y8 NUM_ARCS 138 SITEPROP SLICE_X32Y8 NUM_BELS 32 SITEPROP SLICE_X32Y8 NUM_INPUTS 32 SITEPROP SLICE_X32Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y8 NUM_PINS 45 SITEPROP SLICE_X32Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y8 PROHIBIT 0 SITEPROP SLICE_X32Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y8 RPM_X 89 SITEPROP SLICE_X32Y8 RPM_Y 16 SITEPROP SLICE_X32Y8 SITE_PIPS SITEPROP SLICE_X32Y8 SITE_TYPE SLICEL SITEPROP SLICE_X32Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y9 CLASS site SITEPROP SLICE_X32Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y9 IS_BONDED 0 SITEPROP SLICE_X32Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y9 IS_PAD 0 SITEPROP SLICE_X32Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y9 IS_RESERVED 0 SITEPROP SLICE_X32Y9 IS_TEST 0 SITEPROP SLICE_X32Y9 IS_USED 0 SITEPROP SLICE_X32Y9 MANUAL_ROUTING SITEPROP SLICE_X32Y9 NAME SLICE_X32Y9 SITEPROP SLICE_X32Y9 NUM_ARCS 138 SITEPROP SLICE_X32Y9 NUM_BELS 32 SITEPROP SLICE_X32Y9 NUM_INPUTS 32 SITEPROP SLICE_X32Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y9 NUM_PINS 45 SITEPROP SLICE_X32Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y9 PROHIBIT 0 SITEPROP SLICE_X32Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y9 RPM_X 89 SITEPROP SLICE_X32Y9 RPM_Y 18 SITEPROP SLICE_X32Y9 SITE_PIPS SITEPROP SLICE_X32Y9 SITE_TYPE SLICEL SITEPROP SLICE_X32Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y10 CLASS site SITEPROP SLICE_X32Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y10 IS_BONDED 0 SITEPROP SLICE_X32Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y10 IS_PAD 0 SITEPROP SLICE_X32Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y10 IS_RESERVED 0 SITEPROP SLICE_X32Y10 IS_TEST 0 SITEPROP SLICE_X32Y10 IS_USED 0 SITEPROP SLICE_X32Y10 MANUAL_ROUTING SITEPROP SLICE_X32Y10 NAME SLICE_X32Y10 SITEPROP SLICE_X32Y10 NUM_ARCS 138 SITEPROP SLICE_X32Y10 NUM_BELS 32 SITEPROP SLICE_X32Y10 NUM_INPUTS 32 SITEPROP SLICE_X32Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y10 NUM_PINS 45 SITEPROP SLICE_X32Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y10 PROHIBIT 0 SITEPROP SLICE_X32Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y10 RPM_X 89 SITEPROP SLICE_X32Y10 RPM_Y 20 SITEPROP SLICE_X32Y10 SITE_PIPS SITEPROP SLICE_X32Y10 SITE_TYPE SLICEL SITEPROP SLICE_X32Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y11 CLASS site SITEPROP SLICE_X32Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y11 IS_BONDED 0 SITEPROP SLICE_X32Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y11 IS_PAD 0 SITEPROP SLICE_X32Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y11 IS_RESERVED 0 SITEPROP SLICE_X32Y11 IS_TEST 0 SITEPROP SLICE_X32Y11 IS_USED 0 SITEPROP SLICE_X32Y11 MANUAL_ROUTING SITEPROP SLICE_X32Y11 NAME SLICE_X32Y11 SITEPROP SLICE_X32Y11 NUM_ARCS 138 SITEPROP SLICE_X32Y11 NUM_BELS 32 SITEPROP SLICE_X32Y11 NUM_INPUTS 32 SITEPROP SLICE_X32Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y11 NUM_PINS 45 SITEPROP SLICE_X32Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y11 PROHIBIT 0 SITEPROP SLICE_X32Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y11 RPM_X 89 SITEPROP SLICE_X32Y11 RPM_Y 22 SITEPROP SLICE_X32Y11 SITE_PIPS SITEPROP SLICE_X32Y11 SITE_TYPE SLICEL SITEPROP SLICE_X32Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y12 CLASS site SITEPROP SLICE_X32Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y12 IS_BONDED 0 SITEPROP SLICE_X32Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y12 IS_PAD 0 SITEPROP SLICE_X32Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y12 IS_RESERVED 0 SITEPROP SLICE_X32Y12 IS_TEST 0 SITEPROP SLICE_X32Y12 IS_USED 0 SITEPROP SLICE_X32Y12 MANUAL_ROUTING SITEPROP SLICE_X32Y12 NAME SLICE_X32Y12 SITEPROP SLICE_X32Y12 NUM_ARCS 138 SITEPROP SLICE_X32Y12 NUM_BELS 32 SITEPROP SLICE_X32Y12 NUM_INPUTS 32 SITEPROP SLICE_X32Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y12 NUM_PINS 45 SITEPROP SLICE_X32Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y12 PROHIBIT 0 SITEPROP SLICE_X32Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y12 RPM_X 89 SITEPROP SLICE_X32Y12 RPM_Y 24 SITEPROP SLICE_X32Y12 SITE_PIPS SITEPROP SLICE_X32Y12 SITE_TYPE SLICEL SITEPROP SLICE_X32Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y13 CLASS site SITEPROP SLICE_X32Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y13 IS_BONDED 0 SITEPROP SLICE_X32Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y13 IS_PAD 0 SITEPROP SLICE_X32Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y13 IS_RESERVED 0 SITEPROP SLICE_X32Y13 IS_TEST 0 SITEPROP SLICE_X32Y13 IS_USED 0 SITEPROP SLICE_X32Y13 MANUAL_ROUTING SITEPROP SLICE_X32Y13 NAME SLICE_X32Y13 SITEPROP SLICE_X32Y13 NUM_ARCS 138 SITEPROP SLICE_X32Y13 NUM_BELS 32 SITEPROP SLICE_X32Y13 NUM_INPUTS 32 SITEPROP SLICE_X32Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y13 NUM_PINS 45 SITEPROP SLICE_X32Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y13 PROHIBIT 0 SITEPROP SLICE_X32Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y13 RPM_X 89 SITEPROP SLICE_X32Y13 RPM_Y 26 SITEPROP SLICE_X32Y13 SITE_PIPS SITEPROP SLICE_X32Y13 SITE_TYPE SLICEL SITEPROP SLICE_X32Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y14 CLASS site SITEPROP SLICE_X32Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y14 IS_BONDED 0 SITEPROP SLICE_X32Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y14 IS_PAD 0 SITEPROP SLICE_X32Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y14 IS_RESERVED 0 SITEPROP SLICE_X32Y14 IS_TEST 0 SITEPROP SLICE_X32Y14 IS_USED 0 SITEPROP SLICE_X32Y14 MANUAL_ROUTING SITEPROP SLICE_X32Y14 NAME SLICE_X32Y14 SITEPROP SLICE_X32Y14 NUM_ARCS 138 SITEPROP SLICE_X32Y14 NUM_BELS 32 SITEPROP SLICE_X32Y14 NUM_INPUTS 32 SITEPROP SLICE_X32Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y14 NUM_PINS 45 SITEPROP SLICE_X32Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y14 PROHIBIT 0 SITEPROP SLICE_X32Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y14 RPM_X 89 SITEPROP SLICE_X32Y14 RPM_Y 28 SITEPROP SLICE_X32Y14 SITE_PIPS SITEPROP SLICE_X32Y14 SITE_TYPE SLICEL SITEPROP SLICE_X32Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y15 CLASS site SITEPROP SLICE_X32Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y15 IS_BONDED 0 SITEPROP SLICE_X32Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y15 IS_PAD 0 SITEPROP SLICE_X32Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y15 IS_RESERVED 0 SITEPROP SLICE_X32Y15 IS_TEST 0 SITEPROP SLICE_X32Y15 IS_USED 0 SITEPROP SLICE_X32Y15 MANUAL_ROUTING SITEPROP SLICE_X32Y15 NAME SLICE_X32Y15 SITEPROP SLICE_X32Y15 NUM_ARCS 138 SITEPROP SLICE_X32Y15 NUM_BELS 32 SITEPROP SLICE_X32Y15 NUM_INPUTS 32 SITEPROP SLICE_X32Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y15 NUM_PINS 45 SITEPROP SLICE_X32Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y15 PROHIBIT 0 SITEPROP SLICE_X32Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y15 RPM_X 89 SITEPROP SLICE_X32Y15 RPM_Y 30 SITEPROP SLICE_X32Y15 SITE_PIPS SITEPROP SLICE_X32Y15 SITE_TYPE SLICEL SITEPROP SLICE_X32Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y16 CLASS site SITEPROP SLICE_X32Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y16 IS_BONDED 0 SITEPROP SLICE_X32Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y16 IS_PAD 0 SITEPROP SLICE_X32Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y16 IS_RESERVED 0 SITEPROP SLICE_X32Y16 IS_TEST 0 SITEPROP SLICE_X32Y16 IS_USED 0 SITEPROP SLICE_X32Y16 MANUAL_ROUTING SITEPROP SLICE_X32Y16 NAME SLICE_X32Y16 SITEPROP SLICE_X32Y16 NUM_ARCS 138 SITEPROP SLICE_X32Y16 NUM_BELS 32 SITEPROP SLICE_X32Y16 NUM_INPUTS 32 SITEPROP SLICE_X32Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y16 NUM_PINS 45 SITEPROP SLICE_X32Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y16 PROHIBIT 0 SITEPROP SLICE_X32Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y16 RPM_X 89 SITEPROP SLICE_X32Y16 RPM_Y 32 SITEPROP SLICE_X32Y16 SITE_PIPS SITEPROP SLICE_X32Y16 SITE_TYPE SLICEL SITEPROP SLICE_X32Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y17 CLASS site SITEPROP SLICE_X32Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y17 IS_BONDED 0 SITEPROP SLICE_X32Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y17 IS_PAD 0 SITEPROP SLICE_X32Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y17 IS_RESERVED 0 SITEPROP SLICE_X32Y17 IS_TEST 0 SITEPROP SLICE_X32Y17 IS_USED 0 SITEPROP SLICE_X32Y17 MANUAL_ROUTING SITEPROP SLICE_X32Y17 NAME SLICE_X32Y17 SITEPROP SLICE_X32Y17 NUM_ARCS 138 SITEPROP SLICE_X32Y17 NUM_BELS 32 SITEPROP SLICE_X32Y17 NUM_INPUTS 32 SITEPROP SLICE_X32Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y17 NUM_PINS 45 SITEPROP SLICE_X32Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y17 PROHIBIT 0 SITEPROP SLICE_X32Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y17 RPM_X 89 SITEPROP SLICE_X32Y17 RPM_Y 34 SITEPROP SLICE_X32Y17 SITE_PIPS SITEPROP SLICE_X32Y17 SITE_TYPE SLICEL SITEPROP SLICE_X32Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y18 CLASS site SITEPROP SLICE_X32Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y18 IS_BONDED 0 SITEPROP SLICE_X32Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y18 IS_PAD 0 SITEPROP SLICE_X32Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y18 IS_RESERVED 0 SITEPROP SLICE_X32Y18 IS_TEST 0 SITEPROP SLICE_X32Y18 IS_USED 0 SITEPROP SLICE_X32Y18 MANUAL_ROUTING SITEPROP SLICE_X32Y18 NAME SLICE_X32Y18 SITEPROP SLICE_X32Y18 NUM_ARCS 138 SITEPROP SLICE_X32Y18 NUM_BELS 32 SITEPROP SLICE_X32Y18 NUM_INPUTS 32 SITEPROP SLICE_X32Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y18 NUM_PINS 45 SITEPROP SLICE_X32Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y18 PROHIBIT 0 SITEPROP SLICE_X32Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y18 RPM_X 89 SITEPROP SLICE_X32Y18 RPM_Y 36 SITEPROP SLICE_X32Y18 SITE_PIPS SITEPROP SLICE_X32Y18 SITE_TYPE SLICEL SITEPROP SLICE_X32Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y19 CLASS site SITEPROP SLICE_X32Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y19 IS_BONDED 0 SITEPROP SLICE_X32Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y19 IS_PAD 0 SITEPROP SLICE_X32Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y19 IS_RESERVED 0 SITEPROP SLICE_X32Y19 IS_TEST 0 SITEPROP SLICE_X32Y19 IS_USED 0 SITEPROP SLICE_X32Y19 MANUAL_ROUTING SITEPROP SLICE_X32Y19 NAME SLICE_X32Y19 SITEPROP SLICE_X32Y19 NUM_ARCS 138 SITEPROP SLICE_X32Y19 NUM_BELS 32 SITEPROP SLICE_X32Y19 NUM_INPUTS 32 SITEPROP SLICE_X32Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y19 NUM_PINS 45 SITEPROP SLICE_X32Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y19 PROHIBIT 0 SITEPROP SLICE_X32Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y19 RPM_X 89 SITEPROP SLICE_X32Y19 RPM_Y 38 SITEPROP SLICE_X32Y19 SITE_PIPS SITEPROP SLICE_X32Y19 SITE_TYPE SLICEL SITEPROP SLICE_X32Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y20 CLASS site SITEPROP SLICE_X32Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y20 IS_BONDED 0 SITEPROP SLICE_X32Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y20 IS_PAD 0 SITEPROP SLICE_X32Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y20 IS_RESERVED 0 SITEPROP SLICE_X32Y20 IS_TEST 0 SITEPROP SLICE_X32Y20 IS_USED 0 SITEPROP SLICE_X32Y20 MANUAL_ROUTING SITEPROP SLICE_X32Y20 NAME SLICE_X32Y20 SITEPROP SLICE_X32Y20 NUM_ARCS 138 SITEPROP SLICE_X32Y20 NUM_BELS 32 SITEPROP SLICE_X32Y20 NUM_INPUTS 32 SITEPROP SLICE_X32Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y20 NUM_PINS 45 SITEPROP SLICE_X32Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y20 PROHIBIT 0 SITEPROP SLICE_X32Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y20 RPM_X 89 SITEPROP SLICE_X32Y20 RPM_Y 40 SITEPROP SLICE_X32Y20 SITE_PIPS SITEPROP SLICE_X32Y20 SITE_TYPE SLICEL SITEPROP SLICE_X32Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y21 CLASS site SITEPROP SLICE_X32Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y21 IS_BONDED 0 SITEPROP SLICE_X32Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y21 IS_PAD 0 SITEPROP SLICE_X32Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y21 IS_RESERVED 0 SITEPROP SLICE_X32Y21 IS_TEST 0 SITEPROP SLICE_X32Y21 IS_USED 0 SITEPROP SLICE_X32Y21 MANUAL_ROUTING SITEPROP SLICE_X32Y21 NAME SLICE_X32Y21 SITEPROP SLICE_X32Y21 NUM_ARCS 138 SITEPROP SLICE_X32Y21 NUM_BELS 32 SITEPROP SLICE_X32Y21 NUM_INPUTS 32 SITEPROP SLICE_X32Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y21 NUM_PINS 45 SITEPROP SLICE_X32Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y21 PROHIBIT 0 SITEPROP SLICE_X32Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y21 RPM_X 89 SITEPROP SLICE_X32Y21 RPM_Y 42 SITEPROP SLICE_X32Y21 SITE_PIPS SITEPROP SLICE_X32Y21 SITE_TYPE SLICEL SITEPROP SLICE_X32Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y22 CLASS site SITEPROP SLICE_X32Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y22 IS_BONDED 0 SITEPROP SLICE_X32Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y22 IS_PAD 0 SITEPROP SLICE_X32Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y22 IS_RESERVED 0 SITEPROP SLICE_X32Y22 IS_TEST 0 SITEPROP SLICE_X32Y22 IS_USED 0 SITEPROP SLICE_X32Y22 MANUAL_ROUTING SITEPROP SLICE_X32Y22 NAME SLICE_X32Y22 SITEPROP SLICE_X32Y22 NUM_ARCS 138 SITEPROP SLICE_X32Y22 NUM_BELS 32 SITEPROP SLICE_X32Y22 NUM_INPUTS 32 SITEPROP SLICE_X32Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y22 NUM_PINS 45 SITEPROP SLICE_X32Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y22 PROHIBIT 0 SITEPROP SLICE_X32Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y22 RPM_X 89 SITEPROP SLICE_X32Y22 RPM_Y 44 SITEPROP SLICE_X32Y22 SITE_PIPS SITEPROP SLICE_X32Y22 SITE_TYPE SLICEL SITEPROP SLICE_X32Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y23 CLASS site SITEPROP SLICE_X32Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y23 IS_BONDED 0 SITEPROP SLICE_X32Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y23 IS_PAD 0 SITEPROP SLICE_X32Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y23 IS_RESERVED 0 SITEPROP SLICE_X32Y23 IS_TEST 0 SITEPROP SLICE_X32Y23 IS_USED 0 SITEPROP SLICE_X32Y23 MANUAL_ROUTING SITEPROP SLICE_X32Y23 NAME SLICE_X32Y23 SITEPROP SLICE_X32Y23 NUM_ARCS 138 SITEPROP SLICE_X32Y23 NUM_BELS 32 SITEPROP SLICE_X32Y23 NUM_INPUTS 32 SITEPROP SLICE_X32Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y23 NUM_PINS 45 SITEPROP SLICE_X32Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y23 PROHIBIT 0 SITEPROP SLICE_X32Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y23 RPM_X 89 SITEPROP SLICE_X32Y23 RPM_Y 46 SITEPROP SLICE_X32Y23 SITE_PIPS SITEPROP SLICE_X32Y23 SITE_TYPE SLICEL SITEPROP SLICE_X32Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y24 CLASS site SITEPROP SLICE_X32Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y24 IS_BONDED 0 SITEPROP SLICE_X32Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y24 IS_PAD 0 SITEPROP SLICE_X32Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y24 IS_RESERVED 0 SITEPROP SLICE_X32Y24 IS_TEST 0 SITEPROP SLICE_X32Y24 IS_USED 0 SITEPROP SLICE_X32Y24 MANUAL_ROUTING SITEPROP SLICE_X32Y24 NAME SLICE_X32Y24 SITEPROP SLICE_X32Y24 NUM_ARCS 138 SITEPROP SLICE_X32Y24 NUM_BELS 32 SITEPROP SLICE_X32Y24 NUM_INPUTS 32 SITEPROP SLICE_X32Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y24 NUM_PINS 45 SITEPROP SLICE_X32Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y24 PROHIBIT 0 SITEPROP SLICE_X32Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y24 RPM_X 89 SITEPROP SLICE_X32Y24 RPM_Y 48 SITEPROP SLICE_X32Y24 SITE_PIPS SITEPROP SLICE_X32Y24 SITE_TYPE SLICEL SITEPROP SLICE_X32Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y25 CLASS site SITEPROP SLICE_X32Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y25 IS_BONDED 0 SITEPROP SLICE_X32Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y25 IS_PAD 0 SITEPROP SLICE_X32Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y25 IS_RESERVED 0 SITEPROP SLICE_X32Y25 IS_TEST 0 SITEPROP SLICE_X32Y25 IS_USED 0 SITEPROP SLICE_X32Y25 MANUAL_ROUTING SITEPROP SLICE_X32Y25 NAME SLICE_X32Y25 SITEPROP SLICE_X32Y25 NUM_ARCS 138 SITEPROP SLICE_X32Y25 NUM_BELS 32 SITEPROP SLICE_X32Y25 NUM_INPUTS 32 SITEPROP SLICE_X32Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y25 NUM_PINS 45 SITEPROP SLICE_X32Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y25 PROHIBIT 0 SITEPROP SLICE_X32Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y25 RPM_X 89 SITEPROP SLICE_X32Y25 RPM_Y 50 SITEPROP SLICE_X32Y25 SITE_PIPS SITEPROP SLICE_X32Y25 SITE_TYPE SLICEL SITEPROP SLICE_X32Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y26 CLASS site SITEPROP SLICE_X32Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y26 IS_BONDED 0 SITEPROP SLICE_X32Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y26 IS_PAD 0 SITEPROP SLICE_X32Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y26 IS_RESERVED 0 SITEPROP SLICE_X32Y26 IS_TEST 0 SITEPROP SLICE_X32Y26 IS_USED 0 SITEPROP SLICE_X32Y26 MANUAL_ROUTING SITEPROP SLICE_X32Y26 NAME SLICE_X32Y26 SITEPROP SLICE_X32Y26 NUM_ARCS 138 SITEPROP SLICE_X32Y26 NUM_BELS 32 SITEPROP SLICE_X32Y26 NUM_INPUTS 32 SITEPROP SLICE_X32Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y26 NUM_PINS 45 SITEPROP SLICE_X32Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y26 PROHIBIT 0 SITEPROP SLICE_X32Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y26 RPM_X 89 SITEPROP SLICE_X32Y26 RPM_Y 52 SITEPROP SLICE_X32Y26 SITE_PIPS SITEPROP SLICE_X32Y26 SITE_TYPE SLICEL SITEPROP SLICE_X32Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y27 CLASS site SITEPROP SLICE_X32Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y27 IS_BONDED 0 SITEPROP SLICE_X32Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y27 IS_PAD 0 SITEPROP SLICE_X32Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y27 IS_RESERVED 0 SITEPROP SLICE_X32Y27 IS_TEST 0 SITEPROP SLICE_X32Y27 IS_USED 0 SITEPROP SLICE_X32Y27 MANUAL_ROUTING SITEPROP SLICE_X32Y27 NAME SLICE_X32Y27 SITEPROP SLICE_X32Y27 NUM_ARCS 138 SITEPROP SLICE_X32Y27 NUM_BELS 32 SITEPROP SLICE_X32Y27 NUM_INPUTS 32 SITEPROP SLICE_X32Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y27 NUM_PINS 45 SITEPROP SLICE_X32Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y27 PROHIBIT 0 SITEPROP SLICE_X32Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y27 RPM_X 89 SITEPROP SLICE_X32Y27 RPM_Y 54 SITEPROP SLICE_X32Y27 SITE_PIPS SITEPROP SLICE_X32Y27 SITE_TYPE SLICEL SITEPROP SLICE_X32Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y28 CLASS site SITEPROP SLICE_X32Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y28 IS_BONDED 0 SITEPROP SLICE_X32Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y28 IS_PAD 0 SITEPROP SLICE_X32Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y28 IS_RESERVED 0 SITEPROP SLICE_X32Y28 IS_TEST 0 SITEPROP SLICE_X32Y28 IS_USED 0 SITEPROP SLICE_X32Y28 MANUAL_ROUTING SITEPROP SLICE_X32Y28 NAME SLICE_X32Y28 SITEPROP SLICE_X32Y28 NUM_ARCS 138 SITEPROP SLICE_X32Y28 NUM_BELS 32 SITEPROP SLICE_X32Y28 NUM_INPUTS 32 SITEPROP SLICE_X32Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y28 NUM_PINS 45 SITEPROP SLICE_X32Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y28 PROHIBIT 0 SITEPROP SLICE_X32Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y28 RPM_X 89 SITEPROP SLICE_X32Y28 RPM_Y 56 SITEPROP SLICE_X32Y28 SITE_PIPS SITEPROP SLICE_X32Y28 SITE_TYPE SLICEL SITEPROP SLICE_X32Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y29 CLASS site SITEPROP SLICE_X32Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y29 IS_BONDED 0 SITEPROP SLICE_X32Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y29 IS_PAD 0 SITEPROP SLICE_X32Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y29 IS_RESERVED 0 SITEPROP SLICE_X32Y29 IS_TEST 0 SITEPROP SLICE_X32Y29 IS_USED 0 SITEPROP SLICE_X32Y29 MANUAL_ROUTING SITEPROP SLICE_X32Y29 NAME SLICE_X32Y29 SITEPROP SLICE_X32Y29 NUM_ARCS 138 SITEPROP SLICE_X32Y29 NUM_BELS 32 SITEPROP SLICE_X32Y29 NUM_INPUTS 32 SITEPROP SLICE_X32Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y29 NUM_PINS 45 SITEPROP SLICE_X32Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y29 PROHIBIT 0 SITEPROP SLICE_X32Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y29 RPM_X 89 SITEPROP SLICE_X32Y29 RPM_Y 58 SITEPROP SLICE_X32Y29 SITE_PIPS SITEPROP SLICE_X32Y29 SITE_TYPE SLICEL SITEPROP SLICE_X32Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y30 CLASS site SITEPROP SLICE_X32Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y30 IS_BONDED 0 SITEPROP SLICE_X32Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y30 IS_PAD 0 SITEPROP SLICE_X32Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y30 IS_RESERVED 0 SITEPROP SLICE_X32Y30 IS_TEST 0 SITEPROP SLICE_X32Y30 IS_USED 0 SITEPROP SLICE_X32Y30 MANUAL_ROUTING SITEPROP SLICE_X32Y30 NAME SLICE_X32Y30 SITEPROP SLICE_X32Y30 NUM_ARCS 138 SITEPROP SLICE_X32Y30 NUM_BELS 32 SITEPROP SLICE_X32Y30 NUM_INPUTS 32 SITEPROP SLICE_X32Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y30 NUM_PINS 45 SITEPROP SLICE_X32Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y30 PROHIBIT 0 SITEPROP SLICE_X32Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y30 RPM_X 89 SITEPROP SLICE_X32Y30 RPM_Y 60 SITEPROP SLICE_X32Y30 SITE_PIPS SITEPROP SLICE_X32Y30 SITE_TYPE SLICEL SITEPROP SLICE_X32Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y31 CLASS site SITEPROP SLICE_X32Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y31 IS_BONDED 0 SITEPROP SLICE_X32Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y31 IS_PAD 0 SITEPROP SLICE_X32Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y31 IS_RESERVED 0 SITEPROP SLICE_X32Y31 IS_TEST 0 SITEPROP SLICE_X32Y31 IS_USED 0 SITEPROP SLICE_X32Y31 MANUAL_ROUTING SITEPROP SLICE_X32Y31 NAME SLICE_X32Y31 SITEPROP SLICE_X32Y31 NUM_ARCS 138 SITEPROP SLICE_X32Y31 NUM_BELS 32 SITEPROP SLICE_X32Y31 NUM_INPUTS 32 SITEPROP SLICE_X32Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y31 NUM_PINS 45 SITEPROP SLICE_X32Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y31 PROHIBIT 0 SITEPROP SLICE_X32Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y31 RPM_X 89 SITEPROP SLICE_X32Y31 RPM_Y 62 SITEPROP SLICE_X32Y31 SITE_PIPS SITEPROP SLICE_X32Y31 SITE_TYPE SLICEL SITEPROP SLICE_X32Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y32 CLASS site SITEPROP SLICE_X32Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y32 IS_BONDED 0 SITEPROP SLICE_X32Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y32 IS_PAD 0 SITEPROP SLICE_X32Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y32 IS_RESERVED 0 SITEPROP SLICE_X32Y32 IS_TEST 0 SITEPROP SLICE_X32Y32 IS_USED 0 SITEPROP SLICE_X32Y32 MANUAL_ROUTING SITEPROP SLICE_X32Y32 NAME SLICE_X32Y32 SITEPROP SLICE_X32Y32 NUM_ARCS 138 SITEPROP SLICE_X32Y32 NUM_BELS 32 SITEPROP SLICE_X32Y32 NUM_INPUTS 32 SITEPROP SLICE_X32Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y32 NUM_PINS 45 SITEPROP SLICE_X32Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y32 PROHIBIT 0 SITEPROP SLICE_X32Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y32 RPM_X 89 SITEPROP SLICE_X32Y32 RPM_Y 64 SITEPROP SLICE_X32Y32 SITE_PIPS SITEPROP SLICE_X32Y32 SITE_TYPE SLICEL SITEPROP SLICE_X32Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y33 CLASS site SITEPROP SLICE_X32Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y33 IS_BONDED 0 SITEPROP SLICE_X32Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y33 IS_PAD 0 SITEPROP SLICE_X32Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y33 IS_RESERVED 0 SITEPROP SLICE_X32Y33 IS_TEST 0 SITEPROP SLICE_X32Y33 IS_USED 0 SITEPROP SLICE_X32Y33 MANUAL_ROUTING SITEPROP SLICE_X32Y33 NAME SLICE_X32Y33 SITEPROP SLICE_X32Y33 NUM_ARCS 138 SITEPROP SLICE_X32Y33 NUM_BELS 32 SITEPROP SLICE_X32Y33 NUM_INPUTS 32 SITEPROP SLICE_X32Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y33 NUM_PINS 45 SITEPROP SLICE_X32Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y33 PROHIBIT 0 SITEPROP SLICE_X32Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y33 RPM_X 89 SITEPROP SLICE_X32Y33 RPM_Y 66 SITEPROP SLICE_X32Y33 SITE_PIPS SITEPROP SLICE_X32Y33 SITE_TYPE SLICEL SITEPROP SLICE_X32Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y34 CLASS site SITEPROP SLICE_X32Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y34 IS_BONDED 0 SITEPROP SLICE_X32Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y34 IS_PAD 0 SITEPROP SLICE_X32Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y34 IS_RESERVED 0 SITEPROP SLICE_X32Y34 IS_TEST 0 SITEPROP SLICE_X32Y34 IS_USED 0 SITEPROP SLICE_X32Y34 MANUAL_ROUTING SITEPROP SLICE_X32Y34 NAME SLICE_X32Y34 SITEPROP SLICE_X32Y34 NUM_ARCS 138 SITEPROP SLICE_X32Y34 NUM_BELS 32 SITEPROP SLICE_X32Y34 NUM_INPUTS 32 SITEPROP SLICE_X32Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y34 NUM_PINS 45 SITEPROP SLICE_X32Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y34 PROHIBIT 0 SITEPROP SLICE_X32Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y34 RPM_X 89 SITEPROP SLICE_X32Y34 RPM_Y 68 SITEPROP SLICE_X32Y34 SITE_PIPS SITEPROP SLICE_X32Y34 SITE_TYPE SLICEL SITEPROP SLICE_X32Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y35 CLASS site SITEPROP SLICE_X32Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y35 IS_BONDED 0 SITEPROP SLICE_X32Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y35 IS_PAD 0 SITEPROP SLICE_X32Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y35 IS_RESERVED 0 SITEPROP SLICE_X32Y35 IS_TEST 0 SITEPROP SLICE_X32Y35 IS_USED 0 SITEPROP SLICE_X32Y35 MANUAL_ROUTING SITEPROP SLICE_X32Y35 NAME SLICE_X32Y35 SITEPROP SLICE_X32Y35 NUM_ARCS 138 SITEPROP SLICE_X32Y35 NUM_BELS 32 SITEPROP SLICE_X32Y35 NUM_INPUTS 32 SITEPROP SLICE_X32Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y35 NUM_PINS 45 SITEPROP SLICE_X32Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y35 PROHIBIT 0 SITEPROP SLICE_X32Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y35 RPM_X 89 SITEPROP SLICE_X32Y35 RPM_Y 70 SITEPROP SLICE_X32Y35 SITE_PIPS SITEPROP SLICE_X32Y35 SITE_TYPE SLICEL SITEPROP SLICE_X32Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y36 CLASS site SITEPROP SLICE_X32Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y36 IS_BONDED 0 SITEPROP SLICE_X32Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y36 IS_PAD 0 SITEPROP SLICE_X32Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y36 IS_RESERVED 0 SITEPROP SLICE_X32Y36 IS_TEST 0 SITEPROP SLICE_X32Y36 IS_USED 0 SITEPROP SLICE_X32Y36 MANUAL_ROUTING SITEPROP SLICE_X32Y36 NAME SLICE_X32Y36 SITEPROP SLICE_X32Y36 NUM_ARCS 138 SITEPROP SLICE_X32Y36 NUM_BELS 32 SITEPROP SLICE_X32Y36 NUM_INPUTS 32 SITEPROP SLICE_X32Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y36 NUM_PINS 45 SITEPROP SLICE_X32Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y36 PROHIBIT 0 SITEPROP SLICE_X32Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y36 RPM_X 89 SITEPROP SLICE_X32Y36 RPM_Y 72 SITEPROP SLICE_X32Y36 SITE_PIPS SITEPROP SLICE_X32Y36 SITE_TYPE SLICEL SITEPROP SLICE_X32Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y37 CLASS site SITEPROP SLICE_X32Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y37 IS_BONDED 0 SITEPROP SLICE_X32Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y37 IS_PAD 0 SITEPROP SLICE_X32Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y37 IS_RESERVED 0 SITEPROP SLICE_X32Y37 IS_TEST 0 SITEPROP SLICE_X32Y37 IS_USED 0 SITEPROP SLICE_X32Y37 MANUAL_ROUTING SITEPROP SLICE_X32Y37 NAME SLICE_X32Y37 SITEPROP SLICE_X32Y37 NUM_ARCS 138 SITEPROP SLICE_X32Y37 NUM_BELS 32 SITEPROP SLICE_X32Y37 NUM_INPUTS 32 SITEPROP SLICE_X32Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y37 NUM_PINS 45 SITEPROP SLICE_X32Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y37 PROHIBIT 0 SITEPROP SLICE_X32Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y37 RPM_X 89 SITEPROP SLICE_X32Y37 RPM_Y 74 SITEPROP SLICE_X32Y37 SITE_PIPS SITEPROP SLICE_X32Y37 SITE_TYPE SLICEL SITEPROP SLICE_X32Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y38 CLASS site SITEPROP SLICE_X32Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y38 IS_BONDED 0 SITEPROP SLICE_X32Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y38 IS_PAD 0 SITEPROP SLICE_X32Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y38 IS_RESERVED 0 SITEPROP SLICE_X32Y38 IS_TEST 0 SITEPROP SLICE_X32Y38 IS_USED 0 SITEPROP SLICE_X32Y38 MANUAL_ROUTING SITEPROP SLICE_X32Y38 NAME SLICE_X32Y38 SITEPROP SLICE_X32Y38 NUM_ARCS 138 SITEPROP SLICE_X32Y38 NUM_BELS 32 SITEPROP SLICE_X32Y38 NUM_INPUTS 32 SITEPROP SLICE_X32Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y38 NUM_PINS 45 SITEPROP SLICE_X32Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y38 PROHIBIT 0 SITEPROP SLICE_X32Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y38 RPM_X 89 SITEPROP SLICE_X32Y38 RPM_Y 76 SITEPROP SLICE_X32Y38 SITE_PIPS SITEPROP SLICE_X32Y38 SITE_TYPE SLICEL SITEPROP SLICE_X32Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y39 CLASS site SITEPROP SLICE_X32Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y39 IS_BONDED 0 SITEPROP SLICE_X32Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y39 IS_PAD 0 SITEPROP SLICE_X32Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y39 IS_RESERVED 0 SITEPROP SLICE_X32Y39 IS_TEST 0 SITEPROP SLICE_X32Y39 IS_USED 0 SITEPROP SLICE_X32Y39 MANUAL_ROUTING SITEPROP SLICE_X32Y39 NAME SLICE_X32Y39 SITEPROP SLICE_X32Y39 NUM_ARCS 138 SITEPROP SLICE_X32Y39 NUM_BELS 32 SITEPROP SLICE_X32Y39 NUM_INPUTS 32 SITEPROP SLICE_X32Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y39 NUM_PINS 45 SITEPROP SLICE_X32Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y39 PROHIBIT 0 SITEPROP SLICE_X32Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y39 RPM_X 89 SITEPROP SLICE_X32Y39 RPM_Y 78 SITEPROP SLICE_X32Y39 SITE_PIPS SITEPROP SLICE_X32Y39 SITE_TYPE SLICEL SITEPROP SLICE_X32Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y40 CLASS site SITEPROP SLICE_X32Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y40 IS_BONDED 0 SITEPROP SLICE_X32Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y40 IS_PAD 0 SITEPROP SLICE_X32Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y40 IS_RESERVED 0 SITEPROP SLICE_X32Y40 IS_TEST 0 SITEPROP SLICE_X32Y40 IS_USED 0 SITEPROP SLICE_X32Y40 MANUAL_ROUTING SITEPROP SLICE_X32Y40 NAME SLICE_X32Y40 SITEPROP SLICE_X32Y40 NUM_ARCS 138 SITEPROP SLICE_X32Y40 NUM_BELS 32 SITEPROP SLICE_X32Y40 NUM_INPUTS 32 SITEPROP SLICE_X32Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y40 NUM_PINS 45 SITEPROP SLICE_X32Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y40 PROHIBIT 0 SITEPROP SLICE_X32Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y40 RPM_X 89 SITEPROP SLICE_X32Y40 RPM_Y 80 SITEPROP SLICE_X32Y40 SITE_PIPS SITEPROP SLICE_X32Y40 SITE_TYPE SLICEL SITEPROP SLICE_X32Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y41 CLASS site SITEPROP SLICE_X32Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y41 IS_BONDED 0 SITEPROP SLICE_X32Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y41 IS_PAD 0 SITEPROP SLICE_X32Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y41 IS_RESERVED 0 SITEPROP SLICE_X32Y41 IS_TEST 0 SITEPROP SLICE_X32Y41 IS_USED 0 SITEPROP SLICE_X32Y41 MANUAL_ROUTING SITEPROP SLICE_X32Y41 NAME SLICE_X32Y41 SITEPROP SLICE_X32Y41 NUM_ARCS 138 SITEPROP SLICE_X32Y41 NUM_BELS 32 SITEPROP SLICE_X32Y41 NUM_INPUTS 32 SITEPROP SLICE_X32Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y41 NUM_PINS 45 SITEPROP SLICE_X32Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y41 PROHIBIT 0 SITEPROP SLICE_X32Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y41 RPM_X 89 SITEPROP SLICE_X32Y41 RPM_Y 82 SITEPROP SLICE_X32Y41 SITE_PIPS SITEPROP SLICE_X32Y41 SITE_TYPE SLICEL SITEPROP SLICE_X32Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y42 CLASS site SITEPROP SLICE_X32Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y42 IS_BONDED 0 SITEPROP SLICE_X32Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y42 IS_PAD 0 SITEPROP SLICE_X32Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y42 IS_RESERVED 0 SITEPROP SLICE_X32Y42 IS_TEST 0 SITEPROP SLICE_X32Y42 IS_USED 0 SITEPROP SLICE_X32Y42 MANUAL_ROUTING SITEPROP SLICE_X32Y42 NAME SLICE_X32Y42 SITEPROP SLICE_X32Y42 NUM_ARCS 138 SITEPROP SLICE_X32Y42 NUM_BELS 32 SITEPROP SLICE_X32Y42 NUM_INPUTS 32 SITEPROP SLICE_X32Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y42 NUM_PINS 45 SITEPROP SLICE_X32Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y42 PROHIBIT 0 SITEPROP SLICE_X32Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y42 RPM_X 89 SITEPROP SLICE_X32Y42 RPM_Y 84 SITEPROP SLICE_X32Y42 SITE_PIPS SITEPROP SLICE_X32Y42 SITE_TYPE SLICEL SITEPROP SLICE_X32Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y43 CLASS site SITEPROP SLICE_X32Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y43 IS_BONDED 0 SITEPROP SLICE_X32Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y43 IS_PAD 0 SITEPROP SLICE_X32Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y43 IS_RESERVED 0 SITEPROP SLICE_X32Y43 IS_TEST 0 SITEPROP SLICE_X32Y43 IS_USED 0 SITEPROP SLICE_X32Y43 MANUAL_ROUTING SITEPROP SLICE_X32Y43 NAME SLICE_X32Y43 SITEPROP SLICE_X32Y43 NUM_ARCS 138 SITEPROP SLICE_X32Y43 NUM_BELS 32 SITEPROP SLICE_X32Y43 NUM_INPUTS 32 SITEPROP SLICE_X32Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y43 NUM_PINS 45 SITEPROP SLICE_X32Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y43 PROHIBIT 0 SITEPROP SLICE_X32Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y43 RPM_X 89 SITEPROP SLICE_X32Y43 RPM_Y 86 SITEPROP SLICE_X32Y43 SITE_PIPS SITEPROP SLICE_X32Y43 SITE_TYPE SLICEL SITEPROP SLICE_X32Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y44 CLASS site SITEPROP SLICE_X32Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y44 IS_BONDED 0 SITEPROP SLICE_X32Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y44 IS_PAD 0 SITEPROP SLICE_X32Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y44 IS_RESERVED 0 SITEPROP SLICE_X32Y44 IS_TEST 0 SITEPROP SLICE_X32Y44 IS_USED 0 SITEPROP SLICE_X32Y44 MANUAL_ROUTING SITEPROP SLICE_X32Y44 NAME SLICE_X32Y44 SITEPROP SLICE_X32Y44 NUM_ARCS 138 SITEPROP SLICE_X32Y44 NUM_BELS 32 SITEPROP SLICE_X32Y44 NUM_INPUTS 32 SITEPROP SLICE_X32Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y44 NUM_PINS 45 SITEPROP SLICE_X32Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y44 PROHIBIT 0 SITEPROP SLICE_X32Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y44 RPM_X 89 SITEPROP SLICE_X32Y44 RPM_Y 88 SITEPROP SLICE_X32Y44 SITE_PIPS SITEPROP SLICE_X32Y44 SITE_TYPE SLICEL SITEPROP SLICE_X32Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y45 CLASS site SITEPROP SLICE_X32Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y45 IS_BONDED 0 SITEPROP SLICE_X32Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y45 IS_PAD 0 SITEPROP SLICE_X32Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y45 IS_RESERVED 0 SITEPROP SLICE_X32Y45 IS_TEST 0 SITEPROP SLICE_X32Y45 IS_USED 0 SITEPROP SLICE_X32Y45 MANUAL_ROUTING SITEPROP SLICE_X32Y45 NAME SLICE_X32Y45 SITEPROP SLICE_X32Y45 NUM_ARCS 138 SITEPROP SLICE_X32Y45 NUM_BELS 32 SITEPROP SLICE_X32Y45 NUM_INPUTS 32 SITEPROP SLICE_X32Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y45 NUM_PINS 45 SITEPROP SLICE_X32Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y45 PROHIBIT 0 SITEPROP SLICE_X32Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y45 RPM_X 89 SITEPROP SLICE_X32Y45 RPM_Y 90 SITEPROP SLICE_X32Y45 SITE_PIPS SITEPROP SLICE_X32Y45 SITE_TYPE SLICEL SITEPROP SLICE_X32Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y46 CLASS site SITEPROP SLICE_X32Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y46 IS_BONDED 0 SITEPROP SLICE_X32Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y46 IS_PAD 0 SITEPROP SLICE_X32Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y46 IS_RESERVED 0 SITEPROP SLICE_X32Y46 IS_TEST 0 SITEPROP SLICE_X32Y46 IS_USED 0 SITEPROP SLICE_X32Y46 MANUAL_ROUTING SITEPROP SLICE_X32Y46 NAME SLICE_X32Y46 SITEPROP SLICE_X32Y46 NUM_ARCS 138 SITEPROP SLICE_X32Y46 NUM_BELS 32 SITEPROP SLICE_X32Y46 NUM_INPUTS 32 SITEPROP SLICE_X32Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y46 NUM_PINS 45 SITEPROP SLICE_X32Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y46 PROHIBIT 0 SITEPROP SLICE_X32Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y46 RPM_X 89 SITEPROP SLICE_X32Y46 RPM_Y 92 SITEPROP SLICE_X32Y46 SITE_PIPS SITEPROP SLICE_X32Y46 SITE_TYPE SLICEL SITEPROP SLICE_X32Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y47 CLASS site SITEPROP SLICE_X32Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y47 IS_BONDED 0 SITEPROP SLICE_X32Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y47 IS_PAD 0 SITEPROP SLICE_X32Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y47 IS_RESERVED 0 SITEPROP SLICE_X32Y47 IS_TEST 0 SITEPROP SLICE_X32Y47 IS_USED 0 SITEPROP SLICE_X32Y47 MANUAL_ROUTING SITEPROP SLICE_X32Y47 NAME SLICE_X32Y47 SITEPROP SLICE_X32Y47 NUM_ARCS 138 SITEPROP SLICE_X32Y47 NUM_BELS 32 SITEPROP SLICE_X32Y47 NUM_INPUTS 32 SITEPROP SLICE_X32Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y47 NUM_PINS 45 SITEPROP SLICE_X32Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y47 PROHIBIT 0 SITEPROP SLICE_X32Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y47 RPM_X 89 SITEPROP SLICE_X32Y47 RPM_Y 94 SITEPROP SLICE_X32Y47 SITE_PIPS SITEPROP SLICE_X32Y47 SITE_TYPE SLICEL SITEPROP SLICE_X32Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y48 CLASS site SITEPROP SLICE_X32Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y48 IS_BONDED 0 SITEPROP SLICE_X32Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y48 IS_PAD 0 SITEPROP SLICE_X32Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y48 IS_RESERVED 0 SITEPROP SLICE_X32Y48 IS_TEST 0 SITEPROP SLICE_X32Y48 IS_USED 0 SITEPROP SLICE_X32Y48 MANUAL_ROUTING SITEPROP SLICE_X32Y48 NAME SLICE_X32Y48 SITEPROP SLICE_X32Y48 NUM_ARCS 138 SITEPROP SLICE_X32Y48 NUM_BELS 32 SITEPROP SLICE_X32Y48 NUM_INPUTS 32 SITEPROP SLICE_X32Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y48 NUM_PINS 45 SITEPROP SLICE_X32Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y48 PROHIBIT 0 SITEPROP SLICE_X32Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y48 RPM_X 89 SITEPROP SLICE_X32Y48 RPM_Y 96 SITEPROP SLICE_X32Y48 SITE_PIPS SITEPROP SLICE_X32Y48 SITE_TYPE SLICEL SITEPROP SLICE_X32Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y49 CLASS site SITEPROP SLICE_X32Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X32Y49 IS_BONDED 0 SITEPROP SLICE_X32Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y49 IS_PAD 0 SITEPROP SLICE_X32Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y49 IS_RESERVED 0 SITEPROP SLICE_X32Y49 IS_TEST 0 SITEPROP SLICE_X32Y49 IS_USED 0 SITEPROP SLICE_X32Y49 MANUAL_ROUTING SITEPROP SLICE_X32Y49 NAME SLICE_X32Y49 SITEPROP SLICE_X32Y49 NUM_ARCS 138 SITEPROP SLICE_X32Y49 NUM_BELS 32 SITEPROP SLICE_X32Y49 NUM_INPUTS 32 SITEPROP SLICE_X32Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y49 NUM_PINS 45 SITEPROP SLICE_X32Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y49 PROHIBIT 0 SITEPROP SLICE_X32Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y49 RPM_X 89 SITEPROP SLICE_X32Y49 RPM_Y 98 SITEPROP SLICE_X32Y49 SITE_PIPS SITEPROP SLICE_X32Y49 SITE_TYPE SLICEL SITEPROP SLICE_X32Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y50 CLASS site SITEPROP SLICE_X32Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y50 IS_BONDED 0 SITEPROP SLICE_X32Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y50 IS_PAD 0 SITEPROP SLICE_X32Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y50 IS_RESERVED 0 SITEPROP SLICE_X32Y50 IS_TEST 0 SITEPROP SLICE_X32Y50 IS_USED 0 SITEPROP SLICE_X32Y50 MANUAL_ROUTING SITEPROP SLICE_X32Y50 NAME SLICE_X32Y50 SITEPROP SLICE_X32Y50 NUM_ARCS 138 SITEPROP SLICE_X32Y50 NUM_BELS 32 SITEPROP SLICE_X32Y50 NUM_INPUTS 32 SITEPROP SLICE_X32Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y50 NUM_PINS 45 SITEPROP SLICE_X32Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y50 PROHIBIT 0 SITEPROP SLICE_X32Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y50 RPM_X 89 SITEPROP SLICE_X32Y50 RPM_Y 100 SITEPROP SLICE_X32Y50 SITE_PIPS SITEPROP SLICE_X32Y50 SITE_TYPE SLICEL SITEPROP SLICE_X32Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y51 CLASS site SITEPROP SLICE_X32Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y51 IS_BONDED 0 SITEPROP SLICE_X32Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y51 IS_PAD 0 SITEPROP SLICE_X32Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y51 IS_RESERVED 0 SITEPROP SLICE_X32Y51 IS_TEST 0 SITEPROP SLICE_X32Y51 IS_USED 0 SITEPROP SLICE_X32Y51 MANUAL_ROUTING SITEPROP SLICE_X32Y51 NAME SLICE_X32Y51 SITEPROP SLICE_X32Y51 NUM_ARCS 138 SITEPROP SLICE_X32Y51 NUM_BELS 32 SITEPROP SLICE_X32Y51 NUM_INPUTS 32 SITEPROP SLICE_X32Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y51 NUM_PINS 45 SITEPROP SLICE_X32Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y51 PROHIBIT 0 SITEPROP SLICE_X32Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y51 RPM_X 89 SITEPROP SLICE_X32Y51 RPM_Y 102 SITEPROP SLICE_X32Y51 SITE_PIPS SITEPROP SLICE_X32Y51 SITE_TYPE SLICEL SITEPROP SLICE_X32Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y52 CLASS site SITEPROP SLICE_X32Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y52 IS_BONDED 0 SITEPROP SLICE_X32Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y52 IS_PAD 0 SITEPROP SLICE_X32Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y52 IS_RESERVED 0 SITEPROP SLICE_X32Y52 IS_TEST 0 SITEPROP SLICE_X32Y52 IS_USED 0 SITEPROP SLICE_X32Y52 MANUAL_ROUTING SITEPROP SLICE_X32Y52 NAME SLICE_X32Y52 SITEPROP SLICE_X32Y52 NUM_ARCS 138 SITEPROP SLICE_X32Y52 NUM_BELS 32 SITEPROP SLICE_X32Y52 NUM_INPUTS 32 SITEPROP SLICE_X32Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y52 NUM_PINS 45 SITEPROP SLICE_X32Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y52 PROHIBIT 0 SITEPROP SLICE_X32Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y52 RPM_X 89 SITEPROP SLICE_X32Y52 RPM_Y 104 SITEPROP SLICE_X32Y52 SITE_PIPS SITEPROP SLICE_X32Y52 SITE_TYPE SLICEL SITEPROP SLICE_X32Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y53 CLASS site SITEPROP SLICE_X32Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y53 IS_BONDED 0 SITEPROP SLICE_X32Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y53 IS_PAD 0 SITEPROP SLICE_X32Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y53 IS_RESERVED 0 SITEPROP SLICE_X32Y53 IS_TEST 0 SITEPROP SLICE_X32Y53 IS_USED 0 SITEPROP SLICE_X32Y53 MANUAL_ROUTING SITEPROP SLICE_X32Y53 NAME SLICE_X32Y53 SITEPROP SLICE_X32Y53 NUM_ARCS 138 SITEPROP SLICE_X32Y53 NUM_BELS 32 SITEPROP SLICE_X32Y53 NUM_INPUTS 32 SITEPROP SLICE_X32Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y53 NUM_PINS 45 SITEPROP SLICE_X32Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y53 PROHIBIT 0 SITEPROP SLICE_X32Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y53 RPM_X 89 SITEPROP SLICE_X32Y53 RPM_Y 106 SITEPROP SLICE_X32Y53 SITE_PIPS SITEPROP SLICE_X32Y53 SITE_TYPE SLICEL SITEPROP SLICE_X32Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y54 CLASS site SITEPROP SLICE_X32Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y54 IS_BONDED 0 SITEPROP SLICE_X32Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y54 IS_PAD 0 SITEPROP SLICE_X32Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y54 IS_RESERVED 0 SITEPROP SLICE_X32Y54 IS_TEST 0 SITEPROP SLICE_X32Y54 IS_USED 0 SITEPROP SLICE_X32Y54 MANUAL_ROUTING SITEPROP SLICE_X32Y54 NAME SLICE_X32Y54 SITEPROP SLICE_X32Y54 NUM_ARCS 138 SITEPROP SLICE_X32Y54 NUM_BELS 32 SITEPROP SLICE_X32Y54 NUM_INPUTS 32 SITEPROP SLICE_X32Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y54 NUM_PINS 45 SITEPROP SLICE_X32Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y54 PROHIBIT 0 SITEPROP SLICE_X32Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y54 RPM_X 89 SITEPROP SLICE_X32Y54 RPM_Y 108 SITEPROP SLICE_X32Y54 SITE_PIPS SITEPROP SLICE_X32Y54 SITE_TYPE SLICEL SITEPROP SLICE_X32Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y55 CLASS site SITEPROP SLICE_X32Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y55 IS_BONDED 0 SITEPROP SLICE_X32Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y55 IS_PAD 0 SITEPROP SLICE_X32Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y55 IS_RESERVED 0 SITEPROP SLICE_X32Y55 IS_TEST 0 SITEPROP SLICE_X32Y55 IS_USED 0 SITEPROP SLICE_X32Y55 MANUAL_ROUTING SITEPROP SLICE_X32Y55 NAME SLICE_X32Y55 SITEPROP SLICE_X32Y55 NUM_ARCS 138 SITEPROP SLICE_X32Y55 NUM_BELS 32 SITEPROP SLICE_X32Y55 NUM_INPUTS 32 SITEPROP SLICE_X32Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y55 NUM_PINS 45 SITEPROP SLICE_X32Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y55 PROHIBIT 0 SITEPROP SLICE_X32Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y55 RPM_X 89 SITEPROP SLICE_X32Y55 RPM_Y 110 SITEPROP SLICE_X32Y55 SITE_PIPS SITEPROP SLICE_X32Y55 SITE_TYPE SLICEL SITEPROP SLICE_X32Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y56 CLASS site SITEPROP SLICE_X32Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y56 IS_BONDED 0 SITEPROP SLICE_X32Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y56 IS_PAD 0 SITEPROP SLICE_X32Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y56 IS_RESERVED 0 SITEPROP SLICE_X32Y56 IS_TEST 0 SITEPROP SLICE_X32Y56 IS_USED 0 SITEPROP SLICE_X32Y56 MANUAL_ROUTING SITEPROP SLICE_X32Y56 NAME SLICE_X32Y56 SITEPROP SLICE_X32Y56 NUM_ARCS 138 SITEPROP SLICE_X32Y56 NUM_BELS 32 SITEPROP SLICE_X32Y56 NUM_INPUTS 32 SITEPROP SLICE_X32Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y56 NUM_PINS 45 SITEPROP SLICE_X32Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y56 PROHIBIT 0 SITEPROP SLICE_X32Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y56 RPM_X 89 SITEPROP SLICE_X32Y56 RPM_Y 112 SITEPROP SLICE_X32Y56 SITE_PIPS SITEPROP SLICE_X32Y56 SITE_TYPE SLICEL SITEPROP SLICE_X32Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y57 CLASS site SITEPROP SLICE_X32Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y57 IS_BONDED 0 SITEPROP SLICE_X32Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y57 IS_PAD 0 SITEPROP SLICE_X32Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y57 IS_RESERVED 0 SITEPROP SLICE_X32Y57 IS_TEST 0 SITEPROP SLICE_X32Y57 IS_USED 0 SITEPROP SLICE_X32Y57 MANUAL_ROUTING SITEPROP SLICE_X32Y57 NAME SLICE_X32Y57 SITEPROP SLICE_X32Y57 NUM_ARCS 138 SITEPROP SLICE_X32Y57 NUM_BELS 32 SITEPROP SLICE_X32Y57 NUM_INPUTS 32 SITEPROP SLICE_X32Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y57 NUM_PINS 45 SITEPROP SLICE_X32Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y57 PROHIBIT 0 SITEPROP SLICE_X32Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y57 RPM_X 89 SITEPROP SLICE_X32Y57 RPM_Y 114 SITEPROP SLICE_X32Y57 SITE_PIPS SITEPROP SLICE_X32Y57 SITE_TYPE SLICEL SITEPROP SLICE_X32Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y58 CLASS site SITEPROP SLICE_X32Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y58 IS_BONDED 0 SITEPROP SLICE_X32Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y58 IS_PAD 0 SITEPROP SLICE_X32Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y58 IS_RESERVED 0 SITEPROP SLICE_X32Y58 IS_TEST 0 SITEPROP SLICE_X32Y58 IS_USED 0 SITEPROP SLICE_X32Y58 MANUAL_ROUTING SITEPROP SLICE_X32Y58 NAME SLICE_X32Y58 SITEPROP SLICE_X32Y58 NUM_ARCS 138 SITEPROP SLICE_X32Y58 NUM_BELS 32 SITEPROP SLICE_X32Y58 NUM_INPUTS 32 SITEPROP SLICE_X32Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y58 NUM_PINS 45 SITEPROP SLICE_X32Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y58 PROHIBIT 0 SITEPROP SLICE_X32Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y58 RPM_X 89 SITEPROP SLICE_X32Y58 RPM_Y 116 SITEPROP SLICE_X32Y58 SITE_PIPS SITEPROP SLICE_X32Y58 SITE_TYPE SLICEL SITEPROP SLICE_X32Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y59 CLASS site SITEPROP SLICE_X32Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y59 IS_BONDED 0 SITEPROP SLICE_X32Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y59 IS_PAD 0 SITEPROP SLICE_X32Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y59 IS_RESERVED 0 SITEPROP SLICE_X32Y59 IS_TEST 0 SITEPROP SLICE_X32Y59 IS_USED 0 SITEPROP SLICE_X32Y59 MANUAL_ROUTING SITEPROP SLICE_X32Y59 NAME SLICE_X32Y59 SITEPROP SLICE_X32Y59 NUM_ARCS 138 SITEPROP SLICE_X32Y59 NUM_BELS 32 SITEPROP SLICE_X32Y59 NUM_INPUTS 32 SITEPROP SLICE_X32Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y59 NUM_PINS 45 SITEPROP SLICE_X32Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y59 PROHIBIT 0 SITEPROP SLICE_X32Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y59 RPM_X 89 SITEPROP SLICE_X32Y59 RPM_Y 118 SITEPROP SLICE_X32Y59 SITE_PIPS SITEPROP SLICE_X32Y59 SITE_TYPE SLICEL SITEPROP SLICE_X32Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y60 CLASS site SITEPROP SLICE_X32Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y60 IS_BONDED 0 SITEPROP SLICE_X32Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y60 IS_PAD 0 SITEPROP SLICE_X32Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y60 IS_RESERVED 0 SITEPROP SLICE_X32Y60 IS_TEST 0 SITEPROP SLICE_X32Y60 IS_USED 0 SITEPROP SLICE_X32Y60 MANUAL_ROUTING SITEPROP SLICE_X32Y60 NAME SLICE_X32Y60 SITEPROP SLICE_X32Y60 NUM_ARCS 138 SITEPROP SLICE_X32Y60 NUM_BELS 32 SITEPROP SLICE_X32Y60 NUM_INPUTS 32 SITEPROP SLICE_X32Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y60 NUM_PINS 45 SITEPROP SLICE_X32Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y60 PROHIBIT 0 SITEPROP SLICE_X32Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y60 RPM_X 89 SITEPROP SLICE_X32Y60 RPM_Y 120 SITEPROP SLICE_X32Y60 SITE_PIPS SITEPROP SLICE_X32Y60 SITE_TYPE SLICEL SITEPROP SLICE_X32Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y61 CLASS site SITEPROP SLICE_X32Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y61 IS_BONDED 0 SITEPROP SLICE_X32Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y61 IS_PAD 0 SITEPROP SLICE_X32Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y61 IS_RESERVED 0 SITEPROP SLICE_X32Y61 IS_TEST 0 SITEPROP SLICE_X32Y61 IS_USED 0 SITEPROP SLICE_X32Y61 MANUAL_ROUTING SITEPROP SLICE_X32Y61 NAME SLICE_X32Y61 SITEPROP SLICE_X32Y61 NUM_ARCS 138 SITEPROP SLICE_X32Y61 NUM_BELS 32 SITEPROP SLICE_X32Y61 NUM_INPUTS 32 SITEPROP SLICE_X32Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y61 NUM_PINS 45 SITEPROP SLICE_X32Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y61 PROHIBIT 0 SITEPROP SLICE_X32Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y61 RPM_X 89 SITEPROP SLICE_X32Y61 RPM_Y 122 SITEPROP SLICE_X32Y61 SITE_PIPS SITEPROP SLICE_X32Y61 SITE_TYPE SLICEL SITEPROP SLICE_X32Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y62 CLASS site SITEPROP SLICE_X32Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y62 IS_BONDED 0 SITEPROP SLICE_X32Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y62 IS_PAD 0 SITEPROP SLICE_X32Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y62 IS_RESERVED 0 SITEPROP SLICE_X32Y62 IS_TEST 0 SITEPROP SLICE_X32Y62 IS_USED 0 SITEPROP SLICE_X32Y62 MANUAL_ROUTING SITEPROP SLICE_X32Y62 NAME SLICE_X32Y62 SITEPROP SLICE_X32Y62 NUM_ARCS 138 SITEPROP SLICE_X32Y62 NUM_BELS 32 SITEPROP SLICE_X32Y62 NUM_INPUTS 32 SITEPROP SLICE_X32Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y62 NUM_PINS 45 SITEPROP SLICE_X32Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y62 PROHIBIT 0 SITEPROP SLICE_X32Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y62 RPM_X 89 SITEPROP SLICE_X32Y62 RPM_Y 124 SITEPROP SLICE_X32Y62 SITE_PIPS SITEPROP SLICE_X32Y62 SITE_TYPE SLICEL SITEPROP SLICE_X32Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y63 CLASS site SITEPROP SLICE_X32Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y63 IS_BONDED 0 SITEPROP SLICE_X32Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y63 IS_PAD 0 SITEPROP SLICE_X32Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y63 IS_RESERVED 0 SITEPROP SLICE_X32Y63 IS_TEST 0 SITEPROP SLICE_X32Y63 IS_USED 0 SITEPROP SLICE_X32Y63 MANUAL_ROUTING SITEPROP SLICE_X32Y63 NAME SLICE_X32Y63 SITEPROP SLICE_X32Y63 NUM_ARCS 138 SITEPROP SLICE_X32Y63 NUM_BELS 32 SITEPROP SLICE_X32Y63 NUM_INPUTS 32 SITEPROP SLICE_X32Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y63 NUM_PINS 45 SITEPROP SLICE_X32Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y63 PROHIBIT 0 SITEPROP SLICE_X32Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y63 RPM_X 89 SITEPROP SLICE_X32Y63 RPM_Y 126 SITEPROP SLICE_X32Y63 SITE_PIPS SITEPROP SLICE_X32Y63 SITE_TYPE SLICEL SITEPROP SLICE_X32Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y64 CLASS site SITEPROP SLICE_X32Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y64 IS_BONDED 0 SITEPROP SLICE_X32Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y64 IS_PAD 0 SITEPROP SLICE_X32Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y64 IS_RESERVED 0 SITEPROP SLICE_X32Y64 IS_TEST 0 SITEPROP SLICE_X32Y64 IS_USED 0 SITEPROP SLICE_X32Y64 MANUAL_ROUTING SITEPROP SLICE_X32Y64 NAME SLICE_X32Y64 SITEPROP SLICE_X32Y64 NUM_ARCS 138 SITEPROP SLICE_X32Y64 NUM_BELS 32 SITEPROP SLICE_X32Y64 NUM_INPUTS 32 SITEPROP SLICE_X32Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y64 NUM_PINS 45 SITEPROP SLICE_X32Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y64 PROHIBIT 0 SITEPROP SLICE_X32Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y64 RPM_X 89 SITEPROP SLICE_X32Y64 RPM_Y 128 SITEPROP SLICE_X32Y64 SITE_PIPS SITEPROP SLICE_X32Y64 SITE_TYPE SLICEL SITEPROP SLICE_X32Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y65 CLASS site SITEPROP SLICE_X32Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y65 IS_BONDED 0 SITEPROP SLICE_X32Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y65 IS_PAD 0 SITEPROP SLICE_X32Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y65 IS_RESERVED 0 SITEPROP SLICE_X32Y65 IS_TEST 0 SITEPROP SLICE_X32Y65 IS_USED 0 SITEPROP SLICE_X32Y65 MANUAL_ROUTING SITEPROP SLICE_X32Y65 NAME SLICE_X32Y65 SITEPROP SLICE_X32Y65 NUM_ARCS 138 SITEPROP SLICE_X32Y65 NUM_BELS 32 SITEPROP SLICE_X32Y65 NUM_INPUTS 32 SITEPROP SLICE_X32Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y65 NUM_PINS 45 SITEPROP SLICE_X32Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y65 PROHIBIT 0 SITEPROP SLICE_X32Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y65 RPM_X 89 SITEPROP SLICE_X32Y65 RPM_Y 130 SITEPROP SLICE_X32Y65 SITE_PIPS SITEPROP SLICE_X32Y65 SITE_TYPE SLICEL SITEPROP SLICE_X32Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y66 CLASS site SITEPROP SLICE_X32Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y66 IS_BONDED 0 SITEPROP SLICE_X32Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y66 IS_PAD 0 SITEPROP SLICE_X32Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y66 IS_RESERVED 0 SITEPROP SLICE_X32Y66 IS_TEST 0 SITEPROP SLICE_X32Y66 IS_USED 0 SITEPROP SLICE_X32Y66 MANUAL_ROUTING SITEPROP SLICE_X32Y66 NAME SLICE_X32Y66 SITEPROP SLICE_X32Y66 NUM_ARCS 138 SITEPROP SLICE_X32Y66 NUM_BELS 32 SITEPROP SLICE_X32Y66 NUM_INPUTS 32 SITEPROP SLICE_X32Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y66 NUM_PINS 45 SITEPROP SLICE_X32Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y66 PROHIBIT 0 SITEPROP SLICE_X32Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y66 RPM_X 89 SITEPROP SLICE_X32Y66 RPM_Y 132 SITEPROP SLICE_X32Y66 SITE_PIPS SITEPROP SLICE_X32Y66 SITE_TYPE SLICEL SITEPROP SLICE_X32Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y67 CLASS site SITEPROP SLICE_X32Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y67 IS_BONDED 0 SITEPROP SLICE_X32Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y67 IS_PAD 0 SITEPROP SLICE_X32Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y67 IS_RESERVED 0 SITEPROP SLICE_X32Y67 IS_TEST 0 SITEPROP SLICE_X32Y67 IS_USED 0 SITEPROP SLICE_X32Y67 MANUAL_ROUTING SITEPROP SLICE_X32Y67 NAME SLICE_X32Y67 SITEPROP SLICE_X32Y67 NUM_ARCS 138 SITEPROP SLICE_X32Y67 NUM_BELS 32 SITEPROP SLICE_X32Y67 NUM_INPUTS 32 SITEPROP SLICE_X32Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y67 NUM_PINS 45 SITEPROP SLICE_X32Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y67 PROHIBIT 0 SITEPROP SLICE_X32Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y67 RPM_X 89 SITEPROP SLICE_X32Y67 RPM_Y 134 SITEPROP SLICE_X32Y67 SITE_PIPS SITEPROP SLICE_X32Y67 SITE_TYPE SLICEL SITEPROP SLICE_X32Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y68 CLASS site SITEPROP SLICE_X32Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y68 IS_BONDED 0 SITEPROP SLICE_X32Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y68 IS_PAD 0 SITEPROP SLICE_X32Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y68 IS_RESERVED 0 SITEPROP SLICE_X32Y68 IS_TEST 0 SITEPROP SLICE_X32Y68 IS_USED 0 SITEPROP SLICE_X32Y68 MANUAL_ROUTING SITEPROP SLICE_X32Y68 NAME SLICE_X32Y68 SITEPROP SLICE_X32Y68 NUM_ARCS 138 SITEPROP SLICE_X32Y68 NUM_BELS 32 SITEPROP SLICE_X32Y68 NUM_INPUTS 32 SITEPROP SLICE_X32Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y68 NUM_PINS 45 SITEPROP SLICE_X32Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y68 PROHIBIT 0 SITEPROP SLICE_X32Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y68 RPM_X 89 SITEPROP SLICE_X32Y68 RPM_Y 136 SITEPROP SLICE_X32Y68 SITE_PIPS SITEPROP SLICE_X32Y68 SITE_TYPE SLICEL SITEPROP SLICE_X32Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y69 CLASS site SITEPROP SLICE_X32Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y69 IS_BONDED 0 SITEPROP SLICE_X32Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y69 IS_PAD 0 SITEPROP SLICE_X32Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y69 IS_RESERVED 0 SITEPROP SLICE_X32Y69 IS_TEST 0 SITEPROP SLICE_X32Y69 IS_USED 0 SITEPROP SLICE_X32Y69 MANUAL_ROUTING SITEPROP SLICE_X32Y69 NAME SLICE_X32Y69 SITEPROP SLICE_X32Y69 NUM_ARCS 138 SITEPROP SLICE_X32Y69 NUM_BELS 32 SITEPROP SLICE_X32Y69 NUM_INPUTS 32 SITEPROP SLICE_X32Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y69 NUM_PINS 45 SITEPROP SLICE_X32Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y69 PROHIBIT 0 SITEPROP SLICE_X32Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y69 RPM_X 89 SITEPROP SLICE_X32Y69 RPM_Y 138 SITEPROP SLICE_X32Y69 SITE_PIPS SITEPROP SLICE_X32Y69 SITE_TYPE SLICEL SITEPROP SLICE_X32Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y70 CLASS site SITEPROP SLICE_X32Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y70 IS_BONDED 0 SITEPROP SLICE_X32Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y70 IS_PAD 0 SITEPROP SLICE_X32Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y70 IS_RESERVED 0 SITEPROP SLICE_X32Y70 IS_TEST 0 SITEPROP SLICE_X32Y70 IS_USED 0 SITEPROP SLICE_X32Y70 MANUAL_ROUTING SITEPROP SLICE_X32Y70 NAME SLICE_X32Y70 SITEPROP SLICE_X32Y70 NUM_ARCS 138 SITEPROP SLICE_X32Y70 NUM_BELS 32 SITEPROP SLICE_X32Y70 NUM_INPUTS 32 SITEPROP SLICE_X32Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y70 NUM_PINS 45 SITEPROP SLICE_X32Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y70 PROHIBIT 0 SITEPROP SLICE_X32Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y70 RPM_X 89 SITEPROP SLICE_X32Y70 RPM_Y 140 SITEPROP SLICE_X32Y70 SITE_PIPS SITEPROP SLICE_X32Y70 SITE_TYPE SLICEL SITEPROP SLICE_X32Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y71 CLASS site SITEPROP SLICE_X32Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y71 IS_BONDED 0 SITEPROP SLICE_X32Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y71 IS_PAD 0 SITEPROP SLICE_X32Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y71 IS_RESERVED 0 SITEPROP SLICE_X32Y71 IS_TEST 0 SITEPROP SLICE_X32Y71 IS_USED 0 SITEPROP SLICE_X32Y71 MANUAL_ROUTING SITEPROP SLICE_X32Y71 NAME SLICE_X32Y71 SITEPROP SLICE_X32Y71 NUM_ARCS 138 SITEPROP SLICE_X32Y71 NUM_BELS 32 SITEPROP SLICE_X32Y71 NUM_INPUTS 32 SITEPROP SLICE_X32Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y71 NUM_PINS 45 SITEPROP SLICE_X32Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y71 PROHIBIT 0 SITEPROP SLICE_X32Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y71 RPM_X 89 SITEPROP SLICE_X32Y71 RPM_Y 142 SITEPROP SLICE_X32Y71 SITE_PIPS SITEPROP SLICE_X32Y71 SITE_TYPE SLICEL SITEPROP SLICE_X32Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y72 CLASS site SITEPROP SLICE_X32Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y72 IS_BONDED 0 SITEPROP SLICE_X32Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y72 IS_PAD 0 SITEPROP SLICE_X32Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y72 IS_RESERVED 0 SITEPROP SLICE_X32Y72 IS_TEST 0 SITEPROP SLICE_X32Y72 IS_USED 0 SITEPROP SLICE_X32Y72 MANUAL_ROUTING SITEPROP SLICE_X32Y72 NAME SLICE_X32Y72 SITEPROP SLICE_X32Y72 NUM_ARCS 138 SITEPROP SLICE_X32Y72 NUM_BELS 32 SITEPROP SLICE_X32Y72 NUM_INPUTS 32 SITEPROP SLICE_X32Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y72 NUM_PINS 45 SITEPROP SLICE_X32Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y72 PROHIBIT 0 SITEPROP SLICE_X32Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y72 RPM_X 89 SITEPROP SLICE_X32Y72 RPM_Y 144 SITEPROP SLICE_X32Y72 SITE_PIPS SITEPROP SLICE_X32Y72 SITE_TYPE SLICEL SITEPROP SLICE_X32Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y73 CLASS site SITEPROP SLICE_X32Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y73 IS_BONDED 0 SITEPROP SLICE_X32Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y73 IS_PAD 0 SITEPROP SLICE_X32Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y73 IS_RESERVED 0 SITEPROP SLICE_X32Y73 IS_TEST 0 SITEPROP SLICE_X32Y73 IS_USED 0 SITEPROP SLICE_X32Y73 MANUAL_ROUTING SITEPROP SLICE_X32Y73 NAME SLICE_X32Y73 SITEPROP SLICE_X32Y73 NUM_ARCS 138 SITEPROP SLICE_X32Y73 NUM_BELS 32 SITEPROP SLICE_X32Y73 NUM_INPUTS 32 SITEPROP SLICE_X32Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y73 NUM_PINS 45 SITEPROP SLICE_X32Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y73 PROHIBIT 0 SITEPROP SLICE_X32Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y73 RPM_X 89 SITEPROP SLICE_X32Y73 RPM_Y 146 SITEPROP SLICE_X32Y73 SITE_PIPS SITEPROP SLICE_X32Y73 SITE_TYPE SLICEL SITEPROP SLICE_X32Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y74 CLASS site SITEPROP SLICE_X32Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y74 IS_BONDED 0 SITEPROP SLICE_X32Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y74 IS_PAD 0 SITEPROP SLICE_X32Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y74 IS_RESERVED 0 SITEPROP SLICE_X32Y74 IS_TEST 0 SITEPROP SLICE_X32Y74 IS_USED 0 SITEPROP SLICE_X32Y74 MANUAL_ROUTING SITEPROP SLICE_X32Y74 NAME SLICE_X32Y74 SITEPROP SLICE_X32Y74 NUM_ARCS 138 SITEPROP SLICE_X32Y74 NUM_BELS 32 SITEPROP SLICE_X32Y74 NUM_INPUTS 32 SITEPROP SLICE_X32Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y74 NUM_PINS 45 SITEPROP SLICE_X32Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y74 PROHIBIT 0 SITEPROP SLICE_X32Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y74 RPM_X 89 SITEPROP SLICE_X32Y74 RPM_Y 148 SITEPROP SLICE_X32Y74 SITE_PIPS SITEPROP SLICE_X32Y74 SITE_TYPE SLICEL SITEPROP SLICE_X32Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y75 CLASS site SITEPROP SLICE_X32Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y75 IS_BONDED 0 SITEPROP SLICE_X32Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y75 IS_PAD 0 SITEPROP SLICE_X32Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y75 IS_RESERVED 0 SITEPROP SLICE_X32Y75 IS_TEST 0 SITEPROP SLICE_X32Y75 IS_USED 0 SITEPROP SLICE_X32Y75 MANUAL_ROUTING SITEPROP SLICE_X32Y75 NAME SLICE_X32Y75 SITEPROP SLICE_X32Y75 NUM_ARCS 138 SITEPROP SLICE_X32Y75 NUM_BELS 32 SITEPROP SLICE_X32Y75 NUM_INPUTS 32 SITEPROP SLICE_X32Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y75 NUM_PINS 45 SITEPROP SLICE_X32Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y75 PROHIBIT 0 SITEPROP SLICE_X32Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y75 RPM_X 89 SITEPROP SLICE_X32Y75 RPM_Y 150 SITEPROP SLICE_X32Y75 SITE_PIPS SITEPROP SLICE_X32Y75 SITE_TYPE SLICEL SITEPROP SLICE_X32Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y76 CLASS site SITEPROP SLICE_X32Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y76 IS_BONDED 0 SITEPROP SLICE_X32Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y76 IS_PAD 0 SITEPROP SLICE_X32Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y76 IS_RESERVED 0 SITEPROP SLICE_X32Y76 IS_TEST 0 SITEPROP SLICE_X32Y76 IS_USED 0 SITEPROP SLICE_X32Y76 MANUAL_ROUTING SITEPROP SLICE_X32Y76 NAME SLICE_X32Y76 SITEPROP SLICE_X32Y76 NUM_ARCS 138 SITEPROP SLICE_X32Y76 NUM_BELS 32 SITEPROP SLICE_X32Y76 NUM_INPUTS 32 SITEPROP SLICE_X32Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y76 NUM_PINS 45 SITEPROP SLICE_X32Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y76 PROHIBIT 0 SITEPROP SLICE_X32Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y76 RPM_X 89 SITEPROP SLICE_X32Y76 RPM_Y 152 SITEPROP SLICE_X32Y76 SITE_PIPS SITEPROP SLICE_X32Y76 SITE_TYPE SLICEL SITEPROP SLICE_X32Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y77 CLASS site SITEPROP SLICE_X32Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y77 IS_BONDED 0 SITEPROP SLICE_X32Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y77 IS_PAD 0 SITEPROP SLICE_X32Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y77 IS_RESERVED 0 SITEPROP SLICE_X32Y77 IS_TEST 0 SITEPROP SLICE_X32Y77 IS_USED 0 SITEPROP SLICE_X32Y77 MANUAL_ROUTING SITEPROP SLICE_X32Y77 NAME SLICE_X32Y77 SITEPROP SLICE_X32Y77 NUM_ARCS 138 SITEPROP SLICE_X32Y77 NUM_BELS 32 SITEPROP SLICE_X32Y77 NUM_INPUTS 32 SITEPROP SLICE_X32Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y77 NUM_PINS 45 SITEPROP SLICE_X32Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y77 PROHIBIT 0 SITEPROP SLICE_X32Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y77 RPM_X 89 SITEPROP SLICE_X32Y77 RPM_Y 154 SITEPROP SLICE_X32Y77 SITE_PIPS SITEPROP SLICE_X32Y77 SITE_TYPE SLICEL SITEPROP SLICE_X32Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y78 CLASS site SITEPROP SLICE_X32Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y78 IS_BONDED 0 SITEPROP SLICE_X32Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y78 IS_PAD 0 SITEPROP SLICE_X32Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y78 IS_RESERVED 0 SITEPROP SLICE_X32Y78 IS_TEST 0 SITEPROP SLICE_X32Y78 IS_USED 0 SITEPROP SLICE_X32Y78 MANUAL_ROUTING SITEPROP SLICE_X32Y78 NAME SLICE_X32Y78 SITEPROP SLICE_X32Y78 NUM_ARCS 138 SITEPROP SLICE_X32Y78 NUM_BELS 32 SITEPROP SLICE_X32Y78 NUM_INPUTS 32 SITEPROP SLICE_X32Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y78 NUM_PINS 45 SITEPROP SLICE_X32Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y78 PROHIBIT 0 SITEPROP SLICE_X32Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y78 RPM_X 89 SITEPROP SLICE_X32Y78 RPM_Y 156 SITEPROP SLICE_X32Y78 SITE_PIPS SITEPROP SLICE_X32Y78 SITE_TYPE SLICEL SITEPROP SLICE_X32Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y79 CLASS site SITEPROP SLICE_X32Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y79 IS_BONDED 0 SITEPROP SLICE_X32Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y79 IS_PAD 0 SITEPROP SLICE_X32Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y79 IS_RESERVED 0 SITEPROP SLICE_X32Y79 IS_TEST 0 SITEPROP SLICE_X32Y79 IS_USED 0 SITEPROP SLICE_X32Y79 MANUAL_ROUTING SITEPROP SLICE_X32Y79 NAME SLICE_X32Y79 SITEPROP SLICE_X32Y79 NUM_ARCS 138 SITEPROP SLICE_X32Y79 NUM_BELS 32 SITEPROP SLICE_X32Y79 NUM_INPUTS 32 SITEPROP SLICE_X32Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y79 NUM_PINS 45 SITEPROP SLICE_X32Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y79 PROHIBIT 0 SITEPROP SLICE_X32Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y79 RPM_X 89 SITEPROP SLICE_X32Y79 RPM_Y 158 SITEPROP SLICE_X32Y79 SITE_PIPS SITEPROP SLICE_X32Y79 SITE_TYPE SLICEL SITEPROP SLICE_X32Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y80 CLASS site SITEPROP SLICE_X32Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y80 IS_BONDED 0 SITEPROP SLICE_X32Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y80 IS_PAD 0 SITEPROP SLICE_X32Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y80 IS_RESERVED 0 SITEPROP SLICE_X32Y80 IS_TEST 0 SITEPROP SLICE_X32Y80 IS_USED 0 SITEPROP SLICE_X32Y80 MANUAL_ROUTING SITEPROP SLICE_X32Y80 NAME SLICE_X32Y80 SITEPROP SLICE_X32Y80 NUM_ARCS 138 SITEPROP SLICE_X32Y80 NUM_BELS 32 SITEPROP SLICE_X32Y80 NUM_INPUTS 32 SITEPROP SLICE_X32Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y80 NUM_PINS 45 SITEPROP SLICE_X32Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y80 PROHIBIT 0 SITEPROP SLICE_X32Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y80 RPM_X 89 SITEPROP SLICE_X32Y80 RPM_Y 160 SITEPROP SLICE_X32Y80 SITE_PIPS SITEPROP SLICE_X32Y80 SITE_TYPE SLICEL SITEPROP SLICE_X32Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y81 CLASS site SITEPROP SLICE_X32Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y81 IS_BONDED 0 SITEPROP SLICE_X32Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y81 IS_PAD 0 SITEPROP SLICE_X32Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y81 IS_RESERVED 0 SITEPROP SLICE_X32Y81 IS_TEST 0 SITEPROP SLICE_X32Y81 IS_USED 0 SITEPROP SLICE_X32Y81 MANUAL_ROUTING SITEPROP SLICE_X32Y81 NAME SLICE_X32Y81 SITEPROP SLICE_X32Y81 NUM_ARCS 138 SITEPROP SLICE_X32Y81 NUM_BELS 32 SITEPROP SLICE_X32Y81 NUM_INPUTS 32 SITEPROP SLICE_X32Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y81 NUM_PINS 45 SITEPROP SLICE_X32Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y81 PROHIBIT 0 SITEPROP SLICE_X32Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y81 RPM_X 89 SITEPROP SLICE_X32Y81 RPM_Y 162 SITEPROP SLICE_X32Y81 SITE_PIPS SITEPROP SLICE_X32Y81 SITE_TYPE SLICEL SITEPROP SLICE_X32Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y82 CLASS site SITEPROP SLICE_X32Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y82 IS_BONDED 0 SITEPROP SLICE_X32Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y82 IS_PAD 0 SITEPROP SLICE_X32Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y82 IS_RESERVED 0 SITEPROP SLICE_X32Y82 IS_TEST 0 SITEPROP SLICE_X32Y82 IS_USED 0 SITEPROP SLICE_X32Y82 MANUAL_ROUTING SITEPROP SLICE_X32Y82 NAME SLICE_X32Y82 SITEPROP SLICE_X32Y82 NUM_ARCS 138 SITEPROP SLICE_X32Y82 NUM_BELS 32 SITEPROP SLICE_X32Y82 NUM_INPUTS 32 SITEPROP SLICE_X32Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y82 NUM_PINS 45 SITEPROP SLICE_X32Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y82 PROHIBIT 0 SITEPROP SLICE_X32Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y82 RPM_X 89 SITEPROP SLICE_X32Y82 RPM_Y 164 SITEPROP SLICE_X32Y82 SITE_PIPS SITEPROP SLICE_X32Y82 SITE_TYPE SLICEL SITEPROP SLICE_X32Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y83 CLASS site SITEPROP SLICE_X32Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y83 IS_BONDED 0 SITEPROP SLICE_X32Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y83 IS_PAD 0 SITEPROP SLICE_X32Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y83 IS_RESERVED 0 SITEPROP SLICE_X32Y83 IS_TEST 0 SITEPROP SLICE_X32Y83 IS_USED 0 SITEPROP SLICE_X32Y83 MANUAL_ROUTING SITEPROP SLICE_X32Y83 NAME SLICE_X32Y83 SITEPROP SLICE_X32Y83 NUM_ARCS 138 SITEPROP SLICE_X32Y83 NUM_BELS 32 SITEPROP SLICE_X32Y83 NUM_INPUTS 32 SITEPROP SLICE_X32Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y83 NUM_PINS 45 SITEPROP SLICE_X32Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y83 PROHIBIT 0 SITEPROP SLICE_X32Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y83 RPM_X 89 SITEPROP SLICE_X32Y83 RPM_Y 166 SITEPROP SLICE_X32Y83 SITE_PIPS SITEPROP SLICE_X32Y83 SITE_TYPE SLICEL SITEPROP SLICE_X32Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y84 CLASS site SITEPROP SLICE_X32Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y84 IS_BONDED 0 SITEPROP SLICE_X32Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y84 IS_PAD 0 SITEPROP SLICE_X32Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y84 IS_RESERVED 0 SITEPROP SLICE_X32Y84 IS_TEST 0 SITEPROP SLICE_X32Y84 IS_USED 0 SITEPROP SLICE_X32Y84 MANUAL_ROUTING SITEPROP SLICE_X32Y84 NAME SLICE_X32Y84 SITEPROP SLICE_X32Y84 NUM_ARCS 138 SITEPROP SLICE_X32Y84 NUM_BELS 32 SITEPROP SLICE_X32Y84 NUM_INPUTS 32 SITEPROP SLICE_X32Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y84 NUM_PINS 45 SITEPROP SLICE_X32Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y84 PROHIBIT 0 SITEPROP SLICE_X32Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y84 RPM_X 89 SITEPROP SLICE_X32Y84 RPM_Y 168 SITEPROP SLICE_X32Y84 SITE_PIPS SITEPROP SLICE_X32Y84 SITE_TYPE SLICEL SITEPROP SLICE_X32Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y85 CLASS site SITEPROP SLICE_X32Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y85 IS_BONDED 0 SITEPROP SLICE_X32Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y85 IS_PAD 0 SITEPROP SLICE_X32Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y85 IS_RESERVED 0 SITEPROP SLICE_X32Y85 IS_TEST 0 SITEPROP SLICE_X32Y85 IS_USED 0 SITEPROP SLICE_X32Y85 MANUAL_ROUTING SITEPROP SLICE_X32Y85 NAME SLICE_X32Y85 SITEPROP SLICE_X32Y85 NUM_ARCS 138 SITEPROP SLICE_X32Y85 NUM_BELS 32 SITEPROP SLICE_X32Y85 NUM_INPUTS 32 SITEPROP SLICE_X32Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y85 NUM_PINS 45 SITEPROP SLICE_X32Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y85 PROHIBIT 0 SITEPROP SLICE_X32Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y85 RPM_X 89 SITEPROP SLICE_X32Y85 RPM_Y 170 SITEPROP SLICE_X32Y85 SITE_PIPS SITEPROP SLICE_X32Y85 SITE_TYPE SLICEL SITEPROP SLICE_X32Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y86 CLASS site SITEPROP SLICE_X32Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y86 IS_BONDED 0 SITEPROP SLICE_X32Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y86 IS_PAD 0 SITEPROP SLICE_X32Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y86 IS_RESERVED 0 SITEPROP SLICE_X32Y86 IS_TEST 0 SITEPROP SLICE_X32Y86 IS_USED 0 SITEPROP SLICE_X32Y86 MANUAL_ROUTING SITEPROP SLICE_X32Y86 NAME SLICE_X32Y86 SITEPROP SLICE_X32Y86 NUM_ARCS 138 SITEPROP SLICE_X32Y86 NUM_BELS 32 SITEPROP SLICE_X32Y86 NUM_INPUTS 32 SITEPROP SLICE_X32Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y86 NUM_PINS 45 SITEPROP SLICE_X32Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y86 PROHIBIT 0 SITEPROP SLICE_X32Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y86 RPM_X 89 SITEPROP SLICE_X32Y86 RPM_Y 172 SITEPROP SLICE_X32Y86 SITE_PIPS SITEPROP SLICE_X32Y86 SITE_TYPE SLICEL SITEPROP SLICE_X32Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y87 CLASS site SITEPROP SLICE_X32Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y87 IS_BONDED 0 SITEPROP SLICE_X32Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y87 IS_PAD 0 SITEPROP SLICE_X32Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y87 IS_RESERVED 0 SITEPROP SLICE_X32Y87 IS_TEST 0 SITEPROP SLICE_X32Y87 IS_USED 0 SITEPROP SLICE_X32Y87 MANUAL_ROUTING SITEPROP SLICE_X32Y87 NAME SLICE_X32Y87 SITEPROP SLICE_X32Y87 NUM_ARCS 138 SITEPROP SLICE_X32Y87 NUM_BELS 32 SITEPROP SLICE_X32Y87 NUM_INPUTS 32 SITEPROP SLICE_X32Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y87 NUM_PINS 45 SITEPROP SLICE_X32Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y87 PROHIBIT 0 SITEPROP SLICE_X32Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y87 RPM_X 89 SITEPROP SLICE_X32Y87 RPM_Y 174 SITEPROP SLICE_X32Y87 SITE_PIPS SITEPROP SLICE_X32Y87 SITE_TYPE SLICEL SITEPROP SLICE_X32Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y88 CLASS site SITEPROP SLICE_X32Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y88 IS_BONDED 0 SITEPROP SLICE_X32Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y88 IS_PAD 0 SITEPROP SLICE_X32Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y88 IS_RESERVED 0 SITEPROP SLICE_X32Y88 IS_TEST 0 SITEPROP SLICE_X32Y88 IS_USED 0 SITEPROP SLICE_X32Y88 MANUAL_ROUTING SITEPROP SLICE_X32Y88 NAME SLICE_X32Y88 SITEPROP SLICE_X32Y88 NUM_ARCS 138 SITEPROP SLICE_X32Y88 NUM_BELS 32 SITEPROP SLICE_X32Y88 NUM_INPUTS 32 SITEPROP SLICE_X32Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y88 NUM_PINS 45 SITEPROP SLICE_X32Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y88 PROHIBIT 0 SITEPROP SLICE_X32Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y88 RPM_X 89 SITEPROP SLICE_X32Y88 RPM_Y 176 SITEPROP SLICE_X32Y88 SITE_PIPS SITEPROP SLICE_X32Y88 SITE_TYPE SLICEL SITEPROP SLICE_X32Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y89 CLASS site SITEPROP SLICE_X32Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y89 IS_BONDED 0 SITEPROP SLICE_X32Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y89 IS_PAD 0 SITEPROP SLICE_X32Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y89 IS_RESERVED 0 SITEPROP SLICE_X32Y89 IS_TEST 0 SITEPROP SLICE_X32Y89 IS_USED 0 SITEPROP SLICE_X32Y89 MANUAL_ROUTING SITEPROP SLICE_X32Y89 NAME SLICE_X32Y89 SITEPROP SLICE_X32Y89 NUM_ARCS 138 SITEPROP SLICE_X32Y89 NUM_BELS 32 SITEPROP SLICE_X32Y89 NUM_INPUTS 32 SITEPROP SLICE_X32Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y89 NUM_PINS 45 SITEPROP SLICE_X32Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y89 PROHIBIT 0 SITEPROP SLICE_X32Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y89 RPM_X 89 SITEPROP SLICE_X32Y89 RPM_Y 178 SITEPROP SLICE_X32Y89 SITE_PIPS SITEPROP SLICE_X32Y89 SITE_TYPE SLICEL SITEPROP SLICE_X32Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y90 CLASS site SITEPROP SLICE_X32Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y90 IS_BONDED 0 SITEPROP SLICE_X32Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y90 IS_PAD 0 SITEPROP SLICE_X32Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y90 IS_RESERVED 0 SITEPROP SLICE_X32Y90 IS_TEST 0 SITEPROP SLICE_X32Y90 IS_USED 0 SITEPROP SLICE_X32Y90 MANUAL_ROUTING SITEPROP SLICE_X32Y90 NAME SLICE_X32Y90 SITEPROP SLICE_X32Y90 NUM_ARCS 138 SITEPROP SLICE_X32Y90 NUM_BELS 32 SITEPROP SLICE_X32Y90 NUM_INPUTS 32 SITEPROP SLICE_X32Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y90 NUM_PINS 45 SITEPROP SLICE_X32Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y90 PROHIBIT 0 SITEPROP SLICE_X32Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y90 RPM_X 89 SITEPROP SLICE_X32Y90 RPM_Y 180 SITEPROP SLICE_X32Y90 SITE_PIPS SITEPROP SLICE_X32Y90 SITE_TYPE SLICEL SITEPROP SLICE_X32Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y91 CLASS site SITEPROP SLICE_X32Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y91 IS_BONDED 0 SITEPROP SLICE_X32Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y91 IS_PAD 0 SITEPROP SLICE_X32Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y91 IS_RESERVED 0 SITEPROP SLICE_X32Y91 IS_TEST 0 SITEPROP SLICE_X32Y91 IS_USED 0 SITEPROP SLICE_X32Y91 MANUAL_ROUTING SITEPROP SLICE_X32Y91 NAME SLICE_X32Y91 SITEPROP SLICE_X32Y91 NUM_ARCS 138 SITEPROP SLICE_X32Y91 NUM_BELS 32 SITEPROP SLICE_X32Y91 NUM_INPUTS 32 SITEPROP SLICE_X32Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y91 NUM_PINS 45 SITEPROP SLICE_X32Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y91 PROHIBIT 0 SITEPROP SLICE_X32Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y91 RPM_X 89 SITEPROP SLICE_X32Y91 RPM_Y 182 SITEPROP SLICE_X32Y91 SITE_PIPS SITEPROP SLICE_X32Y91 SITE_TYPE SLICEL SITEPROP SLICE_X32Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y92 CLASS site SITEPROP SLICE_X32Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y92 IS_BONDED 0 SITEPROP SLICE_X32Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y92 IS_PAD 0 SITEPROP SLICE_X32Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y92 IS_RESERVED 0 SITEPROP SLICE_X32Y92 IS_TEST 0 SITEPROP SLICE_X32Y92 IS_USED 0 SITEPROP SLICE_X32Y92 MANUAL_ROUTING SITEPROP SLICE_X32Y92 NAME SLICE_X32Y92 SITEPROP SLICE_X32Y92 NUM_ARCS 138 SITEPROP SLICE_X32Y92 NUM_BELS 32 SITEPROP SLICE_X32Y92 NUM_INPUTS 32 SITEPROP SLICE_X32Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y92 NUM_PINS 45 SITEPROP SLICE_X32Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y92 PROHIBIT 0 SITEPROP SLICE_X32Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y92 RPM_X 89 SITEPROP SLICE_X32Y92 RPM_Y 184 SITEPROP SLICE_X32Y92 SITE_PIPS SITEPROP SLICE_X32Y92 SITE_TYPE SLICEL SITEPROP SLICE_X32Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y93 CLASS site SITEPROP SLICE_X32Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y93 IS_BONDED 0 SITEPROP SLICE_X32Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y93 IS_PAD 0 SITEPROP SLICE_X32Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y93 IS_RESERVED 0 SITEPROP SLICE_X32Y93 IS_TEST 0 SITEPROP SLICE_X32Y93 IS_USED 0 SITEPROP SLICE_X32Y93 MANUAL_ROUTING SITEPROP SLICE_X32Y93 NAME SLICE_X32Y93 SITEPROP SLICE_X32Y93 NUM_ARCS 138 SITEPROP SLICE_X32Y93 NUM_BELS 32 SITEPROP SLICE_X32Y93 NUM_INPUTS 32 SITEPROP SLICE_X32Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y93 NUM_PINS 45 SITEPROP SLICE_X32Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y93 PROHIBIT 0 SITEPROP SLICE_X32Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y93 RPM_X 89 SITEPROP SLICE_X32Y93 RPM_Y 186 SITEPROP SLICE_X32Y93 SITE_PIPS SITEPROP SLICE_X32Y93 SITE_TYPE SLICEL SITEPROP SLICE_X32Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y94 CLASS site SITEPROP SLICE_X32Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y94 IS_BONDED 0 SITEPROP SLICE_X32Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y94 IS_PAD 0 SITEPROP SLICE_X32Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y94 IS_RESERVED 0 SITEPROP SLICE_X32Y94 IS_TEST 0 SITEPROP SLICE_X32Y94 IS_USED 0 SITEPROP SLICE_X32Y94 MANUAL_ROUTING SITEPROP SLICE_X32Y94 NAME SLICE_X32Y94 SITEPROP SLICE_X32Y94 NUM_ARCS 138 SITEPROP SLICE_X32Y94 NUM_BELS 32 SITEPROP SLICE_X32Y94 NUM_INPUTS 32 SITEPROP SLICE_X32Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y94 NUM_PINS 45 SITEPROP SLICE_X32Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y94 PROHIBIT 0 SITEPROP SLICE_X32Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y94 RPM_X 89 SITEPROP SLICE_X32Y94 RPM_Y 188 SITEPROP SLICE_X32Y94 SITE_PIPS SITEPROP SLICE_X32Y94 SITE_TYPE SLICEL SITEPROP SLICE_X32Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y95 CLASS site SITEPROP SLICE_X32Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y95 IS_BONDED 0 SITEPROP SLICE_X32Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y95 IS_PAD 0 SITEPROP SLICE_X32Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y95 IS_RESERVED 0 SITEPROP SLICE_X32Y95 IS_TEST 0 SITEPROP SLICE_X32Y95 IS_USED 0 SITEPROP SLICE_X32Y95 MANUAL_ROUTING SITEPROP SLICE_X32Y95 NAME SLICE_X32Y95 SITEPROP SLICE_X32Y95 NUM_ARCS 138 SITEPROP SLICE_X32Y95 NUM_BELS 32 SITEPROP SLICE_X32Y95 NUM_INPUTS 32 SITEPROP SLICE_X32Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y95 NUM_PINS 45 SITEPROP SLICE_X32Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y95 PROHIBIT 0 SITEPROP SLICE_X32Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y95 RPM_X 89 SITEPROP SLICE_X32Y95 RPM_Y 190 SITEPROP SLICE_X32Y95 SITE_PIPS SITEPROP SLICE_X32Y95 SITE_TYPE SLICEL SITEPROP SLICE_X32Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y96 CLASS site SITEPROP SLICE_X32Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y96 IS_BONDED 0 SITEPROP SLICE_X32Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y96 IS_PAD 0 SITEPROP SLICE_X32Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y96 IS_RESERVED 0 SITEPROP SLICE_X32Y96 IS_TEST 0 SITEPROP SLICE_X32Y96 IS_USED 0 SITEPROP SLICE_X32Y96 MANUAL_ROUTING SITEPROP SLICE_X32Y96 NAME SLICE_X32Y96 SITEPROP SLICE_X32Y96 NUM_ARCS 138 SITEPROP SLICE_X32Y96 NUM_BELS 32 SITEPROP SLICE_X32Y96 NUM_INPUTS 32 SITEPROP SLICE_X32Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y96 NUM_PINS 45 SITEPROP SLICE_X32Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y96 PROHIBIT 0 SITEPROP SLICE_X32Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y96 RPM_X 89 SITEPROP SLICE_X32Y96 RPM_Y 192 SITEPROP SLICE_X32Y96 SITE_PIPS SITEPROP SLICE_X32Y96 SITE_TYPE SLICEL SITEPROP SLICE_X32Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y97 CLASS site SITEPROP SLICE_X32Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y97 IS_BONDED 0 SITEPROP SLICE_X32Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y97 IS_PAD 0 SITEPROP SLICE_X32Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y97 IS_RESERVED 0 SITEPROP SLICE_X32Y97 IS_TEST 0 SITEPROP SLICE_X32Y97 IS_USED 0 SITEPROP SLICE_X32Y97 MANUAL_ROUTING SITEPROP SLICE_X32Y97 NAME SLICE_X32Y97 SITEPROP SLICE_X32Y97 NUM_ARCS 138 SITEPROP SLICE_X32Y97 NUM_BELS 32 SITEPROP SLICE_X32Y97 NUM_INPUTS 32 SITEPROP SLICE_X32Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y97 NUM_PINS 45 SITEPROP SLICE_X32Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y97 PROHIBIT 0 SITEPROP SLICE_X32Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y97 RPM_X 89 SITEPROP SLICE_X32Y97 RPM_Y 194 SITEPROP SLICE_X32Y97 SITE_PIPS SITEPROP SLICE_X32Y97 SITE_TYPE SLICEL SITEPROP SLICE_X32Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y98 CLASS site SITEPROP SLICE_X32Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y98 IS_BONDED 0 SITEPROP SLICE_X32Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y98 IS_PAD 0 SITEPROP SLICE_X32Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y98 IS_RESERVED 0 SITEPROP SLICE_X32Y98 IS_TEST 0 SITEPROP SLICE_X32Y98 IS_USED 0 SITEPROP SLICE_X32Y98 MANUAL_ROUTING SITEPROP SLICE_X32Y98 NAME SLICE_X32Y98 SITEPROP SLICE_X32Y98 NUM_ARCS 138 SITEPROP SLICE_X32Y98 NUM_BELS 32 SITEPROP SLICE_X32Y98 NUM_INPUTS 32 SITEPROP SLICE_X32Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y98 NUM_PINS 45 SITEPROP SLICE_X32Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y98 PROHIBIT 0 SITEPROP SLICE_X32Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y98 RPM_X 89 SITEPROP SLICE_X32Y98 RPM_Y 196 SITEPROP SLICE_X32Y98 SITE_PIPS SITEPROP SLICE_X32Y98 SITE_TYPE SLICEL SITEPROP SLICE_X32Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y99 CLASS site SITEPROP SLICE_X32Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X32Y99 IS_BONDED 0 SITEPROP SLICE_X32Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y99 IS_PAD 0 SITEPROP SLICE_X32Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y99 IS_RESERVED 0 SITEPROP SLICE_X32Y99 IS_TEST 0 SITEPROP SLICE_X32Y99 IS_USED 0 SITEPROP SLICE_X32Y99 MANUAL_ROUTING SITEPROP SLICE_X32Y99 NAME SLICE_X32Y99 SITEPROP SLICE_X32Y99 NUM_ARCS 138 SITEPROP SLICE_X32Y99 NUM_BELS 32 SITEPROP SLICE_X32Y99 NUM_INPUTS 32 SITEPROP SLICE_X32Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y99 NUM_PINS 45 SITEPROP SLICE_X32Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y99 PROHIBIT 0 SITEPROP SLICE_X32Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y99 RPM_X 89 SITEPROP SLICE_X32Y99 RPM_Y 198 SITEPROP SLICE_X32Y99 SITE_PIPS SITEPROP SLICE_X32Y99 SITE_TYPE SLICEL SITEPROP SLICE_X32Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y100 CLASS site SITEPROP SLICE_X32Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y100 IS_BONDED 0 SITEPROP SLICE_X32Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y100 IS_PAD 0 SITEPROP SLICE_X32Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y100 IS_RESERVED 0 SITEPROP SLICE_X32Y100 IS_TEST 0 SITEPROP SLICE_X32Y100 IS_USED 0 SITEPROP SLICE_X32Y100 MANUAL_ROUTING SITEPROP SLICE_X32Y100 NAME SLICE_X32Y100 SITEPROP SLICE_X32Y100 NUM_ARCS 138 SITEPROP SLICE_X32Y100 NUM_BELS 32 SITEPROP SLICE_X32Y100 NUM_INPUTS 32 SITEPROP SLICE_X32Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y100 NUM_PINS 45 SITEPROP SLICE_X32Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y100 PROHIBIT 0 SITEPROP SLICE_X32Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y100 RPM_X 89 SITEPROP SLICE_X32Y100 RPM_Y 200 SITEPROP SLICE_X32Y100 SITE_PIPS SITEPROP SLICE_X32Y100 SITE_TYPE SLICEL SITEPROP SLICE_X32Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y101 CLASS site SITEPROP SLICE_X32Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y101 IS_BONDED 0 SITEPROP SLICE_X32Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y101 IS_PAD 0 SITEPROP SLICE_X32Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y101 IS_RESERVED 0 SITEPROP SLICE_X32Y101 IS_TEST 0 SITEPROP SLICE_X32Y101 IS_USED 0 SITEPROP SLICE_X32Y101 MANUAL_ROUTING SITEPROP SLICE_X32Y101 NAME SLICE_X32Y101 SITEPROP SLICE_X32Y101 NUM_ARCS 138 SITEPROP SLICE_X32Y101 NUM_BELS 32 SITEPROP SLICE_X32Y101 NUM_INPUTS 32 SITEPROP SLICE_X32Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y101 NUM_PINS 45 SITEPROP SLICE_X32Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y101 PROHIBIT 0 SITEPROP SLICE_X32Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y101 RPM_X 89 SITEPROP SLICE_X32Y101 RPM_Y 202 SITEPROP SLICE_X32Y101 SITE_PIPS SITEPROP SLICE_X32Y101 SITE_TYPE SLICEL SITEPROP SLICE_X32Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y102 CLASS site SITEPROP SLICE_X32Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y102 IS_BONDED 0 SITEPROP SLICE_X32Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y102 IS_PAD 0 SITEPROP SLICE_X32Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y102 IS_RESERVED 0 SITEPROP SLICE_X32Y102 IS_TEST 0 SITEPROP SLICE_X32Y102 IS_USED 0 SITEPROP SLICE_X32Y102 MANUAL_ROUTING SITEPROP SLICE_X32Y102 NAME SLICE_X32Y102 SITEPROP SLICE_X32Y102 NUM_ARCS 138 SITEPROP SLICE_X32Y102 NUM_BELS 32 SITEPROP SLICE_X32Y102 NUM_INPUTS 32 SITEPROP SLICE_X32Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y102 NUM_PINS 45 SITEPROP SLICE_X32Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y102 PROHIBIT 0 SITEPROP SLICE_X32Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y102 RPM_X 89 SITEPROP SLICE_X32Y102 RPM_Y 204 SITEPROP SLICE_X32Y102 SITE_PIPS SITEPROP SLICE_X32Y102 SITE_TYPE SLICEL SITEPROP SLICE_X32Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y103 CLASS site SITEPROP SLICE_X32Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y103 IS_BONDED 0 SITEPROP SLICE_X32Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y103 IS_PAD 0 SITEPROP SLICE_X32Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y103 IS_RESERVED 0 SITEPROP SLICE_X32Y103 IS_TEST 0 SITEPROP SLICE_X32Y103 IS_USED 0 SITEPROP SLICE_X32Y103 MANUAL_ROUTING SITEPROP SLICE_X32Y103 NAME SLICE_X32Y103 SITEPROP SLICE_X32Y103 NUM_ARCS 138 SITEPROP SLICE_X32Y103 NUM_BELS 32 SITEPROP SLICE_X32Y103 NUM_INPUTS 32 SITEPROP SLICE_X32Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y103 NUM_PINS 45 SITEPROP SLICE_X32Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y103 PROHIBIT 0 SITEPROP SLICE_X32Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y103 RPM_X 89 SITEPROP SLICE_X32Y103 RPM_Y 206 SITEPROP SLICE_X32Y103 SITE_PIPS SITEPROP SLICE_X32Y103 SITE_TYPE SLICEL SITEPROP SLICE_X32Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y104 CLASS site SITEPROP SLICE_X32Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y104 IS_BONDED 0 SITEPROP SLICE_X32Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y104 IS_PAD 0 SITEPROP SLICE_X32Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y104 IS_RESERVED 0 SITEPROP SLICE_X32Y104 IS_TEST 0 SITEPROP SLICE_X32Y104 IS_USED 0 SITEPROP SLICE_X32Y104 MANUAL_ROUTING SITEPROP SLICE_X32Y104 NAME SLICE_X32Y104 SITEPROP SLICE_X32Y104 NUM_ARCS 138 SITEPROP SLICE_X32Y104 NUM_BELS 32 SITEPROP SLICE_X32Y104 NUM_INPUTS 32 SITEPROP SLICE_X32Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y104 NUM_PINS 45 SITEPROP SLICE_X32Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y104 PROHIBIT 0 SITEPROP SLICE_X32Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y104 RPM_X 89 SITEPROP SLICE_X32Y104 RPM_Y 208 SITEPROP SLICE_X32Y104 SITE_PIPS SITEPROP SLICE_X32Y104 SITE_TYPE SLICEL SITEPROP SLICE_X32Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y105 CLASS site SITEPROP SLICE_X32Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y105 IS_BONDED 0 SITEPROP SLICE_X32Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y105 IS_PAD 0 SITEPROP SLICE_X32Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y105 IS_RESERVED 0 SITEPROP SLICE_X32Y105 IS_TEST 0 SITEPROP SLICE_X32Y105 IS_USED 0 SITEPROP SLICE_X32Y105 MANUAL_ROUTING SITEPROP SLICE_X32Y105 NAME SLICE_X32Y105 SITEPROP SLICE_X32Y105 NUM_ARCS 138 SITEPROP SLICE_X32Y105 NUM_BELS 32 SITEPROP SLICE_X32Y105 NUM_INPUTS 32 SITEPROP SLICE_X32Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y105 NUM_PINS 45 SITEPROP SLICE_X32Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y105 PROHIBIT 0 SITEPROP SLICE_X32Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y105 RPM_X 89 SITEPROP SLICE_X32Y105 RPM_Y 210 SITEPROP SLICE_X32Y105 SITE_PIPS SITEPROP SLICE_X32Y105 SITE_TYPE SLICEL SITEPROP SLICE_X32Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y106 CLASS site SITEPROP SLICE_X32Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y106 IS_BONDED 0 SITEPROP SLICE_X32Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y106 IS_PAD 0 SITEPROP SLICE_X32Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y106 IS_RESERVED 0 SITEPROP SLICE_X32Y106 IS_TEST 0 SITEPROP SLICE_X32Y106 IS_USED 0 SITEPROP SLICE_X32Y106 MANUAL_ROUTING SITEPROP SLICE_X32Y106 NAME SLICE_X32Y106 SITEPROP SLICE_X32Y106 NUM_ARCS 138 SITEPROP SLICE_X32Y106 NUM_BELS 32 SITEPROP SLICE_X32Y106 NUM_INPUTS 32 SITEPROP SLICE_X32Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y106 NUM_PINS 45 SITEPROP SLICE_X32Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y106 PROHIBIT 0 SITEPROP SLICE_X32Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y106 RPM_X 89 SITEPROP SLICE_X32Y106 RPM_Y 212 SITEPROP SLICE_X32Y106 SITE_PIPS SITEPROP SLICE_X32Y106 SITE_TYPE SLICEL SITEPROP SLICE_X32Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y107 CLASS site SITEPROP SLICE_X32Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y107 IS_BONDED 0 SITEPROP SLICE_X32Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y107 IS_PAD 0 SITEPROP SLICE_X32Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y107 IS_RESERVED 0 SITEPROP SLICE_X32Y107 IS_TEST 0 SITEPROP SLICE_X32Y107 IS_USED 0 SITEPROP SLICE_X32Y107 MANUAL_ROUTING SITEPROP SLICE_X32Y107 NAME SLICE_X32Y107 SITEPROP SLICE_X32Y107 NUM_ARCS 138 SITEPROP SLICE_X32Y107 NUM_BELS 32 SITEPROP SLICE_X32Y107 NUM_INPUTS 32 SITEPROP SLICE_X32Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y107 NUM_PINS 45 SITEPROP SLICE_X32Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y107 PROHIBIT 0 SITEPROP SLICE_X32Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y107 RPM_X 89 SITEPROP SLICE_X32Y107 RPM_Y 214 SITEPROP SLICE_X32Y107 SITE_PIPS SITEPROP SLICE_X32Y107 SITE_TYPE SLICEL SITEPROP SLICE_X32Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y108 CLASS site SITEPROP SLICE_X32Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y108 IS_BONDED 0 SITEPROP SLICE_X32Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y108 IS_PAD 0 SITEPROP SLICE_X32Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y108 IS_RESERVED 0 SITEPROP SLICE_X32Y108 IS_TEST 0 SITEPROP SLICE_X32Y108 IS_USED 0 SITEPROP SLICE_X32Y108 MANUAL_ROUTING SITEPROP SLICE_X32Y108 NAME SLICE_X32Y108 SITEPROP SLICE_X32Y108 NUM_ARCS 138 SITEPROP SLICE_X32Y108 NUM_BELS 32 SITEPROP SLICE_X32Y108 NUM_INPUTS 32 SITEPROP SLICE_X32Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y108 NUM_PINS 45 SITEPROP SLICE_X32Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y108 PROHIBIT 0 SITEPROP SLICE_X32Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y108 RPM_X 89 SITEPROP SLICE_X32Y108 RPM_Y 216 SITEPROP SLICE_X32Y108 SITE_PIPS SITEPROP SLICE_X32Y108 SITE_TYPE SLICEL SITEPROP SLICE_X32Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y109 CLASS site SITEPROP SLICE_X32Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y109 IS_BONDED 0 SITEPROP SLICE_X32Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y109 IS_PAD 0 SITEPROP SLICE_X32Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y109 IS_RESERVED 0 SITEPROP SLICE_X32Y109 IS_TEST 0 SITEPROP SLICE_X32Y109 IS_USED 0 SITEPROP SLICE_X32Y109 MANUAL_ROUTING SITEPROP SLICE_X32Y109 NAME SLICE_X32Y109 SITEPROP SLICE_X32Y109 NUM_ARCS 138 SITEPROP SLICE_X32Y109 NUM_BELS 32 SITEPROP SLICE_X32Y109 NUM_INPUTS 32 SITEPROP SLICE_X32Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y109 NUM_PINS 45 SITEPROP SLICE_X32Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y109 PROHIBIT 0 SITEPROP SLICE_X32Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y109 RPM_X 89 SITEPROP SLICE_X32Y109 RPM_Y 218 SITEPROP SLICE_X32Y109 SITE_PIPS SITEPROP SLICE_X32Y109 SITE_TYPE SLICEL SITEPROP SLICE_X32Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y110 CLASS site SITEPROP SLICE_X32Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y110 IS_BONDED 0 SITEPROP SLICE_X32Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y110 IS_PAD 0 SITEPROP SLICE_X32Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y110 IS_RESERVED 0 SITEPROP SLICE_X32Y110 IS_TEST 0 SITEPROP SLICE_X32Y110 IS_USED 0 SITEPROP SLICE_X32Y110 MANUAL_ROUTING SITEPROP SLICE_X32Y110 NAME SLICE_X32Y110 SITEPROP SLICE_X32Y110 NUM_ARCS 138 SITEPROP SLICE_X32Y110 NUM_BELS 32 SITEPROP SLICE_X32Y110 NUM_INPUTS 32 SITEPROP SLICE_X32Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y110 NUM_PINS 45 SITEPROP SLICE_X32Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y110 PROHIBIT 0 SITEPROP SLICE_X32Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y110 RPM_X 89 SITEPROP SLICE_X32Y110 RPM_Y 220 SITEPROP SLICE_X32Y110 SITE_PIPS SITEPROP SLICE_X32Y110 SITE_TYPE SLICEL SITEPROP SLICE_X32Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y111 CLASS site SITEPROP SLICE_X32Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y111 IS_BONDED 0 SITEPROP SLICE_X32Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y111 IS_PAD 0 SITEPROP SLICE_X32Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y111 IS_RESERVED 0 SITEPROP SLICE_X32Y111 IS_TEST 0 SITEPROP SLICE_X32Y111 IS_USED 0 SITEPROP SLICE_X32Y111 MANUAL_ROUTING SITEPROP SLICE_X32Y111 NAME SLICE_X32Y111 SITEPROP SLICE_X32Y111 NUM_ARCS 138 SITEPROP SLICE_X32Y111 NUM_BELS 32 SITEPROP SLICE_X32Y111 NUM_INPUTS 32 SITEPROP SLICE_X32Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y111 NUM_PINS 45 SITEPROP SLICE_X32Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y111 PROHIBIT 0 SITEPROP SLICE_X32Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y111 RPM_X 89 SITEPROP SLICE_X32Y111 RPM_Y 222 SITEPROP SLICE_X32Y111 SITE_PIPS SITEPROP SLICE_X32Y111 SITE_TYPE SLICEL SITEPROP SLICE_X32Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y112 CLASS site SITEPROP SLICE_X32Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y112 IS_BONDED 0 SITEPROP SLICE_X32Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y112 IS_PAD 0 SITEPROP SLICE_X32Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y112 IS_RESERVED 0 SITEPROP SLICE_X32Y112 IS_TEST 0 SITEPROP SLICE_X32Y112 IS_USED 0 SITEPROP SLICE_X32Y112 MANUAL_ROUTING SITEPROP SLICE_X32Y112 NAME SLICE_X32Y112 SITEPROP SLICE_X32Y112 NUM_ARCS 138 SITEPROP SLICE_X32Y112 NUM_BELS 32 SITEPROP SLICE_X32Y112 NUM_INPUTS 32 SITEPROP SLICE_X32Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y112 NUM_PINS 45 SITEPROP SLICE_X32Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y112 PROHIBIT 0 SITEPROP SLICE_X32Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y112 RPM_X 89 SITEPROP SLICE_X32Y112 RPM_Y 224 SITEPROP SLICE_X32Y112 SITE_PIPS SITEPROP SLICE_X32Y112 SITE_TYPE SLICEL SITEPROP SLICE_X32Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y113 CLASS site SITEPROP SLICE_X32Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y113 IS_BONDED 0 SITEPROP SLICE_X32Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y113 IS_PAD 0 SITEPROP SLICE_X32Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y113 IS_RESERVED 0 SITEPROP SLICE_X32Y113 IS_TEST 0 SITEPROP SLICE_X32Y113 IS_USED 0 SITEPROP SLICE_X32Y113 MANUAL_ROUTING SITEPROP SLICE_X32Y113 NAME SLICE_X32Y113 SITEPROP SLICE_X32Y113 NUM_ARCS 138 SITEPROP SLICE_X32Y113 NUM_BELS 32 SITEPROP SLICE_X32Y113 NUM_INPUTS 32 SITEPROP SLICE_X32Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y113 NUM_PINS 45 SITEPROP SLICE_X32Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y113 PROHIBIT 0 SITEPROP SLICE_X32Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y113 RPM_X 89 SITEPROP SLICE_X32Y113 RPM_Y 226 SITEPROP SLICE_X32Y113 SITE_PIPS SITEPROP SLICE_X32Y113 SITE_TYPE SLICEL SITEPROP SLICE_X32Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y114 CLASS site SITEPROP SLICE_X32Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y114 IS_BONDED 0 SITEPROP SLICE_X32Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y114 IS_PAD 0 SITEPROP SLICE_X32Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y114 IS_RESERVED 0 SITEPROP SLICE_X32Y114 IS_TEST 0 SITEPROP SLICE_X32Y114 IS_USED 0 SITEPROP SLICE_X32Y114 MANUAL_ROUTING SITEPROP SLICE_X32Y114 NAME SLICE_X32Y114 SITEPROP SLICE_X32Y114 NUM_ARCS 138 SITEPROP SLICE_X32Y114 NUM_BELS 32 SITEPROP SLICE_X32Y114 NUM_INPUTS 32 SITEPROP SLICE_X32Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y114 NUM_PINS 45 SITEPROP SLICE_X32Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y114 PROHIBIT 0 SITEPROP SLICE_X32Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y114 RPM_X 89 SITEPROP SLICE_X32Y114 RPM_Y 228 SITEPROP SLICE_X32Y114 SITE_PIPS SITEPROP SLICE_X32Y114 SITE_TYPE SLICEL SITEPROP SLICE_X32Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y115 CLASS site SITEPROP SLICE_X32Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y115 IS_BONDED 0 SITEPROP SLICE_X32Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y115 IS_PAD 0 SITEPROP SLICE_X32Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y115 IS_RESERVED 0 SITEPROP SLICE_X32Y115 IS_TEST 0 SITEPROP SLICE_X32Y115 IS_USED 0 SITEPROP SLICE_X32Y115 MANUAL_ROUTING SITEPROP SLICE_X32Y115 NAME SLICE_X32Y115 SITEPROP SLICE_X32Y115 NUM_ARCS 138 SITEPROP SLICE_X32Y115 NUM_BELS 32 SITEPROP SLICE_X32Y115 NUM_INPUTS 32 SITEPROP SLICE_X32Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y115 NUM_PINS 45 SITEPROP SLICE_X32Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y115 PROHIBIT 0 SITEPROP SLICE_X32Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y115 RPM_X 89 SITEPROP SLICE_X32Y115 RPM_Y 230 SITEPROP SLICE_X32Y115 SITE_PIPS SITEPROP SLICE_X32Y115 SITE_TYPE SLICEL SITEPROP SLICE_X32Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y116 CLASS site SITEPROP SLICE_X32Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y116 IS_BONDED 0 SITEPROP SLICE_X32Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y116 IS_PAD 0 SITEPROP SLICE_X32Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y116 IS_RESERVED 0 SITEPROP SLICE_X32Y116 IS_TEST 0 SITEPROP SLICE_X32Y116 IS_USED 0 SITEPROP SLICE_X32Y116 MANUAL_ROUTING SITEPROP SLICE_X32Y116 NAME SLICE_X32Y116 SITEPROP SLICE_X32Y116 NUM_ARCS 138 SITEPROP SLICE_X32Y116 NUM_BELS 32 SITEPROP SLICE_X32Y116 NUM_INPUTS 32 SITEPROP SLICE_X32Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y116 NUM_PINS 45 SITEPROP SLICE_X32Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y116 PROHIBIT 0 SITEPROP SLICE_X32Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y116 RPM_X 89 SITEPROP SLICE_X32Y116 RPM_Y 232 SITEPROP SLICE_X32Y116 SITE_PIPS SITEPROP SLICE_X32Y116 SITE_TYPE SLICEL SITEPROP SLICE_X32Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y117 CLASS site SITEPROP SLICE_X32Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y117 IS_BONDED 0 SITEPROP SLICE_X32Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y117 IS_PAD 0 SITEPROP SLICE_X32Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y117 IS_RESERVED 0 SITEPROP SLICE_X32Y117 IS_TEST 0 SITEPROP SLICE_X32Y117 IS_USED 0 SITEPROP SLICE_X32Y117 MANUAL_ROUTING SITEPROP SLICE_X32Y117 NAME SLICE_X32Y117 SITEPROP SLICE_X32Y117 NUM_ARCS 138 SITEPROP SLICE_X32Y117 NUM_BELS 32 SITEPROP SLICE_X32Y117 NUM_INPUTS 32 SITEPROP SLICE_X32Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y117 NUM_PINS 45 SITEPROP SLICE_X32Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y117 PROHIBIT 0 SITEPROP SLICE_X32Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y117 RPM_X 89 SITEPROP SLICE_X32Y117 RPM_Y 234 SITEPROP SLICE_X32Y117 SITE_PIPS SITEPROP SLICE_X32Y117 SITE_TYPE SLICEL SITEPROP SLICE_X32Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y118 CLASS site SITEPROP SLICE_X32Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y118 IS_BONDED 0 SITEPROP SLICE_X32Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y118 IS_PAD 0 SITEPROP SLICE_X32Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y118 IS_RESERVED 0 SITEPROP SLICE_X32Y118 IS_TEST 0 SITEPROP SLICE_X32Y118 IS_USED 0 SITEPROP SLICE_X32Y118 MANUAL_ROUTING SITEPROP SLICE_X32Y118 NAME SLICE_X32Y118 SITEPROP SLICE_X32Y118 NUM_ARCS 138 SITEPROP SLICE_X32Y118 NUM_BELS 32 SITEPROP SLICE_X32Y118 NUM_INPUTS 32 SITEPROP SLICE_X32Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y118 NUM_PINS 45 SITEPROP SLICE_X32Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y118 PROHIBIT 0 SITEPROP SLICE_X32Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y118 RPM_X 89 SITEPROP SLICE_X32Y118 RPM_Y 236 SITEPROP SLICE_X32Y118 SITE_PIPS SITEPROP SLICE_X32Y118 SITE_TYPE SLICEL SITEPROP SLICE_X32Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y119 CLASS site SITEPROP SLICE_X32Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y119 IS_BONDED 0 SITEPROP SLICE_X32Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y119 IS_PAD 0 SITEPROP SLICE_X32Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y119 IS_RESERVED 0 SITEPROP SLICE_X32Y119 IS_TEST 0 SITEPROP SLICE_X32Y119 IS_USED 0 SITEPROP SLICE_X32Y119 MANUAL_ROUTING SITEPROP SLICE_X32Y119 NAME SLICE_X32Y119 SITEPROP SLICE_X32Y119 NUM_ARCS 138 SITEPROP SLICE_X32Y119 NUM_BELS 32 SITEPROP SLICE_X32Y119 NUM_INPUTS 32 SITEPROP SLICE_X32Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y119 NUM_PINS 45 SITEPROP SLICE_X32Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y119 PROHIBIT 0 SITEPROP SLICE_X32Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y119 RPM_X 89 SITEPROP SLICE_X32Y119 RPM_Y 238 SITEPROP SLICE_X32Y119 SITE_PIPS SITEPROP SLICE_X32Y119 SITE_TYPE SLICEL SITEPROP SLICE_X32Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y120 CLASS site SITEPROP SLICE_X32Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y120 IS_BONDED 0 SITEPROP SLICE_X32Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y120 IS_PAD 0 SITEPROP SLICE_X32Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y120 IS_RESERVED 0 SITEPROP SLICE_X32Y120 IS_TEST 0 SITEPROP SLICE_X32Y120 IS_USED 0 SITEPROP SLICE_X32Y120 MANUAL_ROUTING SITEPROP SLICE_X32Y120 NAME SLICE_X32Y120 SITEPROP SLICE_X32Y120 NUM_ARCS 138 SITEPROP SLICE_X32Y120 NUM_BELS 32 SITEPROP SLICE_X32Y120 NUM_INPUTS 32 SITEPROP SLICE_X32Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y120 NUM_PINS 45 SITEPROP SLICE_X32Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y120 PROHIBIT 0 SITEPROP SLICE_X32Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y120 RPM_X 89 SITEPROP SLICE_X32Y120 RPM_Y 240 SITEPROP SLICE_X32Y120 SITE_PIPS SITEPROP SLICE_X32Y120 SITE_TYPE SLICEL SITEPROP SLICE_X32Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y121 CLASS site SITEPROP SLICE_X32Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y121 IS_BONDED 0 SITEPROP SLICE_X32Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y121 IS_PAD 0 SITEPROP SLICE_X32Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y121 IS_RESERVED 0 SITEPROP SLICE_X32Y121 IS_TEST 0 SITEPROP SLICE_X32Y121 IS_USED 0 SITEPROP SLICE_X32Y121 MANUAL_ROUTING SITEPROP SLICE_X32Y121 NAME SLICE_X32Y121 SITEPROP SLICE_X32Y121 NUM_ARCS 138 SITEPROP SLICE_X32Y121 NUM_BELS 32 SITEPROP SLICE_X32Y121 NUM_INPUTS 32 SITEPROP SLICE_X32Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y121 NUM_PINS 45 SITEPROP SLICE_X32Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y121 PROHIBIT 0 SITEPROP SLICE_X32Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y121 RPM_X 89 SITEPROP SLICE_X32Y121 RPM_Y 242 SITEPROP SLICE_X32Y121 SITE_PIPS SITEPROP SLICE_X32Y121 SITE_TYPE SLICEL SITEPROP SLICE_X32Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y122 CLASS site SITEPROP SLICE_X32Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y122 IS_BONDED 0 SITEPROP SLICE_X32Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y122 IS_PAD 0 SITEPROP SLICE_X32Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y122 IS_RESERVED 0 SITEPROP SLICE_X32Y122 IS_TEST 0 SITEPROP SLICE_X32Y122 IS_USED 0 SITEPROP SLICE_X32Y122 MANUAL_ROUTING SITEPROP SLICE_X32Y122 NAME SLICE_X32Y122 SITEPROP SLICE_X32Y122 NUM_ARCS 138 SITEPROP SLICE_X32Y122 NUM_BELS 32 SITEPROP SLICE_X32Y122 NUM_INPUTS 32 SITEPROP SLICE_X32Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y122 NUM_PINS 45 SITEPROP SLICE_X32Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y122 PROHIBIT 0 SITEPROP SLICE_X32Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y122 RPM_X 89 SITEPROP SLICE_X32Y122 RPM_Y 244 SITEPROP SLICE_X32Y122 SITE_PIPS SITEPROP SLICE_X32Y122 SITE_TYPE SLICEL SITEPROP SLICE_X32Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y123 CLASS site SITEPROP SLICE_X32Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y123 IS_BONDED 0 SITEPROP SLICE_X32Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y123 IS_PAD 0 SITEPROP SLICE_X32Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y123 IS_RESERVED 0 SITEPROP SLICE_X32Y123 IS_TEST 0 SITEPROP SLICE_X32Y123 IS_USED 0 SITEPROP SLICE_X32Y123 MANUAL_ROUTING SITEPROP SLICE_X32Y123 NAME SLICE_X32Y123 SITEPROP SLICE_X32Y123 NUM_ARCS 138 SITEPROP SLICE_X32Y123 NUM_BELS 32 SITEPROP SLICE_X32Y123 NUM_INPUTS 32 SITEPROP SLICE_X32Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y123 NUM_PINS 45 SITEPROP SLICE_X32Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y123 PROHIBIT 0 SITEPROP SLICE_X32Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y123 RPM_X 89 SITEPROP SLICE_X32Y123 RPM_Y 246 SITEPROP SLICE_X32Y123 SITE_PIPS SITEPROP SLICE_X32Y123 SITE_TYPE SLICEL SITEPROP SLICE_X32Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y124 CLASS site SITEPROP SLICE_X32Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y124 IS_BONDED 0 SITEPROP SLICE_X32Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y124 IS_PAD 0 SITEPROP SLICE_X32Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y124 IS_RESERVED 0 SITEPROP SLICE_X32Y124 IS_TEST 0 SITEPROP SLICE_X32Y124 IS_USED 0 SITEPROP SLICE_X32Y124 MANUAL_ROUTING SITEPROP SLICE_X32Y124 NAME SLICE_X32Y124 SITEPROP SLICE_X32Y124 NUM_ARCS 138 SITEPROP SLICE_X32Y124 NUM_BELS 32 SITEPROP SLICE_X32Y124 NUM_INPUTS 32 SITEPROP SLICE_X32Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y124 NUM_PINS 45 SITEPROP SLICE_X32Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y124 PROHIBIT 0 SITEPROP SLICE_X32Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y124 RPM_X 89 SITEPROP SLICE_X32Y124 RPM_Y 248 SITEPROP SLICE_X32Y124 SITE_PIPS SITEPROP SLICE_X32Y124 SITE_TYPE SLICEL SITEPROP SLICE_X32Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y125 CLASS site SITEPROP SLICE_X32Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y125 IS_BONDED 0 SITEPROP SLICE_X32Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y125 IS_PAD 0 SITEPROP SLICE_X32Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y125 IS_RESERVED 0 SITEPROP SLICE_X32Y125 IS_TEST 0 SITEPROP SLICE_X32Y125 IS_USED 0 SITEPROP SLICE_X32Y125 MANUAL_ROUTING SITEPROP SLICE_X32Y125 NAME SLICE_X32Y125 SITEPROP SLICE_X32Y125 NUM_ARCS 138 SITEPROP SLICE_X32Y125 NUM_BELS 32 SITEPROP SLICE_X32Y125 NUM_INPUTS 32 SITEPROP SLICE_X32Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y125 NUM_PINS 45 SITEPROP SLICE_X32Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y125 PROHIBIT 0 SITEPROP SLICE_X32Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y125 RPM_X 89 SITEPROP SLICE_X32Y125 RPM_Y 250 SITEPROP SLICE_X32Y125 SITE_PIPS SITEPROP SLICE_X32Y125 SITE_TYPE SLICEL SITEPROP SLICE_X32Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y126 CLASS site SITEPROP SLICE_X32Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y126 IS_BONDED 0 SITEPROP SLICE_X32Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y126 IS_PAD 0 SITEPROP SLICE_X32Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y126 IS_RESERVED 0 SITEPROP SLICE_X32Y126 IS_TEST 0 SITEPROP SLICE_X32Y126 IS_USED 0 SITEPROP SLICE_X32Y126 MANUAL_ROUTING SITEPROP SLICE_X32Y126 NAME SLICE_X32Y126 SITEPROP SLICE_X32Y126 NUM_ARCS 138 SITEPROP SLICE_X32Y126 NUM_BELS 32 SITEPROP SLICE_X32Y126 NUM_INPUTS 32 SITEPROP SLICE_X32Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y126 NUM_PINS 45 SITEPROP SLICE_X32Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y126 PROHIBIT 0 SITEPROP SLICE_X32Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y126 RPM_X 89 SITEPROP SLICE_X32Y126 RPM_Y 252 SITEPROP SLICE_X32Y126 SITE_PIPS SITEPROP SLICE_X32Y126 SITE_TYPE SLICEL SITEPROP SLICE_X32Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y127 CLASS site SITEPROP SLICE_X32Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y127 IS_BONDED 0 SITEPROP SLICE_X32Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y127 IS_PAD 0 SITEPROP SLICE_X32Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y127 IS_RESERVED 0 SITEPROP SLICE_X32Y127 IS_TEST 0 SITEPROP SLICE_X32Y127 IS_USED 0 SITEPROP SLICE_X32Y127 MANUAL_ROUTING SITEPROP SLICE_X32Y127 NAME SLICE_X32Y127 SITEPROP SLICE_X32Y127 NUM_ARCS 138 SITEPROP SLICE_X32Y127 NUM_BELS 32 SITEPROP SLICE_X32Y127 NUM_INPUTS 32 SITEPROP SLICE_X32Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y127 NUM_PINS 45 SITEPROP SLICE_X32Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y127 PROHIBIT 0 SITEPROP SLICE_X32Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y127 RPM_X 89 SITEPROP SLICE_X32Y127 RPM_Y 254 SITEPROP SLICE_X32Y127 SITE_PIPS SITEPROP SLICE_X32Y127 SITE_TYPE SLICEL SITEPROP SLICE_X32Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y128 CLASS site SITEPROP SLICE_X32Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y128 IS_BONDED 0 SITEPROP SLICE_X32Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y128 IS_PAD 0 SITEPROP SLICE_X32Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y128 IS_RESERVED 0 SITEPROP SLICE_X32Y128 IS_TEST 0 SITEPROP SLICE_X32Y128 IS_USED 0 SITEPROP SLICE_X32Y128 MANUAL_ROUTING SITEPROP SLICE_X32Y128 NAME SLICE_X32Y128 SITEPROP SLICE_X32Y128 NUM_ARCS 138 SITEPROP SLICE_X32Y128 NUM_BELS 32 SITEPROP SLICE_X32Y128 NUM_INPUTS 32 SITEPROP SLICE_X32Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y128 NUM_PINS 45 SITEPROP SLICE_X32Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y128 PROHIBIT 0 SITEPROP SLICE_X32Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y128 RPM_X 89 SITEPROP SLICE_X32Y128 RPM_Y 256 SITEPROP SLICE_X32Y128 SITE_PIPS SITEPROP SLICE_X32Y128 SITE_TYPE SLICEL SITEPROP SLICE_X32Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y129 CLASS site SITEPROP SLICE_X32Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y129 IS_BONDED 0 SITEPROP SLICE_X32Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y129 IS_PAD 0 SITEPROP SLICE_X32Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y129 IS_RESERVED 0 SITEPROP SLICE_X32Y129 IS_TEST 0 SITEPROP SLICE_X32Y129 IS_USED 0 SITEPROP SLICE_X32Y129 MANUAL_ROUTING SITEPROP SLICE_X32Y129 NAME SLICE_X32Y129 SITEPROP SLICE_X32Y129 NUM_ARCS 138 SITEPROP SLICE_X32Y129 NUM_BELS 32 SITEPROP SLICE_X32Y129 NUM_INPUTS 32 SITEPROP SLICE_X32Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y129 NUM_PINS 45 SITEPROP SLICE_X32Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y129 PROHIBIT 0 SITEPROP SLICE_X32Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y129 RPM_X 89 SITEPROP SLICE_X32Y129 RPM_Y 258 SITEPROP SLICE_X32Y129 SITE_PIPS SITEPROP SLICE_X32Y129 SITE_TYPE SLICEL SITEPROP SLICE_X32Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y130 CLASS site SITEPROP SLICE_X32Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y130 IS_BONDED 0 SITEPROP SLICE_X32Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y130 IS_PAD 0 SITEPROP SLICE_X32Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y130 IS_RESERVED 0 SITEPROP SLICE_X32Y130 IS_TEST 0 SITEPROP SLICE_X32Y130 IS_USED 0 SITEPROP SLICE_X32Y130 MANUAL_ROUTING SITEPROP SLICE_X32Y130 NAME SLICE_X32Y130 SITEPROP SLICE_X32Y130 NUM_ARCS 138 SITEPROP SLICE_X32Y130 NUM_BELS 32 SITEPROP SLICE_X32Y130 NUM_INPUTS 32 SITEPROP SLICE_X32Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y130 NUM_PINS 45 SITEPROP SLICE_X32Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y130 PROHIBIT 0 SITEPROP SLICE_X32Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y130 RPM_X 89 SITEPROP SLICE_X32Y130 RPM_Y 260 SITEPROP SLICE_X32Y130 SITE_PIPS SITEPROP SLICE_X32Y130 SITE_TYPE SLICEL SITEPROP SLICE_X32Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y131 CLASS site SITEPROP SLICE_X32Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y131 IS_BONDED 0 SITEPROP SLICE_X32Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y131 IS_PAD 0 SITEPROP SLICE_X32Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y131 IS_RESERVED 0 SITEPROP SLICE_X32Y131 IS_TEST 0 SITEPROP SLICE_X32Y131 IS_USED 0 SITEPROP SLICE_X32Y131 MANUAL_ROUTING SITEPROP SLICE_X32Y131 NAME SLICE_X32Y131 SITEPROP SLICE_X32Y131 NUM_ARCS 138 SITEPROP SLICE_X32Y131 NUM_BELS 32 SITEPROP SLICE_X32Y131 NUM_INPUTS 32 SITEPROP SLICE_X32Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y131 NUM_PINS 45 SITEPROP SLICE_X32Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y131 PROHIBIT 0 SITEPROP SLICE_X32Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y131 RPM_X 89 SITEPROP SLICE_X32Y131 RPM_Y 262 SITEPROP SLICE_X32Y131 SITE_PIPS SITEPROP SLICE_X32Y131 SITE_TYPE SLICEL SITEPROP SLICE_X32Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y132 CLASS site SITEPROP SLICE_X32Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y132 IS_BONDED 0 SITEPROP SLICE_X32Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y132 IS_PAD 0 SITEPROP SLICE_X32Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y132 IS_RESERVED 0 SITEPROP SLICE_X32Y132 IS_TEST 0 SITEPROP SLICE_X32Y132 IS_USED 0 SITEPROP SLICE_X32Y132 MANUAL_ROUTING SITEPROP SLICE_X32Y132 NAME SLICE_X32Y132 SITEPROP SLICE_X32Y132 NUM_ARCS 138 SITEPROP SLICE_X32Y132 NUM_BELS 32 SITEPROP SLICE_X32Y132 NUM_INPUTS 32 SITEPROP SLICE_X32Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y132 NUM_PINS 45 SITEPROP SLICE_X32Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y132 PROHIBIT 0 SITEPROP SLICE_X32Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y132 RPM_X 89 SITEPROP SLICE_X32Y132 RPM_Y 264 SITEPROP SLICE_X32Y132 SITE_PIPS SITEPROP SLICE_X32Y132 SITE_TYPE SLICEL SITEPROP SLICE_X32Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y133 CLASS site SITEPROP SLICE_X32Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y133 IS_BONDED 0 SITEPROP SLICE_X32Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y133 IS_PAD 0 SITEPROP SLICE_X32Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y133 IS_RESERVED 0 SITEPROP SLICE_X32Y133 IS_TEST 0 SITEPROP SLICE_X32Y133 IS_USED 0 SITEPROP SLICE_X32Y133 MANUAL_ROUTING SITEPROP SLICE_X32Y133 NAME SLICE_X32Y133 SITEPROP SLICE_X32Y133 NUM_ARCS 138 SITEPROP SLICE_X32Y133 NUM_BELS 32 SITEPROP SLICE_X32Y133 NUM_INPUTS 32 SITEPROP SLICE_X32Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y133 NUM_PINS 45 SITEPROP SLICE_X32Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y133 PROHIBIT 0 SITEPROP SLICE_X32Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y133 RPM_X 89 SITEPROP SLICE_X32Y133 RPM_Y 266 SITEPROP SLICE_X32Y133 SITE_PIPS SITEPROP SLICE_X32Y133 SITE_TYPE SLICEL SITEPROP SLICE_X32Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y134 CLASS site SITEPROP SLICE_X32Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y134 IS_BONDED 0 SITEPROP SLICE_X32Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y134 IS_PAD 0 SITEPROP SLICE_X32Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y134 IS_RESERVED 0 SITEPROP SLICE_X32Y134 IS_TEST 0 SITEPROP SLICE_X32Y134 IS_USED 0 SITEPROP SLICE_X32Y134 MANUAL_ROUTING SITEPROP SLICE_X32Y134 NAME SLICE_X32Y134 SITEPROP SLICE_X32Y134 NUM_ARCS 138 SITEPROP SLICE_X32Y134 NUM_BELS 32 SITEPROP SLICE_X32Y134 NUM_INPUTS 32 SITEPROP SLICE_X32Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y134 NUM_PINS 45 SITEPROP SLICE_X32Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y134 PROHIBIT 0 SITEPROP SLICE_X32Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y134 RPM_X 89 SITEPROP SLICE_X32Y134 RPM_Y 268 SITEPROP SLICE_X32Y134 SITE_PIPS SITEPROP SLICE_X32Y134 SITE_TYPE SLICEL SITEPROP SLICE_X32Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y135 CLASS site SITEPROP SLICE_X32Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y135 IS_BONDED 0 SITEPROP SLICE_X32Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y135 IS_PAD 0 SITEPROP SLICE_X32Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y135 IS_RESERVED 0 SITEPROP SLICE_X32Y135 IS_TEST 0 SITEPROP SLICE_X32Y135 IS_USED 0 SITEPROP SLICE_X32Y135 MANUAL_ROUTING SITEPROP SLICE_X32Y135 NAME SLICE_X32Y135 SITEPROP SLICE_X32Y135 NUM_ARCS 138 SITEPROP SLICE_X32Y135 NUM_BELS 32 SITEPROP SLICE_X32Y135 NUM_INPUTS 32 SITEPROP SLICE_X32Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y135 NUM_PINS 45 SITEPROP SLICE_X32Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y135 PROHIBIT 0 SITEPROP SLICE_X32Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y135 RPM_X 89 SITEPROP SLICE_X32Y135 RPM_Y 270 SITEPROP SLICE_X32Y135 SITE_PIPS SITEPROP SLICE_X32Y135 SITE_TYPE SLICEL SITEPROP SLICE_X32Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y136 CLASS site SITEPROP SLICE_X32Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y136 IS_BONDED 0 SITEPROP SLICE_X32Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y136 IS_PAD 0 SITEPROP SLICE_X32Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y136 IS_RESERVED 0 SITEPROP SLICE_X32Y136 IS_TEST 0 SITEPROP SLICE_X32Y136 IS_USED 0 SITEPROP SLICE_X32Y136 MANUAL_ROUTING SITEPROP SLICE_X32Y136 NAME SLICE_X32Y136 SITEPROP SLICE_X32Y136 NUM_ARCS 138 SITEPROP SLICE_X32Y136 NUM_BELS 32 SITEPROP SLICE_X32Y136 NUM_INPUTS 32 SITEPROP SLICE_X32Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y136 NUM_PINS 45 SITEPROP SLICE_X32Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y136 PROHIBIT 0 SITEPROP SLICE_X32Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y136 RPM_X 89 SITEPROP SLICE_X32Y136 RPM_Y 272 SITEPROP SLICE_X32Y136 SITE_PIPS SITEPROP SLICE_X32Y136 SITE_TYPE SLICEL SITEPROP SLICE_X32Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y137 CLASS site SITEPROP SLICE_X32Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y137 IS_BONDED 0 SITEPROP SLICE_X32Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y137 IS_PAD 0 SITEPROP SLICE_X32Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y137 IS_RESERVED 0 SITEPROP SLICE_X32Y137 IS_TEST 0 SITEPROP SLICE_X32Y137 IS_USED 0 SITEPROP SLICE_X32Y137 MANUAL_ROUTING SITEPROP SLICE_X32Y137 NAME SLICE_X32Y137 SITEPROP SLICE_X32Y137 NUM_ARCS 138 SITEPROP SLICE_X32Y137 NUM_BELS 32 SITEPROP SLICE_X32Y137 NUM_INPUTS 32 SITEPROP SLICE_X32Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y137 NUM_PINS 45 SITEPROP SLICE_X32Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y137 PROHIBIT 0 SITEPROP SLICE_X32Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y137 RPM_X 89 SITEPROP SLICE_X32Y137 RPM_Y 274 SITEPROP SLICE_X32Y137 SITE_PIPS SITEPROP SLICE_X32Y137 SITE_TYPE SLICEL SITEPROP SLICE_X32Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y138 CLASS site SITEPROP SLICE_X32Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y138 IS_BONDED 0 SITEPROP SLICE_X32Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y138 IS_PAD 0 SITEPROP SLICE_X32Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y138 IS_RESERVED 0 SITEPROP SLICE_X32Y138 IS_TEST 0 SITEPROP SLICE_X32Y138 IS_USED 0 SITEPROP SLICE_X32Y138 MANUAL_ROUTING SITEPROP SLICE_X32Y138 NAME SLICE_X32Y138 SITEPROP SLICE_X32Y138 NUM_ARCS 138 SITEPROP SLICE_X32Y138 NUM_BELS 32 SITEPROP SLICE_X32Y138 NUM_INPUTS 32 SITEPROP SLICE_X32Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y138 NUM_PINS 45 SITEPROP SLICE_X32Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y138 PROHIBIT 0 SITEPROP SLICE_X32Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y138 RPM_X 89 SITEPROP SLICE_X32Y138 RPM_Y 276 SITEPROP SLICE_X32Y138 SITE_PIPS SITEPROP SLICE_X32Y138 SITE_TYPE SLICEL SITEPROP SLICE_X32Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y139 CLASS site SITEPROP SLICE_X32Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y139 IS_BONDED 0 SITEPROP SLICE_X32Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y139 IS_PAD 0 SITEPROP SLICE_X32Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y139 IS_RESERVED 0 SITEPROP SLICE_X32Y139 IS_TEST 0 SITEPROP SLICE_X32Y139 IS_USED 0 SITEPROP SLICE_X32Y139 MANUAL_ROUTING SITEPROP SLICE_X32Y139 NAME SLICE_X32Y139 SITEPROP SLICE_X32Y139 NUM_ARCS 138 SITEPROP SLICE_X32Y139 NUM_BELS 32 SITEPROP SLICE_X32Y139 NUM_INPUTS 32 SITEPROP SLICE_X32Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y139 NUM_PINS 45 SITEPROP SLICE_X32Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y139 PROHIBIT 0 SITEPROP SLICE_X32Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y139 RPM_X 89 SITEPROP SLICE_X32Y139 RPM_Y 278 SITEPROP SLICE_X32Y139 SITE_PIPS SITEPROP SLICE_X32Y139 SITE_TYPE SLICEL SITEPROP SLICE_X32Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y140 CLASS site SITEPROP SLICE_X32Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y140 IS_BONDED 0 SITEPROP SLICE_X32Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y140 IS_PAD 0 SITEPROP SLICE_X32Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y140 IS_RESERVED 0 SITEPROP SLICE_X32Y140 IS_TEST 0 SITEPROP SLICE_X32Y140 IS_USED 0 SITEPROP SLICE_X32Y140 MANUAL_ROUTING SITEPROP SLICE_X32Y140 NAME SLICE_X32Y140 SITEPROP SLICE_X32Y140 NUM_ARCS 138 SITEPROP SLICE_X32Y140 NUM_BELS 32 SITEPROP SLICE_X32Y140 NUM_INPUTS 32 SITEPROP SLICE_X32Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y140 NUM_PINS 45 SITEPROP SLICE_X32Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y140 PROHIBIT 0 SITEPROP SLICE_X32Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y140 RPM_X 89 SITEPROP SLICE_X32Y140 RPM_Y 280 SITEPROP SLICE_X32Y140 SITE_PIPS SITEPROP SLICE_X32Y140 SITE_TYPE SLICEL SITEPROP SLICE_X32Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y141 CLASS site SITEPROP SLICE_X32Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y141 IS_BONDED 0 SITEPROP SLICE_X32Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y141 IS_PAD 0 SITEPROP SLICE_X32Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y141 IS_RESERVED 0 SITEPROP SLICE_X32Y141 IS_TEST 0 SITEPROP SLICE_X32Y141 IS_USED 0 SITEPROP SLICE_X32Y141 MANUAL_ROUTING SITEPROP SLICE_X32Y141 NAME SLICE_X32Y141 SITEPROP SLICE_X32Y141 NUM_ARCS 138 SITEPROP SLICE_X32Y141 NUM_BELS 32 SITEPROP SLICE_X32Y141 NUM_INPUTS 32 SITEPROP SLICE_X32Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y141 NUM_PINS 45 SITEPROP SLICE_X32Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y141 PROHIBIT 0 SITEPROP SLICE_X32Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y141 RPM_X 89 SITEPROP SLICE_X32Y141 RPM_Y 282 SITEPROP SLICE_X32Y141 SITE_PIPS SITEPROP SLICE_X32Y141 SITE_TYPE SLICEL SITEPROP SLICE_X32Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y142 CLASS site SITEPROP SLICE_X32Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y142 IS_BONDED 0 SITEPROP SLICE_X32Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y142 IS_PAD 0 SITEPROP SLICE_X32Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y142 IS_RESERVED 0 SITEPROP SLICE_X32Y142 IS_TEST 0 SITEPROP SLICE_X32Y142 IS_USED 0 SITEPROP SLICE_X32Y142 MANUAL_ROUTING SITEPROP SLICE_X32Y142 NAME SLICE_X32Y142 SITEPROP SLICE_X32Y142 NUM_ARCS 138 SITEPROP SLICE_X32Y142 NUM_BELS 32 SITEPROP SLICE_X32Y142 NUM_INPUTS 32 SITEPROP SLICE_X32Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y142 NUM_PINS 45 SITEPROP SLICE_X32Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y142 PROHIBIT 0 SITEPROP SLICE_X32Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y142 RPM_X 89 SITEPROP SLICE_X32Y142 RPM_Y 284 SITEPROP SLICE_X32Y142 SITE_PIPS SITEPROP SLICE_X32Y142 SITE_TYPE SLICEL SITEPROP SLICE_X32Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y143 CLASS site SITEPROP SLICE_X32Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y143 IS_BONDED 0 SITEPROP SLICE_X32Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y143 IS_PAD 0 SITEPROP SLICE_X32Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y143 IS_RESERVED 0 SITEPROP SLICE_X32Y143 IS_TEST 0 SITEPROP SLICE_X32Y143 IS_USED 0 SITEPROP SLICE_X32Y143 MANUAL_ROUTING SITEPROP SLICE_X32Y143 NAME SLICE_X32Y143 SITEPROP SLICE_X32Y143 NUM_ARCS 138 SITEPROP SLICE_X32Y143 NUM_BELS 32 SITEPROP SLICE_X32Y143 NUM_INPUTS 32 SITEPROP SLICE_X32Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y143 NUM_PINS 45 SITEPROP SLICE_X32Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y143 PROHIBIT 0 SITEPROP SLICE_X32Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y143 RPM_X 89 SITEPROP SLICE_X32Y143 RPM_Y 286 SITEPROP SLICE_X32Y143 SITE_PIPS SITEPROP SLICE_X32Y143 SITE_TYPE SLICEL SITEPROP SLICE_X32Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y144 CLASS site SITEPROP SLICE_X32Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y144 IS_BONDED 0 SITEPROP SLICE_X32Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y144 IS_PAD 0 SITEPROP SLICE_X32Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y144 IS_RESERVED 0 SITEPROP SLICE_X32Y144 IS_TEST 0 SITEPROP SLICE_X32Y144 IS_USED 0 SITEPROP SLICE_X32Y144 MANUAL_ROUTING SITEPROP SLICE_X32Y144 NAME SLICE_X32Y144 SITEPROP SLICE_X32Y144 NUM_ARCS 138 SITEPROP SLICE_X32Y144 NUM_BELS 32 SITEPROP SLICE_X32Y144 NUM_INPUTS 32 SITEPROP SLICE_X32Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y144 NUM_PINS 45 SITEPROP SLICE_X32Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y144 PROHIBIT 0 SITEPROP SLICE_X32Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y144 RPM_X 89 SITEPROP SLICE_X32Y144 RPM_Y 288 SITEPROP SLICE_X32Y144 SITE_PIPS SITEPROP SLICE_X32Y144 SITE_TYPE SLICEL SITEPROP SLICE_X32Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y145 CLASS site SITEPROP SLICE_X32Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y145 IS_BONDED 0 SITEPROP SLICE_X32Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y145 IS_PAD 0 SITEPROP SLICE_X32Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y145 IS_RESERVED 0 SITEPROP SLICE_X32Y145 IS_TEST 0 SITEPROP SLICE_X32Y145 IS_USED 0 SITEPROP SLICE_X32Y145 MANUAL_ROUTING SITEPROP SLICE_X32Y145 NAME SLICE_X32Y145 SITEPROP SLICE_X32Y145 NUM_ARCS 138 SITEPROP SLICE_X32Y145 NUM_BELS 32 SITEPROP SLICE_X32Y145 NUM_INPUTS 32 SITEPROP SLICE_X32Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y145 NUM_PINS 45 SITEPROP SLICE_X32Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y145 PROHIBIT 0 SITEPROP SLICE_X32Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y145 RPM_X 89 SITEPROP SLICE_X32Y145 RPM_Y 290 SITEPROP SLICE_X32Y145 SITE_PIPS SITEPROP SLICE_X32Y145 SITE_TYPE SLICEL SITEPROP SLICE_X32Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y146 CLASS site SITEPROP SLICE_X32Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y146 IS_BONDED 0 SITEPROP SLICE_X32Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y146 IS_PAD 0 SITEPROP SLICE_X32Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y146 IS_RESERVED 0 SITEPROP SLICE_X32Y146 IS_TEST 0 SITEPROP SLICE_X32Y146 IS_USED 0 SITEPROP SLICE_X32Y146 MANUAL_ROUTING SITEPROP SLICE_X32Y146 NAME SLICE_X32Y146 SITEPROP SLICE_X32Y146 NUM_ARCS 138 SITEPROP SLICE_X32Y146 NUM_BELS 32 SITEPROP SLICE_X32Y146 NUM_INPUTS 32 SITEPROP SLICE_X32Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y146 NUM_PINS 45 SITEPROP SLICE_X32Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y146 PROHIBIT 0 SITEPROP SLICE_X32Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y146 RPM_X 89 SITEPROP SLICE_X32Y146 RPM_Y 292 SITEPROP SLICE_X32Y146 SITE_PIPS SITEPROP SLICE_X32Y146 SITE_TYPE SLICEL SITEPROP SLICE_X32Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y147 CLASS site SITEPROP SLICE_X32Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y147 IS_BONDED 0 SITEPROP SLICE_X32Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y147 IS_PAD 0 SITEPROP SLICE_X32Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y147 IS_RESERVED 0 SITEPROP SLICE_X32Y147 IS_TEST 0 SITEPROP SLICE_X32Y147 IS_USED 0 SITEPROP SLICE_X32Y147 MANUAL_ROUTING SITEPROP SLICE_X32Y147 NAME SLICE_X32Y147 SITEPROP SLICE_X32Y147 NUM_ARCS 138 SITEPROP SLICE_X32Y147 NUM_BELS 32 SITEPROP SLICE_X32Y147 NUM_INPUTS 32 SITEPROP SLICE_X32Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y147 NUM_PINS 45 SITEPROP SLICE_X32Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y147 PROHIBIT 0 SITEPROP SLICE_X32Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y147 RPM_X 89 SITEPROP SLICE_X32Y147 RPM_Y 294 SITEPROP SLICE_X32Y147 SITE_PIPS SITEPROP SLICE_X32Y147 SITE_TYPE SLICEL SITEPROP SLICE_X32Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y148 CLASS site SITEPROP SLICE_X32Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y148 IS_BONDED 0 SITEPROP SLICE_X32Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y148 IS_PAD 0 SITEPROP SLICE_X32Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y148 IS_RESERVED 0 SITEPROP SLICE_X32Y148 IS_TEST 0 SITEPROP SLICE_X32Y148 IS_USED 0 SITEPROP SLICE_X32Y148 MANUAL_ROUTING SITEPROP SLICE_X32Y148 NAME SLICE_X32Y148 SITEPROP SLICE_X32Y148 NUM_ARCS 138 SITEPROP SLICE_X32Y148 NUM_BELS 32 SITEPROP SLICE_X32Y148 NUM_INPUTS 32 SITEPROP SLICE_X32Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y148 NUM_PINS 45 SITEPROP SLICE_X32Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y148 PROHIBIT 0 SITEPROP SLICE_X32Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y148 RPM_X 89 SITEPROP SLICE_X32Y148 RPM_Y 296 SITEPROP SLICE_X32Y148 SITE_PIPS SITEPROP SLICE_X32Y148 SITE_TYPE SLICEL SITEPROP SLICE_X32Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X32Y149 CLASS site SITEPROP SLICE_X32Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X32Y149 IS_BONDED 0 SITEPROP SLICE_X32Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X32Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y149 IS_PAD 0 SITEPROP SLICE_X32Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X32Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X32Y149 IS_RESERVED 0 SITEPROP SLICE_X32Y149 IS_TEST 0 SITEPROP SLICE_X32Y149 IS_USED 0 SITEPROP SLICE_X32Y149 MANUAL_ROUTING SITEPROP SLICE_X32Y149 NAME SLICE_X32Y149 SITEPROP SLICE_X32Y149 NUM_ARCS 138 SITEPROP SLICE_X32Y149 NUM_BELS 32 SITEPROP SLICE_X32Y149 NUM_INPUTS 32 SITEPROP SLICE_X32Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X32Y149 NUM_PINS 45 SITEPROP SLICE_X32Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X32Y149 PROHIBIT 0 SITEPROP SLICE_X32Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X32Y149 RPM_X 89 SITEPROP SLICE_X32Y149 RPM_Y 298 SITEPROP SLICE_X32Y149 SITE_PIPS SITEPROP SLICE_X32Y149 SITE_TYPE SLICEL SITEPROP SLICE_X33Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y0 CLASS site SITEPROP SLICE_X33Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y0 IS_BONDED 0 SITEPROP SLICE_X33Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y0 IS_PAD 0 SITEPROP SLICE_X33Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y0 IS_RESERVED 0 SITEPROP SLICE_X33Y0 IS_TEST 0 SITEPROP SLICE_X33Y0 IS_USED 0 SITEPROP SLICE_X33Y0 MANUAL_ROUTING SITEPROP SLICE_X33Y0 NAME SLICE_X33Y0 SITEPROP SLICE_X33Y0 NUM_ARCS 138 SITEPROP SLICE_X33Y0 NUM_BELS 32 SITEPROP SLICE_X33Y0 NUM_INPUTS 32 SITEPROP SLICE_X33Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y0 NUM_PINS 45 SITEPROP SLICE_X33Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y0 PROHIBIT 0 SITEPROP SLICE_X33Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y0 RPM_X 91 SITEPROP SLICE_X33Y0 RPM_Y 0 SITEPROP SLICE_X33Y0 SITE_PIPS SITEPROP SLICE_X33Y0 SITE_TYPE SLICEL SITEPROP SLICE_X33Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y1 CLASS site SITEPROP SLICE_X33Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y1 IS_BONDED 0 SITEPROP SLICE_X33Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y1 IS_PAD 0 SITEPROP SLICE_X33Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y1 IS_RESERVED 0 SITEPROP SLICE_X33Y1 IS_TEST 0 SITEPROP SLICE_X33Y1 IS_USED 0 SITEPROP SLICE_X33Y1 MANUAL_ROUTING SITEPROP SLICE_X33Y1 NAME SLICE_X33Y1 SITEPROP SLICE_X33Y1 NUM_ARCS 138 SITEPROP SLICE_X33Y1 NUM_BELS 32 SITEPROP SLICE_X33Y1 NUM_INPUTS 32 SITEPROP SLICE_X33Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y1 NUM_PINS 45 SITEPROP SLICE_X33Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y1 PROHIBIT 0 SITEPROP SLICE_X33Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y1 RPM_X 91 SITEPROP SLICE_X33Y1 RPM_Y 2 SITEPROP SLICE_X33Y1 SITE_PIPS SITEPROP SLICE_X33Y1 SITE_TYPE SLICEL SITEPROP SLICE_X33Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y2 CLASS site SITEPROP SLICE_X33Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y2 IS_BONDED 0 SITEPROP SLICE_X33Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y2 IS_PAD 0 SITEPROP SLICE_X33Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y2 IS_RESERVED 0 SITEPROP SLICE_X33Y2 IS_TEST 0 SITEPROP SLICE_X33Y2 IS_USED 0 SITEPROP SLICE_X33Y2 MANUAL_ROUTING SITEPROP SLICE_X33Y2 NAME SLICE_X33Y2 SITEPROP SLICE_X33Y2 NUM_ARCS 138 SITEPROP SLICE_X33Y2 NUM_BELS 32 SITEPROP SLICE_X33Y2 NUM_INPUTS 32 SITEPROP SLICE_X33Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y2 NUM_PINS 45 SITEPROP SLICE_X33Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y2 PROHIBIT 0 SITEPROP SLICE_X33Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y2 RPM_X 91 SITEPROP SLICE_X33Y2 RPM_Y 4 SITEPROP SLICE_X33Y2 SITE_PIPS SITEPROP SLICE_X33Y2 SITE_TYPE SLICEL SITEPROP SLICE_X33Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y3 CLASS site SITEPROP SLICE_X33Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y3 IS_BONDED 0 SITEPROP SLICE_X33Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y3 IS_PAD 0 SITEPROP SLICE_X33Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y3 IS_RESERVED 0 SITEPROP SLICE_X33Y3 IS_TEST 0 SITEPROP SLICE_X33Y3 IS_USED 0 SITEPROP SLICE_X33Y3 MANUAL_ROUTING SITEPROP SLICE_X33Y3 NAME SLICE_X33Y3 SITEPROP SLICE_X33Y3 NUM_ARCS 138 SITEPROP SLICE_X33Y3 NUM_BELS 32 SITEPROP SLICE_X33Y3 NUM_INPUTS 32 SITEPROP SLICE_X33Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y3 NUM_PINS 45 SITEPROP SLICE_X33Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y3 PROHIBIT 0 SITEPROP SLICE_X33Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y3 RPM_X 91 SITEPROP SLICE_X33Y3 RPM_Y 6 SITEPROP SLICE_X33Y3 SITE_PIPS SITEPROP SLICE_X33Y3 SITE_TYPE SLICEL SITEPROP SLICE_X33Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y4 CLASS site SITEPROP SLICE_X33Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y4 IS_BONDED 0 SITEPROP SLICE_X33Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y4 IS_PAD 0 SITEPROP SLICE_X33Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y4 IS_RESERVED 0 SITEPROP SLICE_X33Y4 IS_TEST 0 SITEPROP SLICE_X33Y4 IS_USED 0 SITEPROP SLICE_X33Y4 MANUAL_ROUTING SITEPROP SLICE_X33Y4 NAME SLICE_X33Y4 SITEPROP SLICE_X33Y4 NUM_ARCS 138 SITEPROP SLICE_X33Y4 NUM_BELS 32 SITEPROP SLICE_X33Y4 NUM_INPUTS 32 SITEPROP SLICE_X33Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y4 NUM_PINS 45 SITEPROP SLICE_X33Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y4 PROHIBIT 0 SITEPROP SLICE_X33Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y4 RPM_X 91 SITEPROP SLICE_X33Y4 RPM_Y 8 SITEPROP SLICE_X33Y4 SITE_PIPS SITEPROP SLICE_X33Y4 SITE_TYPE SLICEL SITEPROP SLICE_X33Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y5 CLASS site SITEPROP SLICE_X33Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y5 IS_BONDED 0 SITEPROP SLICE_X33Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y5 IS_PAD 0 SITEPROP SLICE_X33Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y5 IS_RESERVED 0 SITEPROP SLICE_X33Y5 IS_TEST 0 SITEPROP SLICE_X33Y5 IS_USED 0 SITEPROP SLICE_X33Y5 MANUAL_ROUTING SITEPROP SLICE_X33Y5 NAME SLICE_X33Y5 SITEPROP SLICE_X33Y5 NUM_ARCS 138 SITEPROP SLICE_X33Y5 NUM_BELS 32 SITEPROP SLICE_X33Y5 NUM_INPUTS 32 SITEPROP SLICE_X33Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y5 NUM_PINS 45 SITEPROP SLICE_X33Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y5 PROHIBIT 0 SITEPROP SLICE_X33Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y5 RPM_X 91 SITEPROP SLICE_X33Y5 RPM_Y 10 SITEPROP SLICE_X33Y5 SITE_PIPS SITEPROP SLICE_X33Y5 SITE_TYPE SLICEL SITEPROP SLICE_X33Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y6 CLASS site SITEPROP SLICE_X33Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y6 IS_BONDED 0 SITEPROP SLICE_X33Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y6 IS_PAD 0 SITEPROP SLICE_X33Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y6 IS_RESERVED 0 SITEPROP SLICE_X33Y6 IS_TEST 0 SITEPROP SLICE_X33Y6 IS_USED 0 SITEPROP SLICE_X33Y6 MANUAL_ROUTING SITEPROP SLICE_X33Y6 NAME SLICE_X33Y6 SITEPROP SLICE_X33Y6 NUM_ARCS 138 SITEPROP SLICE_X33Y6 NUM_BELS 32 SITEPROP SLICE_X33Y6 NUM_INPUTS 32 SITEPROP SLICE_X33Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y6 NUM_PINS 45 SITEPROP SLICE_X33Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y6 PROHIBIT 0 SITEPROP SLICE_X33Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y6 RPM_X 91 SITEPROP SLICE_X33Y6 RPM_Y 12 SITEPROP SLICE_X33Y6 SITE_PIPS SITEPROP SLICE_X33Y6 SITE_TYPE SLICEL SITEPROP SLICE_X33Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y7 CLASS site SITEPROP SLICE_X33Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y7 IS_BONDED 0 SITEPROP SLICE_X33Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y7 IS_PAD 0 SITEPROP SLICE_X33Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y7 IS_RESERVED 0 SITEPROP SLICE_X33Y7 IS_TEST 0 SITEPROP SLICE_X33Y7 IS_USED 0 SITEPROP SLICE_X33Y7 MANUAL_ROUTING SITEPROP SLICE_X33Y7 NAME SLICE_X33Y7 SITEPROP SLICE_X33Y7 NUM_ARCS 138 SITEPROP SLICE_X33Y7 NUM_BELS 32 SITEPROP SLICE_X33Y7 NUM_INPUTS 32 SITEPROP SLICE_X33Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y7 NUM_PINS 45 SITEPROP SLICE_X33Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y7 PROHIBIT 0 SITEPROP SLICE_X33Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y7 RPM_X 91 SITEPROP SLICE_X33Y7 RPM_Y 14 SITEPROP SLICE_X33Y7 SITE_PIPS SITEPROP SLICE_X33Y7 SITE_TYPE SLICEL SITEPROP SLICE_X33Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y8 CLASS site SITEPROP SLICE_X33Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y8 IS_BONDED 0 SITEPROP SLICE_X33Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y8 IS_PAD 0 SITEPROP SLICE_X33Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y8 IS_RESERVED 0 SITEPROP SLICE_X33Y8 IS_TEST 0 SITEPROP SLICE_X33Y8 IS_USED 0 SITEPROP SLICE_X33Y8 MANUAL_ROUTING SITEPROP SLICE_X33Y8 NAME SLICE_X33Y8 SITEPROP SLICE_X33Y8 NUM_ARCS 138 SITEPROP SLICE_X33Y8 NUM_BELS 32 SITEPROP SLICE_X33Y8 NUM_INPUTS 32 SITEPROP SLICE_X33Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y8 NUM_PINS 45 SITEPROP SLICE_X33Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y8 PROHIBIT 0 SITEPROP SLICE_X33Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y8 RPM_X 91 SITEPROP SLICE_X33Y8 RPM_Y 16 SITEPROP SLICE_X33Y8 SITE_PIPS SITEPROP SLICE_X33Y8 SITE_TYPE SLICEL SITEPROP SLICE_X33Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y9 CLASS site SITEPROP SLICE_X33Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y9 IS_BONDED 0 SITEPROP SLICE_X33Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y9 IS_PAD 0 SITEPROP SLICE_X33Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y9 IS_RESERVED 0 SITEPROP SLICE_X33Y9 IS_TEST 0 SITEPROP SLICE_X33Y9 IS_USED 0 SITEPROP SLICE_X33Y9 MANUAL_ROUTING SITEPROP SLICE_X33Y9 NAME SLICE_X33Y9 SITEPROP SLICE_X33Y9 NUM_ARCS 138 SITEPROP SLICE_X33Y9 NUM_BELS 32 SITEPROP SLICE_X33Y9 NUM_INPUTS 32 SITEPROP SLICE_X33Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y9 NUM_PINS 45 SITEPROP SLICE_X33Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y9 PROHIBIT 0 SITEPROP SLICE_X33Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y9 RPM_X 91 SITEPROP SLICE_X33Y9 RPM_Y 18 SITEPROP SLICE_X33Y9 SITE_PIPS SITEPROP SLICE_X33Y9 SITE_TYPE SLICEL SITEPROP SLICE_X33Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y10 CLASS site SITEPROP SLICE_X33Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y10 IS_BONDED 0 SITEPROP SLICE_X33Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y10 IS_PAD 0 SITEPROP SLICE_X33Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y10 IS_RESERVED 0 SITEPROP SLICE_X33Y10 IS_TEST 0 SITEPROP SLICE_X33Y10 IS_USED 0 SITEPROP SLICE_X33Y10 MANUAL_ROUTING SITEPROP SLICE_X33Y10 NAME SLICE_X33Y10 SITEPROP SLICE_X33Y10 NUM_ARCS 138 SITEPROP SLICE_X33Y10 NUM_BELS 32 SITEPROP SLICE_X33Y10 NUM_INPUTS 32 SITEPROP SLICE_X33Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y10 NUM_PINS 45 SITEPROP SLICE_X33Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y10 PROHIBIT 0 SITEPROP SLICE_X33Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y10 RPM_X 91 SITEPROP SLICE_X33Y10 RPM_Y 20 SITEPROP SLICE_X33Y10 SITE_PIPS SITEPROP SLICE_X33Y10 SITE_TYPE SLICEL SITEPROP SLICE_X33Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y11 CLASS site SITEPROP SLICE_X33Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y11 IS_BONDED 0 SITEPROP SLICE_X33Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y11 IS_PAD 0 SITEPROP SLICE_X33Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y11 IS_RESERVED 0 SITEPROP SLICE_X33Y11 IS_TEST 0 SITEPROP SLICE_X33Y11 IS_USED 0 SITEPROP SLICE_X33Y11 MANUAL_ROUTING SITEPROP SLICE_X33Y11 NAME SLICE_X33Y11 SITEPROP SLICE_X33Y11 NUM_ARCS 138 SITEPROP SLICE_X33Y11 NUM_BELS 32 SITEPROP SLICE_X33Y11 NUM_INPUTS 32 SITEPROP SLICE_X33Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y11 NUM_PINS 45 SITEPROP SLICE_X33Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y11 PROHIBIT 0 SITEPROP SLICE_X33Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y11 RPM_X 91 SITEPROP SLICE_X33Y11 RPM_Y 22 SITEPROP SLICE_X33Y11 SITE_PIPS SITEPROP SLICE_X33Y11 SITE_TYPE SLICEL SITEPROP SLICE_X33Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y12 CLASS site SITEPROP SLICE_X33Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y12 IS_BONDED 0 SITEPROP SLICE_X33Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y12 IS_PAD 0 SITEPROP SLICE_X33Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y12 IS_RESERVED 0 SITEPROP SLICE_X33Y12 IS_TEST 0 SITEPROP SLICE_X33Y12 IS_USED 0 SITEPROP SLICE_X33Y12 MANUAL_ROUTING SITEPROP SLICE_X33Y12 NAME SLICE_X33Y12 SITEPROP SLICE_X33Y12 NUM_ARCS 138 SITEPROP SLICE_X33Y12 NUM_BELS 32 SITEPROP SLICE_X33Y12 NUM_INPUTS 32 SITEPROP SLICE_X33Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y12 NUM_PINS 45 SITEPROP SLICE_X33Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y12 PROHIBIT 0 SITEPROP SLICE_X33Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y12 RPM_X 91 SITEPROP SLICE_X33Y12 RPM_Y 24 SITEPROP SLICE_X33Y12 SITE_PIPS SITEPROP SLICE_X33Y12 SITE_TYPE SLICEL SITEPROP SLICE_X33Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y13 CLASS site SITEPROP SLICE_X33Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y13 IS_BONDED 0 SITEPROP SLICE_X33Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y13 IS_PAD 0 SITEPROP SLICE_X33Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y13 IS_RESERVED 0 SITEPROP SLICE_X33Y13 IS_TEST 0 SITEPROP SLICE_X33Y13 IS_USED 0 SITEPROP SLICE_X33Y13 MANUAL_ROUTING SITEPROP SLICE_X33Y13 NAME SLICE_X33Y13 SITEPROP SLICE_X33Y13 NUM_ARCS 138 SITEPROP SLICE_X33Y13 NUM_BELS 32 SITEPROP SLICE_X33Y13 NUM_INPUTS 32 SITEPROP SLICE_X33Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y13 NUM_PINS 45 SITEPROP SLICE_X33Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y13 PROHIBIT 0 SITEPROP SLICE_X33Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y13 RPM_X 91 SITEPROP SLICE_X33Y13 RPM_Y 26 SITEPROP SLICE_X33Y13 SITE_PIPS SITEPROP SLICE_X33Y13 SITE_TYPE SLICEL SITEPROP SLICE_X33Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y14 CLASS site SITEPROP SLICE_X33Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y14 IS_BONDED 0 SITEPROP SLICE_X33Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y14 IS_PAD 0 SITEPROP SLICE_X33Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y14 IS_RESERVED 0 SITEPROP SLICE_X33Y14 IS_TEST 0 SITEPROP SLICE_X33Y14 IS_USED 0 SITEPROP SLICE_X33Y14 MANUAL_ROUTING SITEPROP SLICE_X33Y14 NAME SLICE_X33Y14 SITEPROP SLICE_X33Y14 NUM_ARCS 138 SITEPROP SLICE_X33Y14 NUM_BELS 32 SITEPROP SLICE_X33Y14 NUM_INPUTS 32 SITEPROP SLICE_X33Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y14 NUM_PINS 45 SITEPROP SLICE_X33Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y14 PROHIBIT 0 SITEPROP SLICE_X33Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y14 RPM_X 91 SITEPROP SLICE_X33Y14 RPM_Y 28 SITEPROP SLICE_X33Y14 SITE_PIPS SITEPROP SLICE_X33Y14 SITE_TYPE SLICEL SITEPROP SLICE_X33Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y15 CLASS site SITEPROP SLICE_X33Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y15 IS_BONDED 0 SITEPROP SLICE_X33Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y15 IS_PAD 0 SITEPROP SLICE_X33Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y15 IS_RESERVED 0 SITEPROP SLICE_X33Y15 IS_TEST 0 SITEPROP SLICE_X33Y15 IS_USED 0 SITEPROP SLICE_X33Y15 MANUAL_ROUTING SITEPROP SLICE_X33Y15 NAME SLICE_X33Y15 SITEPROP SLICE_X33Y15 NUM_ARCS 138 SITEPROP SLICE_X33Y15 NUM_BELS 32 SITEPROP SLICE_X33Y15 NUM_INPUTS 32 SITEPROP SLICE_X33Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y15 NUM_PINS 45 SITEPROP SLICE_X33Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y15 PROHIBIT 0 SITEPROP SLICE_X33Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y15 RPM_X 91 SITEPROP SLICE_X33Y15 RPM_Y 30 SITEPROP SLICE_X33Y15 SITE_PIPS SITEPROP SLICE_X33Y15 SITE_TYPE SLICEL SITEPROP SLICE_X33Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y16 CLASS site SITEPROP SLICE_X33Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y16 IS_BONDED 0 SITEPROP SLICE_X33Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y16 IS_PAD 0 SITEPROP SLICE_X33Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y16 IS_RESERVED 0 SITEPROP SLICE_X33Y16 IS_TEST 0 SITEPROP SLICE_X33Y16 IS_USED 0 SITEPROP SLICE_X33Y16 MANUAL_ROUTING SITEPROP SLICE_X33Y16 NAME SLICE_X33Y16 SITEPROP SLICE_X33Y16 NUM_ARCS 138 SITEPROP SLICE_X33Y16 NUM_BELS 32 SITEPROP SLICE_X33Y16 NUM_INPUTS 32 SITEPROP SLICE_X33Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y16 NUM_PINS 45 SITEPROP SLICE_X33Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y16 PROHIBIT 0 SITEPROP SLICE_X33Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y16 RPM_X 91 SITEPROP SLICE_X33Y16 RPM_Y 32 SITEPROP SLICE_X33Y16 SITE_PIPS SITEPROP SLICE_X33Y16 SITE_TYPE SLICEL SITEPROP SLICE_X33Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y17 CLASS site SITEPROP SLICE_X33Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y17 IS_BONDED 0 SITEPROP SLICE_X33Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y17 IS_PAD 0 SITEPROP SLICE_X33Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y17 IS_RESERVED 0 SITEPROP SLICE_X33Y17 IS_TEST 0 SITEPROP SLICE_X33Y17 IS_USED 0 SITEPROP SLICE_X33Y17 MANUAL_ROUTING SITEPROP SLICE_X33Y17 NAME SLICE_X33Y17 SITEPROP SLICE_X33Y17 NUM_ARCS 138 SITEPROP SLICE_X33Y17 NUM_BELS 32 SITEPROP SLICE_X33Y17 NUM_INPUTS 32 SITEPROP SLICE_X33Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y17 NUM_PINS 45 SITEPROP SLICE_X33Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y17 PROHIBIT 0 SITEPROP SLICE_X33Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y17 RPM_X 91 SITEPROP SLICE_X33Y17 RPM_Y 34 SITEPROP SLICE_X33Y17 SITE_PIPS SITEPROP SLICE_X33Y17 SITE_TYPE SLICEL SITEPROP SLICE_X33Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y18 CLASS site SITEPROP SLICE_X33Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y18 IS_BONDED 0 SITEPROP SLICE_X33Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y18 IS_PAD 0 SITEPROP SLICE_X33Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y18 IS_RESERVED 0 SITEPROP SLICE_X33Y18 IS_TEST 0 SITEPROP SLICE_X33Y18 IS_USED 0 SITEPROP SLICE_X33Y18 MANUAL_ROUTING SITEPROP SLICE_X33Y18 NAME SLICE_X33Y18 SITEPROP SLICE_X33Y18 NUM_ARCS 138 SITEPROP SLICE_X33Y18 NUM_BELS 32 SITEPROP SLICE_X33Y18 NUM_INPUTS 32 SITEPROP SLICE_X33Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y18 NUM_PINS 45 SITEPROP SLICE_X33Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y18 PROHIBIT 0 SITEPROP SLICE_X33Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y18 RPM_X 91 SITEPROP SLICE_X33Y18 RPM_Y 36 SITEPROP SLICE_X33Y18 SITE_PIPS SITEPROP SLICE_X33Y18 SITE_TYPE SLICEL SITEPROP SLICE_X33Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y19 CLASS site SITEPROP SLICE_X33Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y19 IS_BONDED 0 SITEPROP SLICE_X33Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y19 IS_PAD 0 SITEPROP SLICE_X33Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y19 IS_RESERVED 0 SITEPROP SLICE_X33Y19 IS_TEST 0 SITEPROP SLICE_X33Y19 IS_USED 0 SITEPROP SLICE_X33Y19 MANUAL_ROUTING SITEPROP SLICE_X33Y19 NAME SLICE_X33Y19 SITEPROP SLICE_X33Y19 NUM_ARCS 138 SITEPROP SLICE_X33Y19 NUM_BELS 32 SITEPROP SLICE_X33Y19 NUM_INPUTS 32 SITEPROP SLICE_X33Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y19 NUM_PINS 45 SITEPROP SLICE_X33Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y19 PROHIBIT 0 SITEPROP SLICE_X33Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y19 RPM_X 91 SITEPROP SLICE_X33Y19 RPM_Y 38 SITEPROP SLICE_X33Y19 SITE_PIPS SITEPROP SLICE_X33Y19 SITE_TYPE SLICEL SITEPROP SLICE_X33Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y20 CLASS site SITEPROP SLICE_X33Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y20 IS_BONDED 0 SITEPROP SLICE_X33Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y20 IS_PAD 0 SITEPROP SLICE_X33Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y20 IS_RESERVED 0 SITEPROP SLICE_X33Y20 IS_TEST 0 SITEPROP SLICE_X33Y20 IS_USED 0 SITEPROP SLICE_X33Y20 MANUAL_ROUTING SITEPROP SLICE_X33Y20 NAME SLICE_X33Y20 SITEPROP SLICE_X33Y20 NUM_ARCS 138 SITEPROP SLICE_X33Y20 NUM_BELS 32 SITEPROP SLICE_X33Y20 NUM_INPUTS 32 SITEPROP SLICE_X33Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y20 NUM_PINS 45 SITEPROP SLICE_X33Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y20 PROHIBIT 0 SITEPROP SLICE_X33Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y20 RPM_X 91 SITEPROP SLICE_X33Y20 RPM_Y 40 SITEPROP SLICE_X33Y20 SITE_PIPS SITEPROP SLICE_X33Y20 SITE_TYPE SLICEL SITEPROP SLICE_X33Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y21 CLASS site SITEPROP SLICE_X33Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y21 IS_BONDED 0 SITEPROP SLICE_X33Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y21 IS_PAD 0 SITEPROP SLICE_X33Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y21 IS_RESERVED 0 SITEPROP SLICE_X33Y21 IS_TEST 0 SITEPROP SLICE_X33Y21 IS_USED 0 SITEPROP SLICE_X33Y21 MANUAL_ROUTING SITEPROP SLICE_X33Y21 NAME SLICE_X33Y21 SITEPROP SLICE_X33Y21 NUM_ARCS 138 SITEPROP SLICE_X33Y21 NUM_BELS 32 SITEPROP SLICE_X33Y21 NUM_INPUTS 32 SITEPROP SLICE_X33Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y21 NUM_PINS 45 SITEPROP SLICE_X33Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y21 PROHIBIT 0 SITEPROP SLICE_X33Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y21 RPM_X 91 SITEPROP SLICE_X33Y21 RPM_Y 42 SITEPROP SLICE_X33Y21 SITE_PIPS SITEPROP SLICE_X33Y21 SITE_TYPE SLICEL SITEPROP SLICE_X33Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y22 CLASS site SITEPROP SLICE_X33Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y22 IS_BONDED 0 SITEPROP SLICE_X33Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y22 IS_PAD 0 SITEPROP SLICE_X33Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y22 IS_RESERVED 0 SITEPROP SLICE_X33Y22 IS_TEST 0 SITEPROP SLICE_X33Y22 IS_USED 0 SITEPROP SLICE_X33Y22 MANUAL_ROUTING SITEPROP SLICE_X33Y22 NAME SLICE_X33Y22 SITEPROP SLICE_X33Y22 NUM_ARCS 138 SITEPROP SLICE_X33Y22 NUM_BELS 32 SITEPROP SLICE_X33Y22 NUM_INPUTS 32 SITEPROP SLICE_X33Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y22 NUM_PINS 45 SITEPROP SLICE_X33Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y22 PROHIBIT 0 SITEPROP SLICE_X33Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y22 RPM_X 91 SITEPROP SLICE_X33Y22 RPM_Y 44 SITEPROP SLICE_X33Y22 SITE_PIPS SITEPROP SLICE_X33Y22 SITE_TYPE SLICEL SITEPROP SLICE_X33Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y23 CLASS site SITEPROP SLICE_X33Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y23 IS_BONDED 0 SITEPROP SLICE_X33Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y23 IS_PAD 0 SITEPROP SLICE_X33Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y23 IS_RESERVED 0 SITEPROP SLICE_X33Y23 IS_TEST 0 SITEPROP SLICE_X33Y23 IS_USED 0 SITEPROP SLICE_X33Y23 MANUAL_ROUTING SITEPROP SLICE_X33Y23 NAME SLICE_X33Y23 SITEPROP SLICE_X33Y23 NUM_ARCS 138 SITEPROP SLICE_X33Y23 NUM_BELS 32 SITEPROP SLICE_X33Y23 NUM_INPUTS 32 SITEPROP SLICE_X33Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y23 NUM_PINS 45 SITEPROP SLICE_X33Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y23 PROHIBIT 0 SITEPROP SLICE_X33Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y23 RPM_X 91 SITEPROP SLICE_X33Y23 RPM_Y 46 SITEPROP SLICE_X33Y23 SITE_PIPS SITEPROP SLICE_X33Y23 SITE_TYPE SLICEL SITEPROP SLICE_X33Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y24 CLASS site SITEPROP SLICE_X33Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y24 IS_BONDED 0 SITEPROP SLICE_X33Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y24 IS_PAD 0 SITEPROP SLICE_X33Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y24 IS_RESERVED 0 SITEPROP SLICE_X33Y24 IS_TEST 0 SITEPROP SLICE_X33Y24 IS_USED 0 SITEPROP SLICE_X33Y24 MANUAL_ROUTING SITEPROP SLICE_X33Y24 NAME SLICE_X33Y24 SITEPROP SLICE_X33Y24 NUM_ARCS 138 SITEPROP SLICE_X33Y24 NUM_BELS 32 SITEPROP SLICE_X33Y24 NUM_INPUTS 32 SITEPROP SLICE_X33Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y24 NUM_PINS 45 SITEPROP SLICE_X33Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y24 PROHIBIT 0 SITEPROP SLICE_X33Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y24 RPM_X 91 SITEPROP SLICE_X33Y24 RPM_Y 48 SITEPROP SLICE_X33Y24 SITE_PIPS SITEPROP SLICE_X33Y24 SITE_TYPE SLICEL SITEPROP SLICE_X33Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y25 CLASS site SITEPROP SLICE_X33Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y25 IS_BONDED 0 SITEPROP SLICE_X33Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y25 IS_PAD 0 SITEPROP SLICE_X33Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y25 IS_RESERVED 0 SITEPROP SLICE_X33Y25 IS_TEST 0 SITEPROP SLICE_X33Y25 IS_USED 0 SITEPROP SLICE_X33Y25 MANUAL_ROUTING SITEPROP SLICE_X33Y25 NAME SLICE_X33Y25 SITEPROP SLICE_X33Y25 NUM_ARCS 138 SITEPROP SLICE_X33Y25 NUM_BELS 32 SITEPROP SLICE_X33Y25 NUM_INPUTS 32 SITEPROP SLICE_X33Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y25 NUM_PINS 45 SITEPROP SLICE_X33Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y25 PROHIBIT 0 SITEPROP SLICE_X33Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y25 RPM_X 91 SITEPROP SLICE_X33Y25 RPM_Y 50 SITEPROP SLICE_X33Y25 SITE_PIPS SITEPROP SLICE_X33Y25 SITE_TYPE SLICEL SITEPROP SLICE_X33Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y26 CLASS site SITEPROP SLICE_X33Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y26 IS_BONDED 0 SITEPROP SLICE_X33Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y26 IS_PAD 0 SITEPROP SLICE_X33Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y26 IS_RESERVED 0 SITEPROP SLICE_X33Y26 IS_TEST 0 SITEPROP SLICE_X33Y26 IS_USED 0 SITEPROP SLICE_X33Y26 MANUAL_ROUTING SITEPROP SLICE_X33Y26 NAME SLICE_X33Y26 SITEPROP SLICE_X33Y26 NUM_ARCS 138 SITEPROP SLICE_X33Y26 NUM_BELS 32 SITEPROP SLICE_X33Y26 NUM_INPUTS 32 SITEPROP SLICE_X33Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y26 NUM_PINS 45 SITEPROP SLICE_X33Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y26 PROHIBIT 0 SITEPROP SLICE_X33Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y26 RPM_X 91 SITEPROP SLICE_X33Y26 RPM_Y 52 SITEPROP SLICE_X33Y26 SITE_PIPS SITEPROP SLICE_X33Y26 SITE_TYPE SLICEL SITEPROP SLICE_X33Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y27 CLASS site SITEPROP SLICE_X33Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y27 IS_BONDED 0 SITEPROP SLICE_X33Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y27 IS_PAD 0 SITEPROP SLICE_X33Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y27 IS_RESERVED 0 SITEPROP SLICE_X33Y27 IS_TEST 0 SITEPROP SLICE_X33Y27 IS_USED 0 SITEPROP SLICE_X33Y27 MANUAL_ROUTING SITEPROP SLICE_X33Y27 NAME SLICE_X33Y27 SITEPROP SLICE_X33Y27 NUM_ARCS 138 SITEPROP SLICE_X33Y27 NUM_BELS 32 SITEPROP SLICE_X33Y27 NUM_INPUTS 32 SITEPROP SLICE_X33Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y27 NUM_PINS 45 SITEPROP SLICE_X33Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y27 PROHIBIT 0 SITEPROP SLICE_X33Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y27 RPM_X 91 SITEPROP SLICE_X33Y27 RPM_Y 54 SITEPROP SLICE_X33Y27 SITE_PIPS SITEPROP SLICE_X33Y27 SITE_TYPE SLICEL SITEPROP SLICE_X33Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y28 CLASS site SITEPROP SLICE_X33Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y28 IS_BONDED 0 SITEPROP SLICE_X33Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y28 IS_PAD 0 SITEPROP SLICE_X33Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y28 IS_RESERVED 0 SITEPROP SLICE_X33Y28 IS_TEST 0 SITEPROP SLICE_X33Y28 IS_USED 0 SITEPROP SLICE_X33Y28 MANUAL_ROUTING SITEPROP SLICE_X33Y28 NAME SLICE_X33Y28 SITEPROP SLICE_X33Y28 NUM_ARCS 138 SITEPROP SLICE_X33Y28 NUM_BELS 32 SITEPROP SLICE_X33Y28 NUM_INPUTS 32 SITEPROP SLICE_X33Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y28 NUM_PINS 45 SITEPROP SLICE_X33Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y28 PROHIBIT 0 SITEPROP SLICE_X33Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y28 RPM_X 91 SITEPROP SLICE_X33Y28 RPM_Y 56 SITEPROP SLICE_X33Y28 SITE_PIPS SITEPROP SLICE_X33Y28 SITE_TYPE SLICEL SITEPROP SLICE_X33Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y29 CLASS site SITEPROP SLICE_X33Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y29 IS_BONDED 0 SITEPROP SLICE_X33Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y29 IS_PAD 0 SITEPROP SLICE_X33Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y29 IS_RESERVED 0 SITEPROP SLICE_X33Y29 IS_TEST 0 SITEPROP SLICE_X33Y29 IS_USED 0 SITEPROP SLICE_X33Y29 MANUAL_ROUTING SITEPROP SLICE_X33Y29 NAME SLICE_X33Y29 SITEPROP SLICE_X33Y29 NUM_ARCS 138 SITEPROP SLICE_X33Y29 NUM_BELS 32 SITEPROP SLICE_X33Y29 NUM_INPUTS 32 SITEPROP SLICE_X33Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y29 NUM_PINS 45 SITEPROP SLICE_X33Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y29 PROHIBIT 0 SITEPROP SLICE_X33Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y29 RPM_X 91 SITEPROP SLICE_X33Y29 RPM_Y 58 SITEPROP SLICE_X33Y29 SITE_PIPS SITEPROP SLICE_X33Y29 SITE_TYPE SLICEL SITEPROP SLICE_X33Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y30 CLASS site SITEPROP SLICE_X33Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y30 IS_BONDED 0 SITEPROP SLICE_X33Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y30 IS_PAD 0 SITEPROP SLICE_X33Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y30 IS_RESERVED 0 SITEPROP SLICE_X33Y30 IS_TEST 0 SITEPROP SLICE_X33Y30 IS_USED 0 SITEPROP SLICE_X33Y30 MANUAL_ROUTING SITEPROP SLICE_X33Y30 NAME SLICE_X33Y30 SITEPROP SLICE_X33Y30 NUM_ARCS 138 SITEPROP SLICE_X33Y30 NUM_BELS 32 SITEPROP SLICE_X33Y30 NUM_INPUTS 32 SITEPROP SLICE_X33Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y30 NUM_PINS 45 SITEPROP SLICE_X33Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y30 PROHIBIT 0 SITEPROP SLICE_X33Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y30 RPM_X 91 SITEPROP SLICE_X33Y30 RPM_Y 60 SITEPROP SLICE_X33Y30 SITE_PIPS SITEPROP SLICE_X33Y30 SITE_TYPE SLICEL SITEPROP SLICE_X33Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y31 CLASS site SITEPROP SLICE_X33Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y31 IS_BONDED 0 SITEPROP SLICE_X33Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y31 IS_PAD 0 SITEPROP SLICE_X33Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y31 IS_RESERVED 0 SITEPROP SLICE_X33Y31 IS_TEST 0 SITEPROP SLICE_X33Y31 IS_USED 0 SITEPROP SLICE_X33Y31 MANUAL_ROUTING SITEPROP SLICE_X33Y31 NAME SLICE_X33Y31 SITEPROP SLICE_X33Y31 NUM_ARCS 138 SITEPROP SLICE_X33Y31 NUM_BELS 32 SITEPROP SLICE_X33Y31 NUM_INPUTS 32 SITEPROP SLICE_X33Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y31 NUM_PINS 45 SITEPROP SLICE_X33Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y31 PROHIBIT 0 SITEPROP SLICE_X33Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y31 RPM_X 91 SITEPROP SLICE_X33Y31 RPM_Y 62 SITEPROP SLICE_X33Y31 SITE_PIPS SITEPROP SLICE_X33Y31 SITE_TYPE SLICEL SITEPROP SLICE_X33Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y32 CLASS site SITEPROP SLICE_X33Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y32 IS_BONDED 0 SITEPROP SLICE_X33Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y32 IS_PAD 0 SITEPROP SLICE_X33Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y32 IS_RESERVED 0 SITEPROP SLICE_X33Y32 IS_TEST 0 SITEPROP SLICE_X33Y32 IS_USED 0 SITEPROP SLICE_X33Y32 MANUAL_ROUTING SITEPROP SLICE_X33Y32 NAME SLICE_X33Y32 SITEPROP SLICE_X33Y32 NUM_ARCS 138 SITEPROP SLICE_X33Y32 NUM_BELS 32 SITEPROP SLICE_X33Y32 NUM_INPUTS 32 SITEPROP SLICE_X33Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y32 NUM_PINS 45 SITEPROP SLICE_X33Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y32 PROHIBIT 0 SITEPROP SLICE_X33Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y32 RPM_X 91 SITEPROP SLICE_X33Y32 RPM_Y 64 SITEPROP SLICE_X33Y32 SITE_PIPS SITEPROP SLICE_X33Y32 SITE_TYPE SLICEL SITEPROP SLICE_X33Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y33 CLASS site SITEPROP SLICE_X33Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y33 IS_BONDED 0 SITEPROP SLICE_X33Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y33 IS_PAD 0 SITEPROP SLICE_X33Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y33 IS_RESERVED 0 SITEPROP SLICE_X33Y33 IS_TEST 0 SITEPROP SLICE_X33Y33 IS_USED 0 SITEPROP SLICE_X33Y33 MANUAL_ROUTING SITEPROP SLICE_X33Y33 NAME SLICE_X33Y33 SITEPROP SLICE_X33Y33 NUM_ARCS 138 SITEPROP SLICE_X33Y33 NUM_BELS 32 SITEPROP SLICE_X33Y33 NUM_INPUTS 32 SITEPROP SLICE_X33Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y33 NUM_PINS 45 SITEPROP SLICE_X33Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y33 PROHIBIT 0 SITEPROP SLICE_X33Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y33 RPM_X 91 SITEPROP SLICE_X33Y33 RPM_Y 66 SITEPROP SLICE_X33Y33 SITE_PIPS SITEPROP SLICE_X33Y33 SITE_TYPE SLICEL SITEPROP SLICE_X33Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y34 CLASS site SITEPROP SLICE_X33Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y34 IS_BONDED 0 SITEPROP SLICE_X33Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y34 IS_PAD 0 SITEPROP SLICE_X33Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y34 IS_RESERVED 0 SITEPROP SLICE_X33Y34 IS_TEST 0 SITEPROP SLICE_X33Y34 IS_USED 0 SITEPROP SLICE_X33Y34 MANUAL_ROUTING SITEPROP SLICE_X33Y34 NAME SLICE_X33Y34 SITEPROP SLICE_X33Y34 NUM_ARCS 138 SITEPROP SLICE_X33Y34 NUM_BELS 32 SITEPROP SLICE_X33Y34 NUM_INPUTS 32 SITEPROP SLICE_X33Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y34 NUM_PINS 45 SITEPROP SLICE_X33Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y34 PROHIBIT 0 SITEPROP SLICE_X33Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y34 RPM_X 91 SITEPROP SLICE_X33Y34 RPM_Y 68 SITEPROP SLICE_X33Y34 SITE_PIPS SITEPROP SLICE_X33Y34 SITE_TYPE SLICEL SITEPROP SLICE_X33Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y35 CLASS site SITEPROP SLICE_X33Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y35 IS_BONDED 0 SITEPROP SLICE_X33Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y35 IS_PAD 0 SITEPROP SLICE_X33Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y35 IS_RESERVED 0 SITEPROP SLICE_X33Y35 IS_TEST 0 SITEPROP SLICE_X33Y35 IS_USED 0 SITEPROP SLICE_X33Y35 MANUAL_ROUTING SITEPROP SLICE_X33Y35 NAME SLICE_X33Y35 SITEPROP SLICE_X33Y35 NUM_ARCS 138 SITEPROP SLICE_X33Y35 NUM_BELS 32 SITEPROP SLICE_X33Y35 NUM_INPUTS 32 SITEPROP SLICE_X33Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y35 NUM_PINS 45 SITEPROP SLICE_X33Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y35 PROHIBIT 0 SITEPROP SLICE_X33Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y35 RPM_X 91 SITEPROP SLICE_X33Y35 RPM_Y 70 SITEPROP SLICE_X33Y35 SITE_PIPS SITEPROP SLICE_X33Y35 SITE_TYPE SLICEL SITEPROP SLICE_X33Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y36 CLASS site SITEPROP SLICE_X33Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y36 IS_BONDED 0 SITEPROP SLICE_X33Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y36 IS_PAD 0 SITEPROP SLICE_X33Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y36 IS_RESERVED 0 SITEPROP SLICE_X33Y36 IS_TEST 0 SITEPROP SLICE_X33Y36 IS_USED 0 SITEPROP SLICE_X33Y36 MANUAL_ROUTING SITEPROP SLICE_X33Y36 NAME SLICE_X33Y36 SITEPROP SLICE_X33Y36 NUM_ARCS 138 SITEPROP SLICE_X33Y36 NUM_BELS 32 SITEPROP SLICE_X33Y36 NUM_INPUTS 32 SITEPROP SLICE_X33Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y36 NUM_PINS 45 SITEPROP SLICE_X33Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y36 PROHIBIT 0 SITEPROP SLICE_X33Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y36 RPM_X 91 SITEPROP SLICE_X33Y36 RPM_Y 72 SITEPROP SLICE_X33Y36 SITE_PIPS SITEPROP SLICE_X33Y36 SITE_TYPE SLICEL SITEPROP SLICE_X33Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y37 CLASS site SITEPROP SLICE_X33Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y37 IS_BONDED 0 SITEPROP SLICE_X33Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y37 IS_PAD 0 SITEPROP SLICE_X33Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y37 IS_RESERVED 0 SITEPROP SLICE_X33Y37 IS_TEST 0 SITEPROP SLICE_X33Y37 IS_USED 0 SITEPROP SLICE_X33Y37 MANUAL_ROUTING SITEPROP SLICE_X33Y37 NAME SLICE_X33Y37 SITEPROP SLICE_X33Y37 NUM_ARCS 138 SITEPROP SLICE_X33Y37 NUM_BELS 32 SITEPROP SLICE_X33Y37 NUM_INPUTS 32 SITEPROP SLICE_X33Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y37 NUM_PINS 45 SITEPROP SLICE_X33Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y37 PROHIBIT 0 SITEPROP SLICE_X33Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y37 RPM_X 91 SITEPROP SLICE_X33Y37 RPM_Y 74 SITEPROP SLICE_X33Y37 SITE_PIPS SITEPROP SLICE_X33Y37 SITE_TYPE SLICEL SITEPROP SLICE_X33Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y38 CLASS site SITEPROP SLICE_X33Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y38 IS_BONDED 0 SITEPROP SLICE_X33Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y38 IS_PAD 0 SITEPROP SLICE_X33Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y38 IS_RESERVED 0 SITEPROP SLICE_X33Y38 IS_TEST 0 SITEPROP SLICE_X33Y38 IS_USED 0 SITEPROP SLICE_X33Y38 MANUAL_ROUTING SITEPROP SLICE_X33Y38 NAME SLICE_X33Y38 SITEPROP SLICE_X33Y38 NUM_ARCS 138 SITEPROP SLICE_X33Y38 NUM_BELS 32 SITEPROP SLICE_X33Y38 NUM_INPUTS 32 SITEPROP SLICE_X33Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y38 NUM_PINS 45 SITEPROP SLICE_X33Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y38 PROHIBIT 0 SITEPROP SLICE_X33Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y38 RPM_X 91 SITEPROP SLICE_X33Y38 RPM_Y 76 SITEPROP SLICE_X33Y38 SITE_PIPS SITEPROP SLICE_X33Y38 SITE_TYPE SLICEL SITEPROP SLICE_X33Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y39 CLASS site SITEPROP SLICE_X33Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y39 IS_BONDED 0 SITEPROP SLICE_X33Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y39 IS_PAD 0 SITEPROP SLICE_X33Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y39 IS_RESERVED 0 SITEPROP SLICE_X33Y39 IS_TEST 0 SITEPROP SLICE_X33Y39 IS_USED 0 SITEPROP SLICE_X33Y39 MANUAL_ROUTING SITEPROP SLICE_X33Y39 NAME SLICE_X33Y39 SITEPROP SLICE_X33Y39 NUM_ARCS 138 SITEPROP SLICE_X33Y39 NUM_BELS 32 SITEPROP SLICE_X33Y39 NUM_INPUTS 32 SITEPROP SLICE_X33Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y39 NUM_PINS 45 SITEPROP SLICE_X33Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y39 PROHIBIT 0 SITEPROP SLICE_X33Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y39 RPM_X 91 SITEPROP SLICE_X33Y39 RPM_Y 78 SITEPROP SLICE_X33Y39 SITE_PIPS SITEPROP SLICE_X33Y39 SITE_TYPE SLICEL SITEPROP SLICE_X33Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y40 CLASS site SITEPROP SLICE_X33Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y40 IS_BONDED 0 SITEPROP SLICE_X33Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y40 IS_PAD 0 SITEPROP SLICE_X33Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y40 IS_RESERVED 0 SITEPROP SLICE_X33Y40 IS_TEST 0 SITEPROP SLICE_X33Y40 IS_USED 0 SITEPROP SLICE_X33Y40 MANUAL_ROUTING SITEPROP SLICE_X33Y40 NAME SLICE_X33Y40 SITEPROP SLICE_X33Y40 NUM_ARCS 138 SITEPROP SLICE_X33Y40 NUM_BELS 32 SITEPROP SLICE_X33Y40 NUM_INPUTS 32 SITEPROP SLICE_X33Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y40 NUM_PINS 45 SITEPROP SLICE_X33Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y40 PROHIBIT 0 SITEPROP SLICE_X33Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y40 RPM_X 91 SITEPROP SLICE_X33Y40 RPM_Y 80 SITEPROP SLICE_X33Y40 SITE_PIPS SITEPROP SLICE_X33Y40 SITE_TYPE SLICEL SITEPROP SLICE_X33Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y41 CLASS site SITEPROP SLICE_X33Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y41 IS_BONDED 0 SITEPROP SLICE_X33Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y41 IS_PAD 0 SITEPROP SLICE_X33Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y41 IS_RESERVED 0 SITEPROP SLICE_X33Y41 IS_TEST 0 SITEPROP SLICE_X33Y41 IS_USED 0 SITEPROP SLICE_X33Y41 MANUAL_ROUTING SITEPROP SLICE_X33Y41 NAME SLICE_X33Y41 SITEPROP SLICE_X33Y41 NUM_ARCS 138 SITEPROP SLICE_X33Y41 NUM_BELS 32 SITEPROP SLICE_X33Y41 NUM_INPUTS 32 SITEPROP SLICE_X33Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y41 NUM_PINS 45 SITEPROP SLICE_X33Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y41 PROHIBIT 0 SITEPROP SLICE_X33Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y41 RPM_X 91 SITEPROP SLICE_X33Y41 RPM_Y 82 SITEPROP SLICE_X33Y41 SITE_PIPS SITEPROP SLICE_X33Y41 SITE_TYPE SLICEL SITEPROP SLICE_X33Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y42 CLASS site SITEPROP SLICE_X33Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y42 IS_BONDED 0 SITEPROP SLICE_X33Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y42 IS_PAD 0 SITEPROP SLICE_X33Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y42 IS_RESERVED 0 SITEPROP SLICE_X33Y42 IS_TEST 0 SITEPROP SLICE_X33Y42 IS_USED 0 SITEPROP SLICE_X33Y42 MANUAL_ROUTING SITEPROP SLICE_X33Y42 NAME SLICE_X33Y42 SITEPROP SLICE_X33Y42 NUM_ARCS 138 SITEPROP SLICE_X33Y42 NUM_BELS 32 SITEPROP SLICE_X33Y42 NUM_INPUTS 32 SITEPROP SLICE_X33Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y42 NUM_PINS 45 SITEPROP SLICE_X33Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y42 PROHIBIT 0 SITEPROP SLICE_X33Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y42 RPM_X 91 SITEPROP SLICE_X33Y42 RPM_Y 84 SITEPROP SLICE_X33Y42 SITE_PIPS SITEPROP SLICE_X33Y42 SITE_TYPE SLICEL SITEPROP SLICE_X33Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y43 CLASS site SITEPROP SLICE_X33Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y43 IS_BONDED 0 SITEPROP SLICE_X33Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y43 IS_PAD 0 SITEPROP SLICE_X33Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y43 IS_RESERVED 0 SITEPROP SLICE_X33Y43 IS_TEST 0 SITEPROP SLICE_X33Y43 IS_USED 0 SITEPROP SLICE_X33Y43 MANUAL_ROUTING SITEPROP SLICE_X33Y43 NAME SLICE_X33Y43 SITEPROP SLICE_X33Y43 NUM_ARCS 138 SITEPROP SLICE_X33Y43 NUM_BELS 32 SITEPROP SLICE_X33Y43 NUM_INPUTS 32 SITEPROP SLICE_X33Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y43 NUM_PINS 45 SITEPROP SLICE_X33Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y43 PROHIBIT 0 SITEPROP SLICE_X33Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y43 RPM_X 91 SITEPROP SLICE_X33Y43 RPM_Y 86 SITEPROP SLICE_X33Y43 SITE_PIPS SITEPROP SLICE_X33Y43 SITE_TYPE SLICEL SITEPROP SLICE_X33Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y44 CLASS site SITEPROP SLICE_X33Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y44 IS_BONDED 0 SITEPROP SLICE_X33Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y44 IS_PAD 0 SITEPROP SLICE_X33Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y44 IS_RESERVED 0 SITEPROP SLICE_X33Y44 IS_TEST 0 SITEPROP SLICE_X33Y44 IS_USED 0 SITEPROP SLICE_X33Y44 MANUAL_ROUTING SITEPROP SLICE_X33Y44 NAME SLICE_X33Y44 SITEPROP SLICE_X33Y44 NUM_ARCS 138 SITEPROP SLICE_X33Y44 NUM_BELS 32 SITEPROP SLICE_X33Y44 NUM_INPUTS 32 SITEPROP SLICE_X33Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y44 NUM_PINS 45 SITEPROP SLICE_X33Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y44 PROHIBIT 0 SITEPROP SLICE_X33Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y44 RPM_X 91 SITEPROP SLICE_X33Y44 RPM_Y 88 SITEPROP SLICE_X33Y44 SITE_PIPS SITEPROP SLICE_X33Y44 SITE_TYPE SLICEL SITEPROP SLICE_X33Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y45 CLASS site SITEPROP SLICE_X33Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y45 IS_BONDED 0 SITEPROP SLICE_X33Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y45 IS_PAD 0 SITEPROP SLICE_X33Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y45 IS_RESERVED 0 SITEPROP SLICE_X33Y45 IS_TEST 0 SITEPROP SLICE_X33Y45 IS_USED 0 SITEPROP SLICE_X33Y45 MANUAL_ROUTING SITEPROP SLICE_X33Y45 NAME SLICE_X33Y45 SITEPROP SLICE_X33Y45 NUM_ARCS 138 SITEPROP SLICE_X33Y45 NUM_BELS 32 SITEPROP SLICE_X33Y45 NUM_INPUTS 32 SITEPROP SLICE_X33Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y45 NUM_PINS 45 SITEPROP SLICE_X33Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y45 PROHIBIT 0 SITEPROP SLICE_X33Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y45 RPM_X 91 SITEPROP SLICE_X33Y45 RPM_Y 90 SITEPROP SLICE_X33Y45 SITE_PIPS SITEPROP SLICE_X33Y45 SITE_TYPE SLICEL SITEPROP SLICE_X33Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y46 CLASS site SITEPROP SLICE_X33Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y46 IS_BONDED 0 SITEPROP SLICE_X33Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y46 IS_PAD 0 SITEPROP SLICE_X33Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y46 IS_RESERVED 0 SITEPROP SLICE_X33Y46 IS_TEST 0 SITEPROP SLICE_X33Y46 IS_USED 0 SITEPROP SLICE_X33Y46 MANUAL_ROUTING SITEPROP SLICE_X33Y46 NAME SLICE_X33Y46 SITEPROP SLICE_X33Y46 NUM_ARCS 138 SITEPROP SLICE_X33Y46 NUM_BELS 32 SITEPROP SLICE_X33Y46 NUM_INPUTS 32 SITEPROP SLICE_X33Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y46 NUM_PINS 45 SITEPROP SLICE_X33Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y46 PROHIBIT 0 SITEPROP SLICE_X33Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y46 RPM_X 91 SITEPROP SLICE_X33Y46 RPM_Y 92 SITEPROP SLICE_X33Y46 SITE_PIPS SITEPROP SLICE_X33Y46 SITE_TYPE SLICEL SITEPROP SLICE_X33Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y47 CLASS site SITEPROP SLICE_X33Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y47 IS_BONDED 0 SITEPROP SLICE_X33Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y47 IS_PAD 0 SITEPROP SLICE_X33Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y47 IS_RESERVED 0 SITEPROP SLICE_X33Y47 IS_TEST 0 SITEPROP SLICE_X33Y47 IS_USED 0 SITEPROP SLICE_X33Y47 MANUAL_ROUTING SITEPROP SLICE_X33Y47 NAME SLICE_X33Y47 SITEPROP SLICE_X33Y47 NUM_ARCS 138 SITEPROP SLICE_X33Y47 NUM_BELS 32 SITEPROP SLICE_X33Y47 NUM_INPUTS 32 SITEPROP SLICE_X33Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y47 NUM_PINS 45 SITEPROP SLICE_X33Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y47 PROHIBIT 0 SITEPROP SLICE_X33Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y47 RPM_X 91 SITEPROP SLICE_X33Y47 RPM_Y 94 SITEPROP SLICE_X33Y47 SITE_PIPS SITEPROP SLICE_X33Y47 SITE_TYPE SLICEL SITEPROP SLICE_X33Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y48 CLASS site SITEPROP SLICE_X33Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y48 IS_BONDED 0 SITEPROP SLICE_X33Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y48 IS_PAD 0 SITEPROP SLICE_X33Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y48 IS_RESERVED 0 SITEPROP SLICE_X33Y48 IS_TEST 0 SITEPROP SLICE_X33Y48 IS_USED 0 SITEPROP SLICE_X33Y48 MANUAL_ROUTING SITEPROP SLICE_X33Y48 NAME SLICE_X33Y48 SITEPROP SLICE_X33Y48 NUM_ARCS 138 SITEPROP SLICE_X33Y48 NUM_BELS 32 SITEPROP SLICE_X33Y48 NUM_INPUTS 32 SITEPROP SLICE_X33Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y48 NUM_PINS 45 SITEPROP SLICE_X33Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y48 PROHIBIT 0 SITEPROP SLICE_X33Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y48 RPM_X 91 SITEPROP SLICE_X33Y48 RPM_Y 96 SITEPROP SLICE_X33Y48 SITE_PIPS SITEPROP SLICE_X33Y48 SITE_TYPE SLICEL SITEPROP SLICE_X33Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y49 CLASS site SITEPROP SLICE_X33Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X33Y49 IS_BONDED 0 SITEPROP SLICE_X33Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y49 IS_PAD 0 SITEPROP SLICE_X33Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y49 IS_RESERVED 0 SITEPROP SLICE_X33Y49 IS_TEST 0 SITEPROP SLICE_X33Y49 IS_USED 0 SITEPROP SLICE_X33Y49 MANUAL_ROUTING SITEPROP SLICE_X33Y49 NAME SLICE_X33Y49 SITEPROP SLICE_X33Y49 NUM_ARCS 138 SITEPROP SLICE_X33Y49 NUM_BELS 32 SITEPROP SLICE_X33Y49 NUM_INPUTS 32 SITEPROP SLICE_X33Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y49 NUM_PINS 45 SITEPROP SLICE_X33Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y49 PROHIBIT 0 SITEPROP SLICE_X33Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y49 RPM_X 91 SITEPROP SLICE_X33Y49 RPM_Y 98 SITEPROP SLICE_X33Y49 SITE_PIPS SITEPROP SLICE_X33Y49 SITE_TYPE SLICEL SITEPROP SLICE_X33Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y50 CLASS site SITEPROP SLICE_X33Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y50 IS_BONDED 0 SITEPROP SLICE_X33Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y50 IS_PAD 0 SITEPROP SLICE_X33Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y50 IS_RESERVED 0 SITEPROP SLICE_X33Y50 IS_TEST 0 SITEPROP SLICE_X33Y50 IS_USED 0 SITEPROP SLICE_X33Y50 MANUAL_ROUTING SITEPROP SLICE_X33Y50 NAME SLICE_X33Y50 SITEPROP SLICE_X33Y50 NUM_ARCS 138 SITEPROP SLICE_X33Y50 NUM_BELS 32 SITEPROP SLICE_X33Y50 NUM_INPUTS 32 SITEPROP SLICE_X33Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y50 NUM_PINS 45 SITEPROP SLICE_X33Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y50 PROHIBIT 0 SITEPROP SLICE_X33Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y50 RPM_X 91 SITEPROP SLICE_X33Y50 RPM_Y 100 SITEPROP SLICE_X33Y50 SITE_PIPS SITEPROP SLICE_X33Y50 SITE_TYPE SLICEL SITEPROP SLICE_X33Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y51 CLASS site SITEPROP SLICE_X33Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y51 IS_BONDED 0 SITEPROP SLICE_X33Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y51 IS_PAD 0 SITEPROP SLICE_X33Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y51 IS_RESERVED 0 SITEPROP SLICE_X33Y51 IS_TEST 0 SITEPROP SLICE_X33Y51 IS_USED 0 SITEPROP SLICE_X33Y51 MANUAL_ROUTING SITEPROP SLICE_X33Y51 NAME SLICE_X33Y51 SITEPROP SLICE_X33Y51 NUM_ARCS 138 SITEPROP SLICE_X33Y51 NUM_BELS 32 SITEPROP SLICE_X33Y51 NUM_INPUTS 32 SITEPROP SLICE_X33Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y51 NUM_PINS 45 SITEPROP SLICE_X33Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y51 PROHIBIT 0 SITEPROP SLICE_X33Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y51 RPM_X 91 SITEPROP SLICE_X33Y51 RPM_Y 102 SITEPROP SLICE_X33Y51 SITE_PIPS SITEPROP SLICE_X33Y51 SITE_TYPE SLICEL SITEPROP SLICE_X33Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y52 CLASS site SITEPROP SLICE_X33Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y52 IS_BONDED 0 SITEPROP SLICE_X33Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y52 IS_PAD 0 SITEPROP SLICE_X33Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y52 IS_RESERVED 0 SITEPROP SLICE_X33Y52 IS_TEST 0 SITEPROP SLICE_X33Y52 IS_USED 0 SITEPROP SLICE_X33Y52 MANUAL_ROUTING SITEPROP SLICE_X33Y52 NAME SLICE_X33Y52 SITEPROP SLICE_X33Y52 NUM_ARCS 138 SITEPROP SLICE_X33Y52 NUM_BELS 32 SITEPROP SLICE_X33Y52 NUM_INPUTS 32 SITEPROP SLICE_X33Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y52 NUM_PINS 45 SITEPROP SLICE_X33Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y52 PROHIBIT 0 SITEPROP SLICE_X33Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y52 RPM_X 91 SITEPROP SLICE_X33Y52 RPM_Y 104 SITEPROP SLICE_X33Y52 SITE_PIPS SITEPROP SLICE_X33Y52 SITE_TYPE SLICEL SITEPROP SLICE_X33Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y53 CLASS site SITEPROP SLICE_X33Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y53 IS_BONDED 0 SITEPROP SLICE_X33Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y53 IS_PAD 0 SITEPROP SLICE_X33Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y53 IS_RESERVED 0 SITEPROP SLICE_X33Y53 IS_TEST 0 SITEPROP SLICE_X33Y53 IS_USED 0 SITEPROP SLICE_X33Y53 MANUAL_ROUTING SITEPROP SLICE_X33Y53 NAME SLICE_X33Y53 SITEPROP SLICE_X33Y53 NUM_ARCS 138 SITEPROP SLICE_X33Y53 NUM_BELS 32 SITEPROP SLICE_X33Y53 NUM_INPUTS 32 SITEPROP SLICE_X33Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y53 NUM_PINS 45 SITEPROP SLICE_X33Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y53 PROHIBIT 0 SITEPROP SLICE_X33Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y53 RPM_X 91 SITEPROP SLICE_X33Y53 RPM_Y 106 SITEPROP SLICE_X33Y53 SITE_PIPS SITEPROP SLICE_X33Y53 SITE_TYPE SLICEL SITEPROP SLICE_X33Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y54 CLASS site SITEPROP SLICE_X33Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y54 IS_BONDED 0 SITEPROP SLICE_X33Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y54 IS_PAD 0 SITEPROP SLICE_X33Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y54 IS_RESERVED 0 SITEPROP SLICE_X33Y54 IS_TEST 0 SITEPROP SLICE_X33Y54 IS_USED 0 SITEPROP SLICE_X33Y54 MANUAL_ROUTING SITEPROP SLICE_X33Y54 NAME SLICE_X33Y54 SITEPROP SLICE_X33Y54 NUM_ARCS 138 SITEPROP SLICE_X33Y54 NUM_BELS 32 SITEPROP SLICE_X33Y54 NUM_INPUTS 32 SITEPROP SLICE_X33Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y54 NUM_PINS 45 SITEPROP SLICE_X33Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y54 PROHIBIT 0 SITEPROP SLICE_X33Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y54 RPM_X 91 SITEPROP SLICE_X33Y54 RPM_Y 108 SITEPROP SLICE_X33Y54 SITE_PIPS SITEPROP SLICE_X33Y54 SITE_TYPE SLICEL SITEPROP SLICE_X33Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y55 CLASS site SITEPROP SLICE_X33Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y55 IS_BONDED 0 SITEPROP SLICE_X33Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y55 IS_PAD 0 SITEPROP SLICE_X33Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y55 IS_RESERVED 0 SITEPROP SLICE_X33Y55 IS_TEST 0 SITEPROP SLICE_X33Y55 IS_USED 0 SITEPROP SLICE_X33Y55 MANUAL_ROUTING SITEPROP SLICE_X33Y55 NAME SLICE_X33Y55 SITEPROP SLICE_X33Y55 NUM_ARCS 138 SITEPROP SLICE_X33Y55 NUM_BELS 32 SITEPROP SLICE_X33Y55 NUM_INPUTS 32 SITEPROP SLICE_X33Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y55 NUM_PINS 45 SITEPROP SLICE_X33Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y55 PROHIBIT 0 SITEPROP SLICE_X33Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y55 RPM_X 91 SITEPROP SLICE_X33Y55 RPM_Y 110 SITEPROP SLICE_X33Y55 SITE_PIPS SITEPROP SLICE_X33Y55 SITE_TYPE SLICEL SITEPROP SLICE_X33Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y56 CLASS site SITEPROP SLICE_X33Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y56 IS_BONDED 0 SITEPROP SLICE_X33Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y56 IS_PAD 0 SITEPROP SLICE_X33Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y56 IS_RESERVED 0 SITEPROP SLICE_X33Y56 IS_TEST 0 SITEPROP SLICE_X33Y56 IS_USED 0 SITEPROP SLICE_X33Y56 MANUAL_ROUTING SITEPROP SLICE_X33Y56 NAME SLICE_X33Y56 SITEPROP SLICE_X33Y56 NUM_ARCS 138 SITEPROP SLICE_X33Y56 NUM_BELS 32 SITEPROP SLICE_X33Y56 NUM_INPUTS 32 SITEPROP SLICE_X33Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y56 NUM_PINS 45 SITEPROP SLICE_X33Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y56 PROHIBIT 0 SITEPROP SLICE_X33Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y56 RPM_X 91 SITEPROP SLICE_X33Y56 RPM_Y 112 SITEPROP SLICE_X33Y56 SITE_PIPS SITEPROP SLICE_X33Y56 SITE_TYPE SLICEL SITEPROP SLICE_X33Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y57 CLASS site SITEPROP SLICE_X33Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y57 IS_BONDED 0 SITEPROP SLICE_X33Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y57 IS_PAD 0 SITEPROP SLICE_X33Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y57 IS_RESERVED 0 SITEPROP SLICE_X33Y57 IS_TEST 0 SITEPROP SLICE_X33Y57 IS_USED 0 SITEPROP SLICE_X33Y57 MANUAL_ROUTING SITEPROP SLICE_X33Y57 NAME SLICE_X33Y57 SITEPROP SLICE_X33Y57 NUM_ARCS 138 SITEPROP SLICE_X33Y57 NUM_BELS 32 SITEPROP SLICE_X33Y57 NUM_INPUTS 32 SITEPROP SLICE_X33Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y57 NUM_PINS 45 SITEPROP SLICE_X33Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y57 PROHIBIT 0 SITEPROP SLICE_X33Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y57 RPM_X 91 SITEPROP SLICE_X33Y57 RPM_Y 114 SITEPROP SLICE_X33Y57 SITE_PIPS SITEPROP SLICE_X33Y57 SITE_TYPE SLICEL SITEPROP SLICE_X33Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y58 CLASS site SITEPROP SLICE_X33Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y58 IS_BONDED 0 SITEPROP SLICE_X33Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y58 IS_PAD 0 SITEPROP SLICE_X33Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y58 IS_RESERVED 0 SITEPROP SLICE_X33Y58 IS_TEST 0 SITEPROP SLICE_X33Y58 IS_USED 0 SITEPROP SLICE_X33Y58 MANUAL_ROUTING SITEPROP SLICE_X33Y58 NAME SLICE_X33Y58 SITEPROP SLICE_X33Y58 NUM_ARCS 138 SITEPROP SLICE_X33Y58 NUM_BELS 32 SITEPROP SLICE_X33Y58 NUM_INPUTS 32 SITEPROP SLICE_X33Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y58 NUM_PINS 45 SITEPROP SLICE_X33Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y58 PROHIBIT 0 SITEPROP SLICE_X33Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y58 RPM_X 91 SITEPROP SLICE_X33Y58 RPM_Y 116 SITEPROP SLICE_X33Y58 SITE_PIPS SITEPROP SLICE_X33Y58 SITE_TYPE SLICEL SITEPROP SLICE_X33Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y59 CLASS site SITEPROP SLICE_X33Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y59 IS_BONDED 0 SITEPROP SLICE_X33Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y59 IS_PAD 0 SITEPROP SLICE_X33Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y59 IS_RESERVED 0 SITEPROP SLICE_X33Y59 IS_TEST 0 SITEPROP SLICE_X33Y59 IS_USED 0 SITEPROP SLICE_X33Y59 MANUAL_ROUTING SITEPROP SLICE_X33Y59 NAME SLICE_X33Y59 SITEPROP SLICE_X33Y59 NUM_ARCS 138 SITEPROP SLICE_X33Y59 NUM_BELS 32 SITEPROP SLICE_X33Y59 NUM_INPUTS 32 SITEPROP SLICE_X33Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y59 NUM_PINS 45 SITEPROP SLICE_X33Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y59 PROHIBIT 0 SITEPROP SLICE_X33Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y59 RPM_X 91 SITEPROP SLICE_X33Y59 RPM_Y 118 SITEPROP SLICE_X33Y59 SITE_PIPS SITEPROP SLICE_X33Y59 SITE_TYPE SLICEL SITEPROP SLICE_X33Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y60 CLASS site SITEPROP SLICE_X33Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y60 IS_BONDED 0 SITEPROP SLICE_X33Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y60 IS_PAD 0 SITEPROP SLICE_X33Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y60 IS_RESERVED 0 SITEPROP SLICE_X33Y60 IS_TEST 0 SITEPROP SLICE_X33Y60 IS_USED 0 SITEPROP SLICE_X33Y60 MANUAL_ROUTING SITEPROP SLICE_X33Y60 NAME SLICE_X33Y60 SITEPROP SLICE_X33Y60 NUM_ARCS 138 SITEPROP SLICE_X33Y60 NUM_BELS 32 SITEPROP SLICE_X33Y60 NUM_INPUTS 32 SITEPROP SLICE_X33Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y60 NUM_PINS 45 SITEPROP SLICE_X33Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y60 PROHIBIT 0 SITEPROP SLICE_X33Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y60 RPM_X 91 SITEPROP SLICE_X33Y60 RPM_Y 120 SITEPROP SLICE_X33Y60 SITE_PIPS SITEPROP SLICE_X33Y60 SITE_TYPE SLICEL SITEPROP SLICE_X33Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y61 CLASS site SITEPROP SLICE_X33Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y61 IS_BONDED 0 SITEPROP SLICE_X33Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y61 IS_PAD 0 SITEPROP SLICE_X33Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y61 IS_RESERVED 0 SITEPROP SLICE_X33Y61 IS_TEST 0 SITEPROP SLICE_X33Y61 IS_USED 0 SITEPROP SLICE_X33Y61 MANUAL_ROUTING SITEPROP SLICE_X33Y61 NAME SLICE_X33Y61 SITEPROP SLICE_X33Y61 NUM_ARCS 138 SITEPROP SLICE_X33Y61 NUM_BELS 32 SITEPROP SLICE_X33Y61 NUM_INPUTS 32 SITEPROP SLICE_X33Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y61 NUM_PINS 45 SITEPROP SLICE_X33Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y61 PROHIBIT 0 SITEPROP SLICE_X33Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y61 RPM_X 91 SITEPROP SLICE_X33Y61 RPM_Y 122 SITEPROP SLICE_X33Y61 SITE_PIPS SITEPROP SLICE_X33Y61 SITE_TYPE SLICEL SITEPROP SLICE_X33Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y62 CLASS site SITEPROP SLICE_X33Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y62 IS_BONDED 0 SITEPROP SLICE_X33Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y62 IS_PAD 0 SITEPROP SLICE_X33Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y62 IS_RESERVED 0 SITEPROP SLICE_X33Y62 IS_TEST 0 SITEPROP SLICE_X33Y62 IS_USED 0 SITEPROP SLICE_X33Y62 MANUAL_ROUTING SITEPROP SLICE_X33Y62 NAME SLICE_X33Y62 SITEPROP SLICE_X33Y62 NUM_ARCS 138 SITEPROP SLICE_X33Y62 NUM_BELS 32 SITEPROP SLICE_X33Y62 NUM_INPUTS 32 SITEPROP SLICE_X33Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y62 NUM_PINS 45 SITEPROP SLICE_X33Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y62 PROHIBIT 0 SITEPROP SLICE_X33Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y62 RPM_X 91 SITEPROP SLICE_X33Y62 RPM_Y 124 SITEPROP SLICE_X33Y62 SITE_PIPS SITEPROP SLICE_X33Y62 SITE_TYPE SLICEL SITEPROP SLICE_X33Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y63 CLASS site SITEPROP SLICE_X33Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y63 IS_BONDED 0 SITEPROP SLICE_X33Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y63 IS_PAD 0 SITEPROP SLICE_X33Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y63 IS_RESERVED 0 SITEPROP SLICE_X33Y63 IS_TEST 0 SITEPROP SLICE_X33Y63 IS_USED 0 SITEPROP SLICE_X33Y63 MANUAL_ROUTING SITEPROP SLICE_X33Y63 NAME SLICE_X33Y63 SITEPROP SLICE_X33Y63 NUM_ARCS 138 SITEPROP SLICE_X33Y63 NUM_BELS 32 SITEPROP SLICE_X33Y63 NUM_INPUTS 32 SITEPROP SLICE_X33Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y63 NUM_PINS 45 SITEPROP SLICE_X33Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y63 PROHIBIT 0 SITEPROP SLICE_X33Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y63 RPM_X 91 SITEPROP SLICE_X33Y63 RPM_Y 126 SITEPROP SLICE_X33Y63 SITE_PIPS SITEPROP SLICE_X33Y63 SITE_TYPE SLICEL SITEPROP SLICE_X33Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y64 CLASS site SITEPROP SLICE_X33Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y64 IS_BONDED 0 SITEPROP SLICE_X33Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y64 IS_PAD 0 SITEPROP SLICE_X33Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y64 IS_RESERVED 0 SITEPROP SLICE_X33Y64 IS_TEST 0 SITEPROP SLICE_X33Y64 IS_USED 0 SITEPROP SLICE_X33Y64 MANUAL_ROUTING SITEPROP SLICE_X33Y64 NAME SLICE_X33Y64 SITEPROP SLICE_X33Y64 NUM_ARCS 138 SITEPROP SLICE_X33Y64 NUM_BELS 32 SITEPROP SLICE_X33Y64 NUM_INPUTS 32 SITEPROP SLICE_X33Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y64 NUM_PINS 45 SITEPROP SLICE_X33Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y64 PROHIBIT 0 SITEPROP SLICE_X33Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y64 RPM_X 91 SITEPROP SLICE_X33Y64 RPM_Y 128 SITEPROP SLICE_X33Y64 SITE_PIPS SITEPROP SLICE_X33Y64 SITE_TYPE SLICEL SITEPROP SLICE_X33Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y65 CLASS site SITEPROP SLICE_X33Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y65 IS_BONDED 0 SITEPROP SLICE_X33Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y65 IS_PAD 0 SITEPROP SLICE_X33Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y65 IS_RESERVED 0 SITEPROP SLICE_X33Y65 IS_TEST 0 SITEPROP SLICE_X33Y65 IS_USED 0 SITEPROP SLICE_X33Y65 MANUAL_ROUTING SITEPROP SLICE_X33Y65 NAME SLICE_X33Y65 SITEPROP SLICE_X33Y65 NUM_ARCS 138 SITEPROP SLICE_X33Y65 NUM_BELS 32 SITEPROP SLICE_X33Y65 NUM_INPUTS 32 SITEPROP SLICE_X33Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y65 NUM_PINS 45 SITEPROP SLICE_X33Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y65 PROHIBIT 0 SITEPROP SLICE_X33Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y65 RPM_X 91 SITEPROP SLICE_X33Y65 RPM_Y 130 SITEPROP SLICE_X33Y65 SITE_PIPS SITEPROP SLICE_X33Y65 SITE_TYPE SLICEL SITEPROP SLICE_X33Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y66 CLASS site SITEPROP SLICE_X33Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y66 IS_BONDED 0 SITEPROP SLICE_X33Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y66 IS_PAD 0 SITEPROP SLICE_X33Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y66 IS_RESERVED 0 SITEPROP SLICE_X33Y66 IS_TEST 0 SITEPROP SLICE_X33Y66 IS_USED 0 SITEPROP SLICE_X33Y66 MANUAL_ROUTING SITEPROP SLICE_X33Y66 NAME SLICE_X33Y66 SITEPROP SLICE_X33Y66 NUM_ARCS 138 SITEPROP SLICE_X33Y66 NUM_BELS 32 SITEPROP SLICE_X33Y66 NUM_INPUTS 32 SITEPROP SLICE_X33Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y66 NUM_PINS 45 SITEPROP SLICE_X33Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y66 PROHIBIT 0 SITEPROP SLICE_X33Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y66 RPM_X 91 SITEPROP SLICE_X33Y66 RPM_Y 132 SITEPROP SLICE_X33Y66 SITE_PIPS SITEPROP SLICE_X33Y66 SITE_TYPE SLICEL SITEPROP SLICE_X33Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y67 CLASS site SITEPROP SLICE_X33Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y67 IS_BONDED 0 SITEPROP SLICE_X33Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y67 IS_PAD 0 SITEPROP SLICE_X33Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y67 IS_RESERVED 0 SITEPROP SLICE_X33Y67 IS_TEST 0 SITEPROP SLICE_X33Y67 IS_USED 0 SITEPROP SLICE_X33Y67 MANUAL_ROUTING SITEPROP SLICE_X33Y67 NAME SLICE_X33Y67 SITEPROP SLICE_X33Y67 NUM_ARCS 138 SITEPROP SLICE_X33Y67 NUM_BELS 32 SITEPROP SLICE_X33Y67 NUM_INPUTS 32 SITEPROP SLICE_X33Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y67 NUM_PINS 45 SITEPROP SLICE_X33Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y67 PROHIBIT 0 SITEPROP SLICE_X33Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y67 RPM_X 91 SITEPROP SLICE_X33Y67 RPM_Y 134 SITEPROP SLICE_X33Y67 SITE_PIPS SITEPROP SLICE_X33Y67 SITE_TYPE SLICEL SITEPROP SLICE_X33Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y68 CLASS site SITEPROP SLICE_X33Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y68 IS_BONDED 0 SITEPROP SLICE_X33Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y68 IS_PAD 0 SITEPROP SLICE_X33Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y68 IS_RESERVED 0 SITEPROP SLICE_X33Y68 IS_TEST 0 SITEPROP SLICE_X33Y68 IS_USED 0 SITEPROP SLICE_X33Y68 MANUAL_ROUTING SITEPROP SLICE_X33Y68 NAME SLICE_X33Y68 SITEPROP SLICE_X33Y68 NUM_ARCS 138 SITEPROP SLICE_X33Y68 NUM_BELS 32 SITEPROP SLICE_X33Y68 NUM_INPUTS 32 SITEPROP SLICE_X33Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y68 NUM_PINS 45 SITEPROP SLICE_X33Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y68 PROHIBIT 0 SITEPROP SLICE_X33Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y68 RPM_X 91 SITEPROP SLICE_X33Y68 RPM_Y 136 SITEPROP SLICE_X33Y68 SITE_PIPS SITEPROP SLICE_X33Y68 SITE_TYPE SLICEL SITEPROP SLICE_X33Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y69 CLASS site SITEPROP SLICE_X33Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y69 IS_BONDED 0 SITEPROP SLICE_X33Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y69 IS_PAD 0 SITEPROP SLICE_X33Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y69 IS_RESERVED 0 SITEPROP SLICE_X33Y69 IS_TEST 0 SITEPROP SLICE_X33Y69 IS_USED 0 SITEPROP SLICE_X33Y69 MANUAL_ROUTING SITEPROP SLICE_X33Y69 NAME SLICE_X33Y69 SITEPROP SLICE_X33Y69 NUM_ARCS 138 SITEPROP SLICE_X33Y69 NUM_BELS 32 SITEPROP SLICE_X33Y69 NUM_INPUTS 32 SITEPROP SLICE_X33Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y69 NUM_PINS 45 SITEPROP SLICE_X33Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y69 PROHIBIT 0 SITEPROP SLICE_X33Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y69 RPM_X 91 SITEPROP SLICE_X33Y69 RPM_Y 138 SITEPROP SLICE_X33Y69 SITE_PIPS SITEPROP SLICE_X33Y69 SITE_TYPE SLICEL SITEPROP SLICE_X33Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y70 CLASS site SITEPROP SLICE_X33Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y70 IS_BONDED 0 SITEPROP SLICE_X33Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y70 IS_PAD 0 SITEPROP SLICE_X33Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y70 IS_RESERVED 0 SITEPROP SLICE_X33Y70 IS_TEST 0 SITEPROP SLICE_X33Y70 IS_USED 0 SITEPROP SLICE_X33Y70 MANUAL_ROUTING SITEPROP SLICE_X33Y70 NAME SLICE_X33Y70 SITEPROP SLICE_X33Y70 NUM_ARCS 138 SITEPROP SLICE_X33Y70 NUM_BELS 32 SITEPROP SLICE_X33Y70 NUM_INPUTS 32 SITEPROP SLICE_X33Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y70 NUM_PINS 45 SITEPROP SLICE_X33Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y70 PROHIBIT 0 SITEPROP SLICE_X33Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y70 RPM_X 91 SITEPROP SLICE_X33Y70 RPM_Y 140 SITEPROP SLICE_X33Y70 SITE_PIPS SITEPROP SLICE_X33Y70 SITE_TYPE SLICEL SITEPROP SLICE_X33Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y71 CLASS site SITEPROP SLICE_X33Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y71 IS_BONDED 0 SITEPROP SLICE_X33Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y71 IS_PAD 0 SITEPROP SLICE_X33Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y71 IS_RESERVED 0 SITEPROP SLICE_X33Y71 IS_TEST 0 SITEPROP SLICE_X33Y71 IS_USED 0 SITEPROP SLICE_X33Y71 MANUAL_ROUTING SITEPROP SLICE_X33Y71 NAME SLICE_X33Y71 SITEPROP SLICE_X33Y71 NUM_ARCS 138 SITEPROP SLICE_X33Y71 NUM_BELS 32 SITEPROP SLICE_X33Y71 NUM_INPUTS 32 SITEPROP SLICE_X33Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y71 NUM_PINS 45 SITEPROP SLICE_X33Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y71 PROHIBIT 0 SITEPROP SLICE_X33Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y71 RPM_X 91 SITEPROP SLICE_X33Y71 RPM_Y 142 SITEPROP SLICE_X33Y71 SITE_PIPS SITEPROP SLICE_X33Y71 SITE_TYPE SLICEL SITEPROP SLICE_X33Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y72 CLASS site SITEPROP SLICE_X33Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y72 IS_BONDED 0 SITEPROP SLICE_X33Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y72 IS_PAD 0 SITEPROP SLICE_X33Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y72 IS_RESERVED 0 SITEPROP SLICE_X33Y72 IS_TEST 0 SITEPROP SLICE_X33Y72 IS_USED 0 SITEPROP SLICE_X33Y72 MANUAL_ROUTING SITEPROP SLICE_X33Y72 NAME SLICE_X33Y72 SITEPROP SLICE_X33Y72 NUM_ARCS 138 SITEPROP SLICE_X33Y72 NUM_BELS 32 SITEPROP SLICE_X33Y72 NUM_INPUTS 32 SITEPROP SLICE_X33Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y72 NUM_PINS 45 SITEPROP SLICE_X33Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y72 PROHIBIT 0 SITEPROP SLICE_X33Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y72 RPM_X 91 SITEPROP SLICE_X33Y72 RPM_Y 144 SITEPROP SLICE_X33Y72 SITE_PIPS SITEPROP SLICE_X33Y72 SITE_TYPE SLICEL SITEPROP SLICE_X33Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y73 CLASS site SITEPROP SLICE_X33Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y73 IS_BONDED 0 SITEPROP SLICE_X33Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y73 IS_PAD 0 SITEPROP SLICE_X33Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y73 IS_RESERVED 0 SITEPROP SLICE_X33Y73 IS_TEST 0 SITEPROP SLICE_X33Y73 IS_USED 0 SITEPROP SLICE_X33Y73 MANUAL_ROUTING SITEPROP SLICE_X33Y73 NAME SLICE_X33Y73 SITEPROP SLICE_X33Y73 NUM_ARCS 138 SITEPROP SLICE_X33Y73 NUM_BELS 32 SITEPROP SLICE_X33Y73 NUM_INPUTS 32 SITEPROP SLICE_X33Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y73 NUM_PINS 45 SITEPROP SLICE_X33Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y73 PROHIBIT 0 SITEPROP SLICE_X33Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y73 RPM_X 91 SITEPROP SLICE_X33Y73 RPM_Y 146 SITEPROP SLICE_X33Y73 SITE_PIPS SITEPROP SLICE_X33Y73 SITE_TYPE SLICEL SITEPROP SLICE_X33Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y74 CLASS site SITEPROP SLICE_X33Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y74 IS_BONDED 0 SITEPROP SLICE_X33Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y74 IS_PAD 0 SITEPROP SLICE_X33Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y74 IS_RESERVED 0 SITEPROP SLICE_X33Y74 IS_TEST 0 SITEPROP SLICE_X33Y74 IS_USED 0 SITEPROP SLICE_X33Y74 MANUAL_ROUTING SITEPROP SLICE_X33Y74 NAME SLICE_X33Y74 SITEPROP SLICE_X33Y74 NUM_ARCS 138 SITEPROP SLICE_X33Y74 NUM_BELS 32 SITEPROP SLICE_X33Y74 NUM_INPUTS 32 SITEPROP SLICE_X33Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y74 NUM_PINS 45 SITEPROP SLICE_X33Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y74 PROHIBIT 0 SITEPROP SLICE_X33Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y74 RPM_X 91 SITEPROP SLICE_X33Y74 RPM_Y 148 SITEPROP SLICE_X33Y74 SITE_PIPS SITEPROP SLICE_X33Y74 SITE_TYPE SLICEL SITEPROP SLICE_X33Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y75 CLASS site SITEPROP SLICE_X33Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y75 IS_BONDED 0 SITEPROP SLICE_X33Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y75 IS_PAD 0 SITEPROP SLICE_X33Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y75 IS_RESERVED 0 SITEPROP SLICE_X33Y75 IS_TEST 0 SITEPROP SLICE_X33Y75 IS_USED 0 SITEPROP SLICE_X33Y75 MANUAL_ROUTING SITEPROP SLICE_X33Y75 NAME SLICE_X33Y75 SITEPROP SLICE_X33Y75 NUM_ARCS 138 SITEPROP SLICE_X33Y75 NUM_BELS 32 SITEPROP SLICE_X33Y75 NUM_INPUTS 32 SITEPROP SLICE_X33Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y75 NUM_PINS 45 SITEPROP SLICE_X33Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y75 PROHIBIT 0 SITEPROP SLICE_X33Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y75 RPM_X 91 SITEPROP SLICE_X33Y75 RPM_Y 150 SITEPROP SLICE_X33Y75 SITE_PIPS SITEPROP SLICE_X33Y75 SITE_TYPE SLICEL SITEPROP SLICE_X33Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y76 CLASS site SITEPROP SLICE_X33Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y76 IS_BONDED 0 SITEPROP SLICE_X33Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y76 IS_PAD 0 SITEPROP SLICE_X33Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y76 IS_RESERVED 0 SITEPROP SLICE_X33Y76 IS_TEST 0 SITEPROP SLICE_X33Y76 IS_USED 0 SITEPROP SLICE_X33Y76 MANUAL_ROUTING SITEPROP SLICE_X33Y76 NAME SLICE_X33Y76 SITEPROP SLICE_X33Y76 NUM_ARCS 138 SITEPROP SLICE_X33Y76 NUM_BELS 32 SITEPROP SLICE_X33Y76 NUM_INPUTS 32 SITEPROP SLICE_X33Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y76 NUM_PINS 45 SITEPROP SLICE_X33Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y76 PROHIBIT 0 SITEPROP SLICE_X33Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y76 RPM_X 91 SITEPROP SLICE_X33Y76 RPM_Y 152 SITEPROP SLICE_X33Y76 SITE_PIPS SITEPROP SLICE_X33Y76 SITE_TYPE SLICEL SITEPROP SLICE_X33Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y77 CLASS site SITEPROP SLICE_X33Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y77 IS_BONDED 0 SITEPROP SLICE_X33Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y77 IS_PAD 0 SITEPROP SLICE_X33Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y77 IS_RESERVED 0 SITEPROP SLICE_X33Y77 IS_TEST 0 SITEPROP SLICE_X33Y77 IS_USED 0 SITEPROP SLICE_X33Y77 MANUAL_ROUTING SITEPROP SLICE_X33Y77 NAME SLICE_X33Y77 SITEPROP SLICE_X33Y77 NUM_ARCS 138 SITEPROP SLICE_X33Y77 NUM_BELS 32 SITEPROP SLICE_X33Y77 NUM_INPUTS 32 SITEPROP SLICE_X33Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y77 NUM_PINS 45 SITEPROP SLICE_X33Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y77 PROHIBIT 0 SITEPROP SLICE_X33Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y77 RPM_X 91 SITEPROP SLICE_X33Y77 RPM_Y 154 SITEPROP SLICE_X33Y77 SITE_PIPS SITEPROP SLICE_X33Y77 SITE_TYPE SLICEL SITEPROP SLICE_X33Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y78 CLASS site SITEPROP SLICE_X33Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y78 IS_BONDED 0 SITEPROP SLICE_X33Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y78 IS_PAD 0 SITEPROP SLICE_X33Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y78 IS_RESERVED 0 SITEPROP SLICE_X33Y78 IS_TEST 0 SITEPROP SLICE_X33Y78 IS_USED 0 SITEPROP SLICE_X33Y78 MANUAL_ROUTING SITEPROP SLICE_X33Y78 NAME SLICE_X33Y78 SITEPROP SLICE_X33Y78 NUM_ARCS 138 SITEPROP SLICE_X33Y78 NUM_BELS 32 SITEPROP SLICE_X33Y78 NUM_INPUTS 32 SITEPROP SLICE_X33Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y78 NUM_PINS 45 SITEPROP SLICE_X33Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y78 PROHIBIT 0 SITEPROP SLICE_X33Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y78 RPM_X 91 SITEPROP SLICE_X33Y78 RPM_Y 156 SITEPROP SLICE_X33Y78 SITE_PIPS SITEPROP SLICE_X33Y78 SITE_TYPE SLICEL SITEPROP SLICE_X33Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y79 CLASS site SITEPROP SLICE_X33Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y79 IS_BONDED 0 SITEPROP SLICE_X33Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y79 IS_PAD 0 SITEPROP SLICE_X33Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y79 IS_RESERVED 0 SITEPROP SLICE_X33Y79 IS_TEST 0 SITEPROP SLICE_X33Y79 IS_USED 0 SITEPROP SLICE_X33Y79 MANUAL_ROUTING SITEPROP SLICE_X33Y79 NAME SLICE_X33Y79 SITEPROP SLICE_X33Y79 NUM_ARCS 138 SITEPROP SLICE_X33Y79 NUM_BELS 32 SITEPROP SLICE_X33Y79 NUM_INPUTS 32 SITEPROP SLICE_X33Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y79 NUM_PINS 45 SITEPROP SLICE_X33Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y79 PROHIBIT 0 SITEPROP SLICE_X33Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y79 RPM_X 91 SITEPROP SLICE_X33Y79 RPM_Y 158 SITEPROP SLICE_X33Y79 SITE_PIPS SITEPROP SLICE_X33Y79 SITE_TYPE SLICEL SITEPROP SLICE_X33Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y80 CLASS site SITEPROP SLICE_X33Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y80 IS_BONDED 0 SITEPROP SLICE_X33Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y80 IS_PAD 0 SITEPROP SLICE_X33Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y80 IS_RESERVED 0 SITEPROP SLICE_X33Y80 IS_TEST 0 SITEPROP SLICE_X33Y80 IS_USED 0 SITEPROP SLICE_X33Y80 MANUAL_ROUTING SITEPROP SLICE_X33Y80 NAME SLICE_X33Y80 SITEPROP SLICE_X33Y80 NUM_ARCS 138 SITEPROP SLICE_X33Y80 NUM_BELS 32 SITEPROP SLICE_X33Y80 NUM_INPUTS 32 SITEPROP SLICE_X33Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y80 NUM_PINS 45 SITEPROP SLICE_X33Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y80 PROHIBIT 0 SITEPROP SLICE_X33Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y80 RPM_X 91 SITEPROP SLICE_X33Y80 RPM_Y 160 SITEPROP SLICE_X33Y80 SITE_PIPS SITEPROP SLICE_X33Y80 SITE_TYPE SLICEL SITEPROP SLICE_X33Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y81 CLASS site SITEPROP SLICE_X33Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y81 IS_BONDED 0 SITEPROP SLICE_X33Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y81 IS_PAD 0 SITEPROP SLICE_X33Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y81 IS_RESERVED 0 SITEPROP SLICE_X33Y81 IS_TEST 0 SITEPROP SLICE_X33Y81 IS_USED 0 SITEPROP SLICE_X33Y81 MANUAL_ROUTING SITEPROP SLICE_X33Y81 NAME SLICE_X33Y81 SITEPROP SLICE_X33Y81 NUM_ARCS 138 SITEPROP SLICE_X33Y81 NUM_BELS 32 SITEPROP SLICE_X33Y81 NUM_INPUTS 32 SITEPROP SLICE_X33Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y81 NUM_PINS 45 SITEPROP SLICE_X33Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y81 PROHIBIT 0 SITEPROP SLICE_X33Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y81 RPM_X 91 SITEPROP SLICE_X33Y81 RPM_Y 162 SITEPROP SLICE_X33Y81 SITE_PIPS SITEPROP SLICE_X33Y81 SITE_TYPE SLICEL SITEPROP SLICE_X33Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y82 CLASS site SITEPROP SLICE_X33Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y82 IS_BONDED 0 SITEPROP SLICE_X33Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y82 IS_PAD 0 SITEPROP SLICE_X33Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y82 IS_RESERVED 0 SITEPROP SLICE_X33Y82 IS_TEST 0 SITEPROP SLICE_X33Y82 IS_USED 0 SITEPROP SLICE_X33Y82 MANUAL_ROUTING SITEPROP SLICE_X33Y82 NAME SLICE_X33Y82 SITEPROP SLICE_X33Y82 NUM_ARCS 138 SITEPROP SLICE_X33Y82 NUM_BELS 32 SITEPROP SLICE_X33Y82 NUM_INPUTS 32 SITEPROP SLICE_X33Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y82 NUM_PINS 45 SITEPROP SLICE_X33Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y82 PROHIBIT 0 SITEPROP SLICE_X33Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y82 RPM_X 91 SITEPROP SLICE_X33Y82 RPM_Y 164 SITEPROP SLICE_X33Y82 SITE_PIPS SITEPROP SLICE_X33Y82 SITE_TYPE SLICEL SITEPROP SLICE_X33Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y83 CLASS site SITEPROP SLICE_X33Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y83 IS_BONDED 0 SITEPROP SLICE_X33Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y83 IS_PAD 0 SITEPROP SLICE_X33Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y83 IS_RESERVED 0 SITEPROP SLICE_X33Y83 IS_TEST 0 SITEPROP SLICE_X33Y83 IS_USED 0 SITEPROP SLICE_X33Y83 MANUAL_ROUTING SITEPROP SLICE_X33Y83 NAME SLICE_X33Y83 SITEPROP SLICE_X33Y83 NUM_ARCS 138 SITEPROP SLICE_X33Y83 NUM_BELS 32 SITEPROP SLICE_X33Y83 NUM_INPUTS 32 SITEPROP SLICE_X33Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y83 NUM_PINS 45 SITEPROP SLICE_X33Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y83 PROHIBIT 0 SITEPROP SLICE_X33Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y83 RPM_X 91 SITEPROP SLICE_X33Y83 RPM_Y 166 SITEPROP SLICE_X33Y83 SITE_PIPS SITEPROP SLICE_X33Y83 SITE_TYPE SLICEL SITEPROP SLICE_X33Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y84 CLASS site SITEPROP SLICE_X33Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y84 IS_BONDED 0 SITEPROP SLICE_X33Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y84 IS_PAD 0 SITEPROP SLICE_X33Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y84 IS_RESERVED 0 SITEPROP SLICE_X33Y84 IS_TEST 0 SITEPROP SLICE_X33Y84 IS_USED 0 SITEPROP SLICE_X33Y84 MANUAL_ROUTING SITEPROP SLICE_X33Y84 NAME SLICE_X33Y84 SITEPROP SLICE_X33Y84 NUM_ARCS 138 SITEPROP SLICE_X33Y84 NUM_BELS 32 SITEPROP SLICE_X33Y84 NUM_INPUTS 32 SITEPROP SLICE_X33Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y84 NUM_PINS 45 SITEPROP SLICE_X33Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y84 PROHIBIT 0 SITEPROP SLICE_X33Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y84 RPM_X 91 SITEPROP SLICE_X33Y84 RPM_Y 168 SITEPROP SLICE_X33Y84 SITE_PIPS SITEPROP SLICE_X33Y84 SITE_TYPE SLICEL SITEPROP SLICE_X33Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y85 CLASS site SITEPROP SLICE_X33Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y85 IS_BONDED 0 SITEPROP SLICE_X33Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y85 IS_PAD 0 SITEPROP SLICE_X33Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y85 IS_RESERVED 0 SITEPROP SLICE_X33Y85 IS_TEST 0 SITEPROP SLICE_X33Y85 IS_USED 0 SITEPROP SLICE_X33Y85 MANUAL_ROUTING SITEPROP SLICE_X33Y85 NAME SLICE_X33Y85 SITEPROP SLICE_X33Y85 NUM_ARCS 138 SITEPROP SLICE_X33Y85 NUM_BELS 32 SITEPROP SLICE_X33Y85 NUM_INPUTS 32 SITEPROP SLICE_X33Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y85 NUM_PINS 45 SITEPROP SLICE_X33Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y85 PROHIBIT 0 SITEPROP SLICE_X33Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y85 RPM_X 91 SITEPROP SLICE_X33Y85 RPM_Y 170 SITEPROP SLICE_X33Y85 SITE_PIPS SITEPROP SLICE_X33Y85 SITE_TYPE SLICEL SITEPROP SLICE_X33Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y86 CLASS site SITEPROP SLICE_X33Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y86 IS_BONDED 0 SITEPROP SLICE_X33Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y86 IS_PAD 0 SITEPROP SLICE_X33Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y86 IS_RESERVED 0 SITEPROP SLICE_X33Y86 IS_TEST 0 SITEPROP SLICE_X33Y86 IS_USED 0 SITEPROP SLICE_X33Y86 MANUAL_ROUTING SITEPROP SLICE_X33Y86 NAME SLICE_X33Y86 SITEPROP SLICE_X33Y86 NUM_ARCS 138 SITEPROP SLICE_X33Y86 NUM_BELS 32 SITEPROP SLICE_X33Y86 NUM_INPUTS 32 SITEPROP SLICE_X33Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y86 NUM_PINS 45 SITEPROP SLICE_X33Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y86 PROHIBIT 0 SITEPROP SLICE_X33Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y86 RPM_X 91 SITEPROP SLICE_X33Y86 RPM_Y 172 SITEPROP SLICE_X33Y86 SITE_PIPS SITEPROP SLICE_X33Y86 SITE_TYPE SLICEL SITEPROP SLICE_X33Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y87 CLASS site SITEPROP SLICE_X33Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y87 IS_BONDED 0 SITEPROP SLICE_X33Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y87 IS_PAD 0 SITEPROP SLICE_X33Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y87 IS_RESERVED 0 SITEPROP SLICE_X33Y87 IS_TEST 0 SITEPROP SLICE_X33Y87 IS_USED 0 SITEPROP SLICE_X33Y87 MANUAL_ROUTING SITEPROP SLICE_X33Y87 NAME SLICE_X33Y87 SITEPROP SLICE_X33Y87 NUM_ARCS 138 SITEPROP SLICE_X33Y87 NUM_BELS 32 SITEPROP SLICE_X33Y87 NUM_INPUTS 32 SITEPROP SLICE_X33Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y87 NUM_PINS 45 SITEPROP SLICE_X33Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y87 PROHIBIT 0 SITEPROP SLICE_X33Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y87 RPM_X 91 SITEPROP SLICE_X33Y87 RPM_Y 174 SITEPROP SLICE_X33Y87 SITE_PIPS SITEPROP SLICE_X33Y87 SITE_TYPE SLICEL SITEPROP SLICE_X33Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y88 CLASS site SITEPROP SLICE_X33Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y88 IS_BONDED 0 SITEPROP SLICE_X33Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y88 IS_PAD 0 SITEPROP SLICE_X33Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y88 IS_RESERVED 0 SITEPROP SLICE_X33Y88 IS_TEST 0 SITEPROP SLICE_X33Y88 IS_USED 0 SITEPROP SLICE_X33Y88 MANUAL_ROUTING SITEPROP SLICE_X33Y88 NAME SLICE_X33Y88 SITEPROP SLICE_X33Y88 NUM_ARCS 138 SITEPROP SLICE_X33Y88 NUM_BELS 32 SITEPROP SLICE_X33Y88 NUM_INPUTS 32 SITEPROP SLICE_X33Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y88 NUM_PINS 45 SITEPROP SLICE_X33Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y88 PROHIBIT 0 SITEPROP SLICE_X33Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y88 RPM_X 91 SITEPROP SLICE_X33Y88 RPM_Y 176 SITEPROP SLICE_X33Y88 SITE_PIPS SITEPROP SLICE_X33Y88 SITE_TYPE SLICEL SITEPROP SLICE_X33Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y89 CLASS site SITEPROP SLICE_X33Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y89 IS_BONDED 0 SITEPROP SLICE_X33Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y89 IS_PAD 0 SITEPROP SLICE_X33Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y89 IS_RESERVED 0 SITEPROP SLICE_X33Y89 IS_TEST 0 SITEPROP SLICE_X33Y89 IS_USED 0 SITEPROP SLICE_X33Y89 MANUAL_ROUTING SITEPROP SLICE_X33Y89 NAME SLICE_X33Y89 SITEPROP SLICE_X33Y89 NUM_ARCS 138 SITEPROP SLICE_X33Y89 NUM_BELS 32 SITEPROP SLICE_X33Y89 NUM_INPUTS 32 SITEPROP SLICE_X33Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y89 NUM_PINS 45 SITEPROP SLICE_X33Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y89 PROHIBIT 0 SITEPROP SLICE_X33Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y89 RPM_X 91 SITEPROP SLICE_X33Y89 RPM_Y 178 SITEPROP SLICE_X33Y89 SITE_PIPS SITEPROP SLICE_X33Y89 SITE_TYPE SLICEL SITEPROP SLICE_X33Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y90 CLASS site SITEPROP SLICE_X33Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y90 IS_BONDED 0 SITEPROP SLICE_X33Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y90 IS_PAD 0 SITEPROP SLICE_X33Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y90 IS_RESERVED 0 SITEPROP SLICE_X33Y90 IS_TEST 0 SITEPROP SLICE_X33Y90 IS_USED 0 SITEPROP SLICE_X33Y90 MANUAL_ROUTING SITEPROP SLICE_X33Y90 NAME SLICE_X33Y90 SITEPROP SLICE_X33Y90 NUM_ARCS 138 SITEPROP SLICE_X33Y90 NUM_BELS 32 SITEPROP SLICE_X33Y90 NUM_INPUTS 32 SITEPROP SLICE_X33Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y90 NUM_PINS 45 SITEPROP SLICE_X33Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y90 PROHIBIT 0 SITEPROP SLICE_X33Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y90 RPM_X 91 SITEPROP SLICE_X33Y90 RPM_Y 180 SITEPROP SLICE_X33Y90 SITE_PIPS SITEPROP SLICE_X33Y90 SITE_TYPE SLICEL SITEPROP SLICE_X33Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y91 CLASS site SITEPROP SLICE_X33Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y91 IS_BONDED 0 SITEPROP SLICE_X33Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y91 IS_PAD 0 SITEPROP SLICE_X33Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y91 IS_RESERVED 0 SITEPROP SLICE_X33Y91 IS_TEST 0 SITEPROP SLICE_X33Y91 IS_USED 0 SITEPROP SLICE_X33Y91 MANUAL_ROUTING SITEPROP SLICE_X33Y91 NAME SLICE_X33Y91 SITEPROP SLICE_X33Y91 NUM_ARCS 138 SITEPROP SLICE_X33Y91 NUM_BELS 32 SITEPROP SLICE_X33Y91 NUM_INPUTS 32 SITEPROP SLICE_X33Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y91 NUM_PINS 45 SITEPROP SLICE_X33Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y91 PROHIBIT 0 SITEPROP SLICE_X33Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y91 RPM_X 91 SITEPROP SLICE_X33Y91 RPM_Y 182 SITEPROP SLICE_X33Y91 SITE_PIPS SITEPROP SLICE_X33Y91 SITE_TYPE SLICEL SITEPROP SLICE_X33Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y92 CLASS site SITEPROP SLICE_X33Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y92 IS_BONDED 0 SITEPROP SLICE_X33Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y92 IS_PAD 0 SITEPROP SLICE_X33Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y92 IS_RESERVED 0 SITEPROP SLICE_X33Y92 IS_TEST 0 SITEPROP SLICE_X33Y92 IS_USED 0 SITEPROP SLICE_X33Y92 MANUAL_ROUTING SITEPROP SLICE_X33Y92 NAME SLICE_X33Y92 SITEPROP SLICE_X33Y92 NUM_ARCS 138 SITEPROP SLICE_X33Y92 NUM_BELS 32 SITEPROP SLICE_X33Y92 NUM_INPUTS 32 SITEPROP SLICE_X33Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y92 NUM_PINS 45 SITEPROP SLICE_X33Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y92 PROHIBIT 0 SITEPROP SLICE_X33Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y92 RPM_X 91 SITEPROP SLICE_X33Y92 RPM_Y 184 SITEPROP SLICE_X33Y92 SITE_PIPS SITEPROP SLICE_X33Y92 SITE_TYPE SLICEL SITEPROP SLICE_X33Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y93 CLASS site SITEPROP SLICE_X33Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y93 IS_BONDED 0 SITEPROP SLICE_X33Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y93 IS_PAD 0 SITEPROP SLICE_X33Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y93 IS_RESERVED 0 SITEPROP SLICE_X33Y93 IS_TEST 0 SITEPROP SLICE_X33Y93 IS_USED 0 SITEPROP SLICE_X33Y93 MANUAL_ROUTING SITEPROP SLICE_X33Y93 NAME SLICE_X33Y93 SITEPROP SLICE_X33Y93 NUM_ARCS 138 SITEPROP SLICE_X33Y93 NUM_BELS 32 SITEPROP SLICE_X33Y93 NUM_INPUTS 32 SITEPROP SLICE_X33Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y93 NUM_PINS 45 SITEPROP SLICE_X33Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y93 PROHIBIT 0 SITEPROP SLICE_X33Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y93 RPM_X 91 SITEPROP SLICE_X33Y93 RPM_Y 186 SITEPROP SLICE_X33Y93 SITE_PIPS SITEPROP SLICE_X33Y93 SITE_TYPE SLICEL SITEPROP SLICE_X33Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y94 CLASS site SITEPROP SLICE_X33Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y94 IS_BONDED 0 SITEPROP SLICE_X33Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y94 IS_PAD 0 SITEPROP SLICE_X33Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y94 IS_RESERVED 0 SITEPROP SLICE_X33Y94 IS_TEST 0 SITEPROP SLICE_X33Y94 IS_USED 0 SITEPROP SLICE_X33Y94 MANUAL_ROUTING SITEPROP SLICE_X33Y94 NAME SLICE_X33Y94 SITEPROP SLICE_X33Y94 NUM_ARCS 138 SITEPROP SLICE_X33Y94 NUM_BELS 32 SITEPROP SLICE_X33Y94 NUM_INPUTS 32 SITEPROP SLICE_X33Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y94 NUM_PINS 45 SITEPROP SLICE_X33Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y94 PROHIBIT 0 SITEPROP SLICE_X33Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y94 RPM_X 91 SITEPROP SLICE_X33Y94 RPM_Y 188 SITEPROP SLICE_X33Y94 SITE_PIPS SITEPROP SLICE_X33Y94 SITE_TYPE SLICEL SITEPROP SLICE_X33Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y95 CLASS site SITEPROP SLICE_X33Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y95 IS_BONDED 0 SITEPROP SLICE_X33Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y95 IS_PAD 0 SITEPROP SLICE_X33Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y95 IS_RESERVED 0 SITEPROP SLICE_X33Y95 IS_TEST 0 SITEPROP SLICE_X33Y95 IS_USED 0 SITEPROP SLICE_X33Y95 MANUAL_ROUTING SITEPROP SLICE_X33Y95 NAME SLICE_X33Y95 SITEPROP SLICE_X33Y95 NUM_ARCS 138 SITEPROP SLICE_X33Y95 NUM_BELS 32 SITEPROP SLICE_X33Y95 NUM_INPUTS 32 SITEPROP SLICE_X33Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y95 NUM_PINS 45 SITEPROP SLICE_X33Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y95 PROHIBIT 0 SITEPROP SLICE_X33Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y95 RPM_X 91 SITEPROP SLICE_X33Y95 RPM_Y 190 SITEPROP SLICE_X33Y95 SITE_PIPS SITEPROP SLICE_X33Y95 SITE_TYPE SLICEL SITEPROP SLICE_X33Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y96 CLASS site SITEPROP SLICE_X33Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y96 IS_BONDED 0 SITEPROP SLICE_X33Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y96 IS_PAD 0 SITEPROP SLICE_X33Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y96 IS_RESERVED 0 SITEPROP SLICE_X33Y96 IS_TEST 0 SITEPROP SLICE_X33Y96 IS_USED 0 SITEPROP SLICE_X33Y96 MANUAL_ROUTING SITEPROP SLICE_X33Y96 NAME SLICE_X33Y96 SITEPROP SLICE_X33Y96 NUM_ARCS 138 SITEPROP SLICE_X33Y96 NUM_BELS 32 SITEPROP SLICE_X33Y96 NUM_INPUTS 32 SITEPROP SLICE_X33Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y96 NUM_PINS 45 SITEPROP SLICE_X33Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y96 PROHIBIT 0 SITEPROP SLICE_X33Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y96 RPM_X 91 SITEPROP SLICE_X33Y96 RPM_Y 192 SITEPROP SLICE_X33Y96 SITE_PIPS SITEPROP SLICE_X33Y96 SITE_TYPE SLICEL SITEPROP SLICE_X33Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y97 CLASS site SITEPROP SLICE_X33Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y97 IS_BONDED 0 SITEPROP SLICE_X33Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y97 IS_PAD 0 SITEPROP SLICE_X33Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y97 IS_RESERVED 0 SITEPROP SLICE_X33Y97 IS_TEST 0 SITEPROP SLICE_X33Y97 IS_USED 0 SITEPROP SLICE_X33Y97 MANUAL_ROUTING SITEPROP SLICE_X33Y97 NAME SLICE_X33Y97 SITEPROP SLICE_X33Y97 NUM_ARCS 138 SITEPROP SLICE_X33Y97 NUM_BELS 32 SITEPROP SLICE_X33Y97 NUM_INPUTS 32 SITEPROP SLICE_X33Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y97 NUM_PINS 45 SITEPROP SLICE_X33Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y97 PROHIBIT 0 SITEPROP SLICE_X33Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y97 RPM_X 91 SITEPROP SLICE_X33Y97 RPM_Y 194 SITEPROP SLICE_X33Y97 SITE_PIPS SITEPROP SLICE_X33Y97 SITE_TYPE SLICEL SITEPROP SLICE_X33Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y98 CLASS site SITEPROP SLICE_X33Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y98 IS_BONDED 0 SITEPROP SLICE_X33Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y98 IS_PAD 0 SITEPROP SLICE_X33Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y98 IS_RESERVED 0 SITEPROP SLICE_X33Y98 IS_TEST 0 SITEPROP SLICE_X33Y98 IS_USED 0 SITEPROP SLICE_X33Y98 MANUAL_ROUTING SITEPROP SLICE_X33Y98 NAME SLICE_X33Y98 SITEPROP SLICE_X33Y98 NUM_ARCS 138 SITEPROP SLICE_X33Y98 NUM_BELS 32 SITEPROP SLICE_X33Y98 NUM_INPUTS 32 SITEPROP SLICE_X33Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y98 NUM_PINS 45 SITEPROP SLICE_X33Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y98 PROHIBIT 0 SITEPROP SLICE_X33Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y98 RPM_X 91 SITEPROP SLICE_X33Y98 RPM_Y 196 SITEPROP SLICE_X33Y98 SITE_PIPS SITEPROP SLICE_X33Y98 SITE_TYPE SLICEL SITEPROP SLICE_X33Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y99 CLASS site SITEPROP SLICE_X33Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X33Y99 IS_BONDED 0 SITEPROP SLICE_X33Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y99 IS_PAD 0 SITEPROP SLICE_X33Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y99 IS_RESERVED 0 SITEPROP SLICE_X33Y99 IS_TEST 0 SITEPROP SLICE_X33Y99 IS_USED 0 SITEPROP SLICE_X33Y99 MANUAL_ROUTING SITEPROP SLICE_X33Y99 NAME SLICE_X33Y99 SITEPROP SLICE_X33Y99 NUM_ARCS 138 SITEPROP SLICE_X33Y99 NUM_BELS 32 SITEPROP SLICE_X33Y99 NUM_INPUTS 32 SITEPROP SLICE_X33Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y99 NUM_PINS 45 SITEPROP SLICE_X33Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y99 PROHIBIT 0 SITEPROP SLICE_X33Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y99 RPM_X 91 SITEPROP SLICE_X33Y99 RPM_Y 198 SITEPROP SLICE_X33Y99 SITE_PIPS SITEPROP SLICE_X33Y99 SITE_TYPE SLICEL SITEPROP SLICE_X33Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y100 CLASS site SITEPROP SLICE_X33Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y100 IS_BONDED 0 SITEPROP SLICE_X33Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y100 IS_PAD 0 SITEPROP SLICE_X33Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y100 IS_RESERVED 0 SITEPROP SLICE_X33Y100 IS_TEST 0 SITEPROP SLICE_X33Y100 IS_USED 0 SITEPROP SLICE_X33Y100 MANUAL_ROUTING SITEPROP SLICE_X33Y100 NAME SLICE_X33Y100 SITEPROP SLICE_X33Y100 NUM_ARCS 138 SITEPROP SLICE_X33Y100 NUM_BELS 32 SITEPROP SLICE_X33Y100 NUM_INPUTS 32 SITEPROP SLICE_X33Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y100 NUM_PINS 45 SITEPROP SLICE_X33Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y100 PROHIBIT 0 SITEPROP SLICE_X33Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y100 RPM_X 91 SITEPROP SLICE_X33Y100 RPM_Y 200 SITEPROP SLICE_X33Y100 SITE_PIPS SITEPROP SLICE_X33Y100 SITE_TYPE SLICEL SITEPROP SLICE_X33Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y101 CLASS site SITEPROP SLICE_X33Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y101 IS_BONDED 0 SITEPROP SLICE_X33Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y101 IS_PAD 0 SITEPROP SLICE_X33Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y101 IS_RESERVED 0 SITEPROP SLICE_X33Y101 IS_TEST 0 SITEPROP SLICE_X33Y101 IS_USED 0 SITEPROP SLICE_X33Y101 MANUAL_ROUTING SITEPROP SLICE_X33Y101 NAME SLICE_X33Y101 SITEPROP SLICE_X33Y101 NUM_ARCS 138 SITEPROP SLICE_X33Y101 NUM_BELS 32 SITEPROP SLICE_X33Y101 NUM_INPUTS 32 SITEPROP SLICE_X33Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y101 NUM_PINS 45 SITEPROP SLICE_X33Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y101 PROHIBIT 0 SITEPROP SLICE_X33Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y101 RPM_X 91 SITEPROP SLICE_X33Y101 RPM_Y 202 SITEPROP SLICE_X33Y101 SITE_PIPS SITEPROP SLICE_X33Y101 SITE_TYPE SLICEL SITEPROP SLICE_X33Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y102 CLASS site SITEPROP SLICE_X33Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y102 IS_BONDED 0 SITEPROP SLICE_X33Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y102 IS_PAD 0 SITEPROP SLICE_X33Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y102 IS_RESERVED 0 SITEPROP SLICE_X33Y102 IS_TEST 0 SITEPROP SLICE_X33Y102 IS_USED 0 SITEPROP SLICE_X33Y102 MANUAL_ROUTING SITEPROP SLICE_X33Y102 NAME SLICE_X33Y102 SITEPROP SLICE_X33Y102 NUM_ARCS 138 SITEPROP SLICE_X33Y102 NUM_BELS 32 SITEPROP SLICE_X33Y102 NUM_INPUTS 32 SITEPROP SLICE_X33Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y102 NUM_PINS 45 SITEPROP SLICE_X33Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y102 PROHIBIT 0 SITEPROP SLICE_X33Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y102 RPM_X 91 SITEPROP SLICE_X33Y102 RPM_Y 204 SITEPROP SLICE_X33Y102 SITE_PIPS SITEPROP SLICE_X33Y102 SITE_TYPE SLICEL SITEPROP SLICE_X33Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y103 CLASS site SITEPROP SLICE_X33Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y103 IS_BONDED 0 SITEPROP SLICE_X33Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y103 IS_PAD 0 SITEPROP SLICE_X33Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y103 IS_RESERVED 0 SITEPROP SLICE_X33Y103 IS_TEST 0 SITEPROP SLICE_X33Y103 IS_USED 0 SITEPROP SLICE_X33Y103 MANUAL_ROUTING SITEPROP SLICE_X33Y103 NAME SLICE_X33Y103 SITEPROP SLICE_X33Y103 NUM_ARCS 138 SITEPROP SLICE_X33Y103 NUM_BELS 32 SITEPROP SLICE_X33Y103 NUM_INPUTS 32 SITEPROP SLICE_X33Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y103 NUM_PINS 45 SITEPROP SLICE_X33Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y103 PROHIBIT 0 SITEPROP SLICE_X33Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y103 RPM_X 91 SITEPROP SLICE_X33Y103 RPM_Y 206 SITEPROP SLICE_X33Y103 SITE_PIPS SITEPROP SLICE_X33Y103 SITE_TYPE SLICEL SITEPROP SLICE_X33Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y104 CLASS site SITEPROP SLICE_X33Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y104 IS_BONDED 0 SITEPROP SLICE_X33Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y104 IS_PAD 0 SITEPROP SLICE_X33Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y104 IS_RESERVED 0 SITEPROP SLICE_X33Y104 IS_TEST 0 SITEPROP SLICE_X33Y104 IS_USED 0 SITEPROP SLICE_X33Y104 MANUAL_ROUTING SITEPROP SLICE_X33Y104 NAME SLICE_X33Y104 SITEPROP SLICE_X33Y104 NUM_ARCS 138 SITEPROP SLICE_X33Y104 NUM_BELS 32 SITEPROP SLICE_X33Y104 NUM_INPUTS 32 SITEPROP SLICE_X33Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y104 NUM_PINS 45 SITEPROP SLICE_X33Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y104 PROHIBIT 0 SITEPROP SLICE_X33Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y104 RPM_X 91 SITEPROP SLICE_X33Y104 RPM_Y 208 SITEPROP SLICE_X33Y104 SITE_PIPS SITEPROP SLICE_X33Y104 SITE_TYPE SLICEL SITEPROP SLICE_X33Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y105 CLASS site SITEPROP SLICE_X33Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y105 IS_BONDED 0 SITEPROP SLICE_X33Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y105 IS_PAD 0 SITEPROP SLICE_X33Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y105 IS_RESERVED 0 SITEPROP SLICE_X33Y105 IS_TEST 0 SITEPROP SLICE_X33Y105 IS_USED 0 SITEPROP SLICE_X33Y105 MANUAL_ROUTING SITEPROP SLICE_X33Y105 NAME SLICE_X33Y105 SITEPROP SLICE_X33Y105 NUM_ARCS 138 SITEPROP SLICE_X33Y105 NUM_BELS 32 SITEPROP SLICE_X33Y105 NUM_INPUTS 32 SITEPROP SLICE_X33Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y105 NUM_PINS 45 SITEPROP SLICE_X33Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y105 PROHIBIT 0 SITEPROP SLICE_X33Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y105 RPM_X 91 SITEPROP SLICE_X33Y105 RPM_Y 210 SITEPROP SLICE_X33Y105 SITE_PIPS SITEPROP SLICE_X33Y105 SITE_TYPE SLICEL SITEPROP SLICE_X33Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y106 CLASS site SITEPROP SLICE_X33Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y106 IS_BONDED 0 SITEPROP SLICE_X33Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y106 IS_PAD 0 SITEPROP SLICE_X33Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y106 IS_RESERVED 0 SITEPROP SLICE_X33Y106 IS_TEST 0 SITEPROP SLICE_X33Y106 IS_USED 0 SITEPROP SLICE_X33Y106 MANUAL_ROUTING SITEPROP SLICE_X33Y106 NAME SLICE_X33Y106 SITEPROP SLICE_X33Y106 NUM_ARCS 138 SITEPROP SLICE_X33Y106 NUM_BELS 32 SITEPROP SLICE_X33Y106 NUM_INPUTS 32 SITEPROP SLICE_X33Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y106 NUM_PINS 45 SITEPROP SLICE_X33Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y106 PROHIBIT 0 SITEPROP SLICE_X33Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y106 RPM_X 91 SITEPROP SLICE_X33Y106 RPM_Y 212 SITEPROP SLICE_X33Y106 SITE_PIPS SITEPROP SLICE_X33Y106 SITE_TYPE SLICEL SITEPROP SLICE_X33Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y107 CLASS site SITEPROP SLICE_X33Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y107 IS_BONDED 0 SITEPROP SLICE_X33Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y107 IS_PAD 0 SITEPROP SLICE_X33Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y107 IS_RESERVED 0 SITEPROP SLICE_X33Y107 IS_TEST 0 SITEPROP SLICE_X33Y107 IS_USED 0 SITEPROP SLICE_X33Y107 MANUAL_ROUTING SITEPROP SLICE_X33Y107 NAME SLICE_X33Y107 SITEPROP SLICE_X33Y107 NUM_ARCS 138 SITEPROP SLICE_X33Y107 NUM_BELS 32 SITEPROP SLICE_X33Y107 NUM_INPUTS 32 SITEPROP SLICE_X33Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y107 NUM_PINS 45 SITEPROP SLICE_X33Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y107 PROHIBIT 0 SITEPROP SLICE_X33Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y107 RPM_X 91 SITEPROP SLICE_X33Y107 RPM_Y 214 SITEPROP SLICE_X33Y107 SITE_PIPS SITEPROP SLICE_X33Y107 SITE_TYPE SLICEL SITEPROP SLICE_X33Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y108 CLASS site SITEPROP SLICE_X33Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y108 IS_BONDED 0 SITEPROP SLICE_X33Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y108 IS_PAD 0 SITEPROP SLICE_X33Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y108 IS_RESERVED 0 SITEPROP SLICE_X33Y108 IS_TEST 0 SITEPROP SLICE_X33Y108 IS_USED 0 SITEPROP SLICE_X33Y108 MANUAL_ROUTING SITEPROP SLICE_X33Y108 NAME SLICE_X33Y108 SITEPROP SLICE_X33Y108 NUM_ARCS 138 SITEPROP SLICE_X33Y108 NUM_BELS 32 SITEPROP SLICE_X33Y108 NUM_INPUTS 32 SITEPROP SLICE_X33Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y108 NUM_PINS 45 SITEPROP SLICE_X33Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y108 PROHIBIT 0 SITEPROP SLICE_X33Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y108 RPM_X 91 SITEPROP SLICE_X33Y108 RPM_Y 216 SITEPROP SLICE_X33Y108 SITE_PIPS SITEPROP SLICE_X33Y108 SITE_TYPE SLICEL SITEPROP SLICE_X33Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y109 CLASS site SITEPROP SLICE_X33Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y109 IS_BONDED 0 SITEPROP SLICE_X33Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y109 IS_PAD 0 SITEPROP SLICE_X33Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y109 IS_RESERVED 0 SITEPROP SLICE_X33Y109 IS_TEST 0 SITEPROP SLICE_X33Y109 IS_USED 0 SITEPROP SLICE_X33Y109 MANUAL_ROUTING SITEPROP SLICE_X33Y109 NAME SLICE_X33Y109 SITEPROP SLICE_X33Y109 NUM_ARCS 138 SITEPROP SLICE_X33Y109 NUM_BELS 32 SITEPROP SLICE_X33Y109 NUM_INPUTS 32 SITEPROP SLICE_X33Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y109 NUM_PINS 45 SITEPROP SLICE_X33Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y109 PROHIBIT 0 SITEPROP SLICE_X33Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y109 RPM_X 91 SITEPROP SLICE_X33Y109 RPM_Y 218 SITEPROP SLICE_X33Y109 SITE_PIPS SITEPROP SLICE_X33Y109 SITE_TYPE SLICEL SITEPROP SLICE_X33Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y110 CLASS site SITEPROP SLICE_X33Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y110 IS_BONDED 0 SITEPROP SLICE_X33Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y110 IS_PAD 0 SITEPROP SLICE_X33Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y110 IS_RESERVED 0 SITEPROP SLICE_X33Y110 IS_TEST 0 SITEPROP SLICE_X33Y110 IS_USED 0 SITEPROP SLICE_X33Y110 MANUAL_ROUTING SITEPROP SLICE_X33Y110 NAME SLICE_X33Y110 SITEPROP SLICE_X33Y110 NUM_ARCS 138 SITEPROP SLICE_X33Y110 NUM_BELS 32 SITEPROP SLICE_X33Y110 NUM_INPUTS 32 SITEPROP SLICE_X33Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y110 NUM_PINS 45 SITEPROP SLICE_X33Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y110 PROHIBIT 0 SITEPROP SLICE_X33Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y110 RPM_X 91 SITEPROP SLICE_X33Y110 RPM_Y 220 SITEPROP SLICE_X33Y110 SITE_PIPS SITEPROP SLICE_X33Y110 SITE_TYPE SLICEL SITEPROP SLICE_X33Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y111 CLASS site SITEPROP SLICE_X33Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y111 IS_BONDED 0 SITEPROP SLICE_X33Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y111 IS_PAD 0 SITEPROP SLICE_X33Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y111 IS_RESERVED 0 SITEPROP SLICE_X33Y111 IS_TEST 0 SITEPROP SLICE_X33Y111 IS_USED 0 SITEPROP SLICE_X33Y111 MANUAL_ROUTING SITEPROP SLICE_X33Y111 NAME SLICE_X33Y111 SITEPROP SLICE_X33Y111 NUM_ARCS 138 SITEPROP SLICE_X33Y111 NUM_BELS 32 SITEPROP SLICE_X33Y111 NUM_INPUTS 32 SITEPROP SLICE_X33Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y111 NUM_PINS 45 SITEPROP SLICE_X33Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y111 PROHIBIT 0 SITEPROP SLICE_X33Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y111 RPM_X 91 SITEPROP SLICE_X33Y111 RPM_Y 222 SITEPROP SLICE_X33Y111 SITE_PIPS SITEPROP SLICE_X33Y111 SITE_TYPE SLICEL SITEPROP SLICE_X33Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y112 CLASS site SITEPROP SLICE_X33Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y112 IS_BONDED 0 SITEPROP SLICE_X33Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y112 IS_PAD 0 SITEPROP SLICE_X33Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y112 IS_RESERVED 0 SITEPROP SLICE_X33Y112 IS_TEST 0 SITEPROP SLICE_X33Y112 IS_USED 0 SITEPROP SLICE_X33Y112 MANUAL_ROUTING SITEPROP SLICE_X33Y112 NAME SLICE_X33Y112 SITEPROP SLICE_X33Y112 NUM_ARCS 138 SITEPROP SLICE_X33Y112 NUM_BELS 32 SITEPROP SLICE_X33Y112 NUM_INPUTS 32 SITEPROP SLICE_X33Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y112 NUM_PINS 45 SITEPROP SLICE_X33Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y112 PROHIBIT 0 SITEPROP SLICE_X33Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y112 RPM_X 91 SITEPROP SLICE_X33Y112 RPM_Y 224 SITEPROP SLICE_X33Y112 SITE_PIPS SITEPROP SLICE_X33Y112 SITE_TYPE SLICEL SITEPROP SLICE_X33Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y113 CLASS site SITEPROP SLICE_X33Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y113 IS_BONDED 0 SITEPROP SLICE_X33Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y113 IS_PAD 0 SITEPROP SLICE_X33Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y113 IS_RESERVED 0 SITEPROP SLICE_X33Y113 IS_TEST 0 SITEPROP SLICE_X33Y113 IS_USED 0 SITEPROP SLICE_X33Y113 MANUAL_ROUTING SITEPROP SLICE_X33Y113 NAME SLICE_X33Y113 SITEPROP SLICE_X33Y113 NUM_ARCS 138 SITEPROP SLICE_X33Y113 NUM_BELS 32 SITEPROP SLICE_X33Y113 NUM_INPUTS 32 SITEPROP SLICE_X33Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y113 NUM_PINS 45 SITEPROP SLICE_X33Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y113 PROHIBIT 0 SITEPROP SLICE_X33Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y113 RPM_X 91 SITEPROP SLICE_X33Y113 RPM_Y 226 SITEPROP SLICE_X33Y113 SITE_PIPS SITEPROP SLICE_X33Y113 SITE_TYPE SLICEL SITEPROP SLICE_X33Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y114 CLASS site SITEPROP SLICE_X33Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y114 IS_BONDED 0 SITEPROP SLICE_X33Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y114 IS_PAD 0 SITEPROP SLICE_X33Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y114 IS_RESERVED 0 SITEPROP SLICE_X33Y114 IS_TEST 0 SITEPROP SLICE_X33Y114 IS_USED 0 SITEPROP SLICE_X33Y114 MANUAL_ROUTING SITEPROP SLICE_X33Y114 NAME SLICE_X33Y114 SITEPROP SLICE_X33Y114 NUM_ARCS 138 SITEPROP SLICE_X33Y114 NUM_BELS 32 SITEPROP SLICE_X33Y114 NUM_INPUTS 32 SITEPROP SLICE_X33Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y114 NUM_PINS 45 SITEPROP SLICE_X33Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y114 PROHIBIT 0 SITEPROP SLICE_X33Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y114 RPM_X 91 SITEPROP SLICE_X33Y114 RPM_Y 228 SITEPROP SLICE_X33Y114 SITE_PIPS SITEPROP SLICE_X33Y114 SITE_TYPE SLICEL SITEPROP SLICE_X33Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y115 CLASS site SITEPROP SLICE_X33Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y115 IS_BONDED 0 SITEPROP SLICE_X33Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y115 IS_PAD 0 SITEPROP SLICE_X33Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y115 IS_RESERVED 0 SITEPROP SLICE_X33Y115 IS_TEST 0 SITEPROP SLICE_X33Y115 IS_USED 0 SITEPROP SLICE_X33Y115 MANUAL_ROUTING SITEPROP SLICE_X33Y115 NAME SLICE_X33Y115 SITEPROP SLICE_X33Y115 NUM_ARCS 138 SITEPROP SLICE_X33Y115 NUM_BELS 32 SITEPROP SLICE_X33Y115 NUM_INPUTS 32 SITEPROP SLICE_X33Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y115 NUM_PINS 45 SITEPROP SLICE_X33Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y115 PROHIBIT 0 SITEPROP SLICE_X33Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y115 RPM_X 91 SITEPROP SLICE_X33Y115 RPM_Y 230 SITEPROP SLICE_X33Y115 SITE_PIPS SITEPROP SLICE_X33Y115 SITE_TYPE SLICEL SITEPROP SLICE_X33Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y116 CLASS site SITEPROP SLICE_X33Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y116 IS_BONDED 0 SITEPROP SLICE_X33Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y116 IS_PAD 0 SITEPROP SLICE_X33Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y116 IS_RESERVED 0 SITEPROP SLICE_X33Y116 IS_TEST 0 SITEPROP SLICE_X33Y116 IS_USED 0 SITEPROP SLICE_X33Y116 MANUAL_ROUTING SITEPROP SLICE_X33Y116 NAME SLICE_X33Y116 SITEPROP SLICE_X33Y116 NUM_ARCS 138 SITEPROP SLICE_X33Y116 NUM_BELS 32 SITEPROP SLICE_X33Y116 NUM_INPUTS 32 SITEPROP SLICE_X33Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y116 NUM_PINS 45 SITEPROP SLICE_X33Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y116 PROHIBIT 0 SITEPROP SLICE_X33Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y116 RPM_X 91 SITEPROP SLICE_X33Y116 RPM_Y 232 SITEPROP SLICE_X33Y116 SITE_PIPS SITEPROP SLICE_X33Y116 SITE_TYPE SLICEL SITEPROP SLICE_X33Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y117 CLASS site SITEPROP SLICE_X33Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y117 IS_BONDED 0 SITEPROP SLICE_X33Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y117 IS_PAD 0 SITEPROP SLICE_X33Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y117 IS_RESERVED 0 SITEPROP SLICE_X33Y117 IS_TEST 0 SITEPROP SLICE_X33Y117 IS_USED 0 SITEPROP SLICE_X33Y117 MANUAL_ROUTING SITEPROP SLICE_X33Y117 NAME SLICE_X33Y117 SITEPROP SLICE_X33Y117 NUM_ARCS 138 SITEPROP SLICE_X33Y117 NUM_BELS 32 SITEPROP SLICE_X33Y117 NUM_INPUTS 32 SITEPROP SLICE_X33Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y117 NUM_PINS 45 SITEPROP SLICE_X33Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y117 PROHIBIT 0 SITEPROP SLICE_X33Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y117 RPM_X 91 SITEPROP SLICE_X33Y117 RPM_Y 234 SITEPROP SLICE_X33Y117 SITE_PIPS SITEPROP SLICE_X33Y117 SITE_TYPE SLICEL SITEPROP SLICE_X33Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y118 CLASS site SITEPROP SLICE_X33Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y118 IS_BONDED 0 SITEPROP SLICE_X33Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y118 IS_PAD 0 SITEPROP SLICE_X33Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y118 IS_RESERVED 0 SITEPROP SLICE_X33Y118 IS_TEST 0 SITEPROP SLICE_X33Y118 IS_USED 0 SITEPROP SLICE_X33Y118 MANUAL_ROUTING SITEPROP SLICE_X33Y118 NAME SLICE_X33Y118 SITEPROP SLICE_X33Y118 NUM_ARCS 138 SITEPROP SLICE_X33Y118 NUM_BELS 32 SITEPROP SLICE_X33Y118 NUM_INPUTS 32 SITEPROP SLICE_X33Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y118 NUM_PINS 45 SITEPROP SLICE_X33Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y118 PROHIBIT 0 SITEPROP SLICE_X33Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y118 RPM_X 91 SITEPROP SLICE_X33Y118 RPM_Y 236 SITEPROP SLICE_X33Y118 SITE_PIPS SITEPROP SLICE_X33Y118 SITE_TYPE SLICEL SITEPROP SLICE_X33Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y119 CLASS site SITEPROP SLICE_X33Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y119 IS_BONDED 0 SITEPROP SLICE_X33Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y119 IS_PAD 0 SITEPROP SLICE_X33Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y119 IS_RESERVED 0 SITEPROP SLICE_X33Y119 IS_TEST 0 SITEPROP SLICE_X33Y119 IS_USED 0 SITEPROP SLICE_X33Y119 MANUAL_ROUTING SITEPROP SLICE_X33Y119 NAME SLICE_X33Y119 SITEPROP SLICE_X33Y119 NUM_ARCS 138 SITEPROP SLICE_X33Y119 NUM_BELS 32 SITEPROP SLICE_X33Y119 NUM_INPUTS 32 SITEPROP SLICE_X33Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y119 NUM_PINS 45 SITEPROP SLICE_X33Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y119 PROHIBIT 0 SITEPROP SLICE_X33Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y119 RPM_X 91 SITEPROP SLICE_X33Y119 RPM_Y 238 SITEPROP SLICE_X33Y119 SITE_PIPS SITEPROP SLICE_X33Y119 SITE_TYPE SLICEL SITEPROP SLICE_X33Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y120 CLASS site SITEPROP SLICE_X33Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y120 IS_BONDED 0 SITEPROP SLICE_X33Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y120 IS_PAD 0 SITEPROP SLICE_X33Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y120 IS_RESERVED 0 SITEPROP SLICE_X33Y120 IS_TEST 0 SITEPROP SLICE_X33Y120 IS_USED 0 SITEPROP SLICE_X33Y120 MANUAL_ROUTING SITEPROP SLICE_X33Y120 NAME SLICE_X33Y120 SITEPROP SLICE_X33Y120 NUM_ARCS 138 SITEPROP SLICE_X33Y120 NUM_BELS 32 SITEPROP SLICE_X33Y120 NUM_INPUTS 32 SITEPROP SLICE_X33Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y120 NUM_PINS 45 SITEPROP SLICE_X33Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y120 PROHIBIT 0 SITEPROP SLICE_X33Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y120 RPM_X 91 SITEPROP SLICE_X33Y120 RPM_Y 240 SITEPROP SLICE_X33Y120 SITE_PIPS SITEPROP SLICE_X33Y120 SITE_TYPE SLICEL SITEPROP SLICE_X33Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y121 CLASS site SITEPROP SLICE_X33Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y121 IS_BONDED 0 SITEPROP SLICE_X33Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y121 IS_PAD 0 SITEPROP SLICE_X33Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y121 IS_RESERVED 0 SITEPROP SLICE_X33Y121 IS_TEST 0 SITEPROP SLICE_X33Y121 IS_USED 0 SITEPROP SLICE_X33Y121 MANUAL_ROUTING SITEPROP SLICE_X33Y121 NAME SLICE_X33Y121 SITEPROP SLICE_X33Y121 NUM_ARCS 138 SITEPROP SLICE_X33Y121 NUM_BELS 32 SITEPROP SLICE_X33Y121 NUM_INPUTS 32 SITEPROP SLICE_X33Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y121 NUM_PINS 45 SITEPROP SLICE_X33Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y121 PROHIBIT 0 SITEPROP SLICE_X33Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y121 RPM_X 91 SITEPROP SLICE_X33Y121 RPM_Y 242 SITEPROP SLICE_X33Y121 SITE_PIPS SITEPROP SLICE_X33Y121 SITE_TYPE SLICEL SITEPROP SLICE_X33Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y122 CLASS site SITEPROP SLICE_X33Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y122 IS_BONDED 0 SITEPROP SLICE_X33Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y122 IS_PAD 0 SITEPROP SLICE_X33Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y122 IS_RESERVED 0 SITEPROP SLICE_X33Y122 IS_TEST 0 SITEPROP SLICE_X33Y122 IS_USED 0 SITEPROP SLICE_X33Y122 MANUAL_ROUTING SITEPROP SLICE_X33Y122 NAME SLICE_X33Y122 SITEPROP SLICE_X33Y122 NUM_ARCS 138 SITEPROP SLICE_X33Y122 NUM_BELS 32 SITEPROP SLICE_X33Y122 NUM_INPUTS 32 SITEPROP SLICE_X33Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y122 NUM_PINS 45 SITEPROP SLICE_X33Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y122 PROHIBIT 0 SITEPROP SLICE_X33Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y122 RPM_X 91 SITEPROP SLICE_X33Y122 RPM_Y 244 SITEPROP SLICE_X33Y122 SITE_PIPS SITEPROP SLICE_X33Y122 SITE_TYPE SLICEL SITEPROP SLICE_X33Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y123 CLASS site SITEPROP SLICE_X33Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y123 IS_BONDED 0 SITEPROP SLICE_X33Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y123 IS_PAD 0 SITEPROP SLICE_X33Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y123 IS_RESERVED 0 SITEPROP SLICE_X33Y123 IS_TEST 0 SITEPROP SLICE_X33Y123 IS_USED 0 SITEPROP SLICE_X33Y123 MANUAL_ROUTING SITEPROP SLICE_X33Y123 NAME SLICE_X33Y123 SITEPROP SLICE_X33Y123 NUM_ARCS 138 SITEPROP SLICE_X33Y123 NUM_BELS 32 SITEPROP SLICE_X33Y123 NUM_INPUTS 32 SITEPROP SLICE_X33Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y123 NUM_PINS 45 SITEPROP SLICE_X33Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y123 PROHIBIT 0 SITEPROP SLICE_X33Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y123 RPM_X 91 SITEPROP SLICE_X33Y123 RPM_Y 246 SITEPROP SLICE_X33Y123 SITE_PIPS SITEPROP SLICE_X33Y123 SITE_TYPE SLICEL SITEPROP SLICE_X33Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y124 CLASS site SITEPROP SLICE_X33Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y124 IS_BONDED 0 SITEPROP SLICE_X33Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y124 IS_PAD 0 SITEPROP SLICE_X33Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y124 IS_RESERVED 0 SITEPROP SLICE_X33Y124 IS_TEST 0 SITEPROP SLICE_X33Y124 IS_USED 0 SITEPROP SLICE_X33Y124 MANUAL_ROUTING SITEPROP SLICE_X33Y124 NAME SLICE_X33Y124 SITEPROP SLICE_X33Y124 NUM_ARCS 138 SITEPROP SLICE_X33Y124 NUM_BELS 32 SITEPROP SLICE_X33Y124 NUM_INPUTS 32 SITEPROP SLICE_X33Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y124 NUM_PINS 45 SITEPROP SLICE_X33Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y124 PROHIBIT 0 SITEPROP SLICE_X33Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y124 RPM_X 91 SITEPROP SLICE_X33Y124 RPM_Y 248 SITEPROP SLICE_X33Y124 SITE_PIPS SITEPROP SLICE_X33Y124 SITE_TYPE SLICEL SITEPROP SLICE_X33Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y125 CLASS site SITEPROP SLICE_X33Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y125 IS_BONDED 0 SITEPROP SLICE_X33Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y125 IS_PAD 0 SITEPROP SLICE_X33Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y125 IS_RESERVED 0 SITEPROP SLICE_X33Y125 IS_TEST 0 SITEPROP SLICE_X33Y125 IS_USED 0 SITEPROP SLICE_X33Y125 MANUAL_ROUTING SITEPROP SLICE_X33Y125 NAME SLICE_X33Y125 SITEPROP SLICE_X33Y125 NUM_ARCS 138 SITEPROP SLICE_X33Y125 NUM_BELS 32 SITEPROP SLICE_X33Y125 NUM_INPUTS 32 SITEPROP SLICE_X33Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y125 NUM_PINS 45 SITEPROP SLICE_X33Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y125 PROHIBIT 0 SITEPROP SLICE_X33Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y125 RPM_X 91 SITEPROP SLICE_X33Y125 RPM_Y 250 SITEPROP SLICE_X33Y125 SITE_PIPS SITEPROP SLICE_X33Y125 SITE_TYPE SLICEL SITEPROP SLICE_X33Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y126 CLASS site SITEPROP SLICE_X33Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y126 IS_BONDED 0 SITEPROP SLICE_X33Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y126 IS_PAD 0 SITEPROP SLICE_X33Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y126 IS_RESERVED 0 SITEPROP SLICE_X33Y126 IS_TEST 0 SITEPROP SLICE_X33Y126 IS_USED 0 SITEPROP SLICE_X33Y126 MANUAL_ROUTING SITEPROP SLICE_X33Y126 NAME SLICE_X33Y126 SITEPROP SLICE_X33Y126 NUM_ARCS 138 SITEPROP SLICE_X33Y126 NUM_BELS 32 SITEPROP SLICE_X33Y126 NUM_INPUTS 32 SITEPROP SLICE_X33Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y126 NUM_PINS 45 SITEPROP SLICE_X33Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y126 PROHIBIT 0 SITEPROP SLICE_X33Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y126 RPM_X 91 SITEPROP SLICE_X33Y126 RPM_Y 252 SITEPROP SLICE_X33Y126 SITE_PIPS SITEPROP SLICE_X33Y126 SITE_TYPE SLICEL SITEPROP SLICE_X33Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y127 CLASS site SITEPROP SLICE_X33Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y127 IS_BONDED 0 SITEPROP SLICE_X33Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y127 IS_PAD 0 SITEPROP SLICE_X33Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y127 IS_RESERVED 0 SITEPROP SLICE_X33Y127 IS_TEST 0 SITEPROP SLICE_X33Y127 IS_USED 0 SITEPROP SLICE_X33Y127 MANUAL_ROUTING SITEPROP SLICE_X33Y127 NAME SLICE_X33Y127 SITEPROP SLICE_X33Y127 NUM_ARCS 138 SITEPROP SLICE_X33Y127 NUM_BELS 32 SITEPROP SLICE_X33Y127 NUM_INPUTS 32 SITEPROP SLICE_X33Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y127 NUM_PINS 45 SITEPROP SLICE_X33Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y127 PROHIBIT 0 SITEPROP SLICE_X33Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y127 RPM_X 91 SITEPROP SLICE_X33Y127 RPM_Y 254 SITEPROP SLICE_X33Y127 SITE_PIPS SITEPROP SLICE_X33Y127 SITE_TYPE SLICEL SITEPROP SLICE_X33Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y128 CLASS site SITEPROP SLICE_X33Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y128 IS_BONDED 0 SITEPROP SLICE_X33Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y128 IS_PAD 0 SITEPROP SLICE_X33Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y128 IS_RESERVED 0 SITEPROP SLICE_X33Y128 IS_TEST 0 SITEPROP SLICE_X33Y128 IS_USED 0 SITEPROP SLICE_X33Y128 MANUAL_ROUTING SITEPROP SLICE_X33Y128 NAME SLICE_X33Y128 SITEPROP SLICE_X33Y128 NUM_ARCS 138 SITEPROP SLICE_X33Y128 NUM_BELS 32 SITEPROP SLICE_X33Y128 NUM_INPUTS 32 SITEPROP SLICE_X33Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y128 NUM_PINS 45 SITEPROP SLICE_X33Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y128 PROHIBIT 0 SITEPROP SLICE_X33Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y128 RPM_X 91 SITEPROP SLICE_X33Y128 RPM_Y 256 SITEPROP SLICE_X33Y128 SITE_PIPS SITEPROP SLICE_X33Y128 SITE_TYPE SLICEL SITEPROP SLICE_X33Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y129 CLASS site SITEPROP SLICE_X33Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y129 IS_BONDED 0 SITEPROP SLICE_X33Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y129 IS_PAD 0 SITEPROP SLICE_X33Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y129 IS_RESERVED 0 SITEPROP SLICE_X33Y129 IS_TEST 0 SITEPROP SLICE_X33Y129 IS_USED 0 SITEPROP SLICE_X33Y129 MANUAL_ROUTING SITEPROP SLICE_X33Y129 NAME SLICE_X33Y129 SITEPROP SLICE_X33Y129 NUM_ARCS 138 SITEPROP SLICE_X33Y129 NUM_BELS 32 SITEPROP SLICE_X33Y129 NUM_INPUTS 32 SITEPROP SLICE_X33Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y129 NUM_PINS 45 SITEPROP SLICE_X33Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y129 PROHIBIT 0 SITEPROP SLICE_X33Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y129 RPM_X 91 SITEPROP SLICE_X33Y129 RPM_Y 258 SITEPROP SLICE_X33Y129 SITE_PIPS SITEPROP SLICE_X33Y129 SITE_TYPE SLICEL SITEPROP SLICE_X33Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y130 CLASS site SITEPROP SLICE_X33Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y130 IS_BONDED 0 SITEPROP SLICE_X33Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y130 IS_PAD 0 SITEPROP SLICE_X33Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y130 IS_RESERVED 0 SITEPROP SLICE_X33Y130 IS_TEST 0 SITEPROP SLICE_X33Y130 IS_USED 0 SITEPROP SLICE_X33Y130 MANUAL_ROUTING SITEPROP SLICE_X33Y130 NAME SLICE_X33Y130 SITEPROP SLICE_X33Y130 NUM_ARCS 138 SITEPROP SLICE_X33Y130 NUM_BELS 32 SITEPROP SLICE_X33Y130 NUM_INPUTS 32 SITEPROP SLICE_X33Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y130 NUM_PINS 45 SITEPROP SLICE_X33Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y130 PROHIBIT 0 SITEPROP SLICE_X33Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y130 RPM_X 91 SITEPROP SLICE_X33Y130 RPM_Y 260 SITEPROP SLICE_X33Y130 SITE_PIPS SITEPROP SLICE_X33Y130 SITE_TYPE SLICEL SITEPROP SLICE_X33Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y131 CLASS site SITEPROP SLICE_X33Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y131 IS_BONDED 0 SITEPROP SLICE_X33Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y131 IS_PAD 0 SITEPROP SLICE_X33Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y131 IS_RESERVED 0 SITEPROP SLICE_X33Y131 IS_TEST 0 SITEPROP SLICE_X33Y131 IS_USED 0 SITEPROP SLICE_X33Y131 MANUAL_ROUTING SITEPROP SLICE_X33Y131 NAME SLICE_X33Y131 SITEPROP SLICE_X33Y131 NUM_ARCS 138 SITEPROP SLICE_X33Y131 NUM_BELS 32 SITEPROP SLICE_X33Y131 NUM_INPUTS 32 SITEPROP SLICE_X33Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y131 NUM_PINS 45 SITEPROP SLICE_X33Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y131 PROHIBIT 0 SITEPROP SLICE_X33Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y131 RPM_X 91 SITEPROP SLICE_X33Y131 RPM_Y 262 SITEPROP SLICE_X33Y131 SITE_PIPS SITEPROP SLICE_X33Y131 SITE_TYPE SLICEL SITEPROP SLICE_X33Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y132 CLASS site SITEPROP SLICE_X33Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y132 IS_BONDED 0 SITEPROP SLICE_X33Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y132 IS_PAD 0 SITEPROP SLICE_X33Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y132 IS_RESERVED 0 SITEPROP SLICE_X33Y132 IS_TEST 0 SITEPROP SLICE_X33Y132 IS_USED 0 SITEPROP SLICE_X33Y132 MANUAL_ROUTING SITEPROP SLICE_X33Y132 NAME SLICE_X33Y132 SITEPROP SLICE_X33Y132 NUM_ARCS 138 SITEPROP SLICE_X33Y132 NUM_BELS 32 SITEPROP SLICE_X33Y132 NUM_INPUTS 32 SITEPROP SLICE_X33Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y132 NUM_PINS 45 SITEPROP SLICE_X33Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y132 PROHIBIT 0 SITEPROP SLICE_X33Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y132 RPM_X 91 SITEPROP SLICE_X33Y132 RPM_Y 264 SITEPROP SLICE_X33Y132 SITE_PIPS SITEPROP SLICE_X33Y132 SITE_TYPE SLICEL SITEPROP SLICE_X33Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y133 CLASS site SITEPROP SLICE_X33Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y133 IS_BONDED 0 SITEPROP SLICE_X33Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y133 IS_PAD 0 SITEPROP SLICE_X33Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y133 IS_RESERVED 0 SITEPROP SLICE_X33Y133 IS_TEST 0 SITEPROP SLICE_X33Y133 IS_USED 0 SITEPROP SLICE_X33Y133 MANUAL_ROUTING SITEPROP SLICE_X33Y133 NAME SLICE_X33Y133 SITEPROP SLICE_X33Y133 NUM_ARCS 138 SITEPROP SLICE_X33Y133 NUM_BELS 32 SITEPROP SLICE_X33Y133 NUM_INPUTS 32 SITEPROP SLICE_X33Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y133 NUM_PINS 45 SITEPROP SLICE_X33Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y133 PROHIBIT 0 SITEPROP SLICE_X33Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y133 RPM_X 91 SITEPROP SLICE_X33Y133 RPM_Y 266 SITEPROP SLICE_X33Y133 SITE_PIPS SITEPROP SLICE_X33Y133 SITE_TYPE SLICEL SITEPROP SLICE_X33Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y134 CLASS site SITEPROP SLICE_X33Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y134 IS_BONDED 0 SITEPROP SLICE_X33Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y134 IS_PAD 0 SITEPROP SLICE_X33Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y134 IS_RESERVED 0 SITEPROP SLICE_X33Y134 IS_TEST 0 SITEPROP SLICE_X33Y134 IS_USED 0 SITEPROP SLICE_X33Y134 MANUAL_ROUTING SITEPROP SLICE_X33Y134 NAME SLICE_X33Y134 SITEPROP SLICE_X33Y134 NUM_ARCS 138 SITEPROP SLICE_X33Y134 NUM_BELS 32 SITEPROP SLICE_X33Y134 NUM_INPUTS 32 SITEPROP SLICE_X33Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y134 NUM_PINS 45 SITEPROP SLICE_X33Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y134 PROHIBIT 0 SITEPROP SLICE_X33Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y134 RPM_X 91 SITEPROP SLICE_X33Y134 RPM_Y 268 SITEPROP SLICE_X33Y134 SITE_PIPS SITEPROP SLICE_X33Y134 SITE_TYPE SLICEL SITEPROP SLICE_X33Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y135 CLASS site SITEPROP SLICE_X33Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y135 IS_BONDED 0 SITEPROP SLICE_X33Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y135 IS_PAD 0 SITEPROP SLICE_X33Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y135 IS_RESERVED 0 SITEPROP SLICE_X33Y135 IS_TEST 0 SITEPROP SLICE_X33Y135 IS_USED 0 SITEPROP SLICE_X33Y135 MANUAL_ROUTING SITEPROP SLICE_X33Y135 NAME SLICE_X33Y135 SITEPROP SLICE_X33Y135 NUM_ARCS 138 SITEPROP SLICE_X33Y135 NUM_BELS 32 SITEPROP SLICE_X33Y135 NUM_INPUTS 32 SITEPROP SLICE_X33Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y135 NUM_PINS 45 SITEPROP SLICE_X33Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y135 PROHIBIT 0 SITEPROP SLICE_X33Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y135 RPM_X 91 SITEPROP SLICE_X33Y135 RPM_Y 270 SITEPROP SLICE_X33Y135 SITE_PIPS SITEPROP SLICE_X33Y135 SITE_TYPE SLICEL SITEPROP SLICE_X33Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y136 CLASS site SITEPROP SLICE_X33Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y136 IS_BONDED 0 SITEPROP SLICE_X33Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y136 IS_PAD 0 SITEPROP SLICE_X33Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y136 IS_RESERVED 0 SITEPROP SLICE_X33Y136 IS_TEST 0 SITEPROP SLICE_X33Y136 IS_USED 0 SITEPROP SLICE_X33Y136 MANUAL_ROUTING SITEPROP SLICE_X33Y136 NAME SLICE_X33Y136 SITEPROP SLICE_X33Y136 NUM_ARCS 138 SITEPROP SLICE_X33Y136 NUM_BELS 32 SITEPROP SLICE_X33Y136 NUM_INPUTS 32 SITEPROP SLICE_X33Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y136 NUM_PINS 45 SITEPROP SLICE_X33Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y136 PROHIBIT 0 SITEPROP SLICE_X33Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y136 RPM_X 91 SITEPROP SLICE_X33Y136 RPM_Y 272 SITEPROP SLICE_X33Y136 SITE_PIPS SITEPROP SLICE_X33Y136 SITE_TYPE SLICEL SITEPROP SLICE_X33Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y137 CLASS site SITEPROP SLICE_X33Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y137 IS_BONDED 0 SITEPROP SLICE_X33Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y137 IS_PAD 0 SITEPROP SLICE_X33Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y137 IS_RESERVED 0 SITEPROP SLICE_X33Y137 IS_TEST 0 SITEPROP SLICE_X33Y137 IS_USED 0 SITEPROP SLICE_X33Y137 MANUAL_ROUTING SITEPROP SLICE_X33Y137 NAME SLICE_X33Y137 SITEPROP SLICE_X33Y137 NUM_ARCS 138 SITEPROP SLICE_X33Y137 NUM_BELS 32 SITEPROP SLICE_X33Y137 NUM_INPUTS 32 SITEPROP SLICE_X33Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y137 NUM_PINS 45 SITEPROP SLICE_X33Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y137 PROHIBIT 0 SITEPROP SLICE_X33Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y137 RPM_X 91 SITEPROP SLICE_X33Y137 RPM_Y 274 SITEPROP SLICE_X33Y137 SITE_PIPS SITEPROP SLICE_X33Y137 SITE_TYPE SLICEL SITEPROP SLICE_X33Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y138 CLASS site SITEPROP SLICE_X33Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y138 IS_BONDED 0 SITEPROP SLICE_X33Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y138 IS_PAD 0 SITEPROP SLICE_X33Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y138 IS_RESERVED 0 SITEPROP SLICE_X33Y138 IS_TEST 0 SITEPROP SLICE_X33Y138 IS_USED 0 SITEPROP SLICE_X33Y138 MANUAL_ROUTING SITEPROP SLICE_X33Y138 NAME SLICE_X33Y138 SITEPROP SLICE_X33Y138 NUM_ARCS 138 SITEPROP SLICE_X33Y138 NUM_BELS 32 SITEPROP SLICE_X33Y138 NUM_INPUTS 32 SITEPROP SLICE_X33Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y138 NUM_PINS 45 SITEPROP SLICE_X33Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y138 PROHIBIT 0 SITEPROP SLICE_X33Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y138 RPM_X 91 SITEPROP SLICE_X33Y138 RPM_Y 276 SITEPROP SLICE_X33Y138 SITE_PIPS SITEPROP SLICE_X33Y138 SITE_TYPE SLICEL SITEPROP SLICE_X33Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y139 CLASS site SITEPROP SLICE_X33Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y139 IS_BONDED 0 SITEPROP SLICE_X33Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y139 IS_PAD 0 SITEPROP SLICE_X33Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y139 IS_RESERVED 0 SITEPROP SLICE_X33Y139 IS_TEST 0 SITEPROP SLICE_X33Y139 IS_USED 0 SITEPROP SLICE_X33Y139 MANUAL_ROUTING SITEPROP SLICE_X33Y139 NAME SLICE_X33Y139 SITEPROP SLICE_X33Y139 NUM_ARCS 138 SITEPROP SLICE_X33Y139 NUM_BELS 32 SITEPROP SLICE_X33Y139 NUM_INPUTS 32 SITEPROP SLICE_X33Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y139 NUM_PINS 45 SITEPROP SLICE_X33Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y139 PROHIBIT 0 SITEPROP SLICE_X33Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y139 RPM_X 91 SITEPROP SLICE_X33Y139 RPM_Y 278 SITEPROP SLICE_X33Y139 SITE_PIPS SITEPROP SLICE_X33Y139 SITE_TYPE SLICEL SITEPROP SLICE_X33Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y140 CLASS site SITEPROP SLICE_X33Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y140 IS_BONDED 0 SITEPROP SLICE_X33Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y140 IS_PAD 0 SITEPROP SLICE_X33Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y140 IS_RESERVED 0 SITEPROP SLICE_X33Y140 IS_TEST 0 SITEPROP SLICE_X33Y140 IS_USED 0 SITEPROP SLICE_X33Y140 MANUAL_ROUTING SITEPROP SLICE_X33Y140 NAME SLICE_X33Y140 SITEPROP SLICE_X33Y140 NUM_ARCS 138 SITEPROP SLICE_X33Y140 NUM_BELS 32 SITEPROP SLICE_X33Y140 NUM_INPUTS 32 SITEPROP SLICE_X33Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y140 NUM_PINS 45 SITEPROP SLICE_X33Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y140 PROHIBIT 0 SITEPROP SLICE_X33Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y140 RPM_X 91 SITEPROP SLICE_X33Y140 RPM_Y 280 SITEPROP SLICE_X33Y140 SITE_PIPS SITEPROP SLICE_X33Y140 SITE_TYPE SLICEL SITEPROP SLICE_X33Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y141 CLASS site SITEPROP SLICE_X33Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y141 IS_BONDED 0 SITEPROP SLICE_X33Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y141 IS_PAD 0 SITEPROP SLICE_X33Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y141 IS_RESERVED 0 SITEPROP SLICE_X33Y141 IS_TEST 0 SITEPROP SLICE_X33Y141 IS_USED 0 SITEPROP SLICE_X33Y141 MANUAL_ROUTING SITEPROP SLICE_X33Y141 NAME SLICE_X33Y141 SITEPROP SLICE_X33Y141 NUM_ARCS 138 SITEPROP SLICE_X33Y141 NUM_BELS 32 SITEPROP SLICE_X33Y141 NUM_INPUTS 32 SITEPROP SLICE_X33Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y141 NUM_PINS 45 SITEPROP SLICE_X33Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y141 PROHIBIT 0 SITEPROP SLICE_X33Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y141 RPM_X 91 SITEPROP SLICE_X33Y141 RPM_Y 282 SITEPROP SLICE_X33Y141 SITE_PIPS SITEPROP SLICE_X33Y141 SITE_TYPE SLICEL SITEPROP SLICE_X33Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y142 CLASS site SITEPROP SLICE_X33Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y142 IS_BONDED 0 SITEPROP SLICE_X33Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y142 IS_PAD 0 SITEPROP SLICE_X33Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y142 IS_RESERVED 0 SITEPROP SLICE_X33Y142 IS_TEST 0 SITEPROP SLICE_X33Y142 IS_USED 0 SITEPROP SLICE_X33Y142 MANUAL_ROUTING SITEPROP SLICE_X33Y142 NAME SLICE_X33Y142 SITEPROP SLICE_X33Y142 NUM_ARCS 138 SITEPROP SLICE_X33Y142 NUM_BELS 32 SITEPROP SLICE_X33Y142 NUM_INPUTS 32 SITEPROP SLICE_X33Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y142 NUM_PINS 45 SITEPROP SLICE_X33Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y142 PROHIBIT 0 SITEPROP SLICE_X33Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y142 RPM_X 91 SITEPROP SLICE_X33Y142 RPM_Y 284 SITEPROP SLICE_X33Y142 SITE_PIPS SITEPROP SLICE_X33Y142 SITE_TYPE SLICEL SITEPROP SLICE_X33Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y143 CLASS site SITEPROP SLICE_X33Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y143 IS_BONDED 0 SITEPROP SLICE_X33Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y143 IS_PAD 0 SITEPROP SLICE_X33Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y143 IS_RESERVED 0 SITEPROP SLICE_X33Y143 IS_TEST 0 SITEPROP SLICE_X33Y143 IS_USED 0 SITEPROP SLICE_X33Y143 MANUAL_ROUTING SITEPROP SLICE_X33Y143 NAME SLICE_X33Y143 SITEPROP SLICE_X33Y143 NUM_ARCS 138 SITEPROP SLICE_X33Y143 NUM_BELS 32 SITEPROP SLICE_X33Y143 NUM_INPUTS 32 SITEPROP SLICE_X33Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y143 NUM_PINS 45 SITEPROP SLICE_X33Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y143 PROHIBIT 0 SITEPROP SLICE_X33Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y143 RPM_X 91 SITEPROP SLICE_X33Y143 RPM_Y 286 SITEPROP SLICE_X33Y143 SITE_PIPS SITEPROP SLICE_X33Y143 SITE_TYPE SLICEL SITEPROP SLICE_X33Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y144 CLASS site SITEPROP SLICE_X33Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y144 IS_BONDED 0 SITEPROP SLICE_X33Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y144 IS_PAD 0 SITEPROP SLICE_X33Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y144 IS_RESERVED 0 SITEPROP SLICE_X33Y144 IS_TEST 0 SITEPROP SLICE_X33Y144 IS_USED 0 SITEPROP SLICE_X33Y144 MANUAL_ROUTING SITEPROP SLICE_X33Y144 NAME SLICE_X33Y144 SITEPROP SLICE_X33Y144 NUM_ARCS 138 SITEPROP SLICE_X33Y144 NUM_BELS 32 SITEPROP SLICE_X33Y144 NUM_INPUTS 32 SITEPROP SLICE_X33Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y144 NUM_PINS 45 SITEPROP SLICE_X33Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y144 PROHIBIT 0 SITEPROP SLICE_X33Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y144 RPM_X 91 SITEPROP SLICE_X33Y144 RPM_Y 288 SITEPROP SLICE_X33Y144 SITE_PIPS SITEPROP SLICE_X33Y144 SITE_TYPE SLICEL SITEPROP SLICE_X33Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y145 CLASS site SITEPROP SLICE_X33Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y145 IS_BONDED 0 SITEPROP SLICE_X33Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y145 IS_PAD 0 SITEPROP SLICE_X33Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y145 IS_RESERVED 0 SITEPROP SLICE_X33Y145 IS_TEST 0 SITEPROP SLICE_X33Y145 IS_USED 0 SITEPROP SLICE_X33Y145 MANUAL_ROUTING SITEPROP SLICE_X33Y145 NAME SLICE_X33Y145 SITEPROP SLICE_X33Y145 NUM_ARCS 138 SITEPROP SLICE_X33Y145 NUM_BELS 32 SITEPROP SLICE_X33Y145 NUM_INPUTS 32 SITEPROP SLICE_X33Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y145 NUM_PINS 45 SITEPROP SLICE_X33Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y145 PROHIBIT 0 SITEPROP SLICE_X33Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y145 RPM_X 91 SITEPROP SLICE_X33Y145 RPM_Y 290 SITEPROP SLICE_X33Y145 SITE_PIPS SITEPROP SLICE_X33Y145 SITE_TYPE SLICEL SITEPROP SLICE_X33Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y146 CLASS site SITEPROP SLICE_X33Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y146 IS_BONDED 0 SITEPROP SLICE_X33Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y146 IS_PAD 0 SITEPROP SLICE_X33Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y146 IS_RESERVED 0 SITEPROP SLICE_X33Y146 IS_TEST 0 SITEPROP SLICE_X33Y146 IS_USED 0 SITEPROP SLICE_X33Y146 MANUAL_ROUTING SITEPROP SLICE_X33Y146 NAME SLICE_X33Y146 SITEPROP SLICE_X33Y146 NUM_ARCS 138 SITEPROP SLICE_X33Y146 NUM_BELS 32 SITEPROP SLICE_X33Y146 NUM_INPUTS 32 SITEPROP SLICE_X33Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y146 NUM_PINS 45 SITEPROP SLICE_X33Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y146 PROHIBIT 0 SITEPROP SLICE_X33Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y146 RPM_X 91 SITEPROP SLICE_X33Y146 RPM_Y 292 SITEPROP SLICE_X33Y146 SITE_PIPS SITEPROP SLICE_X33Y146 SITE_TYPE SLICEL SITEPROP SLICE_X33Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y147 CLASS site SITEPROP SLICE_X33Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y147 IS_BONDED 0 SITEPROP SLICE_X33Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y147 IS_PAD 0 SITEPROP SLICE_X33Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y147 IS_RESERVED 0 SITEPROP SLICE_X33Y147 IS_TEST 0 SITEPROP SLICE_X33Y147 IS_USED 0 SITEPROP SLICE_X33Y147 MANUAL_ROUTING SITEPROP SLICE_X33Y147 NAME SLICE_X33Y147 SITEPROP SLICE_X33Y147 NUM_ARCS 138 SITEPROP SLICE_X33Y147 NUM_BELS 32 SITEPROP SLICE_X33Y147 NUM_INPUTS 32 SITEPROP SLICE_X33Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y147 NUM_PINS 45 SITEPROP SLICE_X33Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y147 PROHIBIT 0 SITEPROP SLICE_X33Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y147 RPM_X 91 SITEPROP SLICE_X33Y147 RPM_Y 294 SITEPROP SLICE_X33Y147 SITE_PIPS SITEPROP SLICE_X33Y147 SITE_TYPE SLICEL SITEPROP SLICE_X33Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y148 CLASS site SITEPROP SLICE_X33Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y148 IS_BONDED 0 SITEPROP SLICE_X33Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y148 IS_PAD 0 SITEPROP SLICE_X33Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y148 IS_RESERVED 0 SITEPROP SLICE_X33Y148 IS_TEST 0 SITEPROP SLICE_X33Y148 IS_USED 0 SITEPROP SLICE_X33Y148 MANUAL_ROUTING SITEPROP SLICE_X33Y148 NAME SLICE_X33Y148 SITEPROP SLICE_X33Y148 NUM_ARCS 138 SITEPROP SLICE_X33Y148 NUM_BELS 32 SITEPROP SLICE_X33Y148 NUM_INPUTS 32 SITEPROP SLICE_X33Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y148 NUM_PINS 45 SITEPROP SLICE_X33Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y148 PROHIBIT 0 SITEPROP SLICE_X33Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y148 RPM_X 91 SITEPROP SLICE_X33Y148 RPM_Y 296 SITEPROP SLICE_X33Y148 SITE_PIPS SITEPROP SLICE_X33Y148 SITE_TYPE SLICEL SITEPROP SLICE_X33Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X33Y149 CLASS site SITEPROP SLICE_X33Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X33Y149 IS_BONDED 0 SITEPROP SLICE_X33Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X33Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y149 IS_PAD 0 SITEPROP SLICE_X33Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X33Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X33Y149 IS_RESERVED 0 SITEPROP SLICE_X33Y149 IS_TEST 0 SITEPROP SLICE_X33Y149 IS_USED 0 SITEPROP SLICE_X33Y149 MANUAL_ROUTING SITEPROP SLICE_X33Y149 NAME SLICE_X33Y149 SITEPROP SLICE_X33Y149 NUM_ARCS 138 SITEPROP SLICE_X33Y149 NUM_BELS 32 SITEPROP SLICE_X33Y149 NUM_INPUTS 32 SITEPROP SLICE_X33Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X33Y149 NUM_PINS 45 SITEPROP SLICE_X33Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X33Y149 PROHIBIT 0 SITEPROP SLICE_X33Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X33Y149 RPM_X 91 SITEPROP SLICE_X33Y149 RPM_Y 298 SITEPROP SLICE_X33Y149 SITE_PIPS SITEPROP SLICE_X33Y149 SITE_TYPE SLICEL SITEPROP SLICE_X34Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y0 CLASS site SITEPROP SLICE_X34Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y0 IS_BONDED 0 SITEPROP SLICE_X34Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y0 IS_PAD 0 SITEPROP SLICE_X34Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y0 IS_RESERVED 0 SITEPROP SLICE_X34Y0 IS_TEST 0 SITEPROP SLICE_X34Y0 IS_USED 0 SITEPROP SLICE_X34Y0 MANUAL_ROUTING SITEPROP SLICE_X34Y0 NAME SLICE_X34Y0 SITEPROP SLICE_X34Y0 NUM_ARCS 153 SITEPROP SLICE_X34Y0 NUM_BELS 32 SITEPROP SLICE_X34Y0 NUM_INPUTS 37 SITEPROP SLICE_X34Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y0 NUM_PINS 50 SITEPROP SLICE_X34Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y0 PROHIBIT 0 SITEPROP SLICE_X34Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y0 RPM_X 93 SITEPROP SLICE_X34Y0 RPM_Y 0 SITEPROP SLICE_X34Y0 SITE_PIPS SITEPROP SLICE_X34Y0 SITE_TYPE SLICEM SITEPROP SLICE_X34Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y1 CLASS site SITEPROP SLICE_X34Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y1 IS_BONDED 0 SITEPROP SLICE_X34Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y1 IS_PAD 0 SITEPROP SLICE_X34Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y1 IS_RESERVED 0 SITEPROP SLICE_X34Y1 IS_TEST 0 SITEPROP SLICE_X34Y1 IS_USED 0 SITEPROP SLICE_X34Y1 MANUAL_ROUTING SITEPROP SLICE_X34Y1 NAME SLICE_X34Y1 SITEPROP SLICE_X34Y1 NUM_ARCS 153 SITEPROP SLICE_X34Y1 NUM_BELS 32 SITEPROP SLICE_X34Y1 NUM_INPUTS 37 SITEPROP SLICE_X34Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y1 NUM_PINS 50 SITEPROP SLICE_X34Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y1 PROHIBIT 0 SITEPROP SLICE_X34Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y1 RPM_X 93 SITEPROP SLICE_X34Y1 RPM_Y 2 SITEPROP SLICE_X34Y1 SITE_PIPS SITEPROP SLICE_X34Y1 SITE_TYPE SLICEM SITEPROP SLICE_X34Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y2 CLASS site SITEPROP SLICE_X34Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y2 IS_BONDED 0 SITEPROP SLICE_X34Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y2 IS_PAD 0 SITEPROP SLICE_X34Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y2 IS_RESERVED 0 SITEPROP SLICE_X34Y2 IS_TEST 0 SITEPROP SLICE_X34Y2 IS_USED 0 SITEPROP SLICE_X34Y2 MANUAL_ROUTING SITEPROP SLICE_X34Y2 NAME SLICE_X34Y2 SITEPROP SLICE_X34Y2 NUM_ARCS 153 SITEPROP SLICE_X34Y2 NUM_BELS 32 SITEPROP SLICE_X34Y2 NUM_INPUTS 37 SITEPROP SLICE_X34Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y2 NUM_PINS 50 SITEPROP SLICE_X34Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y2 PROHIBIT 0 SITEPROP SLICE_X34Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y2 RPM_X 93 SITEPROP SLICE_X34Y2 RPM_Y 4 SITEPROP SLICE_X34Y2 SITE_PIPS SITEPROP SLICE_X34Y2 SITE_TYPE SLICEM SITEPROP SLICE_X34Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y3 CLASS site SITEPROP SLICE_X34Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y3 IS_BONDED 0 SITEPROP SLICE_X34Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y3 IS_PAD 0 SITEPROP SLICE_X34Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y3 IS_RESERVED 0 SITEPROP SLICE_X34Y3 IS_TEST 0 SITEPROP SLICE_X34Y3 IS_USED 0 SITEPROP SLICE_X34Y3 MANUAL_ROUTING SITEPROP SLICE_X34Y3 NAME SLICE_X34Y3 SITEPROP SLICE_X34Y3 NUM_ARCS 153 SITEPROP SLICE_X34Y3 NUM_BELS 32 SITEPROP SLICE_X34Y3 NUM_INPUTS 37 SITEPROP SLICE_X34Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y3 NUM_PINS 50 SITEPROP SLICE_X34Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y3 PROHIBIT 0 SITEPROP SLICE_X34Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y3 RPM_X 93 SITEPROP SLICE_X34Y3 RPM_Y 6 SITEPROP SLICE_X34Y3 SITE_PIPS SITEPROP SLICE_X34Y3 SITE_TYPE SLICEM SITEPROP SLICE_X34Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y4 CLASS site SITEPROP SLICE_X34Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y4 IS_BONDED 0 SITEPROP SLICE_X34Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y4 IS_PAD 0 SITEPROP SLICE_X34Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y4 IS_RESERVED 0 SITEPROP SLICE_X34Y4 IS_TEST 0 SITEPROP SLICE_X34Y4 IS_USED 0 SITEPROP SLICE_X34Y4 MANUAL_ROUTING SITEPROP SLICE_X34Y4 NAME SLICE_X34Y4 SITEPROP SLICE_X34Y4 NUM_ARCS 153 SITEPROP SLICE_X34Y4 NUM_BELS 32 SITEPROP SLICE_X34Y4 NUM_INPUTS 37 SITEPROP SLICE_X34Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y4 NUM_PINS 50 SITEPROP SLICE_X34Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y4 PROHIBIT 0 SITEPROP SLICE_X34Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y4 RPM_X 93 SITEPROP SLICE_X34Y4 RPM_Y 8 SITEPROP SLICE_X34Y4 SITE_PIPS SITEPROP SLICE_X34Y4 SITE_TYPE SLICEM SITEPROP SLICE_X34Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y5 CLASS site SITEPROP SLICE_X34Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y5 IS_BONDED 0 SITEPROP SLICE_X34Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y5 IS_PAD 0 SITEPROP SLICE_X34Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y5 IS_RESERVED 0 SITEPROP SLICE_X34Y5 IS_TEST 0 SITEPROP SLICE_X34Y5 IS_USED 0 SITEPROP SLICE_X34Y5 MANUAL_ROUTING SITEPROP SLICE_X34Y5 NAME SLICE_X34Y5 SITEPROP SLICE_X34Y5 NUM_ARCS 153 SITEPROP SLICE_X34Y5 NUM_BELS 32 SITEPROP SLICE_X34Y5 NUM_INPUTS 37 SITEPROP SLICE_X34Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y5 NUM_PINS 50 SITEPROP SLICE_X34Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y5 PROHIBIT 0 SITEPROP SLICE_X34Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y5 RPM_X 93 SITEPROP SLICE_X34Y5 RPM_Y 10 SITEPROP SLICE_X34Y5 SITE_PIPS SITEPROP SLICE_X34Y5 SITE_TYPE SLICEM SITEPROP SLICE_X34Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y6 CLASS site SITEPROP SLICE_X34Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y6 IS_BONDED 0 SITEPROP SLICE_X34Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y6 IS_PAD 0 SITEPROP SLICE_X34Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y6 IS_RESERVED 0 SITEPROP SLICE_X34Y6 IS_TEST 0 SITEPROP SLICE_X34Y6 IS_USED 0 SITEPROP SLICE_X34Y6 MANUAL_ROUTING SITEPROP SLICE_X34Y6 NAME SLICE_X34Y6 SITEPROP SLICE_X34Y6 NUM_ARCS 153 SITEPROP SLICE_X34Y6 NUM_BELS 32 SITEPROP SLICE_X34Y6 NUM_INPUTS 37 SITEPROP SLICE_X34Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y6 NUM_PINS 50 SITEPROP SLICE_X34Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y6 PROHIBIT 0 SITEPROP SLICE_X34Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y6 RPM_X 93 SITEPROP SLICE_X34Y6 RPM_Y 12 SITEPROP SLICE_X34Y6 SITE_PIPS SITEPROP SLICE_X34Y6 SITE_TYPE SLICEM SITEPROP SLICE_X34Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y7 CLASS site SITEPROP SLICE_X34Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y7 IS_BONDED 0 SITEPROP SLICE_X34Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y7 IS_PAD 0 SITEPROP SLICE_X34Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y7 IS_RESERVED 0 SITEPROP SLICE_X34Y7 IS_TEST 0 SITEPROP SLICE_X34Y7 IS_USED 0 SITEPROP SLICE_X34Y7 MANUAL_ROUTING SITEPROP SLICE_X34Y7 NAME SLICE_X34Y7 SITEPROP SLICE_X34Y7 NUM_ARCS 153 SITEPROP SLICE_X34Y7 NUM_BELS 32 SITEPROP SLICE_X34Y7 NUM_INPUTS 37 SITEPROP SLICE_X34Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y7 NUM_PINS 50 SITEPROP SLICE_X34Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y7 PROHIBIT 0 SITEPROP SLICE_X34Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y7 RPM_X 93 SITEPROP SLICE_X34Y7 RPM_Y 14 SITEPROP SLICE_X34Y7 SITE_PIPS SITEPROP SLICE_X34Y7 SITE_TYPE SLICEM SITEPROP SLICE_X34Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y8 CLASS site SITEPROP SLICE_X34Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y8 IS_BONDED 0 SITEPROP SLICE_X34Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y8 IS_PAD 0 SITEPROP SLICE_X34Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y8 IS_RESERVED 0 SITEPROP SLICE_X34Y8 IS_TEST 0 SITEPROP SLICE_X34Y8 IS_USED 0 SITEPROP SLICE_X34Y8 MANUAL_ROUTING SITEPROP SLICE_X34Y8 NAME SLICE_X34Y8 SITEPROP SLICE_X34Y8 NUM_ARCS 153 SITEPROP SLICE_X34Y8 NUM_BELS 32 SITEPROP SLICE_X34Y8 NUM_INPUTS 37 SITEPROP SLICE_X34Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y8 NUM_PINS 50 SITEPROP SLICE_X34Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y8 PROHIBIT 0 SITEPROP SLICE_X34Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y8 RPM_X 93 SITEPROP SLICE_X34Y8 RPM_Y 16 SITEPROP SLICE_X34Y8 SITE_PIPS SITEPROP SLICE_X34Y8 SITE_TYPE SLICEM SITEPROP SLICE_X34Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y9 CLASS site SITEPROP SLICE_X34Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y9 IS_BONDED 0 SITEPROP SLICE_X34Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y9 IS_PAD 0 SITEPROP SLICE_X34Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y9 IS_RESERVED 0 SITEPROP SLICE_X34Y9 IS_TEST 0 SITEPROP SLICE_X34Y9 IS_USED 0 SITEPROP SLICE_X34Y9 MANUAL_ROUTING SITEPROP SLICE_X34Y9 NAME SLICE_X34Y9 SITEPROP SLICE_X34Y9 NUM_ARCS 153 SITEPROP SLICE_X34Y9 NUM_BELS 32 SITEPROP SLICE_X34Y9 NUM_INPUTS 37 SITEPROP SLICE_X34Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y9 NUM_PINS 50 SITEPROP SLICE_X34Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y9 PROHIBIT 0 SITEPROP SLICE_X34Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y9 RPM_X 93 SITEPROP SLICE_X34Y9 RPM_Y 18 SITEPROP SLICE_X34Y9 SITE_PIPS SITEPROP SLICE_X34Y9 SITE_TYPE SLICEM SITEPROP SLICE_X34Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y10 CLASS site SITEPROP SLICE_X34Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y10 IS_BONDED 0 SITEPROP SLICE_X34Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y10 IS_PAD 0 SITEPROP SLICE_X34Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y10 IS_RESERVED 0 SITEPROP SLICE_X34Y10 IS_TEST 0 SITEPROP SLICE_X34Y10 IS_USED 0 SITEPROP SLICE_X34Y10 MANUAL_ROUTING SITEPROP SLICE_X34Y10 NAME SLICE_X34Y10 SITEPROP SLICE_X34Y10 NUM_ARCS 153 SITEPROP SLICE_X34Y10 NUM_BELS 32 SITEPROP SLICE_X34Y10 NUM_INPUTS 37 SITEPROP SLICE_X34Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y10 NUM_PINS 50 SITEPROP SLICE_X34Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y10 PROHIBIT 0 SITEPROP SLICE_X34Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y10 RPM_X 93 SITEPROP SLICE_X34Y10 RPM_Y 20 SITEPROP SLICE_X34Y10 SITE_PIPS SITEPROP SLICE_X34Y10 SITE_TYPE SLICEM SITEPROP SLICE_X34Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y11 CLASS site SITEPROP SLICE_X34Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y11 IS_BONDED 0 SITEPROP SLICE_X34Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y11 IS_PAD 0 SITEPROP SLICE_X34Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y11 IS_RESERVED 0 SITEPROP SLICE_X34Y11 IS_TEST 0 SITEPROP SLICE_X34Y11 IS_USED 0 SITEPROP SLICE_X34Y11 MANUAL_ROUTING SITEPROP SLICE_X34Y11 NAME SLICE_X34Y11 SITEPROP SLICE_X34Y11 NUM_ARCS 153 SITEPROP SLICE_X34Y11 NUM_BELS 32 SITEPROP SLICE_X34Y11 NUM_INPUTS 37 SITEPROP SLICE_X34Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y11 NUM_PINS 50 SITEPROP SLICE_X34Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y11 PROHIBIT 0 SITEPROP SLICE_X34Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y11 RPM_X 93 SITEPROP SLICE_X34Y11 RPM_Y 22 SITEPROP SLICE_X34Y11 SITE_PIPS SITEPROP SLICE_X34Y11 SITE_TYPE SLICEM SITEPROP SLICE_X34Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y12 CLASS site SITEPROP SLICE_X34Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y12 IS_BONDED 0 SITEPROP SLICE_X34Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y12 IS_PAD 0 SITEPROP SLICE_X34Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y12 IS_RESERVED 0 SITEPROP SLICE_X34Y12 IS_TEST 0 SITEPROP SLICE_X34Y12 IS_USED 0 SITEPROP SLICE_X34Y12 MANUAL_ROUTING SITEPROP SLICE_X34Y12 NAME SLICE_X34Y12 SITEPROP SLICE_X34Y12 NUM_ARCS 153 SITEPROP SLICE_X34Y12 NUM_BELS 32 SITEPROP SLICE_X34Y12 NUM_INPUTS 37 SITEPROP SLICE_X34Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y12 NUM_PINS 50 SITEPROP SLICE_X34Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y12 PROHIBIT 0 SITEPROP SLICE_X34Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y12 RPM_X 93 SITEPROP SLICE_X34Y12 RPM_Y 24 SITEPROP SLICE_X34Y12 SITE_PIPS SITEPROP SLICE_X34Y12 SITE_TYPE SLICEM SITEPROP SLICE_X34Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y13 CLASS site SITEPROP SLICE_X34Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y13 IS_BONDED 0 SITEPROP SLICE_X34Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y13 IS_PAD 0 SITEPROP SLICE_X34Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y13 IS_RESERVED 0 SITEPROP SLICE_X34Y13 IS_TEST 0 SITEPROP SLICE_X34Y13 IS_USED 0 SITEPROP SLICE_X34Y13 MANUAL_ROUTING SITEPROP SLICE_X34Y13 NAME SLICE_X34Y13 SITEPROP SLICE_X34Y13 NUM_ARCS 153 SITEPROP SLICE_X34Y13 NUM_BELS 32 SITEPROP SLICE_X34Y13 NUM_INPUTS 37 SITEPROP SLICE_X34Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y13 NUM_PINS 50 SITEPROP SLICE_X34Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y13 PROHIBIT 0 SITEPROP SLICE_X34Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y13 RPM_X 93 SITEPROP SLICE_X34Y13 RPM_Y 26 SITEPROP SLICE_X34Y13 SITE_PIPS SITEPROP SLICE_X34Y13 SITE_TYPE SLICEM SITEPROP SLICE_X34Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y14 CLASS site SITEPROP SLICE_X34Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y14 IS_BONDED 0 SITEPROP SLICE_X34Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y14 IS_PAD 0 SITEPROP SLICE_X34Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y14 IS_RESERVED 0 SITEPROP SLICE_X34Y14 IS_TEST 0 SITEPROP SLICE_X34Y14 IS_USED 0 SITEPROP SLICE_X34Y14 MANUAL_ROUTING SITEPROP SLICE_X34Y14 NAME SLICE_X34Y14 SITEPROP SLICE_X34Y14 NUM_ARCS 153 SITEPROP SLICE_X34Y14 NUM_BELS 32 SITEPROP SLICE_X34Y14 NUM_INPUTS 37 SITEPROP SLICE_X34Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y14 NUM_PINS 50 SITEPROP SLICE_X34Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y14 PROHIBIT 0 SITEPROP SLICE_X34Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y14 RPM_X 93 SITEPROP SLICE_X34Y14 RPM_Y 28 SITEPROP SLICE_X34Y14 SITE_PIPS SITEPROP SLICE_X34Y14 SITE_TYPE SLICEM SITEPROP SLICE_X34Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y15 CLASS site SITEPROP SLICE_X34Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y15 IS_BONDED 0 SITEPROP SLICE_X34Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y15 IS_PAD 0 SITEPROP SLICE_X34Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y15 IS_RESERVED 0 SITEPROP SLICE_X34Y15 IS_TEST 0 SITEPROP SLICE_X34Y15 IS_USED 0 SITEPROP SLICE_X34Y15 MANUAL_ROUTING SITEPROP SLICE_X34Y15 NAME SLICE_X34Y15 SITEPROP SLICE_X34Y15 NUM_ARCS 153 SITEPROP SLICE_X34Y15 NUM_BELS 32 SITEPROP SLICE_X34Y15 NUM_INPUTS 37 SITEPROP SLICE_X34Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y15 NUM_PINS 50 SITEPROP SLICE_X34Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y15 PROHIBIT 0 SITEPROP SLICE_X34Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y15 RPM_X 93 SITEPROP SLICE_X34Y15 RPM_Y 30 SITEPROP SLICE_X34Y15 SITE_PIPS SITEPROP SLICE_X34Y15 SITE_TYPE SLICEM SITEPROP SLICE_X34Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y16 CLASS site SITEPROP SLICE_X34Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y16 IS_BONDED 0 SITEPROP SLICE_X34Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y16 IS_PAD 0 SITEPROP SLICE_X34Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y16 IS_RESERVED 0 SITEPROP SLICE_X34Y16 IS_TEST 0 SITEPROP SLICE_X34Y16 IS_USED 0 SITEPROP SLICE_X34Y16 MANUAL_ROUTING SITEPROP SLICE_X34Y16 NAME SLICE_X34Y16 SITEPROP SLICE_X34Y16 NUM_ARCS 153 SITEPROP SLICE_X34Y16 NUM_BELS 32 SITEPROP SLICE_X34Y16 NUM_INPUTS 37 SITEPROP SLICE_X34Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y16 NUM_PINS 50 SITEPROP SLICE_X34Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y16 PROHIBIT 0 SITEPROP SLICE_X34Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y16 RPM_X 93 SITEPROP SLICE_X34Y16 RPM_Y 32 SITEPROP SLICE_X34Y16 SITE_PIPS SITEPROP SLICE_X34Y16 SITE_TYPE SLICEM SITEPROP SLICE_X34Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y17 CLASS site SITEPROP SLICE_X34Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y17 IS_BONDED 0 SITEPROP SLICE_X34Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y17 IS_PAD 0 SITEPROP SLICE_X34Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y17 IS_RESERVED 0 SITEPROP SLICE_X34Y17 IS_TEST 0 SITEPROP SLICE_X34Y17 IS_USED 0 SITEPROP SLICE_X34Y17 MANUAL_ROUTING SITEPROP SLICE_X34Y17 NAME SLICE_X34Y17 SITEPROP SLICE_X34Y17 NUM_ARCS 153 SITEPROP SLICE_X34Y17 NUM_BELS 32 SITEPROP SLICE_X34Y17 NUM_INPUTS 37 SITEPROP SLICE_X34Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y17 NUM_PINS 50 SITEPROP SLICE_X34Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y17 PROHIBIT 0 SITEPROP SLICE_X34Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y17 RPM_X 93 SITEPROP SLICE_X34Y17 RPM_Y 34 SITEPROP SLICE_X34Y17 SITE_PIPS SITEPROP SLICE_X34Y17 SITE_TYPE SLICEM SITEPROP SLICE_X34Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y18 CLASS site SITEPROP SLICE_X34Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y18 IS_BONDED 0 SITEPROP SLICE_X34Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y18 IS_PAD 0 SITEPROP SLICE_X34Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y18 IS_RESERVED 0 SITEPROP SLICE_X34Y18 IS_TEST 0 SITEPROP SLICE_X34Y18 IS_USED 0 SITEPROP SLICE_X34Y18 MANUAL_ROUTING SITEPROP SLICE_X34Y18 NAME SLICE_X34Y18 SITEPROP SLICE_X34Y18 NUM_ARCS 153 SITEPROP SLICE_X34Y18 NUM_BELS 32 SITEPROP SLICE_X34Y18 NUM_INPUTS 37 SITEPROP SLICE_X34Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y18 NUM_PINS 50 SITEPROP SLICE_X34Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y18 PROHIBIT 0 SITEPROP SLICE_X34Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y18 RPM_X 93 SITEPROP SLICE_X34Y18 RPM_Y 36 SITEPROP SLICE_X34Y18 SITE_PIPS SITEPROP SLICE_X34Y18 SITE_TYPE SLICEM SITEPROP SLICE_X34Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y19 CLASS site SITEPROP SLICE_X34Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y19 IS_BONDED 0 SITEPROP SLICE_X34Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y19 IS_PAD 0 SITEPROP SLICE_X34Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y19 IS_RESERVED 0 SITEPROP SLICE_X34Y19 IS_TEST 0 SITEPROP SLICE_X34Y19 IS_USED 0 SITEPROP SLICE_X34Y19 MANUAL_ROUTING SITEPROP SLICE_X34Y19 NAME SLICE_X34Y19 SITEPROP SLICE_X34Y19 NUM_ARCS 153 SITEPROP SLICE_X34Y19 NUM_BELS 32 SITEPROP SLICE_X34Y19 NUM_INPUTS 37 SITEPROP SLICE_X34Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y19 NUM_PINS 50 SITEPROP SLICE_X34Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y19 PROHIBIT 0 SITEPROP SLICE_X34Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y19 RPM_X 93 SITEPROP SLICE_X34Y19 RPM_Y 38 SITEPROP SLICE_X34Y19 SITE_PIPS SITEPROP SLICE_X34Y19 SITE_TYPE SLICEM SITEPROP SLICE_X34Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y20 CLASS site SITEPROP SLICE_X34Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y20 IS_BONDED 0 SITEPROP SLICE_X34Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y20 IS_PAD 0 SITEPROP SLICE_X34Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y20 IS_RESERVED 0 SITEPROP SLICE_X34Y20 IS_TEST 0 SITEPROP SLICE_X34Y20 IS_USED 0 SITEPROP SLICE_X34Y20 MANUAL_ROUTING SITEPROP SLICE_X34Y20 NAME SLICE_X34Y20 SITEPROP SLICE_X34Y20 NUM_ARCS 153 SITEPROP SLICE_X34Y20 NUM_BELS 32 SITEPROP SLICE_X34Y20 NUM_INPUTS 37 SITEPROP SLICE_X34Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y20 NUM_PINS 50 SITEPROP SLICE_X34Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y20 PROHIBIT 0 SITEPROP SLICE_X34Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y20 RPM_X 93 SITEPROP SLICE_X34Y20 RPM_Y 40 SITEPROP SLICE_X34Y20 SITE_PIPS SITEPROP SLICE_X34Y20 SITE_TYPE SLICEM SITEPROP SLICE_X34Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y21 CLASS site SITEPROP SLICE_X34Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y21 IS_BONDED 0 SITEPROP SLICE_X34Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y21 IS_PAD 0 SITEPROP SLICE_X34Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y21 IS_RESERVED 0 SITEPROP SLICE_X34Y21 IS_TEST 0 SITEPROP SLICE_X34Y21 IS_USED 0 SITEPROP SLICE_X34Y21 MANUAL_ROUTING SITEPROP SLICE_X34Y21 NAME SLICE_X34Y21 SITEPROP SLICE_X34Y21 NUM_ARCS 153 SITEPROP SLICE_X34Y21 NUM_BELS 32 SITEPROP SLICE_X34Y21 NUM_INPUTS 37 SITEPROP SLICE_X34Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y21 NUM_PINS 50 SITEPROP SLICE_X34Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y21 PROHIBIT 0 SITEPROP SLICE_X34Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y21 RPM_X 93 SITEPROP SLICE_X34Y21 RPM_Y 42 SITEPROP SLICE_X34Y21 SITE_PIPS SITEPROP SLICE_X34Y21 SITE_TYPE SLICEM SITEPROP SLICE_X34Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y22 CLASS site SITEPROP SLICE_X34Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y22 IS_BONDED 0 SITEPROP SLICE_X34Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y22 IS_PAD 0 SITEPROP SLICE_X34Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y22 IS_RESERVED 0 SITEPROP SLICE_X34Y22 IS_TEST 0 SITEPROP SLICE_X34Y22 IS_USED 0 SITEPROP SLICE_X34Y22 MANUAL_ROUTING SITEPROP SLICE_X34Y22 NAME SLICE_X34Y22 SITEPROP SLICE_X34Y22 NUM_ARCS 153 SITEPROP SLICE_X34Y22 NUM_BELS 32 SITEPROP SLICE_X34Y22 NUM_INPUTS 37 SITEPROP SLICE_X34Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y22 NUM_PINS 50 SITEPROP SLICE_X34Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y22 PROHIBIT 0 SITEPROP SLICE_X34Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y22 RPM_X 93 SITEPROP SLICE_X34Y22 RPM_Y 44 SITEPROP SLICE_X34Y22 SITE_PIPS SITEPROP SLICE_X34Y22 SITE_TYPE SLICEM SITEPROP SLICE_X34Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y23 CLASS site SITEPROP SLICE_X34Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y23 IS_BONDED 0 SITEPROP SLICE_X34Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y23 IS_PAD 0 SITEPROP SLICE_X34Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y23 IS_RESERVED 0 SITEPROP SLICE_X34Y23 IS_TEST 0 SITEPROP SLICE_X34Y23 IS_USED 0 SITEPROP SLICE_X34Y23 MANUAL_ROUTING SITEPROP SLICE_X34Y23 NAME SLICE_X34Y23 SITEPROP SLICE_X34Y23 NUM_ARCS 153 SITEPROP SLICE_X34Y23 NUM_BELS 32 SITEPROP SLICE_X34Y23 NUM_INPUTS 37 SITEPROP SLICE_X34Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y23 NUM_PINS 50 SITEPROP SLICE_X34Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y23 PROHIBIT 0 SITEPROP SLICE_X34Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y23 RPM_X 93 SITEPROP SLICE_X34Y23 RPM_Y 46 SITEPROP SLICE_X34Y23 SITE_PIPS SITEPROP SLICE_X34Y23 SITE_TYPE SLICEM SITEPROP SLICE_X34Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y24 CLASS site SITEPROP SLICE_X34Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y24 IS_BONDED 0 SITEPROP SLICE_X34Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y24 IS_PAD 0 SITEPROP SLICE_X34Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y24 IS_RESERVED 0 SITEPROP SLICE_X34Y24 IS_TEST 0 SITEPROP SLICE_X34Y24 IS_USED 0 SITEPROP SLICE_X34Y24 MANUAL_ROUTING SITEPROP SLICE_X34Y24 NAME SLICE_X34Y24 SITEPROP SLICE_X34Y24 NUM_ARCS 153 SITEPROP SLICE_X34Y24 NUM_BELS 32 SITEPROP SLICE_X34Y24 NUM_INPUTS 37 SITEPROP SLICE_X34Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y24 NUM_PINS 50 SITEPROP SLICE_X34Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y24 PROHIBIT 0 SITEPROP SLICE_X34Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y24 RPM_X 93 SITEPROP SLICE_X34Y24 RPM_Y 48 SITEPROP SLICE_X34Y24 SITE_PIPS SITEPROP SLICE_X34Y24 SITE_TYPE SLICEM SITEPROP SLICE_X34Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y25 CLASS site SITEPROP SLICE_X34Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y25 IS_BONDED 0 SITEPROP SLICE_X34Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y25 IS_PAD 0 SITEPROP SLICE_X34Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y25 IS_RESERVED 0 SITEPROP SLICE_X34Y25 IS_TEST 0 SITEPROP SLICE_X34Y25 IS_USED 0 SITEPROP SLICE_X34Y25 MANUAL_ROUTING SITEPROP SLICE_X34Y25 NAME SLICE_X34Y25 SITEPROP SLICE_X34Y25 NUM_ARCS 153 SITEPROP SLICE_X34Y25 NUM_BELS 32 SITEPROP SLICE_X34Y25 NUM_INPUTS 37 SITEPROP SLICE_X34Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y25 NUM_PINS 50 SITEPROP SLICE_X34Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y25 PROHIBIT 0 SITEPROP SLICE_X34Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y25 RPM_X 93 SITEPROP SLICE_X34Y25 RPM_Y 50 SITEPROP SLICE_X34Y25 SITE_PIPS SITEPROP SLICE_X34Y25 SITE_TYPE SLICEM SITEPROP SLICE_X34Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y26 CLASS site SITEPROP SLICE_X34Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y26 IS_BONDED 0 SITEPROP SLICE_X34Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y26 IS_PAD 0 SITEPROP SLICE_X34Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y26 IS_RESERVED 0 SITEPROP SLICE_X34Y26 IS_TEST 0 SITEPROP SLICE_X34Y26 IS_USED 0 SITEPROP SLICE_X34Y26 MANUAL_ROUTING SITEPROP SLICE_X34Y26 NAME SLICE_X34Y26 SITEPROP SLICE_X34Y26 NUM_ARCS 153 SITEPROP SLICE_X34Y26 NUM_BELS 32 SITEPROP SLICE_X34Y26 NUM_INPUTS 37 SITEPROP SLICE_X34Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y26 NUM_PINS 50 SITEPROP SLICE_X34Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y26 PROHIBIT 0 SITEPROP SLICE_X34Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y26 RPM_X 93 SITEPROP SLICE_X34Y26 RPM_Y 52 SITEPROP SLICE_X34Y26 SITE_PIPS SITEPROP SLICE_X34Y26 SITE_TYPE SLICEM SITEPROP SLICE_X34Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y27 CLASS site SITEPROP SLICE_X34Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y27 IS_BONDED 0 SITEPROP SLICE_X34Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y27 IS_PAD 0 SITEPROP SLICE_X34Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y27 IS_RESERVED 0 SITEPROP SLICE_X34Y27 IS_TEST 0 SITEPROP SLICE_X34Y27 IS_USED 0 SITEPROP SLICE_X34Y27 MANUAL_ROUTING SITEPROP SLICE_X34Y27 NAME SLICE_X34Y27 SITEPROP SLICE_X34Y27 NUM_ARCS 153 SITEPROP SLICE_X34Y27 NUM_BELS 32 SITEPROP SLICE_X34Y27 NUM_INPUTS 37 SITEPROP SLICE_X34Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y27 NUM_PINS 50 SITEPROP SLICE_X34Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y27 PROHIBIT 0 SITEPROP SLICE_X34Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y27 RPM_X 93 SITEPROP SLICE_X34Y27 RPM_Y 54 SITEPROP SLICE_X34Y27 SITE_PIPS SITEPROP SLICE_X34Y27 SITE_TYPE SLICEM SITEPROP SLICE_X34Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y28 CLASS site SITEPROP SLICE_X34Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y28 IS_BONDED 0 SITEPROP SLICE_X34Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y28 IS_PAD 0 SITEPROP SLICE_X34Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y28 IS_RESERVED 0 SITEPROP SLICE_X34Y28 IS_TEST 0 SITEPROP SLICE_X34Y28 IS_USED 0 SITEPROP SLICE_X34Y28 MANUAL_ROUTING SITEPROP SLICE_X34Y28 NAME SLICE_X34Y28 SITEPROP SLICE_X34Y28 NUM_ARCS 153 SITEPROP SLICE_X34Y28 NUM_BELS 32 SITEPROP SLICE_X34Y28 NUM_INPUTS 37 SITEPROP SLICE_X34Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y28 NUM_PINS 50 SITEPROP SLICE_X34Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y28 PROHIBIT 0 SITEPROP SLICE_X34Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y28 RPM_X 93 SITEPROP SLICE_X34Y28 RPM_Y 56 SITEPROP SLICE_X34Y28 SITE_PIPS SITEPROP SLICE_X34Y28 SITE_TYPE SLICEM SITEPROP SLICE_X34Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y29 CLASS site SITEPROP SLICE_X34Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y29 IS_BONDED 0 SITEPROP SLICE_X34Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y29 IS_PAD 0 SITEPROP SLICE_X34Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y29 IS_RESERVED 0 SITEPROP SLICE_X34Y29 IS_TEST 0 SITEPROP SLICE_X34Y29 IS_USED 0 SITEPROP SLICE_X34Y29 MANUAL_ROUTING SITEPROP SLICE_X34Y29 NAME SLICE_X34Y29 SITEPROP SLICE_X34Y29 NUM_ARCS 153 SITEPROP SLICE_X34Y29 NUM_BELS 32 SITEPROP SLICE_X34Y29 NUM_INPUTS 37 SITEPROP SLICE_X34Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y29 NUM_PINS 50 SITEPROP SLICE_X34Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y29 PROHIBIT 0 SITEPROP SLICE_X34Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y29 RPM_X 93 SITEPROP SLICE_X34Y29 RPM_Y 58 SITEPROP SLICE_X34Y29 SITE_PIPS SITEPROP SLICE_X34Y29 SITE_TYPE SLICEM SITEPROP SLICE_X34Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y30 CLASS site SITEPROP SLICE_X34Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y30 IS_BONDED 0 SITEPROP SLICE_X34Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y30 IS_PAD 0 SITEPROP SLICE_X34Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y30 IS_RESERVED 0 SITEPROP SLICE_X34Y30 IS_TEST 0 SITEPROP SLICE_X34Y30 IS_USED 0 SITEPROP SLICE_X34Y30 MANUAL_ROUTING SITEPROP SLICE_X34Y30 NAME SLICE_X34Y30 SITEPROP SLICE_X34Y30 NUM_ARCS 153 SITEPROP SLICE_X34Y30 NUM_BELS 32 SITEPROP SLICE_X34Y30 NUM_INPUTS 37 SITEPROP SLICE_X34Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y30 NUM_PINS 50 SITEPROP SLICE_X34Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y30 PROHIBIT 0 SITEPROP SLICE_X34Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y30 RPM_X 93 SITEPROP SLICE_X34Y30 RPM_Y 60 SITEPROP SLICE_X34Y30 SITE_PIPS SITEPROP SLICE_X34Y30 SITE_TYPE SLICEM SITEPROP SLICE_X34Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y31 CLASS site SITEPROP SLICE_X34Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y31 IS_BONDED 0 SITEPROP SLICE_X34Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y31 IS_PAD 0 SITEPROP SLICE_X34Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y31 IS_RESERVED 0 SITEPROP SLICE_X34Y31 IS_TEST 0 SITEPROP SLICE_X34Y31 IS_USED 0 SITEPROP SLICE_X34Y31 MANUAL_ROUTING SITEPROP SLICE_X34Y31 NAME SLICE_X34Y31 SITEPROP SLICE_X34Y31 NUM_ARCS 153 SITEPROP SLICE_X34Y31 NUM_BELS 32 SITEPROP SLICE_X34Y31 NUM_INPUTS 37 SITEPROP SLICE_X34Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y31 NUM_PINS 50 SITEPROP SLICE_X34Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y31 PROHIBIT 0 SITEPROP SLICE_X34Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y31 RPM_X 93 SITEPROP SLICE_X34Y31 RPM_Y 62 SITEPROP SLICE_X34Y31 SITE_PIPS SITEPROP SLICE_X34Y31 SITE_TYPE SLICEM SITEPROP SLICE_X34Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y32 CLASS site SITEPROP SLICE_X34Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y32 IS_BONDED 0 SITEPROP SLICE_X34Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y32 IS_PAD 0 SITEPROP SLICE_X34Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y32 IS_RESERVED 0 SITEPROP SLICE_X34Y32 IS_TEST 0 SITEPROP SLICE_X34Y32 IS_USED 0 SITEPROP SLICE_X34Y32 MANUAL_ROUTING SITEPROP SLICE_X34Y32 NAME SLICE_X34Y32 SITEPROP SLICE_X34Y32 NUM_ARCS 153 SITEPROP SLICE_X34Y32 NUM_BELS 32 SITEPROP SLICE_X34Y32 NUM_INPUTS 37 SITEPROP SLICE_X34Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y32 NUM_PINS 50 SITEPROP SLICE_X34Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y32 PROHIBIT 0 SITEPROP SLICE_X34Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y32 RPM_X 93 SITEPROP SLICE_X34Y32 RPM_Y 64 SITEPROP SLICE_X34Y32 SITE_PIPS SITEPROP SLICE_X34Y32 SITE_TYPE SLICEM SITEPROP SLICE_X34Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y33 CLASS site SITEPROP SLICE_X34Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y33 IS_BONDED 0 SITEPROP SLICE_X34Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y33 IS_PAD 0 SITEPROP SLICE_X34Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y33 IS_RESERVED 0 SITEPROP SLICE_X34Y33 IS_TEST 0 SITEPROP SLICE_X34Y33 IS_USED 0 SITEPROP SLICE_X34Y33 MANUAL_ROUTING SITEPROP SLICE_X34Y33 NAME SLICE_X34Y33 SITEPROP SLICE_X34Y33 NUM_ARCS 153 SITEPROP SLICE_X34Y33 NUM_BELS 32 SITEPROP SLICE_X34Y33 NUM_INPUTS 37 SITEPROP SLICE_X34Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y33 NUM_PINS 50 SITEPROP SLICE_X34Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y33 PROHIBIT 0 SITEPROP SLICE_X34Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y33 RPM_X 93 SITEPROP SLICE_X34Y33 RPM_Y 66 SITEPROP SLICE_X34Y33 SITE_PIPS SITEPROP SLICE_X34Y33 SITE_TYPE SLICEM SITEPROP SLICE_X34Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y34 CLASS site SITEPROP SLICE_X34Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y34 IS_BONDED 0 SITEPROP SLICE_X34Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y34 IS_PAD 0 SITEPROP SLICE_X34Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y34 IS_RESERVED 0 SITEPROP SLICE_X34Y34 IS_TEST 0 SITEPROP SLICE_X34Y34 IS_USED 0 SITEPROP SLICE_X34Y34 MANUAL_ROUTING SITEPROP SLICE_X34Y34 NAME SLICE_X34Y34 SITEPROP SLICE_X34Y34 NUM_ARCS 153 SITEPROP SLICE_X34Y34 NUM_BELS 32 SITEPROP SLICE_X34Y34 NUM_INPUTS 37 SITEPROP SLICE_X34Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y34 NUM_PINS 50 SITEPROP SLICE_X34Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y34 PROHIBIT 0 SITEPROP SLICE_X34Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y34 RPM_X 93 SITEPROP SLICE_X34Y34 RPM_Y 68 SITEPROP SLICE_X34Y34 SITE_PIPS SITEPROP SLICE_X34Y34 SITE_TYPE SLICEM SITEPROP SLICE_X34Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y35 CLASS site SITEPROP SLICE_X34Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y35 IS_BONDED 0 SITEPROP SLICE_X34Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y35 IS_PAD 0 SITEPROP SLICE_X34Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y35 IS_RESERVED 0 SITEPROP SLICE_X34Y35 IS_TEST 0 SITEPROP SLICE_X34Y35 IS_USED 0 SITEPROP SLICE_X34Y35 MANUAL_ROUTING SITEPROP SLICE_X34Y35 NAME SLICE_X34Y35 SITEPROP SLICE_X34Y35 NUM_ARCS 153 SITEPROP SLICE_X34Y35 NUM_BELS 32 SITEPROP SLICE_X34Y35 NUM_INPUTS 37 SITEPROP SLICE_X34Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y35 NUM_PINS 50 SITEPROP SLICE_X34Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y35 PROHIBIT 0 SITEPROP SLICE_X34Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y35 RPM_X 93 SITEPROP SLICE_X34Y35 RPM_Y 70 SITEPROP SLICE_X34Y35 SITE_PIPS SITEPROP SLICE_X34Y35 SITE_TYPE SLICEM SITEPROP SLICE_X34Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y36 CLASS site SITEPROP SLICE_X34Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y36 IS_BONDED 0 SITEPROP SLICE_X34Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y36 IS_PAD 0 SITEPROP SLICE_X34Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y36 IS_RESERVED 0 SITEPROP SLICE_X34Y36 IS_TEST 0 SITEPROP SLICE_X34Y36 IS_USED 0 SITEPROP SLICE_X34Y36 MANUAL_ROUTING SITEPROP SLICE_X34Y36 NAME SLICE_X34Y36 SITEPROP SLICE_X34Y36 NUM_ARCS 153 SITEPROP SLICE_X34Y36 NUM_BELS 32 SITEPROP SLICE_X34Y36 NUM_INPUTS 37 SITEPROP SLICE_X34Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y36 NUM_PINS 50 SITEPROP SLICE_X34Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y36 PROHIBIT 0 SITEPROP SLICE_X34Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y36 RPM_X 93 SITEPROP SLICE_X34Y36 RPM_Y 72 SITEPROP SLICE_X34Y36 SITE_PIPS SITEPROP SLICE_X34Y36 SITE_TYPE SLICEM SITEPROP SLICE_X34Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y37 CLASS site SITEPROP SLICE_X34Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y37 IS_BONDED 0 SITEPROP SLICE_X34Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y37 IS_PAD 0 SITEPROP SLICE_X34Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y37 IS_RESERVED 0 SITEPROP SLICE_X34Y37 IS_TEST 0 SITEPROP SLICE_X34Y37 IS_USED 0 SITEPROP SLICE_X34Y37 MANUAL_ROUTING SITEPROP SLICE_X34Y37 NAME SLICE_X34Y37 SITEPROP SLICE_X34Y37 NUM_ARCS 153 SITEPROP SLICE_X34Y37 NUM_BELS 32 SITEPROP SLICE_X34Y37 NUM_INPUTS 37 SITEPROP SLICE_X34Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y37 NUM_PINS 50 SITEPROP SLICE_X34Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y37 PROHIBIT 0 SITEPROP SLICE_X34Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y37 RPM_X 93 SITEPROP SLICE_X34Y37 RPM_Y 74 SITEPROP SLICE_X34Y37 SITE_PIPS SITEPROP SLICE_X34Y37 SITE_TYPE SLICEM SITEPROP SLICE_X34Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y38 CLASS site SITEPROP SLICE_X34Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y38 IS_BONDED 0 SITEPROP SLICE_X34Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y38 IS_PAD 0 SITEPROP SLICE_X34Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y38 IS_RESERVED 0 SITEPROP SLICE_X34Y38 IS_TEST 0 SITEPROP SLICE_X34Y38 IS_USED 0 SITEPROP SLICE_X34Y38 MANUAL_ROUTING SITEPROP SLICE_X34Y38 NAME SLICE_X34Y38 SITEPROP SLICE_X34Y38 NUM_ARCS 153 SITEPROP SLICE_X34Y38 NUM_BELS 32 SITEPROP SLICE_X34Y38 NUM_INPUTS 37 SITEPROP SLICE_X34Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y38 NUM_PINS 50 SITEPROP SLICE_X34Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y38 PROHIBIT 0 SITEPROP SLICE_X34Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y38 RPM_X 93 SITEPROP SLICE_X34Y38 RPM_Y 76 SITEPROP SLICE_X34Y38 SITE_PIPS SITEPROP SLICE_X34Y38 SITE_TYPE SLICEM SITEPROP SLICE_X34Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y39 CLASS site SITEPROP SLICE_X34Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y39 IS_BONDED 0 SITEPROP SLICE_X34Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y39 IS_PAD 0 SITEPROP SLICE_X34Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y39 IS_RESERVED 0 SITEPROP SLICE_X34Y39 IS_TEST 0 SITEPROP SLICE_X34Y39 IS_USED 0 SITEPROP SLICE_X34Y39 MANUAL_ROUTING SITEPROP SLICE_X34Y39 NAME SLICE_X34Y39 SITEPROP SLICE_X34Y39 NUM_ARCS 153 SITEPROP SLICE_X34Y39 NUM_BELS 32 SITEPROP SLICE_X34Y39 NUM_INPUTS 37 SITEPROP SLICE_X34Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y39 NUM_PINS 50 SITEPROP SLICE_X34Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y39 PROHIBIT 0 SITEPROP SLICE_X34Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y39 RPM_X 93 SITEPROP SLICE_X34Y39 RPM_Y 78 SITEPROP SLICE_X34Y39 SITE_PIPS SITEPROP SLICE_X34Y39 SITE_TYPE SLICEM SITEPROP SLICE_X34Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y40 CLASS site SITEPROP SLICE_X34Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y40 IS_BONDED 0 SITEPROP SLICE_X34Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y40 IS_PAD 0 SITEPROP SLICE_X34Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y40 IS_RESERVED 0 SITEPROP SLICE_X34Y40 IS_TEST 0 SITEPROP SLICE_X34Y40 IS_USED 0 SITEPROP SLICE_X34Y40 MANUAL_ROUTING SITEPROP SLICE_X34Y40 NAME SLICE_X34Y40 SITEPROP SLICE_X34Y40 NUM_ARCS 153 SITEPROP SLICE_X34Y40 NUM_BELS 32 SITEPROP SLICE_X34Y40 NUM_INPUTS 37 SITEPROP SLICE_X34Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y40 NUM_PINS 50 SITEPROP SLICE_X34Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y40 PROHIBIT 0 SITEPROP SLICE_X34Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y40 RPM_X 93 SITEPROP SLICE_X34Y40 RPM_Y 80 SITEPROP SLICE_X34Y40 SITE_PIPS SITEPROP SLICE_X34Y40 SITE_TYPE SLICEM SITEPROP SLICE_X34Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y41 CLASS site SITEPROP SLICE_X34Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y41 IS_BONDED 0 SITEPROP SLICE_X34Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y41 IS_PAD 0 SITEPROP SLICE_X34Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y41 IS_RESERVED 0 SITEPROP SLICE_X34Y41 IS_TEST 0 SITEPROP SLICE_X34Y41 IS_USED 0 SITEPROP SLICE_X34Y41 MANUAL_ROUTING SITEPROP SLICE_X34Y41 NAME SLICE_X34Y41 SITEPROP SLICE_X34Y41 NUM_ARCS 153 SITEPROP SLICE_X34Y41 NUM_BELS 32 SITEPROP SLICE_X34Y41 NUM_INPUTS 37 SITEPROP SLICE_X34Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y41 NUM_PINS 50 SITEPROP SLICE_X34Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y41 PROHIBIT 0 SITEPROP SLICE_X34Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y41 RPM_X 93 SITEPROP SLICE_X34Y41 RPM_Y 82 SITEPROP SLICE_X34Y41 SITE_PIPS SITEPROP SLICE_X34Y41 SITE_TYPE SLICEM SITEPROP SLICE_X34Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y42 CLASS site SITEPROP SLICE_X34Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y42 IS_BONDED 0 SITEPROP SLICE_X34Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y42 IS_PAD 0 SITEPROP SLICE_X34Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y42 IS_RESERVED 0 SITEPROP SLICE_X34Y42 IS_TEST 0 SITEPROP SLICE_X34Y42 IS_USED 0 SITEPROP SLICE_X34Y42 MANUAL_ROUTING SITEPROP SLICE_X34Y42 NAME SLICE_X34Y42 SITEPROP SLICE_X34Y42 NUM_ARCS 153 SITEPROP SLICE_X34Y42 NUM_BELS 32 SITEPROP SLICE_X34Y42 NUM_INPUTS 37 SITEPROP SLICE_X34Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y42 NUM_PINS 50 SITEPROP SLICE_X34Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y42 PROHIBIT 0 SITEPROP SLICE_X34Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y42 RPM_X 93 SITEPROP SLICE_X34Y42 RPM_Y 84 SITEPROP SLICE_X34Y42 SITE_PIPS SITEPROP SLICE_X34Y42 SITE_TYPE SLICEM SITEPROP SLICE_X34Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y43 CLASS site SITEPROP SLICE_X34Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y43 IS_BONDED 0 SITEPROP SLICE_X34Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y43 IS_PAD 0 SITEPROP SLICE_X34Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y43 IS_RESERVED 0 SITEPROP SLICE_X34Y43 IS_TEST 0 SITEPROP SLICE_X34Y43 IS_USED 0 SITEPROP SLICE_X34Y43 MANUAL_ROUTING SITEPROP SLICE_X34Y43 NAME SLICE_X34Y43 SITEPROP SLICE_X34Y43 NUM_ARCS 153 SITEPROP SLICE_X34Y43 NUM_BELS 32 SITEPROP SLICE_X34Y43 NUM_INPUTS 37 SITEPROP SLICE_X34Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y43 NUM_PINS 50 SITEPROP SLICE_X34Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y43 PROHIBIT 0 SITEPROP SLICE_X34Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y43 RPM_X 93 SITEPROP SLICE_X34Y43 RPM_Y 86 SITEPROP SLICE_X34Y43 SITE_PIPS SITEPROP SLICE_X34Y43 SITE_TYPE SLICEM SITEPROP SLICE_X34Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y44 CLASS site SITEPROP SLICE_X34Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y44 IS_BONDED 0 SITEPROP SLICE_X34Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y44 IS_PAD 0 SITEPROP SLICE_X34Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y44 IS_RESERVED 0 SITEPROP SLICE_X34Y44 IS_TEST 0 SITEPROP SLICE_X34Y44 IS_USED 0 SITEPROP SLICE_X34Y44 MANUAL_ROUTING SITEPROP SLICE_X34Y44 NAME SLICE_X34Y44 SITEPROP SLICE_X34Y44 NUM_ARCS 153 SITEPROP SLICE_X34Y44 NUM_BELS 32 SITEPROP SLICE_X34Y44 NUM_INPUTS 37 SITEPROP SLICE_X34Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y44 NUM_PINS 50 SITEPROP SLICE_X34Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y44 PROHIBIT 0 SITEPROP SLICE_X34Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y44 RPM_X 93 SITEPROP SLICE_X34Y44 RPM_Y 88 SITEPROP SLICE_X34Y44 SITE_PIPS SITEPROP SLICE_X34Y44 SITE_TYPE SLICEM SITEPROP SLICE_X34Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y45 CLASS site SITEPROP SLICE_X34Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y45 IS_BONDED 0 SITEPROP SLICE_X34Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y45 IS_PAD 0 SITEPROP SLICE_X34Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y45 IS_RESERVED 0 SITEPROP SLICE_X34Y45 IS_TEST 0 SITEPROP SLICE_X34Y45 IS_USED 0 SITEPROP SLICE_X34Y45 MANUAL_ROUTING SITEPROP SLICE_X34Y45 NAME SLICE_X34Y45 SITEPROP SLICE_X34Y45 NUM_ARCS 153 SITEPROP SLICE_X34Y45 NUM_BELS 32 SITEPROP SLICE_X34Y45 NUM_INPUTS 37 SITEPROP SLICE_X34Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y45 NUM_PINS 50 SITEPROP SLICE_X34Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y45 PROHIBIT 0 SITEPROP SLICE_X34Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y45 RPM_X 93 SITEPROP SLICE_X34Y45 RPM_Y 90 SITEPROP SLICE_X34Y45 SITE_PIPS SITEPROP SLICE_X34Y45 SITE_TYPE SLICEM SITEPROP SLICE_X34Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y46 CLASS site SITEPROP SLICE_X34Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y46 IS_BONDED 0 SITEPROP SLICE_X34Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y46 IS_PAD 0 SITEPROP SLICE_X34Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y46 IS_RESERVED 0 SITEPROP SLICE_X34Y46 IS_TEST 0 SITEPROP SLICE_X34Y46 IS_USED 0 SITEPROP SLICE_X34Y46 MANUAL_ROUTING SITEPROP SLICE_X34Y46 NAME SLICE_X34Y46 SITEPROP SLICE_X34Y46 NUM_ARCS 153 SITEPROP SLICE_X34Y46 NUM_BELS 32 SITEPROP SLICE_X34Y46 NUM_INPUTS 37 SITEPROP SLICE_X34Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y46 NUM_PINS 50 SITEPROP SLICE_X34Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y46 PROHIBIT 0 SITEPROP SLICE_X34Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y46 RPM_X 93 SITEPROP SLICE_X34Y46 RPM_Y 92 SITEPROP SLICE_X34Y46 SITE_PIPS SITEPROP SLICE_X34Y46 SITE_TYPE SLICEM SITEPROP SLICE_X34Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y47 CLASS site SITEPROP SLICE_X34Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y47 IS_BONDED 0 SITEPROP SLICE_X34Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y47 IS_PAD 0 SITEPROP SLICE_X34Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y47 IS_RESERVED 0 SITEPROP SLICE_X34Y47 IS_TEST 0 SITEPROP SLICE_X34Y47 IS_USED 0 SITEPROP SLICE_X34Y47 MANUAL_ROUTING SITEPROP SLICE_X34Y47 NAME SLICE_X34Y47 SITEPROP SLICE_X34Y47 NUM_ARCS 153 SITEPROP SLICE_X34Y47 NUM_BELS 32 SITEPROP SLICE_X34Y47 NUM_INPUTS 37 SITEPROP SLICE_X34Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y47 NUM_PINS 50 SITEPROP SLICE_X34Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y47 PROHIBIT 0 SITEPROP SLICE_X34Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y47 RPM_X 93 SITEPROP SLICE_X34Y47 RPM_Y 94 SITEPROP SLICE_X34Y47 SITE_PIPS SITEPROP SLICE_X34Y47 SITE_TYPE SLICEM SITEPROP SLICE_X34Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y48 CLASS site SITEPROP SLICE_X34Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y48 IS_BONDED 0 SITEPROP SLICE_X34Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y48 IS_PAD 0 SITEPROP SLICE_X34Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y48 IS_RESERVED 0 SITEPROP SLICE_X34Y48 IS_TEST 0 SITEPROP SLICE_X34Y48 IS_USED 0 SITEPROP SLICE_X34Y48 MANUAL_ROUTING SITEPROP SLICE_X34Y48 NAME SLICE_X34Y48 SITEPROP SLICE_X34Y48 NUM_ARCS 153 SITEPROP SLICE_X34Y48 NUM_BELS 32 SITEPROP SLICE_X34Y48 NUM_INPUTS 37 SITEPROP SLICE_X34Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y48 NUM_PINS 50 SITEPROP SLICE_X34Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y48 PROHIBIT 0 SITEPROP SLICE_X34Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y48 RPM_X 93 SITEPROP SLICE_X34Y48 RPM_Y 96 SITEPROP SLICE_X34Y48 SITE_PIPS SITEPROP SLICE_X34Y48 SITE_TYPE SLICEM SITEPROP SLICE_X34Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y49 CLASS site SITEPROP SLICE_X34Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X34Y49 IS_BONDED 0 SITEPROP SLICE_X34Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y49 IS_PAD 0 SITEPROP SLICE_X34Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y49 IS_RESERVED 0 SITEPROP SLICE_X34Y49 IS_TEST 0 SITEPROP SLICE_X34Y49 IS_USED 0 SITEPROP SLICE_X34Y49 MANUAL_ROUTING SITEPROP SLICE_X34Y49 NAME SLICE_X34Y49 SITEPROP SLICE_X34Y49 NUM_ARCS 153 SITEPROP SLICE_X34Y49 NUM_BELS 32 SITEPROP SLICE_X34Y49 NUM_INPUTS 37 SITEPROP SLICE_X34Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y49 NUM_PINS 50 SITEPROP SLICE_X34Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y49 PROHIBIT 0 SITEPROP SLICE_X34Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y49 RPM_X 93 SITEPROP SLICE_X34Y49 RPM_Y 98 SITEPROP SLICE_X34Y49 SITE_PIPS SITEPROP SLICE_X34Y49 SITE_TYPE SLICEM SITEPROP SLICE_X34Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y50 CLASS site SITEPROP SLICE_X34Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y50 IS_BONDED 0 SITEPROP SLICE_X34Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y50 IS_PAD 0 SITEPROP SLICE_X34Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y50 IS_RESERVED 0 SITEPROP SLICE_X34Y50 IS_TEST 0 SITEPROP SLICE_X34Y50 IS_USED 0 SITEPROP SLICE_X34Y50 MANUAL_ROUTING SITEPROP SLICE_X34Y50 NAME SLICE_X34Y50 SITEPROP SLICE_X34Y50 NUM_ARCS 153 SITEPROP SLICE_X34Y50 NUM_BELS 32 SITEPROP SLICE_X34Y50 NUM_INPUTS 37 SITEPROP SLICE_X34Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y50 NUM_PINS 50 SITEPROP SLICE_X34Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y50 PROHIBIT 0 SITEPROP SLICE_X34Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y50 RPM_X 93 SITEPROP SLICE_X34Y50 RPM_Y 100 SITEPROP SLICE_X34Y50 SITE_PIPS SITEPROP SLICE_X34Y50 SITE_TYPE SLICEM SITEPROP SLICE_X34Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y51 CLASS site SITEPROP SLICE_X34Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y51 IS_BONDED 0 SITEPROP SLICE_X34Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y51 IS_PAD 0 SITEPROP SLICE_X34Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y51 IS_RESERVED 0 SITEPROP SLICE_X34Y51 IS_TEST 0 SITEPROP SLICE_X34Y51 IS_USED 0 SITEPROP SLICE_X34Y51 MANUAL_ROUTING SITEPROP SLICE_X34Y51 NAME SLICE_X34Y51 SITEPROP SLICE_X34Y51 NUM_ARCS 153 SITEPROP SLICE_X34Y51 NUM_BELS 32 SITEPROP SLICE_X34Y51 NUM_INPUTS 37 SITEPROP SLICE_X34Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y51 NUM_PINS 50 SITEPROP SLICE_X34Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y51 PROHIBIT 0 SITEPROP SLICE_X34Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y51 RPM_X 93 SITEPROP SLICE_X34Y51 RPM_Y 102 SITEPROP SLICE_X34Y51 SITE_PIPS SITEPROP SLICE_X34Y51 SITE_TYPE SLICEM SITEPROP SLICE_X34Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y52 CLASS site SITEPROP SLICE_X34Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y52 IS_BONDED 0 SITEPROP SLICE_X34Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y52 IS_PAD 0 SITEPROP SLICE_X34Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y52 IS_RESERVED 0 SITEPROP SLICE_X34Y52 IS_TEST 0 SITEPROP SLICE_X34Y52 IS_USED 0 SITEPROP SLICE_X34Y52 MANUAL_ROUTING SITEPROP SLICE_X34Y52 NAME SLICE_X34Y52 SITEPROP SLICE_X34Y52 NUM_ARCS 153 SITEPROP SLICE_X34Y52 NUM_BELS 32 SITEPROP SLICE_X34Y52 NUM_INPUTS 37 SITEPROP SLICE_X34Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y52 NUM_PINS 50 SITEPROP SLICE_X34Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y52 PROHIBIT 0 SITEPROP SLICE_X34Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y52 RPM_X 93 SITEPROP SLICE_X34Y52 RPM_Y 104 SITEPROP SLICE_X34Y52 SITE_PIPS SITEPROP SLICE_X34Y52 SITE_TYPE SLICEM SITEPROP SLICE_X34Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y53 CLASS site SITEPROP SLICE_X34Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y53 IS_BONDED 0 SITEPROP SLICE_X34Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y53 IS_PAD 0 SITEPROP SLICE_X34Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y53 IS_RESERVED 0 SITEPROP SLICE_X34Y53 IS_TEST 0 SITEPROP SLICE_X34Y53 IS_USED 0 SITEPROP SLICE_X34Y53 MANUAL_ROUTING SITEPROP SLICE_X34Y53 NAME SLICE_X34Y53 SITEPROP SLICE_X34Y53 NUM_ARCS 153 SITEPROP SLICE_X34Y53 NUM_BELS 32 SITEPROP SLICE_X34Y53 NUM_INPUTS 37 SITEPROP SLICE_X34Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y53 NUM_PINS 50 SITEPROP SLICE_X34Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y53 PROHIBIT 0 SITEPROP SLICE_X34Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y53 RPM_X 93 SITEPROP SLICE_X34Y53 RPM_Y 106 SITEPROP SLICE_X34Y53 SITE_PIPS SITEPROP SLICE_X34Y53 SITE_TYPE SLICEM SITEPROP SLICE_X34Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y54 CLASS site SITEPROP SLICE_X34Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y54 IS_BONDED 0 SITEPROP SLICE_X34Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y54 IS_PAD 0 SITEPROP SLICE_X34Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y54 IS_RESERVED 0 SITEPROP SLICE_X34Y54 IS_TEST 0 SITEPROP SLICE_X34Y54 IS_USED 0 SITEPROP SLICE_X34Y54 MANUAL_ROUTING SITEPROP SLICE_X34Y54 NAME SLICE_X34Y54 SITEPROP SLICE_X34Y54 NUM_ARCS 153 SITEPROP SLICE_X34Y54 NUM_BELS 32 SITEPROP SLICE_X34Y54 NUM_INPUTS 37 SITEPROP SLICE_X34Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y54 NUM_PINS 50 SITEPROP SLICE_X34Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y54 PROHIBIT 0 SITEPROP SLICE_X34Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y54 RPM_X 93 SITEPROP SLICE_X34Y54 RPM_Y 108 SITEPROP SLICE_X34Y54 SITE_PIPS SITEPROP SLICE_X34Y54 SITE_TYPE SLICEM SITEPROP SLICE_X34Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y55 CLASS site SITEPROP SLICE_X34Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y55 IS_BONDED 0 SITEPROP SLICE_X34Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y55 IS_PAD 0 SITEPROP SLICE_X34Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y55 IS_RESERVED 0 SITEPROP SLICE_X34Y55 IS_TEST 0 SITEPROP SLICE_X34Y55 IS_USED 0 SITEPROP SLICE_X34Y55 MANUAL_ROUTING SITEPROP SLICE_X34Y55 NAME SLICE_X34Y55 SITEPROP SLICE_X34Y55 NUM_ARCS 153 SITEPROP SLICE_X34Y55 NUM_BELS 32 SITEPROP SLICE_X34Y55 NUM_INPUTS 37 SITEPROP SLICE_X34Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y55 NUM_PINS 50 SITEPROP SLICE_X34Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y55 PROHIBIT 0 SITEPROP SLICE_X34Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y55 RPM_X 93 SITEPROP SLICE_X34Y55 RPM_Y 110 SITEPROP SLICE_X34Y55 SITE_PIPS SITEPROP SLICE_X34Y55 SITE_TYPE SLICEM SITEPROP SLICE_X34Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y56 CLASS site SITEPROP SLICE_X34Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y56 IS_BONDED 0 SITEPROP SLICE_X34Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y56 IS_PAD 0 SITEPROP SLICE_X34Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y56 IS_RESERVED 0 SITEPROP SLICE_X34Y56 IS_TEST 0 SITEPROP SLICE_X34Y56 IS_USED 0 SITEPROP SLICE_X34Y56 MANUAL_ROUTING SITEPROP SLICE_X34Y56 NAME SLICE_X34Y56 SITEPROP SLICE_X34Y56 NUM_ARCS 153 SITEPROP SLICE_X34Y56 NUM_BELS 32 SITEPROP SLICE_X34Y56 NUM_INPUTS 37 SITEPROP SLICE_X34Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y56 NUM_PINS 50 SITEPROP SLICE_X34Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y56 PROHIBIT 0 SITEPROP SLICE_X34Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y56 RPM_X 93 SITEPROP SLICE_X34Y56 RPM_Y 112 SITEPROP SLICE_X34Y56 SITE_PIPS SITEPROP SLICE_X34Y56 SITE_TYPE SLICEM SITEPROP SLICE_X34Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y57 CLASS site SITEPROP SLICE_X34Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y57 IS_BONDED 0 SITEPROP SLICE_X34Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y57 IS_PAD 0 SITEPROP SLICE_X34Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y57 IS_RESERVED 0 SITEPROP SLICE_X34Y57 IS_TEST 0 SITEPROP SLICE_X34Y57 IS_USED 0 SITEPROP SLICE_X34Y57 MANUAL_ROUTING SITEPROP SLICE_X34Y57 NAME SLICE_X34Y57 SITEPROP SLICE_X34Y57 NUM_ARCS 153 SITEPROP SLICE_X34Y57 NUM_BELS 32 SITEPROP SLICE_X34Y57 NUM_INPUTS 37 SITEPROP SLICE_X34Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y57 NUM_PINS 50 SITEPROP SLICE_X34Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y57 PROHIBIT 0 SITEPROP SLICE_X34Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y57 RPM_X 93 SITEPROP SLICE_X34Y57 RPM_Y 114 SITEPROP SLICE_X34Y57 SITE_PIPS SITEPROP SLICE_X34Y57 SITE_TYPE SLICEM SITEPROP SLICE_X34Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y58 CLASS site SITEPROP SLICE_X34Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y58 IS_BONDED 0 SITEPROP SLICE_X34Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y58 IS_PAD 0 SITEPROP SLICE_X34Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y58 IS_RESERVED 0 SITEPROP SLICE_X34Y58 IS_TEST 0 SITEPROP SLICE_X34Y58 IS_USED 0 SITEPROP SLICE_X34Y58 MANUAL_ROUTING SITEPROP SLICE_X34Y58 NAME SLICE_X34Y58 SITEPROP SLICE_X34Y58 NUM_ARCS 153 SITEPROP SLICE_X34Y58 NUM_BELS 32 SITEPROP SLICE_X34Y58 NUM_INPUTS 37 SITEPROP SLICE_X34Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y58 NUM_PINS 50 SITEPROP SLICE_X34Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y58 PROHIBIT 0 SITEPROP SLICE_X34Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y58 RPM_X 93 SITEPROP SLICE_X34Y58 RPM_Y 116 SITEPROP SLICE_X34Y58 SITE_PIPS SITEPROP SLICE_X34Y58 SITE_TYPE SLICEM SITEPROP SLICE_X34Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y59 CLASS site SITEPROP SLICE_X34Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y59 IS_BONDED 0 SITEPROP SLICE_X34Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y59 IS_PAD 0 SITEPROP SLICE_X34Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y59 IS_RESERVED 0 SITEPROP SLICE_X34Y59 IS_TEST 0 SITEPROP SLICE_X34Y59 IS_USED 0 SITEPROP SLICE_X34Y59 MANUAL_ROUTING SITEPROP SLICE_X34Y59 NAME SLICE_X34Y59 SITEPROP SLICE_X34Y59 NUM_ARCS 153 SITEPROP SLICE_X34Y59 NUM_BELS 32 SITEPROP SLICE_X34Y59 NUM_INPUTS 37 SITEPROP SLICE_X34Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y59 NUM_PINS 50 SITEPROP SLICE_X34Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y59 PROHIBIT 0 SITEPROP SLICE_X34Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y59 RPM_X 93 SITEPROP SLICE_X34Y59 RPM_Y 118 SITEPROP SLICE_X34Y59 SITE_PIPS SITEPROP SLICE_X34Y59 SITE_TYPE SLICEM SITEPROP SLICE_X34Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y60 CLASS site SITEPROP SLICE_X34Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y60 IS_BONDED 0 SITEPROP SLICE_X34Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y60 IS_PAD 0 SITEPROP SLICE_X34Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y60 IS_RESERVED 0 SITEPROP SLICE_X34Y60 IS_TEST 0 SITEPROP SLICE_X34Y60 IS_USED 0 SITEPROP SLICE_X34Y60 MANUAL_ROUTING SITEPROP SLICE_X34Y60 NAME SLICE_X34Y60 SITEPROP SLICE_X34Y60 NUM_ARCS 153 SITEPROP SLICE_X34Y60 NUM_BELS 32 SITEPROP SLICE_X34Y60 NUM_INPUTS 37 SITEPROP SLICE_X34Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y60 NUM_PINS 50 SITEPROP SLICE_X34Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y60 PROHIBIT 0 SITEPROP SLICE_X34Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y60 RPM_X 93 SITEPROP SLICE_X34Y60 RPM_Y 120 SITEPROP SLICE_X34Y60 SITE_PIPS SITEPROP SLICE_X34Y60 SITE_TYPE SLICEM SITEPROP SLICE_X34Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y61 CLASS site SITEPROP SLICE_X34Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y61 IS_BONDED 0 SITEPROP SLICE_X34Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y61 IS_PAD 0 SITEPROP SLICE_X34Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y61 IS_RESERVED 0 SITEPROP SLICE_X34Y61 IS_TEST 0 SITEPROP SLICE_X34Y61 IS_USED 0 SITEPROP SLICE_X34Y61 MANUAL_ROUTING SITEPROP SLICE_X34Y61 NAME SLICE_X34Y61 SITEPROP SLICE_X34Y61 NUM_ARCS 153 SITEPROP SLICE_X34Y61 NUM_BELS 32 SITEPROP SLICE_X34Y61 NUM_INPUTS 37 SITEPROP SLICE_X34Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y61 NUM_PINS 50 SITEPROP SLICE_X34Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y61 PROHIBIT 0 SITEPROP SLICE_X34Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y61 RPM_X 93 SITEPROP SLICE_X34Y61 RPM_Y 122 SITEPROP SLICE_X34Y61 SITE_PIPS SITEPROP SLICE_X34Y61 SITE_TYPE SLICEM SITEPROP SLICE_X34Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y62 CLASS site SITEPROP SLICE_X34Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y62 IS_BONDED 0 SITEPROP SLICE_X34Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y62 IS_PAD 0 SITEPROP SLICE_X34Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y62 IS_RESERVED 0 SITEPROP SLICE_X34Y62 IS_TEST 0 SITEPROP SLICE_X34Y62 IS_USED 0 SITEPROP SLICE_X34Y62 MANUAL_ROUTING SITEPROP SLICE_X34Y62 NAME SLICE_X34Y62 SITEPROP SLICE_X34Y62 NUM_ARCS 153 SITEPROP SLICE_X34Y62 NUM_BELS 32 SITEPROP SLICE_X34Y62 NUM_INPUTS 37 SITEPROP SLICE_X34Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y62 NUM_PINS 50 SITEPROP SLICE_X34Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y62 PROHIBIT 0 SITEPROP SLICE_X34Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y62 RPM_X 93 SITEPROP SLICE_X34Y62 RPM_Y 124 SITEPROP SLICE_X34Y62 SITE_PIPS SITEPROP SLICE_X34Y62 SITE_TYPE SLICEM SITEPROP SLICE_X34Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y63 CLASS site SITEPROP SLICE_X34Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y63 IS_BONDED 0 SITEPROP SLICE_X34Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y63 IS_PAD 0 SITEPROP SLICE_X34Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y63 IS_RESERVED 0 SITEPROP SLICE_X34Y63 IS_TEST 0 SITEPROP SLICE_X34Y63 IS_USED 0 SITEPROP SLICE_X34Y63 MANUAL_ROUTING SITEPROP SLICE_X34Y63 NAME SLICE_X34Y63 SITEPROP SLICE_X34Y63 NUM_ARCS 153 SITEPROP SLICE_X34Y63 NUM_BELS 32 SITEPROP SLICE_X34Y63 NUM_INPUTS 37 SITEPROP SLICE_X34Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y63 NUM_PINS 50 SITEPROP SLICE_X34Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y63 PROHIBIT 0 SITEPROP SLICE_X34Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y63 RPM_X 93 SITEPROP SLICE_X34Y63 RPM_Y 126 SITEPROP SLICE_X34Y63 SITE_PIPS SITEPROP SLICE_X34Y63 SITE_TYPE SLICEM SITEPROP SLICE_X34Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y64 CLASS site SITEPROP SLICE_X34Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y64 IS_BONDED 0 SITEPROP SLICE_X34Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y64 IS_PAD 0 SITEPROP SLICE_X34Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y64 IS_RESERVED 0 SITEPROP SLICE_X34Y64 IS_TEST 0 SITEPROP SLICE_X34Y64 IS_USED 0 SITEPROP SLICE_X34Y64 MANUAL_ROUTING SITEPROP SLICE_X34Y64 NAME SLICE_X34Y64 SITEPROP SLICE_X34Y64 NUM_ARCS 153 SITEPROP SLICE_X34Y64 NUM_BELS 32 SITEPROP SLICE_X34Y64 NUM_INPUTS 37 SITEPROP SLICE_X34Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y64 NUM_PINS 50 SITEPROP SLICE_X34Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y64 PROHIBIT 0 SITEPROP SLICE_X34Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y64 RPM_X 93 SITEPROP SLICE_X34Y64 RPM_Y 128 SITEPROP SLICE_X34Y64 SITE_PIPS SITEPROP SLICE_X34Y64 SITE_TYPE SLICEM SITEPROP SLICE_X34Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y65 CLASS site SITEPROP SLICE_X34Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y65 IS_BONDED 0 SITEPROP SLICE_X34Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y65 IS_PAD 0 SITEPROP SLICE_X34Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y65 IS_RESERVED 0 SITEPROP SLICE_X34Y65 IS_TEST 0 SITEPROP SLICE_X34Y65 IS_USED 0 SITEPROP SLICE_X34Y65 MANUAL_ROUTING SITEPROP SLICE_X34Y65 NAME SLICE_X34Y65 SITEPROP SLICE_X34Y65 NUM_ARCS 153 SITEPROP SLICE_X34Y65 NUM_BELS 32 SITEPROP SLICE_X34Y65 NUM_INPUTS 37 SITEPROP SLICE_X34Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y65 NUM_PINS 50 SITEPROP SLICE_X34Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y65 PROHIBIT 0 SITEPROP SLICE_X34Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y65 RPM_X 93 SITEPROP SLICE_X34Y65 RPM_Y 130 SITEPROP SLICE_X34Y65 SITE_PIPS SITEPROP SLICE_X34Y65 SITE_TYPE SLICEM SITEPROP SLICE_X34Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y66 CLASS site SITEPROP SLICE_X34Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y66 IS_BONDED 0 SITEPROP SLICE_X34Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y66 IS_PAD 0 SITEPROP SLICE_X34Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y66 IS_RESERVED 0 SITEPROP SLICE_X34Y66 IS_TEST 0 SITEPROP SLICE_X34Y66 IS_USED 0 SITEPROP SLICE_X34Y66 MANUAL_ROUTING SITEPROP SLICE_X34Y66 NAME SLICE_X34Y66 SITEPROP SLICE_X34Y66 NUM_ARCS 153 SITEPROP SLICE_X34Y66 NUM_BELS 32 SITEPROP SLICE_X34Y66 NUM_INPUTS 37 SITEPROP SLICE_X34Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y66 NUM_PINS 50 SITEPROP SLICE_X34Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y66 PROHIBIT 0 SITEPROP SLICE_X34Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y66 RPM_X 93 SITEPROP SLICE_X34Y66 RPM_Y 132 SITEPROP SLICE_X34Y66 SITE_PIPS SITEPROP SLICE_X34Y66 SITE_TYPE SLICEM SITEPROP SLICE_X34Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y67 CLASS site SITEPROP SLICE_X34Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y67 IS_BONDED 0 SITEPROP SLICE_X34Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y67 IS_PAD 0 SITEPROP SLICE_X34Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y67 IS_RESERVED 0 SITEPROP SLICE_X34Y67 IS_TEST 0 SITEPROP SLICE_X34Y67 IS_USED 0 SITEPROP SLICE_X34Y67 MANUAL_ROUTING SITEPROP SLICE_X34Y67 NAME SLICE_X34Y67 SITEPROP SLICE_X34Y67 NUM_ARCS 153 SITEPROP SLICE_X34Y67 NUM_BELS 32 SITEPROP SLICE_X34Y67 NUM_INPUTS 37 SITEPROP SLICE_X34Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y67 NUM_PINS 50 SITEPROP SLICE_X34Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y67 PROHIBIT 0 SITEPROP SLICE_X34Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y67 RPM_X 93 SITEPROP SLICE_X34Y67 RPM_Y 134 SITEPROP SLICE_X34Y67 SITE_PIPS SITEPROP SLICE_X34Y67 SITE_TYPE SLICEM SITEPROP SLICE_X34Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y68 CLASS site SITEPROP SLICE_X34Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y68 IS_BONDED 0 SITEPROP SLICE_X34Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y68 IS_PAD 0 SITEPROP SLICE_X34Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y68 IS_RESERVED 0 SITEPROP SLICE_X34Y68 IS_TEST 0 SITEPROP SLICE_X34Y68 IS_USED 0 SITEPROP SLICE_X34Y68 MANUAL_ROUTING SITEPROP SLICE_X34Y68 NAME SLICE_X34Y68 SITEPROP SLICE_X34Y68 NUM_ARCS 153 SITEPROP SLICE_X34Y68 NUM_BELS 32 SITEPROP SLICE_X34Y68 NUM_INPUTS 37 SITEPROP SLICE_X34Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y68 NUM_PINS 50 SITEPROP SLICE_X34Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y68 PROHIBIT 0 SITEPROP SLICE_X34Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y68 RPM_X 93 SITEPROP SLICE_X34Y68 RPM_Y 136 SITEPROP SLICE_X34Y68 SITE_PIPS SITEPROP SLICE_X34Y68 SITE_TYPE SLICEM SITEPROP SLICE_X34Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y69 CLASS site SITEPROP SLICE_X34Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y69 IS_BONDED 0 SITEPROP SLICE_X34Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y69 IS_PAD 0 SITEPROP SLICE_X34Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y69 IS_RESERVED 0 SITEPROP SLICE_X34Y69 IS_TEST 0 SITEPROP SLICE_X34Y69 IS_USED 0 SITEPROP SLICE_X34Y69 MANUAL_ROUTING SITEPROP SLICE_X34Y69 NAME SLICE_X34Y69 SITEPROP SLICE_X34Y69 NUM_ARCS 153 SITEPROP SLICE_X34Y69 NUM_BELS 32 SITEPROP SLICE_X34Y69 NUM_INPUTS 37 SITEPROP SLICE_X34Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y69 NUM_PINS 50 SITEPROP SLICE_X34Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y69 PROHIBIT 0 SITEPROP SLICE_X34Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y69 RPM_X 93 SITEPROP SLICE_X34Y69 RPM_Y 138 SITEPROP SLICE_X34Y69 SITE_PIPS SITEPROP SLICE_X34Y69 SITE_TYPE SLICEM SITEPROP SLICE_X34Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y70 CLASS site SITEPROP SLICE_X34Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y70 IS_BONDED 0 SITEPROP SLICE_X34Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y70 IS_PAD 0 SITEPROP SLICE_X34Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y70 IS_RESERVED 0 SITEPROP SLICE_X34Y70 IS_TEST 0 SITEPROP SLICE_X34Y70 IS_USED 0 SITEPROP SLICE_X34Y70 MANUAL_ROUTING SITEPROP SLICE_X34Y70 NAME SLICE_X34Y70 SITEPROP SLICE_X34Y70 NUM_ARCS 153 SITEPROP SLICE_X34Y70 NUM_BELS 32 SITEPROP SLICE_X34Y70 NUM_INPUTS 37 SITEPROP SLICE_X34Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y70 NUM_PINS 50 SITEPROP SLICE_X34Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y70 PROHIBIT 0 SITEPROP SLICE_X34Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y70 RPM_X 93 SITEPROP SLICE_X34Y70 RPM_Y 140 SITEPROP SLICE_X34Y70 SITE_PIPS SITEPROP SLICE_X34Y70 SITE_TYPE SLICEM SITEPROP SLICE_X34Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y71 CLASS site SITEPROP SLICE_X34Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y71 IS_BONDED 0 SITEPROP SLICE_X34Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y71 IS_PAD 0 SITEPROP SLICE_X34Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y71 IS_RESERVED 0 SITEPROP SLICE_X34Y71 IS_TEST 0 SITEPROP SLICE_X34Y71 IS_USED 0 SITEPROP SLICE_X34Y71 MANUAL_ROUTING SITEPROP SLICE_X34Y71 NAME SLICE_X34Y71 SITEPROP SLICE_X34Y71 NUM_ARCS 153 SITEPROP SLICE_X34Y71 NUM_BELS 32 SITEPROP SLICE_X34Y71 NUM_INPUTS 37 SITEPROP SLICE_X34Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y71 NUM_PINS 50 SITEPROP SLICE_X34Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y71 PROHIBIT 0 SITEPROP SLICE_X34Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y71 RPM_X 93 SITEPROP SLICE_X34Y71 RPM_Y 142 SITEPROP SLICE_X34Y71 SITE_PIPS SITEPROP SLICE_X34Y71 SITE_TYPE SLICEM SITEPROP SLICE_X34Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y72 CLASS site SITEPROP SLICE_X34Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y72 IS_BONDED 0 SITEPROP SLICE_X34Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y72 IS_PAD 0 SITEPROP SLICE_X34Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y72 IS_RESERVED 0 SITEPROP SLICE_X34Y72 IS_TEST 0 SITEPROP SLICE_X34Y72 IS_USED 0 SITEPROP SLICE_X34Y72 MANUAL_ROUTING SITEPROP SLICE_X34Y72 NAME SLICE_X34Y72 SITEPROP SLICE_X34Y72 NUM_ARCS 153 SITEPROP SLICE_X34Y72 NUM_BELS 32 SITEPROP SLICE_X34Y72 NUM_INPUTS 37 SITEPROP SLICE_X34Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y72 NUM_PINS 50 SITEPROP SLICE_X34Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y72 PROHIBIT 0 SITEPROP SLICE_X34Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y72 RPM_X 93 SITEPROP SLICE_X34Y72 RPM_Y 144 SITEPROP SLICE_X34Y72 SITE_PIPS SITEPROP SLICE_X34Y72 SITE_TYPE SLICEM SITEPROP SLICE_X34Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y73 CLASS site SITEPROP SLICE_X34Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y73 IS_BONDED 0 SITEPROP SLICE_X34Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y73 IS_PAD 0 SITEPROP SLICE_X34Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y73 IS_RESERVED 0 SITEPROP SLICE_X34Y73 IS_TEST 0 SITEPROP SLICE_X34Y73 IS_USED 0 SITEPROP SLICE_X34Y73 MANUAL_ROUTING SITEPROP SLICE_X34Y73 NAME SLICE_X34Y73 SITEPROP SLICE_X34Y73 NUM_ARCS 153 SITEPROP SLICE_X34Y73 NUM_BELS 32 SITEPROP SLICE_X34Y73 NUM_INPUTS 37 SITEPROP SLICE_X34Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y73 NUM_PINS 50 SITEPROP SLICE_X34Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y73 PROHIBIT 0 SITEPROP SLICE_X34Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y73 RPM_X 93 SITEPROP SLICE_X34Y73 RPM_Y 146 SITEPROP SLICE_X34Y73 SITE_PIPS SITEPROP SLICE_X34Y73 SITE_TYPE SLICEM SITEPROP SLICE_X34Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y74 CLASS site SITEPROP SLICE_X34Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y74 IS_BONDED 0 SITEPROP SLICE_X34Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y74 IS_PAD 0 SITEPROP SLICE_X34Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y74 IS_RESERVED 0 SITEPROP SLICE_X34Y74 IS_TEST 0 SITEPROP SLICE_X34Y74 IS_USED 0 SITEPROP SLICE_X34Y74 MANUAL_ROUTING SITEPROP SLICE_X34Y74 NAME SLICE_X34Y74 SITEPROP SLICE_X34Y74 NUM_ARCS 153 SITEPROP SLICE_X34Y74 NUM_BELS 32 SITEPROP SLICE_X34Y74 NUM_INPUTS 37 SITEPROP SLICE_X34Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y74 NUM_PINS 50 SITEPROP SLICE_X34Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y74 PROHIBIT 0 SITEPROP SLICE_X34Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y74 RPM_X 93 SITEPROP SLICE_X34Y74 RPM_Y 148 SITEPROP SLICE_X34Y74 SITE_PIPS SITEPROP SLICE_X34Y74 SITE_TYPE SLICEM SITEPROP SLICE_X34Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y75 CLASS site SITEPROP SLICE_X34Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y75 IS_BONDED 0 SITEPROP SLICE_X34Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y75 IS_PAD 0 SITEPROP SLICE_X34Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y75 IS_RESERVED 0 SITEPROP SLICE_X34Y75 IS_TEST 0 SITEPROP SLICE_X34Y75 IS_USED 0 SITEPROP SLICE_X34Y75 MANUAL_ROUTING SITEPROP SLICE_X34Y75 NAME SLICE_X34Y75 SITEPROP SLICE_X34Y75 NUM_ARCS 153 SITEPROP SLICE_X34Y75 NUM_BELS 32 SITEPROP SLICE_X34Y75 NUM_INPUTS 37 SITEPROP SLICE_X34Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y75 NUM_PINS 50 SITEPROP SLICE_X34Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y75 PROHIBIT 0 SITEPROP SLICE_X34Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y75 RPM_X 93 SITEPROP SLICE_X34Y75 RPM_Y 150 SITEPROP SLICE_X34Y75 SITE_PIPS SITEPROP SLICE_X34Y75 SITE_TYPE SLICEM SITEPROP SLICE_X34Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y76 CLASS site SITEPROP SLICE_X34Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y76 IS_BONDED 0 SITEPROP SLICE_X34Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y76 IS_PAD 0 SITEPROP SLICE_X34Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y76 IS_RESERVED 0 SITEPROP SLICE_X34Y76 IS_TEST 0 SITEPROP SLICE_X34Y76 IS_USED 0 SITEPROP SLICE_X34Y76 MANUAL_ROUTING SITEPROP SLICE_X34Y76 NAME SLICE_X34Y76 SITEPROP SLICE_X34Y76 NUM_ARCS 153 SITEPROP SLICE_X34Y76 NUM_BELS 32 SITEPROP SLICE_X34Y76 NUM_INPUTS 37 SITEPROP SLICE_X34Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y76 NUM_PINS 50 SITEPROP SLICE_X34Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y76 PROHIBIT 0 SITEPROP SLICE_X34Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y76 RPM_X 93 SITEPROP SLICE_X34Y76 RPM_Y 152 SITEPROP SLICE_X34Y76 SITE_PIPS SITEPROP SLICE_X34Y76 SITE_TYPE SLICEM SITEPROP SLICE_X34Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y77 CLASS site SITEPROP SLICE_X34Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y77 IS_BONDED 0 SITEPROP SLICE_X34Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y77 IS_PAD 0 SITEPROP SLICE_X34Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y77 IS_RESERVED 0 SITEPROP SLICE_X34Y77 IS_TEST 0 SITEPROP SLICE_X34Y77 IS_USED 0 SITEPROP SLICE_X34Y77 MANUAL_ROUTING SITEPROP SLICE_X34Y77 NAME SLICE_X34Y77 SITEPROP SLICE_X34Y77 NUM_ARCS 153 SITEPROP SLICE_X34Y77 NUM_BELS 32 SITEPROP SLICE_X34Y77 NUM_INPUTS 37 SITEPROP SLICE_X34Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y77 NUM_PINS 50 SITEPROP SLICE_X34Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y77 PROHIBIT 0 SITEPROP SLICE_X34Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y77 RPM_X 93 SITEPROP SLICE_X34Y77 RPM_Y 154 SITEPROP SLICE_X34Y77 SITE_PIPS SITEPROP SLICE_X34Y77 SITE_TYPE SLICEM SITEPROP SLICE_X34Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y78 CLASS site SITEPROP SLICE_X34Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y78 IS_BONDED 0 SITEPROP SLICE_X34Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y78 IS_PAD 0 SITEPROP SLICE_X34Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y78 IS_RESERVED 0 SITEPROP SLICE_X34Y78 IS_TEST 0 SITEPROP SLICE_X34Y78 IS_USED 0 SITEPROP SLICE_X34Y78 MANUAL_ROUTING SITEPROP SLICE_X34Y78 NAME SLICE_X34Y78 SITEPROP SLICE_X34Y78 NUM_ARCS 153 SITEPROP SLICE_X34Y78 NUM_BELS 32 SITEPROP SLICE_X34Y78 NUM_INPUTS 37 SITEPROP SLICE_X34Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y78 NUM_PINS 50 SITEPROP SLICE_X34Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y78 PROHIBIT 0 SITEPROP SLICE_X34Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y78 RPM_X 93 SITEPROP SLICE_X34Y78 RPM_Y 156 SITEPROP SLICE_X34Y78 SITE_PIPS SITEPROP SLICE_X34Y78 SITE_TYPE SLICEM SITEPROP SLICE_X34Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y79 CLASS site SITEPROP SLICE_X34Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y79 IS_BONDED 0 SITEPROP SLICE_X34Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y79 IS_PAD 0 SITEPROP SLICE_X34Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y79 IS_RESERVED 0 SITEPROP SLICE_X34Y79 IS_TEST 0 SITEPROP SLICE_X34Y79 IS_USED 0 SITEPROP SLICE_X34Y79 MANUAL_ROUTING SITEPROP SLICE_X34Y79 NAME SLICE_X34Y79 SITEPROP SLICE_X34Y79 NUM_ARCS 153 SITEPROP SLICE_X34Y79 NUM_BELS 32 SITEPROP SLICE_X34Y79 NUM_INPUTS 37 SITEPROP SLICE_X34Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y79 NUM_PINS 50 SITEPROP SLICE_X34Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y79 PROHIBIT 0 SITEPROP SLICE_X34Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y79 RPM_X 93 SITEPROP SLICE_X34Y79 RPM_Y 158 SITEPROP SLICE_X34Y79 SITE_PIPS SITEPROP SLICE_X34Y79 SITE_TYPE SLICEM SITEPROP SLICE_X34Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y80 CLASS site SITEPROP SLICE_X34Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y80 IS_BONDED 0 SITEPROP SLICE_X34Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y80 IS_PAD 0 SITEPROP SLICE_X34Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y80 IS_RESERVED 0 SITEPROP SLICE_X34Y80 IS_TEST 0 SITEPROP SLICE_X34Y80 IS_USED 0 SITEPROP SLICE_X34Y80 MANUAL_ROUTING SITEPROP SLICE_X34Y80 NAME SLICE_X34Y80 SITEPROP SLICE_X34Y80 NUM_ARCS 153 SITEPROP SLICE_X34Y80 NUM_BELS 32 SITEPROP SLICE_X34Y80 NUM_INPUTS 37 SITEPROP SLICE_X34Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y80 NUM_PINS 50 SITEPROP SLICE_X34Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y80 PROHIBIT 0 SITEPROP SLICE_X34Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y80 RPM_X 93 SITEPROP SLICE_X34Y80 RPM_Y 160 SITEPROP SLICE_X34Y80 SITE_PIPS SITEPROP SLICE_X34Y80 SITE_TYPE SLICEM SITEPROP SLICE_X34Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y81 CLASS site SITEPROP SLICE_X34Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y81 IS_BONDED 0 SITEPROP SLICE_X34Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y81 IS_PAD 0 SITEPROP SLICE_X34Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y81 IS_RESERVED 0 SITEPROP SLICE_X34Y81 IS_TEST 0 SITEPROP SLICE_X34Y81 IS_USED 0 SITEPROP SLICE_X34Y81 MANUAL_ROUTING SITEPROP SLICE_X34Y81 NAME SLICE_X34Y81 SITEPROP SLICE_X34Y81 NUM_ARCS 153 SITEPROP SLICE_X34Y81 NUM_BELS 32 SITEPROP SLICE_X34Y81 NUM_INPUTS 37 SITEPROP SLICE_X34Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y81 NUM_PINS 50 SITEPROP SLICE_X34Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y81 PROHIBIT 0 SITEPROP SLICE_X34Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y81 RPM_X 93 SITEPROP SLICE_X34Y81 RPM_Y 162 SITEPROP SLICE_X34Y81 SITE_PIPS SITEPROP SLICE_X34Y81 SITE_TYPE SLICEM SITEPROP SLICE_X34Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y82 CLASS site SITEPROP SLICE_X34Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y82 IS_BONDED 0 SITEPROP SLICE_X34Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y82 IS_PAD 0 SITEPROP SLICE_X34Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y82 IS_RESERVED 0 SITEPROP SLICE_X34Y82 IS_TEST 0 SITEPROP SLICE_X34Y82 IS_USED 0 SITEPROP SLICE_X34Y82 MANUAL_ROUTING SITEPROP SLICE_X34Y82 NAME SLICE_X34Y82 SITEPROP SLICE_X34Y82 NUM_ARCS 153 SITEPROP SLICE_X34Y82 NUM_BELS 32 SITEPROP SLICE_X34Y82 NUM_INPUTS 37 SITEPROP SLICE_X34Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y82 NUM_PINS 50 SITEPROP SLICE_X34Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y82 PROHIBIT 0 SITEPROP SLICE_X34Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y82 RPM_X 93 SITEPROP SLICE_X34Y82 RPM_Y 164 SITEPROP SLICE_X34Y82 SITE_PIPS SITEPROP SLICE_X34Y82 SITE_TYPE SLICEM SITEPROP SLICE_X34Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y83 CLASS site SITEPROP SLICE_X34Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y83 IS_BONDED 0 SITEPROP SLICE_X34Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y83 IS_PAD 0 SITEPROP SLICE_X34Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y83 IS_RESERVED 0 SITEPROP SLICE_X34Y83 IS_TEST 0 SITEPROP SLICE_X34Y83 IS_USED 0 SITEPROP SLICE_X34Y83 MANUAL_ROUTING SITEPROP SLICE_X34Y83 NAME SLICE_X34Y83 SITEPROP SLICE_X34Y83 NUM_ARCS 153 SITEPROP SLICE_X34Y83 NUM_BELS 32 SITEPROP SLICE_X34Y83 NUM_INPUTS 37 SITEPROP SLICE_X34Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y83 NUM_PINS 50 SITEPROP SLICE_X34Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y83 PROHIBIT 0 SITEPROP SLICE_X34Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y83 RPM_X 93 SITEPROP SLICE_X34Y83 RPM_Y 166 SITEPROP SLICE_X34Y83 SITE_PIPS SITEPROP SLICE_X34Y83 SITE_TYPE SLICEM SITEPROP SLICE_X34Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y84 CLASS site SITEPROP SLICE_X34Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y84 IS_BONDED 0 SITEPROP SLICE_X34Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y84 IS_PAD 0 SITEPROP SLICE_X34Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y84 IS_RESERVED 0 SITEPROP SLICE_X34Y84 IS_TEST 0 SITEPROP SLICE_X34Y84 IS_USED 0 SITEPROP SLICE_X34Y84 MANUAL_ROUTING SITEPROP SLICE_X34Y84 NAME SLICE_X34Y84 SITEPROP SLICE_X34Y84 NUM_ARCS 153 SITEPROP SLICE_X34Y84 NUM_BELS 32 SITEPROP SLICE_X34Y84 NUM_INPUTS 37 SITEPROP SLICE_X34Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y84 NUM_PINS 50 SITEPROP SLICE_X34Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y84 PROHIBIT 0 SITEPROP SLICE_X34Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y84 RPM_X 93 SITEPROP SLICE_X34Y84 RPM_Y 168 SITEPROP SLICE_X34Y84 SITE_PIPS SITEPROP SLICE_X34Y84 SITE_TYPE SLICEM SITEPROP SLICE_X34Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y85 CLASS site SITEPROP SLICE_X34Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y85 IS_BONDED 0 SITEPROP SLICE_X34Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y85 IS_PAD 0 SITEPROP SLICE_X34Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y85 IS_RESERVED 0 SITEPROP SLICE_X34Y85 IS_TEST 0 SITEPROP SLICE_X34Y85 IS_USED 0 SITEPROP SLICE_X34Y85 MANUAL_ROUTING SITEPROP SLICE_X34Y85 NAME SLICE_X34Y85 SITEPROP SLICE_X34Y85 NUM_ARCS 153 SITEPROP SLICE_X34Y85 NUM_BELS 32 SITEPROP SLICE_X34Y85 NUM_INPUTS 37 SITEPROP SLICE_X34Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y85 NUM_PINS 50 SITEPROP SLICE_X34Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y85 PROHIBIT 0 SITEPROP SLICE_X34Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y85 RPM_X 93 SITEPROP SLICE_X34Y85 RPM_Y 170 SITEPROP SLICE_X34Y85 SITE_PIPS SITEPROP SLICE_X34Y85 SITE_TYPE SLICEM SITEPROP SLICE_X34Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y86 CLASS site SITEPROP SLICE_X34Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y86 IS_BONDED 0 SITEPROP SLICE_X34Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y86 IS_PAD 0 SITEPROP SLICE_X34Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y86 IS_RESERVED 0 SITEPROP SLICE_X34Y86 IS_TEST 0 SITEPROP SLICE_X34Y86 IS_USED 0 SITEPROP SLICE_X34Y86 MANUAL_ROUTING SITEPROP SLICE_X34Y86 NAME SLICE_X34Y86 SITEPROP SLICE_X34Y86 NUM_ARCS 153 SITEPROP SLICE_X34Y86 NUM_BELS 32 SITEPROP SLICE_X34Y86 NUM_INPUTS 37 SITEPROP SLICE_X34Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y86 NUM_PINS 50 SITEPROP SLICE_X34Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y86 PROHIBIT 0 SITEPROP SLICE_X34Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y86 RPM_X 93 SITEPROP SLICE_X34Y86 RPM_Y 172 SITEPROP SLICE_X34Y86 SITE_PIPS SITEPROP SLICE_X34Y86 SITE_TYPE SLICEM SITEPROP SLICE_X34Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y87 CLASS site SITEPROP SLICE_X34Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y87 IS_BONDED 0 SITEPROP SLICE_X34Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y87 IS_PAD 0 SITEPROP SLICE_X34Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y87 IS_RESERVED 0 SITEPROP SLICE_X34Y87 IS_TEST 0 SITEPROP SLICE_X34Y87 IS_USED 0 SITEPROP SLICE_X34Y87 MANUAL_ROUTING SITEPROP SLICE_X34Y87 NAME SLICE_X34Y87 SITEPROP SLICE_X34Y87 NUM_ARCS 153 SITEPROP SLICE_X34Y87 NUM_BELS 32 SITEPROP SLICE_X34Y87 NUM_INPUTS 37 SITEPROP SLICE_X34Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y87 NUM_PINS 50 SITEPROP SLICE_X34Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y87 PROHIBIT 0 SITEPROP SLICE_X34Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y87 RPM_X 93 SITEPROP SLICE_X34Y87 RPM_Y 174 SITEPROP SLICE_X34Y87 SITE_PIPS SITEPROP SLICE_X34Y87 SITE_TYPE SLICEM SITEPROP SLICE_X34Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y88 CLASS site SITEPROP SLICE_X34Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y88 IS_BONDED 0 SITEPROP SLICE_X34Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y88 IS_PAD 0 SITEPROP SLICE_X34Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y88 IS_RESERVED 0 SITEPROP SLICE_X34Y88 IS_TEST 0 SITEPROP SLICE_X34Y88 IS_USED 0 SITEPROP SLICE_X34Y88 MANUAL_ROUTING SITEPROP SLICE_X34Y88 NAME SLICE_X34Y88 SITEPROP SLICE_X34Y88 NUM_ARCS 153 SITEPROP SLICE_X34Y88 NUM_BELS 32 SITEPROP SLICE_X34Y88 NUM_INPUTS 37 SITEPROP SLICE_X34Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y88 NUM_PINS 50 SITEPROP SLICE_X34Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y88 PROHIBIT 0 SITEPROP SLICE_X34Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y88 RPM_X 93 SITEPROP SLICE_X34Y88 RPM_Y 176 SITEPROP SLICE_X34Y88 SITE_PIPS SITEPROP SLICE_X34Y88 SITE_TYPE SLICEM SITEPROP SLICE_X34Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y89 CLASS site SITEPROP SLICE_X34Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y89 IS_BONDED 0 SITEPROP SLICE_X34Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y89 IS_PAD 0 SITEPROP SLICE_X34Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y89 IS_RESERVED 0 SITEPROP SLICE_X34Y89 IS_TEST 0 SITEPROP SLICE_X34Y89 IS_USED 0 SITEPROP SLICE_X34Y89 MANUAL_ROUTING SITEPROP SLICE_X34Y89 NAME SLICE_X34Y89 SITEPROP SLICE_X34Y89 NUM_ARCS 153 SITEPROP SLICE_X34Y89 NUM_BELS 32 SITEPROP SLICE_X34Y89 NUM_INPUTS 37 SITEPROP SLICE_X34Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y89 NUM_PINS 50 SITEPROP SLICE_X34Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y89 PROHIBIT 0 SITEPROP SLICE_X34Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y89 RPM_X 93 SITEPROP SLICE_X34Y89 RPM_Y 178 SITEPROP SLICE_X34Y89 SITE_PIPS SITEPROP SLICE_X34Y89 SITE_TYPE SLICEM SITEPROP SLICE_X34Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y90 CLASS site SITEPROP SLICE_X34Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y90 IS_BONDED 0 SITEPROP SLICE_X34Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y90 IS_PAD 0 SITEPROP SLICE_X34Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y90 IS_RESERVED 0 SITEPROP SLICE_X34Y90 IS_TEST 0 SITEPROP SLICE_X34Y90 IS_USED 0 SITEPROP SLICE_X34Y90 MANUAL_ROUTING SITEPROP SLICE_X34Y90 NAME SLICE_X34Y90 SITEPROP SLICE_X34Y90 NUM_ARCS 153 SITEPROP SLICE_X34Y90 NUM_BELS 32 SITEPROP SLICE_X34Y90 NUM_INPUTS 37 SITEPROP SLICE_X34Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y90 NUM_PINS 50 SITEPROP SLICE_X34Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y90 PROHIBIT 0 SITEPROP SLICE_X34Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y90 RPM_X 93 SITEPROP SLICE_X34Y90 RPM_Y 180 SITEPROP SLICE_X34Y90 SITE_PIPS SITEPROP SLICE_X34Y90 SITE_TYPE SLICEM SITEPROP SLICE_X34Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y91 CLASS site SITEPROP SLICE_X34Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y91 IS_BONDED 0 SITEPROP SLICE_X34Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y91 IS_PAD 0 SITEPROP SLICE_X34Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y91 IS_RESERVED 0 SITEPROP SLICE_X34Y91 IS_TEST 0 SITEPROP SLICE_X34Y91 IS_USED 0 SITEPROP SLICE_X34Y91 MANUAL_ROUTING SITEPROP SLICE_X34Y91 NAME SLICE_X34Y91 SITEPROP SLICE_X34Y91 NUM_ARCS 153 SITEPROP SLICE_X34Y91 NUM_BELS 32 SITEPROP SLICE_X34Y91 NUM_INPUTS 37 SITEPROP SLICE_X34Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y91 NUM_PINS 50 SITEPROP SLICE_X34Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y91 PROHIBIT 0 SITEPROP SLICE_X34Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y91 RPM_X 93 SITEPROP SLICE_X34Y91 RPM_Y 182 SITEPROP SLICE_X34Y91 SITE_PIPS SITEPROP SLICE_X34Y91 SITE_TYPE SLICEM SITEPROP SLICE_X34Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y92 CLASS site SITEPROP SLICE_X34Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y92 IS_BONDED 0 SITEPROP SLICE_X34Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y92 IS_PAD 0 SITEPROP SLICE_X34Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y92 IS_RESERVED 0 SITEPROP SLICE_X34Y92 IS_TEST 0 SITEPROP SLICE_X34Y92 IS_USED 0 SITEPROP SLICE_X34Y92 MANUAL_ROUTING SITEPROP SLICE_X34Y92 NAME SLICE_X34Y92 SITEPROP SLICE_X34Y92 NUM_ARCS 153 SITEPROP SLICE_X34Y92 NUM_BELS 32 SITEPROP SLICE_X34Y92 NUM_INPUTS 37 SITEPROP SLICE_X34Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y92 NUM_PINS 50 SITEPROP SLICE_X34Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y92 PROHIBIT 0 SITEPROP SLICE_X34Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y92 RPM_X 93 SITEPROP SLICE_X34Y92 RPM_Y 184 SITEPROP SLICE_X34Y92 SITE_PIPS SITEPROP SLICE_X34Y92 SITE_TYPE SLICEM SITEPROP SLICE_X34Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y93 CLASS site SITEPROP SLICE_X34Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y93 IS_BONDED 0 SITEPROP SLICE_X34Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y93 IS_PAD 0 SITEPROP SLICE_X34Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y93 IS_RESERVED 0 SITEPROP SLICE_X34Y93 IS_TEST 0 SITEPROP SLICE_X34Y93 IS_USED 0 SITEPROP SLICE_X34Y93 MANUAL_ROUTING SITEPROP SLICE_X34Y93 NAME SLICE_X34Y93 SITEPROP SLICE_X34Y93 NUM_ARCS 153 SITEPROP SLICE_X34Y93 NUM_BELS 32 SITEPROP SLICE_X34Y93 NUM_INPUTS 37 SITEPROP SLICE_X34Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y93 NUM_PINS 50 SITEPROP SLICE_X34Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y93 PROHIBIT 0 SITEPROP SLICE_X34Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y93 RPM_X 93 SITEPROP SLICE_X34Y93 RPM_Y 186 SITEPROP SLICE_X34Y93 SITE_PIPS SITEPROP SLICE_X34Y93 SITE_TYPE SLICEM SITEPROP SLICE_X34Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y94 CLASS site SITEPROP SLICE_X34Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y94 IS_BONDED 0 SITEPROP SLICE_X34Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y94 IS_PAD 0 SITEPROP SLICE_X34Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y94 IS_RESERVED 0 SITEPROP SLICE_X34Y94 IS_TEST 0 SITEPROP SLICE_X34Y94 IS_USED 0 SITEPROP SLICE_X34Y94 MANUAL_ROUTING SITEPROP SLICE_X34Y94 NAME SLICE_X34Y94 SITEPROP SLICE_X34Y94 NUM_ARCS 153 SITEPROP SLICE_X34Y94 NUM_BELS 32 SITEPROP SLICE_X34Y94 NUM_INPUTS 37 SITEPROP SLICE_X34Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y94 NUM_PINS 50 SITEPROP SLICE_X34Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y94 PROHIBIT 0 SITEPROP SLICE_X34Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y94 RPM_X 93 SITEPROP SLICE_X34Y94 RPM_Y 188 SITEPROP SLICE_X34Y94 SITE_PIPS SITEPROP SLICE_X34Y94 SITE_TYPE SLICEM SITEPROP SLICE_X34Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y95 CLASS site SITEPROP SLICE_X34Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y95 IS_BONDED 0 SITEPROP SLICE_X34Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y95 IS_PAD 0 SITEPROP SLICE_X34Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y95 IS_RESERVED 0 SITEPROP SLICE_X34Y95 IS_TEST 0 SITEPROP SLICE_X34Y95 IS_USED 0 SITEPROP SLICE_X34Y95 MANUAL_ROUTING SITEPROP SLICE_X34Y95 NAME SLICE_X34Y95 SITEPROP SLICE_X34Y95 NUM_ARCS 153 SITEPROP SLICE_X34Y95 NUM_BELS 32 SITEPROP SLICE_X34Y95 NUM_INPUTS 37 SITEPROP SLICE_X34Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y95 NUM_PINS 50 SITEPROP SLICE_X34Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y95 PROHIBIT 0 SITEPROP SLICE_X34Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y95 RPM_X 93 SITEPROP SLICE_X34Y95 RPM_Y 190 SITEPROP SLICE_X34Y95 SITE_PIPS SITEPROP SLICE_X34Y95 SITE_TYPE SLICEM SITEPROP SLICE_X34Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y96 CLASS site SITEPROP SLICE_X34Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y96 IS_BONDED 0 SITEPROP SLICE_X34Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y96 IS_PAD 0 SITEPROP SLICE_X34Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y96 IS_RESERVED 0 SITEPROP SLICE_X34Y96 IS_TEST 0 SITEPROP SLICE_X34Y96 IS_USED 0 SITEPROP SLICE_X34Y96 MANUAL_ROUTING SITEPROP SLICE_X34Y96 NAME SLICE_X34Y96 SITEPROP SLICE_X34Y96 NUM_ARCS 153 SITEPROP SLICE_X34Y96 NUM_BELS 32 SITEPROP SLICE_X34Y96 NUM_INPUTS 37 SITEPROP SLICE_X34Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y96 NUM_PINS 50 SITEPROP SLICE_X34Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y96 PROHIBIT 0 SITEPROP SLICE_X34Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y96 RPM_X 93 SITEPROP SLICE_X34Y96 RPM_Y 192 SITEPROP SLICE_X34Y96 SITE_PIPS SITEPROP SLICE_X34Y96 SITE_TYPE SLICEM SITEPROP SLICE_X34Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y97 CLASS site SITEPROP SLICE_X34Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y97 IS_BONDED 0 SITEPROP SLICE_X34Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y97 IS_PAD 0 SITEPROP SLICE_X34Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y97 IS_RESERVED 0 SITEPROP SLICE_X34Y97 IS_TEST 0 SITEPROP SLICE_X34Y97 IS_USED 0 SITEPROP SLICE_X34Y97 MANUAL_ROUTING SITEPROP SLICE_X34Y97 NAME SLICE_X34Y97 SITEPROP SLICE_X34Y97 NUM_ARCS 153 SITEPROP SLICE_X34Y97 NUM_BELS 32 SITEPROP SLICE_X34Y97 NUM_INPUTS 37 SITEPROP SLICE_X34Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y97 NUM_PINS 50 SITEPROP SLICE_X34Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y97 PROHIBIT 0 SITEPROP SLICE_X34Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y97 RPM_X 93 SITEPROP SLICE_X34Y97 RPM_Y 194 SITEPROP SLICE_X34Y97 SITE_PIPS SITEPROP SLICE_X34Y97 SITE_TYPE SLICEM SITEPROP SLICE_X34Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y98 CLASS site SITEPROP SLICE_X34Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y98 IS_BONDED 0 SITEPROP SLICE_X34Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y98 IS_PAD 0 SITEPROP SLICE_X34Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y98 IS_RESERVED 0 SITEPROP SLICE_X34Y98 IS_TEST 0 SITEPROP SLICE_X34Y98 IS_USED 0 SITEPROP SLICE_X34Y98 MANUAL_ROUTING SITEPROP SLICE_X34Y98 NAME SLICE_X34Y98 SITEPROP SLICE_X34Y98 NUM_ARCS 153 SITEPROP SLICE_X34Y98 NUM_BELS 32 SITEPROP SLICE_X34Y98 NUM_INPUTS 37 SITEPROP SLICE_X34Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y98 NUM_PINS 50 SITEPROP SLICE_X34Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y98 PROHIBIT 0 SITEPROP SLICE_X34Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y98 RPM_X 93 SITEPROP SLICE_X34Y98 RPM_Y 196 SITEPROP SLICE_X34Y98 SITE_PIPS SITEPROP SLICE_X34Y98 SITE_TYPE SLICEM SITEPROP SLICE_X34Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y99 CLASS site SITEPROP SLICE_X34Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X34Y99 IS_BONDED 0 SITEPROP SLICE_X34Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y99 IS_PAD 0 SITEPROP SLICE_X34Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y99 IS_RESERVED 0 SITEPROP SLICE_X34Y99 IS_TEST 0 SITEPROP SLICE_X34Y99 IS_USED 0 SITEPROP SLICE_X34Y99 MANUAL_ROUTING SITEPROP SLICE_X34Y99 NAME SLICE_X34Y99 SITEPROP SLICE_X34Y99 NUM_ARCS 153 SITEPROP SLICE_X34Y99 NUM_BELS 32 SITEPROP SLICE_X34Y99 NUM_INPUTS 37 SITEPROP SLICE_X34Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y99 NUM_PINS 50 SITEPROP SLICE_X34Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y99 PROHIBIT 0 SITEPROP SLICE_X34Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y99 RPM_X 93 SITEPROP SLICE_X34Y99 RPM_Y 198 SITEPROP SLICE_X34Y99 SITE_PIPS SITEPROP SLICE_X34Y99 SITE_TYPE SLICEM SITEPROP SLICE_X34Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y100 CLASS site SITEPROP SLICE_X34Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y100 IS_BONDED 0 SITEPROP SLICE_X34Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y100 IS_PAD 0 SITEPROP SLICE_X34Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y100 IS_RESERVED 0 SITEPROP SLICE_X34Y100 IS_TEST 0 SITEPROP SLICE_X34Y100 IS_USED 0 SITEPROP SLICE_X34Y100 MANUAL_ROUTING SITEPROP SLICE_X34Y100 NAME SLICE_X34Y100 SITEPROP SLICE_X34Y100 NUM_ARCS 153 SITEPROP SLICE_X34Y100 NUM_BELS 32 SITEPROP SLICE_X34Y100 NUM_INPUTS 37 SITEPROP SLICE_X34Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y100 NUM_PINS 50 SITEPROP SLICE_X34Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y100 PROHIBIT 0 SITEPROP SLICE_X34Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y100 RPM_X 93 SITEPROP SLICE_X34Y100 RPM_Y 200 SITEPROP SLICE_X34Y100 SITE_PIPS SITEPROP SLICE_X34Y100 SITE_TYPE SLICEM SITEPROP SLICE_X34Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y101 CLASS site SITEPROP SLICE_X34Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y101 IS_BONDED 0 SITEPROP SLICE_X34Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y101 IS_PAD 0 SITEPROP SLICE_X34Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y101 IS_RESERVED 0 SITEPROP SLICE_X34Y101 IS_TEST 0 SITEPROP SLICE_X34Y101 IS_USED 0 SITEPROP SLICE_X34Y101 MANUAL_ROUTING SITEPROP SLICE_X34Y101 NAME SLICE_X34Y101 SITEPROP SLICE_X34Y101 NUM_ARCS 153 SITEPROP SLICE_X34Y101 NUM_BELS 32 SITEPROP SLICE_X34Y101 NUM_INPUTS 37 SITEPROP SLICE_X34Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y101 NUM_PINS 50 SITEPROP SLICE_X34Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y101 PROHIBIT 0 SITEPROP SLICE_X34Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y101 RPM_X 93 SITEPROP SLICE_X34Y101 RPM_Y 202 SITEPROP SLICE_X34Y101 SITE_PIPS SITEPROP SLICE_X34Y101 SITE_TYPE SLICEM SITEPROP SLICE_X34Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y102 CLASS site SITEPROP SLICE_X34Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y102 IS_BONDED 0 SITEPROP SLICE_X34Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y102 IS_PAD 0 SITEPROP SLICE_X34Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y102 IS_RESERVED 0 SITEPROP SLICE_X34Y102 IS_TEST 0 SITEPROP SLICE_X34Y102 IS_USED 0 SITEPROP SLICE_X34Y102 MANUAL_ROUTING SITEPROP SLICE_X34Y102 NAME SLICE_X34Y102 SITEPROP SLICE_X34Y102 NUM_ARCS 153 SITEPROP SLICE_X34Y102 NUM_BELS 32 SITEPROP SLICE_X34Y102 NUM_INPUTS 37 SITEPROP SLICE_X34Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y102 NUM_PINS 50 SITEPROP SLICE_X34Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y102 PROHIBIT 0 SITEPROP SLICE_X34Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y102 RPM_X 93 SITEPROP SLICE_X34Y102 RPM_Y 204 SITEPROP SLICE_X34Y102 SITE_PIPS SITEPROP SLICE_X34Y102 SITE_TYPE SLICEM SITEPROP SLICE_X34Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y103 CLASS site SITEPROP SLICE_X34Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y103 IS_BONDED 0 SITEPROP SLICE_X34Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y103 IS_PAD 0 SITEPROP SLICE_X34Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y103 IS_RESERVED 0 SITEPROP SLICE_X34Y103 IS_TEST 0 SITEPROP SLICE_X34Y103 IS_USED 0 SITEPROP SLICE_X34Y103 MANUAL_ROUTING SITEPROP SLICE_X34Y103 NAME SLICE_X34Y103 SITEPROP SLICE_X34Y103 NUM_ARCS 153 SITEPROP SLICE_X34Y103 NUM_BELS 32 SITEPROP SLICE_X34Y103 NUM_INPUTS 37 SITEPROP SLICE_X34Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y103 NUM_PINS 50 SITEPROP SLICE_X34Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y103 PROHIBIT 0 SITEPROP SLICE_X34Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y103 RPM_X 93 SITEPROP SLICE_X34Y103 RPM_Y 206 SITEPROP SLICE_X34Y103 SITE_PIPS SITEPROP SLICE_X34Y103 SITE_TYPE SLICEM SITEPROP SLICE_X34Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y104 CLASS site SITEPROP SLICE_X34Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y104 IS_BONDED 0 SITEPROP SLICE_X34Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y104 IS_PAD 0 SITEPROP SLICE_X34Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y104 IS_RESERVED 0 SITEPROP SLICE_X34Y104 IS_TEST 0 SITEPROP SLICE_X34Y104 IS_USED 0 SITEPROP SLICE_X34Y104 MANUAL_ROUTING SITEPROP SLICE_X34Y104 NAME SLICE_X34Y104 SITEPROP SLICE_X34Y104 NUM_ARCS 153 SITEPROP SLICE_X34Y104 NUM_BELS 32 SITEPROP SLICE_X34Y104 NUM_INPUTS 37 SITEPROP SLICE_X34Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y104 NUM_PINS 50 SITEPROP SLICE_X34Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y104 PROHIBIT 0 SITEPROP SLICE_X34Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y104 RPM_X 93 SITEPROP SLICE_X34Y104 RPM_Y 208 SITEPROP SLICE_X34Y104 SITE_PIPS SITEPROP SLICE_X34Y104 SITE_TYPE SLICEM SITEPROP SLICE_X34Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y105 CLASS site SITEPROP SLICE_X34Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y105 IS_BONDED 0 SITEPROP SLICE_X34Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y105 IS_PAD 0 SITEPROP SLICE_X34Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y105 IS_RESERVED 0 SITEPROP SLICE_X34Y105 IS_TEST 0 SITEPROP SLICE_X34Y105 IS_USED 0 SITEPROP SLICE_X34Y105 MANUAL_ROUTING SITEPROP SLICE_X34Y105 NAME SLICE_X34Y105 SITEPROP SLICE_X34Y105 NUM_ARCS 153 SITEPROP SLICE_X34Y105 NUM_BELS 32 SITEPROP SLICE_X34Y105 NUM_INPUTS 37 SITEPROP SLICE_X34Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y105 NUM_PINS 50 SITEPROP SLICE_X34Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y105 PROHIBIT 0 SITEPROP SLICE_X34Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y105 RPM_X 93 SITEPROP SLICE_X34Y105 RPM_Y 210 SITEPROP SLICE_X34Y105 SITE_PIPS SITEPROP SLICE_X34Y105 SITE_TYPE SLICEM SITEPROP SLICE_X34Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y106 CLASS site SITEPROP SLICE_X34Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y106 IS_BONDED 0 SITEPROP SLICE_X34Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y106 IS_PAD 0 SITEPROP SLICE_X34Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y106 IS_RESERVED 0 SITEPROP SLICE_X34Y106 IS_TEST 0 SITEPROP SLICE_X34Y106 IS_USED 0 SITEPROP SLICE_X34Y106 MANUAL_ROUTING SITEPROP SLICE_X34Y106 NAME SLICE_X34Y106 SITEPROP SLICE_X34Y106 NUM_ARCS 153 SITEPROP SLICE_X34Y106 NUM_BELS 32 SITEPROP SLICE_X34Y106 NUM_INPUTS 37 SITEPROP SLICE_X34Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y106 NUM_PINS 50 SITEPROP SLICE_X34Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y106 PROHIBIT 0 SITEPROP SLICE_X34Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y106 RPM_X 93 SITEPROP SLICE_X34Y106 RPM_Y 212 SITEPROP SLICE_X34Y106 SITE_PIPS SITEPROP SLICE_X34Y106 SITE_TYPE SLICEM SITEPROP SLICE_X34Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y107 CLASS site SITEPROP SLICE_X34Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y107 IS_BONDED 0 SITEPROP SLICE_X34Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y107 IS_PAD 0 SITEPROP SLICE_X34Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y107 IS_RESERVED 0 SITEPROP SLICE_X34Y107 IS_TEST 0 SITEPROP SLICE_X34Y107 IS_USED 0 SITEPROP SLICE_X34Y107 MANUAL_ROUTING SITEPROP SLICE_X34Y107 NAME SLICE_X34Y107 SITEPROP SLICE_X34Y107 NUM_ARCS 153 SITEPROP SLICE_X34Y107 NUM_BELS 32 SITEPROP SLICE_X34Y107 NUM_INPUTS 37 SITEPROP SLICE_X34Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y107 NUM_PINS 50 SITEPROP SLICE_X34Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y107 PROHIBIT 0 SITEPROP SLICE_X34Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y107 RPM_X 93 SITEPROP SLICE_X34Y107 RPM_Y 214 SITEPROP SLICE_X34Y107 SITE_PIPS SITEPROP SLICE_X34Y107 SITE_TYPE SLICEM SITEPROP SLICE_X34Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y108 CLASS site SITEPROP SLICE_X34Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y108 IS_BONDED 0 SITEPROP SLICE_X34Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y108 IS_PAD 0 SITEPROP SLICE_X34Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y108 IS_RESERVED 0 SITEPROP SLICE_X34Y108 IS_TEST 0 SITEPROP SLICE_X34Y108 IS_USED 0 SITEPROP SLICE_X34Y108 MANUAL_ROUTING SITEPROP SLICE_X34Y108 NAME SLICE_X34Y108 SITEPROP SLICE_X34Y108 NUM_ARCS 153 SITEPROP SLICE_X34Y108 NUM_BELS 32 SITEPROP SLICE_X34Y108 NUM_INPUTS 37 SITEPROP SLICE_X34Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y108 NUM_PINS 50 SITEPROP SLICE_X34Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y108 PROHIBIT 0 SITEPROP SLICE_X34Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y108 RPM_X 93 SITEPROP SLICE_X34Y108 RPM_Y 216 SITEPROP SLICE_X34Y108 SITE_PIPS SITEPROP SLICE_X34Y108 SITE_TYPE SLICEM SITEPROP SLICE_X34Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y109 CLASS site SITEPROP SLICE_X34Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y109 IS_BONDED 0 SITEPROP SLICE_X34Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y109 IS_PAD 0 SITEPROP SLICE_X34Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y109 IS_RESERVED 0 SITEPROP SLICE_X34Y109 IS_TEST 0 SITEPROP SLICE_X34Y109 IS_USED 0 SITEPROP SLICE_X34Y109 MANUAL_ROUTING SITEPROP SLICE_X34Y109 NAME SLICE_X34Y109 SITEPROP SLICE_X34Y109 NUM_ARCS 153 SITEPROP SLICE_X34Y109 NUM_BELS 32 SITEPROP SLICE_X34Y109 NUM_INPUTS 37 SITEPROP SLICE_X34Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y109 NUM_PINS 50 SITEPROP SLICE_X34Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y109 PROHIBIT 0 SITEPROP SLICE_X34Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y109 RPM_X 93 SITEPROP SLICE_X34Y109 RPM_Y 218 SITEPROP SLICE_X34Y109 SITE_PIPS SITEPROP SLICE_X34Y109 SITE_TYPE SLICEM SITEPROP SLICE_X34Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y110 CLASS site SITEPROP SLICE_X34Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y110 IS_BONDED 0 SITEPROP SLICE_X34Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y110 IS_PAD 0 SITEPROP SLICE_X34Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y110 IS_RESERVED 0 SITEPROP SLICE_X34Y110 IS_TEST 0 SITEPROP SLICE_X34Y110 IS_USED 0 SITEPROP SLICE_X34Y110 MANUAL_ROUTING SITEPROP SLICE_X34Y110 NAME SLICE_X34Y110 SITEPROP SLICE_X34Y110 NUM_ARCS 153 SITEPROP SLICE_X34Y110 NUM_BELS 32 SITEPROP SLICE_X34Y110 NUM_INPUTS 37 SITEPROP SLICE_X34Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y110 NUM_PINS 50 SITEPROP SLICE_X34Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y110 PROHIBIT 0 SITEPROP SLICE_X34Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y110 RPM_X 93 SITEPROP SLICE_X34Y110 RPM_Y 220 SITEPROP SLICE_X34Y110 SITE_PIPS SITEPROP SLICE_X34Y110 SITE_TYPE SLICEM SITEPROP SLICE_X34Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y111 CLASS site SITEPROP SLICE_X34Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y111 IS_BONDED 0 SITEPROP SLICE_X34Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y111 IS_PAD 0 SITEPROP SLICE_X34Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y111 IS_RESERVED 0 SITEPROP SLICE_X34Y111 IS_TEST 0 SITEPROP SLICE_X34Y111 IS_USED 0 SITEPROP SLICE_X34Y111 MANUAL_ROUTING SITEPROP SLICE_X34Y111 NAME SLICE_X34Y111 SITEPROP SLICE_X34Y111 NUM_ARCS 153 SITEPROP SLICE_X34Y111 NUM_BELS 32 SITEPROP SLICE_X34Y111 NUM_INPUTS 37 SITEPROP SLICE_X34Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y111 NUM_PINS 50 SITEPROP SLICE_X34Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y111 PROHIBIT 0 SITEPROP SLICE_X34Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y111 RPM_X 93 SITEPROP SLICE_X34Y111 RPM_Y 222 SITEPROP SLICE_X34Y111 SITE_PIPS SITEPROP SLICE_X34Y111 SITE_TYPE SLICEM SITEPROP SLICE_X34Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y112 CLASS site SITEPROP SLICE_X34Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y112 IS_BONDED 0 SITEPROP SLICE_X34Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y112 IS_PAD 0 SITEPROP SLICE_X34Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y112 IS_RESERVED 0 SITEPROP SLICE_X34Y112 IS_TEST 0 SITEPROP SLICE_X34Y112 IS_USED 0 SITEPROP SLICE_X34Y112 MANUAL_ROUTING SITEPROP SLICE_X34Y112 NAME SLICE_X34Y112 SITEPROP SLICE_X34Y112 NUM_ARCS 153 SITEPROP SLICE_X34Y112 NUM_BELS 32 SITEPROP SLICE_X34Y112 NUM_INPUTS 37 SITEPROP SLICE_X34Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y112 NUM_PINS 50 SITEPROP SLICE_X34Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y112 PROHIBIT 0 SITEPROP SLICE_X34Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y112 RPM_X 93 SITEPROP SLICE_X34Y112 RPM_Y 224 SITEPROP SLICE_X34Y112 SITE_PIPS SITEPROP SLICE_X34Y112 SITE_TYPE SLICEM SITEPROP SLICE_X34Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y113 CLASS site SITEPROP SLICE_X34Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y113 IS_BONDED 0 SITEPROP SLICE_X34Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y113 IS_PAD 0 SITEPROP SLICE_X34Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y113 IS_RESERVED 0 SITEPROP SLICE_X34Y113 IS_TEST 0 SITEPROP SLICE_X34Y113 IS_USED 0 SITEPROP SLICE_X34Y113 MANUAL_ROUTING SITEPROP SLICE_X34Y113 NAME SLICE_X34Y113 SITEPROP SLICE_X34Y113 NUM_ARCS 153 SITEPROP SLICE_X34Y113 NUM_BELS 32 SITEPROP SLICE_X34Y113 NUM_INPUTS 37 SITEPROP SLICE_X34Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y113 NUM_PINS 50 SITEPROP SLICE_X34Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y113 PROHIBIT 0 SITEPROP SLICE_X34Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y113 RPM_X 93 SITEPROP SLICE_X34Y113 RPM_Y 226 SITEPROP SLICE_X34Y113 SITE_PIPS SITEPROP SLICE_X34Y113 SITE_TYPE SLICEM SITEPROP SLICE_X34Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y114 CLASS site SITEPROP SLICE_X34Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y114 IS_BONDED 0 SITEPROP SLICE_X34Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y114 IS_PAD 0 SITEPROP SLICE_X34Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y114 IS_RESERVED 0 SITEPROP SLICE_X34Y114 IS_TEST 0 SITEPROP SLICE_X34Y114 IS_USED 0 SITEPROP SLICE_X34Y114 MANUAL_ROUTING SITEPROP SLICE_X34Y114 NAME SLICE_X34Y114 SITEPROP SLICE_X34Y114 NUM_ARCS 153 SITEPROP SLICE_X34Y114 NUM_BELS 32 SITEPROP SLICE_X34Y114 NUM_INPUTS 37 SITEPROP SLICE_X34Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y114 NUM_PINS 50 SITEPROP SLICE_X34Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y114 PROHIBIT 0 SITEPROP SLICE_X34Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y114 RPM_X 93 SITEPROP SLICE_X34Y114 RPM_Y 228 SITEPROP SLICE_X34Y114 SITE_PIPS SITEPROP SLICE_X34Y114 SITE_TYPE SLICEM SITEPROP SLICE_X34Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y115 CLASS site SITEPROP SLICE_X34Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y115 IS_BONDED 0 SITEPROP SLICE_X34Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y115 IS_PAD 0 SITEPROP SLICE_X34Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y115 IS_RESERVED 0 SITEPROP SLICE_X34Y115 IS_TEST 0 SITEPROP SLICE_X34Y115 IS_USED 0 SITEPROP SLICE_X34Y115 MANUAL_ROUTING SITEPROP SLICE_X34Y115 NAME SLICE_X34Y115 SITEPROP SLICE_X34Y115 NUM_ARCS 153 SITEPROP SLICE_X34Y115 NUM_BELS 32 SITEPROP SLICE_X34Y115 NUM_INPUTS 37 SITEPROP SLICE_X34Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y115 NUM_PINS 50 SITEPROP SLICE_X34Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y115 PROHIBIT 0 SITEPROP SLICE_X34Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y115 RPM_X 93 SITEPROP SLICE_X34Y115 RPM_Y 230 SITEPROP SLICE_X34Y115 SITE_PIPS SITEPROP SLICE_X34Y115 SITE_TYPE SLICEM SITEPROP SLICE_X34Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y116 CLASS site SITEPROP SLICE_X34Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y116 IS_BONDED 0 SITEPROP SLICE_X34Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y116 IS_PAD 0 SITEPROP SLICE_X34Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y116 IS_RESERVED 0 SITEPROP SLICE_X34Y116 IS_TEST 0 SITEPROP SLICE_X34Y116 IS_USED 0 SITEPROP SLICE_X34Y116 MANUAL_ROUTING SITEPROP SLICE_X34Y116 NAME SLICE_X34Y116 SITEPROP SLICE_X34Y116 NUM_ARCS 153 SITEPROP SLICE_X34Y116 NUM_BELS 32 SITEPROP SLICE_X34Y116 NUM_INPUTS 37 SITEPROP SLICE_X34Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y116 NUM_PINS 50 SITEPROP SLICE_X34Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y116 PROHIBIT 0 SITEPROP SLICE_X34Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y116 RPM_X 93 SITEPROP SLICE_X34Y116 RPM_Y 232 SITEPROP SLICE_X34Y116 SITE_PIPS SITEPROP SLICE_X34Y116 SITE_TYPE SLICEM SITEPROP SLICE_X34Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y117 CLASS site SITEPROP SLICE_X34Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y117 IS_BONDED 0 SITEPROP SLICE_X34Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y117 IS_PAD 0 SITEPROP SLICE_X34Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y117 IS_RESERVED 0 SITEPROP SLICE_X34Y117 IS_TEST 0 SITEPROP SLICE_X34Y117 IS_USED 0 SITEPROP SLICE_X34Y117 MANUAL_ROUTING SITEPROP SLICE_X34Y117 NAME SLICE_X34Y117 SITEPROP SLICE_X34Y117 NUM_ARCS 153 SITEPROP SLICE_X34Y117 NUM_BELS 32 SITEPROP SLICE_X34Y117 NUM_INPUTS 37 SITEPROP SLICE_X34Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y117 NUM_PINS 50 SITEPROP SLICE_X34Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y117 PROHIBIT 0 SITEPROP SLICE_X34Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y117 RPM_X 93 SITEPROP SLICE_X34Y117 RPM_Y 234 SITEPROP SLICE_X34Y117 SITE_PIPS SITEPROP SLICE_X34Y117 SITE_TYPE SLICEM SITEPROP SLICE_X34Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y118 CLASS site SITEPROP SLICE_X34Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y118 IS_BONDED 0 SITEPROP SLICE_X34Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y118 IS_PAD 0 SITEPROP SLICE_X34Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y118 IS_RESERVED 0 SITEPROP SLICE_X34Y118 IS_TEST 0 SITEPROP SLICE_X34Y118 IS_USED 0 SITEPROP SLICE_X34Y118 MANUAL_ROUTING SITEPROP SLICE_X34Y118 NAME SLICE_X34Y118 SITEPROP SLICE_X34Y118 NUM_ARCS 153 SITEPROP SLICE_X34Y118 NUM_BELS 32 SITEPROP SLICE_X34Y118 NUM_INPUTS 37 SITEPROP SLICE_X34Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y118 NUM_PINS 50 SITEPROP SLICE_X34Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y118 PROHIBIT 0 SITEPROP SLICE_X34Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y118 RPM_X 93 SITEPROP SLICE_X34Y118 RPM_Y 236 SITEPROP SLICE_X34Y118 SITE_PIPS SITEPROP SLICE_X34Y118 SITE_TYPE SLICEM SITEPROP SLICE_X34Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y119 CLASS site SITEPROP SLICE_X34Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y119 IS_BONDED 0 SITEPROP SLICE_X34Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y119 IS_PAD 0 SITEPROP SLICE_X34Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y119 IS_RESERVED 0 SITEPROP SLICE_X34Y119 IS_TEST 0 SITEPROP SLICE_X34Y119 IS_USED 0 SITEPROP SLICE_X34Y119 MANUAL_ROUTING SITEPROP SLICE_X34Y119 NAME SLICE_X34Y119 SITEPROP SLICE_X34Y119 NUM_ARCS 153 SITEPROP SLICE_X34Y119 NUM_BELS 32 SITEPROP SLICE_X34Y119 NUM_INPUTS 37 SITEPROP SLICE_X34Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y119 NUM_PINS 50 SITEPROP SLICE_X34Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y119 PROHIBIT 0 SITEPROP SLICE_X34Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y119 RPM_X 93 SITEPROP SLICE_X34Y119 RPM_Y 238 SITEPROP SLICE_X34Y119 SITE_PIPS SITEPROP SLICE_X34Y119 SITE_TYPE SLICEM SITEPROP SLICE_X34Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y120 CLASS site SITEPROP SLICE_X34Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y120 IS_BONDED 0 SITEPROP SLICE_X34Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y120 IS_PAD 0 SITEPROP SLICE_X34Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y120 IS_RESERVED 0 SITEPROP SLICE_X34Y120 IS_TEST 0 SITEPROP SLICE_X34Y120 IS_USED 0 SITEPROP SLICE_X34Y120 MANUAL_ROUTING SITEPROP SLICE_X34Y120 NAME SLICE_X34Y120 SITEPROP SLICE_X34Y120 NUM_ARCS 153 SITEPROP SLICE_X34Y120 NUM_BELS 32 SITEPROP SLICE_X34Y120 NUM_INPUTS 37 SITEPROP SLICE_X34Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y120 NUM_PINS 50 SITEPROP SLICE_X34Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y120 PROHIBIT 0 SITEPROP SLICE_X34Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y120 RPM_X 93 SITEPROP SLICE_X34Y120 RPM_Y 240 SITEPROP SLICE_X34Y120 SITE_PIPS SITEPROP SLICE_X34Y120 SITE_TYPE SLICEM SITEPROP SLICE_X34Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y121 CLASS site SITEPROP SLICE_X34Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y121 IS_BONDED 0 SITEPROP SLICE_X34Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y121 IS_PAD 0 SITEPROP SLICE_X34Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y121 IS_RESERVED 0 SITEPROP SLICE_X34Y121 IS_TEST 0 SITEPROP SLICE_X34Y121 IS_USED 0 SITEPROP SLICE_X34Y121 MANUAL_ROUTING SITEPROP SLICE_X34Y121 NAME SLICE_X34Y121 SITEPROP SLICE_X34Y121 NUM_ARCS 153 SITEPROP SLICE_X34Y121 NUM_BELS 32 SITEPROP SLICE_X34Y121 NUM_INPUTS 37 SITEPROP SLICE_X34Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y121 NUM_PINS 50 SITEPROP SLICE_X34Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y121 PROHIBIT 0 SITEPROP SLICE_X34Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y121 RPM_X 93 SITEPROP SLICE_X34Y121 RPM_Y 242 SITEPROP SLICE_X34Y121 SITE_PIPS SITEPROP SLICE_X34Y121 SITE_TYPE SLICEM SITEPROP SLICE_X34Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y122 CLASS site SITEPROP SLICE_X34Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y122 IS_BONDED 0 SITEPROP SLICE_X34Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y122 IS_PAD 0 SITEPROP SLICE_X34Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y122 IS_RESERVED 0 SITEPROP SLICE_X34Y122 IS_TEST 0 SITEPROP SLICE_X34Y122 IS_USED 0 SITEPROP SLICE_X34Y122 MANUAL_ROUTING SITEPROP SLICE_X34Y122 NAME SLICE_X34Y122 SITEPROP SLICE_X34Y122 NUM_ARCS 153 SITEPROP SLICE_X34Y122 NUM_BELS 32 SITEPROP SLICE_X34Y122 NUM_INPUTS 37 SITEPROP SLICE_X34Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y122 NUM_PINS 50 SITEPROP SLICE_X34Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y122 PROHIBIT 0 SITEPROP SLICE_X34Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y122 RPM_X 93 SITEPROP SLICE_X34Y122 RPM_Y 244 SITEPROP SLICE_X34Y122 SITE_PIPS SITEPROP SLICE_X34Y122 SITE_TYPE SLICEM SITEPROP SLICE_X34Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y123 CLASS site SITEPROP SLICE_X34Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y123 IS_BONDED 0 SITEPROP SLICE_X34Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y123 IS_PAD 0 SITEPROP SLICE_X34Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y123 IS_RESERVED 0 SITEPROP SLICE_X34Y123 IS_TEST 0 SITEPROP SLICE_X34Y123 IS_USED 0 SITEPROP SLICE_X34Y123 MANUAL_ROUTING SITEPROP SLICE_X34Y123 NAME SLICE_X34Y123 SITEPROP SLICE_X34Y123 NUM_ARCS 153 SITEPROP SLICE_X34Y123 NUM_BELS 32 SITEPROP SLICE_X34Y123 NUM_INPUTS 37 SITEPROP SLICE_X34Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y123 NUM_PINS 50 SITEPROP SLICE_X34Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y123 PROHIBIT 0 SITEPROP SLICE_X34Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y123 RPM_X 93 SITEPROP SLICE_X34Y123 RPM_Y 246 SITEPROP SLICE_X34Y123 SITE_PIPS SITEPROP SLICE_X34Y123 SITE_TYPE SLICEM SITEPROP SLICE_X34Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y124 CLASS site SITEPROP SLICE_X34Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y124 IS_BONDED 0 SITEPROP SLICE_X34Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y124 IS_PAD 0 SITEPROP SLICE_X34Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y124 IS_RESERVED 0 SITEPROP SLICE_X34Y124 IS_TEST 0 SITEPROP SLICE_X34Y124 IS_USED 0 SITEPROP SLICE_X34Y124 MANUAL_ROUTING SITEPROP SLICE_X34Y124 NAME SLICE_X34Y124 SITEPROP SLICE_X34Y124 NUM_ARCS 153 SITEPROP SLICE_X34Y124 NUM_BELS 32 SITEPROP SLICE_X34Y124 NUM_INPUTS 37 SITEPROP SLICE_X34Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y124 NUM_PINS 50 SITEPROP SLICE_X34Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y124 PROHIBIT 0 SITEPROP SLICE_X34Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y124 RPM_X 93 SITEPROP SLICE_X34Y124 RPM_Y 248 SITEPROP SLICE_X34Y124 SITE_PIPS SITEPROP SLICE_X34Y124 SITE_TYPE SLICEM SITEPROP SLICE_X34Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y125 CLASS site SITEPROP SLICE_X34Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y125 IS_BONDED 0 SITEPROP SLICE_X34Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y125 IS_PAD 0 SITEPROP SLICE_X34Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y125 IS_RESERVED 0 SITEPROP SLICE_X34Y125 IS_TEST 0 SITEPROP SLICE_X34Y125 IS_USED 0 SITEPROP SLICE_X34Y125 MANUAL_ROUTING SITEPROP SLICE_X34Y125 NAME SLICE_X34Y125 SITEPROP SLICE_X34Y125 NUM_ARCS 153 SITEPROP SLICE_X34Y125 NUM_BELS 32 SITEPROP SLICE_X34Y125 NUM_INPUTS 37 SITEPROP SLICE_X34Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y125 NUM_PINS 50 SITEPROP SLICE_X34Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y125 PROHIBIT 0 SITEPROP SLICE_X34Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y125 RPM_X 93 SITEPROP SLICE_X34Y125 RPM_Y 250 SITEPROP SLICE_X34Y125 SITE_PIPS SITEPROP SLICE_X34Y125 SITE_TYPE SLICEM SITEPROP SLICE_X34Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y126 CLASS site SITEPROP SLICE_X34Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y126 IS_BONDED 0 SITEPROP SLICE_X34Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y126 IS_PAD 0 SITEPROP SLICE_X34Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y126 IS_RESERVED 0 SITEPROP SLICE_X34Y126 IS_TEST 0 SITEPROP SLICE_X34Y126 IS_USED 0 SITEPROP SLICE_X34Y126 MANUAL_ROUTING SITEPROP SLICE_X34Y126 NAME SLICE_X34Y126 SITEPROP SLICE_X34Y126 NUM_ARCS 153 SITEPROP SLICE_X34Y126 NUM_BELS 32 SITEPROP SLICE_X34Y126 NUM_INPUTS 37 SITEPROP SLICE_X34Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y126 NUM_PINS 50 SITEPROP SLICE_X34Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y126 PROHIBIT 0 SITEPROP SLICE_X34Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y126 RPM_X 93 SITEPROP SLICE_X34Y126 RPM_Y 252 SITEPROP SLICE_X34Y126 SITE_PIPS SITEPROP SLICE_X34Y126 SITE_TYPE SLICEM SITEPROP SLICE_X34Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y127 CLASS site SITEPROP SLICE_X34Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y127 IS_BONDED 0 SITEPROP SLICE_X34Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y127 IS_PAD 0 SITEPROP SLICE_X34Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y127 IS_RESERVED 0 SITEPROP SLICE_X34Y127 IS_TEST 0 SITEPROP SLICE_X34Y127 IS_USED 0 SITEPROP SLICE_X34Y127 MANUAL_ROUTING SITEPROP SLICE_X34Y127 NAME SLICE_X34Y127 SITEPROP SLICE_X34Y127 NUM_ARCS 153 SITEPROP SLICE_X34Y127 NUM_BELS 32 SITEPROP SLICE_X34Y127 NUM_INPUTS 37 SITEPROP SLICE_X34Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y127 NUM_PINS 50 SITEPROP SLICE_X34Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y127 PROHIBIT 0 SITEPROP SLICE_X34Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y127 RPM_X 93 SITEPROP SLICE_X34Y127 RPM_Y 254 SITEPROP SLICE_X34Y127 SITE_PIPS SITEPROP SLICE_X34Y127 SITE_TYPE SLICEM SITEPROP SLICE_X34Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y128 CLASS site SITEPROP SLICE_X34Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y128 IS_BONDED 0 SITEPROP SLICE_X34Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y128 IS_PAD 0 SITEPROP SLICE_X34Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y128 IS_RESERVED 0 SITEPROP SLICE_X34Y128 IS_TEST 0 SITEPROP SLICE_X34Y128 IS_USED 0 SITEPROP SLICE_X34Y128 MANUAL_ROUTING SITEPROP SLICE_X34Y128 NAME SLICE_X34Y128 SITEPROP SLICE_X34Y128 NUM_ARCS 153 SITEPROP SLICE_X34Y128 NUM_BELS 32 SITEPROP SLICE_X34Y128 NUM_INPUTS 37 SITEPROP SLICE_X34Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y128 NUM_PINS 50 SITEPROP SLICE_X34Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y128 PROHIBIT 0 SITEPROP SLICE_X34Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y128 RPM_X 93 SITEPROP SLICE_X34Y128 RPM_Y 256 SITEPROP SLICE_X34Y128 SITE_PIPS SITEPROP SLICE_X34Y128 SITE_TYPE SLICEM SITEPROP SLICE_X34Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y129 CLASS site SITEPROP SLICE_X34Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y129 IS_BONDED 0 SITEPROP SLICE_X34Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y129 IS_PAD 0 SITEPROP SLICE_X34Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y129 IS_RESERVED 0 SITEPROP SLICE_X34Y129 IS_TEST 0 SITEPROP SLICE_X34Y129 IS_USED 0 SITEPROP SLICE_X34Y129 MANUAL_ROUTING SITEPROP SLICE_X34Y129 NAME SLICE_X34Y129 SITEPROP SLICE_X34Y129 NUM_ARCS 153 SITEPROP SLICE_X34Y129 NUM_BELS 32 SITEPROP SLICE_X34Y129 NUM_INPUTS 37 SITEPROP SLICE_X34Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y129 NUM_PINS 50 SITEPROP SLICE_X34Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y129 PROHIBIT 0 SITEPROP SLICE_X34Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y129 RPM_X 93 SITEPROP SLICE_X34Y129 RPM_Y 258 SITEPROP SLICE_X34Y129 SITE_PIPS SITEPROP SLICE_X34Y129 SITE_TYPE SLICEM SITEPROP SLICE_X34Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y130 CLASS site SITEPROP SLICE_X34Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y130 IS_BONDED 0 SITEPROP SLICE_X34Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y130 IS_PAD 0 SITEPROP SLICE_X34Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y130 IS_RESERVED 0 SITEPROP SLICE_X34Y130 IS_TEST 0 SITEPROP SLICE_X34Y130 IS_USED 0 SITEPROP SLICE_X34Y130 MANUAL_ROUTING SITEPROP SLICE_X34Y130 NAME SLICE_X34Y130 SITEPROP SLICE_X34Y130 NUM_ARCS 153 SITEPROP SLICE_X34Y130 NUM_BELS 32 SITEPROP SLICE_X34Y130 NUM_INPUTS 37 SITEPROP SLICE_X34Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y130 NUM_PINS 50 SITEPROP SLICE_X34Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y130 PROHIBIT 0 SITEPROP SLICE_X34Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y130 RPM_X 93 SITEPROP SLICE_X34Y130 RPM_Y 260 SITEPROP SLICE_X34Y130 SITE_PIPS SITEPROP SLICE_X34Y130 SITE_TYPE SLICEM SITEPROP SLICE_X34Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y131 CLASS site SITEPROP SLICE_X34Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y131 IS_BONDED 0 SITEPROP SLICE_X34Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y131 IS_PAD 0 SITEPROP SLICE_X34Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y131 IS_RESERVED 0 SITEPROP SLICE_X34Y131 IS_TEST 0 SITEPROP SLICE_X34Y131 IS_USED 0 SITEPROP SLICE_X34Y131 MANUAL_ROUTING SITEPROP SLICE_X34Y131 NAME SLICE_X34Y131 SITEPROP SLICE_X34Y131 NUM_ARCS 153 SITEPROP SLICE_X34Y131 NUM_BELS 32 SITEPROP SLICE_X34Y131 NUM_INPUTS 37 SITEPROP SLICE_X34Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y131 NUM_PINS 50 SITEPROP SLICE_X34Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y131 PROHIBIT 0 SITEPROP SLICE_X34Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y131 RPM_X 93 SITEPROP SLICE_X34Y131 RPM_Y 262 SITEPROP SLICE_X34Y131 SITE_PIPS SITEPROP SLICE_X34Y131 SITE_TYPE SLICEM SITEPROP SLICE_X34Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y132 CLASS site SITEPROP SLICE_X34Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y132 IS_BONDED 0 SITEPROP SLICE_X34Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y132 IS_PAD 0 SITEPROP SLICE_X34Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y132 IS_RESERVED 0 SITEPROP SLICE_X34Y132 IS_TEST 0 SITEPROP SLICE_X34Y132 IS_USED 0 SITEPROP SLICE_X34Y132 MANUAL_ROUTING SITEPROP SLICE_X34Y132 NAME SLICE_X34Y132 SITEPROP SLICE_X34Y132 NUM_ARCS 153 SITEPROP SLICE_X34Y132 NUM_BELS 32 SITEPROP SLICE_X34Y132 NUM_INPUTS 37 SITEPROP SLICE_X34Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y132 NUM_PINS 50 SITEPROP SLICE_X34Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y132 PROHIBIT 0 SITEPROP SLICE_X34Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y132 RPM_X 93 SITEPROP SLICE_X34Y132 RPM_Y 264 SITEPROP SLICE_X34Y132 SITE_PIPS SITEPROP SLICE_X34Y132 SITE_TYPE SLICEM SITEPROP SLICE_X34Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y133 CLASS site SITEPROP SLICE_X34Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y133 IS_BONDED 0 SITEPROP SLICE_X34Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y133 IS_PAD 0 SITEPROP SLICE_X34Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y133 IS_RESERVED 0 SITEPROP SLICE_X34Y133 IS_TEST 0 SITEPROP SLICE_X34Y133 IS_USED 0 SITEPROP SLICE_X34Y133 MANUAL_ROUTING SITEPROP SLICE_X34Y133 NAME SLICE_X34Y133 SITEPROP SLICE_X34Y133 NUM_ARCS 153 SITEPROP SLICE_X34Y133 NUM_BELS 32 SITEPROP SLICE_X34Y133 NUM_INPUTS 37 SITEPROP SLICE_X34Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y133 NUM_PINS 50 SITEPROP SLICE_X34Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y133 PROHIBIT 0 SITEPROP SLICE_X34Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y133 RPM_X 93 SITEPROP SLICE_X34Y133 RPM_Y 266 SITEPROP SLICE_X34Y133 SITE_PIPS SITEPROP SLICE_X34Y133 SITE_TYPE SLICEM SITEPROP SLICE_X34Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y134 CLASS site SITEPROP SLICE_X34Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y134 IS_BONDED 0 SITEPROP SLICE_X34Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y134 IS_PAD 0 SITEPROP SLICE_X34Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y134 IS_RESERVED 0 SITEPROP SLICE_X34Y134 IS_TEST 0 SITEPROP SLICE_X34Y134 IS_USED 0 SITEPROP SLICE_X34Y134 MANUAL_ROUTING SITEPROP SLICE_X34Y134 NAME SLICE_X34Y134 SITEPROP SLICE_X34Y134 NUM_ARCS 153 SITEPROP SLICE_X34Y134 NUM_BELS 32 SITEPROP SLICE_X34Y134 NUM_INPUTS 37 SITEPROP SLICE_X34Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y134 NUM_PINS 50 SITEPROP SLICE_X34Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y134 PROHIBIT 0 SITEPROP SLICE_X34Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y134 RPM_X 93 SITEPROP SLICE_X34Y134 RPM_Y 268 SITEPROP SLICE_X34Y134 SITE_PIPS SITEPROP SLICE_X34Y134 SITE_TYPE SLICEM SITEPROP SLICE_X34Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y135 CLASS site SITEPROP SLICE_X34Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y135 IS_BONDED 0 SITEPROP SLICE_X34Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y135 IS_PAD 0 SITEPROP SLICE_X34Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y135 IS_RESERVED 0 SITEPROP SLICE_X34Y135 IS_TEST 0 SITEPROP SLICE_X34Y135 IS_USED 0 SITEPROP SLICE_X34Y135 MANUAL_ROUTING SITEPROP SLICE_X34Y135 NAME SLICE_X34Y135 SITEPROP SLICE_X34Y135 NUM_ARCS 153 SITEPROP SLICE_X34Y135 NUM_BELS 32 SITEPROP SLICE_X34Y135 NUM_INPUTS 37 SITEPROP SLICE_X34Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y135 NUM_PINS 50 SITEPROP SLICE_X34Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y135 PROHIBIT 0 SITEPROP SLICE_X34Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y135 RPM_X 93 SITEPROP SLICE_X34Y135 RPM_Y 270 SITEPROP SLICE_X34Y135 SITE_PIPS SITEPROP SLICE_X34Y135 SITE_TYPE SLICEM SITEPROP SLICE_X34Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y136 CLASS site SITEPROP SLICE_X34Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y136 IS_BONDED 0 SITEPROP SLICE_X34Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y136 IS_PAD 0 SITEPROP SLICE_X34Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y136 IS_RESERVED 0 SITEPROP SLICE_X34Y136 IS_TEST 0 SITEPROP SLICE_X34Y136 IS_USED 0 SITEPROP SLICE_X34Y136 MANUAL_ROUTING SITEPROP SLICE_X34Y136 NAME SLICE_X34Y136 SITEPROP SLICE_X34Y136 NUM_ARCS 153 SITEPROP SLICE_X34Y136 NUM_BELS 32 SITEPROP SLICE_X34Y136 NUM_INPUTS 37 SITEPROP SLICE_X34Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y136 NUM_PINS 50 SITEPROP SLICE_X34Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y136 PROHIBIT 0 SITEPROP SLICE_X34Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y136 RPM_X 93 SITEPROP SLICE_X34Y136 RPM_Y 272 SITEPROP SLICE_X34Y136 SITE_PIPS SITEPROP SLICE_X34Y136 SITE_TYPE SLICEM SITEPROP SLICE_X34Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y137 CLASS site SITEPROP SLICE_X34Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y137 IS_BONDED 0 SITEPROP SLICE_X34Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y137 IS_PAD 0 SITEPROP SLICE_X34Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y137 IS_RESERVED 0 SITEPROP SLICE_X34Y137 IS_TEST 0 SITEPROP SLICE_X34Y137 IS_USED 0 SITEPROP SLICE_X34Y137 MANUAL_ROUTING SITEPROP SLICE_X34Y137 NAME SLICE_X34Y137 SITEPROP SLICE_X34Y137 NUM_ARCS 153 SITEPROP SLICE_X34Y137 NUM_BELS 32 SITEPROP SLICE_X34Y137 NUM_INPUTS 37 SITEPROP SLICE_X34Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y137 NUM_PINS 50 SITEPROP SLICE_X34Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y137 PROHIBIT 0 SITEPROP SLICE_X34Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y137 RPM_X 93 SITEPROP SLICE_X34Y137 RPM_Y 274 SITEPROP SLICE_X34Y137 SITE_PIPS SITEPROP SLICE_X34Y137 SITE_TYPE SLICEM SITEPROP SLICE_X34Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y138 CLASS site SITEPROP SLICE_X34Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y138 IS_BONDED 0 SITEPROP SLICE_X34Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y138 IS_PAD 0 SITEPROP SLICE_X34Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y138 IS_RESERVED 0 SITEPROP SLICE_X34Y138 IS_TEST 0 SITEPROP SLICE_X34Y138 IS_USED 0 SITEPROP SLICE_X34Y138 MANUAL_ROUTING SITEPROP SLICE_X34Y138 NAME SLICE_X34Y138 SITEPROP SLICE_X34Y138 NUM_ARCS 153 SITEPROP SLICE_X34Y138 NUM_BELS 32 SITEPROP SLICE_X34Y138 NUM_INPUTS 37 SITEPROP SLICE_X34Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y138 NUM_PINS 50 SITEPROP SLICE_X34Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y138 PROHIBIT 0 SITEPROP SLICE_X34Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y138 RPM_X 93 SITEPROP SLICE_X34Y138 RPM_Y 276 SITEPROP SLICE_X34Y138 SITE_PIPS SITEPROP SLICE_X34Y138 SITE_TYPE SLICEM SITEPROP SLICE_X34Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y139 CLASS site SITEPROP SLICE_X34Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y139 IS_BONDED 0 SITEPROP SLICE_X34Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y139 IS_PAD 0 SITEPROP SLICE_X34Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y139 IS_RESERVED 0 SITEPROP SLICE_X34Y139 IS_TEST 0 SITEPROP SLICE_X34Y139 IS_USED 0 SITEPROP SLICE_X34Y139 MANUAL_ROUTING SITEPROP SLICE_X34Y139 NAME SLICE_X34Y139 SITEPROP SLICE_X34Y139 NUM_ARCS 153 SITEPROP SLICE_X34Y139 NUM_BELS 32 SITEPROP SLICE_X34Y139 NUM_INPUTS 37 SITEPROP SLICE_X34Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y139 NUM_PINS 50 SITEPROP SLICE_X34Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y139 PROHIBIT 0 SITEPROP SLICE_X34Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y139 RPM_X 93 SITEPROP SLICE_X34Y139 RPM_Y 278 SITEPROP SLICE_X34Y139 SITE_PIPS SITEPROP SLICE_X34Y139 SITE_TYPE SLICEM SITEPROP SLICE_X34Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y140 CLASS site SITEPROP SLICE_X34Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y140 IS_BONDED 0 SITEPROP SLICE_X34Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y140 IS_PAD 0 SITEPROP SLICE_X34Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y140 IS_RESERVED 0 SITEPROP SLICE_X34Y140 IS_TEST 0 SITEPROP SLICE_X34Y140 IS_USED 0 SITEPROP SLICE_X34Y140 MANUAL_ROUTING SITEPROP SLICE_X34Y140 NAME SLICE_X34Y140 SITEPROP SLICE_X34Y140 NUM_ARCS 153 SITEPROP SLICE_X34Y140 NUM_BELS 32 SITEPROP SLICE_X34Y140 NUM_INPUTS 37 SITEPROP SLICE_X34Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y140 NUM_PINS 50 SITEPROP SLICE_X34Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y140 PROHIBIT 0 SITEPROP SLICE_X34Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y140 RPM_X 93 SITEPROP SLICE_X34Y140 RPM_Y 280 SITEPROP SLICE_X34Y140 SITE_PIPS SITEPROP SLICE_X34Y140 SITE_TYPE SLICEM SITEPROP SLICE_X34Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y141 CLASS site SITEPROP SLICE_X34Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y141 IS_BONDED 0 SITEPROP SLICE_X34Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y141 IS_PAD 0 SITEPROP SLICE_X34Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y141 IS_RESERVED 0 SITEPROP SLICE_X34Y141 IS_TEST 0 SITEPROP SLICE_X34Y141 IS_USED 0 SITEPROP SLICE_X34Y141 MANUAL_ROUTING SITEPROP SLICE_X34Y141 NAME SLICE_X34Y141 SITEPROP SLICE_X34Y141 NUM_ARCS 153 SITEPROP SLICE_X34Y141 NUM_BELS 32 SITEPROP SLICE_X34Y141 NUM_INPUTS 37 SITEPROP SLICE_X34Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y141 NUM_PINS 50 SITEPROP SLICE_X34Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y141 PROHIBIT 0 SITEPROP SLICE_X34Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y141 RPM_X 93 SITEPROP SLICE_X34Y141 RPM_Y 282 SITEPROP SLICE_X34Y141 SITE_PIPS SITEPROP SLICE_X34Y141 SITE_TYPE SLICEM SITEPROP SLICE_X34Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y142 CLASS site SITEPROP SLICE_X34Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y142 IS_BONDED 0 SITEPROP SLICE_X34Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y142 IS_PAD 0 SITEPROP SLICE_X34Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y142 IS_RESERVED 0 SITEPROP SLICE_X34Y142 IS_TEST 0 SITEPROP SLICE_X34Y142 IS_USED 0 SITEPROP SLICE_X34Y142 MANUAL_ROUTING SITEPROP SLICE_X34Y142 NAME SLICE_X34Y142 SITEPROP SLICE_X34Y142 NUM_ARCS 153 SITEPROP SLICE_X34Y142 NUM_BELS 32 SITEPROP SLICE_X34Y142 NUM_INPUTS 37 SITEPROP SLICE_X34Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y142 NUM_PINS 50 SITEPROP SLICE_X34Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y142 PROHIBIT 0 SITEPROP SLICE_X34Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y142 RPM_X 93 SITEPROP SLICE_X34Y142 RPM_Y 284 SITEPROP SLICE_X34Y142 SITE_PIPS SITEPROP SLICE_X34Y142 SITE_TYPE SLICEM SITEPROP SLICE_X34Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y143 CLASS site SITEPROP SLICE_X34Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y143 IS_BONDED 0 SITEPROP SLICE_X34Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y143 IS_PAD 0 SITEPROP SLICE_X34Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y143 IS_RESERVED 0 SITEPROP SLICE_X34Y143 IS_TEST 0 SITEPROP SLICE_X34Y143 IS_USED 0 SITEPROP SLICE_X34Y143 MANUAL_ROUTING SITEPROP SLICE_X34Y143 NAME SLICE_X34Y143 SITEPROP SLICE_X34Y143 NUM_ARCS 153 SITEPROP SLICE_X34Y143 NUM_BELS 32 SITEPROP SLICE_X34Y143 NUM_INPUTS 37 SITEPROP SLICE_X34Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y143 NUM_PINS 50 SITEPROP SLICE_X34Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y143 PROHIBIT 0 SITEPROP SLICE_X34Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y143 RPM_X 93 SITEPROP SLICE_X34Y143 RPM_Y 286 SITEPROP SLICE_X34Y143 SITE_PIPS SITEPROP SLICE_X34Y143 SITE_TYPE SLICEM SITEPROP SLICE_X34Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y144 CLASS site SITEPROP SLICE_X34Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y144 IS_BONDED 0 SITEPROP SLICE_X34Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y144 IS_PAD 0 SITEPROP SLICE_X34Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y144 IS_RESERVED 0 SITEPROP SLICE_X34Y144 IS_TEST 0 SITEPROP SLICE_X34Y144 IS_USED 0 SITEPROP SLICE_X34Y144 MANUAL_ROUTING SITEPROP SLICE_X34Y144 NAME SLICE_X34Y144 SITEPROP SLICE_X34Y144 NUM_ARCS 153 SITEPROP SLICE_X34Y144 NUM_BELS 32 SITEPROP SLICE_X34Y144 NUM_INPUTS 37 SITEPROP SLICE_X34Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y144 NUM_PINS 50 SITEPROP SLICE_X34Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y144 PROHIBIT 0 SITEPROP SLICE_X34Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y144 RPM_X 93 SITEPROP SLICE_X34Y144 RPM_Y 288 SITEPROP SLICE_X34Y144 SITE_PIPS SITEPROP SLICE_X34Y144 SITE_TYPE SLICEM SITEPROP SLICE_X34Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y145 CLASS site SITEPROP SLICE_X34Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y145 IS_BONDED 0 SITEPROP SLICE_X34Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y145 IS_PAD 0 SITEPROP SLICE_X34Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y145 IS_RESERVED 0 SITEPROP SLICE_X34Y145 IS_TEST 0 SITEPROP SLICE_X34Y145 IS_USED 0 SITEPROP SLICE_X34Y145 MANUAL_ROUTING SITEPROP SLICE_X34Y145 NAME SLICE_X34Y145 SITEPROP SLICE_X34Y145 NUM_ARCS 153 SITEPROP SLICE_X34Y145 NUM_BELS 32 SITEPROP SLICE_X34Y145 NUM_INPUTS 37 SITEPROP SLICE_X34Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y145 NUM_PINS 50 SITEPROP SLICE_X34Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y145 PROHIBIT 0 SITEPROP SLICE_X34Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y145 RPM_X 93 SITEPROP SLICE_X34Y145 RPM_Y 290 SITEPROP SLICE_X34Y145 SITE_PIPS SITEPROP SLICE_X34Y145 SITE_TYPE SLICEM SITEPROP SLICE_X34Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y146 CLASS site SITEPROP SLICE_X34Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y146 IS_BONDED 0 SITEPROP SLICE_X34Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y146 IS_PAD 0 SITEPROP SLICE_X34Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y146 IS_RESERVED 0 SITEPROP SLICE_X34Y146 IS_TEST 0 SITEPROP SLICE_X34Y146 IS_USED 0 SITEPROP SLICE_X34Y146 MANUAL_ROUTING SITEPROP SLICE_X34Y146 NAME SLICE_X34Y146 SITEPROP SLICE_X34Y146 NUM_ARCS 153 SITEPROP SLICE_X34Y146 NUM_BELS 32 SITEPROP SLICE_X34Y146 NUM_INPUTS 37 SITEPROP SLICE_X34Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y146 NUM_PINS 50 SITEPROP SLICE_X34Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y146 PROHIBIT 0 SITEPROP SLICE_X34Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y146 RPM_X 93 SITEPROP SLICE_X34Y146 RPM_Y 292 SITEPROP SLICE_X34Y146 SITE_PIPS SITEPROP SLICE_X34Y146 SITE_TYPE SLICEM SITEPROP SLICE_X34Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y147 CLASS site SITEPROP SLICE_X34Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y147 IS_BONDED 0 SITEPROP SLICE_X34Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y147 IS_PAD 0 SITEPROP SLICE_X34Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y147 IS_RESERVED 0 SITEPROP SLICE_X34Y147 IS_TEST 0 SITEPROP SLICE_X34Y147 IS_USED 0 SITEPROP SLICE_X34Y147 MANUAL_ROUTING SITEPROP SLICE_X34Y147 NAME SLICE_X34Y147 SITEPROP SLICE_X34Y147 NUM_ARCS 153 SITEPROP SLICE_X34Y147 NUM_BELS 32 SITEPROP SLICE_X34Y147 NUM_INPUTS 37 SITEPROP SLICE_X34Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y147 NUM_PINS 50 SITEPROP SLICE_X34Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y147 PROHIBIT 0 SITEPROP SLICE_X34Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y147 RPM_X 93 SITEPROP SLICE_X34Y147 RPM_Y 294 SITEPROP SLICE_X34Y147 SITE_PIPS SITEPROP SLICE_X34Y147 SITE_TYPE SLICEM SITEPROP SLICE_X34Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y148 CLASS site SITEPROP SLICE_X34Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y148 IS_BONDED 0 SITEPROP SLICE_X34Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y148 IS_PAD 0 SITEPROP SLICE_X34Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y148 IS_RESERVED 0 SITEPROP SLICE_X34Y148 IS_TEST 0 SITEPROP SLICE_X34Y148 IS_USED 0 SITEPROP SLICE_X34Y148 MANUAL_ROUTING SITEPROP SLICE_X34Y148 NAME SLICE_X34Y148 SITEPROP SLICE_X34Y148 NUM_ARCS 153 SITEPROP SLICE_X34Y148 NUM_BELS 32 SITEPROP SLICE_X34Y148 NUM_INPUTS 37 SITEPROP SLICE_X34Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y148 NUM_PINS 50 SITEPROP SLICE_X34Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y148 PROHIBIT 0 SITEPROP SLICE_X34Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y148 RPM_X 93 SITEPROP SLICE_X34Y148 RPM_Y 296 SITEPROP SLICE_X34Y148 SITE_PIPS SITEPROP SLICE_X34Y148 SITE_TYPE SLICEM SITEPROP SLICE_X34Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X34Y149 CLASS site SITEPROP SLICE_X34Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X34Y149 IS_BONDED 0 SITEPROP SLICE_X34Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X34Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y149 IS_PAD 0 SITEPROP SLICE_X34Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X34Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X34Y149 IS_RESERVED 0 SITEPROP SLICE_X34Y149 IS_TEST 0 SITEPROP SLICE_X34Y149 IS_USED 0 SITEPROP SLICE_X34Y149 MANUAL_ROUTING SITEPROP SLICE_X34Y149 NAME SLICE_X34Y149 SITEPROP SLICE_X34Y149 NUM_ARCS 153 SITEPROP SLICE_X34Y149 NUM_BELS 32 SITEPROP SLICE_X34Y149 NUM_INPUTS 37 SITEPROP SLICE_X34Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X34Y149 NUM_PINS 50 SITEPROP SLICE_X34Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X34Y149 PROHIBIT 0 SITEPROP SLICE_X34Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X34Y149 RPM_X 93 SITEPROP SLICE_X34Y149 RPM_Y 298 SITEPROP SLICE_X34Y149 SITE_PIPS SITEPROP SLICE_X34Y149 SITE_TYPE SLICEM SITEPROP SLICE_X35Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y0 CLASS site SITEPROP SLICE_X35Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y0 IS_BONDED 0 SITEPROP SLICE_X35Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y0 IS_PAD 0 SITEPROP SLICE_X35Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y0 IS_RESERVED 0 SITEPROP SLICE_X35Y0 IS_TEST 0 SITEPROP SLICE_X35Y0 IS_USED 0 SITEPROP SLICE_X35Y0 MANUAL_ROUTING SITEPROP SLICE_X35Y0 NAME SLICE_X35Y0 SITEPROP SLICE_X35Y0 NUM_ARCS 138 SITEPROP SLICE_X35Y0 NUM_BELS 32 SITEPROP SLICE_X35Y0 NUM_INPUTS 32 SITEPROP SLICE_X35Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y0 NUM_PINS 45 SITEPROP SLICE_X35Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y0 PROHIBIT 0 SITEPROP SLICE_X35Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y0 RPM_X 95 SITEPROP SLICE_X35Y0 RPM_Y 0 SITEPROP SLICE_X35Y0 SITE_PIPS SITEPROP SLICE_X35Y0 SITE_TYPE SLICEL SITEPROP SLICE_X35Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y1 CLASS site SITEPROP SLICE_X35Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y1 IS_BONDED 0 SITEPROP SLICE_X35Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y1 IS_PAD 0 SITEPROP SLICE_X35Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y1 IS_RESERVED 0 SITEPROP SLICE_X35Y1 IS_TEST 0 SITEPROP SLICE_X35Y1 IS_USED 0 SITEPROP SLICE_X35Y1 MANUAL_ROUTING SITEPROP SLICE_X35Y1 NAME SLICE_X35Y1 SITEPROP SLICE_X35Y1 NUM_ARCS 138 SITEPROP SLICE_X35Y1 NUM_BELS 32 SITEPROP SLICE_X35Y1 NUM_INPUTS 32 SITEPROP SLICE_X35Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y1 NUM_PINS 45 SITEPROP SLICE_X35Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y1 PROHIBIT 0 SITEPROP SLICE_X35Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y1 RPM_X 95 SITEPROP SLICE_X35Y1 RPM_Y 2 SITEPROP SLICE_X35Y1 SITE_PIPS SITEPROP SLICE_X35Y1 SITE_TYPE SLICEL SITEPROP SLICE_X35Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y2 CLASS site SITEPROP SLICE_X35Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y2 IS_BONDED 0 SITEPROP SLICE_X35Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y2 IS_PAD 0 SITEPROP SLICE_X35Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y2 IS_RESERVED 0 SITEPROP SLICE_X35Y2 IS_TEST 0 SITEPROP SLICE_X35Y2 IS_USED 0 SITEPROP SLICE_X35Y2 MANUAL_ROUTING SITEPROP SLICE_X35Y2 NAME SLICE_X35Y2 SITEPROP SLICE_X35Y2 NUM_ARCS 138 SITEPROP SLICE_X35Y2 NUM_BELS 32 SITEPROP SLICE_X35Y2 NUM_INPUTS 32 SITEPROP SLICE_X35Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y2 NUM_PINS 45 SITEPROP SLICE_X35Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y2 PROHIBIT 0 SITEPROP SLICE_X35Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y2 RPM_X 95 SITEPROP SLICE_X35Y2 RPM_Y 4 SITEPROP SLICE_X35Y2 SITE_PIPS SITEPROP SLICE_X35Y2 SITE_TYPE SLICEL SITEPROP SLICE_X35Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y3 CLASS site SITEPROP SLICE_X35Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y3 IS_BONDED 0 SITEPROP SLICE_X35Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y3 IS_PAD 0 SITEPROP SLICE_X35Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y3 IS_RESERVED 0 SITEPROP SLICE_X35Y3 IS_TEST 0 SITEPROP SLICE_X35Y3 IS_USED 0 SITEPROP SLICE_X35Y3 MANUAL_ROUTING SITEPROP SLICE_X35Y3 NAME SLICE_X35Y3 SITEPROP SLICE_X35Y3 NUM_ARCS 138 SITEPROP SLICE_X35Y3 NUM_BELS 32 SITEPROP SLICE_X35Y3 NUM_INPUTS 32 SITEPROP SLICE_X35Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y3 NUM_PINS 45 SITEPROP SLICE_X35Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y3 PROHIBIT 0 SITEPROP SLICE_X35Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y3 RPM_X 95 SITEPROP SLICE_X35Y3 RPM_Y 6 SITEPROP SLICE_X35Y3 SITE_PIPS SITEPROP SLICE_X35Y3 SITE_TYPE SLICEL SITEPROP SLICE_X35Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y4 CLASS site SITEPROP SLICE_X35Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y4 IS_BONDED 0 SITEPROP SLICE_X35Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y4 IS_PAD 0 SITEPROP SLICE_X35Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y4 IS_RESERVED 0 SITEPROP SLICE_X35Y4 IS_TEST 0 SITEPROP SLICE_X35Y4 IS_USED 0 SITEPROP SLICE_X35Y4 MANUAL_ROUTING SITEPROP SLICE_X35Y4 NAME SLICE_X35Y4 SITEPROP SLICE_X35Y4 NUM_ARCS 138 SITEPROP SLICE_X35Y4 NUM_BELS 32 SITEPROP SLICE_X35Y4 NUM_INPUTS 32 SITEPROP SLICE_X35Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y4 NUM_PINS 45 SITEPROP SLICE_X35Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y4 PROHIBIT 0 SITEPROP SLICE_X35Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y4 RPM_X 95 SITEPROP SLICE_X35Y4 RPM_Y 8 SITEPROP SLICE_X35Y4 SITE_PIPS SITEPROP SLICE_X35Y4 SITE_TYPE SLICEL SITEPROP SLICE_X35Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y5 CLASS site SITEPROP SLICE_X35Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y5 IS_BONDED 0 SITEPROP SLICE_X35Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y5 IS_PAD 0 SITEPROP SLICE_X35Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y5 IS_RESERVED 0 SITEPROP SLICE_X35Y5 IS_TEST 0 SITEPROP SLICE_X35Y5 IS_USED 0 SITEPROP SLICE_X35Y5 MANUAL_ROUTING SITEPROP SLICE_X35Y5 NAME SLICE_X35Y5 SITEPROP SLICE_X35Y5 NUM_ARCS 138 SITEPROP SLICE_X35Y5 NUM_BELS 32 SITEPROP SLICE_X35Y5 NUM_INPUTS 32 SITEPROP SLICE_X35Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y5 NUM_PINS 45 SITEPROP SLICE_X35Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y5 PROHIBIT 0 SITEPROP SLICE_X35Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y5 RPM_X 95 SITEPROP SLICE_X35Y5 RPM_Y 10 SITEPROP SLICE_X35Y5 SITE_PIPS SITEPROP SLICE_X35Y5 SITE_TYPE SLICEL SITEPROP SLICE_X35Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y6 CLASS site SITEPROP SLICE_X35Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y6 IS_BONDED 0 SITEPROP SLICE_X35Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y6 IS_PAD 0 SITEPROP SLICE_X35Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y6 IS_RESERVED 0 SITEPROP SLICE_X35Y6 IS_TEST 0 SITEPROP SLICE_X35Y6 IS_USED 0 SITEPROP SLICE_X35Y6 MANUAL_ROUTING SITEPROP SLICE_X35Y6 NAME SLICE_X35Y6 SITEPROP SLICE_X35Y6 NUM_ARCS 138 SITEPROP SLICE_X35Y6 NUM_BELS 32 SITEPROP SLICE_X35Y6 NUM_INPUTS 32 SITEPROP SLICE_X35Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y6 NUM_PINS 45 SITEPROP SLICE_X35Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y6 PROHIBIT 0 SITEPROP SLICE_X35Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y6 RPM_X 95 SITEPROP SLICE_X35Y6 RPM_Y 12 SITEPROP SLICE_X35Y6 SITE_PIPS SITEPROP SLICE_X35Y6 SITE_TYPE SLICEL SITEPROP SLICE_X35Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y7 CLASS site SITEPROP SLICE_X35Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y7 IS_BONDED 0 SITEPROP SLICE_X35Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y7 IS_PAD 0 SITEPROP SLICE_X35Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y7 IS_RESERVED 0 SITEPROP SLICE_X35Y7 IS_TEST 0 SITEPROP SLICE_X35Y7 IS_USED 0 SITEPROP SLICE_X35Y7 MANUAL_ROUTING SITEPROP SLICE_X35Y7 NAME SLICE_X35Y7 SITEPROP SLICE_X35Y7 NUM_ARCS 138 SITEPROP SLICE_X35Y7 NUM_BELS 32 SITEPROP SLICE_X35Y7 NUM_INPUTS 32 SITEPROP SLICE_X35Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y7 NUM_PINS 45 SITEPROP SLICE_X35Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y7 PROHIBIT 0 SITEPROP SLICE_X35Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y7 RPM_X 95 SITEPROP SLICE_X35Y7 RPM_Y 14 SITEPROP SLICE_X35Y7 SITE_PIPS SITEPROP SLICE_X35Y7 SITE_TYPE SLICEL SITEPROP SLICE_X35Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y8 CLASS site SITEPROP SLICE_X35Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y8 IS_BONDED 0 SITEPROP SLICE_X35Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y8 IS_PAD 0 SITEPROP SLICE_X35Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y8 IS_RESERVED 0 SITEPROP SLICE_X35Y8 IS_TEST 0 SITEPROP SLICE_X35Y8 IS_USED 0 SITEPROP SLICE_X35Y8 MANUAL_ROUTING SITEPROP SLICE_X35Y8 NAME SLICE_X35Y8 SITEPROP SLICE_X35Y8 NUM_ARCS 138 SITEPROP SLICE_X35Y8 NUM_BELS 32 SITEPROP SLICE_X35Y8 NUM_INPUTS 32 SITEPROP SLICE_X35Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y8 NUM_PINS 45 SITEPROP SLICE_X35Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y8 PROHIBIT 0 SITEPROP SLICE_X35Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y8 RPM_X 95 SITEPROP SLICE_X35Y8 RPM_Y 16 SITEPROP SLICE_X35Y8 SITE_PIPS SITEPROP SLICE_X35Y8 SITE_TYPE SLICEL SITEPROP SLICE_X35Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y9 CLASS site SITEPROP SLICE_X35Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y9 IS_BONDED 0 SITEPROP SLICE_X35Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y9 IS_PAD 0 SITEPROP SLICE_X35Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y9 IS_RESERVED 0 SITEPROP SLICE_X35Y9 IS_TEST 0 SITEPROP SLICE_X35Y9 IS_USED 0 SITEPROP SLICE_X35Y9 MANUAL_ROUTING SITEPROP SLICE_X35Y9 NAME SLICE_X35Y9 SITEPROP SLICE_X35Y9 NUM_ARCS 138 SITEPROP SLICE_X35Y9 NUM_BELS 32 SITEPROP SLICE_X35Y9 NUM_INPUTS 32 SITEPROP SLICE_X35Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y9 NUM_PINS 45 SITEPROP SLICE_X35Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y9 PROHIBIT 0 SITEPROP SLICE_X35Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y9 RPM_X 95 SITEPROP SLICE_X35Y9 RPM_Y 18 SITEPROP SLICE_X35Y9 SITE_PIPS SITEPROP SLICE_X35Y9 SITE_TYPE SLICEL SITEPROP SLICE_X35Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y10 CLASS site SITEPROP SLICE_X35Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y10 IS_BONDED 0 SITEPROP SLICE_X35Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y10 IS_PAD 0 SITEPROP SLICE_X35Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y10 IS_RESERVED 0 SITEPROP SLICE_X35Y10 IS_TEST 0 SITEPROP SLICE_X35Y10 IS_USED 0 SITEPROP SLICE_X35Y10 MANUAL_ROUTING SITEPROP SLICE_X35Y10 NAME SLICE_X35Y10 SITEPROP SLICE_X35Y10 NUM_ARCS 138 SITEPROP SLICE_X35Y10 NUM_BELS 32 SITEPROP SLICE_X35Y10 NUM_INPUTS 32 SITEPROP SLICE_X35Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y10 NUM_PINS 45 SITEPROP SLICE_X35Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y10 PROHIBIT 0 SITEPROP SLICE_X35Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y10 RPM_X 95 SITEPROP SLICE_X35Y10 RPM_Y 20 SITEPROP SLICE_X35Y10 SITE_PIPS SITEPROP SLICE_X35Y10 SITE_TYPE SLICEL SITEPROP SLICE_X35Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y11 CLASS site SITEPROP SLICE_X35Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y11 IS_BONDED 0 SITEPROP SLICE_X35Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y11 IS_PAD 0 SITEPROP SLICE_X35Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y11 IS_RESERVED 0 SITEPROP SLICE_X35Y11 IS_TEST 0 SITEPROP SLICE_X35Y11 IS_USED 0 SITEPROP SLICE_X35Y11 MANUAL_ROUTING SITEPROP SLICE_X35Y11 NAME SLICE_X35Y11 SITEPROP SLICE_X35Y11 NUM_ARCS 138 SITEPROP SLICE_X35Y11 NUM_BELS 32 SITEPROP SLICE_X35Y11 NUM_INPUTS 32 SITEPROP SLICE_X35Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y11 NUM_PINS 45 SITEPROP SLICE_X35Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y11 PROHIBIT 0 SITEPROP SLICE_X35Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y11 RPM_X 95 SITEPROP SLICE_X35Y11 RPM_Y 22 SITEPROP SLICE_X35Y11 SITE_PIPS SITEPROP SLICE_X35Y11 SITE_TYPE SLICEL SITEPROP SLICE_X35Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y12 CLASS site SITEPROP SLICE_X35Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y12 IS_BONDED 0 SITEPROP SLICE_X35Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y12 IS_PAD 0 SITEPROP SLICE_X35Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y12 IS_RESERVED 0 SITEPROP SLICE_X35Y12 IS_TEST 0 SITEPROP SLICE_X35Y12 IS_USED 0 SITEPROP SLICE_X35Y12 MANUAL_ROUTING SITEPROP SLICE_X35Y12 NAME SLICE_X35Y12 SITEPROP SLICE_X35Y12 NUM_ARCS 138 SITEPROP SLICE_X35Y12 NUM_BELS 32 SITEPROP SLICE_X35Y12 NUM_INPUTS 32 SITEPROP SLICE_X35Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y12 NUM_PINS 45 SITEPROP SLICE_X35Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y12 PROHIBIT 0 SITEPROP SLICE_X35Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y12 RPM_X 95 SITEPROP SLICE_X35Y12 RPM_Y 24 SITEPROP SLICE_X35Y12 SITE_PIPS SITEPROP SLICE_X35Y12 SITE_TYPE SLICEL SITEPROP SLICE_X35Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y13 CLASS site SITEPROP SLICE_X35Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y13 IS_BONDED 0 SITEPROP SLICE_X35Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y13 IS_PAD 0 SITEPROP SLICE_X35Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y13 IS_RESERVED 0 SITEPROP SLICE_X35Y13 IS_TEST 0 SITEPROP SLICE_X35Y13 IS_USED 0 SITEPROP SLICE_X35Y13 MANUAL_ROUTING SITEPROP SLICE_X35Y13 NAME SLICE_X35Y13 SITEPROP SLICE_X35Y13 NUM_ARCS 138 SITEPROP SLICE_X35Y13 NUM_BELS 32 SITEPROP SLICE_X35Y13 NUM_INPUTS 32 SITEPROP SLICE_X35Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y13 NUM_PINS 45 SITEPROP SLICE_X35Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y13 PROHIBIT 0 SITEPROP SLICE_X35Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y13 RPM_X 95 SITEPROP SLICE_X35Y13 RPM_Y 26 SITEPROP SLICE_X35Y13 SITE_PIPS SITEPROP SLICE_X35Y13 SITE_TYPE SLICEL SITEPROP SLICE_X35Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y14 CLASS site SITEPROP SLICE_X35Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y14 IS_BONDED 0 SITEPROP SLICE_X35Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y14 IS_PAD 0 SITEPROP SLICE_X35Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y14 IS_RESERVED 0 SITEPROP SLICE_X35Y14 IS_TEST 0 SITEPROP SLICE_X35Y14 IS_USED 0 SITEPROP SLICE_X35Y14 MANUAL_ROUTING SITEPROP SLICE_X35Y14 NAME SLICE_X35Y14 SITEPROP SLICE_X35Y14 NUM_ARCS 138 SITEPROP SLICE_X35Y14 NUM_BELS 32 SITEPROP SLICE_X35Y14 NUM_INPUTS 32 SITEPROP SLICE_X35Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y14 NUM_PINS 45 SITEPROP SLICE_X35Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y14 PROHIBIT 0 SITEPROP SLICE_X35Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y14 RPM_X 95 SITEPROP SLICE_X35Y14 RPM_Y 28 SITEPROP SLICE_X35Y14 SITE_PIPS SITEPROP SLICE_X35Y14 SITE_TYPE SLICEL SITEPROP SLICE_X35Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y15 CLASS site SITEPROP SLICE_X35Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y15 IS_BONDED 0 SITEPROP SLICE_X35Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y15 IS_PAD 0 SITEPROP SLICE_X35Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y15 IS_RESERVED 0 SITEPROP SLICE_X35Y15 IS_TEST 0 SITEPROP SLICE_X35Y15 IS_USED 0 SITEPROP SLICE_X35Y15 MANUAL_ROUTING SITEPROP SLICE_X35Y15 NAME SLICE_X35Y15 SITEPROP SLICE_X35Y15 NUM_ARCS 138 SITEPROP SLICE_X35Y15 NUM_BELS 32 SITEPROP SLICE_X35Y15 NUM_INPUTS 32 SITEPROP SLICE_X35Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y15 NUM_PINS 45 SITEPROP SLICE_X35Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y15 PROHIBIT 0 SITEPROP SLICE_X35Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y15 RPM_X 95 SITEPROP SLICE_X35Y15 RPM_Y 30 SITEPROP SLICE_X35Y15 SITE_PIPS SITEPROP SLICE_X35Y15 SITE_TYPE SLICEL SITEPROP SLICE_X35Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y16 CLASS site SITEPROP SLICE_X35Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y16 IS_BONDED 0 SITEPROP SLICE_X35Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y16 IS_PAD 0 SITEPROP SLICE_X35Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y16 IS_RESERVED 0 SITEPROP SLICE_X35Y16 IS_TEST 0 SITEPROP SLICE_X35Y16 IS_USED 0 SITEPROP SLICE_X35Y16 MANUAL_ROUTING SITEPROP SLICE_X35Y16 NAME SLICE_X35Y16 SITEPROP SLICE_X35Y16 NUM_ARCS 138 SITEPROP SLICE_X35Y16 NUM_BELS 32 SITEPROP SLICE_X35Y16 NUM_INPUTS 32 SITEPROP SLICE_X35Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y16 NUM_PINS 45 SITEPROP SLICE_X35Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y16 PROHIBIT 0 SITEPROP SLICE_X35Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y16 RPM_X 95 SITEPROP SLICE_X35Y16 RPM_Y 32 SITEPROP SLICE_X35Y16 SITE_PIPS SITEPROP SLICE_X35Y16 SITE_TYPE SLICEL SITEPROP SLICE_X35Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y17 CLASS site SITEPROP SLICE_X35Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y17 IS_BONDED 0 SITEPROP SLICE_X35Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y17 IS_PAD 0 SITEPROP SLICE_X35Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y17 IS_RESERVED 0 SITEPROP SLICE_X35Y17 IS_TEST 0 SITEPROP SLICE_X35Y17 IS_USED 0 SITEPROP SLICE_X35Y17 MANUAL_ROUTING SITEPROP SLICE_X35Y17 NAME SLICE_X35Y17 SITEPROP SLICE_X35Y17 NUM_ARCS 138 SITEPROP SLICE_X35Y17 NUM_BELS 32 SITEPROP SLICE_X35Y17 NUM_INPUTS 32 SITEPROP SLICE_X35Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y17 NUM_PINS 45 SITEPROP SLICE_X35Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y17 PROHIBIT 0 SITEPROP SLICE_X35Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y17 RPM_X 95 SITEPROP SLICE_X35Y17 RPM_Y 34 SITEPROP SLICE_X35Y17 SITE_PIPS SITEPROP SLICE_X35Y17 SITE_TYPE SLICEL SITEPROP SLICE_X35Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y18 CLASS site SITEPROP SLICE_X35Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y18 IS_BONDED 0 SITEPROP SLICE_X35Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y18 IS_PAD 0 SITEPROP SLICE_X35Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y18 IS_RESERVED 0 SITEPROP SLICE_X35Y18 IS_TEST 0 SITEPROP SLICE_X35Y18 IS_USED 0 SITEPROP SLICE_X35Y18 MANUAL_ROUTING SITEPROP SLICE_X35Y18 NAME SLICE_X35Y18 SITEPROP SLICE_X35Y18 NUM_ARCS 138 SITEPROP SLICE_X35Y18 NUM_BELS 32 SITEPROP SLICE_X35Y18 NUM_INPUTS 32 SITEPROP SLICE_X35Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y18 NUM_PINS 45 SITEPROP SLICE_X35Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y18 PROHIBIT 0 SITEPROP SLICE_X35Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y18 RPM_X 95 SITEPROP SLICE_X35Y18 RPM_Y 36 SITEPROP SLICE_X35Y18 SITE_PIPS SITEPROP SLICE_X35Y18 SITE_TYPE SLICEL SITEPROP SLICE_X35Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y19 CLASS site SITEPROP SLICE_X35Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y19 IS_BONDED 0 SITEPROP SLICE_X35Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y19 IS_PAD 0 SITEPROP SLICE_X35Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y19 IS_RESERVED 0 SITEPROP SLICE_X35Y19 IS_TEST 0 SITEPROP SLICE_X35Y19 IS_USED 0 SITEPROP SLICE_X35Y19 MANUAL_ROUTING SITEPROP SLICE_X35Y19 NAME SLICE_X35Y19 SITEPROP SLICE_X35Y19 NUM_ARCS 138 SITEPROP SLICE_X35Y19 NUM_BELS 32 SITEPROP SLICE_X35Y19 NUM_INPUTS 32 SITEPROP SLICE_X35Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y19 NUM_PINS 45 SITEPROP SLICE_X35Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y19 PROHIBIT 0 SITEPROP SLICE_X35Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y19 RPM_X 95 SITEPROP SLICE_X35Y19 RPM_Y 38 SITEPROP SLICE_X35Y19 SITE_PIPS SITEPROP SLICE_X35Y19 SITE_TYPE SLICEL SITEPROP SLICE_X35Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y20 CLASS site SITEPROP SLICE_X35Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y20 IS_BONDED 0 SITEPROP SLICE_X35Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y20 IS_PAD 0 SITEPROP SLICE_X35Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y20 IS_RESERVED 0 SITEPROP SLICE_X35Y20 IS_TEST 0 SITEPROP SLICE_X35Y20 IS_USED 0 SITEPROP SLICE_X35Y20 MANUAL_ROUTING SITEPROP SLICE_X35Y20 NAME SLICE_X35Y20 SITEPROP SLICE_X35Y20 NUM_ARCS 138 SITEPROP SLICE_X35Y20 NUM_BELS 32 SITEPROP SLICE_X35Y20 NUM_INPUTS 32 SITEPROP SLICE_X35Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y20 NUM_PINS 45 SITEPROP SLICE_X35Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y20 PROHIBIT 0 SITEPROP SLICE_X35Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y20 RPM_X 95 SITEPROP SLICE_X35Y20 RPM_Y 40 SITEPROP SLICE_X35Y20 SITE_PIPS SITEPROP SLICE_X35Y20 SITE_TYPE SLICEL SITEPROP SLICE_X35Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y21 CLASS site SITEPROP SLICE_X35Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y21 IS_BONDED 0 SITEPROP SLICE_X35Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y21 IS_PAD 0 SITEPROP SLICE_X35Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y21 IS_RESERVED 0 SITEPROP SLICE_X35Y21 IS_TEST 0 SITEPROP SLICE_X35Y21 IS_USED 0 SITEPROP SLICE_X35Y21 MANUAL_ROUTING SITEPROP SLICE_X35Y21 NAME SLICE_X35Y21 SITEPROP SLICE_X35Y21 NUM_ARCS 138 SITEPROP SLICE_X35Y21 NUM_BELS 32 SITEPROP SLICE_X35Y21 NUM_INPUTS 32 SITEPROP SLICE_X35Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y21 NUM_PINS 45 SITEPROP SLICE_X35Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y21 PROHIBIT 0 SITEPROP SLICE_X35Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y21 RPM_X 95 SITEPROP SLICE_X35Y21 RPM_Y 42 SITEPROP SLICE_X35Y21 SITE_PIPS SITEPROP SLICE_X35Y21 SITE_TYPE SLICEL SITEPROP SLICE_X35Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y22 CLASS site SITEPROP SLICE_X35Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y22 IS_BONDED 0 SITEPROP SLICE_X35Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y22 IS_PAD 0 SITEPROP SLICE_X35Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y22 IS_RESERVED 0 SITEPROP SLICE_X35Y22 IS_TEST 0 SITEPROP SLICE_X35Y22 IS_USED 0 SITEPROP SLICE_X35Y22 MANUAL_ROUTING SITEPROP SLICE_X35Y22 NAME SLICE_X35Y22 SITEPROP SLICE_X35Y22 NUM_ARCS 138 SITEPROP SLICE_X35Y22 NUM_BELS 32 SITEPROP SLICE_X35Y22 NUM_INPUTS 32 SITEPROP SLICE_X35Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y22 NUM_PINS 45 SITEPROP SLICE_X35Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y22 PROHIBIT 0 SITEPROP SLICE_X35Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y22 RPM_X 95 SITEPROP SLICE_X35Y22 RPM_Y 44 SITEPROP SLICE_X35Y22 SITE_PIPS SITEPROP SLICE_X35Y22 SITE_TYPE SLICEL SITEPROP SLICE_X35Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y23 CLASS site SITEPROP SLICE_X35Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y23 IS_BONDED 0 SITEPROP SLICE_X35Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y23 IS_PAD 0 SITEPROP SLICE_X35Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y23 IS_RESERVED 0 SITEPROP SLICE_X35Y23 IS_TEST 0 SITEPROP SLICE_X35Y23 IS_USED 0 SITEPROP SLICE_X35Y23 MANUAL_ROUTING SITEPROP SLICE_X35Y23 NAME SLICE_X35Y23 SITEPROP SLICE_X35Y23 NUM_ARCS 138 SITEPROP SLICE_X35Y23 NUM_BELS 32 SITEPROP SLICE_X35Y23 NUM_INPUTS 32 SITEPROP SLICE_X35Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y23 NUM_PINS 45 SITEPROP SLICE_X35Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y23 PROHIBIT 0 SITEPROP SLICE_X35Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y23 RPM_X 95 SITEPROP SLICE_X35Y23 RPM_Y 46 SITEPROP SLICE_X35Y23 SITE_PIPS SITEPROP SLICE_X35Y23 SITE_TYPE SLICEL SITEPROP SLICE_X35Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y24 CLASS site SITEPROP SLICE_X35Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y24 IS_BONDED 0 SITEPROP SLICE_X35Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y24 IS_PAD 0 SITEPROP SLICE_X35Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y24 IS_RESERVED 0 SITEPROP SLICE_X35Y24 IS_TEST 0 SITEPROP SLICE_X35Y24 IS_USED 0 SITEPROP SLICE_X35Y24 MANUAL_ROUTING SITEPROP SLICE_X35Y24 NAME SLICE_X35Y24 SITEPROP SLICE_X35Y24 NUM_ARCS 138 SITEPROP SLICE_X35Y24 NUM_BELS 32 SITEPROP SLICE_X35Y24 NUM_INPUTS 32 SITEPROP SLICE_X35Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y24 NUM_PINS 45 SITEPROP SLICE_X35Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y24 PROHIBIT 0 SITEPROP SLICE_X35Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y24 RPM_X 95 SITEPROP SLICE_X35Y24 RPM_Y 48 SITEPROP SLICE_X35Y24 SITE_PIPS SITEPROP SLICE_X35Y24 SITE_TYPE SLICEL SITEPROP SLICE_X35Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y25 CLASS site SITEPROP SLICE_X35Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y25 IS_BONDED 0 SITEPROP SLICE_X35Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y25 IS_PAD 0 SITEPROP SLICE_X35Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y25 IS_RESERVED 0 SITEPROP SLICE_X35Y25 IS_TEST 0 SITEPROP SLICE_X35Y25 IS_USED 0 SITEPROP SLICE_X35Y25 MANUAL_ROUTING SITEPROP SLICE_X35Y25 NAME SLICE_X35Y25 SITEPROP SLICE_X35Y25 NUM_ARCS 138 SITEPROP SLICE_X35Y25 NUM_BELS 32 SITEPROP SLICE_X35Y25 NUM_INPUTS 32 SITEPROP SLICE_X35Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y25 NUM_PINS 45 SITEPROP SLICE_X35Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y25 PROHIBIT 0 SITEPROP SLICE_X35Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y25 RPM_X 95 SITEPROP SLICE_X35Y25 RPM_Y 50 SITEPROP SLICE_X35Y25 SITE_PIPS SITEPROP SLICE_X35Y25 SITE_TYPE SLICEL SITEPROP SLICE_X35Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y26 CLASS site SITEPROP SLICE_X35Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y26 IS_BONDED 0 SITEPROP SLICE_X35Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y26 IS_PAD 0 SITEPROP SLICE_X35Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y26 IS_RESERVED 0 SITEPROP SLICE_X35Y26 IS_TEST 0 SITEPROP SLICE_X35Y26 IS_USED 0 SITEPROP SLICE_X35Y26 MANUAL_ROUTING SITEPROP SLICE_X35Y26 NAME SLICE_X35Y26 SITEPROP SLICE_X35Y26 NUM_ARCS 138 SITEPROP SLICE_X35Y26 NUM_BELS 32 SITEPROP SLICE_X35Y26 NUM_INPUTS 32 SITEPROP SLICE_X35Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y26 NUM_PINS 45 SITEPROP SLICE_X35Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y26 PROHIBIT 0 SITEPROP SLICE_X35Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y26 RPM_X 95 SITEPROP SLICE_X35Y26 RPM_Y 52 SITEPROP SLICE_X35Y26 SITE_PIPS SITEPROP SLICE_X35Y26 SITE_TYPE SLICEL SITEPROP SLICE_X35Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y27 CLASS site SITEPROP SLICE_X35Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y27 IS_BONDED 0 SITEPROP SLICE_X35Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y27 IS_PAD 0 SITEPROP SLICE_X35Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y27 IS_RESERVED 0 SITEPROP SLICE_X35Y27 IS_TEST 0 SITEPROP SLICE_X35Y27 IS_USED 0 SITEPROP SLICE_X35Y27 MANUAL_ROUTING SITEPROP SLICE_X35Y27 NAME SLICE_X35Y27 SITEPROP SLICE_X35Y27 NUM_ARCS 138 SITEPROP SLICE_X35Y27 NUM_BELS 32 SITEPROP SLICE_X35Y27 NUM_INPUTS 32 SITEPROP SLICE_X35Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y27 NUM_PINS 45 SITEPROP SLICE_X35Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y27 PROHIBIT 0 SITEPROP SLICE_X35Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y27 RPM_X 95 SITEPROP SLICE_X35Y27 RPM_Y 54 SITEPROP SLICE_X35Y27 SITE_PIPS SITEPROP SLICE_X35Y27 SITE_TYPE SLICEL SITEPROP SLICE_X35Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y28 CLASS site SITEPROP SLICE_X35Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y28 IS_BONDED 0 SITEPROP SLICE_X35Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y28 IS_PAD 0 SITEPROP SLICE_X35Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y28 IS_RESERVED 0 SITEPROP SLICE_X35Y28 IS_TEST 0 SITEPROP SLICE_X35Y28 IS_USED 0 SITEPROP SLICE_X35Y28 MANUAL_ROUTING SITEPROP SLICE_X35Y28 NAME SLICE_X35Y28 SITEPROP SLICE_X35Y28 NUM_ARCS 138 SITEPROP SLICE_X35Y28 NUM_BELS 32 SITEPROP SLICE_X35Y28 NUM_INPUTS 32 SITEPROP SLICE_X35Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y28 NUM_PINS 45 SITEPROP SLICE_X35Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y28 PROHIBIT 0 SITEPROP SLICE_X35Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y28 RPM_X 95 SITEPROP SLICE_X35Y28 RPM_Y 56 SITEPROP SLICE_X35Y28 SITE_PIPS SITEPROP SLICE_X35Y28 SITE_TYPE SLICEL SITEPROP SLICE_X35Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y29 CLASS site SITEPROP SLICE_X35Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y29 IS_BONDED 0 SITEPROP SLICE_X35Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y29 IS_PAD 0 SITEPROP SLICE_X35Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y29 IS_RESERVED 0 SITEPROP SLICE_X35Y29 IS_TEST 0 SITEPROP SLICE_X35Y29 IS_USED 0 SITEPROP SLICE_X35Y29 MANUAL_ROUTING SITEPROP SLICE_X35Y29 NAME SLICE_X35Y29 SITEPROP SLICE_X35Y29 NUM_ARCS 138 SITEPROP SLICE_X35Y29 NUM_BELS 32 SITEPROP SLICE_X35Y29 NUM_INPUTS 32 SITEPROP SLICE_X35Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y29 NUM_PINS 45 SITEPROP SLICE_X35Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y29 PROHIBIT 0 SITEPROP SLICE_X35Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y29 RPM_X 95 SITEPROP SLICE_X35Y29 RPM_Y 58 SITEPROP SLICE_X35Y29 SITE_PIPS SITEPROP SLICE_X35Y29 SITE_TYPE SLICEL SITEPROP SLICE_X35Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y30 CLASS site SITEPROP SLICE_X35Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y30 IS_BONDED 0 SITEPROP SLICE_X35Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y30 IS_PAD 0 SITEPROP SLICE_X35Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y30 IS_RESERVED 0 SITEPROP SLICE_X35Y30 IS_TEST 0 SITEPROP SLICE_X35Y30 IS_USED 0 SITEPROP SLICE_X35Y30 MANUAL_ROUTING SITEPROP SLICE_X35Y30 NAME SLICE_X35Y30 SITEPROP SLICE_X35Y30 NUM_ARCS 138 SITEPROP SLICE_X35Y30 NUM_BELS 32 SITEPROP SLICE_X35Y30 NUM_INPUTS 32 SITEPROP SLICE_X35Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y30 NUM_PINS 45 SITEPROP SLICE_X35Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y30 PROHIBIT 0 SITEPROP SLICE_X35Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y30 RPM_X 95 SITEPROP SLICE_X35Y30 RPM_Y 60 SITEPROP SLICE_X35Y30 SITE_PIPS SITEPROP SLICE_X35Y30 SITE_TYPE SLICEL SITEPROP SLICE_X35Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y31 CLASS site SITEPROP SLICE_X35Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y31 IS_BONDED 0 SITEPROP SLICE_X35Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y31 IS_PAD 0 SITEPROP SLICE_X35Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y31 IS_RESERVED 0 SITEPROP SLICE_X35Y31 IS_TEST 0 SITEPROP SLICE_X35Y31 IS_USED 0 SITEPROP SLICE_X35Y31 MANUAL_ROUTING SITEPROP SLICE_X35Y31 NAME SLICE_X35Y31 SITEPROP SLICE_X35Y31 NUM_ARCS 138 SITEPROP SLICE_X35Y31 NUM_BELS 32 SITEPROP SLICE_X35Y31 NUM_INPUTS 32 SITEPROP SLICE_X35Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y31 NUM_PINS 45 SITEPROP SLICE_X35Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y31 PROHIBIT 0 SITEPROP SLICE_X35Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y31 RPM_X 95 SITEPROP SLICE_X35Y31 RPM_Y 62 SITEPROP SLICE_X35Y31 SITE_PIPS SITEPROP SLICE_X35Y31 SITE_TYPE SLICEL SITEPROP SLICE_X35Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y32 CLASS site SITEPROP SLICE_X35Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y32 IS_BONDED 0 SITEPROP SLICE_X35Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y32 IS_PAD 0 SITEPROP SLICE_X35Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y32 IS_RESERVED 0 SITEPROP SLICE_X35Y32 IS_TEST 0 SITEPROP SLICE_X35Y32 IS_USED 0 SITEPROP SLICE_X35Y32 MANUAL_ROUTING SITEPROP SLICE_X35Y32 NAME SLICE_X35Y32 SITEPROP SLICE_X35Y32 NUM_ARCS 138 SITEPROP SLICE_X35Y32 NUM_BELS 32 SITEPROP SLICE_X35Y32 NUM_INPUTS 32 SITEPROP SLICE_X35Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y32 NUM_PINS 45 SITEPROP SLICE_X35Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y32 PROHIBIT 0 SITEPROP SLICE_X35Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y32 RPM_X 95 SITEPROP SLICE_X35Y32 RPM_Y 64 SITEPROP SLICE_X35Y32 SITE_PIPS SITEPROP SLICE_X35Y32 SITE_TYPE SLICEL SITEPROP SLICE_X35Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y33 CLASS site SITEPROP SLICE_X35Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y33 IS_BONDED 0 SITEPROP SLICE_X35Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y33 IS_PAD 0 SITEPROP SLICE_X35Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y33 IS_RESERVED 0 SITEPROP SLICE_X35Y33 IS_TEST 0 SITEPROP SLICE_X35Y33 IS_USED 0 SITEPROP SLICE_X35Y33 MANUAL_ROUTING SITEPROP SLICE_X35Y33 NAME SLICE_X35Y33 SITEPROP SLICE_X35Y33 NUM_ARCS 138 SITEPROP SLICE_X35Y33 NUM_BELS 32 SITEPROP SLICE_X35Y33 NUM_INPUTS 32 SITEPROP SLICE_X35Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y33 NUM_PINS 45 SITEPROP SLICE_X35Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y33 PROHIBIT 0 SITEPROP SLICE_X35Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y33 RPM_X 95 SITEPROP SLICE_X35Y33 RPM_Y 66 SITEPROP SLICE_X35Y33 SITE_PIPS SITEPROP SLICE_X35Y33 SITE_TYPE SLICEL SITEPROP SLICE_X35Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y34 CLASS site SITEPROP SLICE_X35Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y34 IS_BONDED 0 SITEPROP SLICE_X35Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y34 IS_PAD 0 SITEPROP SLICE_X35Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y34 IS_RESERVED 0 SITEPROP SLICE_X35Y34 IS_TEST 0 SITEPROP SLICE_X35Y34 IS_USED 0 SITEPROP SLICE_X35Y34 MANUAL_ROUTING SITEPROP SLICE_X35Y34 NAME SLICE_X35Y34 SITEPROP SLICE_X35Y34 NUM_ARCS 138 SITEPROP SLICE_X35Y34 NUM_BELS 32 SITEPROP SLICE_X35Y34 NUM_INPUTS 32 SITEPROP SLICE_X35Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y34 NUM_PINS 45 SITEPROP SLICE_X35Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y34 PROHIBIT 0 SITEPROP SLICE_X35Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y34 RPM_X 95 SITEPROP SLICE_X35Y34 RPM_Y 68 SITEPROP SLICE_X35Y34 SITE_PIPS SITEPROP SLICE_X35Y34 SITE_TYPE SLICEL SITEPROP SLICE_X35Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y35 CLASS site SITEPROP SLICE_X35Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y35 IS_BONDED 0 SITEPROP SLICE_X35Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y35 IS_PAD 0 SITEPROP SLICE_X35Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y35 IS_RESERVED 0 SITEPROP SLICE_X35Y35 IS_TEST 0 SITEPROP SLICE_X35Y35 IS_USED 0 SITEPROP SLICE_X35Y35 MANUAL_ROUTING SITEPROP SLICE_X35Y35 NAME SLICE_X35Y35 SITEPROP SLICE_X35Y35 NUM_ARCS 138 SITEPROP SLICE_X35Y35 NUM_BELS 32 SITEPROP SLICE_X35Y35 NUM_INPUTS 32 SITEPROP SLICE_X35Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y35 NUM_PINS 45 SITEPROP SLICE_X35Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y35 PROHIBIT 0 SITEPROP SLICE_X35Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y35 RPM_X 95 SITEPROP SLICE_X35Y35 RPM_Y 70 SITEPROP SLICE_X35Y35 SITE_PIPS SITEPROP SLICE_X35Y35 SITE_TYPE SLICEL SITEPROP SLICE_X35Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y36 CLASS site SITEPROP SLICE_X35Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y36 IS_BONDED 0 SITEPROP SLICE_X35Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y36 IS_PAD 0 SITEPROP SLICE_X35Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y36 IS_RESERVED 0 SITEPROP SLICE_X35Y36 IS_TEST 0 SITEPROP SLICE_X35Y36 IS_USED 0 SITEPROP SLICE_X35Y36 MANUAL_ROUTING SITEPROP SLICE_X35Y36 NAME SLICE_X35Y36 SITEPROP SLICE_X35Y36 NUM_ARCS 138 SITEPROP SLICE_X35Y36 NUM_BELS 32 SITEPROP SLICE_X35Y36 NUM_INPUTS 32 SITEPROP SLICE_X35Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y36 NUM_PINS 45 SITEPROP SLICE_X35Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y36 PROHIBIT 0 SITEPROP SLICE_X35Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y36 RPM_X 95 SITEPROP SLICE_X35Y36 RPM_Y 72 SITEPROP SLICE_X35Y36 SITE_PIPS SITEPROP SLICE_X35Y36 SITE_TYPE SLICEL SITEPROP SLICE_X35Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y37 CLASS site SITEPROP SLICE_X35Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y37 IS_BONDED 0 SITEPROP SLICE_X35Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y37 IS_PAD 0 SITEPROP SLICE_X35Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y37 IS_RESERVED 0 SITEPROP SLICE_X35Y37 IS_TEST 0 SITEPROP SLICE_X35Y37 IS_USED 0 SITEPROP SLICE_X35Y37 MANUAL_ROUTING SITEPROP SLICE_X35Y37 NAME SLICE_X35Y37 SITEPROP SLICE_X35Y37 NUM_ARCS 138 SITEPROP SLICE_X35Y37 NUM_BELS 32 SITEPROP SLICE_X35Y37 NUM_INPUTS 32 SITEPROP SLICE_X35Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y37 NUM_PINS 45 SITEPROP SLICE_X35Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y37 PROHIBIT 0 SITEPROP SLICE_X35Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y37 RPM_X 95 SITEPROP SLICE_X35Y37 RPM_Y 74 SITEPROP SLICE_X35Y37 SITE_PIPS SITEPROP SLICE_X35Y37 SITE_TYPE SLICEL SITEPROP SLICE_X35Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y38 CLASS site SITEPROP SLICE_X35Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y38 IS_BONDED 0 SITEPROP SLICE_X35Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y38 IS_PAD 0 SITEPROP SLICE_X35Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y38 IS_RESERVED 0 SITEPROP SLICE_X35Y38 IS_TEST 0 SITEPROP SLICE_X35Y38 IS_USED 0 SITEPROP SLICE_X35Y38 MANUAL_ROUTING SITEPROP SLICE_X35Y38 NAME SLICE_X35Y38 SITEPROP SLICE_X35Y38 NUM_ARCS 138 SITEPROP SLICE_X35Y38 NUM_BELS 32 SITEPROP SLICE_X35Y38 NUM_INPUTS 32 SITEPROP SLICE_X35Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y38 NUM_PINS 45 SITEPROP SLICE_X35Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y38 PROHIBIT 0 SITEPROP SLICE_X35Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y38 RPM_X 95 SITEPROP SLICE_X35Y38 RPM_Y 76 SITEPROP SLICE_X35Y38 SITE_PIPS SITEPROP SLICE_X35Y38 SITE_TYPE SLICEL SITEPROP SLICE_X35Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y39 CLASS site SITEPROP SLICE_X35Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y39 IS_BONDED 0 SITEPROP SLICE_X35Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y39 IS_PAD 0 SITEPROP SLICE_X35Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y39 IS_RESERVED 0 SITEPROP SLICE_X35Y39 IS_TEST 0 SITEPROP SLICE_X35Y39 IS_USED 0 SITEPROP SLICE_X35Y39 MANUAL_ROUTING SITEPROP SLICE_X35Y39 NAME SLICE_X35Y39 SITEPROP SLICE_X35Y39 NUM_ARCS 138 SITEPROP SLICE_X35Y39 NUM_BELS 32 SITEPROP SLICE_X35Y39 NUM_INPUTS 32 SITEPROP SLICE_X35Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y39 NUM_PINS 45 SITEPROP SLICE_X35Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y39 PROHIBIT 0 SITEPROP SLICE_X35Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y39 RPM_X 95 SITEPROP SLICE_X35Y39 RPM_Y 78 SITEPROP SLICE_X35Y39 SITE_PIPS SITEPROP SLICE_X35Y39 SITE_TYPE SLICEL SITEPROP SLICE_X35Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y40 CLASS site SITEPROP SLICE_X35Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y40 IS_BONDED 0 SITEPROP SLICE_X35Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y40 IS_PAD 0 SITEPROP SLICE_X35Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y40 IS_RESERVED 0 SITEPROP SLICE_X35Y40 IS_TEST 0 SITEPROP SLICE_X35Y40 IS_USED 0 SITEPROP SLICE_X35Y40 MANUAL_ROUTING SITEPROP SLICE_X35Y40 NAME SLICE_X35Y40 SITEPROP SLICE_X35Y40 NUM_ARCS 138 SITEPROP SLICE_X35Y40 NUM_BELS 32 SITEPROP SLICE_X35Y40 NUM_INPUTS 32 SITEPROP SLICE_X35Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y40 NUM_PINS 45 SITEPROP SLICE_X35Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y40 PROHIBIT 0 SITEPROP SLICE_X35Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y40 RPM_X 95 SITEPROP SLICE_X35Y40 RPM_Y 80 SITEPROP SLICE_X35Y40 SITE_PIPS SITEPROP SLICE_X35Y40 SITE_TYPE SLICEL SITEPROP SLICE_X35Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y41 CLASS site SITEPROP SLICE_X35Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y41 IS_BONDED 0 SITEPROP SLICE_X35Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y41 IS_PAD 0 SITEPROP SLICE_X35Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y41 IS_RESERVED 0 SITEPROP SLICE_X35Y41 IS_TEST 0 SITEPROP SLICE_X35Y41 IS_USED 0 SITEPROP SLICE_X35Y41 MANUAL_ROUTING SITEPROP SLICE_X35Y41 NAME SLICE_X35Y41 SITEPROP SLICE_X35Y41 NUM_ARCS 138 SITEPROP SLICE_X35Y41 NUM_BELS 32 SITEPROP SLICE_X35Y41 NUM_INPUTS 32 SITEPROP SLICE_X35Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y41 NUM_PINS 45 SITEPROP SLICE_X35Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y41 PROHIBIT 0 SITEPROP SLICE_X35Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y41 RPM_X 95 SITEPROP SLICE_X35Y41 RPM_Y 82 SITEPROP SLICE_X35Y41 SITE_PIPS SITEPROP SLICE_X35Y41 SITE_TYPE SLICEL SITEPROP SLICE_X35Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y42 CLASS site SITEPROP SLICE_X35Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y42 IS_BONDED 0 SITEPROP SLICE_X35Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y42 IS_PAD 0 SITEPROP SLICE_X35Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y42 IS_RESERVED 0 SITEPROP SLICE_X35Y42 IS_TEST 0 SITEPROP SLICE_X35Y42 IS_USED 0 SITEPROP SLICE_X35Y42 MANUAL_ROUTING SITEPROP SLICE_X35Y42 NAME SLICE_X35Y42 SITEPROP SLICE_X35Y42 NUM_ARCS 138 SITEPROP SLICE_X35Y42 NUM_BELS 32 SITEPROP SLICE_X35Y42 NUM_INPUTS 32 SITEPROP SLICE_X35Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y42 NUM_PINS 45 SITEPROP SLICE_X35Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y42 PROHIBIT 0 SITEPROP SLICE_X35Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y42 RPM_X 95 SITEPROP SLICE_X35Y42 RPM_Y 84 SITEPROP SLICE_X35Y42 SITE_PIPS SITEPROP SLICE_X35Y42 SITE_TYPE SLICEL SITEPROP SLICE_X35Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y43 CLASS site SITEPROP SLICE_X35Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y43 IS_BONDED 0 SITEPROP SLICE_X35Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y43 IS_PAD 0 SITEPROP SLICE_X35Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y43 IS_RESERVED 0 SITEPROP SLICE_X35Y43 IS_TEST 0 SITEPROP SLICE_X35Y43 IS_USED 0 SITEPROP SLICE_X35Y43 MANUAL_ROUTING SITEPROP SLICE_X35Y43 NAME SLICE_X35Y43 SITEPROP SLICE_X35Y43 NUM_ARCS 138 SITEPROP SLICE_X35Y43 NUM_BELS 32 SITEPROP SLICE_X35Y43 NUM_INPUTS 32 SITEPROP SLICE_X35Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y43 NUM_PINS 45 SITEPROP SLICE_X35Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y43 PROHIBIT 0 SITEPROP SLICE_X35Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y43 RPM_X 95 SITEPROP SLICE_X35Y43 RPM_Y 86 SITEPROP SLICE_X35Y43 SITE_PIPS SITEPROP SLICE_X35Y43 SITE_TYPE SLICEL SITEPROP SLICE_X35Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y44 CLASS site SITEPROP SLICE_X35Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y44 IS_BONDED 0 SITEPROP SLICE_X35Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y44 IS_PAD 0 SITEPROP SLICE_X35Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y44 IS_RESERVED 0 SITEPROP SLICE_X35Y44 IS_TEST 0 SITEPROP SLICE_X35Y44 IS_USED 0 SITEPROP SLICE_X35Y44 MANUAL_ROUTING SITEPROP SLICE_X35Y44 NAME SLICE_X35Y44 SITEPROP SLICE_X35Y44 NUM_ARCS 138 SITEPROP SLICE_X35Y44 NUM_BELS 32 SITEPROP SLICE_X35Y44 NUM_INPUTS 32 SITEPROP SLICE_X35Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y44 NUM_PINS 45 SITEPROP SLICE_X35Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y44 PROHIBIT 0 SITEPROP SLICE_X35Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y44 RPM_X 95 SITEPROP SLICE_X35Y44 RPM_Y 88 SITEPROP SLICE_X35Y44 SITE_PIPS SITEPROP SLICE_X35Y44 SITE_TYPE SLICEL SITEPROP SLICE_X35Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y45 CLASS site SITEPROP SLICE_X35Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y45 IS_BONDED 0 SITEPROP SLICE_X35Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y45 IS_PAD 0 SITEPROP SLICE_X35Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y45 IS_RESERVED 0 SITEPROP SLICE_X35Y45 IS_TEST 0 SITEPROP SLICE_X35Y45 IS_USED 0 SITEPROP SLICE_X35Y45 MANUAL_ROUTING SITEPROP SLICE_X35Y45 NAME SLICE_X35Y45 SITEPROP SLICE_X35Y45 NUM_ARCS 138 SITEPROP SLICE_X35Y45 NUM_BELS 32 SITEPROP SLICE_X35Y45 NUM_INPUTS 32 SITEPROP SLICE_X35Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y45 NUM_PINS 45 SITEPROP SLICE_X35Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y45 PROHIBIT 0 SITEPROP SLICE_X35Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y45 RPM_X 95 SITEPROP SLICE_X35Y45 RPM_Y 90 SITEPROP SLICE_X35Y45 SITE_PIPS SITEPROP SLICE_X35Y45 SITE_TYPE SLICEL SITEPROP SLICE_X35Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y46 CLASS site SITEPROP SLICE_X35Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y46 IS_BONDED 0 SITEPROP SLICE_X35Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y46 IS_PAD 0 SITEPROP SLICE_X35Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y46 IS_RESERVED 0 SITEPROP SLICE_X35Y46 IS_TEST 0 SITEPROP SLICE_X35Y46 IS_USED 0 SITEPROP SLICE_X35Y46 MANUAL_ROUTING SITEPROP SLICE_X35Y46 NAME SLICE_X35Y46 SITEPROP SLICE_X35Y46 NUM_ARCS 138 SITEPROP SLICE_X35Y46 NUM_BELS 32 SITEPROP SLICE_X35Y46 NUM_INPUTS 32 SITEPROP SLICE_X35Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y46 NUM_PINS 45 SITEPROP SLICE_X35Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y46 PROHIBIT 0 SITEPROP SLICE_X35Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y46 RPM_X 95 SITEPROP SLICE_X35Y46 RPM_Y 92 SITEPROP SLICE_X35Y46 SITE_PIPS SITEPROP SLICE_X35Y46 SITE_TYPE SLICEL SITEPROP SLICE_X35Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y47 CLASS site SITEPROP SLICE_X35Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y47 IS_BONDED 0 SITEPROP SLICE_X35Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y47 IS_PAD 0 SITEPROP SLICE_X35Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y47 IS_RESERVED 0 SITEPROP SLICE_X35Y47 IS_TEST 0 SITEPROP SLICE_X35Y47 IS_USED 0 SITEPROP SLICE_X35Y47 MANUAL_ROUTING SITEPROP SLICE_X35Y47 NAME SLICE_X35Y47 SITEPROP SLICE_X35Y47 NUM_ARCS 138 SITEPROP SLICE_X35Y47 NUM_BELS 32 SITEPROP SLICE_X35Y47 NUM_INPUTS 32 SITEPROP SLICE_X35Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y47 NUM_PINS 45 SITEPROP SLICE_X35Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y47 PROHIBIT 0 SITEPROP SLICE_X35Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y47 RPM_X 95 SITEPROP SLICE_X35Y47 RPM_Y 94 SITEPROP SLICE_X35Y47 SITE_PIPS SITEPROP SLICE_X35Y47 SITE_TYPE SLICEL SITEPROP SLICE_X35Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y48 CLASS site SITEPROP SLICE_X35Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y48 IS_BONDED 0 SITEPROP SLICE_X35Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y48 IS_PAD 0 SITEPROP SLICE_X35Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y48 IS_RESERVED 0 SITEPROP SLICE_X35Y48 IS_TEST 0 SITEPROP SLICE_X35Y48 IS_USED 0 SITEPROP SLICE_X35Y48 MANUAL_ROUTING SITEPROP SLICE_X35Y48 NAME SLICE_X35Y48 SITEPROP SLICE_X35Y48 NUM_ARCS 138 SITEPROP SLICE_X35Y48 NUM_BELS 32 SITEPROP SLICE_X35Y48 NUM_INPUTS 32 SITEPROP SLICE_X35Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y48 NUM_PINS 45 SITEPROP SLICE_X35Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y48 PROHIBIT 0 SITEPROP SLICE_X35Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y48 RPM_X 95 SITEPROP SLICE_X35Y48 RPM_Y 96 SITEPROP SLICE_X35Y48 SITE_PIPS SITEPROP SLICE_X35Y48 SITE_TYPE SLICEL SITEPROP SLICE_X35Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y49 CLASS site SITEPROP SLICE_X35Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X35Y49 IS_BONDED 0 SITEPROP SLICE_X35Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y49 IS_PAD 0 SITEPROP SLICE_X35Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y49 IS_RESERVED 0 SITEPROP SLICE_X35Y49 IS_TEST 0 SITEPROP SLICE_X35Y49 IS_USED 0 SITEPROP SLICE_X35Y49 MANUAL_ROUTING SITEPROP SLICE_X35Y49 NAME SLICE_X35Y49 SITEPROP SLICE_X35Y49 NUM_ARCS 138 SITEPROP SLICE_X35Y49 NUM_BELS 32 SITEPROP SLICE_X35Y49 NUM_INPUTS 32 SITEPROP SLICE_X35Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y49 NUM_PINS 45 SITEPROP SLICE_X35Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y49 PROHIBIT 0 SITEPROP SLICE_X35Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y49 RPM_X 95 SITEPROP SLICE_X35Y49 RPM_Y 98 SITEPROP SLICE_X35Y49 SITE_PIPS SITEPROP SLICE_X35Y49 SITE_TYPE SLICEL SITEPROP SLICE_X35Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y50 CLASS site SITEPROP SLICE_X35Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y50 IS_BONDED 0 SITEPROP SLICE_X35Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y50 IS_PAD 0 SITEPROP SLICE_X35Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y50 IS_RESERVED 0 SITEPROP SLICE_X35Y50 IS_TEST 0 SITEPROP SLICE_X35Y50 IS_USED 0 SITEPROP SLICE_X35Y50 MANUAL_ROUTING SITEPROP SLICE_X35Y50 NAME SLICE_X35Y50 SITEPROP SLICE_X35Y50 NUM_ARCS 138 SITEPROP SLICE_X35Y50 NUM_BELS 32 SITEPROP SLICE_X35Y50 NUM_INPUTS 32 SITEPROP SLICE_X35Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y50 NUM_PINS 45 SITEPROP SLICE_X35Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y50 PROHIBIT 0 SITEPROP SLICE_X35Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y50 RPM_X 95 SITEPROP SLICE_X35Y50 RPM_Y 100 SITEPROP SLICE_X35Y50 SITE_PIPS SITEPROP SLICE_X35Y50 SITE_TYPE SLICEL SITEPROP SLICE_X35Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y51 CLASS site SITEPROP SLICE_X35Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y51 IS_BONDED 0 SITEPROP SLICE_X35Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y51 IS_PAD 0 SITEPROP SLICE_X35Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y51 IS_RESERVED 0 SITEPROP SLICE_X35Y51 IS_TEST 0 SITEPROP SLICE_X35Y51 IS_USED 0 SITEPROP SLICE_X35Y51 MANUAL_ROUTING SITEPROP SLICE_X35Y51 NAME SLICE_X35Y51 SITEPROP SLICE_X35Y51 NUM_ARCS 138 SITEPROP SLICE_X35Y51 NUM_BELS 32 SITEPROP SLICE_X35Y51 NUM_INPUTS 32 SITEPROP SLICE_X35Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y51 NUM_PINS 45 SITEPROP SLICE_X35Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y51 PROHIBIT 0 SITEPROP SLICE_X35Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y51 RPM_X 95 SITEPROP SLICE_X35Y51 RPM_Y 102 SITEPROP SLICE_X35Y51 SITE_PIPS SITEPROP SLICE_X35Y51 SITE_TYPE SLICEL SITEPROP SLICE_X35Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y52 CLASS site SITEPROP SLICE_X35Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y52 IS_BONDED 0 SITEPROP SLICE_X35Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y52 IS_PAD 0 SITEPROP SLICE_X35Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y52 IS_RESERVED 0 SITEPROP SLICE_X35Y52 IS_TEST 0 SITEPROP SLICE_X35Y52 IS_USED 0 SITEPROP SLICE_X35Y52 MANUAL_ROUTING SITEPROP SLICE_X35Y52 NAME SLICE_X35Y52 SITEPROP SLICE_X35Y52 NUM_ARCS 138 SITEPROP SLICE_X35Y52 NUM_BELS 32 SITEPROP SLICE_X35Y52 NUM_INPUTS 32 SITEPROP SLICE_X35Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y52 NUM_PINS 45 SITEPROP SLICE_X35Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y52 PROHIBIT 0 SITEPROP SLICE_X35Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y52 RPM_X 95 SITEPROP SLICE_X35Y52 RPM_Y 104 SITEPROP SLICE_X35Y52 SITE_PIPS SITEPROP SLICE_X35Y52 SITE_TYPE SLICEL SITEPROP SLICE_X35Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y53 CLASS site SITEPROP SLICE_X35Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y53 IS_BONDED 0 SITEPROP SLICE_X35Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y53 IS_PAD 0 SITEPROP SLICE_X35Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y53 IS_RESERVED 0 SITEPROP SLICE_X35Y53 IS_TEST 0 SITEPROP SLICE_X35Y53 IS_USED 0 SITEPROP SLICE_X35Y53 MANUAL_ROUTING SITEPROP SLICE_X35Y53 NAME SLICE_X35Y53 SITEPROP SLICE_X35Y53 NUM_ARCS 138 SITEPROP SLICE_X35Y53 NUM_BELS 32 SITEPROP SLICE_X35Y53 NUM_INPUTS 32 SITEPROP SLICE_X35Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y53 NUM_PINS 45 SITEPROP SLICE_X35Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y53 PROHIBIT 0 SITEPROP SLICE_X35Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y53 RPM_X 95 SITEPROP SLICE_X35Y53 RPM_Y 106 SITEPROP SLICE_X35Y53 SITE_PIPS SITEPROP SLICE_X35Y53 SITE_TYPE SLICEL SITEPROP SLICE_X35Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y54 CLASS site SITEPROP SLICE_X35Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y54 IS_BONDED 0 SITEPROP SLICE_X35Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y54 IS_PAD 0 SITEPROP SLICE_X35Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y54 IS_RESERVED 0 SITEPROP SLICE_X35Y54 IS_TEST 0 SITEPROP SLICE_X35Y54 IS_USED 0 SITEPROP SLICE_X35Y54 MANUAL_ROUTING SITEPROP SLICE_X35Y54 NAME SLICE_X35Y54 SITEPROP SLICE_X35Y54 NUM_ARCS 138 SITEPROP SLICE_X35Y54 NUM_BELS 32 SITEPROP SLICE_X35Y54 NUM_INPUTS 32 SITEPROP SLICE_X35Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y54 NUM_PINS 45 SITEPROP SLICE_X35Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y54 PROHIBIT 0 SITEPROP SLICE_X35Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y54 RPM_X 95 SITEPROP SLICE_X35Y54 RPM_Y 108 SITEPROP SLICE_X35Y54 SITE_PIPS SITEPROP SLICE_X35Y54 SITE_TYPE SLICEL SITEPROP SLICE_X35Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y55 CLASS site SITEPROP SLICE_X35Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y55 IS_BONDED 0 SITEPROP SLICE_X35Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y55 IS_PAD 0 SITEPROP SLICE_X35Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y55 IS_RESERVED 0 SITEPROP SLICE_X35Y55 IS_TEST 0 SITEPROP SLICE_X35Y55 IS_USED 0 SITEPROP SLICE_X35Y55 MANUAL_ROUTING SITEPROP SLICE_X35Y55 NAME SLICE_X35Y55 SITEPROP SLICE_X35Y55 NUM_ARCS 138 SITEPROP SLICE_X35Y55 NUM_BELS 32 SITEPROP SLICE_X35Y55 NUM_INPUTS 32 SITEPROP SLICE_X35Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y55 NUM_PINS 45 SITEPROP SLICE_X35Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y55 PROHIBIT 0 SITEPROP SLICE_X35Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y55 RPM_X 95 SITEPROP SLICE_X35Y55 RPM_Y 110 SITEPROP SLICE_X35Y55 SITE_PIPS SITEPROP SLICE_X35Y55 SITE_TYPE SLICEL SITEPROP SLICE_X35Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y56 CLASS site SITEPROP SLICE_X35Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y56 IS_BONDED 0 SITEPROP SLICE_X35Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y56 IS_PAD 0 SITEPROP SLICE_X35Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y56 IS_RESERVED 0 SITEPROP SLICE_X35Y56 IS_TEST 0 SITEPROP SLICE_X35Y56 IS_USED 0 SITEPROP SLICE_X35Y56 MANUAL_ROUTING SITEPROP SLICE_X35Y56 NAME SLICE_X35Y56 SITEPROP SLICE_X35Y56 NUM_ARCS 138 SITEPROP SLICE_X35Y56 NUM_BELS 32 SITEPROP SLICE_X35Y56 NUM_INPUTS 32 SITEPROP SLICE_X35Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y56 NUM_PINS 45 SITEPROP SLICE_X35Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y56 PROHIBIT 0 SITEPROP SLICE_X35Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y56 RPM_X 95 SITEPROP SLICE_X35Y56 RPM_Y 112 SITEPROP SLICE_X35Y56 SITE_PIPS SITEPROP SLICE_X35Y56 SITE_TYPE SLICEL SITEPROP SLICE_X35Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y57 CLASS site SITEPROP SLICE_X35Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y57 IS_BONDED 0 SITEPROP SLICE_X35Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y57 IS_PAD 0 SITEPROP SLICE_X35Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y57 IS_RESERVED 0 SITEPROP SLICE_X35Y57 IS_TEST 0 SITEPROP SLICE_X35Y57 IS_USED 0 SITEPROP SLICE_X35Y57 MANUAL_ROUTING SITEPROP SLICE_X35Y57 NAME SLICE_X35Y57 SITEPROP SLICE_X35Y57 NUM_ARCS 138 SITEPROP SLICE_X35Y57 NUM_BELS 32 SITEPROP SLICE_X35Y57 NUM_INPUTS 32 SITEPROP SLICE_X35Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y57 NUM_PINS 45 SITEPROP SLICE_X35Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y57 PROHIBIT 0 SITEPROP SLICE_X35Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y57 RPM_X 95 SITEPROP SLICE_X35Y57 RPM_Y 114 SITEPROP SLICE_X35Y57 SITE_PIPS SITEPROP SLICE_X35Y57 SITE_TYPE SLICEL SITEPROP SLICE_X35Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y58 CLASS site SITEPROP SLICE_X35Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y58 IS_BONDED 0 SITEPROP SLICE_X35Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y58 IS_PAD 0 SITEPROP SLICE_X35Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y58 IS_RESERVED 0 SITEPROP SLICE_X35Y58 IS_TEST 0 SITEPROP SLICE_X35Y58 IS_USED 0 SITEPROP SLICE_X35Y58 MANUAL_ROUTING SITEPROP SLICE_X35Y58 NAME SLICE_X35Y58 SITEPROP SLICE_X35Y58 NUM_ARCS 138 SITEPROP SLICE_X35Y58 NUM_BELS 32 SITEPROP SLICE_X35Y58 NUM_INPUTS 32 SITEPROP SLICE_X35Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y58 NUM_PINS 45 SITEPROP SLICE_X35Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y58 PROHIBIT 0 SITEPROP SLICE_X35Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y58 RPM_X 95 SITEPROP SLICE_X35Y58 RPM_Y 116 SITEPROP SLICE_X35Y58 SITE_PIPS SITEPROP SLICE_X35Y58 SITE_TYPE SLICEL SITEPROP SLICE_X35Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y59 CLASS site SITEPROP SLICE_X35Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y59 IS_BONDED 0 SITEPROP SLICE_X35Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y59 IS_PAD 0 SITEPROP SLICE_X35Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y59 IS_RESERVED 0 SITEPROP SLICE_X35Y59 IS_TEST 0 SITEPROP SLICE_X35Y59 IS_USED 0 SITEPROP SLICE_X35Y59 MANUAL_ROUTING SITEPROP SLICE_X35Y59 NAME SLICE_X35Y59 SITEPROP SLICE_X35Y59 NUM_ARCS 138 SITEPROP SLICE_X35Y59 NUM_BELS 32 SITEPROP SLICE_X35Y59 NUM_INPUTS 32 SITEPROP SLICE_X35Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y59 NUM_PINS 45 SITEPROP SLICE_X35Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y59 PROHIBIT 0 SITEPROP SLICE_X35Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y59 RPM_X 95 SITEPROP SLICE_X35Y59 RPM_Y 118 SITEPROP SLICE_X35Y59 SITE_PIPS SITEPROP SLICE_X35Y59 SITE_TYPE SLICEL SITEPROP SLICE_X35Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y60 CLASS site SITEPROP SLICE_X35Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y60 IS_BONDED 0 SITEPROP SLICE_X35Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y60 IS_PAD 0 SITEPROP SLICE_X35Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y60 IS_RESERVED 0 SITEPROP SLICE_X35Y60 IS_TEST 0 SITEPROP SLICE_X35Y60 IS_USED 0 SITEPROP SLICE_X35Y60 MANUAL_ROUTING SITEPROP SLICE_X35Y60 NAME SLICE_X35Y60 SITEPROP SLICE_X35Y60 NUM_ARCS 138 SITEPROP SLICE_X35Y60 NUM_BELS 32 SITEPROP SLICE_X35Y60 NUM_INPUTS 32 SITEPROP SLICE_X35Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y60 NUM_PINS 45 SITEPROP SLICE_X35Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y60 PROHIBIT 0 SITEPROP SLICE_X35Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y60 RPM_X 95 SITEPROP SLICE_X35Y60 RPM_Y 120 SITEPROP SLICE_X35Y60 SITE_PIPS SITEPROP SLICE_X35Y60 SITE_TYPE SLICEL SITEPROP SLICE_X35Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y61 CLASS site SITEPROP SLICE_X35Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y61 IS_BONDED 0 SITEPROP SLICE_X35Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y61 IS_PAD 0 SITEPROP SLICE_X35Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y61 IS_RESERVED 0 SITEPROP SLICE_X35Y61 IS_TEST 0 SITEPROP SLICE_X35Y61 IS_USED 0 SITEPROP SLICE_X35Y61 MANUAL_ROUTING SITEPROP SLICE_X35Y61 NAME SLICE_X35Y61 SITEPROP SLICE_X35Y61 NUM_ARCS 138 SITEPROP SLICE_X35Y61 NUM_BELS 32 SITEPROP SLICE_X35Y61 NUM_INPUTS 32 SITEPROP SLICE_X35Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y61 NUM_PINS 45 SITEPROP SLICE_X35Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y61 PROHIBIT 0 SITEPROP SLICE_X35Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y61 RPM_X 95 SITEPROP SLICE_X35Y61 RPM_Y 122 SITEPROP SLICE_X35Y61 SITE_PIPS SITEPROP SLICE_X35Y61 SITE_TYPE SLICEL SITEPROP SLICE_X35Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y62 CLASS site SITEPROP SLICE_X35Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y62 IS_BONDED 0 SITEPROP SLICE_X35Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y62 IS_PAD 0 SITEPROP SLICE_X35Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y62 IS_RESERVED 0 SITEPROP SLICE_X35Y62 IS_TEST 0 SITEPROP SLICE_X35Y62 IS_USED 0 SITEPROP SLICE_X35Y62 MANUAL_ROUTING SITEPROP SLICE_X35Y62 NAME SLICE_X35Y62 SITEPROP SLICE_X35Y62 NUM_ARCS 138 SITEPROP SLICE_X35Y62 NUM_BELS 32 SITEPROP SLICE_X35Y62 NUM_INPUTS 32 SITEPROP SLICE_X35Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y62 NUM_PINS 45 SITEPROP SLICE_X35Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y62 PROHIBIT 0 SITEPROP SLICE_X35Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y62 RPM_X 95 SITEPROP SLICE_X35Y62 RPM_Y 124 SITEPROP SLICE_X35Y62 SITE_PIPS SITEPROP SLICE_X35Y62 SITE_TYPE SLICEL SITEPROP SLICE_X35Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y63 CLASS site SITEPROP SLICE_X35Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y63 IS_BONDED 0 SITEPROP SLICE_X35Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y63 IS_PAD 0 SITEPROP SLICE_X35Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y63 IS_RESERVED 0 SITEPROP SLICE_X35Y63 IS_TEST 0 SITEPROP SLICE_X35Y63 IS_USED 0 SITEPROP SLICE_X35Y63 MANUAL_ROUTING SITEPROP SLICE_X35Y63 NAME SLICE_X35Y63 SITEPROP SLICE_X35Y63 NUM_ARCS 138 SITEPROP SLICE_X35Y63 NUM_BELS 32 SITEPROP SLICE_X35Y63 NUM_INPUTS 32 SITEPROP SLICE_X35Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y63 NUM_PINS 45 SITEPROP SLICE_X35Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y63 PROHIBIT 0 SITEPROP SLICE_X35Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y63 RPM_X 95 SITEPROP SLICE_X35Y63 RPM_Y 126 SITEPROP SLICE_X35Y63 SITE_PIPS SITEPROP SLICE_X35Y63 SITE_TYPE SLICEL SITEPROP SLICE_X35Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y64 CLASS site SITEPROP SLICE_X35Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y64 IS_BONDED 0 SITEPROP SLICE_X35Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y64 IS_PAD 0 SITEPROP SLICE_X35Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y64 IS_RESERVED 0 SITEPROP SLICE_X35Y64 IS_TEST 0 SITEPROP SLICE_X35Y64 IS_USED 0 SITEPROP SLICE_X35Y64 MANUAL_ROUTING SITEPROP SLICE_X35Y64 NAME SLICE_X35Y64 SITEPROP SLICE_X35Y64 NUM_ARCS 138 SITEPROP SLICE_X35Y64 NUM_BELS 32 SITEPROP SLICE_X35Y64 NUM_INPUTS 32 SITEPROP SLICE_X35Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y64 NUM_PINS 45 SITEPROP SLICE_X35Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y64 PROHIBIT 0 SITEPROP SLICE_X35Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y64 RPM_X 95 SITEPROP SLICE_X35Y64 RPM_Y 128 SITEPROP SLICE_X35Y64 SITE_PIPS SITEPROP SLICE_X35Y64 SITE_TYPE SLICEL SITEPROP SLICE_X35Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y65 CLASS site SITEPROP SLICE_X35Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y65 IS_BONDED 0 SITEPROP SLICE_X35Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y65 IS_PAD 0 SITEPROP SLICE_X35Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y65 IS_RESERVED 0 SITEPROP SLICE_X35Y65 IS_TEST 0 SITEPROP SLICE_X35Y65 IS_USED 0 SITEPROP SLICE_X35Y65 MANUAL_ROUTING SITEPROP SLICE_X35Y65 NAME SLICE_X35Y65 SITEPROP SLICE_X35Y65 NUM_ARCS 138 SITEPROP SLICE_X35Y65 NUM_BELS 32 SITEPROP SLICE_X35Y65 NUM_INPUTS 32 SITEPROP SLICE_X35Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y65 NUM_PINS 45 SITEPROP SLICE_X35Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y65 PROHIBIT 0 SITEPROP SLICE_X35Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y65 RPM_X 95 SITEPROP SLICE_X35Y65 RPM_Y 130 SITEPROP SLICE_X35Y65 SITE_PIPS SITEPROP SLICE_X35Y65 SITE_TYPE SLICEL SITEPROP SLICE_X35Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y66 CLASS site SITEPROP SLICE_X35Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y66 IS_BONDED 0 SITEPROP SLICE_X35Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y66 IS_PAD 0 SITEPROP SLICE_X35Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y66 IS_RESERVED 0 SITEPROP SLICE_X35Y66 IS_TEST 0 SITEPROP SLICE_X35Y66 IS_USED 0 SITEPROP SLICE_X35Y66 MANUAL_ROUTING SITEPROP SLICE_X35Y66 NAME SLICE_X35Y66 SITEPROP SLICE_X35Y66 NUM_ARCS 138 SITEPROP SLICE_X35Y66 NUM_BELS 32 SITEPROP SLICE_X35Y66 NUM_INPUTS 32 SITEPROP SLICE_X35Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y66 NUM_PINS 45 SITEPROP SLICE_X35Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y66 PROHIBIT 0 SITEPROP SLICE_X35Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y66 RPM_X 95 SITEPROP SLICE_X35Y66 RPM_Y 132 SITEPROP SLICE_X35Y66 SITE_PIPS SITEPROP SLICE_X35Y66 SITE_TYPE SLICEL SITEPROP SLICE_X35Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y67 CLASS site SITEPROP SLICE_X35Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y67 IS_BONDED 0 SITEPROP SLICE_X35Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y67 IS_PAD 0 SITEPROP SLICE_X35Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y67 IS_RESERVED 0 SITEPROP SLICE_X35Y67 IS_TEST 0 SITEPROP SLICE_X35Y67 IS_USED 0 SITEPROP SLICE_X35Y67 MANUAL_ROUTING SITEPROP SLICE_X35Y67 NAME SLICE_X35Y67 SITEPROP SLICE_X35Y67 NUM_ARCS 138 SITEPROP SLICE_X35Y67 NUM_BELS 32 SITEPROP SLICE_X35Y67 NUM_INPUTS 32 SITEPROP SLICE_X35Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y67 NUM_PINS 45 SITEPROP SLICE_X35Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y67 PROHIBIT 0 SITEPROP SLICE_X35Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y67 RPM_X 95 SITEPROP SLICE_X35Y67 RPM_Y 134 SITEPROP SLICE_X35Y67 SITE_PIPS SITEPROP SLICE_X35Y67 SITE_TYPE SLICEL SITEPROP SLICE_X35Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y68 CLASS site SITEPROP SLICE_X35Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y68 IS_BONDED 0 SITEPROP SLICE_X35Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y68 IS_PAD 0 SITEPROP SLICE_X35Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y68 IS_RESERVED 0 SITEPROP SLICE_X35Y68 IS_TEST 0 SITEPROP SLICE_X35Y68 IS_USED 0 SITEPROP SLICE_X35Y68 MANUAL_ROUTING SITEPROP SLICE_X35Y68 NAME SLICE_X35Y68 SITEPROP SLICE_X35Y68 NUM_ARCS 138 SITEPROP SLICE_X35Y68 NUM_BELS 32 SITEPROP SLICE_X35Y68 NUM_INPUTS 32 SITEPROP SLICE_X35Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y68 NUM_PINS 45 SITEPROP SLICE_X35Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y68 PROHIBIT 0 SITEPROP SLICE_X35Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y68 RPM_X 95 SITEPROP SLICE_X35Y68 RPM_Y 136 SITEPROP SLICE_X35Y68 SITE_PIPS SITEPROP SLICE_X35Y68 SITE_TYPE SLICEL SITEPROP SLICE_X35Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y69 CLASS site SITEPROP SLICE_X35Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y69 IS_BONDED 0 SITEPROP SLICE_X35Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y69 IS_PAD 0 SITEPROP SLICE_X35Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y69 IS_RESERVED 0 SITEPROP SLICE_X35Y69 IS_TEST 0 SITEPROP SLICE_X35Y69 IS_USED 0 SITEPROP SLICE_X35Y69 MANUAL_ROUTING SITEPROP SLICE_X35Y69 NAME SLICE_X35Y69 SITEPROP SLICE_X35Y69 NUM_ARCS 138 SITEPROP SLICE_X35Y69 NUM_BELS 32 SITEPROP SLICE_X35Y69 NUM_INPUTS 32 SITEPROP SLICE_X35Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y69 NUM_PINS 45 SITEPROP SLICE_X35Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y69 PROHIBIT 0 SITEPROP SLICE_X35Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y69 RPM_X 95 SITEPROP SLICE_X35Y69 RPM_Y 138 SITEPROP SLICE_X35Y69 SITE_PIPS SITEPROP SLICE_X35Y69 SITE_TYPE SLICEL SITEPROP SLICE_X35Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y70 CLASS site SITEPROP SLICE_X35Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y70 IS_BONDED 0 SITEPROP SLICE_X35Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y70 IS_PAD 0 SITEPROP SLICE_X35Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y70 IS_RESERVED 0 SITEPROP SLICE_X35Y70 IS_TEST 0 SITEPROP SLICE_X35Y70 IS_USED 0 SITEPROP SLICE_X35Y70 MANUAL_ROUTING SITEPROP SLICE_X35Y70 NAME SLICE_X35Y70 SITEPROP SLICE_X35Y70 NUM_ARCS 138 SITEPROP SLICE_X35Y70 NUM_BELS 32 SITEPROP SLICE_X35Y70 NUM_INPUTS 32 SITEPROP SLICE_X35Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y70 NUM_PINS 45 SITEPROP SLICE_X35Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y70 PROHIBIT 0 SITEPROP SLICE_X35Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y70 RPM_X 95 SITEPROP SLICE_X35Y70 RPM_Y 140 SITEPROP SLICE_X35Y70 SITE_PIPS SITEPROP SLICE_X35Y70 SITE_TYPE SLICEL SITEPROP SLICE_X35Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y71 CLASS site SITEPROP SLICE_X35Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y71 IS_BONDED 0 SITEPROP SLICE_X35Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y71 IS_PAD 0 SITEPROP SLICE_X35Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y71 IS_RESERVED 0 SITEPROP SLICE_X35Y71 IS_TEST 0 SITEPROP SLICE_X35Y71 IS_USED 0 SITEPROP SLICE_X35Y71 MANUAL_ROUTING SITEPROP SLICE_X35Y71 NAME SLICE_X35Y71 SITEPROP SLICE_X35Y71 NUM_ARCS 138 SITEPROP SLICE_X35Y71 NUM_BELS 32 SITEPROP SLICE_X35Y71 NUM_INPUTS 32 SITEPROP SLICE_X35Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y71 NUM_PINS 45 SITEPROP SLICE_X35Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y71 PROHIBIT 0 SITEPROP SLICE_X35Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y71 RPM_X 95 SITEPROP SLICE_X35Y71 RPM_Y 142 SITEPROP SLICE_X35Y71 SITE_PIPS SITEPROP SLICE_X35Y71 SITE_TYPE SLICEL SITEPROP SLICE_X35Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y72 CLASS site SITEPROP SLICE_X35Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y72 IS_BONDED 0 SITEPROP SLICE_X35Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y72 IS_PAD 0 SITEPROP SLICE_X35Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y72 IS_RESERVED 0 SITEPROP SLICE_X35Y72 IS_TEST 0 SITEPROP SLICE_X35Y72 IS_USED 0 SITEPROP SLICE_X35Y72 MANUAL_ROUTING SITEPROP SLICE_X35Y72 NAME SLICE_X35Y72 SITEPROP SLICE_X35Y72 NUM_ARCS 138 SITEPROP SLICE_X35Y72 NUM_BELS 32 SITEPROP SLICE_X35Y72 NUM_INPUTS 32 SITEPROP SLICE_X35Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y72 NUM_PINS 45 SITEPROP SLICE_X35Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y72 PROHIBIT 0 SITEPROP SLICE_X35Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y72 RPM_X 95 SITEPROP SLICE_X35Y72 RPM_Y 144 SITEPROP SLICE_X35Y72 SITE_PIPS SITEPROP SLICE_X35Y72 SITE_TYPE SLICEL SITEPROP SLICE_X35Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y73 CLASS site SITEPROP SLICE_X35Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y73 IS_BONDED 0 SITEPROP SLICE_X35Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y73 IS_PAD 0 SITEPROP SLICE_X35Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y73 IS_RESERVED 0 SITEPROP SLICE_X35Y73 IS_TEST 0 SITEPROP SLICE_X35Y73 IS_USED 0 SITEPROP SLICE_X35Y73 MANUAL_ROUTING SITEPROP SLICE_X35Y73 NAME SLICE_X35Y73 SITEPROP SLICE_X35Y73 NUM_ARCS 138 SITEPROP SLICE_X35Y73 NUM_BELS 32 SITEPROP SLICE_X35Y73 NUM_INPUTS 32 SITEPROP SLICE_X35Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y73 NUM_PINS 45 SITEPROP SLICE_X35Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y73 PROHIBIT 0 SITEPROP SLICE_X35Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y73 RPM_X 95 SITEPROP SLICE_X35Y73 RPM_Y 146 SITEPROP SLICE_X35Y73 SITE_PIPS SITEPROP SLICE_X35Y73 SITE_TYPE SLICEL SITEPROP SLICE_X35Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y74 CLASS site SITEPROP SLICE_X35Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y74 IS_BONDED 0 SITEPROP SLICE_X35Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y74 IS_PAD 0 SITEPROP SLICE_X35Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y74 IS_RESERVED 0 SITEPROP SLICE_X35Y74 IS_TEST 0 SITEPROP SLICE_X35Y74 IS_USED 0 SITEPROP SLICE_X35Y74 MANUAL_ROUTING SITEPROP SLICE_X35Y74 NAME SLICE_X35Y74 SITEPROP SLICE_X35Y74 NUM_ARCS 138 SITEPROP SLICE_X35Y74 NUM_BELS 32 SITEPROP SLICE_X35Y74 NUM_INPUTS 32 SITEPROP SLICE_X35Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y74 NUM_PINS 45 SITEPROP SLICE_X35Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y74 PROHIBIT 0 SITEPROP SLICE_X35Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y74 RPM_X 95 SITEPROP SLICE_X35Y74 RPM_Y 148 SITEPROP SLICE_X35Y74 SITE_PIPS SITEPROP SLICE_X35Y74 SITE_TYPE SLICEL SITEPROP SLICE_X35Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y75 CLASS site SITEPROP SLICE_X35Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y75 IS_BONDED 0 SITEPROP SLICE_X35Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y75 IS_PAD 0 SITEPROP SLICE_X35Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y75 IS_RESERVED 0 SITEPROP SLICE_X35Y75 IS_TEST 0 SITEPROP SLICE_X35Y75 IS_USED 0 SITEPROP SLICE_X35Y75 MANUAL_ROUTING SITEPROP SLICE_X35Y75 NAME SLICE_X35Y75 SITEPROP SLICE_X35Y75 NUM_ARCS 138 SITEPROP SLICE_X35Y75 NUM_BELS 32 SITEPROP SLICE_X35Y75 NUM_INPUTS 32 SITEPROP SLICE_X35Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y75 NUM_PINS 45 SITEPROP SLICE_X35Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y75 PROHIBIT 0 SITEPROP SLICE_X35Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y75 RPM_X 95 SITEPROP SLICE_X35Y75 RPM_Y 150 SITEPROP SLICE_X35Y75 SITE_PIPS SITEPROP SLICE_X35Y75 SITE_TYPE SLICEL SITEPROP SLICE_X35Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y76 CLASS site SITEPROP SLICE_X35Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y76 IS_BONDED 0 SITEPROP SLICE_X35Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y76 IS_PAD 0 SITEPROP SLICE_X35Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y76 IS_RESERVED 0 SITEPROP SLICE_X35Y76 IS_TEST 0 SITEPROP SLICE_X35Y76 IS_USED 0 SITEPROP SLICE_X35Y76 MANUAL_ROUTING SITEPROP SLICE_X35Y76 NAME SLICE_X35Y76 SITEPROP SLICE_X35Y76 NUM_ARCS 138 SITEPROP SLICE_X35Y76 NUM_BELS 32 SITEPROP SLICE_X35Y76 NUM_INPUTS 32 SITEPROP SLICE_X35Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y76 NUM_PINS 45 SITEPROP SLICE_X35Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y76 PROHIBIT 0 SITEPROP SLICE_X35Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y76 RPM_X 95 SITEPROP SLICE_X35Y76 RPM_Y 152 SITEPROP SLICE_X35Y76 SITE_PIPS SITEPROP SLICE_X35Y76 SITE_TYPE SLICEL SITEPROP SLICE_X35Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y77 CLASS site SITEPROP SLICE_X35Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y77 IS_BONDED 0 SITEPROP SLICE_X35Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y77 IS_PAD 0 SITEPROP SLICE_X35Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y77 IS_RESERVED 0 SITEPROP SLICE_X35Y77 IS_TEST 0 SITEPROP SLICE_X35Y77 IS_USED 0 SITEPROP SLICE_X35Y77 MANUAL_ROUTING SITEPROP SLICE_X35Y77 NAME SLICE_X35Y77 SITEPROP SLICE_X35Y77 NUM_ARCS 138 SITEPROP SLICE_X35Y77 NUM_BELS 32 SITEPROP SLICE_X35Y77 NUM_INPUTS 32 SITEPROP SLICE_X35Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y77 NUM_PINS 45 SITEPROP SLICE_X35Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y77 PROHIBIT 0 SITEPROP SLICE_X35Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y77 RPM_X 95 SITEPROP SLICE_X35Y77 RPM_Y 154 SITEPROP SLICE_X35Y77 SITE_PIPS SITEPROP SLICE_X35Y77 SITE_TYPE SLICEL SITEPROP SLICE_X35Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y78 CLASS site SITEPROP SLICE_X35Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y78 IS_BONDED 0 SITEPROP SLICE_X35Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y78 IS_PAD 0 SITEPROP SLICE_X35Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y78 IS_RESERVED 0 SITEPROP SLICE_X35Y78 IS_TEST 0 SITEPROP SLICE_X35Y78 IS_USED 0 SITEPROP SLICE_X35Y78 MANUAL_ROUTING SITEPROP SLICE_X35Y78 NAME SLICE_X35Y78 SITEPROP SLICE_X35Y78 NUM_ARCS 138 SITEPROP SLICE_X35Y78 NUM_BELS 32 SITEPROP SLICE_X35Y78 NUM_INPUTS 32 SITEPROP SLICE_X35Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y78 NUM_PINS 45 SITEPROP SLICE_X35Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y78 PROHIBIT 0 SITEPROP SLICE_X35Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y78 RPM_X 95 SITEPROP SLICE_X35Y78 RPM_Y 156 SITEPROP SLICE_X35Y78 SITE_PIPS SITEPROP SLICE_X35Y78 SITE_TYPE SLICEL SITEPROP SLICE_X35Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y79 CLASS site SITEPROP SLICE_X35Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y79 IS_BONDED 0 SITEPROP SLICE_X35Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y79 IS_PAD 0 SITEPROP SLICE_X35Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y79 IS_RESERVED 0 SITEPROP SLICE_X35Y79 IS_TEST 0 SITEPROP SLICE_X35Y79 IS_USED 0 SITEPROP SLICE_X35Y79 MANUAL_ROUTING SITEPROP SLICE_X35Y79 NAME SLICE_X35Y79 SITEPROP SLICE_X35Y79 NUM_ARCS 138 SITEPROP SLICE_X35Y79 NUM_BELS 32 SITEPROP SLICE_X35Y79 NUM_INPUTS 32 SITEPROP SLICE_X35Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y79 NUM_PINS 45 SITEPROP SLICE_X35Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y79 PROHIBIT 0 SITEPROP SLICE_X35Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y79 RPM_X 95 SITEPROP SLICE_X35Y79 RPM_Y 158 SITEPROP SLICE_X35Y79 SITE_PIPS SITEPROP SLICE_X35Y79 SITE_TYPE SLICEL SITEPROP SLICE_X35Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y80 CLASS site SITEPROP SLICE_X35Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y80 IS_BONDED 0 SITEPROP SLICE_X35Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y80 IS_PAD 0 SITEPROP SLICE_X35Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y80 IS_RESERVED 0 SITEPROP SLICE_X35Y80 IS_TEST 0 SITEPROP SLICE_X35Y80 IS_USED 0 SITEPROP SLICE_X35Y80 MANUAL_ROUTING SITEPROP SLICE_X35Y80 NAME SLICE_X35Y80 SITEPROP SLICE_X35Y80 NUM_ARCS 138 SITEPROP SLICE_X35Y80 NUM_BELS 32 SITEPROP SLICE_X35Y80 NUM_INPUTS 32 SITEPROP SLICE_X35Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y80 NUM_PINS 45 SITEPROP SLICE_X35Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y80 PROHIBIT 0 SITEPROP SLICE_X35Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y80 RPM_X 95 SITEPROP SLICE_X35Y80 RPM_Y 160 SITEPROP SLICE_X35Y80 SITE_PIPS SITEPROP SLICE_X35Y80 SITE_TYPE SLICEL SITEPROP SLICE_X35Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y81 CLASS site SITEPROP SLICE_X35Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y81 IS_BONDED 0 SITEPROP SLICE_X35Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y81 IS_PAD 0 SITEPROP SLICE_X35Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y81 IS_RESERVED 0 SITEPROP SLICE_X35Y81 IS_TEST 0 SITEPROP SLICE_X35Y81 IS_USED 0 SITEPROP SLICE_X35Y81 MANUAL_ROUTING SITEPROP SLICE_X35Y81 NAME SLICE_X35Y81 SITEPROP SLICE_X35Y81 NUM_ARCS 138 SITEPROP SLICE_X35Y81 NUM_BELS 32 SITEPROP SLICE_X35Y81 NUM_INPUTS 32 SITEPROP SLICE_X35Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y81 NUM_PINS 45 SITEPROP SLICE_X35Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y81 PROHIBIT 0 SITEPROP SLICE_X35Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y81 RPM_X 95 SITEPROP SLICE_X35Y81 RPM_Y 162 SITEPROP SLICE_X35Y81 SITE_PIPS SITEPROP SLICE_X35Y81 SITE_TYPE SLICEL SITEPROP SLICE_X35Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y82 CLASS site SITEPROP SLICE_X35Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y82 IS_BONDED 0 SITEPROP SLICE_X35Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y82 IS_PAD 0 SITEPROP SLICE_X35Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y82 IS_RESERVED 0 SITEPROP SLICE_X35Y82 IS_TEST 0 SITEPROP SLICE_X35Y82 IS_USED 0 SITEPROP SLICE_X35Y82 MANUAL_ROUTING SITEPROP SLICE_X35Y82 NAME SLICE_X35Y82 SITEPROP SLICE_X35Y82 NUM_ARCS 138 SITEPROP SLICE_X35Y82 NUM_BELS 32 SITEPROP SLICE_X35Y82 NUM_INPUTS 32 SITEPROP SLICE_X35Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y82 NUM_PINS 45 SITEPROP SLICE_X35Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y82 PROHIBIT 0 SITEPROP SLICE_X35Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y82 RPM_X 95 SITEPROP SLICE_X35Y82 RPM_Y 164 SITEPROP SLICE_X35Y82 SITE_PIPS SITEPROP SLICE_X35Y82 SITE_TYPE SLICEL SITEPROP SLICE_X35Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y83 CLASS site SITEPROP SLICE_X35Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y83 IS_BONDED 0 SITEPROP SLICE_X35Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y83 IS_PAD 0 SITEPROP SLICE_X35Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y83 IS_RESERVED 0 SITEPROP SLICE_X35Y83 IS_TEST 0 SITEPROP SLICE_X35Y83 IS_USED 0 SITEPROP SLICE_X35Y83 MANUAL_ROUTING SITEPROP SLICE_X35Y83 NAME SLICE_X35Y83 SITEPROP SLICE_X35Y83 NUM_ARCS 138 SITEPROP SLICE_X35Y83 NUM_BELS 32 SITEPROP SLICE_X35Y83 NUM_INPUTS 32 SITEPROP SLICE_X35Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y83 NUM_PINS 45 SITEPROP SLICE_X35Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y83 PROHIBIT 0 SITEPROP SLICE_X35Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y83 RPM_X 95 SITEPROP SLICE_X35Y83 RPM_Y 166 SITEPROP SLICE_X35Y83 SITE_PIPS SITEPROP SLICE_X35Y83 SITE_TYPE SLICEL SITEPROP SLICE_X35Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y84 CLASS site SITEPROP SLICE_X35Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y84 IS_BONDED 0 SITEPROP SLICE_X35Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y84 IS_PAD 0 SITEPROP SLICE_X35Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y84 IS_RESERVED 0 SITEPROP SLICE_X35Y84 IS_TEST 0 SITEPROP SLICE_X35Y84 IS_USED 0 SITEPROP SLICE_X35Y84 MANUAL_ROUTING SITEPROP SLICE_X35Y84 NAME SLICE_X35Y84 SITEPROP SLICE_X35Y84 NUM_ARCS 138 SITEPROP SLICE_X35Y84 NUM_BELS 32 SITEPROP SLICE_X35Y84 NUM_INPUTS 32 SITEPROP SLICE_X35Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y84 NUM_PINS 45 SITEPROP SLICE_X35Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y84 PROHIBIT 0 SITEPROP SLICE_X35Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y84 RPM_X 95 SITEPROP SLICE_X35Y84 RPM_Y 168 SITEPROP SLICE_X35Y84 SITE_PIPS SITEPROP SLICE_X35Y84 SITE_TYPE SLICEL SITEPROP SLICE_X35Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y85 CLASS site SITEPROP SLICE_X35Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y85 IS_BONDED 0 SITEPROP SLICE_X35Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y85 IS_PAD 0 SITEPROP SLICE_X35Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y85 IS_RESERVED 0 SITEPROP SLICE_X35Y85 IS_TEST 0 SITEPROP SLICE_X35Y85 IS_USED 0 SITEPROP SLICE_X35Y85 MANUAL_ROUTING SITEPROP SLICE_X35Y85 NAME SLICE_X35Y85 SITEPROP SLICE_X35Y85 NUM_ARCS 138 SITEPROP SLICE_X35Y85 NUM_BELS 32 SITEPROP SLICE_X35Y85 NUM_INPUTS 32 SITEPROP SLICE_X35Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y85 NUM_PINS 45 SITEPROP SLICE_X35Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y85 PROHIBIT 0 SITEPROP SLICE_X35Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y85 RPM_X 95 SITEPROP SLICE_X35Y85 RPM_Y 170 SITEPROP SLICE_X35Y85 SITE_PIPS SITEPROP SLICE_X35Y85 SITE_TYPE SLICEL SITEPROP SLICE_X35Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y86 CLASS site SITEPROP SLICE_X35Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y86 IS_BONDED 0 SITEPROP SLICE_X35Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y86 IS_PAD 0 SITEPROP SLICE_X35Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y86 IS_RESERVED 0 SITEPROP SLICE_X35Y86 IS_TEST 0 SITEPROP SLICE_X35Y86 IS_USED 0 SITEPROP SLICE_X35Y86 MANUAL_ROUTING SITEPROP SLICE_X35Y86 NAME SLICE_X35Y86 SITEPROP SLICE_X35Y86 NUM_ARCS 138 SITEPROP SLICE_X35Y86 NUM_BELS 32 SITEPROP SLICE_X35Y86 NUM_INPUTS 32 SITEPROP SLICE_X35Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y86 NUM_PINS 45 SITEPROP SLICE_X35Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y86 PROHIBIT 0 SITEPROP SLICE_X35Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y86 RPM_X 95 SITEPROP SLICE_X35Y86 RPM_Y 172 SITEPROP SLICE_X35Y86 SITE_PIPS SITEPROP SLICE_X35Y86 SITE_TYPE SLICEL SITEPROP SLICE_X35Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y87 CLASS site SITEPROP SLICE_X35Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y87 IS_BONDED 0 SITEPROP SLICE_X35Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y87 IS_PAD 0 SITEPROP SLICE_X35Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y87 IS_RESERVED 0 SITEPROP SLICE_X35Y87 IS_TEST 0 SITEPROP SLICE_X35Y87 IS_USED 0 SITEPROP SLICE_X35Y87 MANUAL_ROUTING SITEPROP SLICE_X35Y87 NAME SLICE_X35Y87 SITEPROP SLICE_X35Y87 NUM_ARCS 138 SITEPROP SLICE_X35Y87 NUM_BELS 32 SITEPROP SLICE_X35Y87 NUM_INPUTS 32 SITEPROP SLICE_X35Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y87 NUM_PINS 45 SITEPROP SLICE_X35Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y87 PROHIBIT 0 SITEPROP SLICE_X35Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y87 RPM_X 95 SITEPROP SLICE_X35Y87 RPM_Y 174 SITEPROP SLICE_X35Y87 SITE_PIPS SITEPROP SLICE_X35Y87 SITE_TYPE SLICEL SITEPROP SLICE_X35Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y88 CLASS site SITEPROP SLICE_X35Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y88 IS_BONDED 0 SITEPROP SLICE_X35Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y88 IS_PAD 0 SITEPROP SLICE_X35Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y88 IS_RESERVED 0 SITEPROP SLICE_X35Y88 IS_TEST 0 SITEPROP SLICE_X35Y88 IS_USED 0 SITEPROP SLICE_X35Y88 MANUAL_ROUTING SITEPROP SLICE_X35Y88 NAME SLICE_X35Y88 SITEPROP SLICE_X35Y88 NUM_ARCS 138 SITEPROP SLICE_X35Y88 NUM_BELS 32 SITEPROP SLICE_X35Y88 NUM_INPUTS 32 SITEPROP SLICE_X35Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y88 NUM_PINS 45 SITEPROP SLICE_X35Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y88 PROHIBIT 0 SITEPROP SLICE_X35Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y88 RPM_X 95 SITEPROP SLICE_X35Y88 RPM_Y 176 SITEPROP SLICE_X35Y88 SITE_PIPS SITEPROP SLICE_X35Y88 SITE_TYPE SLICEL SITEPROP SLICE_X35Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y89 CLASS site SITEPROP SLICE_X35Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y89 IS_BONDED 0 SITEPROP SLICE_X35Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y89 IS_PAD 0 SITEPROP SLICE_X35Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y89 IS_RESERVED 0 SITEPROP SLICE_X35Y89 IS_TEST 0 SITEPROP SLICE_X35Y89 IS_USED 0 SITEPROP SLICE_X35Y89 MANUAL_ROUTING SITEPROP SLICE_X35Y89 NAME SLICE_X35Y89 SITEPROP SLICE_X35Y89 NUM_ARCS 138 SITEPROP SLICE_X35Y89 NUM_BELS 32 SITEPROP SLICE_X35Y89 NUM_INPUTS 32 SITEPROP SLICE_X35Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y89 NUM_PINS 45 SITEPROP SLICE_X35Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y89 PROHIBIT 0 SITEPROP SLICE_X35Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y89 RPM_X 95 SITEPROP SLICE_X35Y89 RPM_Y 178 SITEPROP SLICE_X35Y89 SITE_PIPS SITEPROP SLICE_X35Y89 SITE_TYPE SLICEL SITEPROP SLICE_X35Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y90 CLASS site SITEPROP SLICE_X35Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y90 IS_BONDED 0 SITEPROP SLICE_X35Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y90 IS_PAD 0 SITEPROP SLICE_X35Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y90 IS_RESERVED 0 SITEPROP SLICE_X35Y90 IS_TEST 0 SITEPROP SLICE_X35Y90 IS_USED 0 SITEPROP SLICE_X35Y90 MANUAL_ROUTING SITEPROP SLICE_X35Y90 NAME SLICE_X35Y90 SITEPROP SLICE_X35Y90 NUM_ARCS 138 SITEPROP SLICE_X35Y90 NUM_BELS 32 SITEPROP SLICE_X35Y90 NUM_INPUTS 32 SITEPROP SLICE_X35Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y90 NUM_PINS 45 SITEPROP SLICE_X35Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y90 PROHIBIT 0 SITEPROP SLICE_X35Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y90 RPM_X 95 SITEPROP SLICE_X35Y90 RPM_Y 180 SITEPROP SLICE_X35Y90 SITE_PIPS SITEPROP SLICE_X35Y90 SITE_TYPE SLICEL SITEPROP SLICE_X35Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y91 CLASS site SITEPROP SLICE_X35Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y91 IS_BONDED 0 SITEPROP SLICE_X35Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y91 IS_PAD 0 SITEPROP SLICE_X35Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y91 IS_RESERVED 0 SITEPROP SLICE_X35Y91 IS_TEST 0 SITEPROP SLICE_X35Y91 IS_USED 0 SITEPROP SLICE_X35Y91 MANUAL_ROUTING SITEPROP SLICE_X35Y91 NAME SLICE_X35Y91 SITEPROP SLICE_X35Y91 NUM_ARCS 138 SITEPROP SLICE_X35Y91 NUM_BELS 32 SITEPROP SLICE_X35Y91 NUM_INPUTS 32 SITEPROP SLICE_X35Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y91 NUM_PINS 45 SITEPROP SLICE_X35Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y91 PROHIBIT 0 SITEPROP SLICE_X35Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y91 RPM_X 95 SITEPROP SLICE_X35Y91 RPM_Y 182 SITEPROP SLICE_X35Y91 SITE_PIPS SITEPROP SLICE_X35Y91 SITE_TYPE SLICEL SITEPROP SLICE_X35Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y92 CLASS site SITEPROP SLICE_X35Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y92 IS_BONDED 0 SITEPROP SLICE_X35Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y92 IS_PAD 0 SITEPROP SLICE_X35Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y92 IS_RESERVED 0 SITEPROP SLICE_X35Y92 IS_TEST 0 SITEPROP SLICE_X35Y92 IS_USED 0 SITEPROP SLICE_X35Y92 MANUAL_ROUTING SITEPROP SLICE_X35Y92 NAME SLICE_X35Y92 SITEPROP SLICE_X35Y92 NUM_ARCS 138 SITEPROP SLICE_X35Y92 NUM_BELS 32 SITEPROP SLICE_X35Y92 NUM_INPUTS 32 SITEPROP SLICE_X35Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y92 NUM_PINS 45 SITEPROP SLICE_X35Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y92 PROHIBIT 0 SITEPROP SLICE_X35Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y92 RPM_X 95 SITEPROP SLICE_X35Y92 RPM_Y 184 SITEPROP SLICE_X35Y92 SITE_PIPS SITEPROP SLICE_X35Y92 SITE_TYPE SLICEL SITEPROP SLICE_X35Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y93 CLASS site SITEPROP SLICE_X35Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y93 IS_BONDED 0 SITEPROP SLICE_X35Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y93 IS_PAD 0 SITEPROP SLICE_X35Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y93 IS_RESERVED 0 SITEPROP SLICE_X35Y93 IS_TEST 0 SITEPROP SLICE_X35Y93 IS_USED 0 SITEPROP SLICE_X35Y93 MANUAL_ROUTING SITEPROP SLICE_X35Y93 NAME SLICE_X35Y93 SITEPROP SLICE_X35Y93 NUM_ARCS 138 SITEPROP SLICE_X35Y93 NUM_BELS 32 SITEPROP SLICE_X35Y93 NUM_INPUTS 32 SITEPROP SLICE_X35Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y93 NUM_PINS 45 SITEPROP SLICE_X35Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y93 PROHIBIT 0 SITEPROP SLICE_X35Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y93 RPM_X 95 SITEPROP SLICE_X35Y93 RPM_Y 186 SITEPROP SLICE_X35Y93 SITE_PIPS SITEPROP SLICE_X35Y93 SITE_TYPE SLICEL SITEPROP SLICE_X35Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y94 CLASS site SITEPROP SLICE_X35Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y94 IS_BONDED 0 SITEPROP SLICE_X35Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y94 IS_PAD 0 SITEPROP SLICE_X35Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y94 IS_RESERVED 0 SITEPROP SLICE_X35Y94 IS_TEST 0 SITEPROP SLICE_X35Y94 IS_USED 0 SITEPROP SLICE_X35Y94 MANUAL_ROUTING SITEPROP SLICE_X35Y94 NAME SLICE_X35Y94 SITEPROP SLICE_X35Y94 NUM_ARCS 138 SITEPROP SLICE_X35Y94 NUM_BELS 32 SITEPROP SLICE_X35Y94 NUM_INPUTS 32 SITEPROP SLICE_X35Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y94 NUM_PINS 45 SITEPROP SLICE_X35Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y94 PROHIBIT 0 SITEPROP SLICE_X35Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y94 RPM_X 95 SITEPROP SLICE_X35Y94 RPM_Y 188 SITEPROP SLICE_X35Y94 SITE_PIPS SITEPROP SLICE_X35Y94 SITE_TYPE SLICEL SITEPROP SLICE_X35Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y95 CLASS site SITEPROP SLICE_X35Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y95 IS_BONDED 0 SITEPROP SLICE_X35Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y95 IS_PAD 0 SITEPROP SLICE_X35Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y95 IS_RESERVED 0 SITEPROP SLICE_X35Y95 IS_TEST 0 SITEPROP SLICE_X35Y95 IS_USED 0 SITEPROP SLICE_X35Y95 MANUAL_ROUTING SITEPROP SLICE_X35Y95 NAME SLICE_X35Y95 SITEPROP SLICE_X35Y95 NUM_ARCS 138 SITEPROP SLICE_X35Y95 NUM_BELS 32 SITEPROP SLICE_X35Y95 NUM_INPUTS 32 SITEPROP SLICE_X35Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y95 NUM_PINS 45 SITEPROP SLICE_X35Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y95 PROHIBIT 0 SITEPROP SLICE_X35Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y95 RPM_X 95 SITEPROP SLICE_X35Y95 RPM_Y 190 SITEPROP SLICE_X35Y95 SITE_PIPS SITEPROP SLICE_X35Y95 SITE_TYPE SLICEL SITEPROP SLICE_X35Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y96 CLASS site SITEPROP SLICE_X35Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y96 IS_BONDED 0 SITEPROP SLICE_X35Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y96 IS_PAD 0 SITEPROP SLICE_X35Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y96 IS_RESERVED 0 SITEPROP SLICE_X35Y96 IS_TEST 0 SITEPROP SLICE_X35Y96 IS_USED 0 SITEPROP SLICE_X35Y96 MANUAL_ROUTING SITEPROP SLICE_X35Y96 NAME SLICE_X35Y96 SITEPROP SLICE_X35Y96 NUM_ARCS 138 SITEPROP SLICE_X35Y96 NUM_BELS 32 SITEPROP SLICE_X35Y96 NUM_INPUTS 32 SITEPROP SLICE_X35Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y96 NUM_PINS 45 SITEPROP SLICE_X35Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y96 PROHIBIT 0 SITEPROP SLICE_X35Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y96 RPM_X 95 SITEPROP SLICE_X35Y96 RPM_Y 192 SITEPROP SLICE_X35Y96 SITE_PIPS SITEPROP SLICE_X35Y96 SITE_TYPE SLICEL SITEPROP SLICE_X35Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y97 CLASS site SITEPROP SLICE_X35Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y97 IS_BONDED 0 SITEPROP SLICE_X35Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y97 IS_PAD 0 SITEPROP SLICE_X35Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y97 IS_RESERVED 0 SITEPROP SLICE_X35Y97 IS_TEST 0 SITEPROP SLICE_X35Y97 IS_USED 0 SITEPROP SLICE_X35Y97 MANUAL_ROUTING SITEPROP SLICE_X35Y97 NAME SLICE_X35Y97 SITEPROP SLICE_X35Y97 NUM_ARCS 138 SITEPROP SLICE_X35Y97 NUM_BELS 32 SITEPROP SLICE_X35Y97 NUM_INPUTS 32 SITEPROP SLICE_X35Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y97 NUM_PINS 45 SITEPROP SLICE_X35Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y97 PROHIBIT 0 SITEPROP SLICE_X35Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y97 RPM_X 95 SITEPROP SLICE_X35Y97 RPM_Y 194 SITEPROP SLICE_X35Y97 SITE_PIPS SITEPROP SLICE_X35Y97 SITE_TYPE SLICEL SITEPROP SLICE_X35Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y98 CLASS site SITEPROP SLICE_X35Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y98 IS_BONDED 0 SITEPROP SLICE_X35Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y98 IS_PAD 0 SITEPROP SLICE_X35Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y98 IS_RESERVED 0 SITEPROP SLICE_X35Y98 IS_TEST 0 SITEPROP SLICE_X35Y98 IS_USED 0 SITEPROP SLICE_X35Y98 MANUAL_ROUTING SITEPROP SLICE_X35Y98 NAME SLICE_X35Y98 SITEPROP SLICE_X35Y98 NUM_ARCS 138 SITEPROP SLICE_X35Y98 NUM_BELS 32 SITEPROP SLICE_X35Y98 NUM_INPUTS 32 SITEPROP SLICE_X35Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y98 NUM_PINS 45 SITEPROP SLICE_X35Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y98 PROHIBIT 0 SITEPROP SLICE_X35Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y98 RPM_X 95 SITEPROP SLICE_X35Y98 RPM_Y 196 SITEPROP SLICE_X35Y98 SITE_PIPS SITEPROP SLICE_X35Y98 SITE_TYPE SLICEL SITEPROP SLICE_X35Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y99 CLASS site SITEPROP SLICE_X35Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X35Y99 IS_BONDED 0 SITEPROP SLICE_X35Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y99 IS_PAD 0 SITEPROP SLICE_X35Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y99 IS_RESERVED 0 SITEPROP SLICE_X35Y99 IS_TEST 0 SITEPROP SLICE_X35Y99 IS_USED 0 SITEPROP SLICE_X35Y99 MANUAL_ROUTING SITEPROP SLICE_X35Y99 NAME SLICE_X35Y99 SITEPROP SLICE_X35Y99 NUM_ARCS 138 SITEPROP SLICE_X35Y99 NUM_BELS 32 SITEPROP SLICE_X35Y99 NUM_INPUTS 32 SITEPROP SLICE_X35Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y99 NUM_PINS 45 SITEPROP SLICE_X35Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y99 PROHIBIT 0 SITEPROP SLICE_X35Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y99 RPM_X 95 SITEPROP SLICE_X35Y99 RPM_Y 198 SITEPROP SLICE_X35Y99 SITE_PIPS SITEPROP SLICE_X35Y99 SITE_TYPE SLICEL SITEPROP SLICE_X35Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y100 CLASS site SITEPROP SLICE_X35Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y100 IS_BONDED 0 SITEPROP SLICE_X35Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y100 IS_PAD 0 SITEPROP SLICE_X35Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y100 IS_RESERVED 0 SITEPROP SLICE_X35Y100 IS_TEST 0 SITEPROP SLICE_X35Y100 IS_USED 0 SITEPROP SLICE_X35Y100 MANUAL_ROUTING SITEPROP SLICE_X35Y100 NAME SLICE_X35Y100 SITEPROP SLICE_X35Y100 NUM_ARCS 138 SITEPROP SLICE_X35Y100 NUM_BELS 32 SITEPROP SLICE_X35Y100 NUM_INPUTS 32 SITEPROP SLICE_X35Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y100 NUM_PINS 45 SITEPROP SLICE_X35Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y100 PROHIBIT 0 SITEPROP SLICE_X35Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y100 RPM_X 95 SITEPROP SLICE_X35Y100 RPM_Y 200 SITEPROP SLICE_X35Y100 SITE_PIPS SITEPROP SLICE_X35Y100 SITE_TYPE SLICEL SITEPROP SLICE_X35Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y101 CLASS site SITEPROP SLICE_X35Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y101 IS_BONDED 0 SITEPROP SLICE_X35Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y101 IS_PAD 0 SITEPROP SLICE_X35Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y101 IS_RESERVED 0 SITEPROP SLICE_X35Y101 IS_TEST 0 SITEPROP SLICE_X35Y101 IS_USED 0 SITEPROP SLICE_X35Y101 MANUAL_ROUTING SITEPROP SLICE_X35Y101 NAME SLICE_X35Y101 SITEPROP SLICE_X35Y101 NUM_ARCS 138 SITEPROP SLICE_X35Y101 NUM_BELS 32 SITEPROP SLICE_X35Y101 NUM_INPUTS 32 SITEPROP SLICE_X35Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y101 NUM_PINS 45 SITEPROP SLICE_X35Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y101 PROHIBIT 0 SITEPROP SLICE_X35Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y101 RPM_X 95 SITEPROP SLICE_X35Y101 RPM_Y 202 SITEPROP SLICE_X35Y101 SITE_PIPS SITEPROP SLICE_X35Y101 SITE_TYPE SLICEL SITEPROP SLICE_X35Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y102 CLASS site SITEPROP SLICE_X35Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y102 IS_BONDED 0 SITEPROP SLICE_X35Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y102 IS_PAD 0 SITEPROP SLICE_X35Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y102 IS_RESERVED 0 SITEPROP SLICE_X35Y102 IS_TEST 0 SITEPROP SLICE_X35Y102 IS_USED 0 SITEPROP SLICE_X35Y102 MANUAL_ROUTING SITEPROP SLICE_X35Y102 NAME SLICE_X35Y102 SITEPROP SLICE_X35Y102 NUM_ARCS 138 SITEPROP SLICE_X35Y102 NUM_BELS 32 SITEPROP SLICE_X35Y102 NUM_INPUTS 32 SITEPROP SLICE_X35Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y102 NUM_PINS 45 SITEPROP SLICE_X35Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y102 PROHIBIT 0 SITEPROP SLICE_X35Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y102 RPM_X 95 SITEPROP SLICE_X35Y102 RPM_Y 204 SITEPROP SLICE_X35Y102 SITE_PIPS SITEPROP SLICE_X35Y102 SITE_TYPE SLICEL SITEPROP SLICE_X35Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y103 CLASS site SITEPROP SLICE_X35Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y103 IS_BONDED 0 SITEPROP SLICE_X35Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y103 IS_PAD 0 SITEPROP SLICE_X35Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y103 IS_RESERVED 0 SITEPROP SLICE_X35Y103 IS_TEST 0 SITEPROP SLICE_X35Y103 IS_USED 0 SITEPROP SLICE_X35Y103 MANUAL_ROUTING SITEPROP SLICE_X35Y103 NAME SLICE_X35Y103 SITEPROP SLICE_X35Y103 NUM_ARCS 138 SITEPROP SLICE_X35Y103 NUM_BELS 32 SITEPROP SLICE_X35Y103 NUM_INPUTS 32 SITEPROP SLICE_X35Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y103 NUM_PINS 45 SITEPROP SLICE_X35Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y103 PROHIBIT 0 SITEPROP SLICE_X35Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y103 RPM_X 95 SITEPROP SLICE_X35Y103 RPM_Y 206 SITEPROP SLICE_X35Y103 SITE_PIPS SITEPROP SLICE_X35Y103 SITE_TYPE SLICEL SITEPROP SLICE_X35Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y104 CLASS site SITEPROP SLICE_X35Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y104 IS_BONDED 0 SITEPROP SLICE_X35Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y104 IS_PAD 0 SITEPROP SLICE_X35Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y104 IS_RESERVED 0 SITEPROP SLICE_X35Y104 IS_TEST 0 SITEPROP SLICE_X35Y104 IS_USED 0 SITEPROP SLICE_X35Y104 MANUAL_ROUTING SITEPROP SLICE_X35Y104 NAME SLICE_X35Y104 SITEPROP SLICE_X35Y104 NUM_ARCS 138 SITEPROP SLICE_X35Y104 NUM_BELS 32 SITEPROP SLICE_X35Y104 NUM_INPUTS 32 SITEPROP SLICE_X35Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y104 NUM_PINS 45 SITEPROP SLICE_X35Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y104 PROHIBIT 0 SITEPROP SLICE_X35Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y104 RPM_X 95 SITEPROP SLICE_X35Y104 RPM_Y 208 SITEPROP SLICE_X35Y104 SITE_PIPS SITEPROP SLICE_X35Y104 SITE_TYPE SLICEL SITEPROP SLICE_X35Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y105 CLASS site SITEPROP SLICE_X35Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y105 IS_BONDED 0 SITEPROP SLICE_X35Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y105 IS_PAD 0 SITEPROP SLICE_X35Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y105 IS_RESERVED 0 SITEPROP SLICE_X35Y105 IS_TEST 0 SITEPROP SLICE_X35Y105 IS_USED 0 SITEPROP SLICE_X35Y105 MANUAL_ROUTING SITEPROP SLICE_X35Y105 NAME SLICE_X35Y105 SITEPROP SLICE_X35Y105 NUM_ARCS 138 SITEPROP SLICE_X35Y105 NUM_BELS 32 SITEPROP SLICE_X35Y105 NUM_INPUTS 32 SITEPROP SLICE_X35Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y105 NUM_PINS 45 SITEPROP SLICE_X35Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y105 PROHIBIT 0 SITEPROP SLICE_X35Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y105 RPM_X 95 SITEPROP SLICE_X35Y105 RPM_Y 210 SITEPROP SLICE_X35Y105 SITE_PIPS SITEPROP SLICE_X35Y105 SITE_TYPE SLICEL SITEPROP SLICE_X35Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y106 CLASS site SITEPROP SLICE_X35Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y106 IS_BONDED 0 SITEPROP SLICE_X35Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y106 IS_PAD 0 SITEPROP SLICE_X35Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y106 IS_RESERVED 0 SITEPROP SLICE_X35Y106 IS_TEST 0 SITEPROP SLICE_X35Y106 IS_USED 0 SITEPROP SLICE_X35Y106 MANUAL_ROUTING SITEPROP SLICE_X35Y106 NAME SLICE_X35Y106 SITEPROP SLICE_X35Y106 NUM_ARCS 138 SITEPROP SLICE_X35Y106 NUM_BELS 32 SITEPROP SLICE_X35Y106 NUM_INPUTS 32 SITEPROP SLICE_X35Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y106 NUM_PINS 45 SITEPROP SLICE_X35Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y106 PROHIBIT 0 SITEPROP SLICE_X35Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y106 RPM_X 95 SITEPROP SLICE_X35Y106 RPM_Y 212 SITEPROP SLICE_X35Y106 SITE_PIPS SITEPROP SLICE_X35Y106 SITE_TYPE SLICEL SITEPROP SLICE_X35Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y107 CLASS site SITEPROP SLICE_X35Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y107 IS_BONDED 0 SITEPROP SLICE_X35Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y107 IS_PAD 0 SITEPROP SLICE_X35Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y107 IS_RESERVED 0 SITEPROP SLICE_X35Y107 IS_TEST 0 SITEPROP SLICE_X35Y107 IS_USED 0 SITEPROP SLICE_X35Y107 MANUAL_ROUTING SITEPROP SLICE_X35Y107 NAME SLICE_X35Y107 SITEPROP SLICE_X35Y107 NUM_ARCS 138 SITEPROP SLICE_X35Y107 NUM_BELS 32 SITEPROP SLICE_X35Y107 NUM_INPUTS 32 SITEPROP SLICE_X35Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y107 NUM_PINS 45 SITEPROP SLICE_X35Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y107 PROHIBIT 0 SITEPROP SLICE_X35Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y107 RPM_X 95 SITEPROP SLICE_X35Y107 RPM_Y 214 SITEPROP SLICE_X35Y107 SITE_PIPS SITEPROP SLICE_X35Y107 SITE_TYPE SLICEL SITEPROP SLICE_X35Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y108 CLASS site SITEPROP SLICE_X35Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y108 IS_BONDED 0 SITEPROP SLICE_X35Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y108 IS_PAD 0 SITEPROP SLICE_X35Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y108 IS_RESERVED 0 SITEPROP SLICE_X35Y108 IS_TEST 0 SITEPROP SLICE_X35Y108 IS_USED 0 SITEPROP SLICE_X35Y108 MANUAL_ROUTING SITEPROP SLICE_X35Y108 NAME SLICE_X35Y108 SITEPROP SLICE_X35Y108 NUM_ARCS 138 SITEPROP SLICE_X35Y108 NUM_BELS 32 SITEPROP SLICE_X35Y108 NUM_INPUTS 32 SITEPROP SLICE_X35Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y108 NUM_PINS 45 SITEPROP SLICE_X35Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y108 PROHIBIT 0 SITEPROP SLICE_X35Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y108 RPM_X 95 SITEPROP SLICE_X35Y108 RPM_Y 216 SITEPROP SLICE_X35Y108 SITE_PIPS SITEPROP SLICE_X35Y108 SITE_TYPE SLICEL SITEPROP SLICE_X35Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y109 CLASS site SITEPROP SLICE_X35Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y109 IS_BONDED 0 SITEPROP SLICE_X35Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y109 IS_PAD 0 SITEPROP SLICE_X35Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y109 IS_RESERVED 0 SITEPROP SLICE_X35Y109 IS_TEST 0 SITEPROP SLICE_X35Y109 IS_USED 0 SITEPROP SLICE_X35Y109 MANUAL_ROUTING SITEPROP SLICE_X35Y109 NAME SLICE_X35Y109 SITEPROP SLICE_X35Y109 NUM_ARCS 138 SITEPROP SLICE_X35Y109 NUM_BELS 32 SITEPROP SLICE_X35Y109 NUM_INPUTS 32 SITEPROP SLICE_X35Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y109 NUM_PINS 45 SITEPROP SLICE_X35Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y109 PROHIBIT 0 SITEPROP SLICE_X35Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y109 RPM_X 95 SITEPROP SLICE_X35Y109 RPM_Y 218 SITEPROP SLICE_X35Y109 SITE_PIPS SITEPROP SLICE_X35Y109 SITE_TYPE SLICEL SITEPROP SLICE_X35Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y110 CLASS site SITEPROP SLICE_X35Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y110 IS_BONDED 0 SITEPROP SLICE_X35Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y110 IS_PAD 0 SITEPROP SLICE_X35Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y110 IS_RESERVED 0 SITEPROP SLICE_X35Y110 IS_TEST 0 SITEPROP SLICE_X35Y110 IS_USED 0 SITEPROP SLICE_X35Y110 MANUAL_ROUTING SITEPROP SLICE_X35Y110 NAME SLICE_X35Y110 SITEPROP SLICE_X35Y110 NUM_ARCS 138 SITEPROP SLICE_X35Y110 NUM_BELS 32 SITEPROP SLICE_X35Y110 NUM_INPUTS 32 SITEPROP SLICE_X35Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y110 NUM_PINS 45 SITEPROP SLICE_X35Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y110 PROHIBIT 0 SITEPROP SLICE_X35Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y110 RPM_X 95 SITEPROP SLICE_X35Y110 RPM_Y 220 SITEPROP SLICE_X35Y110 SITE_PIPS SITEPROP SLICE_X35Y110 SITE_TYPE SLICEL SITEPROP SLICE_X35Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y111 CLASS site SITEPROP SLICE_X35Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y111 IS_BONDED 0 SITEPROP SLICE_X35Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y111 IS_PAD 0 SITEPROP SLICE_X35Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y111 IS_RESERVED 0 SITEPROP SLICE_X35Y111 IS_TEST 0 SITEPROP SLICE_X35Y111 IS_USED 0 SITEPROP SLICE_X35Y111 MANUAL_ROUTING SITEPROP SLICE_X35Y111 NAME SLICE_X35Y111 SITEPROP SLICE_X35Y111 NUM_ARCS 138 SITEPROP SLICE_X35Y111 NUM_BELS 32 SITEPROP SLICE_X35Y111 NUM_INPUTS 32 SITEPROP SLICE_X35Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y111 NUM_PINS 45 SITEPROP SLICE_X35Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y111 PROHIBIT 0 SITEPROP SLICE_X35Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y111 RPM_X 95 SITEPROP SLICE_X35Y111 RPM_Y 222 SITEPROP SLICE_X35Y111 SITE_PIPS SITEPROP SLICE_X35Y111 SITE_TYPE SLICEL SITEPROP SLICE_X35Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y112 CLASS site SITEPROP SLICE_X35Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y112 IS_BONDED 0 SITEPROP SLICE_X35Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y112 IS_PAD 0 SITEPROP SLICE_X35Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y112 IS_RESERVED 0 SITEPROP SLICE_X35Y112 IS_TEST 0 SITEPROP SLICE_X35Y112 IS_USED 0 SITEPROP SLICE_X35Y112 MANUAL_ROUTING SITEPROP SLICE_X35Y112 NAME SLICE_X35Y112 SITEPROP SLICE_X35Y112 NUM_ARCS 138 SITEPROP SLICE_X35Y112 NUM_BELS 32 SITEPROP SLICE_X35Y112 NUM_INPUTS 32 SITEPROP SLICE_X35Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y112 NUM_PINS 45 SITEPROP SLICE_X35Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y112 PROHIBIT 0 SITEPROP SLICE_X35Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y112 RPM_X 95 SITEPROP SLICE_X35Y112 RPM_Y 224 SITEPROP SLICE_X35Y112 SITE_PIPS SITEPROP SLICE_X35Y112 SITE_TYPE SLICEL SITEPROP SLICE_X35Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y113 CLASS site SITEPROP SLICE_X35Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y113 IS_BONDED 0 SITEPROP SLICE_X35Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y113 IS_PAD 0 SITEPROP SLICE_X35Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y113 IS_RESERVED 0 SITEPROP SLICE_X35Y113 IS_TEST 0 SITEPROP SLICE_X35Y113 IS_USED 0 SITEPROP SLICE_X35Y113 MANUAL_ROUTING SITEPROP SLICE_X35Y113 NAME SLICE_X35Y113 SITEPROP SLICE_X35Y113 NUM_ARCS 138 SITEPROP SLICE_X35Y113 NUM_BELS 32 SITEPROP SLICE_X35Y113 NUM_INPUTS 32 SITEPROP SLICE_X35Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y113 NUM_PINS 45 SITEPROP SLICE_X35Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y113 PROHIBIT 0 SITEPROP SLICE_X35Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y113 RPM_X 95 SITEPROP SLICE_X35Y113 RPM_Y 226 SITEPROP SLICE_X35Y113 SITE_PIPS SITEPROP SLICE_X35Y113 SITE_TYPE SLICEL SITEPROP SLICE_X35Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y114 CLASS site SITEPROP SLICE_X35Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y114 IS_BONDED 0 SITEPROP SLICE_X35Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y114 IS_PAD 0 SITEPROP SLICE_X35Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y114 IS_RESERVED 0 SITEPROP SLICE_X35Y114 IS_TEST 0 SITEPROP SLICE_X35Y114 IS_USED 0 SITEPROP SLICE_X35Y114 MANUAL_ROUTING SITEPROP SLICE_X35Y114 NAME SLICE_X35Y114 SITEPROP SLICE_X35Y114 NUM_ARCS 138 SITEPROP SLICE_X35Y114 NUM_BELS 32 SITEPROP SLICE_X35Y114 NUM_INPUTS 32 SITEPROP SLICE_X35Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y114 NUM_PINS 45 SITEPROP SLICE_X35Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y114 PROHIBIT 0 SITEPROP SLICE_X35Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y114 RPM_X 95 SITEPROP SLICE_X35Y114 RPM_Y 228 SITEPROP SLICE_X35Y114 SITE_PIPS SITEPROP SLICE_X35Y114 SITE_TYPE SLICEL SITEPROP SLICE_X35Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y115 CLASS site SITEPROP SLICE_X35Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y115 IS_BONDED 0 SITEPROP SLICE_X35Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y115 IS_PAD 0 SITEPROP SLICE_X35Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y115 IS_RESERVED 0 SITEPROP SLICE_X35Y115 IS_TEST 0 SITEPROP SLICE_X35Y115 IS_USED 0 SITEPROP SLICE_X35Y115 MANUAL_ROUTING SITEPROP SLICE_X35Y115 NAME SLICE_X35Y115 SITEPROP SLICE_X35Y115 NUM_ARCS 138 SITEPROP SLICE_X35Y115 NUM_BELS 32 SITEPROP SLICE_X35Y115 NUM_INPUTS 32 SITEPROP SLICE_X35Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y115 NUM_PINS 45 SITEPROP SLICE_X35Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y115 PROHIBIT 0 SITEPROP SLICE_X35Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y115 RPM_X 95 SITEPROP SLICE_X35Y115 RPM_Y 230 SITEPROP SLICE_X35Y115 SITE_PIPS SITEPROP SLICE_X35Y115 SITE_TYPE SLICEL SITEPROP SLICE_X35Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y116 CLASS site SITEPROP SLICE_X35Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y116 IS_BONDED 0 SITEPROP SLICE_X35Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y116 IS_PAD 0 SITEPROP SLICE_X35Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y116 IS_RESERVED 0 SITEPROP SLICE_X35Y116 IS_TEST 0 SITEPROP SLICE_X35Y116 IS_USED 0 SITEPROP SLICE_X35Y116 MANUAL_ROUTING SITEPROP SLICE_X35Y116 NAME SLICE_X35Y116 SITEPROP SLICE_X35Y116 NUM_ARCS 138 SITEPROP SLICE_X35Y116 NUM_BELS 32 SITEPROP SLICE_X35Y116 NUM_INPUTS 32 SITEPROP SLICE_X35Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y116 NUM_PINS 45 SITEPROP SLICE_X35Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y116 PROHIBIT 0 SITEPROP SLICE_X35Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y116 RPM_X 95 SITEPROP SLICE_X35Y116 RPM_Y 232 SITEPROP SLICE_X35Y116 SITE_PIPS SITEPROP SLICE_X35Y116 SITE_TYPE SLICEL SITEPROP SLICE_X35Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y117 CLASS site SITEPROP SLICE_X35Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y117 IS_BONDED 0 SITEPROP SLICE_X35Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y117 IS_PAD 0 SITEPROP SLICE_X35Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y117 IS_RESERVED 0 SITEPROP SLICE_X35Y117 IS_TEST 0 SITEPROP SLICE_X35Y117 IS_USED 0 SITEPROP SLICE_X35Y117 MANUAL_ROUTING SITEPROP SLICE_X35Y117 NAME SLICE_X35Y117 SITEPROP SLICE_X35Y117 NUM_ARCS 138 SITEPROP SLICE_X35Y117 NUM_BELS 32 SITEPROP SLICE_X35Y117 NUM_INPUTS 32 SITEPROP SLICE_X35Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y117 NUM_PINS 45 SITEPROP SLICE_X35Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y117 PROHIBIT 0 SITEPROP SLICE_X35Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y117 RPM_X 95 SITEPROP SLICE_X35Y117 RPM_Y 234 SITEPROP SLICE_X35Y117 SITE_PIPS SITEPROP SLICE_X35Y117 SITE_TYPE SLICEL SITEPROP SLICE_X35Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y118 CLASS site SITEPROP SLICE_X35Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y118 IS_BONDED 0 SITEPROP SLICE_X35Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y118 IS_PAD 0 SITEPROP SLICE_X35Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y118 IS_RESERVED 0 SITEPROP SLICE_X35Y118 IS_TEST 0 SITEPROP SLICE_X35Y118 IS_USED 0 SITEPROP SLICE_X35Y118 MANUAL_ROUTING SITEPROP SLICE_X35Y118 NAME SLICE_X35Y118 SITEPROP SLICE_X35Y118 NUM_ARCS 138 SITEPROP SLICE_X35Y118 NUM_BELS 32 SITEPROP SLICE_X35Y118 NUM_INPUTS 32 SITEPROP SLICE_X35Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y118 NUM_PINS 45 SITEPROP SLICE_X35Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y118 PROHIBIT 0 SITEPROP SLICE_X35Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y118 RPM_X 95 SITEPROP SLICE_X35Y118 RPM_Y 236 SITEPROP SLICE_X35Y118 SITE_PIPS SITEPROP SLICE_X35Y118 SITE_TYPE SLICEL SITEPROP SLICE_X35Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y119 CLASS site SITEPROP SLICE_X35Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y119 IS_BONDED 0 SITEPROP SLICE_X35Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y119 IS_PAD 0 SITEPROP SLICE_X35Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y119 IS_RESERVED 0 SITEPROP SLICE_X35Y119 IS_TEST 0 SITEPROP SLICE_X35Y119 IS_USED 0 SITEPROP SLICE_X35Y119 MANUAL_ROUTING SITEPROP SLICE_X35Y119 NAME SLICE_X35Y119 SITEPROP SLICE_X35Y119 NUM_ARCS 138 SITEPROP SLICE_X35Y119 NUM_BELS 32 SITEPROP SLICE_X35Y119 NUM_INPUTS 32 SITEPROP SLICE_X35Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y119 NUM_PINS 45 SITEPROP SLICE_X35Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y119 PROHIBIT 0 SITEPROP SLICE_X35Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y119 RPM_X 95 SITEPROP SLICE_X35Y119 RPM_Y 238 SITEPROP SLICE_X35Y119 SITE_PIPS SITEPROP SLICE_X35Y119 SITE_TYPE SLICEL SITEPROP SLICE_X35Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y120 CLASS site SITEPROP SLICE_X35Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y120 IS_BONDED 0 SITEPROP SLICE_X35Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y120 IS_PAD 0 SITEPROP SLICE_X35Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y120 IS_RESERVED 0 SITEPROP SLICE_X35Y120 IS_TEST 0 SITEPROP SLICE_X35Y120 IS_USED 0 SITEPROP SLICE_X35Y120 MANUAL_ROUTING SITEPROP SLICE_X35Y120 NAME SLICE_X35Y120 SITEPROP SLICE_X35Y120 NUM_ARCS 138 SITEPROP SLICE_X35Y120 NUM_BELS 32 SITEPROP SLICE_X35Y120 NUM_INPUTS 32 SITEPROP SLICE_X35Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y120 NUM_PINS 45 SITEPROP SLICE_X35Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y120 PROHIBIT 0 SITEPROP SLICE_X35Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y120 RPM_X 95 SITEPROP SLICE_X35Y120 RPM_Y 240 SITEPROP SLICE_X35Y120 SITE_PIPS SITEPROP SLICE_X35Y120 SITE_TYPE SLICEL SITEPROP SLICE_X35Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y121 CLASS site SITEPROP SLICE_X35Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y121 IS_BONDED 0 SITEPROP SLICE_X35Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y121 IS_PAD 0 SITEPROP SLICE_X35Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y121 IS_RESERVED 0 SITEPROP SLICE_X35Y121 IS_TEST 0 SITEPROP SLICE_X35Y121 IS_USED 0 SITEPROP SLICE_X35Y121 MANUAL_ROUTING SITEPROP SLICE_X35Y121 NAME SLICE_X35Y121 SITEPROP SLICE_X35Y121 NUM_ARCS 138 SITEPROP SLICE_X35Y121 NUM_BELS 32 SITEPROP SLICE_X35Y121 NUM_INPUTS 32 SITEPROP SLICE_X35Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y121 NUM_PINS 45 SITEPROP SLICE_X35Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y121 PROHIBIT 0 SITEPROP SLICE_X35Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y121 RPM_X 95 SITEPROP SLICE_X35Y121 RPM_Y 242 SITEPROP SLICE_X35Y121 SITE_PIPS SITEPROP SLICE_X35Y121 SITE_TYPE SLICEL SITEPROP SLICE_X35Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y122 CLASS site SITEPROP SLICE_X35Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y122 IS_BONDED 0 SITEPROP SLICE_X35Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y122 IS_PAD 0 SITEPROP SLICE_X35Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y122 IS_RESERVED 0 SITEPROP SLICE_X35Y122 IS_TEST 0 SITEPROP SLICE_X35Y122 IS_USED 0 SITEPROP SLICE_X35Y122 MANUAL_ROUTING SITEPROP SLICE_X35Y122 NAME SLICE_X35Y122 SITEPROP SLICE_X35Y122 NUM_ARCS 138 SITEPROP SLICE_X35Y122 NUM_BELS 32 SITEPROP SLICE_X35Y122 NUM_INPUTS 32 SITEPROP SLICE_X35Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y122 NUM_PINS 45 SITEPROP SLICE_X35Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y122 PROHIBIT 0 SITEPROP SLICE_X35Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y122 RPM_X 95 SITEPROP SLICE_X35Y122 RPM_Y 244 SITEPROP SLICE_X35Y122 SITE_PIPS SITEPROP SLICE_X35Y122 SITE_TYPE SLICEL SITEPROP SLICE_X35Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y123 CLASS site SITEPROP SLICE_X35Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y123 IS_BONDED 0 SITEPROP SLICE_X35Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y123 IS_PAD 0 SITEPROP SLICE_X35Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y123 IS_RESERVED 0 SITEPROP SLICE_X35Y123 IS_TEST 0 SITEPROP SLICE_X35Y123 IS_USED 0 SITEPROP SLICE_X35Y123 MANUAL_ROUTING SITEPROP SLICE_X35Y123 NAME SLICE_X35Y123 SITEPROP SLICE_X35Y123 NUM_ARCS 138 SITEPROP SLICE_X35Y123 NUM_BELS 32 SITEPROP SLICE_X35Y123 NUM_INPUTS 32 SITEPROP SLICE_X35Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y123 NUM_PINS 45 SITEPROP SLICE_X35Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y123 PROHIBIT 0 SITEPROP SLICE_X35Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y123 RPM_X 95 SITEPROP SLICE_X35Y123 RPM_Y 246 SITEPROP SLICE_X35Y123 SITE_PIPS SITEPROP SLICE_X35Y123 SITE_TYPE SLICEL SITEPROP SLICE_X35Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y124 CLASS site SITEPROP SLICE_X35Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y124 IS_BONDED 0 SITEPROP SLICE_X35Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y124 IS_PAD 0 SITEPROP SLICE_X35Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y124 IS_RESERVED 0 SITEPROP SLICE_X35Y124 IS_TEST 0 SITEPROP SLICE_X35Y124 IS_USED 0 SITEPROP SLICE_X35Y124 MANUAL_ROUTING SITEPROP SLICE_X35Y124 NAME SLICE_X35Y124 SITEPROP SLICE_X35Y124 NUM_ARCS 138 SITEPROP SLICE_X35Y124 NUM_BELS 32 SITEPROP SLICE_X35Y124 NUM_INPUTS 32 SITEPROP SLICE_X35Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y124 NUM_PINS 45 SITEPROP SLICE_X35Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y124 PROHIBIT 0 SITEPROP SLICE_X35Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y124 RPM_X 95 SITEPROP SLICE_X35Y124 RPM_Y 248 SITEPROP SLICE_X35Y124 SITE_PIPS SITEPROP SLICE_X35Y124 SITE_TYPE SLICEL SITEPROP SLICE_X35Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y125 CLASS site SITEPROP SLICE_X35Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y125 IS_BONDED 0 SITEPROP SLICE_X35Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y125 IS_PAD 0 SITEPROP SLICE_X35Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y125 IS_RESERVED 0 SITEPROP SLICE_X35Y125 IS_TEST 0 SITEPROP SLICE_X35Y125 IS_USED 0 SITEPROP SLICE_X35Y125 MANUAL_ROUTING SITEPROP SLICE_X35Y125 NAME SLICE_X35Y125 SITEPROP SLICE_X35Y125 NUM_ARCS 138 SITEPROP SLICE_X35Y125 NUM_BELS 32 SITEPROP SLICE_X35Y125 NUM_INPUTS 32 SITEPROP SLICE_X35Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y125 NUM_PINS 45 SITEPROP SLICE_X35Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y125 PROHIBIT 0 SITEPROP SLICE_X35Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y125 RPM_X 95 SITEPROP SLICE_X35Y125 RPM_Y 250 SITEPROP SLICE_X35Y125 SITE_PIPS SITEPROP SLICE_X35Y125 SITE_TYPE SLICEL SITEPROP SLICE_X35Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y126 CLASS site SITEPROP SLICE_X35Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y126 IS_BONDED 0 SITEPROP SLICE_X35Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y126 IS_PAD 0 SITEPROP SLICE_X35Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y126 IS_RESERVED 0 SITEPROP SLICE_X35Y126 IS_TEST 0 SITEPROP SLICE_X35Y126 IS_USED 0 SITEPROP SLICE_X35Y126 MANUAL_ROUTING SITEPROP SLICE_X35Y126 NAME SLICE_X35Y126 SITEPROP SLICE_X35Y126 NUM_ARCS 138 SITEPROP SLICE_X35Y126 NUM_BELS 32 SITEPROP SLICE_X35Y126 NUM_INPUTS 32 SITEPROP SLICE_X35Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y126 NUM_PINS 45 SITEPROP SLICE_X35Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y126 PROHIBIT 0 SITEPROP SLICE_X35Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y126 RPM_X 95 SITEPROP SLICE_X35Y126 RPM_Y 252 SITEPROP SLICE_X35Y126 SITE_PIPS SITEPROP SLICE_X35Y126 SITE_TYPE SLICEL SITEPROP SLICE_X35Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y127 CLASS site SITEPROP SLICE_X35Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y127 IS_BONDED 0 SITEPROP SLICE_X35Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y127 IS_PAD 0 SITEPROP SLICE_X35Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y127 IS_RESERVED 0 SITEPROP SLICE_X35Y127 IS_TEST 0 SITEPROP SLICE_X35Y127 IS_USED 0 SITEPROP SLICE_X35Y127 MANUAL_ROUTING SITEPROP SLICE_X35Y127 NAME SLICE_X35Y127 SITEPROP SLICE_X35Y127 NUM_ARCS 138 SITEPROP SLICE_X35Y127 NUM_BELS 32 SITEPROP SLICE_X35Y127 NUM_INPUTS 32 SITEPROP SLICE_X35Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y127 NUM_PINS 45 SITEPROP SLICE_X35Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y127 PROHIBIT 0 SITEPROP SLICE_X35Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y127 RPM_X 95 SITEPROP SLICE_X35Y127 RPM_Y 254 SITEPROP SLICE_X35Y127 SITE_PIPS SITEPROP SLICE_X35Y127 SITE_TYPE SLICEL SITEPROP SLICE_X35Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y128 CLASS site SITEPROP SLICE_X35Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y128 IS_BONDED 0 SITEPROP SLICE_X35Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y128 IS_PAD 0 SITEPROP SLICE_X35Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y128 IS_RESERVED 0 SITEPROP SLICE_X35Y128 IS_TEST 0 SITEPROP SLICE_X35Y128 IS_USED 0 SITEPROP SLICE_X35Y128 MANUAL_ROUTING SITEPROP SLICE_X35Y128 NAME SLICE_X35Y128 SITEPROP SLICE_X35Y128 NUM_ARCS 138 SITEPROP SLICE_X35Y128 NUM_BELS 32 SITEPROP SLICE_X35Y128 NUM_INPUTS 32 SITEPROP SLICE_X35Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y128 NUM_PINS 45 SITEPROP SLICE_X35Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y128 PROHIBIT 0 SITEPROP SLICE_X35Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y128 RPM_X 95 SITEPROP SLICE_X35Y128 RPM_Y 256 SITEPROP SLICE_X35Y128 SITE_PIPS SITEPROP SLICE_X35Y128 SITE_TYPE SLICEL SITEPROP SLICE_X35Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y129 CLASS site SITEPROP SLICE_X35Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y129 IS_BONDED 0 SITEPROP SLICE_X35Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y129 IS_PAD 0 SITEPROP SLICE_X35Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y129 IS_RESERVED 0 SITEPROP SLICE_X35Y129 IS_TEST 0 SITEPROP SLICE_X35Y129 IS_USED 0 SITEPROP SLICE_X35Y129 MANUAL_ROUTING SITEPROP SLICE_X35Y129 NAME SLICE_X35Y129 SITEPROP SLICE_X35Y129 NUM_ARCS 138 SITEPROP SLICE_X35Y129 NUM_BELS 32 SITEPROP SLICE_X35Y129 NUM_INPUTS 32 SITEPROP SLICE_X35Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y129 NUM_PINS 45 SITEPROP SLICE_X35Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y129 PROHIBIT 0 SITEPROP SLICE_X35Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y129 RPM_X 95 SITEPROP SLICE_X35Y129 RPM_Y 258 SITEPROP SLICE_X35Y129 SITE_PIPS SITEPROP SLICE_X35Y129 SITE_TYPE SLICEL SITEPROP SLICE_X35Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y130 CLASS site SITEPROP SLICE_X35Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y130 IS_BONDED 0 SITEPROP SLICE_X35Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y130 IS_PAD 0 SITEPROP SLICE_X35Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y130 IS_RESERVED 0 SITEPROP SLICE_X35Y130 IS_TEST 0 SITEPROP SLICE_X35Y130 IS_USED 0 SITEPROP SLICE_X35Y130 MANUAL_ROUTING SITEPROP SLICE_X35Y130 NAME SLICE_X35Y130 SITEPROP SLICE_X35Y130 NUM_ARCS 138 SITEPROP SLICE_X35Y130 NUM_BELS 32 SITEPROP SLICE_X35Y130 NUM_INPUTS 32 SITEPROP SLICE_X35Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y130 NUM_PINS 45 SITEPROP SLICE_X35Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y130 PROHIBIT 0 SITEPROP SLICE_X35Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y130 RPM_X 95 SITEPROP SLICE_X35Y130 RPM_Y 260 SITEPROP SLICE_X35Y130 SITE_PIPS SITEPROP SLICE_X35Y130 SITE_TYPE SLICEL SITEPROP SLICE_X35Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y131 CLASS site SITEPROP SLICE_X35Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y131 IS_BONDED 0 SITEPROP SLICE_X35Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y131 IS_PAD 0 SITEPROP SLICE_X35Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y131 IS_RESERVED 0 SITEPROP SLICE_X35Y131 IS_TEST 0 SITEPROP SLICE_X35Y131 IS_USED 0 SITEPROP SLICE_X35Y131 MANUAL_ROUTING SITEPROP SLICE_X35Y131 NAME SLICE_X35Y131 SITEPROP SLICE_X35Y131 NUM_ARCS 138 SITEPROP SLICE_X35Y131 NUM_BELS 32 SITEPROP SLICE_X35Y131 NUM_INPUTS 32 SITEPROP SLICE_X35Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y131 NUM_PINS 45 SITEPROP SLICE_X35Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y131 PROHIBIT 0 SITEPROP SLICE_X35Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y131 RPM_X 95 SITEPROP SLICE_X35Y131 RPM_Y 262 SITEPROP SLICE_X35Y131 SITE_PIPS SITEPROP SLICE_X35Y131 SITE_TYPE SLICEL SITEPROP SLICE_X35Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y132 CLASS site SITEPROP SLICE_X35Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y132 IS_BONDED 0 SITEPROP SLICE_X35Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y132 IS_PAD 0 SITEPROP SLICE_X35Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y132 IS_RESERVED 0 SITEPROP SLICE_X35Y132 IS_TEST 0 SITEPROP SLICE_X35Y132 IS_USED 0 SITEPROP SLICE_X35Y132 MANUAL_ROUTING SITEPROP SLICE_X35Y132 NAME SLICE_X35Y132 SITEPROP SLICE_X35Y132 NUM_ARCS 138 SITEPROP SLICE_X35Y132 NUM_BELS 32 SITEPROP SLICE_X35Y132 NUM_INPUTS 32 SITEPROP SLICE_X35Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y132 NUM_PINS 45 SITEPROP SLICE_X35Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y132 PROHIBIT 0 SITEPROP SLICE_X35Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y132 RPM_X 95 SITEPROP SLICE_X35Y132 RPM_Y 264 SITEPROP SLICE_X35Y132 SITE_PIPS SITEPROP SLICE_X35Y132 SITE_TYPE SLICEL SITEPROP SLICE_X35Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y133 CLASS site SITEPROP SLICE_X35Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y133 IS_BONDED 0 SITEPROP SLICE_X35Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y133 IS_PAD 0 SITEPROP SLICE_X35Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y133 IS_RESERVED 0 SITEPROP SLICE_X35Y133 IS_TEST 0 SITEPROP SLICE_X35Y133 IS_USED 0 SITEPROP SLICE_X35Y133 MANUAL_ROUTING SITEPROP SLICE_X35Y133 NAME SLICE_X35Y133 SITEPROP SLICE_X35Y133 NUM_ARCS 138 SITEPROP SLICE_X35Y133 NUM_BELS 32 SITEPROP SLICE_X35Y133 NUM_INPUTS 32 SITEPROP SLICE_X35Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y133 NUM_PINS 45 SITEPROP SLICE_X35Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y133 PROHIBIT 0 SITEPROP SLICE_X35Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y133 RPM_X 95 SITEPROP SLICE_X35Y133 RPM_Y 266 SITEPROP SLICE_X35Y133 SITE_PIPS SITEPROP SLICE_X35Y133 SITE_TYPE SLICEL SITEPROP SLICE_X35Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y134 CLASS site SITEPROP SLICE_X35Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y134 IS_BONDED 0 SITEPROP SLICE_X35Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y134 IS_PAD 0 SITEPROP SLICE_X35Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y134 IS_RESERVED 0 SITEPROP SLICE_X35Y134 IS_TEST 0 SITEPROP SLICE_X35Y134 IS_USED 0 SITEPROP SLICE_X35Y134 MANUAL_ROUTING SITEPROP SLICE_X35Y134 NAME SLICE_X35Y134 SITEPROP SLICE_X35Y134 NUM_ARCS 138 SITEPROP SLICE_X35Y134 NUM_BELS 32 SITEPROP SLICE_X35Y134 NUM_INPUTS 32 SITEPROP SLICE_X35Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y134 NUM_PINS 45 SITEPROP SLICE_X35Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y134 PROHIBIT 0 SITEPROP SLICE_X35Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y134 RPM_X 95 SITEPROP SLICE_X35Y134 RPM_Y 268 SITEPROP SLICE_X35Y134 SITE_PIPS SITEPROP SLICE_X35Y134 SITE_TYPE SLICEL SITEPROP SLICE_X35Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y135 CLASS site SITEPROP SLICE_X35Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y135 IS_BONDED 0 SITEPROP SLICE_X35Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y135 IS_PAD 0 SITEPROP SLICE_X35Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y135 IS_RESERVED 0 SITEPROP SLICE_X35Y135 IS_TEST 0 SITEPROP SLICE_X35Y135 IS_USED 0 SITEPROP SLICE_X35Y135 MANUAL_ROUTING SITEPROP SLICE_X35Y135 NAME SLICE_X35Y135 SITEPROP SLICE_X35Y135 NUM_ARCS 138 SITEPROP SLICE_X35Y135 NUM_BELS 32 SITEPROP SLICE_X35Y135 NUM_INPUTS 32 SITEPROP SLICE_X35Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y135 NUM_PINS 45 SITEPROP SLICE_X35Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y135 PROHIBIT 0 SITEPROP SLICE_X35Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y135 RPM_X 95 SITEPROP SLICE_X35Y135 RPM_Y 270 SITEPROP SLICE_X35Y135 SITE_PIPS SITEPROP SLICE_X35Y135 SITE_TYPE SLICEL SITEPROP SLICE_X35Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y136 CLASS site SITEPROP SLICE_X35Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y136 IS_BONDED 0 SITEPROP SLICE_X35Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y136 IS_PAD 0 SITEPROP SLICE_X35Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y136 IS_RESERVED 0 SITEPROP SLICE_X35Y136 IS_TEST 0 SITEPROP SLICE_X35Y136 IS_USED 0 SITEPROP SLICE_X35Y136 MANUAL_ROUTING SITEPROP SLICE_X35Y136 NAME SLICE_X35Y136 SITEPROP SLICE_X35Y136 NUM_ARCS 138 SITEPROP SLICE_X35Y136 NUM_BELS 32 SITEPROP SLICE_X35Y136 NUM_INPUTS 32 SITEPROP SLICE_X35Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y136 NUM_PINS 45 SITEPROP SLICE_X35Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y136 PROHIBIT 0 SITEPROP SLICE_X35Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y136 RPM_X 95 SITEPROP SLICE_X35Y136 RPM_Y 272 SITEPROP SLICE_X35Y136 SITE_PIPS SITEPROP SLICE_X35Y136 SITE_TYPE SLICEL SITEPROP SLICE_X35Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y137 CLASS site SITEPROP SLICE_X35Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y137 IS_BONDED 0 SITEPROP SLICE_X35Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y137 IS_PAD 0 SITEPROP SLICE_X35Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y137 IS_RESERVED 0 SITEPROP SLICE_X35Y137 IS_TEST 0 SITEPROP SLICE_X35Y137 IS_USED 0 SITEPROP SLICE_X35Y137 MANUAL_ROUTING SITEPROP SLICE_X35Y137 NAME SLICE_X35Y137 SITEPROP SLICE_X35Y137 NUM_ARCS 138 SITEPROP SLICE_X35Y137 NUM_BELS 32 SITEPROP SLICE_X35Y137 NUM_INPUTS 32 SITEPROP SLICE_X35Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y137 NUM_PINS 45 SITEPROP SLICE_X35Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y137 PROHIBIT 0 SITEPROP SLICE_X35Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y137 RPM_X 95 SITEPROP SLICE_X35Y137 RPM_Y 274 SITEPROP SLICE_X35Y137 SITE_PIPS SITEPROP SLICE_X35Y137 SITE_TYPE SLICEL SITEPROP SLICE_X35Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y138 CLASS site SITEPROP SLICE_X35Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y138 IS_BONDED 0 SITEPROP SLICE_X35Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y138 IS_PAD 0 SITEPROP SLICE_X35Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y138 IS_RESERVED 0 SITEPROP SLICE_X35Y138 IS_TEST 0 SITEPROP SLICE_X35Y138 IS_USED 0 SITEPROP SLICE_X35Y138 MANUAL_ROUTING SITEPROP SLICE_X35Y138 NAME SLICE_X35Y138 SITEPROP SLICE_X35Y138 NUM_ARCS 138 SITEPROP SLICE_X35Y138 NUM_BELS 32 SITEPROP SLICE_X35Y138 NUM_INPUTS 32 SITEPROP SLICE_X35Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y138 NUM_PINS 45 SITEPROP SLICE_X35Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y138 PROHIBIT 0 SITEPROP SLICE_X35Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y138 RPM_X 95 SITEPROP SLICE_X35Y138 RPM_Y 276 SITEPROP SLICE_X35Y138 SITE_PIPS SITEPROP SLICE_X35Y138 SITE_TYPE SLICEL SITEPROP SLICE_X35Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y139 CLASS site SITEPROP SLICE_X35Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y139 IS_BONDED 0 SITEPROP SLICE_X35Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y139 IS_PAD 0 SITEPROP SLICE_X35Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y139 IS_RESERVED 0 SITEPROP SLICE_X35Y139 IS_TEST 0 SITEPROP SLICE_X35Y139 IS_USED 0 SITEPROP SLICE_X35Y139 MANUAL_ROUTING SITEPROP SLICE_X35Y139 NAME SLICE_X35Y139 SITEPROP SLICE_X35Y139 NUM_ARCS 138 SITEPROP SLICE_X35Y139 NUM_BELS 32 SITEPROP SLICE_X35Y139 NUM_INPUTS 32 SITEPROP SLICE_X35Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y139 NUM_PINS 45 SITEPROP SLICE_X35Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y139 PROHIBIT 0 SITEPROP SLICE_X35Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y139 RPM_X 95 SITEPROP SLICE_X35Y139 RPM_Y 278 SITEPROP SLICE_X35Y139 SITE_PIPS SITEPROP SLICE_X35Y139 SITE_TYPE SLICEL SITEPROP SLICE_X35Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y140 CLASS site SITEPROP SLICE_X35Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y140 IS_BONDED 0 SITEPROP SLICE_X35Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y140 IS_PAD 0 SITEPROP SLICE_X35Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y140 IS_RESERVED 0 SITEPROP SLICE_X35Y140 IS_TEST 0 SITEPROP SLICE_X35Y140 IS_USED 0 SITEPROP SLICE_X35Y140 MANUAL_ROUTING SITEPROP SLICE_X35Y140 NAME SLICE_X35Y140 SITEPROP SLICE_X35Y140 NUM_ARCS 138 SITEPROP SLICE_X35Y140 NUM_BELS 32 SITEPROP SLICE_X35Y140 NUM_INPUTS 32 SITEPROP SLICE_X35Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y140 NUM_PINS 45 SITEPROP SLICE_X35Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y140 PROHIBIT 0 SITEPROP SLICE_X35Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y140 RPM_X 95 SITEPROP SLICE_X35Y140 RPM_Y 280 SITEPROP SLICE_X35Y140 SITE_PIPS SITEPROP SLICE_X35Y140 SITE_TYPE SLICEL SITEPROP SLICE_X35Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y141 CLASS site SITEPROP SLICE_X35Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y141 IS_BONDED 0 SITEPROP SLICE_X35Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y141 IS_PAD 0 SITEPROP SLICE_X35Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y141 IS_RESERVED 0 SITEPROP SLICE_X35Y141 IS_TEST 0 SITEPROP SLICE_X35Y141 IS_USED 0 SITEPROP SLICE_X35Y141 MANUAL_ROUTING SITEPROP SLICE_X35Y141 NAME SLICE_X35Y141 SITEPROP SLICE_X35Y141 NUM_ARCS 138 SITEPROP SLICE_X35Y141 NUM_BELS 32 SITEPROP SLICE_X35Y141 NUM_INPUTS 32 SITEPROP SLICE_X35Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y141 NUM_PINS 45 SITEPROP SLICE_X35Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y141 PROHIBIT 0 SITEPROP SLICE_X35Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y141 RPM_X 95 SITEPROP SLICE_X35Y141 RPM_Y 282 SITEPROP SLICE_X35Y141 SITE_PIPS SITEPROP SLICE_X35Y141 SITE_TYPE SLICEL SITEPROP SLICE_X35Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y142 CLASS site SITEPROP SLICE_X35Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y142 IS_BONDED 0 SITEPROP SLICE_X35Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y142 IS_PAD 0 SITEPROP SLICE_X35Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y142 IS_RESERVED 0 SITEPROP SLICE_X35Y142 IS_TEST 0 SITEPROP SLICE_X35Y142 IS_USED 0 SITEPROP SLICE_X35Y142 MANUAL_ROUTING SITEPROP SLICE_X35Y142 NAME SLICE_X35Y142 SITEPROP SLICE_X35Y142 NUM_ARCS 138 SITEPROP SLICE_X35Y142 NUM_BELS 32 SITEPROP SLICE_X35Y142 NUM_INPUTS 32 SITEPROP SLICE_X35Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y142 NUM_PINS 45 SITEPROP SLICE_X35Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y142 PROHIBIT 0 SITEPROP SLICE_X35Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y142 RPM_X 95 SITEPROP SLICE_X35Y142 RPM_Y 284 SITEPROP SLICE_X35Y142 SITE_PIPS SITEPROP SLICE_X35Y142 SITE_TYPE SLICEL SITEPROP SLICE_X35Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y143 CLASS site SITEPROP SLICE_X35Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y143 IS_BONDED 0 SITEPROP SLICE_X35Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y143 IS_PAD 0 SITEPROP SLICE_X35Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y143 IS_RESERVED 0 SITEPROP SLICE_X35Y143 IS_TEST 0 SITEPROP SLICE_X35Y143 IS_USED 0 SITEPROP SLICE_X35Y143 MANUAL_ROUTING SITEPROP SLICE_X35Y143 NAME SLICE_X35Y143 SITEPROP SLICE_X35Y143 NUM_ARCS 138 SITEPROP SLICE_X35Y143 NUM_BELS 32 SITEPROP SLICE_X35Y143 NUM_INPUTS 32 SITEPROP SLICE_X35Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y143 NUM_PINS 45 SITEPROP SLICE_X35Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y143 PROHIBIT 0 SITEPROP SLICE_X35Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y143 RPM_X 95 SITEPROP SLICE_X35Y143 RPM_Y 286 SITEPROP SLICE_X35Y143 SITE_PIPS SITEPROP SLICE_X35Y143 SITE_TYPE SLICEL SITEPROP SLICE_X35Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y144 CLASS site SITEPROP SLICE_X35Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y144 IS_BONDED 0 SITEPROP SLICE_X35Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y144 IS_PAD 0 SITEPROP SLICE_X35Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y144 IS_RESERVED 0 SITEPROP SLICE_X35Y144 IS_TEST 0 SITEPROP SLICE_X35Y144 IS_USED 0 SITEPROP SLICE_X35Y144 MANUAL_ROUTING SITEPROP SLICE_X35Y144 NAME SLICE_X35Y144 SITEPROP SLICE_X35Y144 NUM_ARCS 138 SITEPROP SLICE_X35Y144 NUM_BELS 32 SITEPROP SLICE_X35Y144 NUM_INPUTS 32 SITEPROP SLICE_X35Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y144 NUM_PINS 45 SITEPROP SLICE_X35Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y144 PROHIBIT 0 SITEPROP SLICE_X35Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y144 RPM_X 95 SITEPROP SLICE_X35Y144 RPM_Y 288 SITEPROP SLICE_X35Y144 SITE_PIPS SITEPROP SLICE_X35Y144 SITE_TYPE SLICEL SITEPROP SLICE_X35Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y145 CLASS site SITEPROP SLICE_X35Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y145 IS_BONDED 0 SITEPROP SLICE_X35Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y145 IS_PAD 0 SITEPROP SLICE_X35Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y145 IS_RESERVED 0 SITEPROP SLICE_X35Y145 IS_TEST 0 SITEPROP SLICE_X35Y145 IS_USED 0 SITEPROP SLICE_X35Y145 MANUAL_ROUTING SITEPROP SLICE_X35Y145 NAME SLICE_X35Y145 SITEPROP SLICE_X35Y145 NUM_ARCS 138 SITEPROP SLICE_X35Y145 NUM_BELS 32 SITEPROP SLICE_X35Y145 NUM_INPUTS 32 SITEPROP SLICE_X35Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y145 NUM_PINS 45 SITEPROP SLICE_X35Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y145 PROHIBIT 0 SITEPROP SLICE_X35Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y145 RPM_X 95 SITEPROP SLICE_X35Y145 RPM_Y 290 SITEPROP SLICE_X35Y145 SITE_PIPS SITEPROP SLICE_X35Y145 SITE_TYPE SLICEL SITEPROP SLICE_X35Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y146 CLASS site SITEPROP SLICE_X35Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y146 IS_BONDED 0 SITEPROP SLICE_X35Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y146 IS_PAD 0 SITEPROP SLICE_X35Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y146 IS_RESERVED 0 SITEPROP SLICE_X35Y146 IS_TEST 0 SITEPROP SLICE_X35Y146 IS_USED 0 SITEPROP SLICE_X35Y146 MANUAL_ROUTING SITEPROP SLICE_X35Y146 NAME SLICE_X35Y146 SITEPROP SLICE_X35Y146 NUM_ARCS 138 SITEPROP SLICE_X35Y146 NUM_BELS 32 SITEPROP SLICE_X35Y146 NUM_INPUTS 32 SITEPROP SLICE_X35Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y146 NUM_PINS 45 SITEPROP SLICE_X35Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y146 PROHIBIT 0 SITEPROP SLICE_X35Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y146 RPM_X 95 SITEPROP SLICE_X35Y146 RPM_Y 292 SITEPROP SLICE_X35Y146 SITE_PIPS SITEPROP SLICE_X35Y146 SITE_TYPE SLICEL SITEPROP SLICE_X35Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y147 CLASS site SITEPROP SLICE_X35Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y147 IS_BONDED 0 SITEPROP SLICE_X35Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y147 IS_PAD 0 SITEPROP SLICE_X35Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y147 IS_RESERVED 0 SITEPROP SLICE_X35Y147 IS_TEST 0 SITEPROP SLICE_X35Y147 IS_USED 0 SITEPROP SLICE_X35Y147 MANUAL_ROUTING SITEPROP SLICE_X35Y147 NAME SLICE_X35Y147 SITEPROP SLICE_X35Y147 NUM_ARCS 138 SITEPROP SLICE_X35Y147 NUM_BELS 32 SITEPROP SLICE_X35Y147 NUM_INPUTS 32 SITEPROP SLICE_X35Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y147 NUM_PINS 45 SITEPROP SLICE_X35Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y147 PROHIBIT 0 SITEPROP SLICE_X35Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y147 RPM_X 95 SITEPROP SLICE_X35Y147 RPM_Y 294 SITEPROP SLICE_X35Y147 SITE_PIPS SITEPROP SLICE_X35Y147 SITE_TYPE SLICEL SITEPROP SLICE_X35Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y148 CLASS site SITEPROP SLICE_X35Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y148 IS_BONDED 0 SITEPROP SLICE_X35Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y148 IS_PAD 0 SITEPROP SLICE_X35Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y148 IS_RESERVED 0 SITEPROP SLICE_X35Y148 IS_TEST 0 SITEPROP SLICE_X35Y148 IS_USED 0 SITEPROP SLICE_X35Y148 MANUAL_ROUTING SITEPROP SLICE_X35Y148 NAME SLICE_X35Y148 SITEPROP SLICE_X35Y148 NUM_ARCS 138 SITEPROP SLICE_X35Y148 NUM_BELS 32 SITEPROP SLICE_X35Y148 NUM_INPUTS 32 SITEPROP SLICE_X35Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y148 NUM_PINS 45 SITEPROP SLICE_X35Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y148 PROHIBIT 0 SITEPROP SLICE_X35Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y148 RPM_X 95 SITEPROP SLICE_X35Y148 RPM_Y 296 SITEPROP SLICE_X35Y148 SITE_PIPS SITEPROP SLICE_X35Y148 SITE_TYPE SLICEL SITEPROP SLICE_X35Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X35Y149 CLASS site SITEPROP SLICE_X35Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X35Y149 IS_BONDED 0 SITEPROP SLICE_X35Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X35Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y149 IS_PAD 0 SITEPROP SLICE_X35Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X35Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X35Y149 IS_RESERVED 0 SITEPROP SLICE_X35Y149 IS_TEST 0 SITEPROP SLICE_X35Y149 IS_USED 0 SITEPROP SLICE_X35Y149 MANUAL_ROUTING SITEPROP SLICE_X35Y149 NAME SLICE_X35Y149 SITEPROP SLICE_X35Y149 NUM_ARCS 138 SITEPROP SLICE_X35Y149 NUM_BELS 32 SITEPROP SLICE_X35Y149 NUM_INPUTS 32 SITEPROP SLICE_X35Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X35Y149 NUM_PINS 45 SITEPROP SLICE_X35Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X35Y149 PROHIBIT 0 SITEPROP SLICE_X35Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X35Y149 RPM_X 95 SITEPROP SLICE_X35Y149 RPM_Y 298 SITEPROP SLICE_X35Y149 SITE_PIPS SITEPROP SLICE_X35Y149 SITE_TYPE SLICEL SITEPROP SLICE_X36Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y0 CLASS site SITEPROP SLICE_X36Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y0 IS_BONDED 0 SITEPROP SLICE_X36Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y0 IS_PAD 0 SITEPROP SLICE_X36Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y0 IS_RESERVED 0 SITEPROP SLICE_X36Y0 IS_TEST 0 SITEPROP SLICE_X36Y0 IS_USED 0 SITEPROP SLICE_X36Y0 MANUAL_ROUTING SITEPROP SLICE_X36Y0 NAME SLICE_X36Y0 SITEPROP SLICE_X36Y0 NUM_ARCS 138 SITEPROP SLICE_X36Y0 NUM_BELS 32 SITEPROP SLICE_X36Y0 NUM_INPUTS 32 SITEPROP SLICE_X36Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y0 NUM_PINS 45 SITEPROP SLICE_X36Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y0 PROHIBIT 0 SITEPROP SLICE_X36Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y0 RPM_X 101 SITEPROP SLICE_X36Y0 RPM_Y 0 SITEPROP SLICE_X36Y0 SITE_PIPS SITEPROP SLICE_X36Y0 SITE_TYPE SLICEL SITEPROP SLICE_X36Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y1 CLASS site SITEPROP SLICE_X36Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y1 IS_BONDED 0 SITEPROP SLICE_X36Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y1 IS_PAD 0 SITEPROP SLICE_X36Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y1 IS_RESERVED 0 SITEPROP SLICE_X36Y1 IS_TEST 0 SITEPROP SLICE_X36Y1 IS_USED 0 SITEPROP SLICE_X36Y1 MANUAL_ROUTING SITEPROP SLICE_X36Y1 NAME SLICE_X36Y1 SITEPROP SLICE_X36Y1 NUM_ARCS 138 SITEPROP SLICE_X36Y1 NUM_BELS 32 SITEPROP SLICE_X36Y1 NUM_INPUTS 32 SITEPROP SLICE_X36Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y1 NUM_PINS 45 SITEPROP SLICE_X36Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y1 PROHIBIT 0 SITEPROP SLICE_X36Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y1 RPM_X 101 SITEPROP SLICE_X36Y1 RPM_Y 2 SITEPROP SLICE_X36Y1 SITE_PIPS SITEPROP SLICE_X36Y1 SITE_TYPE SLICEL SITEPROP SLICE_X36Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y2 CLASS site SITEPROP SLICE_X36Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y2 IS_BONDED 0 SITEPROP SLICE_X36Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y2 IS_PAD 0 SITEPROP SLICE_X36Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y2 IS_RESERVED 0 SITEPROP SLICE_X36Y2 IS_TEST 0 SITEPROP SLICE_X36Y2 IS_USED 0 SITEPROP SLICE_X36Y2 MANUAL_ROUTING SITEPROP SLICE_X36Y2 NAME SLICE_X36Y2 SITEPROP SLICE_X36Y2 NUM_ARCS 138 SITEPROP SLICE_X36Y2 NUM_BELS 32 SITEPROP SLICE_X36Y2 NUM_INPUTS 32 SITEPROP SLICE_X36Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y2 NUM_PINS 45 SITEPROP SLICE_X36Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y2 PROHIBIT 0 SITEPROP SLICE_X36Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y2 RPM_X 101 SITEPROP SLICE_X36Y2 RPM_Y 4 SITEPROP SLICE_X36Y2 SITE_PIPS SITEPROP SLICE_X36Y2 SITE_TYPE SLICEL SITEPROP SLICE_X36Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y3 CLASS site SITEPROP SLICE_X36Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y3 IS_BONDED 0 SITEPROP SLICE_X36Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y3 IS_PAD 0 SITEPROP SLICE_X36Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y3 IS_RESERVED 0 SITEPROP SLICE_X36Y3 IS_TEST 0 SITEPROP SLICE_X36Y3 IS_USED 0 SITEPROP SLICE_X36Y3 MANUAL_ROUTING SITEPROP SLICE_X36Y3 NAME SLICE_X36Y3 SITEPROP SLICE_X36Y3 NUM_ARCS 138 SITEPROP SLICE_X36Y3 NUM_BELS 32 SITEPROP SLICE_X36Y3 NUM_INPUTS 32 SITEPROP SLICE_X36Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y3 NUM_PINS 45 SITEPROP SLICE_X36Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y3 PROHIBIT 0 SITEPROP SLICE_X36Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y3 RPM_X 101 SITEPROP SLICE_X36Y3 RPM_Y 6 SITEPROP SLICE_X36Y3 SITE_PIPS SITEPROP SLICE_X36Y3 SITE_TYPE SLICEL SITEPROP SLICE_X36Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y4 CLASS site SITEPROP SLICE_X36Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y4 IS_BONDED 0 SITEPROP SLICE_X36Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y4 IS_PAD 0 SITEPROP SLICE_X36Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y4 IS_RESERVED 0 SITEPROP SLICE_X36Y4 IS_TEST 0 SITEPROP SLICE_X36Y4 IS_USED 0 SITEPROP SLICE_X36Y4 MANUAL_ROUTING SITEPROP SLICE_X36Y4 NAME SLICE_X36Y4 SITEPROP SLICE_X36Y4 NUM_ARCS 138 SITEPROP SLICE_X36Y4 NUM_BELS 32 SITEPROP SLICE_X36Y4 NUM_INPUTS 32 SITEPROP SLICE_X36Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y4 NUM_PINS 45 SITEPROP SLICE_X36Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y4 PROHIBIT 0 SITEPROP SLICE_X36Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y4 RPM_X 101 SITEPROP SLICE_X36Y4 RPM_Y 8 SITEPROP SLICE_X36Y4 SITE_PIPS SITEPROP SLICE_X36Y4 SITE_TYPE SLICEL SITEPROP SLICE_X36Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y5 CLASS site SITEPROP SLICE_X36Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y5 IS_BONDED 0 SITEPROP SLICE_X36Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y5 IS_PAD 0 SITEPROP SLICE_X36Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y5 IS_RESERVED 0 SITEPROP SLICE_X36Y5 IS_TEST 0 SITEPROP SLICE_X36Y5 IS_USED 0 SITEPROP SLICE_X36Y5 MANUAL_ROUTING SITEPROP SLICE_X36Y5 NAME SLICE_X36Y5 SITEPROP SLICE_X36Y5 NUM_ARCS 138 SITEPROP SLICE_X36Y5 NUM_BELS 32 SITEPROP SLICE_X36Y5 NUM_INPUTS 32 SITEPROP SLICE_X36Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y5 NUM_PINS 45 SITEPROP SLICE_X36Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y5 PROHIBIT 0 SITEPROP SLICE_X36Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y5 RPM_X 101 SITEPROP SLICE_X36Y5 RPM_Y 10 SITEPROP SLICE_X36Y5 SITE_PIPS SITEPROP SLICE_X36Y5 SITE_TYPE SLICEL SITEPROP SLICE_X36Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y6 CLASS site SITEPROP SLICE_X36Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y6 IS_BONDED 0 SITEPROP SLICE_X36Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y6 IS_PAD 0 SITEPROP SLICE_X36Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y6 IS_RESERVED 0 SITEPROP SLICE_X36Y6 IS_TEST 0 SITEPROP SLICE_X36Y6 IS_USED 0 SITEPROP SLICE_X36Y6 MANUAL_ROUTING SITEPROP SLICE_X36Y6 NAME SLICE_X36Y6 SITEPROP SLICE_X36Y6 NUM_ARCS 138 SITEPROP SLICE_X36Y6 NUM_BELS 32 SITEPROP SLICE_X36Y6 NUM_INPUTS 32 SITEPROP SLICE_X36Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y6 NUM_PINS 45 SITEPROP SLICE_X36Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y6 PROHIBIT 0 SITEPROP SLICE_X36Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y6 RPM_X 101 SITEPROP SLICE_X36Y6 RPM_Y 12 SITEPROP SLICE_X36Y6 SITE_PIPS SITEPROP SLICE_X36Y6 SITE_TYPE SLICEL SITEPROP SLICE_X36Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y7 CLASS site SITEPROP SLICE_X36Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y7 IS_BONDED 0 SITEPROP SLICE_X36Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y7 IS_PAD 0 SITEPROP SLICE_X36Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y7 IS_RESERVED 0 SITEPROP SLICE_X36Y7 IS_TEST 0 SITEPROP SLICE_X36Y7 IS_USED 0 SITEPROP SLICE_X36Y7 MANUAL_ROUTING SITEPROP SLICE_X36Y7 NAME SLICE_X36Y7 SITEPROP SLICE_X36Y7 NUM_ARCS 138 SITEPROP SLICE_X36Y7 NUM_BELS 32 SITEPROP SLICE_X36Y7 NUM_INPUTS 32 SITEPROP SLICE_X36Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y7 NUM_PINS 45 SITEPROP SLICE_X36Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y7 PROHIBIT 0 SITEPROP SLICE_X36Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y7 RPM_X 101 SITEPROP SLICE_X36Y7 RPM_Y 14 SITEPROP SLICE_X36Y7 SITE_PIPS SITEPROP SLICE_X36Y7 SITE_TYPE SLICEL SITEPROP SLICE_X36Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y8 CLASS site SITEPROP SLICE_X36Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y8 IS_BONDED 0 SITEPROP SLICE_X36Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y8 IS_PAD 0 SITEPROP SLICE_X36Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y8 IS_RESERVED 0 SITEPROP SLICE_X36Y8 IS_TEST 0 SITEPROP SLICE_X36Y8 IS_USED 0 SITEPROP SLICE_X36Y8 MANUAL_ROUTING SITEPROP SLICE_X36Y8 NAME SLICE_X36Y8 SITEPROP SLICE_X36Y8 NUM_ARCS 138 SITEPROP SLICE_X36Y8 NUM_BELS 32 SITEPROP SLICE_X36Y8 NUM_INPUTS 32 SITEPROP SLICE_X36Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y8 NUM_PINS 45 SITEPROP SLICE_X36Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y8 PROHIBIT 0 SITEPROP SLICE_X36Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y8 RPM_X 101 SITEPROP SLICE_X36Y8 RPM_Y 16 SITEPROP SLICE_X36Y8 SITE_PIPS SITEPROP SLICE_X36Y8 SITE_TYPE SLICEL SITEPROP SLICE_X36Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y9 CLASS site SITEPROP SLICE_X36Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y9 IS_BONDED 0 SITEPROP SLICE_X36Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y9 IS_PAD 0 SITEPROP SLICE_X36Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y9 IS_RESERVED 0 SITEPROP SLICE_X36Y9 IS_TEST 0 SITEPROP SLICE_X36Y9 IS_USED 0 SITEPROP SLICE_X36Y9 MANUAL_ROUTING SITEPROP SLICE_X36Y9 NAME SLICE_X36Y9 SITEPROP SLICE_X36Y9 NUM_ARCS 138 SITEPROP SLICE_X36Y9 NUM_BELS 32 SITEPROP SLICE_X36Y9 NUM_INPUTS 32 SITEPROP SLICE_X36Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y9 NUM_PINS 45 SITEPROP SLICE_X36Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y9 PROHIBIT 0 SITEPROP SLICE_X36Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y9 RPM_X 101 SITEPROP SLICE_X36Y9 RPM_Y 18 SITEPROP SLICE_X36Y9 SITE_PIPS SITEPROP SLICE_X36Y9 SITE_TYPE SLICEL SITEPROP SLICE_X36Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y10 CLASS site SITEPROP SLICE_X36Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y10 IS_BONDED 0 SITEPROP SLICE_X36Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y10 IS_PAD 0 SITEPROP SLICE_X36Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y10 IS_RESERVED 0 SITEPROP SLICE_X36Y10 IS_TEST 0 SITEPROP SLICE_X36Y10 IS_USED 0 SITEPROP SLICE_X36Y10 MANUAL_ROUTING SITEPROP SLICE_X36Y10 NAME SLICE_X36Y10 SITEPROP SLICE_X36Y10 NUM_ARCS 138 SITEPROP SLICE_X36Y10 NUM_BELS 32 SITEPROP SLICE_X36Y10 NUM_INPUTS 32 SITEPROP SLICE_X36Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y10 NUM_PINS 45 SITEPROP SLICE_X36Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y10 PROHIBIT 0 SITEPROP SLICE_X36Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y10 RPM_X 101 SITEPROP SLICE_X36Y10 RPM_Y 20 SITEPROP SLICE_X36Y10 SITE_PIPS SITEPROP SLICE_X36Y10 SITE_TYPE SLICEL SITEPROP SLICE_X36Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y11 CLASS site SITEPROP SLICE_X36Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y11 IS_BONDED 0 SITEPROP SLICE_X36Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y11 IS_PAD 0 SITEPROP SLICE_X36Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y11 IS_RESERVED 0 SITEPROP SLICE_X36Y11 IS_TEST 0 SITEPROP SLICE_X36Y11 IS_USED 0 SITEPROP SLICE_X36Y11 MANUAL_ROUTING SITEPROP SLICE_X36Y11 NAME SLICE_X36Y11 SITEPROP SLICE_X36Y11 NUM_ARCS 138 SITEPROP SLICE_X36Y11 NUM_BELS 32 SITEPROP SLICE_X36Y11 NUM_INPUTS 32 SITEPROP SLICE_X36Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y11 NUM_PINS 45 SITEPROP SLICE_X36Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y11 PROHIBIT 0 SITEPROP SLICE_X36Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y11 RPM_X 101 SITEPROP SLICE_X36Y11 RPM_Y 22 SITEPROP SLICE_X36Y11 SITE_PIPS SITEPROP SLICE_X36Y11 SITE_TYPE SLICEL SITEPROP SLICE_X36Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y12 CLASS site SITEPROP SLICE_X36Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y12 IS_BONDED 0 SITEPROP SLICE_X36Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y12 IS_PAD 0 SITEPROP SLICE_X36Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y12 IS_RESERVED 0 SITEPROP SLICE_X36Y12 IS_TEST 0 SITEPROP SLICE_X36Y12 IS_USED 0 SITEPROP SLICE_X36Y12 MANUAL_ROUTING SITEPROP SLICE_X36Y12 NAME SLICE_X36Y12 SITEPROP SLICE_X36Y12 NUM_ARCS 138 SITEPROP SLICE_X36Y12 NUM_BELS 32 SITEPROP SLICE_X36Y12 NUM_INPUTS 32 SITEPROP SLICE_X36Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y12 NUM_PINS 45 SITEPROP SLICE_X36Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y12 PROHIBIT 0 SITEPROP SLICE_X36Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y12 RPM_X 101 SITEPROP SLICE_X36Y12 RPM_Y 24 SITEPROP SLICE_X36Y12 SITE_PIPS SITEPROP SLICE_X36Y12 SITE_TYPE SLICEL SITEPROP SLICE_X36Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y13 CLASS site SITEPROP SLICE_X36Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y13 IS_BONDED 0 SITEPROP SLICE_X36Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y13 IS_PAD 0 SITEPROP SLICE_X36Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y13 IS_RESERVED 0 SITEPROP SLICE_X36Y13 IS_TEST 0 SITEPROP SLICE_X36Y13 IS_USED 0 SITEPROP SLICE_X36Y13 MANUAL_ROUTING SITEPROP SLICE_X36Y13 NAME SLICE_X36Y13 SITEPROP SLICE_X36Y13 NUM_ARCS 138 SITEPROP SLICE_X36Y13 NUM_BELS 32 SITEPROP SLICE_X36Y13 NUM_INPUTS 32 SITEPROP SLICE_X36Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y13 NUM_PINS 45 SITEPROP SLICE_X36Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y13 PROHIBIT 0 SITEPROP SLICE_X36Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y13 RPM_X 101 SITEPROP SLICE_X36Y13 RPM_Y 26 SITEPROP SLICE_X36Y13 SITE_PIPS SITEPROP SLICE_X36Y13 SITE_TYPE SLICEL SITEPROP SLICE_X36Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y14 CLASS site SITEPROP SLICE_X36Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y14 IS_BONDED 0 SITEPROP SLICE_X36Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y14 IS_PAD 0 SITEPROP SLICE_X36Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y14 IS_RESERVED 0 SITEPROP SLICE_X36Y14 IS_TEST 0 SITEPROP SLICE_X36Y14 IS_USED 0 SITEPROP SLICE_X36Y14 MANUAL_ROUTING SITEPROP SLICE_X36Y14 NAME SLICE_X36Y14 SITEPROP SLICE_X36Y14 NUM_ARCS 138 SITEPROP SLICE_X36Y14 NUM_BELS 32 SITEPROP SLICE_X36Y14 NUM_INPUTS 32 SITEPROP SLICE_X36Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y14 NUM_PINS 45 SITEPROP SLICE_X36Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y14 PROHIBIT 0 SITEPROP SLICE_X36Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y14 RPM_X 101 SITEPROP SLICE_X36Y14 RPM_Y 28 SITEPROP SLICE_X36Y14 SITE_PIPS SITEPROP SLICE_X36Y14 SITE_TYPE SLICEL SITEPROP SLICE_X36Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y15 CLASS site SITEPROP SLICE_X36Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y15 IS_BONDED 0 SITEPROP SLICE_X36Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y15 IS_PAD 0 SITEPROP SLICE_X36Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y15 IS_RESERVED 0 SITEPROP SLICE_X36Y15 IS_TEST 0 SITEPROP SLICE_X36Y15 IS_USED 0 SITEPROP SLICE_X36Y15 MANUAL_ROUTING SITEPROP SLICE_X36Y15 NAME SLICE_X36Y15 SITEPROP SLICE_X36Y15 NUM_ARCS 138 SITEPROP SLICE_X36Y15 NUM_BELS 32 SITEPROP SLICE_X36Y15 NUM_INPUTS 32 SITEPROP SLICE_X36Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y15 NUM_PINS 45 SITEPROP SLICE_X36Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y15 PROHIBIT 0 SITEPROP SLICE_X36Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y15 RPM_X 101 SITEPROP SLICE_X36Y15 RPM_Y 30 SITEPROP SLICE_X36Y15 SITE_PIPS SITEPROP SLICE_X36Y15 SITE_TYPE SLICEL SITEPROP SLICE_X36Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y16 CLASS site SITEPROP SLICE_X36Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y16 IS_BONDED 0 SITEPROP SLICE_X36Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y16 IS_PAD 0 SITEPROP SLICE_X36Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y16 IS_RESERVED 0 SITEPROP SLICE_X36Y16 IS_TEST 0 SITEPROP SLICE_X36Y16 IS_USED 0 SITEPROP SLICE_X36Y16 MANUAL_ROUTING SITEPROP SLICE_X36Y16 NAME SLICE_X36Y16 SITEPROP SLICE_X36Y16 NUM_ARCS 138 SITEPROP SLICE_X36Y16 NUM_BELS 32 SITEPROP SLICE_X36Y16 NUM_INPUTS 32 SITEPROP SLICE_X36Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y16 NUM_PINS 45 SITEPROP SLICE_X36Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y16 PROHIBIT 0 SITEPROP SLICE_X36Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y16 RPM_X 101 SITEPROP SLICE_X36Y16 RPM_Y 32 SITEPROP SLICE_X36Y16 SITE_PIPS SITEPROP SLICE_X36Y16 SITE_TYPE SLICEL SITEPROP SLICE_X36Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y17 CLASS site SITEPROP SLICE_X36Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y17 IS_BONDED 0 SITEPROP SLICE_X36Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y17 IS_PAD 0 SITEPROP SLICE_X36Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y17 IS_RESERVED 0 SITEPROP SLICE_X36Y17 IS_TEST 0 SITEPROP SLICE_X36Y17 IS_USED 0 SITEPROP SLICE_X36Y17 MANUAL_ROUTING SITEPROP SLICE_X36Y17 NAME SLICE_X36Y17 SITEPROP SLICE_X36Y17 NUM_ARCS 138 SITEPROP SLICE_X36Y17 NUM_BELS 32 SITEPROP SLICE_X36Y17 NUM_INPUTS 32 SITEPROP SLICE_X36Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y17 NUM_PINS 45 SITEPROP SLICE_X36Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y17 PROHIBIT 0 SITEPROP SLICE_X36Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y17 RPM_X 101 SITEPROP SLICE_X36Y17 RPM_Y 34 SITEPROP SLICE_X36Y17 SITE_PIPS SITEPROP SLICE_X36Y17 SITE_TYPE SLICEL SITEPROP SLICE_X36Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y18 CLASS site SITEPROP SLICE_X36Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y18 IS_BONDED 0 SITEPROP SLICE_X36Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y18 IS_PAD 0 SITEPROP SLICE_X36Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y18 IS_RESERVED 0 SITEPROP SLICE_X36Y18 IS_TEST 0 SITEPROP SLICE_X36Y18 IS_USED 0 SITEPROP SLICE_X36Y18 MANUAL_ROUTING SITEPROP SLICE_X36Y18 NAME SLICE_X36Y18 SITEPROP SLICE_X36Y18 NUM_ARCS 138 SITEPROP SLICE_X36Y18 NUM_BELS 32 SITEPROP SLICE_X36Y18 NUM_INPUTS 32 SITEPROP SLICE_X36Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y18 NUM_PINS 45 SITEPROP SLICE_X36Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y18 PROHIBIT 0 SITEPROP SLICE_X36Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y18 RPM_X 101 SITEPROP SLICE_X36Y18 RPM_Y 36 SITEPROP SLICE_X36Y18 SITE_PIPS SITEPROP SLICE_X36Y18 SITE_TYPE SLICEL SITEPROP SLICE_X36Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y19 CLASS site SITEPROP SLICE_X36Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y19 IS_BONDED 0 SITEPROP SLICE_X36Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y19 IS_PAD 0 SITEPROP SLICE_X36Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y19 IS_RESERVED 0 SITEPROP SLICE_X36Y19 IS_TEST 0 SITEPROP SLICE_X36Y19 IS_USED 0 SITEPROP SLICE_X36Y19 MANUAL_ROUTING SITEPROP SLICE_X36Y19 NAME SLICE_X36Y19 SITEPROP SLICE_X36Y19 NUM_ARCS 138 SITEPROP SLICE_X36Y19 NUM_BELS 32 SITEPROP SLICE_X36Y19 NUM_INPUTS 32 SITEPROP SLICE_X36Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y19 NUM_PINS 45 SITEPROP SLICE_X36Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y19 PROHIBIT 0 SITEPROP SLICE_X36Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y19 RPM_X 101 SITEPROP SLICE_X36Y19 RPM_Y 38 SITEPROP SLICE_X36Y19 SITE_PIPS SITEPROP SLICE_X36Y19 SITE_TYPE SLICEL SITEPROP SLICE_X36Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y20 CLASS site SITEPROP SLICE_X36Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y20 IS_BONDED 0 SITEPROP SLICE_X36Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y20 IS_PAD 0 SITEPROP SLICE_X36Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y20 IS_RESERVED 0 SITEPROP SLICE_X36Y20 IS_TEST 0 SITEPROP SLICE_X36Y20 IS_USED 0 SITEPROP SLICE_X36Y20 MANUAL_ROUTING SITEPROP SLICE_X36Y20 NAME SLICE_X36Y20 SITEPROP SLICE_X36Y20 NUM_ARCS 138 SITEPROP SLICE_X36Y20 NUM_BELS 32 SITEPROP SLICE_X36Y20 NUM_INPUTS 32 SITEPROP SLICE_X36Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y20 NUM_PINS 45 SITEPROP SLICE_X36Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y20 PROHIBIT 0 SITEPROP SLICE_X36Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y20 RPM_X 101 SITEPROP SLICE_X36Y20 RPM_Y 40 SITEPROP SLICE_X36Y20 SITE_PIPS SITEPROP SLICE_X36Y20 SITE_TYPE SLICEL SITEPROP SLICE_X36Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y21 CLASS site SITEPROP SLICE_X36Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y21 IS_BONDED 0 SITEPROP SLICE_X36Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y21 IS_PAD 0 SITEPROP SLICE_X36Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y21 IS_RESERVED 0 SITEPROP SLICE_X36Y21 IS_TEST 0 SITEPROP SLICE_X36Y21 IS_USED 0 SITEPROP SLICE_X36Y21 MANUAL_ROUTING SITEPROP SLICE_X36Y21 NAME SLICE_X36Y21 SITEPROP SLICE_X36Y21 NUM_ARCS 138 SITEPROP SLICE_X36Y21 NUM_BELS 32 SITEPROP SLICE_X36Y21 NUM_INPUTS 32 SITEPROP SLICE_X36Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y21 NUM_PINS 45 SITEPROP SLICE_X36Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y21 PROHIBIT 0 SITEPROP SLICE_X36Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y21 RPM_X 101 SITEPROP SLICE_X36Y21 RPM_Y 42 SITEPROP SLICE_X36Y21 SITE_PIPS SITEPROP SLICE_X36Y21 SITE_TYPE SLICEL SITEPROP SLICE_X36Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y22 CLASS site SITEPROP SLICE_X36Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y22 IS_BONDED 0 SITEPROP SLICE_X36Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y22 IS_PAD 0 SITEPROP SLICE_X36Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y22 IS_RESERVED 0 SITEPROP SLICE_X36Y22 IS_TEST 0 SITEPROP SLICE_X36Y22 IS_USED 0 SITEPROP SLICE_X36Y22 MANUAL_ROUTING SITEPROP SLICE_X36Y22 NAME SLICE_X36Y22 SITEPROP SLICE_X36Y22 NUM_ARCS 138 SITEPROP SLICE_X36Y22 NUM_BELS 32 SITEPROP SLICE_X36Y22 NUM_INPUTS 32 SITEPROP SLICE_X36Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y22 NUM_PINS 45 SITEPROP SLICE_X36Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y22 PROHIBIT 0 SITEPROP SLICE_X36Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y22 RPM_X 101 SITEPROP SLICE_X36Y22 RPM_Y 44 SITEPROP SLICE_X36Y22 SITE_PIPS SITEPROP SLICE_X36Y22 SITE_TYPE SLICEL SITEPROP SLICE_X36Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y23 CLASS site SITEPROP SLICE_X36Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y23 IS_BONDED 0 SITEPROP SLICE_X36Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y23 IS_PAD 0 SITEPROP SLICE_X36Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y23 IS_RESERVED 0 SITEPROP SLICE_X36Y23 IS_TEST 0 SITEPROP SLICE_X36Y23 IS_USED 0 SITEPROP SLICE_X36Y23 MANUAL_ROUTING SITEPROP SLICE_X36Y23 NAME SLICE_X36Y23 SITEPROP SLICE_X36Y23 NUM_ARCS 138 SITEPROP SLICE_X36Y23 NUM_BELS 32 SITEPROP SLICE_X36Y23 NUM_INPUTS 32 SITEPROP SLICE_X36Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y23 NUM_PINS 45 SITEPROP SLICE_X36Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y23 PROHIBIT 0 SITEPROP SLICE_X36Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y23 RPM_X 101 SITEPROP SLICE_X36Y23 RPM_Y 46 SITEPROP SLICE_X36Y23 SITE_PIPS SITEPROP SLICE_X36Y23 SITE_TYPE SLICEL SITEPROP SLICE_X36Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y24 CLASS site SITEPROP SLICE_X36Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y24 IS_BONDED 0 SITEPROP SLICE_X36Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y24 IS_PAD 0 SITEPROP SLICE_X36Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y24 IS_RESERVED 0 SITEPROP SLICE_X36Y24 IS_TEST 0 SITEPROP SLICE_X36Y24 IS_USED 0 SITEPROP SLICE_X36Y24 MANUAL_ROUTING SITEPROP SLICE_X36Y24 NAME SLICE_X36Y24 SITEPROP SLICE_X36Y24 NUM_ARCS 138 SITEPROP SLICE_X36Y24 NUM_BELS 32 SITEPROP SLICE_X36Y24 NUM_INPUTS 32 SITEPROP SLICE_X36Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y24 NUM_PINS 45 SITEPROP SLICE_X36Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y24 PROHIBIT 0 SITEPROP SLICE_X36Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y24 RPM_X 101 SITEPROP SLICE_X36Y24 RPM_Y 48 SITEPROP SLICE_X36Y24 SITE_PIPS SITEPROP SLICE_X36Y24 SITE_TYPE SLICEL SITEPROP SLICE_X36Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y25 CLASS site SITEPROP SLICE_X36Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y25 IS_BONDED 0 SITEPROP SLICE_X36Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y25 IS_PAD 0 SITEPROP SLICE_X36Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y25 IS_RESERVED 0 SITEPROP SLICE_X36Y25 IS_TEST 0 SITEPROP SLICE_X36Y25 IS_USED 0 SITEPROP SLICE_X36Y25 MANUAL_ROUTING SITEPROP SLICE_X36Y25 NAME SLICE_X36Y25 SITEPROP SLICE_X36Y25 NUM_ARCS 138 SITEPROP SLICE_X36Y25 NUM_BELS 32 SITEPROP SLICE_X36Y25 NUM_INPUTS 32 SITEPROP SLICE_X36Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y25 NUM_PINS 45 SITEPROP SLICE_X36Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y25 PROHIBIT 0 SITEPROP SLICE_X36Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y25 RPM_X 101 SITEPROP SLICE_X36Y25 RPM_Y 50 SITEPROP SLICE_X36Y25 SITE_PIPS SITEPROP SLICE_X36Y25 SITE_TYPE SLICEL SITEPROP SLICE_X36Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y26 CLASS site SITEPROP SLICE_X36Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y26 IS_BONDED 0 SITEPROP SLICE_X36Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y26 IS_PAD 0 SITEPROP SLICE_X36Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y26 IS_RESERVED 0 SITEPROP SLICE_X36Y26 IS_TEST 0 SITEPROP SLICE_X36Y26 IS_USED 0 SITEPROP SLICE_X36Y26 MANUAL_ROUTING SITEPROP SLICE_X36Y26 NAME SLICE_X36Y26 SITEPROP SLICE_X36Y26 NUM_ARCS 138 SITEPROP SLICE_X36Y26 NUM_BELS 32 SITEPROP SLICE_X36Y26 NUM_INPUTS 32 SITEPROP SLICE_X36Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y26 NUM_PINS 45 SITEPROP SLICE_X36Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y26 PROHIBIT 0 SITEPROP SLICE_X36Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y26 RPM_X 101 SITEPROP SLICE_X36Y26 RPM_Y 52 SITEPROP SLICE_X36Y26 SITE_PIPS SITEPROP SLICE_X36Y26 SITE_TYPE SLICEL SITEPROP SLICE_X36Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y27 CLASS site SITEPROP SLICE_X36Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y27 IS_BONDED 0 SITEPROP SLICE_X36Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y27 IS_PAD 0 SITEPROP SLICE_X36Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y27 IS_RESERVED 0 SITEPROP SLICE_X36Y27 IS_TEST 0 SITEPROP SLICE_X36Y27 IS_USED 0 SITEPROP SLICE_X36Y27 MANUAL_ROUTING SITEPROP SLICE_X36Y27 NAME SLICE_X36Y27 SITEPROP SLICE_X36Y27 NUM_ARCS 138 SITEPROP SLICE_X36Y27 NUM_BELS 32 SITEPROP SLICE_X36Y27 NUM_INPUTS 32 SITEPROP SLICE_X36Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y27 NUM_PINS 45 SITEPROP SLICE_X36Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y27 PROHIBIT 0 SITEPROP SLICE_X36Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y27 RPM_X 101 SITEPROP SLICE_X36Y27 RPM_Y 54 SITEPROP SLICE_X36Y27 SITE_PIPS SITEPROP SLICE_X36Y27 SITE_TYPE SLICEL SITEPROP SLICE_X36Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y28 CLASS site SITEPROP SLICE_X36Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y28 IS_BONDED 0 SITEPROP SLICE_X36Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y28 IS_PAD 0 SITEPROP SLICE_X36Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y28 IS_RESERVED 0 SITEPROP SLICE_X36Y28 IS_TEST 0 SITEPROP SLICE_X36Y28 IS_USED 0 SITEPROP SLICE_X36Y28 MANUAL_ROUTING SITEPROP SLICE_X36Y28 NAME SLICE_X36Y28 SITEPROP SLICE_X36Y28 NUM_ARCS 138 SITEPROP SLICE_X36Y28 NUM_BELS 32 SITEPROP SLICE_X36Y28 NUM_INPUTS 32 SITEPROP SLICE_X36Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y28 NUM_PINS 45 SITEPROP SLICE_X36Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y28 PROHIBIT 0 SITEPROP SLICE_X36Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y28 RPM_X 101 SITEPROP SLICE_X36Y28 RPM_Y 56 SITEPROP SLICE_X36Y28 SITE_PIPS SITEPROP SLICE_X36Y28 SITE_TYPE SLICEL SITEPROP SLICE_X36Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y29 CLASS site SITEPROP SLICE_X36Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y29 IS_BONDED 0 SITEPROP SLICE_X36Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y29 IS_PAD 0 SITEPROP SLICE_X36Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y29 IS_RESERVED 0 SITEPROP SLICE_X36Y29 IS_TEST 0 SITEPROP SLICE_X36Y29 IS_USED 0 SITEPROP SLICE_X36Y29 MANUAL_ROUTING SITEPROP SLICE_X36Y29 NAME SLICE_X36Y29 SITEPROP SLICE_X36Y29 NUM_ARCS 138 SITEPROP SLICE_X36Y29 NUM_BELS 32 SITEPROP SLICE_X36Y29 NUM_INPUTS 32 SITEPROP SLICE_X36Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y29 NUM_PINS 45 SITEPROP SLICE_X36Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y29 PROHIBIT 0 SITEPROP SLICE_X36Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y29 RPM_X 101 SITEPROP SLICE_X36Y29 RPM_Y 58 SITEPROP SLICE_X36Y29 SITE_PIPS SITEPROP SLICE_X36Y29 SITE_TYPE SLICEL SITEPROP SLICE_X36Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y30 CLASS site SITEPROP SLICE_X36Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y30 IS_BONDED 0 SITEPROP SLICE_X36Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y30 IS_PAD 0 SITEPROP SLICE_X36Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y30 IS_RESERVED 0 SITEPROP SLICE_X36Y30 IS_TEST 0 SITEPROP SLICE_X36Y30 IS_USED 0 SITEPROP SLICE_X36Y30 MANUAL_ROUTING SITEPROP SLICE_X36Y30 NAME SLICE_X36Y30 SITEPROP SLICE_X36Y30 NUM_ARCS 138 SITEPROP SLICE_X36Y30 NUM_BELS 32 SITEPROP SLICE_X36Y30 NUM_INPUTS 32 SITEPROP SLICE_X36Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y30 NUM_PINS 45 SITEPROP SLICE_X36Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y30 PROHIBIT 0 SITEPROP SLICE_X36Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y30 RPM_X 101 SITEPROP SLICE_X36Y30 RPM_Y 60 SITEPROP SLICE_X36Y30 SITE_PIPS SITEPROP SLICE_X36Y30 SITE_TYPE SLICEL SITEPROP SLICE_X36Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y31 CLASS site SITEPROP SLICE_X36Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y31 IS_BONDED 0 SITEPROP SLICE_X36Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y31 IS_PAD 0 SITEPROP SLICE_X36Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y31 IS_RESERVED 0 SITEPROP SLICE_X36Y31 IS_TEST 0 SITEPROP SLICE_X36Y31 IS_USED 0 SITEPROP SLICE_X36Y31 MANUAL_ROUTING SITEPROP SLICE_X36Y31 NAME SLICE_X36Y31 SITEPROP SLICE_X36Y31 NUM_ARCS 138 SITEPROP SLICE_X36Y31 NUM_BELS 32 SITEPROP SLICE_X36Y31 NUM_INPUTS 32 SITEPROP SLICE_X36Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y31 NUM_PINS 45 SITEPROP SLICE_X36Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y31 PROHIBIT 0 SITEPROP SLICE_X36Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y31 RPM_X 101 SITEPROP SLICE_X36Y31 RPM_Y 62 SITEPROP SLICE_X36Y31 SITE_PIPS SITEPROP SLICE_X36Y31 SITE_TYPE SLICEL SITEPROP SLICE_X36Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y32 CLASS site SITEPROP SLICE_X36Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y32 IS_BONDED 0 SITEPROP SLICE_X36Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y32 IS_PAD 0 SITEPROP SLICE_X36Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y32 IS_RESERVED 0 SITEPROP SLICE_X36Y32 IS_TEST 0 SITEPROP SLICE_X36Y32 IS_USED 0 SITEPROP SLICE_X36Y32 MANUAL_ROUTING SITEPROP SLICE_X36Y32 NAME SLICE_X36Y32 SITEPROP SLICE_X36Y32 NUM_ARCS 138 SITEPROP SLICE_X36Y32 NUM_BELS 32 SITEPROP SLICE_X36Y32 NUM_INPUTS 32 SITEPROP SLICE_X36Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y32 NUM_PINS 45 SITEPROP SLICE_X36Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y32 PROHIBIT 0 SITEPROP SLICE_X36Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y32 RPM_X 101 SITEPROP SLICE_X36Y32 RPM_Y 64 SITEPROP SLICE_X36Y32 SITE_PIPS SITEPROP SLICE_X36Y32 SITE_TYPE SLICEL SITEPROP SLICE_X36Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y33 CLASS site SITEPROP SLICE_X36Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y33 IS_BONDED 0 SITEPROP SLICE_X36Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y33 IS_PAD 0 SITEPROP SLICE_X36Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y33 IS_RESERVED 0 SITEPROP SLICE_X36Y33 IS_TEST 0 SITEPROP SLICE_X36Y33 IS_USED 0 SITEPROP SLICE_X36Y33 MANUAL_ROUTING SITEPROP SLICE_X36Y33 NAME SLICE_X36Y33 SITEPROP SLICE_X36Y33 NUM_ARCS 138 SITEPROP SLICE_X36Y33 NUM_BELS 32 SITEPROP SLICE_X36Y33 NUM_INPUTS 32 SITEPROP SLICE_X36Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y33 NUM_PINS 45 SITEPROP SLICE_X36Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y33 PROHIBIT 0 SITEPROP SLICE_X36Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y33 RPM_X 101 SITEPROP SLICE_X36Y33 RPM_Y 66 SITEPROP SLICE_X36Y33 SITE_PIPS SITEPROP SLICE_X36Y33 SITE_TYPE SLICEL SITEPROP SLICE_X36Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y34 CLASS site SITEPROP SLICE_X36Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y34 IS_BONDED 0 SITEPROP SLICE_X36Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y34 IS_PAD 0 SITEPROP SLICE_X36Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y34 IS_RESERVED 0 SITEPROP SLICE_X36Y34 IS_TEST 0 SITEPROP SLICE_X36Y34 IS_USED 0 SITEPROP SLICE_X36Y34 MANUAL_ROUTING SITEPROP SLICE_X36Y34 NAME SLICE_X36Y34 SITEPROP SLICE_X36Y34 NUM_ARCS 138 SITEPROP SLICE_X36Y34 NUM_BELS 32 SITEPROP SLICE_X36Y34 NUM_INPUTS 32 SITEPROP SLICE_X36Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y34 NUM_PINS 45 SITEPROP SLICE_X36Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y34 PROHIBIT 0 SITEPROP SLICE_X36Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y34 RPM_X 101 SITEPROP SLICE_X36Y34 RPM_Y 68 SITEPROP SLICE_X36Y34 SITE_PIPS SITEPROP SLICE_X36Y34 SITE_TYPE SLICEL SITEPROP SLICE_X36Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y35 CLASS site SITEPROP SLICE_X36Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y35 IS_BONDED 0 SITEPROP SLICE_X36Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y35 IS_PAD 0 SITEPROP SLICE_X36Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y35 IS_RESERVED 0 SITEPROP SLICE_X36Y35 IS_TEST 0 SITEPROP SLICE_X36Y35 IS_USED 0 SITEPROP SLICE_X36Y35 MANUAL_ROUTING SITEPROP SLICE_X36Y35 NAME SLICE_X36Y35 SITEPROP SLICE_X36Y35 NUM_ARCS 138 SITEPROP SLICE_X36Y35 NUM_BELS 32 SITEPROP SLICE_X36Y35 NUM_INPUTS 32 SITEPROP SLICE_X36Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y35 NUM_PINS 45 SITEPROP SLICE_X36Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y35 PROHIBIT 0 SITEPROP SLICE_X36Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y35 RPM_X 101 SITEPROP SLICE_X36Y35 RPM_Y 70 SITEPROP SLICE_X36Y35 SITE_PIPS SITEPROP SLICE_X36Y35 SITE_TYPE SLICEL SITEPROP SLICE_X36Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y36 CLASS site SITEPROP SLICE_X36Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y36 IS_BONDED 0 SITEPROP SLICE_X36Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y36 IS_PAD 0 SITEPROP SLICE_X36Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y36 IS_RESERVED 0 SITEPROP SLICE_X36Y36 IS_TEST 0 SITEPROP SLICE_X36Y36 IS_USED 0 SITEPROP SLICE_X36Y36 MANUAL_ROUTING SITEPROP SLICE_X36Y36 NAME SLICE_X36Y36 SITEPROP SLICE_X36Y36 NUM_ARCS 138 SITEPROP SLICE_X36Y36 NUM_BELS 32 SITEPROP SLICE_X36Y36 NUM_INPUTS 32 SITEPROP SLICE_X36Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y36 NUM_PINS 45 SITEPROP SLICE_X36Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y36 PROHIBIT 0 SITEPROP SLICE_X36Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y36 RPM_X 101 SITEPROP SLICE_X36Y36 RPM_Y 72 SITEPROP SLICE_X36Y36 SITE_PIPS SITEPROP SLICE_X36Y36 SITE_TYPE SLICEL SITEPROP SLICE_X36Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y37 CLASS site SITEPROP SLICE_X36Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y37 IS_BONDED 0 SITEPROP SLICE_X36Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y37 IS_PAD 0 SITEPROP SLICE_X36Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y37 IS_RESERVED 0 SITEPROP SLICE_X36Y37 IS_TEST 0 SITEPROP SLICE_X36Y37 IS_USED 0 SITEPROP SLICE_X36Y37 MANUAL_ROUTING SITEPROP SLICE_X36Y37 NAME SLICE_X36Y37 SITEPROP SLICE_X36Y37 NUM_ARCS 138 SITEPROP SLICE_X36Y37 NUM_BELS 32 SITEPROP SLICE_X36Y37 NUM_INPUTS 32 SITEPROP SLICE_X36Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y37 NUM_PINS 45 SITEPROP SLICE_X36Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y37 PROHIBIT 0 SITEPROP SLICE_X36Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y37 RPM_X 101 SITEPROP SLICE_X36Y37 RPM_Y 74 SITEPROP SLICE_X36Y37 SITE_PIPS SITEPROP SLICE_X36Y37 SITE_TYPE SLICEL SITEPROP SLICE_X36Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y38 CLASS site SITEPROP SLICE_X36Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y38 IS_BONDED 0 SITEPROP SLICE_X36Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y38 IS_PAD 0 SITEPROP SLICE_X36Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y38 IS_RESERVED 0 SITEPROP SLICE_X36Y38 IS_TEST 0 SITEPROP SLICE_X36Y38 IS_USED 0 SITEPROP SLICE_X36Y38 MANUAL_ROUTING SITEPROP SLICE_X36Y38 NAME SLICE_X36Y38 SITEPROP SLICE_X36Y38 NUM_ARCS 138 SITEPROP SLICE_X36Y38 NUM_BELS 32 SITEPROP SLICE_X36Y38 NUM_INPUTS 32 SITEPROP SLICE_X36Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y38 NUM_PINS 45 SITEPROP SLICE_X36Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y38 PROHIBIT 0 SITEPROP SLICE_X36Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y38 RPM_X 101 SITEPROP SLICE_X36Y38 RPM_Y 76 SITEPROP SLICE_X36Y38 SITE_PIPS SITEPROP SLICE_X36Y38 SITE_TYPE SLICEL SITEPROP SLICE_X36Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y39 CLASS site SITEPROP SLICE_X36Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y39 IS_BONDED 0 SITEPROP SLICE_X36Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y39 IS_PAD 0 SITEPROP SLICE_X36Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y39 IS_RESERVED 0 SITEPROP SLICE_X36Y39 IS_TEST 0 SITEPROP SLICE_X36Y39 IS_USED 0 SITEPROP SLICE_X36Y39 MANUAL_ROUTING SITEPROP SLICE_X36Y39 NAME SLICE_X36Y39 SITEPROP SLICE_X36Y39 NUM_ARCS 138 SITEPROP SLICE_X36Y39 NUM_BELS 32 SITEPROP SLICE_X36Y39 NUM_INPUTS 32 SITEPROP SLICE_X36Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y39 NUM_PINS 45 SITEPROP SLICE_X36Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y39 PROHIBIT 0 SITEPROP SLICE_X36Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y39 RPM_X 101 SITEPROP SLICE_X36Y39 RPM_Y 78 SITEPROP SLICE_X36Y39 SITE_PIPS SITEPROP SLICE_X36Y39 SITE_TYPE SLICEL SITEPROP SLICE_X36Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y40 CLASS site SITEPROP SLICE_X36Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y40 IS_BONDED 0 SITEPROP SLICE_X36Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y40 IS_PAD 0 SITEPROP SLICE_X36Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y40 IS_RESERVED 0 SITEPROP SLICE_X36Y40 IS_TEST 0 SITEPROP SLICE_X36Y40 IS_USED 0 SITEPROP SLICE_X36Y40 MANUAL_ROUTING SITEPROP SLICE_X36Y40 NAME SLICE_X36Y40 SITEPROP SLICE_X36Y40 NUM_ARCS 138 SITEPROP SLICE_X36Y40 NUM_BELS 32 SITEPROP SLICE_X36Y40 NUM_INPUTS 32 SITEPROP SLICE_X36Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y40 NUM_PINS 45 SITEPROP SLICE_X36Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y40 PROHIBIT 0 SITEPROP SLICE_X36Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y40 RPM_X 101 SITEPROP SLICE_X36Y40 RPM_Y 80 SITEPROP SLICE_X36Y40 SITE_PIPS SITEPROP SLICE_X36Y40 SITE_TYPE SLICEL SITEPROP SLICE_X36Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y41 CLASS site SITEPROP SLICE_X36Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y41 IS_BONDED 0 SITEPROP SLICE_X36Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y41 IS_PAD 0 SITEPROP SLICE_X36Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y41 IS_RESERVED 0 SITEPROP SLICE_X36Y41 IS_TEST 0 SITEPROP SLICE_X36Y41 IS_USED 0 SITEPROP SLICE_X36Y41 MANUAL_ROUTING SITEPROP SLICE_X36Y41 NAME SLICE_X36Y41 SITEPROP SLICE_X36Y41 NUM_ARCS 138 SITEPROP SLICE_X36Y41 NUM_BELS 32 SITEPROP SLICE_X36Y41 NUM_INPUTS 32 SITEPROP SLICE_X36Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y41 NUM_PINS 45 SITEPROP SLICE_X36Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y41 PROHIBIT 0 SITEPROP SLICE_X36Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y41 RPM_X 101 SITEPROP SLICE_X36Y41 RPM_Y 82 SITEPROP SLICE_X36Y41 SITE_PIPS SITEPROP SLICE_X36Y41 SITE_TYPE SLICEL SITEPROP SLICE_X36Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y42 CLASS site SITEPROP SLICE_X36Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y42 IS_BONDED 0 SITEPROP SLICE_X36Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y42 IS_PAD 0 SITEPROP SLICE_X36Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y42 IS_RESERVED 0 SITEPROP SLICE_X36Y42 IS_TEST 0 SITEPROP SLICE_X36Y42 IS_USED 0 SITEPROP SLICE_X36Y42 MANUAL_ROUTING SITEPROP SLICE_X36Y42 NAME SLICE_X36Y42 SITEPROP SLICE_X36Y42 NUM_ARCS 138 SITEPROP SLICE_X36Y42 NUM_BELS 32 SITEPROP SLICE_X36Y42 NUM_INPUTS 32 SITEPROP SLICE_X36Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y42 NUM_PINS 45 SITEPROP SLICE_X36Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y42 PROHIBIT 0 SITEPROP SLICE_X36Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y42 RPM_X 101 SITEPROP SLICE_X36Y42 RPM_Y 84 SITEPROP SLICE_X36Y42 SITE_PIPS SITEPROP SLICE_X36Y42 SITE_TYPE SLICEL SITEPROP SLICE_X36Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y43 CLASS site SITEPROP SLICE_X36Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y43 IS_BONDED 0 SITEPROP SLICE_X36Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y43 IS_PAD 0 SITEPROP SLICE_X36Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y43 IS_RESERVED 0 SITEPROP SLICE_X36Y43 IS_TEST 0 SITEPROP SLICE_X36Y43 IS_USED 0 SITEPROP SLICE_X36Y43 MANUAL_ROUTING SITEPROP SLICE_X36Y43 NAME SLICE_X36Y43 SITEPROP SLICE_X36Y43 NUM_ARCS 138 SITEPROP SLICE_X36Y43 NUM_BELS 32 SITEPROP SLICE_X36Y43 NUM_INPUTS 32 SITEPROP SLICE_X36Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y43 NUM_PINS 45 SITEPROP SLICE_X36Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y43 PROHIBIT 0 SITEPROP SLICE_X36Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y43 RPM_X 101 SITEPROP SLICE_X36Y43 RPM_Y 86 SITEPROP SLICE_X36Y43 SITE_PIPS SITEPROP SLICE_X36Y43 SITE_TYPE SLICEL SITEPROP SLICE_X36Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y44 CLASS site SITEPROP SLICE_X36Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y44 IS_BONDED 0 SITEPROP SLICE_X36Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y44 IS_PAD 0 SITEPROP SLICE_X36Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y44 IS_RESERVED 0 SITEPROP SLICE_X36Y44 IS_TEST 0 SITEPROP SLICE_X36Y44 IS_USED 0 SITEPROP SLICE_X36Y44 MANUAL_ROUTING SITEPROP SLICE_X36Y44 NAME SLICE_X36Y44 SITEPROP SLICE_X36Y44 NUM_ARCS 138 SITEPROP SLICE_X36Y44 NUM_BELS 32 SITEPROP SLICE_X36Y44 NUM_INPUTS 32 SITEPROP SLICE_X36Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y44 NUM_PINS 45 SITEPROP SLICE_X36Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y44 PROHIBIT 0 SITEPROP SLICE_X36Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y44 RPM_X 101 SITEPROP SLICE_X36Y44 RPM_Y 88 SITEPROP SLICE_X36Y44 SITE_PIPS SITEPROP SLICE_X36Y44 SITE_TYPE SLICEL SITEPROP SLICE_X36Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y45 CLASS site SITEPROP SLICE_X36Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y45 IS_BONDED 0 SITEPROP SLICE_X36Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y45 IS_PAD 0 SITEPROP SLICE_X36Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y45 IS_RESERVED 0 SITEPROP SLICE_X36Y45 IS_TEST 0 SITEPROP SLICE_X36Y45 IS_USED 0 SITEPROP SLICE_X36Y45 MANUAL_ROUTING SITEPROP SLICE_X36Y45 NAME SLICE_X36Y45 SITEPROP SLICE_X36Y45 NUM_ARCS 138 SITEPROP SLICE_X36Y45 NUM_BELS 32 SITEPROP SLICE_X36Y45 NUM_INPUTS 32 SITEPROP SLICE_X36Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y45 NUM_PINS 45 SITEPROP SLICE_X36Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y45 PROHIBIT 0 SITEPROP SLICE_X36Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y45 RPM_X 101 SITEPROP SLICE_X36Y45 RPM_Y 90 SITEPROP SLICE_X36Y45 SITE_PIPS SITEPROP SLICE_X36Y45 SITE_TYPE SLICEL SITEPROP SLICE_X36Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y46 CLASS site SITEPROP SLICE_X36Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y46 IS_BONDED 0 SITEPROP SLICE_X36Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y46 IS_PAD 0 SITEPROP SLICE_X36Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y46 IS_RESERVED 0 SITEPROP SLICE_X36Y46 IS_TEST 0 SITEPROP SLICE_X36Y46 IS_USED 0 SITEPROP SLICE_X36Y46 MANUAL_ROUTING SITEPROP SLICE_X36Y46 NAME SLICE_X36Y46 SITEPROP SLICE_X36Y46 NUM_ARCS 138 SITEPROP SLICE_X36Y46 NUM_BELS 32 SITEPROP SLICE_X36Y46 NUM_INPUTS 32 SITEPROP SLICE_X36Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y46 NUM_PINS 45 SITEPROP SLICE_X36Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y46 PROHIBIT 0 SITEPROP SLICE_X36Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y46 RPM_X 101 SITEPROP SLICE_X36Y46 RPM_Y 92 SITEPROP SLICE_X36Y46 SITE_PIPS SITEPROP SLICE_X36Y46 SITE_TYPE SLICEL SITEPROP SLICE_X36Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y47 CLASS site SITEPROP SLICE_X36Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y47 IS_BONDED 0 SITEPROP SLICE_X36Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y47 IS_PAD 0 SITEPROP SLICE_X36Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y47 IS_RESERVED 0 SITEPROP SLICE_X36Y47 IS_TEST 0 SITEPROP SLICE_X36Y47 IS_USED 0 SITEPROP SLICE_X36Y47 MANUAL_ROUTING SITEPROP SLICE_X36Y47 NAME SLICE_X36Y47 SITEPROP SLICE_X36Y47 NUM_ARCS 138 SITEPROP SLICE_X36Y47 NUM_BELS 32 SITEPROP SLICE_X36Y47 NUM_INPUTS 32 SITEPROP SLICE_X36Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y47 NUM_PINS 45 SITEPROP SLICE_X36Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y47 PROHIBIT 0 SITEPROP SLICE_X36Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y47 RPM_X 101 SITEPROP SLICE_X36Y47 RPM_Y 94 SITEPROP SLICE_X36Y47 SITE_PIPS SITEPROP SLICE_X36Y47 SITE_TYPE SLICEL SITEPROP SLICE_X36Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y48 CLASS site SITEPROP SLICE_X36Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y48 IS_BONDED 0 SITEPROP SLICE_X36Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y48 IS_PAD 0 SITEPROP SLICE_X36Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y48 IS_RESERVED 0 SITEPROP SLICE_X36Y48 IS_TEST 0 SITEPROP SLICE_X36Y48 IS_USED 0 SITEPROP SLICE_X36Y48 MANUAL_ROUTING SITEPROP SLICE_X36Y48 NAME SLICE_X36Y48 SITEPROP SLICE_X36Y48 NUM_ARCS 138 SITEPROP SLICE_X36Y48 NUM_BELS 32 SITEPROP SLICE_X36Y48 NUM_INPUTS 32 SITEPROP SLICE_X36Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y48 NUM_PINS 45 SITEPROP SLICE_X36Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y48 PROHIBIT 0 SITEPROP SLICE_X36Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y48 RPM_X 101 SITEPROP SLICE_X36Y48 RPM_Y 96 SITEPROP SLICE_X36Y48 SITE_PIPS SITEPROP SLICE_X36Y48 SITE_TYPE SLICEL SITEPROP SLICE_X36Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y49 CLASS site SITEPROP SLICE_X36Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X36Y49 IS_BONDED 0 SITEPROP SLICE_X36Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y49 IS_PAD 0 SITEPROP SLICE_X36Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y49 IS_RESERVED 0 SITEPROP SLICE_X36Y49 IS_TEST 0 SITEPROP SLICE_X36Y49 IS_USED 0 SITEPROP SLICE_X36Y49 MANUAL_ROUTING SITEPROP SLICE_X36Y49 NAME SLICE_X36Y49 SITEPROP SLICE_X36Y49 NUM_ARCS 138 SITEPROP SLICE_X36Y49 NUM_BELS 32 SITEPROP SLICE_X36Y49 NUM_INPUTS 32 SITEPROP SLICE_X36Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y49 NUM_PINS 45 SITEPROP SLICE_X36Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y49 PROHIBIT 0 SITEPROP SLICE_X36Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y49 RPM_X 101 SITEPROP SLICE_X36Y49 RPM_Y 98 SITEPROP SLICE_X36Y49 SITE_PIPS SITEPROP SLICE_X36Y49 SITE_TYPE SLICEL SITEPROP SLICE_X36Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y50 CLASS site SITEPROP SLICE_X36Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y50 IS_BONDED 0 SITEPROP SLICE_X36Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y50 IS_PAD 0 SITEPROP SLICE_X36Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y50 IS_RESERVED 0 SITEPROP SLICE_X36Y50 IS_TEST 0 SITEPROP SLICE_X36Y50 IS_USED 0 SITEPROP SLICE_X36Y50 MANUAL_ROUTING SITEPROP SLICE_X36Y50 NAME SLICE_X36Y50 SITEPROP SLICE_X36Y50 NUM_ARCS 138 SITEPROP SLICE_X36Y50 NUM_BELS 32 SITEPROP SLICE_X36Y50 NUM_INPUTS 32 SITEPROP SLICE_X36Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y50 NUM_PINS 45 SITEPROP SLICE_X36Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y50 PROHIBIT 0 SITEPROP SLICE_X36Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y50 RPM_X 101 SITEPROP SLICE_X36Y50 RPM_Y 100 SITEPROP SLICE_X36Y50 SITE_PIPS SITEPROP SLICE_X36Y50 SITE_TYPE SLICEL SITEPROP SLICE_X36Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y51 CLASS site SITEPROP SLICE_X36Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y51 IS_BONDED 0 SITEPROP SLICE_X36Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y51 IS_PAD 0 SITEPROP SLICE_X36Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y51 IS_RESERVED 0 SITEPROP SLICE_X36Y51 IS_TEST 0 SITEPROP SLICE_X36Y51 IS_USED 0 SITEPROP SLICE_X36Y51 MANUAL_ROUTING SITEPROP SLICE_X36Y51 NAME SLICE_X36Y51 SITEPROP SLICE_X36Y51 NUM_ARCS 138 SITEPROP SLICE_X36Y51 NUM_BELS 32 SITEPROP SLICE_X36Y51 NUM_INPUTS 32 SITEPROP SLICE_X36Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y51 NUM_PINS 45 SITEPROP SLICE_X36Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y51 PROHIBIT 0 SITEPROP SLICE_X36Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y51 RPM_X 101 SITEPROP SLICE_X36Y51 RPM_Y 102 SITEPROP SLICE_X36Y51 SITE_PIPS SITEPROP SLICE_X36Y51 SITE_TYPE SLICEL SITEPROP SLICE_X36Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y52 CLASS site SITEPROP SLICE_X36Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y52 IS_BONDED 0 SITEPROP SLICE_X36Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y52 IS_PAD 0 SITEPROP SLICE_X36Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y52 IS_RESERVED 0 SITEPROP SLICE_X36Y52 IS_TEST 0 SITEPROP SLICE_X36Y52 IS_USED 0 SITEPROP SLICE_X36Y52 MANUAL_ROUTING SITEPROP SLICE_X36Y52 NAME SLICE_X36Y52 SITEPROP SLICE_X36Y52 NUM_ARCS 138 SITEPROP SLICE_X36Y52 NUM_BELS 32 SITEPROP SLICE_X36Y52 NUM_INPUTS 32 SITEPROP SLICE_X36Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y52 NUM_PINS 45 SITEPROP SLICE_X36Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y52 PROHIBIT 0 SITEPROP SLICE_X36Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y52 RPM_X 101 SITEPROP SLICE_X36Y52 RPM_Y 104 SITEPROP SLICE_X36Y52 SITE_PIPS SITEPROP SLICE_X36Y52 SITE_TYPE SLICEL SITEPROP SLICE_X36Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y53 CLASS site SITEPROP SLICE_X36Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y53 IS_BONDED 0 SITEPROP SLICE_X36Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y53 IS_PAD 0 SITEPROP SLICE_X36Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y53 IS_RESERVED 0 SITEPROP SLICE_X36Y53 IS_TEST 0 SITEPROP SLICE_X36Y53 IS_USED 0 SITEPROP SLICE_X36Y53 MANUAL_ROUTING SITEPROP SLICE_X36Y53 NAME SLICE_X36Y53 SITEPROP SLICE_X36Y53 NUM_ARCS 138 SITEPROP SLICE_X36Y53 NUM_BELS 32 SITEPROP SLICE_X36Y53 NUM_INPUTS 32 SITEPROP SLICE_X36Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y53 NUM_PINS 45 SITEPROP SLICE_X36Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y53 PROHIBIT 0 SITEPROP SLICE_X36Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y53 RPM_X 101 SITEPROP SLICE_X36Y53 RPM_Y 106 SITEPROP SLICE_X36Y53 SITE_PIPS SITEPROP SLICE_X36Y53 SITE_TYPE SLICEL SITEPROP SLICE_X36Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y54 CLASS site SITEPROP SLICE_X36Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y54 IS_BONDED 0 SITEPROP SLICE_X36Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y54 IS_PAD 0 SITEPROP SLICE_X36Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y54 IS_RESERVED 0 SITEPROP SLICE_X36Y54 IS_TEST 0 SITEPROP SLICE_X36Y54 IS_USED 0 SITEPROP SLICE_X36Y54 MANUAL_ROUTING SITEPROP SLICE_X36Y54 NAME SLICE_X36Y54 SITEPROP SLICE_X36Y54 NUM_ARCS 138 SITEPROP SLICE_X36Y54 NUM_BELS 32 SITEPROP SLICE_X36Y54 NUM_INPUTS 32 SITEPROP SLICE_X36Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y54 NUM_PINS 45 SITEPROP SLICE_X36Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y54 PROHIBIT 0 SITEPROP SLICE_X36Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y54 RPM_X 101 SITEPROP SLICE_X36Y54 RPM_Y 108 SITEPROP SLICE_X36Y54 SITE_PIPS SITEPROP SLICE_X36Y54 SITE_TYPE SLICEL SITEPROP SLICE_X36Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y55 CLASS site SITEPROP SLICE_X36Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y55 IS_BONDED 0 SITEPROP SLICE_X36Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y55 IS_PAD 0 SITEPROP SLICE_X36Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y55 IS_RESERVED 0 SITEPROP SLICE_X36Y55 IS_TEST 0 SITEPROP SLICE_X36Y55 IS_USED 0 SITEPROP SLICE_X36Y55 MANUAL_ROUTING SITEPROP SLICE_X36Y55 NAME SLICE_X36Y55 SITEPROP SLICE_X36Y55 NUM_ARCS 138 SITEPROP SLICE_X36Y55 NUM_BELS 32 SITEPROP SLICE_X36Y55 NUM_INPUTS 32 SITEPROP SLICE_X36Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y55 NUM_PINS 45 SITEPROP SLICE_X36Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y55 PROHIBIT 0 SITEPROP SLICE_X36Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y55 RPM_X 101 SITEPROP SLICE_X36Y55 RPM_Y 110 SITEPROP SLICE_X36Y55 SITE_PIPS SITEPROP SLICE_X36Y55 SITE_TYPE SLICEL SITEPROP SLICE_X36Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y56 CLASS site SITEPROP SLICE_X36Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y56 IS_BONDED 0 SITEPROP SLICE_X36Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y56 IS_PAD 0 SITEPROP SLICE_X36Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y56 IS_RESERVED 0 SITEPROP SLICE_X36Y56 IS_TEST 0 SITEPROP SLICE_X36Y56 IS_USED 0 SITEPROP SLICE_X36Y56 MANUAL_ROUTING SITEPROP SLICE_X36Y56 NAME SLICE_X36Y56 SITEPROP SLICE_X36Y56 NUM_ARCS 138 SITEPROP SLICE_X36Y56 NUM_BELS 32 SITEPROP SLICE_X36Y56 NUM_INPUTS 32 SITEPROP SLICE_X36Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y56 NUM_PINS 45 SITEPROP SLICE_X36Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y56 PROHIBIT 0 SITEPROP SLICE_X36Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y56 RPM_X 101 SITEPROP SLICE_X36Y56 RPM_Y 112 SITEPROP SLICE_X36Y56 SITE_PIPS SITEPROP SLICE_X36Y56 SITE_TYPE SLICEL SITEPROP SLICE_X36Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y57 CLASS site SITEPROP SLICE_X36Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y57 IS_BONDED 0 SITEPROP SLICE_X36Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y57 IS_PAD 0 SITEPROP SLICE_X36Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y57 IS_RESERVED 0 SITEPROP SLICE_X36Y57 IS_TEST 0 SITEPROP SLICE_X36Y57 IS_USED 0 SITEPROP SLICE_X36Y57 MANUAL_ROUTING SITEPROP SLICE_X36Y57 NAME SLICE_X36Y57 SITEPROP SLICE_X36Y57 NUM_ARCS 138 SITEPROP SLICE_X36Y57 NUM_BELS 32 SITEPROP SLICE_X36Y57 NUM_INPUTS 32 SITEPROP SLICE_X36Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y57 NUM_PINS 45 SITEPROP SLICE_X36Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y57 PROHIBIT 0 SITEPROP SLICE_X36Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y57 RPM_X 101 SITEPROP SLICE_X36Y57 RPM_Y 114 SITEPROP SLICE_X36Y57 SITE_PIPS SITEPROP SLICE_X36Y57 SITE_TYPE SLICEL SITEPROP SLICE_X36Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y58 CLASS site SITEPROP SLICE_X36Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y58 IS_BONDED 0 SITEPROP SLICE_X36Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y58 IS_PAD 0 SITEPROP SLICE_X36Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y58 IS_RESERVED 0 SITEPROP SLICE_X36Y58 IS_TEST 0 SITEPROP SLICE_X36Y58 IS_USED 0 SITEPROP SLICE_X36Y58 MANUAL_ROUTING SITEPROP SLICE_X36Y58 NAME SLICE_X36Y58 SITEPROP SLICE_X36Y58 NUM_ARCS 138 SITEPROP SLICE_X36Y58 NUM_BELS 32 SITEPROP SLICE_X36Y58 NUM_INPUTS 32 SITEPROP SLICE_X36Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y58 NUM_PINS 45 SITEPROP SLICE_X36Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y58 PROHIBIT 0 SITEPROP SLICE_X36Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y58 RPM_X 101 SITEPROP SLICE_X36Y58 RPM_Y 116 SITEPROP SLICE_X36Y58 SITE_PIPS SITEPROP SLICE_X36Y58 SITE_TYPE SLICEL SITEPROP SLICE_X36Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y59 CLASS site SITEPROP SLICE_X36Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y59 IS_BONDED 0 SITEPROP SLICE_X36Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y59 IS_PAD 0 SITEPROP SLICE_X36Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y59 IS_RESERVED 0 SITEPROP SLICE_X36Y59 IS_TEST 0 SITEPROP SLICE_X36Y59 IS_USED 0 SITEPROP SLICE_X36Y59 MANUAL_ROUTING SITEPROP SLICE_X36Y59 NAME SLICE_X36Y59 SITEPROP SLICE_X36Y59 NUM_ARCS 138 SITEPROP SLICE_X36Y59 NUM_BELS 32 SITEPROP SLICE_X36Y59 NUM_INPUTS 32 SITEPROP SLICE_X36Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y59 NUM_PINS 45 SITEPROP SLICE_X36Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y59 PROHIBIT 0 SITEPROP SLICE_X36Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y59 RPM_X 101 SITEPROP SLICE_X36Y59 RPM_Y 118 SITEPROP SLICE_X36Y59 SITE_PIPS SITEPROP SLICE_X36Y59 SITE_TYPE SLICEL SITEPROP SLICE_X36Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y60 CLASS site SITEPROP SLICE_X36Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y60 IS_BONDED 0 SITEPROP SLICE_X36Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y60 IS_PAD 0 SITEPROP SLICE_X36Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y60 IS_RESERVED 0 SITEPROP SLICE_X36Y60 IS_TEST 0 SITEPROP SLICE_X36Y60 IS_USED 0 SITEPROP SLICE_X36Y60 MANUAL_ROUTING SITEPROP SLICE_X36Y60 NAME SLICE_X36Y60 SITEPROP SLICE_X36Y60 NUM_ARCS 138 SITEPROP SLICE_X36Y60 NUM_BELS 32 SITEPROP SLICE_X36Y60 NUM_INPUTS 32 SITEPROP SLICE_X36Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y60 NUM_PINS 45 SITEPROP SLICE_X36Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y60 PROHIBIT 0 SITEPROP SLICE_X36Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y60 RPM_X 101 SITEPROP SLICE_X36Y60 RPM_Y 120 SITEPROP SLICE_X36Y60 SITE_PIPS SITEPROP SLICE_X36Y60 SITE_TYPE SLICEL SITEPROP SLICE_X36Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y61 CLASS site SITEPROP SLICE_X36Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y61 IS_BONDED 0 SITEPROP SLICE_X36Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y61 IS_PAD 0 SITEPROP SLICE_X36Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y61 IS_RESERVED 0 SITEPROP SLICE_X36Y61 IS_TEST 0 SITEPROP SLICE_X36Y61 IS_USED 0 SITEPROP SLICE_X36Y61 MANUAL_ROUTING SITEPROP SLICE_X36Y61 NAME SLICE_X36Y61 SITEPROP SLICE_X36Y61 NUM_ARCS 138 SITEPROP SLICE_X36Y61 NUM_BELS 32 SITEPROP SLICE_X36Y61 NUM_INPUTS 32 SITEPROP SLICE_X36Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y61 NUM_PINS 45 SITEPROP SLICE_X36Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y61 PROHIBIT 0 SITEPROP SLICE_X36Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y61 RPM_X 101 SITEPROP SLICE_X36Y61 RPM_Y 122 SITEPROP SLICE_X36Y61 SITE_PIPS SITEPROP SLICE_X36Y61 SITE_TYPE SLICEL SITEPROP SLICE_X36Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y62 CLASS site SITEPROP SLICE_X36Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y62 IS_BONDED 0 SITEPROP SLICE_X36Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y62 IS_PAD 0 SITEPROP SLICE_X36Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y62 IS_RESERVED 0 SITEPROP SLICE_X36Y62 IS_TEST 0 SITEPROP SLICE_X36Y62 IS_USED 0 SITEPROP SLICE_X36Y62 MANUAL_ROUTING SITEPROP SLICE_X36Y62 NAME SLICE_X36Y62 SITEPROP SLICE_X36Y62 NUM_ARCS 138 SITEPROP SLICE_X36Y62 NUM_BELS 32 SITEPROP SLICE_X36Y62 NUM_INPUTS 32 SITEPROP SLICE_X36Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y62 NUM_PINS 45 SITEPROP SLICE_X36Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y62 PROHIBIT 0 SITEPROP SLICE_X36Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y62 RPM_X 101 SITEPROP SLICE_X36Y62 RPM_Y 124 SITEPROP SLICE_X36Y62 SITE_PIPS SITEPROP SLICE_X36Y62 SITE_TYPE SLICEL SITEPROP SLICE_X36Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y63 CLASS site SITEPROP SLICE_X36Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y63 IS_BONDED 0 SITEPROP SLICE_X36Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y63 IS_PAD 0 SITEPROP SLICE_X36Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y63 IS_RESERVED 0 SITEPROP SLICE_X36Y63 IS_TEST 0 SITEPROP SLICE_X36Y63 IS_USED 0 SITEPROP SLICE_X36Y63 MANUAL_ROUTING SITEPROP SLICE_X36Y63 NAME SLICE_X36Y63 SITEPROP SLICE_X36Y63 NUM_ARCS 138 SITEPROP SLICE_X36Y63 NUM_BELS 32 SITEPROP SLICE_X36Y63 NUM_INPUTS 32 SITEPROP SLICE_X36Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y63 NUM_PINS 45 SITEPROP SLICE_X36Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y63 PROHIBIT 0 SITEPROP SLICE_X36Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y63 RPM_X 101 SITEPROP SLICE_X36Y63 RPM_Y 126 SITEPROP SLICE_X36Y63 SITE_PIPS SITEPROP SLICE_X36Y63 SITE_TYPE SLICEL SITEPROP SLICE_X36Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y64 CLASS site SITEPROP SLICE_X36Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y64 IS_BONDED 0 SITEPROP SLICE_X36Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y64 IS_PAD 0 SITEPROP SLICE_X36Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y64 IS_RESERVED 0 SITEPROP SLICE_X36Y64 IS_TEST 0 SITEPROP SLICE_X36Y64 IS_USED 0 SITEPROP SLICE_X36Y64 MANUAL_ROUTING SITEPROP SLICE_X36Y64 NAME SLICE_X36Y64 SITEPROP SLICE_X36Y64 NUM_ARCS 138 SITEPROP SLICE_X36Y64 NUM_BELS 32 SITEPROP SLICE_X36Y64 NUM_INPUTS 32 SITEPROP SLICE_X36Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y64 NUM_PINS 45 SITEPROP SLICE_X36Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y64 PROHIBIT 0 SITEPROP SLICE_X36Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y64 RPM_X 101 SITEPROP SLICE_X36Y64 RPM_Y 128 SITEPROP SLICE_X36Y64 SITE_PIPS SITEPROP SLICE_X36Y64 SITE_TYPE SLICEL SITEPROP SLICE_X36Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y65 CLASS site SITEPROP SLICE_X36Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y65 IS_BONDED 0 SITEPROP SLICE_X36Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y65 IS_PAD 0 SITEPROP SLICE_X36Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y65 IS_RESERVED 0 SITEPROP SLICE_X36Y65 IS_TEST 0 SITEPROP SLICE_X36Y65 IS_USED 0 SITEPROP SLICE_X36Y65 MANUAL_ROUTING SITEPROP SLICE_X36Y65 NAME SLICE_X36Y65 SITEPROP SLICE_X36Y65 NUM_ARCS 138 SITEPROP SLICE_X36Y65 NUM_BELS 32 SITEPROP SLICE_X36Y65 NUM_INPUTS 32 SITEPROP SLICE_X36Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y65 NUM_PINS 45 SITEPROP SLICE_X36Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y65 PROHIBIT 0 SITEPROP SLICE_X36Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y65 RPM_X 101 SITEPROP SLICE_X36Y65 RPM_Y 130 SITEPROP SLICE_X36Y65 SITE_PIPS SITEPROP SLICE_X36Y65 SITE_TYPE SLICEL SITEPROP SLICE_X36Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y66 CLASS site SITEPROP SLICE_X36Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y66 IS_BONDED 0 SITEPROP SLICE_X36Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y66 IS_PAD 0 SITEPROP SLICE_X36Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y66 IS_RESERVED 0 SITEPROP SLICE_X36Y66 IS_TEST 0 SITEPROP SLICE_X36Y66 IS_USED 0 SITEPROP SLICE_X36Y66 MANUAL_ROUTING SITEPROP SLICE_X36Y66 NAME SLICE_X36Y66 SITEPROP SLICE_X36Y66 NUM_ARCS 138 SITEPROP SLICE_X36Y66 NUM_BELS 32 SITEPROP SLICE_X36Y66 NUM_INPUTS 32 SITEPROP SLICE_X36Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y66 NUM_PINS 45 SITEPROP SLICE_X36Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y66 PROHIBIT 0 SITEPROP SLICE_X36Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y66 RPM_X 101 SITEPROP SLICE_X36Y66 RPM_Y 132 SITEPROP SLICE_X36Y66 SITE_PIPS SITEPROP SLICE_X36Y66 SITE_TYPE SLICEL SITEPROP SLICE_X36Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y67 CLASS site SITEPROP SLICE_X36Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y67 IS_BONDED 0 SITEPROP SLICE_X36Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y67 IS_PAD 0 SITEPROP SLICE_X36Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y67 IS_RESERVED 0 SITEPROP SLICE_X36Y67 IS_TEST 0 SITEPROP SLICE_X36Y67 IS_USED 0 SITEPROP SLICE_X36Y67 MANUAL_ROUTING SITEPROP SLICE_X36Y67 NAME SLICE_X36Y67 SITEPROP SLICE_X36Y67 NUM_ARCS 138 SITEPROP SLICE_X36Y67 NUM_BELS 32 SITEPROP SLICE_X36Y67 NUM_INPUTS 32 SITEPROP SLICE_X36Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y67 NUM_PINS 45 SITEPROP SLICE_X36Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y67 PROHIBIT 0 SITEPROP SLICE_X36Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y67 RPM_X 101 SITEPROP SLICE_X36Y67 RPM_Y 134 SITEPROP SLICE_X36Y67 SITE_PIPS SITEPROP SLICE_X36Y67 SITE_TYPE SLICEL SITEPROP SLICE_X36Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y68 CLASS site SITEPROP SLICE_X36Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y68 IS_BONDED 0 SITEPROP SLICE_X36Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y68 IS_PAD 0 SITEPROP SLICE_X36Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y68 IS_RESERVED 0 SITEPROP SLICE_X36Y68 IS_TEST 0 SITEPROP SLICE_X36Y68 IS_USED 0 SITEPROP SLICE_X36Y68 MANUAL_ROUTING SITEPROP SLICE_X36Y68 NAME SLICE_X36Y68 SITEPROP SLICE_X36Y68 NUM_ARCS 138 SITEPROP SLICE_X36Y68 NUM_BELS 32 SITEPROP SLICE_X36Y68 NUM_INPUTS 32 SITEPROP SLICE_X36Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y68 NUM_PINS 45 SITEPROP SLICE_X36Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y68 PROHIBIT 0 SITEPROP SLICE_X36Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y68 RPM_X 101 SITEPROP SLICE_X36Y68 RPM_Y 136 SITEPROP SLICE_X36Y68 SITE_PIPS SITEPROP SLICE_X36Y68 SITE_TYPE SLICEL SITEPROP SLICE_X36Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y69 CLASS site SITEPROP SLICE_X36Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y69 IS_BONDED 0 SITEPROP SLICE_X36Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y69 IS_PAD 0 SITEPROP SLICE_X36Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y69 IS_RESERVED 0 SITEPROP SLICE_X36Y69 IS_TEST 0 SITEPROP SLICE_X36Y69 IS_USED 0 SITEPROP SLICE_X36Y69 MANUAL_ROUTING SITEPROP SLICE_X36Y69 NAME SLICE_X36Y69 SITEPROP SLICE_X36Y69 NUM_ARCS 138 SITEPROP SLICE_X36Y69 NUM_BELS 32 SITEPROP SLICE_X36Y69 NUM_INPUTS 32 SITEPROP SLICE_X36Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y69 NUM_PINS 45 SITEPROP SLICE_X36Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y69 PROHIBIT 0 SITEPROP SLICE_X36Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y69 RPM_X 101 SITEPROP SLICE_X36Y69 RPM_Y 138 SITEPROP SLICE_X36Y69 SITE_PIPS SITEPROP SLICE_X36Y69 SITE_TYPE SLICEL SITEPROP SLICE_X36Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y70 CLASS site SITEPROP SLICE_X36Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y70 IS_BONDED 0 SITEPROP SLICE_X36Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y70 IS_PAD 0 SITEPROP SLICE_X36Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y70 IS_RESERVED 0 SITEPROP SLICE_X36Y70 IS_TEST 0 SITEPROP SLICE_X36Y70 IS_USED 0 SITEPROP SLICE_X36Y70 MANUAL_ROUTING SITEPROP SLICE_X36Y70 NAME SLICE_X36Y70 SITEPROP SLICE_X36Y70 NUM_ARCS 138 SITEPROP SLICE_X36Y70 NUM_BELS 32 SITEPROP SLICE_X36Y70 NUM_INPUTS 32 SITEPROP SLICE_X36Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y70 NUM_PINS 45 SITEPROP SLICE_X36Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y70 PROHIBIT 0 SITEPROP SLICE_X36Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y70 RPM_X 101 SITEPROP SLICE_X36Y70 RPM_Y 140 SITEPROP SLICE_X36Y70 SITE_PIPS SITEPROP SLICE_X36Y70 SITE_TYPE SLICEL SITEPROP SLICE_X36Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y71 CLASS site SITEPROP SLICE_X36Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y71 IS_BONDED 0 SITEPROP SLICE_X36Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y71 IS_PAD 0 SITEPROP SLICE_X36Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y71 IS_RESERVED 0 SITEPROP SLICE_X36Y71 IS_TEST 0 SITEPROP SLICE_X36Y71 IS_USED 0 SITEPROP SLICE_X36Y71 MANUAL_ROUTING SITEPROP SLICE_X36Y71 NAME SLICE_X36Y71 SITEPROP SLICE_X36Y71 NUM_ARCS 138 SITEPROP SLICE_X36Y71 NUM_BELS 32 SITEPROP SLICE_X36Y71 NUM_INPUTS 32 SITEPROP SLICE_X36Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y71 NUM_PINS 45 SITEPROP SLICE_X36Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y71 PROHIBIT 0 SITEPROP SLICE_X36Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y71 RPM_X 101 SITEPROP SLICE_X36Y71 RPM_Y 142 SITEPROP SLICE_X36Y71 SITE_PIPS SITEPROP SLICE_X36Y71 SITE_TYPE SLICEL SITEPROP SLICE_X36Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y72 CLASS site SITEPROP SLICE_X36Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y72 IS_BONDED 0 SITEPROP SLICE_X36Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y72 IS_PAD 0 SITEPROP SLICE_X36Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y72 IS_RESERVED 0 SITEPROP SLICE_X36Y72 IS_TEST 0 SITEPROP SLICE_X36Y72 IS_USED 0 SITEPROP SLICE_X36Y72 MANUAL_ROUTING SITEPROP SLICE_X36Y72 NAME SLICE_X36Y72 SITEPROP SLICE_X36Y72 NUM_ARCS 138 SITEPROP SLICE_X36Y72 NUM_BELS 32 SITEPROP SLICE_X36Y72 NUM_INPUTS 32 SITEPROP SLICE_X36Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y72 NUM_PINS 45 SITEPROP SLICE_X36Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y72 PROHIBIT 0 SITEPROP SLICE_X36Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y72 RPM_X 101 SITEPROP SLICE_X36Y72 RPM_Y 144 SITEPROP SLICE_X36Y72 SITE_PIPS SITEPROP SLICE_X36Y72 SITE_TYPE SLICEL SITEPROP SLICE_X36Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y73 CLASS site SITEPROP SLICE_X36Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y73 IS_BONDED 0 SITEPROP SLICE_X36Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y73 IS_PAD 0 SITEPROP SLICE_X36Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y73 IS_RESERVED 0 SITEPROP SLICE_X36Y73 IS_TEST 0 SITEPROP SLICE_X36Y73 IS_USED 0 SITEPROP SLICE_X36Y73 MANUAL_ROUTING SITEPROP SLICE_X36Y73 NAME SLICE_X36Y73 SITEPROP SLICE_X36Y73 NUM_ARCS 138 SITEPROP SLICE_X36Y73 NUM_BELS 32 SITEPROP SLICE_X36Y73 NUM_INPUTS 32 SITEPROP SLICE_X36Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y73 NUM_PINS 45 SITEPROP SLICE_X36Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y73 PROHIBIT 0 SITEPROP SLICE_X36Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y73 RPM_X 101 SITEPROP SLICE_X36Y73 RPM_Y 146 SITEPROP SLICE_X36Y73 SITE_PIPS SITEPROP SLICE_X36Y73 SITE_TYPE SLICEL SITEPROP SLICE_X36Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y74 CLASS site SITEPROP SLICE_X36Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y74 IS_BONDED 0 SITEPROP SLICE_X36Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y74 IS_PAD 0 SITEPROP SLICE_X36Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y74 IS_RESERVED 0 SITEPROP SLICE_X36Y74 IS_TEST 0 SITEPROP SLICE_X36Y74 IS_USED 0 SITEPROP SLICE_X36Y74 MANUAL_ROUTING SITEPROP SLICE_X36Y74 NAME SLICE_X36Y74 SITEPROP SLICE_X36Y74 NUM_ARCS 138 SITEPROP SLICE_X36Y74 NUM_BELS 32 SITEPROP SLICE_X36Y74 NUM_INPUTS 32 SITEPROP SLICE_X36Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y74 NUM_PINS 45 SITEPROP SLICE_X36Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y74 PROHIBIT 0 SITEPROP SLICE_X36Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y74 RPM_X 101 SITEPROP SLICE_X36Y74 RPM_Y 148 SITEPROP SLICE_X36Y74 SITE_PIPS SITEPROP SLICE_X36Y74 SITE_TYPE SLICEL SITEPROP SLICE_X36Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y75 CLASS site SITEPROP SLICE_X36Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y75 IS_BONDED 0 SITEPROP SLICE_X36Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y75 IS_PAD 0 SITEPROP SLICE_X36Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y75 IS_RESERVED 0 SITEPROP SLICE_X36Y75 IS_TEST 0 SITEPROP SLICE_X36Y75 IS_USED 0 SITEPROP SLICE_X36Y75 MANUAL_ROUTING SITEPROP SLICE_X36Y75 NAME SLICE_X36Y75 SITEPROP SLICE_X36Y75 NUM_ARCS 138 SITEPROP SLICE_X36Y75 NUM_BELS 32 SITEPROP SLICE_X36Y75 NUM_INPUTS 32 SITEPROP SLICE_X36Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y75 NUM_PINS 45 SITEPROP SLICE_X36Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y75 PROHIBIT 0 SITEPROP SLICE_X36Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y75 RPM_X 101 SITEPROP SLICE_X36Y75 RPM_Y 150 SITEPROP SLICE_X36Y75 SITE_PIPS SITEPROP SLICE_X36Y75 SITE_TYPE SLICEL SITEPROP SLICE_X36Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y76 CLASS site SITEPROP SLICE_X36Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y76 IS_BONDED 0 SITEPROP SLICE_X36Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y76 IS_PAD 0 SITEPROP SLICE_X36Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y76 IS_RESERVED 0 SITEPROP SLICE_X36Y76 IS_TEST 0 SITEPROP SLICE_X36Y76 IS_USED 0 SITEPROP SLICE_X36Y76 MANUAL_ROUTING SITEPROP SLICE_X36Y76 NAME SLICE_X36Y76 SITEPROP SLICE_X36Y76 NUM_ARCS 138 SITEPROP SLICE_X36Y76 NUM_BELS 32 SITEPROP SLICE_X36Y76 NUM_INPUTS 32 SITEPROP SLICE_X36Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y76 NUM_PINS 45 SITEPROP SLICE_X36Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y76 PROHIBIT 0 SITEPROP SLICE_X36Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y76 RPM_X 101 SITEPROP SLICE_X36Y76 RPM_Y 152 SITEPROP SLICE_X36Y76 SITE_PIPS SITEPROP SLICE_X36Y76 SITE_TYPE SLICEL SITEPROP SLICE_X36Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y77 CLASS site SITEPROP SLICE_X36Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y77 IS_BONDED 0 SITEPROP SLICE_X36Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y77 IS_PAD 0 SITEPROP SLICE_X36Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y77 IS_RESERVED 0 SITEPROP SLICE_X36Y77 IS_TEST 0 SITEPROP SLICE_X36Y77 IS_USED 0 SITEPROP SLICE_X36Y77 MANUAL_ROUTING SITEPROP SLICE_X36Y77 NAME SLICE_X36Y77 SITEPROP SLICE_X36Y77 NUM_ARCS 138 SITEPROP SLICE_X36Y77 NUM_BELS 32 SITEPROP SLICE_X36Y77 NUM_INPUTS 32 SITEPROP SLICE_X36Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y77 NUM_PINS 45 SITEPROP SLICE_X36Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y77 PROHIBIT 0 SITEPROP SLICE_X36Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y77 RPM_X 101 SITEPROP SLICE_X36Y77 RPM_Y 154 SITEPROP SLICE_X36Y77 SITE_PIPS SITEPROP SLICE_X36Y77 SITE_TYPE SLICEL SITEPROP SLICE_X36Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y78 CLASS site SITEPROP SLICE_X36Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y78 IS_BONDED 0 SITEPROP SLICE_X36Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y78 IS_PAD 0 SITEPROP SLICE_X36Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y78 IS_RESERVED 0 SITEPROP SLICE_X36Y78 IS_TEST 0 SITEPROP SLICE_X36Y78 IS_USED 0 SITEPROP SLICE_X36Y78 MANUAL_ROUTING SITEPROP SLICE_X36Y78 NAME SLICE_X36Y78 SITEPROP SLICE_X36Y78 NUM_ARCS 138 SITEPROP SLICE_X36Y78 NUM_BELS 32 SITEPROP SLICE_X36Y78 NUM_INPUTS 32 SITEPROP SLICE_X36Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y78 NUM_PINS 45 SITEPROP SLICE_X36Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y78 PROHIBIT 0 SITEPROP SLICE_X36Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y78 RPM_X 101 SITEPROP SLICE_X36Y78 RPM_Y 156 SITEPROP SLICE_X36Y78 SITE_PIPS SITEPROP SLICE_X36Y78 SITE_TYPE SLICEL SITEPROP SLICE_X36Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y79 CLASS site SITEPROP SLICE_X36Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y79 IS_BONDED 0 SITEPROP SLICE_X36Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y79 IS_PAD 0 SITEPROP SLICE_X36Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y79 IS_RESERVED 0 SITEPROP SLICE_X36Y79 IS_TEST 0 SITEPROP SLICE_X36Y79 IS_USED 0 SITEPROP SLICE_X36Y79 MANUAL_ROUTING SITEPROP SLICE_X36Y79 NAME SLICE_X36Y79 SITEPROP SLICE_X36Y79 NUM_ARCS 138 SITEPROP SLICE_X36Y79 NUM_BELS 32 SITEPROP SLICE_X36Y79 NUM_INPUTS 32 SITEPROP SLICE_X36Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y79 NUM_PINS 45 SITEPROP SLICE_X36Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y79 PROHIBIT 0 SITEPROP SLICE_X36Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y79 RPM_X 101 SITEPROP SLICE_X36Y79 RPM_Y 158 SITEPROP SLICE_X36Y79 SITE_PIPS SITEPROP SLICE_X36Y79 SITE_TYPE SLICEL SITEPROP SLICE_X36Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y80 CLASS site SITEPROP SLICE_X36Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y80 IS_BONDED 0 SITEPROP SLICE_X36Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y80 IS_PAD 0 SITEPROP SLICE_X36Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y80 IS_RESERVED 0 SITEPROP SLICE_X36Y80 IS_TEST 0 SITEPROP SLICE_X36Y80 IS_USED 0 SITEPROP SLICE_X36Y80 MANUAL_ROUTING SITEPROP SLICE_X36Y80 NAME SLICE_X36Y80 SITEPROP SLICE_X36Y80 NUM_ARCS 138 SITEPROP SLICE_X36Y80 NUM_BELS 32 SITEPROP SLICE_X36Y80 NUM_INPUTS 32 SITEPROP SLICE_X36Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y80 NUM_PINS 45 SITEPROP SLICE_X36Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y80 PROHIBIT 0 SITEPROP SLICE_X36Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y80 RPM_X 101 SITEPROP SLICE_X36Y80 RPM_Y 160 SITEPROP SLICE_X36Y80 SITE_PIPS SITEPROP SLICE_X36Y80 SITE_TYPE SLICEL SITEPROP SLICE_X36Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y81 CLASS site SITEPROP SLICE_X36Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y81 IS_BONDED 0 SITEPROP SLICE_X36Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y81 IS_PAD 0 SITEPROP SLICE_X36Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y81 IS_RESERVED 0 SITEPROP SLICE_X36Y81 IS_TEST 0 SITEPROP SLICE_X36Y81 IS_USED 0 SITEPROP SLICE_X36Y81 MANUAL_ROUTING SITEPROP SLICE_X36Y81 NAME SLICE_X36Y81 SITEPROP SLICE_X36Y81 NUM_ARCS 138 SITEPROP SLICE_X36Y81 NUM_BELS 32 SITEPROP SLICE_X36Y81 NUM_INPUTS 32 SITEPROP SLICE_X36Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y81 NUM_PINS 45 SITEPROP SLICE_X36Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y81 PROHIBIT 0 SITEPROP SLICE_X36Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y81 RPM_X 101 SITEPROP SLICE_X36Y81 RPM_Y 162 SITEPROP SLICE_X36Y81 SITE_PIPS SITEPROP SLICE_X36Y81 SITE_TYPE SLICEL SITEPROP SLICE_X36Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y82 CLASS site SITEPROP SLICE_X36Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y82 IS_BONDED 0 SITEPROP SLICE_X36Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y82 IS_PAD 0 SITEPROP SLICE_X36Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y82 IS_RESERVED 0 SITEPROP SLICE_X36Y82 IS_TEST 0 SITEPROP SLICE_X36Y82 IS_USED 0 SITEPROP SLICE_X36Y82 MANUAL_ROUTING SITEPROP SLICE_X36Y82 NAME SLICE_X36Y82 SITEPROP SLICE_X36Y82 NUM_ARCS 138 SITEPROP SLICE_X36Y82 NUM_BELS 32 SITEPROP SLICE_X36Y82 NUM_INPUTS 32 SITEPROP SLICE_X36Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y82 NUM_PINS 45 SITEPROP SLICE_X36Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y82 PROHIBIT 0 SITEPROP SLICE_X36Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y82 RPM_X 101 SITEPROP SLICE_X36Y82 RPM_Y 164 SITEPROP SLICE_X36Y82 SITE_PIPS SITEPROP SLICE_X36Y82 SITE_TYPE SLICEL SITEPROP SLICE_X36Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y83 CLASS site SITEPROP SLICE_X36Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y83 IS_BONDED 0 SITEPROP SLICE_X36Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y83 IS_PAD 0 SITEPROP SLICE_X36Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y83 IS_RESERVED 0 SITEPROP SLICE_X36Y83 IS_TEST 0 SITEPROP SLICE_X36Y83 IS_USED 0 SITEPROP SLICE_X36Y83 MANUAL_ROUTING SITEPROP SLICE_X36Y83 NAME SLICE_X36Y83 SITEPROP SLICE_X36Y83 NUM_ARCS 138 SITEPROP SLICE_X36Y83 NUM_BELS 32 SITEPROP SLICE_X36Y83 NUM_INPUTS 32 SITEPROP SLICE_X36Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y83 NUM_PINS 45 SITEPROP SLICE_X36Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y83 PROHIBIT 0 SITEPROP SLICE_X36Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y83 RPM_X 101 SITEPROP SLICE_X36Y83 RPM_Y 166 SITEPROP SLICE_X36Y83 SITE_PIPS SITEPROP SLICE_X36Y83 SITE_TYPE SLICEL SITEPROP SLICE_X36Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y84 CLASS site SITEPROP SLICE_X36Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y84 IS_BONDED 0 SITEPROP SLICE_X36Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y84 IS_PAD 0 SITEPROP SLICE_X36Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y84 IS_RESERVED 0 SITEPROP SLICE_X36Y84 IS_TEST 0 SITEPROP SLICE_X36Y84 IS_USED 0 SITEPROP SLICE_X36Y84 MANUAL_ROUTING SITEPROP SLICE_X36Y84 NAME SLICE_X36Y84 SITEPROP SLICE_X36Y84 NUM_ARCS 138 SITEPROP SLICE_X36Y84 NUM_BELS 32 SITEPROP SLICE_X36Y84 NUM_INPUTS 32 SITEPROP SLICE_X36Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y84 NUM_PINS 45 SITEPROP SLICE_X36Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y84 PROHIBIT 0 SITEPROP SLICE_X36Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y84 RPM_X 101 SITEPROP SLICE_X36Y84 RPM_Y 168 SITEPROP SLICE_X36Y84 SITE_PIPS SITEPROP SLICE_X36Y84 SITE_TYPE SLICEL SITEPROP SLICE_X36Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y85 CLASS site SITEPROP SLICE_X36Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y85 IS_BONDED 0 SITEPROP SLICE_X36Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y85 IS_PAD 0 SITEPROP SLICE_X36Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y85 IS_RESERVED 0 SITEPROP SLICE_X36Y85 IS_TEST 0 SITEPROP SLICE_X36Y85 IS_USED 0 SITEPROP SLICE_X36Y85 MANUAL_ROUTING SITEPROP SLICE_X36Y85 NAME SLICE_X36Y85 SITEPROP SLICE_X36Y85 NUM_ARCS 138 SITEPROP SLICE_X36Y85 NUM_BELS 32 SITEPROP SLICE_X36Y85 NUM_INPUTS 32 SITEPROP SLICE_X36Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y85 NUM_PINS 45 SITEPROP SLICE_X36Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y85 PROHIBIT 0 SITEPROP SLICE_X36Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y85 RPM_X 101 SITEPROP SLICE_X36Y85 RPM_Y 170 SITEPROP SLICE_X36Y85 SITE_PIPS SITEPROP SLICE_X36Y85 SITE_TYPE SLICEL SITEPROP SLICE_X36Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y86 CLASS site SITEPROP SLICE_X36Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y86 IS_BONDED 0 SITEPROP SLICE_X36Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y86 IS_PAD 0 SITEPROP SLICE_X36Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y86 IS_RESERVED 0 SITEPROP SLICE_X36Y86 IS_TEST 0 SITEPROP SLICE_X36Y86 IS_USED 0 SITEPROP SLICE_X36Y86 MANUAL_ROUTING SITEPROP SLICE_X36Y86 NAME SLICE_X36Y86 SITEPROP SLICE_X36Y86 NUM_ARCS 138 SITEPROP SLICE_X36Y86 NUM_BELS 32 SITEPROP SLICE_X36Y86 NUM_INPUTS 32 SITEPROP SLICE_X36Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y86 NUM_PINS 45 SITEPROP SLICE_X36Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y86 PROHIBIT 0 SITEPROP SLICE_X36Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y86 RPM_X 101 SITEPROP SLICE_X36Y86 RPM_Y 172 SITEPROP SLICE_X36Y86 SITE_PIPS SITEPROP SLICE_X36Y86 SITE_TYPE SLICEL SITEPROP SLICE_X36Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y87 CLASS site SITEPROP SLICE_X36Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y87 IS_BONDED 0 SITEPROP SLICE_X36Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y87 IS_PAD 0 SITEPROP SLICE_X36Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y87 IS_RESERVED 0 SITEPROP SLICE_X36Y87 IS_TEST 0 SITEPROP SLICE_X36Y87 IS_USED 0 SITEPROP SLICE_X36Y87 MANUAL_ROUTING SITEPROP SLICE_X36Y87 NAME SLICE_X36Y87 SITEPROP SLICE_X36Y87 NUM_ARCS 138 SITEPROP SLICE_X36Y87 NUM_BELS 32 SITEPROP SLICE_X36Y87 NUM_INPUTS 32 SITEPROP SLICE_X36Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y87 NUM_PINS 45 SITEPROP SLICE_X36Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y87 PROHIBIT 0 SITEPROP SLICE_X36Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y87 RPM_X 101 SITEPROP SLICE_X36Y87 RPM_Y 174 SITEPROP SLICE_X36Y87 SITE_PIPS SITEPROP SLICE_X36Y87 SITE_TYPE SLICEL SITEPROP SLICE_X36Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y88 CLASS site SITEPROP SLICE_X36Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y88 IS_BONDED 0 SITEPROP SLICE_X36Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y88 IS_PAD 0 SITEPROP SLICE_X36Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y88 IS_RESERVED 0 SITEPROP SLICE_X36Y88 IS_TEST 0 SITEPROP SLICE_X36Y88 IS_USED 0 SITEPROP SLICE_X36Y88 MANUAL_ROUTING SITEPROP SLICE_X36Y88 NAME SLICE_X36Y88 SITEPROP SLICE_X36Y88 NUM_ARCS 138 SITEPROP SLICE_X36Y88 NUM_BELS 32 SITEPROP SLICE_X36Y88 NUM_INPUTS 32 SITEPROP SLICE_X36Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y88 NUM_PINS 45 SITEPROP SLICE_X36Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y88 PROHIBIT 0 SITEPROP SLICE_X36Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y88 RPM_X 101 SITEPROP SLICE_X36Y88 RPM_Y 176 SITEPROP SLICE_X36Y88 SITE_PIPS SITEPROP SLICE_X36Y88 SITE_TYPE SLICEL SITEPROP SLICE_X36Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y89 CLASS site SITEPROP SLICE_X36Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y89 IS_BONDED 0 SITEPROP SLICE_X36Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y89 IS_PAD 0 SITEPROP SLICE_X36Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y89 IS_RESERVED 0 SITEPROP SLICE_X36Y89 IS_TEST 0 SITEPROP SLICE_X36Y89 IS_USED 0 SITEPROP SLICE_X36Y89 MANUAL_ROUTING SITEPROP SLICE_X36Y89 NAME SLICE_X36Y89 SITEPROP SLICE_X36Y89 NUM_ARCS 138 SITEPROP SLICE_X36Y89 NUM_BELS 32 SITEPROP SLICE_X36Y89 NUM_INPUTS 32 SITEPROP SLICE_X36Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y89 NUM_PINS 45 SITEPROP SLICE_X36Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y89 PROHIBIT 0 SITEPROP SLICE_X36Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y89 RPM_X 101 SITEPROP SLICE_X36Y89 RPM_Y 178 SITEPROP SLICE_X36Y89 SITE_PIPS SITEPROP SLICE_X36Y89 SITE_TYPE SLICEL SITEPROP SLICE_X36Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y90 CLASS site SITEPROP SLICE_X36Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y90 IS_BONDED 0 SITEPROP SLICE_X36Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y90 IS_PAD 0 SITEPROP SLICE_X36Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y90 IS_RESERVED 0 SITEPROP SLICE_X36Y90 IS_TEST 0 SITEPROP SLICE_X36Y90 IS_USED 0 SITEPROP SLICE_X36Y90 MANUAL_ROUTING SITEPROP SLICE_X36Y90 NAME SLICE_X36Y90 SITEPROP SLICE_X36Y90 NUM_ARCS 138 SITEPROP SLICE_X36Y90 NUM_BELS 32 SITEPROP SLICE_X36Y90 NUM_INPUTS 32 SITEPROP SLICE_X36Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y90 NUM_PINS 45 SITEPROP SLICE_X36Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y90 PROHIBIT 0 SITEPROP SLICE_X36Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y90 RPM_X 101 SITEPROP SLICE_X36Y90 RPM_Y 180 SITEPROP SLICE_X36Y90 SITE_PIPS SITEPROP SLICE_X36Y90 SITE_TYPE SLICEL SITEPROP SLICE_X36Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y91 CLASS site SITEPROP SLICE_X36Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y91 IS_BONDED 0 SITEPROP SLICE_X36Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y91 IS_PAD 0 SITEPROP SLICE_X36Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y91 IS_RESERVED 0 SITEPROP SLICE_X36Y91 IS_TEST 0 SITEPROP SLICE_X36Y91 IS_USED 0 SITEPROP SLICE_X36Y91 MANUAL_ROUTING SITEPROP SLICE_X36Y91 NAME SLICE_X36Y91 SITEPROP SLICE_X36Y91 NUM_ARCS 138 SITEPROP SLICE_X36Y91 NUM_BELS 32 SITEPROP SLICE_X36Y91 NUM_INPUTS 32 SITEPROP SLICE_X36Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y91 NUM_PINS 45 SITEPROP SLICE_X36Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y91 PROHIBIT 0 SITEPROP SLICE_X36Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y91 RPM_X 101 SITEPROP SLICE_X36Y91 RPM_Y 182 SITEPROP SLICE_X36Y91 SITE_PIPS SITEPROP SLICE_X36Y91 SITE_TYPE SLICEL SITEPROP SLICE_X36Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y92 CLASS site SITEPROP SLICE_X36Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y92 IS_BONDED 0 SITEPROP SLICE_X36Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y92 IS_PAD 0 SITEPROP SLICE_X36Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y92 IS_RESERVED 0 SITEPROP SLICE_X36Y92 IS_TEST 0 SITEPROP SLICE_X36Y92 IS_USED 0 SITEPROP SLICE_X36Y92 MANUAL_ROUTING SITEPROP SLICE_X36Y92 NAME SLICE_X36Y92 SITEPROP SLICE_X36Y92 NUM_ARCS 138 SITEPROP SLICE_X36Y92 NUM_BELS 32 SITEPROP SLICE_X36Y92 NUM_INPUTS 32 SITEPROP SLICE_X36Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y92 NUM_PINS 45 SITEPROP SLICE_X36Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y92 PROHIBIT 0 SITEPROP SLICE_X36Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y92 RPM_X 101 SITEPROP SLICE_X36Y92 RPM_Y 184 SITEPROP SLICE_X36Y92 SITE_PIPS SITEPROP SLICE_X36Y92 SITE_TYPE SLICEL SITEPROP SLICE_X36Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y93 CLASS site SITEPROP SLICE_X36Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y93 IS_BONDED 0 SITEPROP SLICE_X36Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y93 IS_PAD 0 SITEPROP SLICE_X36Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y93 IS_RESERVED 0 SITEPROP SLICE_X36Y93 IS_TEST 0 SITEPROP SLICE_X36Y93 IS_USED 0 SITEPROP SLICE_X36Y93 MANUAL_ROUTING SITEPROP SLICE_X36Y93 NAME SLICE_X36Y93 SITEPROP SLICE_X36Y93 NUM_ARCS 138 SITEPROP SLICE_X36Y93 NUM_BELS 32 SITEPROP SLICE_X36Y93 NUM_INPUTS 32 SITEPROP SLICE_X36Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y93 NUM_PINS 45 SITEPROP SLICE_X36Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y93 PROHIBIT 0 SITEPROP SLICE_X36Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y93 RPM_X 101 SITEPROP SLICE_X36Y93 RPM_Y 186 SITEPROP SLICE_X36Y93 SITE_PIPS SITEPROP SLICE_X36Y93 SITE_TYPE SLICEL SITEPROP SLICE_X36Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y94 CLASS site SITEPROP SLICE_X36Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y94 IS_BONDED 0 SITEPROP SLICE_X36Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y94 IS_PAD 0 SITEPROP SLICE_X36Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y94 IS_RESERVED 0 SITEPROP SLICE_X36Y94 IS_TEST 0 SITEPROP SLICE_X36Y94 IS_USED 0 SITEPROP SLICE_X36Y94 MANUAL_ROUTING SITEPROP SLICE_X36Y94 NAME SLICE_X36Y94 SITEPROP SLICE_X36Y94 NUM_ARCS 138 SITEPROP SLICE_X36Y94 NUM_BELS 32 SITEPROP SLICE_X36Y94 NUM_INPUTS 32 SITEPROP SLICE_X36Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y94 NUM_PINS 45 SITEPROP SLICE_X36Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y94 PROHIBIT 0 SITEPROP SLICE_X36Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y94 RPM_X 101 SITEPROP SLICE_X36Y94 RPM_Y 188 SITEPROP SLICE_X36Y94 SITE_PIPS SITEPROP SLICE_X36Y94 SITE_TYPE SLICEL SITEPROP SLICE_X36Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y95 CLASS site SITEPROP SLICE_X36Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y95 IS_BONDED 0 SITEPROP SLICE_X36Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y95 IS_PAD 0 SITEPROP SLICE_X36Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y95 IS_RESERVED 0 SITEPROP SLICE_X36Y95 IS_TEST 0 SITEPROP SLICE_X36Y95 IS_USED 0 SITEPROP SLICE_X36Y95 MANUAL_ROUTING SITEPROP SLICE_X36Y95 NAME SLICE_X36Y95 SITEPROP SLICE_X36Y95 NUM_ARCS 138 SITEPROP SLICE_X36Y95 NUM_BELS 32 SITEPROP SLICE_X36Y95 NUM_INPUTS 32 SITEPROP SLICE_X36Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y95 NUM_PINS 45 SITEPROP SLICE_X36Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y95 PROHIBIT 0 SITEPROP SLICE_X36Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y95 RPM_X 101 SITEPROP SLICE_X36Y95 RPM_Y 190 SITEPROP SLICE_X36Y95 SITE_PIPS SITEPROP SLICE_X36Y95 SITE_TYPE SLICEL SITEPROP SLICE_X36Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y96 CLASS site SITEPROP SLICE_X36Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y96 IS_BONDED 0 SITEPROP SLICE_X36Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y96 IS_PAD 0 SITEPROP SLICE_X36Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y96 IS_RESERVED 0 SITEPROP SLICE_X36Y96 IS_TEST 0 SITEPROP SLICE_X36Y96 IS_USED 0 SITEPROP SLICE_X36Y96 MANUAL_ROUTING SITEPROP SLICE_X36Y96 NAME SLICE_X36Y96 SITEPROP SLICE_X36Y96 NUM_ARCS 138 SITEPROP SLICE_X36Y96 NUM_BELS 32 SITEPROP SLICE_X36Y96 NUM_INPUTS 32 SITEPROP SLICE_X36Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y96 NUM_PINS 45 SITEPROP SLICE_X36Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y96 PROHIBIT 0 SITEPROP SLICE_X36Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y96 RPM_X 101 SITEPROP SLICE_X36Y96 RPM_Y 192 SITEPROP SLICE_X36Y96 SITE_PIPS SITEPROP SLICE_X36Y96 SITE_TYPE SLICEL SITEPROP SLICE_X36Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y97 CLASS site SITEPROP SLICE_X36Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y97 IS_BONDED 0 SITEPROP SLICE_X36Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y97 IS_PAD 0 SITEPROP SLICE_X36Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y97 IS_RESERVED 0 SITEPROP SLICE_X36Y97 IS_TEST 0 SITEPROP SLICE_X36Y97 IS_USED 0 SITEPROP SLICE_X36Y97 MANUAL_ROUTING SITEPROP SLICE_X36Y97 NAME SLICE_X36Y97 SITEPROP SLICE_X36Y97 NUM_ARCS 138 SITEPROP SLICE_X36Y97 NUM_BELS 32 SITEPROP SLICE_X36Y97 NUM_INPUTS 32 SITEPROP SLICE_X36Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y97 NUM_PINS 45 SITEPROP SLICE_X36Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y97 PROHIBIT 0 SITEPROP SLICE_X36Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y97 RPM_X 101 SITEPROP SLICE_X36Y97 RPM_Y 194 SITEPROP SLICE_X36Y97 SITE_PIPS SITEPROP SLICE_X36Y97 SITE_TYPE SLICEL SITEPROP SLICE_X36Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y98 CLASS site SITEPROP SLICE_X36Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y98 IS_BONDED 0 SITEPROP SLICE_X36Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y98 IS_PAD 0 SITEPROP SLICE_X36Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y98 IS_RESERVED 0 SITEPROP SLICE_X36Y98 IS_TEST 0 SITEPROP SLICE_X36Y98 IS_USED 0 SITEPROP SLICE_X36Y98 MANUAL_ROUTING SITEPROP SLICE_X36Y98 NAME SLICE_X36Y98 SITEPROP SLICE_X36Y98 NUM_ARCS 138 SITEPROP SLICE_X36Y98 NUM_BELS 32 SITEPROP SLICE_X36Y98 NUM_INPUTS 32 SITEPROP SLICE_X36Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y98 NUM_PINS 45 SITEPROP SLICE_X36Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y98 PROHIBIT 0 SITEPROP SLICE_X36Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y98 RPM_X 101 SITEPROP SLICE_X36Y98 RPM_Y 196 SITEPROP SLICE_X36Y98 SITE_PIPS SITEPROP SLICE_X36Y98 SITE_TYPE SLICEL SITEPROP SLICE_X36Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y99 CLASS site SITEPROP SLICE_X36Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X36Y99 IS_BONDED 0 SITEPROP SLICE_X36Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y99 IS_PAD 0 SITEPROP SLICE_X36Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y99 IS_RESERVED 0 SITEPROP SLICE_X36Y99 IS_TEST 0 SITEPROP SLICE_X36Y99 IS_USED 0 SITEPROP SLICE_X36Y99 MANUAL_ROUTING SITEPROP SLICE_X36Y99 NAME SLICE_X36Y99 SITEPROP SLICE_X36Y99 NUM_ARCS 138 SITEPROP SLICE_X36Y99 NUM_BELS 32 SITEPROP SLICE_X36Y99 NUM_INPUTS 32 SITEPROP SLICE_X36Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y99 NUM_PINS 45 SITEPROP SLICE_X36Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y99 PROHIBIT 0 SITEPROP SLICE_X36Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y99 RPM_X 101 SITEPROP SLICE_X36Y99 RPM_Y 198 SITEPROP SLICE_X36Y99 SITE_PIPS SITEPROP SLICE_X36Y99 SITE_TYPE SLICEL SITEPROP SLICE_X36Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y100 CLASS site SITEPROP SLICE_X36Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y100 IS_BONDED 0 SITEPROP SLICE_X36Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y100 IS_PAD 0 SITEPROP SLICE_X36Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y100 IS_RESERVED 0 SITEPROP SLICE_X36Y100 IS_TEST 0 SITEPROP SLICE_X36Y100 IS_USED 0 SITEPROP SLICE_X36Y100 MANUAL_ROUTING SITEPROP SLICE_X36Y100 NAME SLICE_X36Y100 SITEPROP SLICE_X36Y100 NUM_ARCS 138 SITEPROP SLICE_X36Y100 NUM_BELS 32 SITEPROP SLICE_X36Y100 NUM_INPUTS 32 SITEPROP SLICE_X36Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y100 NUM_PINS 45 SITEPROP SLICE_X36Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y100 PROHIBIT 0 SITEPROP SLICE_X36Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y100 RPM_X 101 SITEPROP SLICE_X36Y100 RPM_Y 200 SITEPROP SLICE_X36Y100 SITE_PIPS SITEPROP SLICE_X36Y100 SITE_TYPE SLICEL SITEPROP SLICE_X36Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y101 CLASS site SITEPROP SLICE_X36Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y101 IS_BONDED 0 SITEPROP SLICE_X36Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y101 IS_PAD 0 SITEPROP SLICE_X36Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y101 IS_RESERVED 0 SITEPROP SLICE_X36Y101 IS_TEST 0 SITEPROP SLICE_X36Y101 IS_USED 0 SITEPROP SLICE_X36Y101 MANUAL_ROUTING SITEPROP SLICE_X36Y101 NAME SLICE_X36Y101 SITEPROP SLICE_X36Y101 NUM_ARCS 138 SITEPROP SLICE_X36Y101 NUM_BELS 32 SITEPROP SLICE_X36Y101 NUM_INPUTS 32 SITEPROP SLICE_X36Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y101 NUM_PINS 45 SITEPROP SLICE_X36Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y101 PROHIBIT 0 SITEPROP SLICE_X36Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y101 RPM_X 101 SITEPROP SLICE_X36Y101 RPM_Y 202 SITEPROP SLICE_X36Y101 SITE_PIPS SITEPROP SLICE_X36Y101 SITE_TYPE SLICEL SITEPROP SLICE_X36Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y102 CLASS site SITEPROP SLICE_X36Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y102 IS_BONDED 0 SITEPROP SLICE_X36Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y102 IS_PAD 0 SITEPROP SLICE_X36Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y102 IS_RESERVED 0 SITEPROP SLICE_X36Y102 IS_TEST 0 SITEPROP SLICE_X36Y102 IS_USED 0 SITEPROP SLICE_X36Y102 MANUAL_ROUTING SITEPROP SLICE_X36Y102 NAME SLICE_X36Y102 SITEPROP SLICE_X36Y102 NUM_ARCS 138 SITEPROP SLICE_X36Y102 NUM_BELS 32 SITEPROP SLICE_X36Y102 NUM_INPUTS 32 SITEPROP SLICE_X36Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y102 NUM_PINS 45 SITEPROP SLICE_X36Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y102 PROHIBIT 0 SITEPROP SLICE_X36Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y102 RPM_X 101 SITEPROP SLICE_X36Y102 RPM_Y 204 SITEPROP SLICE_X36Y102 SITE_PIPS SITEPROP SLICE_X36Y102 SITE_TYPE SLICEL SITEPROP SLICE_X36Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y103 CLASS site SITEPROP SLICE_X36Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y103 IS_BONDED 0 SITEPROP SLICE_X36Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y103 IS_PAD 0 SITEPROP SLICE_X36Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y103 IS_RESERVED 0 SITEPROP SLICE_X36Y103 IS_TEST 0 SITEPROP SLICE_X36Y103 IS_USED 0 SITEPROP SLICE_X36Y103 MANUAL_ROUTING SITEPROP SLICE_X36Y103 NAME SLICE_X36Y103 SITEPROP SLICE_X36Y103 NUM_ARCS 138 SITEPROP SLICE_X36Y103 NUM_BELS 32 SITEPROP SLICE_X36Y103 NUM_INPUTS 32 SITEPROP SLICE_X36Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y103 NUM_PINS 45 SITEPROP SLICE_X36Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y103 PROHIBIT 0 SITEPROP SLICE_X36Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y103 RPM_X 101 SITEPROP SLICE_X36Y103 RPM_Y 206 SITEPROP SLICE_X36Y103 SITE_PIPS SITEPROP SLICE_X36Y103 SITE_TYPE SLICEL SITEPROP SLICE_X36Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y104 CLASS site SITEPROP SLICE_X36Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y104 IS_BONDED 0 SITEPROP SLICE_X36Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y104 IS_PAD 0 SITEPROP SLICE_X36Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y104 IS_RESERVED 0 SITEPROP SLICE_X36Y104 IS_TEST 0 SITEPROP SLICE_X36Y104 IS_USED 0 SITEPROP SLICE_X36Y104 MANUAL_ROUTING SITEPROP SLICE_X36Y104 NAME SLICE_X36Y104 SITEPROP SLICE_X36Y104 NUM_ARCS 138 SITEPROP SLICE_X36Y104 NUM_BELS 32 SITEPROP SLICE_X36Y104 NUM_INPUTS 32 SITEPROP SLICE_X36Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y104 NUM_PINS 45 SITEPROP SLICE_X36Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y104 PROHIBIT 0 SITEPROP SLICE_X36Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y104 RPM_X 101 SITEPROP SLICE_X36Y104 RPM_Y 208 SITEPROP SLICE_X36Y104 SITE_PIPS SITEPROP SLICE_X36Y104 SITE_TYPE SLICEL SITEPROP SLICE_X36Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y105 CLASS site SITEPROP SLICE_X36Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y105 IS_BONDED 0 SITEPROP SLICE_X36Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y105 IS_PAD 0 SITEPROP SLICE_X36Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y105 IS_RESERVED 0 SITEPROP SLICE_X36Y105 IS_TEST 0 SITEPROP SLICE_X36Y105 IS_USED 0 SITEPROP SLICE_X36Y105 MANUAL_ROUTING SITEPROP SLICE_X36Y105 NAME SLICE_X36Y105 SITEPROP SLICE_X36Y105 NUM_ARCS 138 SITEPROP SLICE_X36Y105 NUM_BELS 32 SITEPROP SLICE_X36Y105 NUM_INPUTS 32 SITEPROP SLICE_X36Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y105 NUM_PINS 45 SITEPROP SLICE_X36Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y105 PROHIBIT 0 SITEPROP SLICE_X36Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y105 RPM_X 101 SITEPROP SLICE_X36Y105 RPM_Y 210 SITEPROP SLICE_X36Y105 SITE_PIPS SITEPROP SLICE_X36Y105 SITE_TYPE SLICEL SITEPROP SLICE_X36Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y106 CLASS site SITEPROP SLICE_X36Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y106 IS_BONDED 0 SITEPROP SLICE_X36Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y106 IS_PAD 0 SITEPROP SLICE_X36Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y106 IS_RESERVED 0 SITEPROP SLICE_X36Y106 IS_TEST 0 SITEPROP SLICE_X36Y106 IS_USED 0 SITEPROP SLICE_X36Y106 MANUAL_ROUTING SITEPROP SLICE_X36Y106 NAME SLICE_X36Y106 SITEPROP SLICE_X36Y106 NUM_ARCS 138 SITEPROP SLICE_X36Y106 NUM_BELS 32 SITEPROP SLICE_X36Y106 NUM_INPUTS 32 SITEPROP SLICE_X36Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y106 NUM_PINS 45 SITEPROP SLICE_X36Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y106 PROHIBIT 0 SITEPROP SLICE_X36Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y106 RPM_X 101 SITEPROP SLICE_X36Y106 RPM_Y 212 SITEPROP SLICE_X36Y106 SITE_PIPS SITEPROP SLICE_X36Y106 SITE_TYPE SLICEL SITEPROP SLICE_X36Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y107 CLASS site SITEPROP SLICE_X36Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y107 IS_BONDED 0 SITEPROP SLICE_X36Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y107 IS_PAD 0 SITEPROP SLICE_X36Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y107 IS_RESERVED 0 SITEPROP SLICE_X36Y107 IS_TEST 0 SITEPROP SLICE_X36Y107 IS_USED 0 SITEPROP SLICE_X36Y107 MANUAL_ROUTING SITEPROP SLICE_X36Y107 NAME SLICE_X36Y107 SITEPROP SLICE_X36Y107 NUM_ARCS 138 SITEPROP SLICE_X36Y107 NUM_BELS 32 SITEPROP SLICE_X36Y107 NUM_INPUTS 32 SITEPROP SLICE_X36Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y107 NUM_PINS 45 SITEPROP SLICE_X36Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y107 PROHIBIT 0 SITEPROP SLICE_X36Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y107 RPM_X 101 SITEPROP SLICE_X36Y107 RPM_Y 214 SITEPROP SLICE_X36Y107 SITE_PIPS SITEPROP SLICE_X36Y107 SITE_TYPE SLICEL SITEPROP SLICE_X36Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y108 CLASS site SITEPROP SLICE_X36Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y108 IS_BONDED 0 SITEPROP SLICE_X36Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y108 IS_PAD 0 SITEPROP SLICE_X36Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y108 IS_RESERVED 0 SITEPROP SLICE_X36Y108 IS_TEST 0 SITEPROP SLICE_X36Y108 IS_USED 0 SITEPROP SLICE_X36Y108 MANUAL_ROUTING SITEPROP SLICE_X36Y108 NAME SLICE_X36Y108 SITEPROP SLICE_X36Y108 NUM_ARCS 138 SITEPROP SLICE_X36Y108 NUM_BELS 32 SITEPROP SLICE_X36Y108 NUM_INPUTS 32 SITEPROP SLICE_X36Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y108 NUM_PINS 45 SITEPROP SLICE_X36Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y108 PROHIBIT 0 SITEPROP SLICE_X36Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y108 RPM_X 101 SITEPROP SLICE_X36Y108 RPM_Y 216 SITEPROP SLICE_X36Y108 SITE_PIPS SITEPROP SLICE_X36Y108 SITE_TYPE SLICEL SITEPROP SLICE_X36Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y109 CLASS site SITEPROP SLICE_X36Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y109 IS_BONDED 0 SITEPROP SLICE_X36Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y109 IS_PAD 0 SITEPROP SLICE_X36Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y109 IS_RESERVED 0 SITEPROP SLICE_X36Y109 IS_TEST 0 SITEPROP SLICE_X36Y109 IS_USED 0 SITEPROP SLICE_X36Y109 MANUAL_ROUTING SITEPROP SLICE_X36Y109 NAME SLICE_X36Y109 SITEPROP SLICE_X36Y109 NUM_ARCS 138 SITEPROP SLICE_X36Y109 NUM_BELS 32 SITEPROP SLICE_X36Y109 NUM_INPUTS 32 SITEPROP SLICE_X36Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y109 NUM_PINS 45 SITEPROP SLICE_X36Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y109 PROHIBIT 0 SITEPROP SLICE_X36Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y109 RPM_X 101 SITEPROP SLICE_X36Y109 RPM_Y 218 SITEPROP SLICE_X36Y109 SITE_PIPS SITEPROP SLICE_X36Y109 SITE_TYPE SLICEL SITEPROP SLICE_X36Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y110 CLASS site SITEPROP SLICE_X36Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y110 IS_BONDED 0 SITEPROP SLICE_X36Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y110 IS_PAD 0 SITEPROP SLICE_X36Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y110 IS_RESERVED 0 SITEPROP SLICE_X36Y110 IS_TEST 0 SITEPROP SLICE_X36Y110 IS_USED 0 SITEPROP SLICE_X36Y110 MANUAL_ROUTING SITEPROP SLICE_X36Y110 NAME SLICE_X36Y110 SITEPROP SLICE_X36Y110 NUM_ARCS 138 SITEPROP SLICE_X36Y110 NUM_BELS 32 SITEPROP SLICE_X36Y110 NUM_INPUTS 32 SITEPROP SLICE_X36Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y110 NUM_PINS 45 SITEPROP SLICE_X36Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y110 PROHIBIT 0 SITEPROP SLICE_X36Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y110 RPM_X 101 SITEPROP SLICE_X36Y110 RPM_Y 220 SITEPROP SLICE_X36Y110 SITE_PIPS SITEPROP SLICE_X36Y110 SITE_TYPE SLICEL SITEPROP SLICE_X36Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y111 CLASS site SITEPROP SLICE_X36Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y111 IS_BONDED 0 SITEPROP SLICE_X36Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y111 IS_PAD 0 SITEPROP SLICE_X36Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y111 IS_RESERVED 0 SITEPROP SLICE_X36Y111 IS_TEST 0 SITEPROP SLICE_X36Y111 IS_USED 0 SITEPROP SLICE_X36Y111 MANUAL_ROUTING SITEPROP SLICE_X36Y111 NAME SLICE_X36Y111 SITEPROP SLICE_X36Y111 NUM_ARCS 138 SITEPROP SLICE_X36Y111 NUM_BELS 32 SITEPROP SLICE_X36Y111 NUM_INPUTS 32 SITEPROP SLICE_X36Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y111 NUM_PINS 45 SITEPROP SLICE_X36Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y111 PROHIBIT 0 SITEPROP SLICE_X36Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y111 RPM_X 101 SITEPROP SLICE_X36Y111 RPM_Y 222 SITEPROP SLICE_X36Y111 SITE_PIPS SITEPROP SLICE_X36Y111 SITE_TYPE SLICEL SITEPROP SLICE_X36Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y112 CLASS site SITEPROP SLICE_X36Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y112 IS_BONDED 0 SITEPROP SLICE_X36Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y112 IS_PAD 0 SITEPROP SLICE_X36Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y112 IS_RESERVED 0 SITEPROP SLICE_X36Y112 IS_TEST 0 SITEPROP SLICE_X36Y112 IS_USED 0 SITEPROP SLICE_X36Y112 MANUAL_ROUTING SITEPROP SLICE_X36Y112 NAME SLICE_X36Y112 SITEPROP SLICE_X36Y112 NUM_ARCS 138 SITEPROP SLICE_X36Y112 NUM_BELS 32 SITEPROP SLICE_X36Y112 NUM_INPUTS 32 SITEPROP SLICE_X36Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y112 NUM_PINS 45 SITEPROP SLICE_X36Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y112 PROHIBIT 0 SITEPROP SLICE_X36Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y112 RPM_X 101 SITEPROP SLICE_X36Y112 RPM_Y 224 SITEPROP SLICE_X36Y112 SITE_PIPS SITEPROP SLICE_X36Y112 SITE_TYPE SLICEL SITEPROP SLICE_X36Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y113 CLASS site SITEPROP SLICE_X36Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y113 IS_BONDED 0 SITEPROP SLICE_X36Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y113 IS_PAD 0 SITEPROP SLICE_X36Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y113 IS_RESERVED 0 SITEPROP SLICE_X36Y113 IS_TEST 0 SITEPROP SLICE_X36Y113 IS_USED 0 SITEPROP SLICE_X36Y113 MANUAL_ROUTING SITEPROP SLICE_X36Y113 NAME SLICE_X36Y113 SITEPROP SLICE_X36Y113 NUM_ARCS 138 SITEPROP SLICE_X36Y113 NUM_BELS 32 SITEPROP SLICE_X36Y113 NUM_INPUTS 32 SITEPROP SLICE_X36Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y113 NUM_PINS 45 SITEPROP SLICE_X36Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y113 PROHIBIT 0 SITEPROP SLICE_X36Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y113 RPM_X 101 SITEPROP SLICE_X36Y113 RPM_Y 226 SITEPROP SLICE_X36Y113 SITE_PIPS SITEPROP SLICE_X36Y113 SITE_TYPE SLICEL SITEPROP SLICE_X36Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y114 CLASS site SITEPROP SLICE_X36Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y114 IS_BONDED 0 SITEPROP SLICE_X36Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y114 IS_PAD 0 SITEPROP SLICE_X36Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y114 IS_RESERVED 0 SITEPROP SLICE_X36Y114 IS_TEST 0 SITEPROP SLICE_X36Y114 IS_USED 0 SITEPROP SLICE_X36Y114 MANUAL_ROUTING SITEPROP SLICE_X36Y114 NAME SLICE_X36Y114 SITEPROP SLICE_X36Y114 NUM_ARCS 138 SITEPROP SLICE_X36Y114 NUM_BELS 32 SITEPROP SLICE_X36Y114 NUM_INPUTS 32 SITEPROP SLICE_X36Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y114 NUM_PINS 45 SITEPROP SLICE_X36Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y114 PROHIBIT 0 SITEPROP SLICE_X36Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y114 RPM_X 101 SITEPROP SLICE_X36Y114 RPM_Y 228 SITEPROP SLICE_X36Y114 SITE_PIPS SITEPROP SLICE_X36Y114 SITE_TYPE SLICEL SITEPROP SLICE_X36Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y115 CLASS site SITEPROP SLICE_X36Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y115 IS_BONDED 0 SITEPROP SLICE_X36Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y115 IS_PAD 0 SITEPROP SLICE_X36Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y115 IS_RESERVED 0 SITEPROP SLICE_X36Y115 IS_TEST 0 SITEPROP SLICE_X36Y115 IS_USED 0 SITEPROP SLICE_X36Y115 MANUAL_ROUTING SITEPROP SLICE_X36Y115 NAME SLICE_X36Y115 SITEPROP SLICE_X36Y115 NUM_ARCS 138 SITEPROP SLICE_X36Y115 NUM_BELS 32 SITEPROP SLICE_X36Y115 NUM_INPUTS 32 SITEPROP SLICE_X36Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y115 NUM_PINS 45 SITEPROP SLICE_X36Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y115 PROHIBIT 0 SITEPROP SLICE_X36Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y115 RPM_X 101 SITEPROP SLICE_X36Y115 RPM_Y 230 SITEPROP SLICE_X36Y115 SITE_PIPS SITEPROP SLICE_X36Y115 SITE_TYPE SLICEL SITEPROP SLICE_X36Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y116 CLASS site SITEPROP SLICE_X36Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y116 IS_BONDED 0 SITEPROP SLICE_X36Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y116 IS_PAD 0 SITEPROP SLICE_X36Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y116 IS_RESERVED 0 SITEPROP SLICE_X36Y116 IS_TEST 0 SITEPROP SLICE_X36Y116 IS_USED 0 SITEPROP SLICE_X36Y116 MANUAL_ROUTING SITEPROP SLICE_X36Y116 NAME SLICE_X36Y116 SITEPROP SLICE_X36Y116 NUM_ARCS 138 SITEPROP SLICE_X36Y116 NUM_BELS 32 SITEPROP SLICE_X36Y116 NUM_INPUTS 32 SITEPROP SLICE_X36Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y116 NUM_PINS 45 SITEPROP SLICE_X36Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y116 PROHIBIT 0 SITEPROP SLICE_X36Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y116 RPM_X 101 SITEPROP SLICE_X36Y116 RPM_Y 232 SITEPROP SLICE_X36Y116 SITE_PIPS SITEPROP SLICE_X36Y116 SITE_TYPE SLICEL SITEPROP SLICE_X36Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y117 CLASS site SITEPROP SLICE_X36Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y117 IS_BONDED 0 SITEPROP SLICE_X36Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y117 IS_PAD 0 SITEPROP SLICE_X36Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y117 IS_RESERVED 0 SITEPROP SLICE_X36Y117 IS_TEST 0 SITEPROP SLICE_X36Y117 IS_USED 0 SITEPROP SLICE_X36Y117 MANUAL_ROUTING SITEPROP SLICE_X36Y117 NAME SLICE_X36Y117 SITEPROP SLICE_X36Y117 NUM_ARCS 138 SITEPROP SLICE_X36Y117 NUM_BELS 32 SITEPROP SLICE_X36Y117 NUM_INPUTS 32 SITEPROP SLICE_X36Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y117 NUM_PINS 45 SITEPROP SLICE_X36Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y117 PROHIBIT 0 SITEPROP SLICE_X36Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y117 RPM_X 101 SITEPROP SLICE_X36Y117 RPM_Y 234 SITEPROP SLICE_X36Y117 SITE_PIPS SITEPROP SLICE_X36Y117 SITE_TYPE SLICEL SITEPROP SLICE_X36Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y118 CLASS site SITEPROP SLICE_X36Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y118 IS_BONDED 0 SITEPROP SLICE_X36Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y118 IS_PAD 0 SITEPROP SLICE_X36Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y118 IS_RESERVED 0 SITEPROP SLICE_X36Y118 IS_TEST 0 SITEPROP SLICE_X36Y118 IS_USED 0 SITEPROP SLICE_X36Y118 MANUAL_ROUTING SITEPROP SLICE_X36Y118 NAME SLICE_X36Y118 SITEPROP SLICE_X36Y118 NUM_ARCS 138 SITEPROP SLICE_X36Y118 NUM_BELS 32 SITEPROP SLICE_X36Y118 NUM_INPUTS 32 SITEPROP SLICE_X36Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y118 NUM_PINS 45 SITEPROP SLICE_X36Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y118 PROHIBIT 0 SITEPROP SLICE_X36Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y118 RPM_X 101 SITEPROP SLICE_X36Y118 RPM_Y 236 SITEPROP SLICE_X36Y118 SITE_PIPS SITEPROP SLICE_X36Y118 SITE_TYPE SLICEL SITEPROP SLICE_X36Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y119 CLASS site SITEPROP SLICE_X36Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y119 IS_BONDED 0 SITEPROP SLICE_X36Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y119 IS_PAD 0 SITEPROP SLICE_X36Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y119 IS_RESERVED 0 SITEPROP SLICE_X36Y119 IS_TEST 0 SITEPROP SLICE_X36Y119 IS_USED 0 SITEPROP SLICE_X36Y119 MANUAL_ROUTING SITEPROP SLICE_X36Y119 NAME SLICE_X36Y119 SITEPROP SLICE_X36Y119 NUM_ARCS 138 SITEPROP SLICE_X36Y119 NUM_BELS 32 SITEPROP SLICE_X36Y119 NUM_INPUTS 32 SITEPROP SLICE_X36Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y119 NUM_PINS 45 SITEPROP SLICE_X36Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y119 PROHIBIT 0 SITEPROP SLICE_X36Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y119 RPM_X 101 SITEPROP SLICE_X36Y119 RPM_Y 238 SITEPROP SLICE_X36Y119 SITE_PIPS SITEPROP SLICE_X36Y119 SITE_TYPE SLICEL SITEPROP SLICE_X36Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y120 CLASS site SITEPROP SLICE_X36Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y120 IS_BONDED 0 SITEPROP SLICE_X36Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y120 IS_PAD 0 SITEPROP SLICE_X36Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y120 IS_RESERVED 0 SITEPROP SLICE_X36Y120 IS_TEST 0 SITEPROP SLICE_X36Y120 IS_USED 0 SITEPROP SLICE_X36Y120 MANUAL_ROUTING SITEPROP SLICE_X36Y120 NAME SLICE_X36Y120 SITEPROP SLICE_X36Y120 NUM_ARCS 138 SITEPROP SLICE_X36Y120 NUM_BELS 32 SITEPROP SLICE_X36Y120 NUM_INPUTS 32 SITEPROP SLICE_X36Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y120 NUM_PINS 45 SITEPROP SLICE_X36Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y120 PROHIBIT 0 SITEPROP SLICE_X36Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y120 RPM_X 101 SITEPROP SLICE_X36Y120 RPM_Y 240 SITEPROP SLICE_X36Y120 SITE_PIPS SITEPROP SLICE_X36Y120 SITE_TYPE SLICEL SITEPROP SLICE_X36Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y121 CLASS site SITEPROP SLICE_X36Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y121 IS_BONDED 0 SITEPROP SLICE_X36Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y121 IS_PAD 0 SITEPROP SLICE_X36Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y121 IS_RESERVED 0 SITEPROP SLICE_X36Y121 IS_TEST 0 SITEPROP SLICE_X36Y121 IS_USED 0 SITEPROP SLICE_X36Y121 MANUAL_ROUTING SITEPROP SLICE_X36Y121 NAME SLICE_X36Y121 SITEPROP SLICE_X36Y121 NUM_ARCS 138 SITEPROP SLICE_X36Y121 NUM_BELS 32 SITEPROP SLICE_X36Y121 NUM_INPUTS 32 SITEPROP SLICE_X36Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y121 NUM_PINS 45 SITEPROP SLICE_X36Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y121 PROHIBIT 0 SITEPROP SLICE_X36Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y121 RPM_X 101 SITEPROP SLICE_X36Y121 RPM_Y 242 SITEPROP SLICE_X36Y121 SITE_PIPS SITEPROP SLICE_X36Y121 SITE_TYPE SLICEL SITEPROP SLICE_X36Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y122 CLASS site SITEPROP SLICE_X36Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y122 IS_BONDED 0 SITEPROP SLICE_X36Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y122 IS_PAD 0 SITEPROP SLICE_X36Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y122 IS_RESERVED 0 SITEPROP SLICE_X36Y122 IS_TEST 0 SITEPROP SLICE_X36Y122 IS_USED 0 SITEPROP SLICE_X36Y122 MANUAL_ROUTING SITEPROP SLICE_X36Y122 NAME SLICE_X36Y122 SITEPROP SLICE_X36Y122 NUM_ARCS 138 SITEPROP SLICE_X36Y122 NUM_BELS 32 SITEPROP SLICE_X36Y122 NUM_INPUTS 32 SITEPROP SLICE_X36Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y122 NUM_PINS 45 SITEPROP SLICE_X36Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y122 PROHIBIT 0 SITEPROP SLICE_X36Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y122 RPM_X 101 SITEPROP SLICE_X36Y122 RPM_Y 244 SITEPROP SLICE_X36Y122 SITE_PIPS SITEPROP SLICE_X36Y122 SITE_TYPE SLICEL SITEPROP SLICE_X36Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y123 CLASS site SITEPROP SLICE_X36Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y123 IS_BONDED 0 SITEPROP SLICE_X36Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y123 IS_PAD 0 SITEPROP SLICE_X36Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y123 IS_RESERVED 0 SITEPROP SLICE_X36Y123 IS_TEST 0 SITEPROP SLICE_X36Y123 IS_USED 0 SITEPROP SLICE_X36Y123 MANUAL_ROUTING SITEPROP SLICE_X36Y123 NAME SLICE_X36Y123 SITEPROP SLICE_X36Y123 NUM_ARCS 138 SITEPROP SLICE_X36Y123 NUM_BELS 32 SITEPROP SLICE_X36Y123 NUM_INPUTS 32 SITEPROP SLICE_X36Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y123 NUM_PINS 45 SITEPROP SLICE_X36Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y123 PROHIBIT 0 SITEPROP SLICE_X36Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y123 RPM_X 101 SITEPROP SLICE_X36Y123 RPM_Y 246 SITEPROP SLICE_X36Y123 SITE_PIPS SITEPROP SLICE_X36Y123 SITE_TYPE SLICEL SITEPROP SLICE_X36Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y124 CLASS site SITEPROP SLICE_X36Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y124 IS_BONDED 0 SITEPROP SLICE_X36Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y124 IS_PAD 0 SITEPROP SLICE_X36Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y124 IS_RESERVED 0 SITEPROP SLICE_X36Y124 IS_TEST 0 SITEPROP SLICE_X36Y124 IS_USED 0 SITEPROP SLICE_X36Y124 MANUAL_ROUTING SITEPROP SLICE_X36Y124 NAME SLICE_X36Y124 SITEPROP SLICE_X36Y124 NUM_ARCS 138 SITEPROP SLICE_X36Y124 NUM_BELS 32 SITEPROP SLICE_X36Y124 NUM_INPUTS 32 SITEPROP SLICE_X36Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y124 NUM_PINS 45 SITEPROP SLICE_X36Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y124 PROHIBIT 0 SITEPROP SLICE_X36Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y124 RPM_X 101 SITEPROP SLICE_X36Y124 RPM_Y 248 SITEPROP SLICE_X36Y124 SITE_PIPS SITEPROP SLICE_X36Y124 SITE_TYPE SLICEL SITEPROP SLICE_X36Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y125 CLASS site SITEPROP SLICE_X36Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y125 IS_BONDED 0 SITEPROP SLICE_X36Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y125 IS_PAD 0 SITEPROP SLICE_X36Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y125 IS_RESERVED 0 SITEPROP SLICE_X36Y125 IS_TEST 0 SITEPROP SLICE_X36Y125 IS_USED 0 SITEPROP SLICE_X36Y125 MANUAL_ROUTING SITEPROP SLICE_X36Y125 NAME SLICE_X36Y125 SITEPROP SLICE_X36Y125 NUM_ARCS 138 SITEPROP SLICE_X36Y125 NUM_BELS 32 SITEPROP SLICE_X36Y125 NUM_INPUTS 32 SITEPROP SLICE_X36Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y125 NUM_PINS 45 SITEPROP SLICE_X36Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y125 PROHIBIT 0 SITEPROP SLICE_X36Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y125 RPM_X 101 SITEPROP SLICE_X36Y125 RPM_Y 250 SITEPROP SLICE_X36Y125 SITE_PIPS SITEPROP SLICE_X36Y125 SITE_TYPE SLICEL SITEPROP SLICE_X36Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y126 CLASS site SITEPROP SLICE_X36Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y126 IS_BONDED 0 SITEPROP SLICE_X36Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y126 IS_PAD 0 SITEPROP SLICE_X36Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y126 IS_RESERVED 0 SITEPROP SLICE_X36Y126 IS_TEST 0 SITEPROP SLICE_X36Y126 IS_USED 0 SITEPROP SLICE_X36Y126 MANUAL_ROUTING SITEPROP SLICE_X36Y126 NAME SLICE_X36Y126 SITEPROP SLICE_X36Y126 NUM_ARCS 138 SITEPROP SLICE_X36Y126 NUM_BELS 32 SITEPROP SLICE_X36Y126 NUM_INPUTS 32 SITEPROP SLICE_X36Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y126 NUM_PINS 45 SITEPROP SLICE_X36Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y126 PROHIBIT 0 SITEPROP SLICE_X36Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y126 RPM_X 101 SITEPROP SLICE_X36Y126 RPM_Y 252 SITEPROP SLICE_X36Y126 SITE_PIPS SITEPROP SLICE_X36Y126 SITE_TYPE SLICEL SITEPROP SLICE_X36Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y127 CLASS site SITEPROP SLICE_X36Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y127 IS_BONDED 0 SITEPROP SLICE_X36Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y127 IS_PAD 0 SITEPROP SLICE_X36Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y127 IS_RESERVED 0 SITEPROP SLICE_X36Y127 IS_TEST 0 SITEPROP SLICE_X36Y127 IS_USED 0 SITEPROP SLICE_X36Y127 MANUAL_ROUTING SITEPROP SLICE_X36Y127 NAME SLICE_X36Y127 SITEPROP SLICE_X36Y127 NUM_ARCS 138 SITEPROP SLICE_X36Y127 NUM_BELS 32 SITEPROP SLICE_X36Y127 NUM_INPUTS 32 SITEPROP SLICE_X36Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y127 NUM_PINS 45 SITEPROP SLICE_X36Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y127 PROHIBIT 0 SITEPROP SLICE_X36Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y127 RPM_X 101 SITEPROP SLICE_X36Y127 RPM_Y 254 SITEPROP SLICE_X36Y127 SITE_PIPS SITEPROP SLICE_X36Y127 SITE_TYPE SLICEL SITEPROP SLICE_X36Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y128 CLASS site SITEPROP SLICE_X36Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y128 IS_BONDED 0 SITEPROP SLICE_X36Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y128 IS_PAD 0 SITEPROP SLICE_X36Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y128 IS_RESERVED 0 SITEPROP SLICE_X36Y128 IS_TEST 0 SITEPROP SLICE_X36Y128 IS_USED 0 SITEPROP SLICE_X36Y128 MANUAL_ROUTING SITEPROP SLICE_X36Y128 NAME SLICE_X36Y128 SITEPROP SLICE_X36Y128 NUM_ARCS 138 SITEPROP SLICE_X36Y128 NUM_BELS 32 SITEPROP SLICE_X36Y128 NUM_INPUTS 32 SITEPROP SLICE_X36Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y128 NUM_PINS 45 SITEPROP SLICE_X36Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y128 PROHIBIT 0 SITEPROP SLICE_X36Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y128 RPM_X 101 SITEPROP SLICE_X36Y128 RPM_Y 256 SITEPROP SLICE_X36Y128 SITE_PIPS SITEPROP SLICE_X36Y128 SITE_TYPE SLICEL SITEPROP SLICE_X36Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y129 CLASS site SITEPROP SLICE_X36Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y129 IS_BONDED 0 SITEPROP SLICE_X36Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y129 IS_PAD 0 SITEPROP SLICE_X36Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y129 IS_RESERVED 0 SITEPROP SLICE_X36Y129 IS_TEST 0 SITEPROP SLICE_X36Y129 IS_USED 0 SITEPROP SLICE_X36Y129 MANUAL_ROUTING SITEPROP SLICE_X36Y129 NAME SLICE_X36Y129 SITEPROP SLICE_X36Y129 NUM_ARCS 138 SITEPROP SLICE_X36Y129 NUM_BELS 32 SITEPROP SLICE_X36Y129 NUM_INPUTS 32 SITEPROP SLICE_X36Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y129 NUM_PINS 45 SITEPROP SLICE_X36Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y129 PROHIBIT 0 SITEPROP SLICE_X36Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y129 RPM_X 101 SITEPROP SLICE_X36Y129 RPM_Y 258 SITEPROP SLICE_X36Y129 SITE_PIPS SITEPROP SLICE_X36Y129 SITE_TYPE SLICEL SITEPROP SLICE_X36Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y130 CLASS site SITEPROP SLICE_X36Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y130 IS_BONDED 0 SITEPROP SLICE_X36Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y130 IS_PAD 0 SITEPROP SLICE_X36Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y130 IS_RESERVED 0 SITEPROP SLICE_X36Y130 IS_TEST 0 SITEPROP SLICE_X36Y130 IS_USED 0 SITEPROP SLICE_X36Y130 MANUAL_ROUTING SITEPROP SLICE_X36Y130 NAME SLICE_X36Y130 SITEPROP SLICE_X36Y130 NUM_ARCS 138 SITEPROP SLICE_X36Y130 NUM_BELS 32 SITEPROP SLICE_X36Y130 NUM_INPUTS 32 SITEPROP SLICE_X36Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y130 NUM_PINS 45 SITEPROP SLICE_X36Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y130 PROHIBIT 0 SITEPROP SLICE_X36Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y130 RPM_X 101 SITEPROP SLICE_X36Y130 RPM_Y 260 SITEPROP SLICE_X36Y130 SITE_PIPS SITEPROP SLICE_X36Y130 SITE_TYPE SLICEL SITEPROP SLICE_X36Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y131 CLASS site SITEPROP SLICE_X36Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y131 IS_BONDED 0 SITEPROP SLICE_X36Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y131 IS_PAD 0 SITEPROP SLICE_X36Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y131 IS_RESERVED 0 SITEPROP SLICE_X36Y131 IS_TEST 0 SITEPROP SLICE_X36Y131 IS_USED 0 SITEPROP SLICE_X36Y131 MANUAL_ROUTING SITEPROP SLICE_X36Y131 NAME SLICE_X36Y131 SITEPROP SLICE_X36Y131 NUM_ARCS 138 SITEPROP SLICE_X36Y131 NUM_BELS 32 SITEPROP SLICE_X36Y131 NUM_INPUTS 32 SITEPROP SLICE_X36Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y131 NUM_PINS 45 SITEPROP SLICE_X36Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y131 PROHIBIT 0 SITEPROP SLICE_X36Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y131 RPM_X 101 SITEPROP SLICE_X36Y131 RPM_Y 262 SITEPROP SLICE_X36Y131 SITE_PIPS SITEPROP SLICE_X36Y131 SITE_TYPE SLICEL SITEPROP SLICE_X36Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y132 CLASS site SITEPROP SLICE_X36Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y132 IS_BONDED 0 SITEPROP SLICE_X36Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y132 IS_PAD 0 SITEPROP SLICE_X36Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y132 IS_RESERVED 0 SITEPROP SLICE_X36Y132 IS_TEST 0 SITEPROP SLICE_X36Y132 IS_USED 0 SITEPROP SLICE_X36Y132 MANUAL_ROUTING SITEPROP SLICE_X36Y132 NAME SLICE_X36Y132 SITEPROP SLICE_X36Y132 NUM_ARCS 138 SITEPROP SLICE_X36Y132 NUM_BELS 32 SITEPROP SLICE_X36Y132 NUM_INPUTS 32 SITEPROP SLICE_X36Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y132 NUM_PINS 45 SITEPROP SLICE_X36Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y132 PROHIBIT 0 SITEPROP SLICE_X36Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y132 RPM_X 101 SITEPROP SLICE_X36Y132 RPM_Y 264 SITEPROP SLICE_X36Y132 SITE_PIPS SITEPROP SLICE_X36Y132 SITE_TYPE SLICEL SITEPROP SLICE_X36Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y133 CLASS site SITEPROP SLICE_X36Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y133 IS_BONDED 0 SITEPROP SLICE_X36Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y133 IS_PAD 0 SITEPROP SLICE_X36Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y133 IS_RESERVED 0 SITEPROP SLICE_X36Y133 IS_TEST 0 SITEPROP SLICE_X36Y133 IS_USED 0 SITEPROP SLICE_X36Y133 MANUAL_ROUTING SITEPROP SLICE_X36Y133 NAME SLICE_X36Y133 SITEPROP SLICE_X36Y133 NUM_ARCS 138 SITEPROP SLICE_X36Y133 NUM_BELS 32 SITEPROP SLICE_X36Y133 NUM_INPUTS 32 SITEPROP SLICE_X36Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y133 NUM_PINS 45 SITEPROP SLICE_X36Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y133 PROHIBIT 0 SITEPROP SLICE_X36Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y133 RPM_X 101 SITEPROP SLICE_X36Y133 RPM_Y 266 SITEPROP SLICE_X36Y133 SITE_PIPS SITEPROP SLICE_X36Y133 SITE_TYPE SLICEL SITEPROP SLICE_X36Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y134 CLASS site SITEPROP SLICE_X36Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y134 IS_BONDED 0 SITEPROP SLICE_X36Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y134 IS_PAD 0 SITEPROP SLICE_X36Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y134 IS_RESERVED 0 SITEPROP SLICE_X36Y134 IS_TEST 0 SITEPROP SLICE_X36Y134 IS_USED 0 SITEPROP SLICE_X36Y134 MANUAL_ROUTING SITEPROP SLICE_X36Y134 NAME SLICE_X36Y134 SITEPROP SLICE_X36Y134 NUM_ARCS 138 SITEPROP SLICE_X36Y134 NUM_BELS 32 SITEPROP SLICE_X36Y134 NUM_INPUTS 32 SITEPROP SLICE_X36Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y134 NUM_PINS 45 SITEPROP SLICE_X36Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y134 PROHIBIT 0 SITEPROP SLICE_X36Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y134 RPM_X 101 SITEPROP SLICE_X36Y134 RPM_Y 268 SITEPROP SLICE_X36Y134 SITE_PIPS SITEPROP SLICE_X36Y134 SITE_TYPE SLICEL SITEPROP SLICE_X36Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y135 CLASS site SITEPROP SLICE_X36Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y135 IS_BONDED 0 SITEPROP SLICE_X36Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y135 IS_PAD 0 SITEPROP SLICE_X36Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y135 IS_RESERVED 0 SITEPROP SLICE_X36Y135 IS_TEST 0 SITEPROP SLICE_X36Y135 IS_USED 0 SITEPROP SLICE_X36Y135 MANUAL_ROUTING SITEPROP SLICE_X36Y135 NAME SLICE_X36Y135 SITEPROP SLICE_X36Y135 NUM_ARCS 138 SITEPROP SLICE_X36Y135 NUM_BELS 32 SITEPROP SLICE_X36Y135 NUM_INPUTS 32 SITEPROP SLICE_X36Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y135 NUM_PINS 45 SITEPROP SLICE_X36Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y135 PROHIBIT 0 SITEPROP SLICE_X36Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y135 RPM_X 101 SITEPROP SLICE_X36Y135 RPM_Y 270 SITEPROP SLICE_X36Y135 SITE_PIPS SITEPROP SLICE_X36Y135 SITE_TYPE SLICEL SITEPROP SLICE_X36Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y136 CLASS site SITEPROP SLICE_X36Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y136 IS_BONDED 0 SITEPROP SLICE_X36Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y136 IS_PAD 0 SITEPROP SLICE_X36Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y136 IS_RESERVED 0 SITEPROP SLICE_X36Y136 IS_TEST 0 SITEPROP SLICE_X36Y136 IS_USED 0 SITEPROP SLICE_X36Y136 MANUAL_ROUTING SITEPROP SLICE_X36Y136 NAME SLICE_X36Y136 SITEPROP SLICE_X36Y136 NUM_ARCS 138 SITEPROP SLICE_X36Y136 NUM_BELS 32 SITEPROP SLICE_X36Y136 NUM_INPUTS 32 SITEPROP SLICE_X36Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y136 NUM_PINS 45 SITEPROP SLICE_X36Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y136 PROHIBIT 0 SITEPROP SLICE_X36Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y136 RPM_X 101 SITEPROP SLICE_X36Y136 RPM_Y 272 SITEPROP SLICE_X36Y136 SITE_PIPS SITEPROP SLICE_X36Y136 SITE_TYPE SLICEL SITEPROP SLICE_X36Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y137 CLASS site SITEPROP SLICE_X36Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y137 IS_BONDED 0 SITEPROP SLICE_X36Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y137 IS_PAD 0 SITEPROP SLICE_X36Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y137 IS_RESERVED 0 SITEPROP SLICE_X36Y137 IS_TEST 0 SITEPROP SLICE_X36Y137 IS_USED 0 SITEPROP SLICE_X36Y137 MANUAL_ROUTING SITEPROP SLICE_X36Y137 NAME SLICE_X36Y137 SITEPROP SLICE_X36Y137 NUM_ARCS 138 SITEPROP SLICE_X36Y137 NUM_BELS 32 SITEPROP SLICE_X36Y137 NUM_INPUTS 32 SITEPROP SLICE_X36Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y137 NUM_PINS 45 SITEPROP SLICE_X36Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y137 PROHIBIT 0 SITEPROP SLICE_X36Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y137 RPM_X 101 SITEPROP SLICE_X36Y137 RPM_Y 274 SITEPROP SLICE_X36Y137 SITE_PIPS SITEPROP SLICE_X36Y137 SITE_TYPE SLICEL SITEPROP SLICE_X36Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y138 CLASS site SITEPROP SLICE_X36Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y138 IS_BONDED 0 SITEPROP SLICE_X36Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y138 IS_PAD 0 SITEPROP SLICE_X36Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y138 IS_RESERVED 0 SITEPROP SLICE_X36Y138 IS_TEST 0 SITEPROP SLICE_X36Y138 IS_USED 0 SITEPROP SLICE_X36Y138 MANUAL_ROUTING SITEPROP SLICE_X36Y138 NAME SLICE_X36Y138 SITEPROP SLICE_X36Y138 NUM_ARCS 138 SITEPROP SLICE_X36Y138 NUM_BELS 32 SITEPROP SLICE_X36Y138 NUM_INPUTS 32 SITEPROP SLICE_X36Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y138 NUM_PINS 45 SITEPROP SLICE_X36Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y138 PROHIBIT 0 SITEPROP SLICE_X36Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y138 RPM_X 101 SITEPROP SLICE_X36Y138 RPM_Y 276 SITEPROP SLICE_X36Y138 SITE_PIPS SITEPROP SLICE_X36Y138 SITE_TYPE SLICEL SITEPROP SLICE_X36Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y139 CLASS site SITEPROP SLICE_X36Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y139 IS_BONDED 0 SITEPROP SLICE_X36Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y139 IS_PAD 0 SITEPROP SLICE_X36Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y139 IS_RESERVED 0 SITEPROP SLICE_X36Y139 IS_TEST 0 SITEPROP SLICE_X36Y139 IS_USED 0 SITEPROP SLICE_X36Y139 MANUAL_ROUTING SITEPROP SLICE_X36Y139 NAME SLICE_X36Y139 SITEPROP SLICE_X36Y139 NUM_ARCS 138 SITEPROP SLICE_X36Y139 NUM_BELS 32 SITEPROP SLICE_X36Y139 NUM_INPUTS 32 SITEPROP SLICE_X36Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y139 NUM_PINS 45 SITEPROP SLICE_X36Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y139 PROHIBIT 0 SITEPROP SLICE_X36Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y139 RPM_X 101 SITEPROP SLICE_X36Y139 RPM_Y 278 SITEPROP SLICE_X36Y139 SITE_PIPS SITEPROP SLICE_X36Y139 SITE_TYPE SLICEL SITEPROP SLICE_X36Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y140 CLASS site SITEPROP SLICE_X36Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y140 IS_BONDED 0 SITEPROP SLICE_X36Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y140 IS_PAD 0 SITEPROP SLICE_X36Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y140 IS_RESERVED 0 SITEPROP SLICE_X36Y140 IS_TEST 0 SITEPROP SLICE_X36Y140 IS_USED 0 SITEPROP SLICE_X36Y140 MANUAL_ROUTING SITEPROP SLICE_X36Y140 NAME SLICE_X36Y140 SITEPROP SLICE_X36Y140 NUM_ARCS 138 SITEPROP SLICE_X36Y140 NUM_BELS 32 SITEPROP SLICE_X36Y140 NUM_INPUTS 32 SITEPROP SLICE_X36Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y140 NUM_PINS 45 SITEPROP SLICE_X36Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y140 PROHIBIT 0 SITEPROP SLICE_X36Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y140 RPM_X 101 SITEPROP SLICE_X36Y140 RPM_Y 280 SITEPROP SLICE_X36Y140 SITE_PIPS SITEPROP SLICE_X36Y140 SITE_TYPE SLICEL SITEPROP SLICE_X36Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y141 CLASS site SITEPROP SLICE_X36Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y141 IS_BONDED 0 SITEPROP SLICE_X36Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y141 IS_PAD 0 SITEPROP SLICE_X36Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y141 IS_RESERVED 0 SITEPROP SLICE_X36Y141 IS_TEST 0 SITEPROP SLICE_X36Y141 IS_USED 0 SITEPROP SLICE_X36Y141 MANUAL_ROUTING SITEPROP SLICE_X36Y141 NAME SLICE_X36Y141 SITEPROP SLICE_X36Y141 NUM_ARCS 138 SITEPROP SLICE_X36Y141 NUM_BELS 32 SITEPROP SLICE_X36Y141 NUM_INPUTS 32 SITEPROP SLICE_X36Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y141 NUM_PINS 45 SITEPROP SLICE_X36Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y141 PROHIBIT 0 SITEPROP SLICE_X36Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y141 RPM_X 101 SITEPROP SLICE_X36Y141 RPM_Y 282 SITEPROP SLICE_X36Y141 SITE_PIPS SITEPROP SLICE_X36Y141 SITE_TYPE SLICEL SITEPROP SLICE_X36Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y142 CLASS site SITEPROP SLICE_X36Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y142 IS_BONDED 0 SITEPROP SLICE_X36Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y142 IS_PAD 0 SITEPROP SLICE_X36Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y142 IS_RESERVED 0 SITEPROP SLICE_X36Y142 IS_TEST 0 SITEPROP SLICE_X36Y142 IS_USED 0 SITEPROP SLICE_X36Y142 MANUAL_ROUTING SITEPROP SLICE_X36Y142 NAME SLICE_X36Y142 SITEPROP SLICE_X36Y142 NUM_ARCS 138 SITEPROP SLICE_X36Y142 NUM_BELS 32 SITEPROP SLICE_X36Y142 NUM_INPUTS 32 SITEPROP SLICE_X36Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y142 NUM_PINS 45 SITEPROP SLICE_X36Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y142 PROHIBIT 0 SITEPROP SLICE_X36Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y142 RPM_X 101 SITEPROP SLICE_X36Y142 RPM_Y 284 SITEPROP SLICE_X36Y142 SITE_PIPS SITEPROP SLICE_X36Y142 SITE_TYPE SLICEL SITEPROP SLICE_X36Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y143 CLASS site SITEPROP SLICE_X36Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y143 IS_BONDED 0 SITEPROP SLICE_X36Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y143 IS_PAD 0 SITEPROP SLICE_X36Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y143 IS_RESERVED 0 SITEPROP SLICE_X36Y143 IS_TEST 0 SITEPROP SLICE_X36Y143 IS_USED 0 SITEPROP SLICE_X36Y143 MANUAL_ROUTING SITEPROP SLICE_X36Y143 NAME SLICE_X36Y143 SITEPROP SLICE_X36Y143 NUM_ARCS 138 SITEPROP SLICE_X36Y143 NUM_BELS 32 SITEPROP SLICE_X36Y143 NUM_INPUTS 32 SITEPROP SLICE_X36Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y143 NUM_PINS 45 SITEPROP SLICE_X36Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y143 PROHIBIT 0 SITEPROP SLICE_X36Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y143 RPM_X 101 SITEPROP SLICE_X36Y143 RPM_Y 286 SITEPROP SLICE_X36Y143 SITE_PIPS SITEPROP SLICE_X36Y143 SITE_TYPE SLICEL SITEPROP SLICE_X36Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y144 CLASS site SITEPROP SLICE_X36Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y144 IS_BONDED 0 SITEPROP SLICE_X36Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y144 IS_PAD 0 SITEPROP SLICE_X36Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y144 IS_RESERVED 0 SITEPROP SLICE_X36Y144 IS_TEST 0 SITEPROP SLICE_X36Y144 IS_USED 0 SITEPROP SLICE_X36Y144 MANUAL_ROUTING SITEPROP SLICE_X36Y144 NAME SLICE_X36Y144 SITEPROP SLICE_X36Y144 NUM_ARCS 138 SITEPROP SLICE_X36Y144 NUM_BELS 32 SITEPROP SLICE_X36Y144 NUM_INPUTS 32 SITEPROP SLICE_X36Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y144 NUM_PINS 45 SITEPROP SLICE_X36Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y144 PROHIBIT 0 SITEPROP SLICE_X36Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y144 RPM_X 101 SITEPROP SLICE_X36Y144 RPM_Y 288 SITEPROP SLICE_X36Y144 SITE_PIPS SITEPROP SLICE_X36Y144 SITE_TYPE SLICEL SITEPROP SLICE_X36Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y145 CLASS site SITEPROP SLICE_X36Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y145 IS_BONDED 0 SITEPROP SLICE_X36Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y145 IS_PAD 0 SITEPROP SLICE_X36Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y145 IS_RESERVED 0 SITEPROP SLICE_X36Y145 IS_TEST 0 SITEPROP SLICE_X36Y145 IS_USED 0 SITEPROP SLICE_X36Y145 MANUAL_ROUTING SITEPROP SLICE_X36Y145 NAME SLICE_X36Y145 SITEPROP SLICE_X36Y145 NUM_ARCS 138 SITEPROP SLICE_X36Y145 NUM_BELS 32 SITEPROP SLICE_X36Y145 NUM_INPUTS 32 SITEPROP SLICE_X36Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y145 NUM_PINS 45 SITEPROP SLICE_X36Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y145 PROHIBIT 0 SITEPROP SLICE_X36Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y145 RPM_X 101 SITEPROP SLICE_X36Y145 RPM_Y 290 SITEPROP SLICE_X36Y145 SITE_PIPS SITEPROP SLICE_X36Y145 SITE_TYPE SLICEL SITEPROP SLICE_X36Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y146 CLASS site SITEPROP SLICE_X36Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y146 IS_BONDED 0 SITEPROP SLICE_X36Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y146 IS_PAD 0 SITEPROP SLICE_X36Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y146 IS_RESERVED 0 SITEPROP SLICE_X36Y146 IS_TEST 0 SITEPROP SLICE_X36Y146 IS_USED 0 SITEPROP SLICE_X36Y146 MANUAL_ROUTING SITEPROP SLICE_X36Y146 NAME SLICE_X36Y146 SITEPROP SLICE_X36Y146 NUM_ARCS 138 SITEPROP SLICE_X36Y146 NUM_BELS 32 SITEPROP SLICE_X36Y146 NUM_INPUTS 32 SITEPROP SLICE_X36Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y146 NUM_PINS 45 SITEPROP SLICE_X36Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y146 PROHIBIT 0 SITEPROP SLICE_X36Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y146 RPM_X 101 SITEPROP SLICE_X36Y146 RPM_Y 292 SITEPROP SLICE_X36Y146 SITE_PIPS SITEPROP SLICE_X36Y146 SITE_TYPE SLICEL SITEPROP SLICE_X36Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y147 CLASS site SITEPROP SLICE_X36Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y147 IS_BONDED 0 SITEPROP SLICE_X36Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y147 IS_PAD 0 SITEPROP SLICE_X36Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y147 IS_RESERVED 0 SITEPROP SLICE_X36Y147 IS_TEST 0 SITEPROP SLICE_X36Y147 IS_USED 0 SITEPROP SLICE_X36Y147 MANUAL_ROUTING SITEPROP SLICE_X36Y147 NAME SLICE_X36Y147 SITEPROP SLICE_X36Y147 NUM_ARCS 138 SITEPROP SLICE_X36Y147 NUM_BELS 32 SITEPROP SLICE_X36Y147 NUM_INPUTS 32 SITEPROP SLICE_X36Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y147 NUM_PINS 45 SITEPROP SLICE_X36Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y147 PROHIBIT 0 SITEPROP SLICE_X36Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y147 RPM_X 101 SITEPROP SLICE_X36Y147 RPM_Y 294 SITEPROP SLICE_X36Y147 SITE_PIPS SITEPROP SLICE_X36Y147 SITE_TYPE SLICEL SITEPROP SLICE_X36Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y148 CLASS site SITEPROP SLICE_X36Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y148 IS_BONDED 0 SITEPROP SLICE_X36Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y148 IS_PAD 0 SITEPROP SLICE_X36Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y148 IS_RESERVED 0 SITEPROP SLICE_X36Y148 IS_TEST 0 SITEPROP SLICE_X36Y148 IS_USED 0 SITEPROP SLICE_X36Y148 MANUAL_ROUTING SITEPROP SLICE_X36Y148 NAME SLICE_X36Y148 SITEPROP SLICE_X36Y148 NUM_ARCS 138 SITEPROP SLICE_X36Y148 NUM_BELS 32 SITEPROP SLICE_X36Y148 NUM_INPUTS 32 SITEPROP SLICE_X36Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y148 NUM_PINS 45 SITEPROP SLICE_X36Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y148 PROHIBIT 0 SITEPROP SLICE_X36Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y148 RPM_X 101 SITEPROP SLICE_X36Y148 RPM_Y 296 SITEPROP SLICE_X36Y148 SITE_PIPS SITEPROP SLICE_X36Y148 SITE_TYPE SLICEL SITEPROP SLICE_X36Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X36Y149 CLASS site SITEPROP SLICE_X36Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X36Y149 IS_BONDED 0 SITEPROP SLICE_X36Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X36Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y149 IS_PAD 0 SITEPROP SLICE_X36Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X36Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X36Y149 IS_RESERVED 0 SITEPROP SLICE_X36Y149 IS_TEST 0 SITEPROP SLICE_X36Y149 IS_USED 0 SITEPROP SLICE_X36Y149 MANUAL_ROUTING SITEPROP SLICE_X36Y149 NAME SLICE_X36Y149 SITEPROP SLICE_X36Y149 NUM_ARCS 138 SITEPROP SLICE_X36Y149 NUM_BELS 32 SITEPROP SLICE_X36Y149 NUM_INPUTS 32 SITEPROP SLICE_X36Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X36Y149 NUM_PINS 45 SITEPROP SLICE_X36Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X36Y149 PROHIBIT 0 SITEPROP SLICE_X36Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X36Y149 RPM_X 101 SITEPROP SLICE_X36Y149 RPM_Y 298 SITEPROP SLICE_X36Y149 SITE_PIPS SITEPROP SLICE_X36Y149 SITE_TYPE SLICEL SITEPROP SLICE_X37Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y0 CLASS site SITEPROP SLICE_X37Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y0 IS_BONDED 0 SITEPROP SLICE_X37Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y0 IS_PAD 0 SITEPROP SLICE_X37Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y0 IS_RESERVED 0 SITEPROP SLICE_X37Y0 IS_TEST 0 SITEPROP SLICE_X37Y0 IS_USED 0 SITEPROP SLICE_X37Y0 MANUAL_ROUTING SITEPROP SLICE_X37Y0 NAME SLICE_X37Y0 SITEPROP SLICE_X37Y0 NUM_ARCS 138 SITEPROP SLICE_X37Y0 NUM_BELS 32 SITEPROP SLICE_X37Y0 NUM_INPUTS 32 SITEPROP SLICE_X37Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y0 NUM_PINS 45 SITEPROP SLICE_X37Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y0 PROHIBIT 0 SITEPROP SLICE_X37Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y0 RPM_X 103 SITEPROP SLICE_X37Y0 RPM_Y 0 SITEPROP SLICE_X37Y0 SITE_PIPS SITEPROP SLICE_X37Y0 SITE_TYPE SLICEL SITEPROP SLICE_X37Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y1 CLASS site SITEPROP SLICE_X37Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y1 IS_BONDED 0 SITEPROP SLICE_X37Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y1 IS_PAD 0 SITEPROP SLICE_X37Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y1 IS_RESERVED 0 SITEPROP SLICE_X37Y1 IS_TEST 0 SITEPROP SLICE_X37Y1 IS_USED 0 SITEPROP SLICE_X37Y1 MANUAL_ROUTING SITEPROP SLICE_X37Y1 NAME SLICE_X37Y1 SITEPROP SLICE_X37Y1 NUM_ARCS 138 SITEPROP SLICE_X37Y1 NUM_BELS 32 SITEPROP SLICE_X37Y1 NUM_INPUTS 32 SITEPROP SLICE_X37Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y1 NUM_PINS 45 SITEPROP SLICE_X37Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y1 PROHIBIT 0 SITEPROP SLICE_X37Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y1 RPM_X 103 SITEPROP SLICE_X37Y1 RPM_Y 2 SITEPROP SLICE_X37Y1 SITE_PIPS SITEPROP SLICE_X37Y1 SITE_TYPE SLICEL SITEPROP SLICE_X37Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y2 CLASS site SITEPROP SLICE_X37Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y2 IS_BONDED 0 SITEPROP SLICE_X37Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y2 IS_PAD 0 SITEPROP SLICE_X37Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y2 IS_RESERVED 0 SITEPROP SLICE_X37Y2 IS_TEST 0 SITEPROP SLICE_X37Y2 IS_USED 0 SITEPROP SLICE_X37Y2 MANUAL_ROUTING SITEPROP SLICE_X37Y2 NAME SLICE_X37Y2 SITEPROP SLICE_X37Y2 NUM_ARCS 138 SITEPROP SLICE_X37Y2 NUM_BELS 32 SITEPROP SLICE_X37Y2 NUM_INPUTS 32 SITEPROP SLICE_X37Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y2 NUM_PINS 45 SITEPROP SLICE_X37Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y2 PROHIBIT 0 SITEPROP SLICE_X37Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y2 RPM_X 103 SITEPROP SLICE_X37Y2 RPM_Y 4 SITEPROP SLICE_X37Y2 SITE_PIPS SITEPROP SLICE_X37Y2 SITE_TYPE SLICEL SITEPROP SLICE_X37Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y3 CLASS site SITEPROP SLICE_X37Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y3 IS_BONDED 0 SITEPROP SLICE_X37Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y3 IS_PAD 0 SITEPROP SLICE_X37Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y3 IS_RESERVED 0 SITEPROP SLICE_X37Y3 IS_TEST 0 SITEPROP SLICE_X37Y3 IS_USED 0 SITEPROP SLICE_X37Y3 MANUAL_ROUTING SITEPROP SLICE_X37Y3 NAME SLICE_X37Y3 SITEPROP SLICE_X37Y3 NUM_ARCS 138 SITEPROP SLICE_X37Y3 NUM_BELS 32 SITEPROP SLICE_X37Y3 NUM_INPUTS 32 SITEPROP SLICE_X37Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y3 NUM_PINS 45 SITEPROP SLICE_X37Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y3 PROHIBIT 0 SITEPROP SLICE_X37Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y3 RPM_X 103 SITEPROP SLICE_X37Y3 RPM_Y 6 SITEPROP SLICE_X37Y3 SITE_PIPS SITEPROP SLICE_X37Y3 SITE_TYPE SLICEL SITEPROP SLICE_X37Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y4 CLASS site SITEPROP SLICE_X37Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y4 IS_BONDED 0 SITEPROP SLICE_X37Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y4 IS_PAD 0 SITEPROP SLICE_X37Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y4 IS_RESERVED 0 SITEPROP SLICE_X37Y4 IS_TEST 0 SITEPROP SLICE_X37Y4 IS_USED 0 SITEPROP SLICE_X37Y4 MANUAL_ROUTING SITEPROP SLICE_X37Y4 NAME SLICE_X37Y4 SITEPROP SLICE_X37Y4 NUM_ARCS 138 SITEPROP SLICE_X37Y4 NUM_BELS 32 SITEPROP SLICE_X37Y4 NUM_INPUTS 32 SITEPROP SLICE_X37Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y4 NUM_PINS 45 SITEPROP SLICE_X37Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y4 PROHIBIT 0 SITEPROP SLICE_X37Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y4 RPM_X 103 SITEPROP SLICE_X37Y4 RPM_Y 8 SITEPROP SLICE_X37Y4 SITE_PIPS SITEPROP SLICE_X37Y4 SITE_TYPE SLICEL SITEPROP SLICE_X37Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y5 CLASS site SITEPROP SLICE_X37Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y5 IS_BONDED 0 SITEPROP SLICE_X37Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y5 IS_PAD 0 SITEPROP SLICE_X37Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y5 IS_RESERVED 0 SITEPROP SLICE_X37Y5 IS_TEST 0 SITEPROP SLICE_X37Y5 IS_USED 0 SITEPROP SLICE_X37Y5 MANUAL_ROUTING SITEPROP SLICE_X37Y5 NAME SLICE_X37Y5 SITEPROP SLICE_X37Y5 NUM_ARCS 138 SITEPROP SLICE_X37Y5 NUM_BELS 32 SITEPROP SLICE_X37Y5 NUM_INPUTS 32 SITEPROP SLICE_X37Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y5 NUM_PINS 45 SITEPROP SLICE_X37Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y5 PROHIBIT 0 SITEPROP SLICE_X37Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y5 RPM_X 103 SITEPROP SLICE_X37Y5 RPM_Y 10 SITEPROP SLICE_X37Y5 SITE_PIPS SITEPROP SLICE_X37Y5 SITE_TYPE SLICEL SITEPROP SLICE_X37Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y6 CLASS site SITEPROP SLICE_X37Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y6 IS_BONDED 0 SITEPROP SLICE_X37Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y6 IS_PAD 0 SITEPROP SLICE_X37Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y6 IS_RESERVED 0 SITEPROP SLICE_X37Y6 IS_TEST 0 SITEPROP SLICE_X37Y6 IS_USED 0 SITEPROP SLICE_X37Y6 MANUAL_ROUTING SITEPROP SLICE_X37Y6 NAME SLICE_X37Y6 SITEPROP SLICE_X37Y6 NUM_ARCS 138 SITEPROP SLICE_X37Y6 NUM_BELS 32 SITEPROP SLICE_X37Y6 NUM_INPUTS 32 SITEPROP SLICE_X37Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y6 NUM_PINS 45 SITEPROP SLICE_X37Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y6 PROHIBIT 0 SITEPROP SLICE_X37Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y6 RPM_X 103 SITEPROP SLICE_X37Y6 RPM_Y 12 SITEPROP SLICE_X37Y6 SITE_PIPS SITEPROP SLICE_X37Y6 SITE_TYPE SLICEL SITEPROP SLICE_X37Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y7 CLASS site SITEPROP SLICE_X37Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y7 IS_BONDED 0 SITEPROP SLICE_X37Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y7 IS_PAD 0 SITEPROP SLICE_X37Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y7 IS_RESERVED 0 SITEPROP SLICE_X37Y7 IS_TEST 0 SITEPROP SLICE_X37Y7 IS_USED 0 SITEPROP SLICE_X37Y7 MANUAL_ROUTING SITEPROP SLICE_X37Y7 NAME SLICE_X37Y7 SITEPROP SLICE_X37Y7 NUM_ARCS 138 SITEPROP SLICE_X37Y7 NUM_BELS 32 SITEPROP SLICE_X37Y7 NUM_INPUTS 32 SITEPROP SLICE_X37Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y7 NUM_PINS 45 SITEPROP SLICE_X37Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y7 PROHIBIT 0 SITEPROP SLICE_X37Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y7 RPM_X 103 SITEPROP SLICE_X37Y7 RPM_Y 14 SITEPROP SLICE_X37Y7 SITE_PIPS SITEPROP SLICE_X37Y7 SITE_TYPE SLICEL SITEPROP SLICE_X37Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y8 CLASS site SITEPROP SLICE_X37Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y8 IS_BONDED 0 SITEPROP SLICE_X37Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y8 IS_PAD 0 SITEPROP SLICE_X37Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y8 IS_RESERVED 0 SITEPROP SLICE_X37Y8 IS_TEST 0 SITEPROP SLICE_X37Y8 IS_USED 0 SITEPROP SLICE_X37Y8 MANUAL_ROUTING SITEPROP SLICE_X37Y8 NAME SLICE_X37Y8 SITEPROP SLICE_X37Y8 NUM_ARCS 138 SITEPROP SLICE_X37Y8 NUM_BELS 32 SITEPROP SLICE_X37Y8 NUM_INPUTS 32 SITEPROP SLICE_X37Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y8 NUM_PINS 45 SITEPROP SLICE_X37Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y8 PROHIBIT 0 SITEPROP SLICE_X37Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y8 RPM_X 103 SITEPROP SLICE_X37Y8 RPM_Y 16 SITEPROP SLICE_X37Y8 SITE_PIPS SITEPROP SLICE_X37Y8 SITE_TYPE SLICEL SITEPROP SLICE_X37Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y9 CLASS site SITEPROP SLICE_X37Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y9 IS_BONDED 0 SITEPROP SLICE_X37Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y9 IS_PAD 0 SITEPROP SLICE_X37Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y9 IS_RESERVED 0 SITEPROP SLICE_X37Y9 IS_TEST 0 SITEPROP SLICE_X37Y9 IS_USED 0 SITEPROP SLICE_X37Y9 MANUAL_ROUTING SITEPROP SLICE_X37Y9 NAME SLICE_X37Y9 SITEPROP SLICE_X37Y9 NUM_ARCS 138 SITEPROP SLICE_X37Y9 NUM_BELS 32 SITEPROP SLICE_X37Y9 NUM_INPUTS 32 SITEPROP SLICE_X37Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y9 NUM_PINS 45 SITEPROP SLICE_X37Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y9 PROHIBIT 0 SITEPROP SLICE_X37Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y9 RPM_X 103 SITEPROP SLICE_X37Y9 RPM_Y 18 SITEPROP SLICE_X37Y9 SITE_PIPS SITEPROP SLICE_X37Y9 SITE_TYPE SLICEL SITEPROP SLICE_X37Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y10 CLASS site SITEPROP SLICE_X37Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y10 IS_BONDED 0 SITEPROP SLICE_X37Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y10 IS_PAD 0 SITEPROP SLICE_X37Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y10 IS_RESERVED 0 SITEPROP SLICE_X37Y10 IS_TEST 0 SITEPROP SLICE_X37Y10 IS_USED 0 SITEPROP SLICE_X37Y10 MANUAL_ROUTING SITEPROP SLICE_X37Y10 NAME SLICE_X37Y10 SITEPROP SLICE_X37Y10 NUM_ARCS 138 SITEPROP SLICE_X37Y10 NUM_BELS 32 SITEPROP SLICE_X37Y10 NUM_INPUTS 32 SITEPROP SLICE_X37Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y10 NUM_PINS 45 SITEPROP SLICE_X37Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y10 PROHIBIT 0 SITEPROP SLICE_X37Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y10 RPM_X 103 SITEPROP SLICE_X37Y10 RPM_Y 20 SITEPROP SLICE_X37Y10 SITE_PIPS SITEPROP SLICE_X37Y10 SITE_TYPE SLICEL SITEPROP SLICE_X37Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y11 CLASS site SITEPROP SLICE_X37Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y11 IS_BONDED 0 SITEPROP SLICE_X37Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y11 IS_PAD 0 SITEPROP SLICE_X37Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y11 IS_RESERVED 0 SITEPROP SLICE_X37Y11 IS_TEST 0 SITEPROP SLICE_X37Y11 IS_USED 0 SITEPROP SLICE_X37Y11 MANUAL_ROUTING SITEPROP SLICE_X37Y11 NAME SLICE_X37Y11 SITEPROP SLICE_X37Y11 NUM_ARCS 138 SITEPROP SLICE_X37Y11 NUM_BELS 32 SITEPROP SLICE_X37Y11 NUM_INPUTS 32 SITEPROP SLICE_X37Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y11 NUM_PINS 45 SITEPROP SLICE_X37Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y11 PROHIBIT 0 SITEPROP SLICE_X37Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y11 RPM_X 103 SITEPROP SLICE_X37Y11 RPM_Y 22 SITEPROP SLICE_X37Y11 SITE_PIPS SITEPROP SLICE_X37Y11 SITE_TYPE SLICEL SITEPROP SLICE_X37Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y12 CLASS site SITEPROP SLICE_X37Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y12 IS_BONDED 0 SITEPROP SLICE_X37Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y12 IS_PAD 0 SITEPROP SLICE_X37Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y12 IS_RESERVED 0 SITEPROP SLICE_X37Y12 IS_TEST 0 SITEPROP SLICE_X37Y12 IS_USED 0 SITEPROP SLICE_X37Y12 MANUAL_ROUTING SITEPROP SLICE_X37Y12 NAME SLICE_X37Y12 SITEPROP SLICE_X37Y12 NUM_ARCS 138 SITEPROP SLICE_X37Y12 NUM_BELS 32 SITEPROP SLICE_X37Y12 NUM_INPUTS 32 SITEPROP SLICE_X37Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y12 NUM_PINS 45 SITEPROP SLICE_X37Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y12 PROHIBIT 0 SITEPROP SLICE_X37Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y12 RPM_X 103 SITEPROP SLICE_X37Y12 RPM_Y 24 SITEPROP SLICE_X37Y12 SITE_PIPS SITEPROP SLICE_X37Y12 SITE_TYPE SLICEL SITEPROP SLICE_X37Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y13 CLASS site SITEPROP SLICE_X37Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y13 IS_BONDED 0 SITEPROP SLICE_X37Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y13 IS_PAD 0 SITEPROP SLICE_X37Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y13 IS_RESERVED 0 SITEPROP SLICE_X37Y13 IS_TEST 0 SITEPROP SLICE_X37Y13 IS_USED 0 SITEPROP SLICE_X37Y13 MANUAL_ROUTING SITEPROP SLICE_X37Y13 NAME SLICE_X37Y13 SITEPROP SLICE_X37Y13 NUM_ARCS 138 SITEPROP SLICE_X37Y13 NUM_BELS 32 SITEPROP SLICE_X37Y13 NUM_INPUTS 32 SITEPROP SLICE_X37Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y13 NUM_PINS 45 SITEPROP SLICE_X37Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y13 PROHIBIT 0 SITEPROP SLICE_X37Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y13 RPM_X 103 SITEPROP SLICE_X37Y13 RPM_Y 26 SITEPROP SLICE_X37Y13 SITE_PIPS SITEPROP SLICE_X37Y13 SITE_TYPE SLICEL SITEPROP SLICE_X37Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y14 CLASS site SITEPROP SLICE_X37Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y14 IS_BONDED 0 SITEPROP SLICE_X37Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y14 IS_PAD 0 SITEPROP SLICE_X37Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y14 IS_RESERVED 0 SITEPROP SLICE_X37Y14 IS_TEST 0 SITEPROP SLICE_X37Y14 IS_USED 0 SITEPROP SLICE_X37Y14 MANUAL_ROUTING SITEPROP SLICE_X37Y14 NAME SLICE_X37Y14 SITEPROP SLICE_X37Y14 NUM_ARCS 138 SITEPROP SLICE_X37Y14 NUM_BELS 32 SITEPROP SLICE_X37Y14 NUM_INPUTS 32 SITEPROP SLICE_X37Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y14 NUM_PINS 45 SITEPROP SLICE_X37Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y14 PROHIBIT 0 SITEPROP SLICE_X37Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y14 RPM_X 103 SITEPROP SLICE_X37Y14 RPM_Y 28 SITEPROP SLICE_X37Y14 SITE_PIPS SITEPROP SLICE_X37Y14 SITE_TYPE SLICEL SITEPROP SLICE_X37Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y15 CLASS site SITEPROP SLICE_X37Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y15 IS_BONDED 0 SITEPROP SLICE_X37Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y15 IS_PAD 0 SITEPROP SLICE_X37Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y15 IS_RESERVED 0 SITEPROP SLICE_X37Y15 IS_TEST 0 SITEPROP SLICE_X37Y15 IS_USED 0 SITEPROP SLICE_X37Y15 MANUAL_ROUTING SITEPROP SLICE_X37Y15 NAME SLICE_X37Y15 SITEPROP SLICE_X37Y15 NUM_ARCS 138 SITEPROP SLICE_X37Y15 NUM_BELS 32 SITEPROP SLICE_X37Y15 NUM_INPUTS 32 SITEPROP SLICE_X37Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y15 NUM_PINS 45 SITEPROP SLICE_X37Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y15 PROHIBIT 0 SITEPROP SLICE_X37Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y15 RPM_X 103 SITEPROP SLICE_X37Y15 RPM_Y 30 SITEPROP SLICE_X37Y15 SITE_PIPS SITEPROP SLICE_X37Y15 SITE_TYPE SLICEL SITEPROP SLICE_X37Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y16 CLASS site SITEPROP SLICE_X37Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y16 IS_BONDED 0 SITEPROP SLICE_X37Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y16 IS_PAD 0 SITEPROP SLICE_X37Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y16 IS_RESERVED 0 SITEPROP SLICE_X37Y16 IS_TEST 0 SITEPROP SLICE_X37Y16 IS_USED 0 SITEPROP SLICE_X37Y16 MANUAL_ROUTING SITEPROP SLICE_X37Y16 NAME SLICE_X37Y16 SITEPROP SLICE_X37Y16 NUM_ARCS 138 SITEPROP SLICE_X37Y16 NUM_BELS 32 SITEPROP SLICE_X37Y16 NUM_INPUTS 32 SITEPROP SLICE_X37Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y16 NUM_PINS 45 SITEPROP SLICE_X37Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y16 PROHIBIT 0 SITEPROP SLICE_X37Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y16 RPM_X 103 SITEPROP SLICE_X37Y16 RPM_Y 32 SITEPROP SLICE_X37Y16 SITE_PIPS SITEPROP SLICE_X37Y16 SITE_TYPE SLICEL SITEPROP SLICE_X37Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y17 CLASS site SITEPROP SLICE_X37Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y17 IS_BONDED 0 SITEPROP SLICE_X37Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y17 IS_PAD 0 SITEPROP SLICE_X37Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y17 IS_RESERVED 0 SITEPROP SLICE_X37Y17 IS_TEST 0 SITEPROP SLICE_X37Y17 IS_USED 0 SITEPROP SLICE_X37Y17 MANUAL_ROUTING SITEPROP SLICE_X37Y17 NAME SLICE_X37Y17 SITEPROP SLICE_X37Y17 NUM_ARCS 138 SITEPROP SLICE_X37Y17 NUM_BELS 32 SITEPROP SLICE_X37Y17 NUM_INPUTS 32 SITEPROP SLICE_X37Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y17 NUM_PINS 45 SITEPROP SLICE_X37Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y17 PROHIBIT 0 SITEPROP SLICE_X37Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y17 RPM_X 103 SITEPROP SLICE_X37Y17 RPM_Y 34 SITEPROP SLICE_X37Y17 SITE_PIPS SITEPROP SLICE_X37Y17 SITE_TYPE SLICEL SITEPROP SLICE_X37Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y18 CLASS site SITEPROP SLICE_X37Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y18 IS_BONDED 0 SITEPROP SLICE_X37Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y18 IS_PAD 0 SITEPROP SLICE_X37Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y18 IS_RESERVED 0 SITEPROP SLICE_X37Y18 IS_TEST 0 SITEPROP SLICE_X37Y18 IS_USED 0 SITEPROP SLICE_X37Y18 MANUAL_ROUTING SITEPROP SLICE_X37Y18 NAME SLICE_X37Y18 SITEPROP SLICE_X37Y18 NUM_ARCS 138 SITEPROP SLICE_X37Y18 NUM_BELS 32 SITEPROP SLICE_X37Y18 NUM_INPUTS 32 SITEPROP SLICE_X37Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y18 NUM_PINS 45 SITEPROP SLICE_X37Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y18 PROHIBIT 0 SITEPROP SLICE_X37Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y18 RPM_X 103 SITEPROP SLICE_X37Y18 RPM_Y 36 SITEPROP SLICE_X37Y18 SITE_PIPS SITEPROP SLICE_X37Y18 SITE_TYPE SLICEL SITEPROP SLICE_X37Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y19 CLASS site SITEPROP SLICE_X37Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y19 IS_BONDED 0 SITEPROP SLICE_X37Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y19 IS_PAD 0 SITEPROP SLICE_X37Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y19 IS_RESERVED 0 SITEPROP SLICE_X37Y19 IS_TEST 0 SITEPROP SLICE_X37Y19 IS_USED 0 SITEPROP SLICE_X37Y19 MANUAL_ROUTING SITEPROP SLICE_X37Y19 NAME SLICE_X37Y19 SITEPROP SLICE_X37Y19 NUM_ARCS 138 SITEPROP SLICE_X37Y19 NUM_BELS 32 SITEPROP SLICE_X37Y19 NUM_INPUTS 32 SITEPROP SLICE_X37Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y19 NUM_PINS 45 SITEPROP SLICE_X37Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y19 PROHIBIT 0 SITEPROP SLICE_X37Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y19 RPM_X 103 SITEPROP SLICE_X37Y19 RPM_Y 38 SITEPROP SLICE_X37Y19 SITE_PIPS SITEPROP SLICE_X37Y19 SITE_TYPE SLICEL SITEPROP SLICE_X37Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y20 CLASS site SITEPROP SLICE_X37Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y20 IS_BONDED 0 SITEPROP SLICE_X37Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y20 IS_PAD 0 SITEPROP SLICE_X37Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y20 IS_RESERVED 0 SITEPROP SLICE_X37Y20 IS_TEST 0 SITEPROP SLICE_X37Y20 IS_USED 0 SITEPROP SLICE_X37Y20 MANUAL_ROUTING SITEPROP SLICE_X37Y20 NAME SLICE_X37Y20 SITEPROP SLICE_X37Y20 NUM_ARCS 138 SITEPROP SLICE_X37Y20 NUM_BELS 32 SITEPROP SLICE_X37Y20 NUM_INPUTS 32 SITEPROP SLICE_X37Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y20 NUM_PINS 45 SITEPROP SLICE_X37Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y20 PROHIBIT 0 SITEPROP SLICE_X37Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y20 RPM_X 103 SITEPROP SLICE_X37Y20 RPM_Y 40 SITEPROP SLICE_X37Y20 SITE_PIPS SITEPROP SLICE_X37Y20 SITE_TYPE SLICEL SITEPROP SLICE_X37Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y21 CLASS site SITEPROP SLICE_X37Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y21 IS_BONDED 0 SITEPROP SLICE_X37Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y21 IS_PAD 0 SITEPROP SLICE_X37Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y21 IS_RESERVED 0 SITEPROP SLICE_X37Y21 IS_TEST 0 SITEPROP SLICE_X37Y21 IS_USED 0 SITEPROP SLICE_X37Y21 MANUAL_ROUTING SITEPROP SLICE_X37Y21 NAME SLICE_X37Y21 SITEPROP SLICE_X37Y21 NUM_ARCS 138 SITEPROP SLICE_X37Y21 NUM_BELS 32 SITEPROP SLICE_X37Y21 NUM_INPUTS 32 SITEPROP SLICE_X37Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y21 NUM_PINS 45 SITEPROP SLICE_X37Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y21 PROHIBIT 0 SITEPROP SLICE_X37Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y21 RPM_X 103 SITEPROP SLICE_X37Y21 RPM_Y 42 SITEPROP SLICE_X37Y21 SITE_PIPS SITEPROP SLICE_X37Y21 SITE_TYPE SLICEL SITEPROP SLICE_X37Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y22 CLASS site SITEPROP SLICE_X37Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y22 IS_BONDED 0 SITEPROP SLICE_X37Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y22 IS_PAD 0 SITEPROP SLICE_X37Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y22 IS_RESERVED 0 SITEPROP SLICE_X37Y22 IS_TEST 0 SITEPROP SLICE_X37Y22 IS_USED 0 SITEPROP SLICE_X37Y22 MANUAL_ROUTING SITEPROP SLICE_X37Y22 NAME SLICE_X37Y22 SITEPROP SLICE_X37Y22 NUM_ARCS 138 SITEPROP SLICE_X37Y22 NUM_BELS 32 SITEPROP SLICE_X37Y22 NUM_INPUTS 32 SITEPROP SLICE_X37Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y22 NUM_PINS 45 SITEPROP SLICE_X37Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y22 PROHIBIT 0 SITEPROP SLICE_X37Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y22 RPM_X 103 SITEPROP SLICE_X37Y22 RPM_Y 44 SITEPROP SLICE_X37Y22 SITE_PIPS SITEPROP SLICE_X37Y22 SITE_TYPE SLICEL SITEPROP SLICE_X37Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y23 CLASS site SITEPROP SLICE_X37Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y23 IS_BONDED 0 SITEPROP SLICE_X37Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y23 IS_PAD 0 SITEPROP SLICE_X37Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y23 IS_RESERVED 0 SITEPROP SLICE_X37Y23 IS_TEST 0 SITEPROP SLICE_X37Y23 IS_USED 0 SITEPROP SLICE_X37Y23 MANUAL_ROUTING SITEPROP SLICE_X37Y23 NAME SLICE_X37Y23 SITEPROP SLICE_X37Y23 NUM_ARCS 138 SITEPROP SLICE_X37Y23 NUM_BELS 32 SITEPROP SLICE_X37Y23 NUM_INPUTS 32 SITEPROP SLICE_X37Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y23 NUM_PINS 45 SITEPROP SLICE_X37Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y23 PROHIBIT 0 SITEPROP SLICE_X37Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y23 RPM_X 103 SITEPROP SLICE_X37Y23 RPM_Y 46 SITEPROP SLICE_X37Y23 SITE_PIPS SITEPROP SLICE_X37Y23 SITE_TYPE SLICEL SITEPROP SLICE_X37Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y24 CLASS site SITEPROP SLICE_X37Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y24 IS_BONDED 0 SITEPROP SLICE_X37Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y24 IS_PAD 0 SITEPROP SLICE_X37Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y24 IS_RESERVED 0 SITEPROP SLICE_X37Y24 IS_TEST 0 SITEPROP SLICE_X37Y24 IS_USED 0 SITEPROP SLICE_X37Y24 MANUAL_ROUTING SITEPROP SLICE_X37Y24 NAME SLICE_X37Y24 SITEPROP SLICE_X37Y24 NUM_ARCS 138 SITEPROP SLICE_X37Y24 NUM_BELS 32 SITEPROP SLICE_X37Y24 NUM_INPUTS 32 SITEPROP SLICE_X37Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y24 NUM_PINS 45 SITEPROP SLICE_X37Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y24 PROHIBIT 0 SITEPROP SLICE_X37Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y24 RPM_X 103 SITEPROP SLICE_X37Y24 RPM_Y 48 SITEPROP SLICE_X37Y24 SITE_PIPS SITEPROP SLICE_X37Y24 SITE_TYPE SLICEL SITEPROP SLICE_X37Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y25 CLASS site SITEPROP SLICE_X37Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y25 IS_BONDED 0 SITEPROP SLICE_X37Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y25 IS_PAD 0 SITEPROP SLICE_X37Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y25 IS_RESERVED 0 SITEPROP SLICE_X37Y25 IS_TEST 0 SITEPROP SLICE_X37Y25 IS_USED 0 SITEPROP SLICE_X37Y25 MANUAL_ROUTING SITEPROP SLICE_X37Y25 NAME SLICE_X37Y25 SITEPROP SLICE_X37Y25 NUM_ARCS 138 SITEPROP SLICE_X37Y25 NUM_BELS 32 SITEPROP SLICE_X37Y25 NUM_INPUTS 32 SITEPROP SLICE_X37Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y25 NUM_PINS 45 SITEPROP SLICE_X37Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y25 PROHIBIT 0 SITEPROP SLICE_X37Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y25 RPM_X 103 SITEPROP SLICE_X37Y25 RPM_Y 50 SITEPROP SLICE_X37Y25 SITE_PIPS SITEPROP SLICE_X37Y25 SITE_TYPE SLICEL SITEPROP SLICE_X37Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y26 CLASS site SITEPROP SLICE_X37Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y26 IS_BONDED 0 SITEPROP SLICE_X37Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y26 IS_PAD 0 SITEPROP SLICE_X37Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y26 IS_RESERVED 0 SITEPROP SLICE_X37Y26 IS_TEST 0 SITEPROP SLICE_X37Y26 IS_USED 0 SITEPROP SLICE_X37Y26 MANUAL_ROUTING SITEPROP SLICE_X37Y26 NAME SLICE_X37Y26 SITEPROP SLICE_X37Y26 NUM_ARCS 138 SITEPROP SLICE_X37Y26 NUM_BELS 32 SITEPROP SLICE_X37Y26 NUM_INPUTS 32 SITEPROP SLICE_X37Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y26 NUM_PINS 45 SITEPROP SLICE_X37Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y26 PROHIBIT 0 SITEPROP SLICE_X37Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y26 RPM_X 103 SITEPROP SLICE_X37Y26 RPM_Y 52 SITEPROP SLICE_X37Y26 SITE_PIPS SITEPROP SLICE_X37Y26 SITE_TYPE SLICEL SITEPROP SLICE_X37Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y27 CLASS site SITEPROP SLICE_X37Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y27 IS_BONDED 0 SITEPROP SLICE_X37Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y27 IS_PAD 0 SITEPROP SLICE_X37Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y27 IS_RESERVED 0 SITEPROP SLICE_X37Y27 IS_TEST 0 SITEPROP SLICE_X37Y27 IS_USED 0 SITEPROP SLICE_X37Y27 MANUAL_ROUTING SITEPROP SLICE_X37Y27 NAME SLICE_X37Y27 SITEPROP SLICE_X37Y27 NUM_ARCS 138 SITEPROP SLICE_X37Y27 NUM_BELS 32 SITEPROP SLICE_X37Y27 NUM_INPUTS 32 SITEPROP SLICE_X37Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y27 NUM_PINS 45 SITEPROP SLICE_X37Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y27 PROHIBIT 0 SITEPROP SLICE_X37Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y27 RPM_X 103 SITEPROP SLICE_X37Y27 RPM_Y 54 SITEPROP SLICE_X37Y27 SITE_PIPS SITEPROP SLICE_X37Y27 SITE_TYPE SLICEL SITEPROP SLICE_X37Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y28 CLASS site SITEPROP SLICE_X37Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y28 IS_BONDED 0 SITEPROP SLICE_X37Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y28 IS_PAD 0 SITEPROP SLICE_X37Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y28 IS_RESERVED 0 SITEPROP SLICE_X37Y28 IS_TEST 0 SITEPROP SLICE_X37Y28 IS_USED 0 SITEPROP SLICE_X37Y28 MANUAL_ROUTING SITEPROP SLICE_X37Y28 NAME SLICE_X37Y28 SITEPROP SLICE_X37Y28 NUM_ARCS 138 SITEPROP SLICE_X37Y28 NUM_BELS 32 SITEPROP SLICE_X37Y28 NUM_INPUTS 32 SITEPROP SLICE_X37Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y28 NUM_PINS 45 SITEPROP SLICE_X37Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y28 PROHIBIT 0 SITEPROP SLICE_X37Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y28 RPM_X 103 SITEPROP SLICE_X37Y28 RPM_Y 56 SITEPROP SLICE_X37Y28 SITE_PIPS SITEPROP SLICE_X37Y28 SITE_TYPE SLICEL SITEPROP SLICE_X37Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y29 CLASS site SITEPROP SLICE_X37Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y29 IS_BONDED 0 SITEPROP SLICE_X37Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y29 IS_PAD 0 SITEPROP SLICE_X37Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y29 IS_RESERVED 0 SITEPROP SLICE_X37Y29 IS_TEST 0 SITEPROP SLICE_X37Y29 IS_USED 0 SITEPROP SLICE_X37Y29 MANUAL_ROUTING SITEPROP SLICE_X37Y29 NAME SLICE_X37Y29 SITEPROP SLICE_X37Y29 NUM_ARCS 138 SITEPROP SLICE_X37Y29 NUM_BELS 32 SITEPROP SLICE_X37Y29 NUM_INPUTS 32 SITEPROP SLICE_X37Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y29 NUM_PINS 45 SITEPROP SLICE_X37Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y29 PROHIBIT 0 SITEPROP SLICE_X37Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y29 RPM_X 103 SITEPROP SLICE_X37Y29 RPM_Y 58 SITEPROP SLICE_X37Y29 SITE_PIPS SITEPROP SLICE_X37Y29 SITE_TYPE SLICEL SITEPROP SLICE_X37Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y30 CLASS site SITEPROP SLICE_X37Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y30 IS_BONDED 0 SITEPROP SLICE_X37Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y30 IS_PAD 0 SITEPROP SLICE_X37Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y30 IS_RESERVED 0 SITEPROP SLICE_X37Y30 IS_TEST 0 SITEPROP SLICE_X37Y30 IS_USED 0 SITEPROP SLICE_X37Y30 MANUAL_ROUTING SITEPROP SLICE_X37Y30 NAME SLICE_X37Y30 SITEPROP SLICE_X37Y30 NUM_ARCS 138 SITEPROP SLICE_X37Y30 NUM_BELS 32 SITEPROP SLICE_X37Y30 NUM_INPUTS 32 SITEPROP SLICE_X37Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y30 NUM_PINS 45 SITEPROP SLICE_X37Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y30 PROHIBIT 0 SITEPROP SLICE_X37Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y30 RPM_X 103 SITEPROP SLICE_X37Y30 RPM_Y 60 SITEPROP SLICE_X37Y30 SITE_PIPS SITEPROP SLICE_X37Y30 SITE_TYPE SLICEL SITEPROP SLICE_X37Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y31 CLASS site SITEPROP SLICE_X37Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y31 IS_BONDED 0 SITEPROP SLICE_X37Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y31 IS_PAD 0 SITEPROP SLICE_X37Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y31 IS_RESERVED 0 SITEPROP SLICE_X37Y31 IS_TEST 0 SITEPROP SLICE_X37Y31 IS_USED 0 SITEPROP SLICE_X37Y31 MANUAL_ROUTING SITEPROP SLICE_X37Y31 NAME SLICE_X37Y31 SITEPROP SLICE_X37Y31 NUM_ARCS 138 SITEPROP SLICE_X37Y31 NUM_BELS 32 SITEPROP SLICE_X37Y31 NUM_INPUTS 32 SITEPROP SLICE_X37Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y31 NUM_PINS 45 SITEPROP SLICE_X37Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y31 PROHIBIT 0 SITEPROP SLICE_X37Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y31 RPM_X 103 SITEPROP SLICE_X37Y31 RPM_Y 62 SITEPROP SLICE_X37Y31 SITE_PIPS SITEPROP SLICE_X37Y31 SITE_TYPE SLICEL SITEPROP SLICE_X37Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y32 CLASS site SITEPROP SLICE_X37Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y32 IS_BONDED 0 SITEPROP SLICE_X37Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y32 IS_PAD 0 SITEPROP SLICE_X37Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y32 IS_RESERVED 0 SITEPROP SLICE_X37Y32 IS_TEST 0 SITEPROP SLICE_X37Y32 IS_USED 0 SITEPROP SLICE_X37Y32 MANUAL_ROUTING SITEPROP SLICE_X37Y32 NAME SLICE_X37Y32 SITEPROP SLICE_X37Y32 NUM_ARCS 138 SITEPROP SLICE_X37Y32 NUM_BELS 32 SITEPROP SLICE_X37Y32 NUM_INPUTS 32 SITEPROP SLICE_X37Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y32 NUM_PINS 45 SITEPROP SLICE_X37Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y32 PROHIBIT 0 SITEPROP SLICE_X37Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y32 RPM_X 103 SITEPROP SLICE_X37Y32 RPM_Y 64 SITEPROP SLICE_X37Y32 SITE_PIPS SITEPROP SLICE_X37Y32 SITE_TYPE SLICEL SITEPROP SLICE_X37Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y33 CLASS site SITEPROP SLICE_X37Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y33 IS_BONDED 0 SITEPROP SLICE_X37Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y33 IS_PAD 0 SITEPROP SLICE_X37Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y33 IS_RESERVED 0 SITEPROP SLICE_X37Y33 IS_TEST 0 SITEPROP SLICE_X37Y33 IS_USED 0 SITEPROP SLICE_X37Y33 MANUAL_ROUTING SITEPROP SLICE_X37Y33 NAME SLICE_X37Y33 SITEPROP SLICE_X37Y33 NUM_ARCS 138 SITEPROP SLICE_X37Y33 NUM_BELS 32 SITEPROP SLICE_X37Y33 NUM_INPUTS 32 SITEPROP SLICE_X37Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y33 NUM_PINS 45 SITEPROP SLICE_X37Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y33 PROHIBIT 0 SITEPROP SLICE_X37Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y33 RPM_X 103 SITEPROP SLICE_X37Y33 RPM_Y 66 SITEPROP SLICE_X37Y33 SITE_PIPS SITEPROP SLICE_X37Y33 SITE_TYPE SLICEL SITEPROP SLICE_X37Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y34 CLASS site SITEPROP SLICE_X37Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y34 IS_BONDED 0 SITEPROP SLICE_X37Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y34 IS_PAD 0 SITEPROP SLICE_X37Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y34 IS_RESERVED 0 SITEPROP SLICE_X37Y34 IS_TEST 0 SITEPROP SLICE_X37Y34 IS_USED 0 SITEPROP SLICE_X37Y34 MANUAL_ROUTING SITEPROP SLICE_X37Y34 NAME SLICE_X37Y34 SITEPROP SLICE_X37Y34 NUM_ARCS 138 SITEPROP SLICE_X37Y34 NUM_BELS 32 SITEPROP SLICE_X37Y34 NUM_INPUTS 32 SITEPROP SLICE_X37Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y34 NUM_PINS 45 SITEPROP SLICE_X37Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y34 PROHIBIT 0 SITEPROP SLICE_X37Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y34 RPM_X 103 SITEPROP SLICE_X37Y34 RPM_Y 68 SITEPROP SLICE_X37Y34 SITE_PIPS SITEPROP SLICE_X37Y34 SITE_TYPE SLICEL SITEPROP SLICE_X37Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y35 CLASS site SITEPROP SLICE_X37Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y35 IS_BONDED 0 SITEPROP SLICE_X37Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y35 IS_PAD 0 SITEPROP SLICE_X37Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y35 IS_RESERVED 0 SITEPROP SLICE_X37Y35 IS_TEST 0 SITEPROP SLICE_X37Y35 IS_USED 0 SITEPROP SLICE_X37Y35 MANUAL_ROUTING SITEPROP SLICE_X37Y35 NAME SLICE_X37Y35 SITEPROP SLICE_X37Y35 NUM_ARCS 138 SITEPROP SLICE_X37Y35 NUM_BELS 32 SITEPROP SLICE_X37Y35 NUM_INPUTS 32 SITEPROP SLICE_X37Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y35 NUM_PINS 45 SITEPROP SLICE_X37Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y35 PROHIBIT 0 SITEPROP SLICE_X37Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y35 RPM_X 103 SITEPROP SLICE_X37Y35 RPM_Y 70 SITEPROP SLICE_X37Y35 SITE_PIPS SITEPROP SLICE_X37Y35 SITE_TYPE SLICEL SITEPROP SLICE_X37Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y36 CLASS site SITEPROP SLICE_X37Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y36 IS_BONDED 0 SITEPROP SLICE_X37Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y36 IS_PAD 0 SITEPROP SLICE_X37Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y36 IS_RESERVED 0 SITEPROP SLICE_X37Y36 IS_TEST 0 SITEPROP SLICE_X37Y36 IS_USED 0 SITEPROP SLICE_X37Y36 MANUAL_ROUTING SITEPROP SLICE_X37Y36 NAME SLICE_X37Y36 SITEPROP SLICE_X37Y36 NUM_ARCS 138 SITEPROP SLICE_X37Y36 NUM_BELS 32 SITEPROP SLICE_X37Y36 NUM_INPUTS 32 SITEPROP SLICE_X37Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y36 NUM_PINS 45 SITEPROP SLICE_X37Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y36 PROHIBIT 0 SITEPROP SLICE_X37Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y36 RPM_X 103 SITEPROP SLICE_X37Y36 RPM_Y 72 SITEPROP SLICE_X37Y36 SITE_PIPS SITEPROP SLICE_X37Y36 SITE_TYPE SLICEL SITEPROP SLICE_X37Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y37 CLASS site SITEPROP SLICE_X37Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y37 IS_BONDED 0 SITEPROP SLICE_X37Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y37 IS_PAD 0 SITEPROP SLICE_X37Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y37 IS_RESERVED 0 SITEPROP SLICE_X37Y37 IS_TEST 0 SITEPROP SLICE_X37Y37 IS_USED 0 SITEPROP SLICE_X37Y37 MANUAL_ROUTING SITEPROP SLICE_X37Y37 NAME SLICE_X37Y37 SITEPROP SLICE_X37Y37 NUM_ARCS 138 SITEPROP SLICE_X37Y37 NUM_BELS 32 SITEPROP SLICE_X37Y37 NUM_INPUTS 32 SITEPROP SLICE_X37Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y37 NUM_PINS 45 SITEPROP SLICE_X37Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y37 PROHIBIT 0 SITEPROP SLICE_X37Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y37 RPM_X 103 SITEPROP SLICE_X37Y37 RPM_Y 74 SITEPROP SLICE_X37Y37 SITE_PIPS SITEPROP SLICE_X37Y37 SITE_TYPE SLICEL SITEPROP SLICE_X37Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y38 CLASS site SITEPROP SLICE_X37Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y38 IS_BONDED 0 SITEPROP SLICE_X37Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y38 IS_PAD 0 SITEPROP SLICE_X37Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y38 IS_RESERVED 0 SITEPROP SLICE_X37Y38 IS_TEST 0 SITEPROP SLICE_X37Y38 IS_USED 0 SITEPROP SLICE_X37Y38 MANUAL_ROUTING SITEPROP SLICE_X37Y38 NAME SLICE_X37Y38 SITEPROP SLICE_X37Y38 NUM_ARCS 138 SITEPROP SLICE_X37Y38 NUM_BELS 32 SITEPROP SLICE_X37Y38 NUM_INPUTS 32 SITEPROP SLICE_X37Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y38 NUM_PINS 45 SITEPROP SLICE_X37Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y38 PROHIBIT 0 SITEPROP SLICE_X37Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y38 RPM_X 103 SITEPROP SLICE_X37Y38 RPM_Y 76 SITEPROP SLICE_X37Y38 SITE_PIPS SITEPROP SLICE_X37Y38 SITE_TYPE SLICEL SITEPROP SLICE_X37Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y39 CLASS site SITEPROP SLICE_X37Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y39 IS_BONDED 0 SITEPROP SLICE_X37Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y39 IS_PAD 0 SITEPROP SLICE_X37Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y39 IS_RESERVED 0 SITEPROP SLICE_X37Y39 IS_TEST 0 SITEPROP SLICE_X37Y39 IS_USED 0 SITEPROP SLICE_X37Y39 MANUAL_ROUTING SITEPROP SLICE_X37Y39 NAME SLICE_X37Y39 SITEPROP SLICE_X37Y39 NUM_ARCS 138 SITEPROP SLICE_X37Y39 NUM_BELS 32 SITEPROP SLICE_X37Y39 NUM_INPUTS 32 SITEPROP SLICE_X37Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y39 NUM_PINS 45 SITEPROP SLICE_X37Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y39 PROHIBIT 0 SITEPROP SLICE_X37Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y39 RPM_X 103 SITEPROP SLICE_X37Y39 RPM_Y 78 SITEPROP SLICE_X37Y39 SITE_PIPS SITEPROP SLICE_X37Y39 SITE_TYPE SLICEL SITEPROP SLICE_X37Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y40 CLASS site SITEPROP SLICE_X37Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y40 IS_BONDED 0 SITEPROP SLICE_X37Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y40 IS_PAD 0 SITEPROP SLICE_X37Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y40 IS_RESERVED 0 SITEPROP SLICE_X37Y40 IS_TEST 0 SITEPROP SLICE_X37Y40 IS_USED 0 SITEPROP SLICE_X37Y40 MANUAL_ROUTING SITEPROP SLICE_X37Y40 NAME SLICE_X37Y40 SITEPROP SLICE_X37Y40 NUM_ARCS 138 SITEPROP SLICE_X37Y40 NUM_BELS 32 SITEPROP SLICE_X37Y40 NUM_INPUTS 32 SITEPROP SLICE_X37Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y40 NUM_PINS 45 SITEPROP SLICE_X37Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y40 PROHIBIT 0 SITEPROP SLICE_X37Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y40 RPM_X 103 SITEPROP SLICE_X37Y40 RPM_Y 80 SITEPROP SLICE_X37Y40 SITE_PIPS SITEPROP SLICE_X37Y40 SITE_TYPE SLICEL SITEPROP SLICE_X37Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y41 CLASS site SITEPROP SLICE_X37Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y41 IS_BONDED 0 SITEPROP SLICE_X37Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y41 IS_PAD 0 SITEPROP SLICE_X37Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y41 IS_RESERVED 0 SITEPROP SLICE_X37Y41 IS_TEST 0 SITEPROP SLICE_X37Y41 IS_USED 0 SITEPROP SLICE_X37Y41 MANUAL_ROUTING SITEPROP SLICE_X37Y41 NAME SLICE_X37Y41 SITEPROP SLICE_X37Y41 NUM_ARCS 138 SITEPROP SLICE_X37Y41 NUM_BELS 32 SITEPROP SLICE_X37Y41 NUM_INPUTS 32 SITEPROP SLICE_X37Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y41 NUM_PINS 45 SITEPROP SLICE_X37Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y41 PROHIBIT 0 SITEPROP SLICE_X37Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y41 RPM_X 103 SITEPROP SLICE_X37Y41 RPM_Y 82 SITEPROP SLICE_X37Y41 SITE_PIPS SITEPROP SLICE_X37Y41 SITE_TYPE SLICEL SITEPROP SLICE_X37Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y42 CLASS site SITEPROP SLICE_X37Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y42 IS_BONDED 0 SITEPROP SLICE_X37Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y42 IS_PAD 0 SITEPROP SLICE_X37Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y42 IS_RESERVED 0 SITEPROP SLICE_X37Y42 IS_TEST 0 SITEPROP SLICE_X37Y42 IS_USED 0 SITEPROP SLICE_X37Y42 MANUAL_ROUTING SITEPROP SLICE_X37Y42 NAME SLICE_X37Y42 SITEPROP SLICE_X37Y42 NUM_ARCS 138 SITEPROP SLICE_X37Y42 NUM_BELS 32 SITEPROP SLICE_X37Y42 NUM_INPUTS 32 SITEPROP SLICE_X37Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y42 NUM_PINS 45 SITEPROP SLICE_X37Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y42 PROHIBIT 0 SITEPROP SLICE_X37Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y42 RPM_X 103 SITEPROP SLICE_X37Y42 RPM_Y 84 SITEPROP SLICE_X37Y42 SITE_PIPS SITEPROP SLICE_X37Y42 SITE_TYPE SLICEL SITEPROP SLICE_X37Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y43 CLASS site SITEPROP SLICE_X37Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y43 IS_BONDED 0 SITEPROP SLICE_X37Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y43 IS_PAD 0 SITEPROP SLICE_X37Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y43 IS_RESERVED 0 SITEPROP SLICE_X37Y43 IS_TEST 0 SITEPROP SLICE_X37Y43 IS_USED 0 SITEPROP SLICE_X37Y43 MANUAL_ROUTING SITEPROP SLICE_X37Y43 NAME SLICE_X37Y43 SITEPROP SLICE_X37Y43 NUM_ARCS 138 SITEPROP SLICE_X37Y43 NUM_BELS 32 SITEPROP SLICE_X37Y43 NUM_INPUTS 32 SITEPROP SLICE_X37Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y43 NUM_PINS 45 SITEPROP SLICE_X37Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y43 PROHIBIT 0 SITEPROP SLICE_X37Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y43 RPM_X 103 SITEPROP SLICE_X37Y43 RPM_Y 86 SITEPROP SLICE_X37Y43 SITE_PIPS SITEPROP SLICE_X37Y43 SITE_TYPE SLICEL SITEPROP SLICE_X37Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y44 CLASS site SITEPROP SLICE_X37Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y44 IS_BONDED 0 SITEPROP SLICE_X37Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y44 IS_PAD 0 SITEPROP SLICE_X37Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y44 IS_RESERVED 0 SITEPROP SLICE_X37Y44 IS_TEST 0 SITEPROP SLICE_X37Y44 IS_USED 0 SITEPROP SLICE_X37Y44 MANUAL_ROUTING SITEPROP SLICE_X37Y44 NAME SLICE_X37Y44 SITEPROP SLICE_X37Y44 NUM_ARCS 138 SITEPROP SLICE_X37Y44 NUM_BELS 32 SITEPROP SLICE_X37Y44 NUM_INPUTS 32 SITEPROP SLICE_X37Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y44 NUM_PINS 45 SITEPROP SLICE_X37Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y44 PROHIBIT 0 SITEPROP SLICE_X37Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y44 RPM_X 103 SITEPROP SLICE_X37Y44 RPM_Y 88 SITEPROP SLICE_X37Y44 SITE_PIPS SITEPROP SLICE_X37Y44 SITE_TYPE SLICEL SITEPROP SLICE_X37Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y45 CLASS site SITEPROP SLICE_X37Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y45 IS_BONDED 0 SITEPROP SLICE_X37Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y45 IS_PAD 0 SITEPROP SLICE_X37Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y45 IS_RESERVED 0 SITEPROP SLICE_X37Y45 IS_TEST 0 SITEPROP SLICE_X37Y45 IS_USED 0 SITEPROP SLICE_X37Y45 MANUAL_ROUTING SITEPROP SLICE_X37Y45 NAME SLICE_X37Y45 SITEPROP SLICE_X37Y45 NUM_ARCS 138 SITEPROP SLICE_X37Y45 NUM_BELS 32 SITEPROP SLICE_X37Y45 NUM_INPUTS 32 SITEPROP SLICE_X37Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y45 NUM_PINS 45 SITEPROP SLICE_X37Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y45 PROHIBIT 0 SITEPROP SLICE_X37Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y45 RPM_X 103 SITEPROP SLICE_X37Y45 RPM_Y 90 SITEPROP SLICE_X37Y45 SITE_PIPS SITEPROP SLICE_X37Y45 SITE_TYPE SLICEL SITEPROP SLICE_X37Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y46 CLASS site SITEPROP SLICE_X37Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y46 IS_BONDED 0 SITEPROP SLICE_X37Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y46 IS_PAD 0 SITEPROP SLICE_X37Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y46 IS_RESERVED 0 SITEPROP SLICE_X37Y46 IS_TEST 0 SITEPROP SLICE_X37Y46 IS_USED 0 SITEPROP SLICE_X37Y46 MANUAL_ROUTING SITEPROP SLICE_X37Y46 NAME SLICE_X37Y46 SITEPROP SLICE_X37Y46 NUM_ARCS 138 SITEPROP SLICE_X37Y46 NUM_BELS 32 SITEPROP SLICE_X37Y46 NUM_INPUTS 32 SITEPROP SLICE_X37Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y46 NUM_PINS 45 SITEPROP SLICE_X37Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y46 PROHIBIT 0 SITEPROP SLICE_X37Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y46 RPM_X 103 SITEPROP SLICE_X37Y46 RPM_Y 92 SITEPROP SLICE_X37Y46 SITE_PIPS SITEPROP SLICE_X37Y46 SITE_TYPE SLICEL SITEPROP SLICE_X37Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y47 CLASS site SITEPROP SLICE_X37Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y47 IS_BONDED 0 SITEPROP SLICE_X37Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y47 IS_PAD 0 SITEPROP SLICE_X37Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y47 IS_RESERVED 0 SITEPROP SLICE_X37Y47 IS_TEST 0 SITEPROP SLICE_X37Y47 IS_USED 0 SITEPROP SLICE_X37Y47 MANUAL_ROUTING SITEPROP SLICE_X37Y47 NAME SLICE_X37Y47 SITEPROP SLICE_X37Y47 NUM_ARCS 138 SITEPROP SLICE_X37Y47 NUM_BELS 32 SITEPROP SLICE_X37Y47 NUM_INPUTS 32 SITEPROP SLICE_X37Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y47 NUM_PINS 45 SITEPROP SLICE_X37Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y47 PROHIBIT 0 SITEPROP SLICE_X37Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y47 RPM_X 103 SITEPROP SLICE_X37Y47 RPM_Y 94 SITEPROP SLICE_X37Y47 SITE_PIPS SITEPROP SLICE_X37Y47 SITE_TYPE SLICEL SITEPROP SLICE_X37Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y48 CLASS site SITEPROP SLICE_X37Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y48 IS_BONDED 0 SITEPROP SLICE_X37Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y48 IS_PAD 0 SITEPROP SLICE_X37Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y48 IS_RESERVED 0 SITEPROP SLICE_X37Y48 IS_TEST 0 SITEPROP SLICE_X37Y48 IS_USED 0 SITEPROP SLICE_X37Y48 MANUAL_ROUTING SITEPROP SLICE_X37Y48 NAME SLICE_X37Y48 SITEPROP SLICE_X37Y48 NUM_ARCS 138 SITEPROP SLICE_X37Y48 NUM_BELS 32 SITEPROP SLICE_X37Y48 NUM_INPUTS 32 SITEPROP SLICE_X37Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y48 NUM_PINS 45 SITEPROP SLICE_X37Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y48 PROHIBIT 0 SITEPROP SLICE_X37Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y48 RPM_X 103 SITEPROP SLICE_X37Y48 RPM_Y 96 SITEPROP SLICE_X37Y48 SITE_PIPS SITEPROP SLICE_X37Y48 SITE_TYPE SLICEL SITEPROP SLICE_X37Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y49 CLASS site SITEPROP SLICE_X37Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X37Y49 IS_BONDED 0 SITEPROP SLICE_X37Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y49 IS_PAD 0 SITEPROP SLICE_X37Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y49 IS_RESERVED 0 SITEPROP SLICE_X37Y49 IS_TEST 0 SITEPROP SLICE_X37Y49 IS_USED 0 SITEPROP SLICE_X37Y49 MANUAL_ROUTING SITEPROP SLICE_X37Y49 NAME SLICE_X37Y49 SITEPROP SLICE_X37Y49 NUM_ARCS 138 SITEPROP SLICE_X37Y49 NUM_BELS 32 SITEPROP SLICE_X37Y49 NUM_INPUTS 32 SITEPROP SLICE_X37Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y49 NUM_PINS 45 SITEPROP SLICE_X37Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y49 PROHIBIT 0 SITEPROP SLICE_X37Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y49 RPM_X 103 SITEPROP SLICE_X37Y49 RPM_Y 98 SITEPROP SLICE_X37Y49 SITE_PIPS SITEPROP SLICE_X37Y49 SITE_TYPE SLICEL SITEPROP SLICE_X37Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y50 CLASS site SITEPROP SLICE_X37Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y50 IS_BONDED 0 SITEPROP SLICE_X37Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y50 IS_PAD 0 SITEPROP SLICE_X37Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y50 IS_RESERVED 0 SITEPROP SLICE_X37Y50 IS_TEST 0 SITEPROP SLICE_X37Y50 IS_USED 0 SITEPROP SLICE_X37Y50 MANUAL_ROUTING SITEPROP SLICE_X37Y50 NAME SLICE_X37Y50 SITEPROP SLICE_X37Y50 NUM_ARCS 138 SITEPROP SLICE_X37Y50 NUM_BELS 32 SITEPROP SLICE_X37Y50 NUM_INPUTS 32 SITEPROP SLICE_X37Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y50 NUM_PINS 45 SITEPROP SLICE_X37Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y50 PROHIBIT 0 SITEPROP SLICE_X37Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y50 RPM_X 103 SITEPROP SLICE_X37Y50 RPM_Y 100 SITEPROP SLICE_X37Y50 SITE_PIPS SITEPROP SLICE_X37Y50 SITE_TYPE SLICEL SITEPROP SLICE_X37Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y51 CLASS site SITEPROP SLICE_X37Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y51 IS_BONDED 0 SITEPROP SLICE_X37Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y51 IS_PAD 0 SITEPROP SLICE_X37Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y51 IS_RESERVED 0 SITEPROP SLICE_X37Y51 IS_TEST 0 SITEPROP SLICE_X37Y51 IS_USED 0 SITEPROP SLICE_X37Y51 MANUAL_ROUTING SITEPROP SLICE_X37Y51 NAME SLICE_X37Y51 SITEPROP SLICE_X37Y51 NUM_ARCS 138 SITEPROP SLICE_X37Y51 NUM_BELS 32 SITEPROP SLICE_X37Y51 NUM_INPUTS 32 SITEPROP SLICE_X37Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y51 NUM_PINS 45 SITEPROP SLICE_X37Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y51 PROHIBIT 0 SITEPROP SLICE_X37Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y51 RPM_X 103 SITEPROP SLICE_X37Y51 RPM_Y 102 SITEPROP SLICE_X37Y51 SITE_PIPS SITEPROP SLICE_X37Y51 SITE_TYPE SLICEL SITEPROP SLICE_X37Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y52 CLASS site SITEPROP SLICE_X37Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y52 IS_BONDED 0 SITEPROP SLICE_X37Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y52 IS_PAD 0 SITEPROP SLICE_X37Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y52 IS_RESERVED 0 SITEPROP SLICE_X37Y52 IS_TEST 0 SITEPROP SLICE_X37Y52 IS_USED 0 SITEPROP SLICE_X37Y52 MANUAL_ROUTING SITEPROP SLICE_X37Y52 NAME SLICE_X37Y52 SITEPROP SLICE_X37Y52 NUM_ARCS 138 SITEPROP SLICE_X37Y52 NUM_BELS 32 SITEPROP SLICE_X37Y52 NUM_INPUTS 32 SITEPROP SLICE_X37Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y52 NUM_PINS 45 SITEPROP SLICE_X37Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y52 PROHIBIT 0 SITEPROP SLICE_X37Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y52 RPM_X 103 SITEPROP SLICE_X37Y52 RPM_Y 104 SITEPROP SLICE_X37Y52 SITE_PIPS SITEPROP SLICE_X37Y52 SITE_TYPE SLICEL SITEPROP SLICE_X37Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y53 CLASS site SITEPROP SLICE_X37Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y53 IS_BONDED 0 SITEPROP SLICE_X37Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y53 IS_PAD 0 SITEPROP SLICE_X37Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y53 IS_RESERVED 0 SITEPROP SLICE_X37Y53 IS_TEST 0 SITEPROP SLICE_X37Y53 IS_USED 0 SITEPROP SLICE_X37Y53 MANUAL_ROUTING SITEPROP SLICE_X37Y53 NAME SLICE_X37Y53 SITEPROP SLICE_X37Y53 NUM_ARCS 138 SITEPROP SLICE_X37Y53 NUM_BELS 32 SITEPROP SLICE_X37Y53 NUM_INPUTS 32 SITEPROP SLICE_X37Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y53 NUM_PINS 45 SITEPROP SLICE_X37Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y53 PROHIBIT 0 SITEPROP SLICE_X37Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y53 RPM_X 103 SITEPROP SLICE_X37Y53 RPM_Y 106 SITEPROP SLICE_X37Y53 SITE_PIPS SITEPROP SLICE_X37Y53 SITE_TYPE SLICEL SITEPROP SLICE_X37Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y54 CLASS site SITEPROP SLICE_X37Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y54 IS_BONDED 0 SITEPROP SLICE_X37Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y54 IS_PAD 0 SITEPROP SLICE_X37Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y54 IS_RESERVED 0 SITEPROP SLICE_X37Y54 IS_TEST 0 SITEPROP SLICE_X37Y54 IS_USED 0 SITEPROP SLICE_X37Y54 MANUAL_ROUTING SITEPROP SLICE_X37Y54 NAME SLICE_X37Y54 SITEPROP SLICE_X37Y54 NUM_ARCS 138 SITEPROP SLICE_X37Y54 NUM_BELS 32 SITEPROP SLICE_X37Y54 NUM_INPUTS 32 SITEPROP SLICE_X37Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y54 NUM_PINS 45 SITEPROP SLICE_X37Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y54 PROHIBIT 0 SITEPROP SLICE_X37Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y54 RPM_X 103 SITEPROP SLICE_X37Y54 RPM_Y 108 SITEPROP SLICE_X37Y54 SITE_PIPS SITEPROP SLICE_X37Y54 SITE_TYPE SLICEL SITEPROP SLICE_X37Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y55 CLASS site SITEPROP SLICE_X37Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y55 IS_BONDED 0 SITEPROP SLICE_X37Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y55 IS_PAD 0 SITEPROP SLICE_X37Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y55 IS_RESERVED 0 SITEPROP SLICE_X37Y55 IS_TEST 0 SITEPROP SLICE_X37Y55 IS_USED 0 SITEPROP SLICE_X37Y55 MANUAL_ROUTING SITEPROP SLICE_X37Y55 NAME SLICE_X37Y55 SITEPROP SLICE_X37Y55 NUM_ARCS 138 SITEPROP SLICE_X37Y55 NUM_BELS 32 SITEPROP SLICE_X37Y55 NUM_INPUTS 32 SITEPROP SLICE_X37Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y55 NUM_PINS 45 SITEPROP SLICE_X37Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y55 PROHIBIT 0 SITEPROP SLICE_X37Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y55 RPM_X 103 SITEPROP SLICE_X37Y55 RPM_Y 110 SITEPROP SLICE_X37Y55 SITE_PIPS SITEPROP SLICE_X37Y55 SITE_TYPE SLICEL SITEPROP SLICE_X37Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y56 CLASS site SITEPROP SLICE_X37Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y56 IS_BONDED 0 SITEPROP SLICE_X37Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y56 IS_PAD 0 SITEPROP SLICE_X37Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y56 IS_RESERVED 0 SITEPROP SLICE_X37Y56 IS_TEST 0 SITEPROP SLICE_X37Y56 IS_USED 0 SITEPROP SLICE_X37Y56 MANUAL_ROUTING SITEPROP SLICE_X37Y56 NAME SLICE_X37Y56 SITEPROP SLICE_X37Y56 NUM_ARCS 138 SITEPROP SLICE_X37Y56 NUM_BELS 32 SITEPROP SLICE_X37Y56 NUM_INPUTS 32 SITEPROP SLICE_X37Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y56 NUM_PINS 45 SITEPROP SLICE_X37Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y56 PROHIBIT 0 SITEPROP SLICE_X37Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y56 RPM_X 103 SITEPROP SLICE_X37Y56 RPM_Y 112 SITEPROP SLICE_X37Y56 SITE_PIPS SITEPROP SLICE_X37Y56 SITE_TYPE SLICEL SITEPROP SLICE_X37Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y57 CLASS site SITEPROP SLICE_X37Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y57 IS_BONDED 0 SITEPROP SLICE_X37Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y57 IS_PAD 0 SITEPROP SLICE_X37Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y57 IS_RESERVED 0 SITEPROP SLICE_X37Y57 IS_TEST 0 SITEPROP SLICE_X37Y57 IS_USED 0 SITEPROP SLICE_X37Y57 MANUAL_ROUTING SITEPROP SLICE_X37Y57 NAME SLICE_X37Y57 SITEPROP SLICE_X37Y57 NUM_ARCS 138 SITEPROP SLICE_X37Y57 NUM_BELS 32 SITEPROP SLICE_X37Y57 NUM_INPUTS 32 SITEPROP SLICE_X37Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y57 NUM_PINS 45 SITEPROP SLICE_X37Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y57 PROHIBIT 0 SITEPROP SLICE_X37Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y57 RPM_X 103 SITEPROP SLICE_X37Y57 RPM_Y 114 SITEPROP SLICE_X37Y57 SITE_PIPS SITEPROP SLICE_X37Y57 SITE_TYPE SLICEL SITEPROP SLICE_X37Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y58 CLASS site SITEPROP SLICE_X37Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y58 IS_BONDED 0 SITEPROP SLICE_X37Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y58 IS_PAD 0 SITEPROP SLICE_X37Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y58 IS_RESERVED 0 SITEPROP SLICE_X37Y58 IS_TEST 0 SITEPROP SLICE_X37Y58 IS_USED 0 SITEPROP SLICE_X37Y58 MANUAL_ROUTING SITEPROP SLICE_X37Y58 NAME SLICE_X37Y58 SITEPROP SLICE_X37Y58 NUM_ARCS 138 SITEPROP SLICE_X37Y58 NUM_BELS 32 SITEPROP SLICE_X37Y58 NUM_INPUTS 32 SITEPROP SLICE_X37Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y58 NUM_PINS 45 SITEPROP SLICE_X37Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y58 PROHIBIT 0 SITEPROP SLICE_X37Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y58 RPM_X 103 SITEPROP SLICE_X37Y58 RPM_Y 116 SITEPROP SLICE_X37Y58 SITE_PIPS SITEPROP SLICE_X37Y58 SITE_TYPE SLICEL SITEPROP SLICE_X37Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y59 CLASS site SITEPROP SLICE_X37Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y59 IS_BONDED 0 SITEPROP SLICE_X37Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y59 IS_PAD 0 SITEPROP SLICE_X37Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y59 IS_RESERVED 0 SITEPROP SLICE_X37Y59 IS_TEST 0 SITEPROP SLICE_X37Y59 IS_USED 0 SITEPROP SLICE_X37Y59 MANUAL_ROUTING SITEPROP SLICE_X37Y59 NAME SLICE_X37Y59 SITEPROP SLICE_X37Y59 NUM_ARCS 138 SITEPROP SLICE_X37Y59 NUM_BELS 32 SITEPROP SLICE_X37Y59 NUM_INPUTS 32 SITEPROP SLICE_X37Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y59 NUM_PINS 45 SITEPROP SLICE_X37Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y59 PROHIBIT 0 SITEPROP SLICE_X37Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y59 RPM_X 103 SITEPROP SLICE_X37Y59 RPM_Y 118 SITEPROP SLICE_X37Y59 SITE_PIPS SITEPROP SLICE_X37Y59 SITE_TYPE SLICEL SITEPROP SLICE_X37Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y60 CLASS site SITEPROP SLICE_X37Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y60 IS_BONDED 0 SITEPROP SLICE_X37Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y60 IS_PAD 0 SITEPROP SLICE_X37Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y60 IS_RESERVED 0 SITEPROP SLICE_X37Y60 IS_TEST 0 SITEPROP SLICE_X37Y60 IS_USED 0 SITEPROP SLICE_X37Y60 MANUAL_ROUTING SITEPROP SLICE_X37Y60 NAME SLICE_X37Y60 SITEPROP SLICE_X37Y60 NUM_ARCS 138 SITEPROP SLICE_X37Y60 NUM_BELS 32 SITEPROP SLICE_X37Y60 NUM_INPUTS 32 SITEPROP SLICE_X37Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y60 NUM_PINS 45 SITEPROP SLICE_X37Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y60 PROHIBIT 0 SITEPROP SLICE_X37Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y60 RPM_X 103 SITEPROP SLICE_X37Y60 RPM_Y 120 SITEPROP SLICE_X37Y60 SITE_PIPS SITEPROP SLICE_X37Y60 SITE_TYPE SLICEL SITEPROP SLICE_X37Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y61 CLASS site SITEPROP SLICE_X37Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y61 IS_BONDED 0 SITEPROP SLICE_X37Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y61 IS_PAD 0 SITEPROP SLICE_X37Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y61 IS_RESERVED 0 SITEPROP SLICE_X37Y61 IS_TEST 0 SITEPROP SLICE_X37Y61 IS_USED 0 SITEPROP SLICE_X37Y61 MANUAL_ROUTING SITEPROP SLICE_X37Y61 NAME SLICE_X37Y61 SITEPROP SLICE_X37Y61 NUM_ARCS 138 SITEPROP SLICE_X37Y61 NUM_BELS 32 SITEPROP SLICE_X37Y61 NUM_INPUTS 32 SITEPROP SLICE_X37Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y61 NUM_PINS 45 SITEPROP SLICE_X37Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y61 PROHIBIT 0 SITEPROP SLICE_X37Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y61 RPM_X 103 SITEPROP SLICE_X37Y61 RPM_Y 122 SITEPROP SLICE_X37Y61 SITE_PIPS SITEPROP SLICE_X37Y61 SITE_TYPE SLICEL SITEPROP SLICE_X37Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y62 CLASS site SITEPROP SLICE_X37Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y62 IS_BONDED 0 SITEPROP SLICE_X37Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y62 IS_PAD 0 SITEPROP SLICE_X37Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y62 IS_RESERVED 0 SITEPROP SLICE_X37Y62 IS_TEST 0 SITEPROP SLICE_X37Y62 IS_USED 0 SITEPROP SLICE_X37Y62 MANUAL_ROUTING SITEPROP SLICE_X37Y62 NAME SLICE_X37Y62 SITEPROP SLICE_X37Y62 NUM_ARCS 138 SITEPROP SLICE_X37Y62 NUM_BELS 32 SITEPROP SLICE_X37Y62 NUM_INPUTS 32 SITEPROP SLICE_X37Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y62 NUM_PINS 45 SITEPROP SLICE_X37Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y62 PROHIBIT 0 SITEPROP SLICE_X37Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y62 RPM_X 103 SITEPROP SLICE_X37Y62 RPM_Y 124 SITEPROP SLICE_X37Y62 SITE_PIPS SITEPROP SLICE_X37Y62 SITE_TYPE SLICEL SITEPROP SLICE_X37Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y63 CLASS site SITEPROP SLICE_X37Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y63 IS_BONDED 0 SITEPROP SLICE_X37Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y63 IS_PAD 0 SITEPROP SLICE_X37Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y63 IS_RESERVED 0 SITEPROP SLICE_X37Y63 IS_TEST 0 SITEPROP SLICE_X37Y63 IS_USED 0 SITEPROP SLICE_X37Y63 MANUAL_ROUTING SITEPROP SLICE_X37Y63 NAME SLICE_X37Y63 SITEPROP SLICE_X37Y63 NUM_ARCS 138 SITEPROP SLICE_X37Y63 NUM_BELS 32 SITEPROP SLICE_X37Y63 NUM_INPUTS 32 SITEPROP SLICE_X37Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y63 NUM_PINS 45 SITEPROP SLICE_X37Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y63 PROHIBIT 0 SITEPROP SLICE_X37Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y63 RPM_X 103 SITEPROP SLICE_X37Y63 RPM_Y 126 SITEPROP SLICE_X37Y63 SITE_PIPS SITEPROP SLICE_X37Y63 SITE_TYPE SLICEL SITEPROP SLICE_X37Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y64 CLASS site SITEPROP SLICE_X37Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y64 IS_BONDED 0 SITEPROP SLICE_X37Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y64 IS_PAD 0 SITEPROP SLICE_X37Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y64 IS_RESERVED 0 SITEPROP SLICE_X37Y64 IS_TEST 0 SITEPROP SLICE_X37Y64 IS_USED 0 SITEPROP SLICE_X37Y64 MANUAL_ROUTING SITEPROP SLICE_X37Y64 NAME SLICE_X37Y64 SITEPROP SLICE_X37Y64 NUM_ARCS 138 SITEPROP SLICE_X37Y64 NUM_BELS 32 SITEPROP SLICE_X37Y64 NUM_INPUTS 32 SITEPROP SLICE_X37Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y64 NUM_PINS 45 SITEPROP SLICE_X37Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y64 PROHIBIT 0 SITEPROP SLICE_X37Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y64 RPM_X 103 SITEPROP SLICE_X37Y64 RPM_Y 128 SITEPROP SLICE_X37Y64 SITE_PIPS SITEPROP SLICE_X37Y64 SITE_TYPE SLICEL SITEPROP SLICE_X37Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y65 CLASS site SITEPROP SLICE_X37Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y65 IS_BONDED 0 SITEPROP SLICE_X37Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y65 IS_PAD 0 SITEPROP SLICE_X37Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y65 IS_RESERVED 0 SITEPROP SLICE_X37Y65 IS_TEST 0 SITEPROP SLICE_X37Y65 IS_USED 0 SITEPROP SLICE_X37Y65 MANUAL_ROUTING SITEPROP SLICE_X37Y65 NAME SLICE_X37Y65 SITEPROP SLICE_X37Y65 NUM_ARCS 138 SITEPROP SLICE_X37Y65 NUM_BELS 32 SITEPROP SLICE_X37Y65 NUM_INPUTS 32 SITEPROP SLICE_X37Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y65 NUM_PINS 45 SITEPROP SLICE_X37Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y65 PROHIBIT 0 SITEPROP SLICE_X37Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y65 RPM_X 103 SITEPROP SLICE_X37Y65 RPM_Y 130 SITEPROP SLICE_X37Y65 SITE_PIPS SITEPROP SLICE_X37Y65 SITE_TYPE SLICEL SITEPROP SLICE_X37Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y66 CLASS site SITEPROP SLICE_X37Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y66 IS_BONDED 0 SITEPROP SLICE_X37Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y66 IS_PAD 0 SITEPROP SLICE_X37Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y66 IS_RESERVED 0 SITEPROP SLICE_X37Y66 IS_TEST 0 SITEPROP SLICE_X37Y66 IS_USED 0 SITEPROP SLICE_X37Y66 MANUAL_ROUTING SITEPROP SLICE_X37Y66 NAME SLICE_X37Y66 SITEPROP SLICE_X37Y66 NUM_ARCS 138 SITEPROP SLICE_X37Y66 NUM_BELS 32 SITEPROP SLICE_X37Y66 NUM_INPUTS 32 SITEPROP SLICE_X37Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y66 NUM_PINS 45 SITEPROP SLICE_X37Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y66 PROHIBIT 0 SITEPROP SLICE_X37Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y66 RPM_X 103 SITEPROP SLICE_X37Y66 RPM_Y 132 SITEPROP SLICE_X37Y66 SITE_PIPS SITEPROP SLICE_X37Y66 SITE_TYPE SLICEL SITEPROP SLICE_X37Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y67 CLASS site SITEPROP SLICE_X37Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y67 IS_BONDED 0 SITEPROP SLICE_X37Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y67 IS_PAD 0 SITEPROP SLICE_X37Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y67 IS_RESERVED 0 SITEPROP SLICE_X37Y67 IS_TEST 0 SITEPROP SLICE_X37Y67 IS_USED 0 SITEPROP SLICE_X37Y67 MANUAL_ROUTING SITEPROP SLICE_X37Y67 NAME SLICE_X37Y67 SITEPROP SLICE_X37Y67 NUM_ARCS 138 SITEPROP SLICE_X37Y67 NUM_BELS 32 SITEPROP SLICE_X37Y67 NUM_INPUTS 32 SITEPROP SLICE_X37Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y67 NUM_PINS 45 SITEPROP SLICE_X37Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y67 PROHIBIT 0 SITEPROP SLICE_X37Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y67 RPM_X 103 SITEPROP SLICE_X37Y67 RPM_Y 134 SITEPROP SLICE_X37Y67 SITE_PIPS SITEPROP SLICE_X37Y67 SITE_TYPE SLICEL SITEPROP SLICE_X37Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y68 CLASS site SITEPROP SLICE_X37Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y68 IS_BONDED 0 SITEPROP SLICE_X37Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y68 IS_PAD 0 SITEPROP SLICE_X37Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y68 IS_RESERVED 0 SITEPROP SLICE_X37Y68 IS_TEST 0 SITEPROP SLICE_X37Y68 IS_USED 0 SITEPROP SLICE_X37Y68 MANUAL_ROUTING SITEPROP SLICE_X37Y68 NAME SLICE_X37Y68 SITEPROP SLICE_X37Y68 NUM_ARCS 138 SITEPROP SLICE_X37Y68 NUM_BELS 32 SITEPROP SLICE_X37Y68 NUM_INPUTS 32 SITEPROP SLICE_X37Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y68 NUM_PINS 45 SITEPROP SLICE_X37Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y68 PROHIBIT 0 SITEPROP SLICE_X37Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y68 RPM_X 103 SITEPROP SLICE_X37Y68 RPM_Y 136 SITEPROP SLICE_X37Y68 SITE_PIPS SITEPROP SLICE_X37Y68 SITE_TYPE SLICEL SITEPROP SLICE_X37Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y69 CLASS site SITEPROP SLICE_X37Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y69 IS_BONDED 0 SITEPROP SLICE_X37Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y69 IS_PAD 0 SITEPROP SLICE_X37Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y69 IS_RESERVED 0 SITEPROP SLICE_X37Y69 IS_TEST 0 SITEPROP SLICE_X37Y69 IS_USED 0 SITEPROP SLICE_X37Y69 MANUAL_ROUTING SITEPROP SLICE_X37Y69 NAME SLICE_X37Y69 SITEPROP SLICE_X37Y69 NUM_ARCS 138 SITEPROP SLICE_X37Y69 NUM_BELS 32 SITEPROP SLICE_X37Y69 NUM_INPUTS 32 SITEPROP SLICE_X37Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y69 NUM_PINS 45 SITEPROP SLICE_X37Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y69 PROHIBIT 0 SITEPROP SLICE_X37Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y69 RPM_X 103 SITEPROP SLICE_X37Y69 RPM_Y 138 SITEPROP SLICE_X37Y69 SITE_PIPS SITEPROP SLICE_X37Y69 SITE_TYPE SLICEL SITEPROP SLICE_X37Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y70 CLASS site SITEPROP SLICE_X37Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y70 IS_BONDED 0 SITEPROP SLICE_X37Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y70 IS_PAD 0 SITEPROP SLICE_X37Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y70 IS_RESERVED 0 SITEPROP SLICE_X37Y70 IS_TEST 0 SITEPROP SLICE_X37Y70 IS_USED 0 SITEPROP SLICE_X37Y70 MANUAL_ROUTING SITEPROP SLICE_X37Y70 NAME SLICE_X37Y70 SITEPROP SLICE_X37Y70 NUM_ARCS 138 SITEPROP SLICE_X37Y70 NUM_BELS 32 SITEPROP SLICE_X37Y70 NUM_INPUTS 32 SITEPROP SLICE_X37Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y70 NUM_PINS 45 SITEPROP SLICE_X37Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y70 PROHIBIT 0 SITEPROP SLICE_X37Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y70 RPM_X 103 SITEPROP SLICE_X37Y70 RPM_Y 140 SITEPROP SLICE_X37Y70 SITE_PIPS SITEPROP SLICE_X37Y70 SITE_TYPE SLICEL SITEPROP SLICE_X37Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y71 CLASS site SITEPROP SLICE_X37Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y71 IS_BONDED 0 SITEPROP SLICE_X37Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y71 IS_PAD 0 SITEPROP SLICE_X37Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y71 IS_RESERVED 0 SITEPROP SLICE_X37Y71 IS_TEST 0 SITEPROP SLICE_X37Y71 IS_USED 0 SITEPROP SLICE_X37Y71 MANUAL_ROUTING SITEPROP SLICE_X37Y71 NAME SLICE_X37Y71 SITEPROP SLICE_X37Y71 NUM_ARCS 138 SITEPROP SLICE_X37Y71 NUM_BELS 32 SITEPROP SLICE_X37Y71 NUM_INPUTS 32 SITEPROP SLICE_X37Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y71 NUM_PINS 45 SITEPROP SLICE_X37Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y71 PROHIBIT 0 SITEPROP SLICE_X37Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y71 RPM_X 103 SITEPROP SLICE_X37Y71 RPM_Y 142 SITEPROP SLICE_X37Y71 SITE_PIPS SITEPROP SLICE_X37Y71 SITE_TYPE SLICEL SITEPROP SLICE_X37Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y72 CLASS site SITEPROP SLICE_X37Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y72 IS_BONDED 0 SITEPROP SLICE_X37Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y72 IS_PAD 0 SITEPROP SLICE_X37Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y72 IS_RESERVED 0 SITEPROP SLICE_X37Y72 IS_TEST 0 SITEPROP SLICE_X37Y72 IS_USED 0 SITEPROP SLICE_X37Y72 MANUAL_ROUTING SITEPROP SLICE_X37Y72 NAME SLICE_X37Y72 SITEPROP SLICE_X37Y72 NUM_ARCS 138 SITEPROP SLICE_X37Y72 NUM_BELS 32 SITEPROP SLICE_X37Y72 NUM_INPUTS 32 SITEPROP SLICE_X37Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y72 NUM_PINS 45 SITEPROP SLICE_X37Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y72 PROHIBIT 0 SITEPROP SLICE_X37Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y72 RPM_X 103 SITEPROP SLICE_X37Y72 RPM_Y 144 SITEPROP SLICE_X37Y72 SITE_PIPS SITEPROP SLICE_X37Y72 SITE_TYPE SLICEL SITEPROP SLICE_X37Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y73 CLASS site SITEPROP SLICE_X37Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y73 IS_BONDED 0 SITEPROP SLICE_X37Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y73 IS_PAD 0 SITEPROP SLICE_X37Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y73 IS_RESERVED 0 SITEPROP SLICE_X37Y73 IS_TEST 0 SITEPROP SLICE_X37Y73 IS_USED 0 SITEPROP SLICE_X37Y73 MANUAL_ROUTING SITEPROP SLICE_X37Y73 NAME SLICE_X37Y73 SITEPROP SLICE_X37Y73 NUM_ARCS 138 SITEPROP SLICE_X37Y73 NUM_BELS 32 SITEPROP SLICE_X37Y73 NUM_INPUTS 32 SITEPROP SLICE_X37Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y73 NUM_PINS 45 SITEPROP SLICE_X37Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y73 PROHIBIT 0 SITEPROP SLICE_X37Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y73 RPM_X 103 SITEPROP SLICE_X37Y73 RPM_Y 146 SITEPROP SLICE_X37Y73 SITE_PIPS SITEPROP SLICE_X37Y73 SITE_TYPE SLICEL SITEPROP SLICE_X37Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y74 CLASS site SITEPROP SLICE_X37Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y74 IS_BONDED 0 SITEPROP SLICE_X37Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y74 IS_PAD 0 SITEPROP SLICE_X37Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y74 IS_RESERVED 0 SITEPROP SLICE_X37Y74 IS_TEST 0 SITEPROP SLICE_X37Y74 IS_USED 0 SITEPROP SLICE_X37Y74 MANUAL_ROUTING SITEPROP SLICE_X37Y74 NAME SLICE_X37Y74 SITEPROP SLICE_X37Y74 NUM_ARCS 138 SITEPROP SLICE_X37Y74 NUM_BELS 32 SITEPROP SLICE_X37Y74 NUM_INPUTS 32 SITEPROP SLICE_X37Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y74 NUM_PINS 45 SITEPROP SLICE_X37Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y74 PROHIBIT 0 SITEPROP SLICE_X37Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y74 RPM_X 103 SITEPROP SLICE_X37Y74 RPM_Y 148 SITEPROP SLICE_X37Y74 SITE_PIPS SITEPROP SLICE_X37Y74 SITE_TYPE SLICEL SITEPROP SLICE_X37Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y75 CLASS site SITEPROP SLICE_X37Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y75 IS_BONDED 0 SITEPROP SLICE_X37Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y75 IS_PAD 0 SITEPROP SLICE_X37Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y75 IS_RESERVED 0 SITEPROP SLICE_X37Y75 IS_TEST 0 SITEPROP SLICE_X37Y75 IS_USED 0 SITEPROP SLICE_X37Y75 MANUAL_ROUTING SITEPROP SLICE_X37Y75 NAME SLICE_X37Y75 SITEPROP SLICE_X37Y75 NUM_ARCS 138 SITEPROP SLICE_X37Y75 NUM_BELS 32 SITEPROP SLICE_X37Y75 NUM_INPUTS 32 SITEPROP SLICE_X37Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y75 NUM_PINS 45 SITEPROP SLICE_X37Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y75 PROHIBIT 0 SITEPROP SLICE_X37Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y75 RPM_X 103 SITEPROP SLICE_X37Y75 RPM_Y 150 SITEPROP SLICE_X37Y75 SITE_PIPS SITEPROP SLICE_X37Y75 SITE_TYPE SLICEL SITEPROP SLICE_X37Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y76 CLASS site SITEPROP SLICE_X37Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y76 IS_BONDED 0 SITEPROP SLICE_X37Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y76 IS_PAD 0 SITEPROP SLICE_X37Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y76 IS_RESERVED 0 SITEPROP SLICE_X37Y76 IS_TEST 0 SITEPROP SLICE_X37Y76 IS_USED 0 SITEPROP SLICE_X37Y76 MANUAL_ROUTING SITEPROP SLICE_X37Y76 NAME SLICE_X37Y76 SITEPROP SLICE_X37Y76 NUM_ARCS 138 SITEPROP SLICE_X37Y76 NUM_BELS 32 SITEPROP SLICE_X37Y76 NUM_INPUTS 32 SITEPROP SLICE_X37Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y76 NUM_PINS 45 SITEPROP SLICE_X37Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y76 PROHIBIT 0 SITEPROP SLICE_X37Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y76 RPM_X 103 SITEPROP SLICE_X37Y76 RPM_Y 152 SITEPROP SLICE_X37Y76 SITE_PIPS SITEPROP SLICE_X37Y76 SITE_TYPE SLICEL SITEPROP SLICE_X37Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y77 CLASS site SITEPROP SLICE_X37Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y77 IS_BONDED 0 SITEPROP SLICE_X37Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y77 IS_PAD 0 SITEPROP SLICE_X37Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y77 IS_RESERVED 0 SITEPROP SLICE_X37Y77 IS_TEST 0 SITEPROP SLICE_X37Y77 IS_USED 0 SITEPROP SLICE_X37Y77 MANUAL_ROUTING SITEPROP SLICE_X37Y77 NAME SLICE_X37Y77 SITEPROP SLICE_X37Y77 NUM_ARCS 138 SITEPROP SLICE_X37Y77 NUM_BELS 32 SITEPROP SLICE_X37Y77 NUM_INPUTS 32 SITEPROP SLICE_X37Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y77 NUM_PINS 45 SITEPROP SLICE_X37Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y77 PROHIBIT 0 SITEPROP SLICE_X37Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y77 RPM_X 103 SITEPROP SLICE_X37Y77 RPM_Y 154 SITEPROP SLICE_X37Y77 SITE_PIPS SITEPROP SLICE_X37Y77 SITE_TYPE SLICEL SITEPROP SLICE_X37Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y78 CLASS site SITEPROP SLICE_X37Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y78 IS_BONDED 0 SITEPROP SLICE_X37Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y78 IS_PAD 0 SITEPROP SLICE_X37Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y78 IS_RESERVED 0 SITEPROP SLICE_X37Y78 IS_TEST 0 SITEPROP SLICE_X37Y78 IS_USED 0 SITEPROP SLICE_X37Y78 MANUAL_ROUTING SITEPROP SLICE_X37Y78 NAME SLICE_X37Y78 SITEPROP SLICE_X37Y78 NUM_ARCS 138 SITEPROP SLICE_X37Y78 NUM_BELS 32 SITEPROP SLICE_X37Y78 NUM_INPUTS 32 SITEPROP SLICE_X37Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y78 NUM_PINS 45 SITEPROP SLICE_X37Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y78 PROHIBIT 0 SITEPROP SLICE_X37Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y78 RPM_X 103 SITEPROP SLICE_X37Y78 RPM_Y 156 SITEPROP SLICE_X37Y78 SITE_PIPS SITEPROP SLICE_X37Y78 SITE_TYPE SLICEL SITEPROP SLICE_X37Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y79 CLASS site SITEPROP SLICE_X37Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y79 IS_BONDED 0 SITEPROP SLICE_X37Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y79 IS_PAD 0 SITEPROP SLICE_X37Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y79 IS_RESERVED 0 SITEPROP SLICE_X37Y79 IS_TEST 0 SITEPROP SLICE_X37Y79 IS_USED 0 SITEPROP SLICE_X37Y79 MANUAL_ROUTING SITEPROP SLICE_X37Y79 NAME SLICE_X37Y79 SITEPROP SLICE_X37Y79 NUM_ARCS 138 SITEPROP SLICE_X37Y79 NUM_BELS 32 SITEPROP SLICE_X37Y79 NUM_INPUTS 32 SITEPROP SLICE_X37Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y79 NUM_PINS 45 SITEPROP SLICE_X37Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y79 PROHIBIT 0 SITEPROP SLICE_X37Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y79 RPM_X 103 SITEPROP SLICE_X37Y79 RPM_Y 158 SITEPROP SLICE_X37Y79 SITE_PIPS SITEPROP SLICE_X37Y79 SITE_TYPE SLICEL SITEPROP SLICE_X37Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y80 CLASS site SITEPROP SLICE_X37Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y80 IS_BONDED 0 SITEPROP SLICE_X37Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y80 IS_PAD 0 SITEPROP SLICE_X37Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y80 IS_RESERVED 0 SITEPROP SLICE_X37Y80 IS_TEST 0 SITEPROP SLICE_X37Y80 IS_USED 0 SITEPROP SLICE_X37Y80 MANUAL_ROUTING SITEPROP SLICE_X37Y80 NAME SLICE_X37Y80 SITEPROP SLICE_X37Y80 NUM_ARCS 138 SITEPROP SLICE_X37Y80 NUM_BELS 32 SITEPROP SLICE_X37Y80 NUM_INPUTS 32 SITEPROP SLICE_X37Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y80 NUM_PINS 45 SITEPROP SLICE_X37Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y80 PROHIBIT 0 SITEPROP SLICE_X37Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y80 RPM_X 103 SITEPROP SLICE_X37Y80 RPM_Y 160 SITEPROP SLICE_X37Y80 SITE_PIPS SITEPROP SLICE_X37Y80 SITE_TYPE SLICEL SITEPROP SLICE_X37Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y81 CLASS site SITEPROP SLICE_X37Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y81 IS_BONDED 0 SITEPROP SLICE_X37Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y81 IS_PAD 0 SITEPROP SLICE_X37Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y81 IS_RESERVED 0 SITEPROP SLICE_X37Y81 IS_TEST 0 SITEPROP SLICE_X37Y81 IS_USED 0 SITEPROP SLICE_X37Y81 MANUAL_ROUTING SITEPROP SLICE_X37Y81 NAME SLICE_X37Y81 SITEPROP SLICE_X37Y81 NUM_ARCS 138 SITEPROP SLICE_X37Y81 NUM_BELS 32 SITEPROP SLICE_X37Y81 NUM_INPUTS 32 SITEPROP SLICE_X37Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y81 NUM_PINS 45 SITEPROP SLICE_X37Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y81 PROHIBIT 0 SITEPROP SLICE_X37Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y81 RPM_X 103 SITEPROP SLICE_X37Y81 RPM_Y 162 SITEPROP SLICE_X37Y81 SITE_PIPS SITEPROP SLICE_X37Y81 SITE_TYPE SLICEL SITEPROP SLICE_X37Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y82 CLASS site SITEPROP SLICE_X37Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y82 IS_BONDED 0 SITEPROP SLICE_X37Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y82 IS_PAD 0 SITEPROP SLICE_X37Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y82 IS_RESERVED 0 SITEPROP SLICE_X37Y82 IS_TEST 0 SITEPROP SLICE_X37Y82 IS_USED 0 SITEPROP SLICE_X37Y82 MANUAL_ROUTING SITEPROP SLICE_X37Y82 NAME SLICE_X37Y82 SITEPROP SLICE_X37Y82 NUM_ARCS 138 SITEPROP SLICE_X37Y82 NUM_BELS 32 SITEPROP SLICE_X37Y82 NUM_INPUTS 32 SITEPROP SLICE_X37Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y82 NUM_PINS 45 SITEPROP SLICE_X37Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y82 PROHIBIT 0 SITEPROP SLICE_X37Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y82 RPM_X 103 SITEPROP SLICE_X37Y82 RPM_Y 164 SITEPROP SLICE_X37Y82 SITE_PIPS SITEPROP SLICE_X37Y82 SITE_TYPE SLICEL SITEPROP SLICE_X37Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y83 CLASS site SITEPROP SLICE_X37Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y83 IS_BONDED 0 SITEPROP SLICE_X37Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y83 IS_PAD 0 SITEPROP SLICE_X37Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y83 IS_RESERVED 0 SITEPROP SLICE_X37Y83 IS_TEST 0 SITEPROP SLICE_X37Y83 IS_USED 0 SITEPROP SLICE_X37Y83 MANUAL_ROUTING SITEPROP SLICE_X37Y83 NAME SLICE_X37Y83 SITEPROP SLICE_X37Y83 NUM_ARCS 138 SITEPROP SLICE_X37Y83 NUM_BELS 32 SITEPROP SLICE_X37Y83 NUM_INPUTS 32 SITEPROP SLICE_X37Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y83 NUM_PINS 45 SITEPROP SLICE_X37Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y83 PROHIBIT 0 SITEPROP SLICE_X37Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y83 RPM_X 103 SITEPROP SLICE_X37Y83 RPM_Y 166 SITEPROP SLICE_X37Y83 SITE_PIPS SITEPROP SLICE_X37Y83 SITE_TYPE SLICEL SITEPROP SLICE_X37Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y84 CLASS site SITEPROP SLICE_X37Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y84 IS_BONDED 0 SITEPROP SLICE_X37Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y84 IS_PAD 0 SITEPROP SLICE_X37Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y84 IS_RESERVED 0 SITEPROP SLICE_X37Y84 IS_TEST 0 SITEPROP SLICE_X37Y84 IS_USED 0 SITEPROP SLICE_X37Y84 MANUAL_ROUTING SITEPROP SLICE_X37Y84 NAME SLICE_X37Y84 SITEPROP SLICE_X37Y84 NUM_ARCS 138 SITEPROP SLICE_X37Y84 NUM_BELS 32 SITEPROP SLICE_X37Y84 NUM_INPUTS 32 SITEPROP SLICE_X37Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y84 NUM_PINS 45 SITEPROP SLICE_X37Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y84 PROHIBIT 0 SITEPROP SLICE_X37Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y84 RPM_X 103 SITEPROP SLICE_X37Y84 RPM_Y 168 SITEPROP SLICE_X37Y84 SITE_PIPS SITEPROP SLICE_X37Y84 SITE_TYPE SLICEL SITEPROP SLICE_X37Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y85 CLASS site SITEPROP SLICE_X37Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y85 IS_BONDED 0 SITEPROP SLICE_X37Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y85 IS_PAD 0 SITEPROP SLICE_X37Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y85 IS_RESERVED 0 SITEPROP SLICE_X37Y85 IS_TEST 0 SITEPROP SLICE_X37Y85 IS_USED 0 SITEPROP SLICE_X37Y85 MANUAL_ROUTING SITEPROP SLICE_X37Y85 NAME SLICE_X37Y85 SITEPROP SLICE_X37Y85 NUM_ARCS 138 SITEPROP SLICE_X37Y85 NUM_BELS 32 SITEPROP SLICE_X37Y85 NUM_INPUTS 32 SITEPROP SLICE_X37Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y85 NUM_PINS 45 SITEPROP SLICE_X37Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y85 PROHIBIT 0 SITEPROP SLICE_X37Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y85 RPM_X 103 SITEPROP SLICE_X37Y85 RPM_Y 170 SITEPROP SLICE_X37Y85 SITE_PIPS SITEPROP SLICE_X37Y85 SITE_TYPE SLICEL SITEPROP SLICE_X37Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y86 CLASS site SITEPROP SLICE_X37Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y86 IS_BONDED 0 SITEPROP SLICE_X37Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y86 IS_PAD 0 SITEPROP SLICE_X37Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y86 IS_RESERVED 0 SITEPROP SLICE_X37Y86 IS_TEST 0 SITEPROP SLICE_X37Y86 IS_USED 0 SITEPROP SLICE_X37Y86 MANUAL_ROUTING SITEPROP SLICE_X37Y86 NAME SLICE_X37Y86 SITEPROP SLICE_X37Y86 NUM_ARCS 138 SITEPROP SLICE_X37Y86 NUM_BELS 32 SITEPROP SLICE_X37Y86 NUM_INPUTS 32 SITEPROP SLICE_X37Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y86 NUM_PINS 45 SITEPROP SLICE_X37Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y86 PROHIBIT 0 SITEPROP SLICE_X37Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y86 RPM_X 103 SITEPROP SLICE_X37Y86 RPM_Y 172 SITEPROP SLICE_X37Y86 SITE_PIPS SITEPROP SLICE_X37Y86 SITE_TYPE SLICEL SITEPROP SLICE_X37Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y87 CLASS site SITEPROP SLICE_X37Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y87 IS_BONDED 0 SITEPROP SLICE_X37Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y87 IS_PAD 0 SITEPROP SLICE_X37Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y87 IS_RESERVED 0 SITEPROP SLICE_X37Y87 IS_TEST 0 SITEPROP SLICE_X37Y87 IS_USED 0 SITEPROP SLICE_X37Y87 MANUAL_ROUTING SITEPROP SLICE_X37Y87 NAME SLICE_X37Y87 SITEPROP SLICE_X37Y87 NUM_ARCS 138 SITEPROP SLICE_X37Y87 NUM_BELS 32 SITEPROP SLICE_X37Y87 NUM_INPUTS 32 SITEPROP SLICE_X37Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y87 NUM_PINS 45 SITEPROP SLICE_X37Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y87 PROHIBIT 0 SITEPROP SLICE_X37Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y87 RPM_X 103 SITEPROP SLICE_X37Y87 RPM_Y 174 SITEPROP SLICE_X37Y87 SITE_PIPS SITEPROP SLICE_X37Y87 SITE_TYPE SLICEL SITEPROP SLICE_X37Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y88 CLASS site SITEPROP SLICE_X37Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y88 IS_BONDED 0 SITEPROP SLICE_X37Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y88 IS_PAD 0 SITEPROP SLICE_X37Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y88 IS_RESERVED 0 SITEPROP SLICE_X37Y88 IS_TEST 0 SITEPROP SLICE_X37Y88 IS_USED 0 SITEPROP SLICE_X37Y88 MANUAL_ROUTING SITEPROP SLICE_X37Y88 NAME SLICE_X37Y88 SITEPROP SLICE_X37Y88 NUM_ARCS 138 SITEPROP SLICE_X37Y88 NUM_BELS 32 SITEPROP SLICE_X37Y88 NUM_INPUTS 32 SITEPROP SLICE_X37Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y88 NUM_PINS 45 SITEPROP SLICE_X37Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y88 PROHIBIT 0 SITEPROP SLICE_X37Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y88 RPM_X 103 SITEPROP SLICE_X37Y88 RPM_Y 176 SITEPROP SLICE_X37Y88 SITE_PIPS SITEPROP SLICE_X37Y88 SITE_TYPE SLICEL SITEPROP SLICE_X37Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y89 CLASS site SITEPROP SLICE_X37Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y89 IS_BONDED 0 SITEPROP SLICE_X37Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y89 IS_PAD 0 SITEPROP SLICE_X37Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y89 IS_RESERVED 0 SITEPROP SLICE_X37Y89 IS_TEST 0 SITEPROP SLICE_X37Y89 IS_USED 0 SITEPROP SLICE_X37Y89 MANUAL_ROUTING SITEPROP SLICE_X37Y89 NAME SLICE_X37Y89 SITEPROP SLICE_X37Y89 NUM_ARCS 138 SITEPROP SLICE_X37Y89 NUM_BELS 32 SITEPROP SLICE_X37Y89 NUM_INPUTS 32 SITEPROP SLICE_X37Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y89 NUM_PINS 45 SITEPROP SLICE_X37Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y89 PROHIBIT 0 SITEPROP SLICE_X37Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y89 RPM_X 103 SITEPROP SLICE_X37Y89 RPM_Y 178 SITEPROP SLICE_X37Y89 SITE_PIPS SITEPROP SLICE_X37Y89 SITE_TYPE SLICEL SITEPROP SLICE_X37Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y90 CLASS site SITEPROP SLICE_X37Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y90 IS_BONDED 0 SITEPROP SLICE_X37Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y90 IS_PAD 0 SITEPROP SLICE_X37Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y90 IS_RESERVED 0 SITEPROP SLICE_X37Y90 IS_TEST 0 SITEPROP SLICE_X37Y90 IS_USED 0 SITEPROP SLICE_X37Y90 MANUAL_ROUTING SITEPROP SLICE_X37Y90 NAME SLICE_X37Y90 SITEPROP SLICE_X37Y90 NUM_ARCS 138 SITEPROP SLICE_X37Y90 NUM_BELS 32 SITEPROP SLICE_X37Y90 NUM_INPUTS 32 SITEPROP SLICE_X37Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y90 NUM_PINS 45 SITEPROP SLICE_X37Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y90 PROHIBIT 0 SITEPROP SLICE_X37Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y90 RPM_X 103 SITEPROP SLICE_X37Y90 RPM_Y 180 SITEPROP SLICE_X37Y90 SITE_PIPS SITEPROP SLICE_X37Y90 SITE_TYPE SLICEL SITEPROP SLICE_X37Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y91 CLASS site SITEPROP SLICE_X37Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y91 IS_BONDED 0 SITEPROP SLICE_X37Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y91 IS_PAD 0 SITEPROP SLICE_X37Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y91 IS_RESERVED 0 SITEPROP SLICE_X37Y91 IS_TEST 0 SITEPROP SLICE_X37Y91 IS_USED 0 SITEPROP SLICE_X37Y91 MANUAL_ROUTING SITEPROP SLICE_X37Y91 NAME SLICE_X37Y91 SITEPROP SLICE_X37Y91 NUM_ARCS 138 SITEPROP SLICE_X37Y91 NUM_BELS 32 SITEPROP SLICE_X37Y91 NUM_INPUTS 32 SITEPROP SLICE_X37Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y91 NUM_PINS 45 SITEPROP SLICE_X37Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y91 PROHIBIT 0 SITEPROP SLICE_X37Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y91 RPM_X 103 SITEPROP SLICE_X37Y91 RPM_Y 182 SITEPROP SLICE_X37Y91 SITE_PIPS SITEPROP SLICE_X37Y91 SITE_TYPE SLICEL SITEPROP SLICE_X37Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y92 CLASS site SITEPROP SLICE_X37Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y92 IS_BONDED 0 SITEPROP SLICE_X37Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y92 IS_PAD 0 SITEPROP SLICE_X37Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y92 IS_RESERVED 0 SITEPROP SLICE_X37Y92 IS_TEST 0 SITEPROP SLICE_X37Y92 IS_USED 0 SITEPROP SLICE_X37Y92 MANUAL_ROUTING SITEPROP SLICE_X37Y92 NAME SLICE_X37Y92 SITEPROP SLICE_X37Y92 NUM_ARCS 138 SITEPROP SLICE_X37Y92 NUM_BELS 32 SITEPROP SLICE_X37Y92 NUM_INPUTS 32 SITEPROP SLICE_X37Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y92 NUM_PINS 45 SITEPROP SLICE_X37Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y92 PROHIBIT 0 SITEPROP SLICE_X37Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y92 RPM_X 103 SITEPROP SLICE_X37Y92 RPM_Y 184 SITEPROP SLICE_X37Y92 SITE_PIPS SITEPROP SLICE_X37Y92 SITE_TYPE SLICEL SITEPROP SLICE_X37Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y93 CLASS site SITEPROP SLICE_X37Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y93 IS_BONDED 0 SITEPROP SLICE_X37Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y93 IS_PAD 0 SITEPROP SLICE_X37Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y93 IS_RESERVED 0 SITEPROP SLICE_X37Y93 IS_TEST 0 SITEPROP SLICE_X37Y93 IS_USED 0 SITEPROP SLICE_X37Y93 MANUAL_ROUTING SITEPROP SLICE_X37Y93 NAME SLICE_X37Y93 SITEPROP SLICE_X37Y93 NUM_ARCS 138 SITEPROP SLICE_X37Y93 NUM_BELS 32 SITEPROP SLICE_X37Y93 NUM_INPUTS 32 SITEPROP SLICE_X37Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y93 NUM_PINS 45 SITEPROP SLICE_X37Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y93 PROHIBIT 0 SITEPROP SLICE_X37Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y93 RPM_X 103 SITEPROP SLICE_X37Y93 RPM_Y 186 SITEPROP SLICE_X37Y93 SITE_PIPS SITEPROP SLICE_X37Y93 SITE_TYPE SLICEL SITEPROP SLICE_X37Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y94 CLASS site SITEPROP SLICE_X37Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y94 IS_BONDED 0 SITEPROP SLICE_X37Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y94 IS_PAD 0 SITEPROP SLICE_X37Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y94 IS_RESERVED 0 SITEPROP SLICE_X37Y94 IS_TEST 0 SITEPROP SLICE_X37Y94 IS_USED 0 SITEPROP SLICE_X37Y94 MANUAL_ROUTING SITEPROP SLICE_X37Y94 NAME SLICE_X37Y94 SITEPROP SLICE_X37Y94 NUM_ARCS 138 SITEPROP SLICE_X37Y94 NUM_BELS 32 SITEPROP SLICE_X37Y94 NUM_INPUTS 32 SITEPROP SLICE_X37Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y94 NUM_PINS 45 SITEPROP SLICE_X37Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y94 PROHIBIT 0 SITEPROP SLICE_X37Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y94 RPM_X 103 SITEPROP SLICE_X37Y94 RPM_Y 188 SITEPROP SLICE_X37Y94 SITE_PIPS SITEPROP SLICE_X37Y94 SITE_TYPE SLICEL SITEPROP SLICE_X37Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y95 CLASS site SITEPROP SLICE_X37Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y95 IS_BONDED 0 SITEPROP SLICE_X37Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y95 IS_PAD 0 SITEPROP SLICE_X37Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y95 IS_RESERVED 0 SITEPROP SLICE_X37Y95 IS_TEST 0 SITEPROP SLICE_X37Y95 IS_USED 0 SITEPROP SLICE_X37Y95 MANUAL_ROUTING SITEPROP SLICE_X37Y95 NAME SLICE_X37Y95 SITEPROP SLICE_X37Y95 NUM_ARCS 138 SITEPROP SLICE_X37Y95 NUM_BELS 32 SITEPROP SLICE_X37Y95 NUM_INPUTS 32 SITEPROP SLICE_X37Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y95 NUM_PINS 45 SITEPROP SLICE_X37Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y95 PROHIBIT 0 SITEPROP SLICE_X37Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y95 RPM_X 103 SITEPROP SLICE_X37Y95 RPM_Y 190 SITEPROP SLICE_X37Y95 SITE_PIPS SITEPROP SLICE_X37Y95 SITE_TYPE SLICEL SITEPROP SLICE_X37Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y96 CLASS site SITEPROP SLICE_X37Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y96 IS_BONDED 0 SITEPROP SLICE_X37Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y96 IS_PAD 0 SITEPROP SLICE_X37Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y96 IS_RESERVED 0 SITEPROP SLICE_X37Y96 IS_TEST 0 SITEPROP SLICE_X37Y96 IS_USED 0 SITEPROP SLICE_X37Y96 MANUAL_ROUTING SITEPROP SLICE_X37Y96 NAME SLICE_X37Y96 SITEPROP SLICE_X37Y96 NUM_ARCS 138 SITEPROP SLICE_X37Y96 NUM_BELS 32 SITEPROP SLICE_X37Y96 NUM_INPUTS 32 SITEPROP SLICE_X37Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y96 NUM_PINS 45 SITEPROP SLICE_X37Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y96 PROHIBIT 0 SITEPROP SLICE_X37Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y96 RPM_X 103 SITEPROP SLICE_X37Y96 RPM_Y 192 SITEPROP SLICE_X37Y96 SITE_PIPS SITEPROP SLICE_X37Y96 SITE_TYPE SLICEL SITEPROP SLICE_X37Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y97 CLASS site SITEPROP SLICE_X37Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y97 IS_BONDED 0 SITEPROP SLICE_X37Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y97 IS_PAD 0 SITEPROP SLICE_X37Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y97 IS_RESERVED 0 SITEPROP SLICE_X37Y97 IS_TEST 0 SITEPROP SLICE_X37Y97 IS_USED 0 SITEPROP SLICE_X37Y97 MANUAL_ROUTING SITEPROP SLICE_X37Y97 NAME SLICE_X37Y97 SITEPROP SLICE_X37Y97 NUM_ARCS 138 SITEPROP SLICE_X37Y97 NUM_BELS 32 SITEPROP SLICE_X37Y97 NUM_INPUTS 32 SITEPROP SLICE_X37Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y97 NUM_PINS 45 SITEPROP SLICE_X37Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y97 PROHIBIT 0 SITEPROP SLICE_X37Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y97 RPM_X 103 SITEPROP SLICE_X37Y97 RPM_Y 194 SITEPROP SLICE_X37Y97 SITE_PIPS SITEPROP SLICE_X37Y97 SITE_TYPE SLICEL SITEPROP SLICE_X37Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y98 CLASS site SITEPROP SLICE_X37Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y98 IS_BONDED 0 SITEPROP SLICE_X37Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y98 IS_PAD 0 SITEPROP SLICE_X37Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y98 IS_RESERVED 0 SITEPROP SLICE_X37Y98 IS_TEST 0 SITEPROP SLICE_X37Y98 IS_USED 0 SITEPROP SLICE_X37Y98 MANUAL_ROUTING SITEPROP SLICE_X37Y98 NAME SLICE_X37Y98 SITEPROP SLICE_X37Y98 NUM_ARCS 138 SITEPROP SLICE_X37Y98 NUM_BELS 32 SITEPROP SLICE_X37Y98 NUM_INPUTS 32 SITEPROP SLICE_X37Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y98 NUM_PINS 45 SITEPROP SLICE_X37Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y98 PROHIBIT 0 SITEPROP SLICE_X37Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y98 RPM_X 103 SITEPROP SLICE_X37Y98 RPM_Y 196 SITEPROP SLICE_X37Y98 SITE_PIPS SITEPROP SLICE_X37Y98 SITE_TYPE SLICEL SITEPROP SLICE_X37Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y99 CLASS site SITEPROP SLICE_X37Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X37Y99 IS_BONDED 0 SITEPROP SLICE_X37Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y99 IS_PAD 0 SITEPROP SLICE_X37Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y99 IS_RESERVED 0 SITEPROP SLICE_X37Y99 IS_TEST 0 SITEPROP SLICE_X37Y99 IS_USED 0 SITEPROP SLICE_X37Y99 MANUAL_ROUTING SITEPROP SLICE_X37Y99 NAME SLICE_X37Y99 SITEPROP SLICE_X37Y99 NUM_ARCS 138 SITEPROP SLICE_X37Y99 NUM_BELS 32 SITEPROP SLICE_X37Y99 NUM_INPUTS 32 SITEPROP SLICE_X37Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y99 NUM_PINS 45 SITEPROP SLICE_X37Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y99 PROHIBIT 0 SITEPROP SLICE_X37Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y99 RPM_X 103 SITEPROP SLICE_X37Y99 RPM_Y 198 SITEPROP SLICE_X37Y99 SITE_PIPS SITEPROP SLICE_X37Y99 SITE_TYPE SLICEL SITEPROP SLICE_X37Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y100 CLASS site SITEPROP SLICE_X37Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y100 IS_BONDED 0 SITEPROP SLICE_X37Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y100 IS_PAD 0 SITEPROP SLICE_X37Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y100 IS_RESERVED 0 SITEPROP SLICE_X37Y100 IS_TEST 0 SITEPROP SLICE_X37Y100 IS_USED 0 SITEPROP SLICE_X37Y100 MANUAL_ROUTING SITEPROP SLICE_X37Y100 NAME SLICE_X37Y100 SITEPROP SLICE_X37Y100 NUM_ARCS 138 SITEPROP SLICE_X37Y100 NUM_BELS 32 SITEPROP SLICE_X37Y100 NUM_INPUTS 32 SITEPROP SLICE_X37Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y100 NUM_PINS 45 SITEPROP SLICE_X37Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y100 PROHIBIT 0 SITEPROP SLICE_X37Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y100 RPM_X 103 SITEPROP SLICE_X37Y100 RPM_Y 200 SITEPROP SLICE_X37Y100 SITE_PIPS SITEPROP SLICE_X37Y100 SITE_TYPE SLICEL SITEPROP SLICE_X37Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y101 CLASS site SITEPROP SLICE_X37Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y101 IS_BONDED 0 SITEPROP SLICE_X37Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y101 IS_PAD 0 SITEPROP SLICE_X37Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y101 IS_RESERVED 0 SITEPROP SLICE_X37Y101 IS_TEST 0 SITEPROP SLICE_X37Y101 IS_USED 0 SITEPROP SLICE_X37Y101 MANUAL_ROUTING SITEPROP SLICE_X37Y101 NAME SLICE_X37Y101 SITEPROP SLICE_X37Y101 NUM_ARCS 138 SITEPROP SLICE_X37Y101 NUM_BELS 32 SITEPROP SLICE_X37Y101 NUM_INPUTS 32 SITEPROP SLICE_X37Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y101 NUM_PINS 45 SITEPROP SLICE_X37Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y101 PROHIBIT 0 SITEPROP SLICE_X37Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y101 RPM_X 103 SITEPROP SLICE_X37Y101 RPM_Y 202 SITEPROP SLICE_X37Y101 SITE_PIPS SITEPROP SLICE_X37Y101 SITE_TYPE SLICEL SITEPROP SLICE_X37Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y102 CLASS site SITEPROP SLICE_X37Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y102 IS_BONDED 0 SITEPROP SLICE_X37Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y102 IS_PAD 0 SITEPROP SLICE_X37Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y102 IS_RESERVED 0 SITEPROP SLICE_X37Y102 IS_TEST 0 SITEPROP SLICE_X37Y102 IS_USED 0 SITEPROP SLICE_X37Y102 MANUAL_ROUTING SITEPROP SLICE_X37Y102 NAME SLICE_X37Y102 SITEPROP SLICE_X37Y102 NUM_ARCS 138 SITEPROP SLICE_X37Y102 NUM_BELS 32 SITEPROP SLICE_X37Y102 NUM_INPUTS 32 SITEPROP SLICE_X37Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y102 NUM_PINS 45 SITEPROP SLICE_X37Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y102 PROHIBIT 0 SITEPROP SLICE_X37Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y102 RPM_X 103 SITEPROP SLICE_X37Y102 RPM_Y 204 SITEPROP SLICE_X37Y102 SITE_PIPS SITEPROP SLICE_X37Y102 SITE_TYPE SLICEL SITEPROP SLICE_X37Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y103 CLASS site SITEPROP SLICE_X37Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y103 IS_BONDED 0 SITEPROP SLICE_X37Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y103 IS_PAD 0 SITEPROP SLICE_X37Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y103 IS_RESERVED 0 SITEPROP SLICE_X37Y103 IS_TEST 0 SITEPROP SLICE_X37Y103 IS_USED 0 SITEPROP SLICE_X37Y103 MANUAL_ROUTING SITEPROP SLICE_X37Y103 NAME SLICE_X37Y103 SITEPROP SLICE_X37Y103 NUM_ARCS 138 SITEPROP SLICE_X37Y103 NUM_BELS 32 SITEPROP SLICE_X37Y103 NUM_INPUTS 32 SITEPROP SLICE_X37Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y103 NUM_PINS 45 SITEPROP SLICE_X37Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y103 PROHIBIT 0 SITEPROP SLICE_X37Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y103 RPM_X 103 SITEPROP SLICE_X37Y103 RPM_Y 206 SITEPROP SLICE_X37Y103 SITE_PIPS SITEPROP SLICE_X37Y103 SITE_TYPE SLICEL SITEPROP SLICE_X37Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y104 CLASS site SITEPROP SLICE_X37Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y104 IS_BONDED 0 SITEPROP SLICE_X37Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y104 IS_PAD 0 SITEPROP SLICE_X37Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y104 IS_RESERVED 0 SITEPROP SLICE_X37Y104 IS_TEST 0 SITEPROP SLICE_X37Y104 IS_USED 0 SITEPROP SLICE_X37Y104 MANUAL_ROUTING SITEPROP SLICE_X37Y104 NAME SLICE_X37Y104 SITEPROP SLICE_X37Y104 NUM_ARCS 138 SITEPROP SLICE_X37Y104 NUM_BELS 32 SITEPROP SLICE_X37Y104 NUM_INPUTS 32 SITEPROP SLICE_X37Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y104 NUM_PINS 45 SITEPROP SLICE_X37Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y104 PROHIBIT 0 SITEPROP SLICE_X37Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y104 RPM_X 103 SITEPROP SLICE_X37Y104 RPM_Y 208 SITEPROP SLICE_X37Y104 SITE_PIPS SITEPROP SLICE_X37Y104 SITE_TYPE SLICEL SITEPROP SLICE_X37Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y105 CLASS site SITEPROP SLICE_X37Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y105 IS_BONDED 0 SITEPROP SLICE_X37Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y105 IS_PAD 0 SITEPROP SLICE_X37Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y105 IS_RESERVED 0 SITEPROP SLICE_X37Y105 IS_TEST 0 SITEPROP SLICE_X37Y105 IS_USED 0 SITEPROP SLICE_X37Y105 MANUAL_ROUTING SITEPROP SLICE_X37Y105 NAME SLICE_X37Y105 SITEPROP SLICE_X37Y105 NUM_ARCS 138 SITEPROP SLICE_X37Y105 NUM_BELS 32 SITEPROP SLICE_X37Y105 NUM_INPUTS 32 SITEPROP SLICE_X37Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y105 NUM_PINS 45 SITEPROP SLICE_X37Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y105 PROHIBIT 0 SITEPROP SLICE_X37Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y105 RPM_X 103 SITEPROP SLICE_X37Y105 RPM_Y 210 SITEPROP SLICE_X37Y105 SITE_PIPS SITEPROP SLICE_X37Y105 SITE_TYPE SLICEL SITEPROP SLICE_X37Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y106 CLASS site SITEPROP SLICE_X37Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y106 IS_BONDED 0 SITEPROP SLICE_X37Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y106 IS_PAD 0 SITEPROP SLICE_X37Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y106 IS_RESERVED 0 SITEPROP SLICE_X37Y106 IS_TEST 0 SITEPROP SLICE_X37Y106 IS_USED 0 SITEPROP SLICE_X37Y106 MANUAL_ROUTING SITEPROP SLICE_X37Y106 NAME SLICE_X37Y106 SITEPROP SLICE_X37Y106 NUM_ARCS 138 SITEPROP SLICE_X37Y106 NUM_BELS 32 SITEPROP SLICE_X37Y106 NUM_INPUTS 32 SITEPROP SLICE_X37Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y106 NUM_PINS 45 SITEPROP SLICE_X37Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y106 PROHIBIT 0 SITEPROP SLICE_X37Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y106 RPM_X 103 SITEPROP SLICE_X37Y106 RPM_Y 212 SITEPROP SLICE_X37Y106 SITE_PIPS SITEPROP SLICE_X37Y106 SITE_TYPE SLICEL SITEPROP SLICE_X37Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y107 CLASS site SITEPROP SLICE_X37Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y107 IS_BONDED 0 SITEPROP SLICE_X37Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y107 IS_PAD 0 SITEPROP SLICE_X37Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y107 IS_RESERVED 0 SITEPROP SLICE_X37Y107 IS_TEST 0 SITEPROP SLICE_X37Y107 IS_USED 0 SITEPROP SLICE_X37Y107 MANUAL_ROUTING SITEPROP SLICE_X37Y107 NAME SLICE_X37Y107 SITEPROP SLICE_X37Y107 NUM_ARCS 138 SITEPROP SLICE_X37Y107 NUM_BELS 32 SITEPROP SLICE_X37Y107 NUM_INPUTS 32 SITEPROP SLICE_X37Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y107 NUM_PINS 45 SITEPROP SLICE_X37Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y107 PROHIBIT 0 SITEPROP SLICE_X37Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y107 RPM_X 103 SITEPROP SLICE_X37Y107 RPM_Y 214 SITEPROP SLICE_X37Y107 SITE_PIPS SITEPROP SLICE_X37Y107 SITE_TYPE SLICEL SITEPROP SLICE_X37Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y108 CLASS site SITEPROP SLICE_X37Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y108 IS_BONDED 0 SITEPROP SLICE_X37Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y108 IS_PAD 0 SITEPROP SLICE_X37Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y108 IS_RESERVED 0 SITEPROP SLICE_X37Y108 IS_TEST 0 SITEPROP SLICE_X37Y108 IS_USED 0 SITEPROP SLICE_X37Y108 MANUAL_ROUTING SITEPROP SLICE_X37Y108 NAME SLICE_X37Y108 SITEPROP SLICE_X37Y108 NUM_ARCS 138 SITEPROP SLICE_X37Y108 NUM_BELS 32 SITEPROP SLICE_X37Y108 NUM_INPUTS 32 SITEPROP SLICE_X37Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y108 NUM_PINS 45 SITEPROP SLICE_X37Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y108 PROHIBIT 0 SITEPROP SLICE_X37Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y108 RPM_X 103 SITEPROP SLICE_X37Y108 RPM_Y 216 SITEPROP SLICE_X37Y108 SITE_PIPS SITEPROP SLICE_X37Y108 SITE_TYPE SLICEL SITEPROP SLICE_X37Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y109 CLASS site SITEPROP SLICE_X37Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y109 IS_BONDED 0 SITEPROP SLICE_X37Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y109 IS_PAD 0 SITEPROP SLICE_X37Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y109 IS_RESERVED 0 SITEPROP SLICE_X37Y109 IS_TEST 0 SITEPROP SLICE_X37Y109 IS_USED 0 SITEPROP SLICE_X37Y109 MANUAL_ROUTING SITEPROP SLICE_X37Y109 NAME SLICE_X37Y109 SITEPROP SLICE_X37Y109 NUM_ARCS 138 SITEPROP SLICE_X37Y109 NUM_BELS 32 SITEPROP SLICE_X37Y109 NUM_INPUTS 32 SITEPROP SLICE_X37Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y109 NUM_PINS 45 SITEPROP SLICE_X37Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y109 PROHIBIT 0 SITEPROP SLICE_X37Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y109 RPM_X 103 SITEPROP SLICE_X37Y109 RPM_Y 218 SITEPROP SLICE_X37Y109 SITE_PIPS SITEPROP SLICE_X37Y109 SITE_TYPE SLICEL SITEPROP SLICE_X37Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y110 CLASS site SITEPROP SLICE_X37Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y110 IS_BONDED 0 SITEPROP SLICE_X37Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y110 IS_PAD 0 SITEPROP SLICE_X37Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y110 IS_RESERVED 0 SITEPROP SLICE_X37Y110 IS_TEST 0 SITEPROP SLICE_X37Y110 IS_USED 0 SITEPROP SLICE_X37Y110 MANUAL_ROUTING SITEPROP SLICE_X37Y110 NAME SLICE_X37Y110 SITEPROP SLICE_X37Y110 NUM_ARCS 138 SITEPROP SLICE_X37Y110 NUM_BELS 32 SITEPROP SLICE_X37Y110 NUM_INPUTS 32 SITEPROP SLICE_X37Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y110 NUM_PINS 45 SITEPROP SLICE_X37Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y110 PROHIBIT 0 SITEPROP SLICE_X37Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y110 RPM_X 103 SITEPROP SLICE_X37Y110 RPM_Y 220 SITEPROP SLICE_X37Y110 SITE_PIPS SITEPROP SLICE_X37Y110 SITE_TYPE SLICEL SITEPROP SLICE_X37Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y111 CLASS site SITEPROP SLICE_X37Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y111 IS_BONDED 0 SITEPROP SLICE_X37Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y111 IS_PAD 0 SITEPROP SLICE_X37Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y111 IS_RESERVED 0 SITEPROP SLICE_X37Y111 IS_TEST 0 SITEPROP SLICE_X37Y111 IS_USED 0 SITEPROP SLICE_X37Y111 MANUAL_ROUTING SITEPROP SLICE_X37Y111 NAME SLICE_X37Y111 SITEPROP SLICE_X37Y111 NUM_ARCS 138 SITEPROP SLICE_X37Y111 NUM_BELS 32 SITEPROP SLICE_X37Y111 NUM_INPUTS 32 SITEPROP SLICE_X37Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y111 NUM_PINS 45 SITEPROP SLICE_X37Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y111 PROHIBIT 0 SITEPROP SLICE_X37Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y111 RPM_X 103 SITEPROP SLICE_X37Y111 RPM_Y 222 SITEPROP SLICE_X37Y111 SITE_PIPS SITEPROP SLICE_X37Y111 SITE_TYPE SLICEL SITEPROP SLICE_X37Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y112 CLASS site SITEPROP SLICE_X37Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y112 IS_BONDED 0 SITEPROP SLICE_X37Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y112 IS_PAD 0 SITEPROP SLICE_X37Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y112 IS_RESERVED 0 SITEPROP SLICE_X37Y112 IS_TEST 0 SITEPROP SLICE_X37Y112 IS_USED 0 SITEPROP SLICE_X37Y112 MANUAL_ROUTING SITEPROP SLICE_X37Y112 NAME SLICE_X37Y112 SITEPROP SLICE_X37Y112 NUM_ARCS 138 SITEPROP SLICE_X37Y112 NUM_BELS 32 SITEPROP SLICE_X37Y112 NUM_INPUTS 32 SITEPROP SLICE_X37Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y112 NUM_PINS 45 SITEPROP SLICE_X37Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y112 PROHIBIT 0 SITEPROP SLICE_X37Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y112 RPM_X 103 SITEPROP SLICE_X37Y112 RPM_Y 224 SITEPROP SLICE_X37Y112 SITE_PIPS SITEPROP SLICE_X37Y112 SITE_TYPE SLICEL SITEPROP SLICE_X37Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y113 CLASS site SITEPROP SLICE_X37Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y113 IS_BONDED 0 SITEPROP SLICE_X37Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y113 IS_PAD 0 SITEPROP SLICE_X37Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y113 IS_RESERVED 0 SITEPROP SLICE_X37Y113 IS_TEST 0 SITEPROP SLICE_X37Y113 IS_USED 0 SITEPROP SLICE_X37Y113 MANUAL_ROUTING SITEPROP SLICE_X37Y113 NAME SLICE_X37Y113 SITEPROP SLICE_X37Y113 NUM_ARCS 138 SITEPROP SLICE_X37Y113 NUM_BELS 32 SITEPROP SLICE_X37Y113 NUM_INPUTS 32 SITEPROP SLICE_X37Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y113 NUM_PINS 45 SITEPROP SLICE_X37Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y113 PROHIBIT 0 SITEPROP SLICE_X37Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y113 RPM_X 103 SITEPROP SLICE_X37Y113 RPM_Y 226 SITEPROP SLICE_X37Y113 SITE_PIPS SITEPROP SLICE_X37Y113 SITE_TYPE SLICEL SITEPROP SLICE_X37Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y114 CLASS site SITEPROP SLICE_X37Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y114 IS_BONDED 0 SITEPROP SLICE_X37Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y114 IS_PAD 0 SITEPROP SLICE_X37Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y114 IS_RESERVED 0 SITEPROP SLICE_X37Y114 IS_TEST 0 SITEPROP SLICE_X37Y114 IS_USED 0 SITEPROP SLICE_X37Y114 MANUAL_ROUTING SITEPROP SLICE_X37Y114 NAME SLICE_X37Y114 SITEPROP SLICE_X37Y114 NUM_ARCS 138 SITEPROP SLICE_X37Y114 NUM_BELS 32 SITEPROP SLICE_X37Y114 NUM_INPUTS 32 SITEPROP SLICE_X37Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y114 NUM_PINS 45 SITEPROP SLICE_X37Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y114 PROHIBIT 0 SITEPROP SLICE_X37Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y114 RPM_X 103 SITEPROP SLICE_X37Y114 RPM_Y 228 SITEPROP SLICE_X37Y114 SITE_PIPS SITEPROP SLICE_X37Y114 SITE_TYPE SLICEL SITEPROP SLICE_X37Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y115 CLASS site SITEPROP SLICE_X37Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y115 IS_BONDED 0 SITEPROP SLICE_X37Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y115 IS_PAD 0 SITEPROP SLICE_X37Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y115 IS_RESERVED 0 SITEPROP SLICE_X37Y115 IS_TEST 0 SITEPROP SLICE_X37Y115 IS_USED 0 SITEPROP SLICE_X37Y115 MANUAL_ROUTING SITEPROP SLICE_X37Y115 NAME SLICE_X37Y115 SITEPROP SLICE_X37Y115 NUM_ARCS 138 SITEPROP SLICE_X37Y115 NUM_BELS 32 SITEPROP SLICE_X37Y115 NUM_INPUTS 32 SITEPROP SLICE_X37Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y115 NUM_PINS 45 SITEPROP SLICE_X37Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y115 PROHIBIT 0 SITEPROP SLICE_X37Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y115 RPM_X 103 SITEPROP SLICE_X37Y115 RPM_Y 230 SITEPROP SLICE_X37Y115 SITE_PIPS SITEPROP SLICE_X37Y115 SITE_TYPE SLICEL SITEPROP SLICE_X37Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y116 CLASS site SITEPROP SLICE_X37Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y116 IS_BONDED 0 SITEPROP SLICE_X37Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y116 IS_PAD 0 SITEPROP SLICE_X37Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y116 IS_RESERVED 0 SITEPROP SLICE_X37Y116 IS_TEST 0 SITEPROP SLICE_X37Y116 IS_USED 0 SITEPROP SLICE_X37Y116 MANUAL_ROUTING SITEPROP SLICE_X37Y116 NAME SLICE_X37Y116 SITEPROP SLICE_X37Y116 NUM_ARCS 138 SITEPROP SLICE_X37Y116 NUM_BELS 32 SITEPROP SLICE_X37Y116 NUM_INPUTS 32 SITEPROP SLICE_X37Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y116 NUM_PINS 45 SITEPROP SLICE_X37Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y116 PROHIBIT 0 SITEPROP SLICE_X37Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y116 RPM_X 103 SITEPROP SLICE_X37Y116 RPM_Y 232 SITEPROP SLICE_X37Y116 SITE_PIPS SITEPROP SLICE_X37Y116 SITE_TYPE SLICEL SITEPROP SLICE_X37Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y117 CLASS site SITEPROP SLICE_X37Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y117 IS_BONDED 0 SITEPROP SLICE_X37Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y117 IS_PAD 0 SITEPROP SLICE_X37Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y117 IS_RESERVED 0 SITEPROP SLICE_X37Y117 IS_TEST 0 SITEPROP SLICE_X37Y117 IS_USED 0 SITEPROP SLICE_X37Y117 MANUAL_ROUTING SITEPROP SLICE_X37Y117 NAME SLICE_X37Y117 SITEPROP SLICE_X37Y117 NUM_ARCS 138 SITEPROP SLICE_X37Y117 NUM_BELS 32 SITEPROP SLICE_X37Y117 NUM_INPUTS 32 SITEPROP SLICE_X37Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y117 NUM_PINS 45 SITEPROP SLICE_X37Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y117 PROHIBIT 0 SITEPROP SLICE_X37Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y117 RPM_X 103 SITEPROP SLICE_X37Y117 RPM_Y 234 SITEPROP SLICE_X37Y117 SITE_PIPS SITEPROP SLICE_X37Y117 SITE_TYPE SLICEL SITEPROP SLICE_X37Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y118 CLASS site SITEPROP SLICE_X37Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y118 IS_BONDED 0 SITEPROP SLICE_X37Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y118 IS_PAD 0 SITEPROP SLICE_X37Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y118 IS_RESERVED 0 SITEPROP SLICE_X37Y118 IS_TEST 0 SITEPROP SLICE_X37Y118 IS_USED 0 SITEPROP SLICE_X37Y118 MANUAL_ROUTING SITEPROP SLICE_X37Y118 NAME SLICE_X37Y118 SITEPROP SLICE_X37Y118 NUM_ARCS 138 SITEPROP SLICE_X37Y118 NUM_BELS 32 SITEPROP SLICE_X37Y118 NUM_INPUTS 32 SITEPROP SLICE_X37Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y118 NUM_PINS 45 SITEPROP SLICE_X37Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y118 PROHIBIT 0 SITEPROP SLICE_X37Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y118 RPM_X 103 SITEPROP SLICE_X37Y118 RPM_Y 236 SITEPROP SLICE_X37Y118 SITE_PIPS SITEPROP SLICE_X37Y118 SITE_TYPE SLICEL SITEPROP SLICE_X37Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y119 CLASS site SITEPROP SLICE_X37Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y119 IS_BONDED 0 SITEPROP SLICE_X37Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y119 IS_PAD 0 SITEPROP SLICE_X37Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y119 IS_RESERVED 0 SITEPROP SLICE_X37Y119 IS_TEST 0 SITEPROP SLICE_X37Y119 IS_USED 0 SITEPROP SLICE_X37Y119 MANUAL_ROUTING SITEPROP SLICE_X37Y119 NAME SLICE_X37Y119 SITEPROP SLICE_X37Y119 NUM_ARCS 138 SITEPROP SLICE_X37Y119 NUM_BELS 32 SITEPROP SLICE_X37Y119 NUM_INPUTS 32 SITEPROP SLICE_X37Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y119 NUM_PINS 45 SITEPROP SLICE_X37Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y119 PROHIBIT 0 SITEPROP SLICE_X37Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y119 RPM_X 103 SITEPROP SLICE_X37Y119 RPM_Y 238 SITEPROP SLICE_X37Y119 SITE_PIPS SITEPROP SLICE_X37Y119 SITE_TYPE SLICEL SITEPROP SLICE_X37Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y120 CLASS site SITEPROP SLICE_X37Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y120 IS_BONDED 0 SITEPROP SLICE_X37Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y120 IS_PAD 0 SITEPROP SLICE_X37Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y120 IS_RESERVED 0 SITEPROP SLICE_X37Y120 IS_TEST 0 SITEPROP SLICE_X37Y120 IS_USED 0 SITEPROP SLICE_X37Y120 MANUAL_ROUTING SITEPROP SLICE_X37Y120 NAME SLICE_X37Y120 SITEPROP SLICE_X37Y120 NUM_ARCS 138 SITEPROP SLICE_X37Y120 NUM_BELS 32 SITEPROP SLICE_X37Y120 NUM_INPUTS 32 SITEPROP SLICE_X37Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y120 NUM_PINS 45 SITEPROP SLICE_X37Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y120 PROHIBIT 0 SITEPROP SLICE_X37Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y120 RPM_X 103 SITEPROP SLICE_X37Y120 RPM_Y 240 SITEPROP SLICE_X37Y120 SITE_PIPS SITEPROP SLICE_X37Y120 SITE_TYPE SLICEL SITEPROP SLICE_X37Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y121 CLASS site SITEPROP SLICE_X37Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y121 IS_BONDED 0 SITEPROP SLICE_X37Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y121 IS_PAD 0 SITEPROP SLICE_X37Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y121 IS_RESERVED 0 SITEPROP SLICE_X37Y121 IS_TEST 0 SITEPROP SLICE_X37Y121 IS_USED 0 SITEPROP SLICE_X37Y121 MANUAL_ROUTING SITEPROP SLICE_X37Y121 NAME SLICE_X37Y121 SITEPROP SLICE_X37Y121 NUM_ARCS 138 SITEPROP SLICE_X37Y121 NUM_BELS 32 SITEPROP SLICE_X37Y121 NUM_INPUTS 32 SITEPROP SLICE_X37Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y121 NUM_PINS 45 SITEPROP SLICE_X37Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y121 PROHIBIT 0 SITEPROP SLICE_X37Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y121 RPM_X 103 SITEPROP SLICE_X37Y121 RPM_Y 242 SITEPROP SLICE_X37Y121 SITE_PIPS SITEPROP SLICE_X37Y121 SITE_TYPE SLICEL SITEPROP SLICE_X37Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y122 CLASS site SITEPROP SLICE_X37Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y122 IS_BONDED 0 SITEPROP SLICE_X37Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y122 IS_PAD 0 SITEPROP SLICE_X37Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y122 IS_RESERVED 0 SITEPROP SLICE_X37Y122 IS_TEST 0 SITEPROP SLICE_X37Y122 IS_USED 0 SITEPROP SLICE_X37Y122 MANUAL_ROUTING SITEPROP SLICE_X37Y122 NAME SLICE_X37Y122 SITEPROP SLICE_X37Y122 NUM_ARCS 138 SITEPROP SLICE_X37Y122 NUM_BELS 32 SITEPROP SLICE_X37Y122 NUM_INPUTS 32 SITEPROP SLICE_X37Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y122 NUM_PINS 45 SITEPROP SLICE_X37Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y122 PROHIBIT 0 SITEPROP SLICE_X37Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y122 RPM_X 103 SITEPROP SLICE_X37Y122 RPM_Y 244 SITEPROP SLICE_X37Y122 SITE_PIPS SITEPROP SLICE_X37Y122 SITE_TYPE SLICEL SITEPROP SLICE_X37Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y123 CLASS site SITEPROP SLICE_X37Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y123 IS_BONDED 0 SITEPROP SLICE_X37Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y123 IS_PAD 0 SITEPROP SLICE_X37Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y123 IS_RESERVED 0 SITEPROP SLICE_X37Y123 IS_TEST 0 SITEPROP SLICE_X37Y123 IS_USED 0 SITEPROP SLICE_X37Y123 MANUAL_ROUTING SITEPROP SLICE_X37Y123 NAME SLICE_X37Y123 SITEPROP SLICE_X37Y123 NUM_ARCS 138 SITEPROP SLICE_X37Y123 NUM_BELS 32 SITEPROP SLICE_X37Y123 NUM_INPUTS 32 SITEPROP SLICE_X37Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y123 NUM_PINS 45 SITEPROP SLICE_X37Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y123 PROHIBIT 0 SITEPROP SLICE_X37Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y123 RPM_X 103 SITEPROP SLICE_X37Y123 RPM_Y 246 SITEPROP SLICE_X37Y123 SITE_PIPS SITEPROP SLICE_X37Y123 SITE_TYPE SLICEL SITEPROP SLICE_X37Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y124 CLASS site SITEPROP SLICE_X37Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y124 IS_BONDED 0 SITEPROP SLICE_X37Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y124 IS_PAD 0 SITEPROP SLICE_X37Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y124 IS_RESERVED 0 SITEPROP SLICE_X37Y124 IS_TEST 0 SITEPROP SLICE_X37Y124 IS_USED 0 SITEPROP SLICE_X37Y124 MANUAL_ROUTING SITEPROP SLICE_X37Y124 NAME SLICE_X37Y124 SITEPROP SLICE_X37Y124 NUM_ARCS 138 SITEPROP SLICE_X37Y124 NUM_BELS 32 SITEPROP SLICE_X37Y124 NUM_INPUTS 32 SITEPROP SLICE_X37Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y124 NUM_PINS 45 SITEPROP SLICE_X37Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y124 PROHIBIT 0 SITEPROP SLICE_X37Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y124 RPM_X 103 SITEPROP SLICE_X37Y124 RPM_Y 248 SITEPROP SLICE_X37Y124 SITE_PIPS SITEPROP SLICE_X37Y124 SITE_TYPE SLICEL SITEPROP SLICE_X37Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y125 CLASS site SITEPROP SLICE_X37Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y125 IS_BONDED 0 SITEPROP SLICE_X37Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y125 IS_PAD 0 SITEPROP SLICE_X37Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y125 IS_RESERVED 0 SITEPROP SLICE_X37Y125 IS_TEST 0 SITEPROP SLICE_X37Y125 IS_USED 0 SITEPROP SLICE_X37Y125 MANUAL_ROUTING SITEPROP SLICE_X37Y125 NAME SLICE_X37Y125 SITEPROP SLICE_X37Y125 NUM_ARCS 138 SITEPROP SLICE_X37Y125 NUM_BELS 32 SITEPROP SLICE_X37Y125 NUM_INPUTS 32 SITEPROP SLICE_X37Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y125 NUM_PINS 45 SITEPROP SLICE_X37Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y125 PROHIBIT 0 SITEPROP SLICE_X37Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y125 RPM_X 103 SITEPROP SLICE_X37Y125 RPM_Y 250 SITEPROP SLICE_X37Y125 SITE_PIPS SITEPROP SLICE_X37Y125 SITE_TYPE SLICEL SITEPROP SLICE_X37Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y126 CLASS site SITEPROP SLICE_X37Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y126 IS_BONDED 0 SITEPROP SLICE_X37Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y126 IS_PAD 0 SITEPROP SLICE_X37Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y126 IS_RESERVED 0 SITEPROP SLICE_X37Y126 IS_TEST 0 SITEPROP SLICE_X37Y126 IS_USED 0 SITEPROP SLICE_X37Y126 MANUAL_ROUTING SITEPROP SLICE_X37Y126 NAME SLICE_X37Y126 SITEPROP SLICE_X37Y126 NUM_ARCS 138 SITEPROP SLICE_X37Y126 NUM_BELS 32 SITEPROP SLICE_X37Y126 NUM_INPUTS 32 SITEPROP SLICE_X37Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y126 NUM_PINS 45 SITEPROP SLICE_X37Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y126 PROHIBIT 0 SITEPROP SLICE_X37Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y126 RPM_X 103 SITEPROP SLICE_X37Y126 RPM_Y 252 SITEPROP SLICE_X37Y126 SITE_PIPS SITEPROP SLICE_X37Y126 SITE_TYPE SLICEL SITEPROP SLICE_X37Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y127 CLASS site SITEPROP SLICE_X37Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y127 IS_BONDED 0 SITEPROP SLICE_X37Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y127 IS_PAD 0 SITEPROP SLICE_X37Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y127 IS_RESERVED 0 SITEPROP SLICE_X37Y127 IS_TEST 0 SITEPROP SLICE_X37Y127 IS_USED 0 SITEPROP SLICE_X37Y127 MANUAL_ROUTING SITEPROP SLICE_X37Y127 NAME SLICE_X37Y127 SITEPROP SLICE_X37Y127 NUM_ARCS 138 SITEPROP SLICE_X37Y127 NUM_BELS 32 SITEPROP SLICE_X37Y127 NUM_INPUTS 32 SITEPROP SLICE_X37Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y127 NUM_PINS 45 SITEPROP SLICE_X37Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y127 PROHIBIT 0 SITEPROP SLICE_X37Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y127 RPM_X 103 SITEPROP SLICE_X37Y127 RPM_Y 254 SITEPROP SLICE_X37Y127 SITE_PIPS SITEPROP SLICE_X37Y127 SITE_TYPE SLICEL SITEPROP SLICE_X37Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y128 CLASS site SITEPROP SLICE_X37Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y128 IS_BONDED 0 SITEPROP SLICE_X37Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y128 IS_PAD 0 SITEPROP SLICE_X37Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y128 IS_RESERVED 0 SITEPROP SLICE_X37Y128 IS_TEST 0 SITEPROP SLICE_X37Y128 IS_USED 0 SITEPROP SLICE_X37Y128 MANUAL_ROUTING SITEPROP SLICE_X37Y128 NAME SLICE_X37Y128 SITEPROP SLICE_X37Y128 NUM_ARCS 138 SITEPROP SLICE_X37Y128 NUM_BELS 32 SITEPROP SLICE_X37Y128 NUM_INPUTS 32 SITEPROP SLICE_X37Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y128 NUM_PINS 45 SITEPROP SLICE_X37Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y128 PROHIBIT 0 SITEPROP SLICE_X37Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y128 RPM_X 103 SITEPROP SLICE_X37Y128 RPM_Y 256 SITEPROP SLICE_X37Y128 SITE_PIPS SITEPROP SLICE_X37Y128 SITE_TYPE SLICEL SITEPROP SLICE_X37Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y129 CLASS site SITEPROP SLICE_X37Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y129 IS_BONDED 0 SITEPROP SLICE_X37Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y129 IS_PAD 0 SITEPROP SLICE_X37Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y129 IS_RESERVED 0 SITEPROP SLICE_X37Y129 IS_TEST 0 SITEPROP SLICE_X37Y129 IS_USED 0 SITEPROP SLICE_X37Y129 MANUAL_ROUTING SITEPROP SLICE_X37Y129 NAME SLICE_X37Y129 SITEPROP SLICE_X37Y129 NUM_ARCS 138 SITEPROP SLICE_X37Y129 NUM_BELS 32 SITEPROP SLICE_X37Y129 NUM_INPUTS 32 SITEPROP SLICE_X37Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y129 NUM_PINS 45 SITEPROP SLICE_X37Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y129 PROHIBIT 0 SITEPROP SLICE_X37Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y129 RPM_X 103 SITEPROP SLICE_X37Y129 RPM_Y 258 SITEPROP SLICE_X37Y129 SITE_PIPS SITEPROP SLICE_X37Y129 SITE_TYPE SLICEL SITEPROP SLICE_X37Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y130 CLASS site SITEPROP SLICE_X37Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y130 IS_BONDED 0 SITEPROP SLICE_X37Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y130 IS_PAD 0 SITEPROP SLICE_X37Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y130 IS_RESERVED 0 SITEPROP SLICE_X37Y130 IS_TEST 0 SITEPROP SLICE_X37Y130 IS_USED 0 SITEPROP SLICE_X37Y130 MANUAL_ROUTING SITEPROP SLICE_X37Y130 NAME SLICE_X37Y130 SITEPROP SLICE_X37Y130 NUM_ARCS 138 SITEPROP SLICE_X37Y130 NUM_BELS 32 SITEPROP SLICE_X37Y130 NUM_INPUTS 32 SITEPROP SLICE_X37Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y130 NUM_PINS 45 SITEPROP SLICE_X37Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y130 PROHIBIT 0 SITEPROP SLICE_X37Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y130 RPM_X 103 SITEPROP SLICE_X37Y130 RPM_Y 260 SITEPROP SLICE_X37Y130 SITE_PIPS SITEPROP SLICE_X37Y130 SITE_TYPE SLICEL SITEPROP SLICE_X37Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y131 CLASS site SITEPROP SLICE_X37Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y131 IS_BONDED 0 SITEPROP SLICE_X37Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y131 IS_PAD 0 SITEPROP SLICE_X37Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y131 IS_RESERVED 0 SITEPROP SLICE_X37Y131 IS_TEST 0 SITEPROP SLICE_X37Y131 IS_USED 0 SITEPROP SLICE_X37Y131 MANUAL_ROUTING SITEPROP SLICE_X37Y131 NAME SLICE_X37Y131 SITEPROP SLICE_X37Y131 NUM_ARCS 138 SITEPROP SLICE_X37Y131 NUM_BELS 32 SITEPROP SLICE_X37Y131 NUM_INPUTS 32 SITEPROP SLICE_X37Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y131 NUM_PINS 45 SITEPROP SLICE_X37Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y131 PROHIBIT 0 SITEPROP SLICE_X37Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y131 RPM_X 103 SITEPROP SLICE_X37Y131 RPM_Y 262 SITEPROP SLICE_X37Y131 SITE_PIPS SITEPROP SLICE_X37Y131 SITE_TYPE SLICEL SITEPROP SLICE_X37Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y132 CLASS site SITEPROP SLICE_X37Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y132 IS_BONDED 0 SITEPROP SLICE_X37Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y132 IS_PAD 0 SITEPROP SLICE_X37Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y132 IS_RESERVED 0 SITEPROP SLICE_X37Y132 IS_TEST 0 SITEPROP SLICE_X37Y132 IS_USED 0 SITEPROP SLICE_X37Y132 MANUAL_ROUTING SITEPROP SLICE_X37Y132 NAME SLICE_X37Y132 SITEPROP SLICE_X37Y132 NUM_ARCS 138 SITEPROP SLICE_X37Y132 NUM_BELS 32 SITEPROP SLICE_X37Y132 NUM_INPUTS 32 SITEPROP SLICE_X37Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y132 NUM_PINS 45 SITEPROP SLICE_X37Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y132 PROHIBIT 0 SITEPROP SLICE_X37Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y132 RPM_X 103 SITEPROP SLICE_X37Y132 RPM_Y 264 SITEPROP SLICE_X37Y132 SITE_PIPS SITEPROP SLICE_X37Y132 SITE_TYPE SLICEL SITEPROP SLICE_X37Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y133 CLASS site SITEPROP SLICE_X37Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y133 IS_BONDED 0 SITEPROP SLICE_X37Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y133 IS_PAD 0 SITEPROP SLICE_X37Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y133 IS_RESERVED 0 SITEPROP SLICE_X37Y133 IS_TEST 0 SITEPROP SLICE_X37Y133 IS_USED 0 SITEPROP SLICE_X37Y133 MANUAL_ROUTING SITEPROP SLICE_X37Y133 NAME SLICE_X37Y133 SITEPROP SLICE_X37Y133 NUM_ARCS 138 SITEPROP SLICE_X37Y133 NUM_BELS 32 SITEPROP SLICE_X37Y133 NUM_INPUTS 32 SITEPROP SLICE_X37Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y133 NUM_PINS 45 SITEPROP SLICE_X37Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y133 PROHIBIT 0 SITEPROP SLICE_X37Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y133 RPM_X 103 SITEPROP SLICE_X37Y133 RPM_Y 266 SITEPROP SLICE_X37Y133 SITE_PIPS SITEPROP SLICE_X37Y133 SITE_TYPE SLICEL SITEPROP SLICE_X37Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y134 CLASS site SITEPROP SLICE_X37Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y134 IS_BONDED 0 SITEPROP SLICE_X37Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y134 IS_PAD 0 SITEPROP SLICE_X37Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y134 IS_RESERVED 0 SITEPROP SLICE_X37Y134 IS_TEST 0 SITEPROP SLICE_X37Y134 IS_USED 0 SITEPROP SLICE_X37Y134 MANUAL_ROUTING SITEPROP SLICE_X37Y134 NAME SLICE_X37Y134 SITEPROP SLICE_X37Y134 NUM_ARCS 138 SITEPROP SLICE_X37Y134 NUM_BELS 32 SITEPROP SLICE_X37Y134 NUM_INPUTS 32 SITEPROP SLICE_X37Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y134 NUM_PINS 45 SITEPROP SLICE_X37Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y134 PROHIBIT 0 SITEPROP SLICE_X37Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y134 RPM_X 103 SITEPROP SLICE_X37Y134 RPM_Y 268 SITEPROP SLICE_X37Y134 SITE_PIPS SITEPROP SLICE_X37Y134 SITE_TYPE SLICEL SITEPROP SLICE_X37Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y135 CLASS site SITEPROP SLICE_X37Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y135 IS_BONDED 0 SITEPROP SLICE_X37Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y135 IS_PAD 0 SITEPROP SLICE_X37Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y135 IS_RESERVED 0 SITEPROP SLICE_X37Y135 IS_TEST 0 SITEPROP SLICE_X37Y135 IS_USED 0 SITEPROP SLICE_X37Y135 MANUAL_ROUTING SITEPROP SLICE_X37Y135 NAME SLICE_X37Y135 SITEPROP SLICE_X37Y135 NUM_ARCS 138 SITEPROP SLICE_X37Y135 NUM_BELS 32 SITEPROP SLICE_X37Y135 NUM_INPUTS 32 SITEPROP SLICE_X37Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y135 NUM_PINS 45 SITEPROP SLICE_X37Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y135 PROHIBIT 0 SITEPROP SLICE_X37Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y135 RPM_X 103 SITEPROP SLICE_X37Y135 RPM_Y 270 SITEPROP SLICE_X37Y135 SITE_PIPS SITEPROP SLICE_X37Y135 SITE_TYPE SLICEL SITEPROP SLICE_X37Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y136 CLASS site SITEPROP SLICE_X37Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y136 IS_BONDED 0 SITEPROP SLICE_X37Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y136 IS_PAD 0 SITEPROP SLICE_X37Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y136 IS_RESERVED 0 SITEPROP SLICE_X37Y136 IS_TEST 0 SITEPROP SLICE_X37Y136 IS_USED 0 SITEPROP SLICE_X37Y136 MANUAL_ROUTING SITEPROP SLICE_X37Y136 NAME SLICE_X37Y136 SITEPROP SLICE_X37Y136 NUM_ARCS 138 SITEPROP SLICE_X37Y136 NUM_BELS 32 SITEPROP SLICE_X37Y136 NUM_INPUTS 32 SITEPROP SLICE_X37Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y136 NUM_PINS 45 SITEPROP SLICE_X37Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y136 PROHIBIT 0 SITEPROP SLICE_X37Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y136 RPM_X 103 SITEPROP SLICE_X37Y136 RPM_Y 272 SITEPROP SLICE_X37Y136 SITE_PIPS SITEPROP SLICE_X37Y136 SITE_TYPE SLICEL SITEPROP SLICE_X37Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y137 CLASS site SITEPROP SLICE_X37Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y137 IS_BONDED 0 SITEPROP SLICE_X37Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y137 IS_PAD 0 SITEPROP SLICE_X37Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y137 IS_RESERVED 0 SITEPROP SLICE_X37Y137 IS_TEST 0 SITEPROP SLICE_X37Y137 IS_USED 0 SITEPROP SLICE_X37Y137 MANUAL_ROUTING SITEPROP SLICE_X37Y137 NAME SLICE_X37Y137 SITEPROP SLICE_X37Y137 NUM_ARCS 138 SITEPROP SLICE_X37Y137 NUM_BELS 32 SITEPROP SLICE_X37Y137 NUM_INPUTS 32 SITEPROP SLICE_X37Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y137 NUM_PINS 45 SITEPROP SLICE_X37Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y137 PROHIBIT 0 SITEPROP SLICE_X37Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y137 RPM_X 103 SITEPROP SLICE_X37Y137 RPM_Y 274 SITEPROP SLICE_X37Y137 SITE_PIPS SITEPROP SLICE_X37Y137 SITE_TYPE SLICEL SITEPROP SLICE_X37Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y138 CLASS site SITEPROP SLICE_X37Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y138 IS_BONDED 0 SITEPROP SLICE_X37Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y138 IS_PAD 0 SITEPROP SLICE_X37Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y138 IS_RESERVED 0 SITEPROP SLICE_X37Y138 IS_TEST 0 SITEPROP SLICE_X37Y138 IS_USED 0 SITEPROP SLICE_X37Y138 MANUAL_ROUTING SITEPROP SLICE_X37Y138 NAME SLICE_X37Y138 SITEPROP SLICE_X37Y138 NUM_ARCS 138 SITEPROP SLICE_X37Y138 NUM_BELS 32 SITEPROP SLICE_X37Y138 NUM_INPUTS 32 SITEPROP SLICE_X37Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y138 NUM_PINS 45 SITEPROP SLICE_X37Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y138 PROHIBIT 0 SITEPROP SLICE_X37Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y138 RPM_X 103 SITEPROP SLICE_X37Y138 RPM_Y 276 SITEPROP SLICE_X37Y138 SITE_PIPS SITEPROP SLICE_X37Y138 SITE_TYPE SLICEL SITEPROP SLICE_X37Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y139 CLASS site SITEPROP SLICE_X37Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y139 IS_BONDED 0 SITEPROP SLICE_X37Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y139 IS_PAD 0 SITEPROP SLICE_X37Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y139 IS_RESERVED 0 SITEPROP SLICE_X37Y139 IS_TEST 0 SITEPROP SLICE_X37Y139 IS_USED 0 SITEPROP SLICE_X37Y139 MANUAL_ROUTING SITEPROP SLICE_X37Y139 NAME SLICE_X37Y139 SITEPROP SLICE_X37Y139 NUM_ARCS 138 SITEPROP SLICE_X37Y139 NUM_BELS 32 SITEPROP SLICE_X37Y139 NUM_INPUTS 32 SITEPROP SLICE_X37Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y139 NUM_PINS 45 SITEPROP SLICE_X37Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y139 PROHIBIT 0 SITEPROP SLICE_X37Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y139 RPM_X 103 SITEPROP SLICE_X37Y139 RPM_Y 278 SITEPROP SLICE_X37Y139 SITE_PIPS SITEPROP SLICE_X37Y139 SITE_TYPE SLICEL SITEPROP SLICE_X37Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y140 CLASS site SITEPROP SLICE_X37Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y140 IS_BONDED 0 SITEPROP SLICE_X37Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y140 IS_PAD 0 SITEPROP SLICE_X37Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y140 IS_RESERVED 0 SITEPROP SLICE_X37Y140 IS_TEST 0 SITEPROP SLICE_X37Y140 IS_USED 0 SITEPROP SLICE_X37Y140 MANUAL_ROUTING SITEPROP SLICE_X37Y140 NAME SLICE_X37Y140 SITEPROP SLICE_X37Y140 NUM_ARCS 138 SITEPROP SLICE_X37Y140 NUM_BELS 32 SITEPROP SLICE_X37Y140 NUM_INPUTS 32 SITEPROP SLICE_X37Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y140 NUM_PINS 45 SITEPROP SLICE_X37Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y140 PROHIBIT 0 SITEPROP SLICE_X37Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y140 RPM_X 103 SITEPROP SLICE_X37Y140 RPM_Y 280 SITEPROP SLICE_X37Y140 SITE_PIPS SITEPROP SLICE_X37Y140 SITE_TYPE SLICEL SITEPROP SLICE_X37Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y141 CLASS site SITEPROP SLICE_X37Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y141 IS_BONDED 0 SITEPROP SLICE_X37Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y141 IS_PAD 0 SITEPROP SLICE_X37Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y141 IS_RESERVED 0 SITEPROP SLICE_X37Y141 IS_TEST 0 SITEPROP SLICE_X37Y141 IS_USED 0 SITEPROP SLICE_X37Y141 MANUAL_ROUTING SITEPROP SLICE_X37Y141 NAME SLICE_X37Y141 SITEPROP SLICE_X37Y141 NUM_ARCS 138 SITEPROP SLICE_X37Y141 NUM_BELS 32 SITEPROP SLICE_X37Y141 NUM_INPUTS 32 SITEPROP SLICE_X37Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y141 NUM_PINS 45 SITEPROP SLICE_X37Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y141 PROHIBIT 0 SITEPROP SLICE_X37Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y141 RPM_X 103 SITEPROP SLICE_X37Y141 RPM_Y 282 SITEPROP SLICE_X37Y141 SITE_PIPS SITEPROP SLICE_X37Y141 SITE_TYPE SLICEL SITEPROP SLICE_X37Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y142 CLASS site SITEPROP SLICE_X37Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y142 IS_BONDED 0 SITEPROP SLICE_X37Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y142 IS_PAD 0 SITEPROP SLICE_X37Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y142 IS_RESERVED 0 SITEPROP SLICE_X37Y142 IS_TEST 0 SITEPROP SLICE_X37Y142 IS_USED 0 SITEPROP SLICE_X37Y142 MANUAL_ROUTING SITEPROP SLICE_X37Y142 NAME SLICE_X37Y142 SITEPROP SLICE_X37Y142 NUM_ARCS 138 SITEPROP SLICE_X37Y142 NUM_BELS 32 SITEPROP SLICE_X37Y142 NUM_INPUTS 32 SITEPROP SLICE_X37Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y142 NUM_PINS 45 SITEPROP SLICE_X37Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y142 PROHIBIT 0 SITEPROP SLICE_X37Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y142 RPM_X 103 SITEPROP SLICE_X37Y142 RPM_Y 284 SITEPROP SLICE_X37Y142 SITE_PIPS SITEPROP SLICE_X37Y142 SITE_TYPE SLICEL SITEPROP SLICE_X37Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y143 CLASS site SITEPROP SLICE_X37Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y143 IS_BONDED 0 SITEPROP SLICE_X37Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y143 IS_PAD 0 SITEPROP SLICE_X37Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y143 IS_RESERVED 0 SITEPROP SLICE_X37Y143 IS_TEST 0 SITEPROP SLICE_X37Y143 IS_USED 0 SITEPROP SLICE_X37Y143 MANUAL_ROUTING SITEPROP SLICE_X37Y143 NAME SLICE_X37Y143 SITEPROP SLICE_X37Y143 NUM_ARCS 138 SITEPROP SLICE_X37Y143 NUM_BELS 32 SITEPROP SLICE_X37Y143 NUM_INPUTS 32 SITEPROP SLICE_X37Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y143 NUM_PINS 45 SITEPROP SLICE_X37Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y143 PROHIBIT 0 SITEPROP SLICE_X37Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y143 RPM_X 103 SITEPROP SLICE_X37Y143 RPM_Y 286 SITEPROP SLICE_X37Y143 SITE_PIPS SITEPROP SLICE_X37Y143 SITE_TYPE SLICEL SITEPROP SLICE_X37Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y144 CLASS site SITEPROP SLICE_X37Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y144 IS_BONDED 0 SITEPROP SLICE_X37Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y144 IS_PAD 0 SITEPROP SLICE_X37Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y144 IS_RESERVED 0 SITEPROP SLICE_X37Y144 IS_TEST 0 SITEPROP SLICE_X37Y144 IS_USED 0 SITEPROP SLICE_X37Y144 MANUAL_ROUTING SITEPROP SLICE_X37Y144 NAME SLICE_X37Y144 SITEPROP SLICE_X37Y144 NUM_ARCS 138 SITEPROP SLICE_X37Y144 NUM_BELS 32 SITEPROP SLICE_X37Y144 NUM_INPUTS 32 SITEPROP SLICE_X37Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y144 NUM_PINS 45 SITEPROP SLICE_X37Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y144 PROHIBIT 0 SITEPROP SLICE_X37Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y144 RPM_X 103 SITEPROP SLICE_X37Y144 RPM_Y 288 SITEPROP SLICE_X37Y144 SITE_PIPS SITEPROP SLICE_X37Y144 SITE_TYPE SLICEL SITEPROP SLICE_X37Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y145 CLASS site SITEPROP SLICE_X37Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y145 IS_BONDED 0 SITEPROP SLICE_X37Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y145 IS_PAD 0 SITEPROP SLICE_X37Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y145 IS_RESERVED 0 SITEPROP SLICE_X37Y145 IS_TEST 0 SITEPROP SLICE_X37Y145 IS_USED 0 SITEPROP SLICE_X37Y145 MANUAL_ROUTING SITEPROP SLICE_X37Y145 NAME SLICE_X37Y145 SITEPROP SLICE_X37Y145 NUM_ARCS 138 SITEPROP SLICE_X37Y145 NUM_BELS 32 SITEPROP SLICE_X37Y145 NUM_INPUTS 32 SITEPROP SLICE_X37Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y145 NUM_PINS 45 SITEPROP SLICE_X37Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y145 PROHIBIT 0 SITEPROP SLICE_X37Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y145 RPM_X 103 SITEPROP SLICE_X37Y145 RPM_Y 290 SITEPROP SLICE_X37Y145 SITE_PIPS SITEPROP SLICE_X37Y145 SITE_TYPE SLICEL SITEPROP SLICE_X37Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y146 CLASS site SITEPROP SLICE_X37Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y146 IS_BONDED 0 SITEPROP SLICE_X37Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y146 IS_PAD 0 SITEPROP SLICE_X37Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y146 IS_RESERVED 0 SITEPROP SLICE_X37Y146 IS_TEST 0 SITEPROP SLICE_X37Y146 IS_USED 0 SITEPROP SLICE_X37Y146 MANUAL_ROUTING SITEPROP SLICE_X37Y146 NAME SLICE_X37Y146 SITEPROP SLICE_X37Y146 NUM_ARCS 138 SITEPROP SLICE_X37Y146 NUM_BELS 32 SITEPROP SLICE_X37Y146 NUM_INPUTS 32 SITEPROP SLICE_X37Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y146 NUM_PINS 45 SITEPROP SLICE_X37Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y146 PROHIBIT 0 SITEPROP SLICE_X37Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y146 RPM_X 103 SITEPROP SLICE_X37Y146 RPM_Y 292 SITEPROP SLICE_X37Y146 SITE_PIPS SITEPROP SLICE_X37Y146 SITE_TYPE SLICEL SITEPROP SLICE_X37Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y147 CLASS site SITEPROP SLICE_X37Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y147 IS_BONDED 0 SITEPROP SLICE_X37Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y147 IS_PAD 0 SITEPROP SLICE_X37Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y147 IS_RESERVED 0 SITEPROP SLICE_X37Y147 IS_TEST 0 SITEPROP SLICE_X37Y147 IS_USED 0 SITEPROP SLICE_X37Y147 MANUAL_ROUTING SITEPROP SLICE_X37Y147 NAME SLICE_X37Y147 SITEPROP SLICE_X37Y147 NUM_ARCS 138 SITEPROP SLICE_X37Y147 NUM_BELS 32 SITEPROP SLICE_X37Y147 NUM_INPUTS 32 SITEPROP SLICE_X37Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y147 NUM_PINS 45 SITEPROP SLICE_X37Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y147 PROHIBIT 0 SITEPROP SLICE_X37Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y147 RPM_X 103 SITEPROP SLICE_X37Y147 RPM_Y 294 SITEPROP SLICE_X37Y147 SITE_PIPS SITEPROP SLICE_X37Y147 SITE_TYPE SLICEL SITEPROP SLICE_X37Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y148 CLASS site SITEPROP SLICE_X37Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y148 IS_BONDED 0 SITEPROP SLICE_X37Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y148 IS_PAD 0 SITEPROP SLICE_X37Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y148 IS_RESERVED 0 SITEPROP SLICE_X37Y148 IS_TEST 0 SITEPROP SLICE_X37Y148 IS_USED 0 SITEPROP SLICE_X37Y148 MANUAL_ROUTING SITEPROP SLICE_X37Y148 NAME SLICE_X37Y148 SITEPROP SLICE_X37Y148 NUM_ARCS 138 SITEPROP SLICE_X37Y148 NUM_BELS 32 SITEPROP SLICE_X37Y148 NUM_INPUTS 32 SITEPROP SLICE_X37Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y148 NUM_PINS 45 SITEPROP SLICE_X37Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y148 PROHIBIT 0 SITEPROP SLICE_X37Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y148 RPM_X 103 SITEPROP SLICE_X37Y148 RPM_Y 296 SITEPROP SLICE_X37Y148 SITE_PIPS SITEPROP SLICE_X37Y148 SITE_TYPE SLICEL SITEPROP SLICE_X37Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X37Y149 CLASS site SITEPROP SLICE_X37Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X37Y149 IS_BONDED 0 SITEPROP SLICE_X37Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X37Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y149 IS_PAD 0 SITEPROP SLICE_X37Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X37Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X37Y149 IS_RESERVED 0 SITEPROP SLICE_X37Y149 IS_TEST 0 SITEPROP SLICE_X37Y149 IS_USED 0 SITEPROP SLICE_X37Y149 MANUAL_ROUTING SITEPROP SLICE_X37Y149 NAME SLICE_X37Y149 SITEPROP SLICE_X37Y149 NUM_ARCS 138 SITEPROP SLICE_X37Y149 NUM_BELS 32 SITEPROP SLICE_X37Y149 NUM_INPUTS 32 SITEPROP SLICE_X37Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X37Y149 NUM_PINS 45 SITEPROP SLICE_X37Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X37Y149 PROHIBIT 0 SITEPROP SLICE_X37Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X37Y149 RPM_X 103 SITEPROP SLICE_X37Y149 RPM_Y 298 SITEPROP SLICE_X37Y149 SITE_PIPS SITEPROP SLICE_X37Y149 SITE_TYPE SLICEL SITEPROP SLICE_X38Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y0 CLASS site SITEPROP SLICE_X38Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y0 IS_BONDED 0 SITEPROP SLICE_X38Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y0 IS_PAD 0 SITEPROP SLICE_X38Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y0 IS_RESERVED 0 SITEPROP SLICE_X38Y0 IS_TEST 0 SITEPROP SLICE_X38Y0 IS_USED 0 SITEPROP SLICE_X38Y0 MANUAL_ROUTING SITEPROP SLICE_X38Y0 NAME SLICE_X38Y0 SITEPROP SLICE_X38Y0 NUM_ARCS 153 SITEPROP SLICE_X38Y0 NUM_BELS 32 SITEPROP SLICE_X38Y0 NUM_INPUTS 37 SITEPROP SLICE_X38Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y0 NUM_PINS 50 SITEPROP SLICE_X38Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y0 PROHIBIT 0 SITEPROP SLICE_X38Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y0 RPM_X 105 SITEPROP SLICE_X38Y0 RPM_Y 0 SITEPROP SLICE_X38Y0 SITE_PIPS SITEPROP SLICE_X38Y0 SITE_TYPE SLICEM SITEPROP SLICE_X38Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y1 CLASS site SITEPROP SLICE_X38Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y1 IS_BONDED 0 SITEPROP SLICE_X38Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y1 IS_PAD 0 SITEPROP SLICE_X38Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y1 IS_RESERVED 0 SITEPROP SLICE_X38Y1 IS_TEST 0 SITEPROP SLICE_X38Y1 IS_USED 0 SITEPROP SLICE_X38Y1 MANUAL_ROUTING SITEPROP SLICE_X38Y1 NAME SLICE_X38Y1 SITEPROP SLICE_X38Y1 NUM_ARCS 153 SITEPROP SLICE_X38Y1 NUM_BELS 32 SITEPROP SLICE_X38Y1 NUM_INPUTS 37 SITEPROP SLICE_X38Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y1 NUM_PINS 50 SITEPROP SLICE_X38Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y1 PROHIBIT 0 SITEPROP SLICE_X38Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y1 RPM_X 105 SITEPROP SLICE_X38Y1 RPM_Y 2 SITEPROP SLICE_X38Y1 SITE_PIPS SITEPROP SLICE_X38Y1 SITE_TYPE SLICEM SITEPROP SLICE_X38Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y2 CLASS site SITEPROP SLICE_X38Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y2 IS_BONDED 0 SITEPROP SLICE_X38Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y2 IS_PAD 0 SITEPROP SLICE_X38Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y2 IS_RESERVED 0 SITEPROP SLICE_X38Y2 IS_TEST 0 SITEPROP SLICE_X38Y2 IS_USED 0 SITEPROP SLICE_X38Y2 MANUAL_ROUTING SITEPROP SLICE_X38Y2 NAME SLICE_X38Y2 SITEPROP SLICE_X38Y2 NUM_ARCS 153 SITEPROP SLICE_X38Y2 NUM_BELS 32 SITEPROP SLICE_X38Y2 NUM_INPUTS 37 SITEPROP SLICE_X38Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y2 NUM_PINS 50 SITEPROP SLICE_X38Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y2 PROHIBIT 0 SITEPROP SLICE_X38Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y2 RPM_X 105 SITEPROP SLICE_X38Y2 RPM_Y 4 SITEPROP SLICE_X38Y2 SITE_PIPS SITEPROP SLICE_X38Y2 SITE_TYPE SLICEM SITEPROP SLICE_X38Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y3 CLASS site SITEPROP SLICE_X38Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y3 IS_BONDED 0 SITEPROP SLICE_X38Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y3 IS_PAD 0 SITEPROP SLICE_X38Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y3 IS_RESERVED 0 SITEPROP SLICE_X38Y3 IS_TEST 0 SITEPROP SLICE_X38Y3 IS_USED 0 SITEPROP SLICE_X38Y3 MANUAL_ROUTING SITEPROP SLICE_X38Y3 NAME SLICE_X38Y3 SITEPROP SLICE_X38Y3 NUM_ARCS 153 SITEPROP SLICE_X38Y3 NUM_BELS 32 SITEPROP SLICE_X38Y3 NUM_INPUTS 37 SITEPROP SLICE_X38Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y3 NUM_PINS 50 SITEPROP SLICE_X38Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y3 PROHIBIT 0 SITEPROP SLICE_X38Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y3 RPM_X 105 SITEPROP SLICE_X38Y3 RPM_Y 6 SITEPROP SLICE_X38Y3 SITE_PIPS SITEPROP SLICE_X38Y3 SITE_TYPE SLICEM SITEPROP SLICE_X38Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y4 CLASS site SITEPROP SLICE_X38Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y4 IS_BONDED 0 SITEPROP SLICE_X38Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y4 IS_PAD 0 SITEPROP SLICE_X38Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y4 IS_RESERVED 0 SITEPROP SLICE_X38Y4 IS_TEST 0 SITEPROP SLICE_X38Y4 IS_USED 0 SITEPROP SLICE_X38Y4 MANUAL_ROUTING SITEPROP SLICE_X38Y4 NAME SLICE_X38Y4 SITEPROP SLICE_X38Y4 NUM_ARCS 153 SITEPROP SLICE_X38Y4 NUM_BELS 32 SITEPROP SLICE_X38Y4 NUM_INPUTS 37 SITEPROP SLICE_X38Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y4 NUM_PINS 50 SITEPROP SLICE_X38Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y4 PROHIBIT 0 SITEPROP SLICE_X38Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y4 RPM_X 105 SITEPROP SLICE_X38Y4 RPM_Y 8 SITEPROP SLICE_X38Y4 SITE_PIPS SITEPROP SLICE_X38Y4 SITE_TYPE SLICEM SITEPROP SLICE_X38Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y5 CLASS site SITEPROP SLICE_X38Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y5 IS_BONDED 0 SITEPROP SLICE_X38Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y5 IS_PAD 0 SITEPROP SLICE_X38Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y5 IS_RESERVED 0 SITEPROP SLICE_X38Y5 IS_TEST 0 SITEPROP SLICE_X38Y5 IS_USED 0 SITEPROP SLICE_X38Y5 MANUAL_ROUTING SITEPROP SLICE_X38Y5 NAME SLICE_X38Y5 SITEPROP SLICE_X38Y5 NUM_ARCS 153 SITEPROP SLICE_X38Y5 NUM_BELS 32 SITEPROP SLICE_X38Y5 NUM_INPUTS 37 SITEPROP SLICE_X38Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y5 NUM_PINS 50 SITEPROP SLICE_X38Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y5 PROHIBIT 0 SITEPROP SLICE_X38Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y5 RPM_X 105 SITEPROP SLICE_X38Y5 RPM_Y 10 SITEPROP SLICE_X38Y5 SITE_PIPS SITEPROP SLICE_X38Y5 SITE_TYPE SLICEM SITEPROP SLICE_X38Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y6 CLASS site SITEPROP SLICE_X38Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y6 IS_BONDED 0 SITEPROP SLICE_X38Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y6 IS_PAD 0 SITEPROP SLICE_X38Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y6 IS_RESERVED 0 SITEPROP SLICE_X38Y6 IS_TEST 0 SITEPROP SLICE_X38Y6 IS_USED 0 SITEPROP SLICE_X38Y6 MANUAL_ROUTING SITEPROP SLICE_X38Y6 NAME SLICE_X38Y6 SITEPROP SLICE_X38Y6 NUM_ARCS 153 SITEPROP SLICE_X38Y6 NUM_BELS 32 SITEPROP SLICE_X38Y6 NUM_INPUTS 37 SITEPROP SLICE_X38Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y6 NUM_PINS 50 SITEPROP SLICE_X38Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y6 PROHIBIT 0 SITEPROP SLICE_X38Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y6 RPM_X 105 SITEPROP SLICE_X38Y6 RPM_Y 12 SITEPROP SLICE_X38Y6 SITE_PIPS SITEPROP SLICE_X38Y6 SITE_TYPE SLICEM SITEPROP SLICE_X38Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y7 CLASS site SITEPROP SLICE_X38Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y7 IS_BONDED 0 SITEPROP SLICE_X38Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y7 IS_PAD 0 SITEPROP SLICE_X38Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y7 IS_RESERVED 0 SITEPROP SLICE_X38Y7 IS_TEST 0 SITEPROP SLICE_X38Y7 IS_USED 0 SITEPROP SLICE_X38Y7 MANUAL_ROUTING SITEPROP SLICE_X38Y7 NAME SLICE_X38Y7 SITEPROP SLICE_X38Y7 NUM_ARCS 153 SITEPROP SLICE_X38Y7 NUM_BELS 32 SITEPROP SLICE_X38Y7 NUM_INPUTS 37 SITEPROP SLICE_X38Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y7 NUM_PINS 50 SITEPROP SLICE_X38Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y7 PROHIBIT 0 SITEPROP SLICE_X38Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y7 RPM_X 105 SITEPROP SLICE_X38Y7 RPM_Y 14 SITEPROP SLICE_X38Y7 SITE_PIPS SITEPROP SLICE_X38Y7 SITE_TYPE SLICEM SITEPROP SLICE_X38Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y8 CLASS site SITEPROP SLICE_X38Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y8 IS_BONDED 0 SITEPROP SLICE_X38Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y8 IS_PAD 0 SITEPROP SLICE_X38Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y8 IS_RESERVED 0 SITEPROP SLICE_X38Y8 IS_TEST 0 SITEPROP SLICE_X38Y8 IS_USED 0 SITEPROP SLICE_X38Y8 MANUAL_ROUTING SITEPROP SLICE_X38Y8 NAME SLICE_X38Y8 SITEPROP SLICE_X38Y8 NUM_ARCS 153 SITEPROP SLICE_X38Y8 NUM_BELS 32 SITEPROP SLICE_X38Y8 NUM_INPUTS 37 SITEPROP SLICE_X38Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y8 NUM_PINS 50 SITEPROP SLICE_X38Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y8 PROHIBIT 0 SITEPROP SLICE_X38Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y8 RPM_X 105 SITEPROP SLICE_X38Y8 RPM_Y 16 SITEPROP SLICE_X38Y8 SITE_PIPS SITEPROP SLICE_X38Y8 SITE_TYPE SLICEM SITEPROP SLICE_X38Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y9 CLASS site SITEPROP SLICE_X38Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y9 IS_BONDED 0 SITEPROP SLICE_X38Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y9 IS_PAD 0 SITEPROP SLICE_X38Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y9 IS_RESERVED 0 SITEPROP SLICE_X38Y9 IS_TEST 0 SITEPROP SLICE_X38Y9 IS_USED 0 SITEPROP SLICE_X38Y9 MANUAL_ROUTING SITEPROP SLICE_X38Y9 NAME SLICE_X38Y9 SITEPROP SLICE_X38Y9 NUM_ARCS 153 SITEPROP SLICE_X38Y9 NUM_BELS 32 SITEPROP SLICE_X38Y9 NUM_INPUTS 37 SITEPROP SLICE_X38Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y9 NUM_PINS 50 SITEPROP SLICE_X38Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y9 PROHIBIT 0 SITEPROP SLICE_X38Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y9 RPM_X 105 SITEPROP SLICE_X38Y9 RPM_Y 18 SITEPROP SLICE_X38Y9 SITE_PIPS SITEPROP SLICE_X38Y9 SITE_TYPE SLICEM SITEPROP SLICE_X38Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y10 CLASS site SITEPROP SLICE_X38Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y10 IS_BONDED 0 SITEPROP SLICE_X38Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y10 IS_PAD 0 SITEPROP SLICE_X38Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y10 IS_RESERVED 0 SITEPROP SLICE_X38Y10 IS_TEST 0 SITEPROP SLICE_X38Y10 IS_USED 0 SITEPROP SLICE_X38Y10 MANUAL_ROUTING SITEPROP SLICE_X38Y10 NAME SLICE_X38Y10 SITEPROP SLICE_X38Y10 NUM_ARCS 153 SITEPROP SLICE_X38Y10 NUM_BELS 32 SITEPROP SLICE_X38Y10 NUM_INPUTS 37 SITEPROP SLICE_X38Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y10 NUM_PINS 50 SITEPROP SLICE_X38Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y10 PROHIBIT 0 SITEPROP SLICE_X38Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y10 RPM_X 105 SITEPROP SLICE_X38Y10 RPM_Y 20 SITEPROP SLICE_X38Y10 SITE_PIPS SITEPROP SLICE_X38Y10 SITE_TYPE SLICEM SITEPROP SLICE_X38Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y11 CLASS site SITEPROP SLICE_X38Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y11 IS_BONDED 0 SITEPROP SLICE_X38Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y11 IS_PAD 0 SITEPROP SLICE_X38Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y11 IS_RESERVED 0 SITEPROP SLICE_X38Y11 IS_TEST 0 SITEPROP SLICE_X38Y11 IS_USED 0 SITEPROP SLICE_X38Y11 MANUAL_ROUTING SITEPROP SLICE_X38Y11 NAME SLICE_X38Y11 SITEPROP SLICE_X38Y11 NUM_ARCS 153 SITEPROP SLICE_X38Y11 NUM_BELS 32 SITEPROP SLICE_X38Y11 NUM_INPUTS 37 SITEPROP SLICE_X38Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y11 NUM_PINS 50 SITEPROP SLICE_X38Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y11 PROHIBIT 0 SITEPROP SLICE_X38Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y11 RPM_X 105 SITEPROP SLICE_X38Y11 RPM_Y 22 SITEPROP SLICE_X38Y11 SITE_PIPS SITEPROP SLICE_X38Y11 SITE_TYPE SLICEM SITEPROP SLICE_X38Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y12 CLASS site SITEPROP SLICE_X38Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y12 IS_BONDED 0 SITEPROP SLICE_X38Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y12 IS_PAD 0 SITEPROP SLICE_X38Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y12 IS_RESERVED 0 SITEPROP SLICE_X38Y12 IS_TEST 0 SITEPROP SLICE_X38Y12 IS_USED 0 SITEPROP SLICE_X38Y12 MANUAL_ROUTING SITEPROP SLICE_X38Y12 NAME SLICE_X38Y12 SITEPROP SLICE_X38Y12 NUM_ARCS 153 SITEPROP SLICE_X38Y12 NUM_BELS 32 SITEPROP SLICE_X38Y12 NUM_INPUTS 37 SITEPROP SLICE_X38Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y12 NUM_PINS 50 SITEPROP SLICE_X38Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y12 PROHIBIT 0 SITEPROP SLICE_X38Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y12 RPM_X 105 SITEPROP SLICE_X38Y12 RPM_Y 24 SITEPROP SLICE_X38Y12 SITE_PIPS SITEPROP SLICE_X38Y12 SITE_TYPE SLICEM SITEPROP SLICE_X38Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y13 CLASS site SITEPROP SLICE_X38Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y13 IS_BONDED 0 SITEPROP SLICE_X38Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y13 IS_PAD 0 SITEPROP SLICE_X38Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y13 IS_RESERVED 0 SITEPROP SLICE_X38Y13 IS_TEST 0 SITEPROP SLICE_X38Y13 IS_USED 0 SITEPROP SLICE_X38Y13 MANUAL_ROUTING SITEPROP SLICE_X38Y13 NAME SLICE_X38Y13 SITEPROP SLICE_X38Y13 NUM_ARCS 153 SITEPROP SLICE_X38Y13 NUM_BELS 32 SITEPROP SLICE_X38Y13 NUM_INPUTS 37 SITEPROP SLICE_X38Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y13 NUM_PINS 50 SITEPROP SLICE_X38Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y13 PROHIBIT 0 SITEPROP SLICE_X38Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y13 RPM_X 105 SITEPROP SLICE_X38Y13 RPM_Y 26 SITEPROP SLICE_X38Y13 SITE_PIPS SITEPROP SLICE_X38Y13 SITE_TYPE SLICEM SITEPROP SLICE_X38Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y14 CLASS site SITEPROP SLICE_X38Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y14 IS_BONDED 0 SITEPROP SLICE_X38Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y14 IS_PAD 0 SITEPROP SLICE_X38Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y14 IS_RESERVED 0 SITEPROP SLICE_X38Y14 IS_TEST 0 SITEPROP SLICE_X38Y14 IS_USED 0 SITEPROP SLICE_X38Y14 MANUAL_ROUTING SITEPROP SLICE_X38Y14 NAME SLICE_X38Y14 SITEPROP SLICE_X38Y14 NUM_ARCS 153 SITEPROP SLICE_X38Y14 NUM_BELS 32 SITEPROP SLICE_X38Y14 NUM_INPUTS 37 SITEPROP SLICE_X38Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y14 NUM_PINS 50 SITEPROP SLICE_X38Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y14 PROHIBIT 0 SITEPROP SLICE_X38Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y14 RPM_X 105 SITEPROP SLICE_X38Y14 RPM_Y 28 SITEPROP SLICE_X38Y14 SITE_PIPS SITEPROP SLICE_X38Y14 SITE_TYPE SLICEM SITEPROP SLICE_X38Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y15 CLASS site SITEPROP SLICE_X38Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y15 IS_BONDED 0 SITEPROP SLICE_X38Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y15 IS_PAD 0 SITEPROP SLICE_X38Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y15 IS_RESERVED 0 SITEPROP SLICE_X38Y15 IS_TEST 0 SITEPROP SLICE_X38Y15 IS_USED 0 SITEPROP SLICE_X38Y15 MANUAL_ROUTING SITEPROP SLICE_X38Y15 NAME SLICE_X38Y15 SITEPROP SLICE_X38Y15 NUM_ARCS 153 SITEPROP SLICE_X38Y15 NUM_BELS 32 SITEPROP SLICE_X38Y15 NUM_INPUTS 37 SITEPROP SLICE_X38Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y15 NUM_PINS 50 SITEPROP SLICE_X38Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y15 PROHIBIT 0 SITEPROP SLICE_X38Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y15 RPM_X 105 SITEPROP SLICE_X38Y15 RPM_Y 30 SITEPROP SLICE_X38Y15 SITE_PIPS SITEPROP SLICE_X38Y15 SITE_TYPE SLICEM SITEPROP SLICE_X38Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y16 CLASS site SITEPROP SLICE_X38Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y16 IS_BONDED 0 SITEPROP SLICE_X38Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y16 IS_PAD 0 SITEPROP SLICE_X38Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y16 IS_RESERVED 0 SITEPROP SLICE_X38Y16 IS_TEST 0 SITEPROP SLICE_X38Y16 IS_USED 0 SITEPROP SLICE_X38Y16 MANUAL_ROUTING SITEPROP SLICE_X38Y16 NAME SLICE_X38Y16 SITEPROP SLICE_X38Y16 NUM_ARCS 153 SITEPROP SLICE_X38Y16 NUM_BELS 32 SITEPROP SLICE_X38Y16 NUM_INPUTS 37 SITEPROP SLICE_X38Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y16 NUM_PINS 50 SITEPROP SLICE_X38Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y16 PROHIBIT 0 SITEPROP SLICE_X38Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y16 RPM_X 105 SITEPROP SLICE_X38Y16 RPM_Y 32 SITEPROP SLICE_X38Y16 SITE_PIPS SITEPROP SLICE_X38Y16 SITE_TYPE SLICEM SITEPROP SLICE_X38Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y17 CLASS site SITEPROP SLICE_X38Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y17 IS_BONDED 0 SITEPROP SLICE_X38Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y17 IS_PAD 0 SITEPROP SLICE_X38Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y17 IS_RESERVED 0 SITEPROP SLICE_X38Y17 IS_TEST 0 SITEPROP SLICE_X38Y17 IS_USED 0 SITEPROP SLICE_X38Y17 MANUAL_ROUTING SITEPROP SLICE_X38Y17 NAME SLICE_X38Y17 SITEPROP SLICE_X38Y17 NUM_ARCS 153 SITEPROP SLICE_X38Y17 NUM_BELS 32 SITEPROP SLICE_X38Y17 NUM_INPUTS 37 SITEPROP SLICE_X38Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y17 NUM_PINS 50 SITEPROP SLICE_X38Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y17 PROHIBIT 0 SITEPROP SLICE_X38Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y17 RPM_X 105 SITEPROP SLICE_X38Y17 RPM_Y 34 SITEPROP SLICE_X38Y17 SITE_PIPS SITEPROP SLICE_X38Y17 SITE_TYPE SLICEM SITEPROP SLICE_X38Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y18 CLASS site SITEPROP SLICE_X38Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y18 IS_BONDED 0 SITEPROP SLICE_X38Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y18 IS_PAD 0 SITEPROP SLICE_X38Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y18 IS_RESERVED 0 SITEPROP SLICE_X38Y18 IS_TEST 0 SITEPROP SLICE_X38Y18 IS_USED 0 SITEPROP SLICE_X38Y18 MANUAL_ROUTING SITEPROP SLICE_X38Y18 NAME SLICE_X38Y18 SITEPROP SLICE_X38Y18 NUM_ARCS 153 SITEPROP SLICE_X38Y18 NUM_BELS 32 SITEPROP SLICE_X38Y18 NUM_INPUTS 37 SITEPROP SLICE_X38Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y18 NUM_PINS 50 SITEPROP SLICE_X38Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y18 PROHIBIT 0 SITEPROP SLICE_X38Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y18 RPM_X 105 SITEPROP SLICE_X38Y18 RPM_Y 36 SITEPROP SLICE_X38Y18 SITE_PIPS SITEPROP SLICE_X38Y18 SITE_TYPE SLICEM SITEPROP SLICE_X38Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y19 CLASS site SITEPROP SLICE_X38Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y19 IS_BONDED 0 SITEPROP SLICE_X38Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y19 IS_PAD 0 SITEPROP SLICE_X38Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y19 IS_RESERVED 0 SITEPROP SLICE_X38Y19 IS_TEST 0 SITEPROP SLICE_X38Y19 IS_USED 0 SITEPROP SLICE_X38Y19 MANUAL_ROUTING SITEPROP SLICE_X38Y19 NAME SLICE_X38Y19 SITEPROP SLICE_X38Y19 NUM_ARCS 153 SITEPROP SLICE_X38Y19 NUM_BELS 32 SITEPROP SLICE_X38Y19 NUM_INPUTS 37 SITEPROP SLICE_X38Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y19 NUM_PINS 50 SITEPROP SLICE_X38Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y19 PROHIBIT 0 SITEPROP SLICE_X38Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y19 RPM_X 105 SITEPROP SLICE_X38Y19 RPM_Y 38 SITEPROP SLICE_X38Y19 SITE_PIPS SITEPROP SLICE_X38Y19 SITE_TYPE SLICEM SITEPROP SLICE_X38Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y20 CLASS site SITEPROP SLICE_X38Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y20 IS_BONDED 0 SITEPROP SLICE_X38Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y20 IS_PAD 0 SITEPROP SLICE_X38Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y20 IS_RESERVED 0 SITEPROP SLICE_X38Y20 IS_TEST 0 SITEPROP SLICE_X38Y20 IS_USED 0 SITEPROP SLICE_X38Y20 MANUAL_ROUTING SITEPROP SLICE_X38Y20 NAME SLICE_X38Y20 SITEPROP SLICE_X38Y20 NUM_ARCS 153 SITEPROP SLICE_X38Y20 NUM_BELS 32 SITEPROP SLICE_X38Y20 NUM_INPUTS 37 SITEPROP SLICE_X38Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y20 NUM_PINS 50 SITEPROP SLICE_X38Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y20 PROHIBIT 0 SITEPROP SLICE_X38Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y20 RPM_X 105 SITEPROP SLICE_X38Y20 RPM_Y 40 SITEPROP SLICE_X38Y20 SITE_PIPS SITEPROP SLICE_X38Y20 SITE_TYPE SLICEM SITEPROP SLICE_X38Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y21 CLASS site SITEPROP SLICE_X38Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y21 IS_BONDED 0 SITEPROP SLICE_X38Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y21 IS_PAD 0 SITEPROP SLICE_X38Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y21 IS_RESERVED 0 SITEPROP SLICE_X38Y21 IS_TEST 0 SITEPROP SLICE_X38Y21 IS_USED 0 SITEPROP SLICE_X38Y21 MANUAL_ROUTING SITEPROP SLICE_X38Y21 NAME SLICE_X38Y21 SITEPROP SLICE_X38Y21 NUM_ARCS 153 SITEPROP SLICE_X38Y21 NUM_BELS 32 SITEPROP SLICE_X38Y21 NUM_INPUTS 37 SITEPROP SLICE_X38Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y21 NUM_PINS 50 SITEPROP SLICE_X38Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y21 PROHIBIT 0 SITEPROP SLICE_X38Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y21 RPM_X 105 SITEPROP SLICE_X38Y21 RPM_Y 42 SITEPROP SLICE_X38Y21 SITE_PIPS SITEPROP SLICE_X38Y21 SITE_TYPE SLICEM SITEPROP SLICE_X38Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y22 CLASS site SITEPROP SLICE_X38Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y22 IS_BONDED 0 SITEPROP SLICE_X38Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y22 IS_PAD 0 SITEPROP SLICE_X38Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y22 IS_RESERVED 0 SITEPROP SLICE_X38Y22 IS_TEST 0 SITEPROP SLICE_X38Y22 IS_USED 0 SITEPROP SLICE_X38Y22 MANUAL_ROUTING SITEPROP SLICE_X38Y22 NAME SLICE_X38Y22 SITEPROP SLICE_X38Y22 NUM_ARCS 153 SITEPROP SLICE_X38Y22 NUM_BELS 32 SITEPROP SLICE_X38Y22 NUM_INPUTS 37 SITEPROP SLICE_X38Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y22 NUM_PINS 50 SITEPROP SLICE_X38Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y22 PROHIBIT 0 SITEPROP SLICE_X38Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y22 RPM_X 105 SITEPROP SLICE_X38Y22 RPM_Y 44 SITEPROP SLICE_X38Y22 SITE_PIPS SITEPROP SLICE_X38Y22 SITE_TYPE SLICEM SITEPROP SLICE_X38Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y23 CLASS site SITEPROP SLICE_X38Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y23 IS_BONDED 0 SITEPROP SLICE_X38Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y23 IS_PAD 0 SITEPROP SLICE_X38Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y23 IS_RESERVED 0 SITEPROP SLICE_X38Y23 IS_TEST 0 SITEPROP SLICE_X38Y23 IS_USED 0 SITEPROP SLICE_X38Y23 MANUAL_ROUTING SITEPROP SLICE_X38Y23 NAME SLICE_X38Y23 SITEPROP SLICE_X38Y23 NUM_ARCS 153 SITEPROP SLICE_X38Y23 NUM_BELS 32 SITEPROP SLICE_X38Y23 NUM_INPUTS 37 SITEPROP SLICE_X38Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y23 NUM_PINS 50 SITEPROP SLICE_X38Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y23 PROHIBIT 0 SITEPROP SLICE_X38Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y23 RPM_X 105 SITEPROP SLICE_X38Y23 RPM_Y 46 SITEPROP SLICE_X38Y23 SITE_PIPS SITEPROP SLICE_X38Y23 SITE_TYPE SLICEM SITEPROP SLICE_X38Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y24 CLASS site SITEPROP SLICE_X38Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y24 IS_BONDED 0 SITEPROP SLICE_X38Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y24 IS_PAD 0 SITEPROP SLICE_X38Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y24 IS_RESERVED 0 SITEPROP SLICE_X38Y24 IS_TEST 0 SITEPROP SLICE_X38Y24 IS_USED 0 SITEPROP SLICE_X38Y24 MANUAL_ROUTING SITEPROP SLICE_X38Y24 NAME SLICE_X38Y24 SITEPROP SLICE_X38Y24 NUM_ARCS 153 SITEPROP SLICE_X38Y24 NUM_BELS 32 SITEPROP SLICE_X38Y24 NUM_INPUTS 37 SITEPROP SLICE_X38Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y24 NUM_PINS 50 SITEPROP SLICE_X38Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y24 PROHIBIT 0 SITEPROP SLICE_X38Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y24 RPM_X 105 SITEPROP SLICE_X38Y24 RPM_Y 48 SITEPROP SLICE_X38Y24 SITE_PIPS SITEPROP SLICE_X38Y24 SITE_TYPE SLICEM SITEPROP SLICE_X38Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y25 CLASS site SITEPROP SLICE_X38Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y25 IS_BONDED 0 SITEPROP SLICE_X38Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y25 IS_PAD 0 SITEPROP SLICE_X38Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y25 IS_RESERVED 0 SITEPROP SLICE_X38Y25 IS_TEST 0 SITEPROP SLICE_X38Y25 IS_USED 0 SITEPROP SLICE_X38Y25 MANUAL_ROUTING SITEPROP SLICE_X38Y25 NAME SLICE_X38Y25 SITEPROP SLICE_X38Y25 NUM_ARCS 153 SITEPROP SLICE_X38Y25 NUM_BELS 32 SITEPROP SLICE_X38Y25 NUM_INPUTS 37 SITEPROP SLICE_X38Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y25 NUM_PINS 50 SITEPROP SLICE_X38Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y25 PROHIBIT 0 SITEPROP SLICE_X38Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y25 RPM_X 105 SITEPROP SLICE_X38Y25 RPM_Y 50 SITEPROP SLICE_X38Y25 SITE_PIPS SITEPROP SLICE_X38Y25 SITE_TYPE SLICEM SITEPROP SLICE_X38Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y26 CLASS site SITEPROP SLICE_X38Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y26 IS_BONDED 0 SITEPROP SLICE_X38Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y26 IS_PAD 0 SITEPROP SLICE_X38Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y26 IS_RESERVED 0 SITEPROP SLICE_X38Y26 IS_TEST 0 SITEPROP SLICE_X38Y26 IS_USED 0 SITEPROP SLICE_X38Y26 MANUAL_ROUTING SITEPROP SLICE_X38Y26 NAME SLICE_X38Y26 SITEPROP SLICE_X38Y26 NUM_ARCS 153 SITEPROP SLICE_X38Y26 NUM_BELS 32 SITEPROP SLICE_X38Y26 NUM_INPUTS 37 SITEPROP SLICE_X38Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y26 NUM_PINS 50 SITEPROP SLICE_X38Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y26 PROHIBIT 0 SITEPROP SLICE_X38Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y26 RPM_X 105 SITEPROP SLICE_X38Y26 RPM_Y 52 SITEPROP SLICE_X38Y26 SITE_PIPS SITEPROP SLICE_X38Y26 SITE_TYPE SLICEM SITEPROP SLICE_X38Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y27 CLASS site SITEPROP SLICE_X38Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y27 IS_BONDED 0 SITEPROP SLICE_X38Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y27 IS_PAD 0 SITEPROP SLICE_X38Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y27 IS_RESERVED 0 SITEPROP SLICE_X38Y27 IS_TEST 0 SITEPROP SLICE_X38Y27 IS_USED 0 SITEPROP SLICE_X38Y27 MANUAL_ROUTING SITEPROP SLICE_X38Y27 NAME SLICE_X38Y27 SITEPROP SLICE_X38Y27 NUM_ARCS 153 SITEPROP SLICE_X38Y27 NUM_BELS 32 SITEPROP SLICE_X38Y27 NUM_INPUTS 37 SITEPROP SLICE_X38Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y27 NUM_PINS 50 SITEPROP SLICE_X38Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y27 PROHIBIT 0 SITEPROP SLICE_X38Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y27 RPM_X 105 SITEPROP SLICE_X38Y27 RPM_Y 54 SITEPROP SLICE_X38Y27 SITE_PIPS SITEPROP SLICE_X38Y27 SITE_TYPE SLICEM SITEPROP SLICE_X38Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y28 CLASS site SITEPROP SLICE_X38Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y28 IS_BONDED 0 SITEPROP SLICE_X38Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y28 IS_PAD 0 SITEPROP SLICE_X38Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y28 IS_RESERVED 0 SITEPROP SLICE_X38Y28 IS_TEST 0 SITEPROP SLICE_X38Y28 IS_USED 0 SITEPROP SLICE_X38Y28 MANUAL_ROUTING SITEPROP SLICE_X38Y28 NAME SLICE_X38Y28 SITEPROP SLICE_X38Y28 NUM_ARCS 153 SITEPROP SLICE_X38Y28 NUM_BELS 32 SITEPROP SLICE_X38Y28 NUM_INPUTS 37 SITEPROP SLICE_X38Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y28 NUM_PINS 50 SITEPROP SLICE_X38Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y28 PROHIBIT 0 SITEPROP SLICE_X38Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y28 RPM_X 105 SITEPROP SLICE_X38Y28 RPM_Y 56 SITEPROP SLICE_X38Y28 SITE_PIPS SITEPROP SLICE_X38Y28 SITE_TYPE SLICEM SITEPROP SLICE_X38Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y29 CLASS site SITEPROP SLICE_X38Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y29 IS_BONDED 0 SITEPROP SLICE_X38Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y29 IS_PAD 0 SITEPROP SLICE_X38Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y29 IS_RESERVED 0 SITEPROP SLICE_X38Y29 IS_TEST 0 SITEPROP SLICE_X38Y29 IS_USED 0 SITEPROP SLICE_X38Y29 MANUAL_ROUTING SITEPROP SLICE_X38Y29 NAME SLICE_X38Y29 SITEPROP SLICE_X38Y29 NUM_ARCS 153 SITEPROP SLICE_X38Y29 NUM_BELS 32 SITEPROP SLICE_X38Y29 NUM_INPUTS 37 SITEPROP SLICE_X38Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y29 NUM_PINS 50 SITEPROP SLICE_X38Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y29 PROHIBIT 0 SITEPROP SLICE_X38Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y29 RPM_X 105 SITEPROP SLICE_X38Y29 RPM_Y 58 SITEPROP SLICE_X38Y29 SITE_PIPS SITEPROP SLICE_X38Y29 SITE_TYPE SLICEM SITEPROP SLICE_X38Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y30 CLASS site SITEPROP SLICE_X38Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y30 IS_BONDED 0 SITEPROP SLICE_X38Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y30 IS_PAD 0 SITEPROP SLICE_X38Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y30 IS_RESERVED 0 SITEPROP SLICE_X38Y30 IS_TEST 0 SITEPROP SLICE_X38Y30 IS_USED 0 SITEPROP SLICE_X38Y30 MANUAL_ROUTING SITEPROP SLICE_X38Y30 NAME SLICE_X38Y30 SITEPROP SLICE_X38Y30 NUM_ARCS 153 SITEPROP SLICE_X38Y30 NUM_BELS 32 SITEPROP SLICE_X38Y30 NUM_INPUTS 37 SITEPROP SLICE_X38Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y30 NUM_PINS 50 SITEPROP SLICE_X38Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y30 PROHIBIT 0 SITEPROP SLICE_X38Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y30 RPM_X 105 SITEPROP SLICE_X38Y30 RPM_Y 60 SITEPROP SLICE_X38Y30 SITE_PIPS SITEPROP SLICE_X38Y30 SITE_TYPE SLICEM SITEPROP SLICE_X38Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y31 CLASS site SITEPROP SLICE_X38Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y31 IS_BONDED 0 SITEPROP SLICE_X38Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y31 IS_PAD 0 SITEPROP SLICE_X38Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y31 IS_RESERVED 0 SITEPROP SLICE_X38Y31 IS_TEST 0 SITEPROP SLICE_X38Y31 IS_USED 0 SITEPROP SLICE_X38Y31 MANUAL_ROUTING SITEPROP SLICE_X38Y31 NAME SLICE_X38Y31 SITEPROP SLICE_X38Y31 NUM_ARCS 153 SITEPROP SLICE_X38Y31 NUM_BELS 32 SITEPROP SLICE_X38Y31 NUM_INPUTS 37 SITEPROP SLICE_X38Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y31 NUM_PINS 50 SITEPROP SLICE_X38Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y31 PROHIBIT 0 SITEPROP SLICE_X38Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y31 RPM_X 105 SITEPROP SLICE_X38Y31 RPM_Y 62 SITEPROP SLICE_X38Y31 SITE_PIPS SITEPROP SLICE_X38Y31 SITE_TYPE SLICEM SITEPROP SLICE_X38Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y32 CLASS site SITEPROP SLICE_X38Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y32 IS_BONDED 0 SITEPROP SLICE_X38Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y32 IS_PAD 0 SITEPROP SLICE_X38Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y32 IS_RESERVED 0 SITEPROP SLICE_X38Y32 IS_TEST 0 SITEPROP SLICE_X38Y32 IS_USED 0 SITEPROP SLICE_X38Y32 MANUAL_ROUTING SITEPROP SLICE_X38Y32 NAME SLICE_X38Y32 SITEPROP SLICE_X38Y32 NUM_ARCS 153 SITEPROP SLICE_X38Y32 NUM_BELS 32 SITEPROP SLICE_X38Y32 NUM_INPUTS 37 SITEPROP SLICE_X38Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y32 NUM_PINS 50 SITEPROP SLICE_X38Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y32 PROHIBIT 0 SITEPROP SLICE_X38Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y32 RPM_X 105 SITEPROP SLICE_X38Y32 RPM_Y 64 SITEPROP SLICE_X38Y32 SITE_PIPS SITEPROP SLICE_X38Y32 SITE_TYPE SLICEM SITEPROP SLICE_X38Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y33 CLASS site SITEPROP SLICE_X38Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y33 IS_BONDED 0 SITEPROP SLICE_X38Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y33 IS_PAD 0 SITEPROP SLICE_X38Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y33 IS_RESERVED 0 SITEPROP SLICE_X38Y33 IS_TEST 0 SITEPROP SLICE_X38Y33 IS_USED 0 SITEPROP SLICE_X38Y33 MANUAL_ROUTING SITEPROP SLICE_X38Y33 NAME SLICE_X38Y33 SITEPROP SLICE_X38Y33 NUM_ARCS 153 SITEPROP SLICE_X38Y33 NUM_BELS 32 SITEPROP SLICE_X38Y33 NUM_INPUTS 37 SITEPROP SLICE_X38Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y33 NUM_PINS 50 SITEPROP SLICE_X38Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y33 PROHIBIT 0 SITEPROP SLICE_X38Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y33 RPM_X 105 SITEPROP SLICE_X38Y33 RPM_Y 66 SITEPROP SLICE_X38Y33 SITE_PIPS SITEPROP SLICE_X38Y33 SITE_TYPE SLICEM SITEPROP SLICE_X38Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y34 CLASS site SITEPROP SLICE_X38Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y34 IS_BONDED 0 SITEPROP SLICE_X38Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y34 IS_PAD 0 SITEPROP SLICE_X38Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y34 IS_RESERVED 0 SITEPROP SLICE_X38Y34 IS_TEST 0 SITEPROP SLICE_X38Y34 IS_USED 0 SITEPROP SLICE_X38Y34 MANUAL_ROUTING SITEPROP SLICE_X38Y34 NAME SLICE_X38Y34 SITEPROP SLICE_X38Y34 NUM_ARCS 153 SITEPROP SLICE_X38Y34 NUM_BELS 32 SITEPROP SLICE_X38Y34 NUM_INPUTS 37 SITEPROP SLICE_X38Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y34 NUM_PINS 50 SITEPROP SLICE_X38Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y34 PROHIBIT 0 SITEPROP SLICE_X38Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y34 RPM_X 105 SITEPROP SLICE_X38Y34 RPM_Y 68 SITEPROP SLICE_X38Y34 SITE_PIPS SITEPROP SLICE_X38Y34 SITE_TYPE SLICEM SITEPROP SLICE_X38Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y35 CLASS site SITEPROP SLICE_X38Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y35 IS_BONDED 0 SITEPROP SLICE_X38Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y35 IS_PAD 0 SITEPROP SLICE_X38Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y35 IS_RESERVED 0 SITEPROP SLICE_X38Y35 IS_TEST 0 SITEPROP SLICE_X38Y35 IS_USED 0 SITEPROP SLICE_X38Y35 MANUAL_ROUTING SITEPROP SLICE_X38Y35 NAME SLICE_X38Y35 SITEPROP SLICE_X38Y35 NUM_ARCS 153 SITEPROP SLICE_X38Y35 NUM_BELS 32 SITEPROP SLICE_X38Y35 NUM_INPUTS 37 SITEPROP SLICE_X38Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y35 NUM_PINS 50 SITEPROP SLICE_X38Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y35 PROHIBIT 0 SITEPROP SLICE_X38Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y35 RPM_X 105 SITEPROP SLICE_X38Y35 RPM_Y 70 SITEPROP SLICE_X38Y35 SITE_PIPS SITEPROP SLICE_X38Y35 SITE_TYPE SLICEM SITEPROP SLICE_X38Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y36 CLASS site SITEPROP SLICE_X38Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y36 IS_BONDED 0 SITEPROP SLICE_X38Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y36 IS_PAD 0 SITEPROP SLICE_X38Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y36 IS_RESERVED 0 SITEPROP SLICE_X38Y36 IS_TEST 0 SITEPROP SLICE_X38Y36 IS_USED 0 SITEPROP SLICE_X38Y36 MANUAL_ROUTING SITEPROP SLICE_X38Y36 NAME SLICE_X38Y36 SITEPROP SLICE_X38Y36 NUM_ARCS 153 SITEPROP SLICE_X38Y36 NUM_BELS 32 SITEPROP SLICE_X38Y36 NUM_INPUTS 37 SITEPROP SLICE_X38Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y36 NUM_PINS 50 SITEPROP SLICE_X38Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y36 PROHIBIT 0 SITEPROP SLICE_X38Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y36 RPM_X 105 SITEPROP SLICE_X38Y36 RPM_Y 72 SITEPROP SLICE_X38Y36 SITE_PIPS SITEPROP SLICE_X38Y36 SITE_TYPE SLICEM SITEPROP SLICE_X38Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y37 CLASS site SITEPROP SLICE_X38Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y37 IS_BONDED 0 SITEPROP SLICE_X38Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y37 IS_PAD 0 SITEPROP SLICE_X38Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y37 IS_RESERVED 0 SITEPROP SLICE_X38Y37 IS_TEST 0 SITEPROP SLICE_X38Y37 IS_USED 0 SITEPROP SLICE_X38Y37 MANUAL_ROUTING SITEPROP SLICE_X38Y37 NAME SLICE_X38Y37 SITEPROP SLICE_X38Y37 NUM_ARCS 153 SITEPROP SLICE_X38Y37 NUM_BELS 32 SITEPROP SLICE_X38Y37 NUM_INPUTS 37 SITEPROP SLICE_X38Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y37 NUM_PINS 50 SITEPROP SLICE_X38Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y37 PROHIBIT 0 SITEPROP SLICE_X38Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y37 RPM_X 105 SITEPROP SLICE_X38Y37 RPM_Y 74 SITEPROP SLICE_X38Y37 SITE_PIPS SITEPROP SLICE_X38Y37 SITE_TYPE SLICEM SITEPROP SLICE_X38Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y38 CLASS site SITEPROP SLICE_X38Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y38 IS_BONDED 0 SITEPROP SLICE_X38Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y38 IS_PAD 0 SITEPROP SLICE_X38Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y38 IS_RESERVED 0 SITEPROP SLICE_X38Y38 IS_TEST 0 SITEPROP SLICE_X38Y38 IS_USED 0 SITEPROP SLICE_X38Y38 MANUAL_ROUTING SITEPROP SLICE_X38Y38 NAME SLICE_X38Y38 SITEPROP SLICE_X38Y38 NUM_ARCS 153 SITEPROP SLICE_X38Y38 NUM_BELS 32 SITEPROP SLICE_X38Y38 NUM_INPUTS 37 SITEPROP SLICE_X38Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y38 NUM_PINS 50 SITEPROP SLICE_X38Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y38 PROHIBIT 0 SITEPROP SLICE_X38Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y38 RPM_X 105 SITEPROP SLICE_X38Y38 RPM_Y 76 SITEPROP SLICE_X38Y38 SITE_PIPS SITEPROP SLICE_X38Y38 SITE_TYPE SLICEM SITEPROP SLICE_X38Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y39 CLASS site SITEPROP SLICE_X38Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y39 IS_BONDED 0 SITEPROP SLICE_X38Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y39 IS_PAD 0 SITEPROP SLICE_X38Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y39 IS_RESERVED 0 SITEPROP SLICE_X38Y39 IS_TEST 0 SITEPROP SLICE_X38Y39 IS_USED 0 SITEPROP SLICE_X38Y39 MANUAL_ROUTING SITEPROP SLICE_X38Y39 NAME SLICE_X38Y39 SITEPROP SLICE_X38Y39 NUM_ARCS 153 SITEPROP SLICE_X38Y39 NUM_BELS 32 SITEPROP SLICE_X38Y39 NUM_INPUTS 37 SITEPROP SLICE_X38Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y39 NUM_PINS 50 SITEPROP SLICE_X38Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y39 PROHIBIT 0 SITEPROP SLICE_X38Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y39 RPM_X 105 SITEPROP SLICE_X38Y39 RPM_Y 78 SITEPROP SLICE_X38Y39 SITE_PIPS SITEPROP SLICE_X38Y39 SITE_TYPE SLICEM SITEPROP SLICE_X38Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y40 CLASS site SITEPROP SLICE_X38Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y40 IS_BONDED 0 SITEPROP SLICE_X38Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y40 IS_PAD 0 SITEPROP SLICE_X38Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y40 IS_RESERVED 0 SITEPROP SLICE_X38Y40 IS_TEST 0 SITEPROP SLICE_X38Y40 IS_USED 0 SITEPROP SLICE_X38Y40 MANUAL_ROUTING SITEPROP SLICE_X38Y40 NAME SLICE_X38Y40 SITEPROP SLICE_X38Y40 NUM_ARCS 153 SITEPROP SLICE_X38Y40 NUM_BELS 32 SITEPROP SLICE_X38Y40 NUM_INPUTS 37 SITEPROP SLICE_X38Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y40 NUM_PINS 50 SITEPROP SLICE_X38Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y40 PROHIBIT 0 SITEPROP SLICE_X38Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y40 RPM_X 105 SITEPROP SLICE_X38Y40 RPM_Y 80 SITEPROP SLICE_X38Y40 SITE_PIPS SITEPROP SLICE_X38Y40 SITE_TYPE SLICEM SITEPROP SLICE_X38Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y41 CLASS site SITEPROP SLICE_X38Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y41 IS_BONDED 0 SITEPROP SLICE_X38Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y41 IS_PAD 0 SITEPROP SLICE_X38Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y41 IS_RESERVED 0 SITEPROP SLICE_X38Y41 IS_TEST 0 SITEPROP SLICE_X38Y41 IS_USED 0 SITEPROP SLICE_X38Y41 MANUAL_ROUTING SITEPROP SLICE_X38Y41 NAME SLICE_X38Y41 SITEPROP SLICE_X38Y41 NUM_ARCS 153 SITEPROP SLICE_X38Y41 NUM_BELS 32 SITEPROP SLICE_X38Y41 NUM_INPUTS 37 SITEPROP SLICE_X38Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y41 NUM_PINS 50 SITEPROP SLICE_X38Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y41 PROHIBIT 0 SITEPROP SLICE_X38Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y41 RPM_X 105 SITEPROP SLICE_X38Y41 RPM_Y 82 SITEPROP SLICE_X38Y41 SITE_PIPS SITEPROP SLICE_X38Y41 SITE_TYPE SLICEM SITEPROP SLICE_X38Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y42 CLASS site SITEPROP SLICE_X38Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y42 IS_BONDED 0 SITEPROP SLICE_X38Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y42 IS_PAD 0 SITEPROP SLICE_X38Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y42 IS_RESERVED 0 SITEPROP SLICE_X38Y42 IS_TEST 0 SITEPROP SLICE_X38Y42 IS_USED 0 SITEPROP SLICE_X38Y42 MANUAL_ROUTING SITEPROP SLICE_X38Y42 NAME SLICE_X38Y42 SITEPROP SLICE_X38Y42 NUM_ARCS 153 SITEPROP SLICE_X38Y42 NUM_BELS 32 SITEPROP SLICE_X38Y42 NUM_INPUTS 37 SITEPROP SLICE_X38Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y42 NUM_PINS 50 SITEPROP SLICE_X38Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y42 PROHIBIT 0 SITEPROP SLICE_X38Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y42 RPM_X 105 SITEPROP SLICE_X38Y42 RPM_Y 84 SITEPROP SLICE_X38Y42 SITE_PIPS SITEPROP SLICE_X38Y42 SITE_TYPE SLICEM SITEPROP SLICE_X38Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y43 CLASS site SITEPROP SLICE_X38Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y43 IS_BONDED 0 SITEPROP SLICE_X38Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y43 IS_PAD 0 SITEPROP SLICE_X38Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y43 IS_RESERVED 0 SITEPROP SLICE_X38Y43 IS_TEST 0 SITEPROP SLICE_X38Y43 IS_USED 0 SITEPROP SLICE_X38Y43 MANUAL_ROUTING SITEPROP SLICE_X38Y43 NAME SLICE_X38Y43 SITEPROP SLICE_X38Y43 NUM_ARCS 153 SITEPROP SLICE_X38Y43 NUM_BELS 32 SITEPROP SLICE_X38Y43 NUM_INPUTS 37 SITEPROP SLICE_X38Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y43 NUM_PINS 50 SITEPROP SLICE_X38Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y43 PROHIBIT 0 SITEPROP SLICE_X38Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y43 RPM_X 105 SITEPROP SLICE_X38Y43 RPM_Y 86 SITEPROP SLICE_X38Y43 SITE_PIPS SITEPROP SLICE_X38Y43 SITE_TYPE SLICEM SITEPROP SLICE_X38Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y44 CLASS site SITEPROP SLICE_X38Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y44 IS_BONDED 0 SITEPROP SLICE_X38Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y44 IS_PAD 0 SITEPROP SLICE_X38Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y44 IS_RESERVED 0 SITEPROP SLICE_X38Y44 IS_TEST 0 SITEPROP SLICE_X38Y44 IS_USED 0 SITEPROP SLICE_X38Y44 MANUAL_ROUTING SITEPROP SLICE_X38Y44 NAME SLICE_X38Y44 SITEPROP SLICE_X38Y44 NUM_ARCS 153 SITEPROP SLICE_X38Y44 NUM_BELS 32 SITEPROP SLICE_X38Y44 NUM_INPUTS 37 SITEPROP SLICE_X38Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y44 NUM_PINS 50 SITEPROP SLICE_X38Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y44 PROHIBIT 0 SITEPROP SLICE_X38Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y44 RPM_X 105 SITEPROP SLICE_X38Y44 RPM_Y 88 SITEPROP SLICE_X38Y44 SITE_PIPS SITEPROP SLICE_X38Y44 SITE_TYPE SLICEM SITEPROP SLICE_X38Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y45 CLASS site SITEPROP SLICE_X38Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y45 IS_BONDED 0 SITEPROP SLICE_X38Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y45 IS_PAD 0 SITEPROP SLICE_X38Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y45 IS_RESERVED 0 SITEPROP SLICE_X38Y45 IS_TEST 0 SITEPROP SLICE_X38Y45 IS_USED 0 SITEPROP SLICE_X38Y45 MANUAL_ROUTING SITEPROP SLICE_X38Y45 NAME SLICE_X38Y45 SITEPROP SLICE_X38Y45 NUM_ARCS 153 SITEPROP SLICE_X38Y45 NUM_BELS 32 SITEPROP SLICE_X38Y45 NUM_INPUTS 37 SITEPROP SLICE_X38Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y45 NUM_PINS 50 SITEPROP SLICE_X38Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y45 PROHIBIT 0 SITEPROP SLICE_X38Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y45 RPM_X 105 SITEPROP SLICE_X38Y45 RPM_Y 90 SITEPROP SLICE_X38Y45 SITE_PIPS SITEPROP SLICE_X38Y45 SITE_TYPE SLICEM SITEPROP SLICE_X38Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y46 CLASS site SITEPROP SLICE_X38Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y46 IS_BONDED 0 SITEPROP SLICE_X38Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y46 IS_PAD 0 SITEPROP SLICE_X38Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y46 IS_RESERVED 0 SITEPROP SLICE_X38Y46 IS_TEST 0 SITEPROP SLICE_X38Y46 IS_USED 0 SITEPROP SLICE_X38Y46 MANUAL_ROUTING SITEPROP SLICE_X38Y46 NAME SLICE_X38Y46 SITEPROP SLICE_X38Y46 NUM_ARCS 153 SITEPROP SLICE_X38Y46 NUM_BELS 32 SITEPROP SLICE_X38Y46 NUM_INPUTS 37 SITEPROP SLICE_X38Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y46 NUM_PINS 50 SITEPROP SLICE_X38Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y46 PROHIBIT 0 SITEPROP SLICE_X38Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y46 RPM_X 105 SITEPROP SLICE_X38Y46 RPM_Y 92 SITEPROP SLICE_X38Y46 SITE_PIPS SITEPROP SLICE_X38Y46 SITE_TYPE SLICEM SITEPROP SLICE_X38Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y47 CLASS site SITEPROP SLICE_X38Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y47 IS_BONDED 0 SITEPROP SLICE_X38Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y47 IS_PAD 0 SITEPROP SLICE_X38Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y47 IS_RESERVED 0 SITEPROP SLICE_X38Y47 IS_TEST 0 SITEPROP SLICE_X38Y47 IS_USED 0 SITEPROP SLICE_X38Y47 MANUAL_ROUTING SITEPROP SLICE_X38Y47 NAME SLICE_X38Y47 SITEPROP SLICE_X38Y47 NUM_ARCS 153 SITEPROP SLICE_X38Y47 NUM_BELS 32 SITEPROP SLICE_X38Y47 NUM_INPUTS 37 SITEPROP SLICE_X38Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y47 NUM_PINS 50 SITEPROP SLICE_X38Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y47 PROHIBIT 0 SITEPROP SLICE_X38Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y47 RPM_X 105 SITEPROP SLICE_X38Y47 RPM_Y 94 SITEPROP SLICE_X38Y47 SITE_PIPS SITEPROP SLICE_X38Y47 SITE_TYPE SLICEM SITEPROP SLICE_X38Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y48 CLASS site SITEPROP SLICE_X38Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y48 IS_BONDED 0 SITEPROP SLICE_X38Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y48 IS_PAD 0 SITEPROP SLICE_X38Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y48 IS_RESERVED 0 SITEPROP SLICE_X38Y48 IS_TEST 0 SITEPROP SLICE_X38Y48 IS_USED 0 SITEPROP SLICE_X38Y48 MANUAL_ROUTING SITEPROP SLICE_X38Y48 NAME SLICE_X38Y48 SITEPROP SLICE_X38Y48 NUM_ARCS 153 SITEPROP SLICE_X38Y48 NUM_BELS 32 SITEPROP SLICE_X38Y48 NUM_INPUTS 37 SITEPROP SLICE_X38Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y48 NUM_PINS 50 SITEPROP SLICE_X38Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y48 PROHIBIT 0 SITEPROP SLICE_X38Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y48 RPM_X 105 SITEPROP SLICE_X38Y48 RPM_Y 96 SITEPROP SLICE_X38Y48 SITE_PIPS SITEPROP SLICE_X38Y48 SITE_TYPE SLICEM SITEPROP SLICE_X38Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y49 CLASS site SITEPROP SLICE_X38Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X38Y49 IS_BONDED 0 SITEPROP SLICE_X38Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y49 IS_PAD 0 SITEPROP SLICE_X38Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y49 IS_RESERVED 0 SITEPROP SLICE_X38Y49 IS_TEST 0 SITEPROP SLICE_X38Y49 IS_USED 0 SITEPROP SLICE_X38Y49 MANUAL_ROUTING SITEPROP SLICE_X38Y49 NAME SLICE_X38Y49 SITEPROP SLICE_X38Y49 NUM_ARCS 153 SITEPROP SLICE_X38Y49 NUM_BELS 32 SITEPROP SLICE_X38Y49 NUM_INPUTS 37 SITEPROP SLICE_X38Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y49 NUM_PINS 50 SITEPROP SLICE_X38Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y49 PROHIBIT 0 SITEPROP SLICE_X38Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y49 RPM_X 105 SITEPROP SLICE_X38Y49 RPM_Y 98 SITEPROP SLICE_X38Y49 SITE_PIPS SITEPROP SLICE_X38Y49 SITE_TYPE SLICEM SITEPROP SLICE_X38Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y50 CLASS site SITEPROP SLICE_X38Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y50 IS_BONDED 0 SITEPROP SLICE_X38Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y50 IS_PAD 0 SITEPROP SLICE_X38Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y50 IS_RESERVED 0 SITEPROP SLICE_X38Y50 IS_TEST 0 SITEPROP SLICE_X38Y50 IS_USED 0 SITEPROP SLICE_X38Y50 MANUAL_ROUTING SITEPROP SLICE_X38Y50 NAME SLICE_X38Y50 SITEPROP SLICE_X38Y50 NUM_ARCS 153 SITEPROP SLICE_X38Y50 NUM_BELS 32 SITEPROP SLICE_X38Y50 NUM_INPUTS 37 SITEPROP SLICE_X38Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y50 NUM_PINS 50 SITEPROP SLICE_X38Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y50 PROHIBIT 0 SITEPROP SLICE_X38Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y50 RPM_X 105 SITEPROP SLICE_X38Y50 RPM_Y 100 SITEPROP SLICE_X38Y50 SITE_PIPS SITEPROP SLICE_X38Y50 SITE_TYPE SLICEM SITEPROP SLICE_X38Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y51 CLASS site SITEPROP SLICE_X38Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y51 IS_BONDED 0 SITEPROP SLICE_X38Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y51 IS_PAD 0 SITEPROP SLICE_X38Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y51 IS_RESERVED 0 SITEPROP SLICE_X38Y51 IS_TEST 0 SITEPROP SLICE_X38Y51 IS_USED 0 SITEPROP SLICE_X38Y51 MANUAL_ROUTING SITEPROP SLICE_X38Y51 NAME SLICE_X38Y51 SITEPROP SLICE_X38Y51 NUM_ARCS 153 SITEPROP SLICE_X38Y51 NUM_BELS 32 SITEPROP SLICE_X38Y51 NUM_INPUTS 37 SITEPROP SLICE_X38Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y51 NUM_PINS 50 SITEPROP SLICE_X38Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y51 PROHIBIT 0 SITEPROP SLICE_X38Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y51 RPM_X 105 SITEPROP SLICE_X38Y51 RPM_Y 102 SITEPROP SLICE_X38Y51 SITE_PIPS SITEPROP SLICE_X38Y51 SITE_TYPE SLICEM SITEPROP SLICE_X38Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y52 CLASS site SITEPROP SLICE_X38Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y52 IS_BONDED 0 SITEPROP SLICE_X38Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y52 IS_PAD 0 SITEPROP SLICE_X38Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y52 IS_RESERVED 0 SITEPROP SLICE_X38Y52 IS_TEST 0 SITEPROP SLICE_X38Y52 IS_USED 0 SITEPROP SLICE_X38Y52 MANUAL_ROUTING SITEPROP SLICE_X38Y52 NAME SLICE_X38Y52 SITEPROP SLICE_X38Y52 NUM_ARCS 153 SITEPROP SLICE_X38Y52 NUM_BELS 32 SITEPROP SLICE_X38Y52 NUM_INPUTS 37 SITEPROP SLICE_X38Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y52 NUM_PINS 50 SITEPROP SLICE_X38Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y52 PROHIBIT 0 SITEPROP SLICE_X38Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y52 RPM_X 105 SITEPROP SLICE_X38Y52 RPM_Y 104 SITEPROP SLICE_X38Y52 SITE_PIPS SITEPROP SLICE_X38Y52 SITE_TYPE SLICEM SITEPROP SLICE_X38Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y53 CLASS site SITEPROP SLICE_X38Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y53 IS_BONDED 0 SITEPROP SLICE_X38Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y53 IS_PAD 0 SITEPROP SLICE_X38Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y53 IS_RESERVED 0 SITEPROP SLICE_X38Y53 IS_TEST 0 SITEPROP SLICE_X38Y53 IS_USED 0 SITEPROP SLICE_X38Y53 MANUAL_ROUTING SITEPROP SLICE_X38Y53 NAME SLICE_X38Y53 SITEPROP SLICE_X38Y53 NUM_ARCS 153 SITEPROP SLICE_X38Y53 NUM_BELS 32 SITEPROP SLICE_X38Y53 NUM_INPUTS 37 SITEPROP SLICE_X38Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y53 NUM_PINS 50 SITEPROP SLICE_X38Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y53 PROHIBIT 0 SITEPROP SLICE_X38Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y53 RPM_X 105 SITEPROP SLICE_X38Y53 RPM_Y 106 SITEPROP SLICE_X38Y53 SITE_PIPS SITEPROP SLICE_X38Y53 SITE_TYPE SLICEM SITEPROP SLICE_X38Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y54 CLASS site SITEPROP SLICE_X38Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y54 IS_BONDED 0 SITEPROP SLICE_X38Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y54 IS_PAD 0 SITEPROP SLICE_X38Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y54 IS_RESERVED 0 SITEPROP SLICE_X38Y54 IS_TEST 0 SITEPROP SLICE_X38Y54 IS_USED 0 SITEPROP SLICE_X38Y54 MANUAL_ROUTING SITEPROP SLICE_X38Y54 NAME SLICE_X38Y54 SITEPROP SLICE_X38Y54 NUM_ARCS 153 SITEPROP SLICE_X38Y54 NUM_BELS 32 SITEPROP SLICE_X38Y54 NUM_INPUTS 37 SITEPROP SLICE_X38Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y54 NUM_PINS 50 SITEPROP SLICE_X38Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y54 PROHIBIT 0 SITEPROP SLICE_X38Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y54 RPM_X 105 SITEPROP SLICE_X38Y54 RPM_Y 108 SITEPROP SLICE_X38Y54 SITE_PIPS SITEPROP SLICE_X38Y54 SITE_TYPE SLICEM SITEPROP SLICE_X38Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y55 CLASS site SITEPROP SLICE_X38Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y55 IS_BONDED 0 SITEPROP SLICE_X38Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y55 IS_PAD 0 SITEPROP SLICE_X38Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y55 IS_RESERVED 0 SITEPROP SLICE_X38Y55 IS_TEST 0 SITEPROP SLICE_X38Y55 IS_USED 0 SITEPROP SLICE_X38Y55 MANUAL_ROUTING SITEPROP SLICE_X38Y55 NAME SLICE_X38Y55 SITEPROP SLICE_X38Y55 NUM_ARCS 153 SITEPROP SLICE_X38Y55 NUM_BELS 32 SITEPROP SLICE_X38Y55 NUM_INPUTS 37 SITEPROP SLICE_X38Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y55 NUM_PINS 50 SITEPROP SLICE_X38Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y55 PROHIBIT 0 SITEPROP SLICE_X38Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y55 RPM_X 105 SITEPROP SLICE_X38Y55 RPM_Y 110 SITEPROP SLICE_X38Y55 SITE_PIPS SITEPROP SLICE_X38Y55 SITE_TYPE SLICEM SITEPROP SLICE_X38Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y56 CLASS site SITEPROP SLICE_X38Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y56 IS_BONDED 0 SITEPROP SLICE_X38Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y56 IS_PAD 0 SITEPROP SLICE_X38Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y56 IS_RESERVED 0 SITEPROP SLICE_X38Y56 IS_TEST 0 SITEPROP SLICE_X38Y56 IS_USED 0 SITEPROP SLICE_X38Y56 MANUAL_ROUTING SITEPROP SLICE_X38Y56 NAME SLICE_X38Y56 SITEPROP SLICE_X38Y56 NUM_ARCS 153 SITEPROP SLICE_X38Y56 NUM_BELS 32 SITEPROP SLICE_X38Y56 NUM_INPUTS 37 SITEPROP SLICE_X38Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y56 NUM_PINS 50 SITEPROP SLICE_X38Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y56 PROHIBIT 0 SITEPROP SLICE_X38Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y56 RPM_X 105 SITEPROP SLICE_X38Y56 RPM_Y 112 SITEPROP SLICE_X38Y56 SITE_PIPS SITEPROP SLICE_X38Y56 SITE_TYPE SLICEM SITEPROP SLICE_X38Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y57 CLASS site SITEPROP SLICE_X38Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y57 IS_BONDED 0 SITEPROP SLICE_X38Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y57 IS_PAD 0 SITEPROP SLICE_X38Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y57 IS_RESERVED 0 SITEPROP SLICE_X38Y57 IS_TEST 0 SITEPROP SLICE_X38Y57 IS_USED 0 SITEPROP SLICE_X38Y57 MANUAL_ROUTING SITEPROP SLICE_X38Y57 NAME SLICE_X38Y57 SITEPROP SLICE_X38Y57 NUM_ARCS 153 SITEPROP SLICE_X38Y57 NUM_BELS 32 SITEPROP SLICE_X38Y57 NUM_INPUTS 37 SITEPROP SLICE_X38Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y57 NUM_PINS 50 SITEPROP SLICE_X38Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y57 PROHIBIT 0 SITEPROP SLICE_X38Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y57 RPM_X 105 SITEPROP SLICE_X38Y57 RPM_Y 114 SITEPROP SLICE_X38Y57 SITE_PIPS SITEPROP SLICE_X38Y57 SITE_TYPE SLICEM SITEPROP SLICE_X38Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y58 CLASS site SITEPROP SLICE_X38Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y58 IS_BONDED 0 SITEPROP SLICE_X38Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y58 IS_PAD 0 SITEPROP SLICE_X38Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y58 IS_RESERVED 0 SITEPROP SLICE_X38Y58 IS_TEST 0 SITEPROP SLICE_X38Y58 IS_USED 0 SITEPROP SLICE_X38Y58 MANUAL_ROUTING SITEPROP SLICE_X38Y58 NAME SLICE_X38Y58 SITEPROP SLICE_X38Y58 NUM_ARCS 153 SITEPROP SLICE_X38Y58 NUM_BELS 32 SITEPROP SLICE_X38Y58 NUM_INPUTS 37 SITEPROP SLICE_X38Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y58 NUM_PINS 50 SITEPROP SLICE_X38Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y58 PROHIBIT 0 SITEPROP SLICE_X38Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y58 RPM_X 105 SITEPROP SLICE_X38Y58 RPM_Y 116 SITEPROP SLICE_X38Y58 SITE_PIPS SITEPROP SLICE_X38Y58 SITE_TYPE SLICEM SITEPROP SLICE_X38Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y59 CLASS site SITEPROP SLICE_X38Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y59 IS_BONDED 0 SITEPROP SLICE_X38Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y59 IS_PAD 0 SITEPROP SLICE_X38Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y59 IS_RESERVED 0 SITEPROP SLICE_X38Y59 IS_TEST 0 SITEPROP SLICE_X38Y59 IS_USED 0 SITEPROP SLICE_X38Y59 MANUAL_ROUTING SITEPROP SLICE_X38Y59 NAME SLICE_X38Y59 SITEPROP SLICE_X38Y59 NUM_ARCS 153 SITEPROP SLICE_X38Y59 NUM_BELS 32 SITEPROP SLICE_X38Y59 NUM_INPUTS 37 SITEPROP SLICE_X38Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y59 NUM_PINS 50 SITEPROP SLICE_X38Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y59 PROHIBIT 0 SITEPROP SLICE_X38Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y59 RPM_X 105 SITEPROP SLICE_X38Y59 RPM_Y 118 SITEPROP SLICE_X38Y59 SITE_PIPS SITEPROP SLICE_X38Y59 SITE_TYPE SLICEM SITEPROP SLICE_X38Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y60 CLASS site SITEPROP SLICE_X38Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y60 IS_BONDED 0 SITEPROP SLICE_X38Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y60 IS_PAD 0 SITEPROP SLICE_X38Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y60 IS_RESERVED 0 SITEPROP SLICE_X38Y60 IS_TEST 0 SITEPROP SLICE_X38Y60 IS_USED 0 SITEPROP SLICE_X38Y60 MANUAL_ROUTING SITEPROP SLICE_X38Y60 NAME SLICE_X38Y60 SITEPROP SLICE_X38Y60 NUM_ARCS 153 SITEPROP SLICE_X38Y60 NUM_BELS 32 SITEPROP SLICE_X38Y60 NUM_INPUTS 37 SITEPROP SLICE_X38Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y60 NUM_PINS 50 SITEPROP SLICE_X38Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y60 PROHIBIT 0 SITEPROP SLICE_X38Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y60 RPM_X 105 SITEPROP SLICE_X38Y60 RPM_Y 120 SITEPROP SLICE_X38Y60 SITE_PIPS SITEPROP SLICE_X38Y60 SITE_TYPE SLICEM SITEPROP SLICE_X38Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y61 CLASS site SITEPROP SLICE_X38Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y61 IS_BONDED 0 SITEPROP SLICE_X38Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y61 IS_PAD 0 SITEPROP SLICE_X38Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y61 IS_RESERVED 0 SITEPROP SLICE_X38Y61 IS_TEST 0 SITEPROP SLICE_X38Y61 IS_USED 0 SITEPROP SLICE_X38Y61 MANUAL_ROUTING SITEPROP SLICE_X38Y61 NAME SLICE_X38Y61 SITEPROP SLICE_X38Y61 NUM_ARCS 153 SITEPROP SLICE_X38Y61 NUM_BELS 32 SITEPROP SLICE_X38Y61 NUM_INPUTS 37 SITEPROP SLICE_X38Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y61 NUM_PINS 50 SITEPROP SLICE_X38Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y61 PROHIBIT 0 SITEPROP SLICE_X38Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y61 RPM_X 105 SITEPROP SLICE_X38Y61 RPM_Y 122 SITEPROP SLICE_X38Y61 SITE_PIPS SITEPROP SLICE_X38Y61 SITE_TYPE SLICEM SITEPROP SLICE_X38Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y62 CLASS site SITEPROP SLICE_X38Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y62 IS_BONDED 0 SITEPROP SLICE_X38Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y62 IS_PAD 0 SITEPROP SLICE_X38Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y62 IS_RESERVED 0 SITEPROP SLICE_X38Y62 IS_TEST 0 SITEPROP SLICE_X38Y62 IS_USED 0 SITEPROP SLICE_X38Y62 MANUAL_ROUTING SITEPROP SLICE_X38Y62 NAME SLICE_X38Y62 SITEPROP SLICE_X38Y62 NUM_ARCS 153 SITEPROP SLICE_X38Y62 NUM_BELS 32 SITEPROP SLICE_X38Y62 NUM_INPUTS 37 SITEPROP SLICE_X38Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y62 NUM_PINS 50 SITEPROP SLICE_X38Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y62 PROHIBIT 0 SITEPROP SLICE_X38Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y62 RPM_X 105 SITEPROP SLICE_X38Y62 RPM_Y 124 SITEPROP SLICE_X38Y62 SITE_PIPS SITEPROP SLICE_X38Y62 SITE_TYPE SLICEM SITEPROP SLICE_X38Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y63 CLASS site SITEPROP SLICE_X38Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y63 IS_BONDED 0 SITEPROP SLICE_X38Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y63 IS_PAD 0 SITEPROP SLICE_X38Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y63 IS_RESERVED 0 SITEPROP SLICE_X38Y63 IS_TEST 0 SITEPROP SLICE_X38Y63 IS_USED 0 SITEPROP SLICE_X38Y63 MANUAL_ROUTING SITEPROP SLICE_X38Y63 NAME SLICE_X38Y63 SITEPROP SLICE_X38Y63 NUM_ARCS 153 SITEPROP SLICE_X38Y63 NUM_BELS 32 SITEPROP SLICE_X38Y63 NUM_INPUTS 37 SITEPROP SLICE_X38Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y63 NUM_PINS 50 SITEPROP SLICE_X38Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y63 PROHIBIT 0 SITEPROP SLICE_X38Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y63 RPM_X 105 SITEPROP SLICE_X38Y63 RPM_Y 126 SITEPROP SLICE_X38Y63 SITE_PIPS SITEPROP SLICE_X38Y63 SITE_TYPE SLICEM SITEPROP SLICE_X38Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y64 CLASS site SITEPROP SLICE_X38Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y64 IS_BONDED 0 SITEPROP SLICE_X38Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y64 IS_PAD 0 SITEPROP SLICE_X38Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y64 IS_RESERVED 0 SITEPROP SLICE_X38Y64 IS_TEST 0 SITEPROP SLICE_X38Y64 IS_USED 0 SITEPROP SLICE_X38Y64 MANUAL_ROUTING SITEPROP SLICE_X38Y64 NAME SLICE_X38Y64 SITEPROP SLICE_X38Y64 NUM_ARCS 153 SITEPROP SLICE_X38Y64 NUM_BELS 32 SITEPROP SLICE_X38Y64 NUM_INPUTS 37 SITEPROP SLICE_X38Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y64 NUM_PINS 50 SITEPROP SLICE_X38Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y64 PROHIBIT 0 SITEPROP SLICE_X38Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y64 RPM_X 105 SITEPROP SLICE_X38Y64 RPM_Y 128 SITEPROP SLICE_X38Y64 SITE_PIPS SITEPROP SLICE_X38Y64 SITE_TYPE SLICEM SITEPROP SLICE_X38Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y65 CLASS site SITEPROP SLICE_X38Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y65 IS_BONDED 0 SITEPROP SLICE_X38Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y65 IS_PAD 0 SITEPROP SLICE_X38Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y65 IS_RESERVED 0 SITEPROP SLICE_X38Y65 IS_TEST 0 SITEPROP SLICE_X38Y65 IS_USED 0 SITEPROP SLICE_X38Y65 MANUAL_ROUTING SITEPROP SLICE_X38Y65 NAME SLICE_X38Y65 SITEPROP SLICE_X38Y65 NUM_ARCS 153 SITEPROP SLICE_X38Y65 NUM_BELS 32 SITEPROP SLICE_X38Y65 NUM_INPUTS 37 SITEPROP SLICE_X38Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y65 NUM_PINS 50 SITEPROP SLICE_X38Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y65 PROHIBIT 0 SITEPROP SLICE_X38Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y65 RPM_X 105 SITEPROP SLICE_X38Y65 RPM_Y 130 SITEPROP SLICE_X38Y65 SITE_PIPS SITEPROP SLICE_X38Y65 SITE_TYPE SLICEM SITEPROP SLICE_X38Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y66 CLASS site SITEPROP SLICE_X38Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y66 IS_BONDED 0 SITEPROP SLICE_X38Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y66 IS_PAD 0 SITEPROP SLICE_X38Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y66 IS_RESERVED 0 SITEPROP SLICE_X38Y66 IS_TEST 0 SITEPROP SLICE_X38Y66 IS_USED 0 SITEPROP SLICE_X38Y66 MANUAL_ROUTING SITEPROP SLICE_X38Y66 NAME SLICE_X38Y66 SITEPROP SLICE_X38Y66 NUM_ARCS 153 SITEPROP SLICE_X38Y66 NUM_BELS 32 SITEPROP SLICE_X38Y66 NUM_INPUTS 37 SITEPROP SLICE_X38Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y66 NUM_PINS 50 SITEPROP SLICE_X38Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y66 PROHIBIT 0 SITEPROP SLICE_X38Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y66 RPM_X 105 SITEPROP SLICE_X38Y66 RPM_Y 132 SITEPROP SLICE_X38Y66 SITE_PIPS SITEPROP SLICE_X38Y66 SITE_TYPE SLICEM SITEPROP SLICE_X38Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y67 CLASS site SITEPROP SLICE_X38Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y67 IS_BONDED 0 SITEPROP SLICE_X38Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y67 IS_PAD 0 SITEPROP SLICE_X38Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y67 IS_RESERVED 0 SITEPROP SLICE_X38Y67 IS_TEST 0 SITEPROP SLICE_X38Y67 IS_USED 0 SITEPROP SLICE_X38Y67 MANUAL_ROUTING SITEPROP SLICE_X38Y67 NAME SLICE_X38Y67 SITEPROP SLICE_X38Y67 NUM_ARCS 153 SITEPROP SLICE_X38Y67 NUM_BELS 32 SITEPROP SLICE_X38Y67 NUM_INPUTS 37 SITEPROP SLICE_X38Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y67 NUM_PINS 50 SITEPROP SLICE_X38Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y67 PROHIBIT 0 SITEPROP SLICE_X38Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y67 RPM_X 105 SITEPROP SLICE_X38Y67 RPM_Y 134 SITEPROP SLICE_X38Y67 SITE_PIPS SITEPROP SLICE_X38Y67 SITE_TYPE SLICEM SITEPROP SLICE_X38Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y68 CLASS site SITEPROP SLICE_X38Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y68 IS_BONDED 0 SITEPROP SLICE_X38Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y68 IS_PAD 0 SITEPROP SLICE_X38Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y68 IS_RESERVED 0 SITEPROP SLICE_X38Y68 IS_TEST 0 SITEPROP SLICE_X38Y68 IS_USED 0 SITEPROP SLICE_X38Y68 MANUAL_ROUTING SITEPROP SLICE_X38Y68 NAME SLICE_X38Y68 SITEPROP SLICE_X38Y68 NUM_ARCS 153 SITEPROP SLICE_X38Y68 NUM_BELS 32 SITEPROP SLICE_X38Y68 NUM_INPUTS 37 SITEPROP SLICE_X38Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y68 NUM_PINS 50 SITEPROP SLICE_X38Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y68 PROHIBIT 0 SITEPROP SLICE_X38Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y68 RPM_X 105 SITEPROP SLICE_X38Y68 RPM_Y 136 SITEPROP SLICE_X38Y68 SITE_PIPS SITEPROP SLICE_X38Y68 SITE_TYPE SLICEM SITEPROP SLICE_X38Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y69 CLASS site SITEPROP SLICE_X38Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y69 IS_BONDED 0 SITEPROP SLICE_X38Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y69 IS_PAD 0 SITEPROP SLICE_X38Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y69 IS_RESERVED 0 SITEPROP SLICE_X38Y69 IS_TEST 0 SITEPROP SLICE_X38Y69 IS_USED 0 SITEPROP SLICE_X38Y69 MANUAL_ROUTING SITEPROP SLICE_X38Y69 NAME SLICE_X38Y69 SITEPROP SLICE_X38Y69 NUM_ARCS 153 SITEPROP SLICE_X38Y69 NUM_BELS 32 SITEPROP SLICE_X38Y69 NUM_INPUTS 37 SITEPROP SLICE_X38Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y69 NUM_PINS 50 SITEPROP SLICE_X38Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y69 PROHIBIT 0 SITEPROP SLICE_X38Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y69 RPM_X 105 SITEPROP SLICE_X38Y69 RPM_Y 138 SITEPROP SLICE_X38Y69 SITE_PIPS SITEPROP SLICE_X38Y69 SITE_TYPE SLICEM SITEPROP SLICE_X38Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y70 CLASS site SITEPROP SLICE_X38Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y70 IS_BONDED 0 SITEPROP SLICE_X38Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y70 IS_PAD 0 SITEPROP SLICE_X38Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y70 IS_RESERVED 0 SITEPROP SLICE_X38Y70 IS_TEST 0 SITEPROP SLICE_X38Y70 IS_USED 0 SITEPROP SLICE_X38Y70 MANUAL_ROUTING SITEPROP SLICE_X38Y70 NAME SLICE_X38Y70 SITEPROP SLICE_X38Y70 NUM_ARCS 153 SITEPROP SLICE_X38Y70 NUM_BELS 32 SITEPROP SLICE_X38Y70 NUM_INPUTS 37 SITEPROP SLICE_X38Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y70 NUM_PINS 50 SITEPROP SLICE_X38Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y70 PROHIBIT 0 SITEPROP SLICE_X38Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y70 RPM_X 105 SITEPROP SLICE_X38Y70 RPM_Y 140 SITEPROP SLICE_X38Y70 SITE_PIPS SITEPROP SLICE_X38Y70 SITE_TYPE SLICEM SITEPROP SLICE_X38Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y71 CLASS site SITEPROP SLICE_X38Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y71 IS_BONDED 0 SITEPROP SLICE_X38Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y71 IS_PAD 0 SITEPROP SLICE_X38Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y71 IS_RESERVED 0 SITEPROP SLICE_X38Y71 IS_TEST 0 SITEPROP SLICE_X38Y71 IS_USED 0 SITEPROP SLICE_X38Y71 MANUAL_ROUTING SITEPROP SLICE_X38Y71 NAME SLICE_X38Y71 SITEPROP SLICE_X38Y71 NUM_ARCS 153 SITEPROP SLICE_X38Y71 NUM_BELS 32 SITEPROP SLICE_X38Y71 NUM_INPUTS 37 SITEPROP SLICE_X38Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y71 NUM_PINS 50 SITEPROP SLICE_X38Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y71 PROHIBIT 0 SITEPROP SLICE_X38Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y71 RPM_X 105 SITEPROP SLICE_X38Y71 RPM_Y 142 SITEPROP SLICE_X38Y71 SITE_PIPS SITEPROP SLICE_X38Y71 SITE_TYPE SLICEM SITEPROP SLICE_X38Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y72 CLASS site SITEPROP SLICE_X38Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y72 IS_BONDED 0 SITEPROP SLICE_X38Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y72 IS_PAD 0 SITEPROP SLICE_X38Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y72 IS_RESERVED 0 SITEPROP SLICE_X38Y72 IS_TEST 0 SITEPROP SLICE_X38Y72 IS_USED 0 SITEPROP SLICE_X38Y72 MANUAL_ROUTING SITEPROP SLICE_X38Y72 NAME SLICE_X38Y72 SITEPROP SLICE_X38Y72 NUM_ARCS 153 SITEPROP SLICE_X38Y72 NUM_BELS 32 SITEPROP SLICE_X38Y72 NUM_INPUTS 37 SITEPROP SLICE_X38Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y72 NUM_PINS 50 SITEPROP SLICE_X38Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y72 PROHIBIT 0 SITEPROP SLICE_X38Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y72 RPM_X 105 SITEPROP SLICE_X38Y72 RPM_Y 144 SITEPROP SLICE_X38Y72 SITE_PIPS SITEPROP SLICE_X38Y72 SITE_TYPE SLICEM SITEPROP SLICE_X38Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y73 CLASS site SITEPROP SLICE_X38Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y73 IS_BONDED 0 SITEPROP SLICE_X38Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y73 IS_PAD 0 SITEPROP SLICE_X38Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y73 IS_RESERVED 0 SITEPROP SLICE_X38Y73 IS_TEST 0 SITEPROP SLICE_X38Y73 IS_USED 0 SITEPROP SLICE_X38Y73 MANUAL_ROUTING SITEPROP SLICE_X38Y73 NAME SLICE_X38Y73 SITEPROP SLICE_X38Y73 NUM_ARCS 153 SITEPROP SLICE_X38Y73 NUM_BELS 32 SITEPROP SLICE_X38Y73 NUM_INPUTS 37 SITEPROP SLICE_X38Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y73 NUM_PINS 50 SITEPROP SLICE_X38Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y73 PROHIBIT 0 SITEPROP SLICE_X38Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y73 RPM_X 105 SITEPROP SLICE_X38Y73 RPM_Y 146 SITEPROP SLICE_X38Y73 SITE_PIPS SITEPROP SLICE_X38Y73 SITE_TYPE SLICEM SITEPROP SLICE_X38Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y74 CLASS site SITEPROP SLICE_X38Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y74 IS_BONDED 0 SITEPROP SLICE_X38Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y74 IS_PAD 0 SITEPROP SLICE_X38Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y74 IS_RESERVED 0 SITEPROP SLICE_X38Y74 IS_TEST 0 SITEPROP SLICE_X38Y74 IS_USED 0 SITEPROP SLICE_X38Y74 MANUAL_ROUTING SITEPROP SLICE_X38Y74 NAME SLICE_X38Y74 SITEPROP SLICE_X38Y74 NUM_ARCS 153 SITEPROP SLICE_X38Y74 NUM_BELS 32 SITEPROP SLICE_X38Y74 NUM_INPUTS 37 SITEPROP SLICE_X38Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y74 NUM_PINS 50 SITEPROP SLICE_X38Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y74 PROHIBIT 0 SITEPROP SLICE_X38Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y74 RPM_X 105 SITEPROP SLICE_X38Y74 RPM_Y 148 SITEPROP SLICE_X38Y74 SITE_PIPS SITEPROP SLICE_X38Y74 SITE_TYPE SLICEM SITEPROP SLICE_X38Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y75 CLASS site SITEPROP SLICE_X38Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y75 IS_BONDED 0 SITEPROP SLICE_X38Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y75 IS_PAD 0 SITEPROP SLICE_X38Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y75 IS_RESERVED 0 SITEPROP SLICE_X38Y75 IS_TEST 0 SITEPROP SLICE_X38Y75 IS_USED 0 SITEPROP SLICE_X38Y75 MANUAL_ROUTING SITEPROP SLICE_X38Y75 NAME SLICE_X38Y75 SITEPROP SLICE_X38Y75 NUM_ARCS 153 SITEPROP SLICE_X38Y75 NUM_BELS 32 SITEPROP SLICE_X38Y75 NUM_INPUTS 37 SITEPROP SLICE_X38Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y75 NUM_PINS 50 SITEPROP SLICE_X38Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y75 PROHIBIT 0 SITEPROP SLICE_X38Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y75 RPM_X 105 SITEPROP SLICE_X38Y75 RPM_Y 150 SITEPROP SLICE_X38Y75 SITE_PIPS SITEPROP SLICE_X38Y75 SITE_TYPE SLICEM SITEPROP SLICE_X38Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y76 CLASS site SITEPROP SLICE_X38Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y76 IS_BONDED 0 SITEPROP SLICE_X38Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y76 IS_PAD 0 SITEPROP SLICE_X38Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y76 IS_RESERVED 0 SITEPROP SLICE_X38Y76 IS_TEST 0 SITEPROP SLICE_X38Y76 IS_USED 0 SITEPROP SLICE_X38Y76 MANUAL_ROUTING SITEPROP SLICE_X38Y76 NAME SLICE_X38Y76 SITEPROP SLICE_X38Y76 NUM_ARCS 153 SITEPROP SLICE_X38Y76 NUM_BELS 32 SITEPROP SLICE_X38Y76 NUM_INPUTS 37 SITEPROP SLICE_X38Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y76 NUM_PINS 50 SITEPROP SLICE_X38Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y76 PROHIBIT 0 SITEPROP SLICE_X38Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y76 RPM_X 105 SITEPROP SLICE_X38Y76 RPM_Y 152 SITEPROP SLICE_X38Y76 SITE_PIPS SITEPROP SLICE_X38Y76 SITE_TYPE SLICEM SITEPROP SLICE_X38Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y77 CLASS site SITEPROP SLICE_X38Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y77 IS_BONDED 0 SITEPROP SLICE_X38Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y77 IS_PAD 0 SITEPROP SLICE_X38Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y77 IS_RESERVED 0 SITEPROP SLICE_X38Y77 IS_TEST 0 SITEPROP SLICE_X38Y77 IS_USED 0 SITEPROP SLICE_X38Y77 MANUAL_ROUTING SITEPROP SLICE_X38Y77 NAME SLICE_X38Y77 SITEPROP SLICE_X38Y77 NUM_ARCS 153 SITEPROP SLICE_X38Y77 NUM_BELS 32 SITEPROP SLICE_X38Y77 NUM_INPUTS 37 SITEPROP SLICE_X38Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y77 NUM_PINS 50 SITEPROP SLICE_X38Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y77 PROHIBIT 0 SITEPROP SLICE_X38Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y77 RPM_X 105 SITEPROP SLICE_X38Y77 RPM_Y 154 SITEPROP SLICE_X38Y77 SITE_PIPS SITEPROP SLICE_X38Y77 SITE_TYPE SLICEM SITEPROP SLICE_X38Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y78 CLASS site SITEPROP SLICE_X38Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y78 IS_BONDED 0 SITEPROP SLICE_X38Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y78 IS_PAD 0 SITEPROP SLICE_X38Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y78 IS_RESERVED 0 SITEPROP SLICE_X38Y78 IS_TEST 0 SITEPROP SLICE_X38Y78 IS_USED 0 SITEPROP SLICE_X38Y78 MANUAL_ROUTING SITEPROP SLICE_X38Y78 NAME SLICE_X38Y78 SITEPROP SLICE_X38Y78 NUM_ARCS 153 SITEPROP SLICE_X38Y78 NUM_BELS 32 SITEPROP SLICE_X38Y78 NUM_INPUTS 37 SITEPROP SLICE_X38Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y78 NUM_PINS 50 SITEPROP SLICE_X38Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y78 PROHIBIT 0 SITEPROP SLICE_X38Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y78 RPM_X 105 SITEPROP SLICE_X38Y78 RPM_Y 156 SITEPROP SLICE_X38Y78 SITE_PIPS SITEPROP SLICE_X38Y78 SITE_TYPE SLICEM SITEPROP SLICE_X38Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y79 CLASS site SITEPROP SLICE_X38Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y79 IS_BONDED 0 SITEPROP SLICE_X38Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y79 IS_PAD 0 SITEPROP SLICE_X38Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y79 IS_RESERVED 0 SITEPROP SLICE_X38Y79 IS_TEST 0 SITEPROP SLICE_X38Y79 IS_USED 0 SITEPROP SLICE_X38Y79 MANUAL_ROUTING SITEPROP SLICE_X38Y79 NAME SLICE_X38Y79 SITEPROP SLICE_X38Y79 NUM_ARCS 153 SITEPROP SLICE_X38Y79 NUM_BELS 32 SITEPROP SLICE_X38Y79 NUM_INPUTS 37 SITEPROP SLICE_X38Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y79 NUM_PINS 50 SITEPROP SLICE_X38Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y79 PROHIBIT 0 SITEPROP SLICE_X38Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y79 RPM_X 105 SITEPROP SLICE_X38Y79 RPM_Y 158 SITEPROP SLICE_X38Y79 SITE_PIPS SITEPROP SLICE_X38Y79 SITE_TYPE SLICEM SITEPROP SLICE_X38Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y80 CLASS site SITEPROP SLICE_X38Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y80 IS_BONDED 0 SITEPROP SLICE_X38Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y80 IS_PAD 0 SITEPROP SLICE_X38Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y80 IS_RESERVED 0 SITEPROP SLICE_X38Y80 IS_TEST 0 SITEPROP SLICE_X38Y80 IS_USED 0 SITEPROP SLICE_X38Y80 MANUAL_ROUTING SITEPROP SLICE_X38Y80 NAME SLICE_X38Y80 SITEPROP SLICE_X38Y80 NUM_ARCS 153 SITEPROP SLICE_X38Y80 NUM_BELS 32 SITEPROP SLICE_X38Y80 NUM_INPUTS 37 SITEPROP SLICE_X38Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y80 NUM_PINS 50 SITEPROP SLICE_X38Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y80 PROHIBIT 0 SITEPROP SLICE_X38Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y80 RPM_X 105 SITEPROP SLICE_X38Y80 RPM_Y 160 SITEPROP SLICE_X38Y80 SITE_PIPS SITEPROP SLICE_X38Y80 SITE_TYPE SLICEM SITEPROP SLICE_X38Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y81 CLASS site SITEPROP SLICE_X38Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y81 IS_BONDED 0 SITEPROP SLICE_X38Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y81 IS_PAD 0 SITEPROP SLICE_X38Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y81 IS_RESERVED 0 SITEPROP SLICE_X38Y81 IS_TEST 0 SITEPROP SLICE_X38Y81 IS_USED 0 SITEPROP SLICE_X38Y81 MANUAL_ROUTING SITEPROP SLICE_X38Y81 NAME SLICE_X38Y81 SITEPROP SLICE_X38Y81 NUM_ARCS 153 SITEPROP SLICE_X38Y81 NUM_BELS 32 SITEPROP SLICE_X38Y81 NUM_INPUTS 37 SITEPROP SLICE_X38Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y81 NUM_PINS 50 SITEPROP SLICE_X38Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y81 PROHIBIT 0 SITEPROP SLICE_X38Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y81 RPM_X 105 SITEPROP SLICE_X38Y81 RPM_Y 162 SITEPROP SLICE_X38Y81 SITE_PIPS SITEPROP SLICE_X38Y81 SITE_TYPE SLICEM SITEPROP SLICE_X38Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y82 CLASS site SITEPROP SLICE_X38Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y82 IS_BONDED 0 SITEPROP SLICE_X38Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y82 IS_PAD 0 SITEPROP SLICE_X38Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y82 IS_RESERVED 0 SITEPROP SLICE_X38Y82 IS_TEST 0 SITEPROP SLICE_X38Y82 IS_USED 0 SITEPROP SLICE_X38Y82 MANUAL_ROUTING SITEPROP SLICE_X38Y82 NAME SLICE_X38Y82 SITEPROP SLICE_X38Y82 NUM_ARCS 153 SITEPROP SLICE_X38Y82 NUM_BELS 32 SITEPROP SLICE_X38Y82 NUM_INPUTS 37 SITEPROP SLICE_X38Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y82 NUM_PINS 50 SITEPROP SLICE_X38Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y82 PROHIBIT 0 SITEPROP SLICE_X38Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y82 RPM_X 105 SITEPROP SLICE_X38Y82 RPM_Y 164 SITEPROP SLICE_X38Y82 SITE_PIPS SITEPROP SLICE_X38Y82 SITE_TYPE SLICEM SITEPROP SLICE_X38Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y83 CLASS site SITEPROP SLICE_X38Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y83 IS_BONDED 0 SITEPROP SLICE_X38Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y83 IS_PAD 0 SITEPROP SLICE_X38Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y83 IS_RESERVED 0 SITEPROP SLICE_X38Y83 IS_TEST 0 SITEPROP SLICE_X38Y83 IS_USED 0 SITEPROP SLICE_X38Y83 MANUAL_ROUTING SITEPROP SLICE_X38Y83 NAME SLICE_X38Y83 SITEPROP SLICE_X38Y83 NUM_ARCS 153 SITEPROP SLICE_X38Y83 NUM_BELS 32 SITEPROP SLICE_X38Y83 NUM_INPUTS 37 SITEPROP SLICE_X38Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y83 NUM_PINS 50 SITEPROP SLICE_X38Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y83 PROHIBIT 0 SITEPROP SLICE_X38Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y83 RPM_X 105 SITEPROP SLICE_X38Y83 RPM_Y 166 SITEPROP SLICE_X38Y83 SITE_PIPS SITEPROP SLICE_X38Y83 SITE_TYPE SLICEM SITEPROP SLICE_X38Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y84 CLASS site SITEPROP SLICE_X38Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y84 IS_BONDED 0 SITEPROP SLICE_X38Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y84 IS_PAD 0 SITEPROP SLICE_X38Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y84 IS_RESERVED 0 SITEPROP SLICE_X38Y84 IS_TEST 0 SITEPROP SLICE_X38Y84 IS_USED 0 SITEPROP SLICE_X38Y84 MANUAL_ROUTING SITEPROP SLICE_X38Y84 NAME SLICE_X38Y84 SITEPROP SLICE_X38Y84 NUM_ARCS 153 SITEPROP SLICE_X38Y84 NUM_BELS 32 SITEPROP SLICE_X38Y84 NUM_INPUTS 37 SITEPROP SLICE_X38Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y84 NUM_PINS 50 SITEPROP SLICE_X38Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y84 PROHIBIT 0 SITEPROP SLICE_X38Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y84 RPM_X 105 SITEPROP SLICE_X38Y84 RPM_Y 168 SITEPROP SLICE_X38Y84 SITE_PIPS SITEPROP SLICE_X38Y84 SITE_TYPE SLICEM SITEPROP SLICE_X38Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y85 CLASS site SITEPROP SLICE_X38Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y85 IS_BONDED 0 SITEPROP SLICE_X38Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y85 IS_PAD 0 SITEPROP SLICE_X38Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y85 IS_RESERVED 0 SITEPROP SLICE_X38Y85 IS_TEST 0 SITEPROP SLICE_X38Y85 IS_USED 0 SITEPROP SLICE_X38Y85 MANUAL_ROUTING SITEPROP SLICE_X38Y85 NAME SLICE_X38Y85 SITEPROP SLICE_X38Y85 NUM_ARCS 153 SITEPROP SLICE_X38Y85 NUM_BELS 32 SITEPROP SLICE_X38Y85 NUM_INPUTS 37 SITEPROP SLICE_X38Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y85 NUM_PINS 50 SITEPROP SLICE_X38Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y85 PROHIBIT 0 SITEPROP SLICE_X38Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y85 RPM_X 105 SITEPROP SLICE_X38Y85 RPM_Y 170 SITEPROP SLICE_X38Y85 SITE_PIPS SITEPROP SLICE_X38Y85 SITE_TYPE SLICEM SITEPROP SLICE_X38Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y86 CLASS site SITEPROP SLICE_X38Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y86 IS_BONDED 0 SITEPROP SLICE_X38Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y86 IS_PAD 0 SITEPROP SLICE_X38Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y86 IS_RESERVED 0 SITEPROP SLICE_X38Y86 IS_TEST 0 SITEPROP SLICE_X38Y86 IS_USED 0 SITEPROP SLICE_X38Y86 MANUAL_ROUTING SITEPROP SLICE_X38Y86 NAME SLICE_X38Y86 SITEPROP SLICE_X38Y86 NUM_ARCS 153 SITEPROP SLICE_X38Y86 NUM_BELS 32 SITEPROP SLICE_X38Y86 NUM_INPUTS 37 SITEPROP SLICE_X38Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y86 NUM_PINS 50 SITEPROP SLICE_X38Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y86 PROHIBIT 0 SITEPROP SLICE_X38Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y86 RPM_X 105 SITEPROP SLICE_X38Y86 RPM_Y 172 SITEPROP SLICE_X38Y86 SITE_PIPS SITEPROP SLICE_X38Y86 SITE_TYPE SLICEM SITEPROP SLICE_X38Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y87 CLASS site SITEPROP SLICE_X38Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y87 IS_BONDED 0 SITEPROP SLICE_X38Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y87 IS_PAD 0 SITEPROP SLICE_X38Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y87 IS_RESERVED 0 SITEPROP SLICE_X38Y87 IS_TEST 0 SITEPROP SLICE_X38Y87 IS_USED 0 SITEPROP SLICE_X38Y87 MANUAL_ROUTING SITEPROP SLICE_X38Y87 NAME SLICE_X38Y87 SITEPROP SLICE_X38Y87 NUM_ARCS 153 SITEPROP SLICE_X38Y87 NUM_BELS 32 SITEPROP SLICE_X38Y87 NUM_INPUTS 37 SITEPROP SLICE_X38Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y87 NUM_PINS 50 SITEPROP SLICE_X38Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y87 PROHIBIT 0 SITEPROP SLICE_X38Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y87 RPM_X 105 SITEPROP SLICE_X38Y87 RPM_Y 174 SITEPROP SLICE_X38Y87 SITE_PIPS SITEPROP SLICE_X38Y87 SITE_TYPE SLICEM SITEPROP SLICE_X38Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y88 CLASS site SITEPROP SLICE_X38Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y88 IS_BONDED 0 SITEPROP SLICE_X38Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y88 IS_PAD 0 SITEPROP SLICE_X38Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y88 IS_RESERVED 0 SITEPROP SLICE_X38Y88 IS_TEST 0 SITEPROP SLICE_X38Y88 IS_USED 0 SITEPROP SLICE_X38Y88 MANUAL_ROUTING SITEPROP SLICE_X38Y88 NAME SLICE_X38Y88 SITEPROP SLICE_X38Y88 NUM_ARCS 153 SITEPROP SLICE_X38Y88 NUM_BELS 32 SITEPROP SLICE_X38Y88 NUM_INPUTS 37 SITEPROP SLICE_X38Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y88 NUM_PINS 50 SITEPROP SLICE_X38Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y88 PROHIBIT 0 SITEPROP SLICE_X38Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y88 RPM_X 105 SITEPROP SLICE_X38Y88 RPM_Y 176 SITEPROP SLICE_X38Y88 SITE_PIPS SITEPROP SLICE_X38Y88 SITE_TYPE SLICEM SITEPROP SLICE_X38Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y89 CLASS site SITEPROP SLICE_X38Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y89 IS_BONDED 0 SITEPROP SLICE_X38Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y89 IS_PAD 0 SITEPROP SLICE_X38Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y89 IS_RESERVED 0 SITEPROP SLICE_X38Y89 IS_TEST 0 SITEPROP SLICE_X38Y89 IS_USED 0 SITEPROP SLICE_X38Y89 MANUAL_ROUTING SITEPROP SLICE_X38Y89 NAME SLICE_X38Y89 SITEPROP SLICE_X38Y89 NUM_ARCS 153 SITEPROP SLICE_X38Y89 NUM_BELS 32 SITEPROP SLICE_X38Y89 NUM_INPUTS 37 SITEPROP SLICE_X38Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y89 NUM_PINS 50 SITEPROP SLICE_X38Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y89 PROHIBIT 0 SITEPROP SLICE_X38Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y89 RPM_X 105 SITEPROP SLICE_X38Y89 RPM_Y 178 SITEPROP SLICE_X38Y89 SITE_PIPS SITEPROP SLICE_X38Y89 SITE_TYPE SLICEM SITEPROP SLICE_X38Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y90 CLASS site SITEPROP SLICE_X38Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y90 IS_BONDED 0 SITEPROP SLICE_X38Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y90 IS_PAD 0 SITEPROP SLICE_X38Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y90 IS_RESERVED 0 SITEPROP SLICE_X38Y90 IS_TEST 0 SITEPROP SLICE_X38Y90 IS_USED 0 SITEPROP SLICE_X38Y90 MANUAL_ROUTING SITEPROP SLICE_X38Y90 NAME SLICE_X38Y90 SITEPROP SLICE_X38Y90 NUM_ARCS 153 SITEPROP SLICE_X38Y90 NUM_BELS 32 SITEPROP SLICE_X38Y90 NUM_INPUTS 37 SITEPROP SLICE_X38Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y90 NUM_PINS 50 SITEPROP SLICE_X38Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y90 PROHIBIT 0 SITEPROP SLICE_X38Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y90 RPM_X 105 SITEPROP SLICE_X38Y90 RPM_Y 180 SITEPROP SLICE_X38Y90 SITE_PIPS SITEPROP SLICE_X38Y90 SITE_TYPE SLICEM SITEPROP SLICE_X38Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y91 CLASS site SITEPROP SLICE_X38Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y91 IS_BONDED 0 SITEPROP SLICE_X38Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y91 IS_PAD 0 SITEPROP SLICE_X38Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y91 IS_RESERVED 0 SITEPROP SLICE_X38Y91 IS_TEST 0 SITEPROP SLICE_X38Y91 IS_USED 0 SITEPROP SLICE_X38Y91 MANUAL_ROUTING SITEPROP SLICE_X38Y91 NAME SLICE_X38Y91 SITEPROP SLICE_X38Y91 NUM_ARCS 153 SITEPROP SLICE_X38Y91 NUM_BELS 32 SITEPROP SLICE_X38Y91 NUM_INPUTS 37 SITEPROP SLICE_X38Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y91 NUM_PINS 50 SITEPROP SLICE_X38Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y91 PROHIBIT 0 SITEPROP SLICE_X38Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y91 RPM_X 105 SITEPROP SLICE_X38Y91 RPM_Y 182 SITEPROP SLICE_X38Y91 SITE_PIPS SITEPROP SLICE_X38Y91 SITE_TYPE SLICEM SITEPROP SLICE_X38Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y92 CLASS site SITEPROP SLICE_X38Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y92 IS_BONDED 0 SITEPROP SLICE_X38Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y92 IS_PAD 0 SITEPROP SLICE_X38Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y92 IS_RESERVED 0 SITEPROP SLICE_X38Y92 IS_TEST 0 SITEPROP SLICE_X38Y92 IS_USED 0 SITEPROP SLICE_X38Y92 MANUAL_ROUTING SITEPROP SLICE_X38Y92 NAME SLICE_X38Y92 SITEPROP SLICE_X38Y92 NUM_ARCS 153 SITEPROP SLICE_X38Y92 NUM_BELS 32 SITEPROP SLICE_X38Y92 NUM_INPUTS 37 SITEPROP SLICE_X38Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y92 NUM_PINS 50 SITEPROP SLICE_X38Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y92 PROHIBIT 0 SITEPROP SLICE_X38Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y92 RPM_X 105 SITEPROP SLICE_X38Y92 RPM_Y 184 SITEPROP SLICE_X38Y92 SITE_PIPS SITEPROP SLICE_X38Y92 SITE_TYPE SLICEM SITEPROP SLICE_X38Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y93 CLASS site SITEPROP SLICE_X38Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y93 IS_BONDED 0 SITEPROP SLICE_X38Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y93 IS_PAD 0 SITEPROP SLICE_X38Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y93 IS_RESERVED 0 SITEPROP SLICE_X38Y93 IS_TEST 0 SITEPROP SLICE_X38Y93 IS_USED 0 SITEPROP SLICE_X38Y93 MANUAL_ROUTING SITEPROP SLICE_X38Y93 NAME SLICE_X38Y93 SITEPROP SLICE_X38Y93 NUM_ARCS 153 SITEPROP SLICE_X38Y93 NUM_BELS 32 SITEPROP SLICE_X38Y93 NUM_INPUTS 37 SITEPROP SLICE_X38Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y93 NUM_PINS 50 SITEPROP SLICE_X38Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y93 PROHIBIT 0 SITEPROP SLICE_X38Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y93 RPM_X 105 SITEPROP SLICE_X38Y93 RPM_Y 186 SITEPROP SLICE_X38Y93 SITE_PIPS SITEPROP SLICE_X38Y93 SITE_TYPE SLICEM SITEPROP SLICE_X38Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y94 CLASS site SITEPROP SLICE_X38Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y94 IS_BONDED 0 SITEPROP SLICE_X38Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y94 IS_PAD 0 SITEPROP SLICE_X38Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y94 IS_RESERVED 0 SITEPROP SLICE_X38Y94 IS_TEST 0 SITEPROP SLICE_X38Y94 IS_USED 0 SITEPROP SLICE_X38Y94 MANUAL_ROUTING SITEPROP SLICE_X38Y94 NAME SLICE_X38Y94 SITEPROP SLICE_X38Y94 NUM_ARCS 153 SITEPROP SLICE_X38Y94 NUM_BELS 32 SITEPROP SLICE_X38Y94 NUM_INPUTS 37 SITEPROP SLICE_X38Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y94 NUM_PINS 50 SITEPROP SLICE_X38Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y94 PROHIBIT 0 SITEPROP SLICE_X38Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y94 RPM_X 105 SITEPROP SLICE_X38Y94 RPM_Y 188 SITEPROP SLICE_X38Y94 SITE_PIPS SITEPROP SLICE_X38Y94 SITE_TYPE SLICEM SITEPROP SLICE_X38Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y95 CLASS site SITEPROP SLICE_X38Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y95 IS_BONDED 0 SITEPROP SLICE_X38Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y95 IS_PAD 0 SITEPROP SLICE_X38Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y95 IS_RESERVED 0 SITEPROP SLICE_X38Y95 IS_TEST 0 SITEPROP SLICE_X38Y95 IS_USED 0 SITEPROP SLICE_X38Y95 MANUAL_ROUTING SITEPROP SLICE_X38Y95 NAME SLICE_X38Y95 SITEPROP SLICE_X38Y95 NUM_ARCS 153 SITEPROP SLICE_X38Y95 NUM_BELS 32 SITEPROP SLICE_X38Y95 NUM_INPUTS 37 SITEPROP SLICE_X38Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y95 NUM_PINS 50 SITEPROP SLICE_X38Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y95 PROHIBIT 0 SITEPROP SLICE_X38Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y95 RPM_X 105 SITEPROP SLICE_X38Y95 RPM_Y 190 SITEPROP SLICE_X38Y95 SITE_PIPS SITEPROP SLICE_X38Y95 SITE_TYPE SLICEM SITEPROP SLICE_X38Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y96 CLASS site SITEPROP SLICE_X38Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y96 IS_BONDED 0 SITEPROP SLICE_X38Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y96 IS_PAD 0 SITEPROP SLICE_X38Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y96 IS_RESERVED 0 SITEPROP SLICE_X38Y96 IS_TEST 0 SITEPROP SLICE_X38Y96 IS_USED 0 SITEPROP SLICE_X38Y96 MANUAL_ROUTING SITEPROP SLICE_X38Y96 NAME SLICE_X38Y96 SITEPROP SLICE_X38Y96 NUM_ARCS 153 SITEPROP SLICE_X38Y96 NUM_BELS 32 SITEPROP SLICE_X38Y96 NUM_INPUTS 37 SITEPROP SLICE_X38Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y96 NUM_PINS 50 SITEPROP SLICE_X38Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y96 PROHIBIT 0 SITEPROP SLICE_X38Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y96 RPM_X 105 SITEPROP SLICE_X38Y96 RPM_Y 192 SITEPROP SLICE_X38Y96 SITE_PIPS SITEPROP SLICE_X38Y96 SITE_TYPE SLICEM SITEPROP SLICE_X38Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y97 CLASS site SITEPROP SLICE_X38Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y97 IS_BONDED 0 SITEPROP SLICE_X38Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y97 IS_PAD 0 SITEPROP SLICE_X38Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y97 IS_RESERVED 0 SITEPROP SLICE_X38Y97 IS_TEST 0 SITEPROP SLICE_X38Y97 IS_USED 0 SITEPROP SLICE_X38Y97 MANUAL_ROUTING SITEPROP SLICE_X38Y97 NAME SLICE_X38Y97 SITEPROP SLICE_X38Y97 NUM_ARCS 153 SITEPROP SLICE_X38Y97 NUM_BELS 32 SITEPROP SLICE_X38Y97 NUM_INPUTS 37 SITEPROP SLICE_X38Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y97 NUM_PINS 50 SITEPROP SLICE_X38Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y97 PROHIBIT 0 SITEPROP SLICE_X38Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y97 RPM_X 105 SITEPROP SLICE_X38Y97 RPM_Y 194 SITEPROP SLICE_X38Y97 SITE_PIPS SITEPROP SLICE_X38Y97 SITE_TYPE SLICEM SITEPROP SLICE_X38Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y98 CLASS site SITEPROP SLICE_X38Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y98 IS_BONDED 0 SITEPROP SLICE_X38Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y98 IS_PAD 0 SITEPROP SLICE_X38Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y98 IS_RESERVED 0 SITEPROP SLICE_X38Y98 IS_TEST 0 SITEPROP SLICE_X38Y98 IS_USED 0 SITEPROP SLICE_X38Y98 MANUAL_ROUTING SITEPROP SLICE_X38Y98 NAME SLICE_X38Y98 SITEPROP SLICE_X38Y98 NUM_ARCS 153 SITEPROP SLICE_X38Y98 NUM_BELS 32 SITEPROP SLICE_X38Y98 NUM_INPUTS 37 SITEPROP SLICE_X38Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y98 NUM_PINS 50 SITEPROP SLICE_X38Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y98 PROHIBIT 0 SITEPROP SLICE_X38Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y98 RPM_X 105 SITEPROP SLICE_X38Y98 RPM_Y 196 SITEPROP SLICE_X38Y98 SITE_PIPS SITEPROP SLICE_X38Y98 SITE_TYPE SLICEM SITEPROP SLICE_X38Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y99 CLASS site SITEPROP SLICE_X38Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X38Y99 IS_BONDED 0 SITEPROP SLICE_X38Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y99 IS_PAD 0 SITEPROP SLICE_X38Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y99 IS_RESERVED 0 SITEPROP SLICE_X38Y99 IS_TEST 0 SITEPROP SLICE_X38Y99 IS_USED 0 SITEPROP SLICE_X38Y99 MANUAL_ROUTING SITEPROP SLICE_X38Y99 NAME SLICE_X38Y99 SITEPROP SLICE_X38Y99 NUM_ARCS 153 SITEPROP SLICE_X38Y99 NUM_BELS 32 SITEPROP SLICE_X38Y99 NUM_INPUTS 37 SITEPROP SLICE_X38Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y99 NUM_PINS 50 SITEPROP SLICE_X38Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y99 PROHIBIT 0 SITEPROP SLICE_X38Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y99 RPM_X 105 SITEPROP SLICE_X38Y99 RPM_Y 198 SITEPROP SLICE_X38Y99 SITE_PIPS SITEPROP SLICE_X38Y99 SITE_TYPE SLICEM SITEPROP SLICE_X38Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y100 CLASS site SITEPROP SLICE_X38Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y100 IS_BONDED 0 SITEPROP SLICE_X38Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y100 IS_PAD 0 SITEPROP SLICE_X38Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y100 IS_RESERVED 0 SITEPROP SLICE_X38Y100 IS_TEST 0 SITEPROP SLICE_X38Y100 IS_USED 0 SITEPROP SLICE_X38Y100 MANUAL_ROUTING SITEPROP SLICE_X38Y100 NAME SLICE_X38Y100 SITEPROP SLICE_X38Y100 NUM_ARCS 153 SITEPROP SLICE_X38Y100 NUM_BELS 32 SITEPROP SLICE_X38Y100 NUM_INPUTS 37 SITEPROP SLICE_X38Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y100 NUM_PINS 50 SITEPROP SLICE_X38Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y100 PROHIBIT 0 SITEPROP SLICE_X38Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y100 RPM_X 105 SITEPROP SLICE_X38Y100 RPM_Y 200 SITEPROP SLICE_X38Y100 SITE_PIPS SITEPROP SLICE_X38Y100 SITE_TYPE SLICEM SITEPROP SLICE_X38Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y101 CLASS site SITEPROP SLICE_X38Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y101 IS_BONDED 0 SITEPROP SLICE_X38Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y101 IS_PAD 0 SITEPROP SLICE_X38Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y101 IS_RESERVED 0 SITEPROP SLICE_X38Y101 IS_TEST 0 SITEPROP SLICE_X38Y101 IS_USED 0 SITEPROP SLICE_X38Y101 MANUAL_ROUTING SITEPROP SLICE_X38Y101 NAME SLICE_X38Y101 SITEPROP SLICE_X38Y101 NUM_ARCS 153 SITEPROP SLICE_X38Y101 NUM_BELS 32 SITEPROP SLICE_X38Y101 NUM_INPUTS 37 SITEPROP SLICE_X38Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y101 NUM_PINS 50 SITEPROP SLICE_X38Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y101 PROHIBIT 0 SITEPROP SLICE_X38Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y101 RPM_X 105 SITEPROP SLICE_X38Y101 RPM_Y 202 SITEPROP SLICE_X38Y101 SITE_PIPS SITEPROP SLICE_X38Y101 SITE_TYPE SLICEM SITEPROP SLICE_X38Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y102 CLASS site SITEPROP SLICE_X38Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y102 IS_BONDED 0 SITEPROP SLICE_X38Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y102 IS_PAD 0 SITEPROP SLICE_X38Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y102 IS_RESERVED 0 SITEPROP SLICE_X38Y102 IS_TEST 0 SITEPROP SLICE_X38Y102 IS_USED 0 SITEPROP SLICE_X38Y102 MANUAL_ROUTING SITEPROP SLICE_X38Y102 NAME SLICE_X38Y102 SITEPROP SLICE_X38Y102 NUM_ARCS 153 SITEPROP SLICE_X38Y102 NUM_BELS 32 SITEPROP SLICE_X38Y102 NUM_INPUTS 37 SITEPROP SLICE_X38Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y102 NUM_PINS 50 SITEPROP SLICE_X38Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y102 PROHIBIT 0 SITEPROP SLICE_X38Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y102 RPM_X 105 SITEPROP SLICE_X38Y102 RPM_Y 204 SITEPROP SLICE_X38Y102 SITE_PIPS SITEPROP SLICE_X38Y102 SITE_TYPE SLICEM SITEPROP SLICE_X38Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y103 CLASS site SITEPROP SLICE_X38Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y103 IS_BONDED 0 SITEPROP SLICE_X38Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y103 IS_PAD 0 SITEPROP SLICE_X38Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y103 IS_RESERVED 0 SITEPROP SLICE_X38Y103 IS_TEST 0 SITEPROP SLICE_X38Y103 IS_USED 0 SITEPROP SLICE_X38Y103 MANUAL_ROUTING SITEPROP SLICE_X38Y103 NAME SLICE_X38Y103 SITEPROP SLICE_X38Y103 NUM_ARCS 153 SITEPROP SLICE_X38Y103 NUM_BELS 32 SITEPROP SLICE_X38Y103 NUM_INPUTS 37 SITEPROP SLICE_X38Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y103 NUM_PINS 50 SITEPROP SLICE_X38Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y103 PROHIBIT 0 SITEPROP SLICE_X38Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y103 RPM_X 105 SITEPROP SLICE_X38Y103 RPM_Y 206 SITEPROP SLICE_X38Y103 SITE_PIPS SITEPROP SLICE_X38Y103 SITE_TYPE SLICEM SITEPROP SLICE_X38Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y104 CLASS site SITEPROP SLICE_X38Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y104 IS_BONDED 0 SITEPROP SLICE_X38Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y104 IS_PAD 0 SITEPROP SLICE_X38Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y104 IS_RESERVED 0 SITEPROP SLICE_X38Y104 IS_TEST 0 SITEPROP SLICE_X38Y104 IS_USED 0 SITEPROP SLICE_X38Y104 MANUAL_ROUTING SITEPROP SLICE_X38Y104 NAME SLICE_X38Y104 SITEPROP SLICE_X38Y104 NUM_ARCS 153 SITEPROP SLICE_X38Y104 NUM_BELS 32 SITEPROP SLICE_X38Y104 NUM_INPUTS 37 SITEPROP SLICE_X38Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y104 NUM_PINS 50 SITEPROP SLICE_X38Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y104 PROHIBIT 0 SITEPROP SLICE_X38Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y104 RPM_X 105 SITEPROP SLICE_X38Y104 RPM_Y 208 SITEPROP SLICE_X38Y104 SITE_PIPS SITEPROP SLICE_X38Y104 SITE_TYPE SLICEM SITEPROP SLICE_X38Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y105 CLASS site SITEPROP SLICE_X38Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y105 IS_BONDED 0 SITEPROP SLICE_X38Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y105 IS_PAD 0 SITEPROP SLICE_X38Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y105 IS_RESERVED 0 SITEPROP SLICE_X38Y105 IS_TEST 0 SITEPROP SLICE_X38Y105 IS_USED 0 SITEPROP SLICE_X38Y105 MANUAL_ROUTING SITEPROP SLICE_X38Y105 NAME SLICE_X38Y105 SITEPROP SLICE_X38Y105 NUM_ARCS 153 SITEPROP SLICE_X38Y105 NUM_BELS 32 SITEPROP SLICE_X38Y105 NUM_INPUTS 37 SITEPROP SLICE_X38Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y105 NUM_PINS 50 SITEPROP SLICE_X38Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y105 PROHIBIT 0 SITEPROP SLICE_X38Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y105 RPM_X 105 SITEPROP SLICE_X38Y105 RPM_Y 210 SITEPROP SLICE_X38Y105 SITE_PIPS SITEPROP SLICE_X38Y105 SITE_TYPE SLICEM SITEPROP SLICE_X38Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y106 CLASS site SITEPROP SLICE_X38Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y106 IS_BONDED 0 SITEPROP SLICE_X38Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y106 IS_PAD 0 SITEPROP SLICE_X38Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y106 IS_RESERVED 0 SITEPROP SLICE_X38Y106 IS_TEST 0 SITEPROP SLICE_X38Y106 IS_USED 0 SITEPROP SLICE_X38Y106 MANUAL_ROUTING SITEPROP SLICE_X38Y106 NAME SLICE_X38Y106 SITEPROP SLICE_X38Y106 NUM_ARCS 153 SITEPROP SLICE_X38Y106 NUM_BELS 32 SITEPROP SLICE_X38Y106 NUM_INPUTS 37 SITEPROP SLICE_X38Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y106 NUM_PINS 50 SITEPROP SLICE_X38Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y106 PROHIBIT 0 SITEPROP SLICE_X38Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y106 RPM_X 105 SITEPROP SLICE_X38Y106 RPM_Y 212 SITEPROP SLICE_X38Y106 SITE_PIPS SITEPROP SLICE_X38Y106 SITE_TYPE SLICEM SITEPROP SLICE_X38Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y107 CLASS site SITEPROP SLICE_X38Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y107 IS_BONDED 0 SITEPROP SLICE_X38Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y107 IS_PAD 0 SITEPROP SLICE_X38Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y107 IS_RESERVED 0 SITEPROP SLICE_X38Y107 IS_TEST 0 SITEPROP SLICE_X38Y107 IS_USED 0 SITEPROP SLICE_X38Y107 MANUAL_ROUTING SITEPROP SLICE_X38Y107 NAME SLICE_X38Y107 SITEPROP SLICE_X38Y107 NUM_ARCS 153 SITEPROP SLICE_X38Y107 NUM_BELS 32 SITEPROP SLICE_X38Y107 NUM_INPUTS 37 SITEPROP SLICE_X38Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y107 NUM_PINS 50 SITEPROP SLICE_X38Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y107 PROHIBIT 0 SITEPROP SLICE_X38Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y107 RPM_X 105 SITEPROP SLICE_X38Y107 RPM_Y 214 SITEPROP SLICE_X38Y107 SITE_PIPS SITEPROP SLICE_X38Y107 SITE_TYPE SLICEM SITEPROP SLICE_X38Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y108 CLASS site SITEPROP SLICE_X38Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y108 IS_BONDED 0 SITEPROP SLICE_X38Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y108 IS_PAD 0 SITEPROP SLICE_X38Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y108 IS_RESERVED 0 SITEPROP SLICE_X38Y108 IS_TEST 0 SITEPROP SLICE_X38Y108 IS_USED 0 SITEPROP SLICE_X38Y108 MANUAL_ROUTING SITEPROP SLICE_X38Y108 NAME SLICE_X38Y108 SITEPROP SLICE_X38Y108 NUM_ARCS 153 SITEPROP SLICE_X38Y108 NUM_BELS 32 SITEPROP SLICE_X38Y108 NUM_INPUTS 37 SITEPROP SLICE_X38Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y108 NUM_PINS 50 SITEPROP SLICE_X38Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y108 PROHIBIT 0 SITEPROP SLICE_X38Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y108 RPM_X 105 SITEPROP SLICE_X38Y108 RPM_Y 216 SITEPROP SLICE_X38Y108 SITE_PIPS SITEPROP SLICE_X38Y108 SITE_TYPE SLICEM SITEPROP SLICE_X38Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y109 CLASS site SITEPROP SLICE_X38Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y109 IS_BONDED 0 SITEPROP SLICE_X38Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y109 IS_PAD 0 SITEPROP SLICE_X38Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y109 IS_RESERVED 0 SITEPROP SLICE_X38Y109 IS_TEST 0 SITEPROP SLICE_X38Y109 IS_USED 0 SITEPROP SLICE_X38Y109 MANUAL_ROUTING SITEPROP SLICE_X38Y109 NAME SLICE_X38Y109 SITEPROP SLICE_X38Y109 NUM_ARCS 153 SITEPROP SLICE_X38Y109 NUM_BELS 32 SITEPROP SLICE_X38Y109 NUM_INPUTS 37 SITEPROP SLICE_X38Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y109 NUM_PINS 50 SITEPROP SLICE_X38Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y109 PROHIBIT 0 SITEPROP SLICE_X38Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y109 RPM_X 105 SITEPROP SLICE_X38Y109 RPM_Y 218 SITEPROP SLICE_X38Y109 SITE_PIPS SITEPROP SLICE_X38Y109 SITE_TYPE SLICEM SITEPROP SLICE_X38Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y110 CLASS site SITEPROP SLICE_X38Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y110 IS_BONDED 0 SITEPROP SLICE_X38Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y110 IS_PAD 0 SITEPROP SLICE_X38Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y110 IS_RESERVED 0 SITEPROP SLICE_X38Y110 IS_TEST 0 SITEPROP SLICE_X38Y110 IS_USED 0 SITEPROP SLICE_X38Y110 MANUAL_ROUTING SITEPROP SLICE_X38Y110 NAME SLICE_X38Y110 SITEPROP SLICE_X38Y110 NUM_ARCS 153 SITEPROP SLICE_X38Y110 NUM_BELS 32 SITEPROP SLICE_X38Y110 NUM_INPUTS 37 SITEPROP SLICE_X38Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y110 NUM_PINS 50 SITEPROP SLICE_X38Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y110 PROHIBIT 0 SITEPROP SLICE_X38Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y110 RPM_X 105 SITEPROP SLICE_X38Y110 RPM_Y 220 SITEPROP SLICE_X38Y110 SITE_PIPS SITEPROP SLICE_X38Y110 SITE_TYPE SLICEM SITEPROP SLICE_X38Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y111 CLASS site SITEPROP SLICE_X38Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y111 IS_BONDED 0 SITEPROP SLICE_X38Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y111 IS_PAD 0 SITEPROP SLICE_X38Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y111 IS_RESERVED 0 SITEPROP SLICE_X38Y111 IS_TEST 0 SITEPROP SLICE_X38Y111 IS_USED 0 SITEPROP SLICE_X38Y111 MANUAL_ROUTING SITEPROP SLICE_X38Y111 NAME SLICE_X38Y111 SITEPROP SLICE_X38Y111 NUM_ARCS 153 SITEPROP SLICE_X38Y111 NUM_BELS 32 SITEPROP SLICE_X38Y111 NUM_INPUTS 37 SITEPROP SLICE_X38Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y111 NUM_PINS 50 SITEPROP SLICE_X38Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y111 PROHIBIT 0 SITEPROP SLICE_X38Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y111 RPM_X 105 SITEPROP SLICE_X38Y111 RPM_Y 222 SITEPROP SLICE_X38Y111 SITE_PIPS SITEPROP SLICE_X38Y111 SITE_TYPE SLICEM SITEPROP SLICE_X38Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y112 CLASS site SITEPROP SLICE_X38Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y112 IS_BONDED 0 SITEPROP SLICE_X38Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y112 IS_PAD 0 SITEPROP SLICE_X38Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y112 IS_RESERVED 0 SITEPROP SLICE_X38Y112 IS_TEST 0 SITEPROP SLICE_X38Y112 IS_USED 0 SITEPROP SLICE_X38Y112 MANUAL_ROUTING SITEPROP SLICE_X38Y112 NAME SLICE_X38Y112 SITEPROP SLICE_X38Y112 NUM_ARCS 153 SITEPROP SLICE_X38Y112 NUM_BELS 32 SITEPROP SLICE_X38Y112 NUM_INPUTS 37 SITEPROP SLICE_X38Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y112 NUM_PINS 50 SITEPROP SLICE_X38Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y112 PROHIBIT 0 SITEPROP SLICE_X38Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y112 RPM_X 105 SITEPROP SLICE_X38Y112 RPM_Y 224 SITEPROP SLICE_X38Y112 SITE_PIPS SITEPROP SLICE_X38Y112 SITE_TYPE SLICEM SITEPROP SLICE_X38Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y113 CLASS site SITEPROP SLICE_X38Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y113 IS_BONDED 0 SITEPROP SLICE_X38Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y113 IS_PAD 0 SITEPROP SLICE_X38Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y113 IS_RESERVED 0 SITEPROP SLICE_X38Y113 IS_TEST 0 SITEPROP SLICE_X38Y113 IS_USED 0 SITEPROP SLICE_X38Y113 MANUAL_ROUTING SITEPROP SLICE_X38Y113 NAME SLICE_X38Y113 SITEPROP SLICE_X38Y113 NUM_ARCS 153 SITEPROP SLICE_X38Y113 NUM_BELS 32 SITEPROP SLICE_X38Y113 NUM_INPUTS 37 SITEPROP SLICE_X38Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y113 NUM_PINS 50 SITEPROP SLICE_X38Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y113 PROHIBIT 0 SITEPROP SLICE_X38Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y113 RPM_X 105 SITEPROP SLICE_X38Y113 RPM_Y 226 SITEPROP SLICE_X38Y113 SITE_PIPS SITEPROP SLICE_X38Y113 SITE_TYPE SLICEM SITEPROP SLICE_X38Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y114 CLASS site SITEPROP SLICE_X38Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y114 IS_BONDED 0 SITEPROP SLICE_X38Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y114 IS_PAD 0 SITEPROP SLICE_X38Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y114 IS_RESERVED 0 SITEPROP SLICE_X38Y114 IS_TEST 0 SITEPROP SLICE_X38Y114 IS_USED 0 SITEPROP SLICE_X38Y114 MANUAL_ROUTING SITEPROP SLICE_X38Y114 NAME SLICE_X38Y114 SITEPROP SLICE_X38Y114 NUM_ARCS 153 SITEPROP SLICE_X38Y114 NUM_BELS 32 SITEPROP SLICE_X38Y114 NUM_INPUTS 37 SITEPROP SLICE_X38Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y114 NUM_PINS 50 SITEPROP SLICE_X38Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y114 PROHIBIT 0 SITEPROP SLICE_X38Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y114 RPM_X 105 SITEPROP SLICE_X38Y114 RPM_Y 228 SITEPROP SLICE_X38Y114 SITE_PIPS SITEPROP SLICE_X38Y114 SITE_TYPE SLICEM SITEPROP SLICE_X38Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y115 CLASS site SITEPROP SLICE_X38Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y115 IS_BONDED 0 SITEPROP SLICE_X38Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y115 IS_PAD 0 SITEPROP SLICE_X38Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y115 IS_RESERVED 0 SITEPROP SLICE_X38Y115 IS_TEST 0 SITEPROP SLICE_X38Y115 IS_USED 0 SITEPROP SLICE_X38Y115 MANUAL_ROUTING SITEPROP SLICE_X38Y115 NAME SLICE_X38Y115 SITEPROP SLICE_X38Y115 NUM_ARCS 153 SITEPROP SLICE_X38Y115 NUM_BELS 32 SITEPROP SLICE_X38Y115 NUM_INPUTS 37 SITEPROP SLICE_X38Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y115 NUM_PINS 50 SITEPROP SLICE_X38Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y115 PROHIBIT 0 SITEPROP SLICE_X38Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y115 RPM_X 105 SITEPROP SLICE_X38Y115 RPM_Y 230 SITEPROP SLICE_X38Y115 SITE_PIPS SITEPROP SLICE_X38Y115 SITE_TYPE SLICEM SITEPROP SLICE_X38Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y116 CLASS site SITEPROP SLICE_X38Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y116 IS_BONDED 0 SITEPROP SLICE_X38Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y116 IS_PAD 0 SITEPROP SLICE_X38Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y116 IS_RESERVED 0 SITEPROP SLICE_X38Y116 IS_TEST 0 SITEPROP SLICE_X38Y116 IS_USED 0 SITEPROP SLICE_X38Y116 MANUAL_ROUTING SITEPROP SLICE_X38Y116 NAME SLICE_X38Y116 SITEPROP SLICE_X38Y116 NUM_ARCS 153 SITEPROP SLICE_X38Y116 NUM_BELS 32 SITEPROP SLICE_X38Y116 NUM_INPUTS 37 SITEPROP SLICE_X38Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y116 NUM_PINS 50 SITEPROP SLICE_X38Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y116 PROHIBIT 0 SITEPROP SLICE_X38Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y116 RPM_X 105 SITEPROP SLICE_X38Y116 RPM_Y 232 SITEPROP SLICE_X38Y116 SITE_PIPS SITEPROP SLICE_X38Y116 SITE_TYPE SLICEM SITEPROP SLICE_X38Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y117 CLASS site SITEPROP SLICE_X38Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y117 IS_BONDED 0 SITEPROP SLICE_X38Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y117 IS_PAD 0 SITEPROP SLICE_X38Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y117 IS_RESERVED 0 SITEPROP SLICE_X38Y117 IS_TEST 0 SITEPROP SLICE_X38Y117 IS_USED 0 SITEPROP SLICE_X38Y117 MANUAL_ROUTING SITEPROP SLICE_X38Y117 NAME SLICE_X38Y117 SITEPROP SLICE_X38Y117 NUM_ARCS 153 SITEPROP SLICE_X38Y117 NUM_BELS 32 SITEPROP SLICE_X38Y117 NUM_INPUTS 37 SITEPROP SLICE_X38Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y117 NUM_PINS 50 SITEPROP SLICE_X38Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y117 PROHIBIT 0 SITEPROP SLICE_X38Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y117 RPM_X 105 SITEPROP SLICE_X38Y117 RPM_Y 234 SITEPROP SLICE_X38Y117 SITE_PIPS SITEPROP SLICE_X38Y117 SITE_TYPE SLICEM SITEPROP SLICE_X38Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y118 CLASS site SITEPROP SLICE_X38Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y118 IS_BONDED 0 SITEPROP SLICE_X38Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y118 IS_PAD 0 SITEPROP SLICE_X38Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y118 IS_RESERVED 0 SITEPROP SLICE_X38Y118 IS_TEST 0 SITEPROP SLICE_X38Y118 IS_USED 0 SITEPROP SLICE_X38Y118 MANUAL_ROUTING SITEPROP SLICE_X38Y118 NAME SLICE_X38Y118 SITEPROP SLICE_X38Y118 NUM_ARCS 153 SITEPROP SLICE_X38Y118 NUM_BELS 32 SITEPROP SLICE_X38Y118 NUM_INPUTS 37 SITEPROP SLICE_X38Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y118 NUM_PINS 50 SITEPROP SLICE_X38Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y118 PROHIBIT 0 SITEPROP SLICE_X38Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y118 RPM_X 105 SITEPROP SLICE_X38Y118 RPM_Y 236 SITEPROP SLICE_X38Y118 SITE_PIPS SITEPROP SLICE_X38Y118 SITE_TYPE SLICEM SITEPROP SLICE_X38Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y119 CLASS site SITEPROP SLICE_X38Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y119 IS_BONDED 0 SITEPROP SLICE_X38Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y119 IS_PAD 0 SITEPROP SLICE_X38Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y119 IS_RESERVED 0 SITEPROP SLICE_X38Y119 IS_TEST 0 SITEPROP SLICE_X38Y119 IS_USED 0 SITEPROP SLICE_X38Y119 MANUAL_ROUTING SITEPROP SLICE_X38Y119 NAME SLICE_X38Y119 SITEPROP SLICE_X38Y119 NUM_ARCS 153 SITEPROP SLICE_X38Y119 NUM_BELS 32 SITEPROP SLICE_X38Y119 NUM_INPUTS 37 SITEPROP SLICE_X38Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y119 NUM_PINS 50 SITEPROP SLICE_X38Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y119 PROHIBIT 0 SITEPROP SLICE_X38Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y119 RPM_X 105 SITEPROP SLICE_X38Y119 RPM_Y 238 SITEPROP SLICE_X38Y119 SITE_PIPS SITEPROP SLICE_X38Y119 SITE_TYPE SLICEM SITEPROP SLICE_X38Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y120 CLASS site SITEPROP SLICE_X38Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y120 IS_BONDED 0 SITEPROP SLICE_X38Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y120 IS_PAD 0 SITEPROP SLICE_X38Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y120 IS_RESERVED 0 SITEPROP SLICE_X38Y120 IS_TEST 0 SITEPROP SLICE_X38Y120 IS_USED 0 SITEPROP SLICE_X38Y120 MANUAL_ROUTING SITEPROP SLICE_X38Y120 NAME SLICE_X38Y120 SITEPROP SLICE_X38Y120 NUM_ARCS 153 SITEPROP SLICE_X38Y120 NUM_BELS 32 SITEPROP SLICE_X38Y120 NUM_INPUTS 37 SITEPROP SLICE_X38Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y120 NUM_PINS 50 SITEPROP SLICE_X38Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y120 PROHIBIT 0 SITEPROP SLICE_X38Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y120 RPM_X 105 SITEPROP SLICE_X38Y120 RPM_Y 240 SITEPROP SLICE_X38Y120 SITE_PIPS SITEPROP SLICE_X38Y120 SITE_TYPE SLICEM SITEPROP SLICE_X38Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y121 CLASS site SITEPROP SLICE_X38Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y121 IS_BONDED 0 SITEPROP SLICE_X38Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y121 IS_PAD 0 SITEPROP SLICE_X38Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y121 IS_RESERVED 0 SITEPROP SLICE_X38Y121 IS_TEST 0 SITEPROP SLICE_X38Y121 IS_USED 0 SITEPROP SLICE_X38Y121 MANUAL_ROUTING SITEPROP SLICE_X38Y121 NAME SLICE_X38Y121 SITEPROP SLICE_X38Y121 NUM_ARCS 153 SITEPROP SLICE_X38Y121 NUM_BELS 32 SITEPROP SLICE_X38Y121 NUM_INPUTS 37 SITEPROP SLICE_X38Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y121 NUM_PINS 50 SITEPROP SLICE_X38Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y121 PROHIBIT 0 SITEPROP SLICE_X38Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y121 RPM_X 105 SITEPROP SLICE_X38Y121 RPM_Y 242 SITEPROP SLICE_X38Y121 SITE_PIPS SITEPROP SLICE_X38Y121 SITE_TYPE SLICEM SITEPROP SLICE_X38Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y122 CLASS site SITEPROP SLICE_X38Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y122 IS_BONDED 0 SITEPROP SLICE_X38Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y122 IS_PAD 0 SITEPROP SLICE_X38Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y122 IS_RESERVED 0 SITEPROP SLICE_X38Y122 IS_TEST 0 SITEPROP SLICE_X38Y122 IS_USED 0 SITEPROP SLICE_X38Y122 MANUAL_ROUTING SITEPROP SLICE_X38Y122 NAME SLICE_X38Y122 SITEPROP SLICE_X38Y122 NUM_ARCS 153 SITEPROP SLICE_X38Y122 NUM_BELS 32 SITEPROP SLICE_X38Y122 NUM_INPUTS 37 SITEPROP SLICE_X38Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y122 NUM_PINS 50 SITEPROP SLICE_X38Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y122 PROHIBIT 0 SITEPROP SLICE_X38Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y122 RPM_X 105 SITEPROP SLICE_X38Y122 RPM_Y 244 SITEPROP SLICE_X38Y122 SITE_PIPS SITEPROP SLICE_X38Y122 SITE_TYPE SLICEM SITEPROP SLICE_X38Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y123 CLASS site SITEPROP SLICE_X38Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y123 IS_BONDED 0 SITEPROP SLICE_X38Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y123 IS_PAD 0 SITEPROP SLICE_X38Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y123 IS_RESERVED 0 SITEPROP SLICE_X38Y123 IS_TEST 0 SITEPROP SLICE_X38Y123 IS_USED 0 SITEPROP SLICE_X38Y123 MANUAL_ROUTING SITEPROP SLICE_X38Y123 NAME SLICE_X38Y123 SITEPROP SLICE_X38Y123 NUM_ARCS 153 SITEPROP SLICE_X38Y123 NUM_BELS 32 SITEPROP SLICE_X38Y123 NUM_INPUTS 37 SITEPROP SLICE_X38Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y123 NUM_PINS 50 SITEPROP SLICE_X38Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y123 PROHIBIT 0 SITEPROP SLICE_X38Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y123 RPM_X 105 SITEPROP SLICE_X38Y123 RPM_Y 246 SITEPROP SLICE_X38Y123 SITE_PIPS SITEPROP SLICE_X38Y123 SITE_TYPE SLICEM SITEPROP SLICE_X38Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y124 CLASS site SITEPROP SLICE_X38Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y124 IS_BONDED 0 SITEPROP SLICE_X38Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y124 IS_PAD 0 SITEPROP SLICE_X38Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y124 IS_RESERVED 0 SITEPROP SLICE_X38Y124 IS_TEST 0 SITEPROP SLICE_X38Y124 IS_USED 0 SITEPROP SLICE_X38Y124 MANUAL_ROUTING SITEPROP SLICE_X38Y124 NAME SLICE_X38Y124 SITEPROP SLICE_X38Y124 NUM_ARCS 153 SITEPROP SLICE_X38Y124 NUM_BELS 32 SITEPROP SLICE_X38Y124 NUM_INPUTS 37 SITEPROP SLICE_X38Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y124 NUM_PINS 50 SITEPROP SLICE_X38Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y124 PROHIBIT 0 SITEPROP SLICE_X38Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y124 RPM_X 105 SITEPROP SLICE_X38Y124 RPM_Y 248 SITEPROP SLICE_X38Y124 SITE_PIPS SITEPROP SLICE_X38Y124 SITE_TYPE SLICEM SITEPROP SLICE_X38Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y125 CLASS site SITEPROP SLICE_X38Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y125 IS_BONDED 0 SITEPROP SLICE_X38Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y125 IS_PAD 0 SITEPROP SLICE_X38Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y125 IS_RESERVED 0 SITEPROP SLICE_X38Y125 IS_TEST 0 SITEPROP SLICE_X38Y125 IS_USED 0 SITEPROP SLICE_X38Y125 MANUAL_ROUTING SITEPROP SLICE_X38Y125 NAME SLICE_X38Y125 SITEPROP SLICE_X38Y125 NUM_ARCS 153 SITEPROP SLICE_X38Y125 NUM_BELS 32 SITEPROP SLICE_X38Y125 NUM_INPUTS 37 SITEPROP SLICE_X38Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y125 NUM_PINS 50 SITEPROP SLICE_X38Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y125 PROHIBIT 0 SITEPROP SLICE_X38Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y125 RPM_X 105 SITEPROP SLICE_X38Y125 RPM_Y 250 SITEPROP SLICE_X38Y125 SITE_PIPS SITEPROP SLICE_X38Y125 SITE_TYPE SLICEM SITEPROP SLICE_X38Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y126 CLASS site SITEPROP SLICE_X38Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y126 IS_BONDED 0 SITEPROP SLICE_X38Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y126 IS_PAD 0 SITEPROP SLICE_X38Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y126 IS_RESERVED 0 SITEPROP SLICE_X38Y126 IS_TEST 0 SITEPROP SLICE_X38Y126 IS_USED 0 SITEPROP SLICE_X38Y126 MANUAL_ROUTING SITEPROP SLICE_X38Y126 NAME SLICE_X38Y126 SITEPROP SLICE_X38Y126 NUM_ARCS 153 SITEPROP SLICE_X38Y126 NUM_BELS 32 SITEPROP SLICE_X38Y126 NUM_INPUTS 37 SITEPROP SLICE_X38Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y126 NUM_PINS 50 SITEPROP SLICE_X38Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y126 PROHIBIT 0 SITEPROP SLICE_X38Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y126 RPM_X 105 SITEPROP SLICE_X38Y126 RPM_Y 252 SITEPROP SLICE_X38Y126 SITE_PIPS SITEPROP SLICE_X38Y126 SITE_TYPE SLICEM SITEPROP SLICE_X38Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y127 CLASS site SITEPROP SLICE_X38Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y127 IS_BONDED 0 SITEPROP SLICE_X38Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y127 IS_PAD 0 SITEPROP SLICE_X38Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y127 IS_RESERVED 0 SITEPROP SLICE_X38Y127 IS_TEST 0 SITEPROP SLICE_X38Y127 IS_USED 0 SITEPROP SLICE_X38Y127 MANUAL_ROUTING SITEPROP SLICE_X38Y127 NAME SLICE_X38Y127 SITEPROP SLICE_X38Y127 NUM_ARCS 153 SITEPROP SLICE_X38Y127 NUM_BELS 32 SITEPROP SLICE_X38Y127 NUM_INPUTS 37 SITEPROP SLICE_X38Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y127 NUM_PINS 50 SITEPROP SLICE_X38Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y127 PROHIBIT 0 SITEPROP SLICE_X38Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y127 RPM_X 105 SITEPROP SLICE_X38Y127 RPM_Y 254 SITEPROP SLICE_X38Y127 SITE_PIPS SITEPROP SLICE_X38Y127 SITE_TYPE SLICEM SITEPROP SLICE_X38Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y128 CLASS site SITEPROP SLICE_X38Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y128 IS_BONDED 0 SITEPROP SLICE_X38Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y128 IS_PAD 0 SITEPROP SLICE_X38Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y128 IS_RESERVED 0 SITEPROP SLICE_X38Y128 IS_TEST 0 SITEPROP SLICE_X38Y128 IS_USED 0 SITEPROP SLICE_X38Y128 MANUAL_ROUTING SITEPROP SLICE_X38Y128 NAME SLICE_X38Y128 SITEPROP SLICE_X38Y128 NUM_ARCS 153 SITEPROP SLICE_X38Y128 NUM_BELS 32 SITEPROP SLICE_X38Y128 NUM_INPUTS 37 SITEPROP SLICE_X38Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y128 NUM_PINS 50 SITEPROP SLICE_X38Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y128 PROHIBIT 0 SITEPROP SLICE_X38Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y128 RPM_X 105 SITEPROP SLICE_X38Y128 RPM_Y 256 SITEPROP SLICE_X38Y128 SITE_PIPS SITEPROP SLICE_X38Y128 SITE_TYPE SLICEM SITEPROP SLICE_X38Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y129 CLASS site SITEPROP SLICE_X38Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y129 IS_BONDED 0 SITEPROP SLICE_X38Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y129 IS_PAD 0 SITEPROP SLICE_X38Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y129 IS_RESERVED 0 SITEPROP SLICE_X38Y129 IS_TEST 0 SITEPROP SLICE_X38Y129 IS_USED 0 SITEPROP SLICE_X38Y129 MANUAL_ROUTING SITEPROP SLICE_X38Y129 NAME SLICE_X38Y129 SITEPROP SLICE_X38Y129 NUM_ARCS 153 SITEPROP SLICE_X38Y129 NUM_BELS 32 SITEPROP SLICE_X38Y129 NUM_INPUTS 37 SITEPROP SLICE_X38Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y129 NUM_PINS 50 SITEPROP SLICE_X38Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y129 PROHIBIT 0 SITEPROP SLICE_X38Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y129 RPM_X 105 SITEPROP SLICE_X38Y129 RPM_Y 258 SITEPROP SLICE_X38Y129 SITE_PIPS SITEPROP SLICE_X38Y129 SITE_TYPE SLICEM SITEPROP SLICE_X38Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y130 CLASS site SITEPROP SLICE_X38Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y130 IS_BONDED 0 SITEPROP SLICE_X38Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y130 IS_PAD 0 SITEPROP SLICE_X38Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y130 IS_RESERVED 0 SITEPROP SLICE_X38Y130 IS_TEST 0 SITEPROP SLICE_X38Y130 IS_USED 0 SITEPROP SLICE_X38Y130 MANUAL_ROUTING SITEPROP SLICE_X38Y130 NAME SLICE_X38Y130 SITEPROP SLICE_X38Y130 NUM_ARCS 153 SITEPROP SLICE_X38Y130 NUM_BELS 32 SITEPROP SLICE_X38Y130 NUM_INPUTS 37 SITEPROP SLICE_X38Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y130 NUM_PINS 50 SITEPROP SLICE_X38Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y130 PROHIBIT 0 SITEPROP SLICE_X38Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y130 RPM_X 105 SITEPROP SLICE_X38Y130 RPM_Y 260 SITEPROP SLICE_X38Y130 SITE_PIPS SITEPROP SLICE_X38Y130 SITE_TYPE SLICEM SITEPROP SLICE_X38Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y131 CLASS site SITEPROP SLICE_X38Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y131 IS_BONDED 0 SITEPROP SLICE_X38Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y131 IS_PAD 0 SITEPROP SLICE_X38Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y131 IS_RESERVED 0 SITEPROP SLICE_X38Y131 IS_TEST 0 SITEPROP SLICE_X38Y131 IS_USED 0 SITEPROP SLICE_X38Y131 MANUAL_ROUTING SITEPROP SLICE_X38Y131 NAME SLICE_X38Y131 SITEPROP SLICE_X38Y131 NUM_ARCS 153 SITEPROP SLICE_X38Y131 NUM_BELS 32 SITEPROP SLICE_X38Y131 NUM_INPUTS 37 SITEPROP SLICE_X38Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y131 NUM_PINS 50 SITEPROP SLICE_X38Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y131 PROHIBIT 0 SITEPROP SLICE_X38Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y131 RPM_X 105 SITEPROP SLICE_X38Y131 RPM_Y 262 SITEPROP SLICE_X38Y131 SITE_PIPS SITEPROP SLICE_X38Y131 SITE_TYPE SLICEM SITEPROP SLICE_X38Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y132 CLASS site SITEPROP SLICE_X38Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y132 IS_BONDED 0 SITEPROP SLICE_X38Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y132 IS_PAD 0 SITEPROP SLICE_X38Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y132 IS_RESERVED 0 SITEPROP SLICE_X38Y132 IS_TEST 0 SITEPROP SLICE_X38Y132 IS_USED 0 SITEPROP SLICE_X38Y132 MANUAL_ROUTING SITEPROP SLICE_X38Y132 NAME SLICE_X38Y132 SITEPROP SLICE_X38Y132 NUM_ARCS 153 SITEPROP SLICE_X38Y132 NUM_BELS 32 SITEPROP SLICE_X38Y132 NUM_INPUTS 37 SITEPROP SLICE_X38Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y132 NUM_PINS 50 SITEPROP SLICE_X38Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y132 PROHIBIT 0 SITEPROP SLICE_X38Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y132 RPM_X 105 SITEPROP SLICE_X38Y132 RPM_Y 264 SITEPROP SLICE_X38Y132 SITE_PIPS SITEPROP SLICE_X38Y132 SITE_TYPE SLICEM SITEPROP SLICE_X38Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y133 CLASS site SITEPROP SLICE_X38Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y133 IS_BONDED 0 SITEPROP SLICE_X38Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y133 IS_PAD 0 SITEPROP SLICE_X38Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y133 IS_RESERVED 0 SITEPROP SLICE_X38Y133 IS_TEST 0 SITEPROP SLICE_X38Y133 IS_USED 0 SITEPROP SLICE_X38Y133 MANUAL_ROUTING SITEPROP SLICE_X38Y133 NAME SLICE_X38Y133 SITEPROP SLICE_X38Y133 NUM_ARCS 153 SITEPROP SLICE_X38Y133 NUM_BELS 32 SITEPROP SLICE_X38Y133 NUM_INPUTS 37 SITEPROP SLICE_X38Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y133 NUM_PINS 50 SITEPROP SLICE_X38Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y133 PROHIBIT 0 SITEPROP SLICE_X38Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y133 RPM_X 105 SITEPROP SLICE_X38Y133 RPM_Y 266 SITEPROP SLICE_X38Y133 SITE_PIPS SITEPROP SLICE_X38Y133 SITE_TYPE SLICEM SITEPROP SLICE_X38Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y134 CLASS site SITEPROP SLICE_X38Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y134 IS_BONDED 0 SITEPROP SLICE_X38Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y134 IS_PAD 0 SITEPROP SLICE_X38Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y134 IS_RESERVED 0 SITEPROP SLICE_X38Y134 IS_TEST 0 SITEPROP SLICE_X38Y134 IS_USED 0 SITEPROP SLICE_X38Y134 MANUAL_ROUTING SITEPROP SLICE_X38Y134 NAME SLICE_X38Y134 SITEPROP SLICE_X38Y134 NUM_ARCS 153 SITEPROP SLICE_X38Y134 NUM_BELS 32 SITEPROP SLICE_X38Y134 NUM_INPUTS 37 SITEPROP SLICE_X38Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y134 NUM_PINS 50 SITEPROP SLICE_X38Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y134 PROHIBIT 0 SITEPROP SLICE_X38Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y134 RPM_X 105 SITEPROP SLICE_X38Y134 RPM_Y 268 SITEPROP SLICE_X38Y134 SITE_PIPS SITEPROP SLICE_X38Y134 SITE_TYPE SLICEM SITEPROP SLICE_X38Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y135 CLASS site SITEPROP SLICE_X38Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y135 IS_BONDED 0 SITEPROP SLICE_X38Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y135 IS_PAD 0 SITEPROP SLICE_X38Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y135 IS_RESERVED 0 SITEPROP SLICE_X38Y135 IS_TEST 0 SITEPROP SLICE_X38Y135 IS_USED 0 SITEPROP SLICE_X38Y135 MANUAL_ROUTING SITEPROP SLICE_X38Y135 NAME SLICE_X38Y135 SITEPROP SLICE_X38Y135 NUM_ARCS 153 SITEPROP SLICE_X38Y135 NUM_BELS 32 SITEPROP SLICE_X38Y135 NUM_INPUTS 37 SITEPROP SLICE_X38Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y135 NUM_PINS 50 SITEPROP SLICE_X38Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y135 PROHIBIT 0 SITEPROP SLICE_X38Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y135 RPM_X 105 SITEPROP SLICE_X38Y135 RPM_Y 270 SITEPROP SLICE_X38Y135 SITE_PIPS SITEPROP SLICE_X38Y135 SITE_TYPE SLICEM SITEPROP SLICE_X38Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y136 CLASS site SITEPROP SLICE_X38Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y136 IS_BONDED 0 SITEPROP SLICE_X38Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y136 IS_PAD 0 SITEPROP SLICE_X38Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y136 IS_RESERVED 0 SITEPROP SLICE_X38Y136 IS_TEST 0 SITEPROP SLICE_X38Y136 IS_USED 0 SITEPROP SLICE_X38Y136 MANUAL_ROUTING SITEPROP SLICE_X38Y136 NAME SLICE_X38Y136 SITEPROP SLICE_X38Y136 NUM_ARCS 153 SITEPROP SLICE_X38Y136 NUM_BELS 32 SITEPROP SLICE_X38Y136 NUM_INPUTS 37 SITEPROP SLICE_X38Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y136 NUM_PINS 50 SITEPROP SLICE_X38Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y136 PROHIBIT 0 SITEPROP SLICE_X38Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y136 RPM_X 105 SITEPROP SLICE_X38Y136 RPM_Y 272 SITEPROP SLICE_X38Y136 SITE_PIPS SITEPROP SLICE_X38Y136 SITE_TYPE SLICEM SITEPROP SLICE_X38Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y137 CLASS site SITEPROP SLICE_X38Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y137 IS_BONDED 0 SITEPROP SLICE_X38Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y137 IS_PAD 0 SITEPROP SLICE_X38Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y137 IS_RESERVED 0 SITEPROP SLICE_X38Y137 IS_TEST 0 SITEPROP SLICE_X38Y137 IS_USED 0 SITEPROP SLICE_X38Y137 MANUAL_ROUTING SITEPROP SLICE_X38Y137 NAME SLICE_X38Y137 SITEPROP SLICE_X38Y137 NUM_ARCS 153 SITEPROP SLICE_X38Y137 NUM_BELS 32 SITEPROP SLICE_X38Y137 NUM_INPUTS 37 SITEPROP SLICE_X38Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y137 NUM_PINS 50 SITEPROP SLICE_X38Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y137 PROHIBIT 0 SITEPROP SLICE_X38Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y137 RPM_X 105 SITEPROP SLICE_X38Y137 RPM_Y 274 SITEPROP SLICE_X38Y137 SITE_PIPS SITEPROP SLICE_X38Y137 SITE_TYPE SLICEM SITEPROP SLICE_X38Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y138 CLASS site SITEPROP SLICE_X38Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y138 IS_BONDED 0 SITEPROP SLICE_X38Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y138 IS_PAD 0 SITEPROP SLICE_X38Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y138 IS_RESERVED 0 SITEPROP SLICE_X38Y138 IS_TEST 0 SITEPROP SLICE_X38Y138 IS_USED 0 SITEPROP SLICE_X38Y138 MANUAL_ROUTING SITEPROP SLICE_X38Y138 NAME SLICE_X38Y138 SITEPROP SLICE_X38Y138 NUM_ARCS 153 SITEPROP SLICE_X38Y138 NUM_BELS 32 SITEPROP SLICE_X38Y138 NUM_INPUTS 37 SITEPROP SLICE_X38Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y138 NUM_PINS 50 SITEPROP SLICE_X38Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y138 PROHIBIT 0 SITEPROP SLICE_X38Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y138 RPM_X 105 SITEPROP SLICE_X38Y138 RPM_Y 276 SITEPROP SLICE_X38Y138 SITE_PIPS SITEPROP SLICE_X38Y138 SITE_TYPE SLICEM SITEPROP SLICE_X38Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y139 CLASS site SITEPROP SLICE_X38Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y139 IS_BONDED 0 SITEPROP SLICE_X38Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y139 IS_PAD 0 SITEPROP SLICE_X38Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y139 IS_RESERVED 0 SITEPROP SLICE_X38Y139 IS_TEST 0 SITEPROP SLICE_X38Y139 IS_USED 0 SITEPROP SLICE_X38Y139 MANUAL_ROUTING SITEPROP SLICE_X38Y139 NAME SLICE_X38Y139 SITEPROP SLICE_X38Y139 NUM_ARCS 153 SITEPROP SLICE_X38Y139 NUM_BELS 32 SITEPROP SLICE_X38Y139 NUM_INPUTS 37 SITEPROP SLICE_X38Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y139 NUM_PINS 50 SITEPROP SLICE_X38Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y139 PROHIBIT 0 SITEPROP SLICE_X38Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y139 RPM_X 105 SITEPROP SLICE_X38Y139 RPM_Y 278 SITEPROP SLICE_X38Y139 SITE_PIPS SITEPROP SLICE_X38Y139 SITE_TYPE SLICEM SITEPROP SLICE_X38Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y140 CLASS site SITEPROP SLICE_X38Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y140 IS_BONDED 0 SITEPROP SLICE_X38Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y140 IS_PAD 0 SITEPROP SLICE_X38Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y140 IS_RESERVED 0 SITEPROP SLICE_X38Y140 IS_TEST 0 SITEPROP SLICE_X38Y140 IS_USED 0 SITEPROP SLICE_X38Y140 MANUAL_ROUTING SITEPROP SLICE_X38Y140 NAME SLICE_X38Y140 SITEPROP SLICE_X38Y140 NUM_ARCS 153 SITEPROP SLICE_X38Y140 NUM_BELS 32 SITEPROP SLICE_X38Y140 NUM_INPUTS 37 SITEPROP SLICE_X38Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y140 NUM_PINS 50 SITEPROP SLICE_X38Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y140 PROHIBIT 0 SITEPROP SLICE_X38Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y140 RPM_X 105 SITEPROP SLICE_X38Y140 RPM_Y 280 SITEPROP SLICE_X38Y140 SITE_PIPS SITEPROP SLICE_X38Y140 SITE_TYPE SLICEM SITEPROP SLICE_X38Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y141 CLASS site SITEPROP SLICE_X38Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y141 IS_BONDED 0 SITEPROP SLICE_X38Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y141 IS_PAD 0 SITEPROP SLICE_X38Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y141 IS_RESERVED 0 SITEPROP SLICE_X38Y141 IS_TEST 0 SITEPROP SLICE_X38Y141 IS_USED 0 SITEPROP SLICE_X38Y141 MANUAL_ROUTING SITEPROP SLICE_X38Y141 NAME SLICE_X38Y141 SITEPROP SLICE_X38Y141 NUM_ARCS 153 SITEPROP SLICE_X38Y141 NUM_BELS 32 SITEPROP SLICE_X38Y141 NUM_INPUTS 37 SITEPROP SLICE_X38Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y141 NUM_PINS 50 SITEPROP SLICE_X38Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y141 PROHIBIT 0 SITEPROP SLICE_X38Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y141 RPM_X 105 SITEPROP SLICE_X38Y141 RPM_Y 282 SITEPROP SLICE_X38Y141 SITE_PIPS SITEPROP SLICE_X38Y141 SITE_TYPE SLICEM SITEPROP SLICE_X38Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y142 CLASS site SITEPROP SLICE_X38Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y142 IS_BONDED 0 SITEPROP SLICE_X38Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y142 IS_PAD 0 SITEPROP SLICE_X38Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y142 IS_RESERVED 0 SITEPROP SLICE_X38Y142 IS_TEST 0 SITEPROP SLICE_X38Y142 IS_USED 0 SITEPROP SLICE_X38Y142 MANUAL_ROUTING SITEPROP SLICE_X38Y142 NAME SLICE_X38Y142 SITEPROP SLICE_X38Y142 NUM_ARCS 153 SITEPROP SLICE_X38Y142 NUM_BELS 32 SITEPROP SLICE_X38Y142 NUM_INPUTS 37 SITEPROP SLICE_X38Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y142 NUM_PINS 50 SITEPROP SLICE_X38Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y142 PROHIBIT 0 SITEPROP SLICE_X38Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y142 RPM_X 105 SITEPROP SLICE_X38Y142 RPM_Y 284 SITEPROP SLICE_X38Y142 SITE_PIPS SITEPROP SLICE_X38Y142 SITE_TYPE SLICEM SITEPROP SLICE_X38Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y143 CLASS site SITEPROP SLICE_X38Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y143 IS_BONDED 0 SITEPROP SLICE_X38Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y143 IS_PAD 0 SITEPROP SLICE_X38Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y143 IS_RESERVED 0 SITEPROP SLICE_X38Y143 IS_TEST 0 SITEPROP SLICE_X38Y143 IS_USED 0 SITEPROP SLICE_X38Y143 MANUAL_ROUTING SITEPROP SLICE_X38Y143 NAME SLICE_X38Y143 SITEPROP SLICE_X38Y143 NUM_ARCS 153 SITEPROP SLICE_X38Y143 NUM_BELS 32 SITEPROP SLICE_X38Y143 NUM_INPUTS 37 SITEPROP SLICE_X38Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y143 NUM_PINS 50 SITEPROP SLICE_X38Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y143 PROHIBIT 0 SITEPROP SLICE_X38Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y143 RPM_X 105 SITEPROP SLICE_X38Y143 RPM_Y 286 SITEPROP SLICE_X38Y143 SITE_PIPS SITEPROP SLICE_X38Y143 SITE_TYPE SLICEM SITEPROP SLICE_X38Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y144 CLASS site SITEPROP SLICE_X38Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y144 IS_BONDED 0 SITEPROP SLICE_X38Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y144 IS_PAD 0 SITEPROP SLICE_X38Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y144 IS_RESERVED 0 SITEPROP SLICE_X38Y144 IS_TEST 0 SITEPROP SLICE_X38Y144 IS_USED 0 SITEPROP SLICE_X38Y144 MANUAL_ROUTING SITEPROP SLICE_X38Y144 NAME SLICE_X38Y144 SITEPROP SLICE_X38Y144 NUM_ARCS 153 SITEPROP SLICE_X38Y144 NUM_BELS 32 SITEPROP SLICE_X38Y144 NUM_INPUTS 37 SITEPROP SLICE_X38Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y144 NUM_PINS 50 SITEPROP SLICE_X38Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y144 PROHIBIT 0 SITEPROP SLICE_X38Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y144 RPM_X 105 SITEPROP SLICE_X38Y144 RPM_Y 288 SITEPROP SLICE_X38Y144 SITE_PIPS SITEPROP SLICE_X38Y144 SITE_TYPE SLICEM SITEPROP SLICE_X38Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y145 CLASS site SITEPROP SLICE_X38Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y145 IS_BONDED 0 SITEPROP SLICE_X38Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y145 IS_PAD 0 SITEPROP SLICE_X38Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y145 IS_RESERVED 0 SITEPROP SLICE_X38Y145 IS_TEST 0 SITEPROP SLICE_X38Y145 IS_USED 0 SITEPROP SLICE_X38Y145 MANUAL_ROUTING SITEPROP SLICE_X38Y145 NAME SLICE_X38Y145 SITEPROP SLICE_X38Y145 NUM_ARCS 153 SITEPROP SLICE_X38Y145 NUM_BELS 32 SITEPROP SLICE_X38Y145 NUM_INPUTS 37 SITEPROP SLICE_X38Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y145 NUM_PINS 50 SITEPROP SLICE_X38Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y145 PROHIBIT 0 SITEPROP SLICE_X38Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y145 RPM_X 105 SITEPROP SLICE_X38Y145 RPM_Y 290 SITEPROP SLICE_X38Y145 SITE_PIPS SITEPROP SLICE_X38Y145 SITE_TYPE SLICEM SITEPROP SLICE_X38Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y146 CLASS site SITEPROP SLICE_X38Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y146 IS_BONDED 0 SITEPROP SLICE_X38Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y146 IS_PAD 0 SITEPROP SLICE_X38Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y146 IS_RESERVED 0 SITEPROP SLICE_X38Y146 IS_TEST 0 SITEPROP SLICE_X38Y146 IS_USED 0 SITEPROP SLICE_X38Y146 MANUAL_ROUTING SITEPROP SLICE_X38Y146 NAME SLICE_X38Y146 SITEPROP SLICE_X38Y146 NUM_ARCS 153 SITEPROP SLICE_X38Y146 NUM_BELS 32 SITEPROP SLICE_X38Y146 NUM_INPUTS 37 SITEPROP SLICE_X38Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y146 NUM_PINS 50 SITEPROP SLICE_X38Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y146 PROHIBIT 0 SITEPROP SLICE_X38Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y146 RPM_X 105 SITEPROP SLICE_X38Y146 RPM_Y 292 SITEPROP SLICE_X38Y146 SITE_PIPS SITEPROP SLICE_X38Y146 SITE_TYPE SLICEM SITEPROP SLICE_X38Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y147 CLASS site SITEPROP SLICE_X38Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y147 IS_BONDED 0 SITEPROP SLICE_X38Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y147 IS_PAD 0 SITEPROP SLICE_X38Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y147 IS_RESERVED 0 SITEPROP SLICE_X38Y147 IS_TEST 0 SITEPROP SLICE_X38Y147 IS_USED 0 SITEPROP SLICE_X38Y147 MANUAL_ROUTING SITEPROP SLICE_X38Y147 NAME SLICE_X38Y147 SITEPROP SLICE_X38Y147 NUM_ARCS 153 SITEPROP SLICE_X38Y147 NUM_BELS 32 SITEPROP SLICE_X38Y147 NUM_INPUTS 37 SITEPROP SLICE_X38Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y147 NUM_PINS 50 SITEPROP SLICE_X38Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y147 PROHIBIT 0 SITEPROP SLICE_X38Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y147 RPM_X 105 SITEPROP SLICE_X38Y147 RPM_Y 294 SITEPROP SLICE_X38Y147 SITE_PIPS SITEPROP SLICE_X38Y147 SITE_TYPE SLICEM SITEPROP SLICE_X38Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y148 CLASS site SITEPROP SLICE_X38Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y148 IS_BONDED 0 SITEPROP SLICE_X38Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y148 IS_PAD 0 SITEPROP SLICE_X38Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y148 IS_RESERVED 0 SITEPROP SLICE_X38Y148 IS_TEST 0 SITEPROP SLICE_X38Y148 IS_USED 0 SITEPROP SLICE_X38Y148 MANUAL_ROUTING SITEPROP SLICE_X38Y148 NAME SLICE_X38Y148 SITEPROP SLICE_X38Y148 NUM_ARCS 153 SITEPROP SLICE_X38Y148 NUM_BELS 32 SITEPROP SLICE_X38Y148 NUM_INPUTS 37 SITEPROP SLICE_X38Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y148 NUM_PINS 50 SITEPROP SLICE_X38Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y148 PROHIBIT 0 SITEPROP SLICE_X38Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y148 RPM_X 105 SITEPROP SLICE_X38Y148 RPM_Y 296 SITEPROP SLICE_X38Y148 SITE_PIPS SITEPROP SLICE_X38Y148 SITE_TYPE SLICEM SITEPROP SLICE_X38Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X38Y149 CLASS site SITEPROP SLICE_X38Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X38Y149 IS_BONDED 0 SITEPROP SLICE_X38Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X38Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y149 IS_PAD 0 SITEPROP SLICE_X38Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X38Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X38Y149 IS_RESERVED 0 SITEPROP SLICE_X38Y149 IS_TEST 0 SITEPROP SLICE_X38Y149 IS_USED 0 SITEPROP SLICE_X38Y149 MANUAL_ROUTING SITEPROP SLICE_X38Y149 NAME SLICE_X38Y149 SITEPROP SLICE_X38Y149 NUM_ARCS 153 SITEPROP SLICE_X38Y149 NUM_BELS 32 SITEPROP SLICE_X38Y149 NUM_INPUTS 37 SITEPROP SLICE_X38Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X38Y149 NUM_PINS 50 SITEPROP SLICE_X38Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X38Y149 PROHIBIT 0 SITEPROP SLICE_X38Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X38Y149 RPM_X 105 SITEPROP SLICE_X38Y149 RPM_Y 298 SITEPROP SLICE_X38Y149 SITE_PIPS SITEPROP SLICE_X38Y149 SITE_TYPE SLICEM SITEPROP SLICE_X39Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y0 CLASS site SITEPROP SLICE_X39Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y0 IS_BONDED 0 SITEPROP SLICE_X39Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y0 IS_PAD 0 SITEPROP SLICE_X39Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y0 IS_RESERVED 0 SITEPROP SLICE_X39Y0 IS_TEST 0 SITEPROP SLICE_X39Y0 IS_USED 0 SITEPROP SLICE_X39Y0 MANUAL_ROUTING SITEPROP SLICE_X39Y0 NAME SLICE_X39Y0 SITEPROP SLICE_X39Y0 NUM_ARCS 138 SITEPROP SLICE_X39Y0 NUM_BELS 32 SITEPROP SLICE_X39Y0 NUM_INPUTS 32 SITEPROP SLICE_X39Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y0 NUM_PINS 45 SITEPROP SLICE_X39Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y0 PROHIBIT 0 SITEPROP SLICE_X39Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y0 RPM_X 107 SITEPROP SLICE_X39Y0 RPM_Y 0 SITEPROP SLICE_X39Y0 SITE_PIPS SITEPROP SLICE_X39Y0 SITE_TYPE SLICEL SITEPROP SLICE_X39Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y1 CLASS site SITEPROP SLICE_X39Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y1 IS_BONDED 0 SITEPROP SLICE_X39Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y1 IS_PAD 0 SITEPROP SLICE_X39Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y1 IS_RESERVED 0 SITEPROP SLICE_X39Y1 IS_TEST 0 SITEPROP SLICE_X39Y1 IS_USED 0 SITEPROP SLICE_X39Y1 MANUAL_ROUTING SITEPROP SLICE_X39Y1 NAME SLICE_X39Y1 SITEPROP SLICE_X39Y1 NUM_ARCS 138 SITEPROP SLICE_X39Y1 NUM_BELS 32 SITEPROP SLICE_X39Y1 NUM_INPUTS 32 SITEPROP SLICE_X39Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y1 NUM_PINS 45 SITEPROP SLICE_X39Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y1 PROHIBIT 0 SITEPROP SLICE_X39Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y1 RPM_X 107 SITEPROP SLICE_X39Y1 RPM_Y 2 SITEPROP SLICE_X39Y1 SITE_PIPS SITEPROP SLICE_X39Y1 SITE_TYPE SLICEL SITEPROP SLICE_X39Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y2 CLASS site SITEPROP SLICE_X39Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y2 IS_BONDED 0 SITEPROP SLICE_X39Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y2 IS_PAD 0 SITEPROP SLICE_X39Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y2 IS_RESERVED 0 SITEPROP SLICE_X39Y2 IS_TEST 0 SITEPROP SLICE_X39Y2 IS_USED 0 SITEPROP SLICE_X39Y2 MANUAL_ROUTING SITEPROP SLICE_X39Y2 NAME SLICE_X39Y2 SITEPROP SLICE_X39Y2 NUM_ARCS 138 SITEPROP SLICE_X39Y2 NUM_BELS 32 SITEPROP SLICE_X39Y2 NUM_INPUTS 32 SITEPROP SLICE_X39Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y2 NUM_PINS 45 SITEPROP SLICE_X39Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y2 PROHIBIT 0 SITEPROP SLICE_X39Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y2 RPM_X 107 SITEPROP SLICE_X39Y2 RPM_Y 4 SITEPROP SLICE_X39Y2 SITE_PIPS SITEPROP SLICE_X39Y2 SITE_TYPE SLICEL SITEPROP SLICE_X39Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y3 CLASS site SITEPROP SLICE_X39Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y3 IS_BONDED 0 SITEPROP SLICE_X39Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y3 IS_PAD 0 SITEPROP SLICE_X39Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y3 IS_RESERVED 0 SITEPROP SLICE_X39Y3 IS_TEST 0 SITEPROP SLICE_X39Y3 IS_USED 0 SITEPROP SLICE_X39Y3 MANUAL_ROUTING SITEPROP SLICE_X39Y3 NAME SLICE_X39Y3 SITEPROP SLICE_X39Y3 NUM_ARCS 138 SITEPROP SLICE_X39Y3 NUM_BELS 32 SITEPROP SLICE_X39Y3 NUM_INPUTS 32 SITEPROP SLICE_X39Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y3 NUM_PINS 45 SITEPROP SLICE_X39Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y3 PROHIBIT 0 SITEPROP SLICE_X39Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y3 RPM_X 107 SITEPROP SLICE_X39Y3 RPM_Y 6 SITEPROP SLICE_X39Y3 SITE_PIPS SITEPROP SLICE_X39Y3 SITE_TYPE SLICEL SITEPROP SLICE_X39Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y4 CLASS site SITEPROP SLICE_X39Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y4 IS_BONDED 0 SITEPROP SLICE_X39Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y4 IS_PAD 0 SITEPROP SLICE_X39Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y4 IS_RESERVED 0 SITEPROP SLICE_X39Y4 IS_TEST 0 SITEPROP SLICE_X39Y4 IS_USED 0 SITEPROP SLICE_X39Y4 MANUAL_ROUTING SITEPROP SLICE_X39Y4 NAME SLICE_X39Y4 SITEPROP SLICE_X39Y4 NUM_ARCS 138 SITEPROP SLICE_X39Y4 NUM_BELS 32 SITEPROP SLICE_X39Y4 NUM_INPUTS 32 SITEPROP SLICE_X39Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y4 NUM_PINS 45 SITEPROP SLICE_X39Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y4 PROHIBIT 0 SITEPROP SLICE_X39Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y4 RPM_X 107 SITEPROP SLICE_X39Y4 RPM_Y 8 SITEPROP SLICE_X39Y4 SITE_PIPS SITEPROP SLICE_X39Y4 SITE_TYPE SLICEL SITEPROP SLICE_X39Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y5 CLASS site SITEPROP SLICE_X39Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y5 IS_BONDED 0 SITEPROP SLICE_X39Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y5 IS_PAD 0 SITEPROP SLICE_X39Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y5 IS_RESERVED 0 SITEPROP SLICE_X39Y5 IS_TEST 0 SITEPROP SLICE_X39Y5 IS_USED 0 SITEPROP SLICE_X39Y5 MANUAL_ROUTING SITEPROP SLICE_X39Y5 NAME SLICE_X39Y5 SITEPROP SLICE_X39Y5 NUM_ARCS 138 SITEPROP SLICE_X39Y5 NUM_BELS 32 SITEPROP SLICE_X39Y5 NUM_INPUTS 32 SITEPROP SLICE_X39Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y5 NUM_PINS 45 SITEPROP SLICE_X39Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y5 PROHIBIT 0 SITEPROP SLICE_X39Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y5 RPM_X 107 SITEPROP SLICE_X39Y5 RPM_Y 10 SITEPROP SLICE_X39Y5 SITE_PIPS SITEPROP SLICE_X39Y5 SITE_TYPE SLICEL SITEPROP SLICE_X39Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y6 CLASS site SITEPROP SLICE_X39Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y6 IS_BONDED 0 SITEPROP SLICE_X39Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y6 IS_PAD 0 SITEPROP SLICE_X39Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y6 IS_RESERVED 0 SITEPROP SLICE_X39Y6 IS_TEST 0 SITEPROP SLICE_X39Y6 IS_USED 0 SITEPROP SLICE_X39Y6 MANUAL_ROUTING SITEPROP SLICE_X39Y6 NAME SLICE_X39Y6 SITEPROP SLICE_X39Y6 NUM_ARCS 138 SITEPROP SLICE_X39Y6 NUM_BELS 32 SITEPROP SLICE_X39Y6 NUM_INPUTS 32 SITEPROP SLICE_X39Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y6 NUM_PINS 45 SITEPROP SLICE_X39Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y6 PROHIBIT 0 SITEPROP SLICE_X39Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y6 RPM_X 107 SITEPROP SLICE_X39Y6 RPM_Y 12 SITEPROP SLICE_X39Y6 SITE_PIPS SITEPROP SLICE_X39Y6 SITE_TYPE SLICEL SITEPROP SLICE_X39Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y7 CLASS site SITEPROP SLICE_X39Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y7 IS_BONDED 0 SITEPROP SLICE_X39Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y7 IS_PAD 0 SITEPROP SLICE_X39Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y7 IS_RESERVED 0 SITEPROP SLICE_X39Y7 IS_TEST 0 SITEPROP SLICE_X39Y7 IS_USED 0 SITEPROP SLICE_X39Y7 MANUAL_ROUTING SITEPROP SLICE_X39Y7 NAME SLICE_X39Y7 SITEPROP SLICE_X39Y7 NUM_ARCS 138 SITEPROP SLICE_X39Y7 NUM_BELS 32 SITEPROP SLICE_X39Y7 NUM_INPUTS 32 SITEPROP SLICE_X39Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y7 NUM_PINS 45 SITEPROP SLICE_X39Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y7 PROHIBIT 0 SITEPROP SLICE_X39Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y7 RPM_X 107 SITEPROP SLICE_X39Y7 RPM_Y 14 SITEPROP SLICE_X39Y7 SITE_PIPS SITEPROP SLICE_X39Y7 SITE_TYPE SLICEL SITEPROP SLICE_X39Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y8 CLASS site SITEPROP SLICE_X39Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y8 IS_BONDED 0 SITEPROP SLICE_X39Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y8 IS_PAD 0 SITEPROP SLICE_X39Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y8 IS_RESERVED 0 SITEPROP SLICE_X39Y8 IS_TEST 0 SITEPROP SLICE_X39Y8 IS_USED 0 SITEPROP SLICE_X39Y8 MANUAL_ROUTING SITEPROP SLICE_X39Y8 NAME SLICE_X39Y8 SITEPROP SLICE_X39Y8 NUM_ARCS 138 SITEPROP SLICE_X39Y8 NUM_BELS 32 SITEPROP SLICE_X39Y8 NUM_INPUTS 32 SITEPROP SLICE_X39Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y8 NUM_PINS 45 SITEPROP SLICE_X39Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y8 PROHIBIT 0 SITEPROP SLICE_X39Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y8 RPM_X 107 SITEPROP SLICE_X39Y8 RPM_Y 16 SITEPROP SLICE_X39Y8 SITE_PIPS SITEPROP SLICE_X39Y8 SITE_TYPE SLICEL SITEPROP SLICE_X39Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y9 CLASS site SITEPROP SLICE_X39Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y9 IS_BONDED 0 SITEPROP SLICE_X39Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y9 IS_PAD 0 SITEPROP SLICE_X39Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y9 IS_RESERVED 0 SITEPROP SLICE_X39Y9 IS_TEST 0 SITEPROP SLICE_X39Y9 IS_USED 0 SITEPROP SLICE_X39Y9 MANUAL_ROUTING SITEPROP SLICE_X39Y9 NAME SLICE_X39Y9 SITEPROP SLICE_X39Y9 NUM_ARCS 138 SITEPROP SLICE_X39Y9 NUM_BELS 32 SITEPROP SLICE_X39Y9 NUM_INPUTS 32 SITEPROP SLICE_X39Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y9 NUM_PINS 45 SITEPROP SLICE_X39Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y9 PROHIBIT 0 SITEPROP SLICE_X39Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y9 RPM_X 107 SITEPROP SLICE_X39Y9 RPM_Y 18 SITEPROP SLICE_X39Y9 SITE_PIPS SITEPROP SLICE_X39Y9 SITE_TYPE SLICEL SITEPROP SLICE_X39Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y10 CLASS site SITEPROP SLICE_X39Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y10 IS_BONDED 0 SITEPROP SLICE_X39Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y10 IS_PAD 0 SITEPROP SLICE_X39Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y10 IS_RESERVED 0 SITEPROP SLICE_X39Y10 IS_TEST 0 SITEPROP SLICE_X39Y10 IS_USED 0 SITEPROP SLICE_X39Y10 MANUAL_ROUTING SITEPROP SLICE_X39Y10 NAME SLICE_X39Y10 SITEPROP SLICE_X39Y10 NUM_ARCS 138 SITEPROP SLICE_X39Y10 NUM_BELS 32 SITEPROP SLICE_X39Y10 NUM_INPUTS 32 SITEPROP SLICE_X39Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y10 NUM_PINS 45 SITEPROP SLICE_X39Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y10 PROHIBIT 0 SITEPROP SLICE_X39Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y10 RPM_X 107 SITEPROP SLICE_X39Y10 RPM_Y 20 SITEPROP SLICE_X39Y10 SITE_PIPS SITEPROP SLICE_X39Y10 SITE_TYPE SLICEL SITEPROP SLICE_X39Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y11 CLASS site SITEPROP SLICE_X39Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y11 IS_BONDED 0 SITEPROP SLICE_X39Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y11 IS_PAD 0 SITEPROP SLICE_X39Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y11 IS_RESERVED 0 SITEPROP SLICE_X39Y11 IS_TEST 0 SITEPROP SLICE_X39Y11 IS_USED 0 SITEPROP SLICE_X39Y11 MANUAL_ROUTING SITEPROP SLICE_X39Y11 NAME SLICE_X39Y11 SITEPROP SLICE_X39Y11 NUM_ARCS 138 SITEPROP SLICE_X39Y11 NUM_BELS 32 SITEPROP SLICE_X39Y11 NUM_INPUTS 32 SITEPROP SLICE_X39Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y11 NUM_PINS 45 SITEPROP SLICE_X39Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y11 PROHIBIT 0 SITEPROP SLICE_X39Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y11 RPM_X 107 SITEPROP SLICE_X39Y11 RPM_Y 22 SITEPROP SLICE_X39Y11 SITE_PIPS SITEPROP SLICE_X39Y11 SITE_TYPE SLICEL SITEPROP SLICE_X39Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y12 CLASS site SITEPROP SLICE_X39Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y12 IS_BONDED 0 SITEPROP SLICE_X39Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y12 IS_PAD 0 SITEPROP SLICE_X39Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y12 IS_RESERVED 0 SITEPROP SLICE_X39Y12 IS_TEST 0 SITEPROP SLICE_X39Y12 IS_USED 0 SITEPROP SLICE_X39Y12 MANUAL_ROUTING SITEPROP SLICE_X39Y12 NAME SLICE_X39Y12 SITEPROP SLICE_X39Y12 NUM_ARCS 138 SITEPROP SLICE_X39Y12 NUM_BELS 32 SITEPROP SLICE_X39Y12 NUM_INPUTS 32 SITEPROP SLICE_X39Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y12 NUM_PINS 45 SITEPROP SLICE_X39Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y12 PROHIBIT 0 SITEPROP SLICE_X39Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y12 RPM_X 107 SITEPROP SLICE_X39Y12 RPM_Y 24 SITEPROP SLICE_X39Y12 SITE_PIPS SITEPROP SLICE_X39Y12 SITE_TYPE SLICEL SITEPROP SLICE_X39Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y13 CLASS site SITEPROP SLICE_X39Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y13 IS_BONDED 0 SITEPROP SLICE_X39Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y13 IS_PAD 0 SITEPROP SLICE_X39Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y13 IS_RESERVED 0 SITEPROP SLICE_X39Y13 IS_TEST 0 SITEPROP SLICE_X39Y13 IS_USED 0 SITEPROP SLICE_X39Y13 MANUAL_ROUTING SITEPROP SLICE_X39Y13 NAME SLICE_X39Y13 SITEPROP SLICE_X39Y13 NUM_ARCS 138 SITEPROP SLICE_X39Y13 NUM_BELS 32 SITEPROP SLICE_X39Y13 NUM_INPUTS 32 SITEPROP SLICE_X39Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y13 NUM_PINS 45 SITEPROP SLICE_X39Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y13 PROHIBIT 0 SITEPROP SLICE_X39Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y13 RPM_X 107 SITEPROP SLICE_X39Y13 RPM_Y 26 SITEPROP SLICE_X39Y13 SITE_PIPS SITEPROP SLICE_X39Y13 SITE_TYPE SLICEL SITEPROP SLICE_X39Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y14 CLASS site SITEPROP SLICE_X39Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y14 IS_BONDED 0 SITEPROP SLICE_X39Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y14 IS_PAD 0 SITEPROP SLICE_X39Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y14 IS_RESERVED 0 SITEPROP SLICE_X39Y14 IS_TEST 0 SITEPROP SLICE_X39Y14 IS_USED 0 SITEPROP SLICE_X39Y14 MANUAL_ROUTING SITEPROP SLICE_X39Y14 NAME SLICE_X39Y14 SITEPROP SLICE_X39Y14 NUM_ARCS 138 SITEPROP SLICE_X39Y14 NUM_BELS 32 SITEPROP SLICE_X39Y14 NUM_INPUTS 32 SITEPROP SLICE_X39Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y14 NUM_PINS 45 SITEPROP SLICE_X39Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y14 PROHIBIT 0 SITEPROP SLICE_X39Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y14 RPM_X 107 SITEPROP SLICE_X39Y14 RPM_Y 28 SITEPROP SLICE_X39Y14 SITE_PIPS SITEPROP SLICE_X39Y14 SITE_TYPE SLICEL SITEPROP SLICE_X39Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y15 CLASS site SITEPROP SLICE_X39Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y15 IS_BONDED 0 SITEPROP SLICE_X39Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y15 IS_PAD 0 SITEPROP SLICE_X39Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y15 IS_RESERVED 0 SITEPROP SLICE_X39Y15 IS_TEST 0 SITEPROP SLICE_X39Y15 IS_USED 0 SITEPROP SLICE_X39Y15 MANUAL_ROUTING SITEPROP SLICE_X39Y15 NAME SLICE_X39Y15 SITEPROP SLICE_X39Y15 NUM_ARCS 138 SITEPROP SLICE_X39Y15 NUM_BELS 32 SITEPROP SLICE_X39Y15 NUM_INPUTS 32 SITEPROP SLICE_X39Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y15 NUM_PINS 45 SITEPROP SLICE_X39Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y15 PROHIBIT 0 SITEPROP SLICE_X39Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y15 RPM_X 107 SITEPROP SLICE_X39Y15 RPM_Y 30 SITEPROP SLICE_X39Y15 SITE_PIPS SITEPROP SLICE_X39Y15 SITE_TYPE SLICEL SITEPROP SLICE_X39Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y16 CLASS site SITEPROP SLICE_X39Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y16 IS_BONDED 0 SITEPROP SLICE_X39Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y16 IS_PAD 0 SITEPROP SLICE_X39Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y16 IS_RESERVED 0 SITEPROP SLICE_X39Y16 IS_TEST 0 SITEPROP SLICE_X39Y16 IS_USED 0 SITEPROP SLICE_X39Y16 MANUAL_ROUTING SITEPROP SLICE_X39Y16 NAME SLICE_X39Y16 SITEPROP SLICE_X39Y16 NUM_ARCS 138 SITEPROP SLICE_X39Y16 NUM_BELS 32 SITEPROP SLICE_X39Y16 NUM_INPUTS 32 SITEPROP SLICE_X39Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y16 NUM_PINS 45 SITEPROP SLICE_X39Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y16 PROHIBIT 0 SITEPROP SLICE_X39Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y16 RPM_X 107 SITEPROP SLICE_X39Y16 RPM_Y 32 SITEPROP SLICE_X39Y16 SITE_PIPS SITEPROP SLICE_X39Y16 SITE_TYPE SLICEL SITEPROP SLICE_X39Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y17 CLASS site SITEPROP SLICE_X39Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y17 IS_BONDED 0 SITEPROP SLICE_X39Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y17 IS_PAD 0 SITEPROP SLICE_X39Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y17 IS_RESERVED 0 SITEPROP SLICE_X39Y17 IS_TEST 0 SITEPROP SLICE_X39Y17 IS_USED 0 SITEPROP SLICE_X39Y17 MANUAL_ROUTING SITEPROP SLICE_X39Y17 NAME SLICE_X39Y17 SITEPROP SLICE_X39Y17 NUM_ARCS 138 SITEPROP SLICE_X39Y17 NUM_BELS 32 SITEPROP SLICE_X39Y17 NUM_INPUTS 32 SITEPROP SLICE_X39Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y17 NUM_PINS 45 SITEPROP SLICE_X39Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y17 PROHIBIT 0 SITEPROP SLICE_X39Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y17 RPM_X 107 SITEPROP SLICE_X39Y17 RPM_Y 34 SITEPROP SLICE_X39Y17 SITE_PIPS SITEPROP SLICE_X39Y17 SITE_TYPE SLICEL SITEPROP SLICE_X39Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y18 CLASS site SITEPROP SLICE_X39Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y18 IS_BONDED 0 SITEPROP SLICE_X39Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y18 IS_PAD 0 SITEPROP SLICE_X39Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y18 IS_RESERVED 0 SITEPROP SLICE_X39Y18 IS_TEST 0 SITEPROP SLICE_X39Y18 IS_USED 0 SITEPROP SLICE_X39Y18 MANUAL_ROUTING SITEPROP SLICE_X39Y18 NAME SLICE_X39Y18 SITEPROP SLICE_X39Y18 NUM_ARCS 138 SITEPROP SLICE_X39Y18 NUM_BELS 32 SITEPROP SLICE_X39Y18 NUM_INPUTS 32 SITEPROP SLICE_X39Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y18 NUM_PINS 45 SITEPROP SLICE_X39Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y18 PROHIBIT 0 SITEPROP SLICE_X39Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y18 RPM_X 107 SITEPROP SLICE_X39Y18 RPM_Y 36 SITEPROP SLICE_X39Y18 SITE_PIPS SITEPROP SLICE_X39Y18 SITE_TYPE SLICEL SITEPROP SLICE_X39Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y19 CLASS site SITEPROP SLICE_X39Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y19 IS_BONDED 0 SITEPROP SLICE_X39Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y19 IS_PAD 0 SITEPROP SLICE_X39Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y19 IS_RESERVED 0 SITEPROP SLICE_X39Y19 IS_TEST 0 SITEPROP SLICE_X39Y19 IS_USED 0 SITEPROP SLICE_X39Y19 MANUAL_ROUTING SITEPROP SLICE_X39Y19 NAME SLICE_X39Y19 SITEPROP SLICE_X39Y19 NUM_ARCS 138 SITEPROP SLICE_X39Y19 NUM_BELS 32 SITEPROP SLICE_X39Y19 NUM_INPUTS 32 SITEPROP SLICE_X39Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y19 NUM_PINS 45 SITEPROP SLICE_X39Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y19 PROHIBIT 0 SITEPROP SLICE_X39Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y19 RPM_X 107 SITEPROP SLICE_X39Y19 RPM_Y 38 SITEPROP SLICE_X39Y19 SITE_PIPS SITEPROP SLICE_X39Y19 SITE_TYPE SLICEL SITEPROP SLICE_X39Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y20 CLASS site SITEPROP SLICE_X39Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y20 IS_BONDED 0 SITEPROP SLICE_X39Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y20 IS_PAD 0 SITEPROP SLICE_X39Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y20 IS_RESERVED 0 SITEPROP SLICE_X39Y20 IS_TEST 0 SITEPROP SLICE_X39Y20 IS_USED 0 SITEPROP SLICE_X39Y20 MANUAL_ROUTING SITEPROP SLICE_X39Y20 NAME SLICE_X39Y20 SITEPROP SLICE_X39Y20 NUM_ARCS 138 SITEPROP SLICE_X39Y20 NUM_BELS 32 SITEPROP SLICE_X39Y20 NUM_INPUTS 32 SITEPROP SLICE_X39Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y20 NUM_PINS 45 SITEPROP SLICE_X39Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y20 PROHIBIT 0 SITEPROP SLICE_X39Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y20 RPM_X 107 SITEPROP SLICE_X39Y20 RPM_Y 40 SITEPROP SLICE_X39Y20 SITE_PIPS SITEPROP SLICE_X39Y20 SITE_TYPE SLICEL SITEPROP SLICE_X39Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y21 CLASS site SITEPROP SLICE_X39Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y21 IS_BONDED 0 SITEPROP SLICE_X39Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y21 IS_PAD 0 SITEPROP SLICE_X39Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y21 IS_RESERVED 0 SITEPROP SLICE_X39Y21 IS_TEST 0 SITEPROP SLICE_X39Y21 IS_USED 0 SITEPROP SLICE_X39Y21 MANUAL_ROUTING SITEPROP SLICE_X39Y21 NAME SLICE_X39Y21 SITEPROP SLICE_X39Y21 NUM_ARCS 138 SITEPROP SLICE_X39Y21 NUM_BELS 32 SITEPROP SLICE_X39Y21 NUM_INPUTS 32 SITEPROP SLICE_X39Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y21 NUM_PINS 45 SITEPROP SLICE_X39Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y21 PROHIBIT 0 SITEPROP SLICE_X39Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y21 RPM_X 107 SITEPROP SLICE_X39Y21 RPM_Y 42 SITEPROP SLICE_X39Y21 SITE_PIPS SITEPROP SLICE_X39Y21 SITE_TYPE SLICEL SITEPROP SLICE_X39Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y22 CLASS site SITEPROP SLICE_X39Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y22 IS_BONDED 0 SITEPROP SLICE_X39Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y22 IS_PAD 0 SITEPROP SLICE_X39Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y22 IS_RESERVED 0 SITEPROP SLICE_X39Y22 IS_TEST 0 SITEPROP SLICE_X39Y22 IS_USED 0 SITEPROP SLICE_X39Y22 MANUAL_ROUTING SITEPROP SLICE_X39Y22 NAME SLICE_X39Y22 SITEPROP SLICE_X39Y22 NUM_ARCS 138 SITEPROP SLICE_X39Y22 NUM_BELS 32 SITEPROP SLICE_X39Y22 NUM_INPUTS 32 SITEPROP SLICE_X39Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y22 NUM_PINS 45 SITEPROP SLICE_X39Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y22 PROHIBIT 0 SITEPROP SLICE_X39Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y22 RPM_X 107 SITEPROP SLICE_X39Y22 RPM_Y 44 SITEPROP SLICE_X39Y22 SITE_PIPS SITEPROP SLICE_X39Y22 SITE_TYPE SLICEL SITEPROP SLICE_X39Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y23 CLASS site SITEPROP SLICE_X39Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y23 IS_BONDED 0 SITEPROP SLICE_X39Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y23 IS_PAD 0 SITEPROP SLICE_X39Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y23 IS_RESERVED 0 SITEPROP SLICE_X39Y23 IS_TEST 0 SITEPROP SLICE_X39Y23 IS_USED 0 SITEPROP SLICE_X39Y23 MANUAL_ROUTING SITEPROP SLICE_X39Y23 NAME SLICE_X39Y23 SITEPROP SLICE_X39Y23 NUM_ARCS 138 SITEPROP SLICE_X39Y23 NUM_BELS 32 SITEPROP SLICE_X39Y23 NUM_INPUTS 32 SITEPROP SLICE_X39Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y23 NUM_PINS 45 SITEPROP SLICE_X39Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y23 PROHIBIT 0 SITEPROP SLICE_X39Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y23 RPM_X 107 SITEPROP SLICE_X39Y23 RPM_Y 46 SITEPROP SLICE_X39Y23 SITE_PIPS SITEPROP SLICE_X39Y23 SITE_TYPE SLICEL SITEPROP SLICE_X39Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y24 CLASS site SITEPROP SLICE_X39Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y24 IS_BONDED 0 SITEPROP SLICE_X39Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y24 IS_PAD 0 SITEPROP SLICE_X39Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y24 IS_RESERVED 0 SITEPROP SLICE_X39Y24 IS_TEST 0 SITEPROP SLICE_X39Y24 IS_USED 0 SITEPROP SLICE_X39Y24 MANUAL_ROUTING SITEPROP SLICE_X39Y24 NAME SLICE_X39Y24 SITEPROP SLICE_X39Y24 NUM_ARCS 138 SITEPROP SLICE_X39Y24 NUM_BELS 32 SITEPROP SLICE_X39Y24 NUM_INPUTS 32 SITEPROP SLICE_X39Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y24 NUM_PINS 45 SITEPROP SLICE_X39Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y24 PROHIBIT 0 SITEPROP SLICE_X39Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y24 RPM_X 107 SITEPROP SLICE_X39Y24 RPM_Y 48 SITEPROP SLICE_X39Y24 SITE_PIPS SITEPROP SLICE_X39Y24 SITE_TYPE SLICEL SITEPROP SLICE_X39Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y25 CLASS site SITEPROP SLICE_X39Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y25 IS_BONDED 0 SITEPROP SLICE_X39Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y25 IS_PAD 0 SITEPROP SLICE_X39Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y25 IS_RESERVED 0 SITEPROP SLICE_X39Y25 IS_TEST 0 SITEPROP SLICE_X39Y25 IS_USED 0 SITEPROP SLICE_X39Y25 MANUAL_ROUTING SITEPROP SLICE_X39Y25 NAME SLICE_X39Y25 SITEPROP SLICE_X39Y25 NUM_ARCS 138 SITEPROP SLICE_X39Y25 NUM_BELS 32 SITEPROP SLICE_X39Y25 NUM_INPUTS 32 SITEPROP SLICE_X39Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y25 NUM_PINS 45 SITEPROP SLICE_X39Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y25 PROHIBIT 0 SITEPROP SLICE_X39Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y25 RPM_X 107 SITEPROP SLICE_X39Y25 RPM_Y 50 SITEPROP SLICE_X39Y25 SITE_PIPS SITEPROP SLICE_X39Y25 SITE_TYPE SLICEL SITEPROP SLICE_X39Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y26 CLASS site SITEPROP SLICE_X39Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y26 IS_BONDED 0 SITEPROP SLICE_X39Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y26 IS_PAD 0 SITEPROP SLICE_X39Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y26 IS_RESERVED 0 SITEPROP SLICE_X39Y26 IS_TEST 0 SITEPROP SLICE_X39Y26 IS_USED 0 SITEPROP SLICE_X39Y26 MANUAL_ROUTING SITEPROP SLICE_X39Y26 NAME SLICE_X39Y26 SITEPROP SLICE_X39Y26 NUM_ARCS 138 SITEPROP SLICE_X39Y26 NUM_BELS 32 SITEPROP SLICE_X39Y26 NUM_INPUTS 32 SITEPROP SLICE_X39Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y26 NUM_PINS 45 SITEPROP SLICE_X39Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y26 PROHIBIT 0 SITEPROP SLICE_X39Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y26 RPM_X 107 SITEPROP SLICE_X39Y26 RPM_Y 52 SITEPROP SLICE_X39Y26 SITE_PIPS SITEPROP SLICE_X39Y26 SITE_TYPE SLICEL SITEPROP SLICE_X39Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y27 CLASS site SITEPROP SLICE_X39Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y27 IS_BONDED 0 SITEPROP SLICE_X39Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y27 IS_PAD 0 SITEPROP SLICE_X39Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y27 IS_RESERVED 0 SITEPROP SLICE_X39Y27 IS_TEST 0 SITEPROP SLICE_X39Y27 IS_USED 0 SITEPROP SLICE_X39Y27 MANUAL_ROUTING SITEPROP SLICE_X39Y27 NAME SLICE_X39Y27 SITEPROP SLICE_X39Y27 NUM_ARCS 138 SITEPROP SLICE_X39Y27 NUM_BELS 32 SITEPROP SLICE_X39Y27 NUM_INPUTS 32 SITEPROP SLICE_X39Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y27 NUM_PINS 45 SITEPROP SLICE_X39Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y27 PROHIBIT 0 SITEPROP SLICE_X39Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y27 RPM_X 107 SITEPROP SLICE_X39Y27 RPM_Y 54 SITEPROP SLICE_X39Y27 SITE_PIPS SITEPROP SLICE_X39Y27 SITE_TYPE SLICEL SITEPROP SLICE_X39Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y28 CLASS site SITEPROP SLICE_X39Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y28 IS_BONDED 0 SITEPROP SLICE_X39Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y28 IS_PAD 0 SITEPROP SLICE_X39Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y28 IS_RESERVED 0 SITEPROP SLICE_X39Y28 IS_TEST 0 SITEPROP SLICE_X39Y28 IS_USED 0 SITEPROP SLICE_X39Y28 MANUAL_ROUTING SITEPROP SLICE_X39Y28 NAME SLICE_X39Y28 SITEPROP SLICE_X39Y28 NUM_ARCS 138 SITEPROP SLICE_X39Y28 NUM_BELS 32 SITEPROP SLICE_X39Y28 NUM_INPUTS 32 SITEPROP SLICE_X39Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y28 NUM_PINS 45 SITEPROP SLICE_X39Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y28 PROHIBIT 0 SITEPROP SLICE_X39Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y28 RPM_X 107 SITEPROP SLICE_X39Y28 RPM_Y 56 SITEPROP SLICE_X39Y28 SITE_PIPS SITEPROP SLICE_X39Y28 SITE_TYPE SLICEL SITEPROP SLICE_X39Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y29 CLASS site SITEPROP SLICE_X39Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y29 IS_BONDED 0 SITEPROP SLICE_X39Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y29 IS_PAD 0 SITEPROP SLICE_X39Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y29 IS_RESERVED 0 SITEPROP SLICE_X39Y29 IS_TEST 0 SITEPROP SLICE_X39Y29 IS_USED 0 SITEPROP SLICE_X39Y29 MANUAL_ROUTING SITEPROP SLICE_X39Y29 NAME SLICE_X39Y29 SITEPROP SLICE_X39Y29 NUM_ARCS 138 SITEPROP SLICE_X39Y29 NUM_BELS 32 SITEPROP SLICE_X39Y29 NUM_INPUTS 32 SITEPROP SLICE_X39Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y29 NUM_PINS 45 SITEPROP SLICE_X39Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y29 PROHIBIT 0 SITEPROP SLICE_X39Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y29 RPM_X 107 SITEPROP SLICE_X39Y29 RPM_Y 58 SITEPROP SLICE_X39Y29 SITE_PIPS SITEPROP SLICE_X39Y29 SITE_TYPE SLICEL SITEPROP SLICE_X39Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y30 CLASS site SITEPROP SLICE_X39Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y30 IS_BONDED 0 SITEPROP SLICE_X39Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y30 IS_PAD 0 SITEPROP SLICE_X39Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y30 IS_RESERVED 0 SITEPROP SLICE_X39Y30 IS_TEST 0 SITEPROP SLICE_X39Y30 IS_USED 0 SITEPROP SLICE_X39Y30 MANUAL_ROUTING SITEPROP SLICE_X39Y30 NAME SLICE_X39Y30 SITEPROP SLICE_X39Y30 NUM_ARCS 138 SITEPROP SLICE_X39Y30 NUM_BELS 32 SITEPROP SLICE_X39Y30 NUM_INPUTS 32 SITEPROP SLICE_X39Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y30 NUM_PINS 45 SITEPROP SLICE_X39Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y30 PROHIBIT 0 SITEPROP SLICE_X39Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y30 RPM_X 107 SITEPROP SLICE_X39Y30 RPM_Y 60 SITEPROP SLICE_X39Y30 SITE_PIPS SITEPROP SLICE_X39Y30 SITE_TYPE SLICEL SITEPROP SLICE_X39Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y31 CLASS site SITEPROP SLICE_X39Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y31 IS_BONDED 0 SITEPROP SLICE_X39Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y31 IS_PAD 0 SITEPROP SLICE_X39Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y31 IS_RESERVED 0 SITEPROP SLICE_X39Y31 IS_TEST 0 SITEPROP SLICE_X39Y31 IS_USED 0 SITEPROP SLICE_X39Y31 MANUAL_ROUTING SITEPROP SLICE_X39Y31 NAME SLICE_X39Y31 SITEPROP SLICE_X39Y31 NUM_ARCS 138 SITEPROP SLICE_X39Y31 NUM_BELS 32 SITEPROP SLICE_X39Y31 NUM_INPUTS 32 SITEPROP SLICE_X39Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y31 NUM_PINS 45 SITEPROP SLICE_X39Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y31 PROHIBIT 0 SITEPROP SLICE_X39Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y31 RPM_X 107 SITEPROP SLICE_X39Y31 RPM_Y 62 SITEPROP SLICE_X39Y31 SITE_PIPS SITEPROP SLICE_X39Y31 SITE_TYPE SLICEL SITEPROP SLICE_X39Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y32 CLASS site SITEPROP SLICE_X39Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y32 IS_BONDED 0 SITEPROP SLICE_X39Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y32 IS_PAD 0 SITEPROP SLICE_X39Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y32 IS_RESERVED 0 SITEPROP SLICE_X39Y32 IS_TEST 0 SITEPROP SLICE_X39Y32 IS_USED 0 SITEPROP SLICE_X39Y32 MANUAL_ROUTING SITEPROP SLICE_X39Y32 NAME SLICE_X39Y32 SITEPROP SLICE_X39Y32 NUM_ARCS 138 SITEPROP SLICE_X39Y32 NUM_BELS 32 SITEPROP SLICE_X39Y32 NUM_INPUTS 32 SITEPROP SLICE_X39Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y32 NUM_PINS 45 SITEPROP SLICE_X39Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y32 PROHIBIT 0 SITEPROP SLICE_X39Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y32 RPM_X 107 SITEPROP SLICE_X39Y32 RPM_Y 64 SITEPROP SLICE_X39Y32 SITE_PIPS SITEPROP SLICE_X39Y32 SITE_TYPE SLICEL SITEPROP SLICE_X39Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y33 CLASS site SITEPROP SLICE_X39Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y33 IS_BONDED 0 SITEPROP SLICE_X39Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y33 IS_PAD 0 SITEPROP SLICE_X39Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y33 IS_RESERVED 0 SITEPROP SLICE_X39Y33 IS_TEST 0 SITEPROP SLICE_X39Y33 IS_USED 0 SITEPROP SLICE_X39Y33 MANUAL_ROUTING SITEPROP SLICE_X39Y33 NAME SLICE_X39Y33 SITEPROP SLICE_X39Y33 NUM_ARCS 138 SITEPROP SLICE_X39Y33 NUM_BELS 32 SITEPROP SLICE_X39Y33 NUM_INPUTS 32 SITEPROP SLICE_X39Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y33 NUM_PINS 45 SITEPROP SLICE_X39Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y33 PROHIBIT 0 SITEPROP SLICE_X39Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y33 RPM_X 107 SITEPROP SLICE_X39Y33 RPM_Y 66 SITEPROP SLICE_X39Y33 SITE_PIPS SITEPROP SLICE_X39Y33 SITE_TYPE SLICEL SITEPROP SLICE_X39Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y34 CLASS site SITEPROP SLICE_X39Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y34 IS_BONDED 0 SITEPROP SLICE_X39Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y34 IS_PAD 0 SITEPROP SLICE_X39Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y34 IS_RESERVED 0 SITEPROP SLICE_X39Y34 IS_TEST 0 SITEPROP SLICE_X39Y34 IS_USED 0 SITEPROP SLICE_X39Y34 MANUAL_ROUTING SITEPROP SLICE_X39Y34 NAME SLICE_X39Y34 SITEPROP SLICE_X39Y34 NUM_ARCS 138 SITEPROP SLICE_X39Y34 NUM_BELS 32 SITEPROP SLICE_X39Y34 NUM_INPUTS 32 SITEPROP SLICE_X39Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y34 NUM_PINS 45 SITEPROP SLICE_X39Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y34 PROHIBIT 0 SITEPROP SLICE_X39Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y34 RPM_X 107 SITEPROP SLICE_X39Y34 RPM_Y 68 SITEPROP SLICE_X39Y34 SITE_PIPS SITEPROP SLICE_X39Y34 SITE_TYPE SLICEL SITEPROP SLICE_X39Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y35 CLASS site SITEPROP SLICE_X39Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y35 IS_BONDED 0 SITEPROP SLICE_X39Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y35 IS_PAD 0 SITEPROP SLICE_X39Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y35 IS_RESERVED 0 SITEPROP SLICE_X39Y35 IS_TEST 0 SITEPROP SLICE_X39Y35 IS_USED 0 SITEPROP SLICE_X39Y35 MANUAL_ROUTING SITEPROP SLICE_X39Y35 NAME SLICE_X39Y35 SITEPROP SLICE_X39Y35 NUM_ARCS 138 SITEPROP SLICE_X39Y35 NUM_BELS 32 SITEPROP SLICE_X39Y35 NUM_INPUTS 32 SITEPROP SLICE_X39Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y35 NUM_PINS 45 SITEPROP SLICE_X39Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y35 PROHIBIT 0 SITEPROP SLICE_X39Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y35 RPM_X 107 SITEPROP SLICE_X39Y35 RPM_Y 70 SITEPROP SLICE_X39Y35 SITE_PIPS SITEPROP SLICE_X39Y35 SITE_TYPE SLICEL SITEPROP SLICE_X39Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y36 CLASS site SITEPROP SLICE_X39Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y36 IS_BONDED 0 SITEPROP SLICE_X39Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y36 IS_PAD 0 SITEPROP SLICE_X39Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y36 IS_RESERVED 0 SITEPROP SLICE_X39Y36 IS_TEST 0 SITEPROP SLICE_X39Y36 IS_USED 0 SITEPROP SLICE_X39Y36 MANUAL_ROUTING SITEPROP SLICE_X39Y36 NAME SLICE_X39Y36 SITEPROP SLICE_X39Y36 NUM_ARCS 138 SITEPROP SLICE_X39Y36 NUM_BELS 32 SITEPROP SLICE_X39Y36 NUM_INPUTS 32 SITEPROP SLICE_X39Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y36 NUM_PINS 45 SITEPROP SLICE_X39Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y36 PROHIBIT 0 SITEPROP SLICE_X39Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y36 RPM_X 107 SITEPROP SLICE_X39Y36 RPM_Y 72 SITEPROP SLICE_X39Y36 SITE_PIPS SITEPROP SLICE_X39Y36 SITE_TYPE SLICEL SITEPROP SLICE_X39Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y37 CLASS site SITEPROP SLICE_X39Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y37 IS_BONDED 0 SITEPROP SLICE_X39Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y37 IS_PAD 0 SITEPROP SLICE_X39Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y37 IS_RESERVED 0 SITEPROP SLICE_X39Y37 IS_TEST 0 SITEPROP SLICE_X39Y37 IS_USED 0 SITEPROP SLICE_X39Y37 MANUAL_ROUTING SITEPROP SLICE_X39Y37 NAME SLICE_X39Y37 SITEPROP SLICE_X39Y37 NUM_ARCS 138 SITEPROP SLICE_X39Y37 NUM_BELS 32 SITEPROP SLICE_X39Y37 NUM_INPUTS 32 SITEPROP SLICE_X39Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y37 NUM_PINS 45 SITEPROP SLICE_X39Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y37 PROHIBIT 0 SITEPROP SLICE_X39Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y37 RPM_X 107 SITEPROP SLICE_X39Y37 RPM_Y 74 SITEPROP SLICE_X39Y37 SITE_PIPS SITEPROP SLICE_X39Y37 SITE_TYPE SLICEL SITEPROP SLICE_X39Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y38 CLASS site SITEPROP SLICE_X39Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y38 IS_BONDED 0 SITEPROP SLICE_X39Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y38 IS_PAD 0 SITEPROP SLICE_X39Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y38 IS_RESERVED 0 SITEPROP SLICE_X39Y38 IS_TEST 0 SITEPROP SLICE_X39Y38 IS_USED 0 SITEPROP SLICE_X39Y38 MANUAL_ROUTING SITEPROP SLICE_X39Y38 NAME SLICE_X39Y38 SITEPROP SLICE_X39Y38 NUM_ARCS 138 SITEPROP SLICE_X39Y38 NUM_BELS 32 SITEPROP SLICE_X39Y38 NUM_INPUTS 32 SITEPROP SLICE_X39Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y38 NUM_PINS 45 SITEPROP SLICE_X39Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y38 PROHIBIT 0 SITEPROP SLICE_X39Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y38 RPM_X 107 SITEPROP SLICE_X39Y38 RPM_Y 76 SITEPROP SLICE_X39Y38 SITE_PIPS SITEPROP SLICE_X39Y38 SITE_TYPE SLICEL SITEPROP SLICE_X39Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y39 CLASS site SITEPROP SLICE_X39Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y39 IS_BONDED 0 SITEPROP SLICE_X39Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y39 IS_PAD 0 SITEPROP SLICE_X39Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y39 IS_RESERVED 0 SITEPROP SLICE_X39Y39 IS_TEST 0 SITEPROP SLICE_X39Y39 IS_USED 0 SITEPROP SLICE_X39Y39 MANUAL_ROUTING SITEPROP SLICE_X39Y39 NAME SLICE_X39Y39 SITEPROP SLICE_X39Y39 NUM_ARCS 138 SITEPROP SLICE_X39Y39 NUM_BELS 32 SITEPROP SLICE_X39Y39 NUM_INPUTS 32 SITEPROP SLICE_X39Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y39 NUM_PINS 45 SITEPROP SLICE_X39Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y39 PROHIBIT 0 SITEPROP SLICE_X39Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y39 RPM_X 107 SITEPROP SLICE_X39Y39 RPM_Y 78 SITEPROP SLICE_X39Y39 SITE_PIPS SITEPROP SLICE_X39Y39 SITE_TYPE SLICEL SITEPROP SLICE_X39Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y40 CLASS site SITEPROP SLICE_X39Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y40 IS_BONDED 0 SITEPROP SLICE_X39Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y40 IS_PAD 0 SITEPROP SLICE_X39Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y40 IS_RESERVED 0 SITEPROP SLICE_X39Y40 IS_TEST 0 SITEPROP SLICE_X39Y40 IS_USED 0 SITEPROP SLICE_X39Y40 MANUAL_ROUTING SITEPROP SLICE_X39Y40 NAME SLICE_X39Y40 SITEPROP SLICE_X39Y40 NUM_ARCS 138 SITEPROP SLICE_X39Y40 NUM_BELS 32 SITEPROP SLICE_X39Y40 NUM_INPUTS 32 SITEPROP SLICE_X39Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y40 NUM_PINS 45 SITEPROP SLICE_X39Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y40 PROHIBIT 0 SITEPROP SLICE_X39Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y40 RPM_X 107 SITEPROP SLICE_X39Y40 RPM_Y 80 SITEPROP SLICE_X39Y40 SITE_PIPS SITEPROP SLICE_X39Y40 SITE_TYPE SLICEL SITEPROP SLICE_X39Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y41 CLASS site SITEPROP SLICE_X39Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y41 IS_BONDED 0 SITEPROP SLICE_X39Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y41 IS_PAD 0 SITEPROP SLICE_X39Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y41 IS_RESERVED 0 SITEPROP SLICE_X39Y41 IS_TEST 0 SITEPROP SLICE_X39Y41 IS_USED 0 SITEPROP SLICE_X39Y41 MANUAL_ROUTING SITEPROP SLICE_X39Y41 NAME SLICE_X39Y41 SITEPROP SLICE_X39Y41 NUM_ARCS 138 SITEPROP SLICE_X39Y41 NUM_BELS 32 SITEPROP SLICE_X39Y41 NUM_INPUTS 32 SITEPROP SLICE_X39Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y41 NUM_PINS 45 SITEPROP SLICE_X39Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y41 PROHIBIT 0 SITEPROP SLICE_X39Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y41 RPM_X 107 SITEPROP SLICE_X39Y41 RPM_Y 82 SITEPROP SLICE_X39Y41 SITE_PIPS SITEPROP SLICE_X39Y41 SITE_TYPE SLICEL SITEPROP SLICE_X39Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y42 CLASS site SITEPROP SLICE_X39Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y42 IS_BONDED 0 SITEPROP SLICE_X39Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y42 IS_PAD 0 SITEPROP SLICE_X39Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y42 IS_RESERVED 0 SITEPROP SLICE_X39Y42 IS_TEST 0 SITEPROP SLICE_X39Y42 IS_USED 0 SITEPROP SLICE_X39Y42 MANUAL_ROUTING SITEPROP SLICE_X39Y42 NAME SLICE_X39Y42 SITEPROP SLICE_X39Y42 NUM_ARCS 138 SITEPROP SLICE_X39Y42 NUM_BELS 32 SITEPROP SLICE_X39Y42 NUM_INPUTS 32 SITEPROP SLICE_X39Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y42 NUM_PINS 45 SITEPROP SLICE_X39Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y42 PROHIBIT 0 SITEPROP SLICE_X39Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y42 RPM_X 107 SITEPROP SLICE_X39Y42 RPM_Y 84 SITEPROP SLICE_X39Y42 SITE_PIPS SITEPROP SLICE_X39Y42 SITE_TYPE SLICEL SITEPROP SLICE_X39Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y43 CLASS site SITEPROP SLICE_X39Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y43 IS_BONDED 0 SITEPROP SLICE_X39Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y43 IS_PAD 0 SITEPROP SLICE_X39Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y43 IS_RESERVED 0 SITEPROP SLICE_X39Y43 IS_TEST 0 SITEPROP SLICE_X39Y43 IS_USED 0 SITEPROP SLICE_X39Y43 MANUAL_ROUTING SITEPROP SLICE_X39Y43 NAME SLICE_X39Y43 SITEPROP SLICE_X39Y43 NUM_ARCS 138 SITEPROP SLICE_X39Y43 NUM_BELS 32 SITEPROP SLICE_X39Y43 NUM_INPUTS 32 SITEPROP SLICE_X39Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y43 NUM_PINS 45 SITEPROP SLICE_X39Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y43 PROHIBIT 0 SITEPROP SLICE_X39Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y43 RPM_X 107 SITEPROP SLICE_X39Y43 RPM_Y 86 SITEPROP SLICE_X39Y43 SITE_PIPS SITEPROP SLICE_X39Y43 SITE_TYPE SLICEL SITEPROP SLICE_X39Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y44 CLASS site SITEPROP SLICE_X39Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y44 IS_BONDED 0 SITEPROP SLICE_X39Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y44 IS_PAD 0 SITEPROP SLICE_X39Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y44 IS_RESERVED 0 SITEPROP SLICE_X39Y44 IS_TEST 0 SITEPROP SLICE_X39Y44 IS_USED 0 SITEPROP SLICE_X39Y44 MANUAL_ROUTING SITEPROP SLICE_X39Y44 NAME SLICE_X39Y44 SITEPROP SLICE_X39Y44 NUM_ARCS 138 SITEPROP SLICE_X39Y44 NUM_BELS 32 SITEPROP SLICE_X39Y44 NUM_INPUTS 32 SITEPROP SLICE_X39Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y44 NUM_PINS 45 SITEPROP SLICE_X39Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y44 PROHIBIT 0 SITEPROP SLICE_X39Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y44 RPM_X 107 SITEPROP SLICE_X39Y44 RPM_Y 88 SITEPROP SLICE_X39Y44 SITE_PIPS SITEPROP SLICE_X39Y44 SITE_TYPE SLICEL SITEPROP SLICE_X39Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y45 CLASS site SITEPROP SLICE_X39Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y45 IS_BONDED 0 SITEPROP SLICE_X39Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y45 IS_PAD 0 SITEPROP SLICE_X39Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y45 IS_RESERVED 0 SITEPROP SLICE_X39Y45 IS_TEST 0 SITEPROP SLICE_X39Y45 IS_USED 0 SITEPROP SLICE_X39Y45 MANUAL_ROUTING SITEPROP SLICE_X39Y45 NAME SLICE_X39Y45 SITEPROP SLICE_X39Y45 NUM_ARCS 138 SITEPROP SLICE_X39Y45 NUM_BELS 32 SITEPROP SLICE_X39Y45 NUM_INPUTS 32 SITEPROP SLICE_X39Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y45 NUM_PINS 45 SITEPROP SLICE_X39Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y45 PROHIBIT 0 SITEPROP SLICE_X39Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y45 RPM_X 107 SITEPROP SLICE_X39Y45 RPM_Y 90 SITEPROP SLICE_X39Y45 SITE_PIPS SITEPROP SLICE_X39Y45 SITE_TYPE SLICEL SITEPROP SLICE_X39Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y46 CLASS site SITEPROP SLICE_X39Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y46 IS_BONDED 0 SITEPROP SLICE_X39Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y46 IS_PAD 0 SITEPROP SLICE_X39Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y46 IS_RESERVED 0 SITEPROP SLICE_X39Y46 IS_TEST 0 SITEPROP SLICE_X39Y46 IS_USED 0 SITEPROP SLICE_X39Y46 MANUAL_ROUTING SITEPROP SLICE_X39Y46 NAME SLICE_X39Y46 SITEPROP SLICE_X39Y46 NUM_ARCS 138 SITEPROP SLICE_X39Y46 NUM_BELS 32 SITEPROP SLICE_X39Y46 NUM_INPUTS 32 SITEPROP SLICE_X39Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y46 NUM_PINS 45 SITEPROP SLICE_X39Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y46 PROHIBIT 0 SITEPROP SLICE_X39Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y46 RPM_X 107 SITEPROP SLICE_X39Y46 RPM_Y 92 SITEPROP SLICE_X39Y46 SITE_PIPS SITEPROP SLICE_X39Y46 SITE_TYPE SLICEL SITEPROP SLICE_X39Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y47 CLASS site SITEPROP SLICE_X39Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y47 IS_BONDED 0 SITEPROP SLICE_X39Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y47 IS_PAD 0 SITEPROP SLICE_X39Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y47 IS_RESERVED 0 SITEPROP SLICE_X39Y47 IS_TEST 0 SITEPROP SLICE_X39Y47 IS_USED 0 SITEPROP SLICE_X39Y47 MANUAL_ROUTING SITEPROP SLICE_X39Y47 NAME SLICE_X39Y47 SITEPROP SLICE_X39Y47 NUM_ARCS 138 SITEPROP SLICE_X39Y47 NUM_BELS 32 SITEPROP SLICE_X39Y47 NUM_INPUTS 32 SITEPROP SLICE_X39Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y47 NUM_PINS 45 SITEPROP SLICE_X39Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y47 PROHIBIT 0 SITEPROP SLICE_X39Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y47 RPM_X 107 SITEPROP SLICE_X39Y47 RPM_Y 94 SITEPROP SLICE_X39Y47 SITE_PIPS SITEPROP SLICE_X39Y47 SITE_TYPE SLICEL SITEPROP SLICE_X39Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y48 CLASS site SITEPROP SLICE_X39Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y48 IS_BONDED 0 SITEPROP SLICE_X39Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y48 IS_PAD 0 SITEPROP SLICE_X39Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y48 IS_RESERVED 0 SITEPROP SLICE_X39Y48 IS_TEST 0 SITEPROP SLICE_X39Y48 IS_USED 0 SITEPROP SLICE_X39Y48 MANUAL_ROUTING SITEPROP SLICE_X39Y48 NAME SLICE_X39Y48 SITEPROP SLICE_X39Y48 NUM_ARCS 138 SITEPROP SLICE_X39Y48 NUM_BELS 32 SITEPROP SLICE_X39Y48 NUM_INPUTS 32 SITEPROP SLICE_X39Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y48 NUM_PINS 45 SITEPROP SLICE_X39Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y48 PROHIBIT 0 SITEPROP SLICE_X39Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y48 RPM_X 107 SITEPROP SLICE_X39Y48 RPM_Y 96 SITEPROP SLICE_X39Y48 SITE_PIPS SITEPROP SLICE_X39Y48 SITE_TYPE SLICEL SITEPROP SLICE_X39Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y49 CLASS site SITEPROP SLICE_X39Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X39Y49 IS_BONDED 0 SITEPROP SLICE_X39Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y49 IS_PAD 0 SITEPROP SLICE_X39Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y49 IS_RESERVED 0 SITEPROP SLICE_X39Y49 IS_TEST 0 SITEPROP SLICE_X39Y49 IS_USED 0 SITEPROP SLICE_X39Y49 MANUAL_ROUTING SITEPROP SLICE_X39Y49 NAME SLICE_X39Y49 SITEPROP SLICE_X39Y49 NUM_ARCS 138 SITEPROP SLICE_X39Y49 NUM_BELS 32 SITEPROP SLICE_X39Y49 NUM_INPUTS 32 SITEPROP SLICE_X39Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y49 NUM_PINS 45 SITEPROP SLICE_X39Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y49 PROHIBIT 0 SITEPROP SLICE_X39Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y49 RPM_X 107 SITEPROP SLICE_X39Y49 RPM_Y 98 SITEPROP SLICE_X39Y49 SITE_PIPS SITEPROP SLICE_X39Y49 SITE_TYPE SLICEL SITEPROP SLICE_X39Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y50 CLASS site SITEPROP SLICE_X39Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y50 IS_BONDED 0 SITEPROP SLICE_X39Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y50 IS_PAD 0 SITEPROP SLICE_X39Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y50 IS_RESERVED 0 SITEPROP SLICE_X39Y50 IS_TEST 0 SITEPROP SLICE_X39Y50 IS_USED 0 SITEPROP SLICE_X39Y50 MANUAL_ROUTING SITEPROP SLICE_X39Y50 NAME SLICE_X39Y50 SITEPROP SLICE_X39Y50 NUM_ARCS 138 SITEPROP SLICE_X39Y50 NUM_BELS 32 SITEPROP SLICE_X39Y50 NUM_INPUTS 32 SITEPROP SLICE_X39Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y50 NUM_PINS 45 SITEPROP SLICE_X39Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y50 PROHIBIT 0 SITEPROP SLICE_X39Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y50 RPM_X 107 SITEPROP SLICE_X39Y50 RPM_Y 100 SITEPROP SLICE_X39Y50 SITE_PIPS SITEPROP SLICE_X39Y50 SITE_TYPE SLICEL SITEPROP SLICE_X39Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y51 CLASS site SITEPROP SLICE_X39Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y51 IS_BONDED 0 SITEPROP SLICE_X39Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y51 IS_PAD 0 SITEPROP SLICE_X39Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y51 IS_RESERVED 0 SITEPROP SLICE_X39Y51 IS_TEST 0 SITEPROP SLICE_X39Y51 IS_USED 0 SITEPROP SLICE_X39Y51 MANUAL_ROUTING SITEPROP SLICE_X39Y51 NAME SLICE_X39Y51 SITEPROP SLICE_X39Y51 NUM_ARCS 138 SITEPROP SLICE_X39Y51 NUM_BELS 32 SITEPROP SLICE_X39Y51 NUM_INPUTS 32 SITEPROP SLICE_X39Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y51 NUM_PINS 45 SITEPROP SLICE_X39Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y51 PROHIBIT 0 SITEPROP SLICE_X39Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y51 RPM_X 107 SITEPROP SLICE_X39Y51 RPM_Y 102 SITEPROP SLICE_X39Y51 SITE_PIPS SITEPROP SLICE_X39Y51 SITE_TYPE SLICEL SITEPROP SLICE_X39Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y52 CLASS site SITEPROP SLICE_X39Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y52 IS_BONDED 0 SITEPROP SLICE_X39Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y52 IS_PAD 0 SITEPROP SLICE_X39Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y52 IS_RESERVED 0 SITEPROP SLICE_X39Y52 IS_TEST 0 SITEPROP SLICE_X39Y52 IS_USED 0 SITEPROP SLICE_X39Y52 MANUAL_ROUTING SITEPROP SLICE_X39Y52 NAME SLICE_X39Y52 SITEPROP SLICE_X39Y52 NUM_ARCS 138 SITEPROP SLICE_X39Y52 NUM_BELS 32 SITEPROP SLICE_X39Y52 NUM_INPUTS 32 SITEPROP SLICE_X39Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y52 NUM_PINS 45 SITEPROP SLICE_X39Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y52 PROHIBIT 0 SITEPROP SLICE_X39Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y52 RPM_X 107 SITEPROP SLICE_X39Y52 RPM_Y 104 SITEPROP SLICE_X39Y52 SITE_PIPS SITEPROP SLICE_X39Y52 SITE_TYPE SLICEL SITEPROP SLICE_X39Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y53 CLASS site SITEPROP SLICE_X39Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y53 IS_BONDED 0 SITEPROP SLICE_X39Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y53 IS_PAD 0 SITEPROP SLICE_X39Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y53 IS_RESERVED 0 SITEPROP SLICE_X39Y53 IS_TEST 0 SITEPROP SLICE_X39Y53 IS_USED 0 SITEPROP SLICE_X39Y53 MANUAL_ROUTING SITEPROP SLICE_X39Y53 NAME SLICE_X39Y53 SITEPROP SLICE_X39Y53 NUM_ARCS 138 SITEPROP SLICE_X39Y53 NUM_BELS 32 SITEPROP SLICE_X39Y53 NUM_INPUTS 32 SITEPROP SLICE_X39Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y53 NUM_PINS 45 SITEPROP SLICE_X39Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y53 PROHIBIT 0 SITEPROP SLICE_X39Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y53 RPM_X 107 SITEPROP SLICE_X39Y53 RPM_Y 106 SITEPROP SLICE_X39Y53 SITE_PIPS SITEPROP SLICE_X39Y53 SITE_TYPE SLICEL SITEPROP SLICE_X39Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y54 CLASS site SITEPROP SLICE_X39Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y54 IS_BONDED 0 SITEPROP SLICE_X39Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y54 IS_PAD 0 SITEPROP SLICE_X39Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y54 IS_RESERVED 0 SITEPROP SLICE_X39Y54 IS_TEST 0 SITEPROP SLICE_X39Y54 IS_USED 0 SITEPROP SLICE_X39Y54 MANUAL_ROUTING SITEPROP SLICE_X39Y54 NAME SLICE_X39Y54 SITEPROP SLICE_X39Y54 NUM_ARCS 138 SITEPROP SLICE_X39Y54 NUM_BELS 32 SITEPROP SLICE_X39Y54 NUM_INPUTS 32 SITEPROP SLICE_X39Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y54 NUM_PINS 45 SITEPROP SLICE_X39Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y54 PROHIBIT 0 SITEPROP SLICE_X39Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y54 RPM_X 107 SITEPROP SLICE_X39Y54 RPM_Y 108 SITEPROP SLICE_X39Y54 SITE_PIPS SITEPROP SLICE_X39Y54 SITE_TYPE SLICEL SITEPROP SLICE_X39Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y55 CLASS site SITEPROP SLICE_X39Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y55 IS_BONDED 0 SITEPROP SLICE_X39Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y55 IS_PAD 0 SITEPROP SLICE_X39Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y55 IS_RESERVED 0 SITEPROP SLICE_X39Y55 IS_TEST 0 SITEPROP SLICE_X39Y55 IS_USED 0 SITEPROP SLICE_X39Y55 MANUAL_ROUTING SITEPROP SLICE_X39Y55 NAME SLICE_X39Y55 SITEPROP SLICE_X39Y55 NUM_ARCS 138 SITEPROP SLICE_X39Y55 NUM_BELS 32 SITEPROP SLICE_X39Y55 NUM_INPUTS 32 SITEPROP SLICE_X39Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y55 NUM_PINS 45 SITEPROP SLICE_X39Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y55 PROHIBIT 0 SITEPROP SLICE_X39Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y55 RPM_X 107 SITEPROP SLICE_X39Y55 RPM_Y 110 SITEPROP SLICE_X39Y55 SITE_PIPS SITEPROP SLICE_X39Y55 SITE_TYPE SLICEL SITEPROP SLICE_X39Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y56 CLASS site SITEPROP SLICE_X39Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y56 IS_BONDED 0 SITEPROP SLICE_X39Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y56 IS_PAD 0 SITEPROP SLICE_X39Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y56 IS_RESERVED 0 SITEPROP SLICE_X39Y56 IS_TEST 0 SITEPROP SLICE_X39Y56 IS_USED 0 SITEPROP SLICE_X39Y56 MANUAL_ROUTING SITEPROP SLICE_X39Y56 NAME SLICE_X39Y56 SITEPROP SLICE_X39Y56 NUM_ARCS 138 SITEPROP SLICE_X39Y56 NUM_BELS 32 SITEPROP SLICE_X39Y56 NUM_INPUTS 32 SITEPROP SLICE_X39Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y56 NUM_PINS 45 SITEPROP SLICE_X39Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y56 PROHIBIT 0 SITEPROP SLICE_X39Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y56 RPM_X 107 SITEPROP SLICE_X39Y56 RPM_Y 112 SITEPROP SLICE_X39Y56 SITE_PIPS SITEPROP SLICE_X39Y56 SITE_TYPE SLICEL SITEPROP SLICE_X39Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y57 CLASS site SITEPROP SLICE_X39Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y57 IS_BONDED 0 SITEPROP SLICE_X39Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y57 IS_PAD 0 SITEPROP SLICE_X39Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y57 IS_RESERVED 0 SITEPROP SLICE_X39Y57 IS_TEST 0 SITEPROP SLICE_X39Y57 IS_USED 0 SITEPROP SLICE_X39Y57 MANUAL_ROUTING SITEPROP SLICE_X39Y57 NAME SLICE_X39Y57 SITEPROP SLICE_X39Y57 NUM_ARCS 138 SITEPROP SLICE_X39Y57 NUM_BELS 32 SITEPROP SLICE_X39Y57 NUM_INPUTS 32 SITEPROP SLICE_X39Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y57 NUM_PINS 45 SITEPROP SLICE_X39Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y57 PROHIBIT 0 SITEPROP SLICE_X39Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y57 RPM_X 107 SITEPROP SLICE_X39Y57 RPM_Y 114 SITEPROP SLICE_X39Y57 SITE_PIPS SITEPROP SLICE_X39Y57 SITE_TYPE SLICEL SITEPROP SLICE_X39Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y58 CLASS site SITEPROP SLICE_X39Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y58 IS_BONDED 0 SITEPROP SLICE_X39Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y58 IS_PAD 0 SITEPROP SLICE_X39Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y58 IS_RESERVED 0 SITEPROP SLICE_X39Y58 IS_TEST 0 SITEPROP SLICE_X39Y58 IS_USED 0 SITEPROP SLICE_X39Y58 MANUAL_ROUTING SITEPROP SLICE_X39Y58 NAME SLICE_X39Y58 SITEPROP SLICE_X39Y58 NUM_ARCS 138 SITEPROP SLICE_X39Y58 NUM_BELS 32 SITEPROP SLICE_X39Y58 NUM_INPUTS 32 SITEPROP SLICE_X39Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y58 NUM_PINS 45 SITEPROP SLICE_X39Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y58 PROHIBIT 0 SITEPROP SLICE_X39Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y58 RPM_X 107 SITEPROP SLICE_X39Y58 RPM_Y 116 SITEPROP SLICE_X39Y58 SITE_PIPS SITEPROP SLICE_X39Y58 SITE_TYPE SLICEL SITEPROP SLICE_X39Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y59 CLASS site SITEPROP SLICE_X39Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y59 IS_BONDED 0 SITEPROP SLICE_X39Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y59 IS_PAD 0 SITEPROP SLICE_X39Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y59 IS_RESERVED 0 SITEPROP SLICE_X39Y59 IS_TEST 0 SITEPROP SLICE_X39Y59 IS_USED 0 SITEPROP SLICE_X39Y59 MANUAL_ROUTING SITEPROP SLICE_X39Y59 NAME SLICE_X39Y59 SITEPROP SLICE_X39Y59 NUM_ARCS 138 SITEPROP SLICE_X39Y59 NUM_BELS 32 SITEPROP SLICE_X39Y59 NUM_INPUTS 32 SITEPROP SLICE_X39Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y59 NUM_PINS 45 SITEPROP SLICE_X39Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y59 PROHIBIT 0 SITEPROP SLICE_X39Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y59 RPM_X 107 SITEPROP SLICE_X39Y59 RPM_Y 118 SITEPROP SLICE_X39Y59 SITE_PIPS SITEPROP SLICE_X39Y59 SITE_TYPE SLICEL SITEPROP SLICE_X39Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y60 CLASS site SITEPROP SLICE_X39Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y60 IS_BONDED 0 SITEPROP SLICE_X39Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y60 IS_PAD 0 SITEPROP SLICE_X39Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y60 IS_RESERVED 0 SITEPROP SLICE_X39Y60 IS_TEST 0 SITEPROP SLICE_X39Y60 IS_USED 0 SITEPROP SLICE_X39Y60 MANUAL_ROUTING SITEPROP SLICE_X39Y60 NAME SLICE_X39Y60 SITEPROP SLICE_X39Y60 NUM_ARCS 138 SITEPROP SLICE_X39Y60 NUM_BELS 32 SITEPROP SLICE_X39Y60 NUM_INPUTS 32 SITEPROP SLICE_X39Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y60 NUM_PINS 45 SITEPROP SLICE_X39Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y60 PROHIBIT 0 SITEPROP SLICE_X39Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y60 RPM_X 107 SITEPROP SLICE_X39Y60 RPM_Y 120 SITEPROP SLICE_X39Y60 SITE_PIPS SITEPROP SLICE_X39Y60 SITE_TYPE SLICEL SITEPROP SLICE_X39Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y61 CLASS site SITEPROP SLICE_X39Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y61 IS_BONDED 0 SITEPROP SLICE_X39Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y61 IS_PAD 0 SITEPROP SLICE_X39Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y61 IS_RESERVED 0 SITEPROP SLICE_X39Y61 IS_TEST 0 SITEPROP SLICE_X39Y61 IS_USED 0 SITEPROP SLICE_X39Y61 MANUAL_ROUTING SITEPROP SLICE_X39Y61 NAME SLICE_X39Y61 SITEPROP SLICE_X39Y61 NUM_ARCS 138 SITEPROP SLICE_X39Y61 NUM_BELS 32 SITEPROP SLICE_X39Y61 NUM_INPUTS 32 SITEPROP SLICE_X39Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y61 NUM_PINS 45 SITEPROP SLICE_X39Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y61 PROHIBIT 0 SITEPROP SLICE_X39Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y61 RPM_X 107 SITEPROP SLICE_X39Y61 RPM_Y 122 SITEPROP SLICE_X39Y61 SITE_PIPS SITEPROP SLICE_X39Y61 SITE_TYPE SLICEL SITEPROP SLICE_X39Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y62 CLASS site SITEPROP SLICE_X39Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y62 IS_BONDED 0 SITEPROP SLICE_X39Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y62 IS_PAD 0 SITEPROP SLICE_X39Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y62 IS_RESERVED 0 SITEPROP SLICE_X39Y62 IS_TEST 0 SITEPROP SLICE_X39Y62 IS_USED 0 SITEPROP SLICE_X39Y62 MANUAL_ROUTING SITEPROP SLICE_X39Y62 NAME SLICE_X39Y62 SITEPROP SLICE_X39Y62 NUM_ARCS 138 SITEPROP SLICE_X39Y62 NUM_BELS 32 SITEPROP SLICE_X39Y62 NUM_INPUTS 32 SITEPROP SLICE_X39Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y62 NUM_PINS 45 SITEPROP SLICE_X39Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y62 PROHIBIT 0 SITEPROP SLICE_X39Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y62 RPM_X 107 SITEPROP SLICE_X39Y62 RPM_Y 124 SITEPROP SLICE_X39Y62 SITE_PIPS SITEPROP SLICE_X39Y62 SITE_TYPE SLICEL SITEPROP SLICE_X39Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y63 CLASS site SITEPROP SLICE_X39Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y63 IS_BONDED 0 SITEPROP SLICE_X39Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y63 IS_PAD 0 SITEPROP SLICE_X39Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y63 IS_RESERVED 0 SITEPROP SLICE_X39Y63 IS_TEST 0 SITEPROP SLICE_X39Y63 IS_USED 0 SITEPROP SLICE_X39Y63 MANUAL_ROUTING SITEPROP SLICE_X39Y63 NAME SLICE_X39Y63 SITEPROP SLICE_X39Y63 NUM_ARCS 138 SITEPROP SLICE_X39Y63 NUM_BELS 32 SITEPROP SLICE_X39Y63 NUM_INPUTS 32 SITEPROP SLICE_X39Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y63 NUM_PINS 45 SITEPROP SLICE_X39Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y63 PROHIBIT 0 SITEPROP SLICE_X39Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y63 RPM_X 107 SITEPROP SLICE_X39Y63 RPM_Y 126 SITEPROP SLICE_X39Y63 SITE_PIPS SITEPROP SLICE_X39Y63 SITE_TYPE SLICEL SITEPROP SLICE_X39Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y64 CLASS site SITEPROP SLICE_X39Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y64 IS_BONDED 0 SITEPROP SLICE_X39Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y64 IS_PAD 0 SITEPROP SLICE_X39Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y64 IS_RESERVED 0 SITEPROP SLICE_X39Y64 IS_TEST 0 SITEPROP SLICE_X39Y64 IS_USED 0 SITEPROP SLICE_X39Y64 MANUAL_ROUTING SITEPROP SLICE_X39Y64 NAME SLICE_X39Y64 SITEPROP SLICE_X39Y64 NUM_ARCS 138 SITEPROP SLICE_X39Y64 NUM_BELS 32 SITEPROP SLICE_X39Y64 NUM_INPUTS 32 SITEPROP SLICE_X39Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y64 NUM_PINS 45 SITEPROP SLICE_X39Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y64 PROHIBIT 0 SITEPROP SLICE_X39Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y64 RPM_X 107 SITEPROP SLICE_X39Y64 RPM_Y 128 SITEPROP SLICE_X39Y64 SITE_PIPS SITEPROP SLICE_X39Y64 SITE_TYPE SLICEL SITEPROP SLICE_X39Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y65 CLASS site SITEPROP SLICE_X39Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y65 IS_BONDED 0 SITEPROP SLICE_X39Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y65 IS_PAD 0 SITEPROP SLICE_X39Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y65 IS_RESERVED 0 SITEPROP SLICE_X39Y65 IS_TEST 0 SITEPROP SLICE_X39Y65 IS_USED 0 SITEPROP SLICE_X39Y65 MANUAL_ROUTING SITEPROP SLICE_X39Y65 NAME SLICE_X39Y65 SITEPROP SLICE_X39Y65 NUM_ARCS 138 SITEPROP SLICE_X39Y65 NUM_BELS 32 SITEPROP SLICE_X39Y65 NUM_INPUTS 32 SITEPROP SLICE_X39Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y65 NUM_PINS 45 SITEPROP SLICE_X39Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y65 PROHIBIT 0 SITEPROP SLICE_X39Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y65 RPM_X 107 SITEPROP SLICE_X39Y65 RPM_Y 130 SITEPROP SLICE_X39Y65 SITE_PIPS SITEPROP SLICE_X39Y65 SITE_TYPE SLICEL SITEPROP SLICE_X39Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y66 CLASS site SITEPROP SLICE_X39Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y66 IS_BONDED 0 SITEPROP SLICE_X39Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y66 IS_PAD 0 SITEPROP SLICE_X39Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y66 IS_RESERVED 0 SITEPROP SLICE_X39Y66 IS_TEST 0 SITEPROP SLICE_X39Y66 IS_USED 0 SITEPROP SLICE_X39Y66 MANUAL_ROUTING SITEPROP SLICE_X39Y66 NAME SLICE_X39Y66 SITEPROP SLICE_X39Y66 NUM_ARCS 138 SITEPROP SLICE_X39Y66 NUM_BELS 32 SITEPROP SLICE_X39Y66 NUM_INPUTS 32 SITEPROP SLICE_X39Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y66 NUM_PINS 45 SITEPROP SLICE_X39Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y66 PROHIBIT 0 SITEPROP SLICE_X39Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y66 RPM_X 107 SITEPROP SLICE_X39Y66 RPM_Y 132 SITEPROP SLICE_X39Y66 SITE_PIPS SITEPROP SLICE_X39Y66 SITE_TYPE SLICEL SITEPROP SLICE_X39Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y67 CLASS site SITEPROP SLICE_X39Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y67 IS_BONDED 0 SITEPROP SLICE_X39Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y67 IS_PAD 0 SITEPROP SLICE_X39Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y67 IS_RESERVED 0 SITEPROP SLICE_X39Y67 IS_TEST 0 SITEPROP SLICE_X39Y67 IS_USED 0 SITEPROP SLICE_X39Y67 MANUAL_ROUTING SITEPROP SLICE_X39Y67 NAME SLICE_X39Y67 SITEPROP SLICE_X39Y67 NUM_ARCS 138 SITEPROP SLICE_X39Y67 NUM_BELS 32 SITEPROP SLICE_X39Y67 NUM_INPUTS 32 SITEPROP SLICE_X39Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y67 NUM_PINS 45 SITEPROP SLICE_X39Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y67 PROHIBIT 0 SITEPROP SLICE_X39Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y67 RPM_X 107 SITEPROP SLICE_X39Y67 RPM_Y 134 SITEPROP SLICE_X39Y67 SITE_PIPS SITEPROP SLICE_X39Y67 SITE_TYPE SLICEL SITEPROP SLICE_X39Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y68 CLASS site SITEPROP SLICE_X39Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y68 IS_BONDED 0 SITEPROP SLICE_X39Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y68 IS_PAD 0 SITEPROP SLICE_X39Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y68 IS_RESERVED 0 SITEPROP SLICE_X39Y68 IS_TEST 0 SITEPROP SLICE_X39Y68 IS_USED 0 SITEPROP SLICE_X39Y68 MANUAL_ROUTING SITEPROP SLICE_X39Y68 NAME SLICE_X39Y68 SITEPROP SLICE_X39Y68 NUM_ARCS 138 SITEPROP SLICE_X39Y68 NUM_BELS 32 SITEPROP SLICE_X39Y68 NUM_INPUTS 32 SITEPROP SLICE_X39Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y68 NUM_PINS 45 SITEPROP SLICE_X39Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y68 PROHIBIT 0 SITEPROP SLICE_X39Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y68 RPM_X 107 SITEPROP SLICE_X39Y68 RPM_Y 136 SITEPROP SLICE_X39Y68 SITE_PIPS SITEPROP SLICE_X39Y68 SITE_TYPE SLICEL SITEPROP SLICE_X39Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y69 CLASS site SITEPROP SLICE_X39Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y69 IS_BONDED 0 SITEPROP SLICE_X39Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y69 IS_PAD 0 SITEPROP SLICE_X39Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y69 IS_RESERVED 0 SITEPROP SLICE_X39Y69 IS_TEST 0 SITEPROP SLICE_X39Y69 IS_USED 0 SITEPROP SLICE_X39Y69 MANUAL_ROUTING SITEPROP SLICE_X39Y69 NAME SLICE_X39Y69 SITEPROP SLICE_X39Y69 NUM_ARCS 138 SITEPROP SLICE_X39Y69 NUM_BELS 32 SITEPROP SLICE_X39Y69 NUM_INPUTS 32 SITEPROP SLICE_X39Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y69 NUM_PINS 45 SITEPROP SLICE_X39Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y69 PROHIBIT 0 SITEPROP SLICE_X39Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y69 RPM_X 107 SITEPROP SLICE_X39Y69 RPM_Y 138 SITEPROP SLICE_X39Y69 SITE_PIPS SITEPROP SLICE_X39Y69 SITE_TYPE SLICEL SITEPROP SLICE_X39Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y70 CLASS site SITEPROP SLICE_X39Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y70 IS_BONDED 0 SITEPROP SLICE_X39Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y70 IS_PAD 0 SITEPROP SLICE_X39Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y70 IS_RESERVED 0 SITEPROP SLICE_X39Y70 IS_TEST 0 SITEPROP SLICE_X39Y70 IS_USED 0 SITEPROP SLICE_X39Y70 MANUAL_ROUTING SITEPROP SLICE_X39Y70 NAME SLICE_X39Y70 SITEPROP SLICE_X39Y70 NUM_ARCS 138 SITEPROP SLICE_X39Y70 NUM_BELS 32 SITEPROP SLICE_X39Y70 NUM_INPUTS 32 SITEPROP SLICE_X39Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y70 NUM_PINS 45 SITEPROP SLICE_X39Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y70 PROHIBIT 0 SITEPROP SLICE_X39Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y70 RPM_X 107 SITEPROP SLICE_X39Y70 RPM_Y 140 SITEPROP SLICE_X39Y70 SITE_PIPS SITEPROP SLICE_X39Y70 SITE_TYPE SLICEL SITEPROP SLICE_X39Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y71 CLASS site SITEPROP SLICE_X39Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y71 IS_BONDED 0 SITEPROP SLICE_X39Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y71 IS_PAD 0 SITEPROP SLICE_X39Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y71 IS_RESERVED 0 SITEPROP SLICE_X39Y71 IS_TEST 0 SITEPROP SLICE_X39Y71 IS_USED 0 SITEPROP SLICE_X39Y71 MANUAL_ROUTING SITEPROP SLICE_X39Y71 NAME SLICE_X39Y71 SITEPROP SLICE_X39Y71 NUM_ARCS 138 SITEPROP SLICE_X39Y71 NUM_BELS 32 SITEPROP SLICE_X39Y71 NUM_INPUTS 32 SITEPROP SLICE_X39Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y71 NUM_PINS 45 SITEPROP SLICE_X39Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y71 PROHIBIT 0 SITEPROP SLICE_X39Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y71 RPM_X 107 SITEPROP SLICE_X39Y71 RPM_Y 142 SITEPROP SLICE_X39Y71 SITE_PIPS SITEPROP SLICE_X39Y71 SITE_TYPE SLICEL SITEPROP SLICE_X39Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y72 CLASS site SITEPROP SLICE_X39Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y72 IS_BONDED 0 SITEPROP SLICE_X39Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y72 IS_PAD 0 SITEPROP SLICE_X39Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y72 IS_RESERVED 0 SITEPROP SLICE_X39Y72 IS_TEST 0 SITEPROP SLICE_X39Y72 IS_USED 0 SITEPROP SLICE_X39Y72 MANUAL_ROUTING SITEPROP SLICE_X39Y72 NAME SLICE_X39Y72 SITEPROP SLICE_X39Y72 NUM_ARCS 138 SITEPROP SLICE_X39Y72 NUM_BELS 32 SITEPROP SLICE_X39Y72 NUM_INPUTS 32 SITEPROP SLICE_X39Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y72 NUM_PINS 45 SITEPROP SLICE_X39Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y72 PROHIBIT 0 SITEPROP SLICE_X39Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y72 RPM_X 107 SITEPROP SLICE_X39Y72 RPM_Y 144 SITEPROP SLICE_X39Y72 SITE_PIPS SITEPROP SLICE_X39Y72 SITE_TYPE SLICEL SITEPROP SLICE_X39Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y73 CLASS site SITEPROP SLICE_X39Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y73 IS_BONDED 0 SITEPROP SLICE_X39Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y73 IS_PAD 0 SITEPROP SLICE_X39Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y73 IS_RESERVED 0 SITEPROP SLICE_X39Y73 IS_TEST 0 SITEPROP SLICE_X39Y73 IS_USED 0 SITEPROP SLICE_X39Y73 MANUAL_ROUTING SITEPROP SLICE_X39Y73 NAME SLICE_X39Y73 SITEPROP SLICE_X39Y73 NUM_ARCS 138 SITEPROP SLICE_X39Y73 NUM_BELS 32 SITEPROP SLICE_X39Y73 NUM_INPUTS 32 SITEPROP SLICE_X39Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y73 NUM_PINS 45 SITEPROP SLICE_X39Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y73 PROHIBIT 0 SITEPROP SLICE_X39Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y73 RPM_X 107 SITEPROP SLICE_X39Y73 RPM_Y 146 SITEPROP SLICE_X39Y73 SITE_PIPS SITEPROP SLICE_X39Y73 SITE_TYPE SLICEL SITEPROP SLICE_X39Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y74 CLASS site SITEPROP SLICE_X39Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y74 IS_BONDED 0 SITEPROP SLICE_X39Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y74 IS_PAD 0 SITEPROP SLICE_X39Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y74 IS_RESERVED 0 SITEPROP SLICE_X39Y74 IS_TEST 0 SITEPROP SLICE_X39Y74 IS_USED 0 SITEPROP SLICE_X39Y74 MANUAL_ROUTING SITEPROP SLICE_X39Y74 NAME SLICE_X39Y74 SITEPROP SLICE_X39Y74 NUM_ARCS 138 SITEPROP SLICE_X39Y74 NUM_BELS 32 SITEPROP SLICE_X39Y74 NUM_INPUTS 32 SITEPROP SLICE_X39Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y74 NUM_PINS 45 SITEPROP SLICE_X39Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y74 PROHIBIT 0 SITEPROP SLICE_X39Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y74 RPM_X 107 SITEPROP SLICE_X39Y74 RPM_Y 148 SITEPROP SLICE_X39Y74 SITE_PIPS SITEPROP SLICE_X39Y74 SITE_TYPE SLICEL SITEPROP SLICE_X39Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y75 CLASS site SITEPROP SLICE_X39Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y75 IS_BONDED 0 SITEPROP SLICE_X39Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y75 IS_PAD 0 SITEPROP SLICE_X39Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y75 IS_RESERVED 0 SITEPROP SLICE_X39Y75 IS_TEST 0 SITEPROP SLICE_X39Y75 IS_USED 0 SITEPROP SLICE_X39Y75 MANUAL_ROUTING SITEPROP SLICE_X39Y75 NAME SLICE_X39Y75 SITEPROP SLICE_X39Y75 NUM_ARCS 138 SITEPROP SLICE_X39Y75 NUM_BELS 32 SITEPROP SLICE_X39Y75 NUM_INPUTS 32 SITEPROP SLICE_X39Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y75 NUM_PINS 45 SITEPROP SLICE_X39Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y75 PROHIBIT 0 SITEPROP SLICE_X39Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y75 RPM_X 107 SITEPROP SLICE_X39Y75 RPM_Y 150 SITEPROP SLICE_X39Y75 SITE_PIPS SITEPROP SLICE_X39Y75 SITE_TYPE SLICEL SITEPROP SLICE_X39Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y76 CLASS site SITEPROP SLICE_X39Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y76 IS_BONDED 0 SITEPROP SLICE_X39Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y76 IS_PAD 0 SITEPROP SLICE_X39Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y76 IS_RESERVED 0 SITEPROP SLICE_X39Y76 IS_TEST 0 SITEPROP SLICE_X39Y76 IS_USED 0 SITEPROP SLICE_X39Y76 MANUAL_ROUTING SITEPROP SLICE_X39Y76 NAME SLICE_X39Y76 SITEPROP SLICE_X39Y76 NUM_ARCS 138 SITEPROP SLICE_X39Y76 NUM_BELS 32 SITEPROP SLICE_X39Y76 NUM_INPUTS 32 SITEPROP SLICE_X39Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y76 NUM_PINS 45 SITEPROP SLICE_X39Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y76 PROHIBIT 0 SITEPROP SLICE_X39Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y76 RPM_X 107 SITEPROP SLICE_X39Y76 RPM_Y 152 SITEPROP SLICE_X39Y76 SITE_PIPS SITEPROP SLICE_X39Y76 SITE_TYPE SLICEL SITEPROP SLICE_X39Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y77 CLASS site SITEPROP SLICE_X39Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y77 IS_BONDED 0 SITEPROP SLICE_X39Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y77 IS_PAD 0 SITEPROP SLICE_X39Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y77 IS_RESERVED 0 SITEPROP SLICE_X39Y77 IS_TEST 0 SITEPROP SLICE_X39Y77 IS_USED 0 SITEPROP SLICE_X39Y77 MANUAL_ROUTING SITEPROP SLICE_X39Y77 NAME SLICE_X39Y77 SITEPROP SLICE_X39Y77 NUM_ARCS 138 SITEPROP SLICE_X39Y77 NUM_BELS 32 SITEPROP SLICE_X39Y77 NUM_INPUTS 32 SITEPROP SLICE_X39Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y77 NUM_PINS 45 SITEPROP SLICE_X39Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y77 PROHIBIT 0 SITEPROP SLICE_X39Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y77 RPM_X 107 SITEPROP SLICE_X39Y77 RPM_Y 154 SITEPROP SLICE_X39Y77 SITE_PIPS SITEPROP SLICE_X39Y77 SITE_TYPE SLICEL SITEPROP SLICE_X39Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y78 CLASS site SITEPROP SLICE_X39Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y78 IS_BONDED 0 SITEPROP SLICE_X39Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y78 IS_PAD 0 SITEPROP SLICE_X39Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y78 IS_RESERVED 0 SITEPROP SLICE_X39Y78 IS_TEST 0 SITEPROP SLICE_X39Y78 IS_USED 0 SITEPROP SLICE_X39Y78 MANUAL_ROUTING SITEPROP SLICE_X39Y78 NAME SLICE_X39Y78 SITEPROP SLICE_X39Y78 NUM_ARCS 138 SITEPROP SLICE_X39Y78 NUM_BELS 32 SITEPROP SLICE_X39Y78 NUM_INPUTS 32 SITEPROP SLICE_X39Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y78 NUM_PINS 45 SITEPROP SLICE_X39Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y78 PROHIBIT 0 SITEPROP SLICE_X39Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y78 RPM_X 107 SITEPROP SLICE_X39Y78 RPM_Y 156 SITEPROP SLICE_X39Y78 SITE_PIPS SITEPROP SLICE_X39Y78 SITE_TYPE SLICEL SITEPROP SLICE_X39Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y79 CLASS site SITEPROP SLICE_X39Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y79 IS_BONDED 0 SITEPROP SLICE_X39Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y79 IS_PAD 0 SITEPROP SLICE_X39Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y79 IS_RESERVED 0 SITEPROP SLICE_X39Y79 IS_TEST 0 SITEPROP SLICE_X39Y79 IS_USED 0 SITEPROP SLICE_X39Y79 MANUAL_ROUTING SITEPROP SLICE_X39Y79 NAME SLICE_X39Y79 SITEPROP SLICE_X39Y79 NUM_ARCS 138 SITEPROP SLICE_X39Y79 NUM_BELS 32 SITEPROP SLICE_X39Y79 NUM_INPUTS 32 SITEPROP SLICE_X39Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y79 NUM_PINS 45 SITEPROP SLICE_X39Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y79 PROHIBIT 0 SITEPROP SLICE_X39Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y79 RPM_X 107 SITEPROP SLICE_X39Y79 RPM_Y 158 SITEPROP SLICE_X39Y79 SITE_PIPS SITEPROP SLICE_X39Y79 SITE_TYPE SLICEL SITEPROP SLICE_X39Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y80 CLASS site SITEPROP SLICE_X39Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y80 IS_BONDED 0 SITEPROP SLICE_X39Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y80 IS_PAD 0 SITEPROP SLICE_X39Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y80 IS_RESERVED 0 SITEPROP SLICE_X39Y80 IS_TEST 0 SITEPROP SLICE_X39Y80 IS_USED 0 SITEPROP SLICE_X39Y80 MANUAL_ROUTING SITEPROP SLICE_X39Y80 NAME SLICE_X39Y80 SITEPROP SLICE_X39Y80 NUM_ARCS 138 SITEPROP SLICE_X39Y80 NUM_BELS 32 SITEPROP SLICE_X39Y80 NUM_INPUTS 32 SITEPROP SLICE_X39Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y80 NUM_PINS 45 SITEPROP SLICE_X39Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y80 PROHIBIT 0 SITEPROP SLICE_X39Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y80 RPM_X 107 SITEPROP SLICE_X39Y80 RPM_Y 160 SITEPROP SLICE_X39Y80 SITE_PIPS SITEPROP SLICE_X39Y80 SITE_TYPE SLICEL SITEPROP SLICE_X39Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y81 CLASS site SITEPROP SLICE_X39Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y81 IS_BONDED 0 SITEPROP SLICE_X39Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y81 IS_PAD 0 SITEPROP SLICE_X39Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y81 IS_RESERVED 0 SITEPROP SLICE_X39Y81 IS_TEST 0 SITEPROP SLICE_X39Y81 IS_USED 0 SITEPROP SLICE_X39Y81 MANUAL_ROUTING SITEPROP SLICE_X39Y81 NAME SLICE_X39Y81 SITEPROP SLICE_X39Y81 NUM_ARCS 138 SITEPROP SLICE_X39Y81 NUM_BELS 32 SITEPROP SLICE_X39Y81 NUM_INPUTS 32 SITEPROP SLICE_X39Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y81 NUM_PINS 45 SITEPROP SLICE_X39Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y81 PROHIBIT 0 SITEPROP SLICE_X39Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y81 RPM_X 107 SITEPROP SLICE_X39Y81 RPM_Y 162 SITEPROP SLICE_X39Y81 SITE_PIPS SITEPROP SLICE_X39Y81 SITE_TYPE SLICEL SITEPROP SLICE_X39Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y82 CLASS site SITEPROP SLICE_X39Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y82 IS_BONDED 0 SITEPROP SLICE_X39Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y82 IS_PAD 0 SITEPROP SLICE_X39Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y82 IS_RESERVED 0 SITEPROP SLICE_X39Y82 IS_TEST 0 SITEPROP SLICE_X39Y82 IS_USED 0 SITEPROP SLICE_X39Y82 MANUAL_ROUTING SITEPROP SLICE_X39Y82 NAME SLICE_X39Y82 SITEPROP SLICE_X39Y82 NUM_ARCS 138 SITEPROP SLICE_X39Y82 NUM_BELS 32 SITEPROP SLICE_X39Y82 NUM_INPUTS 32 SITEPROP SLICE_X39Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y82 NUM_PINS 45 SITEPROP SLICE_X39Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y82 PROHIBIT 0 SITEPROP SLICE_X39Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y82 RPM_X 107 SITEPROP SLICE_X39Y82 RPM_Y 164 SITEPROP SLICE_X39Y82 SITE_PIPS SITEPROP SLICE_X39Y82 SITE_TYPE SLICEL SITEPROP SLICE_X39Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y83 CLASS site SITEPROP SLICE_X39Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y83 IS_BONDED 0 SITEPROP SLICE_X39Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y83 IS_PAD 0 SITEPROP SLICE_X39Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y83 IS_RESERVED 0 SITEPROP SLICE_X39Y83 IS_TEST 0 SITEPROP SLICE_X39Y83 IS_USED 0 SITEPROP SLICE_X39Y83 MANUAL_ROUTING SITEPROP SLICE_X39Y83 NAME SLICE_X39Y83 SITEPROP SLICE_X39Y83 NUM_ARCS 138 SITEPROP SLICE_X39Y83 NUM_BELS 32 SITEPROP SLICE_X39Y83 NUM_INPUTS 32 SITEPROP SLICE_X39Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y83 NUM_PINS 45 SITEPROP SLICE_X39Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y83 PROHIBIT 0 SITEPROP SLICE_X39Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y83 RPM_X 107 SITEPROP SLICE_X39Y83 RPM_Y 166 SITEPROP SLICE_X39Y83 SITE_PIPS SITEPROP SLICE_X39Y83 SITE_TYPE SLICEL SITEPROP SLICE_X39Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y84 CLASS site SITEPROP SLICE_X39Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y84 IS_BONDED 0 SITEPROP SLICE_X39Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y84 IS_PAD 0 SITEPROP SLICE_X39Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y84 IS_RESERVED 0 SITEPROP SLICE_X39Y84 IS_TEST 0 SITEPROP SLICE_X39Y84 IS_USED 0 SITEPROP SLICE_X39Y84 MANUAL_ROUTING SITEPROP SLICE_X39Y84 NAME SLICE_X39Y84 SITEPROP SLICE_X39Y84 NUM_ARCS 138 SITEPROP SLICE_X39Y84 NUM_BELS 32 SITEPROP SLICE_X39Y84 NUM_INPUTS 32 SITEPROP SLICE_X39Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y84 NUM_PINS 45 SITEPROP SLICE_X39Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y84 PROHIBIT 0 SITEPROP SLICE_X39Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y84 RPM_X 107 SITEPROP SLICE_X39Y84 RPM_Y 168 SITEPROP SLICE_X39Y84 SITE_PIPS SITEPROP SLICE_X39Y84 SITE_TYPE SLICEL SITEPROP SLICE_X39Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y85 CLASS site SITEPROP SLICE_X39Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y85 IS_BONDED 0 SITEPROP SLICE_X39Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y85 IS_PAD 0 SITEPROP SLICE_X39Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y85 IS_RESERVED 0 SITEPROP SLICE_X39Y85 IS_TEST 0 SITEPROP SLICE_X39Y85 IS_USED 0 SITEPROP SLICE_X39Y85 MANUAL_ROUTING SITEPROP SLICE_X39Y85 NAME SLICE_X39Y85 SITEPROP SLICE_X39Y85 NUM_ARCS 138 SITEPROP SLICE_X39Y85 NUM_BELS 32 SITEPROP SLICE_X39Y85 NUM_INPUTS 32 SITEPROP SLICE_X39Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y85 NUM_PINS 45 SITEPROP SLICE_X39Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y85 PROHIBIT 0 SITEPROP SLICE_X39Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y85 RPM_X 107 SITEPROP SLICE_X39Y85 RPM_Y 170 SITEPROP SLICE_X39Y85 SITE_PIPS SITEPROP SLICE_X39Y85 SITE_TYPE SLICEL SITEPROP SLICE_X39Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y86 CLASS site SITEPROP SLICE_X39Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y86 IS_BONDED 0 SITEPROP SLICE_X39Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y86 IS_PAD 0 SITEPROP SLICE_X39Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y86 IS_RESERVED 0 SITEPROP SLICE_X39Y86 IS_TEST 0 SITEPROP SLICE_X39Y86 IS_USED 0 SITEPROP SLICE_X39Y86 MANUAL_ROUTING SITEPROP SLICE_X39Y86 NAME SLICE_X39Y86 SITEPROP SLICE_X39Y86 NUM_ARCS 138 SITEPROP SLICE_X39Y86 NUM_BELS 32 SITEPROP SLICE_X39Y86 NUM_INPUTS 32 SITEPROP SLICE_X39Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y86 NUM_PINS 45 SITEPROP SLICE_X39Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y86 PROHIBIT 0 SITEPROP SLICE_X39Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y86 RPM_X 107 SITEPROP SLICE_X39Y86 RPM_Y 172 SITEPROP SLICE_X39Y86 SITE_PIPS SITEPROP SLICE_X39Y86 SITE_TYPE SLICEL SITEPROP SLICE_X39Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y87 CLASS site SITEPROP SLICE_X39Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y87 IS_BONDED 0 SITEPROP SLICE_X39Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y87 IS_PAD 0 SITEPROP SLICE_X39Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y87 IS_RESERVED 0 SITEPROP SLICE_X39Y87 IS_TEST 0 SITEPROP SLICE_X39Y87 IS_USED 0 SITEPROP SLICE_X39Y87 MANUAL_ROUTING SITEPROP SLICE_X39Y87 NAME SLICE_X39Y87 SITEPROP SLICE_X39Y87 NUM_ARCS 138 SITEPROP SLICE_X39Y87 NUM_BELS 32 SITEPROP SLICE_X39Y87 NUM_INPUTS 32 SITEPROP SLICE_X39Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y87 NUM_PINS 45 SITEPROP SLICE_X39Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y87 PROHIBIT 0 SITEPROP SLICE_X39Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y87 RPM_X 107 SITEPROP SLICE_X39Y87 RPM_Y 174 SITEPROP SLICE_X39Y87 SITE_PIPS SITEPROP SLICE_X39Y87 SITE_TYPE SLICEL SITEPROP SLICE_X39Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y88 CLASS site SITEPROP SLICE_X39Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y88 IS_BONDED 0 SITEPROP SLICE_X39Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y88 IS_PAD 0 SITEPROP SLICE_X39Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y88 IS_RESERVED 0 SITEPROP SLICE_X39Y88 IS_TEST 0 SITEPROP SLICE_X39Y88 IS_USED 0 SITEPROP SLICE_X39Y88 MANUAL_ROUTING SITEPROP SLICE_X39Y88 NAME SLICE_X39Y88 SITEPROP SLICE_X39Y88 NUM_ARCS 138 SITEPROP SLICE_X39Y88 NUM_BELS 32 SITEPROP SLICE_X39Y88 NUM_INPUTS 32 SITEPROP SLICE_X39Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y88 NUM_PINS 45 SITEPROP SLICE_X39Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y88 PROHIBIT 0 SITEPROP SLICE_X39Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y88 RPM_X 107 SITEPROP SLICE_X39Y88 RPM_Y 176 SITEPROP SLICE_X39Y88 SITE_PIPS SITEPROP SLICE_X39Y88 SITE_TYPE SLICEL SITEPROP SLICE_X39Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y89 CLASS site SITEPROP SLICE_X39Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y89 IS_BONDED 0 SITEPROP SLICE_X39Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y89 IS_PAD 0 SITEPROP SLICE_X39Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y89 IS_RESERVED 0 SITEPROP SLICE_X39Y89 IS_TEST 0 SITEPROP SLICE_X39Y89 IS_USED 0 SITEPROP SLICE_X39Y89 MANUAL_ROUTING SITEPROP SLICE_X39Y89 NAME SLICE_X39Y89 SITEPROP SLICE_X39Y89 NUM_ARCS 138 SITEPROP SLICE_X39Y89 NUM_BELS 32 SITEPROP SLICE_X39Y89 NUM_INPUTS 32 SITEPROP SLICE_X39Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y89 NUM_PINS 45 SITEPROP SLICE_X39Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y89 PROHIBIT 0 SITEPROP SLICE_X39Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y89 RPM_X 107 SITEPROP SLICE_X39Y89 RPM_Y 178 SITEPROP SLICE_X39Y89 SITE_PIPS SITEPROP SLICE_X39Y89 SITE_TYPE SLICEL SITEPROP SLICE_X39Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y90 CLASS site SITEPROP SLICE_X39Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y90 IS_BONDED 0 SITEPROP SLICE_X39Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y90 IS_PAD 0 SITEPROP SLICE_X39Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y90 IS_RESERVED 0 SITEPROP SLICE_X39Y90 IS_TEST 0 SITEPROP SLICE_X39Y90 IS_USED 0 SITEPROP SLICE_X39Y90 MANUAL_ROUTING SITEPROP SLICE_X39Y90 NAME SLICE_X39Y90 SITEPROP SLICE_X39Y90 NUM_ARCS 138 SITEPROP SLICE_X39Y90 NUM_BELS 32 SITEPROP SLICE_X39Y90 NUM_INPUTS 32 SITEPROP SLICE_X39Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y90 NUM_PINS 45 SITEPROP SLICE_X39Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y90 PROHIBIT 0 SITEPROP SLICE_X39Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y90 RPM_X 107 SITEPROP SLICE_X39Y90 RPM_Y 180 SITEPROP SLICE_X39Y90 SITE_PIPS SITEPROP SLICE_X39Y90 SITE_TYPE SLICEL SITEPROP SLICE_X39Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y91 CLASS site SITEPROP SLICE_X39Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y91 IS_BONDED 0 SITEPROP SLICE_X39Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y91 IS_PAD 0 SITEPROP SLICE_X39Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y91 IS_RESERVED 0 SITEPROP SLICE_X39Y91 IS_TEST 0 SITEPROP SLICE_X39Y91 IS_USED 0 SITEPROP SLICE_X39Y91 MANUAL_ROUTING SITEPROP SLICE_X39Y91 NAME SLICE_X39Y91 SITEPROP SLICE_X39Y91 NUM_ARCS 138 SITEPROP SLICE_X39Y91 NUM_BELS 32 SITEPROP SLICE_X39Y91 NUM_INPUTS 32 SITEPROP SLICE_X39Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y91 NUM_PINS 45 SITEPROP SLICE_X39Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y91 PROHIBIT 0 SITEPROP SLICE_X39Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y91 RPM_X 107 SITEPROP SLICE_X39Y91 RPM_Y 182 SITEPROP SLICE_X39Y91 SITE_PIPS SITEPROP SLICE_X39Y91 SITE_TYPE SLICEL SITEPROP SLICE_X39Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y92 CLASS site SITEPROP SLICE_X39Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y92 IS_BONDED 0 SITEPROP SLICE_X39Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y92 IS_PAD 0 SITEPROP SLICE_X39Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y92 IS_RESERVED 0 SITEPROP SLICE_X39Y92 IS_TEST 0 SITEPROP SLICE_X39Y92 IS_USED 0 SITEPROP SLICE_X39Y92 MANUAL_ROUTING SITEPROP SLICE_X39Y92 NAME SLICE_X39Y92 SITEPROP SLICE_X39Y92 NUM_ARCS 138 SITEPROP SLICE_X39Y92 NUM_BELS 32 SITEPROP SLICE_X39Y92 NUM_INPUTS 32 SITEPROP SLICE_X39Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y92 NUM_PINS 45 SITEPROP SLICE_X39Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y92 PROHIBIT 0 SITEPROP SLICE_X39Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y92 RPM_X 107 SITEPROP SLICE_X39Y92 RPM_Y 184 SITEPROP SLICE_X39Y92 SITE_PIPS SITEPROP SLICE_X39Y92 SITE_TYPE SLICEL SITEPROP SLICE_X39Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y93 CLASS site SITEPROP SLICE_X39Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y93 IS_BONDED 0 SITEPROP SLICE_X39Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y93 IS_PAD 0 SITEPROP SLICE_X39Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y93 IS_RESERVED 0 SITEPROP SLICE_X39Y93 IS_TEST 0 SITEPROP SLICE_X39Y93 IS_USED 0 SITEPROP SLICE_X39Y93 MANUAL_ROUTING SITEPROP SLICE_X39Y93 NAME SLICE_X39Y93 SITEPROP SLICE_X39Y93 NUM_ARCS 138 SITEPROP SLICE_X39Y93 NUM_BELS 32 SITEPROP SLICE_X39Y93 NUM_INPUTS 32 SITEPROP SLICE_X39Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y93 NUM_PINS 45 SITEPROP SLICE_X39Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y93 PROHIBIT 0 SITEPROP SLICE_X39Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y93 RPM_X 107 SITEPROP SLICE_X39Y93 RPM_Y 186 SITEPROP SLICE_X39Y93 SITE_PIPS SITEPROP SLICE_X39Y93 SITE_TYPE SLICEL SITEPROP SLICE_X39Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y94 CLASS site SITEPROP SLICE_X39Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y94 IS_BONDED 0 SITEPROP SLICE_X39Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y94 IS_PAD 0 SITEPROP SLICE_X39Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y94 IS_RESERVED 0 SITEPROP SLICE_X39Y94 IS_TEST 0 SITEPROP SLICE_X39Y94 IS_USED 0 SITEPROP SLICE_X39Y94 MANUAL_ROUTING SITEPROP SLICE_X39Y94 NAME SLICE_X39Y94 SITEPROP SLICE_X39Y94 NUM_ARCS 138 SITEPROP SLICE_X39Y94 NUM_BELS 32 SITEPROP SLICE_X39Y94 NUM_INPUTS 32 SITEPROP SLICE_X39Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y94 NUM_PINS 45 SITEPROP SLICE_X39Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y94 PROHIBIT 0 SITEPROP SLICE_X39Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y94 RPM_X 107 SITEPROP SLICE_X39Y94 RPM_Y 188 SITEPROP SLICE_X39Y94 SITE_PIPS SITEPROP SLICE_X39Y94 SITE_TYPE SLICEL SITEPROP SLICE_X39Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y95 CLASS site SITEPROP SLICE_X39Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y95 IS_BONDED 0 SITEPROP SLICE_X39Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y95 IS_PAD 0 SITEPROP SLICE_X39Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y95 IS_RESERVED 0 SITEPROP SLICE_X39Y95 IS_TEST 0 SITEPROP SLICE_X39Y95 IS_USED 0 SITEPROP SLICE_X39Y95 MANUAL_ROUTING SITEPROP SLICE_X39Y95 NAME SLICE_X39Y95 SITEPROP SLICE_X39Y95 NUM_ARCS 138 SITEPROP SLICE_X39Y95 NUM_BELS 32 SITEPROP SLICE_X39Y95 NUM_INPUTS 32 SITEPROP SLICE_X39Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y95 NUM_PINS 45 SITEPROP SLICE_X39Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y95 PROHIBIT 0 SITEPROP SLICE_X39Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y95 RPM_X 107 SITEPROP SLICE_X39Y95 RPM_Y 190 SITEPROP SLICE_X39Y95 SITE_PIPS SITEPROP SLICE_X39Y95 SITE_TYPE SLICEL SITEPROP SLICE_X39Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y96 CLASS site SITEPROP SLICE_X39Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y96 IS_BONDED 0 SITEPROP SLICE_X39Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y96 IS_PAD 0 SITEPROP SLICE_X39Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y96 IS_RESERVED 0 SITEPROP SLICE_X39Y96 IS_TEST 0 SITEPROP SLICE_X39Y96 IS_USED 0 SITEPROP SLICE_X39Y96 MANUAL_ROUTING SITEPROP SLICE_X39Y96 NAME SLICE_X39Y96 SITEPROP SLICE_X39Y96 NUM_ARCS 138 SITEPROP SLICE_X39Y96 NUM_BELS 32 SITEPROP SLICE_X39Y96 NUM_INPUTS 32 SITEPROP SLICE_X39Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y96 NUM_PINS 45 SITEPROP SLICE_X39Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y96 PROHIBIT 0 SITEPROP SLICE_X39Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y96 RPM_X 107 SITEPROP SLICE_X39Y96 RPM_Y 192 SITEPROP SLICE_X39Y96 SITE_PIPS SITEPROP SLICE_X39Y96 SITE_TYPE SLICEL SITEPROP SLICE_X39Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y97 CLASS site SITEPROP SLICE_X39Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y97 IS_BONDED 0 SITEPROP SLICE_X39Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y97 IS_PAD 0 SITEPROP SLICE_X39Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y97 IS_RESERVED 0 SITEPROP SLICE_X39Y97 IS_TEST 0 SITEPROP SLICE_X39Y97 IS_USED 0 SITEPROP SLICE_X39Y97 MANUAL_ROUTING SITEPROP SLICE_X39Y97 NAME SLICE_X39Y97 SITEPROP SLICE_X39Y97 NUM_ARCS 138 SITEPROP SLICE_X39Y97 NUM_BELS 32 SITEPROP SLICE_X39Y97 NUM_INPUTS 32 SITEPROP SLICE_X39Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y97 NUM_PINS 45 SITEPROP SLICE_X39Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y97 PROHIBIT 0 SITEPROP SLICE_X39Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y97 RPM_X 107 SITEPROP SLICE_X39Y97 RPM_Y 194 SITEPROP SLICE_X39Y97 SITE_PIPS SITEPROP SLICE_X39Y97 SITE_TYPE SLICEL SITEPROP SLICE_X39Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y98 CLASS site SITEPROP SLICE_X39Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y98 IS_BONDED 0 SITEPROP SLICE_X39Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y98 IS_PAD 0 SITEPROP SLICE_X39Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y98 IS_RESERVED 0 SITEPROP SLICE_X39Y98 IS_TEST 0 SITEPROP SLICE_X39Y98 IS_USED 0 SITEPROP SLICE_X39Y98 MANUAL_ROUTING SITEPROP SLICE_X39Y98 NAME SLICE_X39Y98 SITEPROP SLICE_X39Y98 NUM_ARCS 138 SITEPROP SLICE_X39Y98 NUM_BELS 32 SITEPROP SLICE_X39Y98 NUM_INPUTS 32 SITEPROP SLICE_X39Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y98 NUM_PINS 45 SITEPROP SLICE_X39Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y98 PROHIBIT 0 SITEPROP SLICE_X39Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y98 RPM_X 107 SITEPROP SLICE_X39Y98 RPM_Y 196 SITEPROP SLICE_X39Y98 SITE_PIPS SITEPROP SLICE_X39Y98 SITE_TYPE SLICEL SITEPROP SLICE_X39Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y99 CLASS site SITEPROP SLICE_X39Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X39Y99 IS_BONDED 0 SITEPROP SLICE_X39Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y99 IS_PAD 0 SITEPROP SLICE_X39Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y99 IS_RESERVED 0 SITEPROP SLICE_X39Y99 IS_TEST 0 SITEPROP SLICE_X39Y99 IS_USED 0 SITEPROP SLICE_X39Y99 MANUAL_ROUTING SITEPROP SLICE_X39Y99 NAME SLICE_X39Y99 SITEPROP SLICE_X39Y99 NUM_ARCS 138 SITEPROP SLICE_X39Y99 NUM_BELS 32 SITEPROP SLICE_X39Y99 NUM_INPUTS 32 SITEPROP SLICE_X39Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y99 NUM_PINS 45 SITEPROP SLICE_X39Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y99 PROHIBIT 0 SITEPROP SLICE_X39Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y99 RPM_X 107 SITEPROP SLICE_X39Y99 RPM_Y 198 SITEPROP SLICE_X39Y99 SITE_PIPS SITEPROP SLICE_X39Y99 SITE_TYPE SLICEL SITEPROP SLICE_X39Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y100 CLASS site SITEPROP SLICE_X39Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y100 IS_BONDED 0 SITEPROP SLICE_X39Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y100 IS_PAD 0 SITEPROP SLICE_X39Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y100 IS_RESERVED 0 SITEPROP SLICE_X39Y100 IS_TEST 0 SITEPROP SLICE_X39Y100 IS_USED 0 SITEPROP SLICE_X39Y100 MANUAL_ROUTING SITEPROP SLICE_X39Y100 NAME SLICE_X39Y100 SITEPROP SLICE_X39Y100 NUM_ARCS 138 SITEPROP SLICE_X39Y100 NUM_BELS 32 SITEPROP SLICE_X39Y100 NUM_INPUTS 32 SITEPROP SLICE_X39Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y100 NUM_PINS 45 SITEPROP SLICE_X39Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y100 PROHIBIT 0 SITEPROP SLICE_X39Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y100 RPM_X 107 SITEPROP SLICE_X39Y100 RPM_Y 200 SITEPROP SLICE_X39Y100 SITE_PIPS SITEPROP SLICE_X39Y100 SITE_TYPE SLICEL SITEPROP SLICE_X39Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y101 CLASS site SITEPROP SLICE_X39Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y101 IS_BONDED 0 SITEPROP SLICE_X39Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y101 IS_PAD 0 SITEPROP SLICE_X39Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y101 IS_RESERVED 0 SITEPROP SLICE_X39Y101 IS_TEST 0 SITEPROP SLICE_X39Y101 IS_USED 0 SITEPROP SLICE_X39Y101 MANUAL_ROUTING SITEPROP SLICE_X39Y101 NAME SLICE_X39Y101 SITEPROP SLICE_X39Y101 NUM_ARCS 138 SITEPROP SLICE_X39Y101 NUM_BELS 32 SITEPROP SLICE_X39Y101 NUM_INPUTS 32 SITEPROP SLICE_X39Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y101 NUM_PINS 45 SITEPROP SLICE_X39Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y101 PROHIBIT 0 SITEPROP SLICE_X39Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y101 RPM_X 107 SITEPROP SLICE_X39Y101 RPM_Y 202 SITEPROP SLICE_X39Y101 SITE_PIPS SITEPROP SLICE_X39Y101 SITE_TYPE SLICEL SITEPROP SLICE_X39Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y102 CLASS site SITEPROP SLICE_X39Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y102 IS_BONDED 0 SITEPROP SLICE_X39Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y102 IS_PAD 0 SITEPROP SLICE_X39Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y102 IS_RESERVED 0 SITEPROP SLICE_X39Y102 IS_TEST 0 SITEPROP SLICE_X39Y102 IS_USED 0 SITEPROP SLICE_X39Y102 MANUAL_ROUTING SITEPROP SLICE_X39Y102 NAME SLICE_X39Y102 SITEPROP SLICE_X39Y102 NUM_ARCS 138 SITEPROP SLICE_X39Y102 NUM_BELS 32 SITEPROP SLICE_X39Y102 NUM_INPUTS 32 SITEPROP SLICE_X39Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y102 NUM_PINS 45 SITEPROP SLICE_X39Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y102 PROHIBIT 0 SITEPROP SLICE_X39Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y102 RPM_X 107 SITEPROP SLICE_X39Y102 RPM_Y 204 SITEPROP SLICE_X39Y102 SITE_PIPS SITEPROP SLICE_X39Y102 SITE_TYPE SLICEL SITEPROP SLICE_X39Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y103 CLASS site SITEPROP SLICE_X39Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y103 IS_BONDED 0 SITEPROP SLICE_X39Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y103 IS_PAD 0 SITEPROP SLICE_X39Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y103 IS_RESERVED 0 SITEPROP SLICE_X39Y103 IS_TEST 0 SITEPROP SLICE_X39Y103 IS_USED 0 SITEPROP SLICE_X39Y103 MANUAL_ROUTING SITEPROP SLICE_X39Y103 NAME SLICE_X39Y103 SITEPROP SLICE_X39Y103 NUM_ARCS 138 SITEPROP SLICE_X39Y103 NUM_BELS 32 SITEPROP SLICE_X39Y103 NUM_INPUTS 32 SITEPROP SLICE_X39Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y103 NUM_PINS 45 SITEPROP SLICE_X39Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y103 PROHIBIT 0 SITEPROP SLICE_X39Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y103 RPM_X 107 SITEPROP SLICE_X39Y103 RPM_Y 206 SITEPROP SLICE_X39Y103 SITE_PIPS SITEPROP SLICE_X39Y103 SITE_TYPE SLICEL SITEPROP SLICE_X39Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y104 CLASS site SITEPROP SLICE_X39Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y104 IS_BONDED 0 SITEPROP SLICE_X39Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y104 IS_PAD 0 SITEPROP SLICE_X39Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y104 IS_RESERVED 0 SITEPROP SLICE_X39Y104 IS_TEST 0 SITEPROP SLICE_X39Y104 IS_USED 0 SITEPROP SLICE_X39Y104 MANUAL_ROUTING SITEPROP SLICE_X39Y104 NAME SLICE_X39Y104 SITEPROP SLICE_X39Y104 NUM_ARCS 138 SITEPROP SLICE_X39Y104 NUM_BELS 32 SITEPROP SLICE_X39Y104 NUM_INPUTS 32 SITEPROP SLICE_X39Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y104 NUM_PINS 45 SITEPROP SLICE_X39Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y104 PROHIBIT 0 SITEPROP SLICE_X39Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y104 RPM_X 107 SITEPROP SLICE_X39Y104 RPM_Y 208 SITEPROP SLICE_X39Y104 SITE_PIPS SITEPROP SLICE_X39Y104 SITE_TYPE SLICEL SITEPROP SLICE_X39Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y105 CLASS site SITEPROP SLICE_X39Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y105 IS_BONDED 0 SITEPROP SLICE_X39Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y105 IS_PAD 0 SITEPROP SLICE_X39Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y105 IS_RESERVED 0 SITEPROP SLICE_X39Y105 IS_TEST 0 SITEPROP SLICE_X39Y105 IS_USED 0 SITEPROP SLICE_X39Y105 MANUAL_ROUTING SITEPROP SLICE_X39Y105 NAME SLICE_X39Y105 SITEPROP SLICE_X39Y105 NUM_ARCS 138 SITEPROP SLICE_X39Y105 NUM_BELS 32 SITEPROP SLICE_X39Y105 NUM_INPUTS 32 SITEPROP SLICE_X39Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y105 NUM_PINS 45 SITEPROP SLICE_X39Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y105 PROHIBIT 0 SITEPROP SLICE_X39Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y105 RPM_X 107 SITEPROP SLICE_X39Y105 RPM_Y 210 SITEPROP SLICE_X39Y105 SITE_PIPS SITEPROP SLICE_X39Y105 SITE_TYPE SLICEL SITEPROP SLICE_X39Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y106 CLASS site SITEPROP SLICE_X39Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y106 IS_BONDED 0 SITEPROP SLICE_X39Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y106 IS_PAD 0 SITEPROP SLICE_X39Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y106 IS_RESERVED 0 SITEPROP SLICE_X39Y106 IS_TEST 0 SITEPROP SLICE_X39Y106 IS_USED 0 SITEPROP SLICE_X39Y106 MANUAL_ROUTING SITEPROP SLICE_X39Y106 NAME SLICE_X39Y106 SITEPROP SLICE_X39Y106 NUM_ARCS 138 SITEPROP SLICE_X39Y106 NUM_BELS 32 SITEPROP SLICE_X39Y106 NUM_INPUTS 32 SITEPROP SLICE_X39Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y106 NUM_PINS 45 SITEPROP SLICE_X39Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y106 PROHIBIT 0 SITEPROP SLICE_X39Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y106 RPM_X 107 SITEPROP SLICE_X39Y106 RPM_Y 212 SITEPROP SLICE_X39Y106 SITE_PIPS SITEPROP SLICE_X39Y106 SITE_TYPE SLICEL SITEPROP SLICE_X39Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y107 CLASS site SITEPROP SLICE_X39Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y107 IS_BONDED 0 SITEPROP SLICE_X39Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y107 IS_PAD 0 SITEPROP SLICE_X39Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y107 IS_RESERVED 0 SITEPROP SLICE_X39Y107 IS_TEST 0 SITEPROP SLICE_X39Y107 IS_USED 0 SITEPROP SLICE_X39Y107 MANUAL_ROUTING SITEPROP SLICE_X39Y107 NAME SLICE_X39Y107 SITEPROP SLICE_X39Y107 NUM_ARCS 138 SITEPROP SLICE_X39Y107 NUM_BELS 32 SITEPROP SLICE_X39Y107 NUM_INPUTS 32 SITEPROP SLICE_X39Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y107 NUM_PINS 45 SITEPROP SLICE_X39Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y107 PROHIBIT 0 SITEPROP SLICE_X39Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y107 RPM_X 107 SITEPROP SLICE_X39Y107 RPM_Y 214 SITEPROP SLICE_X39Y107 SITE_PIPS SITEPROP SLICE_X39Y107 SITE_TYPE SLICEL SITEPROP SLICE_X39Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y108 CLASS site SITEPROP SLICE_X39Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y108 IS_BONDED 0 SITEPROP SLICE_X39Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y108 IS_PAD 0 SITEPROP SLICE_X39Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y108 IS_RESERVED 0 SITEPROP SLICE_X39Y108 IS_TEST 0 SITEPROP SLICE_X39Y108 IS_USED 0 SITEPROP SLICE_X39Y108 MANUAL_ROUTING SITEPROP SLICE_X39Y108 NAME SLICE_X39Y108 SITEPROP SLICE_X39Y108 NUM_ARCS 138 SITEPROP SLICE_X39Y108 NUM_BELS 32 SITEPROP SLICE_X39Y108 NUM_INPUTS 32 SITEPROP SLICE_X39Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y108 NUM_PINS 45 SITEPROP SLICE_X39Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y108 PROHIBIT 0 SITEPROP SLICE_X39Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y108 RPM_X 107 SITEPROP SLICE_X39Y108 RPM_Y 216 SITEPROP SLICE_X39Y108 SITE_PIPS SITEPROP SLICE_X39Y108 SITE_TYPE SLICEL SITEPROP SLICE_X39Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y109 CLASS site SITEPROP SLICE_X39Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y109 IS_BONDED 0 SITEPROP SLICE_X39Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y109 IS_PAD 0 SITEPROP SLICE_X39Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y109 IS_RESERVED 0 SITEPROP SLICE_X39Y109 IS_TEST 0 SITEPROP SLICE_X39Y109 IS_USED 0 SITEPROP SLICE_X39Y109 MANUAL_ROUTING SITEPROP SLICE_X39Y109 NAME SLICE_X39Y109 SITEPROP SLICE_X39Y109 NUM_ARCS 138 SITEPROP SLICE_X39Y109 NUM_BELS 32 SITEPROP SLICE_X39Y109 NUM_INPUTS 32 SITEPROP SLICE_X39Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y109 NUM_PINS 45 SITEPROP SLICE_X39Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y109 PROHIBIT 0 SITEPROP SLICE_X39Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y109 RPM_X 107 SITEPROP SLICE_X39Y109 RPM_Y 218 SITEPROP SLICE_X39Y109 SITE_PIPS SITEPROP SLICE_X39Y109 SITE_TYPE SLICEL SITEPROP SLICE_X39Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y110 CLASS site SITEPROP SLICE_X39Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y110 IS_BONDED 0 SITEPROP SLICE_X39Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y110 IS_PAD 0 SITEPROP SLICE_X39Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y110 IS_RESERVED 0 SITEPROP SLICE_X39Y110 IS_TEST 0 SITEPROP SLICE_X39Y110 IS_USED 0 SITEPROP SLICE_X39Y110 MANUAL_ROUTING SITEPROP SLICE_X39Y110 NAME SLICE_X39Y110 SITEPROP SLICE_X39Y110 NUM_ARCS 138 SITEPROP SLICE_X39Y110 NUM_BELS 32 SITEPROP SLICE_X39Y110 NUM_INPUTS 32 SITEPROP SLICE_X39Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y110 NUM_PINS 45 SITEPROP SLICE_X39Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y110 PROHIBIT 0 SITEPROP SLICE_X39Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y110 RPM_X 107 SITEPROP SLICE_X39Y110 RPM_Y 220 SITEPROP SLICE_X39Y110 SITE_PIPS SITEPROP SLICE_X39Y110 SITE_TYPE SLICEL SITEPROP SLICE_X39Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y111 CLASS site SITEPROP SLICE_X39Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y111 IS_BONDED 0 SITEPROP SLICE_X39Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y111 IS_PAD 0 SITEPROP SLICE_X39Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y111 IS_RESERVED 0 SITEPROP SLICE_X39Y111 IS_TEST 0 SITEPROP SLICE_X39Y111 IS_USED 0 SITEPROP SLICE_X39Y111 MANUAL_ROUTING SITEPROP SLICE_X39Y111 NAME SLICE_X39Y111 SITEPROP SLICE_X39Y111 NUM_ARCS 138 SITEPROP SLICE_X39Y111 NUM_BELS 32 SITEPROP SLICE_X39Y111 NUM_INPUTS 32 SITEPROP SLICE_X39Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y111 NUM_PINS 45 SITEPROP SLICE_X39Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y111 PROHIBIT 0 SITEPROP SLICE_X39Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y111 RPM_X 107 SITEPROP SLICE_X39Y111 RPM_Y 222 SITEPROP SLICE_X39Y111 SITE_PIPS SITEPROP SLICE_X39Y111 SITE_TYPE SLICEL SITEPROP SLICE_X39Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y112 CLASS site SITEPROP SLICE_X39Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y112 IS_BONDED 0 SITEPROP SLICE_X39Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y112 IS_PAD 0 SITEPROP SLICE_X39Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y112 IS_RESERVED 0 SITEPROP SLICE_X39Y112 IS_TEST 0 SITEPROP SLICE_X39Y112 IS_USED 0 SITEPROP SLICE_X39Y112 MANUAL_ROUTING SITEPROP SLICE_X39Y112 NAME SLICE_X39Y112 SITEPROP SLICE_X39Y112 NUM_ARCS 138 SITEPROP SLICE_X39Y112 NUM_BELS 32 SITEPROP SLICE_X39Y112 NUM_INPUTS 32 SITEPROP SLICE_X39Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y112 NUM_PINS 45 SITEPROP SLICE_X39Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y112 PROHIBIT 0 SITEPROP SLICE_X39Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y112 RPM_X 107 SITEPROP SLICE_X39Y112 RPM_Y 224 SITEPROP SLICE_X39Y112 SITE_PIPS SITEPROP SLICE_X39Y112 SITE_TYPE SLICEL SITEPROP SLICE_X39Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y113 CLASS site SITEPROP SLICE_X39Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y113 IS_BONDED 0 SITEPROP SLICE_X39Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y113 IS_PAD 0 SITEPROP SLICE_X39Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y113 IS_RESERVED 0 SITEPROP SLICE_X39Y113 IS_TEST 0 SITEPROP SLICE_X39Y113 IS_USED 0 SITEPROP SLICE_X39Y113 MANUAL_ROUTING SITEPROP SLICE_X39Y113 NAME SLICE_X39Y113 SITEPROP SLICE_X39Y113 NUM_ARCS 138 SITEPROP SLICE_X39Y113 NUM_BELS 32 SITEPROP SLICE_X39Y113 NUM_INPUTS 32 SITEPROP SLICE_X39Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y113 NUM_PINS 45 SITEPROP SLICE_X39Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y113 PROHIBIT 0 SITEPROP SLICE_X39Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y113 RPM_X 107 SITEPROP SLICE_X39Y113 RPM_Y 226 SITEPROP SLICE_X39Y113 SITE_PIPS SITEPROP SLICE_X39Y113 SITE_TYPE SLICEL SITEPROP SLICE_X39Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y114 CLASS site SITEPROP SLICE_X39Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y114 IS_BONDED 0 SITEPROP SLICE_X39Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y114 IS_PAD 0 SITEPROP SLICE_X39Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y114 IS_RESERVED 0 SITEPROP SLICE_X39Y114 IS_TEST 0 SITEPROP SLICE_X39Y114 IS_USED 0 SITEPROP SLICE_X39Y114 MANUAL_ROUTING SITEPROP SLICE_X39Y114 NAME SLICE_X39Y114 SITEPROP SLICE_X39Y114 NUM_ARCS 138 SITEPROP SLICE_X39Y114 NUM_BELS 32 SITEPROP SLICE_X39Y114 NUM_INPUTS 32 SITEPROP SLICE_X39Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y114 NUM_PINS 45 SITEPROP SLICE_X39Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y114 PROHIBIT 0 SITEPROP SLICE_X39Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y114 RPM_X 107 SITEPROP SLICE_X39Y114 RPM_Y 228 SITEPROP SLICE_X39Y114 SITE_PIPS SITEPROP SLICE_X39Y114 SITE_TYPE SLICEL SITEPROP SLICE_X39Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y115 CLASS site SITEPROP SLICE_X39Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y115 IS_BONDED 0 SITEPROP SLICE_X39Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y115 IS_PAD 0 SITEPROP SLICE_X39Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y115 IS_RESERVED 0 SITEPROP SLICE_X39Y115 IS_TEST 0 SITEPROP SLICE_X39Y115 IS_USED 0 SITEPROP SLICE_X39Y115 MANUAL_ROUTING SITEPROP SLICE_X39Y115 NAME SLICE_X39Y115 SITEPROP SLICE_X39Y115 NUM_ARCS 138 SITEPROP SLICE_X39Y115 NUM_BELS 32 SITEPROP SLICE_X39Y115 NUM_INPUTS 32 SITEPROP SLICE_X39Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y115 NUM_PINS 45 SITEPROP SLICE_X39Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y115 PROHIBIT 0 SITEPROP SLICE_X39Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y115 RPM_X 107 SITEPROP SLICE_X39Y115 RPM_Y 230 SITEPROP SLICE_X39Y115 SITE_PIPS SITEPROP SLICE_X39Y115 SITE_TYPE SLICEL SITEPROP SLICE_X39Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y116 CLASS site SITEPROP SLICE_X39Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y116 IS_BONDED 0 SITEPROP SLICE_X39Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y116 IS_PAD 0 SITEPROP SLICE_X39Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y116 IS_RESERVED 0 SITEPROP SLICE_X39Y116 IS_TEST 0 SITEPROP SLICE_X39Y116 IS_USED 0 SITEPROP SLICE_X39Y116 MANUAL_ROUTING SITEPROP SLICE_X39Y116 NAME SLICE_X39Y116 SITEPROP SLICE_X39Y116 NUM_ARCS 138 SITEPROP SLICE_X39Y116 NUM_BELS 32 SITEPROP SLICE_X39Y116 NUM_INPUTS 32 SITEPROP SLICE_X39Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y116 NUM_PINS 45 SITEPROP SLICE_X39Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y116 PROHIBIT 0 SITEPROP SLICE_X39Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y116 RPM_X 107 SITEPROP SLICE_X39Y116 RPM_Y 232 SITEPROP SLICE_X39Y116 SITE_PIPS SITEPROP SLICE_X39Y116 SITE_TYPE SLICEL SITEPROP SLICE_X39Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y117 CLASS site SITEPROP SLICE_X39Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y117 IS_BONDED 0 SITEPROP SLICE_X39Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y117 IS_PAD 0 SITEPROP SLICE_X39Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y117 IS_RESERVED 0 SITEPROP SLICE_X39Y117 IS_TEST 0 SITEPROP SLICE_X39Y117 IS_USED 0 SITEPROP SLICE_X39Y117 MANUAL_ROUTING SITEPROP SLICE_X39Y117 NAME SLICE_X39Y117 SITEPROP SLICE_X39Y117 NUM_ARCS 138 SITEPROP SLICE_X39Y117 NUM_BELS 32 SITEPROP SLICE_X39Y117 NUM_INPUTS 32 SITEPROP SLICE_X39Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y117 NUM_PINS 45 SITEPROP SLICE_X39Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y117 PROHIBIT 0 SITEPROP SLICE_X39Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y117 RPM_X 107 SITEPROP SLICE_X39Y117 RPM_Y 234 SITEPROP SLICE_X39Y117 SITE_PIPS SITEPROP SLICE_X39Y117 SITE_TYPE SLICEL SITEPROP SLICE_X39Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y118 CLASS site SITEPROP SLICE_X39Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y118 IS_BONDED 0 SITEPROP SLICE_X39Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y118 IS_PAD 0 SITEPROP SLICE_X39Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y118 IS_RESERVED 0 SITEPROP SLICE_X39Y118 IS_TEST 0 SITEPROP SLICE_X39Y118 IS_USED 0 SITEPROP SLICE_X39Y118 MANUAL_ROUTING SITEPROP SLICE_X39Y118 NAME SLICE_X39Y118 SITEPROP SLICE_X39Y118 NUM_ARCS 138 SITEPROP SLICE_X39Y118 NUM_BELS 32 SITEPROP SLICE_X39Y118 NUM_INPUTS 32 SITEPROP SLICE_X39Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y118 NUM_PINS 45 SITEPROP SLICE_X39Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y118 PROHIBIT 0 SITEPROP SLICE_X39Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y118 RPM_X 107 SITEPROP SLICE_X39Y118 RPM_Y 236 SITEPROP SLICE_X39Y118 SITE_PIPS SITEPROP SLICE_X39Y118 SITE_TYPE SLICEL SITEPROP SLICE_X39Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y119 CLASS site SITEPROP SLICE_X39Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y119 IS_BONDED 0 SITEPROP SLICE_X39Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y119 IS_PAD 0 SITEPROP SLICE_X39Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y119 IS_RESERVED 0 SITEPROP SLICE_X39Y119 IS_TEST 0 SITEPROP SLICE_X39Y119 IS_USED 0 SITEPROP SLICE_X39Y119 MANUAL_ROUTING SITEPROP SLICE_X39Y119 NAME SLICE_X39Y119 SITEPROP SLICE_X39Y119 NUM_ARCS 138 SITEPROP SLICE_X39Y119 NUM_BELS 32 SITEPROP SLICE_X39Y119 NUM_INPUTS 32 SITEPROP SLICE_X39Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y119 NUM_PINS 45 SITEPROP SLICE_X39Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y119 PROHIBIT 0 SITEPROP SLICE_X39Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y119 RPM_X 107 SITEPROP SLICE_X39Y119 RPM_Y 238 SITEPROP SLICE_X39Y119 SITE_PIPS SITEPROP SLICE_X39Y119 SITE_TYPE SLICEL SITEPROP SLICE_X39Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y120 CLASS site SITEPROP SLICE_X39Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y120 IS_BONDED 0 SITEPROP SLICE_X39Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y120 IS_PAD 0 SITEPROP SLICE_X39Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y120 IS_RESERVED 0 SITEPROP SLICE_X39Y120 IS_TEST 0 SITEPROP SLICE_X39Y120 IS_USED 0 SITEPROP SLICE_X39Y120 MANUAL_ROUTING SITEPROP SLICE_X39Y120 NAME SLICE_X39Y120 SITEPROP SLICE_X39Y120 NUM_ARCS 138 SITEPROP SLICE_X39Y120 NUM_BELS 32 SITEPROP SLICE_X39Y120 NUM_INPUTS 32 SITEPROP SLICE_X39Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y120 NUM_PINS 45 SITEPROP SLICE_X39Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y120 PROHIBIT 0 SITEPROP SLICE_X39Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y120 RPM_X 107 SITEPROP SLICE_X39Y120 RPM_Y 240 SITEPROP SLICE_X39Y120 SITE_PIPS SITEPROP SLICE_X39Y120 SITE_TYPE SLICEL SITEPROP SLICE_X39Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y121 CLASS site SITEPROP SLICE_X39Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y121 IS_BONDED 0 SITEPROP SLICE_X39Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y121 IS_PAD 0 SITEPROP SLICE_X39Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y121 IS_RESERVED 0 SITEPROP SLICE_X39Y121 IS_TEST 0 SITEPROP SLICE_X39Y121 IS_USED 0 SITEPROP SLICE_X39Y121 MANUAL_ROUTING SITEPROP SLICE_X39Y121 NAME SLICE_X39Y121 SITEPROP SLICE_X39Y121 NUM_ARCS 138 SITEPROP SLICE_X39Y121 NUM_BELS 32 SITEPROP SLICE_X39Y121 NUM_INPUTS 32 SITEPROP SLICE_X39Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y121 NUM_PINS 45 SITEPROP SLICE_X39Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y121 PROHIBIT 0 SITEPROP SLICE_X39Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y121 RPM_X 107 SITEPROP SLICE_X39Y121 RPM_Y 242 SITEPROP SLICE_X39Y121 SITE_PIPS SITEPROP SLICE_X39Y121 SITE_TYPE SLICEL SITEPROP SLICE_X39Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y122 CLASS site SITEPROP SLICE_X39Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y122 IS_BONDED 0 SITEPROP SLICE_X39Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y122 IS_PAD 0 SITEPROP SLICE_X39Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y122 IS_RESERVED 0 SITEPROP SLICE_X39Y122 IS_TEST 0 SITEPROP SLICE_X39Y122 IS_USED 0 SITEPROP SLICE_X39Y122 MANUAL_ROUTING SITEPROP SLICE_X39Y122 NAME SLICE_X39Y122 SITEPROP SLICE_X39Y122 NUM_ARCS 138 SITEPROP SLICE_X39Y122 NUM_BELS 32 SITEPROP SLICE_X39Y122 NUM_INPUTS 32 SITEPROP SLICE_X39Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y122 NUM_PINS 45 SITEPROP SLICE_X39Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y122 PROHIBIT 0 SITEPROP SLICE_X39Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y122 RPM_X 107 SITEPROP SLICE_X39Y122 RPM_Y 244 SITEPROP SLICE_X39Y122 SITE_PIPS SITEPROP SLICE_X39Y122 SITE_TYPE SLICEL SITEPROP SLICE_X39Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y123 CLASS site SITEPROP SLICE_X39Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y123 IS_BONDED 0 SITEPROP SLICE_X39Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y123 IS_PAD 0 SITEPROP SLICE_X39Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y123 IS_RESERVED 0 SITEPROP SLICE_X39Y123 IS_TEST 0 SITEPROP SLICE_X39Y123 IS_USED 0 SITEPROP SLICE_X39Y123 MANUAL_ROUTING SITEPROP SLICE_X39Y123 NAME SLICE_X39Y123 SITEPROP SLICE_X39Y123 NUM_ARCS 138 SITEPROP SLICE_X39Y123 NUM_BELS 32 SITEPROP SLICE_X39Y123 NUM_INPUTS 32 SITEPROP SLICE_X39Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y123 NUM_PINS 45 SITEPROP SLICE_X39Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y123 PROHIBIT 0 SITEPROP SLICE_X39Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y123 RPM_X 107 SITEPROP SLICE_X39Y123 RPM_Y 246 SITEPROP SLICE_X39Y123 SITE_PIPS SITEPROP SLICE_X39Y123 SITE_TYPE SLICEL SITEPROP SLICE_X39Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y124 CLASS site SITEPROP SLICE_X39Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y124 IS_BONDED 0 SITEPROP SLICE_X39Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y124 IS_PAD 0 SITEPROP SLICE_X39Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y124 IS_RESERVED 0 SITEPROP SLICE_X39Y124 IS_TEST 0 SITEPROP SLICE_X39Y124 IS_USED 0 SITEPROP SLICE_X39Y124 MANUAL_ROUTING SITEPROP SLICE_X39Y124 NAME SLICE_X39Y124 SITEPROP SLICE_X39Y124 NUM_ARCS 138 SITEPROP SLICE_X39Y124 NUM_BELS 32 SITEPROP SLICE_X39Y124 NUM_INPUTS 32 SITEPROP SLICE_X39Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y124 NUM_PINS 45 SITEPROP SLICE_X39Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y124 PROHIBIT 0 SITEPROP SLICE_X39Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y124 RPM_X 107 SITEPROP SLICE_X39Y124 RPM_Y 248 SITEPROP SLICE_X39Y124 SITE_PIPS SITEPROP SLICE_X39Y124 SITE_TYPE SLICEL SITEPROP SLICE_X39Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y125 CLASS site SITEPROP SLICE_X39Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y125 IS_BONDED 0 SITEPROP SLICE_X39Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y125 IS_PAD 0 SITEPROP SLICE_X39Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y125 IS_RESERVED 0 SITEPROP SLICE_X39Y125 IS_TEST 0 SITEPROP SLICE_X39Y125 IS_USED 0 SITEPROP SLICE_X39Y125 MANUAL_ROUTING SITEPROP SLICE_X39Y125 NAME SLICE_X39Y125 SITEPROP SLICE_X39Y125 NUM_ARCS 138 SITEPROP SLICE_X39Y125 NUM_BELS 32 SITEPROP SLICE_X39Y125 NUM_INPUTS 32 SITEPROP SLICE_X39Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y125 NUM_PINS 45 SITEPROP SLICE_X39Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y125 PROHIBIT 0 SITEPROP SLICE_X39Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y125 RPM_X 107 SITEPROP SLICE_X39Y125 RPM_Y 250 SITEPROP SLICE_X39Y125 SITE_PIPS SITEPROP SLICE_X39Y125 SITE_TYPE SLICEL SITEPROP SLICE_X39Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y126 CLASS site SITEPROP SLICE_X39Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y126 IS_BONDED 0 SITEPROP SLICE_X39Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y126 IS_PAD 0 SITEPROP SLICE_X39Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y126 IS_RESERVED 0 SITEPROP SLICE_X39Y126 IS_TEST 0 SITEPROP SLICE_X39Y126 IS_USED 0 SITEPROP SLICE_X39Y126 MANUAL_ROUTING SITEPROP SLICE_X39Y126 NAME SLICE_X39Y126 SITEPROP SLICE_X39Y126 NUM_ARCS 138 SITEPROP SLICE_X39Y126 NUM_BELS 32 SITEPROP SLICE_X39Y126 NUM_INPUTS 32 SITEPROP SLICE_X39Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y126 NUM_PINS 45 SITEPROP SLICE_X39Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y126 PROHIBIT 0 SITEPROP SLICE_X39Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y126 RPM_X 107 SITEPROP SLICE_X39Y126 RPM_Y 252 SITEPROP SLICE_X39Y126 SITE_PIPS SITEPROP SLICE_X39Y126 SITE_TYPE SLICEL SITEPROP SLICE_X39Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y127 CLASS site SITEPROP SLICE_X39Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y127 IS_BONDED 0 SITEPROP SLICE_X39Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y127 IS_PAD 0 SITEPROP SLICE_X39Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y127 IS_RESERVED 0 SITEPROP SLICE_X39Y127 IS_TEST 0 SITEPROP SLICE_X39Y127 IS_USED 0 SITEPROP SLICE_X39Y127 MANUAL_ROUTING SITEPROP SLICE_X39Y127 NAME SLICE_X39Y127 SITEPROP SLICE_X39Y127 NUM_ARCS 138 SITEPROP SLICE_X39Y127 NUM_BELS 32 SITEPROP SLICE_X39Y127 NUM_INPUTS 32 SITEPROP SLICE_X39Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y127 NUM_PINS 45 SITEPROP SLICE_X39Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y127 PROHIBIT 0 SITEPROP SLICE_X39Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y127 RPM_X 107 SITEPROP SLICE_X39Y127 RPM_Y 254 SITEPROP SLICE_X39Y127 SITE_PIPS SITEPROP SLICE_X39Y127 SITE_TYPE SLICEL SITEPROP SLICE_X39Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y128 CLASS site SITEPROP SLICE_X39Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y128 IS_BONDED 0 SITEPROP SLICE_X39Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y128 IS_PAD 0 SITEPROP SLICE_X39Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y128 IS_RESERVED 0 SITEPROP SLICE_X39Y128 IS_TEST 0 SITEPROP SLICE_X39Y128 IS_USED 0 SITEPROP SLICE_X39Y128 MANUAL_ROUTING SITEPROP SLICE_X39Y128 NAME SLICE_X39Y128 SITEPROP SLICE_X39Y128 NUM_ARCS 138 SITEPROP SLICE_X39Y128 NUM_BELS 32 SITEPROP SLICE_X39Y128 NUM_INPUTS 32 SITEPROP SLICE_X39Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y128 NUM_PINS 45 SITEPROP SLICE_X39Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y128 PROHIBIT 0 SITEPROP SLICE_X39Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y128 RPM_X 107 SITEPROP SLICE_X39Y128 RPM_Y 256 SITEPROP SLICE_X39Y128 SITE_PIPS SITEPROP SLICE_X39Y128 SITE_TYPE SLICEL SITEPROP SLICE_X39Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y129 CLASS site SITEPROP SLICE_X39Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y129 IS_BONDED 0 SITEPROP SLICE_X39Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y129 IS_PAD 0 SITEPROP SLICE_X39Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y129 IS_RESERVED 0 SITEPROP SLICE_X39Y129 IS_TEST 0 SITEPROP SLICE_X39Y129 IS_USED 0 SITEPROP SLICE_X39Y129 MANUAL_ROUTING SITEPROP SLICE_X39Y129 NAME SLICE_X39Y129 SITEPROP SLICE_X39Y129 NUM_ARCS 138 SITEPROP SLICE_X39Y129 NUM_BELS 32 SITEPROP SLICE_X39Y129 NUM_INPUTS 32 SITEPROP SLICE_X39Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y129 NUM_PINS 45 SITEPROP SLICE_X39Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y129 PROHIBIT 0 SITEPROP SLICE_X39Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y129 RPM_X 107 SITEPROP SLICE_X39Y129 RPM_Y 258 SITEPROP SLICE_X39Y129 SITE_PIPS SITEPROP SLICE_X39Y129 SITE_TYPE SLICEL SITEPROP SLICE_X39Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y130 CLASS site SITEPROP SLICE_X39Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y130 IS_BONDED 0 SITEPROP SLICE_X39Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y130 IS_PAD 0 SITEPROP SLICE_X39Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y130 IS_RESERVED 0 SITEPROP SLICE_X39Y130 IS_TEST 0 SITEPROP SLICE_X39Y130 IS_USED 0 SITEPROP SLICE_X39Y130 MANUAL_ROUTING SITEPROP SLICE_X39Y130 NAME SLICE_X39Y130 SITEPROP SLICE_X39Y130 NUM_ARCS 138 SITEPROP SLICE_X39Y130 NUM_BELS 32 SITEPROP SLICE_X39Y130 NUM_INPUTS 32 SITEPROP SLICE_X39Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y130 NUM_PINS 45 SITEPROP SLICE_X39Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y130 PROHIBIT 0 SITEPROP SLICE_X39Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y130 RPM_X 107 SITEPROP SLICE_X39Y130 RPM_Y 260 SITEPROP SLICE_X39Y130 SITE_PIPS SITEPROP SLICE_X39Y130 SITE_TYPE SLICEL SITEPROP SLICE_X39Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y131 CLASS site SITEPROP SLICE_X39Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y131 IS_BONDED 0 SITEPROP SLICE_X39Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y131 IS_PAD 0 SITEPROP SLICE_X39Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y131 IS_RESERVED 0 SITEPROP SLICE_X39Y131 IS_TEST 0 SITEPROP SLICE_X39Y131 IS_USED 0 SITEPROP SLICE_X39Y131 MANUAL_ROUTING SITEPROP SLICE_X39Y131 NAME SLICE_X39Y131 SITEPROP SLICE_X39Y131 NUM_ARCS 138 SITEPROP SLICE_X39Y131 NUM_BELS 32 SITEPROP SLICE_X39Y131 NUM_INPUTS 32 SITEPROP SLICE_X39Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y131 NUM_PINS 45 SITEPROP SLICE_X39Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y131 PROHIBIT 0 SITEPROP SLICE_X39Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y131 RPM_X 107 SITEPROP SLICE_X39Y131 RPM_Y 262 SITEPROP SLICE_X39Y131 SITE_PIPS SITEPROP SLICE_X39Y131 SITE_TYPE SLICEL SITEPROP SLICE_X39Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y132 CLASS site SITEPROP SLICE_X39Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y132 IS_BONDED 0 SITEPROP SLICE_X39Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y132 IS_PAD 0 SITEPROP SLICE_X39Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y132 IS_RESERVED 0 SITEPROP SLICE_X39Y132 IS_TEST 0 SITEPROP SLICE_X39Y132 IS_USED 0 SITEPROP SLICE_X39Y132 MANUAL_ROUTING SITEPROP SLICE_X39Y132 NAME SLICE_X39Y132 SITEPROP SLICE_X39Y132 NUM_ARCS 138 SITEPROP SLICE_X39Y132 NUM_BELS 32 SITEPROP SLICE_X39Y132 NUM_INPUTS 32 SITEPROP SLICE_X39Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y132 NUM_PINS 45 SITEPROP SLICE_X39Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y132 PROHIBIT 0 SITEPROP SLICE_X39Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y132 RPM_X 107 SITEPROP SLICE_X39Y132 RPM_Y 264 SITEPROP SLICE_X39Y132 SITE_PIPS SITEPROP SLICE_X39Y132 SITE_TYPE SLICEL SITEPROP SLICE_X39Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y133 CLASS site SITEPROP SLICE_X39Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y133 IS_BONDED 0 SITEPROP SLICE_X39Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y133 IS_PAD 0 SITEPROP SLICE_X39Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y133 IS_RESERVED 0 SITEPROP SLICE_X39Y133 IS_TEST 0 SITEPROP SLICE_X39Y133 IS_USED 0 SITEPROP SLICE_X39Y133 MANUAL_ROUTING SITEPROP SLICE_X39Y133 NAME SLICE_X39Y133 SITEPROP SLICE_X39Y133 NUM_ARCS 138 SITEPROP SLICE_X39Y133 NUM_BELS 32 SITEPROP SLICE_X39Y133 NUM_INPUTS 32 SITEPROP SLICE_X39Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y133 NUM_PINS 45 SITEPROP SLICE_X39Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y133 PROHIBIT 0 SITEPROP SLICE_X39Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y133 RPM_X 107 SITEPROP SLICE_X39Y133 RPM_Y 266 SITEPROP SLICE_X39Y133 SITE_PIPS SITEPROP SLICE_X39Y133 SITE_TYPE SLICEL SITEPROP SLICE_X39Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y134 CLASS site SITEPROP SLICE_X39Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y134 IS_BONDED 0 SITEPROP SLICE_X39Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y134 IS_PAD 0 SITEPROP SLICE_X39Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y134 IS_RESERVED 0 SITEPROP SLICE_X39Y134 IS_TEST 0 SITEPROP SLICE_X39Y134 IS_USED 0 SITEPROP SLICE_X39Y134 MANUAL_ROUTING SITEPROP SLICE_X39Y134 NAME SLICE_X39Y134 SITEPROP SLICE_X39Y134 NUM_ARCS 138 SITEPROP SLICE_X39Y134 NUM_BELS 32 SITEPROP SLICE_X39Y134 NUM_INPUTS 32 SITEPROP SLICE_X39Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y134 NUM_PINS 45 SITEPROP SLICE_X39Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y134 PROHIBIT 0 SITEPROP SLICE_X39Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y134 RPM_X 107 SITEPROP SLICE_X39Y134 RPM_Y 268 SITEPROP SLICE_X39Y134 SITE_PIPS SITEPROP SLICE_X39Y134 SITE_TYPE SLICEL SITEPROP SLICE_X39Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y135 CLASS site SITEPROP SLICE_X39Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y135 IS_BONDED 0 SITEPROP SLICE_X39Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y135 IS_PAD 0 SITEPROP SLICE_X39Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y135 IS_RESERVED 0 SITEPROP SLICE_X39Y135 IS_TEST 0 SITEPROP SLICE_X39Y135 IS_USED 0 SITEPROP SLICE_X39Y135 MANUAL_ROUTING SITEPROP SLICE_X39Y135 NAME SLICE_X39Y135 SITEPROP SLICE_X39Y135 NUM_ARCS 138 SITEPROP SLICE_X39Y135 NUM_BELS 32 SITEPROP SLICE_X39Y135 NUM_INPUTS 32 SITEPROP SLICE_X39Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y135 NUM_PINS 45 SITEPROP SLICE_X39Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y135 PROHIBIT 0 SITEPROP SLICE_X39Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y135 RPM_X 107 SITEPROP SLICE_X39Y135 RPM_Y 270 SITEPROP SLICE_X39Y135 SITE_PIPS SITEPROP SLICE_X39Y135 SITE_TYPE SLICEL SITEPROP SLICE_X39Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y136 CLASS site SITEPROP SLICE_X39Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y136 IS_BONDED 0 SITEPROP SLICE_X39Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y136 IS_PAD 0 SITEPROP SLICE_X39Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y136 IS_RESERVED 0 SITEPROP SLICE_X39Y136 IS_TEST 0 SITEPROP SLICE_X39Y136 IS_USED 0 SITEPROP SLICE_X39Y136 MANUAL_ROUTING SITEPROP SLICE_X39Y136 NAME SLICE_X39Y136 SITEPROP SLICE_X39Y136 NUM_ARCS 138 SITEPROP SLICE_X39Y136 NUM_BELS 32 SITEPROP SLICE_X39Y136 NUM_INPUTS 32 SITEPROP SLICE_X39Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y136 NUM_PINS 45 SITEPROP SLICE_X39Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y136 PROHIBIT 0 SITEPROP SLICE_X39Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y136 RPM_X 107 SITEPROP SLICE_X39Y136 RPM_Y 272 SITEPROP SLICE_X39Y136 SITE_PIPS SITEPROP SLICE_X39Y136 SITE_TYPE SLICEL SITEPROP SLICE_X39Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y137 CLASS site SITEPROP SLICE_X39Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y137 IS_BONDED 0 SITEPROP SLICE_X39Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y137 IS_PAD 0 SITEPROP SLICE_X39Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y137 IS_RESERVED 0 SITEPROP SLICE_X39Y137 IS_TEST 0 SITEPROP SLICE_X39Y137 IS_USED 0 SITEPROP SLICE_X39Y137 MANUAL_ROUTING SITEPROP SLICE_X39Y137 NAME SLICE_X39Y137 SITEPROP SLICE_X39Y137 NUM_ARCS 138 SITEPROP SLICE_X39Y137 NUM_BELS 32 SITEPROP SLICE_X39Y137 NUM_INPUTS 32 SITEPROP SLICE_X39Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y137 NUM_PINS 45 SITEPROP SLICE_X39Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y137 PROHIBIT 0 SITEPROP SLICE_X39Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y137 RPM_X 107 SITEPROP SLICE_X39Y137 RPM_Y 274 SITEPROP SLICE_X39Y137 SITE_PIPS SITEPROP SLICE_X39Y137 SITE_TYPE SLICEL SITEPROP SLICE_X39Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y138 CLASS site SITEPROP SLICE_X39Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y138 IS_BONDED 0 SITEPROP SLICE_X39Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y138 IS_PAD 0 SITEPROP SLICE_X39Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y138 IS_RESERVED 0 SITEPROP SLICE_X39Y138 IS_TEST 0 SITEPROP SLICE_X39Y138 IS_USED 0 SITEPROP SLICE_X39Y138 MANUAL_ROUTING SITEPROP SLICE_X39Y138 NAME SLICE_X39Y138 SITEPROP SLICE_X39Y138 NUM_ARCS 138 SITEPROP SLICE_X39Y138 NUM_BELS 32 SITEPROP SLICE_X39Y138 NUM_INPUTS 32 SITEPROP SLICE_X39Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y138 NUM_PINS 45 SITEPROP SLICE_X39Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y138 PROHIBIT 0 SITEPROP SLICE_X39Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y138 RPM_X 107 SITEPROP SLICE_X39Y138 RPM_Y 276 SITEPROP SLICE_X39Y138 SITE_PIPS SITEPROP SLICE_X39Y138 SITE_TYPE SLICEL SITEPROP SLICE_X39Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y139 CLASS site SITEPROP SLICE_X39Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y139 IS_BONDED 0 SITEPROP SLICE_X39Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y139 IS_PAD 0 SITEPROP SLICE_X39Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y139 IS_RESERVED 0 SITEPROP SLICE_X39Y139 IS_TEST 0 SITEPROP SLICE_X39Y139 IS_USED 0 SITEPROP SLICE_X39Y139 MANUAL_ROUTING SITEPROP SLICE_X39Y139 NAME SLICE_X39Y139 SITEPROP SLICE_X39Y139 NUM_ARCS 138 SITEPROP SLICE_X39Y139 NUM_BELS 32 SITEPROP SLICE_X39Y139 NUM_INPUTS 32 SITEPROP SLICE_X39Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y139 NUM_PINS 45 SITEPROP SLICE_X39Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y139 PROHIBIT 0 SITEPROP SLICE_X39Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y139 RPM_X 107 SITEPROP SLICE_X39Y139 RPM_Y 278 SITEPROP SLICE_X39Y139 SITE_PIPS SITEPROP SLICE_X39Y139 SITE_TYPE SLICEL SITEPROP SLICE_X39Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y140 CLASS site SITEPROP SLICE_X39Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y140 IS_BONDED 0 SITEPROP SLICE_X39Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y140 IS_PAD 0 SITEPROP SLICE_X39Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y140 IS_RESERVED 0 SITEPROP SLICE_X39Y140 IS_TEST 0 SITEPROP SLICE_X39Y140 IS_USED 0 SITEPROP SLICE_X39Y140 MANUAL_ROUTING SITEPROP SLICE_X39Y140 NAME SLICE_X39Y140 SITEPROP SLICE_X39Y140 NUM_ARCS 138 SITEPROP SLICE_X39Y140 NUM_BELS 32 SITEPROP SLICE_X39Y140 NUM_INPUTS 32 SITEPROP SLICE_X39Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y140 NUM_PINS 45 SITEPROP SLICE_X39Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y140 PROHIBIT 0 SITEPROP SLICE_X39Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y140 RPM_X 107 SITEPROP SLICE_X39Y140 RPM_Y 280 SITEPROP SLICE_X39Y140 SITE_PIPS SITEPROP SLICE_X39Y140 SITE_TYPE SLICEL SITEPROP SLICE_X39Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y141 CLASS site SITEPROP SLICE_X39Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y141 IS_BONDED 0 SITEPROP SLICE_X39Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y141 IS_PAD 0 SITEPROP SLICE_X39Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y141 IS_RESERVED 0 SITEPROP SLICE_X39Y141 IS_TEST 0 SITEPROP SLICE_X39Y141 IS_USED 0 SITEPROP SLICE_X39Y141 MANUAL_ROUTING SITEPROP SLICE_X39Y141 NAME SLICE_X39Y141 SITEPROP SLICE_X39Y141 NUM_ARCS 138 SITEPROP SLICE_X39Y141 NUM_BELS 32 SITEPROP SLICE_X39Y141 NUM_INPUTS 32 SITEPROP SLICE_X39Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y141 NUM_PINS 45 SITEPROP SLICE_X39Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y141 PROHIBIT 0 SITEPROP SLICE_X39Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y141 RPM_X 107 SITEPROP SLICE_X39Y141 RPM_Y 282 SITEPROP SLICE_X39Y141 SITE_PIPS SITEPROP SLICE_X39Y141 SITE_TYPE SLICEL SITEPROP SLICE_X39Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y142 CLASS site SITEPROP SLICE_X39Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y142 IS_BONDED 0 SITEPROP SLICE_X39Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y142 IS_PAD 0 SITEPROP SLICE_X39Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y142 IS_RESERVED 0 SITEPROP SLICE_X39Y142 IS_TEST 0 SITEPROP SLICE_X39Y142 IS_USED 0 SITEPROP SLICE_X39Y142 MANUAL_ROUTING SITEPROP SLICE_X39Y142 NAME SLICE_X39Y142 SITEPROP SLICE_X39Y142 NUM_ARCS 138 SITEPROP SLICE_X39Y142 NUM_BELS 32 SITEPROP SLICE_X39Y142 NUM_INPUTS 32 SITEPROP SLICE_X39Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y142 NUM_PINS 45 SITEPROP SLICE_X39Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y142 PROHIBIT 0 SITEPROP SLICE_X39Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y142 RPM_X 107 SITEPROP SLICE_X39Y142 RPM_Y 284 SITEPROP SLICE_X39Y142 SITE_PIPS SITEPROP SLICE_X39Y142 SITE_TYPE SLICEL SITEPROP SLICE_X39Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y143 CLASS site SITEPROP SLICE_X39Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y143 IS_BONDED 0 SITEPROP SLICE_X39Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y143 IS_PAD 0 SITEPROP SLICE_X39Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y143 IS_RESERVED 0 SITEPROP SLICE_X39Y143 IS_TEST 0 SITEPROP SLICE_X39Y143 IS_USED 0 SITEPROP SLICE_X39Y143 MANUAL_ROUTING SITEPROP SLICE_X39Y143 NAME SLICE_X39Y143 SITEPROP SLICE_X39Y143 NUM_ARCS 138 SITEPROP SLICE_X39Y143 NUM_BELS 32 SITEPROP SLICE_X39Y143 NUM_INPUTS 32 SITEPROP SLICE_X39Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y143 NUM_PINS 45 SITEPROP SLICE_X39Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y143 PROHIBIT 0 SITEPROP SLICE_X39Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y143 RPM_X 107 SITEPROP SLICE_X39Y143 RPM_Y 286 SITEPROP SLICE_X39Y143 SITE_PIPS SITEPROP SLICE_X39Y143 SITE_TYPE SLICEL SITEPROP SLICE_X39Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y144 CLASS site SITEPROP SLICE_X39Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y144 IS_BONDED 0 SITEPROP SLICE_X39Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y144 IS_PAD 0 SITEPROP SLICE_X39Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y144 IS_RESERVED 0 SITEPROP SLICE_X39Y144 IS_TEST 0 SITEPROP SLICE_X39Y144 IS_USED 0 SITEPROP SLICE_X39Y144 MANUAL_ROUTING SITEPROP SLICE_X39Y144 NAME SLICE_X39Y144 SITEPROP SLICE_X39Y144 NUM_ARCS 138 SITEPROP SLICE_X39Y144 NUM_BELS 32 SITEPROP SLICE_X39Y144 NUM_INPUTS 32 SITEPROP SLICE_X39Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y144 NUM_PINS 45 SITEPROP SLICE_X39Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y144 PROHIBIT 0 SITEPROP SLICE_X39Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y144 RPM_X 107 SITEPROP SLICE_X39Y144 RPM_Y 288 SITEPROP SLICE_X39Y144 SITE_PIPS SITEPROP SLICE_X39Y144 SITE_TYPE SLICEL SITEPROP SLICE_X39Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y145 CLASS site SITEPROP SLICE_X39Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y145 IS_BONDED 0 SITEPROP SLICE_X39Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y145 IS_PAD 0 SITEPROP SLICE_X39Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y145 IS_RESERVED 0 SITEPROP SLICE_X39Y145 IS_TEST 0 SITEPROP SLICE_X39Y145 IS_USED 0 SITEPROP SLICE_X39Y145 MANUAL_ROUTING SITEPROP SLICE_X39Y145 NAME SLICE_X39Y145 SITEPROP SLICE_X39Y145 NUM_ARCS 138 SITEPROP SLICE_X39Y145 NUM_BELS 32 SITEPROP SLICE_X39Y145 NUM_INPUTS 32 SITEPROP SLICE_X39Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y145 NUM_PINS 45 SITEPROP SLICE_X39Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y145 PROHIBIT 0 SITEPROP SLICE_X39Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y145 RPM_X 107 SITEPROP SLICE_X39Y145 RPM_Y 290 SITEPROP SLICE_X39Y145 SITE_PIPS SITEPROP SLICE_X39Y145 SITE_TYPE SLICEL SITEPROP SLICE_X39Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y146 CLASS site SITEPROP SLICE_X39Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y146 IS_BONDED 0 SITEPROP SLICE_X39Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y146 IS_PAD 0 SITEPROP SLICE_X39Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y146 IS_RESERVED 0 SITEPROP SLICE_X39Y146 IS_TEST 0 SITEPROP SLICE_X39Y146 IS_USED 0 SITEPROP SLICE_X39Y146 MANUAL_ROUTING SITEPROP SLICE_X39Y146 NAME SLICE_X39Y146 SITEPROP SLICE_X39Y146 NUM_ARCS 138 SITEPROP SLICE_X39Y146 NUM_BELS 32 SITEPROP SLICE_X39Y146 NUM_INPUTS 32 SITEPROP SLICE_X39Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y146 NUM_PINS 45 SITEPROP SLICE_X39Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y146 PROHIBIT 0 SITEPROP SLICE_X39Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y146 RPM_X 107 SITEPROP SLICE_X39Y146 RPM_Y 292 SITEPROP SLICE_X39Y146 SITE_PIPS SITEPROP SLICE_X39Y146 SITE_TYPE SLICEL SITEPROP SLICE_X39Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y147 CLASS site SITEPROP SLICE_X39Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y147 IS_BONDED 0 SITEPROP SLICE_X39Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y147 IS_PAD 0 SITEPROP SLICE_X39Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y147 IS_RESERVED 0 SITEPROP SLICE_X39Y147 IS_TEST 0 SITEPROP SLICE_X39Y147 IS_USED 0 SITEPROP SLICE_X39Y147 MANUAL_ROUTING SITEPROP SLICE_X39Y147 NAME SLICE_X39Y147 SITEPROP SLICE_X39Y147 NUM_ARCS 138 SITEPROP SLICE_X39Y147 NUM_BELS 32 SITEPROP SLICE_X39Y147 NUM_INPUTS 32 SITEPROP SLICE_X39Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y147 NUM_PINS 45 SITEPROP SLICE_X39Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y147 PROHIBIT 0 SITEPROP SLICE_X39Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y147 RPM_X 107 SITEPROP SLICE_X39Y147 RPM_Y 294 SITEPROP SLICE_X39Y147 SITE_PIPS SITEPROP SLICE_X39Y147 SITE_TYPE SLICEL SITEPROP SLICE_X39Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y148 CLASS site SITEPROP SLICE_X39Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y148 IS_BONDED 0 SITEPROP SLICE_X39Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y148 IS_PAD 0 SITEPROP SLICE_X39Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y148 IS_RESERVED 0 SITEPROP SLICE_X39Y148 IS_TEST 0 SITEPROP SLICE_X39Y148 IS_USED 0 SITEPROP SLICE_X39Y148 MANUAL_ROUTING SITEPROP SLICE_X39Y148 NAME SLICE_X39Y148 SITEPROP SLICE_X39Y148 NUM_ARCS 138 SITEPROP SLICE_X39Y148 NUM_BELS 32 SITEPROP SLICE_X39Y148 NUM_INPUTS 32 SITEPROP SLICE_X39Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y148 NUM_PINS 45 SITEPROP SLICE_X39Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y148 PROHIBIT 0 SITEPROP SLICE_X39Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y148 RPM_X 107 SITEPROP SLICE_X39Y148 RPM_Y 296 SITEPROP SLICE_X39Y148 SITE_PIPS SITEPROP SLICE_X39Y148 SITE_TYPE SLICEL SITEPROP SLICE_X39Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X39Y149 CLASS site SITEPROP SLICE_X39Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X39Y149 IS_BONDED 0 SITEPROP SLICE_X39Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X39Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y149 IS_PAD 0 SITEPROP SLICE_X39Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X39Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X39Y149 IS_RESERVED 0 SITEPROP SLICE_X39Y149 IS_TEST 0 SITEPROP SLICE_X39Y149 IS_USED 0 SITEPROP SLICE_X39Y149 MANUAL_ROUTING SITEPROP SLICE_X39Y149 NAME SLICE_X39Y149 SITEPROP SLICE_X39Y149 NUM_ARCS 138 SITEPROP SLICE_X39Y149 NUM_BELS 32 SITEPROP SLICE_X39Y149 NUM_INPUTS 32 SITEPROP SLICE_X39Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X39Y149 NUM_PINS 45 SITEPROP SLICE_X39Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X39Y149 PROHIBIT 0 SITEPROP SLICE_X39Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X39Y149 RPM_X 107 SITEPROP SLICE_X39Y149 RPM_Y 298 SITEPROP SLICE_X39Y149 SITE_PIPS SITEPROP SLICE_X39Y149 SITE_TYPE SLICEL SITEPROP SLICE_X3Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y0 CLASS site SITEPROP SLICE_X3Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y0 IS_BONDED 0 SITEPROP SLICE_X3Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y0 IS_PAD 0 SITEPROP SLICE_X3Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y0 IS_RESERVED 0 SITEPROP SLICE_X3Y0 IS_TEST 0 SITEPROP SLICE_X3Y0 IS_USED 0 SITEPROP SLICE_X3Y0 MANUAL_ROUTING SITEPROP SLICE_X3Y0 NAME SLICE_X3Y0 SITEPROP SLICE_X3Y0 NUM_ARCS 138 SITEPROP SLICE_X3Y0 NUM_BELS 32 SITEPROP SLICE_X3Y0 NUM_INPUTS 32 SITEPROP SLICE_X3Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y0 NUM_PINS 45 SITEPROP SLICE_X3Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y0 PROHIBIT 0 SITEPROP SLICE_X3Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y0 RPM_X 23 SITEPROP SLICE_X3Y0 RPM_Y 0 SITEPROP SLICE_X3Y0 SITE_PIPS SITEPROP SLICE_X3Y0 SITE_TYPE SLICEL SITEPROP SLICE_X3Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y1 CLASS site SITEPROP SLICE_X3Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y1 IS_BONDED 0 SITEPROP SLICE_X3Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y1 IS_PAD 0 SITEPROP SLICE_X3Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y1 IS_RESERVED 0 SITEPROP SLICE_X3Y1 IS_TEST 0 SITEPROP SLICE_X3Y1 IS_USED 0 SITEPROP SLICE_X3Y1 MANUAL_ROUTING SITEPROP SLICE_X3Y1 NAME SLICE_X3Y1 SITEPROP SLICE_X3Y1 NUM_ARCS 138 SITEPROP SLICE_X3Y1 NUM_BELS 32 SITEPROP SLICE_X3Y1 NUM_INPUTS 32 SITEPROP SLICE_X3Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y1 NUM_PINS 45 SITEPROP SLICE_X3Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y1 PROHIBIT 0 SITEPROP SLICE_X3Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y1 RPM_X 23 SITEPROP SLICE_X3Y1 RPM_Y 2 SITEPROP SLICE_X3Y1 SITE_PIPS SITEPROP SLICE_X3Y1 SITE_TYPE SLICEL SITEPROP SLICE_X3Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y2 CLASS site SITEPROP SLICE_X3Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y2 IS_BONDED 0 SITEPROP SLICE_X3Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y2 IS_PAD 0 SITEPROP SLICE_X3Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y2 IS_RESERVED 0 SITEPROP SLICE_X3Y2 IS_TEST 0 SITEPROP SLICE_X3Y2 IS_USED 0 SITEPROP SLICE_X3Y2 MANUAL_ROUTING SITEPROP SLICE_X3Y2 NAME SLICE_X3Y2 SITEPROP SLICE_X3Y2 NUM_ARCS 138 SITEPROP SLICE_X3Y2 NUM_BELS 32 SITEPROP SLICE_X3Y2 NUM_INPUTS 32 SITEPROP SLICE_X3Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y2 NUM_PINS 45 SITEPROP SLICE_X3Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y2 PROHIBIT 0 SITEPROP SLICE_X3Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y2 RPM_X 23 SITEPROP SLICE_X3Y2 RPM_Y 4 SITEPROP SLICE_X3Y2 SITE_PIPS SITEPROP SLICE_X3Y2 SITE_TYPE SLICEL SITEPROP SLICE_X3Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y3 CLASS site SITEPROP SLICE_X3Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y3 IS_BONDED 0 SITEPROP SLICE_X3Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y3 IS_PAD 0 SITEPROP SLICE_X3Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y3 IS_RESERVED 0 SITEPROP SLICE_X3Y3 IS_TEST 0 SITEPROP SLICE_X3Y3 IS_USED 0 SITEPROP SLICE_X3Y3 MANUAL_ROUTING SITEPROP SLICE_X3Y3 NAME SLICE_X3Y3 SITEPROP SLICE_X3Y3 NUM_ARCS 138 SITEPROP SLICE_X3Y3 NUM_BELS 32 SITEPROP SLICE_X3Y3 NUM_INPUTS 32 SITEPROP SLICE_X3Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y3 NUM_PINS 45 SITEPROP SLICE_X3Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y3 PROHIBIT 0 SITEPROP SLICE_X3Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y3 RPM_X 23 SITEPROP SLICE_X3Y3 RPM_Y 6 SITEPROP SLICE_X3Y3 SITE_PIPS SITEPROP SLICE_X3Y3 SITE_TYPE SLICEL SITEPROP SLICE_X3Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y4 CLASS site SITEPROP SLICE_X3Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y4 IS_BONDED 0 SITEPROP SLICE_X3Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y4 IS_PAD 0 SITEPROP SLICE_X3Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y4 IS_RESERVED 0 SITEPROP SLICE_X3Y4 IS_TEST 0 SITEPROP SLICE_X3Y4 IS_USED 0 SITEPROP SLICE_X3Y4 MANUAL_ROUTING SITEPROP SLICE_X3Y4 NAME SLICE_X3Y4 SITEPROP SLICE_X3Y4 NUM_ARCS 138 SITEPROP SLICE_X3Y4 NUM_BELS 32 SITEPROP SLICE_X3Y4 NUM_INPUTS 32 SITEPROP SLICE_X3Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y4 NUM_PINS 45 SITEPROP SLICE_X3Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y4 PROHIBIT 0 SITEPROP SLICE_X3Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y4 RPM_X 23 SITEPROP SLICE_X3Y4 RPM_Y 8 SITEPROP SLICE_X3Y4 SITE_PIPS SITEPROP SLICE_X3Y4 SITE_TYPE SLICEL SITEPROP SLICE_X3Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y5 CLASS site SITEPROP SLICE_X3Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y5 IS_BONDED 0 SITEPROP SLICE_X3Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y5 IS_PAD 0 SITEPROP SLICE_X3Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y5 IS_RESERVED 0 SITEPROP SLICE_X3Y5 IS_TEST 0 SITEPROP SLICE_X3Y5 IS_USED 0 SITEPROP SLICE_X3Y5 MANUAL_ROUTING SITEPROP SLICE_X3Y5 NAME SLICE_X3Y5 SITEPROP SLICE_X3Y5 NUM_ARCS 138 SITEPROP SLICE_X3Y5 NUM_BELS 32 SITEPROP SLICE_X3Y5 NUM_INPUTS 32 SITEPROP SLICE_X3Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y5 NUM_PINS 45 SITEPROP SLICE_X3Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y5 PROHIBIT 0 SITEPROP SLICE_X3Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y5 RPM_X 23 SITEPROP SLICE_X3Y5 RPM_Y 10 SITEPROP SLICE_X3Y5 SITE_PIPS SITEPROP SLICE_X3Y5 SITE_TYPE SLICEL SITEPROP SLICE_X3Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y6 CLASS site SITEPROP SLICE_X3Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y6 IS_BONDED 0 SITEPROP SLICE_X3Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y6 IS_PAD 0 SITEPROP SLICE_X3Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y6 IS_RESERVED 0 SITEPROP SLICE_X3Y6 IS_TEST 0 SITEPROP SLICE_X3Y6 IS_USED 0 SITEPROP SLICE_X3Y6 MANUAL_ROUTING SITEPROP SLICE_X3Y6 NAME SLICE_X3Y6 SITEPROP SLICE_X3Y6 NUM_ARCS 138 SITEPROP SLICE_X3Y6 NUM_BELS 32 SITEPROP SLICE_X3Y6 NUM_INPUTS 32 SITEPROP SLICE_X3Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y6 NUM_PINS 45 SITEPROP SLICE_X3Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y6 PROHIBIT 0 SITEPROP SLICE_X3Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y6 RPM_X 23 SITEPROP SLICE_X3Y6 RPM_Y 12 SITEPROP SLICE_X3Y6 SITE_PIPS SITEPROP SLICE_X3Y6 SITE_TYPE SLICEL SITEPROP SLICE_X3Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y7 CLASS site SITEPROP SLICE_X3Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y7 IS_BONDED 0 SITEPROP SLICE_X3Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y7 IS_PAD 0 SITEPROP SLICE_X3Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y7 IS_RESERVED 0 SITEPROP SLICE_X3Y7 IS_TEST 0 SITEPROP SLICE_X3Y7 IS_USED 0 SITEPROP SLICE_X3Y7 MANUAL_ROUTING SITEPROP SLICE_X3Y7 NAME SLICE_X3Y7 SITEPROP SLICE_X3Y7 NUM_ARCS 138 SITEPROP SLICE_X3Y7 NUM_BELS 32 SITEPROP SLICE_X3Y7 NUM_INPUTS 32 SITEPROP SLICE_X3Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y7 NUM_PINS 45 SITEPROP SLICE_X3Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y7 PROHIBIT 0 SITEPROP SLICE_X3Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y7 RPM_X 23 SITEPROP SLICE_X3Y7 RPM_Y 14 SITEPROP SLICE_X3Y7 SITE_PIPS SITEPROP SLICE_X3Y7 SITE_TYPE SLICEL SITEPROP SLICE_X3Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y8 CLASS site SITEPROP SLICE_X3Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y8 IS_BONDED 0 SITEPROP SLICE_X3Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y8 IS_PAD 0 SITEPROP SLICE_X3Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y8 IS_RESERVED 0 SITEPROP SLICE_X3Y8 IS_TEST 0 SITEPROP SLICE_X3Y8 IS_USED 0 SITEPROP SLICE_X3Y8 MANUAL_ROUTING SITEPROP SLICE_X3Y8 NAME SLICE_X3Y8 SITEPROP SLICE_X3Y8 NUM_ARCS 138 SITEPROP SLICE_X3Y8 NUM_BELS 32 SITEPROP SLICE_X3Y8 NUM_INPUTS 32 SITEPROP SLICE_X3Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y8 NUM_PINS 45 SITEPROP SLICE_X3Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y8 PROHIBIT 0 SITEPROP SLICE_X3Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y8 RPM_X 23 SITEPROP SLICE_X3Y8 RPM_Y 16 SITEPROP SLICE_X3Y8 SITE_PIPS SITEPROP SLICE_X3Y8 SITE_TYPE SLICEL SITEPROP SLICE_X3Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y9 CLASS site SITEPROP SLICE_X3Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y9 IS_BONDED 0 SITEPROP SLICE_X3Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y9 IS_PAD 0 SITEPROP SLICE_X3Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y9 IS_RESERVED 0 SITEPROP SLICE_X3Y9 IS_TEST 0 SITEPROP SLICE_X3Y9 IS_USED 0 SITEPROP SLICE_X3Y9 MANUAL_ROUTING SITEPROP SLICE_X3Y9 NAME SLICE_X3Y9 SITEPROP SLICE_X3Y9 NUM_ARCS 138 SITEPROP SLICE_X3Y9 NUM_BELS 32 SITEPROP SLICE_X3Y9 NUM_INPUTS 32 SITEPROP SLICE_X3Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y9 NUM_PINS 45 SITEPROP SLICE_X3Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y9 PROHIBIT 0 SITEPROP SLICE_X3Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y9 RPM_X 23 SITEPROP SLICE_X3Y9 RPM_Y 18 SITEPROP SLICE_X3Y9 SITE_PIPS SITEPROP SLICE_X3Y9 SITE_TYPE SLICEL SITEPROP SLICE_X3Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y10 CLASS site SITEPROP SLICE_X3Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y10 IS_BONDED 0 SITEPROP SLICE_X3Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y10 IS_PAD 0 SITEPROP SLICE_X3Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y10 IS_RESERVED 0 SITEPROP SLICE_X3Y10 IS_TEST 0 SITEPROP SLICE_X3Y10 IS_USED 0 SITEPROP SLICE_X3Y10 MANUAL_ROUTING SITEPROP SLICE_X3Y10 NAME SLICE_X3Y10 SITEPROP SLICE_X3Y10 NUM_ARCS 138 SITEPROP SLICE_X3Y10 NUM_BELS 32 SITEPROP SLICE_X3Y10 NUM_INPUTS 32 SITEPROP SLICE_X3Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y10 NUM_PINS 45 SITEPROP SLICE_X3Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y10 PROHIBIT 0 SITEPROP SLICE_X3Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y10 RPM_X 23 SITEPROP SLICE_X3Y10 RPM_Y 20 SITEPROP SLICE_X3Y10 SITE_PIPS SITEPROP SLICE_X3Y10 SITE_TYPE SLICEL SITEPROP SLICE_X3Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y11 CLASS site SITEPROP SLICE_X3Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y11 IS_BONDED 0 SITEPROP SLICE_X3Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y11 IS_PAD 0 SITEPROP SLICE_X3Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y11 IS_RESERVED 0 SITEPROP SLICE_X3Y11 IS_TEST 0 SITEPROP SLICE_X3Y11 IS_USED 0 SITEPROP SLICE_X3Y11 MANUAL_ROUTING SITEPROP SLICE_X3Y11 NAME SLICE_X3Y11 SITEPROP SLICE_X3Y11 NUM_ARCS 138 SITEPROP SLICE_X3Y11 NUM_BELS 32 SITEPROP SLICE_X3Y11 NUM_INPUTS 32 SITEPROP SLICE_X3Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y11 NUM_PINS 45 SITEPROP SLICE_X3Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y11 PROHIBIT 0 SITEPROP SLICE_X3Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y11 RPM_X 23 SITEPROP SLICE_X3Y11 RPM_Y 22 SITEPROP SLICE_X3Y11 SITE_PIPS SITEPROP SLICE_X3Y11 SITE_TYPE SLICEL SITEPROP SLICE_X3Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y12 CLASS site SITEPROP SLICE_X3Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y12 IS_BONDED 0 SITEPROP SLICE_X3Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y12 IS_PAD 0 SITEPROP SLICE_X3Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y12 IS_RESERVED 0 SITEPROP SLICE_X3Y12 IS_TEST 0 SITEPROP SLICE_X3Y12 IS_USED 0 SITEPROP SLICE_X3Y12 MANUAL_ROUTING SITEPROP SLICE_X3Y12 NAME SLICE_X3Y12 SITEPROP SLICE_X3Y12 NUM_ARCS 138 SITEPROP SLICE_X3Y12 NUM_BELS 32 SITEPROP SLICE_X3Y12 NUM_INPUTS 32 SITEPROP SLICE_X3Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y12 NUM_PINS 45 SITEPROP SLICE_X3Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y12 PROHIBIT 0 SITEPROP SLICE_X3Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y12 RPM_X 23 SITEPROP SLICE_X3Y12 RPM_Y 24 SITEPROP SLICE_X3Y12 SITE_PIPS SITEPROP SLICE_X3Y12 SITE_TYPE SLICEL SITEPROP SLICE_X3Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y13 CLASS site SITEPROP SLICE_X3Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y13 IS_BONDED 0 SITEPROP SLICE_X3Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y13 IS_PAD 0 SITEPROP SLICE_X3Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y13 IS_RESERVED 0 SITEPROP SLICE_X3Y13 IS_TEST 0 SITEPROP SLICE_X3Y13 IS_USED 0 SITEPROP SLICE_X3Y13 MANUAL_ROUTING SITEPROP SLICE_X3Y13 NAME SLICE_X3Y13 SITEPROP SLICE_X3Y13 NUM_ARCS 138 SITEPROP SLICE_X3Y13 NUM_BELS 32 SITEPROP SLICE_X3Y13 NUM_INPUTS 32 SITEPROP SLICE_X3Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y13 NUM_PINS 45 SITEPROP SLICE_X3Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y13 PROHIBIT 0 SITEPROP SLICE_X3Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y13 RPM_X 23 SITEPROP SLICE_X3Y13 RPM_Y 26 SITEPROP SLICE_X3Y13 SITE_PIPS SITEPROP SLICE_X3Y13 SITE_TYPE SLICEL SITEPROP SLICE_X3Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y14 CLASS site SITEPROP SLICE_X3Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y14 IS_BONDED 0 SITEPROP SLICE_X3Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y14 IS_PAD 0 SITEPROP SLICE_X3Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y14 IS_RESERVED 0 SITEPROP SLICE_X3Y14 IS_TEST 0 SITEPROP SLICE_X3Y14 IS_USED 0 SITEPROP SLICE_X3Y14 MANUAL_ROUTING SITEPROP SLICE_X3Y14 NAME SLICE_X3Y14 SITEPROP SLICE_X3Y14 NUM_ARCS 138 SITEPROP SLICE_X3Y14 NUM_BELS 32 SITEPROP SLICE_X3Y14 NUM_INPUTS 32 SITEPROP SLICE_X3Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y14 NUM_PINS 45 SITEPROP SLICE_X3Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y14 PROHIBIT 0 SITEPROP SLICE_X3Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y14 RPM_X 23 SITEPROP SLICE_X3Y14 RPM_Y 28 SITEPROP SLICE_X3Y14 SITE_PIPS SITEPROP SLICE_X3Y14 SITE_TYPE SLICEL SITEPROP SLICE_X3Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y15 CLASS site SITEPROP SLICE_X3Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y15 IS_BONDED 0 SITEPROP SLICE_X3Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y15 IS_PAD 0 SITEPROP SLICE_X3Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y15 IS_RESERVED 0 SITEPROP SLICE_X3Y15 IS_TEST 0 SITEPROP SLICE_X3Y15 IS_USED 0 SITEPROP SLICE_X3Y15 MANUAL_ROUTING SITEPROP SLICE_X3Y15 NAME SLICE_X3Y15 SITEPROP SLICE_X3Y15 NUM_ARCS 138 SITEPROP SLICE_X3Y15 NUM_BELS 32 SITEPROP SLICE_X3Y15 NUM_INPUTS 32 SITEPROP SLICE_X3Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y15 NUM_PINS 45 SITEPROP SLICE_X3Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y15 PROHIBIT 0 SITEPROP SLICE_X3Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y15 RPM_X 23 SITEPROP SLICE_X3Y15 RPM_Y 30 SITEPROP SLICE_X3Y15 SITE_PIPS SITEPROP SLICE_X3Y15 SITE_TYPE SLICEL SITEPROP SLICE_X3Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y16 CLASS site SITEPROP SLICE_X3Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y16 IS_BONDED 0 SITEPROP SLICE_X3Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y16 IS_PAD 0 SITEPROP SLICE_X3Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y16 IS_RESERVED 0 SITEPROP SLICE_X3Y16 IS_TEST 0 SITEPROP SLICE_X3Y16 IS_USED 0 SITEPROP SLICE_X3Y16 MANUAL_ROUTING SITEPROP SLICE_X3Y16 NAME SLICE_X3Y16 SITEPROP SLICE_X3Y16 NUM_ARCS 138 SITEPROP SLICE_X3Y16 NUM_BELS 32 SITEPROP SLICE_X3Y16 NUM_INPUTS 32 SITEPROP SLICE_X3Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y16 NUM_PINS 45 SITEPROP SLICE_X3Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y16 PROHIBIT 0 SITEPROP SLICE_X3Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y16 RPM_X 23 SITEPROP SLICE_X3Y16 RPM_Y 32 SITEPROP SLICE_X3Y16 SITE_PIPS SITEPROP SLICE_X3Y16 SITE_TYPE SLICEL SITEPROP SLICE_X3Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y17 CLASS site SITEPROP SLICE_X3Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y17 IS_BONDED 0 SITEPROP SLICE_X3Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y17 IS_PAD 0 SITEPROP SLICE_X3Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y17 IS_RESERVED 0 SITEPROP SLICE_X3Y17 IS_TEST 0 SITEPROP SLICE_X3Y17 IS_USED 0 SITEPROP SLICE_X3Y17 MANUAL_ROUTING SITEPROP SLICE_X3Y17 NAME SLICE_X3Y17 SITEPROP SLICE_X3Y17 NUM_ARCS 138 SITEPROP SLICE_X3Y17 NUM_BELS 32 SITEPROP SLICE_X3Y17 NUM_INPUTS 32 SITEPROP SLICE_X3Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y17 NUM_PINS 45 SITEPROP SLICE_X3Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y17 PROHIBIT 0 SITEPROP SLICE_X3Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y17 RPM_X 23 SITEPROP SLICE_X3Y17 RPM_Y 34 SITEPROP SLICE_X3Y17 SITE_PIPS SITEPROP SLICE_X3Y17 SITE_TYPE SLICEL SITEPROP SLICE_X3Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y18 CLASS site SITEPROP SLICE_X3Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y18 IS_BONDED 0 SITEPROP SLICE_X3Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y18 IS_PAD 0 SITEPROP SLICE_X3Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y18 IS_RESERVED 0 SITEPROP SLICE_X3Y18 IS_TEST 0 SITEPROP SLICE_X3Y18 IS_USED 0 SITEPROP SLICE_X3Y18 MANUAL_ROUTING SITEPROP SLICE_X3Y18 NAME SLICE_X3Y18 SITEPROP SLICE_X3Y18 NUM_ARCS 138 SITEPROP SLICE_X3Y18 NUM_BELS 32 SITEPROP SLICE_X3Y18 NUM_INPUTS 32 SITEPROP SLICE_X3Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y18 NUM_PINS 45 SITEPROP SLICE_X3Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y18 PROHIBIT 0 SITEPROP SLICE_X3Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y18 RPM_X 23 SITEPROP SLICE_X3Y18 RPM_Y 36 SITEPROP SLICE_X3Y18 SITE_PIPS SITEPROP SLICE_X3Y18 SITE_TYPE SLICEL SITEPROP SLICE_X3Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y19 CLASS site SITEPROP SLICE_X3Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y19 IS_BONDED 0 SITEPROP SLICE_X3Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y19 IS_PAD 0 SITEPROP SLICE_X3Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y19 IS_RESERVED 0 SITEPROP SLICE_X3Y19 IS_TEST 0 SITEPROP SLICE_X3Y19 IS_USED 0 SITEPROP SLICE_X3Y19 MANUAL_ROUTING SITEPROP SLICE_X3Y19 NAME SLICE_X3Y19 SITEPROP SLICE_X3Y19 NUM_ARCS 138 SITEPROP SLICE_X3Y19 NUM_BELS 32 SITEPROP SLICE_X3Y19 NUM_INPUTS 32 SITEPROP SLICE_X3Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y19 NUM_PINS 45 SITEPROP SLICE_X3Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y19 PROHIBIT 0 SITEPROP SLICE_X3Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y19 RPM_X 23 SITEPROP SLICE_X3Y19 RPM_Y 38 SITEPROP SLICE_X3Y19 SITE_PIPS SITEPROP SLICE_X3Y19 SITE_TYPE SLICEL SITEPROP SLICE_X3Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y20 CLASS site SITEPROP SLICE_X3Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y20 IS_BONDED 0 SITEPROP SLICE_X3Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y20 IS_PAD 0 SITEPROP SLICE_X3Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y20 IS_RESERVED 0 SITEPROP SLICE_X3Y20 IS_TEST 0 SITEPROP SLICE_X3Y20 IS_USED 0 SITEPROP SLICE_X3Y20 MANUAL_ROUTING SITEPROP SLICE_X3Y20 NAME SLICE_X3Y20 SITEPROP SLICE_X3Y20 NUM_ARCS 138 SITEPROP SLICE_X3Y20 NUM_BELS 32 SITEPROP SLICE_X3Y20 NUM_INPUTS 32 SITEPROP SLICE_X3Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y20 NUM_PINS 45 SITEPROP SLICE_X3Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y20 PROHIBIT 0 SITEPROP SLICE_X3Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y20 RPM_X 23 SITEPROP SLICE_X3Y20 RPM_Y 40 SITEPROP SLICE_X3Y20 SITE_PIPS SITEPROP SLICE_X3Y20 SITE_TYPE SLICEL SITEPROP SLICE_X3Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y21 CLASS site SITEPROP SLICE_X3Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y21 IS_BONDED 0 SITEPROP SLICE_X3Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y21 IS_PAD 0 SITEPROP SLICE_X3Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y21 IS_RESERVED 0 SITEPROP SLICE_X3Y21 IS_TEST 0 SITEPROP SLICE_X3Y21 IS_USED 0 SITEPROP SLICE_X3Y21 MANUAL_ROUTING SITEPROP SLICE_X3Y21 NAME SLICE_X3Y21 SITEPROP SLICE_X3Y21 NUM_ARCS 138 SITEPROP SLICE_X3Y21 NUM_BELS 32 SITEPROP SLICE_X3Y21 NUM_INPUTS 32 SITEPROP SLICE_X3Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y21 NUM_PINS 45 SITEPROP SLICE_X3Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y21 PROHIBIT 0 SITEPROP SLICE_X3Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y21 RPM_X 23 SITEPROP SLICE_X3Y21 RPM_Y 42 SITEPROP SLICE_X3Y21 SITE_PIPS SITEPROP SLICE_X3Y21 SITE_TYPE SLICEL SITEPROP SLICE_X3Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y22 CLASS site SITEPROP SLICE_X3Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y22 IS_BONDED 0 SITEPROP SLICE_X3Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y22 IS_PAD 0 SITEPROP SLICE_X3Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y22 IS_RESERVED 0 SITEPROP SLICE_X3Y22 IS_TEST 0 SITEPROP SLICE_X3Y22 IS_USED 0 SITEPROP SLICE_X3Y22 MANUAL_ROUTING SITEPROP SLICE_X3Y22 NAME SLICE_X3Y22 SITEPROP SLICE_X3Y22 NUM_ARCS 138 SITEPROP SLICE_X3Y22 NUM_BELS 32 SITEPROP SLICE_X3Y22 NUM_INPUTS 32 SITEPROP SLICE_X3Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y22 NUM_PINS 45 SITEPROP SLICE_X3Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y22 PROHIBIT 0 SITEPROP SLICE_X3Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y22 RPM_X 23 SITEPROP SLICE_X3Y22 RPM_Y 44 SITEPROP SLICE_X3Y22 SITE_PIPS SITEPROP SLICE_X3Y22 SITE_TYPE SLICEL SITEPROP SLICE_X3Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y23 CLASS site SITEPROP SLICE_X3Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y23 IS_BONDED 0 SITEPROP SLICE_X3Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y23 IS_PAD 0 SITEPROP SLICE_X3Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y23 IS_RESERVED 0 SITEPROP SLICE_X3Y23 IS_TEST 0 SITEPROP SLICE_X3Y23 IS_USED 0 SITEPROP SLICE_X3Y23 MANUAL_ROUTING SITEPROP SLICE_X3Y23 NAME SLICE_X3Y23 SITEPROP SLICE_X3Y23 NUM_ARCS 138 SITEPROP SLICE_X3Y23 NUM_BELS 32 SITEPROP SLICE_X3Y23 NUM_INPUTS 32 SITEPROP SLICE_X3Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y23 NUM_PINS 45 SITEPROP SLICE_X3Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y23 PROHIBIT 0 SITEPROP SLICE_X3Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y23 RPM_X 23 SITEPROP SLICE_X3Y23 RPM_Y 46 SITEPROP SLICE_X3Y23 SITE_PIPS SITEPROP SLICE_X3Y23 SITE_TYPE SLICEL SITEPROP SLICE_X3Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y24 CLASS site SITEPROP SLICE_X3Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y24 IS_BONDED 0 SITEPROP SLICE_X3Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y24 IS_PAD 0 SITEPROP SLICE_X3Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y24 IS_RESERVED 0 SITEPROP SLICE_X3Y24 IS_TEST 0 SITEPROP SLICE_X3Y24 IS_USED 0 SITEPROP SLICE_X3Y24 MANUAL_ROUTING SITEPROP SLICE_X3Y24 NAME SLICE_X3Y24 SITEPROP SLICE_X3Y24 NUM_ARCS 138 SITEPROP SLICE_X3Y24 NUM_BELS 32 SITEPROP SLICE_X3Y24 NUM_INPUTS 32 SITEPROP SLICE_X3Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y24 NUM_PINS 45 SITEPROP SLICE_X3Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y24 PROHIBIT 0 SITEPROP SLICE_X3Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y24 RPM_X 23 SITEPROP SLICE_X3Y24 RPM_Y 48 SITEPROP SLICE_X3Y24 SITE_PIPS SITEPROP SLICE_X3Y24 SITE_TYPE SLICEL SITEPROP SLICE_X3Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y25 CLASS site SITEPROP SLICE_X3Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y25 IS_BONDED 0 SITEPROP SLICE_X3Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y25 IS_PAD 0 SITEPROP SLICE_X3Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y25 IS_RESERVED 0 SITEPROP SLICE_X3Y25 IS_TEST 0 SITEPROP SLICE_X3Y25 IS_USED 0 SITEPROP SLICE_X3Y25 MANUAL_ROUTING SITEPROP SLICE_X3Y25 NAME SLICE_X3Y25 SITEPROP SLICE_X3Y25 NUM_ARCS 138 SITEPROP SLICE_X3Y25 NUM_BELS 32 SITEPROP SLICE_X3Y25 NUM_INPUTS 32 SITEPROP SLICE_X3Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y25 NUM_PINS 45 SITEPROP SLICE_X3Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y25 PROHIBIT 0 SITEPROP SLICE_X3Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y25 RPM_X 23 SITEPROP SLICE_X3Y25 RPM_Y 50 SITEPROP SLICE_X3Y25 SITE_PIPS SITEPROP SLICE_X3Y25 SITE_TYPE SLICEL SITEPROP SLICE_X3Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y26 CLASS site SITEPROP SLICE_X3Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y26 IS_BONDED 0 SITEPROP SLICE_X3Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y26 IS_PAD 0 SITEPROP SLICE_X3Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y26 IS_RESERVED 0 SITEPROP SLICE_X3Y26 IS_TEST 0 SITEPROP SLICE_X3Y26 IS_USED 0 SITEPROP SLICE_X3Y26 MANUAL_ROUTING SITEPROP SLICE_X3Y26 NAME SLICE_X3Y26 SITEPROP SLICE_X3Y26 NUM_ARCS 138 SITEPROP SLICE_X3Y26 NUM_BELS 32 SITEPROP SLICE_X3Y26 NUM_INPUTS 32 SITEPROP SLICE_X3Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y26 NUM_PINS 45 SITEPROP SLICE_X3Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y26 PROHIBIT 0 SITEPROP SLICE_X3Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y26 RPM_X 23 SITEPROP SLICE_X3Y26 RPM_Y 52 SITEPROP SLICE_X3Y26 SITE_PIPS SITEPROP SLICE_X3Y26 SITE_TYPE SLICEL SITEPROP SLICE_X3Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y27 CLASS site SITEPROP SLICE_X3Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y27 IS_BONDED 0 SITEPROP SLICE_X3Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y27 IS_PAD 0 SITEPROP SLICE_X3Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y27 IS_RESERVED 0 SITEPROP SLICE_X3Y27 IS_TEST 0 SITEPROP SLICE_X3Y27 IS_USED 0 SITEPROP SLICE_X3Y27 MANUAL_ROUTING SITEPROP SLICE_X3Y27 NAME SLICE_X3Y27 SITEPROP SLICE_X3Y27 NUM_ARCS 138 SITEPROP SLICE_X3Y27 NUM_BELS 32 SITEPROP SLICE_X3Y27 NUM_INPUTS 32 SITEPROP SLICE_X3Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y27 NUM_PINS 45 SITEPROP SLICE_X3Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y27 PROHIBIT 0 SITEPROP SLICE_X3Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y27 RPM_X 23 SITEPROP SLICE_X3Y27 RPM_Y 54 SITEPROP SLICE_X3Y27 SITE_PIPS SITEPROP SLICE_X3Y27 SITE_TYPE SLICEL SITEPROP SLICE_X3Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y28 CLASS site SITEPROP SLICE_X3Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y28 IS_BONDED 0 SITEPROP SLICE_X3Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y28 IS_PAD 0 SITEPROP SLICE_X3Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y28 IS_RESERVED 0 SITEPROP SLICE_X3Y28 IS_TEST 0 SITEPROP SLICE_X3Y28 IS_USED 0 SITEPROP SLICE_X3Y28 MANUAL_ROUTING SITEPROP SLICE_X3Y28 NAME SLICE_X3Y28 SITEPROP SLICE_X3Y28 NUM_ARCS 138 SITEPROP SLICE_X3Y28 NUM_BELS 32 SITEPROP SLICE_X3Y28 NUM_INPUTS 32 SITEPROP SLICE_X3Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y28 NUM_PINS 45 SITEPROP SLICE_X3Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y28 PROHIBIT 0 SITEPROP SLICE_X3Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y28 RPM_X 23 SITEPROP SLICE_X3Y28 RPM_Y 56 SITEPROP SLICE_X3Y28 SITE_PIPS SITEPROP SLICE_X3Y28 SITE_TYPE SLICEL SITEPROP SLICE_X3Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y29 CLASS site SITEPROP SLICE_X3Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y29 IS_BONDED 0 SITEPROP SLICE_X3Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y29 IS_PAD 0 SITEPROP SLICE_X3Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y29 IS_RESERVED 0 SITEPROP SLICE_X3Y29 IS_TEST 0 SITEPROP SLICE_X3Y29 IS_USED 0 SITEPROP SLICE_X3Y29 MANUAL_ROUTING SITEPROP SLICE_X3Y29 NAME SLICE_X3Y29 SITEPROP SLICE_X3Y29 NUM_ARCS 138 SITEPROP SLICE_X3Y29 NUM_BELS 32 SITEPROP SLICE_X3Y29 NUM_INPUTS 32 SITEPROP SLICE_X3Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y29 NUM_PINS 45 SITEPROP SLICE_X3Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y29 PROHIBIT 0 SITEPROP SLICE_X3Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y29 RPM_X 23 SITEPROP SLICE_X3Y29 RPM_Y 58 SITEPROP SLICE_X3Y29 SITE_PIPS SITEPROP SLICE_X3Y29 SITE_TYPE SLICEL SITEPROP SLICE_X3Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y30 CLASS site SITEPROP SLICE_X3Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y30 IS_BONDED 0 SITEPROP SLICE_X3Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y30 IS_PAD 0 SITEPROP SLICE_X3Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y30 IS_RESERVED 0 SITEPROP SLICE_X3Y30 IS_TEST 0 SITEPROP SLICE_X3Y30 IS_USED 0 SITEPROP SLICE_X3Y30 MANUAL_ROUTING SITEPROP SLICE_X3Y30 NAME SLICE_X3Y30 SITEPROP SLICE_X3Y30 NUM_ARCS 138 SITEPROP SLICE_X3Y30 NUM_BELS 32 SITEPROP SLICE_X3Y30 NUM_INPUTS 32 SITEPROP SLICE_X3Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y30 NUM_PINS 45 SITEPROP SLICE_X3Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y30 PROHIBIT 0 SITEPROP SLICE_X3Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y30 RPM_X 23 SITEPROP SLICE_X3Y30 RPM_Y 60 SITEPROP SLICE_X3Y30 SITE_PIPS SITEPROP SLICE_X3Y30 SITE_TYPE SLICEL SITEPROP SLICE_X3Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y31 CLASS site SITEPROP SLICE_X3Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y31 IS_BONDED 0 SITEPROP SLICE_X3Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y31 IS_PAD 0 SITEPROP SLICE_X3Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y31 IS_RESERVED 0 SITEPROP SLICE_X3Y31 IS_TEST 0 SITEPROP SLICE_X3Y31 IS_USED 0 SITEPROP SLICE_X3Y31 MANUAL_ROUTING SITEPROP SLICE_X3Y31 NAME SLICE_X3Y31 SITEPROP SLICE_X3Y31 NUM_ARCS 138 SITEPROP SLICE_X3Y31 NUM_BELS 32 SITEPROP SLICE_X3Y31 NUM_INPUTS 32 SITEPROP SLICE_X3Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y31 NUM_PINS 45 SITEPROP SLICE_X3Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y31 PROHIBIT 0 SITEPROP SLICE_X3Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y31 RPM_X 23 SITEPROP SLICE_X3Y31 RPM_Y 62 SITEPROP SLICE_X3Y31 SITE_PIPS SITEPROP SLICE_X3Y31 SITE_TYPE SLICEL SITEPROP SLICE_X3Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y32 CLASS site SITEPROP SLICE_X3Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y32 IS_BONDED 0 SITEPROP SLICE_X3Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y32 IS_PAD 0 SITEPROP SLICE_X3Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y32 IS_RESERVED 0 SITEPROP SLICE_X3Y32 IS_TEST 0 SITEPROP SLICE_X3Y32 IS_USED 0 SITEPROP SLICE_X3Y32 MANUAL_ROUTING SITEPROP SLICE_X3Y32 NAME SLICE_X3Y32 SITEPROP SLICE_X3Y32 NUM_ARCS 138 SITEPROP SLICE_X3Y32 NUM_BELS 32 SITEPROP SLICE_X3Y32 NUM_INPUTS 32 SITEPROP SLICE_X3Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y32 NUM_PINS 45 SITEPROP SLICE_X3Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y32 PROHIBIT 0 SITEPROP SLICE_X3Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y32 RPM_X 23 SITEPROP SLICE_X3Y32 RPM_Y 64 SITEPROP SLICE_X3Y32 SITE_PIPS SITEPROP SLICE_X3Y32 SITE_TYPE SLICEL SITEPROP SLICE_X3Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y33 CLASS site SITEPROP SLICE_X3Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y33 IS_BONDED 0 SITEPROP SLICE_X3Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y33 IS_PAD 0 SITEPROP SLICE_X3Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y33 IS_RESERVED 0 SITEPROP SLICE_X3Y33 IS_TEST 0 SITEPROP SLICE_X3Y33 IS_USED 0 SITEPROP SLICE_X3Y33 MANUAL_ROUTING SITEPROP SLICE_X3Y33 NAME SLICE_X3Y33 SITEPROP SLICE_X3Y33 NUM_ARCS 138 SITEPROP SLICE_X3Y33 NUM_BELS 32 SITEPROP SLICE_X3Y33 NUM_INPUTS 32 SITEPROP SLICE_X3Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y33 NUM_PINS 45 SITEPROP SLICE_X3Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y33 PROHIBIT 0 SITEPROP SLICE_X3Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y33 RPM_X 23 SITEPROP SLICE_X3Y33 RPM_Y 66 SITEPROP SLICE_X3Y33 SITE_PIPS SITEPROP SLICE_X3Y33 SITE_TYPE SLICEL SITEPROP SLICE_X3Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y34 CLASS site SITEPROP SLICE_X3Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y34 IS_BONDED 0 SITEPROP SLICE_X3Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y34 IS_PAD 0 SITEPROP SLICE_X3Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y34 IS_RESERVED 0 SITEPROP SLICE_X3Y34 IS_TEST 0 SITEPROP SLICE_X3Y34 IS_USED 0 SITEPROP SLICE_X3Y34 MANUAL_ROUTING SITEPROP SLICE_X3Y34 NAME SLICE_X3Y34 SITEPROP SLICE_X3Y34 NUM_ARCS 138 SITEPROP SLICE_X3Y34 NUM_BELS 32 SITEPROP SLICE_X3Y34 NUM_INPUTS 32 SITEPROP SLICE_X3Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y34 NUM_PINS 45 SITEPROP SLICE_X3Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y34 PROHIBIT 0 SITEPROP SLICE_X3Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y34 RPM_X 23 SITEPROP SLICE_X3Y34 RPM_Y 68 SITEPROP SLICE_X3Y34 SITE_PIPS SITEPROP SLICE_X3Y34 SITE_TYPE SLICEL SITEPROP SLICE_X3Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y35 CLASS site SITEPROP SLICE_X3Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y35 IS_BONDED 0 SITEPROP SLICE_X3Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y35 IS_PAD 0 SITEPROP SLICE_X3Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y35 IS_RESERVED 0 SITEPROP SLICE_X3Y35 IS_TEST 0 SITEPROP SLICE_X3Y35 IS_USED 0 SITEPROP SLICE_X3Y35 MANUAL_ROUTING SITEPROP SLICE_X3Y35 NAME SLICE_X3Y35 SITEPROP SLICE_X3Y35 NUM_ARCS 138 SITEPROP SLICE_X3Y35 NUM_BELS 32 SITEPROP SLICE_X3Y35 NUM_INPUTS 32 SITEPROP SLICE_X3Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y35 NUM_PINS 45 SITEPROP SLICE_X3Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y35 PROHIBIT 0 SITEPROP SLICE_X3Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y35 RPM_X 23 SITEPROP SLICE_X3Y35 RPM_Y 70 SITEPROP SLICE_X3Y35 SITE_PIPS SITEPROP SLICE_X3Y35 SITE_TYPE SLICEL SITEPROP SLICE_X3Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y36 CLASS site SITEPROP SLICE_X3Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y36 IS_BONDED 0 SITEPROP SLICE_X3Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y36 IS_PAD 0 SITEPROP SLICE_X3Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y36 IS_RESERVED 0 SITEPROP SLICE_X3Y36 IS_TEST 0 SITEPROP SLICE_X3Y36 IS_USED 0 SITEPROP SLICE_X3Y36 MANUAL_ROUTING SITEPROP SLICE_X3Y36 NAME SLICE_X3Y36 SITEPROP SLICE_X3Y36 NUM_ARCS 138 SITEPROP SLICE_X3Y36 NUM_BELS 32 SITEPROP SLICE_X3Y36 NUM_INPUTS 32 SITEPROP SLICE_X3Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y36 NUM_PINS 45 SITEPROP SLICE_X3Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y36 PROHIBIT 0 SITEPROP SLICE_X3Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y36 RPM_X 23 SITEPROP SLICE_X3Y36 RPM_Y 72 SITEPROP SLICE_X3Y36 SITE_PIPS SITEPROP SLICE_X3Y36 SITE_TYPE SLICEL SITEPROP SLICE_X3Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y37 CLASS site SITEPROP SLICE_X3Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y37 IS_BONDED 0 SITEPROP SLICE_X3Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y37 IS_PAD 0 SITEPROP SLICE_X3Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y37 IS_RESERVED 0 SITEPROP SLICE_X3Y37 IS_TEST 0 SITEPROP SLICE_X3Y37 IS_USED 0 SITEPROP SLICE_X3Y37 MANUAL_ROUTING SITEPROP SLICE_X3Y37 NAME SLICE_X3Y37 SITEPROP SLICE_X3Y37 NUM_ARCS 138 SITEPROP SLICE_X3Y37 NUM_BELS 32 SITEPROP SLICE_X3Y37 NUM_INPUTS 32 SITEPROP SLICE_X3Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y37 NUM_PINS 45 SITEPROP SLICE_X3Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y37 PROHIBIT 0 SITEPROP SLICE_X3Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y37 RPM_X 23 SITEPROP SLICE_X3Y37 RPM_Y 74 SITEPROP SLICE_X3Y37 SITE_PIPS SITEPROP SLICE_X3Y37 SITE_TYPE SLICEL SITEPROP SLICE_X3Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y38 CLASS site SITEPROP SLICE_X3Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y38 IS_BONDED 0 SITEPROP SLICE_X3Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y38 IS_PAD 0 SITEPROP SLICE_X3Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y38 IS_RESERVED 0 SITEPROP SLICE_X3Y38 IS_TEST 0 SITEPROP SLICE_X3Y38 IS_USED 0 SITEPROP SLICE_X3Y38 MANUAL_ROUTING SITEPROP SLICE_X3Y38 NAME SLICE_X3Y38 SITEPROP SLICE_X3Y38 NUM_ARCS 138 SITEPROP SLICE_X3Y38 NUM_BELS 32 SITEPROP SLICE_X3Y38 NUM_INPUTS 32 SITEPROP SLICE_X3Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y38 NUM_PINS 45 SITEPROP SLICE_X3Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y38 PROHIBIT 0 SITEPROP SLICE_X3Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y38 RPM_X 23 SITEPROP SLICE_X3Y38 RPM_Y 76 SITEPROP SLICE_X3Y38 SITE_PIPS SITEPROP SLICE_X3Y38 SITE_TYPE SLICEL SITEPROP SLICE_X3Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y39 CLASS site SITEPROP SLICE_X3Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y39 IS_BONDED 0 SITEPROP SLICE_X3Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y39 IS_PAD 0 SITEPROP SLICE_X3Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y39 IS_RESERVED 0 SITEPROP SLICE_X3Y39 IS_TEST 0 SITEPROP SLICE_X3Y39 IS_USED 0 SITEPROP SLICE_X3Y39 MANUAL_ROUTING SITEPROP SLICE_X3Y39 NAME SLICE_X3Y39 SITEPROP SLICE_X3Y39 NUM_ARCS 138 SITEPROP SLICE_X3Y39 NUM_BELS 32 SITEPROP SLICE_X3Y39 NUM_INPUTS 32 SITEPROP SLICE_X3Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y39 NUM_PINS 45 SITEPROP SLICE_X3Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y39 PROHIBIT 0 SITEPROP SLICE_X3Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y39 RPM_X 23 SITEPROP SLICE_X3Y39 RPM_Y 78 SITEPROP SLICE_X3Y39 SITE_PIPS SITEPROP SLICE_X3Y39 SITE_TYPE SLICEL SITEPROP SLICE_X3Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y40 CLASS site SITEPROP SLICE_X3Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y40 IS_BONDED 0 SITEPROP SLICE_X3Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y40 IS_PAD 0 SITEPROP SLICE_X3Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y40 IS_RESERVED 0 SITEPROP SLICE_X3Y40 IS_TEST 0 SITEPROP SLICE_X3Y40 IS_USED 0 SITEPROP SLICE_X3Y40 MANUAL_ROUTING SITEPROP SLICE_X3Y40 NAME SLICE_X3Y40 SITEPROP SLICE_X3Y40 NUM_ARCS 138 SITEPROP SLICE_X3Y40 NUM_BELS 32 SITEPROP SLICE_X3Y40 NUM_INPUTS 32 SITEPROP SLICE_X3Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y40 NUM_PINS 45 SITEPROP SLICE_X3Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y40 PROHIBIT 0 SITEPROP SLICE_X3Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y40 RPM_X 23 SITEPROP SLICE_X3Y40 RPM_Y 80 SITEPROP SLICE_X3Y40 SITE_PIPS SITEPROP SLICE_X3Y40 SITE_TYPE SLICEL SITEPROP SLICE_X3Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y41 CLASS site SITEPROP SLICE_X3Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y41 IS_BONDED 0 SITEPROP SLICE_X3Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y41 IS_PAD 0 SITEPROP SLICE_X3Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y41 IS_RESERVED 0 SITEPROP SLICE_X3Y41 IS_TEST 0 SITEPROP SLICE_X3Y41 IS_USED 0 SITEPROP SLICE_X3Y41 MANUAL_ROUTING SITEPROP SLICE_X3Y41 NAME SLICE_X3Y41 SITEPROP SLICE_X3Y41 NUM_ARCS 138 SITEPROP SLICE_X3Y41 NUM_BELS 32 SITEPROP SLICE_X3Y41 NUM_INPUTS 32 SITEPROP SLICE_X3Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y41 NUM_PINS 45 SITEPROP SLICE_X3Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y41 PROHIBIT 0 SITEPROP SLICE_X3Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y41 RPM_X 23 SITEPROP SLICE_X3Y41 RPM_Y 82 SITEPROP SLICE_X3Y41 SITE_PIPS SITEPROP SLICE_X3Y41 SITE_TYPE SLICEL SITEPROP SLICE_X3Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y42 CLASS site SITEPROP SLICE_X3Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y42 IS_BONDED 0 SITEPROP SLICE_X3Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y42 IS_PAD 0 SITEPROP SLICE_X3Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y42 IS_RESERVED 0 SITEPROP SLICE_X3Y42 IS_TEST 0 SITEPROP SLICE_X3Y42 IS_USED 0 SITEPROP SLICE_X3Y42 MANUAL_ROUTING SITEPROP SLICE_X3Y42 NAME SLICE_X3Y42 SITEPROP SLICE_X3Y42 NUM_ARCS 138 SITEPROP SLICE_X3Y42 NUM_BELS 32 SITEPROP SLICE_X3Y42 NUM_INPUTS 32 SITEPROP SLICE_X3Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y42 NUM_PINS 45 SITEPROP SLICE_X3Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y42 PROHIBIT 0 SITEPROP SLICE_X3Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y42 RPM_X 23 SITEPROP SLICE_X3Y42 RPM_Y 84 SITEPROP SLICE_X3Y42 SITE_PIPS SITEPROP SLICE_X3Y42 SITE_TYPE SLICEL SITEPROP SLICE_X3Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y43 CLASS site SITEPROP SLICE_X3Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y43 IS_BONDED 0 SITEPROP SLICE_X3Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y43 IS_PAD 0 SITEPROP SLICE_X3Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y43 IS_RESERVED 0 SITEPROP SLICE_X3Y43 IS_TEST 0 SITEPROP SLICE_X3Y43 IS_USED 0 SITEPROP SLICE_X3Y43 MANUAL_ROUTING SITEPROP SLICE_X3Y43 NAME SLICE_X3Y43 SITEPROP SLICE_X3Y43 NUM_ARCS 138 SITEPROP SLICE_X3Y43 NUM_BELS 32 SITEPROP SLICE_X3Y43 NUM_INPUTS 32 SITEPROP SLICE_X3Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y43 NUM_PINS 45 SITEPROP SLICE_X3Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y43 PROHIBIT 0 SITEPROP SLICE_X3Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y43 RPM_X 23 SITEPROP SLICE_X3Y43 RPM_Y 86 SITEPROP SLICE_X3Y43 SITE_PIPS SITEPROP SLICE_X3Y43 SITE_TYPE SLICEL SITEPROP SLICE_X3Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y44 CLASS site SITEPROP SLICE_X3Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y44 IS_BONDED 0 SITEPROP SLICE_X3Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y44 IS_PAD 0 SITEPROP SLICE_X3Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y44 IS_RESERVED 0 SITEPROP SLICE_X3Y44 IS_TEST 0 SITEPROP SLICE_X3Y44 IS_USED 0 SITEPROP SLICE_X3Y44 MANUAL_ROUTING SITEPROP SLICE_X3Y44 NAME SLICE_X3Y44 SITEPROP SLICE_X3Y44 NUM_ARCS 138 SITEPROP SLICE_X3Y44 NUM_BELS 32 SITEPROP SLICE_X3Y44 NUM_INPUTS 32 SITEPROP SLICE_X3Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y44 NUM_PINS 45 SITEPROP SLICE_X3Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y44 PROHIBIT 0 SITEPROP SLICE_X3Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y44 RPM_X 23 SITEPROP SLICE_X3Y44 RPM_Y 88 SITEPROP SLICE_X3Y44 SITE_PIPS SITEPROP SLICE_X3Y44 SITE_TYPE SLICEL SITEPROP SLICE_X3Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y45 CLASS site SITEPROP SLICE_X3Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y45 IS_BONDED 0 SITEPROP SLICE_X3Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y45 IS_PAD 0 SITEPROP SLICE_X3Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y45 IS_RESERVED 0 SITEPROP SLICE_X3Y45 IS_TEST 0 SITEPROP SLICE_X3Y45 IS_USED 0 SITEPROP SLICE_X3Y45 MANUAL_ROUTING SITEPROP SLICE_X3Y45 NAME SLICE_X3Y45 SITEPROP SLICE_X3Y45 NUM_ARCS 138 SITEPROP SLICE_X3Y45 NUM_BELS 32 SITEPROP SLICE_X3Y45 NUM_INPUTS 32 SITEPROP SLICE_X3Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y45 NUM_PINS 45 SITEPROP SLICE_X3Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y45 PROHIBIT 0 SITEPROP SLICE_X3Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y45 RPM_X 23 SITEPROP SLICE_X3Y45 RPM_Y 90 SITEPROP SLICE_X3Y45 SITE_PIPS SITEPROP SLICE_X3Y45 SITE_TYPE SLICEL SITEPROP SLICE_X3Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y46 CLASS site SITEPROP SLICE_X3Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y46 IS_BONDED 0 SITEPROP SLICE_X3Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y46 IS_PAD 0 SITEPROP SLICE_X3Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y46 IS_RESERVED 0 SITEPROP SLICE_X3Y46 IS_TEST 0 SITEPROP SLICE_X3Y46 IS_USED 0 SITEPROP SLICE_X3Y46 MANUAL_ROUTING SITEPROP SLICE_X3Y46 NAME SLICE_X3Y46 SITEPROP SLICE_X3Y46 NUM_ARCS 138 SITEPROP SLICE_X3Y46 NUM_BELS 32 SITEPROP SLICE_X3Y46 NUM_INPUTS 32 SITEPROP SLICE_X3Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y46 NUM_PINS 45 SITEPROP SLICE_X3Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y46 PROHIBIT 0 SITEPROP SLICE_X3Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y46 RPM_X 23 SITEPROP SLICE_X3Y46 RPM_Y 92 SITEPROP SLICE_X3Y46 SITE_PIPS SITEPROP SLICE_X3Y46 SITE_TYPE SLICEL SITEPROP SLICE_X3Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y47 CLASS site SITEPROP SLICE_X3Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y47 IS_BONDED 0 SITEPROP SLICE_X3Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y47 IS_PAD 0 SITEPROP SLICE_X3Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y47 IS_RESERVED 0 SITEPROP SLICE_X3Y47 IS_TEST 0 SITEPROP SLICE_X3Y47 IS_USED 0 SITEPROP SLICE_X3Y47 MANUAL_ROUTING SITEPROP SLICE_X3Y47 NAME SLICE_X3Y47 SITEPROP SLICE_X3Y47 NUM_ARCS 138 SITEPROP SLICE_X3Y47 NUM_BELS 32 SITEPROP SLICE_X3Y47 NUM_INPUTS 32 SITEPROP SLICE_X3Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y47 NUM_PINS 45 SITEPROP SLICE_X3Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y47 PROHIBIT 0 SITEPROP SLICE_X3Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y47 RPM_X 23 SITEPROP SLICE_X3Y47 RPM_Y 94 SITEPROP SLICE_X3Y47 SITE_PIPS SITEPROP SLICE_X3Y47 SITE_TYPE SLICEL SITEPROP SLICE_X3Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y48 CLASS site SITEPROP SLICE_X3Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y48 IS_BONDED 0 SITEPROP SLICE_X3Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y48 IS_PAD 0 SITEPROP SLICE_X3Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y48 IS_RESERVED 0 SITEPROP SLICE_X3Y48 IS_TEST 0 SITEPROP SLICE_X3Y48 IS_USED 0 SITEPROP SLICE_X3Y48 MANUAL_ROUTING SITEPROP SLICE_X3Y48 NAME SLICE_X3Y48 SITEPROP SLICE_X3Y48 NUM_ARCS 138 SITEPROP SLICE_X3Y48 NUM_BELS 32 SITEPROP SLICE_X3Y48 NUM_INPUTS 32 SITEPROP SLICE_X3Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y48 NUM_PINS 45 SITEPROP SLICE_X3Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y48 PROHIBIT 0 SITEPROP SLICE_X3Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y48 RPM_X 23 SITEPROP SLICE_X3Y48 RPM_Y 96 SITEPROP SLICE_X3Y48 SITE_PIPS SITEPROP SLICE_X3Y48 SITE_TYPE SLICEL SITEPROP SLICE_X3Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y49 CLASS site SITEPROP SLICE_X3Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X3Y49 IS_BONDED 0 SITEPROP SLICE_X3Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y49 IS_PAD 0 SITEPROP SLICE_X3Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y49 IS_RESERVED 0 SITEPROP SLICE_X3Y49 IS_TEST 0 SITEPROP SLICE_X3Y49 IS_USED 0 SITEPROP SLICE_X3Y49 MANUAL_ROUTING SITEPROP SLICE_X3Y49 NAME SLICE_X3Y49 SITEPROP SLICE_X3Y49 NUM_ARCS 138 SITEPROP SLICE_X3Y49 NUM_BELS 32 SITEPROP SLICE_X3Y49 NUM_INPUTS 32 SITEPROP SLICE_X3Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y49 NUM_PINS 45 SITEPROP SLICE_X3Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y49 PROHIBIT 0 SITEPROP SLICE_X3Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y49 RPM_X 23 SITEPROP SLICE_X3Y49 RPM_Y 98 SITEPROP SLICE_X3Y49 SITE_PIPS SITEPROP SLICE_X3Y49 SITE_TYPE SLICEL SITEPROP SLICE_X3Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y50 CLASS site SITEPROP SLICE_X3Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y50 IS_BONDED 0 SITEPROP SLICE_X3Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y50 IS_PAD 0 SITEPROP SLICE_X3Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y50 IS_RESERVED 0 SITEPROP SLICE_X3Y50 IS_TEST 0 SITEPROP SLICE_X3Y50 IS_USED 0 SITEPROP SLICE_X3Y50 MANUAL_ROUTING SITEPROP SLICE_X3Y50 NAME SLICE_X3Y50 SITEPROP SLICE_X3Y50 NUM_ARCS 138 SITEPROP SLICE_X3Y50 NUM_BELS 32 SITEPROP SLICE_X3Y50 NUM_INPUTS 32 SITEPROP SLICE_X3Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y50 NUM_PINS 45 SITEPROP SLICE_X3Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y50 PROHIBIT 0 SITEPROP SLICE_X3Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y50 RPM_X 23 SITEPROP SLICE_X3Y50 RPM_Y 100 SITEPROP SLICE_X3Y50 SITE_PIPS SITEPROP SLICE_X3Y50 SITE_TYPE SLICEL SITEPROP SLICE_X3Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y51 CLASS site SITEPROP SLICE_X3Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y51 IS_BONDED 0 SITEPROP SLICE_X3Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y51 IS_PAD 0 SITEPROP SLICE_X3Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y51 IS_RESERVED 0 SITEPROP SLICE_X3Y51 IS_TEST 0 SITEPROP SLICE_X3Y51 IS_USED 0 SITEPROP SLICE_X3Y51 MANUAL_ROUTING SITEPROP SLICE_X3Y51 NAME SLICE_X3Y51 SITEPROP SLICE_X3Y51 NUM_ARCS 138 SITEPROP SLICE_X3Y51 NUM_BELS 32 SITEPROP SLICE_X3Y51 NUM_INPUTS 32 SITEPROP SLICE_X3Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y51 NUM_PINS 45 SITEPROP SLICE_X3Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y51 PROHIBIT 0 SITEPROP SLICE_X3Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y51 RPM_X 23 SITEPROP SLICE_X3Y51 RPM_Y 102 SITEPROP SLICE_X3Y51 SITE_PIPS SITEPROP SLICE_X3Y51 SITE_TYPE SLICEL SITEPROP SLICE_X3Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y52 CLASS site SITEPROP SLICE_X3Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y52 IS_BONDED 0 SITEPROP SLICE_X3Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y52 IS_PAD 0 SITEPROP SLICE_X3Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y52 IS_RESERVED 0 SITEPROP SLICE_X3Y52 IS_TEST 0 SITEPROP SLICE_X3Y52 IS_USED 0 SITEPROP SLICE_X3Y52 MANUAL_ROUTING SITEPROP SLICE_X3Y52 NAME SLICE_X3Y52 SITEPROP SLICE_X3Y52 NUM_ARCS 138 SITEPROP SLICE_X3Y52 NUM_BELS 32 SITEPROP SLICE_X3Y52 NUM_INPUTS 32 SITEPROP SLICE_X3Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y52 NUM_PINS 45 SITEPROP SLICE_X3Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y52 PROHIBIT 0 SITEPROP SLICE_X3Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y52 RPM_X 23 SITEPROP SLICE_X3Y52 RPM_Y 104 SITEPROP SLICE_X3Y52 SITE_PIPS SITEPROP SLICE_X3Y52 SITE_TYPE SLICEL SITEPROP SLICE_X3Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y53 CLASS site SITEPROP SLICE_X3Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y53 IS_BONDED 0 SITEPROP SLICE_X3Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y53 IS_PAD 0 SITEPROP SLICE_X3Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y53 IS_RESERVED 0 SITEPROP SLICE_X3Y53 IS_TEST 0 SITEPROP SLICE_X3Y53 IS_USED 0 SITEPROP SLICE_X3Y53 MANUAL_ROUTING SITEPROP SLICE_X3Y53 NAME SLICE_X3Y53 SITEPROP SLICE_X3Y53 NUM_ARCS 138 SITEPROP SLICE_X3Y53 NUM_BELS 32 SITEPROP SLICE_X3Y53 NUM_INPUTS 32 SITEPROP SLICE_X3Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y53 NUM_PINS 45 SITEPROP SLICE_X3Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y53 PROHIBIT 0 SITEPROP SLICE_X3Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y53 RPM_X 23 SITEPROP SLICE_X3Y53 RPM_Y 106 SITEPROP SLICE_X3Y53 SITE_PIPS SITEPROP SLICE_X3Y53 SITE_TYPE SLICEL SITEPROP SLICE_X3Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y54 CLASS site SITEPROP SLICE_X3Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y54 IS_BONDED 0 SITEPROP SLICE_X3Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y54 IS_PAD 0 SITEPROP SLICE_X3Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y54 IS_RESERVED 0 SITEPROP SLICE_X3Y54 IS_TEST 0 SITEPROP SLICE_X3Y54 IS_USED 0 SITEPROP SLICE_X3Y54 MANUAL_ROUTING SITEPROP SLICE_X3Y54 NAME SLICE_X3Y54 SITEPROP SLICE_X3Y54 NUM_ARCS 138 SITEPROP SLICE_X3Y54 NUM_BELS 32 SITEPROP SLICE_X3Y54 NUM_INPUTS 32 SITEPROP SLICE_X3Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y54 NUM_PINS 45 SITEPROP SLICE_X3Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y54 PROHIBIT 0 SITEPROP SLICE_X3Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y54 RPM_X 23 SITEPROP SLICE_X3Y54 RPM_Y 108 SITEPROP SLICE_X3Y54 SITE_PIPS SITEPROP SLICE_X3Y54 SITE_TYPE SLICEL SITEPROP SLICE_X3Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y55 CLASS site SITEPROP SLICE_X3Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y55 IS_BONDED 0 SITEPROP SLICE_X3Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y55 IS_PAD 0 SITEPROP SLICE_X3Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y55 IS_RESERVED 0 SITEPROP SLICE_X3Y55 IS_TEST 0 SITEPROP SLICE_X3Y55 IS_USED 0 SITEPROP SLICE_X3Y55 MANUAL_ROUTING SITEPROP SLICE_X3Y55 NAME SLICE_X3Y55 SITEPROP SLICE_X3Y55 NUM_ARCS 138 SITEPROP SLICE_X3Y55 NUM_BELS 32 SITEPROP SLICE_X3Y55 NUM_INPUTS 32 SITEPROP SLICE_X3Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y55 NUM_PINS 45 SITEPROP SLICE_X3Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y55 PROHIBIT 0 SITEPROP SLICE_X3Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y55 RPM_X 23 SITEPROP SLICE_X3Y55 RPM_Y 110 SITEPROP SLICE_X3Y55 SITE_PIPS SITEPROP SLICE_X3Y55 SITE_TYPE SLICEL SITEPROP SLICE_X3Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y56 CLASS site SITEPROP SLICE_X3Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y56 IS_BONDED 0 SITEPROP SLICE_X3Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y56 IS_PAD 0 SITEPROP SLICE_X3Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y56 IS_RESERVED 0 SITEPROP SLICE_X3Y56 IS_TEST 0 SITEPROP SLICE_X3Y56 IS_USED 0 SITEPROP SLICE_X3Y56 MANUAL_ROUTING SITEPROP SLICE_X3Y56 NAME SLICE_X3Y56 SITEPROP SLICE_X3Y56 NUM_ARCS 138 SITEPROP SLICE_X3Y56 NUM_BELS 32 SITEPROP SLICE_X3Y56 NUM_INPUTS 32 SITEPROP SLICE_X3Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y56 NUM_PINS 45 SITEPROP SLICE_X3Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y56 PROHIBIT 0 SITEPROP SLICE_X3Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y56 RPM_X 23 SITEPROP SLICE_X3Y56 RPM_Y 112 SITEPROP SLICE_X3Y56 SITE_PIPS SITEPROP SLICE_X3Y56 SITE_TYPE SLICEL SITEPROP SLICE_X3Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y57 CLASS site SITEPROP SLICE_X3Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y57 IS_BONDED 0 SITEPROP SLICE_X3Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y57 IS_PAD 0 SITEPROP SLICE_X3Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y57 IS_RESERVED 0 SITEPROP SLICE_X3Y57 IS_TEST 0 SITEPROP SLICE_X3Y57 IS_USED 0 SITEPROP SLICE_X3Y57 MANUAL_ROUTING SITEPROP SLICE_X3Y57 NAME SLICE_X3Y57 SITEPROP SLICE_X3Y57 NUM_ARCS 138 SITEPROP SLICE_X3Y57 NUM_BELS 32 SITEPROP SLICE_X3Y57 NUM_INPUTS 32 SITEPROP SLICE_X3Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y57 NUM_PINS 45 SITEPROP SLICE_X3Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y57 PROHIBIT 0 SITEPROP SLICE_X3Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y57 RPM_X 23 SITEPROP SLICE_X3Y57 RPM_Y 114 SITEPROP SLICE_X3Y57 SITE_PIPS SITEPROP SLICE_X3Y57 SITE_TYPE SLICEL SITEPROP SLICE_X3Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y58 CLASS site SITEPROP SLICE_X3Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y58 IS_BONDED 0 SITEPROP SLICE_X3Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y58 IS_PAD 0 SITEPROP SLICE_X3Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y58 IS_RESERVED 0 SITEPROP SLICE_X3Y58 IS_TEST 0 SITEPROP SLICE_X3Y58 IS_USED 0 SITEPROP SLICE_X3Y58 MANUAL_ROUTING SITEPROP SLICE_X3Y58 NAME SLICE_X3Y58 SITEPROP SLICE_X3Y58 NUM_ARCS 138 SITEPROP SLICE_X3Y58 NUM_BELS 32 SITEPROP SLICE_X3Y58 NUM_INPUTS 32 SITEPROP SLICE_X3Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y58 NUM_PINS 45 SITEPROP SLICE_X3Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y58 PROHIBIT 0 SITEPROP SLICE_X3Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y58 RPM_X 23 SITEPROP SLICE_X3Y58 RPM_Y 116 SITEPROP SLICE_X3Y58 SITE_PIPS SITEPROP SLICE_X3Y58 SITE_TYPE SLICEL SITEPROP SLICE_X3Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y59 CLASS site SITEPROP SLICE_X3Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y59 IS_BONDED 0 SITEPROP SLICE_X3Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y59 IS_PAD 0 SITEPROP SLICE_X3Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y59 IS_RESERVED 0 SITEPROP SLICE_X3Y59 IS_TEST 0 SITEPROP SLICE_X3Y59 IS_USED 0 SITEPROP SLICE_X3Y59 MANUAL_ROUTING SITEPROP SLICE_X3Y59 NAME SLICE_X3Y59 SITEPROP SLICE_X3Y59 NUM_ARCS 138 SITEPROP SLICE_X3Y59 NUM_BELS 32 SITEPROP SLICE_X3Y59 NUM_INPUTS 32 SITEPROP SLICE_X3Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y59 NUM_PINS 45 SITEPROP SLICE_X3Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y59 PROHIBIT 0 SITEPROP SLICE_X3Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y59 RPM_X 23 SITEPROP SLICE_X3Y59 RPM_Y 118 SITEPROP SLICE_X3Y59 SITE_PIPS SITEPROP SLICE_X3Y59 SITE_TYPE SLICEL SITEPROP SLICE_X3Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y60 CLASS site SITEPROP SLICE_X3Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y60 IS_BONDED 0 SITEPROP SLICE_X3Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y60 IS_PAD 0 SITEPROP SLICE_X3Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y60 IS_RESERVED 0 SITEPROP SLICE_X3Y60 IS_TEST 0 SITEPROP SLICE_X3Y60 IS_USED 0 SITEPROP SLICE_X3Y60 MANUAL_ROUTING SITEPROP SLICE_X3Y60 NAME SLICE_X3Y60 SITEPROP SLICE_X3Y60 NUM_ARCS 138 SITEPROP SLICE_X3Y60 NUM_BELS 32 SITEPROP SLICE_X3Y60 NUM_INPUTS 32 SITEPROP SLICE_X3Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y60 NUM_PINS 45 SITEPROP SLICE_X3Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y60 PROHIBIT 0 SITEPROP SLICE_X3Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y60 RPM_X 23 SITEPROP SLICE_X3Y60 RPM_Y 120 SITEPROP SLICE_X3Y60 SITE_PIPS SITEPROP SLICE_X3Y60 SITE_TYPE SLICEL SITEPROP SLICE_X3Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y61 CLASS site SITEPROP SLICE_X3Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y61 IS_BONDED 0 SITEPROP SLICE_X3Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y61 IS_PAD 0 SITEPROP SLICE_X3Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y61 IS_RESERVED 0 SITEPROP SLICE_X3Y61 IS_TEST 0 SITEPROP SLICE_X3Y61 IS_USED 0 SITEPROP SLICE_X3Y61 MANUAL_ROUTING SITEPROP SLICE_X3Y61 NAME SLICE_X3Y61 SITEPROP SLICE_X3Y61 NUM_ARCS 138 SITEPROP SLICE_X3Y61 NUM_BELS 32 SITEPROP SLICE_X3Y61 NUM_INPUTS 32 SITEPROP SLICE_X3Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y61 NUM_PINS 45 SITEPROP SLICE_X3Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y61 PROHIBIT 0 SITEPROP SLICE_X3Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y61 RPM_X 23 SITEPROP SLICE_X3Y61 RPM_Y 122 SITEPROP SLICE_X3Y61 SITE_PIPS SITEPROP SLICE_X3Y61 SITE_TYPE SLICEL SITEPROP SLICE_X3Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y62 CLASS site SITEPROP SLICE_X3Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y62 IS_BONDED 0 SITEPROP SLICE_X3Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y62 IS_PAD 0 SITEPROP SLICE_X3Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y62 IS_RESERVED 0 SITEPROP SLICE_X3Y62 IS_TEST 0 SITEPROP SLICE_X3Y62 IS_USED 0 SITEPROP SLICE_X3Y62 MANUAL_ROUTING SITEPROP SLICE_X3Y62 NAME SLICE_X3Y62 SITEPROP SLICE_X3Y62 NUM_ARCS 138 SITEPROP SLICE_X3Y62 NUM_BELS 32 SITEPROP SLICE_X3Y62 NUM_INPUTS 32 SITEPROP SLICE_X3Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y62 NUM_PINS 45 SITEPROP SLICE_X3Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y62 PROHIBIT 0 SITEPROP SLICE_X3Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y62 RPM_X 23 SITEPROP SLICE_X3Y62 RPM_Y 124 SITEPROP SLICE_X3Y62 SITE_PIPS SITEPROP SLICE_X3Y62 SITE_TYPE SLICEL SITEPROP SLICE_X3Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y63 CLASS site SITEPROP SLICE_X3Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y63 IS_BONDED 0 SITEPROP SLICE_X3Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y63 IS_PAD 0 SITEPROP SLICE_X3Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y63 IS_RESERVED 0 SITEPROP SLICE_X3Y63 IS_TEST 0 SITEPROP SLICE_X3Y63 IS_USED 0 SITEPROP SLICE_X3Y63 MANUAL_ROUTING SITEPROP SLICE_X3Y63 NAME SLICE_X3Y63 SITEPROP SLICE_X3Y63 NUM_ARCS 138 SITEPROP SLICE_X3Y63 NUM_BELS 32 SITEPROP SLICE_X3Y63 NUM_INPUTS 32 SITEPROP SLICE_X3Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y63 NUM_PINS 45 SITEPROP SLICE_X3Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y63 PROHIBIT 0 SITEPROP SLICE_X3Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y63 RPM_X 23 SITEPROP SLICE_X3Y63 RPM_Y 126 SITEPROP SLICE_X3Y63 SITE_PIPS SITEPROP SLICE_X3Y63 SITE_TYPE SLICEL SITEPROP SLICE_X3Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y64 CLASS site SITEPROP SLICE_X3Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y64 IS_BONDED 0 SITEPROP SLICE_X3Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y64 IS_PAD 0 SITEPROP SLICE_X3Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y64 IS_RESERVED 0 SITEPROP SLICE_X3Y64 IS_TEST 0 SITEPROP SLICE_X3Y64 IS_USED 0 SITEPROP SLICE_X3Y64 MANUAL_ROUTING SITEPROP SLICE_X3Y64 NAME SLICE_X3Y64 SITEPROP SLICE_X3Y64 NUM_ARCS 138 SITEPROP SLICE_X3Y64 NUM_BELS 32 SITEPROP SLICE_X3Y64 NUM_INPUTS 32 SITEPROP SLICE_X3Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y64 NUM_PINS 45 SITEPROP SLICE_X3Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y64 PROHIBIT 0 SITEPROP SLICE_X3Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y64 RPM_X 23 SITEPROP SLICE_X3Y64 RPM_Y 128 SITEPROP SLICE_X3Y64 SITE_PIPS SITEPROP SLICE_X3Y64 SITE_TYPE SLICEL SITEPROP SLICE_X3Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y65 CLASS site SITEPROP SLICE_X3Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y65 IS_BONDED 0 SITEPROP SLICE_X3Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y65 IS_PAD 0 SITEPROP SLICE_X3Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y65 IS_RESERVED 0 SITEPROP SLICE_X3Y65 IS_TEST 0 SITEPROP SLICE_X3Y65 IS_USED 0 SITEPROP SLICE_X3Y65 MANUAL_ROUTING SITEPROP SLICE_X3Y65 NAME SLICE_X3Y65 SITEPROP SLICE_X3Y65 NUM_ARCS 138 SITEPROP SLICE_X3Y65 NUM_BELS 32 SITEPROP SLICE_X3Y65 NUM_INPUTS 32 SITEPROP SLICE_X3Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y65 NUM_PINS 45 SITEPROP SLICE_X3Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y65 PROHIBIT 0 SITEPROP SLICE_X3Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y65 RPM_X 23 SITEPROP SLICE_X3Y65 RPM_Y 130 SITEPROP SLICE_X3Y65 SITE_PIPS SITEPROP SLICE_X3Y65 SITE_TYPE SLICEL SITEPROP SLICE_X3Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y66 CLASS site SITEPROP SLICE_X3Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y66 IS_BONDED 0 SITEPROP SLICE_X3Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y66 IS_PAD 0 SITEPROP SLICE_X3Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y66 IS_RESERVED 0 SITEPROP SLICE_X3Y66 IS_TEST 0 SITEPROP SLICE_X3Y66 IS_USED 0 SITEPROP SLICE_X3Y66 MANUAL_ROUTING SITEPROP SLICE_X3Y66 NAME SLICE_X3Y66 SITEPROP SLICE_X3Y66 NUM_ARCS 138 SITEPROP SLICE_X3Y66 NUM_BELS 32 SITEPROP SLICE_X3Y66 NUM_INPUTS 32 SITEPROP SLICE_X3Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y66 NUM_PINS 45 SITEPROP SLICE_X3Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y66 PROHIBIT 0 SITEPROP SLICE_X3Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y66 RPM_X 23 SITEPROP SLICE_X3Y66 RPM_Y 132 SITEPROP SLICE_X3Y66 SITE_PIPS SITEPROP SLICE_X3Y66 SITE_TYPE SLICEL SITEPROP SLICE_X3Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y67 CLASS site SITEPROP SLICE_X3Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y67 IS_BONDED 0 SITEPROP SLICE_X3Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y67 IS_PAD 0 SITEPROP SLICE_X3Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y67 IS_RESERVED 0 SITEPROP SLICE_X3Y67 IS_TEST 0 SITEPROP SLICE_X3Y67 IS_USED 0 SITEPROP SLICE_X3Y67 MANUAL_ROUTING SITEPROP SLICE_X3Y67 NAME SLICE_X3Y67 SITEPROP SLICE_X3Y67 NUM_ARCS 138 SITEPROP SLICE_X3Y67 NUM_BELS 32 SITEPROP SLICE_X3Y67 NUM_INPUTS 32 SITEPROP SLICE_X3Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y67 NUM_PINS 45 SITEPROP SLICE_X3Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y67 PROHIBIT 0 SITEPROP SLICE_X3Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y67 RPM_X 23 SITEPROP SLICE_X3Y67 RPM_Y 134 SITEPROP SLICE_X3Y67 SITE_PIPS SITEPROP SLICE_X3Y67 SITE_TYPE SLICEL SITEPROP SLICE_X3Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y68 CLASS site SITEPROP SLICE_X3Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y68 IS_BONDED 0 SITEPROP SLICE_X3Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y68 IS_PAD 0 SITEPROP SLICE_X3Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y68 IS_RESERVED 0 SITEPROP SLICE_X3Y68 IS_TEST 0 SITEPROP SLICE_X3Y68 IS_USED 0 SITEPROP SLICE_X3Y68 MANUAL_ROUTING SITEPROP SLICE_X3Y68 NAME SLICE_X3Y68 SITEPROP SLICE_X3Y68 NUM_ARCS 138 SITEPROP SLICE_X3Y68 NUM_BELS 32 SITEPROP SLICE_X3Y68 NUM_INPUTS 32 SITEPROP SLICE_X3Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y68 NUM_PINS 45 SITEPROP SLICE_X3Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y68 PROHIBIT 0 SITEPROP SLICE_X3Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y68 RPM_X 23 SITEPROP SLICE_X3Y68 RPM_Y 136 SITEPROP SLICE_X3Y68 SITE_PIPS SITEPROP SLICE_X3Y68 SITE_TYPE SLICEL SITEPROP SLICE_X3Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y69 CLASS site SITEPROP SLICE_X3Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y69 IS_BONDED 0 SITEPROP SLICE_X3Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y69 IS_PAD 0 SITEPROP SLICE_X3Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y69 IS_RESERVED 0 SITEPROP SLICE_X3Y69 IS_TEST 0 SITEPROP SLICE_X3Y69 IS_USED 0 SITEPROP SLICE_X3Y69 MANUAL_ROUTING SITEPROP SLICE_X3Y69 NAME SLICE_X3Y69 SITEPROP SLICE_X3Y69 NUM_ARCS 138 SITEPROP SLICE_X3Y69 NUM_BELS 32 SITEPROP SLICE_X3Y69 NUM_INPUTS 32 SITEPROP SLICE_X3Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y69 NUM_PINS 45 SITEPROP SLICE_X3Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y69 PROHIBIT 0 SITEPROP SLICE_X3Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y69 RPM_X 23 SITEPROP SLICE_X3Y69 RPM_Y 138 SITEPROP SLICE_X3Y69 SITE_PIPS SITEPROP SLICE_X3Y69 SITE_TYPE SLICEL SITEPROP SLICE_X3Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y70 CLASS site SITEPROP SLICE_X3Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y70 IS_BONDED 0 SITEPROP SLICE_X3Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y70 IS_PAD 0 SITEPROP SLICE_X3Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y70 IS_RESERVED 0 SITEPROP SLICE_X3Y70 IS_TEST 0 SITEPROP SLICE_X3Y70 IS_USED 0 SITEPROP SLICE_X3Y70 MANUAL_ROUTING SITEPROP SLICE_X3Y70 NAME SLICE_X3Y70 SITEPROP SLICE_X3Y70 NUM_ARCS 138 SITEPROP SLICE_X3Y70 NUM_BELS 32 SITEPROP SLICE_X3Y70 NUM_INPUTS 32 SITEPROP SLICE_X3Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y70 NUM_PINS 45 SITEPROP SLICE_X3Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y70 PROHIBIT 0 SITEPROP SLICE_X3Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y70 RPM_X 23 SITEPROP SLICE_X3Y70 RPM_Y 140 SITEPROP SLICE_X3Y70 SITE_PIPS SITEPROP SLICE_X3Y70 SITE_TYPE SLICEL SITEPROP SLICE_X3Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y71 CLASS site SITEPROP SLICE_X3Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y71 IS_BONDED 0 SITEPROP SLICE_X3Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y71 IS_PAD 0 SITEPROP SLICE_X3Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y71 IS_RESERVED 0 SITEPROP SLICE_X3Y71 IS_TEST 0 SITEPROP SLICE_X3Y71 IS_USED 0 SITEPROP SLICE_X3Y71 MANUAL_ROUTING SITEPROP SLICE_X3Y71 NAME SLICE_X3Y71 SITEPROP SLICE_X3Y71 NUM_ARCS 138 SITEPROP SLICE_X3Y71 NUM_BELS 32 SITEPROP SLICE_X3Y71 NUM_INPUTS 32 SITEPROP SLICE_X3Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y71 NUM_PINS 45 SITEPROP SLICE_X3Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y71 PROHIBIT 0 SITEPROP SLICE_X3Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y71 RPM_X 23 SITEPROP SLICE_X3Y71 RPM_Y 142 SITEPROP SLICE_X3Y71 SITE_PIPS SITEPROP SLICE_X3Y71 SITE_TYPE SLICEL SITEPROP SLICE_X3Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y72 CLASS site SITEPROP SLICE_X3Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y72 IS_BONDED 0 SITEPROP SLICE_X3Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y72 IS_PAD 0 SITEPROP SLICE_X3Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y72 IS_RESERVED 0 SITEPROP SLICE_X3Y72 IS_TEST 0 SITEPROP SLICE_X3Y72 IS_USED 0 SITEPROP SLICE_X3Y72 MANUAL_ROUTING SITEPROP SLICE_X3Y72 NAME SLICE_X3Y72 SITEPROP SLICE_X3Y72 NUM_ARCS 138 SITEPROP SLICE_X3Y72 NUM_BELS 32 SITEPROP SLICE_X3Y72 NUM_INPUTS 32 SITEPROP SLICE_X3Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y72 NUM_PINS 45 SITEPROP SLICE_X3Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y72 PROHIBIT 0 SITEPROP SLICE_X3Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y72 RPM_X 23 SITEPROP SLICE_X3Y72 RPM_Y 144 SITEPROP SLICE_X3Y72 SITE_PIPS SITEPROP SLICE_X3Y72 SITE_TYPE SLICEL SITEPROP SLICE_X3Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y73 CLASS site SITEPROP SLICE_X3Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y73 IS_BONDED 0 SITEPROP SLICE_X3Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y73 IS_PAD 0 SITEPROP SLICE_X3Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y73 IS_RESERVED 0 SITEPROP SLICE_X3Y73 IS_TEST 0 SITEPROP SLICE_X3Y73 IS_USED 0 SITEPROP SLICE_X3Y73 MANUAL_ROUTING SITEPROP SLICE_X3Y73 NAME SLICE_X3Y73 SITEPROP SLICE_X3Y73 NUM_ARCS 138 SITEPROP SLICE_X3Y73 NUM_BELS 32 SITEPROP SLICE_X3Y73 NUM_INPUTS 32 SITEPROP SLICE_X3Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y73 NUM_PINS 45 SITEPROP SLICE_X3Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y73 PROHIBIT 0 SITEPROP SLICE_X3Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y73 RPM_X 23 SITEPROP SLICE_X3Y73 RPM_Y 146 SITEPROP SLICE_X3Y73 SITE_PIPS SITEPROP SLICE_X3Y73 SITE_TYPE SLICEL SITEPROP SLICE_X3Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y74 CLASS site SITEPROP SLICE_X3Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y74 IS_BONDED 0 SITEPROP SLICE_X3Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y74 IS_PAD 0 SITEPROP SLICE_X3Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y74 IS_RESERVED 0 SITEPROP SLICE_X3Y74 IS_TEST 0 SITEPROP SLICE_X3Y74 IS_USED 0 SITEPROP SLICE_X3Y74 MANUAL_ROUTING SITEPROP SLICE_X3Y74 NAME SLICE_X3Y74 SITEPROP SLICE_X3Y74 NUM_ARCS 138 SITEPROP SLICE_X3Y74 NUM_BELS 32 SITEPROP SLICE_X3Y74 NUM_INPUTS 32 SITEPROP SLICE_X3Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y74 NUM_PINS 45 SITEPROP SLICE_X3Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y74 PROHIBIT 0 SITEPROP SLICE_X3Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y74 RPM_X 23 SITEPROP SLICE_X3Y74 RPM_Y 148 SITEPROP SLICE_X3Y74 SITE_PIPS SITEPROP SLICE_X3Y74 SITE_TYPE SLICEL SITEPROP SLICE_X3Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y75 CLASS site SITEPROP SLICE_X3Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y75 IS_BONDED 0 SITEPROP SLICE_X3Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y75 IS_PAD 0 SITEPROP SLICE_X3Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y75 IS_RESERVED 0 SITEPROP SLICE_X3Y75 IS_TEST 0 SITEPROP SLICE_X3Y75 IS_USED 0 SITEPROP SLICE_X3Y75 MANUAL_ROUTING SITEPROP SLICE_X3Y75 NAME SLICE_X3Y75 SITEPROP SLICE_X3Y75 NUM_ARCS 138 SITEPROP SLICE_X3Y75 NUM_BELS 32 SITEPROP SLICE_X3Y75 NUM_INPUTS 32 SITEPROP SLICE_X3Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y75 NUM_PINS 45 SITEPROP SLICE_X3Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y75 PROHIBIT 0 SITEPROP SLICE_X3Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y75 RPM_X 23 SITEPROP SLICE_X3Y75 RPM_Y 150 SITEPROP SLICE_X3Y75 SITE_PIPS SITEPROP SLICE_X3Y75 SITE_TYPE SLICEL SITEPROP SLICE_X3Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y76 CLASS site SITEPROP SLICE_X3Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y76 IS_BONDED 0 SITEPROP SLICE_X3Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y76 IS_PAD 0 SITEPROP SLICE_X3Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y76 IS_RESERVED 0 SITEPROP SLICE_X3Y76 IS_TEST 0 SITEPROP SLICE_X3Y76 IS_USED 0 SITEPROP SLICE_X3Y76 MANUAL_ROUTING SITEPROP SLICE_X3Y76 NAME SLICE_X3Y76 SITEPROP SLICE_X3Y76 NUM_ARCS 138 SITEPROP SLICE_X3Y76 NUM_BELS 32 SITEPROP SLICE_X3Y76 NUM_INPUTS 32 SITEPROP SLICE_X3Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y76 NUM_PINS 45 SITEPROP SLICE_X3Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y76 PROHIBIT 0 SITEPROP SLICE_X3Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y76 RPM_X 23 SITEPROP SLICE_X3Y76 RPM_Y 152 SITEPROP SLICE_X3Y76 SITE_PIPS SITEPROP SLICE_X3Y76 SITE_TYPE SLICEL SITEPROP SLICE_X3Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y77 CLASS site SITEPROP SLICE_X3Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y77 IS_BONDED 0 SITEPROP SLICE_X3Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y77 IS_PAD 0 SITEPROP SLICE_X3Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y77 IS_RESERVED 0 SITEPROP SLICE_X3Y77 IS_TEST 0 SITEPROP SLICE_X3Y77 IS_USED 0 SITEPROP SLICE_X3Y77 MANUAL_ROUTING SITEPROP SLICE_X3Y77 NAME SLICE_X3Y77 SITEPROP SLICE_X3Y77 NUM_ARCS 138 SITEPROP SLICE_X3Y77 NUM_BELS 32 SITEPROP SLICE_X3Y77 NUM_INPUTS 32 SITEPROP SLICE_X3Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y77 NUM_PINS 45 SITEPROP SLICE_X3Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y77 PROHIBIT 0 SITEPROP SLICE_X3Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y77 RPM_X 23 SITEPROP SLICE_X3Y77 RPM_Y 154 SITEPROP SLICE_X3Y77 SITE_PIPS SITEPROP SLICE_X3Y77 SITE_TYPE SLICEL SITEPROP SLICE_X3Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y78 CLASS site SITEPROP SLICE_X3Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y78 IS_BONDED 0 SITEPROP SLICE_X3Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y78 IS_PAD 0 SITEPROP SLICE_X3Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y78 IS_RESERVED 0 SITEPROP SLICE_X3Y78 IS_TEST 0 SITEPROP SLICE_X3Y78 IS_USED 0 SITEPROP SLICE_X3Y78 MANUAL_ROUTING SITEPROP SLICE_X3Y78 NAME SLICE_X3Y78 SITEPROP SLICE_X3Y78 NUM_ARCS 138 SITEPROP SLICE_X3Y78 NUM_BELS 32 SITEPROP SLICE_X3Y78 NUM_INPUTS 32 SITEPROP SLICE_X3Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y78 NUM_PINS 45 SITEPROP SLICE_X3Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y78 PROHIBIT 0 SITEPROP SLICE_X3Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y78 RPM_X 23 SITEPROP SLICE_X3Y78 RPM_Y 156 SITEPROP SLICE_X3Y78 SITE_PIPS SITEPROP SLICE_X3Y78 SITE_TYPE SLICEL SITEPROP SLICE_X3Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y79 CLASS site SITEPROP SLICE_X3Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y79 IS_BONDED 0 SITEPROP SLICE_X3Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y79 IS_PAD 0 SITEPROP SLICE_X3Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y79 IS_RESERVED 0 SITEPROP SLICE_X3Y79 IS_TEST 0 SITEPROP SLICE_X3Y79 IS_USED 0 SITEPROP SLICE_X3Y79 MANUAL_ROUTING SITEPROP SLICE_X3Y79 NAME SLICE_X3Y79 SITEPROP SLICE_X3Y79 NUM_ARCS 138 SITEPROP SLICE_X3Y79 NUM_BELS 32 SITEPROP SLICE_X3Y79 NUM_INPUTS 32 SITEPROP SLICE_X3Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y79 NUM_PINS 45 SITEPROP SLICE_X3Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y79 PROHIBIT 0 SITEPROP SLICE_X3Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y79 RPM_X 23 SITEPROP SLICE_X3Y79 RPM_Y 158 SITEPROP SLICE_X3Y79 SITE_PIPS SITEPROP SLICE_X3Y79 SITE_TYPE SLICEL SITEPROP SLICE_X3Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y80 CLASS site SITEPROP SLICE_X3Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y80 IS_BONDED 0 SITEPROP SLICE_X3Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y80 IS_PAD 0 SITEPROP SLICE_X3Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y80 IS_RESERVED 0 SITEPROP SLICE_X3Y80 IS_TEST 0 SITEPROP SLICE_X3Y80 IS_USED 0 SITEPROP SLICE_X3Y80 MANUAL_ROUTING SITEPROP SLICE_X3Y80 NAME SLICE_X3Y80 SITEPROP SLICE_X3Y80 NUM_ARCS 138 SITEPROP SLICE_X3Y80 NUM_BELS 32 SITEPROP SLICE_X3Y80 NUM_INPUTS 32 SITEPROP SLICE_X3Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y80 NUM_PINS 45 SITEPROP SLICE_X3Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y80 PROHIBIT 0 SITEPROP SLICE_X3Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y80 RPM_X 23 SITEPROP SLICE_X3Y80 RPM_Y 160 SITEPROP SLICE_X3Y80 SITE_PIPS SITEPROP SLICE_X3Y80 SITE_TYPE SLICEL SITEPROP SLICE_X3Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y81 CLASS site SITEPROP SLICE_X3Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y81 IS_BONDED 0 SITEPROP SLICE_X3Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y81 IS_PAD 0 SITEPROP SLICE_X3Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y81 IS_RESERVED 0 SITEPROP SLICE_X3Y81 IS_TEST 0 SITEPROP SLICE_X3Y81 IS_USED 0 SITEPROP SLICE_X3Y81 MANUAL_ROUTING SITEPROP SLICE_X3Y81 NAME SLICE_X3Y81 SITEPROP SLICE_X3Y81 NUM_ARCS 138 SITEPROP SLICE_X3Y81 NUM_BELS 32 SITEPROP SLICE_X3Y81 NUM_INPUTS 32 SITEPROP SLICE_X3Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y81 NUM_PINS 45 SITEPROP SLICE_X3Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y81 PROHIBIT 0 SITEPROP SLICE_X3Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y81 RPM_X 23 SITEPROP SLICE_X3Y81 RPM_Y 162 SITEPROP SLICE_X3Y81 SITE_PIPS SITEPROP SLICE_X3Y81 SITE_TYPE SLICEL SITEPROP SLICE_X3Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y82 CLASS site SITEPROP SLICE_X3Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y82 IS_BONDED 0 SITEPROP SLICE_X3Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y82 IS_PAD 0 SITEPROP SLICE_X3Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y82 IS_RESERVED 0 SITEPROP SLICE_X3Y82 IS_TEST 0 SITEPROP SLICE_X3Y82 IS_USED 0 SITEPROP SLICE_X3Y82 MANUAL_ROUTING SITEPROP SLICE_X3Y82 NAME SLICE_X3Y82 SITEPROP SLICE_X3Y82 NUM_ARCS 138 SITEPROP SLICE_X3Y82 NUM_BELS 32 SITEPROP SLICE_X3Y82 NUM_INPUTS 32 SITEPROP SLICE_X3Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y82 NUM_PINS 45 SITEPROP SLICE_X3Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y82 PROHIBIT 0 SITEPROP SLICE_X3Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y82 RPM_X 23 SITEPROP SLICE_X3Y82 RPM_Y 164 SITEPROP SLICE_X3Y82 SITE_PIPS SITEPROP SLICE_X3Y82 SITE_TYPE SLICEL SITEPROP SLICE_X3Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y83 CLASS site SITEPROP SLICE_X3Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y83 IS_BONDED 0 SITEPROP SLICE_X3Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y83 IS_PAD 0 SITEPROP SLICE_X3Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y83 IS_RESERVED 0 SITEPROP SLICE_X3Y83 IS_TEST 0 SITEPROP SLICE_X3Y83 IS_USED 0 SITEPROP SLICE_X3Y83 MANUAL_ROUTING SITEPROP SLICE_X3Y83 NAME SLICE_X3Y83 SITEPROP SLICE_X3Y83 NUM_ARCS 138 SITEPROP SLICE_X3Y83 NUM_BELS 32 SITEPROP SLICE_X3Y83 NUM_INPUTS 32 SITEPROP SLICE_X3Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y83 NUM_PINS 45 SITEPROP SLICE_X3Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y83 PROHIBIT 0 SITEPROP SLICE_X3Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y83 RPM_X 23 SITEPROP SLICE_X3Y83 RPM_Y 166 SITEPROP SLICE_X3Y83 SITE_PIPS SITEPROP SLICE_X3Y83 SITE_TYPE SLICEL SITEPROP SLICE_X3Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y84 CLASS site SITEPROP SLICE_X3Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y84 IS_BONDED 0 SITEPROP SLICE_X3Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y84 IS_PAD 0 SITEPROP SLICE_X3Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y84 IS_RESERVED 0 SITEPROP SLICE_X3Y84 IS_TEST 0 SITEPROP SLICE_X3Y84 IS_USED 0 SITEPROP SLICE_X3Y84 MANUAL_ROUTING SITEPROP SLICE_X3Y84 NAME SLICE_X3Y84 SITEPROP SLICE_X3Y84 NUM_ARCS 138 SITEPROP SLICE_X3Y84 NUM_BELS 32 SITEPROP SLICE_X3Y84 NUM_INPUTS 32 SITEPROP SLICE_X3Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y84 NUM_PINS 45 SITEPROP SLICE_X3Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y84 PROHIBIT 0 SITEPROP SLICE_X3Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y84 RPM_X 23 SITEPROP SLICE_X3Y84 RPM_Y 168 SITEPROP SLICE_X3Y84 SITE_PIPS SITEPROP SLICE_X3Y84 SITE_TYPE SLICEL SITEPROP SLICE_X3Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y85 CLASS site SITEPROP SLICE_X3Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y85 IS_BONDED 0 SITEPROP SLICE_X3Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y85 IS_PAD 0 SITEPROP SLICE_X3Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y85 IS_RESERVED 0 SITEPROP SLICE_X3Y85 IS_TEST 0 SITEPROP SLICE_X3Y85 IS_USED 0 SITEPROP SLICE_X3Y85 MANUAL_ROUTING SITEPROP SLICE_X3Y85 NAME SLICE_X3Y85 SITEPROP SLICE_X3Y85 NUM_ARCS 138 SITEPROP SLICE_X3Y85 NUM_BELS 32 SITEPROP SLICE_X3Y85 NUM_INPUTS 32 SITEPROP SLICE_X3Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y85 NUM_PINS 45 SITEPROP SLICE_X3Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y85 PROHIBIT 0 SITEPROP SLICE_X3Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y85 RPM_X 23 SITEPROP SLICE_X3Y85 RPM_Y 170 SITEPROP SLICE_X3Y85 SITE_PIPS SITEPROP SLICE_X3Y85 SITE_TYPE SLICEL SITEPROP SLICE_X3Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y86 CLASS site SITEPROP SLICE_X3Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y86 IS_BONDED 0 SITEPROP SLICE_X3Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y86 IS_PAD 0 SITEPROP SLICE_X3Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y86 IS_RESERVED 0 SITEPROP SLICE_X3Y86 IS_TEST 0 SITEPROP SLICE_X3Y86 IS_USED 0 SITEPROP SLICE_X3Y86 MANUAL_ROUTING SITEPROP SLICE_X3Y86 NAME SLICE_X3Y86 SITEPROP SLICE_X3Y86 NUM_ARCS 138 SITEPROP SLICE_X3Y86 NUM_BELS 32 SITEPROP SLICE_X3Y86 NUM_INPUTS 32 SITEPROP SLICE_X3Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y86 NUM_PINS 45 SITEPROP SLICE_X3Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y86 PROHIBIT 0 SITEPROP SLICE_X3Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y86 RPM_X 23 SITEPROP SLICE_X3Y86 RPM_Y 172 SITEPROP SLICE_X3Y86 SITE_PIPS SITEPROP SLICE_X3Y86 SITE_TYPE SLICEL SITEPROP SLICE_X3Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y87 CLASS site SITEPROP SLICE_X3Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y87 IS_BONDED 0 SITEPROP SLICE_X3Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y87 IS_PAD 0 SITEPROP SLICE_X3Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y87 IS_RESERVED 0 SITEPROP SLICE_X3Y87 IS_TEST 0 SITEPROP SLICE_X3Y87 IS_USED 0 SITEPROP SLICE_X3Y87 MANUAL_ROUTING SITEPROP SLICE_X3Y87 NAME SLICE_X3Y87 SITEPROP SLICE_X3Y87 NUM_ARCS 138 SITEPROP SLICE_X3Y87 NUM_BELS 32 SITEPROP SLICE_X3Y87 NUM_INPUTS 32 SITEPROP SLICE_X3Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y87 NUM_PINS 45 SITEPROP SLICE_X3Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y87 PROHIBIT 0 SITEPROP SLICE_X3Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y87 RPM_X 23 SITEPROP SLICE_X3Y87 RPM_Y 174 SITEPROP SLICE_X3Y87 SITE_PIPS SITEPROP SLICE_X3Y87 SITE_TYPE SLICEL SITEPROP SLICE_X3Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y88 CLASS site SITEPROP SLICE_X3Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y88 IS_BONDED 0 SITEPROP SLICE_X3Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y88 IS_PAD 0 SITEPROP SLICE_X3Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y88 IS_RESERVED 0 SITEPROP SLICE_X3Y88 IS_TEST 0 SITEPROP SLICE_X3Y88 IS_USED 0 SITEPROP SLICE_X3Y88 MANUAL_ROUTING SITEPROP SLICE_X3Y88 NAME SLICE_X3Y88 SITEPROP SLICE_X3Y88 NUM_ARCS 138 SITEPROP SLICE_X3Y88 NUM_BELS 32 SITEPROP SLICE_X3Y88 NUM_INPUTS 32 SITEPROP SLICE_X3Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y88 NUM_PINS 45 SITEPROP SLICE_X3Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y88 PROHIBIT 0 SITEPROP SLICE_X3Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y88 RPM_X 23 SITEPROP SLICE_X3Y88 RPM_Y 176 SITEPROP SLICE_X3Y88 SITE_PIPS SITEPROP SLICE_X3Y88 SITE_TYPE SLICEL SITEPROP SLICE_X3Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y89 CLASS site SITEPROP SLICE_X3Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y89 IS_BONDED 0 SITEPROP SLICE_X3Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y89 IS_PAD 0 SITEPROP SLICE_X3Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y89 IS_RESERVED 0 SITEPROP SLICE_X3Y89 IS_TEST 0 SITEPROP SLICE_X3Y89 IS_USED 0 SITEPROP SLICE_X3Y89 MANUAL_ROUTING SITEPROP SLICE_X3Y89 NAME SLICE_X3Y89 SITEPROP SLICE_X3Y89 NUM_ARCS 138 SITEPROP SLICE_X3Y89 NUM_BELS 32 SITEPROP SLICE_X3Y89 NUM_INPUTS 32 SITEPROP SLICE_X3Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y89 NUM_PINS 45 SITEPROP SLICE_X3Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y89 PROHIBIT 0 SITEPROP SLICE_X3Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y89 RPM_X 23 SITEPROP SLICE_X3Y89 RPM_Y 178 SITEPROP SLICE_X3Y89 SITE_PIPS SITEPROP SLICE_X3Y89 SITE_TYPE SLICEL SITEPROP SLICE_X3Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y90 CLASS site SITEPROP SLICE_X3Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y90 IS_BONDED 0 SITEPROP SLICE_X3Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y90 IS_PAD 0 SITEPROP SLICE_X3Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y90 IS_RESERVED 0 SITEPROP SLICE_X3Y90 IS_TEST 0 SITEPROP SLICE_X3Y90 IS_USED 0 SITEPROP SLICE_X3Y90 MANUAL_ROUTING SITEPROP SLICE_X3Y90 NAME SLICE_X3Y90 SITEPROP SLICE_X3Y90 NUM_ARCS 138 SITEPROP SLICE_X3Y90 NUM_BELS 32 SITEPROP SLICE_X3Y90 NUM_INPUTS 32 SITEPROP SLICE_X3Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y90 NUM_PINS 45 SITEPROP SLICE_X3Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y90 PROHIBIT 0 SITEPROP SLICE_X3Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y90 RPM_X 23 SITEPROP SLICE_X3Y90 RPM_Y 180 SITEPROP SLICE_X3Y90 SITE_PIPS SITEPROP SLICE_X3Y90 SITE_TYPE SLICEL SITEPROP SLICE_X3Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y91 CLASS site SITEPROP SLICE_X3Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y91 IS_BONDED 0 SITEPROP SLICE_X3Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y91 IS_PAD 0 SITEPROP SLICE_X3Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y91 IS_RESERVED 0 SITEPROP SLICE_X3Y91 IS_TEST 0 SITEPROP SLICE_X3Y91 IS_USED 0 SITEPROP SLICE_X3Y91 MANUAL_ROUTING SITEPROP SLICE_X3Y91 NAME SLICE_X3Y91 SITEPROP SLICE_X3Y91 NUM_ARCS 138 SITEPROP SLICE_X3Y91 NUM_BELS 32 SITEPROP SLICE_X3Y91 NUM_INPUTS 32 SITEPROP SLICE_X3Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y91 NUM_PINS 45 SITEPROP SLICE_X3Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y91 PROHIBIT 0 SITEPROP SLICE_X3Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y91 RPM_X 23 SITEPROP SLICE_X3Y91 RPM_Y 182 SITEPROP SLICE_X3Y91 SITE_PIPS SITEPROP SLICE_X3Y91 SITE_TYPE SLICEL SITEPROP SLICE_X3Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y92 CLASS site SITEPROP SLICE_X3Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y92 IS_BONDED 0 SITEPROP SLICE_X3Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y92 IS_PAD 0 SITEPROP SLICE_X3Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y92 IS_RESERVED 0 SITEPROP SLICE_X3Y92 IS_TEST 0 SITEPROP SLICE_X3Y92 IS_USED 0 SITEPROP SLICE_X3Y92 MANUAL_ROUTING SITEPROP SLICE_X3Y92 NAME SLICE_X3Y92 SITEPROP SLICE_X3Y92 NUM_ARCS 138 SITEPROP SLICE_X3Y92 NUM_BELS 32 SITEPROP SLICE_X3Y92 NUM_INPUTS 32 SITEPROP SLICE_X3Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y92 NUM_PINS 45 SITEPROP SLICE_X3Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y92 PROHIBIT 0 SITEPROP SLICE_X3Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y92 RPM_X 23 SITEPROP SLICE_X3Y92 RPM_Y 184 SITEPROP SLICE_X3Y92 SITE_PIPS SITEPROP SLICE_X3Y92 SITE_TYPE SLICEL SITEPROP SLICE_X3Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y93 CLASS site SITEPROP SLICE_X3Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y93 IS_BONDED 0 SITEPROP SLICE_X3Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y93 IS_PAD 0 SITEPROP SLICE_X3Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y93 IS_RESERVED 0 SITEPROP SLICE_X3Y93 IS_TEST 0 SITEPROP SLICE_X3Y93 IS_USED 0 SITEPROP SLICE_X3Y93 MANUAL_ROUTING SITEPROP SLICE_X3Y93 NAME SLICE_X3Y93 SITEPROP SLICE_X3Y93 NUM_ARCS 138 SITEPROP SLICE_X3Y93 NUM_BELS 32 SITEPROP SLICE_X3Y93 NUM_INPUTS 32 SITEPROP SLICE_X3Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y93 NUM_PINS 45 SITEPROP SLICE_X3Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y93 PROHIBIT 0 SITEPROP SLICE_X3Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y93 RPM_X 23 SITEPROP SLICE_X3Y93 RPM_Y 186 SITEPROP SLICE_X3Y93 SITE_PIPS SITEPROP SLICE_X3Y93 SITE_TYPE SLICEL SITEPROP SLICE_X3Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y94 CLASS site SITEPROP SLICE_X3Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y94 IS_BONDED 0 SITEPROP SLICE_X3Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y94 IS_PAD 0 SITEPROP SLICE_X3Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y94 IS_RESERVED 0 SITEPROP SLICE_X3Y94 IS_TEST 0 SITEPROP SLICE_X3Y94 IS_USED 0 SITEPROP SLICE_X3Y94 MANUAL_ROUTING SITEPROP SLICE_X3Y94 NAME SLICE_X3Y94 SITEPROP SLICE_X3Y94 NUM_ARCS 138 SITEPROP SLICE_X3Y94 NUM_BELS 32 SITEPROP SLICE_X3Y94 NUM_INPUTS 32 SITEPROP SLICE_X3Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y94 NUM_PINS 45 SITEPROP SLICE_X3Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y94 PROHIBIT 0 SITEPROP SLICE_X3Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y94 RPM_X 23 SITEPROP SLICE_X3Y94 RPM_Y 188 SITEPROP SLICE_X3Y94 SITE_PIPS SITEPROP SLICE_X3Y94 SITE_TYPE SLICEL SITEPROP SLICE_X3Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y95 CLASS site SITEPROP SLICE_X3Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y95 IS_BONDED 0 SITEPROP SLICE_X3Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y95 IS_PAD 0 SITEPROP SLICE_X3Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y95 IS_RESERVED 0 SITEPROP SLICE_X3Y95 IS_TEST 0 SITEPROP SLICE_X3Y95 IS_USED 0 SITEPROP SLICE_X3Y95 MANUAL_ROUTING SITEPROP SLICE_X3Y95 NAME SLICE_X3Y95 SITEPROP SLICE_X3Y95 NUM_ARCS 138 SITEPROP SLICE_X3Y95 NUM_BELS 32 SITEPROP SLICE_X3Y95 NUM_INPUTS 32 SITEPROP SLICE_X3Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y95 NUM_PINS 45 SITEPROP SLICE_X3Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y95 PROHIBIT 0 SITEPROP SLICE_X3Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y95 RPM_X 23 SITEPROP SLICE_X3Y95 RPM_Y 190 SITEPROP SLICE_X3Y95 SITE_PIPS SITEPROP SLICE_X3Y95 SITE_TYPE SLICEL SITEPROP SLICE_X3Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y96 CLASS site SITEPROP SLICE_X3Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y96 IS_BONDED 0 SITEPROP SLICE_X3Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y96 IS_PAD 0 SITEPROP SLICE_X3Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y96 IS_RESERVED 0 SITEPROP SLICE_X3Y96 IS_TEST 0 SITEPROP SLICE_X3Y96 IS_USED 0 SITEPROP SLICE_X3Y96 MANUAL_ROUTING SITEPROP SLICE_X3Y96 NAME SLICE_X3Y96 SITEPROP SLICE_X3Y96 NUM_ARCS 138 SITEPROP SLICE_X3Y96 NUM_BELS 32 SITEPROP SLICE_X3Y96 NUM_INPUTS 32 SITEPROP SLICE_X3Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y96 NUM_PINS 45 SITEPROP SLICE_X3Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y96 PROHIBIT 0 SITEPROP SLICE_X3Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y96 RPM_X 23 SITEPROP SLICE_X3Y96 RPM_Y 192 SITEPROP SLICE_X3Y96 SITE_PIPS SITEPROP SLICE_X3Y96 SITE_TYPE SLICEL SITEPROP SLICE_X3Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y97 CLASS site SITEPROP SLICE_X3Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y97 IS_BONDED 0 SITEPROP SLICE_X3Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y97 IS_PAD 0 SITEPROP SLICE_X3Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y97 IS_RESERVED 0 SITEPROP SLICE_X3Y97 IS_TEST 0 SITEPROP SLICE_X3Y97 IS_USED 0 SITEPROP SLICE_X3Y97 MANUAL_ROUTING SITEPROP SLICE_X3Y97 NAME SLICE_X3Y97 SITEPROP SLICE_X3Y97 NUM_ARCS 138 SITEPROP SLICE_X3Y97 NUM_BELS 32 SITEPROP SLICE_X3Y97 NUM_INPUTS 32 SITEPROP SLICE_X3Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y97 NUM_PINS 45 SITEPROP SLICE_X3Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y97 PROHIBIT 0 SITEPROP SLICE_X3Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y97 RPM_X 23 SITEPROP SLICE_X3Y97 RPM_Y 194 SITEPROP SLICE_X3Y97 SITE_PIPS SITEPROP SLICE_X3Y97 SITE_TYPE SLICEL SITEPROP SLICE_X3Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y98 CLASS site SITEPROP SLICE_X3Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y98 IS_BONDED 0 SITEPROP SLICE_X3Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y98 IS_PAD 0 SITEPROP SLICE_X3Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y98 IS_RESERVED 0 SITEPROP SLICE_X3Y98 IS_TEST 0 SITEPROP SLICE_X3Y98 IS_USED 0 SITEPROP SLICE_X3Y98 MANUAL_ROUTING SITEPROP SLICE_X3Y98 NAME SLICE_X3Y98 SITEPROP SLICE_X3Y98 NUM_ARCS 138 SITEPROP SLICE_X3Y98 NUM_BELS 32 SITEPROP SLICE_X3Y98 NUM_INPUTS 32 SITEPROP SLICE_X3Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y98 NUM_PINS 45 SITEPROP SLICE_X3Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y98 PROHIBIT 0 SITEPROP SLICE_X3Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y98 RPM_X 23 SITEPROP SLICE_X3Y98 RPM_Y 196 SITEPROP SLICE_X3Y98 SITE_PIPS SITEPROP SLICE_X3Y98 SITE_TYPE SLICEL SITEPROP SLICE_X3Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y99 CLASS site SITEPROP SLICE_X3Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X3Y99 IS_BONDED 0 SITEPROP SLICE_X3Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y99 IS_PAD 0 SITEPROP SLICE_X3Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y99 IS_RESERVED 0 SITEPROP SLICE_X3Y99 IS_TEST 0 SITEPROP SLICE_X3Y99 IS_USED 0 SITEPROP SLICE_X3Y99 MANUAL_ROUTING SITEPROP SLICE_X3Y99 NAME SLICE_X3Y99 SITEPROP SLICE_X3Y99 NUM_ARCS 138 SITEPROP SLICE_X3Y99 NUM_BELS 32 SITEPROP SLICE_X3Y99 NUM_INPUTS 32 SITEPROP SLICE_X3Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y99 NUM_PINS 45 SITEPROP SLICE_X3Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y99 PROHIBIT 0 SITEPROP SLICE_X3Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y99 RPM_X 23 SITEPROP SLICE_X3Y99 RPM_Y 198 SITEPROP SLICE_X3Y99 SITE_PIPS SITEPROP SLICE_X3Y99 SITE_TYPE SLICEL SITEPROP SLICE_X3Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y100 CLASS site SITEPROP SLICE_X3Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y100 IS_BONDED 0 SITEPROP SLICE_X3Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y100 IS_PAD 0 SITEPROP SLICE_X3Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y100 IS_RESERVED 0 SITEPROP SLICE_X3Y100 IS_TEST 0 SITEPROP SLICE_X3Y100 IS_USED 0 SITEPROP SLICE_X3Y100 MANUAL_ROUTING SITEPROP SLICE_X3Y100 NAME SLICE_X3Y100 SITEPROP SLICE_X3Y100 NUM_ARCS 138 SITEPROP SLICE_X3Y100 NUM_BELS 32 SITEPROP SLICE_X3Y100 NUM_INPUTS 32 SITEPROP SLICE_X3Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y100 NUM_PINS 45 SITEPROP SLICE_X3Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y100 PROHIBIT 0 SITEPROP SLICE_X3Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y100 RPM_X 23 SITEPROP SLICE_X3Y100 RPM_Y 200 SITEPROP SLICE_X3Y100 SITE_PIPS SITEPROP SLICE_X3Y100 SITE_TYPE SLICEL SITEPROP SLICE_X3Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y101 CLASS site SITEPROP SLICE_X3Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y101 IS_BONDED 0 SITEPROP SLICE_X3Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y101 IS_PAD 0 SITEPROP SLICE_X3Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y101 IS_RESERVED 0 SITEPROP SLICE_X3Y101 IS_TEST 0 SITEPROP SLICE_X3Y101 IS_USED 0 SITEPROP SLICE_X3Y101 MANUAL_ROUTING SITEPROP SLICE_X3Y101 NAME SLICE_X3Y101 SITEPROP SLICE_X3Y101 NUM_ARCS 138 SITEPROP SLICE_X3Y101 NUM_BELS 32 SITEPROP SLICE_X3Y101 NUM_INPUTS 32 SITEPROP SLICE_X3Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y101 NUM_PINS 45 SITEPROP SLICE_X3Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y101 PROHIBIT 0 SITEPROP SLICE_X3Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y101 RPM_X 23 SITEPROP SLICE_X3Y101 RPM_Y 202 SITEPROP SLICE_X3Y101 SITE_PIPS SITEPROP SLICE_X3Y101 SITE_TYPE SLICEL SITEPROP SLICE_X3Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y102 CLASS site SITEPROP SLICE_X3Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y102 IS_BONDED 0 SITEPROP SLICE_X3Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y102 IS_PAD 0 SITEPROP SLICE_X3Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y102 IS_RESERVED 0 SITEPROP SLICE_X3Y102 IS_TEST 0 SITEPROP SLICE_X3Y102 IS_USED 0 SITEPROP SLICE_X3Y102 MANUAL_ROUTING SITEPROP SLICE_X3Y102 NAME SLICE_X3Y102 SITEPROP SLICE_X3Y102 NUM_ARCS 138 SITEPROP SLICE_X3Y102 NUM_BELS 32 SITEPROP SLICE_X3Y102 NUM_INPUTS 32 SITEPROP SLICE_X3Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y102 NUM_PINS 45 SITEPROP SLICE_X3Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y102 PROHIBIT 0 SITEPROP SLICE_X3Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y102 RPM_X 23 SITEPROP SLICE_X3Y102 RPM_Y 204 SITEPROP SLICE_X3Y102 SITE_PIPS SITEPROP SLICE_X3Y102 SITE_TYPE SLICEL SITEPROP SLICE_X3Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y103 CLASS site SITEPROP SLICE_X3Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y103 IS_BONDED 0 SITEPROP SLICE_X3Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y103 IS_PAD 0 SITEPROP SLICE_X3Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y103 IS_RESERVED 0 SITEPROP SLICE_X3Y103 IS_TEST 0 SITEPROP SLICE_X3Y103 IS_USED 0 SITEPROP SLICE_X3Y103 MANUAL_ROUTING SITEPROP SLICE_X3Y103 NAME SLICE_X3Y103 SITEPROP SLICE_X3Y103 NUM_ARCS 138 SITEPROP SLICE_X3Y103 NUM_BELS 32 SITEPROP SLICE_X3Y103 NUM_INPUTS 32 SITEPROP SLICE_X3Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y103 NUM_PINS 45 SITEPROP SLICE_X3Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y103 PROHIBIT 0 SITEPROP SLICE_X3Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y103 RPM_X 23 SITEPROP SLICE_X3Y103 RPM_Y 206 SITEPROP SLICE_X3Y103 SITE_PIPS SITEPROP SLICE_X3Y103 SITE_TYPE SLICEL SITEPROP SLICE_X3Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y104 CLASS site SITEPROP SLICE_X3Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y104 IS_BONDED 0 SITEPROP SLICE_X3Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y104 IS_PAD 0 SITEPROP SLICE_X3Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y104 IS_RESERVED 0 SITEPROP SLICE_X3Y104 IS_TEST 0 SITEPROP SLICE_X3Y104 IS_USED 0 SITEPROP SLICE_X3Y104 MANUAL_ROUTING SITEPROP SLICE_X3Y104 NAME SLICE_X3Y104 SITEPROP SLICE_X3Y104 NUM_ARCS 138 SITEPROP SLICE_X3Y104 NUM_BELS 32 SITEPROP SLICE_X3Y104 NUM_INPUTS 32 SITEPROP SLICE_X3Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y104 NUM_PINS 45 SITEPROP SLICE_X3Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y104 PROHIBIT 0 SITEPROP SLICE_X3Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y104 RPM_X 23 SITEPROP SLICE_X3Y104 RPM_Y 208 SITEPROP SLICE_X3Y104 SITE_PIPS SITEPROP SLICE_X3Y104 SITE_TYPE SLICEL SITEPROP SLICE_X3Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y105 CLASS site SITEPROP SLICE_X3Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y105 IS_BONDED 0 SITEPROP SLICE_X3Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y105 IS_PAD 0 SITEPROP SLICE_X3Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y105 IS_RESERVED 0 SITEPROP SLICE_X3Y105 IS_TEST 0 SITEPROP SLICE_X3Y105 IS_USED 0 SITEPROP SLICE_X3Y105 MANUAL_ROUTING SITEPROP SLICE_X3Y105 NAME SLICE_X3Y105 SITEPROP SLICE_X3Y105 NUM_ARCS 138 SITEPROP SLICE_X3Y105 NUM_BELS 32 SITEPROP SLICE_X3Y105 NUM_INPUTS 32 SITEPROP SLICE_X3Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y105 NUM_PINS 45 SITEPROP SLICE_X3Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y105 PROHIBIT 0 SITEPROP SLICE_X3Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y105 RPM_X 23 SITEPROP SLICE_X3Y105 RPM_Y 210 SITEPROP SLICE_X3Y105 SITE_PIPS SITEPROP SLICE_X3Y105 SITE_TYPE SLICEL SITEPROP SLICE_X3Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y106 CLASS site SITEPROP SLICE_X3Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y106 IS_BONDED 0 SITEPROP SLICE_X3Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y106 IS_PAD 0 SITEPROP SLICE_X3Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y106 IS_RESERVED 0 SITEPROP SLICE_X3Y106 IS_TEST 0 SITEPROP SLICE_X3Y106 IS_USED 0 SITEPROP SLICE_X3Y106 MANUAL_ROUTING SITEPROP SLICE_X3Y106 NAME SLICE_X3Y106 SITEPROP SLICE_X3Y106 NUM_ARCS 138 SITEPROP SLICE_X3Y106 NUM_BELS 32 SITEPROP SLICE_X3Y106 NUM_INPUTS 32 SITEPROP SLICE_X3Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y106 NUM_PINS 45 SITEPROP SLICE_X3Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y106 PROHIBIT 0 SITEPROP SLICE_X3Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y106 RPM_X 23 SITEPROP SLICE_X3Y106 RPM_Y 212 SITEPROP SLICE_X3Y106 SITE_PIPS SITEPROP SLICE_X3Y106 SITE_TYPE SLICEL SITEPROP SLICE_X3Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y107 CLASS site SITEPROP SLICE_X3Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y107 IS_BONDED 0 SITEPROP SLICE_X3Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y107 IS_PAD 0 SITEPROP SLICE_X3Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y107 IS_RESERVED 0 SITEPROP SLICE_X3Y107 IS_TEST 0 SITEPROP SLICE_X3Y107 IS_USED 0 SITEPROP SLICE_X3Y107 MANUAL_ROUTING SITEPROP SLICE_X3Y107 NAME SLICE_X3Y107 SITEPROP SLICE_X3Y107 NUM_ARCS 138 SITEPROP SLICE_X3Y107 NUM_BELS 32 SITEPROP SLICE_X3Y107 NUM_INPUTS 32 SITEPROP SLICE_X3Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y107 NUM_PINS 45 SITEPROP SLICE_X3Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y107 PROHIBIT 0 SITEPROP SLICE_X3Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y107 RPM_X 23 SITEPROP SLICE_X3Y107 RPM_Y 214 SITEPROP SLICE_X3Y107 SITE_PIPS SITEPROP SLICE_X3Y107 SITE_TYPE SLICEL SITEPROP SLICE_X3Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y108 CLASS site SITEPROP SLICE_X3Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y108 IS_BONDED 0 SITEPROP SLICE_X3Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y108 IS_PAD 0 SITEPROP SLICE_X3Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y108 IS_RESERVED 0 SITEPROP SLICE_X3Y108 IS_TEST 0 SITEPROP SLICE_X3Y108 IS_USED 0 SITEPROP SLICE_X3Y108 MANUAL_ROUTING SITEPROP SLICE_X3Y108 NAME SLICE_X3Y108 SITEPROP SLICE_X3Y108 NUM_ARCS 138 SITEPROP SLICE_X3Y108 NUM_BELS 32 SITEPROP SLICE_X3Y108 NUM_INPUTS 32 SITEPROP SLICE_X3Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y108 NUM_PINS 45 SITEPROP SLICE_X3Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y108 PROHIBIT 0 SITEPROP SLICE_X3Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y108 RPM_X 23 SITEPROP SLICE_X3Y108 RPM_Y 216 SITEPROP SLICE_X3Y108 SITE_PIPS SITEPROP SLICE_X3Y108 SITE_TYPE SLICEL SITEPROP SLICE_X3Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y109 CLASS site SITEPROP SLICE_X3Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y109 IS_BONDED 0 SITEPROP SLICE_X3Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y109 IS_PAD 0 SITEPROP SLICE_X3Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y109 IS_RESERVED 0 SITEPROP SLICE_X3Y109 IS_TEST 0 SITEPROP SLICE_X3Y109 IS_USED 0 SITEPROP SLICE_X3Y109 MANUAL_ROUTING SITEPROP SLICE_X3Y109 NAME SLICE_X3Y109 SITEPROP SLICE_X3Y109 NUM_ARCS 138 SITEPROP SLICE_X3Y109 NUM_BELS 32 SITEPROP SLICE_X3Y109 NUM_INPUTS 32 SITEPROP SLICE_X3Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y109 NUM_PINS 45 SITEPROP SLICE_X3Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y109 PROHIBIT 0 SITEPROP SLICE_X3Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y109 RPM_X 23 SITEPROP SLICE_X3Y109 RPM_Y 218 SITEPROP SLICE_X3Y109 SITE_PIPS SITEPROP SLICE_X3Y109 SITE_TYPE SLICEL SITEPROP SLICE_X3Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y110 CLASS site SITEPROP SLICE_X3Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y110 IS_BONDED 0 SITEPROP SLICE_X3Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y110 IS_PAD 0 SITEPROP SLICE_X3Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y110 IS_RESERVED 0 SITEPROP SLICE_X3Y110 IS_TEST 0 SITEPROP SLICE_X3Y110 IS_USED 0 SITEPROP SLICE_X3Y110 MANUAL_ROUTING SITEPROP SLICE_X3Y110 NAME SLICE_X3Y110 SITEPROP SLICE_X3Y110 NUM_ARCS 138 SITEPROP SLICE_X3Y110 NUM_BELS 32 SITEPROP SLICE_X3Y110 NUM_INPUTS 32 SITEPROP SLICE_X3Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y110 NUM_PINS 45 SITEPROP SLICE_X3Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y110 PROHIBIT 0 SITEPROP SLICE_X3Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y110 RPM_X 23 SITEPROP SLICE_X3Y110 RPM_Y 220 SITEPROP SLICE_X3Y110 SITE_PIPS SITEPROP SLICE_X3Y110 SITE_TYPE SLICEL SITEPROP SLICE_X3Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y111 CLASS site SITEPROP SLICE_X3Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y111 IS_BONDED 0 SITEPROP SLICE_X3Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y111 IS_PAD 0 SITEPROP SLICE_X3Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y111 IS_RESERVED 0 SITEPROP SLICE_X3Y111 IS_TEST 0 SITEPROP SLICE_X3Y111 IS_USED 0 SITEPROP SLICE_X3Y111 MANUAL_ROUTING SITEPROP SLICE_X3Y111 NAME SLICE_X3Y111 SITEPROP SLICE_X3Y111 NUM_ARCS 138 SITEPROP SLICE_X3Y111 NUM_BELS 32 SITEPROP SLICE_X3Y111 NUM_INPUTS 32 SITEPROP SLICE_X3Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y111 NUM_PINS 45 SITEPROP SLICE_X3Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y111 PROHIBIT 0 SITEPROP SLICE_X3Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y111 RPM_X 23 SITEPROP SLICE_X3Y111 RPM_Y 222 SITEPROP SLICE_X3Y111 SITE_PIPS SITEPROP SLICE_X3Y111 SITE_TYPE SLICEL SITEPROP SLICE_X3Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y112 CLASS site SITEPROP SLICE_X3Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y112 IS_BONDED 0 SITEPROP SLICE_X3Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y112 IS_PAD 0 SITEPROP SLICE_X3Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y112 IS_RESERVED 0 SITEPROP SLICE_X3Y112 IS_TEST 0 SITEPROP SLICE_X3Y112 IS_USED 0 SITEPROP SLICE_X3Y112 MANUAL_ROUTING SITEPROP SLICE_X3Y112 NAME SLICE_X3Y112 SITEPROP SLICE_X3Y112 NUM_ARCS 138 SITEPROP SLICE_X3Y112 NUM_BELS 32 SITEPROP SLICE_X3Y112 NUM_INPUTS 32 SITEPROP SLICE_X3Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y112 NUM_PINS 45 SITEPROP SLICE_X3Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y112 PROHIBIT 0 SITEPROP SLICE_X3Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y112 RPM_X 23 SITEPROP SLICE_X3Y112 RPM_Y 224 SITEPROP SLICE_X3Y112 SITE_PIPS SITEPROP SLICE_X3Y112 SITE_TYPE SLICEL SITEPROP SLICE_X3Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y113 CLASS site SITEPROP SLICE_X3Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y113 IS_BONDED 0 SITEPROP SLICE_X3Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y113 IS_PAD 0 SITEPROP SLICE_X3Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y113 IS_RESERVED 0 SITEPROP SLICE_X3Y113 IS_TEST 0 SITEPROP SLICE_X3Y113 IS_USED 0 SITEPROP SLICE_X3Y113 MANUAL_ROUTING SITEPROP SLICE_X3Y113 NAME SLICE_X3Y113 SITEPROP SLICE_X3Y113 NUM_ARCS 138 SITEPROP SLICE_X3Y113 NUM_BELS 32 SITEPROP SLICE_X3Y113 NUM_INPUTS 32 SITEPROP SLICE_X3Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y113 NUM_PINS 45 SITEPROP SLICE_X3Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y113 PROHIBIT 0 SITEPROP SLICE_X3Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y113 RPM_X 23 SITEPROP SLICE_X3Y113 RPM_Y 226 SITEPROP SLICE_X3Y113 SITE_PIPS SITEPROP SLICE_X3Y113 SITE_TYPE SLICEL SITEPROP SLICE_X3Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y114 CLASS site SITEPROP SLICE_X3Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y114 IS_BONDED 0 SITEPROP SLICE_X3Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y114 IS_PAD 0 SITEPROP SLICE_X3Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y114 IS_RESERVED 0 SITEPROP SLICE_X3Y114 IS_TEST 0 SITEPROP SLICE_X3Y114 IS_USED 0 SITEPROP SLICE_X3Y114 MANUAL_ROUTING SITEPROP SLICE_X3Y114 NAME SLICE_X3Y114 SITEPROP SLICE_X3Y114 NUM_ARCS 138 SITEPROP SLICE_X3Y114 NUM_BELS 32 SITEPROP SLICE_X3Y114 NUM_INPUTS 32 SITEPROP SLICE_X3Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y114 NUM_PINS 45 SITEPROP SLICE_X3Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y114 PROHIBIT 0 SITEPROP SLICE_X3Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y114 RPM_X 23 SITEPROP SLICE_X3Y114 RPM_Y 228 SITEPROP SLICE_X3Y114 SITE_PIPS SITEPROP SLICE_X3Y114 SITE_TYPE SLICEL SITEPROP SLICE_X3Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y115 CLASS site SITEPROP SLICE_X3Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y115 IS_BONDED 0 SITEPROP SLICE_X3Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y115 IS_PAD 0 SITEPROP SLICE_X3Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y115 IS_RESERVED 0 SITEPROP SLICE_X3Y115 IS_TEST 0 SITEPROP SLICE_X3Y115 IS_USED 0 SITEPROP SLICE_X3Y115 MANUAL_ROUTING SITEPROP SLICE_X3Y115 NAME SLICE_X3Y115 SITEPROP SLICE_X3Y115 NUM_ARCS 138 SITEPROP SLICE_X3Y115 NUM_BELS 32 SITEPROP SLICE_X3Y115 NUM_INPUTS 32 SITEPROP SLICE_X3Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y115 NUM_PINS 45 SITEPROP SLICE_X3Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y115 PROHIBIT 0 SITEPROP SLICE_X3Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y115 RPM_X 23 SITEPROP SLICE_X3Y115 RPM_Y 230 SITEPROP SLICE_X3Y115 SITE_PIPS SITEPROP SLICE_X3Y115 SITE_TYPE SLICEL SITEPROP SLICE_X3Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y116 CLASS site SITEPROP SLICE_X3Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y116 IS_BONDED 0 SITEPROP SLICE_X3Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y116 IS_PAD 0 SITEPROP SLICE_X3Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y116 IS_RESERVED 0 SITEPROP SLICE_X3Y116 IS_TEST 0 SITEPROP SLICE_X3Y116 IS_USED 0 SITEPROP SLICE_X3Y116 MANUAL_ROUTING SITEPROP SLICE_X3Y116 NAME SLICE_X3Y116 SITEPROP SLICE_X3Y116 NUM_ARCS 138 SITEPROP SLICE_X3Y116 NUM_BELS 32 SITEPROP SLICE_X3Y116 NUM_INPUTS 32 SITEPROP SLICE_X3Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y116 NUM_PINS 45 SITEPROP SLICE_X3Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y116 PROHIBIT 0 SITEPROP SLICE_X3Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y116 RPM_X 23 SITEPROP SLICE_X3Y116 RPM_Y 232 SITEPROP SLICE_X3Y116 SITE_PIPS SITEPROP SLICE_X3Y116 SITE_TYPE SLICEL SITEPROP SLICE_X3Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y117 CLASS site SITEPROP SLICE_X3Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y117 IS_BONDED 0 SITEPROP SLICE_X3Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y117 IS_PAD 0 SITEPROP SLICE_X3Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y117 IS_RESERVED 0 SITEPROP SLICE_X3Y117 IS_TEST 0 SITEPROP SLICE_X3Y117 IS_USED 0 SITEPROP SLICE_X3Y117 MANUAL_ROUTING SITEPROP SLICE_X3Y117 NAME SLICE_X3Y117 SITEPROP SLICE_X3Y117 NUM_ARCS 138 SITEPROP SLICE_X3Y117 NUM_BELS 32 SITEPROP SLICE_X3Y117 NUM_INPUTS 32 SITEPROP SLICE_X3Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y117 NUM_PINS 45 SITEPROP SLICE_X3Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y117 PROHIBIT 0 SITEPROP SLICE_X3Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y117 RPM_X 23 SITEPROP SLICE_X3Y117 RPM_Y 234 SITEPROP SLICE_X3Y117 SITE_PIPS SITEPROP SLICE_X3Y117 SITE_TYPE SLICEL SITEPROP SLICE_X3Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y118 CLASS site SITEPROP SLICE_X3Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y118 IS_BONDED 0 SITEPROP SLICE_X3Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y118 IS_PAD 0 SITEPROP SLICE_X3Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y118 IS_RESERVED 0 SITEPROP SLICE_X3Y118 IS_TEST 0 SITEPROP SLICE_X3Y118 IS_USED 0 SITEPROP SLICE_X3Y118 MANUAL_ROUTING SITEPROP SLICE_X3Y118 NAME SLICE_X3Y118 SITEPROP SLICE_X3Y118 NUM_ARCS 138 SITEPROP SLICE_X3Y118 NUM_BELS 32 SITEPROP SLICE_X3Y118 NUM_INPUTS 32 SITEPROP SLICE_X3Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y118 NUM_PINS 45 SITEPROP SLICE_X3Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y118 PROHIBIT 0 SITEPROP SLICE_X3Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y118 RPM_X 23 SITEPROP SLICE_X3Y118 RPM_Y 236 SITEPROP SLICE_X3Y118 SITE_PIPS SITEPROP SLICE_X3Y118 SITE_TYPE SLICEL SITEPROP SLICE_X3Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y119 CLASS site SITEPROP SLICE_X3Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y119 IS_BONDED 0 SITEPROP SLICE_X3Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y119 IS_PAD 0 SITEPROP SLICE_X3Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y119 IS_RESERVED 0 SITEPROP SLICE_X3Y119 IS_TEST 0 SITEPROP SLICE_X3Y119 IS_USED 0 SITEPROP SLICE_X3Y119 MANUAL_ROUTING SITEPROP SLICE_X3Y119 NAME SLICE_X3Y119 SITEPROP SLICE_X3Y119 NUM_ARCS 138 SITEPROP SLICE_X3Y119 NUM_BELS 32 SITEPROP SLICE_X3Y119 NUM_INPUTS 32 SITEPROP SLICE_X3Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y119 NUM_PINS 45 SITEPROP SLICE_X3Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y119 PROHIBIT 0 SITEPROP SLICE_X3Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y119 RPM_X 23 SITEPROP SLICE_X3Y119 RPM_Y 238 SITEPROP SLICE_X3Y119 SITE_PIPS SITEPROP SLICE_X3Y119 SITE_TYPE SLICEL SITEPROP SLICE_X3Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y120 CLASS site SITEPROP SLICE_X3Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y120 IS_BONDED 0 SITEPROP SLICE_X3Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y120 IS_PAD 0 SITEPROP SLICE_X3Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y120 IS_RESERVED 0 SITEPROP SLICE_X3Y120 IS_TEST 0 SITEPROP SLICE_X3Y120 IS_USED 0 SITEPROP SLICE_X3Y120 MANUAL_ROUTING SITEPROP SLICE_X3Y120 NAME SLICE_X3Y120 SITEPROP SLICE_X3Y120 NUM_ARCS 138 SITEPROP SLICE_X3Y120 NUM_BELS 32 SITEPROP SLICE_X3Y120 NUM_INPUTS 32 SITEPROP SLICE_X3Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y120 NUM_PINS 45 SITEPROP SLICE_X3Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y120 PROHIBIT 0 SITEPROP SLICE_X3Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y120 RPM_X 23 SITEPROP SLICE_X3Y120 RPM_Y 240 SITEPROP SLICE_X3Y120 SITE_PIPS SITEPROP SLICE_X3Y120 SITE_TYPE SLICEL SITEPROP SLICE_X3Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y121 CLASS site SITEPROP SLICE_X3Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y121 IS_BONDED 0 SITEPROP SLICE_X3Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y121 IS_PAD 0 SITEPROP SLICE_X3Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y121 IS_RESERVED 0 SITEPROP SLICE_X3Y121 IS_TEST 0 SITEPROP SLICE_X3Y121 IS_USED 0 SITEPROP SLICE_X3Y121 MANUAL_ROUTING SITEPROP SLICE_X3Y121 NAME SLICE_X3Y121 SITEPROP SLICE_X3Y121 NUM_ARCS 138 SITEPROP SLICE_X3Y121 NUM_BELS 32 SITEPROP SLICE_X3Y121 NUM_INPUTS 32 SITEPROP SLICE_X3Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y121 NUM_PINS 45 SITEPROP SLICE_X3Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y121 PROHIBIT 0 SITEPROP SLICE_X3Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y121 RPM_X 23 SITEPROP SLICE_X3Y121 RPM_Y 242 SITEPROP SLICE_X3Y121 SITE_PIPS SITEPROP SLICE_X3Y121 SITE_TYPE SLICEL SITEPROP SLICE_X3Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y122 CLASS site SITEPROP SLICE_X3Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y122 IS_BONDED 0 SITEPROP SLICE_X3Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y122 IS_PAD 0 SITEPROP SLICE_X3Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y122 IS_RESERVED 0 SITEPROP SLICE_X3Y122 IS_TEST 0 SITEPROP SLICE_X3Y122 IS_USED 0 SITEPROP SLICE_X3Y122 MANUAL_ROUTING SITEPROP SLICE_X3Y122 NAME SLICE_X3Y122 SITEPROP SLICE_X3Y122 NUM_ARCS 138 SITEPROP SLICE_X3Y122 NUM_BELS 32 SITEPROP SLICE_X3Y122 NUM_INPUTS 32 SITEPROP SLICE_X3Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y122 NUM_PINS 45 SITEPROP SLICE_X3Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y122 PROHIBIT 0 SITEPROP SLICE_X3Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y122 RPM_X 23 SITEPROP SLICE_X3Y122 RPM_Y 244 SITEPROP SLICE_X3Y122 SITE_PIPS SITEPROP SLICE_X3Y122 SITE_TYPE SLICEL SITEPROP SLICE_X3Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y123 CLASS site SITEPROP SLICE_X3Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y123 IS_BONDED 0 SITEPROP SLICE_X3Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y123 IS_PAD 0 SITEPROP SLICE_X3Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y123 IS_RESERVED 0 SITEPROP SLICE_X3Y123 IS_TEST 0 SITEPROP SLICE_X3Y123 IS_USED 0 SITEPROP SLICE_X3Y123 MANUAL_ROUTING SITEPROP SLICE_X3Y123 NAME SLICE_X3Y123 SITEPROP SLICE_X3Y123 NUM_ARCS 138 SITEPROP SLICE_X3Y123 NUM_BELS 32 SITEPROP SLICE_X3Y123 NUM_INPUTS 32 SITEPROP SLICE_X3Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y123 NUM_PINS 45 SITEPROP SLICE_X3Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y123 PROHIBIT 0 SITEPROP SLICE_X3Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y123 RPM_X 23 SITEPROP SLICE_X3Y123 RPM_Y 246 SITEPROP SLICE_X3Y123 SITE_PIPS SITEPROP SLICE_X3Y123 SITE_TYPE SLICEL SITEPROP SLICE_X3Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y124 CLASS site SITEPROP SLICE_X3Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y124 IS_BONDED 0 SITEPROP SLICE_X3Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y124 IS_PAD 0 SITEPROP SLICE_X3Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y124 IS_RESERVED 0 SITEPROP SLICE_X3Y124 IS_TEST 0 SITEPROP SLICE_X3Y124 IS_USED 0 SITEPROP SLICE_X3Y124 MANUAL_ROUTING SITEPROP SLICE_X3Y124 NAME SLICE_X3Y124 SITEPROP SLICE_X3Y124 NUM_ARCS 138 SITEPROP SLICE_X3Y124 NUM_BELS 32 SITEPROP SLICE_X3Y124 NUM_INPUTS 32 SITEPROP SLICE_X3Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y124 NUM_PINS 45 SITEPROP SLICE_X3Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y124 PROHIBIT 0 SITEPROP SLICE_X3Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y124 RPM_X 23 SITEPROP SLICE_X3Y124 RPM_Y 248 SITEPROP SLICE_X3Y124 SITE_PIPS SITEPROP SLICE_X3Y124 SITE_TYPE SLICEL SITEPROP SLICE_X3Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y125 CLASS site SITEPROP SLICE_X3Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y125 IS_BONDED 0 SITEPROP SLICE_X3Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y125 IS_PAD 0 SITEPROP SLICE_X3Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y125 IS_RESERVED 0 SITEPROP SLICE_X3Y125 IS_TEST 0 SITEPROP SLICE_X3Y125 IS_USED 0 SITEPROP SLICE_X3Y125 MANUAL_ROUTING SITEPROP SLICE_X3Y125 NAME SLICE_X3Y125 SITEPROP SLICE_X3Y125 NUM_ARCS 138 SITEPROP SLICE_X3Y125 NUM_BELS 32 SITEPROP SLICE_X3Y125 NUM_INPUTS 32 SITEPROP SLICE_X3Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y125 NUM_PINS 45 SITEPROP SLICE_X3Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y125 PROHIBIT 0 SITEPROP SLICE_X3Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y125 RPM_X 23 SITEPROP SLICE_X3Y125 RPM_Y 250 SITEPROP SLICE_X3Y125 SITE_PIPS SITEPROP SLICE_X3Y125 SITE_TYPE SLICEL SITEPROP SLICE_X3Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y126 CLASS site SITEPROP SLICE_X3Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y126 IS_BONDED 0 SITEPROP SLICE_X3Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y126 IS_PAD 0 SITEPROP SLICE_X3Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y126 IS_RESERVED 0 SITEPROP SLICE_X3Y126 IS_TEST 0 SITEPROP SLICE_X3Y126 IS_USED 0 SITEPROP SLICE_X3Y126 MANUAL_ROUTING SITEPROP SLICE_X3Y126 NAME SLICE_X3Y126 SITEPROP SLICE_X3Y126 NUM_ARCS 138 SITEPROP SLICE_X3Y126 NUM_BELS 32 SITEPROP SLICE_X3Y126 NUM_INPUTS 32 SITEPROP SLICE_X3Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y126 NUM_PINS 45 SITEPROP SLICE_X3Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y126 PROHIBIT 0 SITEPROP SLICE_X3Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y126 RPM_X 23 SITEPROP SLICE_X3Y126 RPM_Y 252 SITEPROP SLICE_X3Y126 SITE_PIPS SITEPROP SLICE_X3Y126 SITE_TYPE SLICEL SITEPROP SLICE_X3Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y127 CLASS site SITEPROP SLICE_X3Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y127 IS_BONDED 0 SITEPROP SLICE_X3Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y127 IS_PAD 0 SITEPROP SLICE_X3Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y127 IS_RESERVED 0 SITEPROP SLICE_X3Y127 IS_TEST 0 SITEPROP SLICE_X3Y127 IS_USED 0 SITEPROP SLICE_X3Y127 MANUAL_ROUTING SITEPROP SLICE_X3Y127 NAME SLICE_X3Y127 SITEPROP SLICE_X3Y127 NUM_ARCS 138 SITEPROP SLICE_X3Y127 NUM_BELS 32 SITEPROP SLICE_X3Y127 NUM_INPUTS 32 SITEPROP SLICE_X3Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y127 NUM_PINS 45 SITEPROP SLICE_X3Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y127 PROHIBIT 0 SITEPROP SLICE_X3Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y127 RPM_X 23 SITEPROP SLICE_X3Y127 RPM_Y 254 SITEPROP SLICE_X3Y127 SITE_PIPS SITEPROP SLICE_X3Y127 SITE_TYPE SLICEL SITEPROP SLICE_X3Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y128 CLASS site SITEPROP SLICE_X3Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y128 IS_BONDED 0 SITEPROP SLICE_X3Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y128 IS_PAD 0 SITEPROP SLICE_X3Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y128 IS_RESERVED 0 SITEPROP SLICE_X3Y128 IS_TEST 0 SITEPROP SLICE_X3Y128 IS_USED 0 SITEPROP SLICE_X3Y128 MANUAL_ROUTING SITEPROP SLICE_X3Y128 NAME SLICE_X3Y128 SITEPROP SLICE_X3Y128 NUM_ARCS 138 SITEPROP SLICE_X3Y128 NUM_BELS 32 SITEPROP SLICE_X3Y128 NUM_INPUTS 32 SITEPROP SLICE_X3Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y128 NUM_PINS 45 SITEPROP SLICE_X3Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y128 PROHIBIT 0 SITEPROP SLICE_X3Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y128 RPM_X 23 SITEPROP SLICE_X3Y128 RPM_Y 256 SITEPROP SLICE_X3Y128 SITE_PIPS SITEPROP SLICE_X3Y128 SITE_TYPE SLICEL SITEPROP SLICE_X3Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y129 CLASS site SITEPROP SLICE_X3Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y129 IS_BONDED 0 SITEPROP SLICE_X3Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y129 IS_PAD 0 SITEPROP SLICE_X3Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y129 IS_RESERVED 0 SITEPROP SLICE_X3Y129 IS_TEST 0 SITEPROP SLICE_X3Y129 IS_USED 0 SITEPROP SLICE_X3Y129 MANUAL_ROUTING SITEPROP SLICE_X3Y129 NAME SLICE_X3Y129 SITEPROP SLICE_X3Y129 NUM_ARCS 138 SITEPROP SLICE_X3Y129 NUM_BELS 32 SITEPROP SLICE_X3Y129 NUM_INPUTS 32 SITEPROP SLICE_X3Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y129 NUM_PINS 45 SITEPROP SLICE_X3Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y129 PROHIBIT 0 SITEPROP SLICE_X3Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y129 RPM_X 23 SITEPROP SLICE_X3Y129 RPM_Y 258 SITEPROP SLICE_X3Y129 SITE_PIPS SITEPROP SLICE_X3Y129 SITE_TYPE SLICEL SITEPROP SLICE_X3Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y130 CLASS site SITEPROP SLICE_X3Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y130 IS_BONDED 0 SITEPROP SLICE_X3Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y130 IS_PAD 0 SITEPROP SLICE_X3Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y130 IS_RESERVED 0 SITEPROP SLICE_X3Y130 IS_TEST 0 SITEPROP SLICE_X3Y130 IS_USED 0 SITEPROP SLICE_X3Y130 MANUAL_ROUTING SITEPROP SLICE_X3Y130 NAME SLICE_X3Y130 SITEPROP SLICE_X3Y130 NUM_ARCS 138 SITEPROP SLICE_X3Y130 NUM_BELS 32 SITEPROP SLICE_X3Y130 NUM_INPUTS 32 SITEPROP SLICE_X3Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y130 NUM_PINS 45 SITEPROP SLICE_X3Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y130 PROHIBIT 0 SITEPROP SLICE_X3Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y130 RPM_X 23 SITEPROP SLICE_X3Y130 RPM_Y 260 SITEPROP SLICE_X3Y130 SITE_PIPS SITEPROP SLICE_X3Y130 SITE_TYPE SLICEL SITEPROP SLICE_X3Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y131 CLASS site SITEPROP SLICE_X3Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y131 IS_BONDED 0 SITEPROP SLICE_X3Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y131 IS_PAD 0 SITEPROP SLICE_X3Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y131 IS_RESERVED 0 SITEPROP SLICE_X3Y131 IS_TEST 0 SITEPROP SLICE_X3Y131 IS_USED 0 SITEPROP SLICE_X3Y131 MANUAL_ROUTING SITEPROP SLICE_X3Y131 NAME SLICE_X3Y131 SITEPROP SLICE_X3Y131 NUM_ARCS 138 SITEPROP SLICE_X3Y131 NUM_BELS 32 SITEPROP SLICE_X3Y131 NUM_INPUTS 32 SITEPROP SLICE_X3Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y131 NUM_PINS 45 SITEPROP SLICE_X3Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y131 PROHIBIT 0 SITEPROP SLICE_X3Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y131 RPM_X 23 SITEPROP SLICE_X3Y131 RPM_Y 262 SITEPROP SLICE_X3Y131 SITE_PIPS SITEPROP SLICE_X3Y131 SITE_TYPE SLICEL SITEPROP SLICE_X3Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y132 CLASS site SITEPROP SLICE_X3Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y132 IS_BONDED 0 SITEPROP SLICE_X3Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y132 IS_PAD 0 SITEPROP SLICE_X3Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y132 IS_RESERVED 0 SITEPROP SLICE_X3Y132 IS_TEST 0 SITEPROP SLICE_X3Y132 IS_USED 0 SITEPROP SLICE_X3Y132 MANUAL_ROUTING SITEPROP SLICE_X3Y132 NAME SLICE_X3Y132 SITEPROP SLICE_X3Y132 NUM_ARCS 138 SITEPROP SLICE_X3Y132 NUM_BELS 32 SITEPROP SLICE_X3Y132 NUM_INPUTS 32 SITEPROP SLICE_X3Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y132 NUM_PINS 45 SITEPROP SLICE_X3Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y132 PROHIBIT 0 SITEPROP SLICE_X3Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y132 RPM_X 23 SITEPROP SLICE_X3Y132 RPM_Y 264 SITEPROP SLICE_X3Y132 SITE_PIPS SITEPROP SLICE_X3Y132 SITE_TYPE SLICEL SITEPROP SLICE_X3Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y133 CLASS site SITEPROP SLICE_X3Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y133 IS_BONDED 0 SITEPROP SLICE_X3Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y133 IS_PAD 0 SITEPROP SLICE_X3Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y133 IS_RESERVED 0 SITEPROP SLICE_X3Y133 IS_TEST 0 SITEPROP SLICE_X3Y133 IS_USED 0 SITEPROP SLICE_X3Y133 MANUAL_ROUTING SITEPROP SLICE_X3Y133 NAME SLICE_X3Y133 SITEPROP SLICE_X3Y133 NUM_ARCS 138 SITEPROP SLICE_X3Y133 NUM_BELS 32 SITEPROP SLICE_X3Y133 NUM_INPUTS 32 SITEPROP SLICE_X3Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y133 NUM_PINS 45 SITEPROP SLICE_X3Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y133 PROHIBIT 0 SITEPROP SLICE_X3Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y133 RPM_X 23 SITEPROP SLICE_X3Y133 RPM_Y 266 SITEPROP SLICE_X3Y133 SITE_PIPS SITEPROP SLICE_X3Y133 SITE_TYPE SLICEL SITEPROP SLICE_X3Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y134 CLASS site SITEPROP SLICE_X3Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y134 IS_BONDED 0 SITEPROP SLICE_X3Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y134 IS_PAD 0 SITEPROP SLICE_X3Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y134 IS_RESERVED 0 SITEPROP SLICE_X3Y134 IS_TEST 0 SITEPROP SLICE_X3Y134 IS_USED 0 SITEPROP SLICE_X3Y134 MANUAL_ROUTING SITEPROP SLICE_X3Y134 NAME SLICE_X3Y134 SITEPROP SLICE_X3Y134 NUM_ARCS 138 SITEPROP SLICE_X3Y134 NUM_BELS 32 SITEPROP SLICE_X3Y134 NUM_INPUTS 32 SITEPROP SLICE_X3Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y134 NUM_PINS 45 SITEPROP SLICE_X3Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y134 PROHIBIT 0 SITEPROP SLICE_X3Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y134 RPM_X 23 SITEPROP SLICE_X3Y134 RPM_Y 268 SITEPROP SLICE_X3Y134 SITE_PIPS SITEPROP SLICE_X3Y134 SITE_TYPE SLICEL SITEPROP SLICE_X3Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y135 CLASS site SITEPROP SLICE_X3Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y135 IS_BONDED 0 SITEPROP SLICE_X3Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y135 IS_PAD 0 SITEPROP SLICE_X3Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y135 IS_RESERVED 0 SITEPROP SLICE_X3Y135 IS_TEST 0 SITEPROP SLICE_X3Y135 IS_USED 0 SITEPROP SLICE_X3Y135 MANUAL_ROUTING SITEPROP SLICE_X3Y135 NAME SLICE_X3Y135 SITEPROP SLICE_X3Y135 NUM_ARCS 138 SITEPROP SLICE_X3Y135 NUM_BELS 32 SITEPROP SLICE_X3Y135 NUM_INPUTS 32 SITEPROP SLICE_X3Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y135 NUM_PINS 45 SITEPROP SLICE_X3Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y135 PROHIBIT 0 SITEPROP SLICE_X3Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y135 RPM_X 23 SITEPROP SLICE_X3Y135 RPM_Y 270 SITEPROP SLICE_X3Y135 SITE_PIPS SITEPROP SLICE_X3Y135 SITE_TYPE SLICEL SITEPROP SLICE_X3Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y136 CLASS site SITEPROP SLICE_X3Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y136 IS_BONDED 0 SITEPROP SLICE_X3Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y136 IS_PAD 0 SITEPROP SLICE_X3Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y136 IS_RESERVED 0 SITEPROP SLICE_X3Y136 IS_TEST 0 SITEPROP SLICE_X3Y136 IS_USED 0 SITEPROP SLICE_X3Y136 MANUAL_ROUTING SITEPROP SLICE_X3Y136 NAME SLICE_X3Y136 SITEPROP SLICE_X3Y136 NUM_ARCS 138 SITEPROP SLICE_X3Y136 NUM_BELS 32 SITEPROP SLICE_X3Y136 NUM_INPUTS 32 SITEPROP SLICE_X3Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y136 NUM_PINS 45 SITEPROP SLICE_X3Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y136 PROHIBIT 0 SITEPROP SLICE_X3Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y136 RPM_X 23 SITEPROP SLICE_X3Y136 RPM_Y 272 SITEPROP SLICE_X3Y136 SITE_PIPS SITEPROP SLICE_X3Y136 SITE_TYPE SLICEL SITEPROP SLICE_X3Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y137 CLASS site SITEPROP SLICE_X3Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y137 IS_BONDED 0 SITEPROP SLICE_X3Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y137 IS_PAD 0 SITEPROP SLICE_X3Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y137 IS_RESERVED 0 SITEPROP SLICE_X3Y137 IS_TEST 0 SITEPROP SLICE_X3Y137 IS_USED 0 SITEPROP SLICE_X3Y137 MANUAL_ROUTING SITEPROP SLICE_X3Y137 NAME SLICE_X3Y137 SITEPROP SLICE_X3Y137 NUM_ARCS 138 SITEPROP SLICE_X3Y137 NUM_BELS 32 SITEPROP SLICE_X3Y137 NUM_INPUTS 32 SITEPROP SLICE_X3Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y137 NUM_PINS 45 SITEPROP SLICE_X3Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y137 PROHIBIT 0 SITEPROP SLICE_X3Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y137 RPM_X 23 SITEPROP SLICE_X3Y137 RPM_Y 274 SITEPROP SLICE_X3Y137 SITE_PIPS SITEPROP SLICE_X3Y137 SITE_TYPE SLICEL SITEPROP SLICE_X3Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y138 CLASS site SITEPROP SLICE_X3Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y138 IS_BONDED 0 SITEPROP SLICE_X3Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y138 IS_PAD 0 SITEPROP SLICE_X3Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y138 IS_RESERVED 0 SITEPROP SLICE_X3Y138 IS_TEST 0 SITEPROP SLICE_X3Y138 IS_USED 0 SITEPROP SLICE_X3Y138 MANUAL_ROUTING SITEPROP SLICE_X3Y138 NAME SLICE_X3Y138 SITEPROP SLICE_X3Y138 NUM_ARCS 138 SITEPROP SLICE_X3Y138 NUM_BELS 32 SITEPROP SLICE_X3Y138 NUM_INPUTS 32 SITEPROP SLICE_X3Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y138 NUM_PINS 45 SITEPROP SLICE_X3Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y138 PROHIBIT 0 SITEPROP SLICE_X3Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y138 RPM_X 23 SITEPROP SLICE_X3Y138 RPM_Y 276 SITEPROP SLICE_X3Y138 SITE_PIPS SITEPROP SLICE_X3Y138 SITE_TYPE SLICEL SITEPROP SLICE_X3Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y139 CLASS site SITEPROP SLICE_X3Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y139 IS_BONDED 0 SITEPROP SLICE_X3Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y139 IS_PAD 0 SITEPROP SLICE_X3Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y139 IS_RESERVED 0 SITEPROP SLICE_X3Y139 IS_TEST 0 SITEPROP SLICE_X3Y139 IS_USED 0 SITEPROP SLICE_X3Y139 MANUAL_ROUTING SITEPROP SLICE_X3Y139 NAME SLICE_X3Y139 SITEPROP SLICE_X3Y139 NUM_ARCS 138 SITEPROP SLICE_X3Y139 NUM_BELS 32 SITEPROP SLICE_X3Y139 NUM_INPUTS 32 SITEPROP SLICE_X3Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y139 NUM_PINS 45 SITEPROP SLICE_X3Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y139 PROHIBIT 0 SITEPROP SLICE_X3Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y139 RPM_X 23 SITEPROP SLICE_X3Y139 RPM_Y 278 SITEPROP SLICE_X3Y139 SITE_PIPS SITEPROP SLICE_X3Y139 SITE_TYPE SLICEL SITEPROP SLICE_X3Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y140 CLASS site SITEPROP SLICE_X3Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y140 IS_BONDED 0 SITEPROP SLICE_X3Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y140 IS_PAD 0 SITEPROP SLICE_X3Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y140 IS_RESERVED 0 SITEPROP SLICE_X3Y140 IS_TEST 0 SITEPROP SLICE_X3Y140 IS_USED 0 SITEPROP SLICE_X3Y140 MANUAL_ROUTING SITEPROP SLICE_X3Y140 NAME SLICE_X3Y140 SITEPROP SLICE_X3Y140 NUM_ARCS 138 SITEPROP SLICE_X3Y140 NUM_BELS 32 SITEPROP SLICE_X3Y140 NUM_INPUTS 32 SITEPROP SLICE_X3Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y140 NUM_PINS 45 SITEPROP SLICE_X3Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y140 PROHIBIT 0 SITEPROP SLICE_X3Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y140 RPM_X 23 SITEPROP SLICE_X3Y140 RPM_Y 280 SITEPROP SLICE_X3Y140 SITE_PIPS SITEPROP SLICE_X3Y140 SITE_TYPE SLICEL SITEPROP SLICE_X3Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y141 CLASS site SITEPROP SLICE_X3Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y141 IS_BONDED 0 SITEPROP SLICE_X3Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y141 IS_PAD 0 SITEPROP SLICE_X3Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y141 IS_RESERVED 0 SITEPROP SLICE_X3Y141 IS_TEST 0 SITEPROP SLICE_X3Y141 IS_USED 0 SITEPROP SLICE_X3Y141 MANUAL_ROUTING SITEPROP SLICE_X3Y141 NAME SLICE_X3Y141 SITEPROP SLICE_X3Y141 NUM_ARCS 138 SITEPROP SLICE_X3Y141 NUM_BELS 32 SITEPROP SLICE_X3Y141 NUM_INPUTS 32 SITEPROP SLICE_X3Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y141 NUM_PINS 45 SITEPROP SLICE_X3Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y141 PROHIBIT 0 SITEPROP SLICE_X3Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y141 RPM_X 23 SITEPROP SLICE_X3Y141 RPM_Y 282 SITEPROP SLICE_X3Y141 SITE_PIPS SITEPROP SLICE_X3Y141 SITE_TYPE SLICEL SITEPROP SLICE_X3Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y142 CLASS site SITEPROP SLICE_X3Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y142 IS_BONDED 0 SITEPROP SLICE_X3Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y142 IS_PAD 0 SITEPROP SLICE_X3Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y142 IS_RESERVED 0 SITEPROP SLICE_X3Y142 IS_TEST 0 SITEPROP SLICE_X3Y142 IS_USED 0 SITEPROP SLICE_X3Y142 MANUAL_ROUTING SITEPROP SLICE_X3Y142 NAME SLICE_X3Y142 SITEPROP SLICE_X3Y142 NUM_ARCS 138 SITEPROP SLICE_X3Y142 NUM_BELS 32 SITEPROP SLICE_X3Y142 NUM_INPUTS 32 SITEPROP SLICE_X3Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y142 NUM_PINS 45 SITEPROP SLICE_X3Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y142 PROHIBIT 0 SITEPROP SLICE_X3Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y142 RPM_X 23 SITEPROP SLICE_X3Y142 RPM_Y 284 SITEPROP SLICE_X3Y142 SITE_PIPS SITEPROP SLICE_X3Y142 SITE_TYPE SLICEL SITEPROP SLICE_X3Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y143 CLASS site SITEPROP SLICE_X3Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y143 IS_BONDED 0 SITEPROP SLICE_X3Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y143 IS_PAD 0 SITEPROP SLICE_X3Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y143 IS_RESERVED 0 SITEPROP SLICE_X3Y143 IS_TEST 0 SITEPROP SLICE_X3Y143 IS_USED 0 SITEPROP SLICE_X3Y143 MANUAL_ROUTING SITEPROP SLICE_X3Y143 NAME SLICE_X3Y143 SITEPROP SLICE_X3Y143 NUM_ARCS 138 SITEPROP SLICE_X3Y143 NUM_BELS 32 SITEPROP SLICE_X3Y143 NUM_INPUTS 32 SITEPROP SLICE_X3Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y143 NUM_PINS 45 SITEPROP SLICE_X3Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y143 PROHIBIT 0 SITEPROP SLICE_X3Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y143 RPM_X 23 SITEPROP SLICE_X3Y143 RPM_Y 286 SITEPROP SLICE_X3Y143 SITE_PIPS SITEPROP SLICE_X3Y143 SITE_TYPE SLICEL SITEPROP SLICE_X3Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y144 CLASS site SITEPROP SLICE_X3Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y144 IS_BONDED 0 SITEPROP SLICE_X3Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y144 IS_PAD 0 SITEPROP SLICE_X3Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y144 IS_RESERVED 0 SITEPROP SLICE_X3Y144 IS_TEST 0 SITEPROP SLICE_X3Y144 IS_USED 0 SITEPROP SLICE_X3Y144 MANUAL_ROUTING SITEPROP SLICE_X3Y144 NAME SLICE_X3Y144 SITEPROP SLICE_X3Y144 NUM_ARCS 138 SITEPROP SLICE_X3Y144 NUM_BELS 32 SITEPROP SLICE_X3Y144 NUM_INPUTS 32 SITEPROP SLICE_X3Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y144 NUM_PINS 45 SITEPROP SLICE_X3Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y144 PROHIBIT 0 SITEPROP SLICE_X3Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y144 RPM_X 23 SITEPROP SLICE_X3Y144 RPM_Y 288 SITEPROP SLICE_X3Y144 SITE_PIPS SITEPROP SLICE_X3Y144 SITE_TYPE SLICEL SITEPROP SLICE_X3Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y145 CLASS site SITEPROP SLICE_X3Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y145 IS_BONDED 0 SITEPROP SLICE_X3Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y145 IS_PAD 0 SITEPROP SLICE_X3Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y145 IS_RESERVED 0 SITEPROP SLICE_X3Y145 IS_TEST 0 SITEPROP SLICE_X3Y145 IS_USED 0 SITEPROP SLICE_X3Y145 MANUAL_ROUTING SITEPROP SLICE_X3Y145 NAME SLICE_X3Y145 SITEPROP SLICE_X3Y145 NUM_ARCS 138 SITEPROP SLICE_X3Y145 NUM_BELS 32 SITEPROP SLICE_X3Y145 NUM_INPUTS 32 SITEPROP SLICE_X3Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y145 NUM_PINS 45 SITEPROP SLICE_X3Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y145 PROHIBIT 0 SITEPROP SLICE_X3Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y145 RPM_X 23 SITEPROP SLICE_X3Y145 RPM_Y 290 SITEPROP SLICE_X3Y145 SITE_PIPS SITEPROP SLICE_X3Y145 SITE_TYPE SLICEL SITEPROP SLICE_X3Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y146 CLASS site SITEPROP SLICE_X3Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y146 IS_BONDED 0 SITEPROP SLICE_X3Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y146 IS_PAD 0 SITEPROP SLICE_X3Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y146 IS_RESERVED 0 SITEPROP SLICE_X3Y146 IS_TEST 0 SITEPROP SLICE_X3Y146 IS_USED 0 SITEPROP SLICE_X3Y146 MANUAL_ROUTING SITEPROP SLICE_X3Y146 NAME SLICE_X3Y146 SITEPROP SLICE_X3Y146 NUM_ARCS 138 SITEPROP SLICE_X3Y146 NUM_BELS 32 SITEPROP SLICE_X3Y146 NUM_INPUTS 32 SITEPROP SLICE_X3Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y146 NUM_PINS 45 SITEPROP SLICE_X3Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y146 PROHIBIT 0 SITEPROP SLICE_X3Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y146 RPM_X 23 SITEPROP SLICE_X3Y146 RPM_Y 292 SITEPROP SLICE_X3Y146 SITE_PIPS SITEPROP SLICE_X3Y146 SITE_TYPE SLICEL SITEPROP SLICE_X3Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y147 CLASS site SITEPROP SLICE_X3Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y147 IS_BONDED 0 SITEPROP SLICE_X3Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y147 IS_PAD 0 SITEPROP SLICE_X3Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y147 IS_RESERVED 0 SITEPROP SLICE_X3Y147 IS_TEST 0 SITEPROP SLICE_X3Y147 IS_USED 0 SITEPROP SLICE_X3Y147 MANUAL_ROUTING SITEPROP SLICE_X3Y147 NAME SLICE_X3Y147 SITEPROP SLICE_X3Y147 NUM_ARCS 138 SITEPROP SLICE_X3Y147 NUM_BELS 32 SITEPROP SLICE_X3Y147 NUM_INPUTS 32 SITEPROP SLICE_X3Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y147 NUM_PINS 45 SITEPROP SLICE_X3Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y147 PROHIBIT 0 SITEPROP SLICE_X3Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y147 RPM_X 23 SITEPROP SLICE_X3Y147 RPM_Y 294 SITEPROP SLICE_X3Y147 SITE_PIPS SITEPROP SLICE_X3Y147 SITE_TYPE SLICEL SITEPROP SLICE_X3Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y148 CLASS site SITEPROP SLICE_X3Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y148 IS_BONDED 0 SITEPROP SLICE_X3Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y148 IS_PAD 0 SITEPROP SLICE_X3Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y148 IS_RESERVED 0 SITEPROP SLICE_X3Y148 IS_TEST 0 SITEPROP SLICE_X3Y148 IS_USED 0 SITEPROP SLICE_X3Y148 MANUAL_ROUTING SITEPROP SLICE_X3Y148 NAME SLICE_X3Y148 SITEPROP SLICE_X3Y148 NUM_ARCS 138 SITEPROP SLICE_X3Y148 NUM_BELS 32 SITEPROP SLICE_X3Y148 NUM_INPUTS 32 SITEPROP SLICE_X3Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y148 NUM_PINS 45 SITEPROP SLICE_X3Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y148 PROHIBIT 0 SITEPROP SLICE_X3Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y148 RPM_X 23 SITEPROP SLICE_X3Y148 RPM_Y 296 SITEPROP SLICE_X3Y148 SITE_PIPS SITEPROP SLICE_X3Y148 SITE_TYPE SLICEL SITEPROP SLICE_X3Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X3Y149 CLASS site SITEPROP SLICE_X3Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X3Y149 IS_BONDED 0 SITEPROP SLICE_X3Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X3Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y149 IS_PAD 0 SITEPROP SLICE_X3Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X3Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X3Y149 IS_RESERVED 0 SITEPROP SLICE_X3Y149 IS_TEST 0 SITEPROP SLICE_X3Y149 IS_USED 0 SITEPROP SLICE_X3Y149 MANUAL_ROUTING SITEPROP SLICE_X3Y149 NAME SLICE_X3Y149 SITEPROP SLICE_X3Y149 NUM_ARCS 138 SITEPROP SLICE_X3Y149 NUM_BELS 32 SITEPROP SLICE_X3Y149 NUM_INPUTS 32 SITEPROP SLICE_X3Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X3Y149 NUM_PINS 45 SITEPROP SLICE_X3Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X3Y149 PROHIBIT 0 SITEPROP SLICE_X3Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X3Y149 RPM_X 23 SITEPROP SLICE_X3Y149 RPM_Y 298 SITEPROP SLICE_X3Y149 SITE_PIPS SITEPROP SLICE_X3Y149 SITE_TYPE SLICEL SITEPROP SLICE_X40Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y0 CLASS site SITEPROP SLICE_X40Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y0 IS_BONDED 0 SITEPROP SLICE_X40Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y0 IS_PAD 0 SITEPROP SLICE_X40Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y0 IS_RESERVED 0 SITEPROP SLICE_X40Y0 IS_TEST 0 SITEPROP SLICE_X40Y0 IS_USED 0 SITEPROP SLICE_X40Y0 MANUAL_ROUTING SITEPROP SLICE_X40Y0 NAME SLICE_X40Y0 SITEPROP SLICE_X40Y0 NUM_ARCS 138 SITEPROP SLICE_X40Y0 NUM_BELS 32 SITEPROP SLICE_X40Y0 NUM_INPUTS 32 SITEPROP SLICE_X40Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y0 NUM_PINS 45 SITEPROP SLICE_X40Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y0 PROHIBIT 0 SITEPROP SLICE_X40Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y0 RPM_X 109 SITEPROP SLICE_X40Y0 RPM_Y 0 SITEPROP SLICE_X40Y0 SITE_PIPS SITEPROP SLICE_X40Y0 SITE_TYPE SLICEL SITEPROP SLICE_X40Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y1 CLASS site SITEPROP SLICE_X40Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y1 IS_BONDED 0 SITEPROP SLICE_X40Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y1 IS_PAD 0 SITEPROP SLICE_X40Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y1 IS_RESERVED 0 SITEPROP SLICE_X40Y1 IS_TEST 0 SITEPROP SLICE_X40Y1 IS_USED 0 SITEPROP SLICE_X40Y1 MANUAL_ROUTING SITEPROP SLICE_X40Y1 NAME SLICE_X40Y1 SITEPROP SLICE_X40Y1 NUM_ARCS 138 SITEPROP SLICE_X40Y1 NUM_BELS 32 SITEPROP SLICE_X40Y1 NUM_INPUTS 32 SITEPROP SLICE_X40Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y1 NUM_PINS 45 SITEPROP SLICE_X40Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y1 PROHIBIT 0 SITEPROP SLICE_X40Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y1 RPM_X 109 SITEPROP SLICE_X40Y1 RPM_Y 2 SITEPROP SLICE_X40Y1 SITE_PIPS SITEPROP SLICE_X40Y1 SITE_TYPE SLICEL SITEPROP SLICE_X40Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y2 CLASS site SITEPROP SLICE_X40Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y2 IS_BONDED 0 SITEPROP SLICE_X40Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y2 IS_PAD 0 SITEPROP SLICE_X40Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y2 IS_RESERVED 0 SITEPROP SLICE_X40Y2 IS_TEST 0 SITEPROP SLICE_X40Y2 IS_USED 0 SITEPROP SLICE_X40Y2 MANUAL_ROUTING SITEPROP SLICE_X40Y2 NAME SLICE_X40Y2 SITEPROP SLICE_X40Y2 NUM_ARCS 138 SITEPROP SLICE_X40Y2 NUM_BELS 32 SITEPROP SLICE_X40Y2 NUM_INPUTS 32 SITEPROP SLICE_X40Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y2 NUM_PINS 45 SITEPROP SLICE_X40Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y2 PROHIBIT 0 SITEPROP SLICE_X40Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y2 RPM_X 109 SITEPROP SLICE_X40Y2 RPM_Y 4 SITEPROP SLICE_X40Y2 SITE_PIPS SITEPROP SLICE_X40Y2 SITE_TYPE SLICEL SITEPROP SLICE_X40Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y3 CLASS site SITEPROP SLICE_X40Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y3 IS_BONDED 0 SITEPROP SLICE_X40Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y3 IS_PAD 0 SITEPROP SLICE_X40Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y3 IS_RESERVED 0 SITEPROP SLICE_X40Y3 IS_TEST 0 SITEPROP SLICE_X40Y3 IS_USED 0 SITEPROP SLICE_X40Y3 MANUAL_ROUTING SITEPROP SLICE_X40Y3 NAME SLICE_X40Y3 SITEPROP SLICE_X40Y3 NUM_ARCS 138 SITEPROP SLICE_X40Y3 NUM_BELS 32 SITEPROP SLICE_X40Y3 NUM_INPUTS 32 SITEPROP SLICE_X40Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y3 NUM_PINS 45 SITEPROP SLICE_X40Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y3 PROHIBIT 0 SITEPROP SLICE_X40Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y3 RPM_X 109 SITEPROP SLICE_X40Y3 RPM_Y 6 SITEPROP SLICE_X40Y3 SITE_PIPS SITEPROP SLICE_X40Y3 SITE_TYPE SLICEL SITEPROP SLICE_X40Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y4 CLASS site SITEPROP SLICE_X40Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y4 IS_BONDED 0 SITEPROP SLICE_X40Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y4 IS_PAD 0 SITEPROP SLICE_X40Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y4 IS_RESERVED 0 SITEPROP SLICE_X40Y4 IS_TEST 0 SITEPROP SLICE_X40Y4 IS_USED 0 SITEPROP SLICE_X40Y4 MANUAL_ROUTING SITEPROP SLICE_X40Y4 NAME SLICE_X40Y4 SITEPROP SLICE_X40Y4 NUM_ARCS 138 SITEPROP SLICE_X40Y4 NUM_BELS 32 SITEPROP SLICE_X40Y4 NUM_INPUTS 32 SITEPROP SLICE_X40Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y4 NUM_PINS 45 SITEPROP SLICE_X40Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y4 PROHIBIT 0 SITEPROP SLICE_X40Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y4 RPM_X 109 SITEPROP SLICE_X40Y4 RPM_Y 8 SITEPROP SLICE_X40Y4 SITE_PIPS SITEPROP SLICE_X40Y4 SITE_TYPE SLICEL SITEPROP SLICE_X40Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y5 CLASS site SITEPROP SLICE_X40Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y5 IS_BONDED 0 SITEPROP SLICE_X40Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y5 IS_PAD 0 SITEPROP SLICE_X40Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y5 IS_RESERVED 0 SITEPROP SLICE_X40Y5 IS_TEST 0 SITEPROP SLICE_X40Y5 IS_USED 0 SITEPROP SLICE_X40Y5 MANUAL_ROUTING SITEPROP SLICE_X40Y5 NAME SLICE_X40Y5 SITEPROP SLICE_X40Y5 NUM_ARCS 138 SITEPROP SLICE_X40Y5 NUM_BELS 32 SITEPROP SLICE_X40Y5 NUM_INPUTS 32 SITEPROP SLICE_X40Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y5 NUM_PINS 45 SITEPROP SLICE_X40Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y5 PROHIBIT 0 SITEPROP SLICE_X40Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y5 RPM_X 109 SITEPROP SLICE_X40Y5 RPM_Y 10 SITEPROP SLICE_X40Y5 SITE_PIPS SITEPROP SLICE_X40Y5 SITE_TYPE SLICEL SITEPROP SLICE_X40Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y6 CLASS site SITEPROP SLICE_X40Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y6 IS_BONDED 0 SITEPROP SLICE_X40Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y6 IS_PAD 0 SITEPROP SLICE_X40Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y6 IS_RESERVED 0 SITEPROP SLICE_X40Y6 IS_TEST 0 SITEPROP SLICE_X40Y6 IS_USED 0 SITEPROP SLICE_X40Y6 MANUAL_ROUTING SITEPROP SLICE_X40Y6 NAME SLICE_X40Y6 SITEPROP SLICE_X40Y6 NUM_ARCS 138 SITEPROP SLICE_X40Y6 NUM_BELS 32 SITEPROP SLICE_X40Y6 NUM_INPUTS 32 SITEPROP SLICE_X40Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y6 NUM_PINS 45 SITEPROP SLICE_X40Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y6 PROHIBIT 0 SITEPROP SLICE_X40Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y6 RPM_X 109 SITEPROP SLICE_X40Y6 RPM_Y 12 SITEPROP SLICE_X40Y6 SITE_PIPS SITEPROP SLICE_X40Y6 SITE_TYPE SLICEL SITEPROP SLICE_X40Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y7 CLASS site SITEPROP SLICE_X40Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y7 IS_BONDED 0 SITEPROP SLICE_X40Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y7 IS_PAD 0 SITEPROP SLICE_X40Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y7 IS_RESERVED 0 SITEPROP SLICE_X40Y7 IS_TEST 0 SITEPROP SLICE_X40Y7 IS_USED 0 SITEPROP SLICE_X40Y7 MANUAL_ROUTING SITEPROP SLICE_X40Y7 NAME SLICE_X40Y7 SITEPROP SLICE_X40Y7 NUM_ARCS 138 SITEPROP SLICE_X40Y7 NUM_BELS 32 SITEPROP SLICE_X40Y7 NUM_INPUTS 32 SITEPROP SLICE_X40Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y7 NUM_PINS 45 SITEPROP SLICE_X40Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y7 PROHIBIT 0 SITEPROP SLICE_X40Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y7 RPM_X 109 SITEPROP SLICE_X40Y7 RPM_Y 14 SITEPROP SLICE_X40Y7 SITE_PIPS SITEPROP SLICE_X40Y7 SITE_TYPE SLICEL SITEPROP SLICE_X40Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y8 CLASS site SITEPROP SLICE_X40Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y8 IS_BONDED 0 SITEPROP SLICE_X40Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y8 IS_PAD 0 SITEPROP SLICE_X40Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y8 IS_RESERVED 0 SITEPROP SLICE_X40Y8 IS_TEST 0 SITEPROP SLICE_X40Y8 IS_USED 0 SITEPROP SLICE_X40Y8 MANUAL_ROUTING SITEPROP SLICE_X40Y8 NAME SLICE_X40Y8 SITEPROP SLICE_X40Y8 NUM_ARCS 138 SITEPROP SLICE_X40Y8 NUM_BELS 32 SITEPROP SLICE_X40Y8 NUM_INPUTS 32 SITEPROP SLICE_X40Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y8 NUM_PINS 45 SITEPROP SLICE_X40Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y8 PROHIBIT 0 SITEPROP SLICE_X40Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y8 RPM_X 109 SITEPROP SLICE_X40Y8 RPM_Y 16 SITEPROP SLICE_X40Y8 SITE_PIPS SITEPROP SLICE_X40Y8 SITE_TYPE SLICEL SITEPROP SLICE_X40Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y9 CLASS site SITEPROP SLICE_X40Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y9 IS_BONDED 0 SITEPROP SLICE_X40Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y9 IS_PAD 0 SITEPROP SLICE_X40Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y9 IS_RESERVED 0 SITEPROP SLICE_X40Y9 IS_TEST 0 SITEPROP SLICE_X40Y9 IS_USED 0 SITEPROP SLICE_X40Y9 MANUAL_ROUTING SITEPROP SLICE_X40Y9 NAME SLICE_X40Y9 SITEPROP SLICE_X40Y9 NUM_ARCS 138 SITEPROP SLICE_X40Y9 NUM_BELS 32 SITEPROP SLICE_X40Y9 NUM_INPUTS 32 SITEPROP SLICE_X40Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y9 NUM_PINS 45 SITEPROP SLICE_X40Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y9 PROHIBIT 0 SITEPROP SLICE_X40Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y9 RPM_X 109 SITEPROP SLICE_X40Y9 RPM_Y 18 SITEPROP SLICE_X40Y9 SITE_PIPS SITEPROP SLICE_X40Y9 SITE_TYPE SLICEL SITEPROP SLICE_X40Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y10 CLASS site SITEPROP SLICE_X40Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y10 IS_BONDED 0 SITEPROP SLICE_X40Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y10 IS_PAD 0 SITEPROP SLICE_X40Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y10 IS_RESERVED 0 SITEPROP SLICE_X40Y10 IS_TEST 0 SITEPROP SLICE_X40Y10 IS_USED 0 SITEPROP SLICE_X40Y10 MANUAL_ROUTING SITEPROP SLICE_X40Y10 NAME SLICE_X40Y10 SITEPROP SLICE_X40Y10 NUM_ARCS 138 SITEPROP SLICE_X40Y10 NUM_BELS 32 SITEPROP SLICE_X40Y10 NUM_INPUTS 32 SITEPROP SLICE_X40Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y10 NUM_PINS 45 SITEPROP SLICE_X40Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y10 PROHIBIT 0 SITEPROP SLICE_X40Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y10 RPM_X 109 SITEPROP SLICE_X40Y10 RPM_Y 20 SITEPROP SLICE_X40Y10 SITE_PIPS SITEPROP SLICE_X40Y10 SITE_TYPE SLICEL SITEPROP SLICE_X40Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y11 CLASS site SITEPROP SLICE_X40Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y11 IS_BONDED 0 SITEPROP SLICE_X40Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y11 IS_PAD 0 SITEPROP SLICE_X40Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y11 IS_RESERVED 0 SITEPROP SLICE_X40Y11 IS_TEST 0 SITEPROP SLICE_X40Y11 IS_USED 0 SITEPROP SLICE_X40Y11 MANUAL_ROUTING SITEPROP SLICE_X40Y11 NAME SLICE_X40Y11 SITEPROP SLICE_X40Y11 NUM_ARCS 138 SITEPROP SLICE_X40Y11 NUM_BELS 32 SITEPROP SLICE_X40Y11 NUM_INPUTS 32 SITEPROP SLICE_X40Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y11 NUM_PINS 45 SITEPROP SLICE_X40Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y11 PROHIBIT 0 SITEPROP SLICE_X40Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y11 RPM_X 109 SITEPROP SLICE_X40Y11 RPM_Y 22 SITEPROP SLICE_X40Y11 SITE_PIPS SITEPROP SLICE_X40Y11 SITE_TYPE SLICEL SITEPROP SLICE_X40Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y12 CLASS site SITEPROP SLICE_X40Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y12 IS_BONDED 0 SITEPROP SLICE_X40Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y12 IS_PAD 0 SITEPROP SLICE_X40Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y12 IS_RESERVED 0 SITEPROP SLICE_X40Y12 IS_TEST 0 SITEPROP SLICE_X40Y12 IS_USED 0 SITEPROP SLICE_X40Y12 MANUAL_ROUTING SITEPROP SLICE_X40Y12 NAME SLICE_X40Y12 SITEPROP SLICE_X40Y12 NUM_ARCS 138 SITEPROP SLICE_X40Y12 NUM_BELS 32 SITEPROP SLICE_X40Y12 NUM_INPUTS 32 SITEPROP SLICE_X40Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y12 NUM_PINS 45 SITEPROP SLICE_X40Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y12 PROHIBIT 0 SITEPROP SLICE_X40Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y12 RPM_X 109 SITEPROP SLICE_X40Y12 RPM_Y 24 SITEPROP SLICE_X40Y12 SITE_PIPS SITEPROP SLICE_X40Y12 SITE_TYPE SLICEL SITEPROP SLICE_X40Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y13 CLASS site SITEPROP SLICE_X40Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y13 IS_BONDED 0 SITEPROP SLICE_X40Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y13 IS_PAD 0 SITEPROP SLICE_X40Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y13 IS_RESERVED 0 SITEPROP SLICE_X40Y13 IS_TEST 0 SITEPROP SLICE_X40Y13 IS_USED 0 SITEPROP SLICE_X40Y13 MANUAL_ROUTING SITEPROP SLICE_X40Y13 NAME SLICE_X40Y13 SITEPROP SLICE_X40Y13 NUM_ARCS 138 SITEPROP SLICE_X40Y13 NUM_BELS 32 SITEPROP SLICE_X40Y13 NUM_INPUTS 32 SITEPROP SLICE_X40Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y13 NUM_PINS 45 SITEPROP SLICE_X40Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y13 PROHIBIT 0 SITEPROP SLICE_X40Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y13 RPM_X 109 SITEPROP SLICE_X40Y13 RPM_Y 26 SITEPROP SLICE_X40Y13 SITE_PIPS SITEPROP SLICE_X40Y13 SITE_TYPE SLICEL SITEPROP SLICE_X40Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y14 CLASS site SITEPROP SLICE_X40Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y14 IS_BONDED 0 SITEPROP SLICE_X40Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y14 IS_PAD 0 SITEPROP SLICE_X40Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y14 IS_RESERVED 0 SITEPROP SLICE_X40Y14 IS_TEST 0 SITEPROP SLICE_X40Y14 IS_USED 0 SITEPROP SLICE_X40Y14 MANUAL_ROUTING SITEPROP SLICE_X40Y14 NAME SLICE_X40Y14 SITEPROP SLICE_X40Y14 NUM_ARCS 138 SITEPROP SLICE_X40Y14 NUM_BELS 32 SITEPROP SLICE_X40Y14 NUM_INPUTS 32 SITEPROP SLICE_X40Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y14 NUM_PINS 45 SITEPROP SLICE_X40Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y14 PROHIBIT 0 SITEPROP SLICE_X40Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y14 RPM_X 109 SITEPROP SLICE_X40Y14 RPM_Y 28 SITEPROP SLICE_X40Y14 SITE_PIPS SITEPROP SLICE_X40Y14 SITE_TYPE SLICEL SITEPROP SLICE_X40Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y15 CLASS site SITEPROP SLICE_X40Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y15 IS_BONDED 0 SITEPROP SLICE_X40Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y15 IS_PAD 0 SITEPROP SLICE_X40Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y15 IS_RESERVED 0 SITEPROP SLICE_X40Y15 IS_TEST 0 SITEPROP SLICE_X40Y15 IS_USED 0 SITEPROP SLICE_X40Y15 MANUAL_ROUTING SITEPROP SLICE_X40Y15 NAME SLICE_X40Y15 SITEPROP SLICE_X40Y15 NUM_ARCS 138 SITEPROP SLICE_X40Y15 NUM_BELS 32 SITEPROP SLICE_X40Y15 NUM_INPUTS 32 SITEPROP SLICE_X40Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y15 NUM_PINS 45 SITEPROP SLICE_X40Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y15 PROHIBIT 0 SITEPROP SLICE_X40Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y15 RPM_X 109 SITEPROP SLICE_X40Y15 RPM_Y 30 SITEPROP SLICE_X40Y15 SITE_PIPS SITEPROP SLICE_X40Y15 SITE_TYPE SLICEL SITEPROP SLICE_X40Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y16 CLASS site SITEPROP SLICE_X40Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y16 IS_BONDED 0 SITEPROP SLICE_X40Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y16 IS_PAD 0 SITEPROP SLICE_X40Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y16 IS_RESERVED 0 SITEPROP SLICE_X40Y16 IS_TEST 0 SITEPROP SLICE_X40Y16 IS_USED 0 SITEPROP SLICE_X40Y16 MANUAL_ROUTING SITEPROP SLICE_X40Y16 NAME SLICE_X40Y16 SITEPROP SLICE_X40Y16 NUM_ARCS 138 SITEPROP SLICE_X40Y16 NUM_BELS 32 SITEPROP SLICE_X40Y16 NUM_INPUTS 32 SITEPROP SLICE_X40Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y16 NUM_PINS 45 SITEPROP SLICE_X40Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y16 PROHIBIT 0 SITEPROP SLICE_X40Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y16 RPM_X 109 SITEPROP SLICE_X40Y16 RPM_Y 32 SITEPROP SLICE_X40Y16 SITE_PIPS SITEPROP SLICE_X40Y16 SITE_TYPE SLICEL SITEPROP SLICE_X40Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y17 CLASS site SITEPROP SLICE_X40Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y17 IS_BONDED 0 SITEPROP SLICE_X40Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y17 IS_PAD 0 SITEPROP SLICE_X40Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y17 IS_RESERVED 0 SITEPROP SLICE_X40Y17 IS_TEST 0 SITEPROP SLICE_X40Y17 IS_USED 0 SITEPROP SLICE_X40Y17 MANUAL_ROUTING SITEPROP SLICE_X40Y17 NAME SLICE_X40Y17 SITEPROP SLICE_X40Y17 NUM_ARCS 138 SITEPROP SLICE_X40Y17 NUM_BELS 32 SITEPROP SLICE_X40Y17 NUM_INPUTS 32 SITEPROP SLICE_X40Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y17 NUM_PINS 45 SITEPROP SLICE_X40Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y17 PROHIBIT 0 SITEPROP SLICE_X40Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y17 RPM_X 109 SITEPROP SLICE_X40Y17 RPM_Y 34 SITEPROP SLICE_X40Y17 SITE_PIPS SITEPROP SLICE_X40Y17 SITE_TYPE SLICEL SITEPROP SLICE_X40Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y18 CLASS site SITEPROP SLICE_X40Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y18 IS_BONDED 0 SITEPROP SLICE_X40Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y18 IS_PAD 0 SITEPROP SLICE_X40Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y18 IS_RESERVED 0 SITEPROP SLICE_X40Y18 IS_TEST 0 SITEPROP SLICE_X40Y18 IS_USED 0 SITEPROP SLICE_X40Y18 MANUAL_ROUTING SITEPROP SLICE_X40Y18 NAME SLICE_X40Y18 SITEPROP SLICE_X40Y18 NUM_ARCS 138 SITEPROP SLICE_X40Y18 NUM_BELS 32 SITEPROP SLICE_X40Y18 NUM_INPUTS 32 SITEPROP SLICE_X40Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y18 NUM_PINS 45 SITEPROP SLICE_X40Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y18 PROHIBIT 0 SITEPROP SLICE_X40Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y18 RPM_X 109 SITEPROP SLICE_X40Y18 RPM_Y 36 SITEPROP SLICE_X40Y18 SITE_PIPS SITEPROP SLICE_X40Y18 SITE_TYPE SLICEL SITEPROP SLICE_X40Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y19 CLASS site SITEPROP SLICE_X40Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y19 IS_BONDED 0 SITEPROP SLICE_X40Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y19 IS_PAD 0 SITEPROP SLICE_X40Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y19 IS_RESERVED 0 SITEPROP SLICE_X40Y19 IS_TEST 0 SITEPROP SLICE_X40Y19 IS_USED 0 SITEPROP SLICE_X40Y19 MANUAL_ROUTING SITEPROP SLICE_X40Y19 NAME SLICE_X40Y19 SITEPROP SLICE_X40Y19 NUM_ARCS 138 SITEPROP SLICE_X40Y19 NUM_BELS 32 SITEPROP SLICE_X40Y19 NUM_INPUTS 32 SITEPROP SLICE_X40Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y19 NUM_PINS 45 SITEPROP SLICE_X40Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y19 PROHIBIT 0 SITEPROP SLICE_X40Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y19 RPM_X 109 SITEPROP SLICE_X40Y19 RPM_Y 38 SITEPROP SLICE_X40Y19 SITE_PIPS SITEPROP SLICE_X40Y19 SITE_TYPE SLICEL SITEPROP SLICE_X40Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y20 CLASS site SITEPROP SLICE_X40Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y20 IS_BONDED 0 SITEPROP SLICE_X40Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y20 IS_PAD 0 SITEPROP SLICE_X40Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y20 IS_RESERVED 0 SITEPROP SLICE_X40Y20 IS_TEST 0 SITEPROP SLICE_X40Y20 IS_USED 0 SITEPROP SLICE_X40Y20 MANUAL_ROUTING SITEPROP SLICE_X40Y20 NAME SLICE_X40Y20 SITEPROP SLICE_X40Y20 NUM_ARCS 138 SITEPROP SLICE_X40Y20 NUM_BELS 32 SITEPROP SLICE_X40Y20 NUM_INPUTS 32 SITEPROP SLICE_X40Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y20 NUM_PINS 45 SITEPROP SLICE_X40Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y20 PROHIBIT 0 SITEPROP SLICE_X40Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y20 RPM_X 109 SITEPROP SLICE_X40Y20 RPM_Y 40 SITEPROP SLICE_X40Y20 SITE_PIPS SITEPROP SLICE_X40Y20 SITE_TYPE SLICEL SITEPROP SLICE_X40Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y21 CLASS site SITEPROP SLICE_X40Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y21 IS_BONDED 0 SITEPROP SLICE_X40Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y21 IS_PAD 0 SITEPROP SLICE_X40Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y21 IS_RESERVED 0 SITEPROP SLICE_X40Y21 IS_TEST 0 SITEPROP SLICE_X40Y21 IS_USED 0 SITEPROP SLICE_X40Y21 MANUAL_ROUTING SITEPROP SLICE_X40Y21 NAME SLICE_X40Y21 SITEPROP SLICE_X40Y21 NUM_ARCS 138 SITEPROP SLICE_X40Y21 NUM_BELS 32 SITEPROP SLICE_X40Y21 NUM_INPUTS 32 SITEPROP SLICE_X40Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y21 NUM_PINS 45 SITEPROP SLICE_X40Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y21 PROHIBIT 0 SITEPROP SLICE_X40Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y21 RPM_X 109 SITEPROP SLICE_X40Y21 RPM_Y 42 SITEPROP SLICE_X40Y21 SITE_PIPS SITEPROP SLICE_X40Y21 SITE_TYPE SLICEL SITEPROP SLICE_X40Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y22 CLASS site SITEPROP SLICE_X40Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y22 IS_BONDED 0 SITEPROP SLICE_X40Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y22 IS_PAD 0 SITEPROP SLICE_X40Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y22 IS_RESERVED 0 SITEPROP SLICE_X40Y22 IS_TEST 0 SITEPROP SLICE_X40Y22 IS_USED 0 SITEPROP SLICE_X40Y22 MANUAL_ROUTING SITEPROP SLICE_X40Y22 NAME SLICE_X40Y22 SITEPROP SLICE_X40Y22 NUM_ARCS 138 SITEPROP SLICE_X40Y22 NUM_BELS 32 SITEPROP SLICE_X40Y22 NUM_INPUTS 32 SITEPROP SLICE_X40Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y22 NUM_PINS 45 SITEPROP SLICE_X40Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y22 PROHIBIT 0 SITEPROP SLICE_X40Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y22 RPM_X 109 SITEPROP SLICE_X40Y22 RPM_Y 44 SITEPROP SLICE_X40Y22 SITE_PIPS SITEPROP SLICE_X40Y22 SITE_TYPE SLICEL SITEPROP SLICE_X40Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y23 CLASS site SITEPROP SLICE_X40Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y23 IS_BONDED 0 SITEPROP SLICE_X40Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y23 IS_PAD 0 SITEPROP SLICE_X40Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y23 IS_RESERVED 0 SITEPROP SLICE_X40Y23 IS_TEST 0 SITEPROP SLICE_X40Y23 IS_USED 0 SITEPROP SLICE_X40Y23 MANUAL_ROUTING SITEPROP SLICE_X40Y23 NAME SLICE_X40Y23 SITEPROP SLICE_X40Y23 NUM_ARCS 138 SITEPROP SLICE_X40Y23 NUM_BELS 32 SITEPROP SLICE_X40Y23 NUM_INPUTS 32 SITEPROP SLICE_X40Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y23 NUM_PINS 45 SITEPROP SLICE_X40Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y23 PROHIBIT 0 SITEPROP SLICE_X40Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y23 RPM_X 109 SITEPROP SLICE_X40Y23 RPM_Y 46 SITEPROP SLICE_X40Y23 SITE_PIPS SITEPROP SLICE_X40Y23 SITE_TYPE SLICEL SITEPROP SLICE_X40Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y24 CLASS site SITEPROP SLICE_X40Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y24 IS_BONDED 0 SITEPROP SLICE_X40Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y24 IS_PAD 0 SITEPROP SLICE_X40Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y24 IS_RESERVED 0 SITEPROP SLICE_X40Y24 IS_TEST 0 SITEPROP SLICE_X40Y24 IS_USED 0 SITEPROP SLICE_X40Y24 MANUAL_ROUTING SITEPROP SLICE_X40Y24 NAME SLICE_X40Y24 SITEPROP SLICE_X40Y24 NUM_ARCS 138 SITEPROP SLICE_X40Y24 NUM_BELS 32 SITEPROP SLICE_X40Y24 NUM_INPUTS 32 SITEPROP SLICE_X40Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y24 NUM_PINS 45 SITEPROP SLICE_X40Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y24 PROHIBIT 0 SITEPROP SLICE_X40Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y24 RPM_X 109 SITEPROP SLICE_X40Y24 RPM_Y 48 SITEPROP SLICE_X40Y24 SITE_PIPS SITEPROP SLICE_X40Y24 SITE_TYPE SLICEL SITEPROP SLICE_X40Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y25 CLASS site SITEPROP SLICE_X40Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y25 IS_BONDED 0 SITEPROP SLICE_X40Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y25 IS_PAD 0 SITEPROP SLICE_X40Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y25 IS_RESERVED 0 SITEPROP SLICE_X40Y25 IS_TEST 0 SITEPROP SLICE_X40Y25 IS_USED 0 SITEPROP SLICE_X40Y25 MANUAL_ROUTING SITEPROP SLICE_X40Y25 NAME SLICE_X40Y25 SITEPROP SLICE_X40Y25 NUM_ARCS 138 SITEPROP SLICE_X40Y25 NUM_BELS 32 SITEPROP SLICE_X40Y25 NUM_INPUTS 32 SITEPROP SLICE_X40Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y25 NUM_PINS 45 SITEPROP SLICE_X40Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y25 PROHIBIT 0 SITEPROP SLICE_X40Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y25 RPM_X 109 SITEPROP SLICE_X40Y25 RPM_Y 50 SITEPROP SLICE_X40Y25 SITE_PIPS SITEPROP SLICE_X40Y25 SITE_TYPE SLICEL SITEPROP SLICE_X40Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y26 CLASS site SITEPROP SLICE_X40Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y26 IS_BONDED 0 SITEPROP SLICE_X40Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y26 IS_PAD 0 SITEPROP SLICE_X40Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y26 IS_RESERVED 0 SITEPROP SLICE_X40Y26 IS_TEST 0 SITEPROP SLICE_X40Y26 IS_USED 0 SITEPROP SLICE_X40Y26 MANUAL_ROUTING SITEPROP SLICE_X40Y26 NAME SLICE_X40Y26 SITEPROP SLICE_X40Y26 NUM_ARCS 138 SITEPROP SLICE_X40Y26 NUM_BELS 32 SITEPROP SLICE_X40Y26 NUM_INPUTS 32 SITEPROP SLICE_X40Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y26 NUM_PINS 45 SITEPROP SLICE_X40Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y26 PROHIBIT 0 SITEPROP SLICE_X40Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y26 RPM_X 109 SITEPROP SLICE_X40Y26 RPM_Y 52 SITEPROP SLICE_X40Y26 SITE_PIPS SITEPROP SLICE_X40Y26 SITE_TYPE SLICEL SITEPROP SLICE_X40Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y27 CLASS site SITEPROP SLICE_X40Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y27 IS_BONDED 0 SITEPROP SLICE_X40Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y27 IS_PAD 0 SITEPROP SLICE_X40Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y27 IS_RESERVED 0 SITEPROP SLICE_X40Y27 IS_TEST 0 SITEPROP SLICE_X40Y27 IS_USED 0 SITEPROP SLICE_X40Y27 MANUAL_ROUTING SITEPROP SLICE_X40Y27 NAME SLICE_X40Y27 SITEPROP SLICE_X40Y27 NUM_ARCS 138 SITEPROP SLICE_X40Y27 NUM_BELS 32 SITEPROP SLICE_X40Y27 NUM_INPUTS 32 SITEPROP SLICE_X40Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y27 NUM_PINS 45 SITEPROP SLICE_X40Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y27 PROHIBIT 0 SITEPROP SLICE_X40Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y27 RPM_X 109 SITEPROP SLICE_X40Y27 RPM_Y 54 SITEPROP SLICE_X40Y27 SITE_PIPS SITEPROP SLICE_X40Y27 SITE_TYPE SLICEL SITEPROP SLICE_X40Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y28 CLASS site SITEPROP SLICE_X40Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y28 IS_BONDED 0 SITEPROP SLICE_X40Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y28 IS_PAD 0 SITEPROP SLICE_X40Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y28 IS_RESERVED 0 SITEPROP SLICE_X40Y28 IS_TEST 0 SITEPROP SLICE_X40Y28 IS_USED 0 SITEPROP SLICE_X40Y28 MANUAL_ROUTING SITEPROP SLICE_X40Y28 NAME SLICE_X40Y28 SITEPROP SLICE_X40Y28 NUM_ARCS 138 SITEPROP SLICE_X40Y28 NUM_BELS 32 SITEPROP SLICE_X40Y28 NUM_INPUTS 32 SITEPROP SLICE_X40Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y28 NUM_PINS 45 SITEPROP SLICE_X40Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y28 PROHIBIT 0 SITEPROP SLICE_X40Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y28 RPM_X 109 SITEPROP SLICE_X40Y28 RPM_Y 56 SITEPROP SLICE_X40Y28 SITE_PIPS SITEPROP SLICE_X40Y28 SITE_TYPE SLICEL SITEPROP SLICE_X40Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y29 CLASS site SITEPROP SLICE_X40Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y29 IS_BONDED 0 SITEPROP SLICE_X40Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y29 IS_PAD 0 SITEPROP SLICE_X40Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y29 IS_RESERVED 0 SITEPROP SLICE_X40Y29 IS_TEST 0 SITEPROP SLICE_X40Y29 IS_USED 0 SITEPROP SLICE_X40Y29 MANUAL_ROUTING SITEPROP SLICE_X40Y29 NAME SLICE_X40Y29 SITEPROP SLICE_X40Y29 NUM_ARCS 138 SITEPROP SLICE_X40Y29 NUM_BELS 32 SITEPROP SLICE_X40Y29 NUM_INPUTS 32 SITEPROP SLICE_X40Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y29 NUM_PINS 45 SITEPROP SLICE_X40Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y29 PROHIBIT 0 SITEPROP SLICE_X40Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y29 RPM_X 109 SITEPROP SLICE_X40Y29 RPM_Y 58 SITEPROP SLICE_X40Y29 SITE_PIPS SITEPROP SLICE_X40Y29 SITE_TYPE SLICEL SITEPROP SLICE_X40Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y30 CLASS site SITEPROP SLICE_X40Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y30 IS_BONDED 0 SITEPROP SLICE_X40Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y30 IS_PAD 0 SITEPROP SLICE_X40Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y30 IS_RESERVED 0 SITEPROP SLICE_X40Y30 IS_TEST 0 SITEPROP SLICE_X40Y30 IS_USED 0 SITEPROP SLICE_X40Y30 MANUAL_ROUTING SITEPROP SLICE_X40Y30 NAME SLICE_X40Y30 SITEPROP SLICE_X40Y30 NUM_ARCS 138 SITEPROP SLICE_X40Y30 NUM_BELS 32 SITEPROP SLICE_X40Y30 NUM_INPUTS 32 SITEPROP SLICE_X40Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y30 NUM_PINS 45 SITEPROP SLICE_X40Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y30 PROHIBIT 0 SITEPROP SLICE_X40Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y30 RPM_X 109 SITEPROP SLICE_X40Y30 RPM_Y 60 SITEPROP SLICE_X40Y30 SITE_PIPS SITEPROP SLICE_X40Y30 SITE_TYPE SLICEL SITEPROP SLICE_X40Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y31 CLASS site SITEPROP SLICE_X40Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y31 IS_BONDED 0 SITEPROP SLICE_X40Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y31 IS_PAD 0 SITEPROP SLICE_X40Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y31 IS_RESERVED 0 SITEPROP SLICE_X40Y31 IS_TEST 0 SITEPROP SLICE_X40Y31 IS_USED 0 SITEPROP SLICE_X40Y31 MANUAL_ROUTING SITEPROP SLICE_X40Y31 NAME SLICE_X40Y31 SITEPROP SLICE_X40Y31 NUM_ARCS 138 SITEPROP SLICE_X40Y31 NUM_BELS 32 SITEPROP SLICE_X40Y31 NUM_INPUTS 32 SITEPROP SLICE_X40Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y31 NUM_PINS 45 SITEPROP SLICE_X40Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y31 PROHIBIT 0 SITEPROP SLICE_X40Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y31 RPM_X 109 SITEPROP SLICE_X40Y31 RPM_Y 62 SITEPROP SLICE_X40Y31 SITE_PIPS SITEPROP SLICE_X40Y31 SITE_TYPE SLICEL SITEPROP SLICE_X40Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y32 CLASS site SITEPROP SLICE_X40Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y32 IS_BONDED 0 SITEPROP SLICE_X40Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y32 IS_PAD 0 SITEPROP SLICE_X40Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y32 IS_RESERVED 0 SITEPROP SLICE_X40Y32 IS_TEST 0 SITEPROP SLICE_X40Y32 IS_USED 0 SITEPROP SLICE_X40Y32 MANUAL_ROUTING SITEPROP SLICE_X40Y32 NAME SLICE_X40Y32 SITEPROP SLICE_X40Y32 NUM_ARCS 138 SITEPROP SLICE_X40Y32 NUM_BELS 32 SITEPROP SLICE_X40Y32 NUM_INPUTS 32 SITEPROP SLICE_X40Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y32 NUM_PINS 45 SITEPROP SLICE_X40Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y32 PROHIBIT 0 SITEPROP SLICE_X40Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y32 RPM_X 109 SITEPROP SLICE_X40Y32 RPM_Y 64 SITEPROP SLICE_X40Y32 SITE_PIPS SITEPROP SLICE_X40Y32 SITE_TYPE SLICEL SITEPROP SLICE_X40Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y33 CLASS site SITEPROP SLICE_X40Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y33 IS_BONDED 0 SITEPROP SLICE_X40Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y33 IS_PAD 0 SITEPROP SLICE_X40Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y33 IS_RESERVED 0 SITEPROP SLICE_X40Y33 IS_TEST 0 SITEPROP SLICE_X40Y33 IS_USED 0 SITEPROP SLICE_X40Y33 MANUAL_ROUTING SITEPROP SLICE_X40Y33 NAME SLICE_X40Y33 SITEPROP SLICE_X40Y33 NUM_ARCS 138 SITEPROP SLICE_X40Y33 NUM_BELS 32 SITEPROP SLICE_X40Y33 NUM_INPUTS 32 SITEPROP SLICE_X40Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y33 NUM_PINS 45 SITEPROP SLICE_X40Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y33 PROHIBIT 0 SITEPROP SLICE_X40Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y33 RPM_X 109 SITEPROP SLICE_X40Y33 RPM_Y 66 SITEPROP SLICE_X40Y33 SITE_PIPS SITEPROP SLICE_X40Y33 SITE_TYPE SLICEL SITEPROP SLICE_X40Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y34 CLASS site SITEPROP SLICE_X40Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y34 IS_BONDED 0 SITEPROP SLICE_X40Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y34 IS_PAD 0 SITEPROP SLICE_X40Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y34 IS_RESERVED 0 SITEPROP SLICE_X40Y34 IS_TEST 0 SITEPROP SLICE_X40Y34 IS_USED 0 SITEPROP SLICE_X40Y34 MANUAL_ROUTING SITEPROP SLICE_X40Y34 NAME SLICE_X40Y34 SITEPROP SLICE_X40Y34 NUM_ARCS 138 SITEPROP SLICE_X40Y34 NUM_BELS 32 SITEPROP SLICE_X40Y34 NUM_INPUTS 32 SITEPROP SLICE_X40Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y34 NUM_PINS 45 SITEPROP SLICE_X40Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y34 PROHIBIT 0 SITEPROP SLICE_X40Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y34 RPM_X 109 SITEPROP SLICE_X40Y34 RPM_Y 68 SITEPROP SLICE_X40Y34 SITE_PIPS SITEPROP SLICE_X40Y34 SITE_TYPE SLICEL SITEPROP SLICE_X40Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y35 CLASS site SITEPROP SLICE_X40Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y35 IS_BONDED 0 SITEPROP SLICE_X40Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y35 IS_PAD 0 SITEPROP SLICE_X40Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y35 IS_RESERVED 0 SITEPROP SLICE_X40Y35 IS_TEST 0 SITEPROP SLICE_X40Y35 IS_USED 0 SITEPROP SLICE_X40Y35 MANUAL_ROUTING SITEPROP SLICE_X40Y35 NAME SLICE_X40Y35 SITEPROP SLICE_X40Y35 NUM_ARCS 138 SITEPROP SLICE_X40Y35 NUM_BELS 32 SITEPROP SLICE_X40Y35 NUM_INPUTS 32 SITEPROP SLICE_X40Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y35 NUM_PINS 45 SITEPROP SLICE_X40Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y35 PROHIBIT 0 SITEPROP SLICE_X40Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y35 RPM_X 109 SITEPROP SLICE_X40Y35 RPM_Y 70 SITEPROP SLICE_X40Y35 SITE_PIPS SITEPROP SLICE_X40Y35 SITE_TYPE SLICEL SITEPROP SLICE_X40Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y36 CLASS site SITEPROP SLICE_X40Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y36 IS_BONDED 0 SITEPROP SLICE_X40Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y36 IS_PAD 0 SITEPROP SLICE_X40Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y36 IS_RESERVED 0 SITEPROP SLICE_X40Y36 IS_TEST 0 SITEPROP SLICE_X40Y36 IS_USED 0 SITEPROP SLICE_X40Y36 MANUAL_ROUTING SITEPROP SLICE_X40Y36 NAME SLICE_X40Y36 SITEPROP SLICE_X40Y36 NUM_ARCS 138 SITEPROP SLICE_X40Y36 NUM_BELS 32 SITEPROP SLICE_X40Y36 NUM_INPUTS 32 SITEPROP SLICE_X40Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y36 NUM_PINS 45 SITEPROP SLICE_X40Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y36 PROHIBIT 0 SITEPROP SLICE_X40Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y36 RPM_X 109 SITEPROP SLICE_X40Y36 RPM_Y 72 SITEPROP SLICE_X40Y36 SITE_PIPS SITEPROP SLICE_X40Y36 SITE_TYPE SLICEL SITEPROP SLICE_X40Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y37 CLASS site SITEPROP SLICE_X40Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y37 IS_BONDED 0 SITEPROP SLICE_X40Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y37 IS_PAD 0 SITEPROP SLICE_X40Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y37 IS_RESERVED 0 SITEPROP SLICE_X40Y37 IS_TEST 0 SITEPROP SLICE_X40Y37 IS_USED 0 SITEPROP SLICE_X40Y37 MANUAL_ROUTING SITEPROP SLICE_X40Y37 NAME SLICE_X40Y37 SITEPROP SLICE_X40Y37 NUM_ARCS 138 SITEPROP SLICE_X40Y37 NUM_BELS 32 SITEPROP SLICE_X40Y37 NUM_INPUTS 32 SITEPROP SLICE_X40Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y37 NUM_PINS 45 SITEPROP SLICE_X40Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y37 PROHIBIT 0 SITEPROP SLICE_X40Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y37 RPM_X 109 SITEPROP SLICE_X40Y37 RPM_Y 74 SITEPROP SLICE_X40Y37 SITE_PIPS SITEPROP SLICE_X40Y37 SITE_TYPE SLICEL SITEPROP SLICE_X40Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y38 CLASS site SITEPROP SLICE_X40Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y38 IS_BONDED 0 SITEPROP SLICE_X40Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y38 IS_PAD 0 SITEPROP SLICE_X40Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y38 IS_RESERVED 0 SITEPROP SLICE_X40Y38 IS_TEST 0 SITEPROP SLICE_X40Y38 IS_USED 0 SITEPROP SLICE_X40Y38 MANUAL_ROUTING SITEPROP SLICE_X40Y38 NAME SLICE_X40Y38 SITEPROP SLICE_X40Y38 NUM_ARCS 138 SITEPROP SLICE_X40Y38 NUM_BELS 32 SITEPROP SLICE_X40Y38 NUM_INPUTS 32 SITEPROP SLICE_X40Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y38 NUM_PINS 45 SITEPROP SLICE_X40Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y38 PROHIBIT 0 SITEPROP SLICE_X40Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y38 RPM_X 109 SITEPROP SLICE_X40Y38 RPM_Y 76 SITEPROP SLICE_X40Y38 SITE_PIPS SITEPROP SLICE_X40Y38 SITE_TYPE SLICEL SITEPROP SLICE_X40Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y39 CLASS site SITEPROP SLICE_X40Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y39 IS_BONDED 0 SITEPROP SLICE_X40Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y39 IS_PAD 0 SITEPROP SLICE_X40Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y39 IS_RESERVED 0 SITEPROP SLICE_X40Y39 IS_TEST 0 SITEPROP SLICE_X40Y39 IS_USED 0 SITEPROP SLICE_X40Y39 MANUAL_ROUTING SITEPROP SLICE_X40Y39 NAME SLICE_X40Y39 SITEPROP SLICE_X40Y39 NUM_ARCS 138 SITEPROP SLICE_X40Y39 NUM_BELS 32 SITEPROP SLICE_X40Y39 NUM_INPUTS 32 SITEPROP SLICE_X40Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y39 NUM_PINS 45 SITEPROP SLICE_X40Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y39 PROHIBIT 0 SITEPROP SLICE_X40Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y39 RPM_X 109 SITEPROP SLICE_X40Y39 RPM_Y 78 SITEPROP SLICE_X40Y39 SITE_PIPS SITEPROP SLICE_X40Y39 SITE_TYPE SLICEL SITEPROP SLICE_X40Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y40 CLASS site SITEPROP SLICE_X40Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y40 IS_BONDED 0 SITEPROP SLICE_X40Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y40 IS_PAD 0 SITEPROP SLICE_X40Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y40 IS_RESERVED 0 SITEPROP SLICE_X40Y40 IS_TEST 0 SITEPROP SLICE_X40Y40 IS_USED 0 SITEPROP SLICE_X40Y40 MANUAL_ROUTING SITEPROP SLICE_X40Y40 NAME SLICE_X40Y40 SITEPROP SLICE_X40Y40 NUM_ARCS 138 SITEPROP SLICE_X40Y40 NUM_BELS 32 SITEPROP SLICE_X40Y40 NUM_INPUTS 32 SITEPROP SLICE_X40Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y40 NUM_PINS 45 SITEPROP SLICE_X40Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y40 PROHIBIT 0 SITEPROP SLICE_X40Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y40 RPM_X 109 SITEPROP SLICE_X40Y40 RPM_Y 80 SITEPROP SLICE_X40Y40 SITE_PIPS SITEPROP SLICE_X40Y40 SITE_TYPE SLICEL SITEPROP SLICE_X40Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y41 CLASS site SITEPROP SLICE_X40Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y41 IS_BONDED 0 SITEPROP SLICE_X40Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y41 IS_PAD 0 SITEPROP SLICE_X40Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y41 IS_RESERVED 0 SITEPROP SLICE_X40Y41 IS_TEST 0 SITEPROP SLICE_X40Y41 IS_USED 0 SITEPROP SLICE_X40Y41 MANUAL_ROUTING SITEPROP SLICE_X40Y41 NAME SLICE_X40Y41 SITEPROP SLICE_X40Y41 NUM_ARCS 138 SITEPROP SLICE_X40Y41 NUM_BELS 32 SITEPROP SLICE_X40Y41 NUM_INPUTS 32 SITEPROP SLICE_X40Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y41 NUM_PINS 45 SITEPROP SLICE_X40Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y41 PROHIBIT 0 SITEPROP SLICE_X40Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y41 RPM_X 109 SITEPROP SLICE_X40Y41 RPM_Y 82 SITEPROP SLICE_X40Y41 SITE_PIPS SITEPROP SLICE_X40Y41 SITE_TYPE SLICEL SITEPROP SLICE_X40Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y42 CLASS site SITEPROP SLICE_X40Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y42 IS_BONDED 0 SITEPROP SLICE_X40Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y42 IS_PAD 0 SITEPROP SLICE_X40Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y42 IS_RESERVED 0 SITEPROP SLICE_X40Y42 IS_TEST 0 SITEPROP SLICE_X40Y42 IS_USED 0 SITEPROP SLICE_X40Y42 MANUAL_ROUTING SITEPROP SLICE_X40Y42 NAME SLICE_X40Y42 SITEPROP SLICE_X40Y42 NUM_ARCS 138 SITEPROP SLICE_X40Y42 NUM_BELS 32 SITEPROP SLICE_X40Y42 NUM_INPUTS 32 SITEPROP SLICE_X40Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y42 NUM_PINS 45 SITEPROP SLICE_X40Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y42 PROHIBIT 0 SITEPROP SLICE_X40Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y42 RPM_X 109 SITEPROP SLICE_X40Y42 RPM_Y 84 SITEPROP SLICE_X40Y42 SITE_PIPS SITEPROP SLICE_X40Y42 SITE_TYPE SLICEL SITEPROP SLICE_X40Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y43 CLASS site SITEPROP SLICE_X40Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y43 IS_BONDED 0 SITEPROP SLICE_X40Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y43 IS_PAD 0 SITEPROP SLICE_X40Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y43 IS_RESERVED 0 SITEPROP SLICE_X40Y43 IS_TEST 0 SITEPROP SLICE_X40Y43 IS_USED 0 SITEPROP SLICE_X40Y43 MANUAL_ROUTING SITEPROP SLICE_X40Y43 NAME SLICE_X40Y43 SITEPROP SLICE_X40Y43 NUM_ARCS 138 SITEPROP SLICE_X40Y43 NUM_BELS 32 SITEPROP SLICE_X40Y43 NUM_INPUTS 32 SITEPROP SLICE_X40Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y43 NUM_PINS 45 SITEPROP SLICE_X40Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y43 PROHIBIT 0 SITEPROP SLICE_X40Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y43 RPM_X 109 SITEPROP SLICE_X40Y43 RPM_Y 86 SITEPROP SLICE_X40Y43 SITE_PIPS SITEPROP SLICE_X40Y43 SITE_TYPE SLICEL SITEPROP SLICE_X40Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y44 CLASS site SITEPROP SLICE_X40Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y44 IS_BONDED 0 SITEPROP SLICE_X40Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y44 IS_PAD 0 SITEPROP SLICE_X40Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y44 IS_RESERVED 0 SITEPROP SLICE_X40Y44 IS_TEST 0 SITEPROP SLICE_X40Y44 IS_USED 0 SITEPROP SLICE_X40Y44 MANUAL_ROUTING SITEPROP SLICE_X40Y44 NAME SLICE_X40Y44 SITEPROP SLICE_X40Y44 NUM_ARCS 138 SITEPROP SLICE_X40Y44 NUM_BELS 32 SITEPROP SLICE_X40Y44 NUM_INPUTS 32 SITEPROP SLICE_X40Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y44 NUM_PINS 45 SITEPROP SLICE_X40Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y44 PROHIBIT 0 SITEPROP SLICE_X40Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y44 RPM_X 109 SITEPROP SLICE_X40Y44 RPM_Y 88 SITEPROP SLICE_X40Y44 SITE_PIPS SITEPROP SLICE_X40Y44 SITE_TYPE SLICEL SITEPROP SLICE_X40Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y45 CLASS site SITEPROP SLICE_X40Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y45 IS_BONDED 0 SITEPROP SLICE_X40Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y45 IS_PAD 0 SITEPROP SLICE_X40Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y45 IS_RESERVED 0 SITEPROP SLICE_X40Y45 IS_TEST 0 SITEPROP SLICE_X40Y45 IS_USED 0 SITEPROP SLICE_X40Y45 MANUAL_ROUTING SITEPROP SLICE_X40Y45 NAME SLICE_X40Y45 SITEPROP SLICE_X40Y45 NUM_ARCS 138 SITEPROP SLICE_X40Y45 NUM_BELS 32 SITEPROP SLICE_X40Y45 NUM_INPUTS 32 SITEPROP SLICE_X40Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y45 NUM_PINS 45 SITEPROP SLICE_X40Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y45 PROHIBIT 0 SITEPROP SLICE_X40Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y45 RPM_X 109 SITEPROP SLICE_X40Y45 RPM_Y 90 SITEPROP SLICE_X40Y45 SITE_PIPS SITEPROP SLICE_X40Y45 SITE_TYPE SLICEL SITEPROP SLICE_X40Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y46 CLASS site SITEPROP SLICE_X40Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y46 IS_BONDED 0 SITEPROP SLICE_X40Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y46 IS_PAD 0 SITEPROP SLICE_X40Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y46 IS_RESERVED 0 SITEPROP SLICE_X40Y46 IS_TEST 0 SITEPROP SLICE_X40Y46 IS_USED 0 SITEPROP SLICE_X40Y46 MANUAL_ROUTING SITEPROP SLICE_X40Y46 NAME SLICE_X40Y46 SITEPROP SLICE_X40Y46 NUM_ARCS 138 SITEPROP SLICE_X40Y46 NUM_BELS 32 SITEPROP SLICE_X40Y46 NUM_INPUTS 32 SITEPROP SLICE_X40Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y46 NUM_PINS 45 SITEPROP SLICE_X40Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y46 PROHIBIT 0 SITEPROP SLICE_X40Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y46 RPM_X 109 SITEPROP SLICE_X40Y46 RPM_Y 92 SITEPROP SLICE_X40Y46 SITE_PIPS SITEPROP SLICE_X40Y46 SITE_TYPE SLICEL SITEPROP SLICE_X40Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y47 CLASS site SITEPROP SLICE_X40Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y47 IS_BONDED 0 SITEPROP SLICE_X40Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y47 IS_PAD 0 SITEPROP SLICE_X40Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y47 IS_RESERVED 0 SITEPROP SLICE_X40Y47 IS_TEST 0 SITEPROP SLICE_X40Y47 IS_USED 0 SITEPROP SLICE_X40Y47 MANUAL_ROUTING SITEPROP SLICE_X40Y47 NAME SLICE_X40Y47 SITEPROP SLICE_X40Y47 NUM_ARCS 138 SITEPROP SLICE_X40Y47 NUM_BELS 32 SITEPROP SLICE_X40Y47 NUM_INPUTS 32 SITEPROP SLICE_X40Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y47 NUM_PINS 45 SITEPROP SLICE_X40Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y47 PROHIBIT 0 SITEPROP SLICE_X40Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y47 RPM_X 109 SITEPROP SLICE_X40Y47 RPM_Y 94 SITEPROP SLICE_X40Y47 SITE_PIPS SITEPROP SLICE_X40Y47 SITE_TYPE SLICEL SITEPROP SLICE_X40Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y48 CLASS site SITEPROP SLICE_X40Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y48 IS_BONDED 0 SITEPROP SLICE_X40Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y48 IS_PAD 0 SITEPROP SLICE_X40Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y48 IS_RESERVED 0 SITEPROP SLICE_X40Y48 IS_TEST 0 SITEPROP SLICE_X40Y48 IS_USED 0 SITEPROP SLICE_X40Y48 MANUAL_ROUTING SITEPROP SLICE_X40Y48 NAME SLICE_X40Y48 SITEPROP SLICE_X40Y48 NUM_ARCS 138 SITEPROP SLICE_X40Y48 NUM_BELS 32 SITEPROP SLICE_X40Y48 NUM_INPUTS 32 SITEPROP SLICE_X40Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y48 NUM_PINS 45 SITEPROP SLICE_X40Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y48 PROHIBIT 0 SITEPROP SLICE_X40Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y48 RPM_X 109 SITEPROP SLICE_X40Y48 RPM_Y 96 SITEPROP SLICE_X40Y48 SITE_PIPS SITEPROP SLICE_X40Y48 SITE_TYPE SLICEL SITEPROP SLICE_X40Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y49 CLASS site SITEPROP SLICE_X40Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X40Y49 IS_BONDED 0 SITEPROP SLICE_X40Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y49 IS_PAD 0 SITEPROP SLICE_X40Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y49 IS_RESERVED 0 SITEPROP SLICE_X40Y49 IS_TEST 0 SITEPROP SLICE_X40Y49 IS_USED 0 SITEPROP SLICE_X40Y49 MANUAL_ROUTING SITEPROP SLICE_X40Y49 NAME SLICE_X40Y49 SITEPROP SLICE_X40Y49 NUM_ARCS 138 SITEPROP SLICE_X40Y49 NUM_BELS 32 SITEPROP SLICE_X40Y49 NUM_INPUTS 32 SITEPROP SLICE_X40Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y49 NUM_PINS 45 SITEPROP SLICE_X40Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y49 PROHIBIT 0 SITEPROP SLICE_X40Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y49 RPM_X 109 SITEPROP SLICE_X40Y49 RPM_Y 98 SITEPROP SLICE_X40Y49 SITE_PIPS SITEPROP SLICE_X40Y49 SITE_TYPE SLICEL SITEPROP SLICE_X40Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y50 CLASS site SITEPROP SLICE_X40Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y50 IS_BONDED 0 SITEPROP SLICE_X40Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y50 IS_PAD 0 SITEPROP SLICE_X40Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y50 IS_RESERVED 0 SITEPROP SLICE_X40Y50 IS_TEST 0 SITEPROP SLICE_X40Y50 IS_USED 0 SITEPROP SLICE_X40Y50 MANUAL_ROUTING SITEPROP SLICE_X40Y50 NAME SLICE_X40Y50 SITEPROP SLICE_X40Y50 NUM_ARCS 138 SITEPROP SLICE_X40Y50 NUM_BELS 32 SITEPROP SLICE_X40Y50 NUM_INPUTS 32 SITEPROP SLICE_X40Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y50 NUM_PINS 45 SITEPROP SLICE_X40Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y50 PROHIBIT 0 SITEPROP SLICE_X40Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y50 RPM_X 109 SITEPROP SLICE_X40Y50 RPM_Y 100 SITEPROP SLICE_X40Y50 SITE_PIPS SITEPROP SLICE_X40Y50 SITE_TYPE SLICEL SITEPROP SLICE_X40Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y51 CLASS site SITEPROP SLICE_X40Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y51 IS_BONDED 0 SITEPROP SLICE_X40Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y51 IS_PAD 0 SITEPROP SLICE_X40Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y51 IS_RESERVED 0 SITEPROP SLICE_X40Y51 IS_TEST 0 SITEPROP SLICE_X40Y51 IS_USED 0 SITEPROP SLICE_X40Y51 MANUAL_ROUTING SITEPROP SLICE_X40Y51 NAME SLICE_X40Y51 SITEPROP SLICE_X40Y51 NUM_ARCS 138 SITEPROP SLICE_X40Y51 NUM_BELS 32 SITEPROP SLICE_X40Y51 NUM_INPUTS 32 SITEPROP SLICE_X40Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y51 NUM_PINS 45 SITEPROP SLICE_X40Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y51 PROHIBIT 0 SITEPROP SLICE_X40Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y51 RPM_X 109 SITEPROP SLICE_X40Y51 RPM_Y 102 SITEPROP SLICE_X40Y51 SITE_PIPS SITEPROP SLICE_X40Y51 SITE_TYPE SLICEL SITEPROP SLICE_X40Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y52 CLASS site SITEPROP SLICE_X40Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y52 IS_BONDED 0 SITEPROP SLICE_X40Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y52 IS_PAD 0 SITEPROP SLICE_X40Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y52 IS_RESERVED 0 SITEPROP SLICE_X40Y52 IS_TEST 0 SITEPROP SLICE_X40Y52 IS_USED 0 SITEPROP SLICE_X40Y52 MANUAL_ROUTING SITEPROP SLICE_X40Y52 NAME SLICE_X40Y52 SITEPROP SLICE_X40Y52 NUM_ARCS 138 SITEPROP SLICE_X40Y52 NUM_BELS 32 SITEPROP SLICE_X40Y52 NUM_INPUTS 32 SITEPROP SLICE_X40Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y52 NUM_PINS 45 SITEPROP SLICE_X40Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y52 PROHIBIT 0 SITEPROP SLICE_X40Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y52 RPM_X 109 SITEPROP SLICE_X40Y52 RPM_Y 104 SITEPROP SLICE_X40Y52 SITE_PIPS SITEPROP SLICE_X40Y52 SITE_TYPE SLICEL SITEPROP SLICE_X40Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y53 CLASS site SITEPROP SLICE_X40Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y53 IS_BONDED 0 SITEPROP SLICE_X40Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y53 IS_PAD 0 SITEPROP SLICE_X40Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y53 IS_RESERVED 0 SITEPROP SLICE_X40Y53 IS_TEST 0 SITEPROP SLICE_X40Y53 IS_USED 0 SITEPROP SLICE_X40Y53 MANUAL_ROUTING SITEPROP SLICE_X40Y53 NAME SLICE_X40Y53 SITEPROP SLICE_X40Y53 NUM_ARCS 138 SITEPROP SLICE_X40Y53 NUM_BELS 32 SITEPROP SLICE_X40Y53 NUM_INPUTS 32 SITEPROP SLICE_X40Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y53 NUM_PINS 45 SITEPROP SLICE_X40Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y53 PROHIBIT 0 SITEPROP SLICE_X40Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y53 RPM_X 109 SITEPROP SLICE_X40Y53 RPM_Y 106 SITEPROP SLICE_X40Y53 SITE_PIPS SITEPROP SLICE_X40Y53 SITE_TYPE SLICEL SITEPROP SLICE_X40Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y54 CLASS site SITEPROP SLICE_X40Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y54 IS_BONDED 0 SITEPROP SLICE_X40Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y54 IS_PAD 0 SITEPROP SLICE_X40Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y54 IS_RESERVED 0 SITEPROP SLICE_X40Y54 IS_TEST 0 SITEPROP SLICE_X40Y54 IS_USED 0 SITEPROP SLICE_X40Y54 MANUAL_ROUTING SITEPROP SLICE_X40Y54 NAME SLICE_X40Y54 SITEPROP SLICE_X40Y54 NUM_ARCS 138 SITEPROP SLICE_X40Y54 NUM_BELS 32 SITEPROP SLICE_X40Y54 NUM_INPUTS 32 SITEPROP SLICE_X40Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y54 NUM_PINS 45 SITEPROP SLICE_X40Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y54 PROHIBIT 0 SITEPROP SLICE_X40Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y54 RPM_X 109 SITEPROP SLICE_X40Y54 RPM_Y 108 SITEPROP SLICE_X40Y54 SITE_PIPS SITEPROP SLICE_X40Y54 SITE_TYPE SLICEL SITEPROP SLICE_X40Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y55 CLASS site SITEPROP SLICE_X40Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y55 IS_BONDED 0 SITEPROP SLICE_X40Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y55 IS_PAD 0 SITEPROP SLICE_X40Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y55 IS_RESERVED 0 SITEPROP SLICE_X40Y55 IS_TEST 0 SITEPROP SLICE_X40Y55 IS_USED 0 SITEPROP SLICE_X40Y55 MANUAL_ROUTING SITEPROP SLICE_X40Y55 NAME SLICE_X40Y55 SITEPROP SLICE_X40Y55 NUM_ARCS 138 SITEPROP SLICE_X40Y55 NUM_BELS 32 SITEPROP SLICE_X40Y55 NUM_INPUTS 32 SITEPROP SLICE_X40Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y55 NUM_PINS 45 SITEPROP SLICE_X40Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y55 PROHIBIT 0 SITEPROP SLICE_X40Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y55 RPM_X 109 SITEPROP SLICE_X40Y55 RPM_Y 110 SITEPROP SLICE_X40Y55 SITE_PIPS SITEPROP SLICE_X40Y55 SITE_TYPE SLICEL SITEPROP SLICE_X40Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y56 CLASS site SITEPROP SLICE_X40Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y56 IS_BONDED 0 SITEPROP SLICE_X40Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y56 IS_PAD 0 SITEPROP SLICE_X40Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y56 IS_RESERVED 0 SITEPROP SLICE_X40Y56 IS_TEST 0 SITEPROP SLICE_X40Y56 IS_USED 0 SITEPROP SLICE_X40Y56 MANUAL_ROUTING SITEPROP SLICE_X40Y56 NAME SLICE_X40Y56 SITEPROP SLICE_X40Y56 NUM_ARCS 138 SITEPROP SLICE_X40Y56 NUM_BELS 32 SITEPROP SLICE_X40Y56 NUM_INPUTS 32 SITEPROP SLICE_X40Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y56 NUM_PINS 45 SITEPROP SLICE_X40Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y56 PROHIBIT 0 SITEPROP SLICE_X40Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y56 RPM_X 109 SITEPROP SLICE_X40Y56 RPM_Y 112 SITEPROP SLICE_X40Y56 SITE_PIPS SITEPROP SLICE_X40Y56 SITE_TYPE SLICEL SITEPROP SLICE_X40Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y57 CLASS site SITEPROP SLICE_X40Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y57 IS_BONDED 0 SITEPROP SLICE_X40Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y57 IS_PAD 0 SITEPROP SLICE_X40Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y57 IS_RESERVED 0 SITEPROP SLICE_X40Y57 IS_TEST 0 SITEPROP SLICE_X40Y57 IS_USED 0 SITEPROP SLICE_X40Y57 MANUAL_ROUTING SITEPROP SLICE_X40Y57 NAME SLICE_X40Y57 SITEPROP SLICE_X40Y57 NUM_ARCS 138 SITEPROP SLICE_X40Y57 NUM_BELS 32 SITEPROP SLICE_X40Y57 NUM_INPUTS 32 SITEPROP SLICE_X40Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y57 NUM_PINS 45 SITEPROP SLICE_X40Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y57 PROHIBIT 0 SITEPROP SLICE_X40Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y57 RPM_X 109 SITEPROP SLICE_X40Y57 RPM_Y 114 SITEPROP SLICE_X40Y57 SITE_PIPS SITEPROP SLICE_X40Y57 SITE_TYPE SLICEL SITEPROP SLICE_X40Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y58 CLASS site SITEPROP SLICE_X40Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y58 IS_BONDED 0 SITEPROP SLICE_X40Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y58 IS_PAD 0 SITEPROP SLICE_X40Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y58 IS_RESERVED 0 SITEPROP SLICE_X40Y58 IS_TEST 0 SITEPROP SLICE_X40Y58 IS_USED 0 SITEPROP SLICE_X40Y58 MANUAL_ROUTING SITEPROP SLICE_X40Y58 NAME SLICE_X40Y58 SITEPROP SLICE_X40Y58 NUM_ARCS 138 SITEPROP SLICE_X40Y58 NUM_BELS 32 SITEPROP SLICE_X40Y58 NUM_INPUTS 32 SITEPROP SLICE_X40Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y58 NUM_PINS 45 SITEPROP SLICE_X40Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y58 PROHIBIT 0 SITEPROP SLICE_X40Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y58 RPM_X 109 SITEPROP SLICE_X40Y58 RPM_Y 116 SITEPROP SLICE_X40Y58 SITE_PIPS SITEPROP SLICE_X40Y58 SITE_TYPE SLICEL SITEPROP SLICE_X40Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y59 CLASS site SITEPROP SLICE_X40Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y59 IS_BONDED 0 SITEPROP SLICE_X40Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y59 IS_PAD 0 SITEPROP SLICE_X40Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y59 IS_RESERVED 0 SITEPROP SLICE_X40Y59 IS_TEST 0 SITEPROP SLICE_X40Y59 IS_USED 0 SITEPROP SLICE_X40Y59 MANUAL_ROUTING SITEPROP SLICE_X40Y59 NAME SLICE_X40Y59 SITEPROP SLICE_X40Y59 NUM_ARCS 138 SITEPROP SLICE_X40Y59 NUM_BELS 32 SITEPROP SLICE_X40Y59 NUM_INPUTS 32 SITEPROP SLICE_X40Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y59 NUM_PINS 45 SITEPROP SLICE_X40Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y59 PROHIBIT 0 SITEPROP SLICE_X40Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y59 RPM_X 109 SITEPROP SLICE_X40Y59 RPM_Y 118 SITEPROP SLICE_X40Y59 SITE_PIPS SITEPROP SLICE_X40Y59 SITE_TYPE SLICEL SITEPROP SLICE_X40Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y60 CLASS site SITEPROP SLICE_X40Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y60 IS_BONDED 0 SITEPROP SLICE_X40Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y60 IS_PAD 0 SITEPROP SLICE_X40Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y60 IS_RESERVED 0 SITEPROP SLICE_X40Y60 IS_TEST 0 SITEPROP SLICE_X40Y60 IS_USED 0 SITEPROP SLICE_X40Y60 MANUAL_ROUTING SITEPROP SLICE_X40Y60 NAME SLICE_X40Y60 SITEPROP SLICE_X40Y60 NUM_ARCS 138 SITEPROP SLICE_X40Y60 NUM_BELS 32 SITEPROP SLICE_X40Y60 NUM_INPUTS 32 SITEPROP SLICE_X40Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y60 NUM_PINS 45 SITEPROP SLICE_X40Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y60 PROHIBIT 0 SITEPROP SLICE_X40Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y60 RPM_X 109 SITEPROP SLICE_X40Y60 RPM_Y 120 SITEPROP SLICE_X40Y60 SITE_PIPS SITEPROP SLICE_X40Y60 SITE_TYPE SLICEL SITEPROP SLICE_X40Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y61 CLASS site SITEPROP SLICE_X40Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y61 IS_BONDED 0 SITEPROP SLICE_X40Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y61 IS_PAD 0 SITEPROP SLICE_X40Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y61 IS_RESERVED 0 SITEPROP SLICE_X40Y61 IS_TEST 0 SITEPROP SLICE_X40Y61 IS_USED 0 SITEPROP SLICE_X40Y61 MANUAL_ROUTING SITEPROP SLICE_X40Y61 NAME SLICE_X40Y61 SITEPROP SLICE_X40Y61 NUM_ARCS 138 SITEPROP SLICE_X40Y61 NUM_BELS 32 SITEPROP SLICE_X40Y61 NUM_INPUTS 32 SITEPROP SLICE_X40Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y61 NUM_PINS 45 SITEPROP SLICE_X40Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y61 PROHIBIT 0 SITEPROP SLICE_X40Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y61 RPM_X 109 SITEPROP SLICE_X40Y61 RPM_Y 122 SITEPROP SLICE_X40Y61 SITE_PIPS SITEPROP SLICE_X40Y61 SITE_TYPE SLICEL SITEPROP SLICE_X40Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y62 CLASS site SITEPROP SLICE_X40Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y62 IS_BONDED 0 SITEPROP SLICE_X40Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y62 IS_PAD 0 SITEPROP SLICE_X40Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y62 IS_RESERVED 0 SITEPROP SLICE_X40Y62 IS_TEST 0 SITEPROP SLICE_X40Y62 IS_USED 0 SITEPROP SLICE_X40Y62 MANUAL_ROUTING SITEPROP SLICE_X40Y62 NAME SLICE_X40Y62 SITEPROP SLICE_X40Y62 NUM_ARCS 138 SITEPROP SLICE_X40Y62 NUM_BELS 32 SITEPROP SLICE_X40Y62 NUM_INPUTS 32 SITEPROP SLICE_X40Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y62 NUM_PINS 45 SITEPROP SLICE_X40Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y62 PROHIBIT 0 SITEPROP SLICE_X40Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y62 RPM_X 109 SITEPROP SLICE_X40Y62 RPM_Y 124 SITEPROP SLICE_X40Y62 SITE_PIPS SITEPROP SLICE_X40Y62 SITE_TYPE SLICEL SITEPROP SLICE_X40Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y63 CLASS site SITEPROP SLICE_X40Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y63 IS_BONDED 0 SITEPROP SLICE_X40Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y63 IS_PAD 0 SITEPROP SLICE_X40Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y63 IS_RESERVED 0 SITEPROP SLICE_X40Y63 IS_TEST 0 SITEPROP SLICE_X40Y63 IS_USED 0 SITEPROP SLICE_X40Y63 MANUAL_ROUTING SITEPROP SLICE_X40Y63 NAME SLICE_X40Y63 SITEPROP SLICE_X40Y63 NUM_ARCS 138 SITEPROP SLICE_X40Y63 NUM_BELS 32 SITEPROP SLICE_X40Y63 NUM_INPUTS 32 SITEPROP SLICE_X40Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y63 NUM_PINS 45 SITEPROP SLICE_X40Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y63 PROHIBIT 0 SITEPROP SLICE_X40Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y63 RPM_X 109 SITEPROP SLICE_X40Y63 RPM_Y 126 SITEPROP SLICE_X40Y63 SITE_PIPS SITEPROP SLICE_X40Y63 SITE_TYPE SLICEL SITEPROP SLICE_X40Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y64 CLASS site SITEPROP SLICE_X40Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y64 IS_BONDED 0 SITEPROP SLICE_X40Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y64 IS_PAD 0 SITEPROP SLICE_X40Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y64 IS_RESERVED 0 SITEPROP SLICE_X40Y64 IS_TEST 0 SITEPROP SLICE_X40Y64 IS_USED 0 SITEPROP SLICE_X40Y64 MANUAL_ROUTING SITEPROP SLICE_X40Y64 NAME SLICE_X40Y64 SITEPROP SLICE_X40Y64 NUM_ARCS 138 SITEPROP SLICE_X40Y64 NUM_BELS 32 SITEPROP SLICE_X40Y64 NUM_INPUTS 32 SITEPROP SLICE_X40Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y64 NUM_PINS 45 SITEPROP SLICE_X40Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y64 PROHIBIT 0 SITEPROP SLICE_X40Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y64 RPM_X 109 SITEPROP SLICE_X40Y64 RPM_Y 128 SITEPROP SLICE_X40Y64 SITE_PIPS SITEPROP SLICE_X40Y64 SITE_TYPE SLICEL SITEPROP SLICE_X40Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y65 CLASS site SITEPROP SLICE_X40Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y65 IS_BONDED 0 SITEPROP SLICE_X40Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y65 IS_PAD 0 SITEPROP SLICE_X40Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y65 IS_RESERVED 0 SITEPROP SLICE_X40Y65 IS_TEST 0 SITEPROP SLICE_X40Y65 IS_USED 0 SITEPROP SLICE_X40Y65 MANUAL_ROUTING SITEPROP SLICE_X40Y65 NAME SLICE_X40Y65 SITEPROP SLICE_X40Y65 NUM_ARCS 138 SITEPROP SLICE_X40Y65 NUM_BELS 32 SITEPROP SLICE_X40Y65 NUM_INPUTS 32 SITEPROP SLICE_X40Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y65 NUM_PINS 45 SITEPROP SLICE_X40Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y65 PROHIBIT 0 SITEPROP SLICE_X40Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y65 RPM_X 109 SITEPROP SLICE_X40Y65 RPM_Y 130 SITEPROP SLICE_X40Y65 SITE_PIPS SITEPROP SLICE_X40Y65 SITE_TYPE SLICEL SITEPROP SLICE_X40Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y66 CLASS site SITEPROP SLICE_X40Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y66 IS_BONDED 0 SITEPROP SLICE_X40Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y66 IS_PAD 0 SITEPROP SLICE_X40Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y66 IS_RESERVED 0 SITEPROP SLICE_X40Y66 IS_TEST 0 SITEPROP SLICE_X40Y66 IS_USED 0 SITEPROP SLICE_X40Y66 MANUAL_ROUTING SITEPROP SLICE_X40Y66 NAME SLICE_X40Y66 SITEPROP SLICE_X40Y66 NUM_ARCS 138 SITEPROP SLICE_X40Y66 NUM_BELS 32 SITEPROP SLICE_X40Y66 NUM_INPUTS 32 SITEPROP SLICE_X40Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y66 NUM_PINS 45 SITEPROP SLICE_X40Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y66 PROHIBIT 0 SITEPROP SLICE_X40Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y66 RPM_X 109 SITEPROP SLICE_X40Y66 RPM_Y 132 SITEPROP SLICE_X40Y66 SITE_PIPS SITEPROP SLICE_X40Y66 SITE_TYPE SLICEL SITEPROP SLICE_X40Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y67 CLASS site SITEPROP SLICE_X40Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y67 IS_BONDED 0 SITEPROP SLICE_X40Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y67 IS_PAD 0 SITEPROP SLICE_X40Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y67 IS_RESERVED 0 SITEPROP SLICE_X40Y67 IS_TEST 0 SITEPROP SLICE_X40Y67 IS_USED 0 SITEPROP SLICE_X40Y67 MANUAL_ROUTING SITEPROP SLICE_X40Y67 NAME SLICE_X40Y67 SITEPROP SLICE_X40Y67 NUM_ARCS 138 SITEPROP SLICE_X40Y67 NUM_BELS 32 SITEPROP SLICE_X40Y67 NUM_INPUTS 32 SITEPROP SLICE_X40Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y67 NUM_PINS 45 SITEPROP SLICE_X40Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y67 PROHIBIT 0 SITEPROP SLICE_X40Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y67 RPM_X 109 SITEPROP SLICE_X40Y67 RPM_Y 134 SITEPROP SLICE_X40Y67 SITE_PIPS SITEPROP SLICE_X40Y67 SITE_TYPE SLICEL SITEPROP SLICE_X40Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y68 CLASS site SITEPROP SLICE_X40Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y68 IS_BONDED 0 SITEPROP SLICE_X40Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y68 IS_PAD 0 SITEPROP SLICE_X40Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y68 IS_RESERVED 0 SITEPROP SLICE_X40Y68 IS_TEST 0 SITEPROP SLICE_X40Y68 IS_USED 0 SITEPROP SLICE_X40Y68 MANUAL_ROUTING SITEPROP SLICE_X40Y68 NAME SLICE_X40Y68 SITEPROP SLICE_X40Y68 NUM_ARCS 138 SITEPROP SLICE_X40Y68 NUM_BELS 32 SITEPROP SLICE_X40Y68 NUM_INPUTS 32 SITEPROP SLICE_X40Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y68 NUM_PINS 45 SITEPROP SLICE_X40Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y68 PROHIBIT 0 SITEPROP SLICE_X40Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y68 RPM_X 109 SITEPROP SLICE_X40Y68 RPM_Y 136 SITEPROP SLICE_X40Y68 SITE_PIPS SITEPROP SLICE_X40Y68 SITE_TYPE SLICEL SITEPROP SLICE_X40Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y69 CLASS site SITEPROP SLICE_X40Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y69 IS_BONDED 0 SITEPROP SLICE_X40Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y69 IS_PAD 0 SITEPROP SLICE_X40Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y69 IS_RESERVED 0 SITEPROP SLICE_X40Y69 IS_TEST 0 SITEPROP SLICE_X40Y69 IS_USED 0 SITEPROP SLICE_X40Y69 MANUAL_ROUTING SITEPROP SLICE_X40Y69 NAME SLICE_X40Y69 SITEPROP SLICE_X40Y69 NUM_ARCS 138 SITEPROP SLICE_X40Y69 NUM_BELS 32 SITEPROP SLICE_X40Y69 NUM_INPUTS 32 SITEPROP SLICE_X40Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y69 NUM_PINS 45 SITEPROP SLICE_X40Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y69 PROHIBIT 0 SITEPROP SLICE_X40Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y69 RPM_X 109 SITEPROP SLICE_X40Y69 RPM_Y 138 SITEPROP SLICE_X40Y69 SITE_PIPS SITEPROP SLICE_X40Y69 SITE_TYPE SLICEL SITEPROP SLICE_X40Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y70 CLASS site SITEPROP SLICE_X40Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y70 IS_BONDED 0 SITEPROP SLICE_X40Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y70 IS_PAD 0 SITEPROP SLICE_X40Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y70 IS_RESERVED 0 SITEPROP SLICE_X40Y70 IS_TEST 0 SITEPROP SLICE_X40Y70 IS_USED 0 SITEPROP SLICE_X40Y70 MANUAL_ROUTING SITEPROP SLICE_X40Y70 NAME SLICE_X40Y70 SITEPROP SLICE_X40Y70 NUM_ARCS 138 SITEPROP SLICE_X40Y70 NUM_BELS 32 SITEPROP SLICE_X40Y70 NUM_INPUTS 32 SITEPROP SLICE_X40Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y70 NUM_PINS 45 SITEPROP SLICE_X40Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y70 PROHIBIT 0 SITEPROP SLICE_X40Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y70 RPM_X 109 SITEPROP SLICE_X40Y70 RPM_Y 140 SITEPROP SLICE_X40Y70 SITE_PIPS SITEPROP SLICE_X40Y70 SITE_TYPE SLICEL SITEPROP SLICE_X40Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y71 CLASS site SITEPROP SLICE_X40Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y71 IS_BONDED 0 SITEPROP SLICE_X40Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y71 IS_PAD 0 SITEPROP SLICE_X40Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y71 IS_RESERVED 0 SITEPROP SLICE_X40Y71 IS_TEST 0 SITEPROP SLICE_X40Y71 IS_USED 0 SITEPROP SLICE_X40Y71 MANUAL_ROUTING SITEPROP SLICE_X40Y71 NAME SLICE_X40Y71 SITEPROP SLICE_X40Y71 NUM_ARCS 138 SITEPROP SLICE_X40Y71 NUM_BELS 32 SITEPROP SLICE_X40Y71 NUM_INPUTS 32 SITEPROP SLICE_X40Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y71 NUM_PINS 45 SITEPROP SLICE_X40Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y71 PROHIBIT 0 SITEPROP SLICE_X40Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y71 RPM_X 109 SITEPROP SLICE_X40Y71 RPM_Y 142 SITEPROP SLICE_X40Y71 SITE_PIPS SITEPROP SLICE_X40Y71 SITE_TYPE SLICEL SITEPROP SLICE_X40Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y72 CLASS site SITEPROP SLICE_X40Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y72 IS_BONDED 0 SITEPROP SLICE_X40Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y72 IS_PAD 0 SITEPROP SLICE_X40Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y72 IS_RESERVED 0 SITEPROP SLICE_X40Y72 IS_TEST 0 SITEPROP SLICE_X40Y72 IS_USED 0 SITEPROP SLICE_X40Y72 MANUAL_ROUTING SITEPROP SLICE_X40Y72 NAME SLICE_X40Y72 SITEPROP SLICE_X40Y72 NUM_ARCS 138 SITEPROP SLICE_X40Y72 NUM_BELS 32 SITEPROP SLICE_X40Y72 NUM_INPUTS 32 SITEPROP SLICE_X40Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y72 NUM_PINS 45 SITEPROP SLICE_X40Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y72 PROHIBIT 0 SITEPROP SLICE_X40Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y72 RPM_X 109 SITEPROP SLICE_X40Y72 RPM_Y 144 SITEPROP SLICE_X40Y72 SITE_PIPS SITEPROP SLICE_X40Y72 SITE_TYPE SLICEL SITEPROP SLICE_X40Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y73 CLASS site SITEPROP SLICE_X40Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y73 IS_BONDED 0 SITEPROP SLICE_X40Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y73 IS_PAD 0 SITEPROP SLICE_X40Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y73 IS_RESERVED 0 SITEPROP SLICE_X40Y73 IS_TEST 0 SITEPROP SLICE_X40Y73 IS_USED 0 SITEPROP SLICE_X40Y73 MANUAL_ROUTING SITEPROP SLICE_X40Y73 NAME SLICE_X40Y73 SITEPROP SLICE_X40Y73 NUM_ARCS 138 SITEPROP SLICE_X40Y73 NUM_BELS 32 SITEPROP SLICE_X40Y73 NUM_INPUTS 32 SITEPROP SLICE_X40Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y73 NUM_PINS 45 SITEPROP SLICE_X40Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y73 PROHIBIT 0 SITEPROP SLICE_X40Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y73 RPM_X 109 SITEPROP SLICE_X40Y73 RPM_Y 146 SITEPROP SLICE_X40Y73 SITE_PIPS SITEPROP SLICE_X40Y73 SITE_TYPE SLICEL SITEPROP SLICE_X40Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y74 CLASS site SITEPROP SLICE_X40Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y74 IS_BONDED 0 SITEPROP SLICE_X40Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y74 IS_PAD 0 SITEPROP SLICE_X40Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y74 IS_RESERVED 0 SITEPROP SLICE_X40Y74 IS_TEST 0 SITEPROP SLICE_X40Y74 IS_USED 0 SITEPROP SLICE_X40Y74 MANUAL_ROUTING SITEPROP SLICE_X40Y74 NAME SLICE_X40Y74 SITEPROP SLICE_X40Y74 NUM_ARCS 138 SITEPROP SLICE_X40Y74 NUM_BELS 32 SITEPROP SLICE_X40Y74 NUM_INPUTS 32 SITEPROP SLICE_X40Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y74 NUM_PINS 45 SITEPROP SLICE_X40Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y74 PROHIBIT 0 SITEPROP SLICE_X40Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y74 RPM_X 109 SITEPROP SLICE_X40Y74 RPM_Y 148 SITEPROP SLICE_X40Y74 SITE_PIPS SITEPROP SLICE_X40Y74 SITE_TYPE SLICEL SITEPROP SLICE_X40Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y75 CLASS site SITEPROP SLICE_X40Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y75 IS_BONDED 0 SITEPROP SLICE_X40Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y75 IS_PAD 0 SITEPROP SLICE_X40Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y75 IS_RESERVED 0 SITEPROP SLICE_X40Y75 IS_TEST 0 SITEPROP SLICE_X40Y75 IS_USED 0 SITEPROP SLICE_X40Y75 MANUAL_ROUTING SITEPROP SLICE_X40Y75 NAME SLICE_X40Y75 SITEPROP SLICE_X40Y75 NUM_ARCS 138 SITEPROP SLICE_X40Y75 NUM_BELS 32 SITEPROP SLICE_X40Y75 NUM_INPUTS 32 SITEPROP SLICE_X40Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y75 NUM_PINS 45 SITEPROP SLICE_X40Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y75 PROHIBIT 0 SITEPROP SLICE_X40Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y75 RPM_X 109 SITEPROP SLICE_X40Y75 RPM_Y 150 SITEPROP SLICE_X40Y75 SITE_PIPS SITEPROP SLICE_X40Y75 SITE_TYPE SLICEL SITEPROP SLICE_X40Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y76 CLASS site SITEPROP SLICE_X40Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y76 IS_BONDED 0 SITEPROP SLICE_X40Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y76 IS_PAD 0 SITEPROP SLICE_X40Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y76 IS_RESERVED 0 SITEPROP SLICE_X40Y76 IS_TEST 0 SITEPROP SLICE_X40Y76 IS_USED 0 SITEPROP SLICE_X40Y76 MANUAL_ROUTING SITEPROP SLICE_X40Y76 NAME SLICE_X40Y76 SITEPROP SLICE_X40Y76 NUM_ARCS 138 SITEPROP SLICE_X40Y76 NUM_BELS 32 SITEPROP SLICE_X40Y76 NUM_INPUTS 32 SITEPROP SLICE_X40Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y76 NUM_PINS 45 SITEPROP SLICE_X40Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y76 PROHIBIT 0 SITEPROP SLICE_X40Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y76 RPM_X 109 SITEPROP SLICE_X40Y76 RPM_Y 152 SITEPROP SLICE_X40Y76 SITE_PIPS SITEPROP SLICE_X40Y76 SITE_TYPE SLICEL SITEPROP SLICE_X40Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y77 CLASS site SITEPROP SLICE_X40Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y77 IS_BONDED 0 SITEPROP SLICE_X40Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y77 IS_PAD 0 SITEPROP SLICE_X40Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y77 IS_RESERVED 0 SITEPROP SLICE_X40Y77 IS_TEST 0 SITEPROP SLICE_X40Y77 IS_USED 0 SITEPROP SLICE_X40Y77 MANUAL_ROUTING SITEPROP SLICE_X40Y77 NAME SLICE_X40Y77 SITEPROP SLICE_X40Y77 NUM_ARCS 138 SITEPROP SLICE_X40Y77 NUM_BELS 32 SITEPROP SLICE_X40Y77 NUM_INPUTS 32 SITEPROP SLICE_X40Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y77 NUM_PINS 45 SITEPROP SLICE_X40Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y77 PROHIBIT 0 SITEPROP SLICE_X40Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y77 RPM_X 109 SITEPROP SLICE_X40Y77 RPM_Y 154 SITEPROP SLICE_X40Y77 SITE_PIPS SITEPROP SLICE_X40Y77 SITE_TYPE SLICEL SITEPROP SLICE_X40Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y78 CLASS site SITEPROP SLICE_X40Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y78 IS_BONDED 0 SITEPROP SLICE_X40Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y78 IS_PAD 0 SITEPROP SLICE_X40Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y78 IS_RESERVED 0 SITEPROP SLICE_X40Y78 IS_TEST 0 SITEPROP SLICE_X40Y78 IS_USED 0 SITEPROP SLICE_X40Y78 MANUAL_ROUTING SITEPROP SLICE_X40Y78 NAME SLICE_X40Y78 SITEPROP SLICE_X40Y78 NUM_ARCS 138 SITEPROP SLICE_X40Y78 NUM_BELS 32 SITEPROP SLICE_X40Y78 NUM_INPUTS 32 SITEPROP SLICE_X40Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y78 NUM_PINS 45 SITEPROP SLICE_X40Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y78 PROHIBIT 0 SITEPROP SLICE_X40Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y78 RPM_X 109 SITEPROP SLICE_X40Y78 RPM_Y 156 SITEPROP SLICE_X40Y78 SITE_PIPS SITEPROP SLICE_X40Y78 SITE_TYPE SLICEL SITEPROP SLICE_X40Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y79 CLASS site SITEPROP SLICE_X40Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y79 IS_BONDED 0 SITEPROP SLICE_X40Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y79 IS_PAD 0 SITEPROP SLICE_X40Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y79 IS_RESERVED 0 SITEPROP SLICE_X40Y79 IS_TEST 0 SITEPROP SLICE_X40Y79 IS_USED 0 SITEPROP SLICE_X40Y79 MANUAL_ROUTING SITEPROP SLICE_X40Y79 NAME SLICE_X40Y79 SITEPROP SLICE_X40Y79 NUM_ARCS 138 SITEPROP SLICE_X40Y79 NUM_BELS 32 SITEPROP SLICE_X40Y79 NUM_INPUTS 32 SITEPROP SLICE_X40Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y79 NUM_PINS 45 SITEPROP SLICE_X40Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y79 PROHIBIT 0 SITEPROP SLICE_X40Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y79 RPM_X 109 SITEPROP SLICE_X40Y79 RPM_Y 158 SITEPROP SLICE_X40Y79 SITE_PIPS SITEPROP SLICE_X40Y79 SITE_TYPE SLICEL SITEPROP SLICE_X40Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y80 CLASS site SITEPROP SLICE_X40Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y80 IS_BONDED 0 SITEPROP SLICE_X40Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y80 IS_PAD 0 SITEPROP SLICE_X40Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y80 IS_RESERVED 0 SITEPROP SLICE_X40Y80 IS_TEST 0 SITEPROP SLICE_X40Y80 IS_USED 0 SITEPROP SLICE_X40Y80 MANUAL_ROUTING SITEPROP SLICE_X40Y80 NAME SLICE_X40Y80 SITEPROP SLICE_X40Y80 NUM_ARCS 138 SITEPROP SLICE_X40Y80 NUM_BELS 32 SITEPROP SLICE_X40Y80 NUM_INPUTS 32 SITEPROP SLICE_X40Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y80 NUM_PINS 45 SITEPROP SLICE_X40Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y80 PROHIBIT 0 SITEPROP SLICE_X40Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y80 RPM_X 109 SITEPROP SLICE_X40Y80 RPM_Y 160 SITEPROP SLICE_X40Y80 SITE_PIPS SITEPROP SLICE_X40Y80 SITE_TYPE SLICEL SITEPROP SLICE_X40Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y81 CLASS site SITEPROP SLICE_X40Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y81 IS_BONDED 0 SITEPROP SLICE_X40Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y81 IS_PAD 0 SITEPROP SLICE_X40Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y81 IS_RESERVED 0 SITEPROP SLICE_X40Y81 IS_TEST 0 SITEPROP SLICE_X40Y81 IS_USED 0 SITEPROP SLICE_X40Y81 MANUAL_ROUTING SITEPROP SLICE_X40Y81 NAME SLICE_X40Y81 SITEPROP SLICE_X40Y81 NUM_ARCS 138 SITEPROP SLICE_X40Y81 NUM_BELS 32 SITEPROP SLICE_X40Y81 NUM_INPUTS 32 SITEPROP SLICE_X40Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y81 NUM_PINS 45 SITEPROP SLICE_X40Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y81 PROHIBIT 0 SITEPROP SLICE_X40Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y81 RPM_X 109 SITEPROP SLICE_X40Y81 RPM_Y 162 SITEPROP SLICE_X40Y81 SITE_PIPS SITEPROP SLICE_X40Y81 SITE_TYPE SLICEL SITEPROP SLICE_X40Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y82 CLASS site SITEPROP SLICE_X40Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y82 IS_BONDED 0 SITEPROP SLICE_X40Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y82 IS_PAD 0 SITEPROP SLICE_X40Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y82 IS_RESERVED 0 SITEPROP SLICE_X40Y82 IS_TEST 0 SITEPROP SLICE_X40Y82 IS_USED 0 SITEPROP SLICE_X40Y82 MANUAL_ROUTING SITEPROP SLICE_X40Y82 NAME SLICE_X40Y82 SITEPROP SLICE_X40Y82 NUM_ARCS 138 SITEPROP SLICE_X40Y82 NUM_BELS 32 SITEPROP SLICE_X40Y82 NUM_INPUTS 32 SITEPROP SLICE_X40Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y82 NUM_PINS 45 SITEPROP SLICE_X40Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y82 PROHIBIT 0 SITEPROP SLICE_X40Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y82 RPM_X 109 SITEPROP SLICE_X40Y82 RPM_Y 164 SITEPROP SLICE_X40Y82 SITE_PIPS SITEPROP SLICE_X40Y82 SITE_TYPE SLICEL SITEPROP SLICE_X40Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y83 CLASS site SITEPROP SLICE_X40Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y83 IS_BONDED 0 SITEPROP SLICE_X40Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y83 IS_PAD 0 SITEPROP SLICE_X40Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y83 IS_RESERVED 0 SITEPROP SLICE_X40Y83 IS_TEST 0 SITEPROP SLICE_X40Y83 IS_USED 0 SITEPROP SLICE_X40Y83 MANUAL_ROUTING SITEPROP SLICE_X40Y83 NAME SLICE_X40Y83 SITEPROP SLICE_X40Y83 NUM_ARCS 138 SITEPROP SLICE_X40Y83 NUM_BELS 32 SITEPROP SLICE_X40Y83 NUM_INPUTS 32 SITEPROP SLICE_X40Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y83 NUM_PINS 45 SITEPROP SLICE_X40Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y83 PROHIBIT 0 SITEPROP SLICE_X40Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y83 RPM_X 109 SITEPROP SLICE_X40Y83 RPM_Y 166 SITEPROP SLICE_X40Y83 SITE_PIPS SITEPROP SLICE_X40Y83 SITE_TYPE SLICEL SITEPROP SLICE_X40Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y84 CLASS site SITEPROP SLICE_X40Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y84 IS_BONDED 0 SITEPROP SLICE_X40Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y84 IS_PAD 0 SITEPROP SLICE_X40Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y84 IS_RESERVED 0 SITEPROP SLICE_X40Y84 IS_TEST 0 SITEPROP SLICE_X40Y84 IS_USED 0 SITEPROP SLICE_X40Y84 MANUAL_ROUTING SITEPROP SLICE_X40Y84 NAME SLICE_X40Y84 SITEPROP SLICE_X40Y84 NUM_ARCS 138 SITEPROP SLICE_X40Y84 NUM_BELS 32 SITEPROP SLICE_X40Y84 NUM_INPUTS 32 SITEPROP SLICE_X40Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y84 NUM_PINS 45 SITEPROP SLICE_X40Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y84 PROHIBIT 0 SITEPROP SLICE_X40Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y84 RPM_X 109 SITEPROP SLICE_X40Y84 RPM_Y 168 SITEPROP SLICE_X40Y84 SITE_PIPS SITEPROP SLICE_X40Y84 SITE_TYPE SLICEL SITEPROP SLICE_X40Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y85 CLASS site SITEPROP SLICE_X40Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y85 IS_BONDED 0 SITEPROP SLICE_X40Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y85 IS_PAD 0 SITEPROP SLICE_X40Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y85 IS_RESERVED 0 SITEPROP SLICE_X40Y85 IS_TEST 0 SITEPROP SLICE_X40Y85 IS_USED 0 SITEPROP SLICE_X40Y85 MANUAL_ROUTING SITEPROP SLICE_X40Y85 NAME SLICE_X40Y85 SITEPROP SLICE_X40Y85 NUM_ARCS 138 SITEPROP SLICE_X40Y85 NUM_BELS 32 SITEPROP SLICE_X40Y85 NUM_INPUTS 32 SITEPROP SLICE_X40Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y85 NUM_PINS 45 SITEPROP SLICE_X40Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y85 PROHIBIT 0 SITEPROP SLICE_X40Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y85 RPM_X 109 SITEPROP SLICE_X40Y85 RPM_Y 170 SITEPROP SLICE_X40Y85 SITE_PIPS SITEPROP SLICE_X40Y85 SITE_TYPE SLICEL SITEPROP SLICE_X40Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y86 CLASS site SITEPROP SLICE_X40Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y86 IS_BONDED 0 SITEPROP SLICE_X40Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y86 IS_PAD 0 SITEPROP SLICE_X40Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y86 IS_RESERVED 0 SITEPROP SLICE_X40Y86 IS_TEST 0 SITEPROP SLICE_X40Y86 IS_USED 0 SITEPROP SLICE_X40Y86 MANUAL_ROUTING SITEPROP SLICE_X40Y86 NAME SLICE_X40Y86 SITEPROP SLICE_X40Y86 NUM_ARCS 138 SITEPROP SLICE_X40Y86 NUM_BELS 32 SITEPROP SLICE_X40Y86 NUM_INPUTS 32 SITEPROP SLICE_X40Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y86 NUM_PINS 45 SITEPROP SLICE_X40Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y86 PROHIBIT 0 SITEPROP SLICE_X40Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y86 RPM_X 109 SITEPROP SLICE_X40Y86 RPM_Y 172 SITEPROP SLICE_X40Y86 SITE_PIPS SITEPROP SLICE_X40Y86 SITE_TYPE SLICEL SITEPROP SLICE_X40Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y87 CLASS site SITEPROP SLICE_X40Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y87 IS_BONDED 0 SITEPROP SLICE_X40Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y87 IS_PAD 0 SITEPROP SLICE_X40Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y87 IS_RESERVED 0 SITEPROP SLICE_X40Y87 IS_TEST 0 SITEPROP SLICE_X40Y87 IS_USED 0 SITEPROP SLICE_X40Y87 MANUAL_ROUTING SITEPROP SLICE_X40Y87 NAME SLICE_X40Y87 SITEPROP SLICE_X40Y87 NUM_ARCS 138 SITEPROP SLICE_X40Y87 NUM_BELS 32 SITEPROP SLICE_X40Y87 NUM_INPUTS 32 SITEPROP SLICE_X40Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y87 NUM_PINS 45 SITEPROP SLICE_X40Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y87 PROHIBIT 0 SITEPROP SLICE_X40Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y87 RPM_X 109 SITEPROP SLICE_X40Y87 RPM_Y 174 SITEPROP SLICE_X40Y87 SITE_PIPS SITEPROP SLICE_X40Y87 SITE_TYPE SLICEL SITEPROP SLICE_X40Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y88 CLASS site SITEPROP SLICE_X40Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y88 IS_BONDED 0 SITEPROP SLICE_X40Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y88 IS_PAD 0 SITEPROP SLICE_X40Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y88 IS_RESERVED 0 SITEPROP SLICE_X40Y88 IS_TEST 0 SITEPROP SLICE_X40Y88 IS_USED 0 SITEPROP SLICE_X40Y88 MANUAL_ROUTING SITEPROP SLICE_X40Y88 NAME SLICE_X40Y88 SITEPROP SLICE_X40Y88 NUM_ARCS 138 SITEPROP SLICE_X40Y88 NUM_BELS 32 SITEPROP SLICE_X40Y88 NUM_INPUTS 32 SITEPROP SLICE_X40Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y88 NUM_PINS 45 SITEPROP SLICE_X40Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y88 PROHIBIT 0 SITEPROP SLICE_X40Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y88 RPM_X 109 SITEPROP SLICE_X40Y88 RPM_Y 176 SITEPROP SLICE_X40Y88 SITE_PIPS SITEPROP SLICE_X40Y88 SITE_TYPE SLICEL SITEPROP SLICE_X40Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y89 CLASS site SITEPROP SLICE_X40Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y89 IS_BONDED 0 SITEPROP SLICE_X40Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y89 IS_PAD 0 SITEPROP SLICE_X40Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y89 IS_RESERVED 0 SITEPROP SLICE_X40Y89 IS_TEST 0 SITEPROP SLICE_X40Y89 IS_USED 0 SITEPROP SLICE_X40Y89 MANUAL_ROUTING SITEPROP SLICE_X40Y89 NAME SLICE_X40Y89 SITEPROP SLICE_X40Y89 NUM_ARCS 138 SITEPROP SLICE_X40Y89 NUM_BELS 32 SITEPROP SLICE_X40Y89 NUM_INPUTS 32 SITEPROP SLICE_X40Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y89 NUM_PINS 45 SITEPROP SLICE_X40Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y89 PROHIBIT 0 SITEPROP SLICE_X40Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y89 RPM_X 109 SITEPROP SLICE_X40Y89 RPM_Y 178 SITEPROP SLICE_X40Y89 SITE_PIPS SITEPROP SLICE_X40Y89 SITE_TYPE SLICEL SITEPROP SLICE_X40Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y90 CLASS site SITEPROP SLICE_X40Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y90 IS_BONDED 0 SITEPROP SLICE_X40Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y90 IS_PAD 0 SITEPROP SLICE_X40Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y90 IS_RESERVED 0 SITEPROP SLICE_X40Y90 IS_TEST 0 SITEPROP SLICE_X40Y90 IS_USED 0 SITEPROP SLICE_X40Y90 MANUAL_ROUTING SITEPROP SLICE_X40Y90 NAME SLICE_X40Y90 SITEPROP SLICE_X40Y90 NUM_ARCS 138 SITEPROP SLICE_X40Y90 NUM_BELS 32 SITEPROP SLICE_X40Y90 NUM_INPUTS 32 SITEPROP SLICE_X40Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y90 NUM_PINS 45 SITEPROP SLICE_X40Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y90 PROHIBIT 0 SITEPROP SLICE_X40Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y90 RPM_X 109 SITEPROP SLICE_X40Y90 RPM_Y 180 SITEPROP SLICE_X40Y90 SITE_PIPS SITEPROP SLICE_X40Y90 SITE_TYPE SLICEL SITEPROP SLICE_X40Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y91 CLASS site SITEPROP SLICE_X40Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y91 IS_BONDED 0 SITEPROP SLICE_X40Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y91 IS_PAD 0 SITEPROP SLICE_X40Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y91 IS_RESERVED 0 SITEPROP SLICE_X40Y91 IS_TEST 0 SITEPROP SLICE_X40Y91 IS_USED 0 SITEPROP SLICE_X40Y91 MANUAL_ROUTING SITEPROP SLICE_X40Y91 NAME SLICE_X40Y91 SITEPROP SLICE_X40Y91 NUM_ARCS 138 SITEPROP SLICE_X40Y91 NUM_BELS 32 SITEPROP SLICE_X40Y91 NUM_INPUTS 32 SITEPROP SLICE_X40Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y91 NUM_PINS 45 SITEPROP SLICE_X40Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y91 PROHIBIT 0 SITEPROP SLICE_X40Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y91 RPM_X 109 SITEPROP SLICE_X40Y91 RPM_Y 182 SITEPROP SLICE_X40Y91 SITE_PIPS SITEPROP SLICE_X40Y91 SITE_TYPE SLICEL SITEPROP SLICE_X40Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y92 CLASS site SITEPROP SLICE_X40Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y92 IS_BONDED 0 SITEPROP SLICE_X40Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y92 IS_PAD 0 SITEPROP SLICE_X40Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y92 IS_RESERVED 0 SITEPROP SLICE_X40Y92 IS_TEST 0 SITEPROP SLICE_X40Y92 IS_USED 0 SITEPROP SLICE_X40Y92 MANUAL_ROUTING SITEPROP SLICE_X40Y92 NAME SLICE_X40Y92 SITEPROP SLICE_X40Y92 NUM_ARCS 138 SITEPROP SLICE_X40Y92 NUM_BELS 32 SITEPROP SLICE_X40Y92 NUM_INPUTS 32 SITEPROP SLICE_X40Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y92 NUM_PINS 45 SITEPROP SLICE_X40Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y92 PROHIBIT 0 SITEPROP SLICE_X40Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y92 RPM_X 109 SITEPROP SLICE_X40Y92 RPM_Y 184 SITEPROP SLICE_X40Y92 SITE_PIPS SITEPROP SLICE_X40Y92 SITE_TYPE SLICEL SITEPROP SLICE_X40Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y93 CLASS site SITEPROP SLICE_X40Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y93 IS_BONDED 0 SITEPROP SLICE_X40Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y93 IS_PAD 0 SITEPROP SLICE_X40Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y93 IS_RESERVED 0 SITEPROP SLICE_X40Y93 IS_TEST 0 SITEPROP SLICE_X40Y93 IS_USED 0 SITEPROP SLICE_X40Y93 MANUAL_ROUTING SITEPROP SLICE_X40Y93 NAME SLICE_X40Y93 SITEPROP SLICE_X40Y93 NUM_ARCS 138 SITEPROP SLICE_X40Y93 NUM_BELS 32 SITEPROP SLICE_X40Y93 NUM_INPUTS 32 SITEPROP SLICE_X40Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y93 NUM_PINS 45 SITEPROP SLICE_X40Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y93 PROHIBIT 0 SITEPROP SLICE_X40Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y93 RPM_X 109 SITEPROP SLICE_X40Y93 RPM_Y 186 SITEPROP SLICE_X40Y93 SITE_PIPS SITEPROP SLICE_X40Y93 SITE_TYPE SLICEL SITEPROP SLICE_X40Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y94 CLASS site SITEPROP SLICE_X40Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y94 IS_BONDED 0 SITEPROP SLICE_X40Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y94 IS_PAD 0 SITEPROP SLICE_X40Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y94 IS_RESERVED 0 SITEPROP SLICE_X40Y94 IS_TEST 0 SITEPROP SLICE_X40Y94 IS_USED 0 SITEPROP SLICE_X40Y94 MANUAL_ROUTING SITEPROP SLICE_X40Y94 NAME SLICE_X40Y94 SITEPROP SLICE_X40Y94 NUM_ARCS 138 SITEPROP SLICE_X40Y94 NUM_BELS 32 SITEPROP SLICE_X40Y94 NUM_INPUTS 32 SITEPROP SLICE_X40Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y94 NUM_PINS 45 SITEPROP SLICE_X40Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y94 PROHIBIT 0 SITEPROP SLICE_X40Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y94 RPM_X 109 SITEPROP SLICE_X40Y94 RPM_Y 188 SITEPROP SLICE_X40Y94 SITE_PIPS SITEPROP SLICE_X40Y94 SITE_TYPE SLICEL SITEPROP SLICE_X40Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y95 CLASS site SITEPROP SLICE_X40Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y95 IS_BONDED 0 SITEPROP SLICE_X40Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y95 IS_PAD 0 SITEPROP SLICE_X40Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y95 IS_RESERVED 0 SITEPROP SLICE_X40Y95 IS_TEST 0 SITEPROP SLICE_X40Y95 IS_USED 0 SITEPROP SLICE_X40Y95 MANUAL_ROUTING SITEPROP SLICE_X40Y95 NAME SLICE_X40Y95 SITEPROP SLICE_X40Y95 NUM_ARCS 138 SITEPROP SLICE_X40Y95 NUM_BELS 32 SITEPROP SLICE_X40Y95 NUM_INPUTS 32 SITEPROP SLICE_X40Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y95 NUM_PINS 45 SITEPROP SLICE_X40Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y95 PROHIBIT 0 SITEPROP SLICE_X40Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y95 RPM_X 109 SITEPROP SLICE_X40Y95 RPM_Y 190 SITEPROP SLICE_X40Y95 SITE_PIPS SITEPROP SLICE_X40Y95 SITE_TYPE SLICEL SITEPROP SLICE_X40Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y96 CLASS site SITEPROP SLICE_X40Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y96 IS_BONDED 0 SITEPROP SLICE_X40Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y96 IS_PAD 0 SITEPROP SLICE_X40Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y96 IS_RESERVED 0 SITEPROP SLICE_X40Y96 IS_TEST 0 SITEPROP SLICE_X40Y96 IS_USED 0 SITEPROP SLICE_X40Y96 MANUAL_ROUTING SITEPROP SLICE_X40Y96 NAME SLICE_X40Y96 SITEPROP SLICE_X40Y96 NUM_ARCS 138 SITEPROP SLICE_X40Y96 NUM_BELS 32 SITEPROP SLICE_X40Y96 NUM_INPUTS 32 SITEPROP SLICE_X40Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y96 NUM_PINS 45 SITEPROP SLICE_X40Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y96 PROHIBIT 0 SITEPROP SLICE_X40Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y96 RPM_X 109 SITEPROP SLICE_X40Y96 RPM_Y 192 SITEPROP SLICE_X40Y96 SITE_PIPS SITEPROP SLICE_X40Y96 SITE_TYPE SLICEL SITEPROP SLICE_X40Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y97 CLASS site SITEPROP SLICE_X40Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y97 IS_BONDED 0 SITEPROP SLICE_X40Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y97 IS_PAD 0 SITEPROP SLICE_X40Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y97 IS_RESERVED 0 SITEPROP SLICE_X40Y97 IS_TEST 0 SITEPROP SLICE_X40Y97 IS_USED 0 SITEPROP SLICE_X40Y97 MANUAL_ROUTING SITEPROP SLICE_X40Y97 NAME SLICE_X40Y97 SITEPROP SLICE_X40Y97 NUM_ARCS 138 SITEPROP SLICE_X40Y97 NUM_BELS 32 SITEPROP SLICE_X40Y97 NUM_INPUTS 32 SITEPROP SLICE_X40Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y97 NUM_PINS 45 SITEPROP SLICE_X40Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y97 PROHIBIT 0 SITEPROP SLICE_X40Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y97 RPM_X 109 SITEPROP SLICE_X40Y97 RPM_Y 194 SITEPROP SLICE_X40Y97 SITE_PIPS SITEPROP SLICE_X40Y97 SITE_TYPE SLICEL SITEPROP SLICE_X40Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y98 CLASS site SITEPROP SLICE_X40Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y98 IS_BONDED 0 SITEPROP SLICE_X40Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y98 IS_PAD 0 SITEPROP SLICE_X40Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y98 IS_RESERVED 0 SITEPROP SLICE_X40Y98 IS_TEST 0 SITEPROP SLICE_X40Y98 IS_USED 0 SITEPROP SLICE_X40Y98 MANUAL_ROUTING SITEPROP SLICE_X40Y98 NAME SLICE_X40Y98 SITEPROP SLICE_X40Y98 NUM_ARCS 138 SITEPROP SLICE_X40Y98 NUM_BELS 32 SITEPROP SLICE_X40Y98 NUM_INPUTS 32 SITEPROP SLICE_X40Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y98 NUM_PINS 45 SITEPROP SLICE_X40Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y98 PROHIBIT 0 SITEPROP SLICE_X40Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y98 RPM_X 109 SITEPROP SLICE_X40Y98 RPM_Y 196 SITEPROP SLICE_X40Y98 SITE_PIPS SITEPROP SLICE_X40Y98 SITE_TYPE SLICEL SITEPROP SLICE_X40Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y99 CLASS site SITEPROP SLICE_X40Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X40Y99 IS_BONDED 0 SITEPROP SLICE_X40Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y99 IS_PAD 0 SITEPROP SLICE_X40Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y99 IS_RESERVED 0 SITEPROP SLICE_X40Y99 IS_TEST 0 SITEPROP SLICE_X40Y99 IS_USED 0 SITEPROP SLICE_X40Y99 MANUAL_ROUTING SITEPROP SLICE_X40Y99 NAME SLICE_X40Y99 SITEPROP SLICE_X40Y99 NUM_ARCS 138 SITEPROP SLICE_X40Y99 NUM_BELS 32 SITEPROP SLICE_X40Y99 NUM_INPUTS 32 SITEPROP SLICE_X40Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y99 NUM_PINS 45 SITEPROP SLICE_X40Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y99 PROHIBIT 0 SITEPROP SLICE_X40Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y99 RPM_X 109 SITEPROP SLICE_X40Y99 RPM_Y 198 SITEPROP SLICE_X40Y99 SITE_PIPS SITEPROP SLICE_X40Y99 SITE_TYPE SLICEL SITEPROP SLICE_X40Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y100 CLASS site SITEPROP SLICE_X40Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y100 IS_BONDED 0 SITEPROP SLICE_X40Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y100 IS_PAD 0 SITEPROP SLICE_X40Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y100 IS_RESERVED 0 SITEPROP SLICE_X40Y100 IS_TEST 0 SITEPROP SLICE_X40Y100 IS_USED 0 SITEPROP SLICE_X40Y100 MANUAL_ROUTING SITEPROP SLICE_X40Y100 NAME SLICE_X40Y100 SITEPROP SLICE_X40Y100 NUM_ARCS 138 SITEPROP SLICE_X40Y100 NUM_BELS 32 SITEPROP SLICE_X40Y100 NUM_INPUTS 32 SITEPROP SLICE_X40Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y100 NUM_PINS 45 SITEPROP SLICE_X40Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y100 PROHIBIT 0 SITEPROP SLICE_X40Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y100 RPM_X 109 SITEPROP SLICE_X40Y100 RPM_Y 200 SITEPROP SLICE_X40Y100 SITE_PIPS SITEPROP SLICE_X40Y100 SITE_TYPE SLICEL SITEPROP SLICE_X40Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y101 CLASS site SITEPROP SLICE_X40Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y101 IS_BONDED 0 SITEPROP SLICE_X40Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y101 IS_PAD 0 SITEPROP SLICE_X40Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y101 IS_RESERVED 0 SITEPROP SLICE_X40Y101 IS_TEST 0 SITEPROP SLICE_X40Y101 IS_USED 0 SITEPROP SLICE_X40Y101 MANUAL_ROUTING SITEPROP SLICE_X40Y101 NAME SLICE_X40Y101 SITEPROP SLICE_X40Y101 NUM_ARCS 138 SITEPROP SLICE_X40Y101 NUM_BELS 32 SITEPROP SLICE_X40Y101 NUM_INPUTS 32 SITEPROP SLICE_X40Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y101 NUM_PINS 45 SITEPROP SLICE_X40Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y101 PROHIBIT 0 SITEPROP SLICE_X40Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y101 RPM_X 109 SITEPROP SLICE_X40Y101 RPM_Y 202 SITEPROP SLICE_X40Y101 SITE_PIPS SITEPROP SLICE_X40Y101 SITE_TYPE SLICEL SITEPROP SLICE_X40Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y102 CLASS site SITEPROP SLICE_X40Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y102 IS_BONDED 0 SITEPROP SLICE_X40Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y102 IS_PAD 0 SITEPROP SLICE_X40Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y102 IS_RESERVED 0 SITEPROP SLICE_X40Y102 IS_TEST 0 SITEPROP SLICE_X40Y102 IS_USED 0 SITEPROP SLICE_X40Y102 MANUAL_ROUTING SITEPROP SLICE_X40Y102 NAME SLICE_X40Y102 SITEPROP SLICE_X40Y102 NUM_ARCS 138 SITEPROP SLICE_X40Y102 NUM_BELS 32 SITEPROP SLICE_X40Y102 NUM_INPUTS 32 SITEPROP SLICE_X40Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y102 NUM_PINS 45 SITEPROP SLICE_X40Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y102 PROHIBIT 0 SITEPROP SLICE_X40Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y102 RPM_X 109 SITEPROP SLICE_X40Y102 RPM_Y 204 SITEPROP SLICE_X40Y102 SITE_PIPS SITEPROP SLICE_X40Y102 SITE_TYPE SLICEL SITEPROP SLICE_X40Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y103 CLASS site SITEPROP SLICE_X40Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y103 IS_BONDED 0 SITEPROP SLICE_X40Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y103 IS_PAD 0 SITEPROP SLICE_X40Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y103 IS_RESERVED 0 SITEPROP SLICE_X40Y103 IS_TEST 0 SITEPROP SLICE_X40Y103 IS_USED 0 SITEPROP SLICE_X40Y103 MANUAL_ROUTING SITEPROP SLICE_X40Y103 NAME SLICE_X40Y103 SITEPROP SLICE_X40Y103 NUM_ARCS 138 SITEPROP SLICE_X40Y103 NUM_BELS 32 SITEPROP SLICE_X40Y103 NUM_INPUTS 32 SITEPROP SLICE_X40Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y103 NUM_PINS 45 SITEPROP SLICE_X40Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y103 PROHIBIT 0 SITEPROP SLICE_X40Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y103 RPM_X 109 SITEPROP SLICE_X40Y103 RPM_Y 206 SITEPROP SLICE_X40Y103 SITE_PIPS SITEPROP SLICE_X40Y103 SITE_TYPE SLICEL SITEPROP SLICE_X40Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y104 CLASS site SITEPROP SLICE_X40Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y104 IS_BONDED 0 SITEPROP SLICE_X40Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y104 IS_PAD 0 SITEPROP SLICE_X40Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y104 IS_RESERVED 0 SITEPROP SLICE_X40Y104 IS_TEST 0 SITEPROP SLICE_X40Y104 IS_USED 0 SITEPROP SLICE_X40Y104 MANUAL_ROUTING SITEPROP SLICE_X40Y104 NAME SLICE_X40Y104 SITEPROP SLICE_X40Y104 NUM_ARCS 138 SITEPROP SLICE_X40Y104 NUM_BELS 32 SITEPROP SLICE_X40Y104 NUM_INPUTS 32 SITEPROP SLICE_X40Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y104 NUM_PINS 45 SITEPROP SLICE_X40Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y104 PROHIBIT 0 SITEPROP SLICE_X40Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y104 RPM_X 109 SITEPROP SLICE_X40Y104 RPM_Y 208 SITEPROP SLICE_X40Y104 SITE_PIPS SITEPROP SLICE_X40Y104 SITE_TYPE SLICEL SITEPROP SLICE_X40Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y105 CLASS site SITEPROP SLICE_X40Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y105 IS_BONDED 0 SITEPROP SLICE_X40Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y105 IS_PAD 0 SITEPROP SLICE_X40Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y105 IS_RESERVED 0 SITEPROP SLICE_X40Y105 IS_TEST 0 SITEPROP SLICE_X40Y105 IS_USED 0 SITEPROP SLICE_X40Y105 MANUAL_ROUTING SITEPROP SLICE_X40Y105 NAME SLICE_X40Y105 SITEPROP SLICE_X40Y105 NUM_ARCS 138 SITEPROP SLICE_X40Y105 NUM_BELS 32 SITEPROP SLICE_X40Y105 NUM_INPUTS 32 SITEPROP SLICE_X40Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y105 NUM_PINS 45 SITEPROP SLICE_X40Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y105 PROHIBIT 0 SITEPROP SLICE_X40Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y105 RPM_X 109 SITEPROP SLICE_X40Y105 RPM_Y 210 SITEPROP SLICE_X40Y105 SITE_PIPS SITEPROP SLICE_X40Y105 SITE_TYPE SLICEL SITEPROP SLICE_X40Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y106 CLASS site SITEPROP SLICE_X40Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y106 IS_BONDED 0 SITEPROP SLICE_X40Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y106 IS_PAD 0 SITEPROP SLICE_X40Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y106 IS_RESERVED 0 SITEPROP SLICE_X40Y106 IS_TEST 0 SITEPROP SLICE_X40Y106 IS_USED 0 SITEPROP SLICE_X40Y106 MANUAL_ROUTING SITEPROP SLICE_X40Y106 NAME SLICE_X40Y106 SITEPROP SLICE_X40Y106 NUM_ARCS 138 SITEPROP SLICE_X40Y106 NUM_BELS 32 SITEPROP SLICE_X40Y106 NUM_INPUTS 32 SITEPROP SLICE_X40Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y106 NUM_PINS 45 SITEPROP SLICE_X40Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y106 PROHIBIT 0 SITEPROP SLICE_X40Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y106 RPM_X 109 SITEPROP SLICE_X40Y106 RPM_Y 212 SITEPROP SLICE_X40Y106 SITE_PIPS SITEPROP SLICE_X40Y106 SITE_TYPE SLICEL SITEPROP SLICE_X40Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y107 CLASS site SITEPROP SLICE_X40Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y107 IS_BONDED 0 SITEPROP SLICE_X40Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y107 IS_PAD 0 SITEPROP SLICE_X40Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y107 IS_RESERVED 0 SITEPROP SLICE_X40Y107 IS_TEST 0 SITEPROP SLICE_X40Y107 IS_USED 0 SITEPROP SLICE_X40Y107 MANUAL_ROUTING SITEPROP SLICE_X40Y107 NAME SLICE_X40Y107 SITEPROP SLICE_X40Y107 NUM_ARCS 138 SITEPROP SLICE_X40Y107 NUM_BELS 32 SITEPROP SLICE_X40Y107 NUM_INPUTS 32 SITEPROP SLICE_X40Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y107 NUM_PINS 45 SITEPROP SLICE_X40Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y107 PROHIBIT 0 SITEPROP SLICE_X40Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y107 RPM_X 109 SITEPROP SLICE_X40Y107 RPM_Y 214 SITEPROP SLICE_X40Y107 SITE_PIPS SITEPROP SLICE_X40Y107 SITE_TYPE SLICEL SITEPROP SLICE_X40Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y108 CLASS site SITEPROP SLICE_X40Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y108 IS_BONDED 0 SITEPROP SLICE_X40Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y108 IS_PAD 0 SITEPROP SLICE_X40Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y108 IS_RESERVED 0 SITEPROP SLICE_X40Y108 IS_TEST 0 SITEPROP SLICE_X40Y108 IS_USED 0 SITEPROP SLICE_X40Y108 MANUAL_ROUTING SITEPROP SLICE_X40Y108 NAME SLICE_X40Y108 SITEPROP SLICE_X40Y108 NUM_ARCS 138 SITEPROP SLICE_X40Y108 NUM_BELS 32 SITEPROP SLICE_X40Y108 NUM_INPUTS 32 SITEPROP SLICE_X40Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y108 NUM_PINS 45 SITEPROP SLICE_X40Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y108 PROHIBIT 0 SITEPROP SLICE_X40Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y108 RPM_X 109 SITEPROP SLICE_X40Y108 RPM_Y 216 SITEPROP SLICE_X40Y108 SITE_PIPS SITEPROP SLICE_X40Y108 SITE_TYPE SLICEL SITEPROP SLICE_X40Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y109 CLASS site SITEPROP SLICE_X40Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y109 IS_BONDED 0 SITEPROP SLICE_X40Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y109 IS_PAD 0 SITEPROP SLICE_X40Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y109 IS_RESERVED 0 SITEPROP SLICE_X40Y109 IS_TEST 0 SITEPROP SLICE_X40Y109 IS_USED 0 SITEPROP SLICE_X40Y109 MANUAL_ROUTING SITEPROP SLICE_X40Y109 NAME SLICE_X40Y109 SITEPROP SLICE_X40Y109 NUM_ARCS 138 SITEPROP SLICE_X40Y109 NUM_BELS 32 SITEPROP SLICE_X40Y109 NUM_INPUTS 32 SITEPROP SLICE_X40Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y109 NUM_PINS 45 SITEPROP SLICE_X40Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y109 PROHIBIT 0 SITEPROP SLICE_X40Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y109 RPM_X 109 SITEPROP SLICE_X40Y109 RPM_Y 218 SITEPROP SLICE_X40Y109 SITE_PIPS SITEPROP SLICE_X40Y109 SITE_TYPE SLICEL SITEPROP SLICE_X40Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y110 CLASS site SITEPROP SLICE_X40Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y110 IS_BONDED 0 SITEPROP SLICE_X40Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y110 IS_PAD 0 SITEPROP SLICE_X40Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y110 IS_RESERVED 0 SITEPROP SLICE_X40Y110 IS_TEST 0 SITEPROP SLICE_X40Y110 IS_USED 0 SITEPROP SLICE_X40Y110 MANUAL_ROUTING SITEPROP SLICE_X40Y110 NAME SLICE_X40Y110 SITEPROP SLICE_X40Y110 NUM_ARCS 138 SITEPROP SLICE_X40Y110 NUM_BELS 32 SITEPROP SLICE_X40Y110 NUM_INPUTS 32 SITEPROP SLICE_X40Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y110 NUM_PINS 45 SITEPROP SLICE_X40Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y110 PROHIBIT 0 SITEPROP SLICE_X40Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y110 RPM_X 109 SITEPROP SLICE_X40Y110 RPM_Y 220 SITEPROP SLICE_X40Y110 SITE_PIPS SITEPROP SLICE_X40Y110 SITE_TYPE SLICEL SITEPROP SLICE_X40Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y111 CLASS site SITEPROP SLICE_X40Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y111 IS_BONDED 0 SITEPROP SLICE_X40Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y111 IS_PAD 0 SITEPROP SLICE_X40Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y111 IS_RESERVED 0 SITEPROP SLICE_X40Y111 IS_TEST 0 SITEPROP SLICE_X40Y111 IS_USED 0 SITEPROP SLICE_X40Y111 MANUAL_ROUTING SITEPROP SLICE_X40Y111 NAME SLICE_X40Y111 SITEPROP SLICE_X40Y111 NUM_ARCS 138 SITEPROP SLICE_X40Y111 NUM_BELS 32 SITEPROP SLICE_X40Y111 NUM_INPUTS 32 SITEPROP SLICE_X40Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y111 NUM_PINS 45 SITEPROP SLICE_X40Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y111 PROHIBIT 0 SITEPROP SLICE_X40Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y111 RPM_X 109 SITEPROP SLICE_X40Y111 RPM_Y 222 SITEPROP SLICE_X40Y111 SITE_PIPS SITEPROP SLICE_X40Y111 SITE_TYPE SLICEL SITEPROP SLICE_X40Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y112 CLASS site SITEPROP SLICE_X40Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y112 IS_BONDED 0 SITEPROP SLICE_X40Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y112 IS_PAD 0 SITEPROP SLICE_X40Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y112 IS_RESERVED 0 SITEPROP SLICE_X40Y112 IS_TEST 0 SITEPROP SLICE_X40Y112 IS_USED 0 SITEPROP SLICE_X40Y112 MANUAL_ROUTING SITEPROP SLICE_X40Y112 NAME SLICE_X40Y112 SITEPROP SLICE_X40Y112 NUM_ARCS 138 SITEPROP SLICE_X40Y112 NUM_BELS 32 SITEPROP SLICE_X40Y112 NUM_INPUTS 32 SITEPROP SLICE_X40Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y112 NUM_PINS 45 SITEPROP SLICE_X40Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y112 PROHIBIT 0 SITEPROP SLICE_X40Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y112 RPM_X 109 SITEPROP SLICE_X40Y112 RPM_Y 224 SITEPROP SLICE_X40Y112 SITE_PIPS SITEPROP SLICE_X40Y112 SITE_TYPE SLICEL SITEPROP SLICE_X40Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y113 CLASS site SITEPROP SLICE_X40Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y113 IS_BONDED 0 SITEPROP SLICE_X40Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y113 IS_PAD 0 SITEPROP SLICE_X40Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y113 IS_RESERVED 0 SITEPROP SLICE_X40Y113 IS_TEST 0 SITEPROP SLICE_X40Y113 IS_USED 0 SITEPROP SLICE_X40Y113 MANUAL_ROUTING SITEPROP SLICE_X40Y113 NAME SLICE_X40Y113 SITEPROP SLICE_X40Y113 NUM_ARCS 138 SITEPROP SLICE_X40Y113 NUM_BELS 32 SITEPROP SLICE_X40Y113 NUM_INPUTS 32 SITEPROP SLICE_X40Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y113 NUM_PINS 45 SITEPROP SLICE_X40Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y113 PROHIBIT 0 SITEPROP SLICE_X40Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y113 RPM_X 109 SITEPROP SLICE_X40Y113 RPM_Y 226 SITEPROP SLICE_X40Y113 SITE_PIPS SITEPROP SLICE_X40Y113 SITE_TYPE SLICEL SITEPROP SLICE_X40Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y114 CLASS site SITEPROP SLICE_X40Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y114 IS_BONDED 0 SITEPROP SLICE_X40Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y114 IS_PAD 0 SITEPROP SLICE_X40Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y114 IS_RESERVED 0 SITEPROP SLICE_X40Y114 IS_TEST 0 SITEPROP SLICE_X40Y114 IS_USED 0 SITEPROP SLICE_X40Y114 MANUAL_ROUTING SITEPROP SLICE_X40Y114 NAME SLICE_X40Y114 SITEPROP SLICE_X40Y114 NUM_ARCS 138 SITEPROP SLICE_X40Y114 NUM_BELS 32 SITEPROP SLICE_X40Y114 NUM_INPUTS 32 SITEPROP SLICE_X40Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y114 NUM_PINS 45 SITEPROP SLICE_X40Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y114 PROHIBIT 0 SITEPROP SLICE_X40Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y114 RPM_X 109 SITEPROP SLICE_X40Y114 RPM_Y 228 SITEPROP SLICE_X40Y114 SITE_PIPS SITEPROP SLICE_X40Y114 SITE_TYPE SLICEL SITEPROP SLICE_X40Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y115 CLASS site SITEPROP SLICE_X40Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y115 IS_BONDED 0 SITEPROP SLICE_X40Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y115 IS_PAD 0 SITEPROP SLICE_X40Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y115 IS_RESERVED 0 SITEPROP SLICE_X40Y115 IS_TEST 0 SITEPROP SLICE_X40Y115 IS_USED 0 SITEPROP SLICE_X40Y115 MANUAL_ROUTING SITEPROP SLICE_X40Y115 NAME SLICE_X40Y115 SITEPROP SLICE_X40Y115 NUM_ARCS 138 SITEPROP SLICE_X40Y115 NUM_BELS 32 SITEPROP SLICE_X40Y115 NUM_INPUTS 32 SITEPROP SLICE_X40Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y115 NUM_PINS 45 SITEPROP SLICE_X40Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y115 PROHIBIT 0 SITEPROP SLICE_X40Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y115 RPM_X 109 SITEPROP SLICE_X40Y115 RPM_Y 230 SITEPROP SLICE_X40Y115 SITE_PIPS SITEPROP SLICE_X40Y115 SITE_TYPE SLICEL SITEPROP SLICE_X40Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y116 CLASS site SITEPROP SLICE_X40Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y116 IS_BONDED 0 SITEPROP SLICE_X40Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y116 IS_PAD 0 SITEPROP SLICE_X40Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y116 IS_RESERVED 0 SITEPROP SLICE_X40Y116 IS_TEST 0 SITEPROP SLICE_X40Y116 IS_USED 0 SITEPROP SLICE_X40Y116 MANUAL_ROUTING SITEPROP SLICE_X40Y116 NAME SLICE_X40Y116 SITEPROP SLICE_X40Y116 NUM_ARCS 138 SITEPROP SLICE_X40Y116 NUM_BELS 32 SITEPROP SLICE_X40Y116 NUM_INPUTS 32 SITEPROP SLICE_X40Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y116 NUM_PINS 45 SITEPROP SLICE_X40Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y116 PROHIBIT 0 SITEPROP SLICE_X40Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y116 RPM_X 109 SITEPROP SLICE_X40Y116 RPM_Y 232 SITEPROP SLICE_X40Y116 SITE_PIPS SITEPROP SLICE_X40Y116 SITE_TYPE SLICEL SITEPROP SLICE_X40Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y117 CLASS site SITEPROP SLICE_X40Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y117 IS_BONDED 0 SITEPROP SLICE_X40Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y117 IS_PAD 0 SITEPROP SLICE_X40Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y117 IS_RESERVED 0 SITEPROP SLICE_X40Y117 IS_TEST 0 SITEPROP SLICE_X40Y117 IS_USED 0 SITEPROP SLICE_X40Y117 MANUAL_ROUTING SITEPROP SLICE_X40Y117 NAME SLICE_X40Y117 SITEPROP SLICE_X40Y117 NUM_ARCS 138 SITEPROP SLICE_X40Y117 NUM_BELS 32 SITEPROP SLICE_X40Y117 NUM_INPUTS 32 SITEPROP SLICE_X40Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y117 NUM_PINS 45 SITEPROP SLICE_X40Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y117 PROHIBIT 0 SITEPROP SLICE_X40Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y117 RPM_X 109 SITEPROP SLICE_X40Y117 RPM_Y 234 SITEPROP SLICE_X40Y117 SITE_PIPS SITEPROP SLICE_X40Y117 SITE_TYPE SLICEL SITEPROP SLICE_X40Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y118 CLASS site SITEPROP SLICE_X40Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y118 IS_BONDED 0 SITEPROP SLICE_X40Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y118 IS_PAD 0 SITEPROP SLICE_X40Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y118 IS_RESERVED 0 SITEPROP SLICE_X40Y118 IS_TEST 0 SITEPROP SLICE_X40Y118 IS_USED 0 SITEPROP SLICE_X40Y118 MANUAL_ROUTING SITEPROP SLICE_X40Y118 NAME SLICE_X40Y118 SITEPROP SLICE_X40Y118 NUM_ARCS 138 SITEPROP SLICE_X40Y118 NUM_BELS 32 SITEPROP SLICE_X40Y118 NUM_INPUTS 32 SITEPROP SLICE_X40Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y118 NUM_PINS 45 SITEPROP SLICE_X40Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y118 PROHIBIT 0 SITEPROP SLICE_X40Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y118 RPM_X 109 SITEPROP SLICE_X40Y118 RPM_Y 236 SITEPROP SLICE_X40Y118 SITE_PIPS SITEPROP SLICE_X40Y118 SITE_TYPE SLICEL SITEPROP SLICE_X40Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y119 CLASS site SITEPROP SLICE_X40Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y119 IS_BONDED 0 SITEPROP SLICE_X40Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y119 IS_PAD 0 SITEPROP SLICE_X40Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y119 IS_RESERVED 0 SITEPROP SLICE_X40Y119 IS_TEST 0 SITEPROP SLICE_X40Y119 IS_USED 0 SITEPROP SLICE_X40Y119 MANUAL_ROUTING SITEPROP SLICE_X40Y119 NAME SLICE_X40Y119 SITEPROP SLICE_X40Y119 NUM_ARCS 138 SITEPROP SLICE_X40Y119 NUM_BELS 32 SITEPROP SLICE_X40Y119 NUM_INPUTS 32 SITEPROP SLICE_X40Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y119 NUM_PINS 45 SITEPROP SLICE_X40Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y119 PROHIBIT 0 SITEPROP SLICE_X40Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y119 RPM_X 109 SITEPROP SLICE_X40Y119 RPM_Y 238 SITEPROP SLICE_X40Y119 SITE_PIPS SITEPROP SLICE_X40Y119 SITE_TYPE SLICEL SITEPROP SLICE_X40Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y120 CLASS site SITEPROP SLICE_X40Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y120 IS_BONDED 0 SITEPROP SLICE_X40Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y120 IS_PAD 0 SITEPROP SLICE_X40Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y120 IS_RESERVED 0 SITEPROP SLICE_X40Y120 IS_TEST 0 SITEPROP SLICE_X40Y120 IS_USED 0 SITEPROP SLICE_X40Y120 MANUAL_ROUTING SITEPROP SLICE_X40Y120 NAME SLICE_X40Y120 SITEPROP SLICE_X40Y120 NUM_ARCS 138 SITEPROP SLICE_X40Y120 NUM_BELS 32 SITEPROP SLICE_X40Y120 NUM_INPUTS 32 SITEPROP SLICE_X40Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y120 NUM_PINS 45 SITEPROP SLICE_X40Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y120 PROHIBIT 0 SITEPROP SLICE_X40Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y120 RPM_X 109 SITEPROP SLICE_X40Y120 RPM_Y 240 SITEPROP SLICE_X40Y120 SITE_PIPS SITEPROP SLICE_X40Y120 SITE_TYPE SLICEL SITEPROP SLICE_X40Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y121 CLASS site SITEPROP SLICE_X40Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y121 IS_BONDED 0 SITEPROP SLICE_X40Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y121 IS_PAD 0 SITEPROP SLICE_X40Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y121 IS_RESERVED 0 SITEPROP SLICE_X40Y121 IS_TEST 0 SITEPROP SLICE_X40Y121 IS_USED 0 SITEPROP SLICE_X40Y121 MANUAL_ROUTING SITEPROP SLICE_X40Y121 NAME SLICE_X40Y121 SITEPROP SLICE_X40Y121 NUM_ARCS 138 SITEPROP SLICE_X40Y121 NUM_BELS 32 SITEPROP SLICE_X40Y121 NUM_INPUTS 32 SITEPROP SLICE_X40Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y121 NUM_PINS 45 SITEPROP SLICE_X40Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y121 PROHIBIT 0 SITEPROP SLICE_X40Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y121 RPM_X 109 SITEPROP SLICE_X40Y121 RPM_Y 242 SITEPROP SLICE_X40Y121 SITE_PIPS SITEPROP SLICE_X40Y121 SITE_TYPE SLICEL SITEPROP SLICE_X40Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y122 CLASS site SITEPROP SLICE_X40Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y122 IS_BONDED 0 SITEPROP SLICE_X40Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y122 IS_PAD 0 SITEPROP SLICE_X40Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y122 IS_RESERVED 0 SITEPROP SLICE_X40Y122 IS_TEST 0 SITEPROP SLICE_X40Y122 IS_USED 0 SITEPROP SLICE_X40Y122 MANUAL_ROUTING SITEPROP SLICE_X40Y122 NAME SLICE_X40Y122 SITEPROP SLICE_X40Y122 NUM_ARCS 138 SITEPROP SLICE_X40Y122 NUM_BELS 32 SITEPROP SLICE_X40Y122 NUM_INPUTS 32 SITEPROP SLICE_X40Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y122 NUM_PINS 45 SITEPROP SLICE_X40Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y122 PROHIBIT 0 SITEPROP SLICE_X40Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y122 RPM_X 109 SITEPROP SLICE_X40Y122 RPM_Y 244 SITEPROP SLICE_X40Y122 SITE_PIPS SITEPROP SLICE_X40Y122 SITE_TYPE SLICEL SITEPROP SLICE_X40Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y123 CLASS site SITEPROP SLICE_X40Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y123 IS_BONDED 0 SITEPROP SLICE_X40Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y123 IS_PAD 0 SITEPROP SLICE_X40Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y123 IS_RESERVED 0 SITEPROP SLICE_X40Y123 IS_TEST 0 SITEPROP SLICE_X40Y123 IS_USED 0 SITEPROP SLICE_X40Y123 MANUAL_ROUTING SITEPROP SLICE_X40Y123 NAME SLICE_X40Y123 SITEPROP SLICE_X40Y123 NUM_ARCS 138 SITEPROP SLICE_X40Y123 NUM_BELS 32 SITEPROP SLICE_X40Y123 NUM_INPUTS 32 SITEPROP SLICE_X40Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y123 NUM_PINS 45 SITEPROP SLICE_X40Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y123 PROHIBIT 0 SITEPROP SLICE_X40Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y123 RPM_X 109 SITEPROP SLICE_X40Y123 RPM_Y 246 SITEPROP SLICE_X40Y123 SITE_PIPS SITEPROP SLICE_X40Y123 SITE_TYPE SLICEL SITEPROP SLICE_X40Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y124 CLASS site SITEPROP SLICE_X40Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y124 IS_BONDED 0 SITEPROP SLICE_X40Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y124 IS_PAD 0 SITEPROP SLICE_X40Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y124 IS_RESERVED 0 SITEPROP SLICE_X40Y124 IS_TEST 0 SITEPROP SLICE_X40Y124 IS_USED 0 SITEPROP SLICE_X40Y124 MANUAL_ROUTING SITEPROP SLICE_X40Y124 NAME SLICE_X40Y124 SITEPROP SLICE_X40Y124 NUM_ARCS 138 SITEPROP SLICE_X40Y124 NUM_BELS 32 SITEPROP SLICE_X40Y124 NUM_INPUTS 32 SITEPROP SLICE_X40Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y124 NUM_PINS 45 SITEPROP SLICE_X40Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y124 PROHIBIT 0 SITEPROP SLICE_X40Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y124 RPM_X 109 SITEPROP SLICE_X40Y124 RPM_Y 248 SITEPROP SLICE_X40Y124 SITE_PIPS SITEPROP SLICE_X40Y124 SITE_TYPE SLICEL SITEPROP SLICE_X40Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y125 CLASS site SITEPROP SLICE_X40Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y125 IS_BONDED 0 SITEPROP SLICE_X40Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y125 IS_PAD 0 SITEPROP SLICE_X40Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y125 IS_RESERVED 0 SITEPROP SLICE_X40Y125 IS_TEST 0 SITEPROP SLICE_X40Y125 IS_USED 0 SITEPROP SLICE_X40Y125 MANUAL_ROUTING SITEPROP SLICE_X40Y125 NAME SLICE_X40Y125 SITEPROP SLICE_X40Y125 NUM_ARCS 138 SITEPROP SLICE_X40Y125 NUM_BELS 32 SITEPROP SLICE_X40Y125 NUM_INPUTS 32 SITEPROP SLICE_X40Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y125 NUM_PINS 45 SITEPROP SLICE_X40Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y125 PROHIBIT 0 SITEPROP SLICE_X40Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y125 RPM_X 109 SITEPROP SLICE_X40Y125 RPM_Y 250 SITEPROP SLICE_X40Y125 SITE_PIPS SITEPROP SLICE_X40Y125 SITE_TYPE SLICEL SITEPROP SLICE_X40Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y126 CLASS site SITEPROP SLICE_X40Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y126 IS_BONDED 0 SITEPROP SLICE_X40Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y126 IS_PAD 0 SITEPROP SLICE_X40Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y126 IS_RESERVED 0 SITEPROP SLICE_X40Y126 IS_TEST 0 SITEPROP SLICE_X40Y126 IS_USED 0 SITEPROP SLICE_X40Y126 MANUAL_ROUTING SITEPROP SLICE_X40Y126 NAME SLICE_X40Y126 SITEPROP SLICE_X40Y126 NUM_ARCS 138 SITEPROP SLICE_X40Y126 NUM_BELS 32 SITEPROP SLICE_X40Y126 NUM_INPUTS 32 SITEPROP SLICE_X40Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y126 NUM_PINS 45 SITEPROP SLICE_X40Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y126 PROHIBIT 0 SITEPROP SLICE_X40Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y126 RPM_X 109 SITEPROP SLICE_X40Y126 RPM_Y 252 SITEPROP SLICE_X40Y126 SITE_PIPS SITEPROP SLICE_X40Y126 SITE_TYPE SLICEL SITEPROP SLICE_X40Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y127 CLASS site SITEPROP SLICE_X40Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y127 IS_BONDED 0 SITEPROP SLICE_X40Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y127 IS_PAD 0 SITEPROP SLICE_X40Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y127 IS_RESERVED 0 SITEPROP SLICE_X40Y127 IS_TEST 0 SITEPROP SLICE_X40Y127 IS_USED 0 SITEPROP SLICE_X40Y127 MANUAL_ROUTING SITEPROP SLICE_X40Y127 NAME SLICE_X40Y127 SITEPROP SLICE_X40Y127 NUM_ARCS 138 SITEPROP SLICE_X40Y127 NUM_BELS 32 SITEPROP SLICE_X40Y127 NUM_INPUTS 32 SITEPROP SLICE_X40Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y127 NUM_PINS 45 SITEPROP SLICE_X40Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y127 PROHIBIT 0 SITEPROP SLICE_X40Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y127 RPM_X 109 SITEPROP SLICE_X40Y127 RPM_Y 254 SITEPROP SLICE_X40Y127 SITE_PIPS SITEPROP SLICE_X40Y127 SITE_TYPE SLICEL SITEPROP SLICE_X40Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y128 CLASS site SITEPROP SLICE_X40Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y128 IS_BONDED 0 SITEPROP SLICE_X40Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y128 IS_PAD 0 SITEPROP SLICE_X40Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y128 IS_RESERVED 0 SITEPROP SLICE_X40Y128 IS_TEST 0 SITEPROP SLICE_X40Y128 IS_USED 0 SITEPROP SLICE_X40Y128 MANUAL_ROUTING SITEPROP SLICE_X40Y128 NAME SLICE_X40Y128 SITEPROP SLICE_X40Y128 NUM_ARCS 138 SITEPROP SLICE_X40Y128 NUM_BELS 32 SITEPROP SLICE_X40Y128 NUM_INPUTS 32 SITEPROP SLICE_X40Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y128 NUM_PINS 45 SITEPROP SLICE_X40Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y128 PROHIBIT 0 SITEPROP SLICE_X40Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y128 RPM_X 109 SITEPROP SLICE_X40Y128 RPM_Y 256 SITEPROP SLICE_X40Y128 SITE_PIPS SITEPROP SLICE_X40Y128 SITE_TYPE SLICEL SITEPROP SLICE_X40Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y129 CLASS site SITEPROP SLICE_X40Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y129 IS_BONDED 0 SITEPROP SLICE_X40Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y129 IS_PAD 0 SITEPROP SLICE_X40Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y129 IS_RESERVED 0 SITEPROP SLICE_X40Y129 IS_TEST 0 SITEPROP SLICE_X40Y129 IS_USED 0 SITEPROP SLICE_X40Y129 MANUAL_ROUTING SITEPROP SLICE_X40Y129 NAME SLICE_X40Y129 SITEPROP SLICE_X40Y129 NUM_ARCS 138 SITEPROP SLICE_X40Y129 NUM_BELS 32 SITEPROP SLICE_X40Y129 NUM_INPUTS 32 SITEPROP SLICE_X40Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y129 NUM_PINS 45 SITEPROP SLICE_X40Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y129 PROHIBIT 0 SITEPROP SLICE_X40Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y129 RPM_X 109 SITEPROP SLICE_X40Y129 RPM_Y 258 SITEPROP SLICE_X40Y129 SITE_PIPS SITEPROP SLICE_X40Y129 SITE_TYPE SLICEL SITEPROP SLICE_X40Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y130 CLASS site SITEPROP SLICE_X40Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y130 IS_BONDED 0 SITEPROP SLICE_X40Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y130 IS_PAD 0 SITEPROP SLICE_X40Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y130 IS_RESERVED 0 SITEPROP SLICE_X40Y130 IS_TEST 0 SITEPROP SLICE_X40Y130 IS_USED 0 SITEPROP SLICE_X40Y130 MANUAL_ROUTING SITEPROP SLICE_X40Y130 NAME SLICE_X40Y130 SITEPROP SLICE_X40Y130 NUM_ARCS 138 SITEPROP SLICE_X40Y130 NUM_BELS 32 SITEPROP SLICE_X40Y130 NUM_INPUTS 32 SITEPROP SLICE_X40Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y130 NUM_PINS 45 SITEPROP SLICE_X40Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y130 PROHIBIT 0 SITEPROP SLICE_X40Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y130 RPM_X 109 SITEPROP SLICE_X40Y130 RPM_Y 260 SITEPROP SLICE_X40Y130 SITE_PIPS SITEPROP SLICE_X40Y130 SITE_TYPE SLICEL SITEPROP SLICE_X40Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y131 CLASS site SITEPROP SLICE_X40Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y131 IS_BONDED 0 SITEPROP SLICE_X40Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y131 IS_PAD 0 SITEPROP SLICE_X40Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y131 IS_RESERVED 0 SITEPROP SLICE_X40Y131 IS_TEST 0 SITEPROP SLICE_X40Y131 IS_USED 0 SITEPROP SLICE_X40Y131 MANUAL_ROUTING SITEPROP SLICE_X40Y131 NAME SLICE_X40Y131 SITEPROP SLICE_X40Y131 NUM_ARCS 138 SITEPROP SLICE_X40Y131 NUM_BELS 32 SITEPROP SLICE_X40Y131 NUM_INPUTS 32 SITEPROP SLICE_X40Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y131 NUM_PINS 45 SITEPROP SLICE_X40Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y131 PROHIBIT 0 SITEPROP SLICE_X40Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y131 RPM_X 109 SITEPROP SLICE_X40Y131 RPM_Y 262 SITEPROP SLICE_X40Y131 SITE_PIPS SITEPROP SLICE_X40Y131 SITE_TYPE SLICEL SITEPROP SLICE_X40Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y132 CLASS site SITEPROP SLICE_X40Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y132 IS_BONDED 0 SITEPROP SLICE_X40Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y132 IS_PAD 0 SITEPROP SLICE_X40Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y132 IS_RESERVED 0 SITEPROP SLICE_X40Y132 IS_TEST 0 SITEPROP SLICE_X40Y132 IS_USED 0 SITEPROP SLICE_X40Y132 MANUAL_ROUTING SITEPROP SLICE_X40Y132 NAME SLICE_X40Y132 SITEPROP SLICE_X40Y132 NUM_ARCS 138 SITEPROP SLICE_X40Y132 NUM_BELS 32 SITEPROP SLICE_X40Y132 NUM_INPUTS 32 SITEPROP SLICE_X40Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y132 NUM_PINS 45 SITEPROP SLICE_X40Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y132 PROHIBIT 0 SITEPROP SLICE_X40Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y132 RPM_X 109 SITEPROP SLICE_X40Y132 RPM_Y 264 SITEPROP SLICE_X40Y132 SITE_PIPS SITEPROP SLICE_X40Y132 SITE_TYPE SLICEL SITEPROP SLICE_X40Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y133 CLASS site SITEPROP SLICE_X40Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y133 IS_BONDED 0 SITEPROP SLICE_X40Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y133 IS_PAD 0 SITEPROP SLICE_X40Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y133 IS_RESERVED 0 SITEPROP SLICE_X40Y133 IS_TEST 0 SITEPROP SLICE_X40Y133 IS_USED 0 SITEPROP SLICE_X40Y133 MANUAL_ROUTING SITEPROP SLICE_X40Y133 NAME SLICE_X40Y133 SITEPROP SLICE_X40Y133 NUM_ARCS 138 SITEPROP SLICE_X40Y133 NUM_BELS 32 SITEPROP SLICE_X40Y133 NUM_INPUTS 32 SITEPROP SLICE_X40Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y133 NUM_PINS 45 SITEPROP SLICE_X40Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y133 PROHIBIT 0 SITEPROP SLICE_X40Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y133 RPM_X 109 SITEPROP SLICE_X40Y133 RPM_Y 266 SITEPROP SLICE_X40Y133 SITE_PIPS SITEPROP SLICE_X40Y133 SITE_TYPE SLICEL SITEPROP SLICE_X40Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y134 CLASS site SITEPROP SLICE_X40Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y134 IS_BONDED 0 SITEPROP SLICE_X40Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y134 IS_PAD 0 SITEPROP SLICE_X40Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y134 IS_RESERVED 0 SITEPROP SLICE_X40Y134 IS_TEST 0 SITEPROP SLICE_X40Y134 IS_USED 0 SITEPROP SLICE_X40Y134 MANUAL_ROUTING SITEPROP SLICE_X40Y134 NAME SLICE_X40Y134 SITEPROP SLICE_X40Y134 NUM_ARCS 138 SITEPROP SLICE_X40Y134 NUM_BELS 32 SITEPROP SLICE_X40Y134 NUM_INPUTS 32 SITEPROP SLICE_X40Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y134 NUM_PINS 45 SITEPROP SLICE_X40Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y134 PROHIBIT 0 SITEPROP SLICE_X40Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y134 RPM_X 109 SITEPROP SLICE_X40Y134 RPM_Y 268 SITEPROP SLICE_X40Y134 SITE_PIPS SITEPROP SLICE_X40Y134 SITE_TYPE SLICEL SITEPROP SLICE_X40Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y135 CLASS site SITEPROP SLICE_X40Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y135 IS_BONDED 0 SITEPROP SLICE_X40Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y135 IS_PAD 0 SITEPROP SLICE_X40Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y135 IS_RESERVED 0 SITEPROP SLICE_X40Y135 IS_TEST 0 SITEPROP SLICE_X40Y135 IS_USED 0 SITEPROP SLICE_X40Y135 MANUAL_ROUTING SITEPROP SLICE_X40Y135 NAME SLICE_X40Y135 SITEPROP SLICE_X40Y135 NUM_ARCS 138 SITEPROP SLICE_X40Y135 NUM_BELS 32 SITEPROP SLICE_X40Y135 NUM_INPUTS 32 SITEPROP SLICE_X40Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y135 NUM_PINS 45 SITEPROP SLICE_X40Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y135 PROHIBIT 0 SITEPROP SLICE_X40Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y135 RPM_X 109 SITEPROP SLICE_X40Y135 RPM_Y 270 SITEPROP SLICE_X40Y135 SITE_PIPS SITEPROP SLICE_X40Y135 SITE_TYPE SLICEL SITEPROP SLICE_X40Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y136 CLASS site SITEPROP SLICE_X40Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y136 IS_BONDED 0 SITEPROP SLICE_X40Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y136 IS_PAD 0 SITEPROP SLICE_X40Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y136 IS_RESERVED 0 SITEPROP SLICE_X40Y136 IS_TEST 0 SITEPROP SLICE_X40Y136 IS_USED 0 SITEPROP SLICE_X40Y136 MANUAL_ROUTING SITEPROP SLICE_X40Y136 NAME SLICE_X40Y136 SITEPROP SLICE_X40Y136 NUM_ARCS 138 SITEPROP SLICE_X40Y136 NUM_BELS 32 SITEPROP SLICE_X40Y136 NUM_INPUTS 32 SITEPROP SLICE_X40Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y136 NUM_PINS 45 SITEPROP SLICE_X40Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y136 PROHIBIT 0 SITEPROP SLICE_X40Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y136 RPM_X 109 SITEPROP SLICE_X40Y136 RPM_Y 272 SITEPROP SLICE_X40Y136 SITE_PIPS SITEPROP SLICE_X40Y136 SITE_TYPE SLICEL SITEPROP SLICE_X40Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y137 CLASS site SITEPROP SLICE_X40Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y137 IS_BONDED 0 SITEPROP SLICE_X40Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y137 IS_PAD 0 SITEPROP SLICE_X40Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y137 IS_RESERVED 0 SITEPROP SLICE_X40Y137 IS_TEST 0 SITEPROP SLICE_X40Y137 IS_USED 0 SITEPROP SLICE_X40Y137 MANUAL_ROUTING SITEPROP SLICE_X40Y137 NAME SLICE_X40Y137 SITEPROP SLICE_X40Y137 NUM_ARCS 138 SITEPROP SLICE_X40Y137 NUM_BELS 32 SITEPROP SLICE_X40Y137 NUM_INPUTS 32 SITEPROP SLICE_X40Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y137 NUM_PINS 45 SITEPROP SLICE_X40Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y137 PROHIBIT 0 SITEPROP SLICE_X40Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y137 RPM_X 109 SITEPROP SLICE_X40Y137 RPM_Y 274 SITEPROP SLICE_X40Y137 SITE_PIPS SITEPROP SLICE_X40Y137 SITE_TYPE SLICEL SITEPROP SLICE_X40Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y138 CLASS site SITEPROP SLICE_X40Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y138 IS_BONDED 0 SITEPROP SLICE_X40Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y138 IS_PAD 0 SITEPROP SLICE_X40Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y138 IS_RESERVED 0 SITEPROP SLICE_X40Y138 IS_TEST 0 SITEPROP SLICE_X40Y138 IS_USED 0 SITEPROP SLICE_X40Y138 MANUAL_ROUTING SITEPROP SLICE_X40Y138 NAME SLICE_X40Y138 SITEPROP SLICE_X40Y138 NUM_ARCS 138 SITEPROP SLICE_X40Y138 NUM_BELS 32 SITEPROP SLICE_X40Y138 NUM_INPUTS 32 SITEPROP SLICE_X40Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y138 NUM_PINS 45 SITEPROP SLICE_X40Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y138 PROHIBIT 0 SITEPROP SLICE_X40Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y138 RPM_X 109 SITEPROP SLICE_X40Y138 RPM_Y 276 SITEPROP SLICE_X40Y138 SITE_PIPS SITEPROP SLICE_X40Y138 SITE_TYPE SLICEL SITEPROP SLICE_X40Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y139 CLASS site SITEPROP SLICE_X40Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y139 IS_BONDED 0 SITEPROP SLICE_X40Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y139 IS_PAD 0 SITEPROP SLICE_X40Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y139 IS_RESERVED 0 SITEPROP SLICE_X40Y139 IS_TEST 0 SITEPROP SLICE_X40Y139 IS_USED 0 SITEPROP SLICE_X40Y139 MANUAL_ROUTING SITEPROP SLICE_X40Y139 NAME SLICE_X40Y139 SITEPROP SLICE_X40Y139 NUM_ARCS 138 SITEPROP SLICE_X40Y139 NUM_BELS 32 SITEPROP SLICE_X40Y139 NUM_INPUTS 32 SITEPROP SLICE_X40Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y139 NUM_PINS 45 SITEPROP SLICE_X40Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y139 PROHIBIT 0 SITEPROP SLICE_X40Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y139 RPM_X 109 SITEPROP SLICE_X40Y139 RPM_Y 278 SITEPROP SLICE_X40Y139 SITE_PIPS SITEPROP SLICE_X40Y139 SITE_TYPE SLICEL SITEPROP SLICE_X40Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y140 CLASS site SITEPROP SLICE_X40Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y140 IS_BONDED 0 SITEPROP SLICE_X40Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y140 IS_PAD 0 SITEPROP SLICE_X40Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y140 IS_RESERVED 0 SITEPROP SLICE_X40Y140 IS_TEST 0 SITEPROP SLICE_X40Y140 IS_USED 0 SITEPROP SLICE_X40Y140 MANUAL_ROUTING SITEPROP SLICE_X40Y140 NAME SLICE_X40Y140 SITEPROP SLICE_X40Y140 NUM_ARCS 138 SITEPROP SLICE_X40Y140 NUM_BELS 32 SITEPROP SLICE_X40Y140 NUM_INPUTS 32 SITEPROP SLICE_X40Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y140 NUM_PINS 45 SITEPROP SLICE_X40Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y140 PROHIBIT 0 SITEPROP SLICE_X40Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y140 RPM_X 109 SITEPROP SLICE_X40Y140 RPM_Y 280 SITEPROP SLICE_X40Y140 SITE_PIPS SITEPROP SLICE_X40Y140 SITE_TYPE SLICEL SITEPROP SLICE_X40Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y141 CLASS site SITEPROP SLICE_X40Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y141 IS_BONDED 0 SITEPROP SLICE_X40Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y141 IS_PAD 0 SITEPROP SLICE_X40Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y141 IS_RESERVED 0 SITEPROP SLICE_X40Y141 IS_TEST 0 SITEPROP SLICE_X40Y141 IS_USED 0 SITEPROP SLICE_X40Y141 MANUAL_ROUTING SITEPROP SLICE_X40Y141 NAME SLICE_X40Y141 SITEPROP SLICE_X40Y141 NUM_ARCS 138 SITEPROP SLICE_X40Y141 NUM_BELS 32 SITEPROP SLICE_X40Y141 NUM_INPUTS 32 SITEPROP SLICE_X40Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y141 NUM_PINS 45 SITEPROP SLICE_X40Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y141 PROHIBIT 0 SITEPROP SLICE_X40Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y141 RPM_X 109 SITEPROP SLICE_X40Y141 RPM_Y 282 SITEPROP SLICE_X40Y141 SITE_PIPS SITEPROP SLICE_X40Y141 SITE_TYPE SLICEL SITEPROP SLICE_X40Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y142 CLASS site SITEPROP SLICE_X40Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y142 IS_BONDED 0 SITEPROP SLICE_X40Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y142 IS_PAD 0 SITEPROP SLICE_X40Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y142 IS_RESERVED 0 SITEPROP SLICE_X40Y142 IS_TEST 0 SITEPROP SLICE_X40Y142 IS_USED 0 SITEPROP SLICE_X40Y142 MANUAL_ROUTING SITEPROP SLICE_X40Y142 NAME SLICE_X40Y142 SITEPROP SLICE_X40Y142 NUM_ARCS 138 SITEPROP SLICE_X40Y142 NUM_BELS 32 SITEPROP SLICE_X40Y142 NUM_INPUTS 32 SITEPROP SLICE_X40Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y142 NUM_PINS 45 SITEPROP SLICE_X40Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y142 PROHIBIT 0 SITEPROP SLICE_X40Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y142 RPM_X 109 SITEPROP SLICE_X40Y142 RPM_Y 284 SITEPROP SLICE_X40Y142 SITE_PIPS SITEPROP SLICE_X40Y142 SITE_TYPE SLICEL SITEPROP SLICE_X40Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y143 CLASS site SITEPROP SLICE_X40Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y143 IS_BONDED 0 SITEPROP SLICE_X40Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y143 IS_PAD 0 SITEPROP SLICE_X40Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y143 IS_RESERVED 0 SITEPROP SLICE_X40Y143 IS_TEST 0 SITEPROP SLICE_X40Y143 IS_USED 0 SITEPROP SLICE_X40Y143 MANUAL_ROUTING SITEPROP SLICE_X40Y143 NAME SLICE_X40Y143 SITEPROP SLICE_X40Y143 NUM_ARCS 138 SITEPROP SLICE_X40Y143 NUM_BELS 32 SITEPROP SLICE_X40Y143 NUM_INPUTS 32 SITEPROP SLICE_X40Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y143 NUM_PINS 45 SITEPROP SLICE_X40Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y143 PROHIBIT 0 SITEPROP SLICE_X40Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y143 RPM_X 109 SITEPROP SLICE_X40Y143 RPM_Y 286 SITEPROP SLICE_X40Y143 SITE_PIPS SITEPROP SLICE_X40Y143 SITE_TYPE SLICEL SITEPROP SLICE_X40Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y144 CLASS site SITEPROP SLICE_X40Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y144 IS_BONDED 0 SITEPROP SLICE_X40Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y144 IS_PAD 0 SITEPROP SLICE_X40Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y144 IS_RESERVED 0 SITEPROP SLICE_X40Y144 IS_TEST 0 SITEPROP SLICE_X40Y144 IS_USED 0 SITEPROP SLICE_X40Y144 MANUAL_ROUTING SITEPROP SLICE_X40Y144 NAME SLICE_X40Y144 SITEPROP SLICE_X40Y144 NUM_ARCS 138 SITEPROP SLICE_X40Y144 NUM_BELS 32 SITEPROP SLICE_X40Y144 NUM_INPUTS 32 SITEPROP SLICE_X40Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y144 NUM_PINS 45 SITEPROP SLICE_X40Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y144 PROHIBIT 0 SITEPROP SLICE_X40Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y144 RPM_X 109 SITEPROP SLICE_X40Y144 RPM_Y 288 SITEPROP SLICE_X40Y144 SITE_PIPS SITEPROP SLICE_X40Y144 SITE_TYPE SLICEL SITEPROP SLICE_X40Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y145 CLASS site SITEPROP SLICE_X40Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y145 IS_BONDED 0 SITEPROP SLICE_X40Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y145 IS_PAD 0 SITEPROP SLICE_X40Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y145 IS_RESERVED 0 SITEPROP SLICE_X40Y145 IS_TEST 0 SITEPROP SLICE_X40Y145 IS_USED 0 SITEPROP SLICE_X40Y145 MANUAL_ROUTING SITEPROP SLICE_X40Y145 NAME SLICE_X40Y145 SITEPROP SLICE_X40Y145 NUM_ARCS 138 SITEPROP SLICE_X40Y145 NUM_BELS 32 SITEPROP SLICE_X40Y145 NUM_INPUTS 32 SITEPROP SLICE_X40Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y145 NUM_PINS 45 SITEPROP SLICE_X40Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y145 PROHIBIT 0 SITEPROP SLICE_X40Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y145 RPM_X 109 SITEPROP SLICE_X40Y145 RPM_Y 290 SITEPROP SLICE_X40Y145 SITE_PIPS SITEPROP SLICE_X40Y145 SITE_TYPE SLICEL SITEPROP SLICE_X40Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y146 CLASS site SITEPROP SLICE_X40Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y146 IS_BONDED 0 SITEPROP SLICE_X40Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y146 IS_PAD 0 SITEPROP SLICE_X40Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y146 IS_RESERVED 0 SITEPROP SLICE_X40Y146 IS_TEST 0 SITEPROP SLICE_X40Y146 IS_USED 0 SITEPROP SLICE_X40Y146 MANUAL_ROUTING SITEPROP SLICE_X40Y146 NAME SLICE_X40Y146 SITEPROP SLICE_X40Y146 NUM_ARCS 138 SITEPROP SLICE_X40Y146 NUM_BELS 32 SITEPROP SLICE_X40Y146 NUM_INPUTS 32 SITEPROP SLICE_X40Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y146 NUM_PINS 45 SITEPROP SLICE_X40Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y146 PROHIBIT 0 SITEPROP SLICE_X40Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y146 RPM_X 109 SITEPROP SLICE_X40Y146 RPM_Y 292 SITEPROP SLICE_X40Y146 SITE_PIPS SITEPROP SLICE_X40Y146 SITE_TYPE SLICEL SITEPROP SLICE_X40Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y147 CLASS site SITEPROP SLICE_X40Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y147 IS_BONDED 0 SITEPROP SLICE_X40Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y147 IS_PAD 0 SITEPROP SLICE_X40Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y147 IS_RESERVED 0 SITEPROP SLICE_X40Y147 IS_TEST 0 SITEPROP SLICE_X40Y147 IS_USED 0 SITEPROP SLICE_X40Y147 MANUAL_ROUTING SITEPROP SLICE_X40Y147 NAME SLICE_X40Y147 SITEPROP SLICE_X40Y147 NUM_ARCS 138 SITEPROP SLICE_X40Y147 NUM_BELS 32 SITEPROP SLICE_X40Y147 NUM_INPUTS 32 SITEPROP SLICE_X40Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y147 NUM_PINS 45 SITEPROP SLICE_X40Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y147 PROHIBIT 0 SITEPROP SLICE_X40Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y147 RPM_X 109 SITEPROP SLICE_X40Y147 RPM_Y 294 SITEPROP SLICE_X40Y147 SITE_PIPS SITEPROP SLICE_X40Y147 SITE_TYPE SLICEL SITEPROP SLICE_X40Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y148 CLASS site SITEPROP SLICE_X40Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y148 IS_BONDED 0 SITEPROP SLICE_X40Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y148 IS_PAD 0 SITEPROP SLICE_X40Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y148 IS_RESERVED 0 SITEPROP SLICE_X40Y148 IS_TEST 0 SITEPROP SLICE_X40Y148 IS_USED 0 SITEPROP SLICE_X40Y148 MANUAL_ROUTING SITEPROP SLICE_X40Y148 NAME SLICE_X40Y148 SITEPROP SLICE_X40Y148 NUM_ARCS 138 SITEPROP SLICE_X40Y148 NUM_BELS 32 SITEPROP SLICE_X40Y148 NUM_INPUTS 32 SITEPROP SLICE_X40Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y148 NUM_PINS 45 SITEPROP SLICE_X40Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y148 PROHIBIT 0 SITEPROP SLICE_X40Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y148 RPM_X 109 SITEPROP SLICE_X40Y148 RPM_Y 296 SITEPROP SLICE_X40Y148 SITE_PIPS SITEPROP SLICE_X40Y148 SITE_TYPE SLICEL SITEPROP SLICE_X40Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X40Y149 CLASS site SITEPROP SLICE_X40Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X40Y149 IS_BONDED 0 SITEPROP SLICE_X40Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X40Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y149 IS_PAD 0 SITEPROP SLICE_X40Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X40Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X40Y149 IS_RESERVED 0 SITEPROP SLICE_X40Y149 IS_TEST 0 SITEPROP SLICE_X40Y149 IS_USED 0 SITEPROP SLICE_X40Y149 MANUAL_ROUTING SITEPROP SLICE_X40Y149 NAME SLICE_X40Y149 SITEPROP SLICE_X40Y149 NUM_ARCS 138 SITEPROP SLICE_X40Y149 NUM_BELS 32 SITEPROP SLICE_X40Y149 NUM_INPUTS 32 SITEPROP SLICE_X40Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X40Y149 NUM_PINS 45 SITEPROP SLICE_X40Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X40Y149 PROHIBIT 0 SITEPROP SLICE_X40Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X40Y149 RPM_X 109 SITEPROP SLICE_X40Y149 RPM_Y 298 SITEPROP SLICE_X40Y149 SITE_PIPS SITEPROP SLICE_X40Y149 SITE_TYPE SLICEL SITEPROP SLICE_X41Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y0 CLASS site SITEPROP SLICE_X41Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y0 IS_BONDED 0 SITEPROP SLICE_X41Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y0 IS_PAD 0 SITEPROP SLICE_X41Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y0 IS_RESERVED 0 SITEPROP SLICE_X41Y0 IS_TEST 0 SITEPROP SLICE_X41Y0 IS_USED 0 SITEPROP SLICE_X41Y0 MANUAL_ROUTING SITEPROP SLICE_X41Y0 NAME SLICE_X41Y0 SITEPROP SLICE_X41Y0 NUM_ARCS 138 SITEPROP SLICE_X41Y0 NUM_BELS 32 SITEPROP SLICE_X41Y0 NUM_INPUTS 32 SITEPROP SLICE_X41Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y0 NUM_PINS 45 SITEPROP SLICE_X41Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y0 PROHIBIT 0 SITEPROP SLICE_X41Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y0 RPM_X 111 SITEPROP SLICE_X41Y0 RPM_Y 0 SITEPROP SLICE_X41Y0 SITE_PIPS SITEPROP SLICE_X41Y0 SITE_TYPE SLICEL SITEPROP SLICE_X41Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y1 CLASS site SITEPROP SLICE_X41Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y1 IS_BONDED 0 SITEPROP SLICE_X41Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y1 IS_PAD 0 SITEPROP SLICE_X41Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y1 IS_RESERVED 0 SITEPROP SLICE_X41Y1 IS_TEST 0 SITEPROP SLICE_X41Y1 IS_USED 0 SITEPROP SLICE_X41Y1 MANUAL_ROUTING SITEPROP SLICE_X41Y1 NAME SLICE_X41Y1 SITEPROP SLICE_X41Y1 NUM_ARCS 138 SITEPROP SLICE_X41Y1 NUM_BELS 32 SITEPROP SLICE_X41Y1 NUM_INPUTS 32 SITEPROP SLICE_X41Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y1 NUM_PINS 45 SITEPROP SLICE_X41Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y1 PROHIBIT 0 SITEPROP SLICE_X41Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y1 RPM_X 111 SITEPROP SLICE_X41Y1 RPM_Y 2 SITEPROP SLICE_X41Y1 SITE_PIPS SITEPROP SLICE_X41Y1 SITE_TYPE SLICEL SITEPROP SLICE_X41Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y2 CLASS site SITEPROP SLICE_X41Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y2 IS_BONDED 0 SITEPROP SLICE_X41Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y2 IS_PAD 0 SITEPROP SLICE_X41Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y2 IS_RESERVED 0 SITEPROP SLICE_X41Y2 IS_TEST 0 SITEPROP SLICE_X41Y2 IS_USED 0 SITEPROP SLICE_X41Y2 MANUAL_ROUTING SITEPROP SLICE_X41Y2 NAME SLICE_X41Y2 SITEPROP SLICE_X41Y2 NUM_ARCS 138 SITEPROP SLICE_X41Y2 NUM_BELS 32 SITEPROP SLICE_X41Y2 NUM_INPUTS 32 SITEPROP SLICE_X41Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y2 NUM_PINS 45 SITEPROP SLICE_X41Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y2 PROHIBIT 0 SITEPROP SLICE_X41Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y2 RPM_X 111 SITEPROP SLICE_X41Y2 RPM_Y 4 SITEPROP SLICE_X41Y2 SITE_PIPS SITEPROP SLICE_X41Y2 SITE_TYPE SLICEL SITEPROP SLICE_X41Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y3 CLASS site SITEPROP SLICE_X41Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y3 IS_BONDED 0 SITEPROP SLICE_X41Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y3 IS_PAD 0 SITEPROP SLICE_X41Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y3 IS_RESERVED 0 SITEPROP SLICE_X41Y3 IS_TEST 0 SITEPROP SLICE_X41Y3 IS_USED 0 SITEPROP SLICE_X41Y3 MANUAL_ROUTING SITEPROP SLICE_X41Y3 NAME SLICE_X41Y3 SITEPROP SLICE_X41Y3 NUM_ARCS 138 SITEPROP SLICE_X41Y3 NUM_BELS 32 SITEPROP SLICE_X41Y3 NUM_INPUTS 32 SITEPROP SLICE_X41Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y3 NUM_PINS 45 SITEPROP SLICE_X41Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y3 PROHIBIT 0 SITEPROP SLICE_X41Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y3 RPM_X 111 SITEPROP SLICE_X41Y3 RPM_Y 6 SITEPROP SLICE_X41Y3 SITE_PIPS SITEPROP SLICE_X41Y3 SITE_TYPE SLICEL SITEPROP SLICE_X41Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y4 CLASS site SITEPROP SLICE_X41Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y4 IS_BONDED 0 SITEPROP SLICE_X41Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y4 IS_PAD 0 SITEPROP SLICE_X41Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y4 IS_RESERVED 0 SITEPROP SLICE_X41Y4 IS_TEST 0 SITEPROP SLICE_X41Y4 IS_USED 0 SITEPROP SLICE_X41Y4 MANUAL_ROUTING SITEPROP SLICE_X41Y4 NAME SLICE_X41Y4 SITEPROP SLICE_X41Y4 NUM_ARCS 138 SITEPROP SLICE_X41Y4 NUM_BELS 32 SITEPROP SLICE_X41Y4 NUM_INPUTS 32 SITEPROP SLICE_X41Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y4 NUM_PINS 45 SITEPROP SLICE_X41Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y4 PROHIBIT 0 SITEPROP SLICE_X41Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y4 RPM_X 111 SITEPROP SLICE_X41Y4 RPM_Y 8 SITEPROP SLICE_X41Y4 SITE_PIPS SITEPROP SLICE_X41Y4 SITE_TYPE SLICEL SITEPROP SLICE_X41Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y5 CLASS site SITEPROP SLICE_X41Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y5 IS_BONDED 0 SITEPROP SLICE_X41Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y5 IS_PAD 0 SITEPROP SLICE_X41Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y5 IS_RESERVED 0 SITEPROP SLICE_X41Y5 IS_TEST 0 SITEPROP SLICE_X41Y5 IS_USED 0 SITEPROP SLICE_X41Y5 MANUAL_ROUTING SITEPROP SLICE_X41Y5 NAME SLICE_X41Y5 SITEPROP SLICE_X41Y5 NUM_ARCS 138 SITEPROP SLICE_X41Y5 NUM_BELS 32 SITEPROP SLICE_X41Y5 NUM_INPUTS 32 SITEPROP SLICE_X41Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y5 NUM_PINS 45 SITEPROP SLICE_X41Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y5 PROHIBIT 0 SITEPROP SLICE_X41Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y5 RPM_X 111 SITEPROP SLICE_X41Y5 RPM_Y 10 SITEPROP SLICE_X41Y5 SITE_PIPS SITEPROP SLICE_X41Y5 SITE_TYPE SLICEL SITEPROP SLICE_X41Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y6 CLASS site SITEPROP SLICE_X41Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y6 IS_BONDED 0 SITEPROP SLICE_X41Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y6 IS_PAD 0 SITEPROP SLICE_X41Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y6 IS_RESERVED 0 SITEPROP SLICE_X41Y6 IS_TEST 0 SITEPROP SLICE_X41Y6 IS_USED 0 SITEPROP SLICE_X41Y6 MANUAL_ROUTING SITEPROP SLICE_X41Y6 NAME SLICE_X41Y6 SITEPROP SLICE_X41Y6 NUM_ARCS 138 SITEPROP SLICE_X41Y6 NUM_BELS 32 SITEPROP SLICE_X41Y6 NUM_INPUTS 32 SITEPROP SLICE_X41Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y6 NUM_PINS 45 SITEPROP SLICE_X41Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y6 PROHIBIT 0 SITEPROP SLICE_X41Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y6 RPM_X 111 SITEPROP SLICE_X41Y6 RPM_Y 12 SITEPROP SLICE_X41Y6 SITE_PIPS SITEPROP SLICE_X41Y6 SITE_TYPE SLICEL SITEPROP SLICE_X41Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y7 CLASS site SITEPROP SLICE_X41Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y7 IS_BONDED 0 SITEPROP SLICE_X41Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y7 IS_PAD 0 SITEPROP SLICE_X41Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y7 IS_RESERVED 0 SITEPROP SLICE_X41Y7 IS_TEST 0 SITEPROP SLICE_X41Y7 IS_USED 0 SITEPROP SLICE_X41Y7 MANUAL_ROUTING SITEPROP SLICE_X41Y7 NAME SLICE_X41Y7 SITEPROP SLICE_X41Y7 NUM_ARCS 138 SITEPROP SLICE_X41Y7 NUM_BELS 32 SITEPROP SLICE_X41Y7 NUM_INPUTS 32 SITEPROP SLICE_X41Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y7 NUM_PINS 45 SITEPROP SLICE_X41Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y7 PROHIBIT 0 SITEPROP SLICE_X41Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y7 RPM_X 111 SITEPROP SLICE_X41Y7 RPM_Y 14 SITEPROP SLICE_X41Y7 SITE_PIPS SITEPROP SLICE_X41Y7 SITE_TYPE SLICEL SITEPROP SLICE_X41Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y8 CLASS site SITEPROP SLICE_X41Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y8 IS_BONDED 0 SITEPROP SLICE_X41Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y8 IS_PAD 0 SITEPROP SLICE_X41Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y8 IS_RESERVED 0 SITEPROP SLICE_X41Y8 IS_TEST 0 SITEPROP SLICE_X41Y8 IS_USED 0 SITEPROP SLICE_X41Y8 MANUAL_ROUTING SITEPROP SLICE_X41Y8 NAME SLICE_X41Y8 SITEPROP SLICE_X41Y8 NUM_ARCS 138 SITEPROP SLICE_X41Y8 NUM_BELS 32 SITEPROP SLICE_X41Y8 NUM_INPUTS 32 SITEPROP SLICE_X41Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y8 NUM_PINS 45 SITEPROP SLICE_X41Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y8 PROHIBIT 0 SITEPROP SLICE_X41Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y8 RPM_X 111 SITEPROP SLICE_X41Y8 RPM_Y 16 SITEPROP SLICE_X41Y8 SITE_PIPS SITEPROP SLICE_X41Y8 SITE_TYPE SLICEL SITEPROP SLICE_X41Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y9 CLASS site SITEPROP SLICE_X41Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y9 IS_BONDED 0 SITEPROP SLICE_X41Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y9 IS_PAD 0 SITEPROP SLICE_X41Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y9 IS_RESERVED 0 SITEPROP SLICE_X41Y9 IS_TEST 0 SITEPROP SLICE_X41Y9 IS_USED 0 SITEPROP SLICE_X41Y9 MANUAL_ROUTING SITEPROP SLICE_X41Y9 NAME SLICE_X41Y9 SITEPROP SLICE_X41Y9 NUM_ARCS 138 SITEPROP SLICE_X41Y9 NUM_BELS 32 SITEPROP SLICE_X41Y9 NUM_INPUTS 32 SITEPROP SLICE_X41Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y9 NUM_PINS 45 SITEPROP SLICE_X41Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y9 PROHIBIT 0 SITEPROP SLICE_X41Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y9 RPM_X 111 SITEPROP SLICE_X41Y9 RPM_Y 18 SITEPROP SLICE_X41Y9 SITE_PIPS SITEPROP SLICE_X41Y9 SITE_TYPE SLICEL SITEPROP SLICE_X41Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y10 CLASS site SITEPROP SLICE_X41Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y10 IS_BONDED 0 SITEPROP SLICE_X41Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y10 IS_PAD 0 SITEPROP SLICE_X41Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y10 IS_RESERVED 0 SITEPROP SLICE_X41Y10 IS_TEST 0 SITEPROP SLICE_X41Y10 IS_USED 0 SITEPROP SLICE_X41Y10 MANUAL_ROUTING SITEPROP SLICE_X41Y10 NAME SLICE_X41Y10 SITEPROP SLICE_X41Y10 NUM_ARCS 138 SITEPROP SLICE_X41Y10 NUM_BELS 32 SITEPROP SLICE_X41Y10 NUM_INPUTS 32 SITEPROP SLICE_X41Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y10 NUM_PINS 45 SITEPROP SLICE_X41Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y10 PROHIBIT 0 SITEPROP SLICE_X41Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y10 RPM_X 111 SITEPROP SLICE_X41Y10 RPM_Y 20 SITEPROP SLICE_X41Y10 SITE_PIPS SITEPROP SLICE_X41Y10 SITE_TYPE SLICEL SITEPROP SLICE_X41Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y11 CLASS site SITEPROP SLICE_X41Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y11 IS_BONDED 0 SITEPROP SLICE_X41Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y11 IS_PAD 0 SITEPROP SLICE_X41Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y11 IS_RESERVED 0 SITEPROP SLICE_X41Y11 IS_TEST 0 SITEPROP SLICE_X41Y11 IS_USED 0 SITEPROP SLICE_X41Y11 MANUAL_ROUTING SITEPROP SLICE_X41Y11 NAME SLICE_X41Y11 SITEPROP SLICE_X41Y11 NUM_ARCS 138 SITEPROP SLICE_X41Y11 NUM_BELS 32 SITEPROP SLICE_X41Y11 NUM_INPUTS 32 SITEPROP SLICE_X41Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y11 NUM_PINS 45 SITEPROP SLICE_X41Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y11 PROHIBIT 0 SITEPROP SLICE_X41Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y11 RPM_X 111 SITEPROP SLICE_X41Y11 RPM_Y 22 SITEPROP SLICE_X41Y11 SITE_PIPS SITEPROP SLICE_X41Y11 SITE_TYPE SLICEL SITEPROP SLICE_X41Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y12 CLASS site SITEPROP SLICE_X41Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y12 IS_BONDED 0 SITEPROP SLICE_X41Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y12 IS_PAD 0 SITEPROP SLICE_X41Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y12 IS_RESERVED 0 SITEPROP SLICE_X41Y12 IS_TEST 0 SITEPROP SLICE_X41Y12 IS_USED 0 SITEPROP SLICE_X41Y12 MANUAL_ROUTING SITEPROP SLICE_X41Y12 NAME SLICE_X41Y12 SITEPROP SLICE_X41Y12 NUM_ARCS 138 SITEPROP SLICE_X41Y12 NUM_BELS 32 SITEPROP SLICE_X41Y12 NUM_INPUTS 32 SITEPROP SLICE_X41Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y12 NUM_PINS 45 SITEPROP SLICE_X41Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y12 PROHIBIT 0 SITEPROP SLICE_X41Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y12 RPM_X 111 SITEPROP SLICE_X41Y12 RPM_Y 24 SITEPROP SLICE_X41Y12 SITE_PIPS SITEPROP SLICE_X41Y12 SITE_TYPE SLICEL SITEPROP SLICE_X41Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y13 CLASS site SITEPROP SLICE_X41Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y13 IS_BONDED 0 SITEPROP SLICE_X41Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y13 IS_PAD 0 SITEPROP SLICE_X41Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y13 IS_RESERVED 0 SITEPROP SLICE_X41Y13 IS_TEST 0 SITEPROP SLICE_X41Y13 IS_USED 0 SITEPROP SLICE_X41Y13 MANUAL_ROUTING SITEPROP SLICE_X41Y13 NAME SLICE_X41Y13 SITEPROP SLICE_X41Y13 NUM_ARCS 138 SITEPROP SLICE_X41Y13 NUM_BELS 32 SITEPROP SLICE_X41Y13 NUM_INPUTS 32 SITEPROP SLICE_X41Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y13 NUM_PINS 45 SITEPROP SLICE_X41Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y13 PROHIBIT 0 SITEPROP SLICE_X41Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y13 RPM_X 111 SITEPROP SLICE_X41Y13 RPM_Y 26 SITEPROP SLICE_X41Y13 SITE_PIPS SITEPROP SLICE_X41Y13 SITE_TYPE SLICEL SITEPROP SLICE_X41Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y14 CLASS site SITEPROP SLICE_X41Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y14 IS_BONDED 0 SITEPROP SLICE_X41Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y14 IS_PAD 0 SITEPROP SLICE_X41Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y14 IS_RESERVED 0 SITEPROP SLICE_X41Y14 IS_TEST 0 SITEPROP SLICE_X41Y14 IS_USED 0 SITEPROP SLICE_X41Y14 MANUAL_ROUTING SITEPROP SLICE_X41Y14 NAME SLICE_X41Y14 SITEPROP SLICE_X41Y14 NUM_ARCS 138 SITEPROP SLICE_X41Y14 NUM_BELS 32 SITEPROP SLICE_X41Y14 NUM_INPUTS 32 SITEPROP SLICE_X41Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y14 NUM_PINS 45 SITEPROP SLICE_X41Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y14 PROHIBIT 0 SITEPROP SLICE_X41Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y14 RPM_X 111 SITEPROP SLICE_X41Y14 RPM_Y 28 SITEPROP SLICE_X41Y14 SITE_PIPS SITEPROP SLICE_X41Y14 SITE_TYPE SLICEL SITEPROP SLICE_X41Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y15 CLASS site SITEPROP SLICE_X41Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y15 IS_BONDED 0 SITEPROP SLICE_X41Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y15 IS_PAD 0 SITEPROP SLICE_X41Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y15 IS_RESERVED 0 SITEPROP SLICE_X41Y15 IS_TEST 0 SITEPROP SLICE_X41Y15 IS_USED 0 SITEPROP SLICE_X41Y15 MANUAL_ROUTING SITEPROP SLICE_X41Y15 NAME SLICE_X41Y15 SITEPROP SLICE_X41Y15 NUM_ARCS 138 SITEPROP SLICE_X41Y15 NUM_BELS 32 SITEPROP SLICE_X41Y15 NUM_INPUTS 32 SITEPROP SLICE_X41Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y15 NUM_PINS 45 SITEPROP SLICE_X41Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y15 PROHIBIT 0 SITEPROP SLICE_X41Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y15 RPM_X 111 SITEPROP SLICE_X41Y15 RPM_Y 30 SITEPROP SLICE_X41Y15 SITE_PIPS SITEPROP SLICE_X41Y15 SITE_TYPE SLICEL SITEPROP SLICE_X41Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y16 CLASS site SITEPROP SLICE_X41Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y16 IS_BONDED 0 SITEPROP SLICE_X41Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y16 IS_PAD 0 SITEPROP SLICE_X41Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y16 IS_RESERVED 0 SITEPROP SLICE_X41Y16 IS_TEST 0 SITEPROP SLICE_X41Y16 IS_USED 0 SITEPROP SLICE_X41Y16 MANUAL_ROUTING SITEPROP SLICE_X41Y16 NAME SLICE_X41Y16 SITEPROP SLICE_X41Y16 NUM_ARCS 138 SITEPROP SLICE_X41Y16 NUM_BELS 32 SITEPROP SLICE_X41Y16 NUM_INPUTS 32 SITEPROP SLICE_X41Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y16 NUM_PINS 45 SITEPROP SLICE_X41Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y16 PROHIBIT 0 SITEPROP SLICE_X41Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y16 RPM_X 111 SITEPROP SLICE_X41Y16 RPM_Y 32 SITEPROP SLICE_X41Y16 SITE_PIPS SITEPROP SLICE_X41Y16 SITE_TYPE SLICEL SITEPROP SLICE_X41Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y17 CLASS site SITEPROP SLICE_X41Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y17 IS_BONDED 0 SITEPROP SLICE_X41Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y17 IS_PAD 0 SITEPROP SLICE_X41Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y17 IS_RESERVED 0 SITEPROP SLICE_X41Y17 IS_TEST 0 SITEPROP SLICE_X41Y17 IS_USED 0 SITEPROP SLICE_X41Y17 MANUAL_ROUTING SITEPROP SLICE_X41Y17 NAME SLICE_X41Y17 SITEPROP SLICE_X41Y17 NUM_ARCS 138 SITEPROP SLICE_X41Y17 NUM_BELS 32 SITEPROP SLICE_X41Y17 NUM_INPUTS 32 SITEPROP SLICE_X41Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y17 NUM_PINS 45 SITEPROP SLICE_X41Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y17 PROHIBIT 0 SITEPROP SLICE_X41Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y17 RPM_X 111 SITEPROP SLICE_X41Y17 RPM_Y 34 SITEPROP SLICE_X41Y17 SITE_PIPS SITEPROP SLICE_X41Y17 SITE_TYPE SLICEL SITEPROP SLICE_X41Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y18 CLASS site SITEPROP SLICE_X41Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y18 IS_BONDED 0 SITEPROP SLICE_X41Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y18 IS_PAD 0 SITEPROP SLICE_X41Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y18 IS_RESERVED 0 SITEPROP SLICE_X41Y18 IS_TEST 0 SITEPROP SLICE_X41Y18 IS_USED 0 SITEPROP SLICE_X41Y18 MANUAL_ROUTING SITEPROP SLICE_X41Y18 NAME SLICE_X41Y18 SITEPROP SLICE_X41Y18 NUM_ARCS 138 SITEPROP SLICE_X41Y18 NUM_BELS 32 SITEPROP SLICE_X41Y18 NUM_INPUTS 32 SITEPROP SLICE_X41Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y18 NUM_PINS 45 SITEPROP SLICE_X41Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y18 PROHIBIT 0 SITEPROP SLICE_X41Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y18 RPM_X 111 SITEPROP SLICE_X41Y18 RPM_Y 36 SITEPROP SLICE_X41Y18 SITE_PIPS SITEPROP SLICE_X41Y18 SITE_TYPE SLICEL SITEPROP SLICE_X41Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y19 CLASS site SITEPROP SLICE_X41Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y19 IS_BONDED 0 SITEPROP SLICE_X41Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y19 IS_PAD 0 SITEPROP SLICE_X41Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y19 IS_RESERVED 0 SITEPROP SLICE_X41Y19 IS_TEST 0 SITEPROP SLICE_X41Y19 IS_USED 0 SITEPROP SLICE_X41Y19 MANUAL_ROUTING SITEPROP SLICE_X41Y19 NAME SLICE_X41Y19 SITEPROP SLICE_X41Y19 NUM_ARCS 138 SITEPROP SLICE_X41Y19 NUM_BELS 32 SITEPROP SLICE_X41Y19 NUM_INPUTS 32 SITEPROP SLICE_X41Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y19 NUM_PINS 45 SITEPROP SLICE_X41Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y19 PROHIBIT 0 SITEPROP SLICE_X41Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y19 RPM_X 111 SITEPROP SLICE_X41Y19 RPM_Y 38 SITEPROP SLICE_X41Y19 SITE_PIPS SITEPROP SLICE_X41Y19 SITE_TYPE SLICEL SITEPROP SLICE_X41Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y20 CLASS site SITEPROP SLICE_X41Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y20 IS_BONDED 0 SITEPROP SLICE_X41Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y20 IS_PAD 0 SITEPROP SLICE_X41Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y20 IS_RESERVED 0 SITEPROP SLICE_X41Y20 IS_TEST 0 SITEPROP SLICE_X41Y20 IS_USED 0 SITEPROP SLICE_X41Y20 MANUAL_ROUTING SITEPROP SLICE_X41Y20 NAME SLICE_X41Y20 SITEPROP SLICE_X41Y20 NUM_ARCS 138 SITEPROP SLICE_X41Y20 NUM_BELS 32 SITEPROP SLICE_X41Y20 NUM_INPUTS 32 SITEPROP SLICE_X41Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y20 NUM_PINS 45 SITEPROP SLICE_X41Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y20 PROHIBIT 0 SITEPROP SLICE_X41Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y20 RPM_X 111 SITEPROP SLICE_X41Y20 RPM_Y 40 SITEPROP SLICE_X41Y20 SITE_PIPS SITEPROP SLICE_X41Y20 SITE_TYPE SLICEL SITEPROP SLICE_X41Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y21 CLASS site SITEPROP SLICE_X41Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y21 IS_BONDED 0 SITEPROP SLICE_X41Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y21 IS_PAD 0 SITEPROP SLICE_X41Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y21 IS_RESERVED 0 SITEPROP SLICE_X41Y21 IS_TEST 0 SITEPROP SLICE_X41Y21 IS_USED 0 SITEPROP SLICE_X41Y21 MANUAL_ROUTING SITEPROP SLICE_X41Y21 NAME SLICE_X41Y21 SITEPROP SLICE_X41Y21 NUM_ARCS 138 SITEPROP SLICE_X41Y21 NUM_BELS 32 SITEPROP SLICE_X41Y21 NUM_INPUTS 32 SITEPROP SLICE_X41Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y21 NUM_PINS 45 SITEPROP SLICE_X41Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y21 PROHIBIT 0 SITEPROP SLICE_X41Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y21 RPM_X 111 SITEPROP SLICE_X41Y21 RPM_Y 42 SITEPROP SLICE_X41Y21 SITE_PIPS SITEPROP SLICE_X41Y21 SITE_TYPE SLICEL SITEPROP SLICE_X41Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y22 CLASS site SITEPROP SLICE_X41Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y22 IS_BONDED 0 SITEPROP SLICE_X41Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y22 IS_PAD 0 SITEPROP SLICE_X41Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y22 IS_RESERVED 0 SITEPROP SLICE_X41Y22 IS_TEST 0 SITEPROP SLICE_X41Y22 IS_USED 0 SITEPROP SLICE_X41Y22 MANUAL_ROUTING SITEPROP SLICE_X41Y22 NAME SLICE_X41Y22 SITEPROP SLICE_X41Y22 NUM_ARCS 138 SITEPROP SLICE_X41Y22 NUM_BELS 32 SITEPROP SLICE_X41Y22 NUM_INPUTS 32 SITEPROP SLICE_X41Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y22 NUM_PINS 45 SITEPROP SLICE_X41Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y22 PROHIBIT 0 SITEPROP SLICE_X41Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y22 RPM_X 111 SITEPROP SLICE_X41Y22 RPM_Y 44 SITEPROP SLICE_X41Y22 SITE_PIPS SITEPROP SLICE_X41Y22 SITE_TYPE SLICEL SITEPROP SLICE_X41Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y23 CLASS site SITEPROP SLICE_X41Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y23 IS_BONDED 0 SITEPROP SLICE_X41Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y23 IS_PAD 0 SITEPROP SLICE_X41Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y23 IS_RESERVED 0 SITEPROP SLICE_X41Y23 IS_TEST 0 SITEPROP SLICE_X41Y23 IS_USED 0 SITEPROP SLICE_X41Y23 MANUAL_ROUTING SITEPROP SLICE_X41Y23 NAME SLICE_X41Y23 SITEPROP SLICE_X41Y23 NUM_ARCS 138 SITEPROP SLICE_X41Y23 NUM_BELS 32 SITEPROP SLICE_X41Y23 NUM_INPUTS 32 SITEPROP SLICE_X41Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y23 NUM_PINS 45 SITEPROP SLICE_X41Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y23 PROHIBIT 0 SITEPROP SLICE_X41Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y23 RPM_X 111 SITEPROP SLICE_X41Y23 RPM_Y 46 SITEPROP SLICE_X41Y23 SITE_PIPS SITEPROP SLICE_X41Y23 SITE_TYPE SLICEL SITEPROP SLICE_X41Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y24 CLASS site SITEPROP SLICE_X41Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y24 IS_BONDED 0 SITEPROP SLICE_X41Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y24 IS_PAD 0 SITEPROP SLICE_X41Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y24 IS_RESERVED 0 SITEPROP SLICE_X41Y24 IS_TEST 0 SITEPROP SLICE_X41Y24 IS_USED 0 SITEPROP SLICE_X41Y24 MANUAL_ROUTING SITEPROP SLICE_X41Y24 NAME SLICE_X41Y24 SITEPROP SLICE_X41Y24 NUM_ARCS 138 SITEPROP SLICE_X41Y24 NUM_BELS 32 SITEPROP SLICE_X41Y24 NUM_INPUTS 32 SITEPROP SLICE_X41Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y24 NUM_PINS 45 SITEPROP SLICE_X41Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y24 PROHIBIT 0 SITEPROP SLICE_X41Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y24 RPM_X 111 SITEPROP SLICE_X41Y24 RPM_Y 48 SITEPROP SLICE_X41Y24 SITE_PIPS SITEPROP SLICE_X41Y24 SITE_TYPE SLICEL SITEPROP SLICE_X41Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y25 CLASS site SITEPROP SLICE_X41Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y25 IS_BONDED 0 SITEPROP SLICE_X41Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y25 IS_PAD 0 SITEPROP SLICE_X41Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y25 IS_RESERVED 0 SITEPROP SLICE_X41Y25 IS_TEST 0 SITEPROP SLICE_X41Y25 IS_USED 0 SITEPROP SLICE_X41Y25 MANUAL_ROUTING SITEPROP SLICE_X41Y25 NAME SLICE_X41Y25 SITEPROP SLICE_X41Y25 NUM_ARCS 138 SITEPROP SLICE_X41Y25 NUM_BELS 32 SITEPROP SLICE_X41Y25 NUM_INPUTS 32 SITEPROP SLICE_X41Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y25 NUM_PINS 45 SITEPROP SLICE_X41Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y25 PROHIBIT 0 SITEPROP SLICE_X41Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y25 RPM_X 111 SITEPROP SLICE_X41Y25 RPM_Y 50 SITEPROP SLICE_X41Y25 SITE_PIPS SITEPROP SLICE_X41Y25 SITE_TYPE SLICEL SITEPROP SLICE_X41Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y26 CLASS site SITEPROP SLICE_X41Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y26 IS_BONDED 0 SITEPROP SLICE_X41Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y26 IS_PAD 0 SITEPROP SLICE_X41Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y26 IS_RESERVED 0 SITEPROP SLICE_X41Y26 IS_TEST 0 SITEPROP SLICE_X41Y26 IS_USED 0 SITEPROP SLICE_X41Y26 MANUAL_ROUTING SITEPROP SLICE_X41Y26 NAME SLICE_X41Y26 SITEPROP SLICE_X41Y26 NUM_ARCS 138 SITEPROP SLICE_X41Y26 NUM_BELS 32 SITEPROP SLICE_X41Y26 NUM_INPUTS 32 SITEPROP SLICE_X41Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y26 NUM_PINS 45 SITEPROP SLICE_X41Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y26 PROHIBIT 0 SITEPROP SLICE_X41Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y26 RPM_X 111 SITEPROP SLICE_X41Y26 RPM_Y 52 SITEPROP SLICE_X41Y26 SITE_PIPS SITEPROP SLICE_X41Y26 SITE_TYPE SLICEL SITEPROP SLICE_X41Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y27 CLASS site SITEPROP SLICE_X41Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y27 IS_BONDED 0 SITEPROP SLICE_X41Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y27 IS_PAD 0 SITEPROP SLICE_X41Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y27 IS_RESERVED 0 SITEPROP SLICE_X41Y27 IS_TEST 0 SITEPROP SLICE_X41Y27 IS_USED 0 SITEPROP SLICE_X41Y27 MANUAL_ROUTING SITEPROP SLICE_X41Y27 NAME SLICE_X41Y27 SITEPROP SLICE_X41Y27 NUM_ARCS 138 SITEPROP SLICE_X41Y27 NUM_BELS 32 SITEPROP SLICE_X41Y27 NUM_INPUTS 32 SITEPROP SLICE_X41Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y27 NUM_PINS 45 SITEPROP SLICE_X41Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y27 PROHIBIT 0 SITEPROP SLICE_X41Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y27 RPM_X 111 SITEPROP SLICE_X41Y27 RPM_Y 54 SITEPROP SLICE_X41Y27 SITE_PIPS SITEPROP SLICE_X41Y27 SITE_TYPE SLICEL SITEPROP SLICE_X41Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y28 CLASS site SITEPROP SLICE_X41Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y28 IS_BONDED 0 SITEPROP SLICE_X41Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y28 IS_PAD 0 SITEPROP SLICE_X41Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y28 IS_RESERVED 0 SITEPROP SLICE_X41Y28 IS_TEST 0 SITEPROP SLICE_X41Y28 IS_USED 0 SITEPROP SLICE_X41Y28 MANUAL_ROUTING SITEPROP SLICE_X41Y28 NAME SLICE_X41Y28 SITEPROP SLICE_X41Y28 NUM_ARCS 138 SITEPROP SLICE_X41Y28 NUM_BELS 32 SITEPROP SLICE_X41Y28 NUM_INPUTS 32 SITEPROP SLICE_X41Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y28 NUM_PINS 45 SITEPROP SLICE_X41Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y28 PROHIBIT 0 SITEPROP SLICE_X41Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y28 RPM_X 111 SITEPROP SLICE_X41Y28 RPM_Y 56 SITEPROP SLICE_X41Y28 SITE_PIPS SITEPROP SLICE_X41Y28 SITE_TYPE SLICEL SITEPROP SLICE_X41Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y29 CLASS site SITEPROP SLICE_X41Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y29 IS_BONDED 0 SITEPROP SLICE_X41Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y29 IS_PAD 0 SITEPROP SLICE_X41Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y29 IS_RESERVED 0 SITEPROP SLICE_X41Y29 IS_TEST 0 SITEPROP SLICE_X41Y29 IS_USED 0 SITEPROP SLICE_X41Y29 MANUAL_ROUTING SITEPROP SLICE_X41Y29 NAME SLICE_X41Y29 SITEPROP SLICE_X41Y29 NUM_ARCS 138 SITEPROP SLICE_X41Y29 NUM_BELS 32 SITEPROP SLICE_X41Y29 NUM_INPUTS 32 SITEPROP SLICE_X41Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y29 NUM_PINS 45 SITEPROP SLICE_X41Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y29 PROHIBIT 0 SITEPROP SLICE_X41Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y29 RPM_X 111 SITEPROP SLICE_X41Y29 RPM_Y 58 SITEPROP SLICE_X41Y29 SITE_PIPS SITEPROP SLICE_X41Y29 SITE_TYPE SLICEL SITEPROP SLICE_X41Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y30 CLASS site SITEPROP SLICE_X41Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y30 IS_BONDED 0 SITEPROP SLICE_X41Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y30 IS_PAD 0 SITEPROP SLICE_X41Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y30 IS_RESERVED 0 SITEPROP SLICE_X41Y30 IS_TEST 0 SITEPROP SLICE_X41Y30 IS_USED 0 SITEPROP SLICE_X41Y30 MANUAL_ROUTING SITEPROP SLICE_X41Y30 NAME SLICE_X41Y30 SITEPROP SLICE_X41Y30 NUM_ARCS 138 SITEPROP SLICE_X41Y30 NUM_BELS 32 SITEPROP SLICE_X41Y30 NUM_INPUTS 32 SITEPROP SLICE_X41Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y30 NUM_PINS 45 SITEPROP SLICE_X41Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y30 PROHIBIT 0 SITEPROP SLICE_X41Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y30 RPM_X 111 SITEPROP SLICE_X41Y30 RPM_Y 60 SITEPROP SLICE_X41Y30 SITE_PIPS SITEPROP SLICE_X41Y30 SITE_TYPE SLICEL SITEPROP SLICE_X41Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y31 CLASS site SITEPROP SLICE_X41Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y31 IS_BONDED 0 SITEPROP SLICE_X41Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y31 IS_PAD 0 SITEPROP SLICE_X41Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y31 IS_RESERVED 0 SITEPROP SLICE_X41Y31 IS_TEST 0 SITEPROP SLICE_X41Y31 IS_USED 0 SITEPROP SLICE_X41Y31 MANUAL_ROUTING SITEPROP SLICE_X41Y31 NAME SLICE_X41Y31 SITEPROP SLICE_X41Y31 NUM_ARCS 138 SITEPROP SLICE_X41Y31 NUM_BELS 32 SITEPROP SLICE_X41Y31 NUM_INPUTS 32 SITEPROP SLICE_X41Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y31 NUM_PINS 45 SITEPROP SLICE_X41Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y31 PROHIBIT 0 SITEPROP SLICE_X41Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y31 RPM_X 111 SITEPROP SLICE_X41Y31 RPM_Y 62 SITEPROP SLICE_X41Y31 SITE_PIPS SITEPROP SLICE_X41Y31 SITE_TYPE SLICEL SITEPROP SLICE_X41Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y32 CLASS site SITEPROP SLICE_X41Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y32 IS_BONDED 0 SITEPROP SLICE_X41Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y32 IS_PAD 0 SITEPROP SLICE_X41Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y32 IS_RESERVED 0 SITEPROP SLICE_X41Y32 IS_TEST 0 SITEPROP SLICE_X41Y32 IS_USED 0 SITEPROP SLICE_X41Y32 MANUAL_ROUTING SITEPROP SLICE_X41Y32 NAME SLICE_X41Y32 SITEPROP SLICE_X41Y32 NUM_ARCS 138 SITEPROP SLICE_X41Y32 NUM_BELS 32 SITEPROP SLICE_X41Y32 NUM_INPUTS 32 SITEPROP SLICE_X41Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y32 NUM_PINS 45 SITEPROP SLICE_X41Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y32 PROHIBIT 0 SITEPROP SLICE_X41Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y32 RPM_X 111 SITEPROP SLICE_X41Y32 RPM_Y 64 SITEPROP SLICE_X41Y32 SITE_PIPS SITEPROP SLICE_X41Y32 SITE_TYPE SLICEL SITEPROP SLICE_X41Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y33 CLASS site SITEPROP SLICE_X41Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y33 IS_BONDED 0 SITEPROP SLICE_X41Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y33 IS_PAD 0 SITEPROP SLICE_X41Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y33 IS_RESERVED 0 SITEPROP SLICE_X41Y33 IS_TEST 0 SITEPROP SLICE_X41Y33 IS_USED 0 SITEPROP SLICE_X41Y33 MANUAL_ROUTING SITEPROP SLICE_X41Y33 NAME SLICE_X41Y33 SITEPROP SLICE_X41Y33 NUM_ARCS 138 SITEPROP SLICE_X41Y33 NUM_BELS 32 SITEPROP SLICE_X41Y33 NUM_INPUTS 32 SITEPROP SLICE_X41Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y33 NUM_PINS 45 SITEPROP SLICE_X41Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y33 PROHIBIT 0 SITEPROP SLICE_X41Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y33 RPM_X 111 SITEPROP SLICE_X41Y33 RPM_Y 66 SITEPROP SLICE_X41Y33 SITE_PIPS SITEPROP SLICE_X41Y33 SITE_TYPE SLICEL SITEPROP SLICE_X41Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y34 CLASS site SITEPROP SLICE_X41Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y34 IS_BONDED 0 SITEPROP SLICE_X41Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y34 IS_PAD 0 SITEPROP SLICE_X41Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y34 IS_RESERVED 0 SITEPROP SLICE_X41Y34 IS_TEST 0 SITEPROP SLICE_X41Y34 IS_USED 0 SITEPROP SLICE_X41Y34 MANUAL_ROUTING SITEPROP SLICE_X41Y34 NAME SLICE_X41Y34 SITEPROP SLICE_X41Y34 NUM_ARCS 138 SITEPROP SLICE_X41Y34 NUM_BELS 32 SITEPROP SLICE_X41Y34 NUM_INPUTS 32 SITEPROP SLICE_X41Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y34 NUM_PINS 45 SITEPROP SLICE_X41Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y34 PROHIBIT 0 SITEPROP SLICE_X41Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y34 RPM_X 111 SITEPROP SLICE_X41Y34 RPM_Y 68 SITEPROP SLICE_X41Y34 SITE_PIPS SITEPROP SLICE_X41Y34 SITE_TYPE SLICEL SITEPROP SLICE_X41Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y35 CLASS site SITEPROP SLICE_X41Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y35 IS_BONDED 0 SITEPROP SLICE_X41Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y35 IS_PAD 0 SITEPROP SLICE_X41Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y35 IS_RESERVED 0 SITEPROP SLICE_X41Y35 IS_TEST 0 SITEPROP SLICE_X41Y35 IS_USED 0 SITEPROP SLICE_X41Y35 MANUAL_ROUTING SITEPROP SLICE_X41Y35 NAME SLICE_X41Y35 SITEPROP SLICE_X41Y35 NUM_ARCS 138 SITEPROP SLICE_X41Y35 NUM_BELS 32 SITEPROP SLICE_X41Y35 NUM_INPUTS 32 SITEPROP SLICE_X41Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y35 NUM_PINS 45 SITEPROP SLICE_X41Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y35 PROHIBIT 0 SITEPROP SLICE_X41Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y35 RPM_X 111 SITEPROP SLICE_X41Y35 RPM_Y 70 SITEPROP SLICE_X41Y35 SITE_PIPS SITEPROP SLICE_X41Y35 SITE_TYPE SLICEL SITEPROP SLICE_X41Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y36 CLASS site SITEPROP SLICE_X41Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y36 IS_BONDED 0 SITEPROP SLICE_X41Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y36 IS_PAD 0 SITEPROP SLICE_X41Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y36 IS_RESERVED 0 SITEPROP SLICE_X41Y36 IS_TEST 0 SITEPROP SLICE_X41Y36 IS_USED 0 SITEPROP SLICE_X41Y36 MANUAL_ROUTING SITEPROP SLICE_X41Y36 NAME SLICE_X41Y36 SITEPROP SLICE_X41Y36 NUM_ARCS 138 SITEPROP SLICE_X41Y36 NUM_BELS 32 SITEPROP SLICE_X41Y36 NUM_INPUTS 32 SITEPROP SLICE_X41Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y36 NUM_PINS 45 SITEPROP SLICE_X41Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y36 PROHIBIT 0 SITEPROP SLICE_X41Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y36 RPM_X 111 SITEPROP SLICE_X41Y36 RPM_Y 72 SITEPROP SLICE_X41Y36 SITE_PIPS SITEPROP SLICE_X41Y36 SITE_TYPE SLICEL SITEPROP SLICE_X41Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y37 CLASS site SITEPROP SLICE_X41Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y37 IS_BONDED 0 SITEPROP SLICE_X41Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y37 IS_PAD 0 SITEPROP SLICE_X41Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y37 IS_RESERVED 0 SITEPROP SLICE_X41Y37 IS_TEST 0 SITEPROP SLICE_X41Y37 IS_USED 0 SITEPROP SLICE_X41Y37 MANUAL_ROUTING SITEPROP SLICE_X41Y37 NAME SLICE_X41Y37 SITEPROP SLICE_X41Y37 NUM_ARCS 138 SITEPROP SLICE_X41Y37 NUM_BELS 32 SITEPROP SLICE_X41Y37 NUM_INPUTS 32 SITEPROP SLICE_X41Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y37 NUM_PINS 45 SITEPROP SLICE_X41Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y37 PROHIBIT 0 SITEPROP SLICE_X41Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y37 RPM_X 111 SITEPROP SLICE_X41Y37 RPM_Y 74 SITEPROP SLICE_X41Y37 SITE_PIPS SITEPROP SLICE_X41Y37 SITE_TYPE SLICEL SITEPROP SLICE_X41Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y38 CLASS site SITEPROP SLICE_X41Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y38 IS_BONDED 0 SITEPROP SLICE_X41Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y38 IS_PAD 0 SITEPROP SLICE_X41Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y38 IS_RESERVED 0 SITEPROP SLICE_X41Y38 IS_TEST 0 SITEPROP SLICE_X41Y38 IS_USED 0 SITEPROP SLICE_X41Y38 MANUAL_ROUTING SITEPROP SLICE_X41Y38 NAME SLICE_X41Y38 SITEPROP SLICE_X41Y38 NUM_ARCS 138 SITEPROP SLICE_X41Y38 NUM_BELS 32 SITEPROP SLICE_X41Y38 NUM_INPUTS 32 SITEPROP SLICE_X41Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y38 NUM_PINS 45 SITEPROP SLICE_X41Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y38 PROHIBIT 0 SITEPROP SLICE_X41Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y38 RPM_X 111 SITEPROP SLICE_X41Y38 RPM_Y 76 SITEPROP SLICE_X41Y38 SITE_PIPS SITEPROP SLICE_X41Y38 SITE_TYPE SLICEL SITEPROP SLICE_X41Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y39 CLASS site SITEPROP SLICE_X41Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y39 IS_BONDED 0 SITEPROP SLICE_X41Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y39 IS_PAD 0 SITEPROP SLICE_X41Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y39 IS_RESERVED 0 SITEPROP SLICE_X41Y39 IS_TEST 0 SITEPROP SLICE_X41Y39 IS_USED 0 SITEPROP SLICE_X41Y39 MANUAL_ROUTING SITEPROP SLICE_X41Y39 NAME SLICE_X41Y39 SITEPROP SLICE_X41Y39 NUM_ARCS 138 SITEPROP SLICE_X41Y39 NUM_BELS 32 SITEPROP SLICE_X41Y39 NUM_INPUTS 32 SITEPROP SLICE_X41Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y39 NUM_PINS 45 SITEPROP SLICE_X41Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y39 PROHIBIT 0 SITEPROP SLICE_X41Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y39 RPM_X 111 SITEPROP SLICE_X41Y39 RPM_Y 78 SITEPROP SLICE_X41Y39 SITE_PIPS SITEPROP SLICE_X41Y39 SITE_TYPE SLICEL SITEPROP SLICE_X41Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y40 CLASS site SITEPROP SLICE_X41Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y40 IS_BONDED 0 SITEPROP SLICE_X41Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y40 IS_PAD 0 SITEPROP SLICE_X41Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y40 IS_RESERVED 0 SITEPROP SLICE_X41Y40 IS_TEST 0 SITEPROP SLICE_X41Y40 IS_USED 0 SITEPROP SLICE_X41Y40 MANUAL_ROUTING SITEPROP SLICE_X41Y40 NAME SLICE_X41Y40 SITEPROP SLICE_X41Y40 NUM_ARCS 138 SITEPROP SLICE_X41Y40 NUM_BELS 32 SITEPROP SLICE_X41Y40 NUM_INPUTS 32 SITEPROP SLICE_X41Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y40 NUM_PINS 45 SITEPROP SLICE_X41Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y40 PROHIBIT 0 SITEPROP SLICE_X41Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y40 RPM_X 111 SITEPROP SLICE_X41Y40 RPM_Y 80 SITEPROP SLICE_X41Y40 SITE_PIPS SITEPROP SLICE_X41Y40 SITE_TYPE SLICEL SITEPROP SLICE_X41Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y41 CLASS site SITEPROP SLICE_X41Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y41 IS_BONDED 0 SITEPROP SLICE_X41Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y41 IS_PAD 0 SITEPROP SLICE_X41Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y41 IS_RESERVED 0 SITEPROP SLICE_X41Y41 IS_TEST 0 SITEPROP SLICE_X41Y41 IS_USED 0 SITEPROP SLICE_X41Y41 MANUAL_ROUTING SITEPROP SLICE_X41Y41 NAME SLICE_X41Y41 SITEPROP SLICE_X41Y41 NUM_ARCS 138 SITEPROP SLICE_X41Y41 NUM_BELS 32 SITEPROP SLICE_X41Y41 NUM_INPUTS 32 SITEPROP SLICE_X41Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y41 NUM_PINS 45 SITEPROP SLICE_X41Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y41 PROHIBIT 0 SITEPROP SLICE_X41Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y41 RPM_X 111 SITEPROP SLICE_X41Y41 RPM_Y 82 SITEPROP SLICE_X41Y41 SITE_PIPS SITEPROP SLICE_X41Y41 SITE_TYPE SLICEL SITEPROP SLICE_X41Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y42 CLASS site SITEPROP SLICE_X41Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y42 IS_BONDED 0 SITEPROP SLICE_X41Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y42 IS_PAD 0 SITEPROP SLICE_X41Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y42 IS_RESERVED 0 SITEPROP SLICE_X41Y42 IS_TEST 0 SITEPROP SLICE_X41Y42 IS_USED 0 SITEPROP SLICE_X41Y42 MANUAL_ROUTING SITEPROP SLICE_X41Y42 NAME SLICE_X41Y42 SITEPROP SLICE_X41Y42 NUM_ARCS 138 SITEPROP SLICE_X41Y42 NUM_BELS 32 SITEPROP SLICE_X41Y42 NUM_INPUTS 32 SITEPROP SLICE_X41Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y42 NUM_PINS 45 SITEPROP SLICE_X41Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y42 PROHIBIT 0 SITEPROP SLICE_X41Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y42 RPM_X 111 SITEPROP SLICE_X41Y42 RPM_Y 84 SITEPROP SLICE_X41Y42 SITE_PIPS SITEPROP SLICE_X41Y42 SITE_TYPE SLICEL SITEPROP SLICE_X41Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y43 CLASS site SITEPROP SLICE_X41Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y43 IS_BONDED 0 SITEPROP SLICE_X41Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y43 IS_PAD 0 SITEPROP SLICE_X41Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y43 IS_RESERVED 0 SITEPROP SLICE_X41Y43 IS_TEST 0 SITEPROP SLICE_X41Y43 IS_USED 0 SITEPROP SLICE_X41Y43 MANUAL_ROUTING SITEPROP SLICE_X41Y43 NAME SLICE_X41Y43 SITEPROP SLICE_X41Y43 NUM_ARCS 138 SITEPROP SLICE_X41Y43 NUM_BELS 32 SITEPROP SLICE_X41Y43 NUM_INPUTS 32 SITEPROP SLICE_X41Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y43 NUM_PINS 45 SITEPROP SLICE_X41Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y43 PROHIBIT 0 SITEPROP SLICE_X41Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y43 RPM_X 111 SITEPROP SLICE_X41Y43 RPM_Y 86 SITEPROP SLICE_X41Y43 SITE_PIPS SITEPROP SLICE_X41Y43 SITE_TYPE SLICEL SITEPROP SLICE_X41Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y44 CLASS site SITEPROP SLICE_X41Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y44 IS_BONDED 0 SITEPROP SLICE_X41Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y44 IS_PAD 0 SITEPROP SLICE_X41Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y44 IS_RESERVED 0 SITEPROP SLICE_X41Y44 IS_TEST 0 SITEPROP SLICE_X41Y44 IS_USED 0 SITEPROP SLICE_X41Y44 MANUAL_ROUTING SITEPROP SLICE_X41Y44 NAME SLICE_X41Y44 SITEPROP SLICE_X41Y44 NUM_ARCS 138 SITEPROP SLICE_X41Y44 NUM_BELS 32 SITEPROP SLICE_X41Y44 NUM_INPUTS 32 SITEPROP SLICE_X41Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y44 NUM_PINS 45 SITEPROP SLICE_X41Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y44 PROHIBIT 0 SITEPROP SLICE_X41Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y44 RPM_X 111 SITEPROP SLICE_X41Y44 RPM_Y 88 SITEPROP SLICE_X41Y44 SITE_PIPS SITEPROP SLICE_X41Y44 SITE_TYPE SLICEL SITEPROP SLICE_X41Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y45 CLASS site SITEPROP SLICE_X41Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y45 IS_BONDED 0 SITEPROP SLICE_X41Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y45 IS_PAD 0 SITEPROP SLICE_X41Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y45 IS_RESERVED 0 SITEPROP SLICE_X41Y45 IS_TEST 0 SITEPROP SLICE_X41Y45 IS_USED 0 SITEPROP SLICE_X41Y45 MANUAL_ROUTING SITEPROP SLICE_X41Y45 NAME SLICE_X41Y45 SITEPROP SLICE_X41Y45 NUM_ARCS 138 SITEPROP SLICE_X41Y45 NUM_BELS 32 SITEPROP SLICE_X41Y45 NUM_INPUTS 32 SITEPROP SLICE_X41Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y45 NUM_PINS 45 SITEPROP SLICE_X41Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y45 PROHIBIT 0 SITEPROP SLICE_X41Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y45 RPM_X 111 SITEPROP SLICE_X41Y45 RPM_Y 90 SITEPROP SLICE_X41Y45 SITE_PIPS SITEPROP SLICE_X41Y45 SITE_TYPE SLICEL SITEPROP SLICE_X41Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y46 CLASS site SITEPROP SLICE_X41Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y46 IS_BONDED 0 SITEPROP SLICE_X41Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y46 IS_PAD 0 SITEPROP SLICE_X41Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y46 IS_RESERVED 0 SITEPROP SLICE_X41Y46 IS_TEST 0 SITEPROP SLICE_X41Y46 IS_USED 0 SITEPROP SLICE_X41Y46 MANUAL_ROUTING SITEPROP SLICE_X41Y46 NAME SLICE_X41Y46 SITEPROP SLICE_X41Y46 NUM_ARCS 138 SITEPROP SLICE_X41Y46 NUM_BELS 32 SITEPROP SLICE_X41Y46 NUM_INPUTS 32 SITEPROP SLICE_X41Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y46 NUM_PINS 45 SITEPROP SLICE_X41Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y46 PROHIBIT 0 SITEPROP SLICE_X41Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y46 RPM_X 111 SITEPROP SLICE_X41Y46 RPM_Y 92 SITEPROP SLICE_X41Y46 SITE_PIPS SITEPROP SLICE_X41Y46 SITE_TYPE SLICEL SITEPROP SLICE_X41Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y47 CLASS site SITEPROP SLICE_X41Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y47 IS_BONDED 0 SITEPROP SLICE_X41Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y47 IS_PAD 0 SITEPROP SLICE_X41Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y47 IS_RESERVED 0 SITEPROP SLICE_X41Y47 IS_TEST 0 SITEPROP SLICE_X41Y47 IS_USED 0 SITEPROP SLICE_X41Y47 MANUAL_ROUTING SITEPROP SLICE_X41Y47 NAME SLICE_X41Y47 SITEPROP SLICE_X41Y47 NUM_ARCS 138 SITEPROP SLICE_X41Y47 NUM_BELS 32 SITEPROP SLICE_X41Y47 NUM_INPUTS 32 SITEPROP SLICE_X41Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y47 NUM_PINS 45 SITEPROP SLICE_X41Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y47 PROHIBIT 0 SITEPROP SLICE_X41Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y47 RPM_X 111 SITEPROP SLICE_X41Y47 RPM_Y 94 SITEPROP SLICE_X41Y47 SITE_PIPS SITEPROP SLICE_X41Y47 SITE_TYPE SLICEL SITEPROP SLICE_X41Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y48 CLASS site SITEPROP SLICE_X41Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y48 IS_BONDED 0 SITEPROP SLICE_X41Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y48 IS_PAD 0 SITEPROP SLICE_X41Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y48 IS_RESERVED 0 SITEPROP SLICE_X41Y48 IS_TEST 0 SITEPROP SLICE_X41Y48 IS_USED 0 SITEPROP SLICE_X41Y48 MANUAL_ROUTING SITEPROP SLICE_X41Y48 NAME SLICE_X41Y48 SITEPROP SLICE_X41Y48 NUM_ARCS 138 SITEPROP SLICE_X41Y48 NUM_BELS 32 SITEPROP SLICE_X41Y48 NUM_INPUTS 32 SITEPROP SLICE_X41Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y48 NUM_PINS 45 SITEPROP SLICE_X41Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y48 PROHIBIT 0 SITEPROP SLICE_X41Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y48 RPM_X 111 SITEPROP SLICE_X41Y48 RPM_Y 96 SITEPROP SLICE_X41Y48 SITE_PIPS SITEPROP SLICE_X41Y48 SITE_TYPE SLICEL SITEPROP SLICE_X41Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y49 CLASS site SITEPROP SLICE_X41Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X41Y49 IS_BONDED 0 SITEPROP SLICE_X41Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y49 IS_PAD 0 SITEPROP SLICE_X41Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y49 IS_RESERVED 0 SITEPROP SLICE_X41Y49 IS_TEST 0 SITEPROP SLICE_X41Y49 IS_USED 0 SITEPROP SLICE_X41Y49 MANUAL_ROUTING SITEPROP SLICE_X41Y49 NAME SLICE_X41Y49 SITEPROP SLICE_X41Y49 NUM_ARCS 138 SITEPROP SLICE_X41Y49 NUM_BELS 32 SITEPROP SLICE_X41Y49 NUM_INPUTS 32 SITEPROP SLICE_X41Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y49 NUM_PINS 45 SITEPROP SLICE_X41Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y49 PROHIBIT 0 SITEPROP SLICE_X41Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y49 RPM_X 111 SITEPROP SLICE_X41Y49 RPM_Y 98 SITEPROP SLICE_X41Y49 SITE_PIPS SITEPROP SLICE_X41Y49 SITE_TYPE SLICEL SITEPROP SLICE_X41Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y50 CLASS site SITEPROP SLICE_X41Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y50 IS_BONDED 0 SITEPROP SLICE_X41Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y50 IS_PAD 0 SITEPROP SLICE_X41Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y50 IS_RESERVED 0 SITEPROP SLICE_X41Y50 IS_TEST 0 SITEPROP SLICE_X41Y50 IS_USED 0 SITEPROP SLICE_X41Y50 MANUAL_ROUTING SITEPROP SLICE_X41Y50 NAME SLICE_X41Y50 SITEPROP SLICE_X41Y50 NUM_ARCS 138 SITEPROP SLICE_X41Y50 NUM_BELS 32 SITEPROP SLICE_X41Y50 NUM_INPUTS 32 SITEPROP SLICE_X41Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y50 NUM_PINS 45 SITEPROP SLICE_X41Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y50 PROHIBIT 0 SITEPROP SLICE_X41Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y50 RPM_X 111 SITEPROP SLICE_X41Y50 RPM_Y 100 SITEPROP SLICE_X41Y50 SITE_PIPS SITEPROP SLICE_X41Y50 SITE_TYPE SLICEL SITEPROP SLICE_X41Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y51 CLASS site SITEPROP SLICE_X41Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y51 IS_BONDED 0 SITEPROP SLICE_X41Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y51 IS_PAD 0 SITEPROP SLICE_X41Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y51 IS_RESERVED 0 SITEPROP SLICE_X41Y51 IS_TEST 0 SITEPROP SLICE_X41Y51 IS_USED 0 SITEPROP SLICE_X41Y51 MANUAL_ROUTING SITEPROP SLICE_X41Y51 NAME SLICE_X41Y51 SITEPROP SLICE_X41Y51 NUM_ARCS 138 SITEPROP SLICE_X41Y51 NUM_BELS 32 SITEPROP SLICE_X41Y51 NUM_INPUTS 32 SITEPROP SLICE_X41Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y51 NUM_PINS 45 SITEPROP SLICE_X41Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y51 PROHIBIT 0 SITEPROP SLICE_X41Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y51 RPM_X 111 SITEPROP SLICE_X41Y51 RPM_Y 102 SITEPROP SLICE_X41Y51 SITE_PIPS SITEPROP SLICE_X41Y51 SITE_TYPE SLICEL SITEPROP SLICE_X41Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y52 CLASS site SITEPROP SLICE_X41Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y52 IS_BONDED 0 SITEPROP SLICE_X41Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y52 IS_PAD 0 SITEPROP SLICE_X41Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y52 IS_RESERVED 0 SITEPROP SLICE_X41Y52 IS_TEST 0 SITEPROP SLICE_X41Y52 IS_USED 0 SITEPROP SLICE_X41Y52 MANUAL_ROUTING SITEPROP SLICE_X41Y52 NAME SLICE_X41Y52 SITEPROP SLICE_X41Y52 NUM_ARCS 138 SITEPROP SLICE_X41Y52 NUM_BELS 32 SITEPROP SLICE_X41Y52 NUM_INPUTS 32 SITEPROP SLICE_X41Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y52 NUM_PINS 45 SITEPROP SLICE_X41Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y52 PROHIBIT 0 SITEPROP SLICE_X41Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y52 RPM_X 111 SITEPROP SLICE_X41Y52 RPM_Y 104 SITEPROP SLICE_X41Y52 SITE_PIPS SITEPROP SLICE_X41Y52 SITE_TYPE SLICEL SITEPROP SLICE_X41Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y53 CLASS site SITEPROP SLICE_X41Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y53 IS_BONDED 0 SITEPROP SLICE_X41Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y53 IS_PAD 0 SITEPROP SLICE_X41Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y53 IS_RESERVED 0 SITEPROP SLICE_X41Y53 IS_TEST 0 SITEPROP SLICE_X41Y53 IS_USED 0 SITEPROP SLICE_X41Y53 MANUAL_ROUTING SITEPROP SLICE_X41Y53 NAME SLICE_X41Y53 SITEPROP SLICE_X41Y53 NUM_ARCS 138 SITEPROP SLICE_X41Y53 NUM_BELS 32 SITEPROP SLICE_X41Y53 NUM_INPUTS 32 SITEPROP SLICE_X41Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y53 NUM_PINS 45 SITEPROP SLICE_X41Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y53 PROHIBIT 0 SITEPROP SLICE_X41Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y53 RPM_X 111 SITEPROP SLICE_X41Y53 RPM_Y 106 SITEPROP SLICE_X41Y53 SITE_PIPS SITEPROP SLICE_X41Y53 SITE_TYPE SLICEL SITEPROP SLICE_X41Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y54 CLASS site SITEPROP SLICE_X41Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y54 IS_BONDED 0 SITEPROP SLICE_X41Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y54 IS_PAD 0 SITEPROP SLICE_X41Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y54 IS_RESERVED 0 SITEPROP SLICE_X41Y54 IS_TEST 0 SITEPROP SLICE_X41Y54 IS_USED 0 SITEPROP SLICE_X41Y54 MANUAL_ROUTING SITEPROP SLICE_X41Y54 NAME SLICE_X41Y54 SITEPROP SLICE_X41Y54 NUM_ARCS 138 SITEPROP SLICE_X41Y54 NUM_BELS 32 SITEPROP SLICE_X41Y54 NUM_INPUTS 32 SITEPROP SLICE_X41Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y54 NUM_PINS 45 SITEPROP SLICE_X41Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y54 PROHIBIT 0 SITEPROP SLICE_X41Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y54 RPM_X 111 SITEPROP SLICE_X41Y54 RPM_Y 108 SITEPROP SLICE_X41Y54 SITE_PIPS SITEPROP SLICE_X41Y54 SITE_TYPE SLICEL SITEPROP SLICE_X41Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y55 CLASS site SITEPROP SLICE_X41Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y55 IS_BONDED 0 SITEPROP SLICE_X41Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y55 IS_PAD 0 SITEPROP SLICE_X41Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y55 IS_RESERVED 0 SITEPROP SLICE_X41Y55 IS_TEST 0 SITEPROP SLICE_X41Y55 IS_USED 0 SITEPROP SLICE_X41Y55 MANUAL_ROUTING SITEPROP SLICE_X41Y55 NAME SLICE_X41Y55 SITEPROP SLICE_X41Y55 NUM_ARCS 138 SITEPROP SLICE_X41Y55 NUM_BELS 32 SITEPROP SLICE_X41Y55 NUM_INPUTS 32 SITEPROP SLICE_X41Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y55 NUM_PINS 45 SITEPROP SLICE_X41Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y55 PROHIBIT 0 SITEPROP SLICE_X41Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y55 RPM_X 111 SITEPROP SLICE_X41Y55 RPM_Y 110 SITEPROP SLICE_X41Y55 SITE_PIPS SITEPROP SLICE_X41Y55 SITE_TYPE SLICEL SITEPROP SLICE_X41Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y56 CLASS site SITEPROP SLICE_X41Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y56 IS_BONDED 0 SITEPROP SLICE_X41Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y56 IS_PAD 0 SITEPROP SLICE_X41Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y56 IS_RESERVED 0 SITEPROP SLICE_X41Y56 IS_TEST 0 SITEPROP SLICE_X41Y56 IS_USED 0 SITEPROP SLICE_X41Y56 MANUAL_ROUTING SITEPROP SLICE_X41Y56 NAME SLICE_X41Y56 SITEPROP SLICE_X41Y56 NUM_ARCS 138 SITEPROP SLICE_X41Y56 NUM_BELS 32 SITEPROP SLICE_X41Y56 NUM_INPUTS 32 SITEPROP SLICE_X41Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y56 NUM_PINS 45 SITEPROP SLICE_X41Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y56 PROHIBIT 0 SITEPROP SLICE_X41Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y56 RPM_X 111 SITEPROP SLICE_X41Y56 RPM_Y 112 SITEPROP SLICE_X41Y56 SITE_PIPS SITEPROP SLICE_X41Y56 SITE_TYPE SLICEL SITEPROP SLICE_X41Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y57 CLASS site SITEPROP SLICE_X41Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y57 IS_BONDED 0 SITEPROP SLICE_X41Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y57 IS_PAD 0 SITEPROP SLICE_X41Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y57 IS_RESERVED 0 SITEPROP SLICE_X41Y57 IS_TEST 0 SITEPROP SLICE_X41Y57 IS_USED 0 SITEPROP SLICE_X41Y57 MANUAL_ROUTING SITEPROP SLICE_X41Y57 NAME SLICE_X41Y57 SITEPROP SLICE_X41Y57 NUM_ARCS 138 SITEPROP SLICE_X41Y57 NUM_BELS 32 SITEPROP SLICE_X41Y57 NUM_INPUTS 32 SITEPROP SLICE_X41Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y57 NUM_PINS 45 SITEPROP SLICE_X41Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y57 PROHIBIT 0 SITEPROP SLICE_X41Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y57 RPM_X 111 SITEPROP SLICE_X41Y57 RPM_Y 114 SITEPROP SLICE_X41Y57 SITE_PIPS SITEPROP SLICE_X41Y57 SITE_TYPE SLICEL SITEPROP SLICE_X41Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y58 CLASS site SITEPROP SLICE_X41Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y58 IS_BONDED 0 SITEPROP SLICE_X41Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y58 IS_PAD 0 SITEPROP SLICE_X41Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y58 IS_RESERVED 0 SITEPROP SLICE_X41Y58 IS_TEST 0 SITEPROP SLICE_X41Y58 IS_USED 0 SITEPROP SLICE_X41Y58 MANUAL_ROUTING SITEPROP SLICE_X41Y58 NAME SLICE_X41Y58 SITEPROP SLICE_X41Y58 NUM_ARCS 138 SITEPROP SLICE_X41Y58 NUM_BELS 32 SITEPROP SLICE_X41Y58 NUM_INPUTS 32 SITEPROP SLICE_X41Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y58 NUM_PINS 45 SITEPROP SLICE_X41Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y58 PROHIBIT 0 SITEPROP SLICE_X41Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y58 RPM_X 111 SITEPROP SLICE_X41Y58 RPM_Y 116 SITEPROP SLICE_X41Y58 SITE_PIPS SITEPROP SLICE_X41Y58 SITE_TYPE SLICEL SITEPROP SLICE_X41Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y59 CLASS site SITEPROP SLICE_X41Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y59 IS_BONDED 0 SITEPROP SLICE_X41Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y59 IS_PAD 0 SITEPROP SLICE_X41Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y59 IS_RESERVED 0 SITEPROP SLICE_X41Y59 IS_TEST 0 SITEPROP SLICE_X41Y59 IS_USED 0 SITEPROP SLICE_X41Y59 MANUAL_ROUTING SITEPROP SLICE_X41Y59 NAME SLICE_X41Y59 SITEPROP SLICE_X41Y59 NUM_ARCS 138 SITEPROP SLICE_X41Y59 NUM_BELS 32 SITEPROP SLICE_X41Y59 NUM_INPUTS 32 SITEPROP SLICE_X41Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y59 NUM_PINS 45 SITEPROP SLICE_X41Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y59 PROHIBIT 0 SITEPROP SLICE_X41Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y59 RPM_X 111 SITEPROP SLICE_X41Y59 RPM_Y 118 SITEPROP SLICE_X41Y59 SITE_PIPS SITEPROP SLICE_X41Y59 SITE_TYPE SLICEL SITEPROP SLICE_X41Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y60 CLASS site SITEPROP SLICE_X41Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y60 IS_BONDED 0 SITEPROP SLICE_X41Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y60 IS_PAD 0 SITEPROP SLICE_X41Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y60 IS_RESERVED 0 SITEPROP SLICE_X41Y60 IS_TEST 0 SITEPROP SLICE_X41Y60 IS_USED 0 SITEPROP SLICE_X41Y60 MANUAL_ROUTING SITEPROP SLICE_X41Y60 NAME SLICE_X41Y60 SITEPROP SLICE_X41Y60 NUM_ARCS 138 SITEPROP SLICE_X41Y60 NUM_BELS 32 SITEPROP SLICE_X41Y60 NUM_INPUTS 32 SITEPROP SLICE_X41Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y60 NUM_PINS 45 SITEPROP SLICE_X41Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y60 PROHIBIT 0 SITEPROP SLICE_X41Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y60 RPM_X 111 SITEPROP SLICE_X41Y60 RPM_Y 120 SITEPROP SLICE_X41Y60 SITE_PIPS SITEPROP SLICE_X41Y60 SITE_TYPE SLICEL SITEPROP SLICE_X41Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y61 CLASS site SITEPROP SLICE_X41Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y61 IS_BONDED 0 SITEPROP SLICE_X41Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y61 IS_PAD 0 SITEPROP SLICE_X41Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y61 IS_RESERVED 0 SITEPROP SLICE_X41Y61 IS_TEST 0 SITEPROP SLICE_X41Y61 IS_USED 0 SITEPROP SLICE_X41Y61 MANUAL_ROUTING SITEPROP SLICE_X41Y61 NAME SLICE_X41Y61 SITEPROP SLICE_X41Y61 NUM_ARCS 138 SITEPROP SLICE_X41Y61 NUM_BELS 32 SITEPROP SLICE_X41Y61 NUM_INPUTS 32 SITEPROP SLICE_X41Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y61 NUM_PINS 45 SITEPROP SLICE_X41Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y61 PROHIBIT 0 SITEPROP SLICE_X41Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y61 RPM_X 111 SITEPROP SLICE_X41Y61 RPM_Y 122 SITEPROP SLICE_X41Y61 SITE_PIPS SITEPROP SLICE_X41Y61 SITE_TYPE SLICEL SITEPROP SLICE_X41Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y62 CLASS site SITEPROP SLICE_X41Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y62 IS_BONDED 0 SITEPROP SLICE_X41Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y62 IS_PAD 0 SITEPROP SLICE_X41Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y62 IS_RESERVED 0 SITEPROP SLICE_X41Y62 IS_TEST 0 SITEPROP SLICE_X41Y62 IS_USED 0 SITEPROP SLICE_X41Y62 MANUAL_ROUTING SITEPROP SLICE_X41Y62 NAME SLICE_X41Y62 SITEPROP SLICE_X41Y62 NUM_ARCS 138 SITEPROP SLICE_X41Y62 NUM_BELS 32 SITEPROP SLICE_X41Y62 NUM_INPUTS 32 SITEPROP SLICE_X41Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y62 NUM_PINS 45 SITEPROP SLICE_X41Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y62 PROHIBIT 0 SITEPROP SLICE_X41Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y62 RPM_X 111 SITEPROP SLICE_X41Y62 RPM_Y 124 SITEPROP SLICE_X41Y62 SITE_PIPS SITEPROP SLICE_X41Y62 SITE_TYPE SLICEL SITEPROP SLICE_X41Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y63 CLASS site SITEPROP SLICE_X41Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y63 IS_BONDED 0 SITEPROP SLICE_X41Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y63 IS_PAD 0 SITEPROP SLICE_X41Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y63 IS_RESERVED 0 SITEPROP SLICE_X41Y63 IS_TEST 0 SITEPROP SLICE_X41Y63 IS_USED 0 SITEPROP SLICE_X41Y63 MANUAL_ROUTING SITEPROP SLICE_X41Y63 NAME SLICE_X41Y63 SITEPROP SLICE_X41Y63 NUM_ARCS 138 SITEPROP SLICE_X41Y63 NUM_BELS 32 SITEPROP SLICE_X41Y63 NUM_INPUTS 32 SITEPROP SLICE_X41Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y63 NUM_PINS 45 SITEPROP SLICE_X41Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y63 PROHIBIT 0 SITEPROP SLICE_X41Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y63 RPM_X 111 SITEPROP SLICE_X41Y63 RPM_Y 126 SITEPROP SLICE_X41Y63 SITE_PIPS SITEPROP SLICE_X41Y63 SITE_TYPE SLICEL SITEPROP SLICE_X41Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y64 CLASS site SITEPROP SLICE_X41Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y64 IS_BONDED 0 SITEPROP SLICE_X41Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y64 IS_PAD 0 SITEPROP SLICE_X41Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y64 IS_RESERVED 0 SITEPROP SLICE_X41Y64 IS_TEST 0 SITEPROP SLICE_X41Y64 IS_USED 0 SITEPROP SLICE_X41Y64 MANUAL_ROUTING SITEPROP SLICE_X41Y64 NAME SLICE_X41Y64 SITEPROP SLICE_X41Y64 NUM_ARCS 138 SITEPROP SLICE_X41Y64 NUM_BELS 32 SITEPROP SLICE_X41Y64 NUM_INPUTS 32 SITEPROP SLICE_X41Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y64 NUM_PINS 45 SITEPROP SLICE_X41Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y64 PROHIBIT 0 SITEPROP SLICE_X41Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y64 RPM_X 111 SITEPROP SLICE_X41Y64 RPM_Y 128 SITEPROP SLICE_X41Y64 SITE_PIPS SITEPROP SLICE_X41Y64 SITE_TYPE SLICEL SITEPROP SLICE_X41Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y65 CLASS site SITEPROP SLICE_X41Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y65 IS_BONDED 0 SITEPROP SLICE_X41Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y65 IS_PAD 0 SITEPROP SLICE_X41Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y65 IS_RESERVED 0 SITEPROP SLICE_X41Y65 IS_TEST 0 SITEPROP SLICE_X41Y65 IS_USED 0 SITEPROP SLICE_X41Y65 MANUAL_ROUTING SITEPROP SLICE_X41Y65 NAME SLICE_X41Y65 SITEPROP SLICE_X41Y65 NUM_ARCS 138 SITEPROP SLICE_X41Y65 NUM_BELS 32 SITEPROP SLICE_X41Y65 NUM_INPUTS 32 SITEPROP SLICE_X41Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y65 NUM_PINS 45 SITEPROP SLICE_X41Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y65 PROHIBIT 0 SITEPROP SLICE_X41Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y65 RPM_X 111 SITEPROP SLICE_X41Y65 RPM_Y 130 SITEPROP SLICE_X41Y65 SITE_PIPS SITEPROP SLICE_X41Y65 SITE_TYPE SLICEL SITEPROP SLICE_X41Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y66 CLASS site SITEPROP SLICE_X41Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y66 IS_BONDED 0 SITEPROP SLICE_X41Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y66 IS_PAD 0 SITEPROP SLICE_X41Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y66 IS_RESERVED 0 SITEPROP SLICE_X41Y66 IS_TEST 0 SITEPROP SLICE_X41Y66 IS_USED 0 SITEPROP SLICE_X41Y66 MANUAL_ROUTING SITEPROP SLICE_X41Y66 NAME SLICE_X41Y66 SITEPROP SLICE_X41Y66 NUM_ARCS 138 SITEPROP SLICE_X41Y66 NUM_BELS 32 SITEPROP SLICE_X41Y66 NUM_INPUTS 32 SITEPROP SLICE_X41Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y66 NUM_PINS 45 SITEPROP SLICE_X41Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y66 PROHIBIT 0 SITEPROP SLICE_X41Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y66 RPM_X 111 SITEPROP SLICE_X41Y66 RPM_Y 132 SITEPROP SLICE_X41Y66 SITE_PIPS SITEPROP SLICE_X41Y66 SITE_TYPE SLICEL SITEPROP SLICE_X41Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y67 CLASS site SITEPROP SLICE_X41Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y67 IS_BONDED 0 SITEPROP SLICE_X41Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y67 IS_PAD 0 SITEPROP SLICE_X41Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y67 IS_RESERVED 0 SITEPROP SLICE_X41Y67 IS_TEST 0 SITEPROP SLICE_X41Y67 IS_USED 0 SITEPROP SLICE_X41Y67 MANUAL_ROUTING SITEPROP SLICE_X41Y67 NAME SLICE_X41Y67 SITEPROP SLICE_X41Y67 NUM_ARCS 138 SITEPROP SLICE_X41Y67 NUM_BELS 32 SITEPROP SLICE_X41Y67 NUM_INPUTS 32 SITEPROP SLICE_X41Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y67 NUM_PINS 45 SITEPROP SLICE_X41Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y67 PROHIBIT 0 SITEPROP SLICE_X41Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y67 RPM_X 111 SITEPROP SLICE_X41Y67 RPM_Y 134 SITEPROP SLICE_X41Y67 SITE_PIPS SITEPROP SLICE_X41Y67 SITE_TYPE SLICEL SITEPROP SLICE_X41Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y68 CLASS site SITEPROP SLICE_X41Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y68 IS_BONDED 0 SITEPROP SLICE_X41Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y68 IS_PAD 0 SITEPROP SLICE_X41Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y68 IS_RESERVED 0 SITEPROP SLICE_X41Y68 IS_TEST 0 SITEPROP SLICE_X41Y68 IS_USED 0 SITEPROP SLICE_X41Y68 MANUAL_ROUTING SITEPROP SLICE_X41Y68 NAME SLICE_X41Y68 SITEPROP SLICE_X41Y68 NUM_ARCS 138 SITEPROP SLICE_X41Y68 NUM_BELS 32 SITEPROP SLICE_X41Y68 NUM_INPUTS 32 SITEPROP SLICE_X41Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y68 NUM_PINS 45 SITEPROP SLICE_X41Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y68 PROHIBIT 0 SITEPROP SLICE_X41Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y68 RPM_X 111 SITEPROP SLICE_X41Y68 RPM_Y 136 SITEPROP SLICE_X41Y68 SITE_PIPS SITEPROP SLICE_X41Y68 SITE_TYPE SLICEL SITEPROP SLICE_X41Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y69 CLASS site SITEPROP SLICE_X41Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y69 IS_BONDED 0 SITEPROP SLICE_X41Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y69 IS_PAD 0 SITEPROP SLICE_X41Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y69 IS_RESERVED 0 SITEPROP SLICE_X41Y69 IS_TEST 0 SITEPROP SLICE_X41Y69 IS_USED 0 SITEPROP SLICE_X41Y69 MANUAL_ROUTING SITEPROP SLICE_X41Y69 NAME SLICE_X41Y69 SITEPROP SLICE_X41Y69 NUM_ARCS 138 SITEPROP SLICE_X41Y69 NUM_BELS 32 SITEPROP SLICE_X41Y69 NUM_INPUTS 32 SITEPROP SLICE_X41Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y69 NUM_PINS 45 SITEPROP SLICE_X41Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y69 PROHIBIT 0 SITEPROP SLICE_X41Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y69 RPM_X 111 SITEPROP SLICE_X41Y69 RPM_Y 138 SITEPROP SLICE_X41Y69 SITE_PIPS SITEPROP SLICE_X41Y69 SITE_TYPE SLICEL SITEPROP SLICE_X41Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y70 CLASS site SITEPROP SLICE_X41Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y70 IS_BONDED 0 SITEPROP SLICE_X41Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y70 IS_PAD 0 SITEPROP SLICE_X41Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y70 IS_RESERVED 0 SITEPROP SLICE_X41Y70 IS_TEST 0 SITEPROP SLICE_X41Y70 IS_USED 0 SITEPROP SLICE_X41Y70 MANUAL_ROUTING SITEPROP SLICE_X41Y70 NAME SLICE_X41Y70 SITEPROP SLICE_X41Y70 NUM_ARCS 138 SITEPROP SLICE_X41Y70 NUM_BELS 32 SITEPROP SLICE_X41Y70 NUM_INPUTS 32 SITEPROP SLICE_X41Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y70 NUM_PINS 45 SITEPROP SLICE_X41Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y70 PROHIBIT 0 SITEPROP SLICE_X41Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y70 RPM_X 111 SITEPROP SLICE_X41Y70 RPM_Y 140 SITEPROP SLICE_X41Y70 SITE_PIPS SITEPROP SLICE_X41Y70 SITE_TYPE SLICEL SITEPROP SLICE_X41Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y71 CLASS site SITEPROP SLICE_X41Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y71 IS_BONDED 0 SITEPROP SLICE_X41Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y71 IS_PAD 0 SITEPROP SLICE_X41Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y71 IS_RESERVED 0 SITEPROP SLICE_X41Y71 IS_TEST 0 SITEPROP SLICE_X41Y71 IS_USED 0 SITEPROP SLICE_X41Y71 MANUAL_ROUTING SITEPROP SLICE_X41Y71 NAME SLICE_X41Y71 SITEPROP SLICE_X41Y71 NUM_ARCS 138 SITEPROP SLICE_X41Y71 NUM_BELS 32 SITEPROP SLICE_X41Y71 NUM_INPUTS 32 SITEPROP SLICE_X41Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y71 NUM_PINS 45 SITEPROP SLICE_X41Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y71 PROHIBIT 0 SITEPROP SLICE_X41Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y71 RPM_X 111 SITEPROP SLICE_X41Y71 RPM_Y 142 SITEPROP SLICE_X41Y71 SITE_PIPS SITEPROP SLICE_X41Y71 SITE_TYPE SLICEL SITEPROP SLICE_X41Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y72 CLASS site SITEPROP SLICE_X41Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y72 IS_BONDED 0 SITEPROP SLICE_X41Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y72 IS_PAD 0 SITEPROP SLICE_X41Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y72 IS_RESERVED 0 SITEPROP SLICE_X41Y72 IS_TEST 0 SITEPROP SLICE_X41Y72 IS_USED 0 SITEPROP SLICE_X41Y72 MANUAL_ROUTING SITEPROP SLICE_X41Y72 NAME SLICE_X41Y72 SITEPROP SLICE_X41Y72 NUM_ARCS 138 SITEPROP SLICE_X41Y72 NUM_BELS 32 SITEPROP SLICE_X41Y72 NUM_INPUTS 32 SITEPROP SLICE_X41Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y72 NUM_PINS 45 SITEPROP SLICE_X41Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y72 PROHIBIT 0 SITEPROP SLICE_X41Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y72 RPM_X 111 SITEPROP SLICE_X41Y72 RPM_Y 144 SITEPROP SLICE_X41Y72 SITE_PIPS SITEPROP SLICE_X41Y72 SITE_TYPE SLICEL SITEPROP SLICE_X41Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y73 CLASS site SITEPROP SLICE_X41Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y73 IS_BONDED 0 SITEPROP SLICE_X41Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y73 IS_PAD 0 SITEPROP SLICE_X41Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y73 IS_RESERVED 0 SITEPROP SLICE_X41Y73 IS_TEST 0 SITEPROP SLICE_X41Y73 IS_USED 0 SITEPROP SLICE_X41Y73 MANUAL_ROUTING SITEPROP SLICE_X41Y73 NAME SLICE_X41Y73 SITEPROP SLICE_X41Y73 NUM_ARCS 138 SITEPROP SLICE_X41Y73 NUM_BELS 32 SITEPROP SLICE_X41Y73 NUM_INPUTS 32 SITEPROP SLICE_X41Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y73 NUM_PINS 45 SITEPROP SLICE_X41Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y73 PROHIBIT 0 SITEPROP SLICE_X41Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y73 RPM_X 111 SITEPROP SLICE_X41Y73 RPM_Y 146 SITEPROP SLICE_X41Y73 SITE_PIPS SITEPROP SLICE_X41Y73 SITE_TYPE SLICEL SITEPROP SLICE_X41Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y74 CLASS site SITEPROP SLICE_X41Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y74 IS_BONDED 0 SITEPROP SLICE_X41Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y74 IS_PAD 0 SITEPROP SLICE_X41Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y74 IS_RESERVED 0 SITEPROP SLICE_X41Y74 IS_TEST 0 SITEPROP SLICE_X41Y74 IS_USED 0 SITEPROP SLICE_X41Y74 MANUAL_ROUTING SITEPROP SLICE_X41Y74 NAME SLICE_X41Y74 SITEPROP SLICE_X41Y74 NUM_ARCS 138 SITEPROP SLICE_X41Y74 NUM_BELS 32 SITEPROP SLICE_X41Y74 NUM_INPUTS 32 SITEPROP SLICE_X41Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y74 NUM_PINS 45 SITEPROP SLICE_X41Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y74 PROHIBIT 0 SITEPROP SLICE_X41Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y74 RPM_X 111 SITEPROP SLICE_X41Y74 RPM_Y 148 SITEPROP SLICE_X41Y74 SITE_PIPS SITEPROP SLICE_X41Y74 SITE_TYPE SLICEL SITEPROP SLICE_X41Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y75 CLASS site SITEPROP SLICE_X41Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y75 IS_BONDED 0 SITEPROP SLICE_X41Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y75 IS_PAD 0 SITEPROP SLICE_X41Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y75 IS_RESERVED 0 SITEPROP SLICE_X41Y75 IS_TEST 0 SITEPROP SLICE_X41Y75 IS_USED 0 SITEPROP SLICE_X41Y75 MANUAL_ROUTING SITEPROP SLICE_X41Y75 NAME SLICE_X41Y75 SITEPROP SLICE_X41Y75 NUM_ARCS 138 SITEPROP SLICE_X41Y75 NUM_BELS 32 SITEPROP SLICE_X41Y75 NUM_INPUTS 32 SITEPROP SLICE_X41Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y75 NUM_PINS 45 SITEPROP SLICE_X41Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y75 PROHIBIT 0 SITEPROP SLICE_X41Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y75 RPM_X 111 SITEPROP SLICE_X41Y75 RPM_Y 150 SITEPROP SLICE_X41Y75 SITE_PIPS SITEPROP SLICE_X41Y75 SITE_TYPE SLICEL SITEPROP SLICE_X41Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y76 CLASS site SITEPROP SLICE_X41Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y76 IS_BONDED 0 SITEPROP SLICE_X41Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y76 IS_PAD 0 SITEPROP SLICE_X41Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y76 IS_RESERVED 0 SITEPROP SLICE_X41Y76 IS_TEST 0 SITEPROP SLICE_X41Y76 IS_USED 0 SITEPROP SLICE_X41Y76 MANUAL_ROUTING SITEPROP SLICE_X41Y76 NAME SLICE_X41Y76 SITEPROP SLICE_X41Y76 NUM_ARCS 138 SITEPROP SLICE_X41Y76 NUM_BELS 32 SITEPROP SLICE_X41Y76 NUM_INPUTS 32 SITEPROP SLICE_X41Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y76 NUM_PINS 45 SITEPROP SLICE_X41Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y76 PROHIBIT 0 SITEPROP SLICE_X41Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y76 RPM_X 111 SITEPROP SLICE_X41Y76 RPM_Y 152 SITEPROP SLICE_X41Y76 SITE_PIPS SITEPROP SLICE_X41Y76 SITE_TYPE SLICEL SITEPROP SLICE_X41Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y77 CLASS site SITEPROP SLICE_X41Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y77 IS_BONDED 0 SITEPROP SLICE_X41Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y77 IS_PAD 0 SITEPROP SLICE_X41Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y77 IS_RESERVED 0 SITEPROP SLICE_X41Y77 IS_TEST 0 SITEPROP SLICE_X41Y77 IS_USED 0 SITEPROP SLICE_X41Y77 MANUAL_ROUTING SITEPROP SLICE_X41Y77 NAME SLICE_X41Y77 SITEPROP SLICE_X41Y77 NUM_ARCS 138 SITEPROP SLICE_X41Y77 NUM_BELS 32 SITEPROP SLICE_X41Y77 NUM_INPUTS 32 SITEPROP SLICE_X41Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y77 NUM_PINS 45 SITEPROP SLICE_X41Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y77 PROHIBIT 0 SITEPROP SLICE_X41Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y77 RPM_X 111 SITEPROP SLICE_X41Y77 RPM_Y 154 SITEPROP SLICE_X41Y77 SITE_PIPS SITEPROP SLICE_X41Y77 SITE_TYPE SLICEL SITEPROP SLICE_X41Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y78 CLASS site SITEPROP SLICE_X41Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y78 IS_BONDED 0 SITEPROP SLICE_X41Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y78 IS_PAD 0 SITEPROP SLICE_X41Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y78 IS_RESERVED 0 SITEPROP SLICE_X41Y78 IS_TEST 0 SITEPROP SLICE_X41Y78 IS_USED 0 SITEPROP SLICE_X41Y78 MANUAL_ROUTING SITEPROP SLICE_X41Y78 NAME SLICE_X41Y78 SITEPROP SLICE_X41Y78 NUM_ARCS 138 SITEPROP SLICE_X41Y78 NUM_BELS 32 SITEPROP SLICE_X41Y78 NUM_INPUTS 32 SITEPROP SLICE_X41Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y78 NUM_PINS 45 SITEPROP SLICE_X41Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y78 PROHIBIT 0 SITEPROP SLICE_X41Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y78 RPM_X 111 SITEPROP SLICE_X41Y78 RPM_Y 156 SITEPROP SLICE_X41Y78 SITE_PIPS SITEPROP SLICE_X41Y78 SITE_TYPE SLICEL SITEPROP SLICE_X41Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y79 CLASS site SITEPROP SLICE_X41Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y79 IS_BONDED 0 SITEPROP SLICE_X41Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y79 IS_PAD 0 SITEPROP SLICE_X41Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y79 IS_RESERVED 0 SITEPROP SLICE_X41Y79 IS_TEST 0 SITEPROP SLICE_X41Y79 IS_USED 0 SITEPROP SLICE_X41Y79 MANUAL_ROUTING SITEPROP SLICE_X41Y79 NAME SLICE_X41Y79 SITEPROP SLICE_X41Y79 NUM_ARCS 138 SITEPROP SLICE_X41Y79 NUM_BELS 32 SITEPROP SLICE_X41Y79 NUM_INPUTS 32 SITEPROP SLICE_X41Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y79 NUM_PINS 45 SITEPROP SLICE_X41Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y79 PROHIBIT 0 SITEPROP SLICE_X41Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y79 RPM_X 111 SITEPROP SLICE_X41Y79 RPM_Y 158 SITEPROP SLICE_X41Y79 SITE_PIPS SITEPROP SLICE_X41Y79 SITE_TYPE SLICEL SITEPROP SLICE_X41Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y80 CLASS site SITEPROP SLICE_X41Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y80 IS_BONDED 0 SITEPROP SLICE_X41Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y80 IS_PAD 0 SITEPROP SLICE_X41Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y80 IS_RESERVED 0 SITEPROP SLICE_X41Y80 IS_TEST 0 SITEPROP SLICE_X41Y80 IS_USED 0 SITEPROP SLICE_X41Y80 MANUAL_ROUTING SITEPROP SLICE_X41Y80 NAME SLICE_X41Y80 SITEPROP SLICE_X41Y80 NUM_ARCS 138 SITEPROP SLICE_X41Y80 NUM_BELS 32 SITEPROP SLICE_X41Y80 NUM_INPUTS 32 SITEPROP SLICE_X41Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y80 NUM_PINS 45 SITEPROP SLICE_X41Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y80 PROHIBIT 0 SITEPROP SLICE_X41Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y80 RPM_X 111 SITEPROP SLICE_X41Y80 RPM_Y 160 SITEPROP SLICE_X41Y80 SITE_PIPS SITEPROP SLICE_X41Y80 SITE_TYPE SLICEL SITEPROP SLICE_X41Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y81 CLASS site SITEPROP SLICE_X41Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y81 IS_BONDED 0 SITEPROP SLICE_X41Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y81 IS_PAD 0 SITEPROP SLICE_X41Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y81 IS_RESERVED 0 SITEPROP SLICE_X41Y81 IS_TEST 0 SITEPROP SLICE_X41Y81 IS_USED 0 SITEPROP SLICE_X41Y81 MANUAL_ROUTING SITEPROP SLICE_X41Y81 NAME SLICE_X41Y81 SITEPROP SLICE_X41Y81 NUM_ARCS 138 SITEPROP SLICE_X41Y81 NUM_BELS 32 SITEPROP SLICE_X41Y81 NUM_INPUTS 32 SITEPROP SLICE_X41Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y81 NUM_PINS 45 SITEPROP SLICE_X41Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y81 PROHIBIT 0 SITEPROP SLICE_X41Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y81 RPM_X 111 SITEPROP SLICE_X41Y81 RPM_Y 162 SITEPROP SLICE_X41Y81 SITE_PIPS SITEPROP SLICE_X41Y81 SITE_TYPE SLICEL SITEPROP SLICE_X41Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y82 CLASS site SITEPROP SLICE_X41Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y82 IS_BONDED 0 SITEPROP SLICE_X41Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y82 IS_PAD 0 SITEPROP SLICE_X41Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y82 IS_RESERVED 0 SITEPROP SLICE_X41Y82 IS_TEST 0 SITEPROP SLICE_X41Y82 IS_USED 0 SITEPROP SLICE_X41Y82 MANUAL_ROUTING SITEPROP SLICE_X41Y82 NAME SLICE_X41Y82 SITEPROP SLICE_X41Y82 NUM_ARCS 138 SITEPROP SLICE_X41Y82 NUM_BELS 32 SITEPROP SLICE_X41Y82 NUM_INPUTS 32 SITEPROP SLICE_X41Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y82 NUM_PINS 45 SITEPROP SLICE_X41Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y82 PROHIBIT 0 SITEPROP SLICE_X41Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y82 RPM_X 111 SITEPROP SLICE_X41Y82 RPM_Y 164 SITEPROP SLICE_X41Y82 SITE_PIPS SITEPROP SLICE_X41Y82 SITE_TYPE SLICEL SITEPROP SLICE_X41Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y83 CLASS site SITEPROP SLICE_X41Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y83 IS_BONDED 0 SITEPROP SLICE_X41Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y83 IS_PAD 0 SITEPROP SLICE_X41Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y83 IS_RESERVED 0 SITEPROP SLICE_X41Y83 IS_TEST 0 SITEPROP SLICE_X41Y83 IS_USED 0 SITEPROP SLICE_X41Y83 MANUAL_ROUTING SITEPROP SLICE_X41Y83 NAME SLICE_X41Y83 SITEPROP SLICE_X41Y83 NUM_ARCS 138 SITEPROP SLICE_X41Y83 NUM_BELS 32 SITEPROP SLICE_X41Y83 NUM_INPUTS 32 SITEPROP SLICE_X41Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y83 NUM_PINS 45 SITEPROP SLICE_X41Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y83 PROHIBIT 0 SITEPROP SLICE_X41Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y83 RPM_X 111 SITEPROP SLICE_X41Y83 RPM_Y 166 SITEPROP SLICE_X41Y83 SITE_PIPS SITEPROP SLICE_X41Y83 SITE_TYPE SLICEL SITEPROP SLICE_X41Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y84 CLASS site SITEPROP SLICE_X41Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y84 IS_BONDED 0 SITEPROP SLICE_X41Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y84 IS_PAD 0 SITEPROP SLICE_X41Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y84 IS_RESERVED 0 SITEPROP SLICE_X41Y84 IS_TEST 0 SITEPROP SLICE_X41Y84 IS_USED 0 SITEPROP SLICE_X41Y84 MANUAL_ROUTING SITEPROP SLICE_X41Y84 NAME SLICE_X41Y84 SITEPROP SLICE_X41Y84 NUM_ARCS 138 SITEPROP SLICE_X41Y84 NUM_BELS 32 SITEPROP SLICE_X41Y84 NUM_INPUTS 32 SITEPROP SLICE_X41Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y84 NUM_PINS 45 SITEPROP SLICE_X41Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y84 PROHIBIT 0 SITEPROP SLICE_X41Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y84 RPM_X 111 SITEPROP SLICE_X41Y84 RPM_Y 168 SITEPROP SLICE_X41Y84 SITE_PIPS SITEPROP SLICE_X41Y84 SITE_TYPE SLICEL SITEPROP SLICE_X41Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y85 CLASS site SITEPROP SLICE_X41Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y85 IS_BONDED 0 SITEPROP SLICE_X41Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y85 IS_PAD 0 SITEPROP SLICE_X41Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y85 IS_RESERVED 0 SITEPROP SLICE_X41Y85 IS_TEST 0 SITEPROP SLICE_X41Y85 IS_USED 0 SITEPROP SLICE_X41Y85 MANUAL_ROUTING SITEPROP SLICE_X41Y85 NAME SLICE_X41Y85 SITEPROP SLICE_X41Y85 NUM_ARCS 138 SITEPROP SLICE_X41Y85 NUM_BELS 32 SITEPROP SLICE_X41Y85 NUM_INPUTS 32 SITEPROP SLICE_X41Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y85 NUM_PINS 45 SITEPROP SLICE_X41Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y85 PROHIBIT 0 SITEPROP SLICE_X41Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y85 RPM_X 111 SITEPROP SLICE_X41Y85 RPM_Y 170 SITEPROP SLICE_X41Y85 SITE_PIPS SITEPROP SLICE_X41Y85 SITE_TYPE SLICEL SITEPROP SLICE_X41Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y86 CLASS site SITEPROP SLICE_X41Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y86 IS_BONDED 0 SITEPROP SLICE_X41Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y86 IS_PAD 0 SITEPROP SLICE_X41Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y86 IS_RESERVED 0 SITEPROP SLICE_X41Y86 IS_TEST 0 SITEPROP SLICE_X41Y86 IS_USED 0 SITEPROP SLICE_X41Y86 MANUAL_ROUTING SITEPROP SLICE_X41Y86 NAME SLICE_X41Y86 SITEPROP SLICE_X41Y86 NUM_ARCS 138 SITEPROP SLICE_X41Y86 NUM_BELS 32 SITEPROP SLICE_X41Y86 NUM_INPUTS 32 SITEPROP SLICE_X41Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y86 NUM_PINS 45 SITEPROP SLICE_X41Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y86 PROHIBIT 0 SITEPROP SLICE_X41Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y86 RPM_X 111 SITEPROP SLICE_X41Y86 RPM_Y 172 SITEPROP SLICE_X41Y86 SITE_PIPS SITEPROP SLICE_X41Y86 SITE_TYPE SLICEL SITEPROP SLICE_X41Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y87 CLASS site SITEPROP SLICE_X41Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y87 IS_BONDED 0 SITEPROP SLICE_X41Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y87 IS_PAD 0 SITEPROP SLICE_X41Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y87 IS_RESERVED 0 SITEPROP SLICE_X41Y87 IS_TEST 0 SITEPROP SLICE_X41Y87 IS_USED 0 SITEPROP SLICE_X41Y87 MANUAL_ROUTING SITEPROP SLICE_X41Y87 NAME SLICE_X41Y87 SITEPROP SLICE_X41Y87 NUM_ARCS 138 SITEPROP SLICE_X41Y87 NUM_BELS 32 SITEPROP SLICE_X41Y87 NUM_INPUTS 32 SITEPROP SLICE_X41Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y87 NUM_PINS 45 SITEPROP SLICE_X41Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y87 PROHIBIT 0 SITEPROP SLICE_X41Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y87 RPM_X 111 SITEPROP SLICE_X41Y87 RPM_Y 174 SITEPROP SLICE_X41Y87 SITE_PIPS SITEPROP SLICE_X41Y87 SITE_TYPE SLICEL SITEPROP SLICE_X41Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y88 CLASS site SITEPROP SLICE_X41Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y88 IS_BONDED 0 SITEPROP SLICE_X41Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y88 IS_PAD 0 SITEPROP SLICE_X41Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y88 IS_RESERVED 0 SITEPROP SLICE_X41Y88 IS_TEST 0 SITEPROP SLICE_X41Y88 IS_USED 0 SITEPROP SLICE_X41Y88 MANUAL_ROUTING SITEPROP SLICE_X41Y88 NAME SLICE_X41Y88 SITEPROP SLICE_X41Y88 NUM_ARCS 138 SITEPROP SLICE_X41Y88 NUM_BELS 32 SITEPROP SLICE_X41Y88 NUM_INPUTS 32 SITEPROP SLICE_X41Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y88 NUM_PINS 45 SITEPROP SLICE_X41Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y88 PROHIBIT 0 SITEPROP SLICE_X41Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y88 RPM_X 111 SITEPROP SLICE_X41Y88 RPM_Y 176 SITEPROP SLICE_X41Y88 SITE_PIPS SITEPROP SLICE_X41Y88 SITE_TYPE SLICEL SITEPROP SLICE_X41Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y89 CLASS site SITEPROP SLICE_X41Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y89 IS_BONDED 0 SITEPROP SLICE_X41Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y89 IS_PAD 0 SITEPROP SLICE_X41Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y89 IS_RESERVED 0 SITEPROP SLICE_X41Y89 IS_TEST 0 SITEPROP SLICE_X41Y89 IS_USED 0 SITEPROP SLICE_X41Y89 MANUAL_ROUTING SITEPROP SLICE_X41Y89 NAME SLICE_X41Y89 SITEPROP SLICE_X41Y89 NUM_ARCS 138 SITEPROP SLICE_X41Y89 NUM_BELS 32 SITEPROP SLICE_X41Y89 NUM_INPUTS 32 SITEPROP SLICE_X41Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y89 NUM_PINS 45 SITEPROP SLICE_X41Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y89 PROHIBIT 0 SITEPROP SLICE_X41Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y89 RPM_X 111 SITEPROP SLICE_X41Y89 RPM_Y 178 SITEPROP SLICE_X41Y89 SITE_PIPS SITEPROP SLICE_X41Y89 SITE_TYPE SLICEL SITEPROP SLICE_X41Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y90 CLASS site SITEPROP SLICE_X41Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y90 IS_BONDED 0 SITEPROP SLICE_X41Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y90 IS_PAD 0 SITEPROP SLICE_X41Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y90 IS_RESERVED 0 SITEPROP SLICE_X41Y90 IS_TEST 0 SITEPROP SLICE_X41Y90 IS_USED 0 SITEPROP SLICE_X41Y90 MANUAL_ROUTING SITEPROP SLICE_X41Y90 NAME SLICE_X41Y90 SITEPROP SLICE_X41Y90 NUM_ARCS 138 SITEPROP SLICE_X41Y90 NUM_BELS 32 SITEPROP SLICE_X41Y90 NUM_INPUTS 32 SITEPROP SLICE_X41Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y90 NUM_PINS 45 SITEPROP SLICE_X41Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y90 PROHIBIT 0 SITEPROP SLICE_X41Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y90 RPM_X 111 SITEPROP SLICE_X41Y90 RPM_Y 180 SITEPROP SLICE_X41Y90 SITE_PIPS SITEPROP SLICE_X41Y90 SITE_TYPE SLICEL SITEPROP SLICE_X41Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y91 CLASS site SITEPROP SLICE_X41Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y91 IS_BONDED 0 SITEPROP SLICE_X41Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y91 IS_PAD 0 SITEPROP SLICE_X41Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y91 IS_RESERVED 0 SITEPROP SLICE_X41Y91 IS_TEST 0 SITEPROP SLICE_X41Y91 IS_USED 0 SITEPROP SLICE_X41Y91 MANUAL_ROUTING SITEPROP SLICE_X41Y91 NAME SLICE_X41Y91 SITEPROP SLICE_X41Y91 NUM_ARCS 138 SITEPROP SLICE_X41Y91 NUM_BELS 32 SITEPROP SLICE_X41Y91 NUM_INPUTS 32 SITEPROP SLICE_X41Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y91 NUM_PINS 45 SITEPROP SLICE_X41Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y91 PROHIBIT 0 SITEPROP SLICE_X41Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y91 RPM_X 111 SITEPROP SLICE_X41Y91 RPM_Y 182 SITEPROP SLICE_X41Y91 SITE_PIPS SITEPROP SLICE_X41Y91 SITE_TYPE SLICEL SITEPROP SLICE_X41Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y92 CLASS site SITEPROP SLICE_X41Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y92 IS_BONDED 0 SITEPROP SLICE_X41Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y92 IS_PAD 0 SITEPROP SLICE_X41Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y92 IS_RESERVED 0 SITEPROP SLICE_X41Y92 IS_TEST 0 SITEPROP SLICE_X41Y92 IS_USED 0 SITEPROP SLICE_X41Y92 MANUAL_ROUTING SITEPROP SLICE_X41Y92 NAME SLICE_X41Y92 SITEPROP SLICE_X41Y92 NUM_ARCS 138 SITEPROP SLICE_X41Y92 NUM_BELS 32 SITEPROP SLICE_X41Y92 NUM_INPUTS 32 SITEPROP SLICE_X41Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y92 NUM_PINS 45 SITEPROP SLICE_X41Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y92 PROHIBIT 0 SITEPROP SLICE_X41Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y92 RPM_X 111 SITEPROP SLICE_X41Y92 RPM_Y 184 SITEPROP SLICE_X41Y92 SITE_PIPS SITEPROP SLICE_X41Y92 SITE_TYPE SLICEL SITEPROP SLICE_X41Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y93 CLASS site SITEPROP SLICE_X41Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y93 IS_BONDED 0 SITEPROP SLICE_X41Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y93 IS_PAD 0 SITEPROP SLICE_X41Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y93 IS_RESERVED 0 SITEPROP SLICE_X41Y93 IS_TEST 0 SITEPROP SLICE_X41Y93 IS_USED 0 SITEPROP SLICE_X41Y93 MANUAL_ROUTING SITEPROP SLICE_X41Y93 NAME SLICE_X41Y93 SITEPROP SLICE_X41Y93 NUM_ARCS 138 SITEPROP SLICE_X41Y93 NUM_BELS 32 SITEPROP SLICE_X41Y93 NUM_INPUTS 32 SITEPROP SLICE_X41Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y93 NUM_PINS 45 SITEPROP SLICE_X41Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y93 PROHIBIT 0 SITEPROP SLICE_X41Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y93 RPM_X 111 SITEPROP SLICE_X41Y93 RPM_Y 186 SITEPROP SLICE_X41Y93 SITE_PIPS SITEPROP SLICE_X41Y93 SITE_TYPE SLICEL SITEPROP SLICE_X41Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y94 CLASS site SITEPROP SLICE_X41Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y94 IS_BONDED 0 SITEPROP SLICE_X41Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y94 IS_PAD 0 SITEPROP SLICE_X41Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y94 IS_RESERVED 0 SITEPROP SLICE_X41Y94 IS_TEST 0 SITEPROP SLICE_X41Y94 IS_USED 0 SITEPROP SLICE_X41Y94 MANUAL_ROUTING SITEPROP SLICE_X41Y94 NAME SLICE_X41Y94 SITEPROP SLICE_X41Y94 NUM_ARCS 138 SITEPROP SLICE_X41Y94 NUM_BELS 32 SITEPROP SLICE_X41Y94 NUM_INPUTS 32 SITEPROP SLICE_X41Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y94 NUM_PINS 45 SITEPROP SLICE_X41Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y94 PROHIBIT 0 SITEPROP SLICE_X41Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y94 RPM_X 111 SITEPROP SLICE_X41Y94 RPM_Y 188 SITEPROP SLICE_X41Y94 SITE_PIPS SITEPROP SLICE_X41Y94 SITE_TYPE SLICEL SITEPROP SLICE_X41Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y95 CLASS site SITEPROP SLICE_X41Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y95 IS_BONDED 0 SITEPROP SLICE_X41Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y95 IS_PAD 0 SITEPROP SLICE_X41Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y95 IS_RESERVED 0 SITEPROP SLICE_X41Y95 IS_TEST 0 SITEPROP SLICE_X41Y95 IS_USED 0 SITEPROP SLICE_X41Y95 MANUAL_ROUTING SITEPROP SLICE_X41Y95 NAME SLICE_X41Y95 SITEPROP SLICE_X41Y95 NUM_ARCS 138 SITEPROP SLICE_X41Y95 NUM_BELS 32 SITEPROP SLICE_X41Y95 NUM_INPUTS 32 SITEPROP SLICE_X41Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y95 NUM_PINS 45 SITEPROP SLICE_X41Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y95 PROHIBIT 0 SITEPROP SLICE_X41Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y95 RPM_X 111 SITEPROP SLICE_X41Y95 RPM_Y 190 SITEPROP SLICE_X41Y95 SITE_PIPS SITEPROP SLICE_X41Y95 SITE_TYPE SLICEL SITEPROP SLICE_X41Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y96 CLASS site SITEPROP SLICE_X41Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y96 IS_BONDED 0 SITEPROP SLICE_X41Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y96 IS_PAD 0 SITEPROP SLICE_X41Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y96 IS_RESERVED 0 SITEPROP SLICE_X41Y96 IS_TEST 0 SITEPROP SLICE_X41Y96 IS_USED 0 SITEPROP SLICE_X41Y96 MANUAL_ROUTING SITEPROP SLICE_X41Y96 NAME SLICE_X41Y96 SITEPROP SLICE_X41Y96 NUM_ARCS 138 SITEPROP SLICE_X41Y96 NUM_BELS 32 SITEPROP SLICE_X41Y96 NUM_INPUTS 32 SITEPROP SLICE_X41Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y96 NUM_PINS 45 SITEPROP SLICE_X41Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y96 PROHIBIT 0 SITEPROP SLICE_X41Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y96 RPM_X 111 SITEPROP SLICE_X41Y96 RPM_Y 192 SITEPROP SLICE_X41Y96 SITE_PIPS SITEPROP SLICE_X41Y96 SITE_TYPE SLICEL SITEPROP SLICE_X41Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y97 CLASS site SITEPROP SLICE_X41Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y97 IS_BONDED 0 SITEPROP SLICE_X41Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y97 IS_PAD 0 SITEPROP SLICE_X41Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y97 IS_RESERVED 0 SITEPROP SLICE_X41Y97 IS_TEST 0 SITEPROP SLICE_X41Y97 IS_USED 0 SITEPROP SLICE_X41Y97 MANUAL_ROUTING SITEPROP SLICE_X41Y97 NAME SLICE_X41Y97 SITEPROP SLICE_X41Y97 NUM_ARCS 138 SITEPROP SLICE_X41Y97 NUM_BELS 32 SITEPROP SLICE_X41Y97 NUM_INPUTS 32 SITEPROP SLICE_X41Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y97 NUM_PINS 45 SITEPROP SLICE_X41Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y97 PROHIBIT 0 SITEPROP SLICE_X41Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y97 RPM_X 111 SITEPROP SLICE_X41Y97 RPM_Y 194 SITEPROP SLICE_X41Y97 SITE_PIPS SITEPROP SLICE_X41Y97 SITE_TYPE SLICEL SITEPROP SLICE_X41Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y98 CLASS site SITEPROP SLICE_X41Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y98 IS_BONDED 0 SITEPROP SLICE_X41Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y98 IS_PAD 0 SITEPROP SLICE_X41Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y98 IS_RESERVED 0 SITEPROP SLICE_X41Y98 IS_TEST 0 SITEPROP SLICE_X41Y98 IS_USED 0 SITEPROP SLICE_X41Y98 MANUAL_ROUTING SITEPROP SLICE_X41Y98 NAME SLICE_X41Y98 SITEPROP SLICE_X41Y98 NUM_ARCS 138 SITEPROP SLICE_X41Y98 NUM_BELS 32 SITEPROP SLICE_X41Y98 NUM_INPUTS 32 SITEPROP SLICE_X41Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y98 NUM_PINS 45 SITEPROP SLICE_X41Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y98 PROHIBIT 0 SITEPROP SLICE_X41Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y98 RPM_X 111 SITEPROP SLICE_X41Y98 RPM_Y 196 SITEPROP SLICE_X41Y98 SITE_PIPS SITEPROP SLICE_X41Y98 SITE_TYPE SLICEL SITEPROP SLICE_X41Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y99 CLASS site SITEPROP SLICE_X41Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X41Y99 IS_BONDED 0 SITEPROP SLICE_X41Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y99 IS_PAD 0 SITEPROP SLICE_X41Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y99 IS_RESERVED 0 SITEPROP SLICE_X41Y99 IS_TEST 0 SITEPROP SLICE_X41Y99 IS_USED 0 SITEPROP SLICE_X41Y99 MANUAL_ROUTING SITEPROP SLICE_X41Y99 NAME SLICE_X41Y99 SITEPROP SLICE_X41Y99 NUM_ARCS 138 SITEPROP SLICE_X41Y99 NUM_BELS 32 SITEPROP SLICE_X41Y99 NUM_INPUTS 32 SITEPROP SLICE_X41Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y99 NUM_PINS 45 SITEPROP SLICE_X41Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y99 PROHIBIT 0 SITEPROP SLICE_X41Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y99 RPM_X 111 SITEPROP SLICE_X41Y99 RPM_Y 198 SITEPROP SLICE_X41Y99 SITE_PIPS SITEPROP SLICE_X41Y99 SITE_TYPE SLICEL SITEPROP SLICE_X41Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y100 CLASS site SITEPROP SLICE_X41Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y100 IS_BONDED 0 SITEPROP SLICE_X41Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y100 IS_PAD 0 SITEPROP SLICE_X41Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y100 IS_RESERVED 0 SITEPROP SLICE_X41Y100 IS_TEST 0 SITEPROP SLICE_X41Y100 IS_USED 0 SITEPROP SLICE_X41Y100 MANUAL_ROUTING SITEPROP SLICE_X41Y100 NAME SLICE_X41Y100 SITEPROP SLICE_X41Y100 NUM_ARCS 138 SITEPROP SLICE_X41Y100 NUM_BELS 32 SITEPROP SLICE_X41Y100 NUM_INPUTS 32 SITEPROP SLICE_X41Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y100 NUM_PINS 45 SITEPROP SLICE_X41Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y100 PROHIBIT 0 SITEPROP SLICE_X41Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y100 RPM_X 111 SITEPROP SLICE_X41Y100 RPM_Y 200 SITEPROP SLICE_X41Y100 SITE_PIPS SITEPROP SLICE_X41Y100 SITE_TYPE SLICEL SITEPROP SLICE_X41Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y101 CLASS site SITEPROP SLICE_X41Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y101 IS_BONDED 0 SITEPROP SLICE_X41Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y101 IS_PAD 0 SITEPROP SLICE_X41Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y101 IS_RESERVED 0 SITEPROP SLICE_X41Y101 IS_TEST 0 SITEPROP SLICE_X41Y101 IS_USED 0 SITEPROP SLICE_X41Y101 MANUAL_ROUTING SITEPROP SLICE_X41Y101 NAME SLICE_X41Y101 SITEPROP SLICE_X41Y101 NUM_ARCS 138 SITEPROP SLICE_X41Y101 NUM_BELS 32 SITEPROP SLICE_X41Y101 NUM_INPUTS 32 SITEPROP SLICE_X41Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y101 NUM_PINS 45 SITEPROP SLICE_X41Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y101 PROHIBIT 0 SITEPROP SLICE_X41Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y101 RPM_X 111 SITEPROP SLICE_X41Y101 RPM_Y 202 SITEPROP SLICE_X41Y101 SITE_PIPS SITEPROP SLICE_X41Y101 SITE_TYPE SLICEL SITEPROP SLICE_X41Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y102 CLASS site SITEPROP SLICE_X41Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y102 IS_BONDED 0 SITEPROP SLICE_X41Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y102 IS_PAD 0 SITEPROP SLICE_X41Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y102 IS_RESERVED 0 SITEPROP SLICE_X41Y102 IS_TEST 0 SITEPROP SLICE_X41Y102 IS_USED 0 SITEPROP SLICE_X41Y102 MANUAL_ROUTING SITEPROP SLICE_X41Y102 NAME SLICE_X41Y102 SITEPROP SLICE_X41Y102 NUM_ARCS 138 SITEPROP SLICE_X41Y102 NUM_BELS 32 SITEPROP SLICE_X41Y102 NUM_INPUTS 32 SITEPROP SLICE_X41Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y102 NUM_PINS 45 SITEPROP SLICE_X41Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y102 PROHIBIT 0 SITEPROP SLICE_X41Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y102 RPM_X 111 SITEPROP SLICE_X41Y102 RPM_Y 204 SITEPROP SLICE_X41Y102 SITE_PIPS SITEPROP SLICE_X41Y102 SITE_TYPE SLICEL SITEPROP SLICE_X41Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y103 CLASS site SITEPROP SLICE_X41Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y103 IS_BONDED 0 SITEPROP SLICE_X41Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y103 IS_PAD 0 SITEPROP SLICE_X41Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y103 IS_RESERVED 0 SITEPROP SLICE_X41Y103 IS_TEST 0 SITEPROP SLICE_X41Y103 IS_USED 0 SITEPROP SLICE_X41Y103 MANUAL_ROUTING SITEPROP SLICE_X41Y103 NAME SLICE_X41Y103 SITEPROP SLICE_X41Y103 NUM_ARCS 138 SITEPROP SLICE_X41Y103 NUM_BELS 32 SITEPROP SLICE_X41Y103 NUM_INPUTS 32 SITEPROP SLICE_X41Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y103 NUM_PINS 45 SITEPROP SLICE_X41Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y103 PROHIBIT 0 SITEPROP SLICE_X41Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y103 RPM_X 111 SITEPROP SLICE_X41Y103 RPM_Y 206 SITEPROP SLICE_X41Y103 SITE_PIPS SITEPROP SLICE_X41Y103 SITE_TYPE SLICEL SITEPROP SLICE_X41Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y104 CLASS site SITEPROP SLICE_X41Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y104 IS_BONDED 0 SITEPROP SLICE_X41Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y104 IS_PAD 0 SITEPROP SLICE_X41Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y104 IS_RESERVED 0 SITEPROP SLICE_X41Y104 IS_TEST 0 SITEPROP SLICE_X41Y104 IS_USED 0 SITEPROP SLICE_X41Y104 MANUAL_ROUTING SITEPROP SLICE_X41Y104 NAME SLICE_X41Y104 SITEPROP SLICE_X41Y104 NUM_ARCS 138 SITEPROP SLICE_X41Y104 NUM_BELS 32 SITEPROP SLICE_X41Y104 NUM_INPUTS 32 SITEPROP SLICE_X41Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y104 NUM_PINS 45 SITEPROP SLICE_X41Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y104 PROHIBIT 0 SITEPROP SLICE_X41Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y104 RPM_X 111 SITEPROP SLICE_X41Y104 RPM_Y 208 SITEPROP SLICE_X41Y104 SITE_PIPS SITEPROP SLICE_X41Y104 SITE_TYPE SLICEL SITEPROP SLICE_X41Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y105 CLASS site SITEPROP SLICE_X41Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y105 IS_BONDED 0 SITEPROP SLICE_X41Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y105 IS_PAD 0 SITEPROP SLICE_X41Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y105 IS_RESERVED 0 SITEPROP SLICE_X41Y105 IS_TEST 0 SITEPROP SLICE_X41Y105 IS_USED 0 SITEPROP SLICE_X41Y105 MANUAL_ROUTING SITEPROP SLICE_X41Y105 NAME SLICE_X41Y105 SITEPROP SLICE_X41Y105 NUM_ARCS 138 SITEPROP SLICE_X41Y105 NUM_BELS 32 SITEPROP SLICE_X41Y105 NUM_INPUTS 32 SITEPROP SLICE_X41Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y105 NUM_PINS 45 SITEPROP SLICE_X41Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y105 PROHIBIT 0 SITEPROP SLICE_X41Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y105 RPM_X 111 SITEPROP SLICE_X41Y105 RPM_Y 210 SITEPROP SLICE_X41Y105 SITE_PIPS SITEPROP SLICE_X41Y105 SITE_TYPE SLICEL SITEPROP SLICE_X41Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y106 CLASS site SITEPROP SLICE_X41Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y106 IS_BONDED 0 SITEPROP SLICE_X41Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y106 IS_PAD 0 SITEPROP SLICE_X41Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y106 IS_RESERVED 0 SITEPROP SLICE_X41Y106 IS_TEST 0 SITEPROP SLICE_X41Y106 IS_USED 0 SITEPROP SLICE_X41Y106 MANUAL_ROUTING SITEPROP SLICE_X41Y106 NAME SLICE_X41Y106 SITEPROP SLICE_X41Y106 NUM_ARCS 138 SITEPROP SLICE_X41Y106 NUM_BELS 32 SITEPROP SLICE_X41Y106 NUM_INPUTS 32 SITEPROP SLICE_X41Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y106 NUM_PINS 45 SITEPROP SLICE_X41Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y106 PROHIBIT 0 SITEPROP SLICE_X41Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y106 RPM_X 111 SITEPROP SLICE_X41Y106 RPM_Y 212 SITEPROP SLICE_X41Y106 SITE_PIPS SITEPROP SLICE_X41Y106 SITE_TYPE SLICEL SITEPROP SLICE_X41Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y107 CLASS site SITEPROP SLICE_X41Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y107 IS_BONDED 0 SITEPROP SLICE_X41Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y107 IS_PAD 0 SITEPROP SLICE_X41Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y107 IS_RESERVED 0 SITEPROP SLICE_X41Y107 IS_TEST 0 SITEPROP SLICE_X41Y107 IS_USED 0 SITEPROP SLICE_X41Y107 MANUAL_ROUTING SITEPROP SLICE_X41Y107 NAME SLICE_X41Y107 SITEPROP SLICE_X41Y107 NUM_ARCS 138 SITEPROP SLICE_X41Y107 NUM_BELS 32 SITEPROP SLICE_X41Y107 NUM_INPUTS 32 SITEPROP SLICE_X41Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y107 NUM_PINS 45 SITEPROP SLICE_X41Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y107 PROHIBIT 0 SITEPROP SLICE_X41Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y107 RPM_X 111 SITEPROP SLICE_X41Y107 RPM_Y 214 SITEPROP SLICE_X41Y107 SITE_PIPS SITEPROP SLICE_X41Y107 SITE_TYPE SLICEL SITEPROP SLICE_X41Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y108 CLASS site SITEPROP SLICE_X41Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y108 IS_BONDED 0 SITEPROP SLICE_X41Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y108 IS_PAD 0 SITEPROP SLICE_X41Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y108 IS_RESERVED 0 SITEPROP SLICE_X41Y108 IS_TEST 0 SITEPROP SLICE_X41Y108 IS_USED 0 SITEPROP SLICE_X41Y108 MANUAL_ROUTING SITEPROP SLICE_X41Y108 NAME SLICE_X41Y108 SITEPROP SLICE_X41Y108 NUM_ARCS 138 SITEPROP SLICE_X41Y108 NUM_BELS 32 SITEPROP SLICE_X41Y108 NUM_INPUTS 32 SITEPROP SLICE_X41Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y108 NUM_PINS 45 SITEPROP SLICE_X41Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y108 PROHIBIT 0 SITEPROP SLICE_X41Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y108 RPM_X 111 SITEPROP SLICE_X41Y108 RPM_Y 216 SITEPROP SLICE_X41Y108 SITE_PIPS SITEPROP SLICE_X41Y108 SITE_TYPE SLICEL SITEPROP SLICE_X41Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y109 CLASS site SITEPROP SLICE_X41Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y109 IS_BONDED 0 SITEPROP SLICE_X41Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y109 IS_PAD 0 SITEPROP SLICE_X41Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y109 IS_RESERVED 0 SITEPROP SLICE_X41Y109 IS_TEST 0 SITEPROP SLICE_X41Y109 IS_USED 0 SITEPROP SLICE_X41Y109 MANUAL_ROUTING SITEPROP SLICE_X41Y109 NAME SLICE_X41Y109 SITEPROP SLICE_X41Y109 NUM_ARCS 138 SITEPROP SLICE_X41Y109 NUM_BELS 32 SITEPROP SLICE_X41Y109 NUM_INPUTS 32 SITEPROP SLICE_X41Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y109 NUM_PINS 45 SITEPROP SLICE_X41Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y109 PROHIBIT 0 SITEPROP SLICE_X41Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y109 RPM_X 111 SITEPROP SLICE_X41Y109 RPM_Y 218 SITEPROP SLICE_X41Y109 SITE_PIPS SITEPROP SLICE_X41Y109 SITE_TYPE SLICEL SITEPROP SLICE_X41Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y110 CLASS site SITEPROP SLICE_X41Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y110 IS_BONDED 0 SITEPROP SLICE_X41Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y110 IS_PAD 0 SITEPROP SLICE_X41Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y110 IS_RESERVED 0 SITEPROP SLICE_X41Y110 IS_TEST 0 SITEPROP SLICE_X41Y110 IS_USED 0 SITEPROP SLICE_X41Y110 MANUAL_ROUTING SITEPROP SLICE_X41Y110 NAME SLICE_X41Y110 SITEPROP SLICE_X41Y110 NUM_ARCS 138 SITEPROP SLICE_X41Y110 NUM_BELS 32 SITEPROP SLICE_X41Y110 NUM_INPUTS 32 SITEPROP SLICE_X41Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y110 NUM_PINS 45 SITEPROP SLICE_X41Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y110 PROHIBIT 0 SITEPROP SLICE_X41Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y110 RPM_X 111 SITEPROP SLICE_X41Y110 RPM_Y 220 SITEPROP SLICE_X41Y110 SITE_PIPS SITEPROP SLICE_X41Y110 SITE_TYPE SLICEL SITEPROP SLICE_X41Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y111 CLASS site SITEPROP SLICE_X41Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y111 IS_BONDED 0 SITEPROP SLICE_X41Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y111 IS_PAD 0 SITEPROP SLICE_X41Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y111 IS_RESERVED 0 SITEPROP SLICE_X41Y111 IS_TEST 0 SITEPROP SLICE_X41Y111 IS_USED 0 SITEPROP SLICE_X41Y111 MANUAL_ROUTING SITEPROP SLICE_X41Y111 NAME SLICE_X41Y111 SITEPROP SLICE_X41Y111 NUM_ARCS 138 SITEPROP SLICE_X41Y111 NUM_BELS 32 SITEPROP SLICE_X41Y111 NUM_INPUTS 32 SITEPROP SLICE_X41Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y111 NUM_PINS 45 SITEPROP SLICE_X41Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y111 PROHIBIT 0 SITEPROP SLICE_X41Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y111 RPM_X 111 SITEPROP SLICE_X41Y111 RPM_Y 222 SITEPROP SLICE_X41Y111 SITE_PIPS SITEPROP SLICE_X41Y111 SITE_TYPE SLICEL SITEPROP SLICE_X41Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y112 CLASS site SITEPROP SLICE_X41Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y112 IS_BONDED 0 SITEPROP SLICE_X41Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y112 IS_PAD 0 SITEPROP SLICE_X41Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y112 IS_RESERVED 0 SITEPROP SLICE_X41Y112 IS_TEST 0 SITEPROP SLICE_X41Y112 IS_USED 0 SITEPROP SLICE_X41Y112 MANUAL_ROUTING SITEPROP SLICE_X41Y112 NAME SLICE_X41Y112 SITEPROP SLICE_X41Y112 NUM_ARCS 138 SITEPROP SLICE_X41Y112 NUM_BELS 32 SITEPROP SLICE_X41Y112 NUM_INPUTS 32 SITEPROP SLICE_X41Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y112 NUM_PINS 45 SITEPROP SLICE_X41Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y112 PROHIBIT 0 SITEPROP SLICE_X41Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y112 RPM_X 111 SITEPROP SLICE_X41Y112 RPM_Y 224 SITEPROP SLICE_X41Y112 SITE_PIPS SITEPROP SLICE_X41Y112 SITE_TYPE SLICEL SITEPROP SLICE_X41Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y113 CLASS site SITEPROP SLICE_X41Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y113 IS_BONDED 0 SITEPROP SLICE_X41Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y113 IS_PAD 0 SITEPROP SLICE_X41Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y113 IS_RESERVED 0 SITEPROP SLICE_X41Y113 IS_TEST 0 SITEPROP SLICE_X41Y113 IS_USED 0 SITEPROP SLICE_X41Y113 MANUAL_ROUTING SITEPROP SLICE_X41Y113 NAME SLICE_X41Y113 SITEPROP SLICE_X41Y113 NUM_ARCS 138 SITEPROP SLICE_X41Y113 NUM_BELS 32 SITEPROP SLICE_X41Y113 NUM_INPUTS 32 SITEPROP SLICE_X41Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y113 NUM_PINS 45 SITEPROP SLICE_X41Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y113 PROHIBIT 0 SITEPROP SLICE_X41Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y113 RPM_X 111 SITEPROP SLICE_X41Y113 RPM_Y 226 SITEPROP SLICE_X41Y113 SITE_PIPS SITEPROP SLICE_X41Y113 SITE_TYPE SLICEL SITEPROP SLICE_X41Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y114 CLASS site SITEPROP SLICE_X41Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y114 IS_BONDED 0 SITEPROP SLICE_X41Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y114 IS_PAD 0 SITEPROP SLICE_X41Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y114 IS_RESERVED 0 SITEPROP SLICE_X41Y114 IS_TEST 0 SITEPROP SLICE_X41Y114 IS_USED 0 SITEPROP SLICE_X41Y114 MANUAL_ROUTING SITEPROP SLICE_X41Y114 NAME SLICE_X41Y114 SITEPROP SLICE_X41Y114 NUM_ARCS 138 SITEPROP SLICE_X41Y114 NUM_BELS 32 SITEPROP SLICE_X41Y114 NUM_INPUTS 32 SITEPROP SLICE_X41Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y114 NUM_PINS 45 SITEPROP SLICE_X41Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y114 PROHIBIT 0 SITEPROP SLICE_X41Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y114 RPM_X 111 SITEPROP SLICE_X41Y114 RPM_Y 228 SITEPROP SLICE_X41Y114 SITE_PIPS SITEPROP SLICE_X41Y114 SITE_TYPE SLICEL SITEPROP SLICE_X41Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y115 CLASS site SITEPROP SLICE_X41Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y115 IS_BONDED 0 SITEPROP SLICE_X41Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y115 IS_PAD 0 SITEPROP SLICE_X41Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y115 IS_RESERVED 0 SITEPROP SLICE_X41Y115 IS_TEST 0 SITEPROP SLICE_X41Y115 IS_USED 0 SITEPROP SLICE_X41Y115 MANUAL_ROUTING SITEPROP SLICE_X41Y115 NAME SLICE_X41Y115 SITEPROP SLICE_X41Y115 NUM_ARCS 138 SITEPROP SLICE_X41Y115 NUM_BELS 32 SITEPROP SLICE_X41Y115 NUM_INPUTS 32 SITEPROP SLICE_X41Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y115 NUM_PINS 45 SITEPROP SLICE_X41Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y115 PROHIBIT 0 SITEPROP SLICE_X41Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y115 RPM_X 111 SITEPROP SLICE_X41Y115 RPM_Y 230 SITEPROP SLICE_X41Y115 SITE_PIPS SITEPROP SLICE_X41Y115 SITE_TYPE SLICEL SITEPROP SLICE_X41Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y116 CLASS site SITEPROP SLICE_X41Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y116 IS_BONDED 0 SITEPROP SLICE_X41Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y116 IS_PAD 0 SITEPROP SLICE_X41Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y116 IS_RESERVED 0 SITEPROP SLICE_X41Y116 IS_TEST 0 SITEPROP SLICE_X41Y116 IS_USED 0 SITEPROP SLICE_X41Y116 MANUAL_ROUTING SITEPROP SLICE_X41Y116 NAME SLICE_X41Y116 SITEPROP SLICE_X41Y116 NUM_ARCS 138 SITEPROP SLICE_X41Y116 NUM_BELS 32 SITEPROP SLICE_X41Y116 NUM_INPUTS 32 SITEPROP SLICE_X41Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y116 NUM_PINS 45 SITEPROP SLICE_X41Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y116 PROHIBIT 0 SITEPROP SLICE_X41Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y116 RPM_X 111 SITEPROP SLICE_X41Y116 RPM_Y 232 SITEPROP SLICE_X41Y116 SITE_PIPS SITEPROP SLICE_X41Y116 SITE_TYPE SLICEL SITEPROP SLICE_X41Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y117 CLASS site SITEPROP SLICE_X41Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y117 IS_BONDED 0 SITEPROP SLICE_X41Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y117 IS_PAD 0 SITEPROP SLICE_X41Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y117 IS_RESERVED 0 SITEPROP SLICE_X41Y117 IS_TEST 0 SITEPROP SLICE_X41Y117 IS_USED 0 SITEPROP SLICE_X41Y117 MANUAL_ROUTING SITEPROP SLICE_X41Y117 NAME SLICE_X41Y117 SITEPROP SLICE_X41Y117 NUM_ARCS 138 SITEPROP SLICE_X41Y117 NUM_BELS 32 SITEPROP SLICE_X41Y117 NUM_INPUTS 32 SITEPROP SLICE_X41Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y117 NUM_PINS 45 SITEPROP SLICE_X41Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y117 PROHIBIT 0 SITEPROP SLICE_X41Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y117 RPM_X 111 SITEPROP SLICE_X41Y117 RPM_Y 234 SITEPROP SLICE_X41Y117 SITE_PIPS SITEPROP SLICE_X41Y117 SITE_TYPE SLICEL SITEPROP SLICE_X41Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y118 CLASS site SITEPROP SLICE_X41Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y118 IS_BONDED 0 SITEPROP SLICE_X41Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y118 IS_PAD 0 SITEPROP SLICE_X41Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y118 IS_RESERVED 0 SITEPROP SLICE_X41Y118 IS_TEST 0 SITEPROP SLICE_X41Y118 IS_USED 0 SITEPROP SLICE_X41Y118 MANUAL_ROUTING SITEPROP SLICE_X41Y118 NAME SLICE_X41Y118 SITEPROP SLICE_X41Y118 NUM_ARCS 138 SITEPROP SLICE_X41Y118 NUM_BELS 32 SITEPROP SLICE_X41Y118 NUM_INPUTS 32 SITEPROP SLICE_X41Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y118 NUM_PINS 45 SITEPROP SLICE_X41Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y118 PROHIBIT 0 SITEPROP SLICE_X41Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y118 RPM_X 111 SITEPROP SLICE_X41Y118 RPM_Y 236 SITEPROP SLICE_X41Y118 SITE_PIPS SITEPROP SLICE_X41Y118 SITE_TYPE SLICEL SITEPROP SLICE_X41Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y119 CLASS site SITEPROP SLICE_X41Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y119 IS_BONDED 0 SITEPROP SLICE_X41Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y119 IS_PAD 0 SITEPROP SLICE_X41Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y119 IS_RESERVED 0 SITEPROP SLICE_X41Y119 IS_TEST 0 SITEPROP SLICE_X41Y119 IS_USED 0 SITEPROP SLICE_X41Y119 MANUAL_ROUTING SITEPROP SLICE_X41Y119 NAME SLICE_X41Y119 SITEPROP SLICE_X41Y119 NUM_ARCS 138 SITEPROP SLICE_X41Y119 NUM_BELS 32 SITEPROP SLICE_X41Y119 NUM_INPUTS 32 SITEPROP SLICE_X41Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y119 NUM_PINS 45 SITEPROP SLICE_X41Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y119 PROHIBIT 0 SITEPROP SLICE_X41Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y119 RPM_X 111 SITEPROP SLICE_X41Y119 RPM_Y 238 SITEPROP SLICE_X41Y119 SITE_PIPS SITEPROP SLICE_X41Y119 SITE_TYPE SLICEL SITEPROP SLICE_X41Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y120 CLASS site SITEPROP SLICE_X41Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y120 IS_BONDED 0 SITEPROP SLICE_X41Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y120 IS_PAD 0 SITEPROP SLICE_X41Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y120 IS_RESERVED 0 SITEPROP SLICE_X41Y120 IS_TEST 0 SITEPROP SLICE_X41Y120 IS_USED 0 SITEPROP SLICE_X41Y120 MANUAL_ROUTING SITEPROP SLICE_X41Y120 NAME SLICE_X41Y120 SITEPROP SLICE_X41Y120 NUM_ARCS 138 SITEPROP SLICE_X41Y120 NUM_BELS 32 SITEPROP SLICE_X41Y120 NUM_INPUTS 32 SITEPROP SLICE_X41Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y120 NUM_PINS 45 SITEPROP SLICE_X41Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y120 PROHIBIT 0 SITEPROP SLICE_X41Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y120 RPM_X 111 SITEPROP SLICE_X41Y120 RPM_Y 240 SITEPROP SLICE_X41Y120 SITE_PIPS SITEPROP SLICE_X41Y120 SITE_TYPE SLICEL SITEPROP SLICE_X41Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y121 CLASS site SITEPROP SLICE_X41Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y121 IS_BONDED 0 SITEPROP SLICE_X41Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y121 IS_PAD 0 SITEPROP SLICE_X41Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y121 IS_RESERVED 0 SITEPROP SLICE_X41Y121 IS_TEST 0 SITEPROP SLICE_X41Y121 IS_USED 0 SITEPROP SLICE_X41Y121 MANUAL_ROUTING SITEPROP SLICE_X41Y121 NAME SLICE_X41Y121 SITEPROP SLICE_X41Y121 NUM_ARCS 138 SITEPROP SLICE_X41Y121 NUM_BELS 32 SITEPROP SLICE_X41Y121 NUM_INPUTS 32 SITEPROP SLICE_X41Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y121 NUM_PINS 45 SITEPROP SLICE_X41Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y121 PROHIBIT 0 SITEPROP SLICE_X41Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y121 RPM_X 111 SITEPROP SLICE_X41Y121 RPM_Y 242 SITEPROP SLICE_X41Y121 SITE_PIPS SITEPROP SLICE_X41Y121 SITE_TYPE SLICEL SITEPROP SLICE_X41Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y122 CLASS site SITEPROP SLICE_X41Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y122 IS_BONDED 0 SITEPROP SLICE_X41Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y122 IS_PAD 0 SITEPROP SLICE_X41Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y122 IS_RESERVED 0 SITEPROP SLICE_X41Y122 IS_TEST 0 SITEPROP SLICE_X41Y122 IS_USED 0 SITEPROP SLICE_X41Y122 MANUAL_ROUTING SITEPROP SLICE_X41Y122 NAME SLICE_X41Y122 SITEPROP SLICE_X41Y122 NUM_ARCS 138 SITEPROP SLICE_X41Y122 NUM_BELS 32 SITEPROP SLICE_X41Y122 NUM_INPUTS 32 SITEPROP SLICE_X41Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y122 NUM_PINS 45 SITEPROP SLICE_X41Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y122 PROHIBIT 0 SITEPROP SLICE_X41Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y122 RPM_X 111 SITEPROP SLICE_X41Y122 RPM_Y 244 SITEPROP SLICE_X41Y122 SITE_PIPS SITEPROP SLICE_X41Y122 SITE_TYPE SLICEL SITEPROP SLICE_X41Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y123 CLASS site SITEPROP SLICE_X41Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y123 IS_BONDED 0 SITEPROP SLICE_X41Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y123 IS_PAD 0 SITEPROP SLICE_X41Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y123 IS_RESERVED 0 SITEPROP SLICE_X41Y123 IS_TEST 0 SITEPROP SLICE_X41Y123 IS_USED 0 SITEPROP SLICE_X41Y123 MANUAL_ROUTING SITEPROP SLICE_X41Y123 NAME SLICE_X41Y123 SITEPROP SLICE_X41Y123 NUM_ARCS 138 SITEPROP SLICE_X41Y123 NUM_BELS 32 SITEPROP SLICE_X41Y123 NUM_INPUTS 32 SITEPROP SLICE_X41Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y123 NUM_PINS 45 SITEPROP SLICE_X41Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y123 PROHIBIT 0 SITEPROP SLICE_X41Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y123 RPM_X 111 SITEPROP SLICE_X41Y123 RPM_Y 246 SITEPROP SLICE_X41Y123 SITE_PIPS SITEPROP SLICE_X41Y123 SITE_TYPE SLICEL SITEPROP SLICE_X41Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y124 CLASS site SITEPROP SLICE_X41Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y124 IS_BONDED 0 SITEPROP SLICE_X41Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y124 IS_PAD 0 SITEPROP SLICE_X41Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y124 IS_RESERVED 0 SITEPROP SLICE_X41Y124 IS_TEST 0 SITEPROP SLICE_X41Y124 IS_USED 0 SITEPROP SLICE_X41Y124 MANUAL_ROUTING SITEPROP SLICE_X41Y124 NAME SLICE_X41Y124 SITEPROP SLICE_X41Y124 NUM_ARCS 138 SITEPROP SLICE_X41Y124 NUM_BELS 32 SITEPROP SLICE_X41Y124 NUM_INPUTS 32 SITEPROP SLICE_X41Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y124 NUM_PINS 45 SITEPROP SLICE_X41Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y124 PROHIBIT 0 SITEPROP SLICE_X41Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y124 RPM_X 111 SITEPROP SLICE_X41Y124 RPM_Y 248 SITEPROP SLICE_X41Y124 SITE_PIPS SITEPROP SLICE_X41Y124 SITE_TYPE SLICEL SITEPROP SLICE_X41Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y125 CLASS site SITEPROP SLICE_X41Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y125 IS_BONDED 0 SITEPROP SLICE_X41Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y125 IS_PAD 0 SITEPROP SLICE_X41Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y125 IS_RESERVED 0 SITEPROP SLICE_X41Y125 IS_TEST 0 SITEPROP SLICE_X41Y125 IS_USED 0 SITEPROP SLICE_X41Y125 MANUAL_ROUTING SITEPROP SLICE_X41Y125 NAME SLICE_X41Y125 SITEPROP SLICE_X41Y125 NUM_ARCS 138 SITEPROP SLICE_X41Y125 NUM_BELS 32 SITEPROP SLICE_X41Y125 NUM_INPUTS 32 SITEPROP SLICE_X41Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y125 NUM_PINS 45 SITEPROP SLICE_X41Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y125 PROHIBIT 0 SITEPROP SLICE_X41Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y125 RPM_X 111 SITEPROP SLICE_X41Y125 RPM_Y 250 SITEPROP SLICE_X41Y125 SITE_PIPS SITEPROP SLICE_X41Y125 SITE_TYPE SLICEL SITEPROP SLICE_X41Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y126 CLASS site SITEPROP SLICE_X41Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y126 IS_BONDED 0 SITEPROP SLICE_X41Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y126 IS_PAD 0 SITEPROP SLICE_X41Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y126 IS_RESERVED 0 SITEPROP SLICE_X41Y126 IS_TEST 0 SITEPROP SLICE_X41Y126 IS_USED 0 SITEPROP SLICE_X41Y126 MANUAL_ROUTING SITEPROP SLICE_X41Y126 NAME SLICE_X41Y126 SITEPROP SLICE_X41Y126 NUM_ARCS 138 SITEPROP SLICE_X41Y126 NUM_BELS 32 SITEPROP SLICE_X41Y126 NUM_INPUTS 32 SITEPROP SLICE_X41Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y126 NUM_PINS 45 SITEPROP SLICE_X41Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y126 PROHIBIT 0 SITEPROP SLICE_X41Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y126 RPM_X 111 SITEPROP SLICE_X41Y126 RPM_Y 252 SITEPROP SLICE_X41Y126 SITE_PIPS SITEPROP SLICE_X41Y126 SITE_TYPE SLICEL SITEPROP SLICE_X41Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y127 CLASS site SITEPROP SLICE_X41Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y127 IS_BONDED 0 SITEPROP SLICE_X41Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y127 IS_PAD 0 SITEPROP SLICE_X41Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y127 IS_RESERVED 0 SITEPROP SLICE_X41Y127 IS_TEST 0 SITEPROP SLICE_X41Y127 IS_USED 0 SITEPROP SLICE_X41Y127 MANUAL_ROUTING SITEPROP SLICE_X41Y127 NAME SLICE_X41Y127 SITEPROP SLICE_X41Y127 NUM_ARCS 138 SITEPROP SLICE_X41Y127 NUM_BELS 32 SITEPROP SLICE_X41Y127 NUM_INPUTS 32 SITEPROP SLICE_X41Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y127 NUM_PINS 45 SITEPROP SLICE_X41Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y127 PROHIBIT 0 SITEPROP SLICE_X41Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y127 RPM_X 111 SITEPROP SLICE_X41Y127 RPM_Y 254 SITEPROP SLICE_X41Y127 SITE_PIPS SITEPROP SLICE_X41Y127 SITE_TYPE SLICEL SITEPROP SLICE_X41Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y128 CLASS site SITEPROP SLICE_X41Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y128 IS_BONDED 0 SITEPROP SLICE_X41Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y128 IS_PAD 0 SITEPROP SLICE_X41Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y128 IS_RESERVED 0 SITEPROP SLICE_X41Y128 IS_TEST 0 SITEPROP SLICE_X41Y128 IS_USED 0 SITEPROP SLICE_X41Y128 MANUAL_ROUTING SITEPROP SLICE_X41Y128 NAME SLICE_X41Y128 SITEPROP SLICE_X41Y128 NUM_ARCS 138 SITEPROP SLICE_X41Y128 NUM_BELS 32 SITEPROP SLICE_X41Y128 NUM_INPUTS 32 SITEPROP SLICE_X41Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y128 NUM_PINS 45 SITEPROP SLICE_X41Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y128 PROHIBIT 0 SITEPROP SLICE_X41Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y128 RPM_X 111 SITEPROP SLICE_X41Y128 RPM_Y 256 SITEPROP SLICE_X41Y128 SITE_PIPS SITEPROP SLICE_X41Y128 SITE_TYPE SLICEL SITEPROP SLICE_X41Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y129 CLASS site SITEPROP SLICE_X41Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y129 IS_BONDED 0 SITEPROP SLICE_X41Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y129 IS_PAD 0 SITEPROP SLICE_X41Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y129 IS_RESERVED 0 SITEPROP SLICE_X41Y129 IS_TEST 0 SITEPROP SLICE_X41Y129 IS_USED 0 SITEPROP SLICE_X41Y129 MANUAL_ROUTING SITEPROP SLICE_X41Y129 NAME SLICE_X41Y129 SITEPROP SLICE_X41Y129 NUM_ARCS 138 SITEPROP SLICE_X41Y129 NUM_BELS 32 SITEPROP SLICE_X41Y129 NUM_INPUTS 32 SITEPROP SLICE_X41Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y129 NUM_PINS 45 SITEPROP SLICE_X41Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y129 PROHIBIT 0 SITEPROP SLICE_X41Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y129 RPM_X 111 SITEPROP SLICE_X41Y129 RPM_Y 258 SITEPROP SLICE_X41Y129 SITE_PIPS SITEPROP SLICE_X41Y129 SITE_TYPE SLICEL SITEPROP SLICE_X41Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y130 CLASS site SITEPROP SLICE_X41Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y130 IS_BONDED 0 SITEPROP SLICE_X41Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y130 IS_PAD 0 SITEPROP SLICE_X41Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y130 IS_RESERVED 0 SITEPROP SLICE_X41Y130 IS_TEST 0 SITEPROP SLICE_X41Y130 IS_USED 0 SITEPROP SLICE_X41Y130 MANUAL_ROUTING SITEPROP SLICE_X41Y130 NAME SLICE_X41Y130 SITEPROP SLICE_X41Y130 NUM_ARCS 138 SITEPROP SLICE_X41Y130 NUM_BELS 32 SITEPROP SLICE_X41Y130 NUM_INPUTS 32 SITEPROP SLICE_X41Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y130 NUM_PINS 45 SITEPROP SLICE_X41Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y130 PROHIBIT 0 SITEPROP SLICE_X41Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y130 RPM_X 111 SITEPROP SLICE_X41Y130 RPM_Y 260 SITEPROP SLICE_X41Y130 SITE_PIPS SITEPROP SLICE_X41Y130 SITE_TYPE SLICEL SITEPROP SLICE_X41Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y131 CLASS site SITEPROP SLICE_X41Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y131 IS_BONDED 0 SITEPROP SLICE_X41Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y131 IS_PAD 0 SITEPROP SLICE_X41Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y131 IS_RESERVED 0 SITEPROP SLICE_X41Y131 IS_TEST 0 SITEPROP SLICE_X41Y131 IS_USED 0 SITEPROP SLICE_X41Y131 MANUAL_ROUTING SITEPROP SLICE_X41Y131 NAME SLICE_X41Y131 SITEPROP SLICE_X41Y131 NUM_ARCS 138 SITEPROP SLICE_X41Y131 NUM_BELS 32 SITEPROP SLICE_X41Y131 NUM_INPUTS 32 SITEPROP SLICE_X41Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y131 NUM_PINS 45 SITEPROP SLICE_X41Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y131 PROHIBIT 0 SITEPROP SLICE_X41Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y131 RPM_X 111 SITEPROP SLICE_X41Y131 RPM_Y 262 SITEPROP SLICE_X41Y131 SITE_PIPS SITEPROP SLICE_X41Y131 SITE_TYPE SLICEL SITEPROP SLICE_X41Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y132 CLASS site SITEPROP SLICE_X41Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y132 IS_BONDED 0 SITEPROP SLICE_X41Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y132 IS_PAD 0 SITEPROP SLICE_X41Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y132 IS_RESERVED 0 SITEPROP SLICE_X41Y132 IS_TEST 0 SITEPROP SLICE_X41Y132 IS_USED 0 SITEPROP SLICE_X41Y132 MANUAL_ROUTING SITEPROP SLICE_X41Y132 NAME SLICE_X41Y132 SITEPROP SLICE_X41Y132 NUM_ARCS 138 SITEPROP SLICE_X41Y132 NUM_BELS 32 SITEPROP SLICE_X41Y132 NUM_INPUTS 32 SITEPROP SLICE_X41Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y132 NUM_PINS 45 SITEPROP SLICE_X41Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y132 PROHIBIT 0 SITEPROP SLICE_X41Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y132 RPM_X 111 SITEPROP SLICE_X41Y132 RPM_Y 264 SITEPROP SLICE_X41Y132 SITE_PIPS SITEPROP SLICE_X41Y132 SITE_TYPE SLICEL SITEPROP SLICE_X41Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y133 CLASS site SITEPROP SLICE_X41Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y133 IS_BONDED 0 SITEPROP SLICE_X41Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y133 IS_PAD 0 SITEPROP SLICE_X41Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y133 IS_RESERVED 0 SITEPROP SLICE_X41Y133 IS_TEST 0 SITEPROP SLICE_X41Y133 IS_USED 0 SITEPROP SLICE_X41Y133 MANUAL_ROUTING SITEPROP SLICE_X41Y133 NAME SLICE_X41Y133 SITEPROP SLICE_X41Y133 NUM_ARCS 138 SITEPROP SLICE_X41Y133 NUM_BELS 32 SITEPROP SLICE_X41Y133 NUM_INPUTS 32 SITEPROP SLICE_X41Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y133 NUM_PINS 45 SITEPROP SLICE_X41Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y133 PROHIBIT 0 SITEPROP SLICE_X41Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y133 RPM_X 111 SITEPROP SLICE_X41Y133 RPM_Y 266 SITEPROP SLICE_X41Y133 SITE_PIPS SITEPROP SLICE_X41Y133 SITE_TYPE SLICEL SITEPROP SLICE_X41Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y134 CLASS site SITEPROP SLICE_X41Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y134 IS_BONDED 0 SITEPROP SLICE_X41Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y134 IS_PAD 0 SITEPROP SLICE_X41Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y134 IS_RESERVED 0 SITEPROP SLICE_X41Y134 IS_TEST 0 SITEPROP SLICE_X41Y134 IS_USED 0 SITEPROP SLICE_X41Y134 MANUAL_ROUTING SITEPROP SLICE_X41Y134 NAME SLICE_X41Y134 SITEPROP SLICE_X41Y134 NUM_ARCS 138 SITEPROP SLICE_X41Y134 NUM_BELS 32 SITEPROP SLICE_X41Y134 NUM_INPUTS 32 SITEPROP SLICE_X41Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y134 NUM_PINS 45 SITEPROP SLICE_X41Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y134 PROHIBIT 0 SITEPROP SLICE_X41Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y134 RPM_X 111 SITEPROP SLICE_X41Y134 RPM_Y 268 SITEPROP SLICE_X41Y134 SITE_PIPS SITEPROP SLICE_X41Y134 SITE_TYPE SLICEL SITEPROP SLICE_X41Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y135 CLASS site SITEPROP SLICE_X41Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y135 IS_BONDED 0 SITEPROP SLICE_X41Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y135 IS_PAD 0 SITEPROP SLICE_X41Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y135 IS_RESERVED 0 SITEPROP SLICE_X41Y135 IS_TEST 0 SITEPROP SLICE_X41Y135 IS_USED 0 SITEPROP SLICE_X41Y135 MANUAL_ROUTING SITEPROP SLICE_X41Y135 NAME SLICE_X41Y135 SITEPROP SLICE_X41Y135 NUM_ARCS 138 SITEPROP SLICE_X41Y135 NUM_BELS 32 SITEPROP SLICE_X41Y135 NUM_INPUTS 32 SITEPROP SLICE_X41Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y135 NUM_PINS 45 SITEPROP SLICE_X41Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y135 PROHIBIT 0 SITEPROP SLICE_X41Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y135 RPM_X 111 SITEPROP SLICE_X41Y135 RPM_Y 270 SITEPROP SLICE_X41Y135 SITE_PIPS SITEPROP SLICE_X41Y135 SITE_TYPE SLICEL SITEPROP SLICE_X41Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y136 CLASS site SITEPROP SLICE_X41Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y136 IS_BONDED 0 SITEPROP SLICE_X41Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y136 IS_PAD 0 SITEPROP SLICE_X41Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y136 IS_RESERVED 0 SITEPROP SLICE_X41Y136 IS_TEST 0 SITEPROP SLICE_X41Y136 IS_USED 0 SITEPROP SLICE_X41Y136 MANUAL_ROUTING SITEPROP SLICE_X41Y136 NAME SLICE_X41Y136 SITEPROP SLICE_X41Y136 NUM_ARCS 138 SITEPROP SLICE_X41Y136 NUM_BELS 32 SITEPROP SLICE_X41Y136 NUM_INPUTS 32 SITEPROP SLICE_X41Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y136 NUM_PINS 45 SITEPROP SLICE_X41Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y136 PROHIBIT 0 SITEPROP SLICE_X41Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y136 RPM_X 111 SITEPROP SLICE_X41Y136 RPM_Y 272 SITEPROP SLICE_X41Y136 SITE_PIPS SITEPROP SLICE_X41Y136 SITE_TYPE SLICEL SITEPROP SLICE_X41Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y137 CLASS site SITEPROP SLICE_X41Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y137 IS_BONDED 0 SITEPROP SLICE_X41Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y137 IS_PAD 0 SITEPROP SLICE_X41Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y137 IS_RESERVED 0 SITEPROP SLICE_X41Y137 IS_TEST 0 SITEPROP SLICE_X41Y137 IS_USED 0 SITEPROP SLICE_X41Y137 MANUAL_ROUTING SITEPROP SLICE_X41Y137 NAME SLICE_X41Y137 SITEPROP SLICE_X41Y137 NUM_ARCS 138 SITEPROP SLICE_X41Y137 NUM_BELS 32 SITEPROP SLICE_X41Y137 NUM_INPUTS 32 SITEPROP SLICE_X41Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y137 NUM_PINS 45 SITEPROP SLICE_X41Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y137 PROHIBIT 0 SITEPROP SLICE_X41Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y137 RPM_X 111 SITEPROP SLICE_X41Y137 RPM_Y 274 SITEPROP SLICE_X41Y137 SITE_PIPS SITEPROP SLICE_X41Y137 SITE_TYPE SLICEL SITEPROP SLICE_X41Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y138 CLASS site SITEPROP SLICE_X41Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y138 IS_BONDED 0 SITEPROP SLICE_X41Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y138 IS_PAD 0 SITEPROP SLICE_X41Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y138 IS_RESERVED 0 SITEPROP SLICE_X41Y138 IS_TEST 0 SITEPROP SLICE_X41Y138 IS_USED 0 SITEPROP SLICE_X41Y138 MANUAL_ROUTING SITEPROP SLICE_X41Y138 NAME SLICE_X41Y138 SITEPROP SLICE_X41Y138 NUM_ARCS 138 SITEPROP SLICE_X41Y138 NUM_BELS 32 SITEPROP SLICE_X41Y138 NUM_INPUTS 32 SITEPROP SLICE_X41Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y138 NUM_PINS 45 SITEPROP SLICE_X41Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y138 PROHIBIT 0 SITEPROP SLICE_X41Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y138 RPM_X 111 SITEPROP SLICE_X41Y138 RPM_Y 276 SITEPROP SLICE_X41Y138 SITE_PIPS SITEPROP SLICE_X41Y138 SITE_TYPE SLICEL SITEPROP SLICE_X41Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y139 CLASS site SITEPROP SLICE_X41Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y139 IS_BONDED 0 SITEPROP SLICE_X41Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y139 IS_PAD 0 SITEPROP SLICE_X41Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y139 IS_RESERVED 0 SITEPROP SLICE_X41Y139 IS_TEST 0 SITEPROP SLICE_X41Y139 IS_USED 0 SITEPROP SLICE_X41Y139 MANUAL_ROUTING SITEPROP SLICE_X41Y139 NAME SLICE_X41Y139 SITEPROP SLICE_X41Y139 NUM_ARCS 138 SITEPROP SLICE_X41Y139 NUM_BELS 32 SITEPROP SLICE_X41Y139 NUM_INPUTS 32 SITEPROP SLICE_X41Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y139 NUM_PINS 45 SITEPROP SLICE_X41Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y139 PROHIBIT 0 SITEPROP SLICE_X41Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y139 RPM_X 111 SITEPROP SLICE_X41Y139 RPM_Y 278 SITEPROP SLICE_X41Y139 SITE_PIPS SITEPROP SLICE_X41Y139 SITE_TYPE SLICEL SITEPROP SLICE_X41Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y140 CLASS site SITEPROP SLICE_X41Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y140 IS_BONDED 0 SITEPROP SLICE_X41Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y140 IS_PAD 0 SITEPROP SLICE_X41Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y140 IS_RESERVED 0 SITEPROP SLICE_X41Y140 IS_TEST 0 SITEPROP SLICE_X41Y140 IS_USED 0 SITEPROP SLICE_X41Y140 MANUAL_ROUTING SITEPROP SLICE_X41Y140 NAME SLICE_X41Y140 SITEPROP SLICE_X41Y140 NUM_ARCS 138 SITEPROP SLICE_X41Y140 NUM_BELS 32 SITEPROP SLICE_X41Y140 NUM_INPUTS 32 SITEPROP SLICE_X41Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y140 NUM_PINS 45 SITEPROP SLICE_X41Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y140 PROHIBIT 0 SITEPROP SLICE_X41Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y140 RPM_X 111 SITEPROP SLICE_X41Y140 RPM_Y 280 SITEPROP SLICE_X41Y140 SITE_PIPS SITEPROP SLICE_X41Y140 SITE_TYPE SLICEL SITEPROP SLICE_X41Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y141 CLASS site SITEPROP SLICE_X41Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y141 IS_BONDED 0 SITEPROP SLICE_X41Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y141 IS_PAD 0 SITEPROP SLICE_X41Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y141 IS_RESERVED 0 SITEPROP SLICE_X41Y141 IS_TEST 0 SITEPROP SLICE_X41Y141 IS_USED 0 SITEPROP SLICE_X41Y141 MANUAL_ROUTING SITEPROP SLICE_X41Y141 NAME SLICE_X41Y141 SITEPROP SLICE_X41Y141 NUM_ARCS 138 SITEPROP SLICE_X41Y141 NUM_BELS 32 SITEPROP SLICE_X41Y141 NUM_INPUTS 32 SITEPROP SLICE_X41Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y141 NUM_PINS 45 SITEPROP SLICE_X41Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y141 PROHIBIT 0 SITEPROP SLICE_X41Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y141 RPM_X 111 SITEPROP SLICE_X41Y141 RPM_Y 282 SITEPROP SLICE_X41Y141 SITE_PIPS SITEPROP SLICE_X41Y141 SITE_TYPE SLICEL SITEPROP SLICE_X41Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y142 CLASS site SITEPROP SLICE_X41Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y142 IS_BONDED 0 SITEPROP SLICE_X41Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y142 IS_PAD 0 SITEPROP SLICE_X41Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y142 IS_RESERVED 0 SITEPROP SLICE_X41Y142 IS_TEST 0 SITEPROP SLICE_X41Y142 IS_USED 0 SITEPROP SLICE_X41Y142 MANUAL_ROUTING SITEPROP SLICE_X41Y142 NAME SLICE_X41Y142 SITEPROP SLICE_X41Y142 NUM_ARCS 138 SITEPROP SLICE_X41Y142 NUM_BELS 32 SITEPROP SLICE_X41Y142 NUM_INPUTS 32 SITEPROP SLICE_X41Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y142 NUM_PINS 45 SITEPROP SLICE_X41Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y142 PROHIBIT 0 SITEPROP SLICE_X41Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y142 RPM_X 111 SITEPROP SLICE_X41Y142 RPM_Y 284 SITEPROP SLICE_X41Y142 SITE_PIPS SITEPROP SLICE_X41Y142 SITE_TYPE SLICEL SITEPROP SLICE_X41Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y143 CLASS site SITEPROP SLICE_X41Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y143 IS_BONDED 0 SITEPROP SLICE_X41Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y143 IS_PAD 0 SITEPROP SLICE_X41Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y143 IS_RESERVED 0 SITEPROP SLICE_X41Y143 IS_TEST 0 SITEPROP SLICE_X41Y143 IS_USED 0 SITEPROP SLICE_X41Y143 MANUAL_ROUTING SITEPROP SLICE_X41Y143 NAME SLICE_X41Y143 SITEPROP SLICE_X41Y143 NUM_ARCS 138 SITEPROP SLICE_X41Y143 NUM_BELS 32 SITEPROP SLICE_X41Y143 NUM_INPUTS 32 SITEPROP SLICE_X41Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y143 NUM_PINS 45 SITEPROP SLICE_X41Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y143 PROHIBIT 0 SITEPROP SLICE_X41Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y143 RPM_X 111 SITEPROP SLICE_X41Y143 RPM_Y 286 SITEPROP SLICE_X41Y143 SITE_PIPS SITEPROP SLICE_X41Y143 SITE_TYPE SLICEL SITEPROP SLICE_X41Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y144 CLASS site SITEPROP SLICE_X41Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y144 IS_BONDED 0 SITEPROP SLICE_X41Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y144 IS_PAD 0 SITEPROP SLICE_X41Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y144 IS_RESERVED 0 SITEPROP SLICE_X41Y144 IS_TEST 0 SITEPROP SLICE_X41Y144 IS_USED 0 SITEPROP SLICE_X41Y144 MANUAL_ROUTING SITEPROP SLICE_X41Y144 NAME SLICE_X41Y144 SITEPROP SLICE_X41Y144 NUM_ARCS 138 SITEPROP SLICE_X41Y144 NUM_BELS 32 SITEPROP SLICE_X41Y144 NUM_INPUTS 32 SITEPROP SLICE_X41Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y144 NUM_PINS 45 SITEPROP SLICE_X41Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y144 PROHIBIT 0 SITEPROP SLICE_X41Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y144 RPM_X 111 SITEPROP SLICE_X41Y144 RPM_Y 288 SITEPROP SLICE_X41Y144 SITE_PIPS SITEPROP SLICE_X41Y144 SITE_TYPE SLICEL SITEPROP SLICE_X41Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y145 CLASS site SITEPROP SLICE_X41Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y145 IS_BONDED 0 SITEPROP SLICE_X41Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y145 IS_PAD 0 SITEPROP SLICE_X41Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y145 IS_RESERVED 0 SITEPROP SLICE_X41Y145 IS_TEST 0 SITEPROP SLICE_X41Y145 IS_USED 0 SITEPROP SLICE_X41Y145 MANUAL_ROUTING SITEPROP SLICE_X41Y145 NAME SLICE_X41Y145 SITEPROP SLICE_X41Y145 NUM_ARCS 138 SITEPROP SLICE_X41Y145 NUM_BELS 32 SITEPROP SLICE_X41Y145 NUM_INPUTS 32 SITEPROP SLICE_X41Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y145 NUM_PINS 45 SITEPROP SLICE_X41Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y145 PROHIBIT 0 SITEPROP SLICE_X41Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y145 RPM_X 111 SITEPROP SLICE_X41Y145 RPM_Y 290 SITEPROP SLICE_X41Y145 SITE_PIPS SITEPROP SLICE_X41Y145 SITE_TYPE SLICEL SITEPROP SLICE_X41Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y146 CLASS site SITEPROP SLICE_X41Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y146 IS_BONDED 0 SITEPROP SLICE_X41Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y146 IS_PAD 0 SITEPROP SLICE_X41Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y146 IS_RESERVED 0 SITEPROP SLICE_X41Y146 IS_TEST 0 SITEPROP SLICE_X41Y146 IS_USED 0 SITEPROP SLICE_X41Y146 MANUAL_ROUTING SITEPROP SLICE_X41Y146 NAME SLICE_X41Y146 SITEPROP SLICE_X41Y146 NUM_ARCS 138 SITEPROP SLICE_X41Y146 NUM_BELS 32 SITEPROP SLICE_X41Y146 NUM_INPUTS 32 SITEPROP SLICE_X41Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y146 NUM_PINS 45 SITEPROP SLICE_X41Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y146 PROHIBIT 0 SITEPROP SLICE_X41Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y146 RPM_X 111 SITEPROP SLICE_X41Y146 RPM_Y 292 SITEPROP SLICE_X41Y146 SITE_PIPS SITEPROP SLICE_X41Y146 SITE_TYPE SLICEL SITEPROP SLICE_X41Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y147 CLASS site SITEPROP SLICE_X41Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y147 IS_BONDED 0 SITEPROP SLICE_X41Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y147 IS_PAD 0 SITEPROP SLICE_X41Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y147 IS_RESERVED 0 SITEPROP SLICE_X41Y147 IS_TEST 0 SITEPROP SLICE_X41Y147 IS_USED 0 SITEPROP SLICE_X41Y147 MANUAL_ROUTING SITEPROP SLICE_X41Y147 NAME SLICE_X41Y147 SITEPROP SLICE_X41Y147 NUM_ARCS 138 SITEPROP SLICE_X41Y147 NUM_BELS 32 SITEPROP SLICE_X41Y147 NUM_INPUTS 32 SITEPROP SLICE_X41Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y147 NUM_PINS 45 SITEPROP SLICE_X41Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y147 PROHIBIT 0 SITEPROP SLICE_X41Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y147 RPM_X 111 SITEPROP SLICE_X41Y147 RPM_Y 294 SITEPROP SLICE_X41Y147 SITE_PIPS SITEPROP SLICE_X41Y147 SITE_TYPE SLICEL SITEPROP SLICE_X41Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y148 CLASS site SITEPROP SLICE_X41Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y148 IS_BONDED 0 SITEPROP SLICE_X41Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y148 IS_PAD 0 SITEPROP SLICE_X41Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y148 IS_RESERVED 0 SITEPROP SLICE_X41Y148 IS_TEST 0 SITEPROP SLICE_X41Y148 IS_USED 0 SITEPROP SLICE_X41Y148 MANUAL_ROUTING SITEPROP SLICE_X41Y148 NAME SLICE_X41Y148 SITEPROP SLICE_X41Y148 NUM_ARCS 138 SITEPROP SLICE_X41Y148 NUM_BELS 32 SITEPROP SLICE_X41Y148 NUM_INPUTS 32 SITEPROP SLICE_X41Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y148 NUM_PINS 45 SITEPROP SLICE_X41Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y148 PROHIBIT 0 SITEPROP SLICE_X41Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y148 RPM_X 111 SITEPROP SLICE_X41Y148 RPM_Y 296 SITEPROP SLICE_X41Y148 SITE_PIPS SITEPROP SLICE_X41Y148 SITE_TYPE SLICEL SITEPROP SLICE_X41Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X41Y149 CLASS site SITEPROP SLICE_X41Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X41Y149 IS_BONDED 0 SITEPROP SLICE_X41Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X41Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y149 IS_PAD 0 SITEPROP SLICE_X41Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X41Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X41Y149 IS_RESERVED 0 SITEPROP SLICE_X41Y149 IS_TEST 0 SITEPROP SLICE_X41Y149 IS_USED 0 SITEPROP SLICE_X41Y149 MANUAL_ROUTING SITEPROP SLICE_X41Y149 NAME SLICE_X41Y149 SITEPROP SLICE_X41Y149 NUM_ARCS 138 SITEPROP SLICE_X41Y149 NUM_BELS 32 SITEPROP SLICE_X41Y149 NUM_INPUTS 32 SITEPROP SLICE_X41Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X41Y149 NUM_PINS 45 SITEPROP SLICE_X41Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X41Y149 PROHIBIT 0 SITEPROP SLICE_X41Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X41Y149 RPM_X 111 SITEPROP SLICE_X41Y149 RPM_Y 298 SITEPROP SLICE_X41Y149 SITE_PIPS SITEPROP SLICE_X41Y149 SITE_TYPE SLICEL SITEPROP SLICE_X42Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y0 CLASS site SITEPROP SLICE_X42Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y0 IS_BONDED 0 SITEPROP SLICE_X42Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y0 IS_PAD 0 SITEPROP SLICE_X42Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y0 IS_RESERVED 0 SITEPROP SLICE_X42Y0 IS_TEST 0 SITEPROP SLICE_X42Y0 IS_USED 0 SITEPROP SLICE_X42Y0 MANUAL_ROUTING SITEPROP SLICE_X42Y0 NAME SLICE_X42Y0 SITEPROP SLICE_X42Y0 NUM_ARCS 153 SITEPROP SLICE_X42Y0 NUM_BELS 32 SITEPROP SLICE_X42Y0 NUM_INPUTS 37 SITEPROP SLICE_X42Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y0 NUM_PINS 50 SITEPROP SLICE_X42Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y0 PROHIBIT 0 SITEPROP SLICE_X42Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y0 RPM_X 113 SITEPROP SLICE_X42Y0 RPM_Y 0 SITEPROP SLICE_X42Y0 SITE_PIPS SITEPROP SLICE_X42Y0 SITE_TYPE SLICEM SITEPROP SLICE_X42Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y1 CLASS site SITEPROP SLICE_X42Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y1 IS_BONDED 0 SITEPROP SLICE_X42Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y1 IS_PAD 0 SITEPROP SLICE_X42Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y1 IS_RESERVED 0 SITEPROP SLICE_X42Y1 IS_TEST 0 SITEPROP SLICE_X42Y1 IS_USED 0 SITEPROP SLICE_X42Y1 MANUAL_ROUTING SITEPROP SLICE_X42Y1 NAME SLICE_X42Y1 SITEPROP SLICE_X42Y1 NUM_ARCS 153 SITEPROP SLICE_X42Y1 NUM_BELS 32 SITEPROP SLICE_X42Y1 NUM_INPUTS 37 SITEPROP SLICE_X42Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y1 NUM_PINS 50 SITEPROP SLICE_X42Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y1 PROHIBIT 0 SITEPROP SLICE_X42Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y1 RPM_X 113 SITEPROP SLICE_X42Y1 RPM_Y 2 SITEPROP SLICE_X42Y1 SITE_PIPS SITEPROP SLICE_X42Y1 SITE_TYPE SLICEM SITEPROP SLICE_X42Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y2 CLASS site SITEPROP SLICE_X42Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y2 IS_BONDED 0 SITEPROP SLICE_X42Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y2 IS_PAD 0 SITEPROP SLICE_X42Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y2 IS_RESERVED 0 SITEPROP SLICE_X42Y2 IS_TEST 0 SITEPROP SLICE_X42Y2 IS_USED 0 SITEPROP SLICE_X42Y2 MANUAL_ROUTING SITEPROP SLICE_X42Y2 NAME SLICE_X42Y2 SITEPROP SLICE_X42Y2 NUM_ARCS 153 SITEPROP SLICE_X42Y2 NUM_BELS 32 SITEPROP SLICE_X42Y2 NUM_INPUTS 37 SITEPROP SLICE_X42Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y2 NUM_PINS 50 SITEPROP SLICE_X42Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y2 PROHIBIT 0 SITEPROP SLICE_X42Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y2 RPM_X 113 SITEPROP SLICE_X42Y2 RPM_Y 4 SITEPROP SLICE_X42Y2 SITE_PIPS SITEPROP SLICE_X42Y2 SITE_TYPE SLICEM SITEPROP SLICE_X42Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y3 CLASS site SITEPROP SLICE_X42Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y3 IS_BONDED 0 SITEPROP SLICE_X42Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y3 IS_PAD 0 SITEPROP SLICE_X42Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y3 IS_RESERVED 0 SITEPROP SLICE_X42Y3 IS_TEST 0 SITEPROP SLICE_X42Y3 IS_USED 0 SITEPROP SLICE_X42Y3 MANUAL_ROUTING SITEPROP SLICE_X42Y3 NAME SLICE_X42Y3 SITEPROP SLICE_X42Y3 NUM_ARCS 153 SITEPROP SLICE_X42Y3 NUM_BELS 32 SITEPROP SLICE_X42Y3 NUM_INPUTS 37 SITEPROP SLICE_X42Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y3 NUM_PINS 50 SITEPROP SLICE_X42Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y3 PROHIBIT 0 SITEPROP SLICE_X42Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y3 RPM_X 113 SITEPROP SLICE_X42Y3 RPM_Y 6 SITEPROP SLICE_X42Y3 SITE_PIPS SITEPROP SLICE_X42Y3 SITE_TYPE SLICEM SITEPROP SLICE_X42Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y4 CLASS site SITEPROP SLICE_X42Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y4 IS_BONDED 0 SITEPROP SLICE_X42Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y4 IS_PAD 0 SITEPROP SLICE_X42Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y4 IS_RESERVED 0 SITEPROP SLICE_X42Y4 IS_TEST 0 SITEPROP SLICE_X42Y4 IS_USED 0 SITEPROP SLICE_X42Y4 MANUAL_ROUTING SITEPROP SLICE_X42Y4 NAME SLICE_X42Y4 SITEPROP SLICE_X42Y4 NUM_ARCS 153 SITEPROP SLICE_X42Y4 NUM_BELS 32 SITEPROP SLICE_X42Y4 NUM_INPUTS 37 SITEPROP SLICE_X42Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y4 NUM_PINS 50 SITEPROP SLICE_X42Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y4 PROHIBIT 0 SITEPROP SLICE_X42Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y4 RPM_X 113 SITEPROP SLICE_X42Y4 RPM_Y 8 SITEPROP SLICE_X42Y4 SITE_PIPS SITEPROP SLICE_X42Y4 SITE_TYPE SLICEM SITEPROP SLICE_X42Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y5 CLASS site SITEPROP SLICE_X42Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y5 IS_BONDED 0 SITEPROP SLICE_X42Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y5 IS_PAD 0 SITEPROP SLICE_X42Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y5 IS_RESERVED 0 SITEPROP SLICE_X42Y5 IS_TEST 0 SITEPROP SLICE_X42Y5 IS_USED 0 SITEPROP SLICE_X42Y5 MANUAL_ROUTING SITEPROP SLICE_X42Y5 NAME SLICE_X42Y5 SITEPROP SLICE_X42Y5 NUM_ARCS 153 SITEPROP SLICE_X42Y5 NUM_BELS 32 SITEPROP SLICE_X42Y5 NUM_INPUTS 37 SITEPROP SLICE_X42Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y5 NUM_PINS 50 SITEPROP SLICE_X42Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y5 PROHIBIT 0 SITEPROP SLICE_X42Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y5 RPM_X 113 SITEPROP SLICE_X42Y5 RPM_Y 10 SITEPROP SLICE_X42Y5 SITE_PIPS SITEPROP SLICE_X42Y5 SITE_TYPE SLICEM SITEPROP SLICE_X42Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y6 CLASS site SITEPROP SLICE_X42Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y6 IS_BONDED 0 SITEPROP SLICE_X42Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y6 IS_PAD 0 SITEPROP SLICE_X42Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y6 IS_RESERVED 0 SITEPROP SLICE_X42Y6 IS_TEST 0 SITEPROP SLICE_X42Y6 IS_USED 0 SITEPROP SLICE_X42Y6 MANUAL_ROUTING SITEPROP SLICE_X42Y6 NAME SLICE_X42Y6 SITEPROP SLICE_X42Y6 NUM_ARCS 153 SITEPROP SLICE_X42Y6 NUM_BELS 32 SITEPROP SLICE_X42Y6 NUM_INPUTS 37 SITEPROP SLICE_X42Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y6 NUM_PINS 50 SITEPROP SLICE_X42Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y6 PROHIBIT 0 SITEPROP SLICE_X42Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y6 RPM_X 113 SITEPROP SLICE_X42Y6 RPM_Y 12 SITEPROP SLICE_X42Y6 SITE_PIPS SITEPROP SLICE_X42Y6 SITE_TYPE SLICEM SITEPROP SLICE_X42Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y7 CLASS site SITEPROP SLICE_X42Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y7 IS_BONDED 0 SITEPROP SLICE_X42Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y7 IS_PAD 0 SITEPROP SLICE_X42Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y7 IS_RESERVED 0 SITEPROP SLICE_X42Y7 IS_TEST 0 SITEPROP SLICE_X42Y7 IS_USED 0 SITEPROP SLICE_X42Y7 MANUAL_ROUTING SITEPROP SLICE_X42Y7 NAME SLICE_X42Y7 SITEPROP SLICE_X42Y7 NUM_ARCS 153 SITEPROP SLICE_X42Y7 NUM_BELS 32 SITEPROP SLICE_X42Y7 NUM_INPUTS 37 SITEPROP SLICE_X42Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y7 NUM_PINS 50 SITEPROP SLICE_X42Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y7 PROHIBIT 0 SITEPROP SLICE_X42Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y7 RPM_X 113 SITEPROP SLICE_X42Y7 RPM_Y 14 SITEPROP SLICE_X42Y7 SITE_PIPS SITEPROP SLICE_X42Y7 SITE_TYPE SLICEM SITEPROP SLICE_X42Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y8 CLASS site SITEPROP SLICE_X42Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y8 IS_BONDED 0 SITEPROP SLICE_X42Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y8 IS_PAD 0 SITEPROP SLICE_X42Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y8 IS_RESERVED 0 SITEPROP SLICE_X42Y8 IS_TEST 0 SITEPROP SLICE_X42Y8 IS_USED 0 SITEPROP SLICE_X42Y8 MANUAL_ROUTING SITEPROP SLICE_X42Y8 NAME SLICE_X42Y8 SITEPROP SLICE_X42Y8 NUM_ARCS 153 SITEPROP SLICE_X42Y8 NUM_BELS 32 SITEPROP SLICE_X42Y8 NUM_INPUTS 37 SITEPROP SLICE_X42Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y8 NUM_PINS 50 SITEPROP SLICE_X42Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y8 PROHIBIT 0 SITEPROP SLICE_X42Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y8 RPM_X 113 SITEPROP SLICE_X42Y8 RPM_Y 16 SITEPROP SLICE_X42Y8 SITE_PIPS SITEPROP SLICE_X42Y8 SITE_TYPE SLICEM SITEPROP SLICE_X42Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y9 CLASS site SITEPROP SLICE_X42Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y9 IS_BONDED 0 SITEPROP SLICE_X42Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y9 IS_PAD 0 SITEPROP SLICE_X42Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y9 IS_RESERVED 0 SITEPROP SLICE_X42Y9 IS_TEST 0 SITEPROP SLICE_X42Y9 IS_USED 0 SITEPROP SLICE_X42Y9 MANUAL_ROUTING SITEPROP SLICE_X42Y9 NAME SLICE_X42Y9 SITEPROP SLICE_X42Y9 NUM_ARCS 153 SITEPROP SLICE_X42Y9 NUM_BELS 32 SITEPROP SLICE_X42Y9 NUM_INPUTS 37 SITEPROP SLICE_X42Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y9 NUM_PINS 50 SITEPROP SLICE_X42Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y9 PROHIBIT 0 SITEPROP SLICE_X42Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y9 RPM_X 113 SITEPROP SLICE_X42Y9 RPM_Y 18 SITEPROP SLICE_X42Y9 SITE_PIPS SITEPROP SLICE_X42Y9 SITE_TYPE SLICEM SITEPROP SLICE_X42Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y10 CLASS site SITEPROP SLICE_X42Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y10 IS_BONDED 0 SITEPROP SLICE_X42Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y10 IS_PAD 0 SITEPROP SLICE_X42Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y10 IS_RESERVED 0 SITEPROP SLICE_X42Y10 IS_TEST 0 SITEPROP SLICE_X42Y10 IS_USED 0 SITEPROP SLICE_X42Y10 MANUAL_ROUTING SITEPROP SLICE_X42Y10 NAME SLICE_X42Y10 SITEPROP SLICE_X42Y10 NUM_ARCS 153 SITEPROP SLICE_X42Y10 NUM_BELS 32 SITEPROP SLICE_X42Y10 NUM_INPUTS 37 SITEPROP SLICE_X42Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y10 NUM_PINS 50 SITEPROP SLICE_X42Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y10 PROHIBIT 0 SITEPROP SLICE_X42Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y10 RPM_X 113 SITEPROP SLICE_X42Y10 RPM_Y 20 SITEPROP SLICE_X42Y10 SITE_PIPS SITEPROP SLICE_X42Y10 SITE_TYPE SLICEM SITEPROP SLICE_X42Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y11 CLASS site SITEPROP SLICE_X42Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y11 IS_BONDED 0 SITEPROP SLICE_X42Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y11 IS_PAD 0 SITEPROP SLICE_X42Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y11 IS_RESERVED 0 SITEPROP SLICE_X42Y11 IS_TEST 0 SITEPROP SLICE_X42Y11 IS_USED 0 SITEPROP SLICE_X42Y11 MANUAL_ROUTING SITEPROP SLICE_X42Y11 NAME SLICE_X42Y11 SITEPROP SLICE_X42Y11 NUM_ARCS 153 SITEPROP SLICE_X42Y11 NUM_BELS 32 SITEPROP SLICE_X42Y11 NUM_INPUTS 37 SITEPROP SLICE_X42Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y11 NUM_PINS 50 SITEPROP SLICE_X42Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y11 PROHIBIT 0 SITEPROP SLICE_X42Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y11 RPM_X 113 SITEPROP SLICE_X42Y11 RPM_Y 22 SITEPROP SLICE_X42Y11 SITE_PIPS SITEPROP SLICE_X42Y11 SITE_TYPE SLICEM SITEPROP SLICE_X42Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y12 CLASS site SITEPROP SLICE_X42Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y12 IS_BONDED 0 SITEPROP SLICE_X42Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y12 IS_PAD 0 SITEPROP SLICE_X42Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y12 IS_RESERVED 0 SITEPROP SLICE_X42Y12 IS_TEST 0 SITEPROP SLICE_X42Y12 IS_USED 0 SITEPROP SLICE_X42Y12 MANUAL_ROUTING SITEPROP SLICE_X42Y12 NAME SLICE_X42Y12 SITEPROP SLICE_X42Y12 NUM_ARCS 153 SITEPROP SLICE_X42Y12 NUM_BELS 32 SITEPROP SLICE_X42Y12 NUM_INPUTS 37 SITEPROP SLICE_X42Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y12 NUM_PINS 50 SITEPROP SLICE_X42Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y12 PROHIBIT 0 SITEPROP SLICE_X42Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y12 RPM_X 113 SITEPROP SLICE_X42Y12 RPM_Y 24 SITEPROP SLICE_X42Y12 SITE_PIPS SITEPROP SLICE_X42Y12 SITE_TYPE SLICEM SITEPROP SLICE_X42Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y13 CLASS site SITEPROP SLICE_X42Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y13 IS_BONDED 0 SITEPROP SLICE_X42Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y13 IS_PAD 0 SITEPROP SLICE_X42Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y13 IS_RESERVED 0 SITEPROP SLICE_X42Y13 IS_TEST 0 SITEPROP SLICE_X42Y13 IS_USED 0 SITEPROP SLICE_X42Y13 MANUAL_ROUTING SITEPROP SLICE_X42Y13 NAME SLICE_X42Y13 SITEPROP SLICE_X42Y13 NUM_ARCS 153 SITEPROP SLICE_X42Y13 NUM_BELS 32 SITEPROP SLICE_X42Y13 NUM_INPUTS 37 SITEPROP SLICE_X42Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y13 NUM_PINS 50 SITEPROP SLICE_X42Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y13 PROHIBIT 0 SITEPROP SLICE_X42Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y13 RPM_X 113 SITEPROP SLICE_X42Y13 RPM_Y 26 SITEPROP SLICE_X42Y13 SITE_PIPS SITEPROP SLICE_X42Y13 SITE_TYPE SLICEM SITEPROP SLICE_X42Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y14 CLASS site SITEPROP SLICE_X42Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y14 IS_BONDED 0 SITEPROP SLICE_X42Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y14 IS_PAD 0 SITEPROP SLICE_X42Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y14 IS_RESERVED 0 SITEPROP SLICE_X42Y14 IS_TEST 0 SITEPROP SLICE_X42Y14 IS_USED 0 SITEPROP SLICE_X42Y14 MANUAL_ROUTING SITEPROP SLICE_X42Y14 NAME SLICE_X42Y14 SITEPROP SLICE_X42Y14 NUM_ARCS 153 SITEPROP SLICE_X42Y14 NUM_BELS 32 SITEPROP SLICE_X42Y14 NUM_INPUTS 37 SITEPROP SLICE_X42Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y14 NUM_PINS 50 SITEPROP SLICE_X42Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y14 PROHIBIT 0 SITEPROP SLICE_X42Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y14 RPM_X 113 SITEPROP SLICE_X42Y14 RPM_Y 28 SITEPROP SLICE_X42Y14 SITE_PIPS SITEPROP SLICE_X42Y14 SITE_TYPE SLICEM SITEPROP SLICE_X42Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y15 CLASS site SITEPROP SLICE_X42Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y15 IS_BONDED 0 SITEPROP SLICE_X42Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y15 IS_PAD 0 SITEPROP SLICE_X42Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y15 IS_RESERVED 0 SITEPROP SLICE_X42Y15 IS_TEST 0 SITEPROP SLICE_X42Y15 IS_USED 0 SITEPROP SLICE_X42Y15 MANUAL_ROUTING SITEPROP SLICE_X42Y15 NAME SLICE_X42Y15 SITEPROP SLICE_X42Y15 NUM_ARCS 153 SITEPROP SLICE_X42Y15 NUM_BELS 32 SITEPROP SLICE_X42Y15 NUM_INPUTS 37 SITEPROP SLICE_X42Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y15 NUM_PINS 50 SITEPROP SLICE_X42Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y15 PROHIBIT 0 SITEPROP SLICE_X42Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y15 RPM_X 113 SITEPROP SLICE_X42Y15 RPM_Y 30 SITEPROP SLICE_X42Y15 SITE_PIPS SITEPROP SLICE_X42Y15 SITE_TYPE SLICEM SITEPROP SLICE_X42Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y16 CLASS site SITEPROP SLICE_X42Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y16 IS_BONDED 0 SITEPROP SLICE_X42Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y16 IS_PAD 0 SITEPROP SLICE_X42Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y16 IS_RESERVED 0 SITEPROP SLICE_X42Y16 IS_TEST 0 SITEPROP SLICE_X42Y16 IS_USED 0 SITEPROP SLICE_X42Y16 MANUAL_ROUTING SITEPROP SLICE_X42Y16 NAME SLICE_X42Y16 SITEPROP SLICE_X42Y16 NUM_ARCS 153 SITEPROP SLICE_X42Y16 NUM_BELS 32 SITEPROP SLICE_X42Y16 NUM_INPUTS 37 SITEPROP SLICE_X42Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y16 NUM_PINS 50 SITEPROP SLICE_X42Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y16 PROHIBIT 0 SITEPROP SLICE_X42Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y16 RPM_X 113 SITEPROP SLICE_X42Y16 RPM_Y 32 SITEPROP SLICE_X42Y16 SITE_PIPS SITEPROP SLICE_X42Y16 SITE_TYPE SLICEM SITEPROP SLICE_X42Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y17 CLASS site SITEPROP SLICE_X42Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y17 IS_BONDED 0 SITEPROP SLICE_X42Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y17 IS_PAD 0 SITEPROP SLICE_X42Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y17 IS_RESERVED 0 SITEPROP SLICE_X42Y17 IS_TEST 0 SITEPROP SLICE_X42Y17 IS_USED 0 SITEPROP SLICE_X42Y17 MANUAL_ROUTING SITEPROP SLICE_X42Y17 NAME SLICE_X42Y17 SITEPROP SLICE_X42Y17 NUM_ARCS 153 SITEPROP SLICE_X42Y17 NUM_BELS 32 SITEPROP SLICE_X42Y17 NUM_INPUTS 37 SITEPROP SLICE_X42Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y17 NUM_PINS 50 SITEPROP SLICE_X42Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y17 PROHIBIT 0 SITEPROP SLICE_X42Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y17 RPM_X 113 SITEPROP SLICE_X42Y17 RPM_Y 34 SITEPROP SLICE_X42Y17 SITE_PIPS SITEPROP SLICE_X42Y17 SITE_TYPE SLICEM SITEPROP SLICE_X42Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y18 CLASS site SITEPROP SLICE_X42Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y18 IS_BONDED 0 SITEPROP SLICE_X42Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y18 IS_PAD 0 SITEPROP SLICE_X42Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y18 IS_RESERVED 0 SITEPROP SLICE_X42Y18 IS_TEST 0 SITEPROP SLICE_X42Y18 IS_USED 0 SITEPROP SLICE_X42Y18 MANUAL_ROUTING SITEPROP SLICE_X42Y18 NAME SLICE_X42Y18 SITEPROP SLICE_X42Y18 NUM_ARCS 153 SITEPROP SLICE_X42Y18 NUM_BELS 32 SITEPROP SLICE_X42Y18 NUM_INPUTS 37 SITEPROP SLICE_X42Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y18 NUM_PINS 50 SITEPROP SLICE_X42Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y18 PROHIBIT 0 SITEPROP SLICE_X42Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y18 RPM_X 113 SITEPROP SLICE_X42Y18 RPM_Y 36 SITEPROP SLICE_X42Y18 SITE_PIPS SITEPROP SLICE_X42Y18 SITE_TYPE SLICEM SITEPROP SLICE_X42Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y19 CLASS site SITEPROP SLICE_X42Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y19 IS_BONDED 0 SITEPROP SLICE_X42Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y19 IS_PAD 0 SITEPROP SLICE_X42Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y19 IS_RESERVED 0 SITEPROP SLICE_X42Y19 IS_TEST 0 SITEPROP SLICE_X42Y19 IS_USED 0 SITEPROP SLICE_X42Y19 MANUAL_ROUTING SITEPROP SLICE_X42Y19 NAME SLICE_X42Y19 SITEPROP SLICE_X42Y19 NUM_ARCS 153 SITEPROP SLICE_X42Y19 NUM_BELS 32 SITEPROP SLICE_X42Y19 NUM_INPUTS 37 SITEPROP SLICE_X42Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y19 NUM_PINS 50 SITEPROP SLICE_X42Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y19 PROHIBIT 0 SITEPROP SLICE_X42Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y19 RPM_X 113 SITEPROP SLICE_X42Y19 RPM_Y 38 SITEPROP SLICE_X42Y19 SITE_PIPS SITEPROP SLICE_X42Y19 SITE_TYPE SLICEM SITEPROP SLICE_X42Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y20 CLASS site SITEPROP SLICE_X42Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y20 IS_BONDED 0 SITEPROP SLICE_X42Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y20 IS_PAD 0 SITEPROP SLICE_X42Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y20 IS_RESERVED 0 SITEPROP SLICE_X42Y20 IS_TEST 0 SITEPROP SLICE_X42Y20 IS_USED 0 SITEPROP SLICE_X42Y20 MANUAL_ROUTING SITEPROP SLICE_X42Y20 NAME SLICE_X42Y20 SITEPROP SLICE_X42Y20 NUM_ARCS 153 SITEPROP SLICE_X42Y20 NUM_BELS 32 SITEPROP SLICE_X42Y20 NUM_INPUTS 37 SITEPROP SLICE_X42Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y20 NUM_PINS 50 SITEPROP SLICE_X42Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y20 PROHIBIT 0 SITEPROP SLICE_X42Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y20 RPM_X 113 SITEPROP SLICE_X42Y20 RPM_Y 40 SITEPROP SLICE_X42Y20 SITE_PIPS SITEPROP SLICE_X42Y20 SITE_TYPE SLICEM SITEPROP SLICE_X42Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y21 CLASS site SITEPROP SLICE_X42Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y21 IS_BONDED 0 SITEPROP SLICE_X42Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y21 IS_PAD 0 SITEPROP SLICE_X42Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y21 IS_RESERVED 0 SITEPROP SLICE_X42Y21 IS_TEST 0 SITEPROP SLICE_X42Y21 IS_USED 0 SITEPROP SLICE_X42Y21 MANUAL_ROUTING SITEPROP SLICE_X42Y21 NAME SLICE_X42Y21 SITEPROP SLICE_X42Y21 NUM_ARCS 153 SITEPROP SLICE_X42Y21 NUM_BELS 32 SITEPROP SLICE_X42Y21 NUM_INPUTS 37 SITEPROP SLICE_X42Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y21 NUM_PINS 50 SITEPROP SLICE_X42Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y21 PROHIBIT 0 SITEPROP SLICE_X42Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y21 RPM_X 113 SITEPROP SLICE_X42Y21 RPM_Y 42 SITEPROP SLICE_X42Y21 SITE_PIPS SITEPROP SLICE_X42Y21 SITE_TYPE SLICEM SITEPROP SLICE_X42Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y22 CLASS site SITEPROP SLICE_X42Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y22 IS_BONDED 0 SITEPROP SLICE_X42Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y22 IS_PAD 0 SITEPROP SLICE_X42Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y22 IS_RESERVED 0 SITEPROP SLICE_X42Y22 IS_TEST 0 SITEPROP SLICE_X42Y22 IS_USED 0 SITEPROP SLICE_X42Y22 MANUAL_ROUTING SITEPROP SLICE_X42Y22 NAME SLICE_X42Y22 SITEPROP SLICE_X42Y22 NUM_ARCS 153 SITEPROP SLICE_X42Y22 NUM_BELS 32 SITEPROP SLICE_X42Y22 NUM_INPUTS 37 SITEPROP SLICE_X42Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y22 NUM_PINS 50 SITEPROP SLICE_X42Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y22 PROHIBIT 0 SITEPROP SLICE_X42Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y22 RPM_X 113 SITEPROP SLICE_X42Y22 RPM_Y 44 SITEPROP SLICE_X42Y22 SITE_PIPS SITEPROP SLICE_X42Y22 SITE_TYPE SLICEM SITEPROP SLICE_X42Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y23 CLASS site SITEPROP SLICE_X42Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y23 IS_BONDED 0 SITEPROP SLICE_X42Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y23 IS_PAD 0 SITEPROP SLICE_X42Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y23 IS_RESERVED 0 SITEPROP SLICE_X42Y23 IS_TEST 0 SITEPROP SLICE_X42Y23 IS_USED 0 SITEPROP SLICE_X42Y23 MANUAL_ROUTING SITEPROP SLICE_X42Y23 NAME SLICE_X42Y23 SITEPROP SLICE_X42Y23 NUM_ARCS 153 SITEPROP SLICE_X42Y23 NUM_BELS 32 SITEPROP SLICE_X42Y23 NUM_INPUTS 37 SITEPROP SLICE_X42Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y23 NUM_PINS 50 SITEPROP SLICE_X42Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y23 PROHIBIT 0 SITEPROP SLICE_X42Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y23 RPM_X 113 SITEPROP SLICE_X42Y23 RPM_Y 46 SITEPROP SLICE_X42Y23 SITE_PIPS SITEPROP SLICE_X42Y23 SITE_TYPE SLICEM SITEPROP SLICE_X42Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y24 CLASS site SITEPROP SLICE_X42Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y24 IS_BONDED 0 SITEPROP SLICE_X42Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y24 IS_PAD 0 SITEPROP SLICE_X42Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y24 IS_RESERVED 0 SITEPROP SLICE_X42Y24 IS_TEST 0 SITEPROP SLICE_X42Y24 IS_USED 0 SITEPROP SLICE_X42Y24 MANUAL_ROUTING SITEPROP SLICE_X42Y24 NAME SLICE_X42Y24 SITEPROP SLICE_X42Y24 NUM_ARCS 153 SITEPROP SLICE_X42Y24 NUM_BELS 32 SITEPROP SLICE_X42Y24 NUM_INPUTS 37 SITEPROP SLICE_X42Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y24 NUM_PINS 50 SITEPROP SLICE_X42Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y24 PROHIBIT 0 SITEPROP SLICE_X42Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y24 RPM_X 113 SITEPROP SLICE_X42Y24 RPM_Y 48 SITEPROP SLICE_X42Y24 SITE_PIPS SITEPROP SLICE_X42Y24 SITE_TYPE SLICEM SITEPROP SLICE_X42Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y25 CLASS site SITEPROP SLICE_X42Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y25 IS_BONDED 0 SITEPROP SLICE_X42Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y25 IS_PAD 0 SITEPROP SLICE_X42Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y25 IS_RESERVED 0 SITEPROP SLICE_X42Y25 IS_TEST 0 SITEPROP SLICE_X42Y25 IS_USED 0 SITEPROP SLICE_X42Y25 MANUAL_ROUTING SITEPROP SLICE_X42Y25 NAME SLICE_X42Y25 SITEPROP SLICE_X42Y25 NUM_ARCS 153 SITEPROP SLICE_X42Y25 NUM_BELS 32 SITEPROP SLICE_X42Y25 NUM_INPUTS 37 SITEPROP SLICE_X42Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y25 NUM_PINS 50 SITEPROP SLICE_X42Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y25 PROHIBIT 0 SITEPROP SLICE_X42Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y25 RPM_X 113 SITEPROP SLICE_X42Y25 RPM_Y 50 SITEPROP SLICE_X42Y25 SITE_PIPS SITEPROP SLICE_X42Y25 SITE_TYPE SLICEM SITEPROP SLICE_X42Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y26 CLASS site SITEPROP SLICE_X42Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y26 IS_BONDED 0 SITEPROP SLICE_X42Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y26 IS_PAD 0 SITEPROP SLICE_X42Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y26 IS_RESERVED 0 SITEPROP SLICE_X42Y26 IS_TEST 0 SITEPROP SLICE_X42Y26 IS_USED 0 SITEPROP SLICE_X42Y26 MANUAL_ROUTING SITEPROP SLICE_X42Y26 NAME SLICE_X42Y26 SITEPROP SLICE_X42Y26 NUM_ARCS 153 SITEPROP SLICE_X42Y26 NUM_BELS 32 SITEPROP SLICE_X42Y26 NUM_INPUTS 37 SITEPROP SLICE_X42Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y26 NUM_PINS 50 SITEPROP SLICE_X42Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y26 PROHIBIT 0 SITEPROP SLICE_X42Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y26 RPM_X 113 SITEPROP SLICE_X42Y26 RPM_Y 52 SITEPROP SLICE_X42Y26 SITE_PIPS SITEPROP SLICE_X42Y26 SITE_TYPE SLICEM SITEPROP SLICE_X42Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y27 CLASS site SITEPROP SLICE_X42Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y27 IS_BONDED 0 SITEPROP SLICE_X42Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y27 IS_PAD 0 SITEPROP SLICE_X42Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y27 IS_RESERVED 0 SITEPROP SLICE_X42Y27 IS_TEST 0 SITEPROP SLICE_X42Y27 IS_USED 0 SITEPROP SLICE_X42Y27 MANUAL_ROUTING SITEPROP SLICE_X42Y27 NAME SLICE_X42Y27 SITEPROP SLICE_X42Y27 NUM_ARCS 153 SITEPROP SLICE_X42Y27 NUM_BELS 32 SITEPROP SLICE_X42Y27 NUM_INPUTS 37 SITEPROP SLICE_X42Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y27 NUM_PINS 50 SITEPROP SLICE_X42Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y27 PROHIBIT 0 SITEPROP SLICE_X42Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y27 RPM_X 113 SITEPROP SLICE_X42Y27 RPM_Y 54 SITEPROP SLICE_X42Y27 SITE_PIPS SITEPROP SLICE_X42Y27 SITE_TYPE SLICEM SITEPROP SLICE_X42Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y28 CLASS site SITEPROP SLICE_X42Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y28 IS_BONDED 0 SITEPROP SLICE_X42Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y28 IS_PAD 0 SITEPROP SLICE_X42Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y28 IS_RESERVED 0 SITEPROP SLICE_X42Y28 IS_TEST 0 SITEPROP SLICE_X42Y28 IS_USED 0 SITEPROP SLICE_X42Y28 MANUAL_ROUTING SITEPROP SLICE_X42Y28 NAME SLICE_X42Y28 SITEPROP SLICE_X42Y28 NUM_ARCS 153 SITEPROP SLICE_X42Y28 NUM_BELS 32 SITEPROP SLICE_X42Y28 NUM_INPUTS 37 SITEPROP SLICE_X42Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y28 NUM_PINS 50 SITEPROP SLICE_X42Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y28 PROHIBIT 0 SITEPROP SLICE_X42Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y28 RPM_X 113 SITEPROP SLICE_X42Y28 RPM_Y 56 SITEPROP SLICE_X42Y28 SITE_PIPS SITEPROP SLICE_X42Y28 SITE_TYPE SLICEM SITEPROP SLICE_X42Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y29 CLASS site SITEPROP SLICE_X42Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y29 IS_BONDED 0 SITEPROP SLICE_X42Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y29 IS_PAD 0 SITEPROP SLICE_X42Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y29 IS_RESERVED 0 SITEPROP SLICE_X42Y29 IS_TEST 0 SITEPROP SLICE_X42Y29 IS_USED 0 SITEPROP SLICE_X42Y29 MANUAL_ROUTING SITEPROP SLICE_X42Y29 NAME SLICE_X42Y29 SITEPROP SLICE_X42Y29 NUM_ARCS 153 SITEPROP SLICE_X42Y29 NUM_BELS 32 SITEPROP SLICE_X42Y29 NUM_INPUTS 37 SITEPROP SLICE_X42Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y29 NUM_PINS 50 SITEPROP SLICE_X42Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y29 PROHIBIT 0 SITEPROP SLICE_X42Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y29 RPM_X 113 SITEPROP SLICE_X42Y29 RPM_Y 58 SITEPROP SLICE_X42Y29 SITE_PIPS SITEPROP SLICE_X42Y29 SITE_TYPE SLICEM SITEPROP SLICE_X42Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y30 CLASS site SITEPROP SLICE_X42Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y30 IS_BONDED 0 SITEPROP SLICE_X42Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y30 IS_PAD 0 SITEPROP SLICE_X42Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y30 IS_RESERVED 0 SITEPROP SLICE_X42Y30 IS_TEST 0 SITEPROP SLICE_X42Y30 IS_USED 0 SITEPROP SLICE_X42Y30 MANUAL_ROUTING SITEPROP SLICE_X42Y30 NAME SLICE_X42Y30 SITEPROP SLICE_X42Y30 NUM_ARCS 153 SITEPROP SLICE_X42Y30 NUM_BELS 32 SITEPROP SLICE_X42Y30 NUM_INPUTS 37 SITEPROP SLICE_X42Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y30 NUM_PINS 50 SITEPROP SLICE_X42Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y30 PROHIBIT 0 SITEPROP SLICE_X42Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y30 RPM_X 113 SITEPROP SLICE_X42Y30 RPM_Y 60 SITEPROP SLICE_X42Y30 SITE_PIPS SITEPROP SLICE_X42Y30 SITE_TYPE SLICEM SITEPROP SLICE_X42Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y31 CLASS site SITEPROP SLICE_X42Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y31 IS_BONDED 0 SITEPROP SLICE_X42Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y31 IS_PAD 0 SITEPROP SLICE_X42Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y31 IS_RESERVED 0 SITEPROP SLICE_X42Y31 IS_TEST 0 SITEPROP SLICE_X42Y31 IS_USED 0 SITEPROP SLICE_X42Y31 MANUAL_ROUTING SITEPROP SLICE_X42Y31 NAME SLICE_X42Y31 SITEPROP SLICE_X42Y31 NUM_ARCS 153 SITEPROP SLICE_X42Y31 NUM_BELS 32 SITEPROP SLICE_X42Y31 NUM_INPUTS 37 SITEPROP SLICE_X42Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y31 NUM_PINS 50 SITEPROP SLICE_X42Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y31 PROHIBIT 0 SITEPROP SLICE_X42Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y31 RPM_X 113 SITEPROP SLICE_X42Y31 RPM_Y 62 SITEPROP SLICE_X42Y31 SITE_PIPS SITEPROP SLICE_X42Y31 SITE_TYPE SLICEM SITEPROP SLICE_X42Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y32 CLASS site SITEPROP SLICE_X42Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y32 IS_BONDED 0 SITEPROP SLICE_X42Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y32 IS_PAD 0 SITEPROP SLICE_X42Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y32 IS_RESERVED 0 SITEPROP SLICE_X42Y32 IS_TEST 0 SITEPROP SLICE_X42Y32 IS_USED 0 SITEPROP SLICE_X42Y32 MANUAL_ROUTING SITEPROP SLICE_X42Y32 NAME SLICE_X42Y32 SITEPROP SLICE_X42Y32 NUM_ARCS 153 SITEPROP SLICE_X42Y32 NUM_BELS 32 SITEPROP SLICE_X42Y32 NUM_INPUTS 37 SITEPROP SLICE_X42Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y32 NUM_PINS 50 SITEPROP SLICE_X42Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y32 PROHIBIT 0 SITEPROP SLICE_X42Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y32 RPM_X 113 SITEPROP SLICE_X42Y32 RPM_Y 64 SITEPROP SLICE_X42Y32 SITE_PIPS SITEPROP SLICE_X42Y32 SITE_TYPE SLICEM SITEPROP SLICE_X42Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y33 CLASS site SITEPROP SLICE_X42Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y33 IS_BONDED 0 SITEPROP SLICE_X42Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y33 IS_PAD 0 SITEPROP SLICE_X42Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y33 IS_RESERVED 0 SITEPROP SLICE_X42Y33 IS_TEST 0 SITEPROP SLICE_X42Y33 IS_USED 0 SITEPROP SLICE_X42Y33 MANUAL_ROUTING SITEPROP SLICE_X42Y33 NAME SLICE_X42Y33 SITEPROP SLICE_X42Y33 NUM_ARCS 153 SITEPROP SLICE_X42Y33 NUM_BELS 32 SITEPROP SLICE_X42Y33 NUM_INPUTS 37 SITEPROP SLICE_X42Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y33 NUM_PINS 50 SITEPROP SLICE_X42Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y33 PROHIBIT 0 SITEPROP SLICE_X42Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y33 RPM_X 113 SITEPROP SLICE_X42Y33 RPM_Y 66 SITEPROP SLICE_X42Y33 SITE_PIPS SITEPROP SLICE_X42Y33 SITE_TYPE SLICEM SITEPROP SLICE_X42Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y34 CLASS site SITEPROP SLICE_X42Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y34 IS_BONDED 0 SITEPROP SLICE_X42Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y34 IS_PAD 0 SITEPROP SLICE_X42Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y34 IS_RESERVED 0 SITEPROP SLICE_X42Y34 IS_TEST 0 SITEPROP SLICE_X42Y34 IS_USED 0 SITEPROP SLICE_X42Y34 MANUAL_ROUTING SITEPROP SLICE_X42Y34 NAME SLICE_X42Y34 SITEPROP SLICE_X42Y34 NUM_ARCS 153 SITEPROP SLICE_X42Y34 NUM_BELS 32 SITEPROP SLICE_X42Y34 NUM_INPUTS 37 SITEPROP SLICE_X42Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y34 NUM_PINS 50 SITEPROP SLICE_X42Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y34 PROHIBIT 0 SITEPROP SLICE_X42Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y34 RPM_X 113 SITEPROP SLICE_X42Y34 RPM_Y 68 SITEPROP SLICE_X42Y34 SITE_PIPS SITEPROP SLICE_X42Y34 SITE_TYPE SLICEM SITEPROP SLICE_X42Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y35 CLASS site SITEPROP SLICE_X42Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y35 IS_BONDED 0 SITEPROP SLICE_X42Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y35 IS_PAD 0 SITEPROP SLICE_X42Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y35 IS_RESERVED 0 SITEPROP SLICE_X42Y35 IS_TEST 0 SITEPROP SLICE_X42Y35 IS_USED 0 SITEPROP SLICE_X42Y35 MANUAL_ROUTING SITEPROP SLICE_X42Y35 NAME SLICE_X42Y35 SITEPROP SLICE_X42Y35 NUM_ARCS 153 SITEPROP SLICE_X42Y35 NUM_BELS 32 SITEPROP SLICE_X42Y35 NUM_INPUTS 37 SITEPROP SLICE_X42Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y35 NUM_PINS 50 SITEPROP SLICE_X42Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y35 PROHIBIT 0 SITEPROP SLICE_X42Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y35 RPM_X 113 SITEPROP SLICE_X42Y35 RPM_Y 70 SITEPROP SLICE_X42Y35 SITE_PIPS SITEPROP SLICE_X42Y35 SITE_TYPE SLICEM SITEPROP SLICE_X42Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y36 CLASS site SITEPROP SLICE_X42Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y36 IS_BONDED 0 SITEPROP SLICE_X42Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y36 IS_PAD 0 SITEPROP SLICE_X42Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y36 IS_RESERVED 0 SITEPROP SLICE_X42Y36 IS_TEST 0 SITEPROP SLICE_X42Y36 IS_USED 0 SITEPROP SLICE_X42Y36 MANUAL_ROUTING SITEPROP SLICE_X42Y36 NAME SLICE_X42Y36 SITEPROP SLICE_X42Y36 NUM_ARCS 153 SITEPROP SLICE_X42Y36 NUM_BELS 32 SITEPROP SLICE_X42Y36 NUM_INPUTS 37 SITEPROP SLICE_X42Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y36 NUM_PINS 50 SITEPROP SLICE_X42Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y36 PROHIBIT 0 SITEPROP SLICE_X42Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y36 RPM_X 113 SITEPROP SLICE_X42Y36 RPM_Y 72 SITEPROP SLICE_X42Y36 SITE_PIPS SITEPROP SLICE_X42Y36 SITE_TYPE SLICEM SITEPROP SLICE_X42Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y37 CLASS site SITEPROP SLICE_X42Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y37 IS_BONDED 0 SITEPROP SLICE_X42Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y37 IS_PAD 0 SITEPROP SLICE_X42Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y37 IS_RESERVED 0 SITEPROP SLICE_X42Y37 IS_TEST 0 SITEPROP SLICE_X42Y37 IS_USED 0 SITEPROP SLICE_X42Y37 MANUAL_ROUTING SITEPROP SLICE_X42Y37 NAME SLICE_X42Y37 SITEPROP SLICE_X42Y37 NUM_ARCS 153 SITEPROP SLICE_X42Y37 NUM_BELS 32 SITEPROP SLICE_X42Y37 NUM_INPUTS 37 SITEPROP SLICE_X42Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y37 NUM_PINS 50 SITEPROP SLICE_X42Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y37 PROHIBIT 0 SITEPROP SLICE_X42Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y37 RPM_X 113 SITEPROP SLICE_X42Y37 RPM_Y 74 SITEPROP SLICE_X42Y37 SITE_PIPS SITEPROP SLICE_X42Y37 SITE_TYPE SLICEM SITEPROP SLICE_X42Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y38 CLASS site SITEPROP SLICE_X42Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y38 IS_BONDED 0 SITEPROP SLICE_X42Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y38 IS_PAD 0 SITEPROP SLICE_X42Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y38 IS_RESERVED 0 SITEPROP SLICE_X42Y38 IS_TEST 0 SITEPROP SLICE_X42Y38 IS_USED 0 SITEPROP SLICE_X42Y38 MANUAL_ROUTING SITEPROP SLICE_X42Y38 NAME SLICE_X42Y38 SITEPROP SLICE_X42Y38 NUM_ARCS 153 SITEPROP SLICE_X42Y38 NUM_BELS 32 SITEPROP SLICE_X42Y38 NUM_INPUTS 37 SITEPROP SLICE_X42Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y38 NUM_PINS 50 SITEPROP SLICE_X42Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y38 PROHIBIT 0 SITEPROP SLICE_X42Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y38 RPM_X 113 SITEPROP SLICE_X42Y38 RPM_Y 76 SITEPROP SLICE_X42Y38 SITE_PIPS SITEPROP SLICE_X42Y38 SITE_TYPE SLICEM SITEPROP SLICE_X42Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y39 CLASS site SITEPROP SLICE_X42Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y39 IS_BONDED 0 SITEPROP SLICE_X42Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y39 IS_PAD 0 SITEPROP SLICE_X42Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y39 IS_RESERVED 0 SITEPROP SLICE_X42Y39 IS_TEST 0 SITEPROP SLICE_X42Y39 IS_USED 0 SITEPROP SLICE_X42Y39 MANUAL_ROUTING SITEPROP SLICE_X42Y39 NAME SLICE_X42Y39 SITEPROP SLICE_X42Y39 NUM_ARCS 153 SITEPROP SLICE_X42Y39 NUM_BELS 32 SITEPROP SLICE_X42Y39 NUM_INPUTS 37 SITEPROP SLICE_X42Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y39 NUM_PINS 50 SITEPROP SLICE_X42Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y39 PROHIBIT 0 SITEPROP SLICE_X42Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y39 RPM_X 113 SITEPROP SLICE_X42Y39 RPM_Y 78 SITEPROP SLICE_X42Y39 SITE_PIPS SITEPROP SLICE_X42Y39 SITE_TYPE SLICEM SITEPROP SLICE_X42Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y40 CLASS site SITEPROP SLICE_X42Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y40 IS_BONDED 0 SITEPROP SLICE_X42Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y40 IS_PAD 0 SITEPROP SLICE_X42Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y40 IS_RESERVED 0 SITEPROP SLICE_X42Y40 IS_TEST 0 SITEPROP SLICE_X42Y40 IS_USED 0 SITEPROP SLICE_X42Y40 MANUAL_ROUTING SITEPROP SLICE_X42Y40 NAME SLICE_X42Y40 SITEPROP SLICE_X42Y40 NUM_ARCS 153 SITEPROP SLICE_X42Y40 NUM_BELS 32 SITEPROP SLICE_X42Y40 NUM_INPUTS 37 SITEPROP SLICE_X42Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y40 NUM_PINS 50 SITEPROP SLICE_X42Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y40 PROHIBIT 0 SITEPROP SLICE_X42Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y40 RPM_X 113 SITEPROP SLICE_X42Y40 RPM_Y 80 SITEPROP SLICE_X42Y40 SITE_PIPS SITEPROP SLICE_X42Y40 SITE_TYPE SLICEM SITEPROP SLICE_X42Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y41 CLASS site SITEPROP SLICE_X42Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y41 IS_BONDED 0 SITEPROP SLICE_X42Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y41 IS_PAD 0 SITEPROP SLICE_X42Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y41 IS_RESERVED 0 SITEPROP SLICE_X42Y41 IS_TEST 0 SITEPROP SLICE_X42Y41 IS_USED 0 SITEPROP SLICE_X42Y41 MANUAL_ROUTING SITEPROP SLICE_X42Y41 NAME SLICE_X42Y41 SITEPROP SLICE_X42Y41 NUM_ARCS 153 SITEPROP SLICE_X42Y41 NUM_BELS 32 SITEPROP SLICE_X42Y41 NUM_INPUTS 37 SITEPROP SLICE_X42Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y41 NUM_PINS 50 SITEPROP SLICE_X42Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y41 PROHIBIT 0 SITEPROP SLICE_X42Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y41 RPM_X 113 SITEPROP SLICE_X42Y41 RPM_Y 82 SITEPROP SLICE_X42Y41 SITE_PIPS SITEPROP SLICE_X42Y41 SITE_TYPE SLICEM SITEPROP SLICE_X42Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y42 CLASS site SITEPROP SLICE_X42Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y42 IS_BONDED 0 SITEPROP SLICE_X42Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y42 IS_PAD 0 SITEPROP SLICE_X42Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y42 IS_RESERVED 0 SITEPROP SLICE_X42Y42 IS_TEST 0 SITEPROP SLICE_X42Y42 IS_USED 0 SITEPROP SLICE_X42Y42 MANUAL_ROUTING SITEPROP SLICE_X42Y42 NAME SLICE_X42Y42 SITEPROP SLICE_X42Y42 NUM_ARCS 153 SITEPROP SLICE_X42Y42 NUM_BELS 32 SITEPROP SLICE_X42Y42 NUM_INPUTS 37 SITEPROP SLICE_X42Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y42 NUM_PINS 50 SITEPROP SLICE_X42Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y42 PROHIBIT 0 SITEPROP SLICE_X42Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y42 RPM_X 113 SITEPROP SLICE_X42Y42 RPM_Y 84 SITEPROP SLICE_X42Y42 SITE_PIPS SITEPROP SLICE_X42Y42 SITE_TYPE SLICEM SITEPROP SLICE_X42Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y43 CLASS site SITEPROP SLICE_X42Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y43 IS_BONDED 0 SITEPROP SLICE_X42Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y43 IS_PAD 0 SITEPROP SLICE_X42Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y43 IS_RESERVED 0 SITEPROP SLICE_X42Y43 IS_TEST 0 SITEPROP SLICE_X42Y43 IS_USED 0 SITEPROP SLICE_X42Y43 MANUAL_ROUTING SITEPROP SLICE_X42Y43 NAME SLICE_X42Y43 SITEPROP SLICE_X42Y43 NUM_ARCS 153 SITEPROP SLICE_X42Y43 NUM_BELS 32 SITEPROP SLICE_X42Y43 NUM_INPUTS 37 SITEPROP SLICE_X42Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y43 NUM_PINS 50 SITEPROP SLICE_X42Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y43 PROHIBIT 0 SITEPROP SLICE_X42Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y43 RPM_X 113 SITEPROP SLICE_X42Y43 RPM_Y 86 SITEPROP SLICE_X42Y43 SITE_PIPS SITEPROP SLICE_X42Y43 SITE_TYPE SLICEM SITEPROP SLICE_X42Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y44 CLASS site SITEPROP SLICE_X42Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y44 IS_BONDED 0 SITEPROP SLICE_X42Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y44 IS_PAD 0 SITEPROP SLICE_X42Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y44 IS_RESERVED 0 SITEPROP SLICE_X42Y44 IS_TEST 0 SITEPROP SLICE_X42Y44 IS_USED 0 SITEPROP SLICE_X42Y44 MANUAL_ROUTING SITEPROP SLICE_X42Y44 NAME SLICE_X42Y44 SITEPROP SLICE_X42Y44 NUM_ARCS 153 SITEPROP SLICE_X42Y44 NUM_BELS 32 SITEPROP SLICE_X42Y44 NUM_INPUTS 37 SITEPROP SLICE_X42Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y44 NUM_PINS 50 SITEPROP SLICE_X42Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y44 PROHIBIT 0 SITEPROP SLICE_X42Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y44 RPM_X 113 SITEPROP SLICE_X42Y44 RPM_Y 88 SITEPROP SLICE_X42Y44 SITE_PIPS SITEPROP SLICE_X42Y44 SITE_TYPE SLICEM SITEPROP SLICE_X42Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y45 CLASS site SITEPROP SLICE_X42Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y45 IS_BONDED 0 SITEPROP SLICE_X42Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y45 IS_PAD 0 SITEPROP SLICE_X42Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y45 IS_RESERVED 0 SITEPROP SLICE_X42Y45 IS_TEST 0 SITEPROP SLICE_X42Y45 IS_USED 0 SITEPROP SLICE_X42Y45 MANUAL_ROUTING SITEPROP SLICE_X42Y45 NAME SLICE_X42Y45 SITEPROP SLICE_X42Y45 NUM_ARCS 153 SITEPROP SLICE_X42Y45 NUM_BELS 32 SITEPROP SLICE_X42Y45 NUM_INPUTS 37 SITEPROP SLICE_X42Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y45 NUM_PINS 50 SITEPROP SLICE_X42Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y45 PROHIBIT 0 SITEPROP SLICE_X42Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y45 RPM_X 113 SITEPROP SLICE_X42Y45 RPM_Y 90 SITEPROP SLICE_X42Y45 SITE_PIPS SITEPROP SLICE_X42Y45 SITE_TYPE SLICEM SITEPROP SLICE_X42Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y46 CLASS site SITEPROP SLICE_X42Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y46 IS_BONDED 0 SITEPROP SLICE_X42Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y46 IS_PAD 0 SITEPROP SLICE_X42Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y46 IS_RESERVED 0 SITEPROP SLICE_X42Y46 IS_TEST 0 SITEPROP SLICE_X42Y46 IS_USED 0 SITEPROP SLICE_X42Y46 MANUAL_ROUTING SITEPROP SLICE_X42Y46 NAME SLICE_X42Y46 SITEPROP SLICE_X42Y46 NUM_ARCS 153 SITEPROP SLICE_X42Y46 NUM_BELS 32 SITEPROP SLICE_X42Y46 NUM_INPUTS 37 SITEPROP SLICE_X42Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y46 NUM_PINS 50 SITEPROP SLICE_X42Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y46 PROHIBIT 0 SITEPROP SLICE_X42Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y46 RPM_X 113 SITEPROP SLICE_X42Y46 RPM_Y 92 SITEPROP SLICE_X42Y46 SITE_PIPS SITEPROP SLICE_X42Y46 SITE_TYPE SLICEM SITEPROP SLICE_X42Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y47 CLASS site SITEPROP SLICE_X42Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y47 IS_BONDED 0 SITEPROP SLICE_X42Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y47 IS_PAD 0 SITEPROP SLICE_X42Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y47 IS_RESERVED 0 SITEPROP SLICE_X42Y47 IS_TEST 0 SITEPROP SLICE_X42Y47 IS_USED 0 SITEPROP SLICE_X42Y47 MANUAL_ROUTING SITEPROP SLICE_X42Y47 NAME SLICE_X42Y47 SITEPROP SLICE_X42Y47 NUM_ARCS 153 SITEPROP SLICE_X42Y47 NUM_BELS 32 SITEPROP SLICE_X42Y47 NUM_INPUTS 37 SITEPROP SLICE_X42Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y47 NUM_PINS 50 SITEPROP SLICE_X42Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y47 PROHIBIT 0 SITEPROP SLICE_X42Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y47 RPM_X 113 SITEPROP SLICE_X42Y47 RPM_Y 94 SITEPROP SLICE_X42Y47 SITE_PIPS SITEPROP SLICE_X42Y47 SITE_TYPE SLICEM SITEPROP SLICE_X42Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y48 CLASS site SITEPROP SLICE_X42Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y48 IS_BONDED 0 SITEPROP SLICE_X42Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y48 IS_PAD 0 SITEPROP SLICE_X42Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y48 IS_RESERVED 0 SITEPROP SLICE_X42Y48 IS_TEST 0 SITEPROP SLICE_X42Y48 IS_USED 0 SITEPROP SLICE_X42Y48 MANUAL_ROUTING SITEPROP SLICE_X42Y48 NAME SLICE_X42Y48 SITEPROP SLICE_X42Y48 NUM_ARCS 153 SITEPROP SLICE_X42Y48 NUM_BELS 32 SITEPROP SLICE_X42Y48 NUM_INPUTS 37 SITEPROP SLICE_X42Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y48 NUM_PINS 50 SITEPROP SLICE_X42Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y48 PROHIBIT 0 SITEPROP SLICE_X42Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y48 RPM_X 113 SITEPROP SLICE_X42Y48 RPM_Y 96 SITEPROP SLICE_X42Y48 SITE_PIPS SITEPROP SLICE_X42Y48 SITE_TYPE SLICEM SITEPROP SLICE_X42Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y49 CLASS site SITEPROP SLICE_X42Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X42Y49 IS_BONDED 0 SITEPROP SLICE_X42Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y49 IS_PAD 0 SITEPROP SLICE_X42Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y49 IS_RESERVED 0 SITEPROP SLICE_X42Y49 IS_TEST 0 SITEPROP SLICE_X42Y49 IS_USED 0 SITEPROP SLICE_X42Y49 MANUAL_ROUTING SITEPROP SLICE_X42Y49 NAME SLICE_X42Y49 SITEPROP SLICE_X42Y49 NUM_ARCS 153 SITEPROP SLICE_X42Y49 NUM_BELS 32 SITEPROP SLICE_X42Y49 NUM_INPUTS 37 SITEPROP SLICE_X42Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y49 NUM_PINS 50 SITEPROP SLICE_X42Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y49 PROHIBIT 0 SITEPROP SLICE_X42Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y49 RPM_X 113 SITEPROP SLICE_X42Y49 RPM_Y 98 SITEPROP SLICE_X42Y49 SITE_PIPS SITEPROP SLICE_X42Y49 SITE_TYPE SLICEM SITEPROP SLICE_X42Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y50 CLASS site SITEPROP SLICE_X42Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y50 IS_BONDED 0 SITEPROP SLICE_X42Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y50 IS_PAD 0 SITEPROP SLICE_X42Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y50 IS_RESERVED 0 SITEPROP SLICE_X42Y50 IS_TEST 0 SITEPROP SLICE_X42Y50 IS_USED 0 SITEPROP SLICE_X42Y50 MANUAL_ROUTING SITEPROP SLICE_X42Y50 NAME SLICE_X42Y50 SITEPROP SLICE_X42Y50 NUM_ARCS 153 SITEPROP SLICE_X42Y50 NUM_BELS 32 SITEPROP SLICE_X42Y50 NUM_INPUTS 37 SITEPROP SLICE_X42Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y50 NUM_PINS 50 SITEPROP SLICE_X42Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y50 PROHIBIT 0 SITEPROP SLICE_X42Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y50 RPM_X 113 SITEPROP SLICE_X42Y50 RPM_Y 100 SITEPROP SLICE_X42Y50 SITE_PIPS SITEPROP SLICE_X42Y50 SITE_TYPE SLICEM SITEPROP SLICE_X42Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y51 CLASS site SITEPROP SLICE_X42Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y51 IS_BONDED 0 SITEPROP SLICE_X42Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y51 IS_PAD 0 SITEPROP SLICE_X42Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y51 IS_RESERVED 0 SITEPROP SLICE_X42Y51 IS_TEST 0 SITEPROP SLICE_X42Y51 IS_USED 0 SITEPROP SLICE_X42Y51 MANUAL_ROUTING SITEPROP SLICE_X42Y51 NAME SLICE_X42Y51 SITEPROP SLICE_X42Y51 NUM_ARCS 153 SITEPROP SLICE_X42Y51 NUM_BELS 32 SITEPROP SLICE_X42Y51 NUM_INPUTS 37 SITEPROP SLICE_X42Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y51 NUM_PINS 50 SITEPROP SLICE_X42Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y51 PROHIBIT 0 SITEPROP SLICE_X42Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y51 RPM_X 113 SITEPROP SLICE_X42Y51 RPM_Y 102 SITEPROP SLICE_X42Y51 SITE_PIPS SITEPROP SLICE_X42Y51 SITE_TYPE SLICEM SITEPROP SLICE_X42Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y52 CLASS site SITEPROP SLICE_X42Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y52 IS_BONDED 0 SITEPROP SLICE_X42Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y52 IS_PAD 0 SITEPROP SLICE_X42Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y52 IS_RESERVED 0 SITEPROP SLICE_X42Y52 IS_TEST 0 SITEPROP SLICE_X42Y52 IS_USED 0 SITEPROP SLICE_X42Y52 MANUAL_ROUTING SITEPROP SLICE_X42Y52 NAME SLICE_X42Y52 SITEPROP SLICE_X42Y52 NUM_ARCS 153 SITEPROP SLICE_X42Y52 NUM_BELS 32 SITEPROP SLICE_X42Y52 NUM_INPUTS 37 SITEPROP SLICE_X42Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y52 NUM_PINS 50 SITEPROP SLICE_X42Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y52 PROHIBIT 0 SITEPROP SLICE_X42Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y52 RPM_X 113 SITEPROP SLICE_X42Y52 RPM_Y 104 SITEPROP SLICE_X42Y52 SITE_PIPS SITEPROP SLICE_X42Y52 SITE_TYPE SLICEM SITEPROP SLICE_X42Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y53 CLASS site SITEPROP SLICE_X42Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y53 IS_BONDED 0 SITEPROP SLICE_X42Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y53 IS_PAD 0 SITEPROP SLICE_X42Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y53 IS_RESERVED 0 SITEPROP SLICE_X42Y53 IS_TEST 0 SITEPROP SLICE_X42Y53 IS_USED 0 SITEPROP SLICE_X42Y53 MANUAL_ROUTING SITEPROP SLICE_X42Y53 NAME SLICE_X42Y53 SITEPROP SLICE_X42Y53 NUM_ARCS 153 SITEPROP SLICE_X42Y53 NUM_BELS 32 SITEPROP SLICE_X42Y53 NUM_INPUTS 37 SITEPROP SLICE_X42Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y53 NUM_PINS 50 SITEPROP SLICE_X42Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y53 PROHIBIT 0 SITEPROP SLICE_X42Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y53 RPM_X 113 SITEPROP SLICE_X42Y53 RPM_Y 106 SITEPROP SLICE_X42Y53 SITE_PIPS SITEPROP SLICE_X42Y53 SITE_TYPE SLICEM SITEPROP SLICE_X42Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y54 CLASS site SITEPROP SLICE_X42Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y54 IS_BONDED 0 SITEPROP SLICE_X42Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y54 IS_PAD 0 SITEPROP SLICE_X42Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y54 IS_RESERVED 0 SITEPROP SLICE_X42Y54 IS_TEST 0 SITEPROP SLICE_X42Y54 IS_USED 0 SITEPROP SLICE_X42Y54 MANUAL_ROUTING SITEPROP SLICE_X42Y54 NAME SLICE_X42Y54 SITEPROP SLICE_X42Y54 NUM_ARCS 153 SITEPROP SLICE_X42Y54 NUM_BELS 32 SITEPROP SLICE_X42Y54 NUM_INPUTS 37 SITEPROP SLICE_X42Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y54 NUM_PINS 50 SITEPROP SLICE_X42Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y54 PROHIBIT 0 SITEPROP SLICE_X42Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y54 RPM_X 113 SITEPROP SLICE_X42Y54 RPM_Y 108 SITEPROP SLICE_X42Y54 SITE_PIPS SITEPROP SLICE_X42Y54 SITE_TYPE SLICEM SITEPROP SLICE_X42Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y55 CLASS site SITEPROP SLICE_X42Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y55 IS_BONDED 0 SITEPROP SLICE_X42Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y55 IS_PAD 0 SITEPROP SLICE_X42Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y55 IS_RESERVED 0 SITEPROP SLICE_X42Y55 IS_TEST 0 SITEPROP SLICE_X42Y55 IS_USED 0 SITEPROP SLICE_X42Y55 MANUAL_ROUTING SITEPROP SLICE_X42Y55 NAME SLICE_X42Y55 SITEPROP SLICE_X42Y55 NUM_ARCS 153 SITEPROP SLICE_X42Y55 NUM_BELS 32 SITEPROP SLICE_X42Y55 NUM_INPUTS 37 SITEPROP SLICE_X42Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y55 NUM_PINS 50 SITEPROP SLICE_X42Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y55 PROHIBIT 0 SITEPROP SLICE_X42Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y55 RPM_X 113 SITEPROP SLICE_X42Y55 RPM_Y 110 SITEPROP SLICE_X42Y55 SITE_PIPS SITEPROP SLICE_X42Y55 SITE_TYPE SLICEM SITEPROP SLICE_X42Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y56 CLASS site SITEPROP SLICE_X42Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y56 IS_BONDED 0 SITEPROP SLICE_X42Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y56 IS_PAD 0 SITEPROP SLICE_X42Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y56 IS_RESERVED 0 SITEPROP SLICE_X42Y56 IS_TEST 0 SITEPROP SLICE_X42Y56 IS_USED 0 SITEPROP SLICE_X42Y56 MANUAL_ROUTING SITEPROP SLICE_X42Y56 NAME SLICE_X42Y56 SITEPROP SLICE_X42Y56 NUM_ARCS 153 SITEPROP SLICE_X42Y56 NUM_BELS 32 SITEPROP SLICE_X42Y56 NUM_INPUTS 37 SITEPROP SLICE_X42Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y56 NUM_PINS 50 SITEPROP SLICE_X42Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y56 PROHIBIT 0 SITEPROP SLICE_X42Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y56 RPM_X 113 SITEPROP SLICE_X42Y56 RPM_Y 112 SITEPROP SLICE_X42Y56 SITE_PIPS SITEPROP SLICE_X42Y56 SITE_TYPE SLICEM SITEPROP SLICE_X42Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y57 CLASS site SITEPROP SLICE_X42Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y57 IS_BONDED 0 SITEPROP SLICE_X42Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y57 IS_PAD 0 SITEPROP SLICE_X42Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y57 IS_RESERVED 0 SITEPROP SLICE_X42Y57 IS_TEST 0 SITEPROP SLICE_X42Y57 IS_USED 0 SITEPROP SLICE_X42Y57 MANUAL_ROUTING SITEPROP SLICE_X42Y57 NAME SLICE_X42Y57 SITEPROP SLICE_X42Y57 NUM_ARCS 153 SITEPROP SLICE_X42Y57 NUM_BELS 32 SITEPROP SLICE_X42Y57 NUM_INPUTS 37 SITEPROP SLICE_X42Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y57 NUM_PINS 50 SITEPROP SLICE_X42Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y57 PROHIBIT 0 SITEPROP SLICE_X42Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y57 RPM_X 113 SITEPROP SLICE_X42Y57 RPM_Y 114 SITEPROP SLICE_X42Y57 SITE_PIPS SITEPROP SLICE_X42Y57 SITE_TYPE SLICEM SITEPROP SLICE_X42Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y58 CLASS site SITEPROP SLICE_X42Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y58 IS_BONDED 0 SITEPROP SLICE_X42Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y58 IS_PAD 0 SITEPROP SLICE_X42Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y58 IS_RESERVED 0 SITEPROP SLICE_X42Y58 IS_TEST 0 SITEPROP SLICE_X42Y58 IS_USED 0 SITEPROP SLICE_X42Y58 MANUAL_ROUTING SITEPROP SLICE_X42Y58 NAME SLICE_X42Y58 SITEPROP SLICE_X42Y58 NUM_ARCS 153 SITEPROP SLICE_X42Y58 NUM_BELS 32 SITEPROP SLICE_X42Y58 NUM_INPUTS 37 SITEPROP SLICE_X42Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y58 NUM_PINS 50 SITEPROP SLICE_X42Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y58 PROHIBIT 0 SITEPROP SLICE_X42Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y58 RPM_X 113 SITEPROP SLICE_X42Y58 RPM_Y 116 SITEPROP SLICE_X42Y58 SITE_PIPS SITEPROP SLICE_X42Y58 SITE_TYPE SLICEM SITEPROP SLICE_X42Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y59 CLASS site SITEPROP SLICE_X42Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y59 IS_BONDED 0 SITEPROP SLICE_X42Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y59 IS_PAD 0 SITEPROP SLICE_X42Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y59 IS_RESERVED 0 SITEPROP SLICE_X42Y59 IS_TEST 0 SITEPROP SLICE_X42Y59 IS_USED 0 SITEPROP SLICE_X42Y59 MANUAL_ROUTING SITEPROP SLICE_X42Y59 NAME SLICE_X42Y59 SITEPROP SLICE_X42Y59 NUM_ARCS 153 SITEPROP SLICE_X42Y59 NUM_BELS 32 SITEPROP SLICE_X42Y59 NUM_INPUTS 37 SITEPROP SLICE_X42Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y59 NUM_PINS 50 SITEPROP SLICE_X42Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y59 PROHIBIT 0 SITEPROP SLICE_X42Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y59 RPM_X 113 SITEPROP SLICE_X42Y59 RPM_Y 118 SITEPROP SLICE_X42Y59 SITE_PIPS SITEPROP SLICE_X42Y59 SITE_TYPE SLICEM SITEPROP SLICE_X42Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y60 CLASS site SITEPROP SLICE_X42Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y60 IS_BONDED 0 SITEPROP SLICE_X42Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y60 IS_PAD 0 SITEPROP SLICE_X42Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y60 IS_RESERVED 0 SITEPROP SLICE_X42Y60 IS_TEST 0 SITEPROP SLICE_X42Y60 IS_USED 0 SITEPROP SLICE_X42Y60 MANUAL_ROUTING SITEPROP SLICE_X42Y60 NAME SLICE_X42Y60 SITEPROP SLICE_X42Y60 NUM_ARCS 153 SITEPROP SLICE_X42Y60 NUM_BELS 32 SITEPROP SLICE_X42Y60 NUM_INPUTS 37 SITEPROP SLICE_X42Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y60 NUM_PINS 50 SITEPROP SLICE_X42Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y60 PROHIBIT 0 SITEPROP SLICE_X42Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y60 RPM_X 113 SITEPROP SLICE_X42Y60 RPM_Y 120 SITEPROP SLICE_X42Y60 SITE_PIPS SITEPROP SLICE_X42Y60 SITE_TYPE SLICEM SITEPROP SLICE_X42Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y61 CLASS site SITEPROP SLICE_X42Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y61 IS_BONDED 0 SITEPROP SLICE_X42Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y61 IS_PAD 0 SITEPROP SLICE_X42Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y61 IS_RESERVED 0 SITEPROP SLICE_X42Y61 IS_TEST 0 SITEPROP SLICE_X42Y61 IS_USED 0 SITEPROP SLICE_X42Y61 MANUAL_ROUTING SITEPROP SLICE_X42Y61 NAME SLICE_X42Y61 SITEPROP SLICE_X42Y61 NUM_ARCS 153 SITEPROP SLICE_X42Y61 NUM_BELS 32 SITEPROP SLICE_X42Y61 NUM_INPUTS 37 SITEPROP SLICE_X42Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y61 NUM_PINS 50 SITEPROP SLICE_X42Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y61 PROHIBIT 0 SITEPROP SLICE_X42Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y61 RPM_X 113 SITEPROP SLICE_X42Y61 RPM_Y 122 SITEPROP SLICE_X42Y61 SITE_PIPS SITEPROP SLICE_X42Y61 SITE_TYPE SLICEM SITEPROP SLICE_X42Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y62 CLASS site SITEPROP SLICE_X42Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y62 IS_BONDED 0 SITEPROP SLICE_X42Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y62 IS_PAD 0 SITEPROP SLICE_X42Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y62 IS_RESERVED 0 SITEPROP SLICE_X42Y62 IS_TEST 0 SITEPROP SLICE_X42Y62 IS_USED 0 SITEPROP SLICE_X42Y62 MANUAL_ROUTING SITEPROP SLICE_X42Y62 NAME SLICE_X42Y62 SITEPROP SLICE_X42Y62 NUM_ARCS 153 SITEPROP SLICE_X42Y62 NUM_BELS 32 SITEPROP SLICE_X42Y62 NUM_INPUTS 37 SITEPROP SLICE_X42Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y62 NUM_PINS 50 SITEPROP SLICE_X42Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y62 PROHIBIT 0 SITEPROP SLICE_X42Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y62 RPM_X 113 SITEPROP SLICE_X42Y62 RPM_Y 124 SITEPROP SLICE_X42Y62 SITE_PIPS SITEPROP SLICE_X42Y62 SITE_TYPE SLICEM SITEPROP SLICE_X42Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y63 CLASS site SITEPROP SLICE_X42Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y63 IS_BONDED 0 SITEPROP SLICE_X42Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y63 IS_PAD 0 SITEPROP SLICE_X42Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y63 IS_RESERVED 0 SITEPROP SLICE_X42Y63 IS_TEST 0 SITEPROP SLICE_X42Y63 IS_USED 0 SITEPROP SLICE_X42Y63 MANUAL_ROUTING SITEPROP SLICE_X42Y63 NAME SLICE_X42Y63 SITEPROP SLICE_X42Y63 NUM_ARCS 153 SITEPROP SLICE_X42Y63 NUM_BELS 32 SITEPROP SLICE_X42Y63 NUM_INPUTS 37 SITEPROP SLICE_X42Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y63 NUM_PINS 50 SITEPROP SLICE_X42Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y63 PROHIBIT 0 SITEPROP SLICE_X42Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y63 RPM_X 113 SITEPROP SLICE_X42Y63 RPM_Y 126 SITEPROP SLICE_X42Y63 SITE_PIPS SITEPROP SLICE_X42Y63 SITE_TYPE SLICEM SITEPROP SLICE_X42Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y64 CLASS site SITEPROP SLICE_X42Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y64 IS_BONDED 0 SITEPROP SLICE_X42Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y64 IS_PAD 0 SITEPROP SLICE_X42Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y64 IS_RESERVED 0 SITEPROP SLICE_X42Y64 IS_TEST 0 SITEPROP SLICE_X42Y64 IS_USED 0 SITEPROP SLICE_X42Y64 MANUAL_ROUTING SITEPROP SLICE_X42Y64 NAME SLICE_X42Y64 SITEPROP SLICE_X42Y64 NUM_ARCS 153 SITEPROP SLICE_X42Y64 NUM_BELS 32 SITEPROP SLICE_X42Y64 NUM_INPUTS 37 SITEPROP SLICE_X42Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y64 NUM_PINS 50 SITEPROP SLICE_X42Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y64 PROHIBIT 0 SITEPROP SLICE_X42Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y64 RPM_X 113 SITEPROP SLICE_X42Y64 RPM_Y 128 SITEPROP SLICE_X42Y64 SITE_PIPS SITEPROP SLICE_X42Y64 SITE_TYPE SLICEM SITEPROP SLICE_X42Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y65 CLASS site SITEPROP SLICE_X42Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y65 IS_BONDED 0 SITEPROP SLICE_X42Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y65 IS_PAD 0 SITEPROP SLICE_X42Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y65 IS_RESERVED 0 SITEPROP SLICE_X42Y65 IS_TEST 0 SITEPROP SLICE_X42Y65 IS_USED 0 SITEPROP SLICE_X42Y65 MANUAL_ROUTING SITEPROP SLICE_X42Y65 NAME SLICE_X42Y65 SITEPROP SLICE_X42Y65 NUM_ARCS 153 SITEPROP SLICE_X42Y65 NUM_BELS 32 SITEPROP SLICE_X42Y65 NUM_INPUTS 37 SITEPROP SLICE_X42Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y65 NUM_PINS 50 SITEPROP SLICE_X42Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y65 PROHIBIT 0 SITEPROP SLICE_X42Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y65 RPM_X 113 SITEPROP SLICE_X42Y65 RPM_Y 130 SITEPROP SLICE_X42Y65 SITE_PIPS SITEPROP SLICE_X42Y65 SITE_TYPE SLICEM SITEPROP SLICE_X42Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y66 CLASS site SITEPROP SLICE_X42Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y66 IS_BONDED 0 SITEPROP SLICE_X42Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y66 IS_PAD 0 SITEPROP SLICE_X42Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y66 IS_RESERVED 0 SITEPROP SLICE_X42Y66 IS_TEST 0 SITEPROP SLICE_X42Y66 IS_USED 0 SITEPROP SLICE_X42Y66 MANUAL_ROUTING SITEPROP SLICE_X42Y66 NAME SLICE_X42Y66 SITEPROP SLICE_X42Y66 NUM_ARCS 153 SITEPROP SLICE_X42Y66 NUM_BELS 32 SITEPROP SLICE_X42Y66 NUM_INPUTS 37 SITEPROP SLICE_X42Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y66 NUM_PINS 50 SITEPROP SLICE_X42Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y66 PROHIBIT 0 SITEPROP SLICE_X42Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y66 RPM_X 113 SITEPROP SLICE_X42Y66 RPM_Y 132 SITEPROP SLICE_X42Y66 SITE_PIPS SITEPROP SLICE_X42Y66 SITE_TYPE SLICEM SITEPROP SLICE_X42Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y67 CLASS site SITEPROP SLICE_X42Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y67 IS_BONDED 0 SITEPROP SLICE_X42Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y67 IS_PAD 0 SITEPROP SLICE_X42Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y67 IS_RESERVED 0 SITEPROP SLICE_X42Y67 IS_TEST 0 SITEPROP SLICE_X42Y67 IS_USED 0 SITEPROP SLICE_X42Y67 MANUAL_ROUTING SITEPROP SLICE_X42Y67 NAME SLICE_X42Y67 SITEPROP SLICE_X42Y67 NUM_ARCS 153 SITEPROP SLICE_X42Y67 NUM_BELS 32 SITEPROP SLICE_X42Y67 NUM_INPUTS 37 SITEPROP SLICE_X42Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y67 NUM_PINS 50 SITEPROP SLICE_X42Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y67 PROHIBIT 0 SITEPROP SLICE_X42Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y67 RPM_X 113 SITEPROP SLICE_X42Y67 RPM_Y 134 SITEPROP SLICE_X42Y67 SITE_PIPS SITEPROP SLICE_X42Y67 SITE_TYPE SLICEM SITEPROP SLICE_X42Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y68 CLASS site SITEPROP SLICE_X42Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y68 IS_BONDED 0 SITEPROP SLICE_X42Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y68 IS_PAD 0 SITEPROP SLICE_X42Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y68 IS_RESERVED 0 SITEPROP SLICE_X42Y68 IS_TEST 0 SITEPROP SLICE_X42Y68 IS_USED 0 SITEPROP SLICE_X42Y68 MANUAL_ROUTING SITEPROP SLICE_X42Y68 NAME SLICE_X42Y68 SITEPROP SLICE_X42Y68 NUM_ARCS 153 SITEPROP SLICE_X42Y68 NUM_BELS 32 SITEPROP SLICE_X42Y68 NUM_INPUTS 37 SITEPROP SLICE_X42Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y68 NUM_PINS 50 SITEPROP SLICE_X42Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y68 PROHIBIT 0 SITEPROP SLICE_X42Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y68 RPM_X 113 SITEPROP SLICE_X42Y68 RPM_Y 136 SITEPROP SLICE_X42Y68 SITE_PIPS SITEPROP SLICE_X42Y68 SITE_TYPE SLICEM SITEPROP SLICE_X42Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y69 CLASS site SITEPROP SLICE_X42Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y69 IS_BONDED 0 SITEPROP SLICE_X42Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y69 IS_PAD 0 SITEPROP SLICE_X42Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y69 IS_RESERVED 0 SITEPROP SLICE_X42Y69 IS_TEST 0 SITEPROP SLICE_X42Y69 IS_USED 0 SITEPROP SLICE_X42Y69 MANUAL_ROUTING SITEPROP SLICE_X42Y69 NAME SLICE_X42Y69 SITEPROP SLICE_X42Y69 NUM_ARCS 153 SITEPROP SLICE_X42Y69 NUM_BELS 32 SITEPROP SLICE_X42Y69 NUM_INPUTS 37 SITEPROP SLICE_X42Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y69 NUM_PINS 50 SITEPROP SLICE_X42Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y69 PROHIBIT 0 SITEPROP SLICE_X42Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y69 RPM_X 113 SITEPROP SLICE_X42Y69 RPM_Y 138 SITEPROP SLICE_X42Y69 SITE_PIPS SITEPROP SLICE_X42Y69 SITE_TYPE SLICEM SITEPROP SLICE_X42Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y70 CLASS site SITEPROP SLICE_X42Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y70 IS_BONDED 0 SITEPROP SLICE_X42Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y70 IS_PAD 0 SITEPROP SLICE_X42Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y70 IS_RESERVED 0 SITEPROP SLICE_X42Y70 IS_TEST 0 SITEPROP SLICE_X42Y70 IS_USED 0 SITEPROP SLICE_X42Y70 MANUAL_ROUTING SITEPROP SLICE_X42Y70 NAME SLICE_X42Y70 SITEPROP SLICE_X42Y70 NUM_ARCS 153 SITEPROP SLICE_X42Y70 NUM_BELS 32 SITEPROP SLICE_X42Y70 NUM_INPUTS 37 SITEPROP SLICE_X42Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y70 NUM_PINS 50 SITEPROP SLICE_X42Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y70 PROHIBIT 0 SITEPROP SLICE_X42Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y70 RPM_X 113 SITEPROP SLICE_X42Y70 RPM_Y 140 SITEPROP SLICE_X42Y70 SITE_PIPS SITEPROP SLICE_X42Y70 SITE_TYPE SLICEM SITEPROP SLICE_X42Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y71 CLASS site SITEPROP SLICE_X42Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y71 IS_BONDED 0 SITEPROP SLICE_X42Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y71 IS_PAD 0 SITEPROP SLICE_X42Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y71 IS_RESERVED 0 SITEPROP SLICE_X42Y71 IS_TEST 0 SITEPROP SLICE_X42Y71 IS_USED 0 SITEPROP SLICE_X42Y71 MANUAL_ROUTING SITEPROP SLICE_X42Y71 NAME SLICE_X42Y71 SITEPROP SLICE_X42Y71 NUM_ARCS 153 SITEPROP SLICE_X42Y71 NUM_BELS 32 SITEPROP SLICE_X42Y71 NUM_INPUTS 37 SITEPROP SLICE_X42Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y71 NUM_PINS 50 SITEPROP SLICE_X42Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y71 PROHIBIT 0 SITEPROP SLICE_X42Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y71 RPM_X 113 SITEPROP SLICE_X42Y71 RPM_Y 142 SITEPROP SLICE_X42Y71 SITE_PIPS SITEPROP SLICE_X42Y71 SITE_TYPE SLICEM SITEPROP SLICE_X42Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y72 CLASS site SITEPROP SLICE_X42Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y72 IS_BONDED 0 SITEPROP SLICE_X42Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y72 IS_PAD 0 SITEPROP SLICE_X42Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y72 IS_RESERVED 0 SITEPROP SLICE_X42Y72 IS_TEST 0 SITEPROP SLICE_X42Y72 IS_USED 0 SITEPROP SLICE_X42Y72 MANUAL_ROUTING SITEPROP SLICE_X42Y72 NAME SLICE_X42Y72 SITEPROP SLICE_X42Y72 NUM_ARCS 153 SITEPROP SLICE_X42Y72 NUM_BELS 32 SITEPROP SLICE_X42Y72 NUM_INPUTS 37 SITEPROP SLICE_X42Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y72 NUM_PINS 50 SITEPROP SLICE_X42Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y72 PROHIBIT 0 SITEPROP SLICE_X42Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y72 RPM_X 113 SITEPROP SLICE_X42Y72 RPM_Y 144 SITEPROP SLICE_X42Y72 SITE_PIPS SITEPROP SLICE_X42Y72 SITE_TYPE SLICEM SITEPROP SLICE_X42Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y73 CLASS site SITEPROP SLICE_X42Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y73 IS_BONDED 0 SITEPROP SLICE_X42Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y73 IS_PAD 0 SITEPROP SLICE_X42Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y73 IS_RESERVED 0 SITEPROP SLICE_X42Y73 IS_TEST 0 SITEPROP SLICE_X42Y73 IS_USED 0 SITEPROP SLICE_X42Y73 MANUAL_ROUTING SITEPROP SLICE_X42Y73 NAME SLICE_X42Y73 SITEPROP SLICE_X42Y73 NUM_ARCS 153 SITEPROP SLICE_X42Y73 NUM_BELS 32 SITEPROP SLICE_X42Y73 NUM_INPUTS 37 SITEPROP SLICE_X42Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y73 NUM_PINS 50 SITEPROP SLICE_X42Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y73 PROHIBIT 0 SITEPROP SLICE_X42Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y73 RPM_X 113 SITEPROP SLICE_X42Y73 RPM_Y 146 SITEPROP SLICE_X42Y73 SITE_PIPS SITEPROP SLICE_X42Y73 SITE_TYPE SLICEM SITEPROP SLICE_X42Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y74 CLASS site SITEPROP SLICE_X42Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y74 IS_BONDED 0 SITEPROP SLICE_X42Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y74 IS_PAD 0 SITEPROP SLICE_X42Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y74 IS_RESERVED 0 SITEPROP SLICE_X42Y74 IS_TEST 0 SITEPROP SLICE_X42Y74 IS_USED 0 SITEPROP SLICE_X42Y74 MANUAL_ROUTING SITEPROP SLICE_X42Y74 NAME SLICE_X42Y74 SITEPROP SLICE_X42Y74 NUM_ARCS 153 SITEPROP SLICE_X42Y74 NUM_BELS 32 SITEPROP SLICE_X42Y74 NUM_INPUTS 37 SITEPROP SLICE_X42Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y74 NUM_PINS 50 SITEPROP SLICE_X42Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y74 PROHIBIT 0 SITEPROP SLICE_X42Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y74 RPM_X 113 SITEPROP SLICE_X42Y74 RPM_Y 148 SITEPROP SLICE_X42Y74 SITE_PIPS SITEPROP SLICE_X42Y74 SITE_TYPE SLICEM SITEPROP SLICE_X42Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y75 CLASS site SITEPROP SLICE_X42Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y75 IS_BONDED 0 SITEPROP SLICE_X42Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y75 IS_PAD 0 SITEPROP SLICE_X42Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y75 IS_RESERVED 0 SITEPROP SLICE_X42Y75 IS_TEST 0 SITEPROP SLICE_X42Y75 IS_USED 0 SITEPROP SLICE_X42Y75 MANUAL_ROUTING SITEPROP SLICE_X42Y75 NAME SLICE_X42Y75 SITEPROP SLICE_X42Y75 NUM_ARCS 153 SITEPROP SLICE_X42Y75 NUM_BELS 32 SITEPROP SLICE_X42Y75 NUM_INPUTS 37 SITEPROP SLICE_X42Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y75 NUM_PINS 50 SITEPROP SLICE_X42Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y75 PROHIBIT 0 SITEPROP SLICE_X42Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y75 RPM_X 113 SITEPROP SLICE_X42Y75 RPM_Y 150 SITEPROP SLICE_X42Y75 SITE_PIPS SITEPROP SLICE_X42Y75 SITE_TYPE SLICEM SITEPROP SLICE_X42Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y76 CLASS site SITEPROP SLICE_X42Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y76 IS_BONDED 0 SITEPROP SLICE_X42Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y76 IS_PAD 0 SITEPROP SLICE_X42Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y76 IS_RESERVED 0 SITEPROP SLICE_X42Y76 IS_TEST 0 SITEPROP SLICE_X42Y76 IS_USED 0 SITEPROP SLICE_X42Y76 MANUAL_ROUTING SITEPROP SLICE_X42Y76 NAME SLICE_X42Y76 SITEPROP SLICE_X42Y76 NUM_ARCS 153 SITEPROP SLICE_X42Y76 NUM_BELS 32 SITEPROP SLICE_X42Y76 NUM_INPUTS 37 SITEPROP SLICE_X42Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y76 NUM_PINS 50 SITEPROP SLICE_X42Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y76 PROHIBIT 0 SITEPROP SLICE_X42Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y76 RPM_X 113 SITEPROP SLICE_X42Y76 RPM_Y 152 SITEPROP SLICE_X42Y76 SITE_PIPS SITEPROP SLICE_X42Y76 SITE_TYPE SLICEM SITEPROP SLICE_X42Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y77 CLASS site SITEPROP SLICE_X42Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y77 IS_BONDED 0 SITEPROP SLICE_X42Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y77 IS_PAD 0 SITEPROP SLICE_X42Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y77 IS_RESERVED 0 SITEPROP SLICE_X42Y77 IS_TEST 0 SITEPROP SLICE_X42Y77 IS_USED 0 SITEPROP SLICE_X42Y77 MANUAL_ROUTING SITEPROP SLICE_X42Y77 NAME SLICE_X42Y77 SITEPROP SLICE_X42Y77 NUM_ARCS 153 SITEPROP SLICE_X42Y77 NUM_BELS 32 SITEPROP SLICE_X42Y77 NUM_INPUTS 37 SITEPROP SLICE_X42Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y77 NUM_PINS 50 SITEPROP SLICE_X42Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y77 PROHIBIT 0 SITEPROP SLICE_X42Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y77 RPM_X 113 SITEPROP SLICE_X42Y77 RPM_Y 154 SITEPROP SLICE_X42Y77 SITE_PIPS SITEPROP SLICE_X42Y77 SITE_TYPE SLICEM SITEPROP SLICE_X42Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y78 CLASS site SITEPROP SLICE_X42Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y78 IS_BONDED 0 SITEPROP SLICE_X42Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y78 IS_PAD 0 SITEPROP SLICE_X42Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y78 IS_RESERVED 0 SITEPROP SLICE_X42Y78 IS_TEST 0 SITEPROP SLICE_X42Y78 IS_USED 0 SITEPROP SLICE_X42Y78 MANUAL_ROUTING SITEPROP SLICE_X42Y78 NAME SLICE_X42Y78 SITEPROP SLICE_X42Y78 NUM_ARCS 153 SITEPROP SLICE_X42Y78 NUM_BELS 32 SITEPROP SLICE_X42Y78 NUM_INPUTS 37 SITEPROP SLICE_X42Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y78 NUM_PINS 50 SITEPROP SLICE_X42Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y78 PROHIBIT 0 SITEPROP SLICE_X42Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y78 RPM_X 113 SITEPROP SLICE_X42Y78 RPM_Y 156 SITEPROP SLICE_X42Y78 SITE_PIPS SITEPROP SLICE_X42Y78 SITE_TYPE SLICEM SITEPROP SLICE_X42Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y79 CLASS site SITEPROP SLICE_X42Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y79 IS_BONDED 0 SITEPROP SLICE_X42Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y79 IS_PAD 0 SITEPROP SLICE_X42Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y79 IS_RESERVED 0 SITEPROP SLICE_X42Y79 IS_TEST 0 SITEPROP SLICE_X42Y79 IS_USED 0 SITEPROP SLICE_X42Y79 MANUAL_ROUTING SITEPROP SLICE_X42Y79 NAME SLICE_X42Y79 SITEPROP SLICE_X42Y79 NUM_ARCS 153 SITEPROP SLICE_X42Y79 NUM_BELS 32 SITEPROP SLICE_X42Y79 NUM_INPUTS 37 SITEPROP SLICE_X42Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y79 NUM_PINS 50 SITEPROP SLICE_X42Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y79 PROHIBIT 0 SITEPROP SLICE_X42Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y79 RPM_X 113 SITEPROP SLICE_X42Y79 RPM_Y 158 SITEPROP SLICE_X42Y79 SITE_PIPS SITEPROP SLICE_X42Y79 SITE_TYPE SLICEM SITEPROP SLICE_X42Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y80 CLASS site SITEPROP SLICE_X42Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y80 IS_BONDED 0 SITEPROP SLICE_X42Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y80 IS_PAD 0 SITEPROP SLICE_X42Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y80 IS_RESERVED 0 SITEPROP SLICE_X42Y80 IS_TEST 0 SITEPROP SLICE_X42Y80 IS_USED 0 SITEPROP SLICE_X42Y80 MANUAL_ROUTING SITEPROP SLICE_X42Y80 NAME SLICE_X42Y80 SITEPROP SLICE_X42Y80 NUM_ARCS 153 SITEPROP SLICE_X42Y80 NUM_BELS 32 SITEPROP SLICE_X42Y80 NUM_INPUTS 37 SITEPROP SLICE_X42Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y80 NUM_PINS 50 SITEPROP SLICE_X42Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y80 PROHIBIT 0 SITEPROP SLICE_X42Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y80 RPM_X 113 SITEPROP SLICE_X42Y80 RPM_Y 160 SITEPROP SLICE_X42Y80 SITE_PIPS SITEPROP SLICE_X42Y80 SITE_TYPE SLICEM SITEPROP SLICE_X42Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y81 CLASS site SITEPROP SLICE_X42Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y81 IS_BONDED 0 SITEPROP SLICE_X42Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y81 IS_PAD 0 SITEPROP SLICE_X42Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y81 IS_RESERVED 0 SITEPROP SLICE_X42Y81 IS_TEST 0 SITEPROP SLICE_X42Y81 IS_USED 0 SITEPROP SLICE_X42Y81 MANUAL_ROUTING SITEPROP SLICE_X42Y81 NAME SLICE_X42Y81 SITEPROP SLICE_X42Y81 NUM_ARCS 153 SITEPROP SLICE_X42Y81 NUM_BELS 32 SITEPROP SLICE_X42Y81 NUM_INPUTS 37 SITEPROP SLICE_X42Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y81 NUM_PINS 50 SITEPROP SLICE_X42Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y81 PROHIBIT 0 SITEPROP SLICE_X42Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y81 RPM_X 113 SITEPROP SLICE_X42Y81 RPM_Y 162 SITEPROP SLICE_X42Y81 SITE_PIPS SITEPROP SLICE_X42Y81 SITE_TYPE SLICEM SITEPROP SLICE_X42Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y82 CLASS site SITEPROP SLICE_X42Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y82 IS_BONDED 0 SITEPROP SLICE_X42Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y82 IS_PAD 0 SITEPROP SLICE_X42Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y82 IS_RESERVED 0 SITEPROP SLICE_X42Y82 IS_TEST 0 SITEPROP SLICE_X42Y82 IS_USED 0 SITEPROP SLICE_X42Y82 MANUAL_ROUTING SITEPROP SLICE_X42Y82 NAME SLICE_X42Y82 SITEPROP SLICE_X42Y82 NUM_ARCS 153 SITEPROP SLICE_X42Y82 NUM_BELS 32 SITEPROP SLICE_X42Y82 NUM_INPUTS 37 SITEPROP SLICE_X42Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y82 NUM_PINS 50 SITEPROP SLICE_X42Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y82 PROHIBIT 0 SITEPROP SLICE_X42Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y82 RPM_X 113 SITEPROP SLICE_X42Y82 RPM_Y 164 SITEPROP SLICE_X42Y82 SITE_PIPS SITEPROP SLICE_X42Y82 SITE_TYPE SLICEM SITEPROP SLICE_X42Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y83 CLASS site SITEPROP SLICE_X42Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y83 IS_BONDED 0 SITEPROP SLICE_X42Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y83 IS_PAD 0 SITEPROP SLICE_X42Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y83 IS_RESERVED 0 SITEPROP SLICE_X42Y83 IS_TEST 0 SITEPROP SLICE_X42Y83 IS_USED 0 SITEPROP SLICE_X42Y83 MANUAL_ROUTING SITEPROP SLICE_X42Y83 NAME SLICE_X42Y83 SITEPROP SLICE_X42Y83 NUM_ARCS 153 SITEPROP SLICE_X42Y83 NUM_BELS 32 SITEPROP SLICE_X42Y83 NUM_INPUTS 37 SITEPROP SLICE_X42Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y83 NUM_PINS 50 SITEPROP SLICE_X42Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y83 PROHIBIT 0 SITEPROP SLICE_X42Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y83 RPM_X 113 SITEPROP SLICE_X42Y83 RPM_Y 166 SITEPROP SLICE_X42Y83 SITE_PIPS SITEPROP SLICE_X42Y83 SITE_TYPE SLICEM SITEPROP SLICE_X42Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y84 CLASS site SITEPROP SLICE_X42Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y84 IS_BONDED 0 SITEPROP SLICE_X42Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y84 IS_PAD 0 SITEPROP SLICE_X42Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y84 IS_RESERVED 0 SITEPROP SLICE_X42Y84 IS_TEST 0 SITEPROP SLICE_X42Y84 IS_USED 0 SITEPROP SLICE_X42Y84 MANUAL_ROUTING SITEPROP SLICE_X42Y84 NAME SLICE_X42Y84 SITEPROP SLICE_X42Y84 NUM_ARCS 153 SITEPROP SLICE_X42Y84 NUM_BELS 32 SITEPROP SLICE_X42Y84 NUM_INPUTS 37 SITEPROP SLICE_X42Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y84 NUM_PINS 50 SITEPROP SLICE_X42Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y84 PROHIBIT 0 SITEPROP SLICE_X42Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y84 RPM_X 113 SITEPROP SLICE_X42Y84 RPM_Y 168 SITEPROP SLICE_X42Y84 SITE_PIPS SITEPROP SLICE_X42Y84 SITE_TYPE SLICEM SITEPROP SLICE_X42Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y85 CLASS site SITEPROP SLICE_X42Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y85 IS_BONDED 0 SITEPROP SLICE_X42Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y85 IS_PAD 0 SITEPROP SLICE_X42Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y85 IS_RESERVED 0 SITEPROP SLICE_X42Y85 IS_TEST 0 SITEPROP SLICE_X42Y85 IS_USED 0 SITEPROP SLICE_X42Y85 MANUAL_ROUTING SITEPROP SLICE_X42Y85 NAME SLICE_X42Y85 SITEPROP SLICE_X42Y85 NUM_ARCS 153 SITEPROP SLICE_X42Y85 NUM_BELS 32 SITEPROP SLICE_X42Y85 NUM_INPUTS 37 SITEPROP SLICE_X42Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y85 NUM_PINS 50 SITEPROP SLICE_X42Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y85 PROHIBIT 0 SITEPROP SLICE_X42Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y85 RPM_X 113 SITEPROP SLICE_X42Y85 RPM_Y 170 SITEPROP SLICE_X42Y85 SITE_PIPS SITEPROP SLICE_X42Y85 SITE_TYPE SLICEM SITEPROP SLICE_X42Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y86 CLASS site SITEPROP SLICE_X42Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y86 IS_BONDED 0 SITEPROP SLICE_X42Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y86 IS_PAD 0 SITEPROP SLICE_X42Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y86 IS_RESERVED 0 SITEPROP SLICE_X42Y86 IS_TEST 0 SITEPROP SLICE_X42Y86 IS_USED 0 SITEPROP SLICE_X42Y86 MANUAL_ROUTING SITEPROP SLICE_X42Y86 NAME SLICE_X42Y86 SITEPROP SLICE_X42Y86 NUM_ARCS 153 SITEPROP SLICE_X42Y86 NUM_BELS 32 SITEPROP SLICE_X42Y86 NUM_INPUTS 37 SITEPROP SLICE_X42Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y86 NUM_PINS 50 SITEPROP SLICE_X42Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y86 PROHIBIT 0 SITEPROP SLICE_X42Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y86 RPM_X 113 SITEPROP SLICE_X42Y86 RPM_Y 172 SITEPROP SLICE_X42Y86 SITE_PIPS SITEPROP SLICE_X42Y86 SITE_TYPE SLICEM SITEPROP SLICE_X42Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y87 CLASS site SITEPROP SLICE_X42Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y87 IS_BONDED 0 SITEPROP SLICE_X42Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y87 IS_PAD 0 SITEPROP SLICE_X42Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y87 IS_RESERVED 0 SITEPROP SLICE_X42Y87 IS_TEST 0 SITEPROP SLICE_X42Y87 IS_USED 0 SITEPROP SLICE_X42Y87 MANUAL_ROUTING SITEPROP SLICE_X42Y87 NAME SLICE_X42Y87 SITEPROP SLICE_X42Y87 NUM_ARCS 153 SITEPROP SLICE_X42Y87 NUM_BELS 32 SITEPROP SLICE_X42Y87 NUM_INPUTS 37 SITEPROP SLICE_X42Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y87 NUM_PINS 50 SITEPROP SLICE_X42Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y87 PROHIBIT 0 SITEPROP SLICE_X42Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y87 RPM_X 113 SITEPROP SLICE_X42Y87 RPM_Y 174 SITEPROP SLICE_X42Y87 SITE_PIPS SITEPROP SLICE_X42Y87 SITE_TYPE SLICEM SITEPROP SLICE_X42Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y88 CLASS site SITEPROP SLICE_X42Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y88 IS_BONDED 0 SITEPROP SLICE_X42Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y88 IS_PAD 0 SITEPROP SLICE_X42Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y88 IS_RESERVED 0 SITEPROP SLICE_X42Y88 IS_TEST 0 SITEPROP SLICE_X42Y88 IS_USED 0 SITEPROP SLICE_X42Y88 MANUAL_ROUTING SITEPROP SLICE_X42Y88 NAME SLICE_X42Y88 SITEPROP SLICE_X42Y88 NUM_ARCS 153 SITEPROP SLICE_X42Y88 NUM_BELS 32 SITEPROP SLICE_X42Y88 NUM_INPUTS 37 SITEPROP SLICE_X42Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y88 NUM_PINS 50 SITEPROP SLICE_X42Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y88 PROHIBIT 0 SITEPROP SLICE_X42Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y88 RPM_X 113 SITEPROP SLICE_X42Y88 RPM_Y 176 SITEPROP SLICE_X42Y88 SITE_PIPS SITEPROP SLICE_X42Y88 SITE_TYPE SLICEM SITEPROP SLICE_X42Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y89 CLASS site SITEPROP SLICE_X42Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y89 IS_BONDED 0 SITEPROP SLICE_X42Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y89 IS_PAD 0 SITEPROP SLICE_X42Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y89 IS_RESERVED 0 SITEPROP SLICE_X42Y89 IS_TEST 0 SITEPROP SLICE_X42Y89 IS_USED 0 SITEPROP SLICE_X42Y89 MANUAL_ROUTING SITEPROP SLICE_X42Y89 NAME SLICE_X42Y89 SITEPROP SLICE_X42Y89 NUM_ARCS 153 SITEPROP SLICE_X42Y89 NUM_BELS 32 SITEPROP SLICE_X42Y89 NUM_INPUTS 37 SITEPROP SLICE_X42Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y89 NUM_PINS 50 SITEPROP SLICE_X42Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y89 PROHIBIT 0 SITEPROP SLICE_X42Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y89 RPM_X 113 SITEPROP SLICE_X42Y89 RPM_Y 178 SITEPROP SLICE_X42Y89 SITE_PIPS SITEPROP SLICE_X42Y89 SITE_TYPE SLICEM SITEPROP SLICE_X42Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y90 CLASS site SITEPROP SLICE_X42Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y90 IS_BONDED 0 SITEPROP SLICE_X42Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y90 IS_PAD 0 SITEPROP SLICE_X42Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y90 IS_RESERVED 0 SITEPROP SLICE_X42Y90 IS_TEST 0 SITEPROP SLICE_X42Y90 IS_USED 0 SITEPROP SLICE_X42Y90 MANUAL_ROUTING SITEPROP SLICE_X42Y90 NAME SLICE_X42Y90 SITEPROP SLICE_X42Y90 NUM_ARCS 153 SITEPROP SLICE_X42Y90 NUM_BELS 32 SITEPROP SLICE_X42Y90 NUM_INPUTS 37 SITEPROP SLICE_X42Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y90 NUM_PINS 50 SITEPROP SLICE_X42Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y90 PROHIBIT 0 SITEPROP SLICE_X42Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y90 RPM_X 113 SITEPROP SLICE_X42Y90 RPM_Y 180 SITEPROP SLICE_X42Y90 SITE_PIPS SITEPROP SLICE_X42Y90 SITE_TYPE SLICEM SITEPROP SLICE_X42Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y91 CLASS site SITEPROP SLICE_X42Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y91 IS_BONDED 0 SITEPROP SLICE_X42Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y91 IS_PAD 0 SITEPROP SLICE_X42Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y91 IS_RESERVED 0 SITEPROP SLICE_X42Y91 IS_TEST 0 SITEPROP SLICE_X42Y91 IS_USED 0 SITEPROP SLICE_X42Y91 MANUAL_ROUTING SITEPROP SLICE_X42Y91 NAME SLICE_X42Y91 SITEPROP SLICE_X42Y91 NUM_ARCS 153 SITEPROP SLICE_X42Y91 NUM_BELS 32 SITEPROP SLICE_X42Y91 NUM_INPUTS 37 SITEPROP SLICE_X42Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y91 NUM_PINS 50 SITEPROP SLICE_X42Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y91 PROHIBIT 0 SITEPROP SLICE_X42Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y91 RPM_X 113 SITEPROP SLICE_X42Y91 RPM_Y 182 SITEPROP SLICE_X42Y91 SITE_PIPS SITEPROP SLICE_X42Y91 SITE_TYPE SLICEM SITEPROP SLICE_X42Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y92 CLASS site SITEPROP SLICE_X42Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y92 IS_BONDED 0 SITEPROP SLICE_X42Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y92 IS_PAD 0 SITEPROP SLICE_X42Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y92 IS_RESERVED 0 SITEPROP SLICE_X42Y92 IS_TEST 0 SITEPROP SLICE_X42Y92 IS_USED 0 SITEPROP SLICE_X42Y92 MANUAL_ROUTING SITEPROP SLICE_X42Y92 NAME SLICE_X42Y92 SITEPROP SLICE_X42Y92 NUM_ARCS 153 SITEPROP SLICE_X42Y92 NUM_BELS 32 SITEPROP SLICE_X42Y92 NUM_INPUTS 37 SITEPROP SLICE_X42Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y92 NUM_PINS 50 SITEPROP SLICE_X42Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y92 PROHIBIT 0 SITEPROP SLICE_X42Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y92 RPM_X 113 SITEPROP SLICE_X42Y92 RPM_Y 184 SITEPROP SLICE_X42Y92 SITE_PIPS SITEPROP SLICE_X42Y92 SITE_TYPE SLICEM SITEPROP SLICE_X42Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y93 CLASS site SITEPROP SLICE_X42Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y93 IS_BONDED 0 SITEPROP SLICE_X42Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y93 IS_PAD 0 SITEPROP SLICE_X42Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y93 IS_RESERVED 0 SITEPROP SLICE_X42Y93 IS_TEST 0 SITEPROP SLICE_X42Y93 IS_USED 0 SITEPROP SLICE_X42Y93 MANUAL_ROUTING SITEPROP SLICE_X42Y93 NAME SLICE_X42Y93 SITEPROP SLICE_X42Y93 NUM_ARCS 153 SITEPROP SLICE_X42Y93 NUM_BELS 32 SITEPROP SLICE_X42Y93 NUM_INPUTS 37 SITEPROP SLICE_X42Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y93 NUM_PINS 50 SITEPROP SLICE_X42Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y93 PROHIBIT 0 SITEPROP SLICE_X42Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y93 RPM_X 113 SITEPROP SLICE_X42Y93 RPM_Y 186 SITEPROP SLICE_X42Y93 SITE_PIPS SITEPROP SLICE_X42Y93 SITE_TYPE SLICEM SITEPROP SLICE_X42Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y94 CLASS site SITEPROP SLICE_X42Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y94 IS_BONDED 0 SITEPROP SLICE_X42Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y94 IS_PAD 0 SITEPROP SLICE_X42Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y94 IS_RESERVED 0 SITEPROP SLICE_X42Y94 IS_TEST 0 SITEPROP SLICE_X42Y94 IS_USED 0 SITEPROP SLICE_X42Y94 MANUAL_ROUTING SITEPROP SLICE_X42Y94 NAME SLICE_X42Y94 SITEPROP SLICE_X42Y94 NUM_ARCS 153 SITEPROP SLICE_X42Y94 NUM_BELS 32 SITEPROP SLICE_X42Y94 NUM_INPUTS 37 SITEPROP SLICE_X42Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y94 NUM_PINS 50 SITEPROP SLICE_X42Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y94 PROHIBIT 0 SITEPROP SLICE_X42Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y94 RPM_X 113 SITEPROP SLICE_X42Y94 RPM_Y 188 SITEPROP SLICE_X42Y94 SITE_PIPS SITEPROP SLICE_X42Y94 SITE_TYPE SLICEM SITEPROP SLICE_X42Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y95 CLASS site SITEPROP SLICE_X42Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y95 IS_BONDED 0 SITEPROP SLICE_X42Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y95 IS_PAD 0 SITEPROP SLICE_X42Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y95 IS_RESERVED 0 SITEPROP SLICE_X42Y95 IS_TEST 0 SITEPROP SLICE_X42Y95 IS_USED 0 SITEPROP SLICE_X42Y95 MANUAL_ROUTING SITEPROP SLICE_X42Y95 NAME SLICE_X42Y95 SITEPROP SLICE_X42Y95 NUM_ARCS 153 SITEPROP SLICE_X42Y95 NUM_BELS 32 SITEPROP SLICE_X42Y95 NUM_INPUTS 37 SITEPROP SLICE_X42Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y95 NUM_PINS 50 SITEPROP SLICE_X42Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y95 PROHIBIT 0 SITEPROP SLICE_X42Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y95 RPM_X 113 SITEPROP SLICE_X42Y95 RPM_Y 190 SITEPROP SLICE_X42Y95 SITE_PIPS SITEPROP SLICE_X42Y95 SITE_TYPE SLICEM SITEPROP SLICE_X42Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y96 CLASS site SITEPROP SLICE_X42Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y96 IS_BONDED 0 SITEPROP SLICE_X42Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y96 IS_PAD 0 SITEPROP SLICE_X42Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y96 IS_RESERVED 0 SITEPROP SLICE_X42Y96 IS_TEST 0 SITEPROP SLICE_X42Y96 IS_USED 0 SITEPROP SLICE_X42Y96 MANUAL_ROUTING SITEPROP SLICE_X42Y96 NAME SLICE_X42Y96 SITEPROP SLICE_X42Y96 NUM_ARCS 153 SITEPROP SLICE_X42Y96 NUM_BELS 32 SITEPROP SLICE_X42Y96 NUM_INPUTS 37 SITEPROP SLICE_X42Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y96 NUM_PINS 50 SITEPROP SLICE_X42Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y96 PROHIBIT 0 SITEPROP SLICE_X42Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y96 RPM_X 113 SITEPROP SLICE_X42Y96 RPM_Y 192 SITEPROP SLICE_X42Y96 SITE_PIPS SITEPROP SLICE_X42Y96 SITE_TYPE SLICEM SITEPROP SLICE_X42Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y97 CLASS site SITEPROP SLICE_X42Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y97 IS_BONDED 0 SITEPROP SLICE_X42Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y97 IS_PAD 0 SITEPROP SLICE_X42Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y97 IS_RESERVED 0 SITEPROP SLICE_X42Y97 IS_TEST 0 SITEPROP SLICE_X42Y97 IS_USED 0 SITEPROP SLICE_X42Y97 MANUAL_ROUTING SITEPROP SLICE_X42Y97 NAME SLICE_X42Y97 SITEPROP SLICE_X42Y97 NUM_ARCS 153 SITEPROP SLICE_X42Y97 NUM_BELS 32 SITEPROP SLICE_X42Y97 NUM_INPUTS 37 SITEPROP SLICE_X42Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y97 NUM_PINS 50 SITEPROP SLICE_X42Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y97 PROHIBIT 0 SITEPROP SLICE_X42Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y97 RPM_X 113 SITEPROP SLICE_X42Y97 RPM_Y 194 SITEPROP SLICE_X42Y97 SITE_PIPS SITEPROP SLICE_X42Y97 SITE_TYPE SLICEM SITEPROP SLICE_X42Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y98 CLASS site SITEPROP SLICE_X42Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y98 IS_BONDED 0 SITEPROP SLICE_X42Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y98 IS_PAD 0 SITEPROP SLICE_X42Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y98 IS_RESERVED 0 SITEPROP SLICE_X42Y98 IS_TEST 0 SITEPROP SLICE_X42Y98 IS_USED 0 SITEPROP SLICE_X42Y98 MANUAL_ROUTING SITEPROP SLICE_X42Y98 NAME SLICE_X42Y98 SITEPROP SLICE_X42Y98 NUM_ARCS 153 SITEPROP SLICE_X42Y98 NUM_BELS 32 SITEPROP SLICE_X42Y98 NUM_INPUTS 37 SITEPROP SLICE_X42Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y98 NUM_PINS 50 SITEPROP SLICE_X42Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y98 PROHIBIT 0 SITEPROP SLICE_X42Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y98 RPM_X 113 SITEPROP SLICE_X42Y98 RPM_Y 196 SITEPROP SLICE_X42Y98 SITE_PIPS SITEPROP SLICE_X42Y98 SITE_TYPE SLICEM SITEPROP SLICE_X42Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y99 CLASS site SITEPROP SLICE_X42Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X42Y99 IS_BONDED 0 SITEPROP SLICE_X42Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y99 IS_PAD 0 SITEPROP SLICE_X42Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y99 IS_RESERVED 0 SITEPROP SLICE_X42Y99 IS_TEST 0 SITEPROP SLICE_X42Y99 IS_USED 0 SITEPROP SLICE_X42Y99 MANUAL_ROUTING SITEPROP SLICE_X42Y99 NAME SLICE_X42Y99 SITEPROP SLICE_X42Y99 NUM_ARCS 153 SITEPROP SLICE_X42Y99 NUM_BELS 32 SITEPROP SLICE_X42Y99 NUM_INPUTS 37 SITEPROP SLICE_X42Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y99 NUM_PINS 50 SITEPROP SLICE_X42Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y99 PROHIBIT 0 SITEPROP SLICE_X42Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y99 RPM_X 113 SITEPROP SLICE_X42Y99 RPM_Y 198 SITEPROP SLICE_X42Y99 SITE_PIPS SITEPROP SLICE_X42Y99 SITE_TYPE SLICEM SITEPROP SLICE_X42Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y125 CLASS site SITEPROP SLICE_X42Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y125 IS_BONDED 0 SITEPROP SLICE_X42Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y125 IS_PAD 0 SITEPROP SLICE_X42Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y125 IS_RESERVED 0 SITEPROP SLICE_X42Y125 IS_TEST 0 SITEPROP SLICE_X42Y125 IS_USED 0 SITEPROP SLICE_X42Y125 MANUAL_ROUTING SITEPROP SLICE_X42Y125 NAME SLICE_X42Y125 SITEPROP SLICE_X42Y125 NUM_ARCS 153 SITEPROP SLICE_X42Y125 NUM_BELS 32 SITEPROP SLICE_X42Y125 NUM_INPUTS 37 SITEPROP SLICE_X42Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y125 NUM_PINS 50 SITEPROP SLICE_X42Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y125 PROHIBIT 0 SITEPROP SLICE_X42Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y125 RPM_X 113 SITEPROP SLICE_X42Y125 RPM_Y 250 SITEPROP SLICE_X42Y125 SITE_PIPS SITEPROP SLICE_X42Y125 SITE_TYPE SLICEM SITEPROP SLICE_X42Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y126 CLASS site SITEPROP SLICE_X42Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y126 IS_BONDED 0 SITEPROP SLICE_X42Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y126 IS_PAD 0 SITEPROP SLICE_X42Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y126 IS_RESERVED 0 SITEPROP SLICE_X42Y126 IS_TEST 0 SITEPROP SLICE_X42Y126 IS_USED 0 SITEPROP SLICE_X42Y126 MANUAL_ROUTING SITEPROP SLICE_X42Y126 NAME SLICE_X42Y126 SITEPROP SLICE_X42Y126 NUM_ARCS 153 SITEPROP SLICE_X42Y126 NUM_BELS 32 SITEPROP SLICE_X42Y126 NUM_INPUTS 37 SITEPROP SLICE_X42Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y126 NUM_PINS 50 SITEPROP SLICE_X42Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y126 PROHIBIT 0 SITEPROP SLICE_X42Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y126 RPM_X 113 SITEPROP SLICE_X42Y126 RPM_Y 252 SITEPROP SLICE_X42Y126 SITE_PIPS SITEPROP SLICE_X42Y126 SITE_TYPE SLICEM SITEPROP SLICE_X42Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y127 CLASS site SITEPROP SLICE_X42Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y127 IS_BONDED 0 SITEPROP SLICE_X42Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y127 IS_PAD 0 SITEPROP SLICE_X42Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y127 IS_RESERVED 0 SITEPROP SLICE_X42Y127 IS_TEST 0 SITEPROP SLICE_X42Y127 IS_USED 0 SITEPROP SLICE_X42Y127 MANUAL_ROUTING SITEPROP SLICE_X42Y127 NAME SLICE_X42Y127 SITEPROP SLICE_X42Y127 NUM_ARCS 153 SITEPROP SLICE_X42Y127 NUM_BELS 32 SITEPROP SLICE_X42Y127 NUM_INPUTS 37 SITEPROP SLICE_X42Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y127 NUM_PINS 50 SITEPROP SLICE_X42Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y127 PROHIBIT 0 SITEPROP SLICE_X42Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y127 RPM_X 113 SITEPROP SLICE_X42Y127 RPM_Y 254 SITEPROP SLICE_X42Y127 SITE_PIPS SITEPROP SLICE_X42Y127 SITE_TYPE SLICEM SITEPROP SLICE_X42Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y128 CLASS site SITEPROP SLICE_X42Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y128 IS_BONDED 0 SITEPROP SLICE_X42Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y128 IS_PAD 0 SITEPROP SLICE_X42Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y128 IS_RESERVED 0 SITEPROP SLICE_X42Y128 IS_TEST 0 SITEPROP SLICE_X42Y128 IS_USED 0 SITEPROP SLICE_X42Y128 MANUAL_ROUTING SITEPROP SLICE_X42Y128 NAME SLICE_X42Y128 SITEPROP SLICE_X42Y128 NUM_ARCS 153 SITEPROP SLICE_X42Y128 NUM_BELS 32 SITEPROP SLICE_X42Y128 NUM_INPUTS 37 SITEPROP SLICE_X42Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y128 NUM_PINS 50 SITEPROP SLICE_X42Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y128 PROHIBIT 0 SITEPROP SLICE_X42Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y128 RPM_X 113 SITEPROP SLICE_X42Y128 RPM_Y 256 SITEPROP SLICE_X42Y128 SITE_PIPS SITEPROP SLICE_X42Y128 SITE_TYPE SLICEM SITEPROP SLICE_X42Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y129 CLASS site SITEPROP SLICE_X42Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y129 IS_BONDED 0 SITEPROP SLICE_X42Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y129 IS_PAD 0 SITEPROP SLICE_X42Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y129 IS_RESERVED 0 SITEPROP SLICE_X42Y129 IS_TEST 0 SITEPROP SLICE_X42Y129 IS_USED 0 SITEPROP SLICE_X42Y129 MANUAL_ROUTING SITEPROP SLICE_X42Y129 NAME SLICE_X42Y129 SITEPROP SLICE_X42Y129 NUM_ARCS 153 SITEPROP SLICE_X42Y129 NUM_BELS 32 SITEPROP SLICE_X42Y129 NUM_INPUTS 37 SITEPROP SLICE_X42Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y129 NUM_PINS 50 SITEPROP SLICE_X42Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y129 PROHIBIT 0 SITEPROP SLICE_X42Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y129 RPM_X 113 SITEPROP SLICE_X42Y129 RPM_Y 258 SITEPROP SLICE_X42Y129 SITE_PIPS SITEPROP SLICE_X42Y129 SITE_TYPE SLICEM SITEPROP SLICE_X42Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y130 CLASS site SITEPROP SLICE_X42Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y130 IS_BONDED 0 SITEPROP SLICE_X42Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y130 IS_PAD 0 SITEPROP SLICE_X42Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y130 IS_RESERVED 0 SITEPROP SLICE_X42Y130 IS_TEST 0 SITEPROP SLICE_X42Y130 IS_USED 0 SITEPROP SLICE_X42Y130 MANUAL_ROUTING SITEPROP SLICE_X42Y130 NAME SLICE_X42Y130 SITEPROP SLICE_X42Y130 NUM_ARCS 153 SITEPROP SLICE_X42Y130 NUM_BELS 32 SITEPROP SLICE_X42Y130 NUM_INPUTS 37 SITEPROP SLICE_X42Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y130 NUM_PINS 50 SITEPROP SLICE_X42Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y130 PROHIBIT 0 SITEPROP SLICE_X42Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y130 RPM_X 113 SITEPROP SLICE_X42Y130 RPM_Y 260 SITEPROP SLICE_X42Y130 SITE_PIPS SITEPROP SLICE_X42Y130 SITE_TYPE SLICEM SITEPROP SLICE_X42Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y131 CLASS site SITEPROP SLICE_X42Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y131 IS_BONDED 0 SITEPROP SLICE_X42Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y131 IS_PAD 0 SITEPROP SLICE_X42Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y131 IS_RESERVED 0 SITEPROP SLICE_X42Y131 IS_TEST 0 SITEPROP SLICE_X42Y131 IS_USED 0 SITEPROP SLICE_X42Y131 MANUAL_ROUTING SITEPROP SLICE_X42Y131 NAME SLICE_X42Y131 SITEPROP SLICE_X42Y131 NUM_ARCS 153 SITEPROP SLICE_X42Y131 NUM_BELS 32 SITEPROP SLICE_X42Y131 NUM_INPUTS 37 SITEPROP SLICE_X42Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y131 NUM_PINS 50 SITEPROP SLICE_X42Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y131 PROHIBIT 0 SITEPROP SLICE_X42Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y131 RPM_X 113 SITEPROP SLICE_X42Y131 RPM_Y 262 SITEPROP SLICE_X42Y131 SITE_PIPS SITEPROP SLICE_X42Y131 SITE_TYPE SLICEM SITEPROP SLICE_X42Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y132 CLASS site SITEPROP SLICE_X42Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y132 IS_BONDED 0 SITEPROP SLICE_X42Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y132 IS_PAD 0 SITEPROP SLICE_X42Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y132 IS_RESERVED 0 SITEPROP SLICE_X42Y132 IS_TEST 0 SITEPROP SLICE_X42Y132 IS_USED 0 SITEPROP SLICE_X42Y132 MANUAL_ROUTING SITEPROP SLICE_X42Y132 NAME SLICE_X42Y132 SITEPROP SLICE_X42Y132 NUM_ARCS 153 SITEPROP SLICE_X42Y132 NUM_BELS 32 SITEPROP SLICE_X42Y132 NUM_INPUTS 37 SITEPROP SLICE_X42Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y132 NUM_PINS 50 SITEPROP SLICE_X42Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y132 PROHIBIT 0 SITEPROP SLICE_X42Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y132 RPM_X 113 SITEPROP SLICE_X42Y132 RPM_Y 264 SITEPROP SLICE_X42Y132 SITE_PIPS SITEPROP SLICE_X42Y132 SITE_TYPE SLICEM SITEPROP SLICE_X42Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y133 CLASS site SITEPROP SLICE_X42Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y133 IS_BONDED 0 SITEPROP SLICE_X42Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y133 IS_PAD 0 SITEPROP SLICE_X42Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y133 IS_RESERVED 0 SITEPROP SLICE_X42Y133 IS_TEST 0 SITEPROP SLICE_X42Y133 IS_USED 0 SITEPROP SLICE_X42Y133 MANUAL_ROUTING SITEPROP SLICE_X42Y133 NAME SLICE_X42Y133 SITEPROP SLICE_X42Y133 NUM_ARCS 153 SITEPROP SLICE_X42Y133 NUM_BELS 32 SITEPROP SLICE_X42Y133 NUM_INPUTS 37 SITEPROP SLICE_X42Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y133 NUM_PINS 50 SITEPROP SLICE_X42Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y133 PROHIBIT 0 SITEPROP SLICE_X42Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y133 RPM_X 113 SITEPROP SLICE_X42Y133 RPM_Y 266 SITEPROP SLICE_X42Y133 SITE_PIPS SITEPROP SLICE_X42Y133 SITE_TYPE SLICEM SITEPROP SLICE_X42Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y134 CLASS site SITEPROP SLICE_X42Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y134 IS_BONDED 0 SITEPROP SLICE_X42Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y134 IS_PAD 0 SITEPROP SLICE_X42Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y134 IS_RESERVED 0 SITEPROP SLICE_X42Y134 IS_TEST 0 SITEPROP SLICE_X42Y134 IS_USED 0 SITEPROP SLICE_X42Y134 MANUAL_ROUTING SITEPROP SLICE_X42Y134 NAME SLICE_X42Y134 SITEPROP SLICE_X42Y134 NUM_ARCS 153 SITEPROP SLICE_X42Y134 NUM_BELS 32 SITEPROP SLICE_X42Y134 NUM_INPUTS 37 SITEPROP SLICE_X42Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y134 NUM_PINS 50 SITEPROP SLICE_X42Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y134 PROHIBIT 0 SITEPROP SLICE_X42Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y134 RPM_X 113 SITEPROP SLICE_X42Y134 RPM_Y 268 SITEPROP SLICE_X42Y134 SITE_PIPS SITEPROP SLICE_X42Y134 SITE_TYPE SLICEM SITEPROP SLICE_X42Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y135 CLASS site SITEPROP SLICE_X42Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y135 IS_BONDED 0 SITEPROP SLICE_X42Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y135 IS_PAD 0 SITEPROP SLICE_X42Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y135 IS_RESERVED 0 SITEPROP SLICE_X42Y135 IS_TEST 0 SITEPROP SLICE_X42Y135 IS_USED 0 SITEPROP SLICE_X42Y135 MANUAL_ROUTING SITEPROP SLICE_X42Y135 NAME SLICE_X42Y135 SITEPROP SLICE_X42Y135 NUM_ARCS 153 SITEPROP SLICE_X42Y135 NUM_BELS 32 SITEPROP SLICE_X42Y135 NUM_INPUTS 37 SITEPROP SLICE_X42Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y135 NUM_PINS 50 SITEPROP SLICE_X42Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y135 PROHIBIT 0 SITEPROP SLICE_X42Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y135 RPM_X 113 SITEPROP SLICE_X42Y135 RPM_Y 270 SITEPROP SLICE_X42Y135 SITE_PIPS SITEPROP SLICE_X42Y135 SITE_TYPE SLICEM SITEPROP SLICE_X42Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y136 CLASS site SITEPROP SLICE_X42Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y136 IS_BONDED 0 SITEPROP SLICE_X42Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y136 IS_PAD 0 SITEPROP SLICE_X42Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y136 IS_RESERVED 0 SITEPROP SLICE_X42Y136 IS_TEST 0 SITEPROP SLICE_X42Y136 IS_USED 0 SITEPROP SLICE_X42Y136 MANUAL_ROUTING SITEPROP SLICE_X42Y136 NAME SLICE_X42Y136 SITEPROP SLICE_X42Y136 NUM_ARCS 153 SITEPROP SLICE_X42Y136 NUM_BELS 32 SITEPROP SLICE_X42Y136 NUM_INPUTS 37 SITEPROP SLICE_X42Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y136 NUM_PINS 50 SITEPROP SLICE_X42Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y136 PROHIBIT 0 SITEPROP SLICE_X42Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y136 RPM_X 113 SITEPROP SLICE_X42Y136 RPM_Y 272 SITEPROP SLICE_X42Y136 SITE_PIPS SITEPROP SLICE_X42Y136 SITE_TYPE SLICEM SITEPROP SLICE_X42Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y137 CLASS site SITEPROP SLICE_X42Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y137 IS_BONDED 0 SITEPROP SLICE_X42Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y137 IS_PAD 0 SITEPROP SLICE_X42Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y137 IS_RESERVED 0 SITEPROP SLICE_X42Y137 IS_TEST 0 SITEPROP SLICE_X42Y137 IS_USED 0 SITEPROP SLICE_X42Y137 MANUAL_ROUTING SITEPROP SLICE_X42Y137 NAME SLICE_X42Y137 SITEPROP SLICE_X42Y137 NUM_ARCS 153 SITEPROP SLICE_X42Y137 NUM_BELS 32 SITEPROP SLICE_X42Y137 NUM_INPUTS 37 SITEPROP SLICE_X42Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y137 NUM_PINS 50 SITEPROP SLICE_X42Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y137 PROHIBIT 0 SITEPROP SLICE_X42Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y137 RPM_X 113 SITEPROP SLICE_X42Y137 RPM_Y 274 SITEPROP SLICE_X42Y137 SITE_PIPS SITEPROP SLICE_X42Y137 SITE_TYPE SLICEM SITEPROP SLICE_X42Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y138 CLASS site SITEPROP SLICE_X42Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y138 IS_BONDED 0 SITEPROP SLICE_X42Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y138 IS_PAD 0 SITEPROP SLICE_X42Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y138 IS_RESERVED 0 SITEPROP SLICE_X42Y138 IS_TEST 0 SITEPROP SLICE_X42Y138 IS_USED 0 SITEPROP SLICE_X42Y138 MANUAL_ROUTING SITEPROP SLICE_X42Y138 NAME SLICE_X42Y138 SITEPROP SLICE_X42Y138 NUM_ARCS 153 SITEPROP SLICE_X42Y138 NUM_BELS 32 SITEPROP SLICE_X42Y138 NUM_INPUTS 37 SITEPROP SLICE_X42Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y138 NUM_PINS 50 SITEPROP SLICE_X42Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y138 PROHIBIT 0 SITEPROP SLICE_X42Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y138 RPM_X 113 SITEPROP SLICE_X42Y138 RPM_Y 276 SITEPROP SLICE_X42Y138 SITE_PIPS SITEPROP SLICE_X42Y138 SITE_TYPE SLICEM SITEPROP SLICE_X42Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y139 CLASS site SITEPROP SLICE_X42Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y139 IS_BONDED 0 SITEPROP SLICE_X42Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y139 IS_PAD 0 SITEPROP SLICE_X42Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y139 IS_RESERVED 0 SITEPROP SLICE_X42Y139 IS_TEST 0 SITEPROP SLICE_X42Y139 IS_USED 0 SITEPROP SLICE_X42Y139 MANUAL_ROUTING SITEPROP SLICE_X42Y139 NAME SLICE_X42Y139 SITEPROP SLICE_X42Y139 NUM_ARCS 153 SITEPROP SLICE_X42Y139 NUM_BELS 32 SITEPROP SLICE_X42Y139 NUM_INPUTS 37 SITEPROP SLICE_X42Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y139 NUM_PINS 50 SITEPROP SLICE_X42Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y139 PROHIBIT 0 SITEPROP SLICE_X42Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y139 RPM_X 113 SITEPROP SLICE_X42Y139 RPM_Y 278 SITEPROP SLICE_X42Y139 SITE_PIPS SITEPROP SLICE_X42Y139 SITE_TYPE SLICEM SITEPROP SLICE_X42Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y140 CLASS site SITEPROP SLICE_X42Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y140 IS_BONDED 0 SITEPROP SLICE_X42Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y140 IS_PAD 0 SITEPROP SLICE_X42Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y140 IS_RESERVED 0 SITEPROP SLICE_X42Y140 IS_TEST 0 SITEPROP SLICE_X42Y140 IS_USED 0 SITEPROP SLICE_X42Y140 MANUAL_ROUTING SITEPROP SLICE_X42Y140 NAME SLICE_X42Y140 SITEPROP SLICE_X42Y140 NUM_ARCS 153 SITEPROP SLICE_X42Y140 NUM_BELS 32 SITEPROP SLICE_X42Y140 NUM_INPUTS 37 SITEPROP SLICE_X42Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y140 NUM_PINS 50 SITEPROP SLICE_X42Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y140 PROHIBIT 0 SITEPROP SLICE_X42Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y140 RPM_X 113 SITEPROP SLICE_X42Y140 RPM_Y 280 SITEPROP SLICE_X42Y140 SITE_PIPS SITEPROP SLICE_X42Y140 SITE_TYPE SLICEM SITEPROP SLICE_X42Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y141 CLASS site SITEPROP SLICE_X42Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y141 IS_BONDED 0 SITEPROP SLICE_X42Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y141 IS_PAD 0 SITEPROP SLICE_X42Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y141 IS_RESERVED 0 SITEPROP SLICE_X42Y141 IS_TEST 0 SITEPROP SLICE_X42Y141 IS_USED 0 SITEPROP SLICE_X42Y141 MANUAL_ROUTING SITEPROP SLICE_X42Y141 NAME SLICE_X42Y141 SITEPROP SLICE_X42Y141 NUM_ARCS 153 SITEPROP SLICE_X42Y141 NUM_BELS 32 SITEPROP SLICE_X42Y141 NUM_INPUTS 37 SITEPROP SLICE_X42Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y141 NUM_PINS 50 SITEPROP SLICE_X42Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y141 PROHIBIT 0 SITEPROP SLICE_X42Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y141 RPM_X 113 SITEPROP SLICE_X42Y141 RPM_Y 282 SITEPROP SLICE_X42Y141 SITE_PIPS SITEPROP SLICE_X42Y141 SITE_TYPE SLICEM SITEPROP SLICE_X42Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y142 CLASS site SITEPROP SLICE_X42Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y142 IS_BONDED 0 SITEPROP SLICE_X42Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y142 IS_PAD 0 SITEPROP SLICE_X42Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y142 IS_RESERVED 0 SITEPROP SLICE_X42Y142 IS_TEST 0 SITEPROP SLICE_X42Y142 IS_USED 0 SITEPROP SLICE_X42Y142 MANUAL_ROUTING SITEPROP SLICE_X42Y142 NAME SLICE_X42Y142 SITEPROP SLICE_X42Y142 NUM_ARCS 153 SITEPROP SLICE_X42Y142 NUM_BELS 32 SITEPROP SLICE_X42Y142 NUM_INPUTS 37 SITEPROP SLICE_X42Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y142 NUM_PINS 50 SITEPROP SLICE_X42Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y142 PROHIBIT 0 SITEPROP SLICE_X42Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y142 RPM_X 113 SITEPROP SLICE_X42Y142 RPM_Y 284 SITEPROP SLICE_X42Y142 SITE_PIPS SITEPROP SLICE_X42Y142 SITE_TYPE SLICEM SITEPROP SLICE_X42Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y143 CLASS site SITEPROP SLICE_X42Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y143 IS_BONDED 0 SITEPROP SLICE_X42Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y143 IS_PAD 0 SITEPROP SLICE_X42Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y143 IS_RESERVED 0 SITEPROP SLICE_X42Y143 IS_TEST 0 SITEPROP SLICE_X42Y143 IS_USED 0 SITEPROP SLICE_X42Y143 MANUAL_ROUTING SITEPROP SLICE_X42Y143 NAME SLICE_X42Y143 SITEPROP SLICE_X42Y143 NUM_ARCS 153 SITEPROP SLICE_X42Y143 NUM_BELS 32 SITEPROP SLICE_X42Y143 NUM_INPUTS 37 SITEPROP SLICE_X42Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y143 NUM_PINS 50 SITEPROP SLICE_X42Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y143 PROHIBIT 0 SITEPROP SLICE_X42Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y143 RPM_X 113 SITEPROP SLICE_X42Y143 RPM_Y 286 SITEPROP SLICE_X42Y143 SITE_PIPS SITEPROP SLICE_X42Y143 SITE_TYPE SLICEM SITEPROP SLICE_X42Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y144 CLASS site SITEPROP SLICE_X42Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y144 IS_BONDED 0 SITEPROP SLICE_X42Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y144 IS_PAD 0 SITEPROP SLICE_X42Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y144 IS_RESERVED 0 SITEPROP SLICE_X42Y144 IS_TEST 0 SITEPROP SLICE_X42Y144 IS_USED 0 SITEPROP SLICE_X42Y144 MANUAL_ROUTING SITEPROP SLICE_X42Y144 NAME SLICE_X42Y144 SITEPROP SLICE_X42Y144 NUM_ARCS 153 SITEPROP SLICE_X42Y144 NUM_BELS 32 SITEPROP SLICE_X42Y144 NUM_INPUTS 37 SITEPROP SLICE_X42Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y144 NUM_PINS 50 SITEPROP SLICE_X42Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y144 PROHIBIT 0 SITEPROP SLICE_X42Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y144 RPM_X 113 SITEPROP SLICE_X42Y144 RPM_Y 288 SITEPROP SLICE_X42Y144 SITE_PIPS SITEPROP SLICE_X42Y144 SITE_TYPE SLICEM SITEPROP SLICE_X42Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y145 CLASS site SITEPROP SLICE_X42Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y145 IS_BONDED 0 SITEPROP SLICE_X42Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y145 IS_PAD 0 SITEPROP SLICE_X42Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y145 IS_RESERVED 0 SITEPROP SLICE_X42Y145 IS_TEST 0 SITEPROP SLICE_X42Y145 IS_USED 0 SITEPROP SLICE_X42Y145 MANUAL_ROUTING SITEPROP SLICE_X42Y145 NAME SLICE_X42Y145 SITEPROP SLICE_X42Y145 NUM_ARCS 153 SITEPROP SLICE_X42Y145 NUM_BELS 32 SITEPROP SLICE_X42Y145 NUM_INPUTS 37 SITEPROP SLICE_X42Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y145 NUM_PINS 50 SITEPROP SLICE_X42Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y145 PROHIBIT 0 SITEPROP SLICE_X42Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y145 RPM_X 113 SITEPROP SLICE_X42Y145 RPM_Y 290 SITEPROP SLICE_X42Y145 SITE_PIPS SITEPROP SLICE_X42Y145 SITE_TYPE SLICEM SITEPROP SLICE_X42Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y146 CLASS site SITEPROP SLICE_X42Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y146 IS_BONDED 0 SITEPROP SLICE_X42Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y146 IS_PAD 0 SITEPROP SLICE_X42Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y146 IS_RESERVED 0 SITEPROP SLICE_X42Y146 IS_TEST 0 SITEPROP SLICE_X42Y146 IS_USED 0 SITEPROP SLICE_X42Y146 MANUAL_ROUTING SITEPROP SLICE_X42Y146 NAME SLICE_X42Y146 SITEPROP SLICE_X42Y146 NUM_ARCS 153 SITEPROP SLICE_X42Y146 NUM_BELS 32 SITEPROP SLICE_X42Y146 NUM_INPUTS 37 SITEPROP SLICE_X42Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y146 NUM_PINS 50 SITEPROP SLICE_X42Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y146 PROHIBIT 0 SITEPROP SLICE_X42Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y146 RPM_X 113 SITEPROP SLICE_X42Y146 RPM_Y 292 SITEPROP SLICE_X42Y146 SITE_PIPS SITEPROP SLICE_X42Y146 SITE_TYPE SLICEM SITEPROP SLICE_X42Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y147 CLASS site SITEPROP SLICE_X42Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y147 IS_BONDED 0 SITEPROP SLICE_X42Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y147 IS_PAD 0 SITEPROP SLICE_X42Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y147 IS_RESERVED 0 SITEPROP SLICE_X42Y147 IS_TEST 0 SITEPROP SLICE_X42Y147 IS_USED 0 SITEPROP SLICE_X42Y147 MANUAL_ROUTING SITEPROP SLICE_X42Y147 NAME SLICE_X42Y147 SITEPROP SLICE_X42Y147 NUM_ARCS 153 SITEPROP SLICE_X42Y147 NUM_BELS 32 SITEPROP SLICE_X42Y147 NUM_INPUTS 37 SITEPROP SLICE_X42Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y147 NUM_PINS 50 SITEPROP SLICE_X42Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y147 PROHIBIT 0 SITEPROP SLICE_X42Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y147 RPM_X 113 SITEPROP SLICE_X42Y147 RPM_Y 294 SITEPROP SLICE_X42Y147 SITE_PIPS SITEPROP SLICE_X42Y147 SITE_TYPE SLICEM SITEPROP SLICE_X42Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y148 CLASS site SITEPROP SLICE_X42Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y148 IS_BONDED 0 SITEPROP SLICE_X42Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y148 IS_PAD 0 SITEPROP SLICE_X42Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y148 IS_RESERVED 0 SITEPROP SLICE_X42Y148 IS_TEST 0 SITEPROP SLICE_X42Y148 IS_USED 0 SITEPROP SLICE_X42Y148 MANUAL_ROUTING SITEPROP SLICE_X42Y148 NAME SLICE_X42Y148 SITEPROP SLICE_X42Y148 NUM_ARCS 153 SITEPROP SLICE_X42Y148 NUM_BELS 32 SITEPROP SLICE_X42Y148 NUM_INPUTS 37 SITEPROP SLICE_X42Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y148 NUM_PINS 50 SITEPROP SLICE_X42Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y148 PROHIBIT 0 SITEPROP SLICE_X42Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y148 RPM_X 113 SITEPROP SLICE_X42Y148 RPM_Y 296 SITEPROP SLICE_X42Y148 SITE_PIPS SITEPROP SLICE_X42Y148 SITE_TYPE SLICEM SITEPROP SLICE_X42Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X42Y149 CLASS site SITEPROP SLICE_X42Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X42Y149 IS_BONDED 0 SITEPROP SLICE_X42Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X42Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y149 IS_PAD 0 SITEPROP SLICE_X42Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X42Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X42Y149 IS_RESERVED 0 SITEPROP SLICE_X42Y149 IS_TEST 0 SITEPROP SLICE_X42Y149 IS_USED 0 SITEPROP SLICE_X42Y149 MANUAL_ROUTING SITEPROP SLICE_X42Y149 NAME SLICE_X42Y149 SITEPROP SLICE_X42Y149 NUM_ARCS 153 SITEPROP SLICE_X42Y149 NUM_BELS 32 SITEPROP SLICE_X42Y149 NUM_INPUTS 37 SITEPROP SLICE_X42Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X42Y149 NUM_PINS 50 SITEPROP SLICE_X42Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X42Y149 PROHIBIT 0 SITEPROP SLICE_X42Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X42Y149 RPM_X 113 SITEPROP SLICE_X42Y149 RPM_Y 298 SITEPROP SLICE_X42Y149 SITE_PIPS SITEPROP SLICE_X42Y149 SITE_TYPE SLICEM SITEPROP SLICE_X43Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y0 CLASS site SITEPROP SLICE_X43Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y0 IS_BONDED 0 SITEPROP SLICE_X43Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y0 IS_PAD 0 SITEPROP SLICE_X43Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y0 IS_RESERVED 0 SITEPROP SLICE_X43Y0 IS_TEST 0 SITEPROP SLICE_X43Y0 IS_USED 0 SITEPROP SLICE_X43Y0 MANUAL_ROUTING SITEPROP SLICE_X43Y0 NAME SLICE_X43Y0 SITEPROP SLICE_X43Y0 NUM_ARCS 138 SITEPROP SLICE_X43Y0 NUM_BELS 32 SITEPROP SLICE_X43Y0 NUM_INPUTS 32 SITEPROP SLICE_X43Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y0 NUM_PINS 45 SITEPROP SLICE_X43Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y0 PROHIBIT 0 SITEPROP SLICE_X43Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y0 RPM_X 115 SITEPROP SLICE_X43Y0 RPM_Y 0 SITEPROP SLICE_X43Y0 SITE_PIPS SITEPROP SLICE_X43Y0 SITE_TYPE SLICEL SITEPROP SLICE_X43Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y1 CLASS site SITEPROP SLICE_X43Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y1 IS_BONDED 0 SITEPROP SLICE_X43Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y1 IS_PAD 0 SITEPROP SLICE_X43Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y1 IS_RESERVED 0 SITEPROP SLICE_X43Y1 IS_TEST 0 SITEPROP SLICE_X43Y1 IS_USED 0 SITEPROP SLICE_X43Y1 MANUAL_ROUTING SITEPROP SLICE_X43Y1 NAME SLICE_X43Y1 SITEPROP SLICE_X43Y1 NUM_ARCS 138 SITEPROP SLICE_X43Y1 NUM_BELS 32 SITEPROP SLICE_X43Y1 NUM_INPUTS 32 SITEPROP SLICE_X43Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y1 NUM_PINS 45 SITEPROP SLICE_X43Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y1 PROHIBIT 0 SITEPROP SLICE_X43Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y1 RPM_X 115 SITEPROP SLICE_X43Y1 RPM_Y 2 SITEPROP SLICE_X43Y1 SITE_PIPS SITEPROP SLICE_X43Y1 SITE_TYPE SLICEL SITEPROP SLICE_X43Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y2 CLASS site SITEPROP SLICE_X43Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y2 IS_BONDED 0 SITEPROP SLICE_X43Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y2 IS_PAD 0 SITEPROP SLICE_X43Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y2 IS_RESERVED 0 SITEPROP SLICE_X43Y2 IS_TEST 0 SITEPROP SLICE_X43Y2 IS_USED 0 SITEPROP SLICE_X43Y2 MANUAL_ROUTING SITEPROP SLICE_X43Y2 NAME SLICE_X43Y2 SITEPROP SLICE_X43Y2 NUM_ARCS 138 SITEPROP SLICE_X43Y2 NUM_BELS 32 SITEPROP SLICE_X43Y2 NUM_INPUTS 32 SITEPROP SLICE_X43Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y2 NUM_PINS 45 SITEPROP SLICE_X43Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y2 PROHIBIT 0 SITEPROP SLICE_X43Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y2 RPM_X 115 SITEPROP SLICE_X43Y2 RPM_Y 4 SITEPROP SLICE_X43Y2 SITE_PIPS SITEPROP SLICE_X43Y2 SITE_TYPE SLICEL SITEPROP SLICE_X43Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y3 CLASS site SITEPROP SLICE_X43Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y3 IS_BONDED 0 SITEPROP SLICE_X43Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y3 IS_PAD 0 SITEPROP SLICE_X43Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y3 IS_RESERVED 0 SITEPROP SLICE_X43Y3 IS_TEST 0 SITEPROP SLICE_X43Y3 IS_USED 0 SITEPROP SLICE_X43Y3 MANUAL_ROUTING SITEPROP SLICE_X43Y3 NAME SLICE_X43Y3 SITEPROP SLICE_X43Y3 NUM_ARCS 138 SITEPROP SLICE_X43Y3 NUM_BELS 32 SITEPROP SLICE_X43Y3 NUM_INPUTS 32 SITEPROP SLICE_X43Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y3 NUM_PINS 45 SITEPROP SLICE_X43Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y3 PROHIBIT 0 SITEPROP SLICE_X43Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y3 RPM_X 115 SITEPROP SLICE_X43Y3 RPM_Y 6 SITEPROP SLICE_X43Y3 SITE_PIPS SITEPROP SLICE_X43Y3 SITE_TYPE SLICEL SITEPROP SLICE_X43Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y4 CLASS site SITEPROP SLICE_X43Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y4 IS_BONDED 0 SITEPROP SLICE_X43Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y4 IS_PAD 0 SITEPROP SLICE_X43Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y4 IS_RESERVED 0 SITEPROP SLICE_X43Y4 IS_TEST 0 SITEPROP SLICE_X43Y4 IS_USED 0 SITEPROP SLICE_X43Y4 MANUAL_ROUTING SITEPROP SLICE_X43Y4 NAME SLICE_X43Y4 SITEPROP SLICE_X43Y4 NUM_ARCS 138 SITEPROP SLICE_X43Y4 NUM_BELS 32 SITEPROP SLICE_X43Y4 NUM_INPUTS 32 SITEPROP SLICE_X43Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y4 NUM_PINS 45 SITEPROP SLICE_X43Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y4 PROHIBIT 0 SITEPROP SLICE_X43Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y4 RPM_X 115 SITEPROP SLICE_X43Y4 RPM_Y 8 SITEPROP SLICE_X43Y4 SITE_PIPS SITEPROP SLICE_X43Y4 SITE_TYPE SLICEL SITEPROP SLICE_X43Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y5 CLASS site SITEPROP SLICE_X43Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y5 IS_BONDED 0 SITEPROP SLICE_X43Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y5 IS_PAD 0 SITEPROP SLICE_X43Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y5 IS_RESERVED 0 SITEPROP SLICE_X43Y5 IS_TEST 0 SITEPROP SLICE_X43Y5 IS_USED 0 SITEPROP SLICE_X43Y5 MANUAL_ROUTING SITEPROP SLICE_X43Y5 NAME SLICE_X43Y5 SITEPROP SLICE_X43Y5 NUM_ARCS 138 SITEPROP SLICE_X43Y5 NUM_BELS 32 SITEPROP SLICE_X43Y5 NUM_INPUTS 32 SITEPROP SLICE_X43Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y5 NUM_PINS 45 SITEPROP SLICE_X43Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y5 PROHIBIT 0 SITEPROP SLICE_X43Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y5 RPM_X 115 SITEPROP SLICE_X43Y5 RPM_Y 10 SITEPROP SLICE_X43Y5 SITE_PIPS SITEPROP SLICE_X43Y5 SITE_TYPE SLICEL SITEPROP SLICE_X43Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y6 CLASS site SITEPROP SLICE_X43Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y6 IS_BONDED 0 SITEPROP SLICE_X43Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y6 IS_PAD 0 SITEPROP SLICE_X43Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y6 IS_RESERVED 0 SITEPROP SLICE_X43Y6 IS_TEST 0 SITEPROP SLICE_X43Y6 IS_USED 0 SITEPROP SLICE_X43Y6 MANUAL_ROUTING SITEPROP SLICE_X43Y6 NAME SLICE_X43Y6 SITEPROP SLICE_X43Y6 NUM_ARCS 138 SITEPROP SLICE_X43Y6 NUM_BELS 32 SITEPROP SLICE_X43Y6 NUM_INPUTS 32 SITEPROP SLICE_X43Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y6 NUM_PINS 45 SITEPROP SLICE_X43Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y6 PROHIBIT 0 SITEPROP SLICE_X43Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y6 RPM_X 115 SITEPROP SLICE_X43Y6 RPM_Y 12 SITEPROP SLICE_X43Y6 SITE_PIPS SITEPROP SLICE_X43Y6 SITE_TYPE SLICEL SITEPROP SLICE_X43Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y7 CLASS site SITEPROP SLICE_X43Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y7 IS_BONDED 0 SITEPROP SLICE_X43Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y7 IS_PAD 0 SITEPROP SLICE_X43Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y7 IS_RESERVED 0 SITEPROP SLICE_X43Y7 IS_TEST 0 SITEPROP SLICE_X43Y7 IS_USED 0 SITEPROP SLICE_X43Y7 MANUAL_ROUTING SITEPROP SLICE_X43Y7 NAME SLICE_X43Y7 SITEPROP SLICE_X43Y7 NUM_ARCS 138 SITEPROP SLICE_X43Y7 NUM_BELS 32 SITEPROP SLICE_X43Y7 NUM_INPUTS 32 SITEPROP SLICE_X43Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y7 NUM_PINS 45 SITEPROP SLICE_X43Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y7 PROHIBIT 0 SITEPROP SLICE_X43Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y7 RPM_X 115 SITEPROP SLICE_X43Y7 RPM_Y 14 SITEPROP SLICE_X43Y7 SITE_PIPS SITEPROP SLICE_X43Y7 SITE_TYPE SLICEL SITEPROP SLICE_X43Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y8 CLASS site SITEPROP SLICE_X43Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y8 IS_BONDED 0 SITEPROP SLICE_X43Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y8 IS_PAD 0 SITEPROP SLICE_X43Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y8 IS_RESERVED 0 SITEPROP SLICE_X43Y8 IS_TEST 0 SITEPROP SLICE_X43Y8 IS_USED 0 SITEPROP SLICE_X43Y8 MANUAL_ROUTING SITEPROP SLICE_X43Y8 NAME SLICE_X43Y8 SITEPROP SLICE_X43Y8 NUM_ARCS 138 SITEPROP SLICE_X43Y8 NUM_BELS 32 SITEPROP SLICE_X43Y8 NUM_INPUTS 32 SITEPROP SLICE_X43Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y8 NUM_PINS 45 SITEPROP SLICE_X43Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y8 PROHIBIT 0 SITEPROP SLICE_X43Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y8 RPM_X 115 SITEPROP SLICE_X43Y8 RPM_Y 16 SITEPROP SLICE_X43Y8 SITE_PIPS SITEPROP SLICE_X43Y8 SITE_TYPE SLICEL SITEPROP SLICE_X43Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y9 CLASS site SITEPROP SLICE_X43Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y9 IS_BONDED 0 SITEPROP SLICE_X43Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y9 IS_PAD 0 SITEPROP SLICE_X43Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y9 IS_RESERVED 0 SITEPROP SLICE_X43Y9 IS_TEST 0 SITEPROP SLICE_X43Y9 IS_USED 0 SITEPROP SLICE_X43Y9 MANUAL_ROUTING SITEPROP SLICE_X43Y9 NAME SLICE_X43Y9 SITEPROP SLICE_X43Y9 NUM_ARCS 138 SITEPROP SLICE_X43Y9 NUM_BELS 32 SITEPROP SLICE_X43Y9 NUM_INPUTS 32 SITEPROP SLICE_X43Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y9 NUM_PINS 45 SITEPROP SLICE_X43Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y9 PROHIBIT 0 SITEPROP SLICE_X43Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y9 RPM_X 115 SITEPROP SLICE_X43Y9 RPM_Y 18 SITEPROP SLICE_X43Y9 SITE_PIPS SITEPROP SLICE_X43Y9 SITE_TYPE SLICEL SITEPROP SLICE_X43Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y10 CLASS site SITEPROP SLICE_X43Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y10 IS_BONDED 0 SITEPROP SLICE_X43Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y10 IS_PAD 0 SITEPROP SLICE_X43Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y10 IS_RESERVED 0 SITEPROP SLICE_X43Y10 IS_TEST 0 SITEPROP SLICE_X43Y10 IS_USED 0 SITEPROP SLICE_X43Y10 MANUAL_ROUTING SITEPROP SLICE_X43Y10 NAME SLICE_X43Y10 SITEPROP SLICE_X43Y10 NUM_ARCS 138 SITEPROP SLICE_X43Y10 NUM_BELS 32 SITEPROP SLICE_X43Y10 NUM_INPUTS 32 SITEPROP SLICE_X43Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y10 NUM_PINS 45 SITEPROP SLICE_X43Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y10 PROHIBIT 0 SITEPROP SLICE_X43Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y10 RPM_X 115 SITEPROP SLICE_X43Y10 RPM_Y 20 SITEPROP SLICE_X43Y10 SITE_PIPS SITEPROP SLICE_X43Y10 SITE_TYPE SLICEL SITEPROP SLICE_X43Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y11 CLASS site SITEPROP SLICE_X43Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y11 IS_BONDED 0 SITEPROP SLICE_X43Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y11 IS_PAD 0 SITEPROP SLICE_X43Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y11 IS_RESERVED 0 SITEPROP SLICE_X43Y11 IS_TEST 0 SITEPROP SLICE_X43Y11 IS_USED 0 SITEPROP SLICE_X43Y11 MANUAL_ROUTING SITEPROP SLICE_X43Y11 NAME SLICE_X43Y11 SITEPROP SLICE_X43Y11 NUM_ARCS 138 SITEPROP SLICE_X43Y11 NUM_BELS 32 SITEPROP SLICE_X43Y11 NUM_INPUTS 32 SITEPROP SLICE_X43Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y11 NUM_PINS 45 SITEPROP SLICE_X43Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y11 PROHIBIT 0 SITEPROP SLICE_X43Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y11 RPM_X 115 SITEPROP SLICE_X43Y11 RPM_Y 22 SITEPROP SLICE_X43Y11 SITE_PIPS SITEPROP SLICE_X43Y11 SITE_TYPE SLICEL SITEPROP SLICE_X43Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y12 CLASS site SITEPROP SLICE_X43Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y12 IS_BONDED 0 SITEPROP SLICE_X43Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y12 IS_PAD 0 SITEPROP SLICE_X43Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y12 IS_RESERVED 0 SITEPROP SLICE_X43Y12 IS_TEST 0 SITEPROP SLICE_X43Y12 IS_USED 0 SITEPROP SLICE_X43Y12 MANUAL_ROUTING SITEPROP SLICE_X43Y12 NAME SLICE_X43Y12 SITEPROP SLICE_X43Y12 NUM_ARCS 138 SITEPROP SLICE_X43Y12 NUM_BELS 32 SITEPROP SLICE_X43Y12 NUM_INPUTS 32 SITEPROP SLICE_X43Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y12 NUM_PINS 45 SITEPROP SLICE_X43Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y12 PROHIBIT 0 SITEPROP SLICE_X43Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y12 RPM_X 115 SITEPROP SLICE_X43Y12 RPM_Y 24 SITEPROP SLICE_X43Y12 SITE_PIPS SITEPROP SLICE_X43Y12 SITE_TYPE SLICEL SITEPROP SLICE_X43Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y13 CLASS site SITEPROP SLICE_X43Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y13 IS_BONDED 0 SITEPROP SLICE_X43Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y13 IS_PAD 0 SITEPROP SLICE_X43Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y13 IS_RESERVED 0 SITEPROP SLICE_X43Y13 IS_TEST 0 SITEPROP SLICE_X43Y13 IS_USED 0 SITEPROP SLICE_X43Y13 MANUAL_ROUTING SITEPROP SLICE_X43Y13 NAME SLICE_X43Y13 SITEPROP SLICE_X43Y13 NUM_ARCS 138 SITEPROP SLICE_X43Y13 NUM_BELS 32 SITEPROP SLICE_X43Y13 NUM_INPUTS 32 SITEPROP SLICE_X43Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y13 NUM_PINS 45 SITEPROP SLICE_X43Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y13 PROHIBIT 0 SITEPROP SLICE_X43Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y13 RPM_X 115 SITEPROP SLICE_X43Y13 RPM_Y 26 SITEPROP SLICE_X43Y13 SITE_PIPS SITEPROP SLICE_X43Y13 SITE_TYPE SLICEL SITEPROP SLICE_X43Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y14 CLASS site SITEPROP SLICE_X43Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y14 IS_BONDED 0 SITEPROP SLICE_X43Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y14 IS_PAD 0 SITEPROP SLICE_X43Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y14 IS_RESERVED 0 SITEPROP SLICE_X43Y14 IS_TEST 0 SITEPROP SLICE_X43Y14 IS_USED 0 SITEPROP SLICE_X43Y14 MANUAL_ROUTING SITEPROP SLICE_X43Y14 NAME SLICE_X43Y14 SITEPROP SLICE_X43Y14 NUM_ARCS 138 SITEPROP SLICE_X43Y14 NUM_BELS 32 SITEPROP SLICE_X43Y14 NUM_INPUTS 32 SITEPROP SLICE_X43Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y14 NUM_PINS 45 SITEPROP SLICE_X43Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y14 PROHIBIT 0 SITEPROP SLICE_X43Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y14 RPM_X 115 SITEPROP SLICE_X43Y14 RPM_Y 28 SITEPROP SLICE_X43Y14 SITE_PIPS SITEPROP SLICE_X43Y14 SITE_TYPE SLICEL SITEPROP SLICE_X43Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y15 CLASS site SITEPROP SLICE_X43Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y15 IS_BONDED 0 SITEPROP SLICE_X43Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y15 IS_PAD 0 SITEPROP SLICE_X43Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y15 IS_RESERVED 0 SITEPROP SLICE_X43Y15 IS_TEST 0 SITEPROP SLICE_X43Y15 IS_USED 0 SITEPROP SLICE_X43Y15 MANUAL_ROUTING SITEPROP SLICE_X43Y15 NAME SLICE_X43Y15 SITEPROP SLICE_X43Y15 NUM_ARCS 138 SITEPROP SLICE_X43Y15 NUM_BELS 32 SITEPROP SLICE_X43Y15 NUM_INPUTS 32 SITEPROP SLICE_X43Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y15 NUM_PINS 45 SITEPROP SLICE_X43Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y15 PROHIBIT 0 SITEPROP SLICE_X43Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y15 RPM_X 115 SITEPROP SLICE_X43Y15 RPM_Y 30 SITEPROP SLICE_X43Y15 SITE_PIPS SITEPROP SLICE_X43Y15 SITE_TYPE SLICEL SITEPROP SLICE_X43Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y16 CLASS site SITEPROP SLICE_X43Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y16 IS_BONDED 0 SITEPROP SLICE_X43Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y16 IS_PAD 0 SITEPROP SLICE_X43Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y16 IS_RESERVED 0 SITEPROP SLICE_X43Y16 IS_TEST 0 SITEPROP SLICE_X43Y16 IS_USED 0 SITEPROP SLICE_X43Y16 MANUAL_ROUTING SITEPROP SLICE_X43Y16 NAME SLICE_X43Y16 SITEPROP SLICE_X43Y16 NUM_ARCS 138 SITEPROP SLICE_X43Y16 NUM_BELS 32 SITEPROP SLICE_X43Y16 NUM_INPUTS 32 SITEPROP SLICE_X43Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y16 NUM_PINS 45 SITEPROP SLICE_X43Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y16 PROHIBIT 0 SITEPROP SLICE_X43Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y16 RPM_X 115 SITEPROP SLICE_X43Y16 RPM_Y 32 SITEPROP SLICE_X43Y16 SITE_PIPS SITEPROP SLICE_X43Y16 SITE_TYPE SLICEL SITEPROP SLICE_X43Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y17 CLASS site SITEPROP SLICE_X43Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y17 IS_BONDED 0 SITEPROP SLICE_X43Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y17 IS_PAD 0 SITEPROP SLICE_X43Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y17 IS_RESERVED 0 SITEPROP SLICE_X43Y17 IS_TEST 0 SITEPROP SLICE_X43Y17 IS_USED 0 SITEPROP SLICE_X43Y17 MANUAL_ROUTING SITEPROP SLICE_X43Y17 NAME SLICE_X43Y17 SITEPROP SLICE_X43Y17 NUM_ARCS 138 SITEPROP SLICE_X43Y17 NUM_BELS 32 SITEPROP SLICE_X43Y17 NUM_INPUTS 32 SITEPROP SLICE_X43Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y17 NUM_PINS 45 SITEPROP SLICE_X43Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y17 PROHIBIT 0 SITEPROP SLICE_X43Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y17 RPM_X 115 SITEPROP SLICE_X43Y17 RPM_Y 34 SITEPROP SLICE_X43Y17 SITE_PIPS SITEPROP SLICE_X43Y17 SITE_TYPE SLICEL SITEPROP SLICE_X43Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y18 CLASS site SITEPROP SLICE_X43Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y18 IS_BONDED 0 SITEPROP SLICE_X43Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y18 IS_PAD 0 SITEPROP SLICE_X43Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y18 IS_RESERVED 0 SITEPROP SLICE_X43Y18 IS_TEST 0 SITEPROP SLICE_X43Y18 IS_USED 0 SITEPROP SLICE_X43Y18 MANUAL_ROUTING SITEPROP SLICE_X43Y18 NAME SLICE_X43Y18 SITEPROP SLICE_X43Y18 NUM_ARCS 138 SITEPROP SLICE_X43Y18 NUM_BELS 32 SITEPROP SLICE_X43Y18 NUM_INPUTS 32 SITEPROP SLICE_X43Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y18 NUM_PINS 45 SITEPROP SLICE_X43Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y18 PROHIBIT 0 SITEPROP SLICE_X43Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y18 RPM_X 115 SITEPROP SLICE_X43Y18 RPM_Y 36 SITEPROP SLICE_X43Y18 SITE_PIPS SITEPROP SLICE_X43Y18 SITE_TYPE SLICEL SITEPROP SLICE_X43Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y19 CLASS site SITEPROP SLICE_X43Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y19 IS_BONDED 0 SITEPROP SLICE_X43Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y19 IS_PAD 0 SITEPROP SLICE_X43Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y19 IS_RESERVED 0 SITEPROP SLICE_X43Y19 IS_TEST 0 SITEPROP SLICE_X43Y19 IS_USED 0 SITEPROP SLICE_X43Y19 MANUAL_ROUTING SITEPROP SLICE_X43Y19 NAME SLICE_X43Y19 SITEPROP SLICE_X43Y19 NUM_ARCS 138 SITEPROP SLICE_X43Y19 NUM_BELS 32 SITEPROP SLICE_X43Y19 NUM_INPUTS 32 SITEPROP SLICE_X43Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y19 NUM_PINS 45 SITEPROP SLICE_X43Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y19 PROHIBIT 0 SITEPROP SLICE_X43Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y19 RPM_X 115 SITEPROP SLICE_X43Y19 RPM_Y 38 SITEPROP SLICE_X43Y19 SITE_PIPS SITEPROP SLICE_X43Y19 SITE_TYPE SLICEL SITEPROP SLICE_X43Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y20 CLASS site SITEPROP SLICE_X43Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y20 IS_BONDED 0 SITEPROP SLICE_X43Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y20 IS_PAD 0 SITEPROP SLICE_X43Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y20 IS_RESERVED 0 SITEPROP SLICE_X43Y20 IS_TEST 0 SITEPROP SLICE_X43Y20 IS_USED 0 SITEPROP SLICE_X43Y20 MANUAL_ROUTING SITEPROP SLICE_X43Y20 NAME SLICE_X43Y20 SITEPROP SLICE_X43Y20 NUM_ARCS 138 SITEPROP SLICE_X43Y20 NUM_BELS 32 SITEPROP SLICE_X43Y20 NUM_INPUTS 32 SITEPROP SLICE_X43Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y20 NUM_PINS 45 SITEPROP SLICE_X43Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y20 PROHIBIT 0 SITEPROP SLICE_X43Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y20 RPM_X 115 SITEPROP SLICE_X43Y20 RPM_Y 40 SITEPROP SLICE_X43Y20 SITE_PIPS SITEPROP SLICE_X43Y20 SITE_TYPE SLICEL SITEPROP SLICE_X43Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y21 CLASS site SITEPROP SLICE_X43Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y21 IS_BONDED 0 SITEPROP SLICE_X43Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y21 IS_PAD 0 SITEPROP SLICE_X43Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y21 IS_RESERVED 0 SITEPROP SLICE_X43Y21 IS_TEST 0 SITEPROP SLICE_X43Y21 IS_USED 0 SITEPROP SLICE_X43Y21 MANUAL_ROUTING SITEPROP SLICE_X43Y21 NAME SLICE_X43Y21 SITEPROP SLICE_X43Y21 NUM_ARCS 138 SITEPROP SLICE_X43Y21 NUM_BELS 32 SITEPROP SLICE_X43Y21 NUM_INPUTS 32 SITEPROP SLICE_X43Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y21 NUM_PINS 45 SITEPROP SLICE_X43Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y21 PROHIBIT 0 SITEPROP SLICE_X43Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y21 RPM_X 115 SITEPROP SLICE_X43Y21 RPM_Y 42 SITEPROP SLICE_X43Y21 SITE_PIPS SITEPROP SLICE_X43Y21 SITE_TYPE SLICEL SITEPROP SLICE_X43Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y22 CLASS site SITEPROP SLICE_X43Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y22 IS_BONDED 0 SITEPROP SLICE_X43Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y22 IS_PAD 0 SITEPROP SLICE_X43Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y22 IS_RESERVED 0 SITEPROP SLICE_X43Y22 IS_TEST 0 SITEPROP SLICE_X43Y22 IS_USED 0 SITEPROP SLICE_X43Y22 MANUAL_ROUTING SITEPROP SLICE_X43Y22 NAME SLICE_X43Y22 SITEPROP SLICE_X43Y22 NUM_ARCS 138 SITEPROP SLICE_X43Y22 NUM_BELS 32 SITEPROP SLICE_X43Y22 NUM_INPUTS 32 SITEPROP SLICE_X43Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y22 NUM_PINS 45 SITEPROP SLICE_X43Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y22 PROHIBIT 0 SITEPROP SLICE_X43Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y22 RPM_X 115 SITEPROP SLICE_X43Y22 RPM_Y 44 SITEPROP SLICE_X43Y22 SITE_PIPS SITEPROP SLICE_X43Y22 SITE_TYPE SLICEL SITEPROP SLICE_X43Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y23 CLASS site SITEPROP SLICE_X43Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y23 IS_BONDED 0 SITEPROP SLICE_X43Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y23 IS_PAD 0 SITEPROP SLICE_X43Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y23 IS_RESERVED 0 SITEPROP SLICE_X43Y23 IS_TEST 0 SITEPROP SLICE_X43Y23 IS_USED 0 SITEPROP SLICE_X43Y23 MANUAL_ROUTING SITEPROP SLICE_X43Y23 NAME SLICE_X43Y23 SITEPROP SLICE_X43Y23 NUM_ARCS 138 SITEPROP SLICE_X43Y23 NUM_BELS 32 SITEPROP SLICE_X43Y23 NUM_INPUTS 32 SITEPROP SLICE_X43Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y23 NUM_PINS 45 SITEPROP SLICE_X43Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y23 PROHIBIT 0 SITEPROP SLICE_X43Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y23 RPM_X 115 SITEPROP SLICE_X43Y23 RPM_Y 46 SITEPROP SLICE_X43Y23 SITE_PIPS SITEPROP SLICE_X43Y23 SITE_TYPE SLICEL SITEPROP SLICE_X43Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y24 CLASS site SITEPROP SLICE_X43Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y24 IS_BONDED 0 SITEPROP SLICE_X43Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y24 IS_PAD 0 SITEPROP SLICE_X43Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y24 IS_RESERVED 0 SITEPROP SLICE_X43Y24 IS_TEST 0 SITEPROP SLICE_X43Y24 IS_USED 0 SITEPROP SLICE_X43Y24 MANUAL_ROUTING SITEPROP SLICE_X43Y24 NAME SLICE_X43Y24 SITEPROP SLICE_X43Y24 NUM_ARCS 138 SITEPROP SLICE_X43Y24 NUM_BELS 32 SITEPROP SLICE_X43Y24 NUM_INPUTS 32 SITEPROP SLICE_X43Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y24 NUM_PINS 45 SITEPROP SLICE_X43Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y24 PROHIBIT 0 SITEPROP SLICE_X43Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y24 RPM_X 115 SITEPROP SLICE_X43Y24 RPM_Y 48 SITEPROP SLICE_X43Y24 SITE_PIPS SITEPROP SLICE_X43Y24 SITE_TYPE SLICEL SITEPROP SLICE_X43Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y25 CLASS site SITEPROP SLICE_X43Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y25 IS_BONDED 0 SITEPROP SLICE_X43Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y25 IS_PAD 0 SITEPROP SLICE_X43Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y25 IS_RESERVED 0 SITEPROP SLICE_X43Y25 IS_TEST 0 SITEPROP SLICE_X43Y25 IS_USED 0 SITEPROP SLICE_X43Y25 MANUAL_ROUTING SITEPROP SLICE_X43Y25 NAME SLICE_X43Y25 SITEPROP SLICE_X43Y25 NUM_ARCS 138 SITEPROP SLICE_X43Y25 NUM_BELS 32 SITEPROP SLICE_X43Y25 NUM_INPUTS 32 SITEPROP SLICE_X43Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y25 NUM_PINS 45 SITEPROP SLICE_X43Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y25 PROHIBIT 0 SITEPROP SLICE_X43Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y25 RPM_X 115 SITEPROP SLICE_X43Y25 RPM_Y 50 SITEPROP SLICE_X43Y25 SITE_PIPS SITEPROP SLICE_X43Y25 SITE_TYPE SLICEL SITEPROP SLICE_X43Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y26 CLASS site SITEPROP SLICE_X43Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y26 IS_BONDED 0 SITEPROP SLICE_X43Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y26 IS_PAD 0 SITEPROP SLICE_X43Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y26 IS_RESERVED 0 SITEPROP SLICE_X43Y26 IS_TEST 0 SITEPROP SLICE_X43Y26 IS_USED 0 SITEPROP SLICE_X43Y26 MANUAL_ROUTING SITEPROP SLICE_X43Y26 NAME SLICE_X43Y26 SITEPROP SLICE_X43Y26 NUM_ARCS 138 SITEPROP SLICE_X43Y26 NUM_BELS 32 SITEPROP SLICE_X43Y26 NUM_INPUTS 32 SITEPROP SLICE_X43Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y26 NUM_PINS 45 SITEPROP SLICE_X43Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y26 PROHIBIT 0 SITEPROP SLICE_X43Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y26 RPM_X 115 SITEPROP SLICE_X43Y26 RPM_Y 52 SITEPROP SLICE_X43Y26 SITE_PIPS SITEPROP SLICE_X43Y26 SITE_TYPE SLICEL SITEPROP SLICE_X43Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y27 CLASS site SITEPROP SLICE_X43Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y27 IS_BONDED 0 SITEPROP SLICE_X43Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y27 IS_PAD 0 SITEPROP SLICE_X43Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y27 IS_RESERVED 0 SITEPROP SLICE_X43Y27 IS_TEST 0 SITEPROP SLICE_X43Y27 IS_USED 0 SITEPROP SLICE_X43Y27 MANUAL_ROUTING SITEPROP SLICE_X43Y27 NAME SLICE_X43Y27 SITEPROP SLICE_X43Y27 NUM_ARCS 138 SITEPROP SLICE_X43Y27 NUM_BELS 32 SITEPROP SLICE_X43Y27 NUM_INPUTS 32 SITEPROP SLICE_X43Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y27 NUM_PINS 45 SITEPROP SLICE_X43Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y27 PROHIBIT 0 SITEPROP SLICE_X43Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y27 RPM_X 115 SITEPROP SLICE_X43Y27 RPM_Y 54 SITEPROP SLICE_X43Y27 SITE_PIPS SITEPROP SLICE_X43Y27 SITE_TYPE SLICEL SITEPROP SLICE_X43Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y28 CLASS site SITEPROP SLICE_X43Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y28 IS_BONDED 0 SITEPROP SLICE_X43Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y28 IS_PAD 0 SITEPROP SLICE_X43Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y28 IS_RESERVED 0 SITEPROP SLICE_X43Y28 IS_TEST 0 SITEPROP SLICE_X43Y28 IS_USED 0 SITEPROP SLICE_X43Y28 MANUAL_ROUTING SITEPROP SLICE_X43Y28 NAME SLICE_X43Y28 SITEPROP SLICE_X43Y28 NUM_ARCS 138 SITEPROP SLICE_X43Y28 NUM_BELS 32 SITEPROP SLICE_X43Y28 NUM_INPUTS 32 SITEPROP SLICE_X43Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y28 NUM_PINS 45 SITEPROP SLICE_X43Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y28 PROHIBIT 0 SITEPROP SLICE_X43Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y28 RPM_X 115 SITEPROP SLICE_X43Y28 RPM_Y 56 SITEPROP SLICE_X43Y28 SITE_PIPS SITEPROP SLICE_X43Y28 SITE_TYPE SLICEL SITEPROP SLICE_X43Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y29 CLASS site SITEPROP SLICE_X43Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y29 IS_BONDED 0 SITEPROP SLICE_X43Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y29 IS_PAD 0 SITEPROP SLICE_X43Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y29 IS_RESERVED 0 SITEPROP SLICE_X43Y29 IS_TEST 0 SITEPROP SLICE_X43Y29 IS_USED 0 SITEPROP SLICE_X43Y29 MANUAL_ROUTING SITEPROP SLICE_X43Y29 NAME SLICE_X43Y29 SITEPROP SLICE_X43Y29 NUM_ARCS 138 SITEPROP SLICE_X43Y29 NUM_BELS 32 SITEPROP SLICE_X43Y29 NUM_INPUTS 32 SITEPROP SLICE_X43Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y29 NUM_PINS 45 SITEPROP SLICE_X43Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y29 PROHIBIT 0 SITEPROP SLICE_X43Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y29 RPM_X 115 SITEPROP SLICE_X43Y29 RPM_Y 58 SITEPROP SLICE_X43Y29 SITE_PIPS SITEPROP SLICE_X43Y29 SITE_TYPE SLICEL SITEPROP SLICE_X43Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y30 CLASS site SITEPROP SLICE_X43Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y30 IS_BONDED 0 SITEPROP SLICE_X43Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y30 IS_PAD 0 SITEPROP SLICE_X43Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y30 IS_RESERVED 0 SITEPROP SLICE_X43Y30 IS_TEST 0 SITEPROP SLICE_X43Y30 IS_USED 0 SITEPROP SLICE_X43Y30 MANUAL_ROUTING SITEPROP SLICE_X43Y30 NAME SLICE_X43Y30 SITEPROP SLICE_X43Y30 NUM_ARCS 138 SITEPROP SLICE_X43Y30 NUM_BELS 32 SITEPROP SLICE_X43Y30 NUM_INPUTS 32 SITEPROP SLICE_X43Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y30 NUM_PINS 45 SITEPROP SLICE_X43Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y30 PROHIBIT 0 SITEPROP SLICE_X43Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y30 RPM_X 115 SITEPROP SLICE_X43Y30 RPM_Y 60 SITEPROP SLICE_X43Y30 SITE_PIPS SITEPROP SLICE_X43Y30 SITE_TYPE SLICEL SITEPROP SLICE_X43Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y31 CLASS site SITEPROP SLICE_X43Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y31 IS_BONDED 0 SITEPROP SLICE_X43Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y31 IS_PAD 0 SITEPROP SLICE_X43Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y31 IS_RESERVED 0 SITEPROP SLICE_X43Y31 IS_TEST 0 SITEPROP SLICE_X43Y31 IS_USED 0 SITEPROP SLICE_X43Y31 MANUAL_ROUTING SITEPROP SLICE_X43Y31 NAME SLICE_X43Y31 SITEPROP SLICE_X43Y31 NUM_ARCS 138 SITEPROP SLICE_X43Y31 NUM_BELS 32 SITEPROP SLICE_X43Y31 NUM_INPUTS 32 SITEPROP SLICE_X43Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y31 NUM_PINS 45 SITEPROP SLICE_X43Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y31 PROHIBIT 0 SITEPROP SLICE_X43Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y31 RPM_X 115 SITEPROP SLICE_X43Y31 RPM_Y 62 SITEPROP SLICE_X43Y31 SITE_PIPS SITEPROP SLICE_X43Y31 SITE_TYPE SLICEL SITEPROP SLICE_X43Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y32 CLASS site SITEPROP SLICE_X43Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y32 IS_BONDED 0 SITEPROP SLICE_X43Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y32 IS_PAD 0 SITEPROP SLICE_X43Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y32 IS_RESERVED 0 SITEPROP SLICE_X43Y32 IS_TEST 0 SITEPROP SLICE_X43Y32 IS_USED 0 SITEPROP SLICE_X43Y32 MANUAL_ROUTING SITEPROP SLICE_X43Y32 NAME SLICE_X43Y32 SITEPROP SLICE_X43Y32 NUM_ARCS 138 SITEPROP SLICE_X43Y32 NUM_BELS 32 SITEPROP SLICE_X43Y32 NUM_INPUTS 32 SITEPROP SLICE_X43Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y32 NUM_PINS 45 SITEPROP SLICE_X43Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y32 PROHIBIT 0 SITEPROP SLICE_X43Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y32 RPM_X 115 SITEPROP SLICE_X43Y32 RPM_Y 64 SITEPROP SLICE_X43Y32 SITE_PIPS SITEPROP SLICE_X43Y32 SITE_TYPE SLICEL SITEPROP SLICE_X43Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y33 CLASS site SITEPROP SLICE_X43Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y33 IS_BONDED 0 SITEPROP SLICE_X43Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y33 IS_PAD 0 SITEPROP SLICE_X43Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y33 IS_RESERVED 0 SITEPROP SLICE_X43Y33 IS_TEST 0 SITEPROP SLICE_X43Y33 IS_USED 0 SITEPROP SLICE_X43Y33 MANUAL_ROUTING SITEPROP SLICE_X43Y33 NAME SLICE_X43Y33 SITEPROP SLICE_X43Y33 NUM_ARCS 138 SITEPROP SLICE_X43Y33 NUM_BELS 32 SITEPROP SLICE_X43Y33 NUM_INPUTS 32 SITEPROP SLICE_X43Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y33 NUM_PINS 45 SITEPROP SLICE_X43Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y33 PROHIBIT 0 SITEPROP SLICE_X43Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y33 RPM_X 115 SITEPROP SLICE_X43Y33 RPM_Y 66 SITEPROP SLICE_X43Y33 SITE_PIPS SITEPROP SLICE_X43Y33 SITE_TYPE SLICEL SITEPROP SLICE_X43Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y34 CLASS site SITEPROP SLICE_X43Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y34 IS_BONDED 0 SITEPROP SLICE_X43Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y34 IS_PAD 0 SITEPROP SLICE_X43Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y34 IS_RESERVED 0 SITEPROP SLICE_X43Y34 IS_TEST 0 SITEPROP SLICE_X43Y34 IS_USED 0 SITEPROP SLICE_X43Y34 MANUAL_ROUTING SITEPROP SLICE_X43Y34 NAME SLICE_X43Y34 SITEPROP SLICE_X43Y34 NUM_ARCS 138 SITEPROP SLICE_X43Y34 NUM_BELS 32 SITEPROP SLICE_X43Y34 NUM_INPUTS 32 SITEPROP SLICE_X43Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y34 NUM_PINS 45 SITEPROP SLICE_X43Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y34 PROHIBIT 0 SITEPROP SLICE_X43Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y34 RPM_X 115 SITEPROP SLICE_X43Y34 RPM_Y 68 SITEPROP SLICE_X43Y34 SITE_PIPS SITEPROP SLICE_X43Y34 SITE_TYPE SLICEL SITEPROP SLICE_X43Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y35 CLASS site SITEPROP SLICE_X43Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y35 IS_BONDED 0 SITEPROP SLICE_X43Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y35 IS_PAD 0 SITEPROP SLICE_X43Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y35 IS_RESERVED 0 SITEPROP SLICE_X43Y35 IS_TEST 0 SITEPROP SLICE_X43Y35 IS_USED 0 SITEPROP SLICE_X43Y35 MANUAL_ROUTING SITEPROP SLICE_X43Y35 NAME SLICE_X43Y35 SITEPROP SLICE_X43Y35 NUM_ARCS 138 SITEPROP SLICE_X43Y35 NUM_BELS 32 SITEPROP SLICE_X43Y35 NUM_INPUTS 32 SITEPROP SLICE_X43Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y35 NUM_PINS 45 SITEPROP SLICE_X43Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y35 PROHIBIT 0 SITEPROP SLICE_X43Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y35 RPM_X 115 SITEPROP SLICE_X43Y35 RPM_Y 70 SITEPROP SLICE_X43Y35 SITE_PIPS SITEPROP SLICE_X43Y35 SITE_TYPE SLICEL SITEPROP SLICE_X43Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y36 CLASS site SITEPROP SLICE_X43Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y36 IS_BONDED 0 SITEPROP SLICE_X43Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y36 IS_PAD 0 SITEPROP SLICE_X43Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y36 IS_RESERVED 0 SITEPROP SLICE_X43Y36 IS_TEST 0 SITEPROP SLICE_X43Y36 IS_USED 0 SITEPROP SLICE_X43Y36 MANUAL_ROUTING SITEPROP SLICE_X43Y36 NAME SLICE_X43Y36 SITEPROP SLICE_X43Y36 NUM_ARCS 138 SITEPROP SLICE_X43Y36 NUM_BELS 32 SITEPROP SLICE_X43Y36 NUM_INPUTS 32 SITEPROP SLICE_X43Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y36 NUM_PINS 45 SITEPROP SLICE_X43Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y36 PROHIBIT 0 SITEPROP SLICE_X43Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y36 RPM_X 115 SITEPROP SLICE_X43Y36 RPM_Y 72 SITEPROP SLICE_X43Y36 SITE_PIPS SITEPROP SLICE_X43Y36 SITE_TYPE SLICEL SITEPROP SLICE_X43Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y37 CLASS site SITEPROP SLICE_X43Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y37 IS_BONDED 0 SITEPROP SLICE_X43Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y37 IS_PAD 0 SITEPROP SLICE_X43Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y37 IS_RESERVED 0 SITEPROP SLICE_X43Y37 IS_TEST 0 SITEPROP SLICE_X43Y37 IS_USED 0 SITEPROP SLICE_X43Y37 MANUAL_ROUTING SITEPROP SLICE_X43Y37 NAME SLICE_X43Y37 SITEPROP SLICE_X43Y37 NUM_ARCS 138 SITEPROP SLICE_X43Y37 NUM_BELS 32 SITEPROP SLICE_X43Y37 NUM_INPUTS 32 SITEPROP SLICE_X43Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y37 NUM_PINS 45 SITEPROP SLICE_X43Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y37 PROHIBIT 0 SITEPROP SLICE_X43Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y37 RPM_X 115 SITEPROP SLICE_X43Y37 RPM_Y 74 SITEPROP SLICE_X43Y37 SITE_PIPS SITEPROP SLICE_X43Y37 SITE_TYPE SLICEL SITEPROP SLICE_X43Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y38 CLASS site SITEPROP SLICE_X43Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y38 IS_BONDED 0 SITEPROP SLICE_X43Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y38 IS_PAD 0 SITEPROP SLICE_X43Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y38 IS_RESERVED 0 SITEPROP SLICE_X43Y38 IS_TEST 0 SITEPROP SLICE_X43Y38 IS_USED 0 SITEPROP SLICE_X43Y38 MANUAL_ROUTING SITEPROP SLICE_X43Y38 NAME SLICE_X43Y38 SITEPROP SLICE_X43Y38 NUM_ARCS 138 SITEPROP SLICE_X43Y38 NUM_BELS 32 SITEPROP SLICE_X43Y38 NUM_INPUTS 32 SITEPROP SLICE_X43Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y38 NUM_PINS 45 SITEPROP SLICE_X43Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y38 PROHIBIT 0 SITEPROP SLICE_X43Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y38 RPM_X 115 SITEPROP SLICE_X43Y38 RPM_Y 76 SITEPROP SLICE_X43Y38 SITE_PIPS SITEPROP SLICE_X43Y38 SITE_TYPE SLICEL SITEPROP SLICE_X43Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y39 CLASS site SITEPROP SLICE_X43Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y39 IS_BONDED 0 SITEPROP SLICE_X43Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y39 IS_PAD 0 SITEPROP SLICE_X43Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y39 IS_RESERVED 0 SITEPROP SLICE_X43Y39 IS_TEST 0 SITEPROP SLICE_X43Y39 IS_USED 0 SITEPROP SLICE_X43Y39 MANUAL_ROUTING SITEPROP SLICE_X43Y39 NAME SLICE_X43Y39 SITEPROP SLICE_X43Y39 NUM_ARCS 138 SITEPROP SLICE_X43Y39 NUM_BELS 32 SITEPROP SLICE_X43Y39 NUM_INPUTS 32 SITEPROP SLICE_X43Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y39 NUM_PINS 45 SITEPROP SLICE_X43Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y39 PROHIBIT 0 SITEPROP SLICE_X43Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y39 RPM_X 115 SITEPROP SLICE_X43Y39 RPM_Y 78 SITEPROP SLICE_X43Y39 SITE_PIPS SITEPROP SLICE_X43Y39 SITE_TYPE SLICEL SITEPROP SLICE_X43Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y40 CLASS site SITEPROP SLICE_X43Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y40 IS_BONDED 0 SITEPROP SLICE_X43Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y40 IS_PAD 0 SITEPROP SLICE_X43Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y40 IS_RESERVED 0 SITEPROP SLICE_X43Y40 IS_TEST 0 SITEPROP SLICE_X43Y40 IS_USED 0 SITEPROP SLICE_X43Y40 MANUAL_ROUTING SITEPROP SLICE_X43Y40 NAME SLICE_X43Y40 SITEPROP SLICE_X43Y40 NUM_ARCS 138 SITEPROP SLICE_X43Y40 NUM_BELS 32 SITEPROP SLICE_X43Y40 NUM_INPUTS 32 SITEPROP SLICE_X43Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y40 NUM_PINS 45 SITEPROP SLICE_X43Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y40 PROHIBIT 0 SITEPROP SLICE_X43Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y40 RPM_X 115 SITEPROP SLICE_X43Y40 RPM_Y 80 SITEPROP SLICE_X43Y40 SITE_PIPS SITEPROP SLICE_X43Y40 SITE_TYPE SLICEL SITEPROP SLICE_X43Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y41 CLASS site SITEPROP SLICE_X43Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y41 IS_BONDED 0 SITEPROP SLICE_X43Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y41 IS_PAD 0 SITEPROP SLICE_X43Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y41 IS_RESERVED 0 SITEPROP SLICE_X43Y41 IS_TEST 0 SITEPROP SLICE_X43Y41 IS_USED 0 SITEPROP SLICE_X43Y41 MANUAL_ROUTING SITEPROP SLICE_X43Y41 NAME SLICE_X43Y41 SITEPROP SLICE_X43Y41 NUM_ARCS 138 SITEPROP SLICE_X43Y41 NUM_BELS 32 SITEPROP SLICE_X43Y41 NUM_INPUTS 32 SITEPROP SLICE_X43Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y41 NUM_PINS 45 SITEPROP SLICE_X43Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y41 PROHIBIT 0 SITEPROP SLICE_X43Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y41 RPM_X 115 SITEPROP SLICE_X43Y41 RPM_Y 82 SITEPROP SLICE_X43Y41 SITE_PIPS SITEPROP SLICE_X43Y41 SITE_TYPE SLICEL SITEPROP SLICE_X43Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y42 CLASS site SITEPROP SLICE_X43Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y42 IS_BONDED 0 SITEPROP SLICE_X43Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y42 IS_PAD 0 SITEPROP SLICE_X43Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y42 IS_RESERVED 0 SITEPROP SLICE_X43Y42 IS_TEST 0 SITEPROP SLICE_X43Y42 IS_USED 0 SITEPROP SLICE_X43Y42 MANUAL_ROUTING SITEPROP SLICE_X43Y42 NAME SLICE_X43Y42 SITEPROP SLICE_X43Y42 NUM_ARCS 138 SITEPROP SLICE_X43Y42 NUM_BELS 32 SITEPROP SLICE_X43Y42 NUM_INPUTS 32 SITEPROP SLICE_X43Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y42 NUM_PINS 45 SITEPROP SLICE_X43Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y42 PROHIBIT 0 SITEPROP SLICE_X43Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y42 RPM_X 115 SITEPROP SLICE_X43Y42 RPM_Y 84 SITEPROP SLICE_X43Y42 SITE_PIPS SITEPROP SLICE_X43Y42 SITE_TYPE SLICEL SITEPROP SLICE_X43Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y43 CLASS site SITEPROP SLICE_X43Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y43 IS_BONDED 0 SITEPROP SLICE_X43Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y43 IS_PAD 0 SITEPROP SLICE_X43Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y43 IS_RESERVED 0 SITEPROP SLICE_X43Y43 IS_TEST 0 SITEPROP SLICE_X43Y43 IS_USED 0 SITEPROP SLICE_X43Y43 MANUAL_ROUTING SITEPROP SLICE_X43Y43 NAME SLICE_X43Y43 SITEPROP SLICE_X43Y43 NUM_ARCS 138 SITEPROP SLICE_X43Y43 NUM_BELS 32 SITEPROP SLICE_X43Y43 NUM_INPUTS 32 SITEPROP SLICE_X43Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y43 NUM_PINS 45 SITEPROP SLICE_X43Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y43 PROHIBIT 0 SITEPROP SLICE_X43Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y43 RPM_X 115 SITEPROP SLICE_X43Y43 RPM_Y 86 SITEPROP SLICE_X43Y43 SITE_PIPS SITEPROP SLICE_X43Y43 SITE_TYPE SLICEL SITEPROP SLICE_X43Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y44 CLASS site SITEPROP SLICE_X43Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y44 IS_BONDED 0 SITEPROP SLICE_X43Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y44 IS_PAD 0 SITEPROP SLICE_X43Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y44 IS_RESERVED 0 SITEPROP SLICE_X43Y44 IS_TEST 0 SITEPROP SLICE_X43Y44 IS_USED 0 SITEPROP SLICE_X43Y44 MANUAL_ROUTING SITEPROP SLICE_X43Y44 NAME SLICE_X43Y44 SITEPROP SLICE_X43Y44 NUM_ARCS 138 SITEPROP SLICE_X43Y44 NUM_BELS 32 SITEPROP SLICE_X43Y44 NUM_INPUTS 32 SITEPROP SLICE_X43Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y44 NUM_PINS 45 SITEPROP SLICE_X43Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y44 PROHIBIT 0 SITEPROP SLICE_X43Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y44 RPM_X 115 SITEPROP SLICE_X43Y44 RPM_Y 88 SITEPROP SLICE_X43Y44 SITE_PIPS SITEPROP SLICE_X43Y44 SITE_TYPE SLICEL SITEPROP SLICE_X43Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y45 CLASS site SITEPROP SLICE_X43Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y45 IS_BONDED 0 SITEPROP SLICE_X43Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y45 IS_PAD 0 SITEPROP SLICE_X43Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y45 IS_RESERVED 0 SITEPROP SLICE_X43Y45 IS_TEST 0 SITEPROP SLICE_X43Y45 IS_USED 0 SITEPROP SLICE_X43Y45 MANUAL_ROUTING SITEPROP SLICE_X43Y45 NAME SLICE_X43Y45 SITEPROP SLICE_X43Y45 NUM_ARCS 138 SITEPROP SLICE_X43Y45 NUM_BELS 32 SITEPROP SLICE_X43Y45 NUM_INPUTS 32 SITEPROP SLICE_X43Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y45 NUM_PINS 45 SITEPROP SLICE_X43Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y45 PROHIBIT 0 SITEPROP SLICE_X43Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y45 RPM_X 115 SITEPROP SLICE_X43Y45 RPM_Y 90 SITEPROP SLICE_X43Y45 SITE_PIPS SITEPROP SLICE_X43Y45 SITE_TYPE SLICEL SITEPROP SLICE_X43Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y46 CLASS site SITEPROP SLICE_X43Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y46 IS_BONDED 0 SITEPROP SLICE_X43Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y46 IS_PAD 0 SITEPROP SLICE_X43Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y46 IS_RESERVED 0 SITEPROP SLICE_X43Y46 IS_TEST 0 SITEPROP SLICE_X43Y46 IS_USED 0 SITEPROP SLICE_X43Y46 MANUAL_ROUTING SITEPROP SLICE_X43Y46 NAME SLICE_X43Y46 SITEPROP SLICE_X43Y46 NUM_ARCS 138 SITEPROP SLICE_X43Y46 NUM_BELS 32 SITEPROP SLICE_X43Y46 NUM_INPUTS 32 SITEPROP SLICE_X43Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y46 NUM_PINS 45 SITEPROP SLICE_X43Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y46 PROHIBIT 0 SITEPROP SLICE_X43Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y46 RPM_X 115 SITEPROP SLICE_X43Y46 RPM_Y 92 SITEPROP SLICE_X43Y46 SITE_PIPS SITEPROP SLICE_X43Y46 SITE_TYPE SLICEL SITEPROP SLICE_X43Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y47 CLASS site SITEPROP SLICE_X43Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y47 IS_BONDED 0 SITEPROP SLICE_X43Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y47 IS_PAD 0 SITEPROP SLICE_X43Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y47 IS_RESERVED 0 SITEPROP SLICE_X43Y47 IS_TEST 0 SITEPROP SLICE_X43Y47 IS_USED 0 SITEPROP SLICE_X43Y47 MANUAL_ROUTING SITEPROP SLICE_X43Y47 NAME SLICE_X43Y47 SITEPROP SLICE_X43Y47 NUM_ARCS 138 SITEPROP SLICE_X43Y47 NUM_BELS 32 SITEPROP SLICE_X43Y47 NUM_INPUTS 32 SITEPROP SLICE_X43Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y47 NUM_PINS 45 SITEPROP SLICE_X43Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y47 PROHIBIT 0 SITEPROP SLICE_X43Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y47 RPM_X 115 SITEPROP SLICE_X43Y47 RPM_Y 94 SITEPROP SLICE_X43Y47 SITE_PIPS SITEPROP SLICE_X43Y47 SITE_TYPE SLICEL SITEPROP SLICE_X43Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y48 CLASS site SITEPROP SLICE_X43Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y48 IS_BONDED 0 SITEPROP SLICE_X43Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y48 IS_PAD 0 SITEPROP SLICE_X43Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y48 IS_RESERVED 0 SITEPROP SLICE_X43Y48 IS_TEST 0 SITEPROP SLICE_X43Y48 IS_USED 0 SITEPROP SLICE_X43Y48 MANUAL_ROUTING SITEPROP SLICE_X43Y48 NAME SLICE_X43Y48 SITEPROP SLICE_X43Y48 NUM_ARCS 138 SITEPROP SLICE_X43Y48 NUM_BELS 32 SITEPROP SLICE_X43Y48 NUM_INPUTS 32 SITEPROP SLICE_X43Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y48 NUM_PINS 45 SITEPROP SLICE_X43Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y48 PROHIBIT 0 SITEPROP SLICE_X43Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y48 RPM_X 115 SITEPROP SLICE_X43Y48 RPM_Y 96 SITEPROP SLICE_X43Y48 SITE_PIPS SITEPROP SLICE_X43Y48 SITE_TYPE SLICEL SITEPROP SLICE_X43Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y49 CLASS site SITEPROP SLICE_X43Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X43Y49 IS_BONDED 0 SITEPROP SLICE_X43Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y49 IS_PAD 0 SITEPROP SLICE_X43Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y49 IS_RESERVED 0 SITEPROP SLICE_X43Y49 IS_TEST 0 SITEPROP SLICE_X43Y49 IS_USED 0 SITEPROP SLICE_X43Y49 MANUAL_ROUTING SITEPROP SLICE_X43Y49 NAME SLICE_X43Y49 SITEPROP SLICE_X43Y49 NUM_ARCS 138 SITEPROP SLICE_X43Y49 NUM_BELS 32 SITEPROP SLICE_X43Y49 NUM_INPUTS 32 SITEPROP SLICE_X43Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y49 NUM_PINS 45 SITEPROP SLICE_X43Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y49 PROHIBIT 0 SITEPROP SLICE_X43Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y49 RPM_X 115 SITEPROP SLICE_X43Y49 RPM_Y 98 SITEPROP SLICE_X43Y49 SITE_PIPS SITEPROP SLICE_X43Y49 SITE_TYPE SLICEL SITEPROP SLICE_X43Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y50 CLASS site SITEPROP SLICE_X43Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y50 IS_BONDED 0 SITEPROP SLICE_X43Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y50 IS_PAD 0 SITEPROP SLICE_X43Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y50 IS_RESERVED 0 SITEPROP SLICE_X43Y50 IS_TEST 0 SITEPROP SLICE_X43Y50 IS_USED 0 SITEPROP SLICE_X43Y50 MANUAL_ROUTING SITEPROP SLICE_X43Y50 NAME SLICE_X43Y50 SITEPROP SLICE_X43Y50 NUM_ARCS 138 SITEPROP SLICE_X43Y50 NUM_BELS 32 SITEPROP SLICE_X43Y50 NUM_INPUTS 32 SITEPROP SLICE_X43Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y50 NUM_PINS 45 SITEPROP SLICE_X43Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y50 PROHIBIT 0 SITEPROP SLICE_X43Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y50 RPM_X 115 SITEPROP SLICE_X43Y50 RPM_Y 100 SITEPROP SLICE_X43Y50 SITE_PIPS SITEPROP SLICE_X43Y50 SITE_TYPE SLICEL SITEPROP SLICE_X43Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y51 CLASS site SITEPROP SLICE_X43Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y51 IS_BONDED 0 SITEPROP SLICE_X43Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y51 IS_PAD 0 SITEPROP SLICE_X43Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y51 IS_RESERVED 0 SITEPROP SLICE_X43Y51 IS_TEST 0 SITEPROP SLICE_X43Y51 IS_USED 0 SITEPROP SLICE_X43Y51 MANUAL_ROUTING SITEPROP SLICE_X43Y51 NAME SLICE_X43Y51 SITEPROP SLICE_X43Y51 NUM_ARCS 138 SITEPROP SLICE_X43Y51 NUM_BELS 32 SITEPROP SLICE_X43Y51 NUM_INPUTS 32 SITEPROP SLICE_X43Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y51 NUM_PINS 45 SITEPROP SLICE_X43Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y51 PROHIBIT 0 SITEPROP SLICE_X43Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y51 RPM_X 115 SITEPROP SLICE_X43Y51 RPM_Y 102 SITEPROP SLICE_X43Y51 SITE_PIPS SITEPROP SLICE_X43Y51 SITE_TYPE SLICEL SITEPROP SLICE_X43Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y52 CLASS site SITEPROP SLICE_X43Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y52 IS_BONDED 0 SITEPROP SLICE_X43Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y52 IS_PAD 0 SITEPROP SLICE_X43Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y52 IS_RESERVED 0 SITEPROP SLICE_X43Y52 IS_TEST 0 SITEPROP SLICE_X43Y52 IS_USED 0 SITEPROP SLICE_X43Y52 MANUAL_ROUTING SITEPROP SLICE_X43Y52 NAME SLICE_X43Y52 SITEPROP SLICE_X43Y52 NUM_ARCS 138 SITEPROP SLICE_X43Y52 NUM_BELS 32 SITEPROP SLICE_X43Y52 NUM_INPUTS 32 SITEPROP SLICE_X43Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y52 NUM_PINS 45 SITEPROP SLICE_X43Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y52 PROHIBIT 0 SITEPROP SLICE_X43Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y52 RPM_X 115 SITEPROP SLICE_X43Y52 RPM_Y 104 SITEPROP SLICE_X43Y52 SITE_PIPS SITEPROP SLICE_X43Y52 SITE_TYPE SLICEL SITEPROP SLICE_X43Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y53 CLASS site SITEPROP SLICE_X43Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y53 IS_BONDED 0 SITEPROP SLICE_X43Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y53 IS_PAD 0 SITEPROP SLICE_X43Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y53 IS_RESERVED 0 SITEPROP SLICE_X43Y53 IS_TEST 0 SITEPROP SLICE_X43Y53 IS_USED 0 SITEPROP SLICE_X43Y53 MANUAL_ROUTING SITEPROP SLICE_X43Y53 NAME SLICE_X43Y53 SITEPROP SLICE_X43Y53 NUM_ARCS 138 SITEPROP SLICE_X43Y53 NUM_BELS 32 SITEPROP SLICE_X43Y53 NUM_INPUTS 32 SITEPROP SLICE_X43Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y53 NUM_PINS 45 SITEPROP SLICE_X43Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y53 PROHIBIT 0 SITEPROP SLICE_X43Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y53 RPM_X 115 SITEPROP SLICE_X43Y53 RPM_Y 106 SITEPROP SLICE_X43Y53 SITE_PIPS SITEPROP SLICE_X43Y53 SITE_TYPE SLICEL SITEPROP SLICE_X43Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y54 CLASS site SITEPROP SLICE_X43Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y54 IS_BONDED 0 SITEPROP SLICE_X43Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y54 IS_PAD 0 SITEPROP SLICE_X43Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y54 IS_RESERVED 0 SITEPROP SLICE_X43Y54 IS_TEST 0 SITEPROP SLICE_X43Y54 IS_USED 0 SITEPROP SLICE_X43Y54 MANUAL_ROUTING SITEPROP SLICE_X43Y54 NAME SLICE_X43Y54 SITEPROP SLICE_X43Y54 NUM_ARCS 138 SITEPROP SLICE_X43Y54 NUM_BELS 32 SITEPROP SLICE_X43Y54 NUM_INPUTS 32 SITEPROP SLICE_X43Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y54 NUM_PINS 45 SITEPROP SLICE_X43Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y54 PROHIBIT 0 SITEPROP SLICE_X43Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y54 RPM_X 115 SITEPROP SLICE_X43Y54 RPM_Y 108 SITEPROP SLICE_X43Y54 SITE_PIPS SITEPROP SLICE_X43Y54 SITE_TYPE SLICEL SITEPROP SLICE_X43Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y55 CLASS site SITEPROP SLICE_X43Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y55 IS_BONDED 0 SITEPROP SLICE_X43Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y55 IS_PAD 0 SITEPROP SLICE_X43Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y55 IS_RESERVED 0 SITEPROP SLICE_X43Y55 IS_TEST 0 SITEPROP SLICE_X43Y55 IS_USED 0 SITEPROP SLICE_X43Y55 MANUAL_ROUTING SITEPROP SLICE_X43Y55 NAME SLICE_X43Y55 SITEPROP SLICE_X43Y55 NUM_ARCS 138 SITEPROP SLICE_X43Y55 NUM_BELS 32 SITEPROP SLICE_X43Y55 NUM_INPUTS 32 SITEPROP SLICE_X43Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y55 NUM_PINS 45 SITEPROP SLICE_X43Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y55 PROHIBIT 0 SITEPROP SLICE_X43Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y55 RPM_X 115 SITEPROP SLICE_X43Y55 RPM_Y 110 SITEPROP SLICE_X43Y55 SITE_PIPS SITEPROP SLICE_X43Y55 SITE_TYPE SLICEL SITEPROP SLICE_X43Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y56 CLASS site SITEPROP SLICE_X43Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y56 IS_BONDED 0 SITEPROP SLICE_X43Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y56 IS_PAD 0 SITEPROP SLICE_X43Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y56 IS_RESERVED 0 SITEPROP SLICE_X43Y56 IS_TEST 0 SITEPROP SLICE_X43Y56 IS_USED 0 SITEPROP SLICE_X43Y56 MANUAL_ROUTING SITEPROP SLICE_X43Y56 NAME SLICE_X43Y56 SITEPROP SLICE_X43Y56 NUM_ARCS 138 SITEPROP SLICE_X43Y56 NUM_BELS 32 SITEPROP SLICE_X43Y56 NUM_INPUTS 32 SITEPROP SLICE_X43Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y56 NUM_PINS 45 SITEPROP SLICE_X43Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y56 PROHIBIT 0 SITEPROP SLICE_X43Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y56 RPM_X 115 SITEPROP SLICE_X43Y56 RPM_Y 112 SITEPROP SLICE_X43Y56 SITE_PIPS SITEPROP SLICE_X43Y56 SITE_TYPE SLICEL SITEPROP SLICE_X43Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y57 CLASS site SITEPROP SLICE_X43Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y57 IS_BONDED 0 SITEPROP SLICE_X43Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y57 IS_PAD 0 SITEPROP SLICE_X43Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y57 IS_RESERVED 0 SITEPROP SLICE_X43Y57 IS_TEST 0 SITEPROP SLICE_X43Y57 IS_USED 0 SITEPROP SLICE_X43Y57 MANUAL_ROUTING SITEPROP SLICE_X43Y57 NAME SLICE_X43Y57 SITEPROP SLICE_X43Y57 NUM_ARCS 138 SITEPROP SLICE_X43Y57 NUM_BELS 32 SITEPROP SLICE_X43Y57 NUM_INPUTS 32 SITEPROP SLICE_X43Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y57 NUM_PINS 45 SITEPROP SLICE_X43Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y57 PROHIBIT 0 SITEPROP SLICE_X43Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y57 RPM_X 115 SITEPROP SLICE_X43Y57 RPM_Y 114 SITEPROP SLICE_X43Y57 SITE_PIPS SITEPROP SLICE_X43Y57 SITE_TYPE SLICEL SITEPROP SLICE_X43Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y58 CLASS site SITEPROP SLICE_X43Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y58 IS_BONDED 0 SITEPROP SLICE_X43Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y58 IS_PAD 0 SITEPROP SLICE_X43Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y58 IS_RESERVED 0 SITEPROP SLICE_X43Y58 IS_TEST 0 SITEPROP SLICE_X43Y58 IS_USED 0 SITEPROP SLICE_X43Y58 MANUAL_ROUTING SITEPROP SLICE_X43Y58 NAME SLICE_X43Y58 SITEPROP SLICE_X43Y58 NUM_ARCS 138 SITEPROP SLICE_X43Y58 NUM_BELS 32 SITEPROP SLICE_X43Y58 NUM_INPUTS 32 SITEPROP SLICE_X43Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y58 NUM_PINS 45 SITEPROP SLICE_X43Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y58 PROHIBIT 0 SITEPROP SLICE_X43Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y58 RPM_X 115 SITEPROP SLICE_X43Y58 RPM_Y 116 SITEPROP SLICE_X43Y58 SITE_PIPS SITEPROP SLICE_X43Y58 SITE_TYPE SLICEL SITEPROP SLICE_X43Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y59 CLASS site SITEPROP SLICE_X43Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y59 IS_BONDED 0 SITEPROP SLICE_X43Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y59 IS_PAD 0 SITEPROP SLICE_X43Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y59 IS_RESERVED 0 SITEPROP SLICE_X43Y59 IS_TEST 0 SITEPROP SLICE_X43Y59 IS_USED 0 SITEPROP SLICE_X43Y59 MANUAL_ROUTING SITEPROP SLICE_X43Y59 NAME SLICE_X43Y59 SITEPROP SLICE_X43Y59 NUM_ARCS 138 SITEPROP SLICE_X43Y59 NUM_BELS 32 SITEPROP SLICE_X43Y59 NUM_INPUTS 32 SITEPROP SLICE_X43Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y59 NUM_PINS 45 SITEPROP SLICE_X43Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y59 PROHIBIT 0 SITEPROP SLICE_X43Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y59 RPM_X 115 SITEPROP SLICE_X43Y59 RPM_Y 118 SITEPROP SLICE_X43Y59 SITE_PIPS SITEPROP SLICE_X43Y59 SITE_TYPE SLICEL SITEPROP SLICE_X43Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y60 CLASS site SITEPROP SLICE_X43Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y60 IS_BONDED 0 SITEPROP SLICE_X43Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y60 IS_PAD 0 SITEPROP SLICE_X43Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y60 IS_RESERVED 0 SITEPROP SLICE_X43Y60 IS_TEST 0 SITEPROP SLICE_X43Y60 IS_USED 0 SITEPROP SLICE_X43Y60 MANUAL_ROUTING SITEPROP SLICE_X43Y60 NAME SLICE_X43Y60 SITEPROP SLICE_X43Y60 NUM_ARCS 138 SITEPROP SLICE_X43Y60 NUM_BELS 32 SITEPROP SLICE_X43Y60 NUM_INPUTS 32 SITEPROP SLICE_X43Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y60 NUM_PINS 45 SITEPROP SLICE_X43Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y60 PROHIBIT 0 SITEPROP SLICE_X43Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y60 RPM_X 115 SITEPROP SLICE_X43Y60 RPM_Y 120 SITEPROP SLICE_X43Y60 SITE_PIPS SITEPROP SLICE_X43Y60 SITE_TYPE SLICEL SITEPROP SLICE_X43Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y61 CLASS site SITEPROP SLICE_X43Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y61 IS_BONDED 0 SITEPROP SLICE_X43Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y61 IS_PAD 0 SITEPROP SLICE_X43Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y61 IS_RESERVED 0 SITEPROP SLICE_X43Y61 IS_TEST 0 SITEPROP SLICE_X43Y61 IS_USED 0 SITEPROP SLICE_X43Y61 MANUAL_ROUTING SITEPROP SLICE_X43Y61 NAME SLICE_X43Y61 SITEPROP SLICE_X43Y61 NUM_ARCS 138 SITEPROP SLICE_X43Y61 NUM_BELS 32 SITEPROP SLICE_X43Y61 NUM_INPUTS 32 SITEPROP SLICE_X43Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y61 NUM_PINS 45 SITEPROP SLICE_X43Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y61 PROHIBIT 0 SITEPROP SLICE_X43Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y61 RPM_X 115 SITEPROP SLICE_X43Y61 RPM_Y 122 SITEPROP SLICE_X43Y61 SITE_PIPS SITEPROP SLICE_X43Y61 SITE_TYPE SLICEL SITEPROP SLICE_X43Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y62 CLASS site SITEPROP SLICE_X43Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y62 IS_BONDED 0 SITEPROP SLICE_X43Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y62 IS_PAD 0 SITEPROP SLICE_X43Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y62 IS_RESERVED 0 SITEPROP SLICE_X43Y62 IS_TEST 0 SITEPROP SLICE_X43Y62 IS_USED 0 SITEPROP SLICE_X43Y62 MANUAL_ROUTING SITEPROP SLICE_X43Y62 NAME SLICE_X43Y62 SITEPROP SLICE_X43Y62 NUM_ARCS 138 SITEPROP SLICE_X43Y62 NUM_BELS 32 SITEPROP SLICE_X43Y62 NUM_INPUTS 32 SITEPROP SLICE_X43Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y62 NUM_PINS 45 SITEPROP SLICE_X43Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y62 PROHIBIT 0 SITEPROP SLICE_X43Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y62 RPM_X 115 SITEPROP SLICE_X43Y62 RPM_Y 124 SITEPROP SLICE_X43Y62 SITE_PIPS SITEPROP SLICE_X43Y62 SITE_TYPE SLICEL SITEPROP SLICE_X43Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y63 CLASS site SITEPROP SLICE_X43Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y63 IS_BONDED 0 SITEPROP SLICE_X43Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y63 IS_PAD 0 SITEPROP SLICE_X43Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y63 IS_RESERVED 0 SITEPROP SLICE_X43Y63 IS_TEST 0 SITEPROP SLICE_X43Y63 IS_USED 0 SITEPROP SLICE_X43Y63 MANUAL_ROUTING SITEPROP SLICE_X43Y63 NAME SLICE_X43Y63 SITEPROP SLICE_X43Y63 NUM_ARCS 138 SITEPROP SLICE_X43Y63 NUM_BELS 32 SITEPROP SLICE_X43Y63 NUM_INPUTS 32 SITEPROP SLICE_X43Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y63 NUM_PINS 45 SITEPROP SLICE_X43Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y63 PROHIBIT 0 SITEPROP SLICE_X43Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y63 RPM_X 115 SITEPROP SLICE_X43Y63 RPM_Y 126 SITEPROP SLICE_X43Y63 SITE_PIPS SITEPROP SLICE_X43Y63 SITE_TYPE SLICEL SITEPROP SLICE_X43Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y64 CLASS site SITEPROP SLICE_X43Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y64 IS_BONDED 0 SITEPROP SLICE_X43Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y64 IS_PAD 0 SITEPROP SLICE_X43Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y64 IS_RESERVED 0 SITEPROP SLICE_X43Y64 IS_TEST 0 SITEPROP SLICE_X43Y64 IS_USED 0 SITEPROP SLICE_X43Y64 MANUAL_ROUTING SITEPROP SLICE_X43Y64 NAME SLICE_X43Y64 SITEPROP SLICE_X43Y64 NUM_ARCS 138 SITEPROP SLICE_X43Y64 NUM_BELS 32 SITEPROP SLICE_X43Y64 NUM_INPUTS 32 SITEPROP SLICE_X43Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y64 NUM_PINS 45 SITEPROP SLICE_X43Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y64 PROHIBIT 0 SITEPROP SLICE_X43Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y64 RPM_X 115 SITEPROP SLICE_X43Y64 RPM_Y 128 SITEPROP SLICE_X43Y64 SITE_PIPS SITEPROP SLICE_X43Y64 SITE_TYPE SLICEL SITEPROP SLICE_X43Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y65 CLASS site SITEPROP SLICE_X43Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y65 IS_BONDED 0 SITEPROP SLICE_X43Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y65 IS_PAD 0 SITEPROP SLICE_X43Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y65 IS_RESERVED 0 SITEPROP SLICE_X43Y65 IS_TEST 0 SITEPROP SLICE_X43Y65 IS_USED 0 SITEPROP SLICE_X43Y65 MANUAL_ROUTING SITEPROP SLICE_X43Y65 NAME SLICE_X43Y65 SITEPROP SLICE_X43Y65 NUM_ARCS 138 SITEPROP SLICE_X43Y65 NUM_BELS 32 SITEPROP SLICE_X43Y65 NUM_INPUTS 32 SITEPROP SLICE_X43Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y65 NUM_PINS 45 SITEPROP SLICE_X43Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y65 PROHIBIT 0 SITEPROP SLICE_X43Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y65 RPM_X 115 SITEPROP SLICE_X43Y65 RPM_Y 130 SITEPROP SLICE_X43Y65 SITE_PIPS SITEPROP SLICE_X43Y65 SITE_TYPE SLICEL SITEPROP SLICE_X43Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y66 CLASS site SITEPROP SLICE_X43Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y66 IS_BONDED 0 SITEPROP SLICE_X43Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y66 IS_PAD 0 SITEPROP SLICE_X43Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y66 IS_RESERVED 0 SITEPROP SLICE_X43Y66 IS_TEST 0 SITEPROP SLICE_X43Y66 IS_USED 0 SITEPROP SLICE_X43Y66 MANUAL_ROUTING SITEPROP SLICE_X43Y66 NAME SLICE_X43Y66 SITEPROP SLICE_X43Y66 NUM_ARCS 138 SITEPROP SLICE_X43Y66 NUM_BELS 32 SITEPROP SLICE_X43Y66 NUM_INPUTS 32 SITEPROP SLICE_X43Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y66 NUM_PINS 45 SITEPROP SLICE_X43Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y66 PROHIBIT 0 SITEPROP SLICE_X43Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y66 RPM_X 115 SITEPROP SLICE_X43Y66 RPM_Y 132 SITEPROP SLICE_X43Y66 SITE_PIPS SITEPROP SLICE_X43Y66 SITE_TYPE SLICEL SITEPROP SLICE_X43Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y67 CLASS site SITEPROP SLICE_X43Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y67 IS_BONDED 0 SITEPROP SLICE_X43Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y67 IS_PAD 0 SITEPROP SLICE_X43Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y67 IS_RESERVED 0 SITEPROP SLICE_X43Y67 IS_TEST 0 SITEPROP SLICE_X43Y67 IS_USED 0 SITEPROP SLICE_X43Y67 MANUAL_ROUTING SITEPROP SLICE_X43Y67 NAME SLICE_X43Y67 SITEPROP SLICE_X43Y67 NUM_ARCS 138 SITEPROP SLICE_X43Y67 NUM_BELS 32 SITEPROP SLICE_X43Y67 NUM_INPUTS 32 SITEPROP SLICE_X43Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y67 NUM_PINS 45 SITEPROP SLICE_X43Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y67 PROHIBIT 0 SITEPROP SLICE_X43Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y67 RPM_X 115 SITEPROP SLICE_X43Y67 RPM_Y 134 SITEPROP SLICE_X43Y67 SITE_PIPS SITEPROP SLICE_X43Y67 SITE_TYPE SLICEL SITEPROP SLICE_X43Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y68 CLASS site SITEPROP SLICE_X43Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y68 IS_BONDED 0 SITEPROP SLICE_X43Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y68 IS_PAD 0 SITEPROP SLICE_X43Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y68 IS_RESERVED 0 SITEPROP SLICE_X43Y68 IS_TEST 0 SITEPROP SLICE_X43Y68 IS_USED 0 SITEPROP SLICE_X43Y68 MANUAL_ROUTING SITEPROP SLICE_X43Y68 NAME SLICE_X43Y68 SITEPROP SLICE_X43Y68 NUM_ARCS 138 SITEPROP SLICE_X43Y68 NUM_BELS 32 SITEPROP SLICE_X43Y68 NUM_INPUTS 32 SITEPROP SLICE_X43Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y68 NUM_PINS 45 SITEPROP SLICE_X43Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y68 PROHIBIT 0 SITEPROP SLICE_X43Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y68 RPM_X 115 SITEPROP SLICE_X43Y68 RPM_Y 136 SITEPROP SLICE_X43Y68 SITE_PIPS SITEPROP SLICE_X43Y68 SITE_TYPE SLICEL SITEPROP SLICE_X43Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y69 CLASS site SITEPROP SLICE_X43Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y69 IS_BONDED 0 SITEPROP SLICE_X43Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y69 IS_PAD 0 SITEPROP SLICE_X43Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y69 IS_RESERVED 0 SITEPROP SLICE_X43Y69 IS_TEST 0 SITEPROP SLICE_X43Y69 IS_USED 0 SITEPROP SLICE_X43Y69 MANUAL_ROUTING SITEPROP SLICE_X43Y69 NAME SLICE_X43Y69 SITEPROP SLICE_X43Y69 NUM_ARCS 138 SITEPROP SLICE_X43Y69 NUM_BELS 32 SITEPROP SLICE_X43Y69 NUM_INPUTS 32 SITEPROP SLICE_X43Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y69 NUM_PINS 45 SITEPROP SLICE_X43Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y69 PROHIBIT 0 SITEPROP SLICE_X43Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y69 RPM_X 115 SITEPROP SLICE_X43Y69 RPM_Y 138 SITEPROP SLICE_X43Y69 SITE_PIPS SITEPROP SLICE_X43Y69 SITE_TYPE SLICEL SITEPROP SLICE_X43Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y70 CLASS site SITEPROP SLICE_X43Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y70 IS_BONDED 0 SITEPROP SLICE_X43Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y70 IS_PAD 0 SITEPROP SLICE_X43Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y70 IS_RESERVED 0 SITEPROP SLICE_X43Y70 IS_TEST 0 SITEPROP SLICE_X43Y70 IS_USED 0 SITEPROP SLICE_X43Y70 MANUAL_ROUTING SITEPROP SLICE_X43Y70 NAME SLICE_X43Y70 SITEPROP SLICE_X43Y70 NUM_ARCS 138 SITEPROP SLICE_X43Y70 NUM_BELS 32 SITEPROP SLICE_X43Y70 NUM_INPUTS 32 SITEPROP SLICE_X43Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y70 NUM_PINS 45 SITEPROP SLICE_X43Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y70 PROHIBIT 0 SITEPROP SLICE_X43Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y70 RPM_X 115 SITEPROP SLICE_X43Y70 RPM_Y 140 SITEPROP SLICE_X43Y70 SITE_PIPS SITEPROP SLICE_X43Y70 SITE_TYPE SLICEL SITEPROP SLICE_X43Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y71 CLASS site SITEPROP SLICE_X43Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y71 IS_BONDED 0 SITEPROP SLICE_X43Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y71 IS_PAD 0 SITEPROP SLICE_X43Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y71 IS_RESERVED 0 SITEPROP SLICE_X43Y71 IS_TEST 0 SITEPROP SLICE_X43Y71 IS_USED 0 SITEPROP SLICE_X43Y71 MANUAL_ROUTING SITEPROP SLICE_X43Y71 NAME SLICE_X43Y71 SITEPROP SLICE_X43Y71 NUM_ARCS 138 SITEPROP SLICE_X43Y71 NUM_BELS 32 SITEPROP SLICE_X43Y71 NUM_INPUTS 32 SITEPROP SLICE_X43Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y71 NUM_PINS 45 SITEPROP SLICE_X43Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y71 PROHIBIT 0 SITEPROP SLICE_X43Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y71 RPM_X 115 SITEPROP SLICE_X43Y71 RPM_Y 142 SITEPROP SLICE_X43Y71 SITE_PIPS SITEPROP SLICE_X43Y71 SITE_TYPE SLICEL SITEPROP SLICE_X43Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y72 CLASS site SITEPROP SLICE_X43Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y72 IS_BONDED 0 SITEPROP SLICE_X43Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y72 IS_PAD 0 SITEPROP SLICE_X43Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y72 IS_RESERVED 0 SITEPROP SLICE_X43Y72 IS_TEST 0 SITEPROP SLICE_X43Y72 IS_USED 0 SITEPROP SLICE_X43Y72 MANUAL_ROUTING SITEPROP SLICE_X43Y72 NAME SLICE_X43Y72 SITEPROP SLICE_X43Y72 NUM_ARCS 138 SITEPROP SLICE_X43Y72 NUM_BELS 32 SITEPROP SLICE_X43Y72 NUM_INPUTS 32 SITEPROP SLICE_X43Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y72 NUM_PINS 45 SITEPROP SLICE_X43Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y72 PROHIBIT 0 SITEPROP SLICE_X43Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y72 RPM_X 115 SITEPROP SLICE_X43Y72 RPM_Y 144 SITEPROP SLICE_X43Y72 SITE_PIPS SITEPROP SLICE_X43Y72 SITE_TYPE SLICEL SITEPROP SLICE_X43Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y73 CLASS site SITEPROP SLICE_X43Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y73 IS_BONDED 0 SITEPROP SLICE_X43Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y73 IS_PAD 0 SITEPROP SLICE_X43Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y73 IS_RESERVED 0 SITEPROP SLICE_X43Y73 IS_TEST 0 SITEPROP SLICE_X43Y73 IS_USED 0 SITEPROP SLICE_X43Y73 MANUAL_ROUTING SITEPROP SLICE_X43Y73 NAME SLICE_X43Y73 SITEPROP SLICE_X43Y73 NUM_ARCS 138 SITEPROP SLICE_X43Y73 NUM_BELS 32 SITEPROP SLICE_X43Y73 NUM_INPUTS 32 SITEPROP SLICE_X43Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y73 NUM_PINS 45 SITEPROP SLICE_X43Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y73 PROHIBIT 0 SITEPROP SLICE_X43Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y73 RPM_X 115 SITEPROP SLICE_X43Y73 RPM_Y 146 SITEPROP SLICE_X43Y73 SITE_PIPS SITEPROP SLICE_X43Y73 SITE_TYPE SLICEL SITEPROP SLICE_X43Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y74 CLASS site SITEPROP SLICE_X43Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y74 IS_BONDED 0 SITEPROP SLICE_X43Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y74 IS_PAD 0 SITEPROP SLICE_X43Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y74 IS_RESERVED 0 SITEPROP SLICE_X43Y74 IS_TEST 0 SITEPROP SLICE_X43Y74 IS_USED 0 SITEPROP SLICE_X43Y74 MANUAL_ROUTING SITEPROP SLICE_X43Y74 NAME SLICE_X43Y74 SITEPROP SLICE_X43Y74 NUM_ARCS 138 SITEPROP SLICE_X43Y74 NUM_BELS 32 SITEPROP SLICE_X43Y74 NUM_INPUTS 32 SITEPROP SLICE_X43Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y74 NUM_PINS 45 SITEPROP SLICE_X43Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y74 PROHIBIT 0 SITEPROP SLICE_X43Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y74 RPM_X 115 SITEPROP SLICE_X43Y74 RPM_Y 148 SITEPROP SLICE_X43Y74 SITE_PIPS SITEPROP SLICE_X43Y74 SITE_TYPE SLICEL SITEPROP SLICE_X43Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y75 CLASS site SITEPROP SLICE_X43Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y75 IS_BONDED 0 SITEPROP SLICE_X43Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y75 IS_PAD 0 SITEPROP SLICE_X43Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y75 IS_RESERVED 0 SITEPROP SLICE_X43Y75 IS_TEST 0 SITEPROP SLICE_X43Y75 IS_USED 0 SITEPROP SLICE_X43Y75 MANUAL_ROUTING SITEPROP SLICE_X43Y75 NAME SLICE_X43Y75 SITEPROP SLICE_X43Y75 NUM_ARCS 138 SITEPROP SLICE_X43Y75 NUM_BELS 32 SITEPROP SLICE_X43Y75 NUM_INPUTS 32 SITEPROP SLICE_X43Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y75 NUM_PINS 45 SITEPROP SLICE_X43Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y75 PROHIBIT 0 SITEPROP SLICE_X43Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y75 RPM_X 115 SITEPROP SLICE_X43Y75 RPM_Y 150 SITEPROP SLICE_X43Y75 SITE_PIPS SITEPROP SLICE_X43Y75 SITE_TYPE SLICEL SITEPROP SLICE_X43Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y76 CLASS site SITEPROP SLICE_X43Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y76 IS_BONDED 0 SITEPROP SLICE_X43Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y76 IS_PAD 0 SITEPROP SLICE_X43Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y76 IS_RESERVED 0 SITEPROP SLICE_X43Y76 IS_TEST 0 SITEPROP SLICE_X43Y76 IS_USED 0 SITEPROP SLICE_X43Y76 MANUAL_ROUTING SITEPROP SLICE_X43Y76 NAME SLICE_X43Y76 SITEPROP SLICE_X43Y76 NUM_ARCS 138 SITEPROP SLICE_X43Y76 NUM_BELS 32 SITEPROP SLICE_X43Y76 NUM_INPUTS 32 SITEPROP SLICE_X43Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y76 NUM_PINS 45 SITEPROP SLICE_X43Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y76 PROHIBIT 0 SITEPROP SLICE_X43Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y76 RPM_X 115 SITEPROP SLICE_X43Y76 RPM_Y 152 SITEPROP SLICE_X43Y76 SITE_PIPS SITEPROP SLICE_X43Y76 SITE_TYPE SLICEL SITEPROP SLICE_X43Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y77 CLASS site SITEPROP SLICE_X43Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y77 IS_BONDED 0 SITEPROP SLICE_X43Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y77 IS_PAD 0 SITEPROP SLICE_X43Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y77 IS_RESERVED 0 SITEPROP SLICE_X43Y77 IS_TEST 0 SITEPROP SLICE_X43Y77 IS_USED 0 SITEPROP SLICE_X43Y77 MANUAL_ROUTING SITEPROP SLICE_X43Y77 NAME SLICE_X43Y77 SITEPROP SLICE_X43Y77 NUM_ARCS 138 SITEPROP SLICE_X43Y77 NUM_BELS 32 SITEPROP SLICE_X43Y77 NUM_INPUTS 32 SITEPROP SLICE_X43Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y77 NUM_PINS 45 SITEPROP SLICE_X43Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y77 PROHIBIT 0 SITEPROP SLICE_X43Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y77 RPM_X 115 SITEPROP SLICE_X43Y77 RPM_Y 154 SITEPROP SLICE_X43Y77 SITE_PIPS SITEPROP SLICE_X43Y77 SITE_TYPE SLICEL SITEPROP SLICE_X43Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y78 CLASS site SITEPROP SLICE_X43Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y78 IS_BONDED 0 SITEPROP SLICE_X43Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y78 IS_PAD 0 SITEPROP SLICE_X43Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y78 IS_RESERVED 0 SITEPROP SLICE_X43Y78 IS_TEST 0 SITEPROP SLICE_X43Y78 IS_USED 0 SITEPROP SLICE_X43Y78 MANUAL_ROUTING SITEPROP SLICE_X43Y78 NAME SLICE_X43Y78 SITEPROP SLICE_X43Y78 NUM_ARCS 138 SITEPROP SLICE_X43Y78 NUM_BELS 32 SITEPROP SLICE_X43Y78 NUM_INPUTS 32 SITEPROP SLICE_X43Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y78 NUM_PINS 45 SITEPROP SLICE_X43Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y78 PROHIBIT 0 SITEPROP SLICE_X43Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y78 RPM_X 115 SITEPROP SLICE_X43Y78 RPM_Y 156 SITEPROP SLICE_X43Y78 SITE_PIPS SITEPROP SLICE_X43Y78 SITE_TYPE SLICEL SITEPROP SLICE_X43Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y79 CLASS site SITEPROP SLICE_X43Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y79 IS_BONDED 0 SITEPROP SLICE_X43Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y79 IS_PAD 0 SITEPROP SLICE_X43Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y79 IS_RESERVED 0 SITEPROP SLICE_X43Y79 IS_TEST 0 SITEPROP SLICE_X43Y79 IS_USED 0 SITEPROP SLICE_X43Y79 MANUAL_ROUTING SITEPROP SLICE_X43Y79 NAME SLICE_X43Y79 SITEPROP SLICE_X43Y79 NUM_ARCS 138 SITEPROP SLICE_X43Y79 NUM_BELS 32 SITEPROP SLICE_X43Y79 NUM_INPUTS 32 SITEPROP SLICE_X43Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y79 NUM_PINS 45 SITEPROP SLICE_X43Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y79 PROHIBIT 0 SITEPROP SLICE_X43Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y79 RPM_X 115 SITEPROP SLICE_X43Y79 RPM_Y 158 SITEPROP SLICE_X43Y79 SITE_PIPS SITEPROP SLICE_X43Y79 SITE_TYPE SLICEL SITEPROP SLICE_X43Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y80 CLASS site SITEPROP SLICE_X43Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y80 IS_BONDED 0 SITEPROP SLICE_X43Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y80 IS_PAD 0 SITEPROP SLICE_X43Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y80 IS_RESERVED 0 SITEPROP SLICE_X43Y80 IS_TEST 0 SITEPROP SLICE_X43Y80 IS_USED 0 SITEPROP SLICE_X43Y80 MANUAL_ROUTING SITEPROP SLICE_X43Y80 NAME SLICE_X43Y80 SITEPROP SLICE_X43Y80 NUM_ARCS 138 SITEPROP SLICE_X43Y80 NUM_BELS 32 SITEPROP SLICE_X43Y80 NUM_INPUTS 32 SITEPROP SLICE_X43Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y80 NUM_PINS 45 SITEPROP SLICE_X43Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y80 PROHIBIT 0 SITEPROP SLICE_X43Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y80 RPM_X 115 SITEPROP SLICE_X43Y80 RPM_Y 160 SITEPROP SLICE_X43Y80 SITE_PIPS SITEPROP SLICE_X43Y80 SITE_TYPE SLICEL SITEPROP SLICE_X43Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y81 CLASS site SITEPROP SLICE_X43Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y81 IS_BONDED 0 SITEPROP SLICE_X43Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y81 IS_PAD 0 SITEPROP SLICE_X43Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y81 IS_RESERVED 0 SITEPROP SLICE_X43Y81 IS_TEST 0 SITEPROP SLICE_X43Y81 IS_USED 0 SITEPROP SLICE_X43Y81 MANUAL_ROUTING SITEPROP SLICE_X43Y81 NAME SLICE_X43Y81 SITEPROP SLICE_X43Y81 NUM_ARCS 138 SITEPROP SLICE_X43Y81 NUM_BELS 32 SITEPROP SLICE_X43Y81 NUM_INPUTS 32 SITEPROP SLICE_X43Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y81 NUM_PINS 45 SITEPROP SLICE_X43Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y81 PROHIBIT 0 SITEPROP SLICE_X43Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y81 RPM_X 115 SITEPROP SLICE_X43Y81 RPM_Y 162 SITEPROP SLICE_X43Y81 SITE_PIPS SITEPROP SLICE_X43Y81 SITE_TYPE SLICEL SITEPROP SLICE_X43Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y82 CLASS site SITEPROP SLICE_X43Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y82 IS_BONDED 0 SITEPROP SLICE_X43Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y82 IS_PAD 0 SITEPROP SLICE_X43Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y82 IS_RESERVED 0 SITEPROP SLICE_X43Y82 IS_TEST 0 SITEPROP SLICE_X43Y82 IS_USED 0 SITEPROP SLICE_X43Y82 MANUAL_ROUTING SITEPROP SLICE_X43Y82 NAME SLICE_X43Y82 SITEPROP SLICE_X43Y82 NUM_ARCS 138 SITEPROP SLICE_X43Y82 NUM_BELS 32 SITEPROP SLICE_X43Y82 NUM_INPUTS 32 SITEPROP SLICE_X43Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y82 NUM_PINS 45 SITEPROP SLICE_X43Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y82 PROHIBIT 0 SITEPROP SLICE_X43Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y82 RPM_X 115 SITEPROP SLICE_X43Y82 RPM_Y 164 SITEPROP SLICE_X43Y82 SITE_PIPS SITEPROP SLICE_X43Y82 SITE_TYPE SLICEL SITEPROP SLICE_X43Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y83 CLASS site SITEPROP SLICE_X43Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y83 IS_BONDED 0 SITEPROP SLICE_X43Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y83 IS_PAD 0 SITEPROP SLICE_X43Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y83 IS_RESERVED 0 SITEPROP SLICE_X43Y83 IS_TEST 0 SITEPROP SLICE_X43Y83 IS_USED 0 SITEPROP SLICE_X43Y83 MANUAL_ROUTING SITEPROP SLICE_X43Y83 NAME SLICE_X43Y83 SITEPROP SLICE_X43Y83 NUM_ARCS 138 SITEPROP SLICE_X43Y83 NUM_BELS 32 SITEPROP SLICE_X43Y83 NUM_INPUTS 32 SITEPROP SLICE_X43Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y83 NUM_PINS 45 SITEPROP SLICE_X43Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y83 PROHIBIT 0 SITEPROP SLICE_X43Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y83 RPM_X 115 SITEPROP SLICE_X43Y83 RPM_Y 166 SITEPROP SLICE_X43Y83 SITE_PIPS SITEPROP SLICE_X43Y83 SITE_TYPE SLICEL SITEPROP SLICE_X43Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y84 CLASS site SITEPROP SLICE_X43Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y84 IS_BONDED 0 SITEPROP SLICE_X43Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y84 IS_PAD 0 SITEPROP SLICE_X43Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y84 IS_RESERVED 0 SITEPROP SLICE_X43Y84 IS_TEST 0 SITEPROP SLICE_X43Y84 IS_USED 0 SITEPROP SLICE_X43Y84 MANUAL_ROUTING SITEPROP SLICE_X43Y84 NAME SLICE_X43Y84 SITEPROP SLICE_X43Y84 NUM_ARCS 138 SITEPROP SLICE_X43Y84 NUM_BELS 32 SITEPROP SLICE_X43Y84 NUM_INPUTS 32 SITEPROP SLICE_X43Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y84 NUM_PINS 45 SITEPROP SLICE_X43Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y84 PROHIBIT 0 SITEPROP SLICE_X43Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y84 RPM_X 115 SITEPROP SLICE_X43Y84 RPM_Y 168 SITEPROP SLICE_X43Y84 SITE_PIPS SITEPROP SLICE_X43Y84 SITE_TYPE SLICEL SITEPROP SLICE_X43Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y85 CLASS site SITEPROP SLICE_X43Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y85 IS_BONDED 0 SITEPROP SLICE_X43Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y85 IS_PAD 0 SITEPROP SLICE_X43Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y85 IS_RESERVED 0 SITEPROP SLICE_X43Y85 IS_TEST 0 SITEPROP SLICE_X43Y85 IS_USED 0 SITEPROP SLICE_X43Y85 MANUAL_ROUTING SITEPROP SLICE_X43Y85 NAME SLICE_X43Y85 SITEPROP SLICE_X43Y85 NUM_ARCS 138 SITEPROP SLICE_X43Y85 NUM_BELS 32 SITEPROP SLICE_X43Y85 NUM_INPUTS 32 SITEPROP SLICE_X43Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y85 NUM_PINS 45 SITEPROP SLICE_X43Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y85 PROHIBIT 0 SITEPROP SLICE_X43Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y85 RPM_X 115 SITEPROP SLICE_X43Y85 RPM_Y 170 SITEPROP SLICE_X43Y85 SITE_PIPS SITEPROP SLICE_X43Y85 SITE_TYPE SLICEL SITEPROP SLICE_X43Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y86 CLASS site SITEPROP SLICE_X43Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y86 IS_BONDED 0 SITEPROP SLICE_X43Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y86 IS_PAD 0 SITEPROP SLICE_X43Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y86 IS_RESERVED 0 SITEPROP SLICE_X43Y86 IS_TEST 0 SITEPROP SLICE_X43Y86 IS_USED 0 SITEPROP SLICE_X43Y86 MANUAL_ROUTING SITEPROP SLICE_X43Y86 NAME SLICE_X43Y86 SITEPROP SLICE_X43Y86 NUM_ARCS 138 SITEPROP SLICE_X43Y86 NUM_BELS 32 SITEPROP SLICE_X43Y86 NUM_INPUTS 32 SITEPROP SLICE_X43Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y86 NUM_PINS 45 SITEPROP SLICE_X43Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y86 PROHIBIT 0 SITEPROP SLICE_X43Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y86 RPM_X 115 SITEPROP SLICE_X43Y86 RPM_Y 172 SITEPROP SLICE_X43Y86 SITE_PIPS SITEPROP SLICE_X43Y86 SITE_TYPE SLICEL SITEPROP SLICE_X43Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y87 CLASS site SITEPROP SLICE_X43Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y87 IS_BONDED 0 SITEPROP SLICE_X43Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y87 IS_PAD 0 SITEPROP SLICE_X43Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y87 IS_RESERVED 0 SITEPROP SLICE_X43Y87 IS_TEST 0 SITEPROP SLICE_X43Y87 IS_USED 0 SITEPROP SLICE_X43Y87 MANUAL_ROUTING SITEPROP SLICE_X43Y87 NAME SLICE_X43Y87 SITEPROP SLICE_X43Y87 NUM_ARCS 138 SITEPROP SLICE_X43Y87 NUM_BELS 32 SITEPROP SLICE_X43Y87 NUM_INPUTS 32 SITEPROP SLICE_X43Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y87 NUM_PINS 45 SITEPROP SLICE_X43Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y87 PROHIBIT 0 SITEPROP SLICE_X43Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y87 RPM_X 115 SITEPROP SLICE_X43Y87 RPM_Y 174 SITEPROP SLICE_X43Y87 SITE_PIPS SITEPROP SLICE_X43Y87 SITE_TYPE SLICEL SITEPROP SLICE_X43Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y88 CLASS site SITEPROP SLICE_X43Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y88 IS_BONDED 0 SITEPROP SLICE_X43Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y88 IS_PAD 0 SITEPROP SLICE_X43Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y88 IS_RESERVED 0 SITEPROP SLICE_X43Y88 IS_TEST 0 SITEPROP SLICE_X43Y88 IS_USED 0 SITEPROP SLICE_X43Y88 MANUAL_ROUTING SITEPROP SLICE_X43Y88 NAME SLICE_X43Y88 SITEPROP SLICE_X43Y88 NUM_ARCS 138 SITEPROP SLICE_X43Y88 NUM_BELS 32 SITEPROP SLICE_X43Y88 NUM_INPUTS 32 SITEPROP SLICE_X43Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y88 NUM_PINS 45 SITEPROP SLICE_X43Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y88 PROHIBIT 0 SITEPROP SLICE_X43Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y88 RPM_X 115 SITEPROP SLICE_X43Y88 RPM_Y 176 SITEPROP SLICE_X43Y88 SITE_PIPS SITEPROP SLICE_X43Y88 SITE_TYPE SLICEL SITEPROP SLICE_X43Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y89 CLASS site SITEPROP SLICE_X43Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y89 IS_BONDED 0 SITEPROP SLICE_X43Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y89 IS_PAD 0 SITEPROP SLICE_X43Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y89 IS_RESERVED 0 SITEPROP SLICE_X43Y89 IS_TEST 0 SITEPROP SLICE_X43Y89 IS_USED 0 SITEPROP SLICE_X43Y89 MANUAL_ROUTING SITEPROP SLICE_X43Y89 NAME SLICE_X43Y89 SITEPROP SLICE_X43Y89 NUM_ARCS 138 SITEPROP SLICE_X43Y89 NUM_BELS 32 SITEPROP SLICE_X43Y89 NUM_INPUTS 32 SITEPROP SLICE_X43Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y89 NUM_PINS 45 SITEPROP SLICE_X43Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y89 PROHIBIT 0 SITEPROP SLICE_X43Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y89 RPM_X 115 SITEPROP SLICE_X43Y89 RPM_Y 178 SITEPROP SLICE_X43Y89 SITE_PIPS SITEPROP SLICE_X43Y89 SITE_TYPE SLICEL SITEPROP SLICE_X43Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y90 CLASS site SITEPROP SLICE_X43Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y90 IS_BONDED 0 SITEPROP SLICE_X43Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y90 IS_PAD 0 SITEPROP SLICE_X43Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y90 IS_RESERVED 0 SITEPROP SLICE_X43Y90 IS_TEST 0 SITEPROP SLICE_X43Y90 IS_USED 0 SITEPROP SLICE_X43Y90 MANUAL_ROUTING SITEPROP SLICE_X43Y90 NAME SLICE_X43Y90 SITEPROP SLICE_X43Y90 NUM_ARCS 138 SITEPROP SLICE_X43Y90 NUM_BELS 32 SITEPROP SLICE_X43Y90 NUM_INPUTS 32 SITEPROP SLICE_X43Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y90 NUM_PINS 45 SITEPROP SLICE_X43Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y90 PROHIBIT 0 SITEPROP SLICE_X43Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y90 RPM_X 115 SITEPROP SLICE_X43Y90 RPM_Y 180 SITEPROP SLICE_X43Y90 SITE_PIPS SITEPROP SLICE_X43Y90 SITE_TYPE SLICEL SITEPROP SLICE_X43Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y91 CLASS site SITEPROP SLICE_X43Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y91 IS_BONDED 0 SITEPROP SLICE_X43Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y91 IS_PAD 0 SITEPROP SLICE_X43Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y91 IS_RESERVED 0 SITEPROP SLICE_X43Y91 IS_TEST 0 SITEPROP SLICE_X43Y91 IS_USED 0 SITEPROP SLICE_X43Y91 MANUAL_ROUTING SITEPROP SLICE_X43Y91 NAME SLICE_X43Y91 SITEPROP SLICE_X43Y91 NUM_ARCS 138 SITEPROP SLICE_X43Y91 NUM_BELS 32 SITEPROP SLICE_X43Y91 NUM_INPUTS 32 SITEPROP SLICE_X43Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y91 NUM_PINS 45 SITEPROP SLICE_X43Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y91 PROHIBIT 0 SITEPROP SLICE_X43Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y91 RPM_X 115 SITEPROP SLICE_X43Y91 RPM_Y 182 SITEPROP SLICE_X43Y91 SITE_PIPS SITEPROP SLICE_X43Y91 SITE_TYPE SLICEL SITEPROP SLICE_X43Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y92 CLASS site SITEPROP SLICE_X43Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y92 IS_BONDED 0 SITEPROP SLICE_X43Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y92 IS_PAD 0 SITEPROP SLICE_X43Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y92 IS_RESERVED 0 SITEPROP SLICE_X43Y92 IS_TEST 0 SITEPROP SLICE_X43Y92 IS_USED 0 SITEPROP SLICE_X43Y92 MANUAL_ROUTING SITEPROP SLICE_X43Y92 NAME SLICE_X43Y92 SITEPROP SLICE_X43Y92 NUM_ARCS 138 SITEPROP SLICE_X43Y92 NUM_BELS 32 SITEPROP SLICE_X43Y92 NUM_INPUTS 32 SITEPROP SLICE_X43Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y92 NUM_PINS 45 SITEPROP SLICE_X43Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y92 PROHIBIT 0 SITEPROP SLICE_X43Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y92 RPM_X 115 SITEPROP SLICE_X43Y92 RPM_Y 184 SITEPROP SLICE_X43Y92 SITE_PIPS SITEPROP SLICE_X43Y92 SITE_TYPE SLICEL SITEPROP SLICE_X43Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y93 CLASS site SITEPROP SLICE_X43Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y93 IS_BONDED 0 SITEPROP SLICE_X43Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y93 IS_PAD 0 SITEPROP SLICE_X43Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y93 IS_RESERVED 0 SITEPROP SLICE_X43Y93 IS_TEST 0 SITEPROP SLICE_X43Y93 IS_USED 0 SITEPROP SLICE_X43Y93 MANUAL_ROUTING SITEPROP SLICE_X43Y93 NAME SLICE_X43Y93 SITEPROP SLICE_X43Y93 NUM_ARCS 138 SITEPROP SLICE_X43Y93 NUM_BELS 32 SITEPROP SLICE_X43Y93 NUM_INPUTS 32 SITEPROP SLICE_X43Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y93 NUM_PINS 45 SITEPROP SLICE_X43Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y93 PROHIBIT 0 SITEPROP SLICE_X43Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y93 RPM_X 115 SITEPROP SLICE_X43Y93 RPM_Y 186 SITEPROP SLICE_X43Y93 SITE_PIPS SITEPROP SLICE_X43Y93 SITE_TYPE SLICEL SITEPROP SLICE_X43Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y94 CLASS site SITEPROP SLICE_X43Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y94 IS_BONDED 0 SITEPROP SLICE_X43Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y94 IS_PAD 0 SITEPROP SLICE_X43Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y94 IS_RESERVED 0 SITEPROP SLICE_X43Y94 IS_TEST 0 SITEPROP SLICE_X43Y94 IS_USED 0 SITEPROP SLICE_X43Y94 MANUAL_ROUTING SITEPROP SLICE_X43Y94 NAME SLICE_X43Y94 SITEPROP SLICE_X43Y94 NUM_ARCS 138 SITEPROP SLICE_X43Y94 NUM_BELS 32 SITEPROP SLICE_X43Y94 NUM_INPUTS 32 SITEPROP SLICE_X43Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y94 NUM_PINS 45 SITEPROP SLICE_X43Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y94 PROHIBIT 0 SITEPROP SLICE_X43Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y94 RPM_X 115 SITEPROP SLICE_X43Y94 RPM_Y 188 SITEPROP SLICE_X43Y94 SITE_PIPS SITEPROP SLICE_X43Y94 SITE_TYPE SLICEL SITEPROP SLICE_X43Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y95 CLASS site SITEPROP SLICE_X43Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y95 IS_BONDED 0 SITEPROP SLICE_X43Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y95 IS_PAD 0 SITEPROP SLICE_X43Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y95 IS_RESERVED 0 SITEPROP SLICE_X43Y95 IS_TEST 0 SITEPROP SLICE_X43Y95 IS_USED 0 SITEPROP SLICE_X43Y95 MANUAL_ROUTING SITEPROP SLICE_X43Y95 NAME SLICE_X43Y95 SITEPROP SLICE_X43Y95 NUM_ARCS 138 SITEPROP SLICE_X43Y95 NUM_BELS 32 SITEPROP SLICE_X43Y95 NUM_INPUTS 32 SITEPROP SLICE_X43Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y95 NUM_PINS 45 SITEPROP SLICE_X43Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y95 PROHIBIT 0 SITEPROP SLICE_X43Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y95 RPM_X 115 SITEPROP SLICE_X43Y95 RPM_Y 190 SITEPROP SLICE_X43Y95 SITE_PIPS SITEPROP SLICE_X43Y95 SITE_TYPE SLICEL SITEPROP SLICE_X43Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y96 CLASS site SITEPROP SLICE_X43Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y96 IS_BONDED 0 SITEPROP SLICE_X43Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y96 IS_PAD 0 SITEPROP SLICE_X43Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y96 IS_RESERVED 0 SITEPROP SLICE_X43Y96 IS_TEST 0 SITEPROP SLICE_X43Y96 IS_USED 0 SITEPROP SLICE_X43Y96 MANUAL_ROUTING SITEPROP SLICE_X43Y96 NAME SLICE_X43Y96 SITEPROP SLICE_X43Y96 NUM_ARCS 138 SITEPROP SLICE_X43Y96 NUM_BELS 32 SITEPROP SLICE_X43Y96 NUM_INPUTS 32 SITEPROP SLICE_X43Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y96 NUM_PINS 45 SITEPROP SLICE_X43Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y96 PROHIBIT 0 SITEPROP SLICE_X43Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y96 RPM_X 115 SITEPROP SLICE_X43Y96 RPM_Y 192 SITEPROP SLICE_X43Y96 SITE_PIPS SITEPROP SLICE_X43Y96 SITE_TYPE SLICEL SITEPROP SLICE_X43Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y97 CLASS site SITEPROP SLICE_X43Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y97 IS_BONDED 0 SITEPROP SLICE_X43Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y97 IS_PAD 0 SITEPROP SLICE_X43Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y97 IS_RESERVED 0 SITEPROP SLICE_X43Y97 IS_TEST 0 SITEPROP SLICE_X43Y97 IS_USED 0 SITEPROP SLICE_X43Y97 MANUAL_ROUTING SITEPROP SLICE_X43Y97 NAME SLICE_X43Y97 SITEPROP SLICE_X43Y97 NUM_ARCS 138 SITEPROP SLICE_X43Y97 NUM_BELS 32 SITEPROP SLICE_X43Y97 NUM_INPUTS 32 SITEPROP SLICE_X43Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y97 NUM_PINS 45 SITEPROP SLICE_X43Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y97 PROHIBIT 0 SITEPROP SLICE_X43Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y97 RPM_X 115 SITEPROP SLICE_X43Y97 RPM_Y 194 SITEPROP SLICE_X43Y97 SITE_PIPS SITEPROP SLICE_X43Y97 SITE_TYPE SLICEL SITEPROP SLICE_X43Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y98 CLASS site SITEPROP SLICE_X43Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y98 IS_BONDED 0 SITEPROP SLICE_X43Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y98 IS_PAD 0 SITEPROP SLICE_X43Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y98 IS_RESERVED 0 SITEPROP SLICE_X43Y98 IS_TEST 0 SITEPROP SLICE_X43Y98 IS_USED 0 SITEPROP SLICE_X43Y98 MANUAL_ROUTING SITEPROP SLICE_X43Y98 NAME SLICE_X43Y98 SITEPROP SLICE_X43Y98 NUM_ARCS 138 SITEPROP SLICE_X43Y98 NUM_BELS 32 SITEPROP SLICE_X43Y98 NUM_INPUTS 32 SITEPROP SLICE_X43Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y98 NUM_PINS 45 SITEPROP SLICE_X43Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y98 PROHIBIT 0 SITEPROP SLICE_X43Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y98 RPM_X 115 SITEPROP SLICE_X43Y98 RPM_Y 196 SITEPROP SLICE_X43Y98 SITE_PIPS SITEPROP SLICE_X43Y98 SITE_TYPE SLICEL SITEPROP SLICE_X43Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y99 CLASS site SITEPROP SLICE_X43Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X43Y99 IS_BONDED 0 SITEPROP SLICE_X43Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y99 IS_PAD 0 SITEPROP SLICE_X43Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y99 IS_RESERVED 0 SITEPROP SLICE_X43Y99 IS_TEST 0 SITEPROP SLICE_X43Y99 IS_USED 0 SITEPROP SLICE_X43Y99 MANUAL_ROUTING SITEPROP SLICE_X43Y99 NAME SLICE_X43Y99 SITEPROP SLICE_X43Y99 NUM_ARCS 138 SITEPROP SLICE_X43Y99 NUM_BELS 32 SITEPROP SLICE_X43Y99 NUM_INPUTS 32 SITEPROP SLICE_X43Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y99 NUM_PINS 45 SITEPROP SLICE_X43Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y99 PROHIBIT 0 SITEPROP SLICE_X43Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y99 RPM_X 115 SITEPROP SLICE_X43Y99 RPM_Y 198 SITEPROP SLICE_X43Y99 SITE_PIPS SITEPROP SLICE_X43Y99 SITE_TYPE SLICEL SITEPROP SLICE_X43Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y125 CLASS site SITEPROP SLICE_X43Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y125 IS_BONDED 0 SITEPROP SLICE_X43Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y125 IS_PAD 0 SITEPROP SLICE_X43Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y125 IS_RESERVED 0 SITEPROP SLICE_X43Y125 IS_TEST 0 SITEPROP SLICE_X43Y125 IS_USED 0 SITEPROP SLICE_X43Y125 MANUAL_ROUTING SITEPROP SLICE_X43Y125 NAME SLICE_X43Y125 SITEPROP SLICE_X43Y125 NUM_ARCS 138 SITEPROP SLICE_X43Y125 NUM_BELS 32 SITEPROP SLICE_X43Y125 NUM_INPUTS 32 SITEPROP SLICE_X43Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y125 NUM_PINS 45 SITEPROP SLICE_X43Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y125 PROHIBIT 0 SITEPROP SLICE_X43Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y125 RPM_X 115 SITEPROP SLICE_X43Y125 RPM_Y 250 SITEPROP SLICE_X43Y125 SITE_PIPS SITEPROP SLICE_X43Y125 SITE_TYPE SLICEL SITEPROP SLICE_X43Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y126 CLASS site SITEPROP SLICE_X43Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y126 IS_BONDED 0 SITEPROP SLICE_X43Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y126 IS_PAD 0 SITEPROP SLICE_X43Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y126 IS_RESERVED 0 SITEPROP SLICE_X43Y126 IS_TEST 0 SITEPROP SLICE_X43Y126 IS_USED 0 SITEPROP SLICE_X43Y126 MANUAL_ROUTING SITEPROP SLICE_X43Y126 NAME SLICE_X43Y126 SITEPROP SLICE_X43Y126 NUM_ARCS 138 SITEPROP SLICE_X43Y126 NUM_BELS 32 SITEPROP SLICE_X43Y126 NUM_INPUTS 32 SITEPROP SLICE_X43Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y126 NUM_PINS 45 SITEPROP SLICE_X43Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y126 PROHIBIT 0 SITEPROP SLICE_X43Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y126 RPM_X 115 SITEPROP SLICE_X43Y126 RPM_Y 252 SITEPROP SLICE_X43Y126 SITE_PIPS SITEPROP SLICE_X43Y126 SITE_TYPE SLICEL SITEPROP SLICE_X43Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y127 CLASS site SITEPROP SLICE_X43Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y127 IS_BONDED 0 SITEPROP SLICE_X43Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y127 IS_PAD 0 SITEPROP SLICE_X43Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y127 IS_RESERVED 0 SITEPROP SLICE_X43Y127 IS_TEST 0 SITEPROP SLICE_X43Y127 IS_USED 0 SITEPROP SLICE_X43Y127 MANUAL_ROUTING SITEPROP SLICE_X43Y127 NAME SLICE_X43Y127 SITEPROP SLICE_X43Y127 NUM_ARCS 138 SITEPROP SLICE_X43Y127 NUM_BELS 32 SITEPROP SLICE_X43Y127 NUM_INPUTS 32 SITEPROP SLICE_X43Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y127 NUM_PINS 45 SITEPROP SLICE_X43Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y127 PROHIBIT 0 SITEPROP SLICE_X43Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y127 RPM_X 115 SITEPROP SLICE_X43Y127 RPM_Y 254 SITEPROP SLICE_X43Y127 SITE_PIPS SITEPROP SLICE_X43Y127 SITE_TYPE SLICEL SITEPROP SLICE_X43Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y128 CLASS site SITEPROP SLICE_X43Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y128 IS_BONDED 0 SITEPROP SLICE_X43Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y128 IS_PAD 0 SITEPROP SLICE_X43Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y128 IS_RESERVED 0 SITEPROP SLICE_X43Y128 IS_TEST 0 SITEPROP SLICE_X43Y128 IS_USED 0 SITEPROP SLICE_X43Y128 MANUAL_ROUTING SITEPROP SLICE_X43Y128 NAME SLICE_X43Y128 SITEPROP SLICE_X43Y128 NUM_ARCS 138 SITEPROP SLICE_X43Y128 NUM_BELS 32 SITEPROP SLICE_X43Y128 NUM_INPUTS 32 SITEPROP SLICE_X43Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y128 NUM_PINS 45 SITEPROP SLICE_X43Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y128 PROHIBIT 0 SITEPROP SLICE_X43Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y128 RPM_X 115 SITEPROP SLICE_X43Y128 RPM_Y 256 SITEPROP SLICE_X43Y128 SITE_PIPS SITEPROP SLICE_X43Y128 SITE_TYPE SLICEL SITEPROP SLICE_X43Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y129 CLASS site SITEPROP SLICE_X43Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y129 IS_BONDED 0 SITEPROP SLICE_X43Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y129 IS_PAD 0 SITEPROP SLICE_X43Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y129 IS_RESERVED 0 SITEPROP SLICE_X43Y129 IS_TEST 0 SITEPROP SLICE_X43Y129 IS_USED 0 SITEPROP SLICE_X43Y129 MANUAL_ROUTING SITEPROP SLICE_X43Y129 NAME SLICE_X43Y129 SITEPROP SLICE_X43Y129 NUM_ARCS 138 SITEPROP SLICE_X43Y129 NUM_BELS 32 SITEPROP SLICE_X43Y129 NUM_INPUTS 32 SITEPROP SLICE_X43Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y129 NUM_PINS 45 SITEPROP SLICE_X43Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y129 PROHIBIT 0 SITEPROP SLICE_X43Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y129 RPM_X 115 SITEPROP SLICE_X43Y129 RPM_Y 258 SITEPROP SLICE_X43Y129 SITE_PIPS SITEPROP SLICE_X43Y129 SITE_TYPE SLICEL SITEPROP SLICE_X43Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y130 CLASS site SITEPROP SLICE_X43Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y130 IS_BONDED 0 SITEPROP SLICE_X43Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y130 IS_PAD 0 SITEPROP SLICE_X43Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y130 IS_RESERVED 0 SITEPROP SLICE_X43Y130 IS_TEST 0 SITEPROP SLICE_X43Y130 IS_USED 0 SITEPROP SLICE_X43Y130 MANUAL_ROUTING SITEPROP SLICE_X43Y130 NAME SLICE_X43Y130 SITEPROP SLICE_X43Y130 NUM_ARCS 138 SITEPROP SLICE_X43Y130 NUM_BELS 32 SITEPROP SLICE_X43Y130 NUM_INPUTS 32 SITEPROP SLICE_X43Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y130 NUM_PINS 45 SITEPROP SLICE_X43Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y130 PROHIBIT 0 SITEPROP SLICE_X43Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y130 RPM_X 115 SITEPROP SLICE_X43Y130 RPM_Y 260 SITEPROP SLICE_X43Y130 SITE_PIPS SITEPROP SLICE_X43Y130 SITE_TYPE SLICEL SITEPROP SLICE_X43Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y131 CLASS site SITEPROP SLICE_X43Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y131 IS_BONDED 0 SITEPROP SLICE_X43Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y131 IS_PAD 0 SITEPROP SLICE_X43Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y131 IS_RESERVED 0 SITEPROP SLICE_X43Y131 IS_TEST 0 SITEPROP SLICE_X43Y131 IS_USED 0 SITEPROP SLICE_X43Y131 MANUAL_ROUTING SITEPROP SLICE_X43Y131 NAME SLICE_X43Y131 SITEPROP SLICE_X43Y131 NUM_ARCS 138 SITEPROP SLICE_X43Y131 NUM_BELS 32 SITEPROP SLICE_X43Y131 NUM_INPUTS 32 SITEPROP SLICE_X43Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y131 NUM_PINS 45 SITEPROP SLICE_X43Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y131 PROHIBIT 0 SITEPROP SLICE_X43Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y131 RPM_X 115 SITEPROP SLICE_X43Y131 RPM_Y 262 SITEPROP SLICE_X43Y131 SITE_PIPS SITEPROP SLICE_X43Y131 SITE_TYPE SLICEL SITEPROP SLICE_X43Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y132 CLASS site SITEPROP SLICE_X43Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y132 IS_BONDED 0 SITEPROP SLICE_X43Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y132 IS_PAD 0 SITEPROP SLICE_X43Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y132 IS_RESERVED 0 SITEPROP SLICE_X43Y132 IS_TEST 0 SITEPROP SLICE_X43Y132 IS_USED 0 SITEPROP SLICE_X43Y132 MANUAL_ROUTING SITEPROP SLICE_X43Y132 NAME SLICE_X43Y132 SITEPROP SLICE_X43Y132 NUM_ARCS 138 SITEPROP SLICE_X43Y132 NUM_BELS 32 SITEPROP SLICE_X43Y132 NUM_INPUTS 32 SITEPROP SLICE_X43Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y132 NUM_PINS 45 SITEPROP SLICE_X43Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y132 PROHIBIT 0 SITEPROP SLICE_X43Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y132 RPM_X 115 SITEPROP SLICE_X43Y132 RPM_Y 264 SITEPROP SLICE_X43Y132 SITE_PIPS SITEPROP SLICE_X43Y132 SITE_TYPE SLICEL SITEPROP SLICE_X43Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y133 CLASS site SITEPROP SLICE_X43Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y133 IS_BONDED 0 SITEPROP SLICE_X43Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y133 IS_PAD 0 SITEPROP SLICE_X43Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y133 IS_RESERVED 0 SITEPROP SLICE_X43Y133 IS_TEST 0 SITEPROP SLICE_X43Y133 IS_USED 0 SITEPROP SLICE_X43Y133 MANUAL_ROUTING SITEPROP SLICE_X43Y133 NAME SLICE_X43Y133 SITEPROP SLICE_X43Y133 NUM_ARCS 138 SITEPROP SLICE_X43Y133 NUM_BELS 32 SITEPROP SLICE_X43Y133 NUM_INPUTS 32 SITEPROP SLICE_X43Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y133 NUM_PINS 45 SITEPROP SLICE_X43Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y133 PROHIBIT 0 SITEPROP SLICE_X43Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y133 RPM_X 115 SITEPROP SLICE_X43Y133 RPM_Y 266 SITEPROP SLICE_X43Y133 SITE_PIPS SITEPROP SLICE_X43Y133 SITE_TYPE SLICEL SITEPROP SLICE_X43Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y134 CLASS site SITEPROP SLICE_X43Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y134 IS_BONDED 0 SITEPROP SLICE_X43Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y134 IS_PAD 0 SITEPROP SLICE_X43Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y134 IS_RESERVED 0 SITEPROP SLICE_X43Y134 IS_TEST 0 SITEPROP SLICE_X43Y134 IS_USED 0 SITEPROP SLICE_X43Y134 MANUAL_ROUTING SITEPROP SLICE_X43Y134 NAME SLICE_X43Y134 SITEPROP SLICE_X43Y134 NUM_ARCS 138 SITEPROP SLICE_X43Y134 NUM_BELS 32 SITEPROP SLICE_X43Y134 NUM_INPUTS 32 SITEPROP SLICE_X43Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y134 NUM_PINS 45 SITEPROP SLICE_X43Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y134 PROHIBIT 0 SITEPROP SLICE_X43Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y134 RPM_X 115 SITEPROP SLICE_X43Y134 RPM_Y 268 SITEPROP SLICE_X43Y134 SITE_PIPS SITEPROP SLICE_X43Y134 SITE_TYPE SLICEL SITEPROP SLICE_X43Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y135 CLASS site SITEPROP SLICE_X43Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y135 IS_BONDED 0 SITEPROP SLICE_X43Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y135 IS_PAD 0 SITEPROP SLICE_X43Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y135 IS_RESERVED 0 SITEPROP SLICE_X43Y135 IS_TEST 0 SITEPROP SLICE_X43Y135 IS_USED 0 SITEPROP SLICE_X43Y135 MANUAL_ROUTING SITEPROP SLICE_X43Y135 NAME SLICE_X43Y135 SITEPROP SLICE_X43Y135 NUM_ARCS 138 SITEPROP SLICE_X43Y135 NUM_BELS 32 SITEPROP SLICE_X43Y135 NUM_INPUTS 32 SITEPROP SLICE_X43Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y135 NUM_PINS 45 SITEPROP SLICE_X43Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y135 PROHIBIT 0 SITEPROP SLICE_X43Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y135 RPM_X 115 SITEPROP SLICE_X43Y135 RPM_Y 270 SITEPROP SLICE_X43Y135 SITE_PIPS SITEPROP SLICE_X43Y135 SITE_TYPE SLICEL SITEPROP SLICE_X43Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y136 CLASS site SITEPROP SLICE_X43Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y136 IS_BONDED 0 SITEPROP SLICE_X43Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y136 IS_PAD 0 SITEPROP SLICE_X43Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y136 IS_RESERVED 0 SITEPROP SLICE_X43Y136 IS_TEST 0 SITEPROP SLICE_X43Y136 IS_USED 0 SITEPROP SLICE_X43Y136 MANUAL_ROUTING SITEPROP SLICE_X43Y136 NAME SLICE_X43Y136 SITEPROP SLICE_X43Y136 NUM_ARCS 138 SITEPROP SLICE_X43Y136 NUM_BELS 32 SITEPROP SLICE_X43Y136 NUM_INPUTS 32 SITEPROP SLICE_X43Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y136 NUM_PINS 45 SITEPROP SLICE_X43Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y136 PROHIBIT 0 SITEPROP SLICE_X43Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y136 RPM_X 115 SITEPROP SLICE_X43Y136 RPM_Y 272 SITEPROP SLICE_X43Y136 SITE_PIPS SITEPROP SLICE_X43Y136 SITE_TYPE SLICEL SITEPROP SLICE_X43Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y137 CLASS site SITEPROP SLICE_X43Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y137 IS_BONDED 0 SITEPROP SLICE_X43Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y137 IS_PAD 0 SITEPROP SLICE_X43Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y137 IS_RESERVED 0 SITEPROP SLICE_X43Y137 IS_TEST 0 SITEPROP SLICE_X43Y137 IS_USED 0 SITEPROP SLICE_X43Y137 MANUAL_ROUTING SITEPROP SLICE_X43Y137 NAME SLICE_X43Y137 SITEPROP SLICE_X43Y137 NUM_ARCS 138 SITEPROP SLICE_X43Y137 NUM_BELS 32 SITEPROP SLICE_X43Y137 NUM_INPUTS 32 SITEPROP SLICE_X43Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y137 NUM_PINS 45 SITEPROP SLICE_X43Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y137 PROHIBIT 0 SITEPROP SLICE_X43Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y137 RPM_X 115 SITEPROP SLICE_X43Y137 RPM_Y 274 SITEPROP SLICE_X43Y137 SITE_PIPS SITEPROP SLICE_X43Y137 SITE_TYPE SLICEL SITEPROP SLICE_X43Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y138 CLASS site SITEPROP SLICE_X43Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y138 IS_BONDED 0 SITEPROP SLICE_X43Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y138 IS_PAD 0 SITEPROP SLICE_X43Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y138 IS_RESERVED 0 SITEPROP SLICE_X43Y138 IS_TEST 0 SITEPROP SLICE_X43Y138 IS_USED 0 SITEPROP SLICE_X43Y138 MANUAL_ROUTING SITEPROP SLICE_X43Y138 NAME SLICE_X43Y138 SITEPROP SLICE_X43Y138 NUM_ARCS 138 SITEPROP SLICE_X43Y138 NUM_BELS 32 SITEPROP SLICE_X43Y138 NUM_INPUTS 32 SITEPROP SLICE_X43Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y138 NUM_PINS 45 SITEPROP SLICE_X43Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y138 PROHIBIT 0 SITEPROP SLICE_X43Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y138 RPM_X 115 SITEPROP SLICE_X43Y138 RPM_Y 276 SITEPROP SLICE_X43Y138 SITE_PIPS SITEPROP SLICE_X43Y138 SITE_TYPE SLICEL SITEPROP SLICE_X43Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y139 CLASS site SITEPROP SLICE_X43Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y139 IS_BONDED 0 SITEPROP SLICE_X43Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y139 IS_PAD 0 SITEPROP SLICE_X43Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y139 IS_RESERVED 0 SITEPROP SLICE_X43Y139 IS_TEST 0 SITEPROP SLICE_X43Y139 IS_USED 0 SITEPROP SLICE_X43Y139 MANUAL_ROUTING SITEPROP SLICE_X43Y139 NAME SLICE_X43Y139 SITEPROP SLICE_X43Y139 NUM_ARCS 138 SITEPROP SLICE_X43Y139 NUM_BELS 32 SITEPROP SLICE_X43Y139 NUM_INPUTS 32 SITEPROP SLICE_X43Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y139 NUM_PINS 45 SITEPROP SLICE_X43Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y139 PROHIBIT 0 SITEPROP SLICE_X43Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y139 RPM_X 115 SITEPROP SLICE_X43Y139 RPM_Y 278 SITEPROP SLICE_X43Y139 SITE_PIPS SITEPROP SLICE_X43Y139 SITE_TYPE SLICEL SITEPROP SLICE_X43Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y140 CLASS site SITEPROP SLICE_X43Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y140 IS_BONDED 0 SITEPROP SLICE_X43Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y140 IS_PAD 0 SITEPROP SLICE_X43Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y140 IS_RESERVED 0 SITEPROP SLICE_X43Y140 IS_TEST 0 SITEPROP SLICE_X43Y140 IS_USED 0 SITEPROP SLICE_X43Y140 MANUAL_ROUTING SITEPROP SLICE_X43Y140 NAME SLICE_X43Y140 SITEPROP SLICE_X43Y140 NUM_ARCS 138 SITEPROP SLICE_X43Y140 NUM_BELS 32 SITEPROP SLICE_X43Y140 NUM_INPUTS 32 SITEPROP SLICE_X43Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y140 NUM_PINS 45 SITEPROP SLICE_X43Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y140 PROHIBIT 0 SITEPROP SLICE_X43Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y140 RPM_X 115 SITEPROP SLICE_X43Y140 RPM_Y 280 SITEPROP SLICE_X43Y140 SITE_PIPS SITEPROP SLICE_X43Y140 SITE_TYPE SLICEL SITEPROP SLICE_X43Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y141 CLASS site SITEPROP SLICE_X43Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y141 IS_BONDED 0 SITEPROP SLICE_X43Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y141 IS_PAD 0 SITEPROP SLICE_X43Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y141 IS_RESERVED 0 SITEPROP SLICE_X43Y141 IS_TEST 0 SITEPROP SLICE_X43Y141 IS_USED 0 SITEPROP SLICE_X43Y141 MANUAL_ROUTING SITEPROP SLICE_X43Y141 NAME SLICE_X43Y141 SITEPROP SLICE_X43Y141 NUM_ARCS 138 SITEPROP SLICE_X43Y141 NUM_BELS 32 SITEPROP SLICE_X43Y141 NUM_INPUTS 32 SITEPROP SLICE_X43Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y141 NUM_PINS 45 SITEPROP SLICE_X43Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y141 PROHIBIT 0 SITEPROP SLICE_X43Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y141 RPM_X 115 SITEPROP SLICE_X43Y141 RPM_Y 282 SITEPROP SLICE_X43Y141 SITE_PIPS SITEPROP SLICE_X43Y141 SITE_TYPE SLICEL SITEPROP SLICE_X43Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y142 CLASS site SITEPROP SLICE_X43Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y142 IS_BONDED 0 SITEPROP SLICE_X43Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y142 IS_PAD 0 SITEPROP SLICE_X43Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y142 IS_RESERVED 0 SITEPROP SLICE_X43Y142 IS_TEST 0 SITEPROP SLICE_X43Y142 IS_USED 0 SITEPROP SLICE_X43Y142 MANUAL_ROUTING SITEPROP SLICE_X43Y142 NAME SLICE_X43Y142 SITEPROP SLICE_X43Y142 NUM_ARCS 138 SITEPROP SLICE_X43Y142 NUM_BELS 32 SITEPROP SLICE_X43Y142 NUM_INPUTS 32 SITEPROP SLICE_X43Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y142 NUM_PINS 45 SITEPROP SLICE_X43Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y142 PROHIBIT 0 SITEPROP SLICE_X43Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y142 RPM_X 115 SITEPROP SLICE_X43Y142 RPM_Y 284 SITEPROP SLICE_X43Y142 SITE_PIPS SITEPROP SLICE_X43Y142 SITE_TYPE SLICEL SITEPROP SLICE_X43Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y143 CLASS site SITEPROP SLICE_X43Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y143 IS_BONDED 0 SITEPROP SLICE_X43Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y143 IS_PAD 0 SITEPROP SLICE_X43Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y143 IS_RESERVED 0 SITEPROP SLICE_X43Y143 IS_TEST 0 SITEPROP SLICE_X43Y143 IS_USED 0 SITEPROP SLICE_X43Y143 MANUAL_ROUTING SITEPROP SLICE_X43Y143 NAME SLICE_X43Y143 SITEPROP SLICE_X43Y143 NUM_ARCS 138 SITEPROP SLICE_X43Y143 NUM_BELS 32 SITEPROP SLICE_X43Y143 NUM_INPUTS 32 SITEPROP SLICE_X43Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y143 NUM_PINS 45 SITEPROP SLICE_X43Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y143 PROHIBIT 0 SITEPROP SLICE_X43Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y143 RPM_X 115 SITEPROP SLICE_X43Y143 RPM_Y 286 SITEPROP SLICE_X43Y143 SITE_PIPS SITEPROP SLICE_X43Y143 SITE_TYPE SLICEL SITEPROP SLICE_X43Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y144 CLASS site SITEPROP SLICE_X43Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y144 IS_BONDED 0 SITEPROP SLICE_X43Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y144 IS_PAD 0 SITEPROP SLICE_X43Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y144 IS_RESERVED 0 SITEPROP SLICE_X43Y144 IS_TEST 0 SITEPROP SLICE_X43Y144 IS_USED 0 SITEPROP SLICE_X43Y144 MANUAL_ROUTING SITEPROP SLICE_X43Y144 NAME SLICE_X43Y144 SITEPROP SLICE_X43Y144 NUM_ARCS 138 SITEPROP SLICE_X43Y144 NUM_BELS 32 SITEPROP SLICE_X43Y144 NUM_INPUTS 32 SITEPROP SLICE_X43Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y144 NUM_PINS 45 SITEPROP SLICE_X43Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y144 PROHIBIT 0 SITEPROP SLICE_X43Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y144 RPM_X 115 SITEPROP SLICE_X43Y144 RPM_Y 288 SITEPROP SLICE_X43Y144 SITE_PIPS SITEPROP SLICE_X43Y144 SITE_TYPE SLICEL SITEPROP SLICE_X43Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y145 CLASS site SITEPROP SLICE_X43Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y145 IS_BONDED 0 SITEPROP SLICE_X43Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y145 IS_PAD 0 SITEPROP SLICE_X43Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y145 IS_RESERVED 0 SITEPROP SLICE_X43Y145 IS_TEST 0 SITEPROP SLICE_X43Y145 IS_USED 0 SITEPROP SLICE_X43Y145 MANUAL_ROUTING SITEPROP SLICE_X43Y145 NAME SLICE_X43Y145 SITEPROP SLICE_X43Y145 NUM_ARCS 138 SITEPROP SLICE_X43Y145 NUM_BELS 32 SITEPROP SLICE_X43Y145 NUM_INPUTS 32 SITEPROP SLICE_X43Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y145 NUM_PINS 45 SITEPROP SLICE_X43Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y145 PROHIBIT 0 SITEPROP SLICE_X43Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y145 RPM_X 115 SITEPROP SLICE_X43Y145 RPM_Y 290 SITEPROP SLICE_X43Y145 SITE_PIPS SITEPROP SLICE_X43Y145 SITE_TYPE SLICEL SITEPROP SLICE_X43Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y146 CLASS site SITEPROP SLICE_X43Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y146 IS_BONDED 0 SITEPROP SLICE_X43Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y146 IS_PAD 0 SITEPROP SLICE_X43Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y146 IS_RESERVED 0 SITEPROP SLICE_X43Y146 IS_TEST 0 SITEPROP SLICE_X43Y146 IS_USED 0 SITEPROP SLICE_X43Y146 MANUAL_ROUTING SITEPROP SLICE_X43Y146 NAME SLICE_X43Y146 SITEPROP SLICE_X43Y146 NUM_ARCS 138 SITEPROP SLICE_X43Y146 NUM_BELS 32 SITEPROP SLICE_X43Y146 NUM_INPUTS 32 SITEPROP SLICE_X43Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y146 NUM_PINS 45 SITEPROP SLICE_X43Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y146 PROHIBIT 0 SITEPROP SLICE_X43Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y146 RPM_X 115 SITEPROP SLICE_X43Y146 RPM_Y 292 SITEPROP SLICE_X43Y146 SITE_PIPS SITEPROP SLICE_X43Y146 SITE_TYPE SLICEL SITEPROP SLICE_X43Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y147 CLASS site SITEPROP SLICE_X43Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y147 IS_BONDED 0 SITEPROP SLICE_X43Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y147 IS_PAD 0 SITEPROP SLICE_X43Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y147 IS_RESERVED 0 SITEPROP SLICE_X43Y147 IS_TEST 0 SITEPROP SLICE_X43Y147 IS_USED 0 SITEPROP SLICE_X43Y147 MANUAL_ROUTING SITEPROP SLICE_X43Y147 NAME SLICE_X43Y147 SITEPROP SLICE_X43Y147 NUM_ARCS 138 SITEPROP SLICE_X43Y147 NUM_BELS 32 SITEPROP SLICE_X43Y147 NUM_INPUTS 32 SITEPROP SLICE_X43Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y147 NUM_PINS 45 SITEPROP SLICE_X43Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y147 PROHIBIT 0 SITEPROP SLICE_X43Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y147 RPM_X 115 SITEPROP SLICE_X43Y147 RPM_Y 294 SITEPROP SLICE_X43Y147 SITE_PIPS SITEPROP SLICE_X43Y147 SITE_TYPE SLICEL SITEPROP SLICE_X43Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y148 CLASS site SITEPROP SLICE_X43Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y148 IS_BONDED 0 SITEPROP SLICE_X43Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y148 IS_PAD 0 SITEPROP SLICE_X43Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y148 IS_RESERVED 0 SITEPROP SLICE_X43Y148 IS_TEST 0 SITEPROP SLICE_X43Y148 IS_USED 0 SITEPROP SLICE_X43Y148 MANUAL_ROUTING SITEPROP SLICE_X43Y148 NAME SLICE_X43Y148 SITEPROP SLICE_X43Y148 NUM_ARCS 138 SITEPROP SLICE_X43Y148 NUM_BELS 32 SITEPROP SLICE_X43Y148 NUM_INPUTS 32 SITEPROP SLICE_X43Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y148 NUM_PINS 45 SITEPROP SLICE_X43Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y148 PROHIBIT 0 SITEPROP SLICE_X43Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y148 RPM_X 115 SITEPROP SLICE_X43Y148 RPM_Y 296 SITEPROP SLICE_X43Y148 SITE_PIPS SITEPROP SLICE_X43Y148 SITE_TYPE SLICEL SITEPROP SLICE_X43Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X43Y149 CLASS site SITEPROP SLICE_X43Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X43Y149 IS_BONDED 0 SITEPROP SLICE_X43Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X43Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y149 IS_PAD 0 SITEPROP SLICE_X43Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X43Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X43Y149 IS_RESERVED 0 SITEPROP SLICE_X43Y149 IS_TEST 0 SITEPROP SLICE_X43Y149 IS_USED 0 SITEPROP SLICE_X43Y149 MANUAL_ROUTING SITEPROP SLICE_X43Y149 NAME SLICE_X43Y149 SITEPROP SLICE_X43Y149 NUM_ARCS 138 SITEPROP SLICE_X43Y149 NUM_BELS 32 SITEPROP SLICE_X43Y149 NUM_INPUTS 32 SITEPROP SLICE_X43Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X43Y149 NUM_PINS 45 SITEPROP SLICE_X43Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X43Y149 PROHIBIT 0 SITEPROP SLICE_X43Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X43Y149 RPM_X 115 SITEPROP SLICE_X43Y149 RPM_Y 298 SITEPROP SLICE_X43Y149 SITE_PIPS SITEPROP SLICE_X43Y149 SITE_TYPE SLICEL SITEPROP SLICE_X44Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y0 CLASS site SITEPROP SLICE_X44Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y0 IS_BONDED 0 SITEPROP SLICE_X44Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y0 IS_PAD 0 SITEPROP SLICE_X44Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y0 IS_RESERVED 0 SITEPROP SLICE_X44Y0 IS_TEST 0 SITEPROP SLICE_X44Y0 IS_USED 0 SITEPROP SLICE_X44Y0 MANUAL_ROUTING SITEPROP SLICE_X44Y0 NAME SLICE_X44Y0 SITEPROP SLICE_X44Y0 NUM_ARCS 138 SITEPROP SLICE_X44Y0 NUM_BELS 32 SITEPROP SLICE_X44Y0 NUM_INPUTS 32 SITEPROP SLICE_X44Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y0 NUM_PINS 45 SITEPROP SLICE_X44Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y0 PROHIBIT 0 SITEPROP SLICE_X44Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y0 RPM_X 117 SITEPROP SLICE_X44Y0 RPM_Y 0 SITEPROP SLICE_X44Y0 SITE_PIPS SITEPROP SLICE_X44Y0 SITE_TYPE SLICEL SITEPROP SLICE_X44Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y1 CLASS site SITEPROP SLICE_X44Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y1 IS_BONDED 0 SITEPROP SLICE_X44Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y1 IS_PAD 0 SITEPROP SLICE_X44Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y1 IS_RESERVED 0 SITEPROP SLICE_X44Y1 IS_TEST 0 SITEPROP SLICE_X44Y1 IS_USED 0 SITEPROP SLICE_X44Y1 MANUAL_ROUTING SITEPROP SLICE_X44Y1 NAME SLICE_X44Y1 SITEPROP SLICE_X44Y1 NUM_ARCS 138 SITEPROP SLICE_X44Y1 NUM_BELS 32 SITEPROP SLICE_X44Y1 NUM_INPUTS 32 SITEPROP SLICE_X44Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y1 NUM_PINS 45 SITEPROP SLICE_X44Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y1 PROHIBIT 0 SITEPROP SLICE_X44Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y1 RPM_X 117 SITEPROP SLICE_X44Y1 RPM_Y 2 SITEPROP SLICE_X44Y1 SITE_PIPS SITEPROP SLICE_X44Y1 SITE_TYPE SLICEL SITEPROP SLICE_X44Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y2 CLASS site SITEPROP SLICE_X44Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y2 IS_BONDED 0 SITEPROP SLICE_X44Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y2 IS_PAD 0 SITEPROP SLICE_X44Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y2 IS_RESERVED 0 SITEPROP SLICE_X44Y2 IS_TEST 0 SITEPROP SLICE_X44Y2 IS_USED 0 SITEPROP SLICE_X44Y2 MANUAL_ROUTING SITEPROP SLICE_X44Y2 NAME SLICE_X44Y2 SITEPROP SLICE_X44Y2 NUM_ARCS 138 SITEPROP SLICE_X44Y2 NUM_BELS 32 SITEPROP SLICE_X44Y2 NUM_INPUTS 32 SITEPROP SLICE_X44Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y2 NUM_PINS 45 SITEPROP SLICE_X44Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y2 PROHIBIT 0 SITEPROP SLICE_X44Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y2 RPM_X 117 SITEPROP SLICE_X44Y2 RPM_Y 4 SITEPROP SLICE_X44Y2 SITE_PIPS SITEPROP SLICE_X44Y2 SITE_TYPE SLICEL SITEPROP SLICE_X44Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y3 CLASS site SITEPROP SLICE_X44Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y3 IS_BONDED 0 SITEPROP SLICE_X44Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y3 IS_PAD 0 SITEPROP SLICE_X44Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y3 IS_RESERVED 0 SITEPROP SLICE_X44Y3 IS_TEST 0 SITEPROP SLICE_X44Y3 IS_USED 0 SITEPROP SLICE_X44Y3 MANUAL_ROUTING SITEPROP SLICE_X44Y3 NAME SLICE_X44Y3 SITEPROP SLICE_X44Y3 NUM_ARCS 138 SITEPROP SLICE_X44Y3 NUM_BELS 32 SITEPROP SLICE_X44Y3 NUM_INPUTS 32 SITEPROP SLICE_X44Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y3 NUM_PINS 45 SITEPROP SLICE_X44Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y3 PROHIBIT 0 SITEPROP SLICE_X44Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y3 RPM_X 117 SITEPROP SLICE_X44Y3 RPM_Y 6 SITEPROP SLICE_X44Y3 SITE_PIPS SITEPROP SLICE_X44Y3 SITE_TYPE SLICEL SITEPROP SLICE_X44Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y4 CLASS site SITEPROP SLICE_X44Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y4 IS_BONDED 0 SITEPROP SLICE_X44Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y4 IS_PAD 0 SITEPROP SLICE_X44Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y4 IS_RESERVED 0 SITEPROP SLICE_X44Y4 IS_TEST 0 SITEPROP SLICE_X44Y4 IS_USED 0 SITEPROP SLICE_X44Y4 MANUAL_ROUTING SITEPROP SLICE_X44Y4 NAME SLICE_X44Y4 SITEPROP SLICE_X44Y4 NUM_ARCS 138 SITEPROP SLICE_X44Y4 NUM_BELS 32 SITEPROP SLICE_X44Y4 NUM_INPUTS 32 SITEPROP SLICE_X44Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y4 NUM_PINS 45 SITEPROP SLICE_X44Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y4 PROHIBIT 0 SITEPROP SLICE_X44Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y4 RPM_X 117 SITEPROP SLICE_X44Y4 RPM_Y 8 SITEPROP SLICE_X44Y4 SITE_PIPS SITEPROP SLICE_X44Y4 SITE_TYPE SLICEL SITEPROP SLICE_X44Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y5 CLASS site SITEPROP SLICE_X44Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y5 IS_BONDED 0 SITEPROP SLICE_X44Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y5 IS_PAD 0 SITEPROP SLICE_X44Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y5 IS_RESERVED 0 SITEPROP SLICE_X44Y5 IS_TEST 0 SITEPROP SLICE_X44Y5 IS_USED 0 SITEPROP SLICE_X44Y5 MANUAL_ROUTING SITEPROP SLICE_X44Y5 NAME SLICE_X44Y5 SITEPROP SLICE_X44Y5 NUM_ARCS 138 SITEPROP SLICE_X44Y5 NUM_BELS 32 SITEPROP SLICE_X44Y5 NUM_INPUTS 32 SITEPROP SLICE_X44Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y5 NUM_PINS 45 SITEPROP SLICE_X44Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y5 PROHIBIT 0 SITEPROP SLICE_X44Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y5 RPM_X 117 SITEPROP SLICE_X44Y5 RPM_Y 10 SITEPROP SLICE_X44Y5 SITE_PIPS SITEPROP SLICE_X44Y5 SITE_TYPE SLICEL SITEPROP SLICE_X44Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y6 CLASS site SITEPROP SLICE_X44Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y6 IS_BONDED 0 SITEPROP SLICE_X44Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y6 IS_PAD 0 SITEPROP SLICE_X44Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y6 IS_RESERVED 0 SITEPROP SLICE_X44Y6 IS_TEST 0 SITEPROP SLICE_X44Y6 IS_USED 0 SITEPROP SLICE_X44Y6 MANUAL_ROUTING SITEPROP SLICE_X44Y6 NAME SLICE_X44Y6 SITEPROP SLICE_X44Y6 NUM_ARCS 138 SITEPROP SLICE_X44Y6 NUM_BELS 32 SITEPROP SLICE_X44Y6 NUM_INPUTS 32 SITEPROP SLICE_X44Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y6 NUM_PINS 45 SITEPROP SLICE_X44Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y6 PROHIBIT 0 SITEPROP SLICE_X44Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y6 RPM_X 117 SITEPROP SLICE_X44Y6 RPM_Y 12 SITEPROP SLICE_X44Y6 SITE_PIPS SITEPROP SLICE_X44Y6 SITE_TYPE SLICEL SITEPROP SLICE_X44Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y7 CLASS site SITEPROP SLICE_X44Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y7 IS_BONDED 0 SITEPROP SLICE_X44Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y7 IS_PAD 0 SITEPROP SLICE_X44Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y7 IS_RESERVED 0 SITEPROP SLICE_X44Y7 IS_TEST 0 SITEPROP SLICE_X44Y7 IS_USED 0 SITEPROP SLICE_X44Y7 MANUAL_ROUTING SITEPROP SLICE_X44Y7 NAME SLICE_X44Y7 SITEPROP SLICE_X44Y7 NUM_ARCS 138 SITEPROP SLICE_X44Y7 NUM_BELS 32 SITEPROP SLICE_X44Y7 NUM_INPUTS 32 SITEPROP SLICE_X44Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y7 NUM_PINS 45 SITEPROP SLICE_X44Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y7 PROHIBIT 0 SITEPROP SLICE_X44Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y7 RPM_X 117 SITEPROP SLICE_X44Y7 RPM_Y 14 SITEPROP SLICE_X44Y7 SITE_PIPS SITEPROP SLICE_X44Y7 SITE_TYPE SLICEL SITEPROP SLICE_X44Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y8 CLASS site SITEPROP SLICE_X44Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y8 IS_BONDED 0 SITEPROP SLICE_X44Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y8 IS_PAD 0 SITEPROP SLICE_X44Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y8 IS_RESERVED 0 SITEPROP SLICE_X44Y8 IS_TEST 0 SITEPROP SLICE_X44Y8 IS_USED 0 SITEPROP SLICE_X44Y8 MANUAL_ROUTING SITEPROP SLICE_X44Y8 NAME SLICE_X44Y8 SITEPROP SLICE_X44Y8 NUM_ARCS 138 SITEPROP SLICE_X44Y8 NUM_BELS 32 SITEPROP SLICE_X44Y8 NUM_INPUTS 32 SITEPROP SLICE_X44Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y8 NUM_PINS 45 SITEPROP SLICE_X44Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y8 PROHIBIT 0 SITEPROP SLICE_X44Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y8 RPM_X 117 SITEPROP SLICE_X44Y8 RPM_Y 16 SITEPROP SLICE_X44Y8 SITE_PIPS SITEPROP SLICE_X44Y8 SITE_TYPE SLICEL SITEPROP SLICE_X44Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y9 CLASS site SITEPROP SLICE_X44Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y9 IS_BONDED 0 SITEPROP SLICE_X44Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y9 IS_PAD 0 SITEPROP SLICE_X44Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y9 IS_RESERVED 0 SITEPROP SLICE_X44Y9 IS_TEST 0 SITEPROP SLICE_X44Y9 IS_USED 0 SITEPROP SLICE_X44Y9 MANUAL_ROUTING SITEPROP SLICE_X44Y9 NAME SLICE_X44Y9 SITEPROP SLICE_X44Y9 NUM_ARCS 138 SITEPROP SLICE_X44Y9 NUM_BELS 32 SITEPROP SLICE_X44Y9 NUM_INPUTS 32 SITEPROP SLICE_X44Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y9 NUM_PINS 45 SITEPROP SLICE_X44Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y9 PROHIBIT 0 SITEPROP SLICE_X44Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y9 RPM_X 117 SITEPROP SLICE_X44Y9 RPM_Y 18 SITEPROP SLICE_X44Y9 SITE_PIPS SITEPROP SLICE_X44Y9 SITE_TYPE SLICEL SITEPROP SLICE_X44Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y10 CLASS site SITEPROP SLICE_X44Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y10 IS_BONDED 0 SITEPROP SLICE_X44Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y10 IS_PAD 0 SITEPROP SLICE_X44Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y10 IS_RESERVED 0 SITEPROP SLICE_X44Y10 IS_TEST 0 SITEPROP SLICE_X44Y10 IS_USED 0 SITEPROP SLICE_X44Y10 MANUAL_ROUTING SITEPROP SLICE_X44Y10 NAME SLICE_X44Y10 SITEPROP SLICE_X44Y10 NUM_ARCS 138 SITEPROP SLICE_X44Y10 NUM_BELS 32 SITEPROP SLICE_X44Y10 NUM_INPUTS 32 SITEPROP SLICE_X44Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y10 NUM_PINS 45 SITEPROP SLICE_X44Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y10 PROHIBIT 0 SITEPROP SLICE_X44Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y10 RPM_X 117 SITEPROP SLICE_X44Y10 RPM_Y 20 SITEPROP SLICE_X44Y10 SITE_PIPS SITEPROP SLICE_X44Y10 SITE_TYPE SLICEL SITEPROP SLICE_X44Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y11 CLASS site SITEPROP SLICE_X44Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y11 IS_BONDED 0 SITEPROP SLICE_X44Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y11 IS_PAD 0 SITEPROP SLICE_X44Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y11 IS_RESERVED 0 SITEPROP SLICE_X44Y11 IS_TEST 0 SITEPROP SLICE_X44Y11 IS_USED 0 SITEPROP SLICE_X44Y11 MANUAL_ROUTING SITEPROP SLICE_X44Y11 NAME SLICE_X44Y11 SITEPROP SLICE_X44Y11 NUM_ARCS 138 SITEPROP SLICE_X44Y11 NUM_BELS 32 SITEPROP SLICE_X44Y11 NUM_INPUTS 32 SITEPROP SLICE_X44Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y11 NUM_PINS 45 SITEPROP SLICE_X44Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y11 PROHIBIT 0 SITEPROP SLICE_X44Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y11 RPM_X 117 SITEPROP SLICE_X44Y11 RPM_Y 22 SITEPROP SLICE_X44Y11 SITE_PIPS SITEPROP SLICE_X44Y11 SITE_TYPE SLICEL SITEPROP SLICE_X44Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y12 CLASS site SITEPROP SLICE_X44Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y12 IS_BONDED 0 SITEPROP SLICE_X44Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y12 IS_PAD 0 SITEPROP SLICE_X44Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y12 IS_RESERVED 0 SITEPROP SLICE_X44Y12 IS_TEST 0 SITEPROP SLICE_X44Y12 IS_USED 0 SITEPROP SLICE_X44Y12 MANUAL_ROUTING SITEPROP SLICE_X44Y12 NAME SLICE_X44Y12 SITEPROP SLICE_X44Y12 NUM_ARCS 138 SITEPROP SLICE_X44Y12 NUM_BELS 32 SITEPROP SLICE_X44Y12 NUM_INPUTS 32 SITEPROP SLICE_X44Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y12 NUM_PINS 45 SITEPROP SLICE_X44Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y12 PROHIBIT 0 SITEPROP SLICE_X44Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y12 RPM_X 117 SITEPROP SLICE_X44Y12 RPM_Y 24 SITEPROP SLICE_X44Y12 SITE_PIPS SITEPROP SLICE_X44Y12 SITE_TYPE SLICEL SITEPROP SLICE_X44Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y13 CLASS site SITEPROP SLICE_X44Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y13 IS_BONDED 0 SITEPROP SLICE_X44Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y13 IS_PAD 0 SITEPROP SLICE_X44Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y13 IS_RESERVED 0 SITEPROP SLICE_X44Y13 IS_TEST 0 SITEPROP SLICE_X44Y13 IS_USED 0 SITEPROP SLICE_X44Y13 MANUAL_ROUTING SITEPROP SLICE_X44Y13 NAME SLICE_X44Y13 SITEPROP SLICE_X44Y13 NUM_ARCS 138 SITEPROP SLICE_X44Y13 NUM_BELS 32 SITEPROP SLICE_X44Y13 NUM_INPUTS 32 SITEPROP SLICE_X44Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y13 NUM_PINS 45 SITEPROP SLICE_X44Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y13 PROHIBIT 0 SITEPROP SLICE_X44Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y13 RPM_X 117 SITEPROP SLICE_X44Y13 RPM_Y 26 SITEPROP SLICE_X44Y13 SITE_PIPS SITEPROP SLICE_X44Y13 SITE_TYPE SLICEL SITEPROP SLICE_X44Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y14 CLASS site SITEPROP SLICE_X44Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y14 IS_BONDED 0 SITEPROP SLICE_X44Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y14 IS_PAD 0 SITEPROP SLICE_X44Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y14 IS_RESERVED 0 SITEPROP SLICE_X44Y14 IS_TEST 0 SITEPROP SLICE_X44Y14 IS_USED 0 SITEPROP SLICE_X44Y14 MANUAL_ROUTING SITEPROP SLICE_X44Y14 NAME SLICE_X44Y14 SITEPROP SLICE_X44Y14 NUM_ARCS 138 SITEPROP SLICE_X44Y14 NUM_BELS 32 SITEPROP SLICE_X44Y14 NUM_INPUTS 32 SITEPROP SLICE_X44Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y14 NUM_PINS 45 SITEPROP SLICE_X44Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y14 PROHIBIT 0 SITEPROP SLICE_X44Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y14 RPM_X 117 SITEPROP SLICE_X44Y14 RPM_Y 28 SITEPROP SLICE_X44Y14 SITE_PIPS SITEPROP SLICE_X44Y14 SITE_TYPE SLICEL SITEPROP SLICE_X44Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y15 CLASS site SITEPROP SLICE_X44Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y15 IS_BONDED 0 SITEPROP SLICE_X44Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y15 IS_PAD 0 SITEPROP SLICE_X44Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y15 IS_RESERVED 0 SITEPROP SLICE_X44Y15 IS_TEST 0 SITEPROP SLICE_X44Y15 IS_USED 0 SITEPROP SLICE_X44Y15 MANUAL_ROUTING SITEPROP SLICE_X44Y15 NAME SLICE_X44Y15 SITEPROP SLICE_X44Y15 NUM_ARCS 138 SITEPROP SLICE_X44Y15 NUM_BELS 32 SITEPROP SLICE_X44Y15 NUM_INPUTS 32 SITEPROP SLICE_X44Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y15 NUM_PINS 45 SITEPROP SLICE_X44Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y15 PROHIBIT 0 SITEPROP SLICE_X44Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y15 RPM_X 117 SITEPROP SLICE_X44Y15 RPM_Y 30 SITEPROP SLICE_X44Y15 SITE_PIPS SITEPROP SLICE_X44Y15 SITE_TYPE SLICEL SITEPROP SLICE_X44Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y16 CLASS site SITEPROP SLICE_X44Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y16 IS_BONDED 0 SITEPROP SLICE_X44Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y16 IS_PAD 0 SITEPROP SLICE_X44Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y16 IS_RESERVED 0 SITEPROP SLICE_X44Y16 IS_TEST 0 SITEPROP SLICE_X44Y16 IS_USED 0 SITEPROP SLICE_X44Y16 MANUAL_ROUTING SITEPROP SLICE_X44Y16 NAME SLICE_X44Y16 SITEPROP SLICE_X44Y16 NUM_ARCS 138 SITEPROP SLICE_X44Y16 NUM_BELS 32 SITEPROP SLICE_X44Y16 NUM_INPUTS 32 SITEPROP SLICE_X44Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y16 NUM_PINS 45 SITEPROP SLICE_X44Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y16 PROHIBIT 0 SITEPROP SLICE_X44Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y16 RPM_X 117 SITEPROP SLICE_X44Y16 RPM_Y 32 SITEPROP SLICE_X44Y16 SITE_PIPS SITEPROP SLICE_X44Y16 SITE_TYPE SLICEL SITEPROP SLICE_X44Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y17 CLASS site SITEPROP SLICE_X44Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y17 IS_BONDED 0 SITEPROP SLICE_X44Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y17 IS_PAD 0 SITEPROP SLICE_X44Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y17 IS_RESERVED 0 SITEPROP SLICE_X44Y17 IS_TEST 0 SITEPROP SLICE_X44Y17 IS_USED 0 SITEPROP SLICE_X44Y17 MANUAL_ROUTING SITEPROP SLICE_X44Y17 NAME SLICE_X44Y17 SITEPROP SLICE_X44Y17 NUM_ARCS 138 SITEPROP SLICE_X44Y17 NUM_BELS 32 SITEPROP SLICE_X44Y17 NUM_INPUTS 32 SITEPROP SLICE_X44Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y17 NUM_PINS 45 SITEPROP SLICE_X44Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y17 PROHIBIT 0 SITEPROP SLICE_X44Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y17 RPM_X 117 SITEPROP SLICE_X44Y17 RPM_Y 34 SITEPROP SLICE_X44Y17 SITE_PIPS SITEPROP SLICE_X44Y17 SITE_TYPE SLICEL SITEPROP SLICE_X44Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y18 CLASS site SITEPROP SLICE_X44Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y18 IS_BONDED 0 SITEPROP SLICE_X44Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y18 IS_PAD 0 SITEPROP SLICE_X44Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y18 IS_RESERVED 0 SITEPROP SLICE_X44Y18 IS_TEST 0 SITEPROP SLICE_X44Y18 IS_USED 0 SITEPROP SLICE_X44Y18 MANUAL_ROUTING SITEPROP SLICE_X44Y18 NAME SLICE_X44Y18 SITEPROP SLICE_X44Y18 NUM_ARCS 138 SITEPROP SLICE_X44Y18 NUM_BELS 32 SITEPROP SLICE_X44Y18 NUM_INPUTS 32 SITEPROP SLICE_X44Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y18 NUM_PINS 45 SITEPROP SLICE_X44Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y18 PROHIBIT 0 SITEPROP SLICE_X44Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y18 RPM_X 117 SITEPROP SLICE_X44Y18 RPM_Y 36 SITEPROP SLICE_X44Y18 SITE_PIPS SITEPROP SLICE_X44Y18 SITE_TYPE SLICEL SITEPROP SLICE_X44Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y19 CLASS site SITEPROP SLICE_X44Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y19 IS_BONDED 0 SITEPROP SLICE_X44Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y19 IS_PAD 0 SITEPROP SLICE_X44Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y19 IS_RESERVED 0 SITEPROP SLICE_X44Y19 IS_TEST 0 SITEPROP SLICE_X44Y19 IS_USED 0 SITEPROP SLICE_X44Y19 MANUAL_ROUTING SITEPROP SLICE_X44Y19 NAME SLICE_X44Y19 SITEPROP SLICE_X44Y19 NUM_ARCS 138 SITEPROP SLICE_X44Y19 NUM_BELS 32 SITEPROP SLICE_X44Y19 NUM_INPUTS 32 SITEPROP SLICE_X44Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y19 NUM_PINS 45 SITEPROP SLICE_X44Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y19 PROHIBIT 0 SITEPROP SLICE_X44Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y19 RPM_X 117 SITEPROP SLICE_X44Y19 RPM_Y 38 SITEPROP SLICE_X44Y19 SITE_PIPS SITEPROP SLICE_X44Y19 SITE_TYPE SLICEL SITEPROP SLICE_X44Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y20 CLASS site SITEPROP SLICE_X44Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y20 IS_BONDED 0 SITEPROP SLICE_X44Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y20 IS_PAD 0 SITEPROP SLICE_X44Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y20 IS_RESERVED 0 SITEPROP SLICE_X44Y20 IS_TEST 0 SITEPROP SLICE_X44Y20 IS_USED 0 SITEPROP SLICE_X44Y20 MANUAL_ROUTING SITEPROP SLICE_X44Y20 NAME SLICE_X44Y20 SITEPROP SLICE_X44Y20 NUM_ARCS 138 SITEPROP SLICE_X44Y20 NUM_BELS 32 SITEPROP SLICE_X44Y20 NUM_INPUTS 32 SITEPROP SLICE_X44Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y20 NUM_PINS 45 SITEPROP SLICE_X44Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y20 PROHIBIT 0 SITEPROP SLICE_X44Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y20 RPM_X 117 SITEPROP SLICE_X44Y20 RPM_Y 40 SITEPROP SLICE_X44Y20 SITE_PIPS SITEPROP SLICE_X44Y20 SITE_TYPE SLICEL SITEPROP SLICE_X44Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y21 CLASS site SITEPROP SLICE_X44Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y21 IS_BONDED 0 SITEPROP SLICE_X44Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y21 IS_PAD 0 SITEPROP SLICE_X44Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y21 IS_RESERVED 0 SITEPROP SLICE_X44Y21 IS_TEST 0 SITEPROP SLICE_X44Y21 IS_USED 0 SITEPROP SLICE_X44Y21 MANUAL_ROUTING SITEPROP SLICE_X44Y21 NAME SLICE_X44Y21 SITEPROP SLICE_X44Y21 NUM_ARCS 138 SITEPROP SLICE_X44Y21 NUM_BELS 32 SITEPROP SLICE_X44Y21 NUM_INPUTS 32 SITEPROP SLICE_X44Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y21 NUM_PINS 45 SITEPROP SLICE_X44Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y21 PROHIBIT 0 SITEPROP SLICE_X44Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y21 RPM_X 117 SITEPROP SLICE_X44Y21 RPM_Y 42 SITEPROP SLICE_X44Y21 SITE_PIPS SITEPROP SLICE_X44Y21 SITE_TYPE SLICEL SITEPROP SLICE_X44Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y22 CLASS site SITEPROP SLICE_X44Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y22 IS_BONDED 0 SITEPROP SLICE_X44Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y22 IS_PAD 0 SITEPROP SLICE_X44Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y22 IS_RESERVED 0 SITEPROP SLICE_X44Y22 IS_TEST 0 SITEPROP SLICE_X44Y22 IS_USED 0 SITEPROP SLICE_X44Y22 MANUAL_ROUTING SITEPROP SLICE_X44Y22 NAME SLICE_X44Y22 SITEPROP SLICE_X44Y22 NUM_ARCS 138 SITEPROP SLICE_X44Y22 NUM_BELS 32 SITEPROP SLICE_X44Y22 NUM_INPUTS 32 SITEPROP SLICE_X44Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y22 NUM_PINS 45 SITEPROP SLICE_X44Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y22 PROHIBIT 0 SITEPROP SLICE_X44Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y22 RPM_X 117 SITEPROP SLICE_X44Y22 RPM_Y 44 SITEPROP SLICE_X44Y22 SITE_PIPS SITEPROP SLICE_X44Y22 SITE_TYPE SLICEL SITEPROP SLICE_X44Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y23 CLASS site SITEPROP SLICE_X44Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y23 IS_BONDED 0 SITEPROP SLICE_X44Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y23 IS_PAD 0 SITEPROP SLICE_X44Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y23 IS_RESERVED 0 SITEPROP SLICE_X44Y23 IS_TEST 0 SITEPROP SLICE_X44Y23 IS_USED 0 SITEPROP SLICE_X44Y23 MANUAL_ROUTING SITEPROP SLICE_X44Y23 NAME SLICE_X44Y23 SITEPROP SLICE_X44Y23 NUM_ARCS 138 SITEPROP SLICE_X44Y23 NUM_BELS 32 SITEPROP SLICE_X44Y23 NUM_INPUTS 32 SITEPROP SLICE_X44Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y23 NUM_PINS 45 SITEPROP SLICE_X44Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y23 PROHIBIT 0 SITEPROP SLICE_X44Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y23 RPM_X 117 SITEPROP SLICE_X44Y23 RPM_Y 46 SITEPROP SLICE_X44Y23 SITE_PIPS SITEPROP SLICE_X44Y23 SITE_TYPE SLICEL SITEPROP SLICE_X44Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y24 CLASS site SITEPROP SLICE_X44Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y24 IS_BONDED 0 SITEPROP SLICE_X44Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y24 IS_PAD 0 SITEPROP SLICE_X44Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y24 IS_RESERVED 0 SITEPROP SLICE_X44Y24 IS_TEST 0 SITEPROP SLICE_X44Y24 IS_USED 0 SITEPROP SLICE_X44Y24 MANUAL_ROUTING SITEPROP SLICE_X44Y24 NAME SLICE_X44Y24 SITEPROP SLICE_X44Y24 NUM_ARCS 138 SITEPROP SLICE_X44Y24 NUM_BELS 32 SITEPROP SLICE_X44Y24 NUM_INPUTS 32 SITEPROP SLICE_X44Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y24 NUM_PINS 45 SITEPROP SLICE_X44Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y24 PROHIBIT 0 SITEPROP SLICE_X44Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y24 RPM_X 117 SITEPROP SLICE_X44Y24 RPM_Y 48 SITEPROP SLICE_X44Y24 SITE_PIPS SITEPROP SLICE_X44Y24 SITE_TYPE SLICEL SITEPROP SLICE_X44Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y25 CLASS site SITEPROP SLICE_X44Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y25 IS_BONDED 0 SITEPROP SLICE_X44Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y25 IS_PAD 0 SITEPROP SLICE_X44Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y25 IS_RESERVED 0 SITEPROP SLICE_X44Y25 IS_TEST 0 SITEPROP SLICE_X44Y25 IS_USED 0 SITEPROP SLICE_X44Y25 MANUAL_ROUTING SITEPROP SLICE_X44Y25 NAME SLICE_X44Y25 SITEPROP SLICE_X44Y25 NUM_ARCS 138 SITEPROP SLICE_X44Y25 NUM_BELS 32 SITEPROP SLICE_X44Y25 NUM_INPUTS 32 SITEPROP SLICE_X44Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y25 NUM_PINS 45 SITEPROP SLICE_X44Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y25 PROHIBIT 0 SITEPROP SLICE_X44Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y25 RPM_X 117 SITEPROP SLICE_X44Y25 RPM_Y 50 SITEPROP SLICE_X44Y25 SITE_PIPS SITEPROP SLICE_X44Y25 SITE_TYPE SLICEL SITEPROP SLICE_X44Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y26 CLASS site SITEPROP SLICE_X44Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y26 IS_BONDED 0 SITEPROP SLICE_X44Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y26 IS_PAD 0 SITEPROP SLICE_X44Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y26 IS_RESERVED 0 SITEPROP SLICE_X44Y26 IS_TEST 0 SITEPROP SLICE_X44Y26 IS_USED 0 SITEPROP SLICE_X44Y26 MANUAL_ROUTING SITEPROP SLICE_X44Y26 NAME SLICE_X44Y26 SITEPROP SLICE_X44Y26 NUM_ARCS 138 SITEPROP SLICE_X44Y26 NUM_BELS 32 SITEPROP SLICE_X44Y26 NUM_INPUTS 32 SITEPROP SLICE_X44Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y26 NUM_PINS 45 SITEPROP SLICE_X44Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y26 PROHIBIT 0 SITEPROP SLICE_X44Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y26 RPM_X 117 SITEPROP SLICE_X44Y26 RPM_Y 52 SITEPROP SLICE_X44Y26 SITE_PIPS SITEPROP SLICE_X44Y26 SITE_TYPE SLICEL SITEPROP SLICE_X44Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y27 CLASS site SITEPROP SLICE_X44Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y27 IS_BONDED 0 SITEPROP SLICE_X44Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y27 IS_PAD 0 SITEPROP SLICE_X44Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y27 IS_RESERVED 0 SITEPROP SLICE_X44Y27 IS_TEST 0 SITEPROP SLICE_X44Y27 IS_USED 0 SITEPROP SLICE_X44Y27 MANUAL_ROUTING SITEPROP SLICE_X44Y27 NAME SLICE_X44Y27 SITEPROP SLICE_X44Y27 NUM_ARCS 138 SITEPROP SLICE_X44Y27 NUM_BELS 32 SITEPROP SLICE_X44Y27 NUM_INPUTS 32 SITEPROP SLICE_X44Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y27 NUM_PINS 45 SITEPROP SLICE_X44Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y27 PROHIBIT 0 SITEPROP SLICE_X44Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y27 RPM_X 117 SITEPROP SLICE_X44Y27 RPM_Y 54 SITEPROP SLICE_X44Y27 SITE_PIPS SITEPROP SLICE_X44Y27 SITE_TYPE SLICEL SITEPROP SLICE_X44Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y28 CLASS site SITEPROP SLICE_X44Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y28 IS_BONDED 0 SITEPROP SLICE_X44Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y28 IS_PAD 0 SITEPROP SLICE_X44Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y28 IS_RESERVED 0 SITEPROP SLICE_X44Y28 IS_TEST 0 SITEPROP SLICE_X44Y28 IS_USED 0 SITEPROP SLICE_X44Y28 MANUAL_ROUTING SITEPROP SLICE_X44Y28 NAME SLICE_X44Y28 SITEPROP SLICE_X44Y28 NUM_ARCS 138 SITEPROP SLICE_X44Y28 NUM_BELS 32 SITEPROP SLICE_X44Y28 NUM_INPUTS 32 SITEPROP SLICE_X44Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y28 NUM_PINS 45 SITEPROP SLICE_X44Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y28 PROHIBIT 0 SITEPROP SLICE_X44Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y28 RPM_X 117 SITEPROP SLICE_X44Y28 RPM_Y 56 SITEPROP SLICE_X44Y28 SITE_PIPS SITEPROP SLICE_X44Y28 SITE_TYPE SLICEL SITEPROP SLICE_X44Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y29 CLASS site SITEPROP SLICE_X44Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y29 IS_BONDED 0 SITEPROP SLICE_X44Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y29 IS_PAD 0 SITEPROP SLICE_X44Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y29 IS_RESERVED 0 SITEPROP SLICE_X44Y29 IS_TEST 0 SITEPROP SLICE_X44Y29 IS_USED 0 SITEPROP SLICE_X44Y29 MANUAL_ROUTING SITEPROP SLICE_X44Y29 NAME SLICE_X44Y29 SITEPROP SLICE_X44Y29 NUM_ARCS 138 SITEPROP SLICE_X44Y29 NUM_BELS 32 SITEPROP SLICE_X44Y29 NUM_INPUTS 32 SITEPROP SLICE_X44Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y29 NUM_PINS 45 SITEPROP SLICE_X44Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y29 PROHIBIT 0 SITEPROP SLICE_X44Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y29 RPM_X 117 SITEPROP SLICE_X44Y29 RPM_Y 58 SITEPROP SLICE_X44Y29 SITE_PIPS SITEPROP SLICE_X44Y29 SITE_TYPE SLICEL SITEPROP SLICE_X44Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y30 CLASS site SITEPROP SLICE_X44Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y30 IS_BONDED 0 SITEPROP SLICE_X44Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y30 IS_PAD 0 SITEPROP SLICE_X44Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y30 IS_RESERVED 0 SITEPROP SLICE_X44Y30 IS_TEST 0 SITEPROP SLICE_X44Y30 IS_USED 0 SITEPROP SLICE_X44Y30 MANUAL_ROUTING SITEPROP SLICE_X44Y30 NAME SLICE_X44Y30 SITEPROP SLICE_X44Y30 NUM_ARCS 138 SITEPROP SLICE_X44Y30 NUM_BELS 32 SITEPROP SLICE_X44Y30 NUM_INPUTS 32 SITEPROP SLICE_X44Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y30 NUM_PINS 45 SITEPROP SLICE_X44Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y30 PROHIBIT 0 SITEPROP SLICE_X44Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y30 RPM_X 117 SITEPROP SLICE_X44Y30 RPM_Y 60 SITEPROP SLICE_X44Y30 SITE_PIPS SITEPROP SLICE_X44Y30 SITE_TYPE SLICEL SITEPROP SLICE_X44Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y31 CLASS site SITEPROP SLICE_X44Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y31 IS_BONDED 0 SITEPROP SLICE_X44Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y31 IS_PAD 0 SITEPROP SLICE_X44Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y31 IS_RESERVED 0 SITEPROP SLICE_X44Y31 IS_TEST 0 SITEPROP SLICE_X44Y31 IS_USED 0 SITEPROP SLICE_X44Y31 MANUAL_ROUTING SITEPROP SLICE_X44Y31 NAME SLICE_X44Y31 SITEPROP SLICE_X44Y31 NUM_ARCS 138 SITEPROP SLICE_X44Y31 NUM_BELS 32 SITEPROP SLICE_X44Y31 NUM_INPUTS 32 SITEPROP SLICE_X44Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y31 NUM_PINS 45 SITEPROP SLICE_X44Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y31 PROHIBIT 0 SITEPROP SLICE_X44Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y31 RPM_X 117 SITEPROP SLICE_X44Y31 RPM_Y 62 SITEPROP SLICE_X44Y31 SITE_PIPS SITEPROP SLICE_X44Y31 SITE_TYPE SLICEL SITEPROP SLICE_X44Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y32 CLASS site SITEPROP SLICE_X44Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y32 IS_BONDED 0 SITEPROP SLICE_X44Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y32 IS_PAD 0 SITEPROP SLICE_X44Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y32 IS_RESERVED 0 SITEPROP SLICE_X44Y32 IS_TEST 0 SITEPROP SLICE_X44Y32 IS_USED 0 SITEPROP SLICE_X44Y32 MANUAL_ROUTING SITEPROP SLICE_X44Y32 NAME SLICE_X44Y32 SITEPROP SLICE_X44Y32 NUM_ARCS 138 SITEPROP SLICE_X44Y32 NUM_BELS 32 SITEPROP SLICE_X44Y32 NUM_INPUTS 32 SITEPROP SLICE_X44Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y32 NUM_PINS 45 SITEPROP SLICE_X44Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y32 PROHIBIT 0 SITEPROP SLICE_X44Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y32 RPM_X 117 SITEPROP SLICE_X44Y32 RPM_Y 64 SITEPROP SLICE_X44Y32 SITE_PIPS SITEPROP SLICE_X44Y32 SITE_TYPE SLICEL SITEPROP SLICE_X44Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y33 CLASS site SITEPROP SLICE_X44Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y33 IS_BONDED 0 SITEPROP SLICE_X44Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y33 IS_PAD 0 SITEPROP SLICE_X44Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y33 IS_RESERVED 0 SITEPROP SLICE_X44Y33 IS_TEST 0 SITEPROP SLICE_X44Y33 IS_USED 0 SITEPROP SLICE_X44Y33 MANUAL_ROUTING SITEPROP SLICE_X44Y33 NAME SLICE_X44Y33 SITEPROP SLICE_X44Y33 NUM_ARCS 138 SITEPROP SLICE_X44Y33 NUM_BELS 32 SITEPROP SLICE_X44Y33 NUM_INPUTS 32 SITEPROP SLICE_X44Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y33 NUM_PINS 45 SITEPROP SLICE_X44Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y33 PROHIBIT 0 SITEPROP SLICE_X44Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y33 RPM_X 117 SITEPROP SLICE_X44Y33 RPM_Y 66 SITEPROP SLICE_X44Y33 SITE_PIPS SITEPROP SLICE_X44Y33 SITE_TYPE SLICEL SITEPROP SLICE_X44Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y34 CLASS site SITEPROP SLICE_X44Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y34 IS_BONDED 0 SITEPROP SLICE_X44Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y34 IS_PAD 0 SITEPROP SLICE_X44Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y34 IS_RESERVED 0 SITEPROP SLICE_X44Y34 IS_TEST 0 SITEPROP SLICE_X44Y34 IS_USED 0 SITEPROP SLICE_X44Y34 MANUAL_ROUTING SITEPROP SLICE_X44Y34 NAME SLICE_X44Y34 SITEPROP SLICE_X44Y34 NUM_ARCS 138 SITEPROP SLICE_X44Y34 NUM_BELS 32 SITEPROP SLICE_X44Y34 NUM_INPUTS 32 SITEPROP SLICE_X44Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y34 NUM_PINS 45 SITEPROP SLICE_X44Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y34 PROHIBIT 0 SITEPROP SLICE_X44Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y34 RPM_X 117 SITEPROP SLICE_X44Y34 RPM_Y 68 SITEPROP SLICE_X44Y34 SITE_PIPS SITEPROP SLICE_X44Y34 SITE_TYPE SLICEL SITEPROP SLICE_X44Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y35 CLASS site SITEPROP SLICE_X44Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y35 IS_BONDED 0 SITEPROP SLICE_X44Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y35 IS_PAD 0 SITEPROP SLICE_X44Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y35 IS_RESERVED 0 SITEPROP SLICE_X44Y35 IS_TEST 0 SITEPROP SLICE_X44Y35 IS_USED 0 SITEPROP SLICE_X44Y35 MANUAL_ROUTING SITEPROP SLICE_X44Y35 NAME SLICE_X44Y35 SITEPROP SLICE_X44Y35 NUM_ARCS 138 SITEPROP SLICE_X44Y35 NUM_BELS 32 SITEPROP SLICE_X44Y35 NUM_INPUTS 32 SITEPROP SLICE_X44Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y35 NUM_PINS 45 SITEPROP SLICE_X44Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y35 PROHIBIT 0 SITEPROP SLICE_X44Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y35 RPM_X 117 SITEPROP SLICE_X44Y35 RPM_Y 70 SITEPROP SLICE_X44Y35 SITE_PIPS SITEPROP SLICE_X44Y35 SITE_TYPE SLICEL SITEPROP SLICE_X44Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y36 CLASS site SITEPROP SLICE_X44Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y36 IS_BONDED 0 SITEPROP SLICE_X44Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y36 IS_PAD 0 SITEPROP SLICE_X44Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y36 IS_RESERVED 0 SITEPROP SLICE_X44Y36 IS_TEST 0 SITEPROP SLICE_X44Y36 IS_USED 0 SITEPROP SLICE_X44Y36 MANUAL_ROUTING SITEPROP SLICE_X44Y36 NAME SLICE_X44Y36 SITEPROP SLICE_X44Y36 NUM_ARCS 138 SITEPROP SLICE_X44Y36 NUM_BELS 32 SITEPROP SLICE_X44Y36 NUM_INPUTS 32 SITEPROP SLICE_X44Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y36 NUM_PINS 45 SITEPROP SLICE_X44Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y36 PROHIBIT 0 SITEPROP SLICE_X44Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y36 RPM_X 117 SITEPROP SLICE_X44Y36 RPM_Y 72 SITEPROP SLICE_X44Y36 SITE_PIPS SITEPROP SLICE_X44Y36 SITE_TYPE SLICEL SITEPROP SLICE_X44Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y37 CLASS site SITEPROP SLICE_X44Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y37 IS_BONDED 0 SITEPROP SLICE_X44Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y37 IS_PAD 0 SITEPROP SLICE_X44Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y37 IS_RESERVED 0 SITEPROP SLICE_X44Y37 IS_TEST 0 SITEPROP SLICE_X44Y37 IS_USED 0 SITEPROP SLICE_X44Y37 MANUAL_ROUTING SITEPROP SLICE_X44Y37 NAME SLICE_X44Y37 SITEPROP SLICE_X44Y37 NUM_ARCS 138 SITEPROP SLICE_X44Y37 NUM_BELS 32 SITEPROP SLICE_X44Y37 NUM_INPUTS 32 SITEPROP SLICE_X44Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y37 NUM_PINS 45 SITEPROP SLICE_X44Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y37 PROHIBIT 0 SITEPROP SLICE_X44Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y37 RPM_X 117 SITEPROP SLICE_X44Y37 RPM_Y 74 SITEPROP SLICE_X44Y37 SITE_PIPS SITEPROP SLICE_X44Y37 SITE_TYPE SLICEL SITEPROP SLICE_X44Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y38 CLASS site SITEPROP SLICE_X44Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y38 IS_BONDED 0 SITEPROP SLICE_X44Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y38 IS_PAD 0 SITEPROP SLICE_X44Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y38 IS_RESERVED 0 SITEPROP SLICE_X44Y38 IS_TEST 0 SITEPROP SLICE_X44Y38 IS_USED 0 SITEPROP SLICE_X44Y38 MANUAL_ROUTING SITEPROP SLICE_X44Y38 NAME SLICE_X44Y38 SITEPROP SLICE_X44Y38 NUM_ARCS 138 SITEPROP SLICE_X44Y38 NUM_BELS 32 SITEPROP SLICE_X44Y38 NUM_INPUTS 32 SITEPROP SLICE_X44Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y38 NUM_PINS 45 SITEPROP SLICE_X44Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y38 PROHIBIT 0 SITEPROP SLICE_X44Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y38 RPM_X 117 SITEPROP SLICE_X44Y38 RPM_Y 76 SITEPROP SLICE_X44Y38 SITE_PIPS SITEPROP SLICE_X44Y38 SITE_TYPE SLICEL SITEPROP SLICE_X44Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y39 CLASS site SITEPROP SLICE_X44Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y39 IS_BONDED 0 SITEPROP SLICE_X44Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y39 IS_PAD 0 SITEPROP SLICE_X44Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y39 IS_RESERVED 0 SITEPROP SLICE_X44Y39 IS_TEST 0 SITEPROP SLICE_X44Y39 IS_USED 0 SITEPROP SLICE_X44Y39 MANUAL_ROUTING SITEPROP SLICE_X44Y39 NAME SLICE_X44Y39 SITEPROP SLICE_X44Y39 NUM_ARCS 138 SITEPROP SLICE_X44Y39 NUM_BELS 32 SITEPROP SLICE_X44Y39 NUM_INPUTS 32 SITEPROP SLICE_X44Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y39 NUM_PINS 45 SITEPROP SLICE_X44Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y39 PROHIBIT 0 SITEPROP SLICE_X44Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y39 RPM_X 117 SITEPROP SLICE_X44Y39 RPM_Y 78 SITEPROP SLICE_X44Y39 SITE_PIPS SITEPROP SLICE_X44Y39 SITE_TYPE SLICEL SITEPROP SLICE_X44Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y40 CLASS site SITEPROP SLICE_X44Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y40 IS_BONDED 0 SITEPROP SLICE_X44Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y40 IS_PAD 0 SITEPROP SLICE_X44Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y40 IS_RESERVED 0 SITEPROP SLICE_X44Y40 IS_TEST 0 SITEPROP SLICE_X44Y40 IS_USED 0 SITEPROP SLICE_X44Y40 MANUAL_ROUTING SITEPROP SLICE_X44Y40 NAME SLICE_X44Y40 SITEPROP SLICE_X44Y40 NUM_ARCS 138 SITEPROP SLICE_X44Y40 NUM_BELS 32 SITEPROP SLICE_X44Y40 NUM_INPUTS 32 SITEPROP SLICE_X44Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y40 NUM_PINS 45 SITEPROP SLICE_X44Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y40 PROHIBIT 0 SITEPROP SLICE_X44Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y40 RPM_X 117 SITEPROP SLICE_X44Y40 RPM_Y 80 SITEPROP SLICE_X44Y40 SITE_PIPS SITEPROP SLICE_X44Y40 SITE_TYPE SLICEL SITEPROP SLICE_X44Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y41 CLASS site SITEPROP SLICE_X44Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y41 IS_BONDED 0 SITEPROP SLICE_X44Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y41 IS_PAD 0 SITEPROP SLICE_X44Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y41 IS_RESERVED 0 SITEPROP SLICE_X44Y41 IS_TEST 0 SITEPROP SLICE_X44Y41 IS_USED 0 SITEPROP SLICE_X44Y41 MANUAL_ROUTING SITEPROP SLICE_X44Y41 NAME SLICE_X44Y41 SITEPROP SLICE_X44Y41 NUM_ARCS 138 SITEPROP SLICE_X44Y41 NUM_BELS 32 SITEPROP SLICE_X44Y41 NUM_INPUTS 32 SITEPROP SLICE_X44Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y41 NUM_PINS 45 SITEPROP SLICE_X44Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y41 PROHIBIT 0 SITEPROP SLICE_X44Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y41 RPM_X 117 SITEPROP SLICE_X44Y41 RPM_Y 82 SITEPROP SLICE_X44Y41 SITE_PIPS SITEPROP SLICE_X44Y41 SITE_TYPE SLICEL SITEPROP SLICE_X44Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y42 CLASS site SITEPROP SLICE_X44Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y42 IS_BONDED 0 SITEPROP SLICE_X44Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y42 IS_PAD 0 SITEPROP SLICE_X44Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y42 IS_RESERVED 0 SITEPROP SLICE_X44Y42 IS_TEST 0 SITEPROP SLICE_X44Y42 IS_USED 0 SITEPROP SLICE_X44Y42 MANUAL_ROUTING SITEPROP SLICE_X44Y42 NAME SLICE_X44Y42 SITEPROP SLICE_X44Y42 NUM_ARCS 138 SITEPROP SLICE_X44Y42 NUM_BELS 32 SITEPROP SLICE_X44Y42 NUM_INPUTS 32 SITEPROP SLICE_X44Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y42 NUM_PINS 45 SITEPROP SLICE_X44Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y42 PROHIBIT 0 SITEPROP SLICE_X44Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y42 RPM_X 117 SITEPROP SLICE_X44Y42 RPM_Y 84 SITEPROP SLICE_X44Y42 SITE_PIPS SITEPROP SLICE_X44Y42 SITE_TYPE SLICEL SITEPROP SLICE_X44Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y43 CLASS site SITEPROP SLICE_X44Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y43 IS_BONDED 0 SITEPROP SLICE_X44Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y43 IS_PAD 0 SITEPROP SLICE_X44Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y43 IS_RESERVED 0 SITEPROP SLICE_X44Y43 IS_TEST 0 SITEPROP SLICE_X44Y43 IS_USED 0 SITEPROP SLICE_X44Y43 MANUAL_ROUTING SITEPROP SLICE_X44Y43 NAME SLICE_X44Y43 SITEPROP SLICE_X44Y43 NUM_ARCS 138 SITEPROP SLICE_X44Y43 NUM_BELS 32 SITEPROP SLICE_X44Y43 NUM_INPUTS 32 SITEPROP SLICE_X44Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y43 NUM_PINS 45 SITEPROP SLICE_X44Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y43 PROHIBIT 0 SITEPROP SLICE_X44Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y43 RPM_X 117 SITEPROP SLICE_X44Y43 RPM_Y 86 SITEPROP SLICE_X44Y43 SITE_PIPS SITEPROP SLICE_X44Y43 SITE_TYPE SLICEL SITEPROP SLICE_X44Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y44 CLASS site SITEPROP SLICE_X44Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y44 IS_BONDED 0 SITEPROP SLICE_X44Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y44 IS_PAD 0 SITEPROP SLICE_X44Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y44 IS_RESERVED 0 SITEPROP SLICE_X44Y44 IS_TEST 0 SITEPROP SLICE_X44Y44 IS_USED 0 SITEPROP SLICE_X44Y44 MANUAL_ROUTING SITEPROP SLICE_X44Y44 NAME SLICE_X44Y44 SITEPROP SLICE_X44Y44 NUM_ARCS 138 SITEPROP SLICE_X44Y44 NUM_BELS 32 SITEPROP SLICE_X44Y44 NUM_INPUTS 32 SITEPROP SLICE_X44Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y44 NUM_PINS 45 SITEPROP SLICE_X44Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y44 PROHIBIT 0 SITEPROP SLICE_X44Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y44 RPM_X 117 SITEPROP SLICE_X44Y44 RPM_Y 88 SITEPROP SLICE_X44Y44 SITE_PIPS SITEPROP SLICE_X44Y44 SITE_TYPE SLICEL SITEPROP SLICE_X44Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y45 CLASS site SITEPROP SLICE_X44Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y45 IS_BONDED 0 SITEPROP SLICE_X44Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y45 IS_PAD 0 SITEPROP SLICE_X44Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y45 IS_RESERVED 0 SITEPROP SLICE_X44Y45 IS_TEST 0 SITEPROP SLICE_X44Y45 IS_USED 0 SITEPROP SLICE_X44Y45 MANUAL_ROUTING SITEPROP SLICE_X44Y45 NAME SLICE_X44Y45 SITEPROP SLICE_X44Y45 NUM_ARCS 138 SITEPROP SLICE_X44Y45 NUM_BELS 32 SITEPROP SLICE_X44Y45 NUM_INPUTS 32 SITEPROP SLICE_X44Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y45 NUM_PINS 45 SITEPROP SLICE_X44Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y45 PROHIBIT 0 SITEPROP SLICE_X44Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y45 RPM_X 117 SITEPROP SLICE_X44Y45 RPM_Y 90 SITEPROP SLICE_X44Y45 SITE_PIPS SITEPROP SLICE_X44Y45 SITE_TYPE SLICEL SITEPROP SLICE_X44Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y46 CLASS site SITEPROP SLICE_X44Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y46 IS_BONDED 0 SITEPROP SLICE_X44Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y46 IS_PAD 0 SITEPROP SLICE_X44Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y46 IS_RESERVED 0 SITEPROP SLICE_X44Y46 IS_TEST 0 SITEPROP SLICE_X44Y46 IS_USED 0 SITEPROP SLICE_X44Y46 MANUAL_ROUTING SITEPROP SLICE_X44Y46 NAME SLICE_X44Y46 SITEPROP SLICE_X44Y46 NUM_ARCS 138 SITEPROP SLICE_X44Y46 NUM_BELS 32 SITEPROP SLICE_X44Y46 NUM_INPUTS 32 SITEPROP SLICE_X44Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y46 NUM_PINS 45 SITEPROP SLICE_X44Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y46 PROHIBIT 0 SITEPROP SLICE_X44Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y46 RPM_X 117 SITEPROP SLICE_X44Y46 RPM_Y 92 SITEPROP SLICE_X44Y46 SITE_PIPS SITEPROP SLICE_X44Y46 SITE_TYPE SLICEL SITEPROP SLICE_X44Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y47 CLASS site SITEPROP SLICE_X44Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y47 IS_BONDED 0 SITEPROP SLICE_X44Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y47 IS_PAD 0 SITEPROP SLICE_X44Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y47 IS_RESERVED 0 SITEPROP SLICE_X44Y47 IS_TEST 0 SITEPROP SLICE_X44Y47 IS_USED 0 SITEPROP SLICE_X44Y47 MANUAL_ROUTING SITEPROP SLICE_X44Y47 NAME SLICE_X44Y47 SITEPROP SLICE_X44Y47 NUM_ARCS 138 SITEPROP SLICE_X44Y47 NUM_BELS 32 SITEPROP SLICE_X44Y47 NUM_INPUTS 32 SITEPROP SLICE_X44Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y47 NUM_PINS 45 SITEPROP SLICE_X44Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y47 PROHIBIT 0 SITEPROP SLICE_X44Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y47 RPM_X 117 SITEPROP SLICE_X44Y47 RPM_Y 94 SITEPROP SLICE_X44Y47 SITE_PIPS SITEPROP SLICE_X44Y47 SITE_TYPE SLICEL SITEPROP SLICE_X44Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y48 CLASS site SITEPROP SLICE_X44Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y48 IS_BONDED 0 SITEPROP SLICE_X44Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y48 IS_PAD 0 SITEPROP SLICE_X44Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y48 IS_RESERVED 0 SITEPROP SLICE_X44Y48 IS_TEST 0 SITEPROP SLICE_X44Y48 IS_USED 0 SITEPROP SLICE_X44Y48 MANUAL_ROUTING SITEPROP SLICE_X44Y48 NAME SLICE_X44Y48 SITEPROP SLICE_X44Y48 NUM_ARCS 138 SITEPROP SLICE_X44Y48 NUM_BELS 32 SITEPROP SLICE_X44Y48 NUM_INPUTS 32 SITEPROP SLICE_X44Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y48 NUM_PINS 45 SITEPROP SLICE_X44Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y48 PROHIBIT 0 SITEPROP SLICE_X44Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y48 RPM_X 117 SITEPROP SLICE_X44Y48 RPM_Y 96 SITEPROP SLICE_X44Y48 SITE_PIPS SITEPROP SLICE_X44Y48 SITE_TYPE SLICEL SITEPROP SLICE_X44Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y49 CLASS site SITEPROP SLICE_X44Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X44Y49 IS_BONDED 0 SITEPROP SLICE_X44Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y49 IS_PAD 0 SITEPROP SLICE_X44Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y49 IS_RESERVED 0 SITEPROP SLICE_X44Y49 IS_TEST 0 SITEPROP SLICE_X44Y49 IS_USED 0 SITEPROP SLICE_X44Y49 MANUAL_ROUTING SITEPROP SLICE_X44Y49 NAME SLICE_X44Y49 SITEPROP SLICE_X44Y49 NUM_ARCS 138 SITEPROP SLICE_X44Y49 NUM_BELS 32 SITEPROP SLICE_X44Y49 NUM_INPUTS 32 SITEPROP SLICE_X44Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y49 NUM_PINS 45 SITEPROP SLICE_X44Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y49 PROHIBIT 0 SITEPROP SLICE_X44Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y49 RPM_X 117 SITEPROP SLICE_X44Y49 RPM_Y 98 SITEPROP SLICE_X44Y49 SITE_PIPS SITEPROP SLICE_X44Y49 SITE_TYPE SLICEL SITEPROP SLICE_X44Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y50 CLASS site SITEPROP SLICE_X44Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y50 IS_BONDED 0 SITEPROP SLICE_X44Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y50 IS_PAD 0 SITEPROP SLICE_X44Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y50 IS_RESERVED 0 SITEPROP SLICE_X44Y50 IS_TEST 0 SITEPROP SLICE_X44Y50 IS_USED 0 SITEPROP SLICE_X44Y50 MANUAL_ROUTING SITEPROP SLICE_X44Y50 NAME SLICE_X44Y50 SITEPROP SLICE_X44Y50 NUM_ARCS 138 SITEPROP SLICE_X44Y50 NUM_BELS 32 SITEPROP SLICE_X44Y50 NUM_INPUTS 32 SITEPROP SLICE_X44Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y50 NUM_PINS 45 SITEPROP SLICE_X44Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y50 PROHIBIT 0 SITEPROP SLICE_X44Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y50 RPM_X 117 SITEPROP SLICE_X44Y50 RPM_Y 100 SITEPROP SLICE_X44Y50 SITE_PIPS SITEPROP SLICE_X44Y50 SITE_TYPE SLICEL SITEPROP SLICE_X44Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y51 CLASS site SITEPROP SLICE_X44Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y51 IS_BONDED 0 SITEPROP SLICE_X44Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y51 IS_PAD 0 SITEPROP SLICE_X44Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y51 IS_RESERVED 0 SITEPROP SLICE_X44Y51 IS_TEST 0 SITEPROP SLICE_X44Y51 IS_USED 0 SITEPROP SLICE_X44Y51 MANUAL_ROUTING SITEPROP SLICE_X44Y51 NAME SLICE_X44Y51 SITEPROP SLICE_X44Y51 NUM_ARCS 138 SITEPROP SLICE_X44Y51 NUM_BELS 32 SITEPROP SLICE_X44Y51 NUM_INPUTS 32 SITEPROP SLICE_X44Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y51 NUM_PINS 45 SITEPROP SLICE_X44Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y51 PROHIBIT 0 SITEPROP SLICE_X44Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y51 RPM_X 117 SITEPROP SLICE_X44Y51 RPM_Y 102 SITEPROP SLICE_X44Y51 SITE_PIPS SITEPROP SLICE_X44Y51 SITE_TYPE SLICEL SITEPROP SLICE_X44Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y52 CLASS site SITEPROP SLICE_X44Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y52 IS_BONDED 0 SITEPROP SLICE_X44Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y52 IS_PAD 0 SITEPROP SLICE_X44Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y52 IS_RESERVED 0 SITEPROP SLICE_X44Y52 IS_TEST 0 SITEPROP SLICE_X44Y52 IS_USED 0 SITEPROP SLICE_X44Y52 MANUAL_ROUTING SITEPROP SLICE_X44Y52 NAME SLICE_X44Y52 SITEPROP SLICE_X44Y52 NUM_ARCS 138 SITEPROP SLICE_X44Y52 NUM_BELS 32 SITEPROP SLICE_X44Y52 NUM_INPUTS 32 SITEPROP SLICE_X44Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y52 NUM_PINS 45 SITEPROP SLICE_X44Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y52 PROHIBIT 0 SITEPROP SLICE_X44Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y52 RPM_X 117 SITEPROP SLICE_X44Y52 RPM_Y 104 SITEPROP SLICE_X44Y52 SITE_PIPS SITEPROP SLICE_X44Y52 SITE_TYPE SLICEL SITEPROP SLICE_X44Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y53 CLASS site SITEPROP SLICE_X44Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y53 IS_BONDED 0 SITEPROP SLICE_X44Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y53 IS_PAD 0 SITEPROP SLICE_X44Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y53 IS_RESERVED 0 SITEPROP SLICE_X44Y53 IS_TEST 0 SITEPROP SLICE_X44Y53 IS_USED 0 SITEPROP SLICE_X44Y53 MANUAL_ROUTING SITEPROP SLICE_X44Y53 NAME SLICE_X44Y53 SITEPROP SLICE_X44Y53 NUM_ARCS 138 SITEPROP SLICE_X44Y53 NUM_BELS 32 SITEPROP SLICE_X44Y53 NUM_INPUTS 32 SITEPROP SLICE_X44Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y53 NUM_PINS 45 SITEPROP SLICE_X44Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y53 PROHIBIT 0 SITEPROP SLICE_X44Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y53 RPM_X 117 SITEPROP SLICE_X44Y53 RPM_Y 106 SITEPROP SLICE_X44Y53 SITE_PIPS SITEPROP SLICE_X44Y53 SITE_TYPE SLICEL SITEPROP SLICE_X44Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y54 CLASS site SITEPROP SLICE_X44Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y54 IS_BONDED 0 SITEPROP SLICE_X44Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y54 IS_PAD 0 SITEPROP SLICE_X44Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y54 IS_RESERVED 0 SITEPROP SLICE_X44Y54 IS_TEST 0 SITEPROP SLICE_X44Y54 IS_USED 0 SITEPROP SLICE_X44Y54 MANUAL_ROUTING SITEPROP SLICE_X44Y54 NAME SLICE_X44Y54 SITEPROP SLICE_X44Y54 NUM_ARCS 138 SITEPROP SLICE_X44Y54 NUM_BELS 32 SITEPROP SLICE_X44Y54 NUM_INPUTS 32 SITEPROP SLICE_X44Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y54 NUM_PINS 45 SITEPROP SLICE_X44Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y54 PROHIBIT 0 SITEPROP SLICE_X44Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y54 RPM_X 117 SITEPROP SLICE_X44Y54 RPM_Y 108 SITEPROP SLICE_X44Y54 SITE_PIPS SITEPROP SLICE_X44Y54 SITE_TYPE SLICEL SITEPROP SLICE_X44Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y55 CLASS site SITEPROP SLICE_X44Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y55 IS_BONDED 0 SITEPROP SLICE_X44Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y55 IS_PAD 0 SITEPROP SLICE_X44Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y55 IS_RESERVED 0 SITEPROP SLICE_X44Y55 IS_TEST 0 SITEPROP SLICE_X44Y55 IS_USED 0 SITEPROP SLICE_X44Y55 MANUAL_ROUTING SITEPROP SLICE_X44Y55 NAME SLICE_X44Y55 SITEPROP SLICE_X44Y55 NUM_ARCS 138 SITEPROP SLICE_X44Y55 NUM_BELS 32 SITEPROP SLICE_X44Y55 NUM_INPUTS 32 SITEPROP SLICE_X44Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y55 NUM_PINS 45 SITEPROP SLICE_X44Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y55 PROHIBIT 0 SITEPROP SLICE_X44Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y55 RPM_X 117 SITEPROP SLICE_X44Y55 RPM_Y 110 SITEPROP SLICE_X44Y55 SITE_PIPS SITEPROP SLICE_X44Y55 SITE_TYPE SLICEL SITEPROP SLICE_X44Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y56 CLASS site SITEPROP SLICE_X44Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y56 IS_BONDED 0 SITEPROP SLICE_X44Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y56 IS_PAD 0 SITEPROP SLICE_X44Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y56 IS_RESERVED 0 SITEPROP SLICE_X44Y56 IS_TEST 0 SITEPROP SLICE_X44Y56 IS_USED 0 SITEPROP SLICE_X44Y56 MANUAL_ROUTING SITEPROP SLICE_X44Y56 NAME SLICE_X44Y56 SITEPROP SLICE_X44Y56 NUM_ARCS 138 SITEPROP SLICE_X44Y56 NUM_BELS 32 SITEPROP SLICE_X44Y56 NUM_INPUTS 32 SITEPROP SLICE_X44Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y56 NUM_PINS 45 SITEPROP SLICE_X44Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y56 PROHIBIT 0 SITEPROP SLICE_X44Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y56 RPM_X 117 SITEPROP SLICE_X44Y56 RPM_Y 112 SITEPROP SLICE_X44Y56 SITE_PIPS SITEPROP SLICE_X44Y56 SITE_TYPE SLICEL SITEPROP SLICE_X44Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y57 CLASS site SITEPROP SLICE_X44Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y57 IS_BONDED 0 SITEPROP SLICE_X44Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y57 IS_PAD 0 SITEPROP SLICE_X44Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y57 IS_RESERVED 0 SITEPROP SLICE_X44Y57 IS_TEST 0 SITEPROP SLICE_X44Y57 IS_USED 0 SITEPROP SLICE_X44Y57 MANUAL_ROUTING SITEPROP SLICE_X44Y57 NAME SLICE_X44Y57 SITEPROP SLICE_X44Y57 NUM_ARCS 138 SITEPROP SLICE_X44Y57 NUM_BELS 32 SITEPROP SLICE_X44Y57 NUM_INPUTS 32 SITEPROP SLICE_X44Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y57 NUM_PINS 45 SITEPROP SLICE_X44Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y57 PROHIBIT 0 SITEPROP SLICE_X44Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y57 RPM_X 117 SITEPROP SLICE_X44Y57 RPM_Y 114 SITEPROP SLICE_X44Y57 SITE_PIPS SITEPROP SLICE_X44Y57 SITE_TYPE SLICEL SITEPROP SLICE_X44Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y58 CLASS site SITEPROP SLICE_X44Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y58 IS_BONDED 0 SITEPROP SLICE_X44Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y58 IS_PAD 0 SITEPROP SLICE_X44Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y58 IS_RESERVED 0 SITEPROP SLICE_X44Y58 IS_TEST 0 SITEPROP SLICE_X44Y58 IS_USED 0 SITEPROP SLICE_X44Y58 MANUAL_ROUTING SITEPROP SLICE_X44Y58 NAME SLICE_X44Y58 SITEPROP SLICE_X44Y58 NUM_ARCS 138 SITEPROP SLICE_X44Y58 NUM_BELS 32 SITEPROP SLICE_X44Y58 NUM_INPUTS 32 SITEPROP SLICE_X44Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y58 NUM_PINS 45 SITEPROP SLICE_X44Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y58 PROHIBIT 0 SITEPROP SLICE_X44Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y58 RPM_X 117 SITEPROP SLICE_X44Y58 RPM_Y 116 SITEPROP SLICE_X44Y58 SITE_PIPS SITEPROP SLICE_X44Y58 SITE_TYPE SLICEL SITEPROP SLICE_X44Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y59 CLASS site SITEPROP SLICE_X44Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y59 IS_BONDED 0 SITEPROP SLICE_X44Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y59 IS_PAD 0 SITEPROP SLICE_X44Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y59 IS_RESERVED 0 SITEPROP SLICE_X44Y59 IS_TEST 0 SITEPROP SLICE_X44Y59 IS_USED 0 SITEPROP SLICE_X44Y59 MANUAL_ROUTING SITEPROP SLICE_X44Y59 NAME SLICE_X44Y59 SITEPROP SLICE_X44Y59 NUM_ARCS 138 SITEPROP SLICE_X44Y59 NUM_BELS 32 SITEPROP SLICE_X44Y59 NUM_INPUTS 32 SITEPROP SLICE_X44Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y59 NUM_PINS 45 SITEPROP SLICE_X44Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y59 PROHIBIT 0 SITEPROP SLICE_X44Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y59 RPM_X 117 SITEPROP SLICE_X44Y59 RPM_Y 118 SITEPROP SLICE_X44Y59 SITE_PIPS SITEPROP SLICE_X44Y59 SITE_TYPE SLICEL SITEPROP SLICE_X44Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y60 CLASS site SITEPROP SLICE_X44Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y60 IS_BONDED 0 SITEPROP SLICE_X44Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y60 IS_PAD 0 SITEPROP SLICE_X44Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y60 IS_RESERVED 0 SITEPROP SLICE_X44Y60 IS_TEST 0 SITEPROP SLICE_X44Y60 IS_USED 0 SITEPROP SLICE_X44Y60 MANUAL_ROUTING SITEPROP SLICE_X44Y60 NAME SLICE_X44Y60 SITEPROP SLICE_X44Y60 NUM_ARCS 138 SITEPROP SLICE_X44Y60 NUM_BELS 32 SITEPROP SLICE_X44Y60 NUM_INPUTS 32 SITEPROP SLICE_X44Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y60 NUM_PINS 45 SITEPROP SLICE_X44Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y60 PROHIBIT 0 SITEPROP SLICE_X44Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y60 RPM_X 117 SITEPROP SLICE_X44Y60 RPM_Y 120 SITEPROP SLICE_X44Y60 SITE_PIPS SITEPROP SLICE_X44Y60 SITE_TYPE SLICEL SITEPROP SLICE_X44Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y61 CLASS site SITEPROP SLICE_X44Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y61 IS_BONDED 0 SITEPROP SLICE_X44Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y61 IS_PAD 0 SITEPROP SLICE_X44Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y61 IS_RESERVED 0 SITEPROP SLICE_X44Y61 IS_TEST 0 SITEPROP SLICE_X44Y61 IS_USED 0 SITEPROP SLICE_X44Y61 MANUAL_ROUTING SITEPROP SLICE_X44Y61 NAME SLICE_X44Y61 SITEPROP SLICE_X44Y61 NUM_ARCS 138 SITEPROP SLICE_X44Y61 NUM_BELS 32 SITEPROP SLICE_X44Y61 NUM_INPUTS 32 SITEPROP SLICE_X44Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y61 NUM_PINS 45 SITEPROP SLICE_X44Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y61 PROHIBIT 0 SITEPROP SLICE_X44Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y61 RPM_X 117 SITEPROP SLICE_X44Y61 RPM_Y 122 SITEPROP SLICE_X44Y61 SITE_PIPS SITEPROP SLICE_X44Y61 SITE_TYPE SLICEL SITEPROP SLICE_X44Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y62 CLASS site SITEPROP SLICE_X44Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y62 IS_BONDED 0 SITEPROP SLICE_X44Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y62 IS_PAD 0 SITEPROP SLICE_X44Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y62 IS_RESERVED 0 SITEPROP SLICE_X44Y62 IS_TEST 0 SITEPROP SLICE_X44Y62 IS_USED 0 SITEPROP SLICE_X44Y62 MANUAL_ROUTING SITEPROP SLICE_X44Y62 NAME SLICE_X44Y62 SITEPROP SLICE_X44Y62 NUM_ARCS 138 SITEPROP SLICE_X44Y62 NUM_BELS 32 SITEPROP SLICE_X44Y62 NUM_INPUTS 32 SITEPROP SLICE_X44Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y62 NUM_PINS 45 SITEPROP SLICE_X44Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y62 PROHIBIT 0 SITEPROP SLICE_X44Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y62 RPM_X 117 SITEPROP SLICE_X44Y62 RPM_Y 124 SITEPROP SLICE_X44Y62 SITE_PIPS SITEPROP SLICE_X44Y62 SITE_TYPE SLICEL SITEPROP SLICE_X44Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y63 CLASS site SITEPROP SLICE_X44Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y63 IS_BONDED 0 SITEPROP SLICE_X44Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y63 IS_PAD 0 SITEPROP SLICE_X44Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y63 IS_RESERVED 0 SITEPROP SLICE_X44Y63 IS_TEST 0 SITEPROP SLICE_X44Y63 IS_USED 0 SITEPROP SLICE_X44Y63 MANUAL_ROUTING SITEPROP SLICE_X44Y63 NAME SLICE_X44Y63 SITEPROP SLICE_X44Y63 NUM_ARCS 138 SITEPROP SLICE_X44Y63 NUM_BELS 32 SITEPROP SLICE_X44Y63 NUM_INPUTS 32 SITEPROP SLICE_X44Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y63 NUM_PINS 45 SITEPROP SLICE_X44Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y63 PROHIBIT 0 SITEPROP SLICE_X44Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y63 RPM_X 117 SITEPROP SLICE_X44Y63 RPM_Y 126 SITEPROP SLICE_X44Y63 SITE_PIPS SITEPROP SLICE_X44Y63 SITE_TYPE SLICEL SITEPROP SLICE_X44Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y64 CLASS site SITEPROP SLICE_X44Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y64 IS_BONDED 0 SITEPROP SLICE_X44Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y64 IS_PAD 0 SITEPROP SLICE_X44Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y64 IS_RESERVED 0 SITEPROP SLICE_X44Y64 IS_TEST 0 SITEPROP SLICE_X44Y64 IS_USED 0 SITEPROP SLICE_X44Y64 MANUAL_ROUTING SITEPROP SLICE_X44Y64 NAME SLICE_X44Y64 SITEPROP SLICE_X44Y64 NUM_ARCS 138 SITEPROP SLICE_X44Y64 NUM_BELS 32 SITEPROP SLICE_X44Y64 NUM_INPUTS 32 SITEPROP SLICE_X44Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y64 NUM_PINS 45 SITEPROP SLICE_X44Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y64 PROHIBIT 0 SITEPROP SLICE_X44Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y64 RPM_X 117 SITEPROP SLICE_X44Y64 RPM_Y 128 SITEPROP SLICE_X44Y64 SITE_PIPS SITEPROP SLICE_X44Y64 SITE_TYPE SLICEL SITEPROP SLICE_X44Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y65 CLASS site SITEPROP SLICE_X44Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y65 IS_BONDED 0 SITEPROP SLICE_X44Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y65 IS_PAD 0 SITEPROP SLICE_X44Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y65 IS_RESERVED 0 SITEPROP SLICE_X44Y65 IS_TEST 0 SITEPROP SLICE_X44Y65 IS_USED 0 SITEPROP SLICE_X44Y65 MANUAL_ROUTING SITEPROP SLICE_X44Y65 NAME SLICE_X44Y65 SITEPROP SLICE_X44Y65 NUM_ARCS 138 SITEPROP SLICE_X44Y65 NUM_BELS 32 SITEPROP SLICE_X44Y65 NUM_INPUTS 32 SITEPROP SLICE_X44Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y65 NUM_PINS 45 SITEPROP SLICE_X44Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y65 PROHIBIT 0 SITEPROP SLICE_X44Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y65 RPM_X 117 SITEPROP SLICE_X44Y65 RPM_Y 130 SITEPROP SLICE_X44Y65 SITE_PIPS SITEPROP SLICE_X44Y65 SITE_TYPE SLICEL SITEPROP SLICE_X44Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y66 CLASS site SITEPROP SLICE_X44Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y66 IS_BONDED 0 SITEPROP SLICE_X44Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y66 IS_PAD 0 SITEPROP SLICE_X44Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y66 IS_RESERVED 0 SITEPROP SLICE_X44Y66 IS_TEST 0 SITEPROP SLICE_X44Y66 IS_USED 0 SITEPROP SLICE_X44Y66 MANUAL_ROUTING SITEPROP SLICE_X44Y66 NAME SLICE_X44Y66 SITEPROP SLICE_X44Y66 NUM_ARCS 138 SITEPROP SLICE_X44Y66 NUM_BELS 32 SITEPROP SLICE_X44Y66 NUM_INPUTS 32 SITEPROP SLICE_X44Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y66 NUM_PINS 45 SITEPROP SLICE_X44Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y66 PROHIBIT 0 SITEPROP SLICE_X44Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y66 RPM_X 117 SITEPROP SLICE_X44Y66 RPM_Y 132 SITEPROP SLICE_X44Y66 SITE_PIPS SITEPROP SLICE_X44Y66 SITE_TYPE SLICEL SITEPROP SLICE_X44Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y67 CLASS site SITEPROP SLICE_X44Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y67 IS_BONDED 0 SITEPROP SLICE_X44Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y67 IS_PAD 0 SITEPROP SLICE_X44Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y67 IS_RESERVED 0 SITEPROP SLICE_X44Y67 IS_TEST 0 SITEPROP SLICE_X44Y67 IS_USED 0 SITEPROP SLICE_X44Y67 MANUAL_ROUTING SITEPROP SLICE_X44Y67 NAME SLICE_X44Y67 SITEPROP SLICE_X44Y67 NUM_ARCS 138 SITEPROP SLICE_X44Y67 NUM_BELS 32 SITEPROP SLICE_X44Y67 NUM_INPUTS 32 SITEPROP SLICE_X44Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y67 NUM_PINS 45 SITEPROP SLICE_X44Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y67 PROHIBIT 0 SITEPROP SLICE_X44Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y67 RPM_X 117 SITEPROP SLICE_X44Y67 RPM_Y 134 SITEPROP SLICE_X44Y67 SITE_PIPS SITEPROP SLICE_X44Y67 SITE_TYPE SLICEL SITEPROP SLICE_X44Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y68 CLASS site SITEPROP SLICE_X44Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y68 IS_BONDED 0 SITEPROP SLICE_X44Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y68 IS_PAD 0 SITEPROP SLICE_X44Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y68 IS_RESERVED 0 SITEPROP SLICE_X44Y68 IS_TEST 0 SITEPROP SLICE_X44Y68 IS_USED 0 SITEPROP SLICE_X44Y68 MANUAL_ROUTING SITEPROP SLICE_X44Y68 NAME SLICE_X44Y68 SITEPROP SLICE_X44Y68 NUM_ARCS 138 SITEPROP SLICE_X44Y68 NUM_BELS 32 SITEPROP SLICE_X44Y68 NUM_INPUTS 32 SITEPROP SLICE_X44Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y68 NUM_PINS 45 SITEPROP SLICE_X44Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y68 PROHIBIT 0 SITEPROP SLICE_X44Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y68 RPM_X 117 SITEPROP SLICE_X44Y68 RPM_Y 136 SITEPROP SLICE_X44Y68 SITE_PIPS SITEPROP SLICE_X44Y68 SITE_TYPE SLICEL SITEPROP SLICE_X44Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y69 CLASS site SITEPROP SLICE_X44Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y69 IS_BONDED 0 SITEPROP SLICE_X44Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y69 IS_PAD 0 SITEPROP SLICE_X44Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y69 IS_RESERVED 0 SITEPROP SLICE_X44Y69 IS_TEST 0 SITEPROP SLICE_X44Y69 IS_USED 0 SITEPROP SLICE_X44Y69 MANUAL_ROUTING SITEPROP SLICE_X44Y69 NAME SLICE_X44Y69 SITEPROP SLICE_X44Y69 NUM_ARCS 138 SITEPROP SLICE_X44Y69 NUM_BELS 32 SITEPROP SLICE_X44Y69 NUM_INPUTS 32 SITEPROP SLICE_X44Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y69 NUM_PINS 45 SITEPROP SLICE_X44Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y69 PROHIBIT 0 SITEPROP SLICE_X44Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y69 RPM_X 117 SITEPROP SLICE_X44Y69 RPM_Y 138 SITEPROP SLICE_X44Y69 SITE_PIPS SITEPROP SLICE_X44Y69 SITE_TYPE SLICEL SITEPROP SLICE_X44Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y70 CLASS site SITEPROP SLICE_X44Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y70 IS_BONDED 0 SITEPROP SLICE_X44Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y70 IS_PAD 0 SITEPROP SLICE_X44Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y70 IS_RESERVED 0 SITEPROP SLICE_X44Y70 IS_TEST 0 SITEPROP SLICE_X44Y70 IS_USED 0 SITEPROP SLICE_X44Y70 MANUAL_ROUTING SITEPROP SLICE_X44Y70 NAME SLICE_X44Y70 SITEPROP SLICE_X44Y70 NUM_ARCS 138 SITEPROP SLICE_X44Y70 NUM_BELS 32 SITEPROP SLICE_X44Y70 NUM_INPUTS 32 SITEPROP SLICE_X44Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y70 NUM_PINS 45 SITEPROP SLICE_X44Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y70 PROHIBIT 0 SITEPROP SLICE_X44Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y70 RPM_X 117 SITEPROP SLICE_X44Y70 RPM_Y 140 SITEPROP SLICE_X44Y70 SITE_PIPS SITEPROP SLICE_X44Y70 SITE_TYPE SLICEL SITEPROP SLICE_X44Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y71 CLASS site SITEPROP SLICE_X44Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y71 IS_BONDED 0 SITEPROP SLICE_X44Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y71 IS_PAD 0 SITEPROP SLICE_X44Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y71 IS_RESERVED 0 SITEPROP SLICE_X44Y71 IS_TEST 0 SITEPROP SLICE_X44Y71 IS_USED 0 SITEPROP SLICE_X44Y71 MANUAL_ROUTING SITEPROP SLICE_X44Y71 NAME SLICE_X44Y71 SITEPROP SLICE_X44Y71 NUM_ARCS 138 SITEPROP SLICE_X44Y71 NUM_BELS 32 SITEPROP SLICE_X44Y71 NUM_INPUTS 32 SITEPROP SLICE_X44Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y71 NUM_PINS 45 SITEPROP SLICE_X44Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y71 PROHIBIT 0 SITEPROP SLICE_X44Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y71 RPM_X 117 SITEPROP SLICE_X44Y71 RPM_Y 142 SITEPROP SLICE_X44Y71 SITE_PIPS SITEPROP SLICE_X44Y71 SITE_TYPE SLICEL SITEPROP SLICE_X44Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y72 CLASS site SITEPROP SLICE_X44Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y72 IS_BONDED 0 SITEPROP SLICE_X44Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y72 IS_PAD 0 SITEPROP SLICE_X44Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y72 IS_RESERVED 0 SITEPROP SLICE_X44Y72 IS_TEST 0 SITEPROP SLICE_X44Y72 IS_USED 0 SITEPROP SLICE_X44Y72 MANUAL_ROUTING SITEPROP SLICE_X44Y72 NAME SLICE_X44Y72 SITEPROP SLICE_X44Y72 NUM_ARCS 138 SITEPROP SLICE_X44Y72 NUM_BELS 32 SITEPROP SLICE_X44Y72 NUM_INPUTS 32 SITEPROP SLICE_X44Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y72 NUM_PINS 45 SITEPROP SLICE_X44Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y72 PROHIBIT 0 SITEPROP SLICE_X44Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y72 RPM_X 117 SITEPROP SLICE_X44Y72 RPM_Y 144 SITEPROP SLICE_X44Y72 SITE_PIPS SITEPROP SLICE_X44Y72 SITE_TYPE SLICEL SITEPROP SLICE_X44Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y73 CLASS site SITEPROP SLICE_X44Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y73 IS_BONDED 0 SITEPROP SLICE_X44Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y73 IS_PAD 0 SITEPROP SLICE_X44Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y73 IS_RESERVED 0 SITEPROP SLICE_X44Y73 IS_TEST 0 SITEPROP SLICE_X44Y73 IS_USED 0 SITEPROP SLICE_X44Y73 MANUAL_ROUTING SITEPROP SLICE_X44Y73 NAME SLICE_X44Y73 SITEPROP SLICE_X44Y73 NUM_ARCS 138 SITEPROP SLICE_X44Y73 NUM_BELS 32 SITEPROP SLICE_X44Y73 NUM_INPUTS 32 SITEPROP SLICE_X44Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y73 NUM_PINS 45 SITEPROP SLICE_X44Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y73 PROHIBIT 0 SITEPROP SLICE_X44Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y73 RPM_X 117 SITEPROP SLICE_X44Y73 RPM_Y 146 SITEPROP SLICE_X44Y73 SITE_PIPS SITEPROP SLICE_X44Y73 SITE_TYPE SLICEL SITEPROP SLICE_X44Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y74 CLASS site SITEPROP SLICE_X44Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y74 IS_BONDED 0 SITEPROP SLICE_X44Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y74 IS_PAD 0 SITEPROP SLICE_X44Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y74 IS_RESERVED 0 SITEPROP SLICE_X44Y74 IS_TEST 0 SITEPROP SLICE_X44Y74 IS_USED 0 SITEPROP SLICE_X44Y74 MANUAL_ROUTING SITEPROP SLICE_X44Y74 NAME SLICE_X44Y74 SITEPROP SLICE_X44Y74 NUM_ARCS 138 SITEPROP SLICE_X44Y74 NUM_BELS 32 SITEPROP SLICE_X44Y74 NUM_INPUTS 32 SITEPROP SLICE_X44Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y74 NUM_PINS 45 SITEPROP SLICE_X44Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y74 PROHIBIT 0 SITEPROP SLICE_X44Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y74 RPM_X 117 SITEPROP SLICE_X44Y74 RPM_Y 148 SITEPROP SLICE_X44Y74 SITE_PIPS SITEPROP SLICE_X44Y74 SITE_TYPE SLICEL SITEPROP SLICE_X44Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y75 CLASS site SITEPROP SLICE_X44Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y75 IS_BONDED 0 SITEPROP SLICE_X44Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y75 IS_PAD 0 SITEPROP SLICE_X44Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y75 IS_RESERVED 0 SITEPROP SLICE_X44Y75 IS_TEST 0 SITEPROP SLICE_X44Y75 IS_USED 0 SITEPROP SLICE_X44Y75 MANUAL_ROUTING SITEPROP SLICE_X44Y75 NAME SLICE_X44Y75 SITEPROP SLICE_X44Y75 NUM_ARCS 138 SITEPROP SLICE_X44Y75 NUM_BELS 32 SITEPROP SLICE_X44Y75 NUM_INPUTS 32 SITEPROP SLICE_X44Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y75 NUM_PINS 45 SITEPROP SLICE_X44Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y75 PROHIBIT 0 SITEPROP SLICE_X44Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y75 RPM_X 117 SITEPROP SLICE_X44Y75 RPM_Y 150 SITEPROP SLICE_X44Y75 SITE_PIPS SITEPROP SLICE_X44Y75 SITE_TYPE SLICEL SITEPROP SLICE_X44Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y76 CLASS site SITEPROP SLICE_X44Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y76 IS_BONDED 0 SITEPROP SLICE_X44Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y76 IS_PAD 0 SITEPROP SLICE_X44Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y76 IS_RESERVED 0 SITEPROP SLICE_X44Y76 IS_TEST 0 SITEPROP SLICE_X44Y76 IS_USED 0 SITEPROP SLICE_X44Y76 MANUAL_ROUTING SITEPROP SLICE_X44Y76 NAME SLICE_X44Y76 SITEPROP SLICE_X44Y76 NUM_ARCS 138 SITEPROP SLICE_X44Y76 NUM_BELS 32 SITEPROP SLICE_X44Y76 NUM_INPUTS 32 SITEPROP SLICE_X44Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y76 NUM_PINS 45 SITEPROP SLICE_X44Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y76 PROHIBIT 0 SITEPROP SLICE_X44Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y76 RPM_X 117 SITEPROP SLICE_X44Y76 RPM_Y 152 SITEPROP SLICE_X44Y76 SITE_PIPS SITEPROP SLICE_X44Y76 SITE_TYPE SLICEL SITEPROP SLICE_X44Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y77 CLASS site SITEPROP SLICE_X44Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y77 IS_BONDED 0 SITEPROP SLICE_X44Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y77 IS_PAD 0 SITEPROP SLICE_X44Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y77 IS_RESERVED 0 SITEPROP SLICE_X44Y77 IS_TEST 0 SITEPROP SLICE_X44Y77 IS_USED 0 SITEPROP SLICE_X44Y77 MANUAL_ROUTING SITEPROP SLICE_X44Y77 NAME SLICE_X44Y77 SITEPROP SLICE_X44Y77 NUM_ARCS 138 SITEPROP SLICE_X44Y77 NUM_BELS 32 SITEPROP SLICE_X44Y77 NUM_INPUTS 32 SITEPROP SLICE_X44Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y77 NUM_PINS 45 SITEPROP SLICE_X44Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y77 PROHIBIT 0 SITEPROP SLICE_X44Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y77 RPM_X 117 SITEPROP SLICE_X44Y77 RPM_Y 154 SITEPROP SLICE_X44Y77 SITE_PIPS SITEPROP SLICE_X44Y77 SITE_TYPE SLICEL SITEPROP SLICE_X44Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y78 CLASS site SITEPROP SLICE_X44Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y78 IS_BONDED 0 SITEPROP SLICE_X44Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y78 IS_PAD 0 SITEPROP SLICE_X44Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y78 IS_RESERVED 0 SITEPROP SLICE_X44Y78 IS_TEST 0 SITEPROP SLICE_X44Y78 IS_USED 0 SITEPROP SLICE_X44Y78 MANUAL_ROUTING SITEPROP SLICE_X44Y78 NAME SLICE_X44Y78 SITEPROP SLICE_X44Y78 NUM_ARCS 138 SITEPROP SLICE_X44Y78 NUM_BELS 32 SITEPROP SLICE_X44Y78 NUM_INPUTS 32 SITEPROP SLICE_X44Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y78 NUM_PINS 45 SITEPROP SLICE_X44Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y78 PROHIBIT 0 SITEPROP SLICE_X44Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y78 RPM_X 117 SITEPROP SLICE_X44Y78 RPM_Y 156 SITEPROP SLICE_X44Y78 SITE_PIPS SITEPROP SLICE_X44Y78 SITE_TYPE SLICEL SITEPROP SLICE_X44Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y79 CLASS site SITEPROP SLICE_X44Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y79 IS_BONDED 0 SITEPROP SLICE_X44Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y79 IS_PAD 0 SITEPROP SLICE_X44Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y79 IS_RESERVED 0 SITEPROP SLICE_X44Y79 IS_TEST 0 SITEPROP SLICE_X44Y79 IS_USED 0 SITEPROP SLICE_X44Y79 MANUAL_ROUTING SITEPROP SLICE_X44Y79 NAME SLICE_X44Y79 SITEPROP SLICE_X44Y79 NUM_ARCS 138 SITEPROP SLICE_X44Y79 NUM_BELS 32 SITEPROP SLICE_X44Y79 NUM_INPUTS 32 SITEPROP SLICE_X44Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y79 NUM_PINS 45 SITEPROP SLICE_X44Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y79 PROHIBIT 0 SITEPROP SLICE_X44Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y79 RPM_X 117 SITEPROP SLICE_X44Y79 RPM_Y 158 SITEPROP SLICE_X44Y79 SITE_PIPS SITEPROP SLICE_X44Y79 SITE_TYPE SLICEL SITEPROP SLICE_X44Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y80 CLASS site SITEPROP SLICE_X44Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y80 IS_BONDED 0 SITEPROP SLICE_X44Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y80 IS_PAD 0 SITEPROP SLICE_X44Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y80 IS_RESERVED 0 SITEPROP SLICE_X44Y80 IS_TEST 0 SITEPROP SLICE_X44Y80 IS_USED 0 SITEPROP SLICE_X44Y80 MANUAL_ROUTING SITEPROP SLICE_X44Y80 NAME SLICE_X44Y80 SITEPROP SLICE_X44Y80 NUM_ARCS 138 SITEPROP SLICE_X44Y80 NUM_BELS 32 SITEPROP SLICE_X44Y80 NUM_INPUTS 32 SITEPROP SLICE_X44Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y80 NUM_PINS 45 SITEPROP SLICE_X44Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y80 PROHIBIT 0 SITEPROP SLICE_X44Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y80 RPM_X 117 SITEPROP SLICE_X44Y80 RPM_Y 160 SITEPROP SLICE_X44Y80 SITE_PIPS SITEPROP SLICE_X44Y80 SITE_TYPE SLICEL SITEPROP SLICE_X44Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y81 CLASS site SITEPROP SLICE_X44Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y81 IS_BONDED 0 SITEPROP SLICE_X44Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y81 IS_PAD 0 SITEPROP SLICE_X44Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y81 IS_RESERVED 0 SITEPROP SLICE_X44Y81 IS_TEST 0 SITEPROP SLICE_X44Y81 IS_USED 0 SITEPROP SLICE_X44Y81 MANUAL_ROUTING SITEPROP SLICE_X44Y81 NAME SLICE_X44Y81 SITEPROP SLICE_X44Y81 NUM_ARCS 138 SITEPROP SLICE_X44Y81 NUM_BELS 32 SITEPROP SLICE_X44Y81 NUM_INPUTS 32 SITEPROP SLICE_X44Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y81 NUM_PINS 45 SITEPROP SLICE_X44Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y81 PROHIBIT 0 SITEPROP SLICE_X44Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y81 RPM_X 117 SITEPROP SLICE_X44Y81 RPM_Y 162 SITEPROP SLICE_X44Y81 SITE_PIPS SITEPROP SLICE_X44Y81 SITE_TYPE SLICEL SITEPROP SLICE_X44Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y82 CLASS site SITEPROP SLICE_X44Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y82 IS_BONDED 0 SITEPROP SLICE_X44Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y82 IS_PAD 0 SITEPROP SLICE_X44Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y82 IS_RESERVED 0 SITEPROP SLICE_X44Y82 IS_TEST 0 SITEPROP SLICE_X44Y82 IS_USED 0 SITEPROP SLICE_X44Y82 MANUAL_ROUTING SITEPROP SLICE_X44Y82 NAME SLICE_X44Y82 SITEPROP SLICE_X44Y82 NUM_ARCS 138 SITEPROP SLICE_X44Y82 NUM_BELS 32 SITEPROP SLICE_X44Y82 NUM_INPUTS 32 SITEPROP SLICE_X44Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y82 NUM_PINS 45 SITEPROP SLICE_X44Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y82 PROHIBIT 0 SITEPROP SLICE_X44Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y82 RPM_X 117 SITEPROP SLICE_X44Y82 RPM_Y 164 SITEPROP SLICE_X44Y82 SITE_PIPS SITEPROP SLICE_X44Y82 SITE_TYPE SLICEL SITEPROP SLICE_X44Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y83 CLASS site SITEPROP SLICE_X44Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y83 IS_BONDED 0 SITEPROP SLICE_X44Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y83 IS_PAD 0 SITEPROP SLICE_X44Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y83 IS_RESERVED 0 SITEPROP SLICE_X44Y83 IS_TEST 0 SITEPROP SLICE_X44Y83 IS_USED 0 SITEPROP SLICE_X44Y83 MANUAL_ROUTING SITEPROP SLICE_X44Y83 NAME SLICE_X44Y83 SITEPROP SLICE_X44Y83 NUM_ARCS 138 SITEPROP SLICE_X44Y83 NUM_BELS 32 SITEPROP SLICE_X44Y83 NUM_INPUTS 32 SITEPROP SLICE_X44Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y83 NUM_PINS 45 SITEPROP SLICE_X44Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y83 PROHIBIT 0 SITEPROP SLICE_X44Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y83 RPM_X 117 SITEPROP SLICE_X44Y83 RPM_Y 166 SITEPROP SLICE_X44Y83 SITE_PIPS SITEPROP SLICE_X44Y83 SITE_TYPE SLICEL SITEPROP SLICE_X44Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y84 CLASS site SITEPROP SLICE_X44Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y84 IS_BONDED 0 SITEPROP SLICE_X44Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y84 IS_PAD 0 SITEPROP SLICE_X44Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y84 IS_RESERVED 0 SITEPROP SLICE_X44Y84 IS_TEST 0 SITEPROP SLICE_X44Y84 IS_USED 0 SITEPROP SLICE_X44Y84 MANUAL_ROUTING SITEPROP SLICE_X44Y84 NAME SLICE_X44Y84 SITEPROP SLICE_X44Y84 NUM_ARCS 138 SITEPROP SLICE_X44Y84 NUM_BELS 32 SITEPROP SLICE_X44Y84 NUM_INPUTS 32 SITEPROP SLICE_X44Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y84 NUM_PINS 45 SITEPROP SLICE_X44Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y84 PROHIBIT 0 SITEPROP SLICE_X44Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y84 RPM_X 117 SITEPROP SLICE_X44Y84 RPM_Y 168 SITEPROP SLICE_X44Y84 SITE_PIPS SITEPROP SLICE_X44Y84 SITE_TYPE SLICEL SITEPROP SLICE_X44Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y85 CLASS site SITEPROP SLICE_X44Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y85 IS_BONDED 0 SITEPROP SLICE_X44Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y85 IS_PAD 0 SITEPROP SLICE_X44Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y85 IS_RESERVED 0 SITEPROP SLICE_X44Y85 IS_TEST 0 SITEPROP SLICE_X44Y85 IS_USED 0 SITEPROP SLICE_X44Y85 MANUAL_ROUTING SITEPROP SLICE_X44Y85 NAME SLICE_X44Y85 SITEPROP SLICE_X44Y85 NUM_ARCS 138 SITEPROP SLICE_X44Y85 NUM_BELS 32 SITEPROP SLICE_X44Y85 NUM_INPUTS 32 SITEPROP SLICE_X44Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y85 NUM_PINS 45 SITEPROP SLICE_X44Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y85 PROHIBIT 0 SITEPROP SLICE_X44Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y85 RPM_X 117 SITEPROP SLICE_X44Y85 RPM_Y 170 SITEPROP SLICE_X44Y85 SITE_PIPS SITEPROP SLICE_X44Y85 SITE_TYPE SLICEL SITEPROP SLICE_X44Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y86 CLASS site SITEPROP SLICE_X44Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y86 IS_BONDED 0 SITEPROP SLICE_X44Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y86 IS_PAD 0 SITEPROP SLICE_X44Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y86 IS_RESERVED 0 SITEPROP SLICE_X44Y86 IS_TEST 0 SITEPROP SLICE_X44Y86 IS_USED 0 SITEPROP SLICE_X44Y86 MANUAL_ROUTING SITEPROP SLICE_X44Y86 NAME SLICE_X44Y86 SITEPROP SLICE_X44Y86 NUM_ARCS 138 SITEPROP SLICE_X44Y86 NUM_BELS 32 SITEPROP SLICE_X44Y86 NUM_INPUTS 32 SITEPROP SLICE_X44Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y86 NUM_PINS 45 SITEPROP SLICE_X44Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y86 PROHIBIT 0 SITEPROP SLICE_X44Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y86 RPM_X 117 SITEPROP SLICE_X44Y86 RPM_Y 172 SITEPROP SLICE_X44Y86 SITE_PIPS SITEPROP SLICE_X44Y86 SITE_TYPE SLICEL SITEPROP SLICE_X44Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y87 CLASS site SITEPROP SLICE_X44Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y87 IS_BONDED 0 SITEPROP SLICE_X44Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y87 IS_PAD 0 SITEPROP SLICE_X44Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y87 IS_RESERVED 0 SITEPROP SLICE_X44Y87 IS_TEST 0 SITEPROP SLICE_X44Y87 IS_USED 0 SITEPROP SLICE_X44Y87 MANUAL_ROUTING SITEPROP SLICE_X44Y87 NAME SLICE_X44Y87 SITEPROP SLICE_X44Y87 NUM_ARCS 138 SITEPROP SLICE_X44Y87 NUM_BELS 32 SITEPROP SLICE_X44Y87 NUM_INPUTS 32 SITEPROP SLICE_X44Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y87 NUM_PINS 45 SITEPROP SLICE_X44Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y87 PROHIBIT 0 SITEPROP SLICE_X44Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y87 RPM_X 117 SITEPROP SLICE_X44Y87 RPM_Y 174 SITEPROP SLICE_X44Y87 SITE_PIPS SITEPROP SLICE_X44Y87 SITE_TYPE SLICEL SITEPROP SLICE_X44Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y88 CLASS site SITEPROP SLICE_X44Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y88 IS_BONDED 0 SITEPROP SLICE_X44Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y88 IS_PAD 0 SITEPROP SLICE_X44Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y88 IS_RESERVED 0 SITEPROP SLICE_X44Y88 IS_TEST 0 SITEPROP SLICE_X44Y88 IS_USED 0 SITEPROP SLICE_X44Y88 MANUAL_ROUTING SITEPROP SLICE_X44Y88 NAME SLICE_X44Y88 SITEPROP SLICE_X44Y88 NUM_ARCS 138 SITEPROP SLICE_X44Y88 NUM_BELS 32 SITEPROP SLICE_X44Y88 NUM_INPUTS 32 SITEPROP SLICE_X44Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y88 NUM_PINS 45 SITEPROP SLICE_X44Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y88 PROHIBIT 0 SITEPROP SLICE_X44Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y88 RPM_X 117 SITEPROP SLICE_X44Y88 RPM_Y 176 SITEPROP SLICE_X44Y88 SITE_PIPS SITEPROP SLICE_X44Y88 SITE_TYPE SLICEL SITEPROP SLICE_X44Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y89 CLASS site SITEPROP SLICE_X44Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y89 IS_BONDED 0 SITEPROP SLICE_X44Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y89 IS_PAD 0 SITEPROP SLICE_X44Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y89 IS_RESERVED 0 SITEPROP SLICE_X44Y89 IS_TEST 0 SITEPROP SLICE_X44Y89 IS_USED 0 SITEPROP SLICE_X44Y89 MANUAL_ROUTING SITEPROP SLICE_X44Y89 NAME SLICE_X44Y89 SITEPROP SLICE_X44Y89 NUM_ARCS 138 SITEPROP SLICE_X44Y89 NUM_BELS 32 SITEPROP SLICE_X44Y89 NUM_INPUTS 32 SITEPROP SLICE_X44Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y89 NUM_PINS 45 SITEPROP SLICE_X44Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y89 PROHIBIT 0 SITEPROP SLICE_X44Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y89 RPM_X 117 SITEPROP SLICE_X44Y89 RPM_Y 178 SITEPROP SLICE_X44Y89 SITE_PIPS SITEPROP SLICE_X44Y89 SITE_TYPE SLICEL SITEPROP SLICE_X44Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y90 CLASS site SITEPROP SLICE_X44Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y90 IS_BONDED 0 SITEPROP SLICE_X44Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y90 IS_PAD 0 SITEPROP SLICE_X44Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y90 IS_RESERVED 0 SITEPROP SLICE_X44Y90 IS_TEST 0 SITEPROP SLICE_X44Y90 IS_USED 0 SITEPROP SLICE_X44Y90 MANUAL_ROUTING SITEPROP SLICE_X44Y90 NAME SLICE_X44Y90 SITEPROP SLICE_X44Y90 NUM_ARCS 138 SITEPROP SLICE_X44Y90 NUM_BELS 32 SITEPROP SLICE_X44Y90 NUM_INPUTS 32 SITEPROP SLICE_X44Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y90 NUM_PINS 45 SITEPROP SLICE_X44Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y90 PROHIBIT 0 SITEPROP SLICE_X44Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y90 RPM_X 117 SITEPROP SLICE_X44Y90 RPM_Y 180 SITEPROP SLICE_X44Y90 SITE_PIPS SITEPROP SLICE_X44Y90 SITE_TYPE SLICEL SITEPROP SLICE_X44Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y91 CLASS site SITEPROP SLICE_X44Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y91 IS_BONDED 0 SITEPROP SLICE_X44Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y91 IS_PAD 0 SITEPROP SLICE_X44Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y91 IS_RESERVED 0 SITEPROP SLICE_X44Y91 IS_TEST 0 SITEPROP SLICE_X44Y91 IS_USED 0 SITEPROP SLICE_X44Y91 MANUAL_ROUTING SITEPROP SLICE_X44Y91 NAME SLICE_X44Y91 SITEPROP SLICE_X44Y91 NUM_ARCS 138 SITEPROP SLICE_X44Y91 NUM_BELS 32 SITEPROP SLICE_X44Y91 NUM_INPUTS 32 SITEPROP SLICE_X44Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y91 NUM_PINS 45 SITEPROP SLICE_X44Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y91 PROHIBIT 0 SITEPROP SLICE_X44Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y91 RPM_X 117 SITEPROP SLICE_X44Y91 RPM_Y 182 SITEPROP SLICE_X44Y91 SITE_PIPS SITEPROP SLICE_X44Y91 SITE_TYPE SLICEL SITEPROP SLICE_X44Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y92 CLASS site SITEPROP SLICE_X44Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y92 IS_BONDED 0 SITEPROP SLICE_X44Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y92 IS_PAD 0 SITEPROP SLICE_X44Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y92 IS_RESERVED 0 SITEPROP SLICE_X44Y92 IS_TEST 0 SITEPROP SLICE_X44Y92 IS_USED 0 SITEPROP SLICE_X44Y92 MANUAL_ROUTING SITEPROP SLICE_X44Y92 NAME SLICE_X44Y92 SITEPROP SLICE_X44Y92 NUM_ARCS 138 SITEPROP SLICE_X44Y92 NUM_BELS 32 SITEPROP SLICE_X44Y92 NUM_INPUTS 32 SITEPROP SLICE_X44Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y92 NUM_PINS 45 SITEPROP SLICE_X44Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y92 PROHIBIT 0 SITEPROP SLICE_X44Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y92 RPM_X 117 SITEPROP SLICE_X44Y92 RPM_Y 184 SITEPROP SLICE_X44Y92 SITE_PIPS SITEPROP SLICE_X44Y92 SITE_TYPE SLICEL SITEPROP SLICE_X44Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y93 CLASS site SITEPROP SLICE_X44Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y93 IS_BONDED 0 SITEPROP SLICE_X44Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y93 IS_PAD 0 SITEPROP SLICE_X44Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y93 IS_RESERVED 0 SITEPROP SLICE_X44Y93 IS_TEST 0 SITEPROP SLICE_X44Y93 IS_USED 0 SITEPROP SLICE_X44Y93 MANUAL_ROUTING SITEPROP SLICE_X44Y93 NAME SLICE_X44Y93 SITEPROP SLICE_X44Y93 NUM_ARCS 138 SITEPROP SLICE_X44Y93 NUM_BELS 32 SITEPROP SLICE_X44Y93 NUM_INPUTS 32 SITEPROP SLICE_X44Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y93 NUM_PINS 45 SITEPROP SLICE_X44Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y93 PROHIBIT 0 SITEPROP SLICE_X44Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y93 RPM_X 117 SITEPROP SLICE_X44Y93 RPM_Y 186 SITEPROP SLICE_X44Y93 SITE_PIPS SITEPROP SLICE_X44Y93 SITE_TYPE SLICEL SITEPROP SLICE_X44Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y94 CLASS site SITEPROP SLICE_X44Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y94 IS_BONDED 0 SITEPROP SLICE_X44Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y94 IS_PAD 0 SITEPROP SLICE_X44Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y94 IS_RESERVED 0 SITEPROP SLICE_X44Y94 IS_TEST 0 SITEPROP SLICE_X44Y94 IS_USED 0 SITEPROP SLICE_X44Y94 MANUAL_ROUTING SITEPROP SLICE_X44Y94 NAME SLICE_X44Y94 SITEPROP SLICE_X44Y94 NUM_ARCS 138 SITEPROP SLICE_X44Y94 NUM_BELS 32 SITEPROP SLICE_X44Y94 NUM_INPUTS 32 SITEPROP SLICE_X44Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y94 NUM_PINS 45 SITEPROP SLICE_X44Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y94 PROHIBIT 0 SITEPROP SLICE_X44Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y94 RPM_X 117 SITEPROP SLICE_X44Y94 RPM_Y 188 SITEPROP SLICE_X44Y94 SITE_PIPS SITEPROP SLICE_X44Y94 SITE_TYPE SLICEL SITEPROP SLICE_X44Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y95 CLASS site SITEPROP SLICE_X44Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y95 IS_BONDED 0 SITEPROP SLICE_X44Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y95 IS_PAD 0 SITEPROP SLICE_X44Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y95 IS_RESERVED 0 SITEPROP SLICE_X44Y95 IS_TEST 0 SITEPROP SLICE_X44Y95 IS_USED 0 SITEPROP SLICE_X44Y95 MANUAL_ROUTING SITEPROP SLICE_X44Y95 NAME SLICE_X44Y95 SITEPROP SLICE_X44Y95 NUM_ARCS 138 SITEPROP SLICE_X44Y95 NUM_BELS 32 SITEPROP SLICE_X44Y95 NUM_INPUTS 32 SITEPROP SLICE_X44Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y95 NUM_PINS 45 SITEPROP SLICE_X44Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y95 PROHIBIT 0 SITEPROP SLICE_X44Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y95 RPM_X 117 SITEPROP SLICE_X44Y95 RPM_Y 190 SITEPROP SLICE_X44Y95 SITE_PIPS SITEPROP SLICE_X44Y95 SITE_TYPE SLICEL SITEPROP SLICE_X44Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y96 CLASS site SITEPROP SLICE_X44Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y96 IS_BONDED 0 SITEPROP SLICE_X44Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y96 IS_PAD 0 SITEPROP SLICE_X44Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y96 IS_RESERVED 0 SITEPROP SLICE_X44Y96 IS_TEST 0 SITEPROP SLICE_X44Y96 IS_USED 0 SITEPROP SLICE_X44Y96 MANUAL_ROUTING SITEPROP SLICE_X44Y96 NAME SLICE_X44Y96 SITEPROP SLICE_X44Y96 NUM_ARCS 138 SITEPROP SLICE_X44Y96 NUM_BELS 32 SITEPROP SLICE_X44Y96 NUM_INPUTS 32 SITEPROP SLICE_X44Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y96 NUM_PINS 45 SITEPROP SLICE_X44Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y96 PROHIBIT 0 SITEPROP SLICE_X44Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y96 RPM_X 117 SITEPROP SLICE_X44Y96 RPM_Y 192 SITEPROP SLICE_X44Y96 SITE_PIPS SITEPROP SLICE_X44Y96 SITE_TYPE SLICEL SITEPROP SLICE_X44Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y97 CLASS site SITEPROP SLICE_X44Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y97 IS_BONDED 0 SITEPROP SLICE_X44Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y97 IS_PAD 0 SITEPROP SLICE_X44Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y97 IS_RESERVED 0 SITEPROP SLICE_X44Y97 IS_TEST 0 SITEPROP SLICE_X44Y97 IS_USED 0 SITEPROP SLICE_X44Y97 MANUAL_ROUTING SITEPROP SLICE_X44Y97 NAME SLICE_X44Y97 SITEPROP SLICE_X44Y97 NUM_ARCS 138 SITEPROP SLICE_X44Y97 NUM_BELS 32 SITEPROP SLICE_X44Y97 NUM_INPUTS 32 SITEPROP SLICE_X44Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y97 NUM_PINS 45 SITEPROP SLICE_X44Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y97 PROHIBIT 0 SITEPROP SLICE_X44Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y97 RPM_X 117 SITEPROP SLICE_X44Y97 RPM_Y 194 SITEPROP SLICE_X44Y97 SITE_PIPS SITEPROP SLICE_X44Y97 SITE_TYPE SLICEL SITEPROP SLICE_X44Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y98 CLASS site SITEPROP SLICE_X44Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y98 IS_BONDED 0 SITEPROP SLICE_X44Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y98 IS_PAD 0 SITEPROP SLICE_X44Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y98 IS_RESERVED 0 SITEPROP SLICE_X44Y98 IS_TEST 0 SITEPROP SLICE_X44Y98 IS_USED 0 SITEPROP SLICE_X44Y98 MANUAL_ROUTING SITEPROP SLICE_X44Y98 NAME SLICE_X44Y98 SITEPROP SLICE_X44Y98 NUM_ARCS 138 SITEPROP SLICE_X44Y98 NUM_BELS 32 SITEPROP SLICE_X44Y98 NUM_INPUTS 32 SITEPROP SLICE_X44Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y98 NUM_PINS 45 SITEPROP SLICE_X44Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y98 PROHIBIT 0 SITEPROP SLICE_X44Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y98 RPM_X 117 SITEPROP SLICE_X44Y98 RPM_Y 196 SITEPROP SLICE_X44Y98 SITE_PIPS SITEPROP SLICE_X44Y98 SITE_TYPE SLICEL SITEPROP SLICE_X44Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y99 CLASS site SITEPROP SLICE_X44Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X44Y99 IS_BONDED 0 SITEPROP SLICE_X44Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y99 IS_PAD 0 SITEPROP SLICE_X44Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y99 IS_RESERVED 0 SITEPROP SLICE_X44Y99 IS_TEST 0 SITEPROP SLICE_X44Y99 IS_USED 0 SITEPROP SLICE_X44Y99 MANUAL_ROUTING SITEPROP SLICE_X44Y99 NAME SLICE_X44Y99 SITEPROP SLICE_X44Y99 NUM_ARCS 138 SITEPROP SLICE_X44Y99 NUM_BELS 32 SITEPROP SLICE_X44Y99 NUM_INPUTS 32 SITEPROP SLICE_X44Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y99 NUM_PINS 45 SITEPROP SLICE_X44Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y99 PROHIBIT 0 SITEPROP SLICE_X44Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y99 RPM_X 117 SITEPROP SLICE_X44Y99 RPM_Y 198 SITEPROP SLICE_X44Y99 SITE_PIPS SITEPROP SLICE_X44Y99 SITE_TYPE SLICEL SITEPROP SLICE_X44Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y125 CLASS site SITEPROP SLICE_X44Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y125 IS_BONDED 0 SITEPROP SLICE_X44Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y125 IS_PAD 0 SITEPROP SLICE_X44Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y125 IS_RESERVED 0 SITEPROP SLICE_X44Y125 IS_TEST 0 SITEPROP SLICE_X44Y125 IS_USED 0 SITEPROP SLICE_X44Y125 MANUAL_ROUTING SITEPROP SLICE_X44Y125 NAME SLICE_X44Y125 SITEPROP SLICE_X44Y125 NUM_ARCS 138 SITEPROP SLICE_X44Y125 NUM_BELS 32 SITEPROP SLICE_X44Y125 NUM_INPUTS 32 SITEPROP SLICE_X44Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y125 NUM_PINS 45 SITEPROP SLICE_X44Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y125 PROHIBIT 0 SITEPROP SLICE_X44Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y125 RPM_X 117 SITEPROP SLICE_X44Y125 RPM_Y 250 SITEPROP SLICE_X44Y125 SITE_PIPS SITEPROP SLICE_X44Y125 SITE_TYPE SLICEL SITEPROP SLICE_X44Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y126 CLASS site SITEPROP SLICE_X44Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y126 IS_BONDED 0 SITEPROP SLICE_X44Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y126 IS_PAD 0 SITEPROP SLICE_X44Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y126 IS_RESERVED 0 SITEPROP SLICE_X44Y126 IS_TEST 0 SITEPROP SLICE_X44Y126 IS_USED 0 SITEPROP SLICE_X44Y126 MANUAL_ROUTING SITEPROP SLICE_X44Y126 NAME SLICE_X44Y126 SITEPROP SLICE_X44Y126 NUM_ARCS 138 SITEPROP SLICE_X44Y126 NUM_BELS 32 SITEPROP SLICE_X44Y126 NUM_INPUTS 32 SITEPROP SLICE_X44Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y126 NUM_PINS 45 SITEPROP SLICE_X44Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y126 PROHIBIT 0 SITEPROP SLICE_X44Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y126 RPM_X 117 SITEPROP SLICE_X44Y126 RPM_Y 252 SITEPROP SLICE_X44Y126 SITE_PIPS SITEPROP SLICE_X44Y126 SITE_TYPE SLICEL SITEPROP SLICE_X44Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y127 CLASS site SITEPROP SLICE_X44Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y127 IS_BONDED 0 SITEPROP SLICE_X44Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y127 IS_PAD 0 SITEPROP SLICE_X44Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y127 IS_RESERVED 0 SITEPROP SLICE_X44Y127 IS_TEST 0 SITEPROP SLICE_X44Y127 IS_USED 0 SITEPROP SLICE_X44Y127 MANUAL_ROUTING SITEPROP SLICE_X44Y127 NAME SLICE_X44Y127 SITEPROP SLICE_X44Y127 NUM_ARCS 138 SITEPROP SLICE_X44Y127 NUM_BELS 32 SITEPROP SLICE_X44Y127 NUM_INPUTS 32 SITEPROP SLICE_X44Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y127 NUM_PINS 45 SITEPROP SLICE_X44Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y127 PROHIBIT 0 SITEPROP SLICE_X44Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y127 RPM_X 117 SITEPROP SLICE_X44Y127 RPM_Y 254 SITEPROP SLICE_X44Y127 SITE_PIPS SITEPROP SLICE_X44Y127 SITE_TYPE SLICEL SITEPROP SLICE_X44Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y128 CLASS site SITEPROP SLICE_X44Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y128 IS_BONDED 0 SITEPROP SLICE_X44Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y128 IS_PAD 0 SITEPROP SLICE_X44Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y128 IS_RESERVED 0 SITEPROP SLICE_X44Y128 IS_TEST 0 SITEPROP SLICE_X44Y128 IS_USED 0 SITEPROP SLICE_X44Y128 MANUAL_ROUTING SITEPROP SLICE_X44Y128 NAME SLICE_X44Y128 SITEPROP SLICE_X44Y128 NUM_ARCS 138 SITEPROP SLICE_X44Y128 NUM_BELS 32 SITEPROP SLICE_X44Y128 NUM_INPUTS 32 SITEPROP SLICE_X44Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y128 NUM_PINS 45 SITEPROP SLICE_X44Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y128 PROHIBIT 0 SITEPROP SLICE_X44Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y128 RPM_X 117 SITEPROP SLICE_X44Y128 RPM_Y 256 SITEPROP SLICE_X44Y128 SITE_PIPS SITEPROP SLICE_X44Y128 SITE_TYPE SLICEL SITEPROP SLICE_X44Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y129 CLASS site SITEPROP SLICE_X44Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y129 IS_BONDED 0 SITEPROP SLICE_X44Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y129 IS_PAD 0 SITEPROP SLICE_X44Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y129 IS_RESERVED 0 SITEPROP SLICE_X44Y129 IS_TEST 0 SITEPROP SLICE_X44Y129 IS_USED 0 SITEPROP SLICE_X44Y129 MANUAL_ROUTING SITEPROP SLICE_X44Y129 NAME SLICE_X44Y129 SITEPROP SLICE_X44Y129 NUM_ARCS 138 SITEPROP SLICE_X44Y129 NUM_BELS 32 SITEPROP SLICE_X44Y129 NUM_INPUTS 32 SITEPROP SLICE_X44Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y129 NUM_PINS 45 SITEPROP SLICE_X44Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y129 PROHIBIT 0 SITEPROP SLICE_X44Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y129 RPM_X 117 SITEPROP SLICE_X44Y129 RPM_Y 258 SITEPROP SLICE_X44Y129 SITE_PIPS SITEPROP SLICE_X44Y129 SITE_TYPE SLICEL SITEPROP SLICE_X44Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y130 CLASS site SITEPROP SLICE_X44Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y130 IS_BONDED 0 SITEPROP SLICE_X44Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y130 IS_PAD 0 SITEPROP SLICE_X44Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y130 IS_RESERVED 0 SITEPROP SLICE_X44Y130 IS_TEST 0 SITEPROP SLICE_X44Y130 IS_USED 0 SITEPROP SLICE_X44Y130 MANUAL_ROUTING SITEPROP SLICE_X44Y130 NAME SLICE_X44Y130 SITEPROP SLICE_X44Y130 NUM_ARCS 138 SITEPROP SLICE_X44Y130 NUM_BELS 32 SITEPROP SLICE_X44Y130 NUM_INPUTS 32 SITEPROP SLICE_X44Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y130 NUM_PINS 45 SITEPROP SLICE_X44Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y130 PROHIBIT 0 SITEPROP SLICE_X44Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y130 RPM_X 117 SITEPROP SLICE_X44Y130 RPM_Y 260 SITEPROP SLICE_X44Y130 SITE_PIPS SITEPROP SLICE_X44Y130 SITE_TYPE SLICEL SITEPROP SLICE_X44Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y131 CLASS site SITEPROP SLICE_X44Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y131 IS_BONDED 0 SITEPROP SLICE_X44Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y131 IS_PAD 0 SITEPROP SLICE_X44Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y131 IS_RESERVED 0 SITEPROP SLICE_X44Y131 IS_TEST 0 SITEPROP SLICE_X44Y131 IS_USED 0 SITEPROP SLICE_X44Y131 MANUAL_ROUTING SITEPROP SLICE_X44Y131 NAME SLICE_X44Y131 SITEPROP SLICE_X44Y131 NUM_ARCS 138 SITEPROP SLICE_X44Y131 NUM_BELS 32 SITEPROP SLICE_X44Y131 NUM_INPUTS 32 SITEPROP SLICE_X44Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y131 NUM_PINS 45 SITEPROP SLICE_X44Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y131 PROHIBIT 0 SITEPROP SLICE_X44Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y131 RPM_X 117 SITEPROP SLICE_X44Y131 RPM_Y 262 SITEPROP SLICE_X44Y131 SITE_PIPS SITEPROP SLICE_X44Y131 SITE_TYPE SLICEL SITEPROP SLICE_X44Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y132 CLASS site SITEPROP SLICE_X44Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y132 IS_BONDED 0 SITEPROP SLICE_X44Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y132 IS_PAD 0 SITEPROP SLICE_X44Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y132 IS_RESERVED 0 SITEPROP SLICE_X44Y132 IS_TEST 0 SITEPROP SLICE_X44Y132 IS_USED 0 SITEPROP SLICE_X44Y132 MANUAL_ROUTING SITEPROP SLICE_X44Y132 NAME SLICE_X44Y132 SITEPROP SLICE_X44Y132 NUM_ARCS 138 SITEPROP SLICE_X44Y132 NUM_BELS 32 SITEPROP SLICE_X44Y132 NUM_INPUTS 32 SITEPROP SLICE_X44Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y132 NUM_PINS 45 SITEPROP SLICE_X44Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y132 PROHIBIT 0 SITEPROP SLICE_X44Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y132 RPM_X 117 SITEPROP SLICE_X44Y132 RPM_Y 264 SITEPROP SLICE_X44Y132 SITE_PIPS SITEPROP SLICE_X44Y132 SITE_TYPE SLICEL SITEPROP SLICE_X44Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y133 CLASS site SITEPROP SLICE_X44Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y133 IS_BONDED 0 SITEPROP SLICE_X44Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y133 IS_PAD 0 SITEPROP SLICE_X44Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y133 IS_RESERVED 0 SITEPROP SLICE_X44Y133 IS_TEST 0 SITEPROP SLICE_X44Y133 IS_USED 0 SITEPROP SLICE_X44Y133 MANUAL_ROUTING SITEPROP SLICE_X44Y133 NAME SLICE_X44Y133 SITEPROP SLICE_X44Y133 NUM_ARCS 138 SITEPROP SLICE_X44Y133 NUM_BELS 32 SITEPROP SLICE_X44Y133 NUM_INPUTS 32 SITEPROP SLICE_X44Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y133 NUM_PINS 45 SITEPROP SLICE_X44Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y133 PROHIBIT 0 SITEPROP SLICE_X44Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y133 RPM_X 117 SITEPROP SLICE_X44Y133 RPM_Y 266 SITEPROP SLICE_X44Y133 SITE_PIPS SITEPROP SLICE_X44Y133 SITE_TYPE SLICEL SITEPROP SLICE_X44Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y134 CLASS site SITEPROP SLICE_X44Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y134 IS_BONDED 0 SITEPROP SLICE_X44Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y134 IS_PAD 0 SITEPROP SLICE_X44Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y134 IS_RESERVED 0 SITEPROP SLICE_X44Y134 IS_TEST 0 SITEPROP SLICE_X44Y134 IS_USED 0 SITEPROP SLICE_X44Y134 MANUAL_ROUTING SITEPROP SLICE_X44Y134 NAME SLICE_X44Y134 SITEPROP SLICE_X44Y134 NUM_ARCS 138 SITEPROP SLICE_X44Y134 NUM_BELS 32 SITEPROP SLICE_X44Y134 NUM_INPUTS 32 SITEPROP SLICE_X44Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y134 NUM_PINS 45 SITEPROP SLICE_X44Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y134 PROHIBIT 0 SITEPROP SLICE_X44Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y134 RPM_X 117 SITEPROP SLICE_X44Y134 RPM_Y 268 SITEPROP SLICE_X44Y134 SITE_PIPS SITEPROP SLICE_X44Y134 SITE_TYPE SLICEL SITEPROP SLICE_X44Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y135 CLASS site SITEPROP SLICE_X44Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y135 IS_BONDED 0 SITEPROP SLICE_X44Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y135 IS_PAD 0 SITEPROP SLICE_X44Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y135 IS_RESERVED 0 SITEPROP SLICE_X44Y135 IS_TEST 0 SITEPROP SLICE_X44Y135 IS_USED 0 SITEPROP SLICE_X44Y135 MANUAL_ROUTING SITEPROP SLICE_X44Y135 NAME SLICE_X44Y135 SITEPROP SLICE_X44Y135 NUM_ARCS 138 SITEPROP SLICE_X44Y135 NUM_BELS 32 SITEPROP SLICE_X44Y135 NUM_INPUTS 32 SITEPROP SLICE_X44Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y135 NUM_PINS 45 SITEPROP SLICE_X44Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y135 PROHIBIT 0 SITEPROP SLICE_X44Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y135 RPM_X 117 SITEPROP SLICE_X44Y135 RPM_Y 270 SITEPROP SLICE_X44Y135 SITE_PIPS SITEPROP SLICE_X44Y135 SITE_TYPE SLICEL SITEPROP SLICE_X44Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y136 CLASS site SITEPROP SLICE_X44Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y136 IS_BONDED 0 SITEPROP SLICE_X44Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y136 IS_PAD 0 SITEPROP SLICE_X44Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y136 IS_RESERVED 0 SITEPROP SLICE_X44Y136 IS_TEST 0 SITEPROP SLICE_X44Y136 IS_USED 0 SITEPROP SLICE_X44Y136 MANUAL_ROUTING SITEPROP SLICE_X44Y136 NAME SLICE_X44Y136 SITEPROP SLICE_X44Y136 NUM_ARCS 138 SITEPROP SLICE_X44Y136 NUM_BELS 32 SITEPROP SLICE_X44Y136 NUM_INPUTS 32 SITEPROP SLICE_X44Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y136 NUM_PINS 45 SITEPROP SLICE_X44Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y136 PROHIBIT 0 SITEPROP SLICE_X44Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y136 RPM_X 117 SITEPROP SLICE_X44Y136 RPM_Y 272 SITEPROP SLICE_X44Y136 SITE_PIPS SITEPROP SLICE_X44Y136 SITE_TYPE SLICEL SITEPROP SLICE_X44Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y137 CLASS site SITEPROP SLICE_X44Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y137 IS_BONDED 0 SITEPROP SLICE_X44Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y137 IS_PAD 0 SITEPROP SLICE_X44Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y137 IS_RESERVED 0 SITEPROP SLICE_X44Y137 IS_TEST 0 SITEPROP SLICE_X44Y137 IS_USED 0 SITEPROP SLICE_X44Y137 MANUAL_ROUTING SITEPROP SLICE_X44Y137 NAME SLICE_X44Y137 SITEPROP SLICE_X44Y137 NUM_ARCS 138 SITEPROP SLICE_X44Y137 NUM_BELS 32 SITEPROP SLICE_X44Y137 NUM_INPUTS 32 SITEPROP SLICE_X44Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y137 NUM_PINS 45 SITEPROP SLICE_X44Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y137 PROHIBIT 0 SITEPROP SLICE_X44Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y137 RPM_X 117 SITEPROP SLICE_X44Y137 RPM_Y 274 SITEPROP SLICE_X44Y137 SITE_PIPS SITEPROP SLICE_X44Y137 SITE_TYPE SLICEL SITEPROP SLICE_X44Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y138 CLASS site SITEPROP SLICE_X44Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y138 IS_BONDED 0 SITEPROP SLICE_X44Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y138 IS_PAD 0 SITEPROP SLICE_X44Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y138 IS_RESERVED 0 SITEPROP SLICE_X44Y138 IS_TEST 0 SITEPROP SLICE_X44Y138 IS_USED 0 SITEPROP SLICE_X44Y138 MANUAL_ROUTING SITEPROP SLICE_X44Y138 NAME SLICE_X44Y138 SITEPROP SLICE_X44Y138 NUM_ARCS 138 SITEPROP SLICE_X44Y138 NUM_BELS 32 SITEPROP SLICE_X44Y138 NUM_INPUTS 32 SITEPROP SLICE_X44Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y138 NUM_PINS 45 SITEPROP SLICE_X44Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y138 PROHIBIT 0 SITEPROP SLICE_X44Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y138 RPM_X 117 SITEPROP SLICE_X44Y138 RPM_Y 276 SITEPROP SLICE_X44Y138 SITE_PIPS SITEPROP SLICE_X44Y138 SITE_TYPE SLICEL SITEPROP SLICE_X44Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y139 CLASS site SITEPROP SLICE_X44Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y139 IS_BONDED 0 SITEPROP SLICE_X44Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y139 IS_PAD 0 SITEPROP SLICE_X44Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y139 IS_RESERVED 0 SITEPROP SLICE_X44Y139 IS_TEST 0 SITEPROP SLICE_X44Y139 IS_USED 0 SITEPROP SLICE_X44Y139 MANUAL_ROUTING SITEPROP SLICE_X44Y139 NAME SLICE_X44Y139 SITEPROP SLICE_X44Y139 NUM_ARCS 138 SITEPROP SLICE_X44Y139 NUM_BELS 32 SITEPROP SLICE_X44Y139 NUM_INPUTS 32 SITEPROP SLICE_X44Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y139 NUM_PINS 45 SITEPROP SLICE_X44Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y139 PROHIBIT 0 SITEPROP SLICE_X44Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y139 RPM_X 117 SITEPROP SLICE_X44Y139 RPM_Y 278 SITEPROP SLICE_X44Y139 SITE_PIPS SITEPROP SLICE_X44Y139 SITE_TYPE SLICEL SITEPROP SLICE_X44Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y140 CLASS site SITEPROP SLICE_X44Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y140 IS_BONDED 0 SITEPROP SLICE_X44Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y140 IS_PAD 0 SITEPROP SLICE_X44Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y140 IS_RESERVED 0 SITEPROP SLICE_X44Y140 IS_TEST 0 SITEPROP SLICE_X44Y140 IS_USED 0 SITEPROP SLICE_X44Y140 MANUAL_ROUTING SITEPROP SLICE_X44Y140 NAME SLICE_X44Y140 SITEPROP SLICE_X44Y140 NUM_ARCS 138 SITEPROP SLICE_X44Y140 NUM_BELS 32 SITEPROP SLICE_X44Y140 NUM_INPUTS 32 SITEPROP SLICE_X44Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y140 NUM_PINS 45 SITEPROP SLICE_X44Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y140 PROHIBIT 0 SITEPROP SLICE_X44Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y140 RPM_X 117 SITEPROP SLICE_X44Y140 RPM_Y 280 SITEPROP SLICE_X44Y140 SITE_PIPS SITEPROP SLICE_X44Y140 SITE_TYPE SLICEL SITEPROP SLICE_X44Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y141 CLASS site SITEPROP SLICE_X44Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y141 IS_BONDED 0 SITEPROP SLICE_X44Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y141 IS_PAD 0 SITEPROP SLICE_X44Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y141 IS_RESERVED 0 SITEPROP SLICE_X44Y141 IS_TEST 0 SITEPROP SLICE_X44Y141 IS_USED 0 SITEPROP SLICE_X44Y141 MANUAL_ROUTING SITEPROP SLICE_X44Y141 NAME SLICE_X44Y141 SITEPROP SLICE_X44Y141 NUM_ARCS 138 SITEPROP SLICE_X44Y141 NUM_BELS 32 SITEPROP SLICE_X44Y141 NUM_INPUTS 32 SITEPROP SLICE_X44Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y141 NUM_PINS 45 SITEPROP SLICE_X44Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y141 PROHIBIT 0 SITEPROP SLICE_X44Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y141 RPM_X 117 SITEPROP SLICE_X44Y141 RPM_Y 282 SITEPROP SLICE_X44Y141 SITE_PIPS SITEPROP SLICE_X44Y141 SITE_TYPE SLICEL SITEPROP SLICE_X44Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y142 CLASS site SITEPROP SLICE_X44Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y142 IS_BONDED 0 SITEPROP SLICE_X44Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y142 IS_PAD 0 SITEPROP SLICE_X44Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y142 IS_RESERVED 0 SITEPROP SLICE_X44Y142 IS_TEST 0 SITEPROP SLICE_X44Y142 IS_USED 0 SITEPROP SLICE_X44Y142 MANUAL_ROUTING SITEPROP SLICE_X44Y142 NAME SLICE_X44Y142 SITEPROP SLICE_X44Y142 NUM_ARCS 138 SITEPROP SLICE_X44Y142 NUM_BELS 32 SITEPROP SLICE_X44Y142 NUM_INPUTS 32 SITEPROP SLICE_X44Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y142 NUM_PINS 45 SITEPROP SLICE_X44Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y142 PROHIBIT 0 SITEPROP SLICE_X44Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y142 RPM_X 117 SITEPROP SLICE_X44Y142 RPM_Y 284 SITEPROP SLICE_X44Y142 SITE_PIPS SITEPROP SLICE_X44Y142 SITE_TYPE SLICEL SITEPROP SLICE_X44Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y143 CLASS site SITEPROP SLICE_X44Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y143 IS_BONDED 0 SITEPROP SLICE_X44Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y143 IS_PAD 0 SITEPROP SLICE_X44Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y143 IS_RESERVED 0 SITEPROP SLICE_X44Y143 IS_TEST 0 SITEPROP SLICE_X44Y143 IS_USED 0 SITEPROP SLICE_X44Y143 MANUAL_ROUTING SITEPROP SLICE_X44Y143 NAME SLICE_X44Y143 SITEPROP SLICE_X44Y143 NUM_ARCS 138 SITEPROP SLICE_X44Y143 NUM_BELS 32 SITEPROP SLICE_X44Y143 NUM_INPUTS 32 SITEPROP SLICE_X44Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y143 NUM_PINS 45 SITEPROP SLICE_X44Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y143 PROHIBIT 0 SITEPROP SLICE_X44Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y143 RPM_X 117 SITEPROP SLICE_X44Y143 RPM_Y 286 SITEPROP SLICE_X44Y143 SITE_PIPS SITEPROP SLICE_X44Y143 SITE_TYPE SLICEL SITEPROP SLICE_X44Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y144 CLASS site SITEPROP SLICE_X44Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y144 IS_BONDED 0 SITEPROP SLICE_X44Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y144 IS_PAD 0 SITEPROP SLICE_X44Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y144 IS_RESERVED 0 SITEPROP SLICE_X44Y144 IS_TEST 0 SITEPROP SLICE_X44Y144 IS_USED 0 SITEPROP SLICE_X44Y144 MANUAL_ROUTING SITEPROP SLICE_X44Y144 NAME SLICE_X44Y144 SITEPROP SLICE_X44Y144 NUM_ARCS 138 SITEPROP SLICE_X44Y144 NUM_BELS 32 SITEPROP SLICE_X44Y144 NUM_INPUTS 32 SITEPROP SLICE_X44Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y144 NUM_PINS 45 SITEPROP SLICE_X44Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y144 PROHIBIT 0 SITEPROP SLICE_X44Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y144 RPM_X 117 SITEPROP SLICE_X44Y144 RPM_Y 288 SITEPROP SLICE_X44Y144 SITE_PIPS SITEPROP SLICE_X44Y144 SITE_TYPE SLICEL SITEPROP SLICE_X44Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y145 CLASS site SITEPROP SLICE_X44Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y145 IS_BONDED 0 SITEPROP SLICE_X44Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y145 IS_PAD 0 SITEPROP SLICE_X44Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y145 IS_RESERVED 0 SITEPROP SLICE_X44Y145 IS_TEST 0 SITEPROP SLICE_X44Y145 IS_USED 0 SITEPROP SLICE_X44Y145 MANUAL_ROUTING SITEPROP SLICE_X44Y145 NAME SLICE_X44Y145 SITEPROP SLICE_X44Y145 NUM_ARCS 138 SITEPROP SLICE_X44Y145 NUM_BELS 32 SITEPROP SLICE_X44Y145 NUM_INPUTS 32 SITEPROP SLICE_X44Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y145 NUM_PINS 45 SITEPROP SLICE_X44Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y145 PROHIBIT 0 SITEPROP SLICE_X44Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y145 RPM_X 117 SITEPROP SLICE_X44Y145 RPM_Y 290 SITEPROP SLICE_X44Y145 SITE_PIPS SITEPROP SLICE_X44Y145 SITE_TYPE SLICEL SITEPROP SLICE_X44Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y146 CLASS site SITEPROP SLICE_X44Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y146 IS_BONDED 0 SITEPROP SLICE_X44Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y146 IS_PAD 0 SITEPROP SLICE_X44Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y146 IS_RESERVED 0 SITEPROP SLICE_X44Y146 IS_TEST 0 SITEPROP SLICE_X44Y146 IS_USED 0 SITEPROP SLICE_X44Y146 MANUAL_ROUTING SITEPROP SLICE_X44Y146 NAME SLICE_X44Y146 SITEPROP SLICE_X44Y146 NUM_ARCS 138 SITEPROP SLICE_X44Y146 NUM_BELS 32 SITEPROP SLICE_X44Y146 NUM_INPUTS 32 SITEPROP SLICE_X44Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y146 NUM_PINS 45 SITEPROP SLICE_X44Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y146 PROHIBIT 0 SITEPROP SLICE_X44Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y146 RPM_X 117 SITEPROP SLICE_X44Y146 RPM_Y 292 SITEPROP SLICE_X44Y146 SITE_PIPS SITEPROP SLICE_X44Y146 SITE_TYPE SLICEL SITEPROP SLICE_X44Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y147 CLASS site SITEPROP SLICE_X44Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y147 IS_BONDED 0 SITEPROP SLICE_X44Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y147 IS_PAD 0 SITEPROP SLICE_X44Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y147 IS_RESERVED 0 SITEPROP SLICE_X44Y147 IS_TEST 0 SITEPROP SLICE_X44Y147 IS_USED 0 SITEPROP SLICE_X44Y147 MANUAL_ROUTING SITEPROP SLICE_X44Y147 NAME SLICE_X44Y147 SITEPROP SLICE_X44Y147 NUM_ARCS 138 SITEPROP SLICE_X44Y147 NUM_BELS 32 SITEPROP SLICE_X44Y147 NUM_INPUTS 32 SITEPROP SLICE_X44Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y147 NUM_PINS 45 SITEPROP SLICE_X44Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y147 PROHIBIT 0 SITEPROP SLICE_X44Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y147 RPM_X 117 SITEPROP SLICE_X44Y147 RPM_Y 294 SITEPROP SLICE_X44Y147 SITE_PIPS SITEPROP SLICE_X44Y147 SITE_TYPE SLICEL SITEPROP SLICE_X44Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y148 CLASS site SITEPROP SLICE_X44Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y148 IS_BONDED 0 SITEPROP SLICE_X44Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y148 IS_PAD 0 SITEPROP SLICE_X44Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y148 IS_RESERVED 0 SITEPROP SLICE_X44Y148 IS_TEST 0 SITEPROP SLICE_X44Y148 IS_USED 0 SITEPROP SLICE_X44Y148 MANUAL_ROUTING SITEPROP SLICE_X44Y148 NAME SLICE_X44Y148 SITEPROP SLICE_X44Y148 NUM_ARCS 138 SITEPROP SLICE_X44Y148 NUM_BELS 32 SITEPROP SLICE_X44Y148 NUM_INPUTS 32 SITEPROP SLICE_X44Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y148 NUM_PINS 45 SITEPROP SLICE_X44Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y148 PROHIBIT 0 SITEPROP SLICE_X44Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y148 RPM_X 117 SITEPROP SLICE_X44Y148 RPM_Y 296 SITEPROP SLICE_X44Y148 SITE_PIPS SITEPROP SLICE_X44Y148 SITE_TYPE SLICEL SITEPROP SLICE_X44Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X44Y149 CLASS site SITEPROP SLICE_X44Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X44Y149 IS_BONDED 0 SITEPROP SLICE_X44Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X44Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y149 IS_PAD 0 SITEPROP SLICE_X44Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X44Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X44Y149 IS_RESERVED 0 SITEPROP SLICE_X44Y149 IS_TEST 0 SITEPROP SLICE_X44Y149 IS_USED 0 SITEPROP SLICE_X44Y149 MANUAL_ROUTING SITEPROP SLICE_X44Y149 NAME SLICE_X44Y149 SITEPROP SLICE_X44Y149 NUM_ARCS 138 SITEPROP SLICE_X44Y149 NUM_BELS 32 SITEPROP SLICE_X44Y149 NUM_INPUTS 32 SITEPROP SLICE_X44Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X44Y149 NUM_PINS 45 SITEPROP SLICE_X44Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X44Y149 PROHIBIT 0 SITEPROP SLICE_X44Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X44Y149 RPM_X 117 SITEPROP SLICE_X44Y149 RPM_Y 298 SITEPROP SLICE_X44Y149 SITE_PIPS SITEPROP SLICE_X44Y149 SITE_TYPE SLICEL SITEPROP SLICE_X45Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y0 CLASS site SITEPROP SLICE_X45Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y0 IS_BONDED 0 SITEPROP SLICE_X45Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y0 IS_PAD 0 SITEPROP SLICE_X45Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y0 IS_RESERVED 0 SITEPROP SLICE_X45Y0 IS_TEST 0 SITEPROP SLICE_X45Y0 IS_USED 0 SITEPROP SLICE_X45Y0 MANUAL_ROUTING SITEPROP SLICE_X45Y0 NAME SLICE_X45Y0 SITEPROP SLICE_X45Y0 NUM_ARCS 138 SITEPROP SLICE_X45Y0 NUM_BELS 32 SITEPROP SLICE_X45Y0 NUM_INPUTS 32 SITEPROP SLICE_X45Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y0 NUM_PINS 45 SITEPROP SLICE_X45Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y0 PROHIBIT 0 SITEPROP SLICE_X45Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y0 RPM_X 119 SITEPROP SLICE_X45Y0 RPM_Y 0 SITEPROP SLICE_X45Y0 SITE_PIPS SITEPROP SLICE_X45Y0 SITE_TYPE SLICEL SITEPROP SLICE_X45Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y1 CLASS site SITEPROP SLICE_X45Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y1 IS_BONDED 0 SITEPROP SLICE_X45Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y1 IS_PAD 0 SITEPROP SLICE_X45Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y1 IS_RESERVED 0 SITEPROP SLICE_X45Y1 IS_TEST 0 SITEPROP SLICE_X45Y1 IS_USED 0 SITEPROP SLICE_X45Y1 MANUAL_ROUTING SITEPROP SLICE_X45Y1 NAME SLICE_X45Y1 SITEPROP SLICE_X45Y1 NUM_ARCS 138 SITEPROP SLICE_X45Y1 NUM_BELS 32 SITEPROP SLICE_X45Y1 NUM_INPUTS 32 SITEPROP SLICE_X45Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y1 NUM_PINS 45 SITEPROP SLICE_X45Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y1 PROHIBIT 0 SITEPROP SLICE_X45Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y1 RPM_X 119 SITEPROP SLICE_X45Y1 RPM_Y 2 SITEPROP SLICE_X45Y1 SITE_PIPS SITEPROP SLICE_X45Y1 SITE_TYPE SLICEL SITEPROP SLICE_X45Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y2 CLASS site SITEPROP SLICE_X45Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y2 IS_BONDED 0 SITEPROP SLICE_X45Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y2 IS_PAD 0 SITEPROP SLICE_X45Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y2 IS_RESERVED 0 SITEPROP SLICE_X45Y2 IS_TEST 0 SITEPROP SLICE_X45Y2 IS_USED 0 SITEPROP SLICE_X45Y2 MANUAL_ROUTING SITEPROP SLICE_X45Y2 NAME SLICE_X45Y2 SITEPROP SLICE_X45Y2 NUM_ARCS 138 SITEPROP SLICE_X45Y2 NUM_BELS 32 SITEPROP SLICE_X45Y2 NUM_INPUTS 32 SITEPROP SLICE_X45Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y2 NUM_PINS 45 SITEPROP SLICE_X45Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y2 PROHIBIT 0 SITEPROP SLICE_X45Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y2 RPM_X 119 SITEPROP SLICE_X45Y2 RPM_Y 4 SITEPROP SLICE_X45Y2 SITE_PIPS SITEPROP SLICE_X45Y2 SITE_TYPE SLICEL SITEPROP SLICE_X45Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y3 CLASS site SITEPROP SLICE_X45Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y3 IS_BONDED 0 SITEPROP SLICE_X45Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y3 IS_PAD 0 SITEPROP SLICE_X45Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y3 IS_RESERVED 0 SITEPROP SLICE_X45Y3 IS_TEST 0 SITEPROP SLICE_X45Y3 IS_USED 0 SITEPROP SLICE_X45Y3 MANUAL_ROUTING SITEPROP SLICE_X45Y3 NAME SLICE_X45Y3 SITEPROP SLICE_X45Y3 NUM_ARCS 138 SITEPROP SLICE_X45Y3 NUM_BELS 32 SITEPROP SLICE_X45Y3 NUM_INPUTS 32 SITEPROP SLICE_X45Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y3 NUM_PINS 45 SITEPROP SLICE_X45Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y3 PROHIBIT 0 SITEPROP SLICE_X45Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y3 RPM_X 119 SITEPROP SLICE_X45Y3 RPM_Y 6 SITEPROP SLICE_X45Y3 SITE_PIPS SITEPROP SLICE_X45Y3 SITE_TYPE SLICEL SITEPROP SLICE_X45Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y4 CLASS site SITEPROP SLICE_X45Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y4 IS_BONDED 0 SITEPROP SLICE_X45Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y4 IS_PAD 0 SITEPROP SLICE_X45Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y4 IS_RESERVED 0 SITEPROP SLICE_X45Y4 IS_TEST 0 SITEPROP SLICE_X45Y4 IS_USED 0 SITEPROP SLICE_X45Y4 MANUAL_ROUTING SITEPROP SLICE_X45Y4 NAME SLICE_X45Y4 SITEPROP SLICE_X45Y4 NUM_ARCS 138 SITEPROP SLICE_X45Y4 NUM_BELS 32 SITEPROP SLICE_X45Y4 NUM_INPUTS 32 SITEPROP SLICE_X45Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y4 NUM_PINS 45 SITEPROP SLICE_X45Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y4 PROHIBIT 0 SITEPROP SLICE_X45Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y4 RPM_X 119 SITEPROP SLICE_X45Y4 RPM_Y 8 SITEPROP SLICE_X45Y4 SITE_PIPS SITEPROP SLICE_X45Y4 SITE_TYPE SLICEL SITEPROP SLICE_X45Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y5 CLASS site SITEPROP SLICE_X45Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y5 IS_BONDED 0 SITEPROP SLICE_X45Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y5 IS_PAD 0 SITEPROP SLICE_X45Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y5 IS_RESERVED 0 SITEPROP SLICE_X45Y5 IS_TEST 0 SITEPROP SLICE_X45Y5 IS_USED 0 SITEPROP SLICE_X45Y5 MANUAL_ROUTING SITEPROP SLICE_X45Y5 NAME SLICE_X45Y5 SITEPROP SLICE_X45Y5 NUM_ARCS 138 SITEPROP SLICE_X45Y5 NUM_BELS 32 SITEPROP SLICE_X45Y5 NUM_INPUTS 32 SITEPROP SLICE_X45Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y5 NUM_PINS 45 SITEPROP SLICE_X45Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y5 PROHIBIT 0 SITEPROP SLICE_X45Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y5 RPM_X 119 SITEPROP SLICE_X45Y5 RPM_Y 10 SITEPROP SLICE_X45Y5 SITE_PIPS SITEPROP SLICE_X45Y5 SITE_TYPE SLICEL SITEPROP SLICE_X45Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y6 CLASS site SITEPROP SLICE_X45Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y6 IS_BONDED 0 SITEPROP SLICE_X45Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y6 IS_PAD 0 SITEPROP SLICE_X45Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y6 IS_RESERVED 0 SITEPROP SLICE_X45Y6 IS_TEST 0 SITEPROP SLICE_X45Y6 IS_USED 0 SITEPROP SLICE_X45Y6 MANUAL_ROUTING SITEPROP SLICE_X45Y6 NAME SLICE_X45Y6 SITEPROP SLICE_X45Y6 NUM_ARCS 138 SITEPROP SLICE_X45Y6 NUM_BELS 32 SITEPROP SLICE_X45Y6 NUM_INPUTS 32 SITEPROP SLICE_X45Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y6 NUM_PINS 45 SITEPROP SLICE_X45Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y6 PROHIBIT 0 SITEPROP SLICE_X45Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y6 RPM_X 119 SITEPROP SLICE_X45Y6 RPM_Y 12 SITEPROP SLICE_X45Y6 SITE_PIPS SITEPROP SLICE_X45Y6 SITE_TYPE SLICEL SITEPROP SLICE_X45Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y7 CLASS site SITEPROP SLICE_X45Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y7 IS_BONDED 0 SITEPROP SLICE_X45Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y7 IS_PAD 0 SITEPROP SLICE_X45Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y7 IS_RESERVED 0 SITEPROP SLICE_X45Y7 IS_TEST 0 SITEPROP SLICE_X45Y7 IS_USED 0 SITEPROP SLICE_X45Y7 MANUAL_ROUTING SITEPROP SLICE_X45Y7 NAME SLICE_X45Y7 SITEPROP SLICE_X45Y7 NUM_ARCS 138 SITEPROP SLICE_X45Y7 NUM_BELS 32 SITEPROP SLICE_X45Y7 NUM_INPUTS 32 SITEPROP SLICE_X45Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y7 NUM_PINS 45 SITEPROP SLICE_X45Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y7 PROHIBIT 0 SITEPROP SLICE_X45Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y7 RPM_X 119 SITEPROP SLICE_X45Y7 RPM_Y 14 SITEPROP SLICE_X45Y7 SITE_PIPS SITEPROP SLICE_X45Y7 SITE_TYPE SLICEL SITEPROP SLICE_X45Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y8 CLASS site SITEPROP SLICE_X45Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y8 IS_BONDED 0 SITEPROP SLICE_X45Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y8 IS_PAD 0 SITEPROP SLICE_X45Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y8 IS_RESERVED 0 SITEPROP SLICE_X45Y8 IS_TEST 0 SITEPROP SLICE_X45Y8 IS_USED 0 SITEPROP SLICE_X45Y8 MANUAL_ROUTING SITEPROP SLICE_X45Y8 NAME SLICE_X45Y8 SITEPROP SLICE_X45Y8 NUM_ARCS 138 SITEPROP SLICE_X45Y8 NUM_BELS 32 SITEPROP SLICE_X45Y8 NUM_INPUTS 32 SITEPROP SLICE_X45Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y8 NUM_PINS 45 SITEPROP SLICE_X45Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y8 PROHIBIT 0 SITEPROP SLICE_X45Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y8 RPM_X 119 SITEPROP SLICE_X45Y8 RPM_Y 16 SITEPROP SLICE_X45Y8 SITE_PIPS SITEPROP SLICE_X45Y8 SITE_TYPE SLICEL SITEPROP SLICE_X45Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y9 CLASS site SITEPROP SLICE_X45Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y9 IS_BONDED 0 SITEPROP SLICE_X45Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y9 IS_PAD 0 SITEPROP SLICE_X45Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y9 IS_RESERVED 0 SITEPROP SLICE_X45Y9 IS_TEST 0 SITEPROP SLICE_X45Y9 IS_USED 0 SITEPROP SLICE_X45Y9 MANUAL_ROUTING SITEPROP SLICE_X45Y9 NAME SLICE_X45Y9 SITEPROP SLICE_X45Y9 NUM_ARCS 138 SITEPROP SLICE_X45Y9 NUM_BELS 32 SITEPROP SLICE_X45Y9 NUM_INPUTS 32 SITEPROP SLICE_X45Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y9 NUM_PINS 45 SITEPROP SLICE_X45Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y9 PROHIBIT 0 SITEPROP SLICE_X45Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y9 RPM_X 119 SITEPROP SLICE_X45Y9 RPM_Y 18 SITEPROP SLICE_X45Y9 SITE_PIPS SITEPROP SLICE_X45Y9 SITE_TYPE SLICEL SITEPROP SLICE_X45Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y10 CLASS site SITEPROP SLICE_X45Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y10 IS_BONDED 0 SITEPROP SLICE_X45Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y10 IS_PAD 0 SITEPROP SLICE_X45Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y10 IS_RESERVED 0 SITEPROP SLICE_X45Y10 IS_TEST 0 SITEPROP SLICE_X45Y10 IS_USED 0 SITEPROP SLICE_X45Y10 MANUAL_ROUTING SITEPROP SLICE_X45Y10 NAME SLICE_X45Y10 SITEPROP SLICE_X45Y10 NUM_ARCS 138 SITEPROP SLICE_X45Y10 NUM_BELS 32 SITEPROP SLICE_X45Y10 NUM_INPUTS 32 SITEPROP SLICE_X45Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y10 NUM_PINS 45 SITEPROP SLICE_X45Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y10 PROHIBIT 0 SITEPROP SLICE_X45Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y10 RPM_X 119 SITEPROP SLICE_X45Y10 RPM_Y 20 SITEPROP SLICE_X45Y10 SITE_PIPS SITEPROP SLICE_X45Y10 SITE_TYPE SLICEL SITEPROP SLICE_X45Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y11 CLASS site SITEPROP SLICE_X45Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y11 IS_BONDED 0 SITEPROP SLICE_X45Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y11 IS_PAD 0 SITEPROP SLICE_X45Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y11 IS_RESERVED 0 SITEPROP SLICE_X45Y11 IS_TEST 0 SITEPROP SLICE_X45Y11 IS_USED 0 SITEPROP SLICE_X45Y11 MANUAL_ROUTING SITEPROP SLICE_X45Y11 NAME SLICE_X45Y11 SITEPROP SLICE_X45Y11 NUM_ARCS 138 SITEPROP SLICE_X45Y11 NUM_BELS 32 SITEPROP SLICE_X45Y11 NUM_INPUTS 32 SITEPROP SLICE_X45Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y11 NUM_PINS 45 SITEPROP SLICE_X45Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y11 PROHIBIT 0 SITEPROP SLICE_X45Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y11 RPM_X 119 SITEPROP SLICE_X45Y11 RPM_Y 22 SITEPROP SLICE_X45Y11 SITE_PIPS SITEPROP SLICE_X45Y11 SITE_TYPE SLICEL SITEPROP SLICE_X45Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y12 CLASS site SITEPROP SLICE_X45Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y12 IS_BONDED 0 SITEPROP SLICE_X45Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y12 IS_PAD 0 SITEPROP SLICE_X45Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y12 IS_RESERVED 0 SITEPROP SLICE_X45Y12 IS_TEST 0 SITEPROP SLICE_X45Y12 IS_USED 0 SITEPROP SLICE_X45Y12 MANUAL_ROUTING SITEPROP SLICE_X45Y12 NAME SLICE_X45Y12 SITEPROP SLICE_X45Y12 NUM_ARCS 138 SITEPROP SLICE_X45Y12 NUM_BELS 32 SITEPROP SLICE_X45Y12 NUM_INPUTS 32 SITEPROP SLICE_X45Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y12 NUM_PINS 45 SITEPROP SLICE_X45Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y12 PROHIBIT 0 SITEPROP SLICE_X45Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y12 RPM_X 119 SITEPROP SLICE_X45Y12 RPM_Y 24 SITEPROP SLICE_X45Y12 SITE_PIPS SITEPROP SLICE_X45Y12 SITE_TYPE SLICEL SITEPROP SLICE_X45Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y13 CLASS site SITEPROP SLICE_X45Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y13 IS_BONDED 0 SITEPROP SLICE_X45Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y13 IS_PAD 0 SITEPROP SLICE_X45Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y13 IS_RESERVED 0 SITEPROP SLICE_X45Y13 IS_TEST 0 SITEPROP SLICE_X45Y13 IS_USED 0 SITEPROP SLICE_X45Y13 MANUAL_ROUTING SITEPROP SLICE_X45Y13 NAME SLICE_X45Y13 SITEPROP SLICE_X45Y13 NUM_ARCS 138 SITEPROP SLICE_X45Y13 NUM_BELS 32 SITEPROP SLICE_X45Y13 NUM_INPUTS 32 SITEPROP SLICE_X45Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y13 NUM_PINS 45 SITEPROP SLICE_X45Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y13 PROHIBIT 0 SITEPROP SLICE_X45Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y13 RPM_X 119 SITEPROP SLICE_X45Y13 RPM_Y 26 SITEPROP SLICE_X45Y13 SITE_PIPS SITEPROP SLICE_X45Y13 SITE_TYPE SLICEL SITEPROP SLICE_X45Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y14 CLASS site SITEPROP SLICE_X45Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y14 IS_BONDED 0 SITEPROP SLICE_X45Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y14 IS_PAD 0 SITEPROP SLICE_X45Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y14 IS_RESERVED 0 SITEPROP SLICE_X45Y14 IS_TEST 0 SITEPROP SLICE_X45Y14 IS_USED 0 SITEPROP SLICE_X45Y14 MANUAL_ROUTING SITEPROP SLICE_X45Y14 NAME SLICE_X45Y14 SITEPROP SLICE_X45Y14 NUM_ARCS 138 SITEPROP SLICE_X45Y14 NUM_BELS 32 SITEPROP SLICE_X45Y14 NUM_INPUTS 32 SITEPROP SLICE_X45Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y14 NUM_PINS 45 SITEPROP SLICE_X45Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y14 PROHIBIT 0 SITEPROP SLICE_X45Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y14 RPM_X 119 SITEPROP SLICE_X45Y14 RPM_Y 28 SITEPROP SLICE_X45Y14 SITE_PIPS SITEPROP SLICE_X45Y14 SITE_TYPE SLICEL SITEPROP SLICE_X45Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y15 CLASS site SITEPROP SLICE_X45Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y15 IS_BONDED 0 SITEPROP SLICE_X45Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y15 IS_PAD 0 SITEPROP SLICE_X45Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y15 IS_RESERVED 0 SITEPROP SLICE_X45Y15 IS_TEST 0 SITEPROP SLICE_X45Y15 IS_USED 0 SITEPROP SLICE_X45Y15 MANUAL_ROUTING SITEPROP SLICE_X45Y15 NAME SLICE_X45Y15 SITEPROP SLICE_X45Y15 NUM_ARCS 138 SITEPROP SLICE_X45Y15 NUM_BELS 32 SITEPROP SLICE_X45Y15 NUM_INPUTS 32 SITEPROP SLICE_X45Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y15 NUM_PINS 45 SITEPROP SLICE_X45Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y15 PROHIBIT 0 SITEPROP SLICE_X45Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y15 RPM_X 119 SITEPROP SLICE_X45Y15 RPM_Y 30 SITEPROP SLICE_X45Y15 SITE_PIPS SITEPROP SLICE_X45Y15 SITE_TYPE SLICEL SITEPROP SLICE_X45Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y16 CLASS site SITEPROP SLICE_X45Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y16 IS_BONDED 0 SITEPROP SLICE_X45Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y16 IS_PAD 0 SITEPROP SLICE_X45Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y16 IS_RESERVED 0 SITEPROP SLICE_X45Y16 IS_TEST 0 SITEPROP SLICE_X45Y16 IS_USED 0 SITEPROP SLICE_X45Y16 MANUAL_ROUTING SITEPROP SLICE_X45Y16 NAME SLICE_X45Y16 SITEPROP SLICE_X45Y16 NUM_ARCS 138 SITEPROP SLICE_X45Y16 NUM_BELS 32 SITEPROP SLICE_X45Y16 NUM_INPUTS 32 SITEPROP SLICE_X45Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y16 NUM_PINS 45 SITEPROP SLICE_X45Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y16 PROHIBIT 0 SITEPROP SLICE_X45Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y16 RPM_X 119 SITEPROP SLICE_X45Y16 RPM_Y 32 SITEPROP SLICE_X45Y16 SITE_PIPS SITEPROP SLICE_X45Y16 SITE_TYPE SLICEL SITEPROP SLICE_X45Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y17 CLASS site SITEPROP SLICE_X45Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y17 IS_BONDED 0 SITEPROP SLICE_X45Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y17 IS_PAD 0 SITEPROP SLICE_X45Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y17 IS_RESERVED 0 SITEPROP SLICE_X45Y17 IS_TEST 0 SITEPROP SLICE_X45Y17 IS_USED 0 SITEPROP SLICE_X45Y17 MANUAL_ROUTING SITEPROP SLICE_X45Y17 NAME SLICE_X45Y17 SITEPROP SLICE_X45Y17 NUM_ARCS 138 SITEPROP SLICE_X45Y17 NUM_BELS 32 SITEPROP SLICE_X45Y17 NUM_INPUTS 32 SITEPROP SLICE_X45Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y17 NUM_PINS 45 SITEPROP SLICE_X45Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y17 PROHIBIT 0 SITEPROP SLICE_X45Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y17 RPM_X 119 SITEPROP SLICE_X45Y17 RPM_Y 34 SITEPROP SLICE_X45Y17 SITE_PIPS SITEPROP SLICE_X45Y17 SITE_TYPE SLICEL SITEPROP SLICE_X45Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y18 CLASS site SITEPROP SLICE_X45Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y18 IS_BONDED 0 SITEPROP SLICE_X45Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y18 IS_PAD 0 SITEPROP SLICE_X45Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y18 IS_RESERVED 0 SITEPROP SLICE_X45Y18 IS_TEST 0 SITEPROP SLICE_X45Y18 IS_USED 0 SITEPROP SLICE_X45Y18 MANUAL_ROUTING SITEPROP SLICE_X45Y18 NAME SLICE_X45Y18 SITEPROP SLICE_X45Y18 NUM_ARCS 138 SITEPROP SLICE_X45Y18 NUM_BELS 32 SITEPROP SLICE_X45Y18 NUM_INPUTS 32 SITEPROP SLICE_X45Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y18 NUM_PINS 45 SITEPROP SLICE_X45Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y18 PROHIBIT 0 SITEPROP SLICE_X45Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y18 RPM_X 119 SITEPROP SLICE_X45Y18 RPM_Y 36 SITEPROP SLICE_X45Y18 SITE_PIPS SITEPROP SLICE_X45Y18 SITE_TYPE SLICEL SITEPROP SLICE_X45Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y19 CLASS site SITEPROP SLICE_X45Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y19 IS_BONDED 0 SITEPROP SLICE_X45Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y19 IS_PAD 0 SITEPROP SLICE_X45Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y19 IS_RESERVED 0 SITEPROP SLICE_X45Y19 IS_TEST 0 SITEPROP SLICE_X45Y19 IS_USED 0 SITEPROP SLICE_X45Y19 MANUAL_ROUTING SITEPROP SLICE_X45Y19 NAME SLICE_X45Y19 SITEPROP SLICE_X45Y19 NUM_ARCS 138 SITEPROP SLICE_X45Y19 NUM_BELS 32 SITEPROP SLICE_X45Y19 NUM_INPUTS 32 SITEPROP SLICE_X45Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y19 NUM_PINS 45 SITEPROP SLICE_X45Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y19 PROHIBIT 0 SITEPROP SLICE_X45Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y19 RPM_X 119 SITEPROP SLICE_X45Y19 RPM_Y 38 SITEPROP SLICE_X45Y19 SITE_PIPS SITEPROP SLICE_X45Y19 SITE_TYPE SLICEL SITEPROP SLICE_X45Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y20 CLASS site SITEPROP SLICE_X45Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y20 IS_BONDED 0 SITEPROP SLICE_X45Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y20 IS_PAD 0 SITEPROP SLICE_X45Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y20 IS_RESERVED 0 SITEPROP SLICE_X45Y20 IS_TEST 0 SITEPROP SLICE_X45Y20 IS_USED 0 SITEPROP SLICE_X45Y20 MANUAL_ROUTING SITEPROP SLICE_X45Y20 NAME SLICE_X45Y20 SITEPROP SLICE_X45Y20 NUM_ARCS 138 SITEPROP SLICE_X45Y20 NUM_BELS 32 SITEPROP SLICE_X45Y20 NUM_INPUTS 32 SITEPROP SLICE_X45Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y20 NUM_PINS 45 SITEPROP SLICE_X45Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y20 PROHIBIT 0 SITEPROP SLICE_X45Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y20 RPM_X 119 SITEPROP SLICE_X45Y20 RPM_Y 40 SITEPROP SLICE_X45Y20 SITE_PIPS SITEPROP SLICE_X45Y20 SITE_TYPE SLICEL SITEPROP SLICE_X45Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y21 CLASS site SITEPROP SLICE_X45Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y21 IS_BONDED 0 SITEPROP SLICE_X45Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y21 IS_PAD 0 SITEPROP SLICE_X45Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y21 IS_RESERVED 0 SITEPROP SLICE_X45Y21 IS_TEST 0 SITEPROP SLICE_X45Y21 IS_USED 0 SITEPROP SLICE_X45Y21 MANUAL_ROUTING SITEPROP SLICE_X45Y21 NAME SLICE_X45Y21 SITEPROP SLICE_X45Y21 NUM_ARCS 138 SITEPROP SLICE_X45Y21 NUM_BELS 32 SITEPROP SLICE_X45Y21 NUM_INPUTS 32 SITEPROP SLICE_X45Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y21 NUM_PINS 45 SITEPROP SLICE_X45Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y21 PROHIBIT 0 SITEPROP SLICE_X45Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y21 RPM_X 119 SITEPROP SLICE_X45Y21 RPM_Y 42 SITEPROP SLICE_X45Y21 SITE_PIPS SITEPROP SLICE_X45Y21 SITE_TYPE SLICEL SITEPROP SLICE_X45Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y22 CLASS site SITEPROP SLICE_X45Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y22 IS_BONDED 0 SITEPROP SLICE_X45Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y22 IS_PAD 0 SITEPROP SLICE_X45Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y22 IS_RESERVED 0 SITEPROP SLICE_X45Y22 IS_TEST 0 SITEPROP SLICE_X45Y22 IS_USED 0 SITEPROP SLICE_X45Y22 MANUAL_ROUTING SITEPROP SLICE_X45Y22 NAME SLICE_X45Y22 SITEPROP SLICE_X45Y22 NUM_ARCS 138 SITEPROP SLICE_X45Y22 NUM_BELS 32 SITEPROP SLICE_X45Y22 NUM_INPUTS 32 SITEPROP SLICE_X45Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y22 NUM_PINS 45 SITEPROP SLICE_X45Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y22 PROHIBIT 0 SITEPROP SLICE_X45Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y22 RPM_X 119 SITEPROP SLICE_X45Y22 RPM_Y 44 SITEPROP SLICE_X45Y22 SITE_PIPS SITEPROP SLICE_X45Y22 SITE_TYPE SLICEL SITEPROP SLICE_X45Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y23 CLASS site SITEPROP SLICE_X45Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y23 IS_BONDED 0 SITEPROP SLICE_X45Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y23 IS_PAD 0 SITEPROP SLICE_X45Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y23 IS_RESERVED 0 SITEPROP SLICE_X45Y23 IS_TEST 0 SITEPROP SLICE_X45Y23 IS_USED 0 SITEPROP SLICE_X45Y23 MANUAL_ROUTING SITEPROP SLICE_X45Y23 NAME SLICE_X45Y23 SITEPROP SLICE_X45Y23 NUM_ARCS 138 SITEPROP SLICE_X45Y23 NUM_BELS 32 SITEPROP SLICE_X45Y23 NUM_INPUTS 32 SITEPROP SLICE_X45Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y23 NUM_PINS 45 SITEPROP SLICE_X45Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y23 PROHIBIT 0 SITEPROP SLICE_X45Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y23 RPM_X 119 SITEPROP SLICE_X45Y23 RPM_Y 46 SITEPROP SLICE_X45Y23 SITE_PIPS SITEPROP SLICE_X45Y23 SITE_TYPE SLICEL SITEPROP SLICE_X45Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y24 CLASS site SITEPROP SLICE_X45Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y24 IS_BONDED 0 SITEPROP SLICE_X45Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y24 IS_PAD 0 SITEPROP SLICE_X45Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y24 IS_RESERVED 0 SITEPROP SLICE_X45Y24 IS_TEST 0 SITEPROP SLICE_X45Y24 IS_USED 0 SITEPROP SLICE_X45Y24 MANUAL_ROUTING SITEPROP SLICE_X45Y24 NAME SLICE_X45Y24 SITEPROP SLICE_X45Y24 NUM_ARCS 138 SITEPROP SLICE_X45Y24 NUM_BELS 32 SITEPROP SLICE_X45Y24 NUM_INPUTS 32 SITEPROP SLICE_X45Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y24 NUM_PINS 45 SITEPROP SLICE_X45Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y24 PROHIBIT 0 SITEPROP SLICE_X45Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y24 RPM_X 119 SITEPROP SLICE_X45Y24 RPM_Y 48 SITEPROP SLICE_X45Y24 SITE_PIPS SITEPROP SLICE_X45Y24 SITE_TYPE SLICEL SITEPROP SLICE_X45Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y25 CLASS site SITEPROP SLICE_X45Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y25 IS_BONDED 0 SITEPROP SLICE_X45Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y25 IS_PAD 0 SITEPROP SLICE_X45Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y25 IS_RESERVED 0 SITEPROP SLICE_X45Y25 IS_TEST 0 SITEPROP SLICE_X45Y25 IS_USED 0 SITEPROP SLICE_X45Y25 MANUAL_ROUTING SITEPROP SLICE_X45Y25 NAME SLICE_X45Y25 SITEPROP SLICE_X45Y25 NUM_ARCS 138 SITEPROP SLICE_X45Y25 NUM_BELS 32 SITEPROP SLICE_X45Y25 NUM_INPUTS 32 SITEPROP SLICE_X45Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y25 NUM_PINS 45 SITEPROP SLICE_X45Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y25 PROHIBIT 0 SITEPROP SLICE_X45Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y25 RPM_X 119 SITEPROP SLICE_X45Y25 RPM_Y 50 SITEPROP SLICE_X45Y25 SITE_PIPS SITEPROP SLICE_X45Y25 SITE_TYPE SLICEL SITEPROP SLICE_X45Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y26 CLASS site SITEPROP SLICE_X45Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y26 IS_BONDED 0 SITEPROP SLICE_X45Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y26 IS_PAD 0 SITEPROP SLICE_X45Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y26 IS_RESERVED 0 SITEPROP SLICE_X45Y26 IS_TEST 0 SITEPROP SLICE_X45Y26 IS_USED 0 SITEPROP SLICE_X45Y26 MANUAL_ROUTING SITEPROP SLICE_X45Y26 NAME SLICE_X45Y26 SITEPROP SLICE_X45Y26 NUM_ARCS 138 SITEPROP SLICE_X45Y26 NUM_BELS 32 SITEPROP SLICE_X45Y26 NUM_INPUTS 32 SITEPROP SLICE_X45Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y26 NUM_PINS 45 SITEPROP SLICE_X45Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y26 PROHIBIT 0 SITEPROP SLICE_X45Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y26 RPM_X 119 SITEPROP SLICE_X45Y26 RPM_Y 52 SITEPROP SLICE_X45Y26 SITE_PIPS SITEPROP SLICE_X45Y26 SITE_TYPE SLICEL SITEPROP SLICE_X45Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y27 CLASS site SITEPROP SLICE_X45Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y27 IS_BONDED 0 SITEPROP SLICE_X45Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y27 IS_PAD 0 SITEPROP SLICE_X45Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y27 IS_RESERVED 0 SITEPROP SLICE_X45Y27 IS_TEST 0 SITEPROP SLICE_X45Y27 IS_USED 0 SITEPROP SLICE_X45Y27 MANUAL_ROUTING SITEPROP SLICE_X45Y27 NAME SLICE_X45Y27 SITEPROP SLICE_X45Y27 NUM_ARCS 138 SITEPROP SLICE_X45Y27 NUM_BELS 32 SITEPROP SLICE_X45Y27 NUM_INPUTS 32 SITEPROP SLICE_X45Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y27 NUM_PINS 45 SITEPROP SLICE_X45Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y27 PROHIBIT 0 SITEPROP SLICE_X45Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y27 RPM_X 119 SITEPROP SLICE_X45Y27 RPM_Y 54 SITEPROP SLICE_X45Y27 SITE_PIPS SITEPROP SLICE_X45Y27 SITE_TYPE SLICEL SITEPROP SLICE_X45Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y28 CLASS site SITEPROP SLICE_X45Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y28 IS_BONDED 0 SITEPROP SLICE_X45Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y28 IS_PAD 0 SITEPROP SLICE_X45Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y28 IS_RESERVED 0 SITEPROP SLICE_X45Y28 IS_TEST 0 SITEPROP SLICE_X45Y28 IS_USED 0 SITEPROP SLICE_X45Y28 MANUAL_ROUTING SITEPROP SLICE_X45Y28 NAME SLICE_X45Y28 SITEPROP SLICE_X45Y28 NUM_ARCS 138 SITEPROP SLICE_X45Y28 NUM_BELS 32 SITEPROP SLICE_X45Y28 NUM_INPUTS 32 SITEPROP SLICE_X45Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y28 NUM_PINS 45 SITEPROP SLICE_X45Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y28 PROHIBIT 0 SITEPROP SLICE_X45Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y28 RPM_X 119 SITEPROP SLICE_X45Y28 RPM_Y 56 SITEPROP SLICE_X45Y28 SITE_PIPS SITEPROP SLICE_X45Y28 SITE_TYPE SLICEL SITEPROP SLICE_X45Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y29 CLASS site SITEPROP SLICE_X45Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y29 IS_BONDED 0 SITEPROP SLICE_X45Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y29 IS_PAD 0 SITEPROP SLICE_X45Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y29 IS_RESERVED 0 SITEPROP SLICE_X45Y29 IS_TEST 0 SITEPROP SLICE_X45Y29 IS_USED 0 SITEPROP SLICE_X45Y29 MANUAL_ROUTING SITEPROP SLICE_X45Y29 NAME SLICE_X45Y29 SITEPROP SLICE_X45Y29 NUM_ARCS 138 SITEPROP SLICE_X45Y29 NUM_BELS 32 SITEPROP SLICE_X45Y29 NUM_INPUTS 32 SITEPROP SLICE_X45Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y29 NUM_PINS 45 SITEPROP SLICE_X45Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y29 PROHIBIT 0 SITEPROP SLICE_X45Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y29 RPM_X 119 SITEPROP SLICE_X45Y29 RPM_Y 58 SITEPROP SLICE_X45Y29 SITE_PIPS SITEPROP SLICE_X45Y29 SITE_TYPE SLICEL SITEPROP SLICE_X45Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y30 CLASS site SITEPROP SLICE_X45Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y30 IS_BONDED 0 SITEPROP SLICE_X45Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y30 IS_PAD 0 SITEPROP SLICE_X45Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y30 IS_RESERVED 0 SITEPROP SLICE_X45Y30 IS_TEST 0 SITEPROP SLICE_X45Y30 IS_USED 0 SITEPROP SLICE_X45Y30 MANUAL_ROUTING SITEPROP SLICE_X45Y30 NAME SLICE_X45Y30 SITEPROP SLICE_X45Y30 NUM_ARCS 138 SITEPROP SLICE_X45Y30 NUM_BELS 32 SITEPROP SLICE_X45Y30 NUM_INPUTS 32 SITEPROP SLICE_X45Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y30 NUM_PINS 45 SITEPROP SLICE_X45Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y30 PROHIBIT 0 SITEPROP SLICE_X45Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y30 RPM_X 119 SITEPROP SLICE_X45Y30 RPM_Y 60 SITEPROP SLICE_X45Y30 SITE_PIPS SITEPROP SLICE_X45Y30 SITE_TYPE SLICEL SITEPROP SLICE_X45Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y31 CLASS site SITEPROP SLICE_X45Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y31 IS_BONDED 0 SITEPROP SLICE_X45Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y31 IS_PAD 0 SITEPROP SLICE_X45Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y31 IS_RESERVED 0 SITEPROP SLICE_X45Y31 IS_TEST 0 SITEPROP SLICE_X45Y31 IS_USED 0 SITEPROP SLICE_X45Y31 MANUAL_ROUTING SITEPROP SLICE_X45Y31 NAME SLICE_X45Y31 SITEPROP SLICE_X45Y31 NUM_ARCS 138 SITEPROP SLICE_X45Y31 NUM_BELS 32 SITEPROP SLICE_X45Y31 NUM_INPUTS 32 SITEPROP SLICE_X45Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y31 NUM_PINS 45 SITEPROP SLICE_X45Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y31 PROHIBIT 0 SITEPROP SLICE_X45Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y31 RPM_X 119 SITEPROP SLICE_X45Y31 RPM_Y 62 SITEPROP SLICE_X45Y31 SITE_PIPS SITEPROP SLICE_X45Y31 SITE_TYPE SLICEL SITEPROP SLICE_X45Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y32 CLASS site SITEPROP SLICE_X45Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y32 IS_BONDED 0 SITEPROP SLICE_X45Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y32 IS_PAD 0 SITEPROP SLICE_X45Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y32 IS_RESERVED 0 SITEPROP SLICE_X45Y32 IS_TEST 0 SITEPROP SLICE_X45Y32 IS_USED 0 SITEPROP SLICE_X45Y32 MANUAL_ROUTING SITEPROP SLICE_X45Y32 NAME SLICE_X45Y32 SITEPROP SLICE_X45Y32 NUM_ARCS 138 SITEPROP SLICE_X45Y32 NUM_BELS 32 SITEPROP SLICE_X45Y32 NUM_INPUTS 32 SITEPROP SLICE_X45Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y32 NUM_PINS 45 SITEPROP SLICE_X45Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y32 PROHIBIT 0 SITEPROP SLICE_X45Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y32 RPM_X 119 SITEPROP SLICE_X45Y32 RPM_Y 64 SITEPROP SLICE_X45Y32 SITE_PIPS SITEPROP SLICE_X45Y32 SITE_TYPE SLICEL SITEPROP SLICE_X45Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y33 CLASS site SITEPROP SLICE_X45Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y33 IS_BONDED 0 SITEPROP SLICE_X45Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y33 IS_PAD 0 SITEPROP SLICE_X45Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y33 IS_RESERVED 0 SITEPROP SLICE_X45Y33 IS_TEST 0 SITEPROP SLICE_X45Y33 IS_USED 0 SITEPROP SLICE_X45Y33 MANUAL_ROUTING SITEPROP SLICE_X45Y33 NAME SLICE_X45Y33 SITEPROP SLICE_X45Y33 NUM_ARCS 138 SITEPROP SLICE_X45Y33 NUM_BELS 32 SITEPROP SLICE_X45Y33 NUM_INPUTS 32 SITEPROP SLICE_X45Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y33 NUM_PINS 45 SITEPROP SLICE_X45Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y33 PROHIBIT 0 SITEPROP SLICE_X45Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y33 RPM_X 119 SITEPROP SLICE_X45Y33 RPM_Y 66 SITEPROP SLICE_X45Y33 SITE_PIPS SITEPROP SLICE_X45Y33 SITE_TYPE SLICEL SITEPROP SLICE_X45Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y34 CLASS site SITEPROP SLICE_X45Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y34 IS_BONDED 0 SITEPROP SLICE_X45Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y34 IS_PAD 0 SITEPROP SLICE_X45Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y34 IS_RESERVED 0 SITEPROP SLICE_X45Y34 IS_TEST 0 SITEPROP SLICE_X45Y34 IS_USED 0 SITEPROP SLICE_X45Y34 MANUAL_ROUTING SITEPROP SLICE_X45Y34 NAME SLICE_X45Y34 SITEPROP SLICE_X45Y34 NUM_ARCS 138 SITEPROP SLICE_X45Y34 NUM_BELS 32 SITEPROP SLICE_X45Y34 NUM_INPUTS 32 SITEPROP SLICE_X45Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y34 NUM_PINS 45 SITEPROP SLICE_X45Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y34 PROHIBIT 0 SITEPROP SLICE_X45Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y34 RPM_X 119 SITEPROP SLICE_X45Y34 RPM_Y 68 SITEPROP SLICE_X45Y34 SITE_PIPS SITEPROP SLICE_X45Y34 SITE_TYPE SLICEL SITEPROP SLICE_X45Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y35 CLASS site SITEPROP SLICE_X45Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y35 IS_BONDED 0 SITEPROP SLICE_X45Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y35 IS_PAD 0 SITEPROP SLICE_X45Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y35 IS_RESERVED 0 SITEPROP SLICE_X45Y35 IS_TEST 0 SITEPROP SLICE_X45Y35 IS_USED 0 SITEPROP SLICE_X45Y35 MANUAL_ROUTING SITEPROP SLICE_X45Y35 NAME SLICE_X45Y35 SITEPROP SLICE_X45Y35 NUM_ARCS 138 SITEPROP SLICE_X45Y35 NUM_BELS 32 SITEPROP SLICE_X45Y35 NUM_INPUTS 32 SITEPROP SLICE_X45Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y35 NUM_PINS 45 SITEPROP SLICE_X45Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y35 PROHIBIT 0 SITEPROP SLICE_X45Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y35 RPM_X 119 SITEPROP SLICE_X45Y35 RPM_Y 70 SITEPROP SLICE_X45Y35 SITE_PIPS SITEPROP SLICE_X45Y35 SITE_TYPE SLICEL SITEPROP SLICE_X45Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y36 CLASS site SITEPROP SLICE_X45Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y36 IS_BONDED 0 SITEPROP SLICE_X45Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y36 IS_PAD 0 SITEPROP SLICE_X45Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y36 IS_RESERVED 0 SITEPROP SLICE_X45Y36 IS_TEST 0 SITEPROP SLICE_X45Y36 IS_USED 0 SITEPROP SLICE_X45Y36 MANUAL_ROUTING SITEPROP SLICE_X45Y36 NAME SLICE_X45Y36 SITEPROP SLICE_X45Y36 NUM_ARCS 138 SITEPROP SLICE_X45Y36 NUM_BELS 32 SITEPROP SLICE_X45Y36 NUM_INPUTS 32 SITEPROP SLICE_X45Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y36 NUM_PINS 45 SITEPROP SLICE_X45Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y36 PROHIBIT 0 SITEPROP SLICE_X45Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y36 RPM_X 119 SITEPROP SLICE_X45Y36 RPM_Y 72 SITEPROP SLICE_X45Y36 SITE_PIPS SITEPROP SLICE_X45Y36 SITE_TYPE SLICEL SITEPROP SLICE_X45Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y37 CLASS site SITEPROP SLICE_X45Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y37 IS_BONDED 0 SITEPROP SLICE_X45Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y37 IS_PAD 0 SITEPROP SLICE_X45Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y37 IS_RESERVED 0 SITEPROP SLICE_X45Y37 IS_TEST 0 SITEPROP SLICE_X45Y37 IS_USED 0 SITEPROP SLICE_X45Y37 MANUAL_ROUTING SITEPROP SLICE_X45Y37 NAME SLICE_X45Y37 SITEPROP SLICE_X45Y37 NUM_ARCS 138 SITEPROP SLICE_X45Y37 NUM_BELS 32 SITEPROP SLICE_X45Y37 NUM_INPUTS 32 SITEPROP SLICE_X45Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y37 NUM_PINS 45 SITEPROP SLICE_X45Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y37 PROHIBIT 0 SITEPROP SLICE_X45Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y37 RPM_X 119 SITEPROP SLICE_X45Y37 RPM_Y 74 SITEPROP SLICE_X45Y37 SITE_PIPS SITEPROP SLICE_X45Y37 SITE_TYPE SLICEL SITEPROP SLICE_X45Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y38 CLASS site SITEPROP SLICE_X45Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y38 IS_BONDED 0 SITEPROP SLICE_X45Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y38 IS_PAD 0 SITEPROP SLICE_X45Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y38 IS_RESERVED 0 SITEPROP SLICE_X45Y38 IS_TEST 0 SITEPROP SLICE_X45Y38 IS_USED 0 SITEPROP SLICE_X45Y38 MANUAL_ROUTING SITEPROP SLICE_X45Y38 NAME SLICE_X45Y38 SITEPROP SLICE_X45Y38 NUM_ARCS 138 SITEPROP SLICE_X45Y38 NUM_BELS 32 SITEPROP SLICE_X45Y38 NUM_INPUTS 32 SITEPROP SLICE_X45Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y38 NUM_PINS 45 SITEPROP SLICE_X45Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y38 PROHIBIT 0 SITEPROP SLICE_X45Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y38 RPM_X 119 SITEPROP SLICE_X45Y38 RPM_Y 76 SITEPROP SLICE_X45Y38 SITE_PIPS SITEPROP SLICE_X45Y38 SITE_TYPE SLICEL SITEPROP SLICE_X45Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y39 CLASS site SITEPROP SLICE_X45Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y39 IS_BONDED 0 SITEPROP SLICE_X45Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y39 IS_PAD 0 SITEPROP SLICE_X45Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y39 IS_RESERVED 0 SITEPROP SLICE_X45Y39 IS_TEST 0 SITEPROP SLICE_X45Y39 IS_USED 0 SITEPROP SLICE_X45Y39 MANUAL_ROUTING SITEPROP SLICE_X45Y39 NAME SLICE_X45Y39 SITEPROP SLICE_X45Y39 NUM_ARCS 138 SITEPROP SLICE_X45Y39 NUM_BELS 32 SITEPROP SLICE_X45Y39 NUM_INPUTS 32 SITEPROP SLICE_X45Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y39 NUM_PINS 45 SITEPROP SLICE_X45Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y39 PROHIBIT 0 SITEPROP SLICE_X45Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y39 RPM_X 119 SITEPROP SLICE_X45Y39 RPM_Y 78 SITEPROP SLICE_X45Y39 SITE_PIPS SITEPROP SLICE_X45Y39 SITE_TYPE SLICEL SITEPROP SLICE_X45Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y40 CLASS site SITEPROP SLICE_X45Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y40 IS_BONDED 0 SITEPROP SLICE_X45Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y40 IS_PAD 0 SITEPROP SLICE_X45Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y40 IS_RESERVED 0 SITEPROP SLICE_X45Y40 IS_TEST 0 SITEPROP SLICE_X45Y40 IS_USED 0 SITEPROP SLICE_X45Y40 MANUAL_ROUTING SITEPROP SLICE_X45Y40 NAME SLICE_X45Y40 SITEPROP SLICE_X45Y40 NUM_ARCS 138 SITEPROP SLICE_X45Y40 NUM_BELS 32 SITEPROP SLICE_X45Y40 NUM_INPUTS 32 SITEPROP SLICE_X45Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y40 NUM_PINS 45 SITEPROP SLICE_X45Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y40 PROHIBIT 0 SITEPROP SLICE_X45Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y40 RPM_X 119 SITEPROP SLICE_X45Y40 RPM_Y 80 SITEPROP SLICE_X45Y40 SITE_PIPS SITEPROP SLICE_X45Y40 SITE_TYPE SLICEL SITEPROP SLICE_X45Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y41 CLASS site SITEPROP SLICE_X45Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y41 IS_BONDED 0 SITEPROP SLICE_X45Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y41 IS_PAD 0 SITEPROP SLICE_X45Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y41 IS_RESERVED 0 SITEPROP SLICE_X45Y41 IS_TEST 0 SITEPROP SLICE_X45Y41 IS_USED 0 SITEPROP SLICE_X45Y41 MANUAL_ROUTING SITEPROP SLICE_X45Y41 NAME SLICE_X45Y41 SITEPROP SLICE_X45Y41 NUM_ARCS 138 SITEPROP SLICE_X45Y41 NUM_BELS 32 SITEPROP SLICE_X45Y41 NUM_INPUTS 32 SITEPROP SLICE_X45Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y41 NUM_PINS 45 SITEPROP SLICE_X45Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y41 PROHIBIT 0 SITEPROP SLICE_X45Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y41 RPM_X 119 SITEPROP SLICE_X45Y41 RPM_Y 82 SITEPROP SLICE_X45Y41 SITE_PIPS SITEPROP SLICE_X45Y41 SITE_TYPE SLICEL SITEPROP SLICE_X45Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y42 CLASS site SITEPROP SLICE_X45Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y42 IS_BONDED 0 SITEPROP SLICE_X45Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y42 IS_PAD 0 SITEPROP SLICE_X45Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y42 IS_RESERVED 0 SITEPROP SLICE_X45Y42 IS_TEST 0 SITEPROP SLICE_X45Y42 IS_USED 0 SITEPROP SLICE_X45Y42 MANUAL_ROUTING SITEPROP SLICE_X45Y42 NAME SLICE_X45Y42 SITEPROP SLICE_X45Y42 NUM_ARCS 138 SITEPROP SLICE_X45Y42 NUM_BELS 32 SITEPROP SLICE_X45Y42 NUM_INPUTS 32 SITEPROP SLICE_X45Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y42 NUM_PINS 45 SITEPROP SLICE_X45Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y42 PROHIBIT 0 SITEPROP SLICE_X45Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y42 RPM_X 119 SITEPROP SLICE_X45Y42 RPM_Y 84 SITEPROP SLICE_X45Y42 SITE_PIPS SITEPROP SLICE_X45Y42 SITE_TYPE SLICEL SITEPROP SLICE_X45Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y43 CLASS site SITEPROP SLICE_X45Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y43 IS_BONDED 0 SITEPROP SLICE_X45Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y43 IS_PAD 0 SITEPROP SLICE_X45Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y43 IS_RESERVED 0 SITEPROP SLICE_X45Y43 IS_TEST 0 SITEPROP SLICE_X45Y43 IS_USED 0 SITEPROP SLICE_X45Y43 MANUAL_ROUTING SITEPROP SLICE_X45Y43 NAME SLICE_X45Y43 SITEPROP SLICE_X45Y43 NUM_ARCS 138 SITEPROP SLICE_X45Y43 NUM_BELS 32 SITEPROP SLICE_X45Y43 NUM_INPUTS 32 SITEPROP SLICE_X45Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y43 NUM_PINS 45 SITEPROP SLICE_X45Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y43 PROHIBIT 0 SITEPROP SLICE_X45Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y43 RPM_X 119 SITEPROP SLICE_X45Y43 RPM_Y 86 SITEPROP SLICE_X45Y43 SITE_PIPS SITEPROP SLICE_X45Y43 SITE_TYPE SLICEL SITEPROP SLICE_X45Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y44 CLASS site SITEPROP SLICE_X45Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y44 IS_BONDED 0 SITEPROP SLICE_X45Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y44 IS_PAD 0 SITEPROP SLICE_X45Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y44 IS_RESERVED 0 SITEPROP SLICE_X45Y44 IS_TEST 0 SITEPROP SLICE_X45Y44 IS_USED 0 SITEPROP SLICE_X45Y44 MANUAL_ROUTING SITEPROP SLICE_X45Y44 NAME SLICE_X45Y44 SITEPROP SLICE_X45Y44 NUM_ARCS 138 SITEPROP SLICE_X45Y44 NUM_BELS 32 SITEPROP SLICE_X45Y44 NUM_INPUTS 32 SITEPROP SLICE_X45Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y44 NUM_PINS 45 SITEPROP SLICE_X45Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y44 PROHIBIT 0 SITEPROP SLICE_X45Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y44 RPM_X 119 SITEPROP SLICE_X45Y44 RPM_Y 88 SITEPROP SLICE_X45Y44 SITE_PIPS SITEPROP SLICE_X45Y44 SITE_TYPE SLICEL SITEPROP SLICE_X45Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y45 CLASS site SITEPROP SLICE_X45Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y45 IS_BONDED 0 SITEPROP SLICE_X45Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y45 IS_PAD 0 SITEPROP SLICE_X45Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y45 IS_RESERVED 0 SITEPROP SLICE_X45Y45 IS_TEST 0 SITEPROP SLICE_X45Y45 IS_USED 0 SITEPROP SLICE_X45Y45 MANUAL_ROUTING SITEPROP SLICE_X45Y45 NAME SLICE_X45Y45 SITEPROP SLICE_X45Y45 NUM_ARCS 138 SITEPROP SLICE_X45Y45 NUM_BELS 32 SITEPROP SLICE_X45Y45 NUM_INPUTS 32 SITEPROP SLICE_X45Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y45 NUM_PINS 45 SITEPROP SLICE_X45Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y45 PROHIBIT 0 SITEPROP SLICE_X45Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y45 RPM_X 119 SITEPROP SLICE_X45Y45 RPM_Y 90 SITEPROP SLICE_X45Y45 SITE_PIPS SITEPROP SLICE_X45Y45 SITE_TYPE SLICEL SITEPROP SLICE_X45Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y46 CLASS site SITEPROP SLICE_X45Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y46 IS_BONDED 0 SITEPROP SLICE_X45Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y46 IS_PAD 0 SITEPROP SLICE_X45Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y46 IS_RESERVED 0 SITEPROP SLICE_X45Y46 IS_TEST 0 SITEPROP SLICE_X45Y46 IS_USED 0 SITEPROP SLICE_X45Y46 MANUAL_ROUTING SITEPROP SLICE_X45Y46 NAME SLICE_X45Y46 SITEPROP SLICE_X45Y46 NUM_ARCS 138 SITEPROP SLICE_X45Y46 NUM_BELS 32 SITEPROP SLICE_X45Y46 NUM_INPUTS 32 SITEPROP SLICE_X45Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y46 NUM_PINS 45 SITEPROP SLICE_X45Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y46 PROHIBIT 0 SITEPROP SLICE_X45Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y46 RPM_X 119 SITEPROP SLICE_X45Y46 RPM_Y 92 SITEPROP SLICE_X45Y46 SITE_PIPS SITEPROP SLICE_X45Y46 SITE_TYPE SLICEL SITEPROP SLICE_X45Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y47 CLASS site SITEPROP SLICE_X45Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y47 IS_BONDED 0 SITEPROP SLICE_X45Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y47 IS_PAD 0 SITEPROP SLICE_X45Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y47 IS_RESERVED 0 SITEPROP SLICE_X45Y47 IS_TEST 0 SITEPROP SLICE_X45Y47 IS_USED 0 SITEPROP SLICE_X45Y47 MANUAL_ROUTING SITEPROP SLICE_X45Y47 NAME SLICE_X45Y47 SITEPROP SLICE_X45Y47 NUM_ARCS 138 SITEPROP SLICE_X45Y47 NUM_BELS 32 SITEPROP SLICE_X45Y47 NUM_INPUTS 32 SITEPROP SLICE_X45Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y47 NUM_PINS 45 SITEPROP SLICE_X45Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y47 PROHIBIT 0 SITEPROP SLICE_X45Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y47 RPM_X 119 SITEPROP SLICE_X45Y47 RPM_Y 94 SITEPROP SLICE_X45Y47 SITE_PIPS SITEPROP SLICE_X45Y47 SITE_TYPE SLICEL SITEPROP SLICE_X45Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y48 CLASS site SITEPROP SLICE_X45Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y48 IS_BONDED 0 SITEPROP SLICE_X45Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y48 IS_PAD 0 SITEPROP SLICE_X45Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y48 IS_RESERVED 0 SITEPROP SLICE_X45Y48 IS_TEST 0 SITEPROP SLICE_X45Y48 IS_USED 0 SITEPROP SLICE_X45Y48 MANUAL_ROUTING SITEPROP SLICE_X45Y48 NAME SLICE_X45Y48 SITEPROP SLICE_X45Y48 NUM_ARCS 138 SITEPROP SLICE_X45Y48 NUM_BELS 32 SITEPROP SLICE_X45Y48 NUM_INPUTS 32 SITEPROP SLICE_X45Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y48 NUM_PINS 45 SITEPROP SLICE_X45Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y48 PROHIBIT 0 SITEPROP SLICE_X45Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y48 RPM_X 119 SITEPROP SLICE_X45Y48 RPM_Y 96 SITEPROP SLICE_X45Y48 SITE_PIPS SITEPROP SLICE_X45Y48 SITE_TYPE SLICEL SITEPROP SLICE_X45Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y49 CLASS site SITEPROP SLICE_X45Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X45Y49 IS_BONDED 0 SITEPROP SLICE_X45Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y49 IS_PAD 0 SITEPROP SLICE_X45Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y49 IS_RESERVED 0 SITEPROP SLICE_X45Y49 IS_TEST 0 SITEPROP SLICE_X45Y49 IS_USED 0 SITEPROP SLICE_X45Y49 MANUAL_ROUTING SITEPROP SLICE_X45Y49 NAME SLICE_X45Y49 SITEPROP SLICE_X45Y49 NUM_ARCS 138 SITEPROP SLICE_X45Y49 NUM_BELS 32 SITEPROP SLICE_X45Y49 NUM_INPUTS 32 SITEPROP SLICE_X45Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y49 NUM_PINS 45 SITEPROP SLICE_X45Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y49 PROHIBIT 0 SITEPROP SLICE_X45Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y49 RPM_X 119 SITEPROP SLICE_X45Y49 RPM_Y 98 SITEPROP SLICE_X45Y49 SITE_PIPS SITEPROP SLICE_X45Y49 SITE_TYPE SLICEL SITEPROP SLICE_X45Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y50 CLASS site SITEPROP SLICE_X45Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y50 IS_BONDED 0 SITEPROP SLICE_X45Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y50 IS_PAD 0 SITEPROP SLICE_X45Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y50 IS_RESERVED 0 SITEPROP SLICE_X45Y50 IS_TEST 0 SITEPROP SLICE_X45Y50 IS_USED 0 SITEPROP SLICE_X45Y50 MANUAL_ROUTING SITEPROP SLICE_X45Y50 NAME SLICE_X45Y50 SITEPROP SLICE_X45Y50 NUM_ARCS 138 SITEPROP SLICE_X45Y50 NUM_BELS 32 SITEPROP SLICE_X45Y50 NUM_INPUTS 32 SITEPROP SLICE_X45Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y50 NUM_PINS 45 SITEPROP SLICE_X45Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y50 PROHIBIT 0 SITEPROP SLICE_X45Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y50 RPM_X 119 SITEPROP SLICE_X45Y50 RPM_Y 100 SITEPROP SLICE_X45Y50 SITE_PIPS SITEPROP SLICE_X45Y50 SITE_TYPE SLICEL SITEPROP SLICE_X45Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y51 CLASS site SITEPROP SLICE_X45Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y51 IS_BONDED 0 SITEPROP SLICE_X45Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y51 IS_PAD 0 SITEPROP SLICE_X45Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y51 IS_RESERVED 0 SITEPROP SLICE_X45Y51 IS_TEST 0 SITEPROP SLICE_X45Y51 IS_USED 0 SITEPROP SLICE_X45Y51 MANUAL_ROUTING SITEPROP SLICE_X45Y51 NAME SLICE_X45Y51 SITEPROP SLICE_X45Y51 NUM_ARCS 138 SITEPROP SLICE_X45Y51 NUM_BELS 32 SITEPROP SLICE_X45Y51 NUM_INPUTS 32 SITEPROP SLICE_X45Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y51 NUM_PINS 45 SITEPROP SLICE_X45Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y51 PROHIBIT 0 SITEPROP SLICE_X45Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y51 RPM_X 119 SITEPROP SLICE_X45Y51 RPM_Y 102 SITEPROP SLICE_X45Y51 SITE_PIPS SITEPROP SLICE_X45Y51 SITE_TYPE SLICEL SITEPROP SLICE_X45Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y52 CLASS site SITEPROP SLICE_X45Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y52 IS_BONDED 0 SITEPROP SLICE_X45Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y52 IS_PAD 0 SITEPROP SLICE_X45Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y52 IS_RESERVED 0 SITEPROP SLICE_X45Y52 IS_TEST 0 SITEPROP SLICE_X45Y52 IS_USED 0 SITEPROP SLICE_X45Y52 MANUAL_ROUTING SITEPROP SLICE_X45Y52 NAME SLICE_X45Y52 SITEPROP SLICE_X45Y52 NUM_ARCS 138 SITEPROP SLICE_X45Y52 NUM_BELS 32 SITEPROP SLICE_X45Y52 NUM_INPUTS 32 SITEPROP SLICE_X45Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y52 NUM_PINS 45 SITEPROP SLICE_X45Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y52 PROHIBIT 0 SITEPROP SLICE_X45Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y52 RPM_X 119 SITEPROP SLICE_X45Y52 RPM_Y 104 SITEPROP SLICE_X45Y52 SITE_PIPS SITEPROP SLICE_X45Y52 SITE_TYPE SLICEL SITEPROP SLICE_X45Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y53 CLASS site SITEPROP SLICE_X45Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y53 IS_BONDED 0 SITEPROP SLICE_X45Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y53 IS_PAD 0 SITEPROP SLICE_X45Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y53 IS_RESERVED 0 SITEPROP SLICE_X45Y53 IS_TEST 0 SITEPROP SLICE_X45Y53 IS_USED 0 SITEPROP SLICE_X45Y53 MANUAL_ROUTING SITEPROP SLICE_X45Y53 NAME SLICE_X45Y53 SITEPROP SLICE_X45Y53 NUM_ARCS 138 SITEPROP SLICE_X45Y53 NUM_BELS 32 SITEPROP SLICE_X45Y53 NUM_INPUTS 32 SITEPROP SLICE_X45Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y53 NUM_PINS 45 SITEPROP SLICE_X45Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y53 PROHIBIT 0 SITEPROP SLICE_X45Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y53 RPM_X 119 SITEPROP SLICE_X45Y53 RPM_Y 106 SITEPROP SLICE_X45Y53 SITE_PIPS SITEPROP SLICE_X45Y53 SITE_TYPE SLICEL SITEPROP SLICE_X45Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y54 CLASS site SITEPROP SLICE_X45Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y54 IS_BONDED 0 SITEPROP SLICE_X45Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y54 IS_PAD 0 SITEPROP SLICE_X45Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y54 IS_RESERVED 0 SITEPROP SLICE_X45Y54 IS_TEST 0 SITEPROP SLICE_X45Y54 IS_USED 0 SITEPROP SLICE_X45Y54 MANUAL_ROUTING SITEPROP SLICE_X45Y54 NAME SLICE_X45Y54 SITEPROP SLICE_X45Y54 NUM_ARCS 138 SITEPROP SLICE_X45Y54 NUM_BELS 32 SITEPROP SLICE_X45Y54 NUM_INPUTS 32 SITEPROP SLICE_X45Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y54 NUM_PINS 45 SITEPROP SLICE_X45Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y54 PROHIBIT 0 SITEPROP SLICE_X45Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y54 RPM_X 119 SITEPROP SLICE_X45Y54 RPM_Y 108 SITEPROP SLICE_X45Y54 SITE_PIPS SITEPROP SLICE_X45Y54 SITE_TYPE SLICEL SITEPROP SLICE_X45Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y55 CLASS site SITEPROP SLICE_X45Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y55 IS_BONDED 0 SITEPROP SLICE_X45Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y55 IS_PAD 0 SITEPROP SLICE_X45Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y55 IS_RESERVED 0 SITEPROP SLICE_X45Y55 IS_TEST 0 SITEPROP SLICE_X45Y55 IS_USED 0 SITEPROP SLICE_X45Y55 MANUAL_ROUTING SITEPROP SLICE_X45Y55 NAME SLICE_X45Y55 SITEPROP SLICE_X45Y55 NUM_ARCS 138 SITEPROP SLICE_X45Y55 NUM_BELS 32 SITEPROP SLICE_X45Y55 NUM_INPUTS 32 SITEPROP SLICE_X45Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y55 NUM_PINS 45 SITEPROP SLICE_X45Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y55 PROHIBIT 0 SITEPROP SLICE_X45Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y55 RPM_X 119 SITEPROP SLICE_X45Y55 RPM_Y 110 SITEPROP SLICE_X45Y55 SITE_PIPS SITEPROP SLICE_X45Y55 SITE_TYPE SLICEL SITEPROP SLICE_X45Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y56 CLASS site SITEPROP SLICE_X45Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y56 IS_BONDED 0 SITEPROP SLICE_X45Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y56 IS_PAD 0 SITEPROP SLICE_X45Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y56 IS_RESERVED 0 SITEPROP SLICE_X45Y56 IS_TEST 0 SITEPROP SLICE_X45Y56 IS_USED 0 SITEPROP SLICE_X45Y56 MANUAL_ROUTING SITEPROP SLICE_X45Y56 NAME SLICE_X45Y56 SITEPROP SLICE_X45Y56 NUM_ARCS 138 SITEPROP SLICE_X45Y56 NUM_BELS 32 SITEPROP SLICE_X45Y56 NUM_INPUTS 32 SITEPROP SLICE_X45Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y56 NUM_PINS 45 SITEPROP SLICE_X45Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y56 PROHIBIT 0 SITEPROP SLICE_X45Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y56 RPM_X 119 SITEPROP SLICE_X45Y56 RPM_Y 112 SITEPROP SLICE_X45Y56 SITE_PIPS SITEPROP SLICE_X45Y56 SITE_TYPE SLICEL SITEPROP SLICE_X45Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y57 CLASS site SITEPROP SLICE_X45Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y57 IS_BONDED 0 SITEPROP SLICE_X45Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y57 IS_PAD 0 SITEPROP SLICE_X45Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y57 IS_RESERVED 0 SITEPROP SLICE_X45Y57 IS_TEST 0 SITEPROP SLICE_X45Y57 IS_USED 0 SITEPROP SLICE_X45Y57 MANUAL_ROUTING SITEPROP SLICE_X45Y57 NAME SLICE_X45Y57 SITEPROP SLICE_X45Y57 NUM_ARCS 138 SITEPROP SLICE_X45Y57 NUM_BELS 32 SITEPROP SLICE_X45Y57 NUM_INPUTS 32 SITEPROP SLICE_X45Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y57 NUM_PINS 45 SITEPROP SLICE_X45Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y57 PROHIBIT 0 SITEPROP SLICE_X45Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y57 RPM_X 119 SITEPROP SLICE_X45Y57 RPM_Y 114 SITEPROP SLICE_X45Y57 SITE_PIPS SITEPROP SLICE_X45Y57 SITE_TYPE SLICEL SITEPROP SLICE_X45Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y58 CLASS site SITEPROP SLICE_X45Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y58 IS_BONDED 0 SITEPROP SLICE_X45Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y58 IS_PAD 0 SITEPROP SLICE_X45Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y58 IS_RESERVED 0 SITEPROP SLICE_X45Y58 IS_TEST 0 SITEPROP SLICE_X45Y58 IS_USED 0 SITEPROP SLICE_X45Y58 MANUAL_ROUTING SITEPROP SLICE_X45Y58 NAME SLICE_X45Y58 SITEPROP SLICE_X45Y58 NUM_ARCS 138 SITEPROP SLICE_X45Y58 NUM_BELS 32 SITEPROP SLICE_X45Y58 NUM_INPUTS 32 SITEPROP SLICE_X45Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y58 NUM_PINS 45 SITEPROP SLICE_X45Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y58 PROHIBIT 0 SITEPROP SLICE_X45Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y58 RPM_X 119 SITEPROP SLICE_X45Y58 RPM_Y 116 SITEPROP SLICE_X45Y58 SITE_PIPS SITEPROP SLICE_X45Y58 SITE_TYPE SLICEL SITEPROP SLICE_X45Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y59 CLASS site SITEPROP SLICE_X45Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y59 IS_BONDED 0 SITEPROP SLICE_X45Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y59 IS_PAD 0 SITEPROP SLICE_X45Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y59 IS_RESERVED 0 SITEPROP SLICE_X45Y59 IS_TEST 0 SITEPROP SLICE_X45Y59 IS_USED 0 SITEPROP SLICE_X45Y59 MANUAL_ROUTING SITEPROP SLICE_X45Y59 NAME SLICE_X45Y59 SITEPROP SLICE_X45Y59 NUM_ARCS 138 SITEPROP SLICE_X45Y59 NUM_BELS 32 SITEPROP SLICE_X45Y59 NUM_INPUTS 32 SITEPROP SLICE_X45Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y59 NUM_PINS 45 SITEPROP SLICE_X45Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y59 PROHIBIT 0 SITEPROP SLICE_X45Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y59 RPM_X 119 SITEPROP SLICE_X45Y59 RPM_Y 118 SITEPROP SLICE_X45Y59 SITE_PIPS SITEPROP SLICE_X45Y59 SITE_TYPE SLICEL SITEPROP SLICE_X45Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y60 CLASS site SITEPROP SLICE_X45Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y60 IS_BONDED 0 SITEPROP SLICE_X45Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y60 IS_PAD 0 SITEPROP SLICE_X45Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y60 IS_RESERVED 0 SITEPROP SLICE_X45Y60 IS_TEST 0 SITEPROP SLICE_X45Y60 IS_USED 0 SITEPROP SLICE_X45Y60 MANUAL_ROUTING SITEPROP SLICE_X45Y60 NAME SLICE_X45Y60 SITEPROP SLICE_X45Y60 NUM_ARCS 138 SITEPROP SLICE_X45Y60 NUM_BELS 32 SITEPROP SLICE_X45Y60 NUM_INPUTS 32 SITEPROP SLICE_X45Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y60 NUM_PINS 45 SITEPROP SLICE_X45Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y60 PROHIBIT 0 SITEPROP SLICE_X45Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y60 RPM_X 119 SITEPROP SLICE_X45Y60 RPM_Y 120 SITEPROP SLICE_X45Y60 SITE_PIPS SITEPROP SLICE_X45Y60 SITE_TYPE SLICEL SITEPROP SLICE_X45Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y61 CLASS site SITEPROP SLICE_X45Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y61 IS_BONDED 0 SITEPROP SLICE_X45Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y61 IS_PAD 0 SITEPROP SLICE_X45Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y61 IS_RESERVED 0 SITEPROP SLICE_X45Y61 IS_TEST 0 SITEPROP SLICE_X45Y61 IS_USED 0 SITEPROP SLICE_X45Y61 MANUAL_ROUTING SITEPROP SLICE_X45Y61 NAME SLICE_X45Y61 SITEPROP SLICE_X45Y61 NUM_ARCS 138 SITEPROP SLICE_X45Y61 NUM_BELS 32 SITEPROP SLICE_X45Y61 NUM_INPUTS 32 SITEPROP SLICE_X45Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y61 NUM_PINS 45 SITEPROP SLICE_X45Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y61 PROHIBIT 0 SITEPROP SLICE_X45Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y61 RPM_X 119 SITEPROP SLICE_X45Y61 RPM_Y 122 SITEPROP SLICE_X45Y61 SITE_PIPS SITEPROP SLICE_X45Y61 SITE_TYPE SLICEL SITEPROP SLICE_X45Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y62 CLASS site SITEPROP SLICE_X45Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y62 IS_BONDED 0 SITEPROP SLICE_X45Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y62 IS_PAD 0 SITEPROP SLICE_X45Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y62 IS_RESERVED 0 SITEPROP SLICE_X45Y62 IS_TEST 0 SITEPROP SLICE_X45Y62 IS_USED 0 SITEPROP SLICE_X45Y62 MANUAL_ROUTING SITEPROP SLICE_X45Y62 NAME SLICE_X45Y62 SITEPROP SLICE_X45Y62 NUM_ARCS 138 SITEPROP SLICE_X45Y62 NUM_BELS 32 SITEPROP SLICE_X45Y62 NUM_INPUTS 32 SITEPROP SLICE_X45Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y62 NUM_PINS 45 SITEPROP SLICE_X45Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y62 PROHIBIT 0 SITEPROP SLICE_X45Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y62 RPM_X 119 SITEPROP SLICE_X45Y62 RPM_Y 124 SITEPROP SLICE_X45Y62 SITE_PIPS SITEPROP SLICE_X45Y62 SITE_TYPE SLICEL SITEPROP SLICE_X45Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y63 CLASS site SITEPROP SLICE_X45Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y63 IS_BONDED 0 SITEPROP SLICE_X45Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y63 IS_PAD 0 SITEPROP SLICE_X45Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y63 IS_RESERVED 0 SITEPROP SLICE_X45Y63 IS_TEST 0 SITEPROP SLICE_X45Y63 IS_USED 0 SITEPROP SLICE_X45Y63 MANUAL_ROUTING SITEPROP SLICE_X45Y63 NAME SLICE_X45Y63 SITEPROP SLICE_X45Y63 NUM_ARCS 138 SITEPROP SLICE_X45Y63 NUM_BELS 32 SITEPROP SLICE_X45Y63 NUM_INPUTS 32 SITEPROP SLICE_X45Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y63 NUM_PINS 45 SITEPROP SLICE_X45Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y63 PROHIBIT 0 SITEPROP SLICE_X45Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y63 RPM_X 119 SITEPROP SLICE_X45Y63 RPM_Y 126 SITEPROP SLICE_X45Y63 SITE_PIPS SITEPROP SLICE_X45Y63 SITE_TYPE SLICEL SITEPROP SLICE_X45Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y64 CLASS site SITEPROP SLICE_X45Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y64 IS_BONDED 0 SITEPROP SLICE_X45Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y64 IS_PAD 0 SITEPROP SLICE_X45Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y64 IS_RESERVED 0 SITEPROP SLICE_X45Y64 IS_TEST 0 SITEPROP SLICE_X45Y64 IS_USED 0 SITEPROP SLICE_X45Y64 MANUAL_ROUTING SITEPROP SLICE_X45Y64 NAME SLICE_X45Y64 SITEPROP SLICE_X45Y64 NUM_ARCS 138 SITEPROP SLICE_X45Y64 NUM_BELS 32 SITEPROP SLICE_X45Y64 NUM_INPUTS 32 SITEPROP SLICE_X45Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y64 NUM_PINS 45 SITEPROP SLICE_X45Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y64 PROHIBIT 0 SITEPROP SLICE_X45Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y64 RPM_X 119 SITEPROP SLICE_X45Y64 RPM_Y 128 SITEPROP SLICE_X45Y64 SITE_PIPS SITEPROP SLICE_X45Y64 SITE_TYPE SLICEL SITEPROP SLICE_X45Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y65 CLASS site SITEPROP SLICE_X45Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y65 IS_BONDED 0 SITEPROP SLICE_X45Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y65 IS_PAD 0 SITEPROP SLICE_X45Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y65 IS_RESERVED 0 SITEPROP SLICE_X45Y65 IS_TEST 0 SITEPROP SLICE_X45Y65 IS_USED 0 SITEPROP SLICE_X45Y65 MANUAL_ROUTING SITEPROP SLICE_X45Y65 NAME SLICE_X45Y65 SITEPROP SLICE_X45Y65 NUM_ARCS 138 SITEPROP SLICE_X45Y65 NUM_BELS 32 SITEPROP SLICE_X45Y65 NUM_INPUTS 32 SITEPROP SLICE_X45Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y65 NUM_PINS 45 SITEPROP SLICE_X45Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y65 PROHIBIT 0 SITEPROP SLICE_X45Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y65 RPM_X 119 SITEPROP SLICE_X45Y65 RPM_Y 130 SITEPROP SLICE_X45Y65 SITE_PIPS SITEPROP SLICE_X45Y65 SITE_TYPE SLICEL SITEPROP SLICE_X45Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y66 CLASS site SITEPROP SLICE_X45Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y66 IS_BONDED 0 SITEPROP SLICE_X45Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y66 IS_PAD 0 SITEPROP SLICE_X45Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y66 IS_RESERVED 0 SITEPROP SLICE_X45Y66 IS_TEST 0 SITEPROP SLICE_X45Y66 IS_USED 0 SITEPROP SLICE_X45Y66 MANUAL_ROUTING SITEPROP SLICE_X45Y66 NAME SLICE_X45Y66 SITEPROP SLICE_X45Y66 NUM_ARCS 138 SITEPROP SLICE_X45Y66 NUM_BELS 32 SITEPROP SLICE_X45Y66 NUM_INPUTS 32 SITEPROP SLICE_X45Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y66 NUM_PINS 45 SITEPROP SLICE_X45Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y66 PROHIBIT 0 SITEPROP SLICE_X45Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y66 RPM_X 119 SITEPROP SLICE_X45Y66 RPM_Y 132 SITEPROP SLICE_X45Y66 SITE_PIPS SITEPROP SLICE_X45Y66 SITE_TYPE SLICEL SITEPROP SLICE_X45Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y67 CLASS site SITEPROP SLICE_X45Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y67 IS_BONDED 0 SITEPROP SLICE_X45Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y67 IS_PAD 0 SITEPROP SLICE_X45Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y67 IS_RESERVED 0 SITEPROP SLICE_X45Y67 IS_TEST 0 SITEPROP SLICE_X45Y67 IS_USED 0 SITEPROP SLICE_X45Y67 MANUAL_ROUTING SITEPROP SLICE_X45Y67 NAME SLICE_X45Y67 SITEPROP SLICE_X45Y67 NUM_ARCS 138 SITEPROP SLICE_X45Y67 NUM_BELS 32 SITEPROP SLICE_X45Y67 NUM_INPUTS 32 SITEPROP SLICE_X45Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y67 NUM_PINS 45 SITEPROP SLICE_X45Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y67 PROHIBIT 0 SITEPROP SLICE_X45Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y67 RPM_X 119 SITEPROP SLICE_X45Y67 RPM_Y 134 SITEPROP SLICE_X45Y67 SITE_PIPS SITEPROP SLICE_X45Y67 SITE_TYPE SLICEL SITEPROP SLICE_X45Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y68 CLASS site SITEPROP SLICE_X45Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y68 IS_BONDED 0 SITEPROP SLICE_X45Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y68 IS_PAD 0 SITEPROP SLICE_X45Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y68 IS_RESERVED 0 SITEPROP SLICE_X45Y68 IS_TEST 0 SITEPROP SLICE_X45Y68 IS_USED 0 SITEPROP SLICE_X45Y68 MANUAL_ROUTING SITEPROP SLICE_X45Y68 NAME SLICE_X45Y68 SITEPROP SLICE_X45Y68 NUM_ARCS 138 SITEPROP SLICE_X45Y68 NUM_BELS 32 SITEPROP SLICE_X45Y68 NUM_INPUTS 32 SITEPROP SLICE_X45Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y68 NUM_PINS 45 SITEPROP SLICE_X45Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y68 PROHIBIT 0 SITEPROP SLICE_X45Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y68 RPM_X 119 SITEPROP SLICE_X45Y68 RPM_Y 136 SITEPROP SLICE_X45Y68 SITE_PIPS SITEPROP SLICE_X45Y68 SITE_TYPE SLICEL SITEPROP SLICE_X45Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y69 CLASS site SITEPROP SLICE_X45Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y69 IS_BONDED 0 SITEPROP SLICE_X45Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y69 IS_PAD 0 SITEPROP SLICE_X45Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y69 IS_RESERVED 0 SITEPROP SLICE_X45Y69 IS_TEST 0 SITEPROP SLICE_X45Y69 IS_USED 0 SITEPROP SLICE_X45Y69 MANUAL_ROUTING SITEPROP SLICE_X45Y69 NAME SLICE_X45Y69 SITEPROP SLICE_X45Y69 NUM_ARCS 138 SITEPROP SLICE_X45Y69 NUM_BELS 32 SITEPROP SLICE_X45Y69 NUM_INPUTS 32 SITEPROP SLICE_X45Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y69 NUM_PINS 45 SITEPROP SLICE_X45Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y69 PROHIBIT 0 SITEPROP SLICE_X45Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y69 RPM_X 119 SITEPROP SLICE_X45Y69 RPM_Y 138 SITEPROP SLICE_X45Y69 SITE_PIPS SITEPROP SLICE_X45Y69 SITE_TYPE SLICEL SITEPROP SLICE_X45Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y70 CLASS site SITEPROP SLICE_X45Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y70 IS_BONDED 0 SITEPROP SLICE_X45Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y70 IS_PAD 0 SITEPROP SLICE_X45Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y70 IS_RESERVED 0 SITEPROP SLICE_X45Y70 IS_TEST 0 SITEPROP SLICE_X45Y70 IS_USED 0 SITEPROP SLICE_X45Y70 MANUAL_ROUTING SITEPROP SLICE_X45Y70 NAME SLICE_X45Y70 SITEPROP SLICE_X45Y70 NUM_ARCS 138 SITEPROP SLICE_X45Y70 NUM_BELS 32 SITEPROP SLICE_X45Y70 NUM_INPUTS 32 SITEPROP SLICE_X45Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y70 NUM_PINS 45 SITEPROP SLICE_X45Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y70 PROHIBIT 0 SITEPROP SLICE_X45Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y70 RPM_X 119 SITEPROP SLICE_X45Y70 RPM_Y 140 SITEPROP SLICE_X45Y70 SITE_PIPS SITEPROP SLICE_X45Y70 SITE_TYPE SLICEL SITEPROP SLICE_X45Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y71 CLASS site SITEPROP SLICE_X45Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y71 IS_BONDED 0 SITEPROP SLICE_X45Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y71 IS_PAD 0 SITEPROP SLICE_X45Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y71 IS_RESERVED 0 SITEPROP SLICE_X45Y71 IS_TEST 0 SITEPROP SLICE_X45Y71 IS_USED 0 SITEPROP SLICE_X45Y71 MANUAL_ROUTING SITEPROP SLICE_X45Y71 NAME SLICE_X45Y71 SITEPROP SLICE_X45Y71 NUM_ARCS 138 SITEPROP SLICE_X45Y71 NUM_BELS 32 SITEPROP SLICE_X45Y71 NUM_INPUTS 32 SITEPROP SLICE_X45Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y71 NUM_PINS 45 SITEPROP SLICE_X45Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y71 PROHIBIT 0 SITEPROP SLICE_X45Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y71 RPM_X 119 SITEPROP SLICE_X45Y71 RPM_Y 142 SITEPROP SLICE_X45Y71 SITE_PIPS SITEPROP SLICE_X45Y71 SITE_TYPE SLICEL SITEPROP SLICE_X45Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y72 CLASS site SITEPROP SLICE_X45Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y72 IS_BONDED 0 SITEPROP SLICE_X45Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y72 IS_PAD 0 SITEPROP SLICE_X45Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y72 IS_RESERVED 0 SITEPROP SLICE_X45Y72 IS_TEST 0 SITEPROP SLICE_X45Y72 IS_USED 0 SITEPROP SLICE_X45Y72 MANUAL_ROUTING SITEPROP SLICE_X45Y72 NAME SLICE_X45Y72 SITEPROP SLICE_X45Y72 NUM_ARCS 138 SITEPROP SLICE_X45Y72 NUM_BELS 32 SITEPROP SLICE_X45Y72 NUM_INPUTS 32 SITEPROP SLICE_X45Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y72 NUM_PINS 45 SITEPROP SLICE_X45Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y72 PROHIBIT 0 SITEPROP SLICE_X45Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y72 RPM_X 119 SITEPROP SLICE_X45Y72 RPM_Y 144 SITEPROP SLICE_X45Y72 SITE_PIPS SITEPROP SLICE_X45Y72 SITE_TYPE SLICEL SITEPROP SLICE_X45Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y73 CLASS site SITEPROP SLICE_X45Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y73 IS_BONDED 0 SITEPROP SLICE_X45Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y73 IS_PAD 0 SITEPROP SLICE_X45Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y73 IS_RESERVED 0 SITEPROP SLICE_X45Y73 IS_TEST 0 SITEPROP SLICE_X45Y73 IS_USED 0 SITEPROP SLICE_X45Y73 MANUAL_ROUTING SITEPROP SLICE_X45Y73 NAME SLICE_X45Y73 SITEPROP SLICE_X45Y73 NUM_ARCS 138 SITEPROP SLICE_X45Y73 NUM_BELS 32 SITEPROP SLICE_X45Y73 NUM_INPUTS 32 SITEPROP SLICE_X45Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y73 NUM_PINS 45 SITEPROP SLICE_X45Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y73 PROHIBIT 0 SITEPROP SLICE_X45Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y73 RPM_X 119 SITEPROP SLICE_X45Y73 RPM_Y 146 SITEPROP SLICE_X45Y73 SITE_PIPS SITEPROP SLICE_X45Y73 SITE_TYPE SLICEL SITEPROP SLICE_X45Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y74 CLASS site SITEPROP SLICE_X45Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y74 IS_BONDED 0 SITEPROP SLICE_X45Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y74 IS_PAD 0 SITEPROP SLICE_X45Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y74 IS_RESERVED 0 SITEPROP SLICE_X45Y74 IS_TEST 0 SITEPROP SLICE_X45Y74 IS_USED 0 SITEPROP SLICE_X45Y74 MANUAL_ROUTING SITEPROP SLICE_X45Y74 NAME SLICE_X45Y74 SITEPROP SLICE_X45Y74 NUM_ARCS 138 SITEPROP SLICE_X45Y74 NUM_BELS 32 SITEPROP SLICE_X45Y74 NUM_INPUTS 32 SITEPROP SLICE_X45Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y74 NUM_PINS 45 SITEPROP SLICE_X45Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y74 PROHIBIT 0 SITEPROP SLICE_X45Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y74 RPM_X 119 SITEPROP SLICE_X45Y74 RPM_Y 148 SITEPROP SLICE_X45Y74 SITE_PIPS SITEPROP SLICE_X45Y74 SITE_TYPE SLICEL SITEPROP SLICE_X45Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y75 CLASS site SITEPROP SLICE_X45Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y75 IS_BONDED 0 SITEPROP SLICE_X45Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y75 IS_PAD 0 SITEPROP SLICE_X45Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y75 IS_RESERVED 0 SITEPROP SLICE_X45Y75 IS_TEST 0 SITEPROP SLICE_X45Y75 IS_USED 0 SITEPROP SLICE_X45Y75 MANUAL_ROUTING SITEPROP SLICE_X45Y75 NAME SLICE_X45Y75 SITEPROP SLICE_X45Y75 NUM_ARCS 138 SITEPROP SLICE_X45Y75 NUM_BELS 32 SITEPROP SLICE_X45Y75 NUM_INPUTS 32 SITEPROP SLICE_X45Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y75 NUM_PINS 45 SITEPROP SLICE_X45Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y75 PROHIBIT 0 SITEPROP SLICE_X45Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y75 RPM_X 119 SITEPROP SLICE_X45Y75 RPM_Y 150 SITEPROP SLICE_X45Y75 SITE_PIPS SITEPROP SLICE_X45Y75 SITE_TYPE SLICEL SITEPROP SLICE_X45Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y76 CLASS site SITEPROP SLICE_X45Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y76 IS_BONDED 0 SITEPROP SLICE_X45Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y76 IS_PAD 0 SITEPROP SLICE_X45Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y76 IS_RESERVED 0 SITEPROP SLICE_X45Y76 IS_TEST 0 SITEPROP SLICE_X45Y76 IS_USED 0 SITEPROP SLICE_X45Y76 MANUAL_ROUTING SITEPROP SLICE_X45Y76 NAME SLICE_X45Y76 SITEPROP SLICE_X45Y76 NUM_ARCS 138 SITEPROP SLICE_X45Y76 NUM_BELS 32 SITEPROP SLICE_X45Y76 NUM_INPUTS 32 SITEPROP SLICE_X45Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y76 NUM_PINS 45 SITEPROP SLICE_X45Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y76 PROHIBIT 0 SITEPROP SLICE_X45Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y76 RPM_X 119 SITEPROP SLICE_X45Y76 RPM_Y 152 SITEPROP SLICE_X45Y76 SITE_PIPS SITEPROP SLICE_X45Y76 SITE_TYPE SLICEL SITEPROP SLICE_X45Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y77 CLASS site SITEPROP SLICE_X45Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y77 IS_BONDED 0 SITEPROP SLICE_X45Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y77 IS_PAD 0 SITEPROP SLICE_X45Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y77 IS_RESERVED 0 SITEPROP SLICE_X45Y77 IS_TEST 0 SITEPROP SLICE_X45Y77 IS_USED 0 SITEPROP SLICE_X45Y77 MANUAL_ROUTING SITEPROP SLICE_X45Y77 NAME SLICE_X45Y77 SITEPROP SLICE_X45Y77 NUM_ARCS 138 SITEPROP SLICE_X45Y77 NUM_BELS 32 SITEPROP SLICE_X45Y77 NUM_INPUTS 32 SITEPROP SLICE_X45Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y77 NUM_PINS 45 SITEPROP SLICE_X45Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y77 PROHIBIT 0 SITEPROP SLICE_X45Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y77 RPM_X 119 SITEPROP SLICE_X45Y77 RPM_Y 154 SITEPROP SLICE_X45Y77 SITE_PIPS SITEPROP SLICE_X45Y77 SITE_TYPE SLICEL SITEPROP SLICE_X45Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y78 CLASS site SITEPROP SLICE_X45Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y78 IS_BONDED 0 SITEPROP SLICE_X45Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y78 IS_PAD 0 SITEPROP SLICE_X45Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y78 IS_RESERVED 0 SITEPROP SLICE_X45Y78 IS_TEST 0 SITEPROP SLICE_X45Y78 IS_USED 0 SITEPROP SLICE_X45Y78 MANUAL_ROUTING SITEPROP SLICE_X45Y78 NAME SLICE_X45Y78 SITEPROP SLICE_X45Y78 NUM_ARCS 138 SITEPROP SLICE_X45Y78 NUM_BELS 32 SITEPROP SLICE_X45Y78 NUM_INPUTS 32 SITEPROP SLICE_X45Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y78 NUM_PINS 45 SITEPROP SLICE_X45Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y78 PROHIBIT 0 SITEPROP SLICE_X45Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y78 RPM_X 119 SITEPROP SLICE_X45Y78 RPM_Y 156 SITEPROP SLICE_X45Y78 SITE_PIPS SITEPROP SLICE_X45Y78 SITE_TYPE SLICEL SITEPROP SLICE_X45Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y79 CLASS site SITEPROP SLICE_X45Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y79 IS_BONDED 0 SITEPROP SLICE_X45Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y79 IS_PAD 0 SITEPROP SLICE_X45Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y79 IS_RESERVED 0 SITEPROP SLICE_X45Y79 IS_TEST 0 SITEPROP SLICE_X45Y79 IS_USED 0 SITEPROP SLICE_X45Y79 MANUAL_ROUTING SITEPROP SLICE_X45Y79 NAME SLICE_X45Y79 SITEPROP SLICE_X45Y79 NUM_ARCS 138 SITEPROP SLICE_X45Y79 NUM_BELS 32 SITEPROP SLICE_X45Y79 NUM_INPUTS 32 SITEPROP SLICE_X45Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y79 NUM_PINS 45 SITEPROP SLICE_X45Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y79 PROHIBIT 0 SITEPROP SLICE_X45Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y79 RPM_X 119 SITEPROP SLICE_X45Y79 RPM_Y 158 SITEPROP SLICE_X45Y79 SITE_PIPS SITEPROP SLICE_X45Y79 SITE_TYPE SLICEL SITEPROP SLICE_X45Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y80 CLASS site SITEPROP SLICE_X45Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y80 IS_BONDED 0 SITEPROP SLICE_X45Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y80 IS_PAD 0 SITEPROP SLICE_X45Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y80 IS_RESERVED 0 SITEPROP SLICE_X45Y80 IS_TEST 0 SITEPROP SLICE_X45Y80 IS_USED 0 SITEPROP SLICE_X45Y80 MANUAL_ROUTING SITEPROP SLICE_X45Y80 NAME SLICE_X45Y80 SITEPROP SLICE_X45Y80 NUM_ARCS 138 SITEPROP SLICE_X45Y80 NUM_BELS 32 SITEPROP SLICE_X45Y80 NUM_INPUTS 32 SITEPROP SLICE_X45Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y80 NUM_PINS 45 SITEPROP SLICE_X45Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y80 PROHIBIT 0 SITEPROP SLICE_X45Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y80 RPM_X 119 SITEPROP SLICE_X45Y80 RPM_Y 160 SITEPROP SLICE_X45Y80 SITE_PIPS SITEPROP SLICE_X45Y80 SITE_TYPE SLICEL SITEPROP SLICE_X45Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y81 CLASS site SITEPROP SLICE_X45Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y81 IS_BONDED 0 SITEPROP SLICE_X45Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y81 IS_PAD 0 SITEPROP SLICE_X45Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y81 IS_RESERVED 0 SITEPROP SLICE_X45Y81 IS_TEST 0 SITEPROP SLICE_X45Y81 IS_USED 0 SITEPROP SLICE_X45Y81 MANUAL_ROUTING SITEPROP SLICE_X45Y81 NAME SLICE_X45Y81 SITEPROP SLICE_X45Y81 NUM_ARCS 138 SITEPROP SLICE_X45Y81 NUM_BELS 32 SITEPROP SLICE_X45Y81 NUM_INPUTS 32 SITEPROP SLICE_X45Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y81 NUM_PINS 45 SITEPROP SLICE_X45Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y81 PROHIBIT 0 SITEPROP SLICE_X45Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y81 RPM_X 119 SITEPROP SLICE_X45Y81 RPM_Y 162 SITEPROP SLICE_X45Y81 SITE_PIPS SITEPROP SLICE_X45Y81 SITE_TYPE SLICEL SITEPROP SLICE_X45Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y82 CLASS site SITEPROP SLICE_X45Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y82 IS_BONDED 0 SITEPROP SLICE_X45Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y82 IS_PAD 0 SITEPROP SLICE_X45Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y82 IS_RESERVED 0 SITEPROP SLICE_X45Y82 IS_TEST 0 SITEPROP SLICE_X45Y82 IS_USED 0 SITEPROP SLICE_X45Y82 MANUAL_ROUTING SITEPROP SLICE_X45Y82 NAME SLICE_X45Y82 SITEPROP SLICE_X45Y82 NUM_ARCS 138 SITEPROP SLICE_X45Y82 NUM_BELS 32 SITEPROP SLICE_X45Y82 NUM_INPUTS 32 SITEPROP SLICE_X45Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y82 NUM_PINS 45 SITEPROP SLICE_X45Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y82 PROHIBIT 0 SITEPROP SLICE_X45Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y82 RPM_X 119 SITEPROP SLICE_X45Y82 RPM_Y 164 SITEPROP SLICE_X45Y82 SITE_PIPS SITEPROP SLICE_X45Y82 SITE_TYPE SLICEL SITEPROP SLICE_X45Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y83 CLASS site SITEPROP SLICE_X45Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y83 IS_BONDED 0 SITEPROP SLICE_X45Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y83 IS_PAD 0 SITEPROP SLICE_X45Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y83 IS_RESERVED 0 SITEPROP SLICE_X45Y83 IS_TEST 0 SITEPROP SLICE_X45Y83 IS_USED 0 SITEPROP SLICE_X45Y83 MANUAL_ROUTING SITEPROP SLICE_X45Y83 NAME SLICE_X45Y83 SITEPROP SLICE_X45Y83 NUM_ARCS 138 SITEPROP SLICE_X45Y83 NUM_BELS 32 SITEPROP SLICE_X45Y83 NUM_INPUTS 32 SITEPROP SLICE_X45Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y83 NUM_PINS 45 SITEPROP SLICE_X45Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y83 PROHIBIT 0 SITEPROP SLICE_X45Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y83 RPM_X 119 SITEPROP SLICE_X45Y83 RPM_Y 166 SITEPROP SLICE_X45Y83 SITE_PIPS SITEPROP SLICE_X45Y83 SITE_TYPE SLICEL SITEPROP SLICE_X45Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y84 CLASS site SITEPROP SLICE_X45Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y84 IS_BONDED 0 SITEPROP SLICE_X45Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y84 IS_PAD 0 SITEPROP SLICE_X45Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y84 IS_RESERVED 0 SITEPROP SLICE_X45Y84 IS_TEST 0 SITEPROP SLICE_X45Y84 IS_USED 0 SITEPROP SLICE_X45Y84 MANUAL_ROUTING SITEPROP SLICE_X45Y84 NAME SLICE_X45Y84 SITEPROP SLICE_X45Y84 NUM_ARCS 138 SITEPROP SLICE_X45Y84 NUM_BELS 32 SITEPROP SLICE_X45Y84 NUM_INPUTS 32 SITEPROP SLICE_X45Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y84 NUM_PINS 45 SITEPROP SLICE_X45Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y84 PROHIBIT 0 SITEPROP SLICE_X45Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y84 RPM_X 119 SITEPROP SLICE_X45Y84 RPM_Y 168 SITEPROP SLICE_X45Y84 SITE_PIPS SITEPROP SLICE_X45Y84 SITE_TYPE SLICEL SITEPROP SLICE_X45Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y85 CLASS site SITEPROP SLICE_X45Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y85 IS_BONDED 0 SITEPROP SLICE_X45Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y85 IS_PAD 0 SITEPROP SLICE_X45Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y85 IS_RESERVED 0 SITEPROP SLICE_X45Y85 IS_TEST 0 SITEPROP SLICE_X45Y85 IS_USED 0 SITEPROP SLICE_X45Y85 MANUAL_ROUTING SITEPROP SLICE_X45Y85 NAME SLICE_X45Y85 SITEPROP SLICE_X45Y85 NUM_ARCS 138 SITEPROP SLICE_X45Y85 NUM_BELS 32 SITEPROP SLICE_X45Y85 NUM_INPUTS 32 SITEPROP SLICE_X45Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y85 NUM_PINS 45 SITEPROP SLICE_X45Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y85 PROHIBIT 0 SITEPROP SLICE_X45Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y85 RPM_X 119 SITEPROP SLICE_X45Y85 RPM_Y 170 SITEPROP SLICE_X45Y85 SITE_PIPS SITEPROP SLICE_X45Y85 SITE_TYPE SLICEL SITEPROP SLICE_X45Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y86 CLASS site SITEPROP SLICE_X45Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y86 IS_BONDED 0 SITEPROP SLICE_X45Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y86 IS_PAD 0 SITEPROP SLICE_X45Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y86 IS_RESERVED 0 SITEPROP SLICE_X45Y86 IS_TEST 0 SITEPROP SLICE_X45Y86 IS_USED 0 SITEPROP SLICE_X45Y86 MANUAL_ROUTING SITEPROP SLICE_X45Y86 NAME SLICE_X45Y86 SITEPROP SLICE_X45Y86 NUM_ARCS 138 SITEPROP SLICE_X45Y86 NUM_BELS 32 SITEPROP SLICE_X45Y86 NUM_INPUTS 32 SITEPROP SLICE_X45Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y86 NUM_PINS 45 SITEPROP SLICE_X45Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y86 PROHIBIT 0 SITEPROP SLICE_X45Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y86 RPM_X 119 SITEPROP SLICE_X45Y86 RPM_Y 172 SITEPROP SLICE_X45Y86 SITE_PIPS SITEPROP SLICE_X45Y86 SITE_TYPE SLICEL SITEPROP SLICE_X45Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y87 CLASS site SITEPROP SLICE_X45Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y87 IS_BONDED 0 SITEPROP SLICE_X45Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y87 IS_PAD 0 SITEPROP SLICE_X45Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y87 IS_RESERVED 0 SITEPROP SLICE_X45Y87 IS_TEST 0 SITEPROP SLICE_X45Y87 IS_USED 0 SITEPROP SLICE_X45Y87 MANUAL_ROUTING SITEPROP SLICE_X45Y87 NAME SLICE_X45Y87 SITEPROP SLICE_X45Y87 NUM_ARCS 138 SITEPROP SLICE_X45Y87 NUM_BELS 32 SITEPROP SLICE_X45Y87 NUM_INPUTS 32 SITEPROP SLICE_X45Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y87 NUM_PINS 45 SITEPROP SLICE_X45Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y87 PROHIBIT 0 SITEPROP SLICE_X45Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y87 RPM_X 119 SITEPROP SLICE_X45Y87 RPM_Y 174 SITEPROP SLICE_X45Y87 SITE_PIPS SITEPROP SLICE_X45Y87 SITE_TYPE SLICEL SITEPROP SLICE_X45Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y88 CLASS site SITEPROP SLICE_X45Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y88 IS_BONDED 0 SITEPROP SLICE_X45Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y88 IS_PAD 0 SITEPROP SLICE_X45Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y88 IS_RESERVED 0 SITEPROP SLICE_X45Y88 IS_TEST 0 SITEPROP SLICE_X45Y88 IS_USED 0 SITEPROP SLICE_X45Y88 MANUAL_ROUTING SITEPROP SLICE_X45Y88 NAME SLICE_X45Y88 SITEPROP SLICE_X45Y88 NUM_ARCS 138 SITEPROP SLICE_X45Y88 NUM_BELS 32 SITEPROP SLICE_X45Y88 NUM_INPUTS 32 SITEPROP SLICE_X45Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y88 NUM_PINS 45 SITEPROP SLICE_X45Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y88 PROHIBIT 0 SITEPROP SLICE_X45Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y88 RPM_X 119 SITEPROP SLICE_X45Y88 RPM_Y 176 SITEPROP SLICE_X45Y88 SITE_PIPS SITEPROP SLICE_X45Y88 SITE_TYPE SLICEL SITEPROP SLICE_X45Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y89 CLASS site SITEPROP SLICE_X45Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y89 IS_BONDED 0 SITEPROP SLICE_X45Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y89 IS_PAD 0 SITEPROP SLICE_X45Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y89 IS_RESERVED 0 SITEPROP SLICE_X45Y89 IS_TEST 0 SITEPROP SLICE_X45Y89 IS_USED 0 SITEPROP SLICE_X45Y89 MANUAL_ROUTING SITEPROP SLICE_X45Y89 NAME SLICE_X45Y89 SITEPROP SLICE_X45Y89 NUM_ARCS 138 SITEPROP SLICE_X45Y89 NUM_BELS 32 SITEPROP SLICE_X45Y89 NUM_INPUTS 32 SITEPROP SLICE_X45Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y89 NUM_PINS 45 SITEPROP SLICE_X45Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y89 PROHIBIT 0 SITEPROP SLICE_X45Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y89 RPM_X 119 SITEPROP SLICE_X45Y89 RPM_Y 178 SITEPROP SLICE_X45Y89 SITE_PIPS SITEPROP SLICE_X45Y89 SITE_TYPE SLICEL SITEPROP SLICE_X45Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y90 CLASS site SITEPROP SLICE_X45Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y90 IS_BONDED 0 SITEPROP SLICE_X45Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y90 IS_PAD 0 SITEPROP SLICE_X45Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y90 IS_RESERVED 0 SITEPROP SLICE_X45Y90 IS_TEST 0 SITEPROP SLICE_X45Y90 IS_USED 0 SITEPROP SLICE_X45Y90 MANUAL_ROUTING SITEPROP SLICE_X45Y90 NAME SLICE_X45Y90 SITEPROP SLICE_X45Y90 NUM_ARCS 138 SITEPROP SLICE_X45Y90 NUM_BELS 32 SITEPROP SLICE_X45Y90 NUM_INPUTS 32 SITEPROP SLICE_X45Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y90 NUM_PINS 45 SITEPROP SLICE_X45Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y90 PROHIBIT 0 SITEPROP SLICE_X45Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y90 RPM_X 119 SITEPROP SLICE_X45Y90 RPM_Y 180 SITEPROP SLICE_X45Y90 SITE_PIPS SITEPROP SLICE_X45Y90 SITE_TYPE SLICEL SITEPROP SLICE_X45Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y91 CLASS site SITEPROP SLICE_X45Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y91 IS_BONDED 0 SITEPROP SLICE_X45Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y91 IS_PAD 0 SITEPROP SLICE_X45Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y91 IS_RESERVED 0 SITEPROP SLICE_X45Y91 IS_TEST 0 SITEPROP SLICE_X45Y91 IS_USED 0 SITEPROP SLICE_X45Y91 MANUAL_ROUTING SITEPROP SLICE_X45Y91 NAME SLICE_X45Y91 SITEPROP SLICE_X45Y91 NUM_ARCS 138 SITEPROP SLICE_X45Y91 NUM_BELS 32 SITEPROP SLICE_X45Y91 NUM_INPUTS 32 SITEPROP SLICE_X45Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y91 NUM_PINS 45 SITEPROP SLICE_X45Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y91 PROHIBIT 0 SITEPROP SLICE_X45Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y91 RPM_X 119 SITEPROP SLICE_X45Y91 RPM_Y 182 SITEPROP SLICE_X45Y91 SITE_PIPS SITEPROP SLICE_X45Y91 SITE_TYPE SLICEL SITEPROP SLICE_X45Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y92 CLASS site SITEPROP SLICE_X45Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y92 IS_BONDED 0 SITEPROP SLICE_X45Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y92 IS_PAD 0 SITEPROP SLICE_X45Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y92 IS_RESERVED 0 SITEPROP SLICE_X45Y92 IS_TEST 0 SITEPROP SLICE_X45Y92 IS_USED 0 SITEPROP SLICE_X45Y92 MANUAL_ROUTING SITEPROP SLICE_X45Y92 NAME SLICE_X45Y92 SITEPROP SLICE_X45Y92 NUM_ARCS 138 SITEPROP SLICE_X45Y92 NUM_BELS 32 SITEPROP SLICE_X45Y92 NUM_INPUTS 32 SITEPROP SLICE_X45Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y92 NUM_PINS 45 SITEPROP SLICE_X45Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y92 PROHIBIT 0 SITEPROP SLICE_X45Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y92 RPM_X 119 SITEPROP SLICE_X45Y92 RPM_Y 184 SITEPROP SLICE_X45Y92 SITE_PIPS SITEPROP SLICE_X45Y92 SITE_TYPE SLICEL SITEPROP SLICE_X45Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y93 CLASS site SITEPROP SLICE_X45Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y93 IS_BONDED 0 SITEPROP SLICE_X45Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y93 IS_PAD 0 SITEPROP SLICE_X45Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y93 IS_RESERVED 0 SITEPROP SLICE_X45Y93 IS_TEST 0 SITEPROP SLICE_X45Y93 IS_USED 0 SITEPROP SLICE_X45Y93 MANUAL_ROUTING SITEPROP SLICE_X45Y93 NAME SLICE_X45Y93 SITEPROP SLICE_X45Y93 NUM_ARCS 138 SITEPROP SLICE_X45Y93 NUM_BELS 32 SITEPROP SLICE_X45Y93 NUM_INPUTS 32 SITEPROP SLICE_X45Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y93 NUM_PINS 45 SITEPROP SLICE_X45Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y93 PROHIBIT 0 SITEPROP SLICE_X45Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y93 RPM_X 119 SITEPROP SLICE_X45Y93 RPM_Y 186 SITEPROP SLICE_X45Y93 SITE_PIPS SITEPROP SLICE_X45Y93 SITE_TYPE SLICEL SITEPROP SLICE_X45Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y94 CLASS site SITEPROP SLICE_X45Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y94 IS_BONDED 0 SITEPROP SLICE_X45Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y94 IS_PAD 0 SITEPROP SLICE_X45Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y94 IS_RESERVED 0 SITEPROP SLICE_X45Y94 IS_TEST 0 SITEPROP SLICE_X45Y94 IS_USED 0 SITEPROP SLICE_X45Y94 MANUAL_ROUTING SITEPROP SLICE_X45Y94 NAME SLICE_X45Y94 SITEPROP SLICE_X45Y94 NUM_ARCS 138 SITEPROP SLICE_X45Y94 NUM_BELS 32 SITEPROP SLICE_X45Y94 NUM_INPUTS 32 SITEPROP SLICE_X45Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y94 NUM_PINS 45 SITEPROP SLICE_X45Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y94 PROHIBIT 0 SITEPROP SLICE_X45Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y94 RPM_X 119 SITEPROP SLICE_X45Y94 RPM_Y 188 SITEPROP SLICE_X45Y94 SITE_PIPS SITEPROP SLICE_X45Y94 SITE_TYPE SLICEL SITEPROP SLICE_X45Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y95 CLASS site SITEPROP SLICE_X45Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y95 IS_BONDED 0 SITEPROP SLICE_X45Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y95 IS_PAD 0 SITEPROP SLICE_X45Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y95 IS_RESERVED 0 SITEPROP SLICE_X45Y95 IS_TEST 0 SITEPROP SLICE_X45Y95 IS_USED 0 SITEPROP SLICE_X45Y95 MANUAL_ROUTING SITEPROP SLICE_X45Y95 NAME SLICE_X45Y95 SITEPROP SLICE_X45Y95 NUM_ARCS 138 SITEPROP SLICE_X45Y95 NUM_BELS 32 SITEPROP SLICE_X45Y95 NUM_INPUTS 32 SITEPROP SLICE_X45Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y95 NUM_PINS 45 SITEPROP SLICE_X45Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y95 PROHIBIT 0 SITEPROP SLICE_X45Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y95 RPM_X 119 SITEPROP SLICE_X45Y95 RPM_Y 190 SITEPROP SLICE_X45Y95 SITE_PIPS SITEPROP SLICE_X45Y95 SITE_TYPE SLICEL SITEPROP SLICE_X45Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y96 CLASS site SITEPROP SLICE_X45Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y96 IS_BONDED 0 SITEPROP SLICE_X45Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y96 IS_PAD 0 SITEPROP SLICE_X45Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y96 IS_RESERVED 0 SITEPROP SLICE_X45Y96 IS_TEST 0 SITEPROP SLICE_X45Y96 IS_USED 0 SITEPROP SLICE_X45Y96 MANUAL_ROUTING SITEPROP SLICE_X45Y96 NAME SLICE_X45Y96 SITEPROP SLICE_X45Y96 NUM_ARCS 138 SITEPROP SLICE_X45Y96 NUM_BELS 32 SITEPROP SLICE_X45Y96 NUM_INPUTS 32 SITEPROP SLICE_X45Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y96 NUM_PINS 45 SITEPROP SLICE_X45Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y96 PROHIBIT 0 SITEPROP SLICE_X45Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y96 RPM_X 119 SITEPROP SLICE_X45Y96 RPM_Y 192 SITEPROP SLICE_X45Y96 SITE_PIPS SITEPROP SLICE_X45Y96 SITE_TYPE SLICEL SITEPROP SLICE_X45Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y97 CLASS site SITEPROP SLICE_X45Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y97 IS_BONDED 0 SITEPROP SLICE_X45Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y97 IS_PAD 0 SITEPROP SLICE_X45Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y97 IS_RESERVED 0 SITEPROP SLICE_X45Y97 IS_TEST 0 SITEPROP SLICE_X45Y97 IS_USED 0 SITEPROP SLICE_X45Y97 MANUAL_ROUTING SITEPROP SLICE_X45Y97 NAME SLICE_X45Y97 SITEPROP SLICE_X45Y97 NUM_ARCS 138 SITEPROP SLICE_X45Y97 NUM_BELS 32 SITEPROP SLICE_X45Y97 NUM_INPUTS 32 SITEPROP SLICE_X45Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y97 NUM_PINS 45 SITEPROP SLICE_X45Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y97 PROHIBIT 0 SITEPROP SLICE_X45Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y97 RPM_X 119 SITEPROP SLICE_X45Y97 RPM_Y 194 SITEPROP SLICE_X45Y97 SITE_PIPS SITEPROP SLICE_X45Y97 SITE_TYPE SLICEL SITEPROP SLICE_X45Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y98 CLASS site SITEPROP SLICE_X45Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y98 IS_BONDED 0 SITEPROP SLICE_X45Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y98 IS_PAD 0 SITEPROP SLICE_X45Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y98 IS_RESERVED 0 SITEPROP SLICE_X45Y98 IS_TEST 0 SITEPROP SLICE_X45Y98 IS_USED 0 SITEPROP SLICE_X45Y98 MANUAL_ROUTING SITEPROP SLICE_X45Y98 NAME SLICE_X45Y98 SITEPROP SLICE_X45Y98 NUM_ARCS 138 SITEPROP SLICE_X45Y98 NUM_BELS 32 SITEPROP SLICE_X45Y98 NUM_INPUTS 32 SITEPROP SLICE_X45Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y98 NUM_PINS 45 SITEPROP SLICE_X45Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y98 PROHIBIT 0 SITEPROP SLICE_X45Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y98 RPM_X 119 SITEPROP SLICE_X45Y98 RPM_Y 196 SITEPROP SLICE_X45Y98 SITE_PIPS SITEPROP SLICE_X45Y98 SITE_TYPE SLICEL SITEPROP SLICE_X45Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y99 CLASS site SITEPROP SLICE_X45Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X45Y99 IS_BONDED 0 SITEPROP SLICE_X45Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y99 IS_PAD 0 SITEPROP SLICE_X45Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y99 IS_RESERVED 0 SITEPROP SLICE_X45Y99 IS_TEST 0 SITEPROP SLICE_X45Y99 IS_USED 0 SITEPROP SLICE_X45Y99 MANUAL_ROUTING SITEPROP SLICE_X45Y99 NAME SLICE_X45Y99 SITEPROP SLICE_X45Y99 NUM_ARCS 138 SITEPROP SLICE_X45Y99 NUM_BELS 32 SITEPROP SLICE_X45Y99 NUM_INPUTS 32 SITEPROP SLICE_X45Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y99 NUM_PINS 45 SITEPROP SLICE_X45Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y99 PROHIBIT 0 SITEPROP SLICE_X45Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y99 RPM_X 119 SITEPROP SLICE_X45Y99 RPM_Y 198 SITEPROP SLICE_X45Y99 SITE_PIPS SITEPROP SLICE_X45Y99 SITE_TYPE SLICEL SITEPROP SLICE_X45Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y125 CLASS site SITEPROP SLICE_X45Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y125 IS_BONDED 0 SITEPROP SLICE_X45Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y125 IS_PAD 0 SITEPROP SLICE_X45Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y125 IS_RESERVED 0 SITEPROP SLICE_X45Y125 IS_TEST 0 SITEPROP SLICE_X45Y125 IS_USED 0 SITEPROP SLICE_X45Y125 MANUAL_ROUTING SITEPROP SLICE_X45Y125 NAME SLICE_X45Y125 SITEPROP SLICE_X45Y125 NUM_ARCS 138 SITEPROP SLICE_X45Y125 NUM_BELS 32 SITEPROP SLICE_X45Y125 NUM_INPUTS 32 SITEPROP SLICE_X45Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y125 NUM_PINS 45 SITEPROP SLICE_X45Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y125 PROHIBIT 0 SITEPROP SLICE_X45Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y125 RPM_X 119 SITEPROP SLICE_X45Y125 RPM_Y 250 SITEPROP SLICE_X45Y125 SITE_PIPS SITEPROP SLICE_X45Y125 SITE_TYPE SLICEL SITEPROP SLICE_X45Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y126 CLASS site SITEPROP SLICE_X45Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y126 IS_BONDED 0 SITEPROP SLICE_X45Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y126 IS_PAD 0 SITEPROP SLICE_X45Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y126 IS_RESERVED 0 SITEPROP SLICE_X45Y126 IS_TEST 0 SITEPROP SLICE_X45Y126 IS_USED 0 SITEPROP SLICE_X45Y126 MANUAL_ROUTING SITEPROP SLICE_X45Y126 NAME SLICE_X45Y126 SITEPROP SLICE_X45Y126 NUM_ARCS 138 SITEPROP SLICE_X45Y126 NUM_BELS 32 SITEPROP SLICE_X45Y126 NUM_INPUTS 32 SITEPROP SLICE_X45Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y126 NUM_PINS 45 SITEPROP SLICE_X45Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y126 PROHIBIT 0 SITEPROP SLICE_X45Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y126 RPM_X 119 SITEPROP SLICE_X45Y126 RPM_Y 252 SITEPROP SLICE_X45Y126 SITE_PIPS SITEPROP SLICE_X45Y126 SITE_TYPE SLICEL SITEPROP SLICE_X45Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y127 CLASS site SITEPROP SLICE_X45Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y127 IS_BONDED 0 SITEPROP SLICE_X45Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y127 IS_PAD 0 SITEPROP SLICE_X45Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y127 IS_RESERVED 0 SITEPROP SLICE_X45Y127 IS_TEST 0 SITEPROP SLICE_X45Y127 IS_USED 0 SITEPROP SLICE_X45Y127 MANUAL_ROUTING SITEPROP SLICE_X45Y127 NAME SLICE_X45Y127 SITEPROP SLICE_X45Y127 NUM_ARCS 138 SITEPROP SLICE_X45Y127 NUM_BELS 32 SITEPROP SLICE_X45Y127 NUM_INPUTS 32 SITEPROP SLICE_X45Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y127 NUM_PINS 45 SITEPROP SLICE_X45Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y127 PROHIBIT 0 SITEPROP SLICE_X45Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y127 RPM_X 119 SITEPROP SLICE_X45Y127 RPM_Y 254 SITEPROP SLICE_X45Y127 SITE_PIPS SITEPROP SLICE_X45Y127 SITE_TYPE SLICEL SITEPROP SLICE_X45Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y128 CLASS site SITEPROP SLICE_X45Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y128 IS_BONDED 0 SITEPROP SLICE_X45Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y128 IS_PAD 0 SITEPROP SLICE_X45Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y128 IS_RESERVED 0 SITEPROP SLICE_X45Y128 IS_TEST 0 SITEPROP SLICE_X45Y128 IS_USED 0 SITEPROP SLICE_X45Y128 MANUAL_ROUTING SITEPROP SLICE_X45Y128 NAME SLICE_X45Y128 SITEPROP SLICE_X45Y128 NUM_ARCS 138 SITEPROP SLICE_X45Y128 NUM_BELS 32 SITEPROP SLICE_X45Y128 NUM_INPUTS 32 SITEPROP SLICE_X45Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y128 NUM_PINS 45 SITEPROP SLICE_X45Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y128 PROHIBIT 0 SITEPROP SLICE_X45Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y128 RPM_X 119 SITEPROP SLICE_X45Y128 RPM_Y 256 SITEPROP SLICE_X45Y128 SITE_PIPS SITEPROP SLICE_X45Y128 SITE_TYPE SLICEL SITEPROP SLICE_X45Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y129 CLASS site SITEPROP SLICE_X45Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y129 IS_BONDED 0 SITEPROP SLICE_X45Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y129 IS_PAD 0 SITEPROP SLICE_X45Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y129 IS_RESERVED 0 SITEPROP SLICE_X45Y129 IS_TEST 0 SITEPROP SLICE_X45Y129 IS_USED 0 SITEPROP SLICE_X45Y129 MANUAL_ROUTING SITEPROP SLICE_X45Y129 NAME SLICE_X45Y129 SITEPROP SLICE_X45Y129 NUM_ARCS 138 SITEPROP SLICE_X45Y129 NUM_BELS 32 SITEPROP SLICE_X45Y129 NUM_INPUTS 32 SITEPROP SLICE_X45Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y129 NUM_PINS 45 SITEPROP SLICE_X45Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y129 PROHIBIT 0 SITEPROP SLICE_X45Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y129 RPM_X 119 SITEPROP SLICE_X45Y129 RPM_Y 258 SITEPROP SLICE_X45Y129 SITE_PIPS SITEPROP SLICE_X45Y129 SITE_TYPE SLICEL SITEPROP SLICE_X45Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y130 CLASS site SITEPROP SLICE_X45Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y130 IS_BONDED 0 SITEPROP SLICE_X45Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y130 IS_PAD 0 SITEPROP SLICE_X45Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y130 IS_RESERVED 0 SITEPROP SLICE_X45Y130 IS_TEST 0 SITEPROP SLICE_X45Y130 IS_USED 0 SITEPROP SLICE_X45Y130 MANUAL_ROUTING SITEPROP SLICE_X45Y130 NAME SLICE_X45Y130 SITEPROP SLICE_X45Y130 NUM_ARCS 138 SITEPROP SLICE_X45Y130 NUM_BELS 32 SITEPROP SLICE_X45Y130 NUM_INPUTS 32 SITEPROP SLICE_X45Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y130 NUM_PINS 45 SITEPROP SLICE_X45Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y130 PROHIBIT 0 SITEPROP SLICE_X45Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y130 RPM_X 119 SITEPROP SLICE_X45Y130 RPM_Y 260 SITEPROP SLICE_X45Y130 SITE_PIPS SITEPROP SLICE_X45Y130 SITE_TYPE SLICEL SITEPROP SLICE_X45Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y131 CLASS site SITEPROP SLICE_X45Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y131 IS_BONDED 0 SITEPROP SLICE_X45Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y131 IS_PAD 0 SITEPROP SLICE_X45Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y131 IS_RESERVED 0 SITEPROP SLICE_X45Y131 IS_TEST 0 SITEPROP SLICE_X45Y131 IS_USED 0 SITEPROP SLICE_X45Y131 MANUAL_ROUTING SITEPROP SLICE_X45Y131 NAME SLICE_X45Y131 SITEPROP SLICE_X45Y131 NUM_ARCS 138 SITEPROP SLICE_X45Y131 NUM_BELS 32 SITEPROP SLICE_X45Y131 NUM_INPUTS 32 SITEPROP SLICE_X45Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y131 NUM_PINS 45 SITEPROP SLICE_X45Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y131 PROHIBIT 0 SITEPROP SLICE_X45Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y131 RPM_X 119 SITEPROP SLICE_X45Y131 RPM_Y 262 SITEPROP SLICE_X45Y131 SITE_PIPS SITEPROP SLICE_X45Y131 SITE_TYPE SLICEL SITEPROP SLICE_X45Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y132 CLASS site SITEPROP SLICE_X45Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y132 IS_BONDED 0 SITEPROP SLICE_X45Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y132 IS_PAD 0 SITEPROP SLICE_X45Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y132 IS_RESERVED 0 SITEPROP SLICE_X45Y132 IS_TEST 0 SITEPROP SLICE_X45Y132 IS_USED 0 SITEPROP SLICE_X45Y132 MANUAL_ROUTING SITEPROP SLICE_X45Y132 NAME SLICE_X45Y132 SITEPROP SLICE_X45Y132 NUM_ARCS 138 SITEPROP SLICE_X45Y132 NUM_BELS 32 SITEPROP SLICE_X45Y132 NUM_INPUTS 32 SITEPROP SLICE_X45Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y132 NUM_PINS 45 SITEPROP SLICE_X45Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y132 PROHIBIT 0 SITEPROP SLICE_X45Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y132 RPM_X 119 SITEPROP SLICE_X45Y132 RPM_Y 264 SITEPROP SLICE_X45Y132 SITE_PIPS SITEPROP SLICE_X45Y132 SITE_TYPE SLICEL SITEPROP SLICE_X45Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y133 CLASS site SITEPROP SLICE_X45Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y133 IS_BONDED 0 SITEPROP SLICE_X45Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y133 IS_PAD 0 SITEPROP SLICE_X45Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y133 IS_RESERVED 0 SITEPROP SLICE_X45Y133 IS_TEST 0 SITEPROP SLICE_X45Y133 IS_USED 0 SITEPROP SLICE_X45Y133 MANUAL_ROUTING SITEPROP SLICE_X45Y133 NAME SLICE_X45Y133 SITEPROP SLICE_X45Y133 NUM_ARCS 138 SITEPROP SLICE_X45Y133 NUM_BELS 32 SITEPROP SLICE_X45Y133 NUM_INPUTS 32 SITEPROP SLICE_X45Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y133 NUM_PINS 45 SITEPROP SLICE_X45Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y133 PROHIBIT 0 SITEPROP SLICE_X45Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y133 RPM_X 119 SITEPROP SLICE_X45Y133 RPM_Y 266 SITEPROP SLICE_X45Y133 SITE_PIPS SITEPROP SLICE_X45Y133 SITE_TYPE SLICEL SITEPROP SLICE_X45Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y134 CLASS site SITEPROP SLICE_X45Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y134 IS_BONDED 0 SITEPROP SLICE_X45Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y134 IS_PAD 0 SITEPROP SLICE_X45Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y134 IS_RESERVED 0 SITEPROP SLICE_X45Y134 IS_TEST 0 SITEPROP SLICE_X45Y134 IS_USED 0 SITEPROP SLICE_X45Y134 MANUAL_ROUTING SITEPROP SLICE_X45Y134 NAME SLICE_X45Y134 SITEPROP SLICE_X45Y134 NUM_ARCS 138 SITEPROP SLICE_X45Y134 NUM_BELS 32 SITEPROP SLICE_X45Y134 NUM_INPUTS 32 SITEPROP SLICE_X45Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y134 NUM_PINS 45 SITEPROP SLICE_X45Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y134 PROHIBIT 0 SITEPROP SLICE_X45Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y134 RPM_X 119 SITEPROP SLICE_X45Y134 RPM_Y 268 SITEPROP SLICE_X45Y134 SITE_PIPS SITEPROP SLICE_X45Y134 SITE_TYPE SLICEL SITEPROP SLICE_X45Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y135 CLASS site SITEPROP SLICE_X45Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y135 IS_BONDED 0 SITEPROP SLICE_X45Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y135 IS_PAD 0 SITEPROP SLICE_X45Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y135 IS_RESERVED 0 SITEPROP SLICE_X45Y135 IS_TEST 0 SITEPROP SLICE_X45Y135 IS_USED 0 SITEPROP SLICE_X45Y135 MANUAL_ROUTING SITEPROP SLICE_X45Y135 NAME SLICE_X45Y135 SITEPROP SLICE_X45Y135 NUM_ARCS 138 SITEPROP SLICE_X45Y135 NUM_BELS 32 SITEPROP SLICE_X45Y135 NUM_INPUTS 32 SITEPROP SLICE_X45Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y135 NUM_PINS 45 SITEPROP SLICE_X45Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y135 PROHIBIT 0 SITEPROP SLICE_X45Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y135 RPM_X 119 SITEPROP SLICE_X45Y135 RPM_Y 270 SITEPROP SLICE_X45Y135 SITE_PIPS SITEPROP SLICE_X45Y135 SITE_TYPE SLICEL SITEPROP SLICE_X45Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y136 CLASS site SITEPROP SLICE_X45Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y136 IS_BONDED 0 SITEPROP SLICE_X45Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y136 IS_PAD 0 SITEPROP SLICE_X45Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y136 IS_RESERVED 0 SITEPROP SLICE_X45Y136 IS_TEST 0 SITEPROP SLICE_X45Y136 IS_USED 0 SITEPROP SLICE_X45Y136 MANUAL_ROUTING SITEPROP SLICE_X45Y136 NAME SLICE_X45Y136 SITEPROP SLICE_X45Y136 NUM_ARCS 138 SITEPROP SLICE_X45Y136 NUM_BELS 32 SITEPROP SLICE_X45Y136 NUM_INPUTS 32 SITEPROP SLICE_X45Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y136 NUM_PINS 45 SITEPROP SLICE_X45Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y136 PROHIBIT 0 SITEPROP SLICE_X45Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y136 RPM_X 119 SITEPROP SLICE_X45Y136 RPM_Y 272 SITEPROP SLICE_X45Y136 SITE_PIPS SITEPROP SLICE_X45Y136 SITE_TYPE SLICEL SITEPROP SLICE_X45Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y137 CLASS site SITEPROP SLICE_X45Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y137 IS_BONDED 0 SITEPROP SLICE_X45Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y137 IS_PAD 0 SITEPROP SLICE_X45Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y137 IS_RESERVED 0 SITEPROP SLICE_X45Y137 IS_TEST 0 SITEPROP SLICE_X45Y137 IS_USED 0 SITEPROP SLICE_X45Y137 MANUAL_ROUTING SITEPROP SLICE_X45Y137 NAME SLICE_X45Y137 SITEPROP SLICE_X45Y137 NUM_ARCS 138 SITEPROP SLICE_X45Y137 NUM_BELS 32 SITEPROP SLICE_X45Y137 NUM_INPUTS 32 SITEPROP SLICE_X45Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y137 NUM_PINS 45 SITEPROP SLICE_X45Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y137 PROHIBIT 0 SITEPROP SLICE_X45Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y137 RPM_X 119 SITEPROP SLICE_X45Y137 RPM_Y 274 SITEPROP SLICE_X45Y137 SITE_PIPS SITEPROP SLICE_X45Y137 SITE_TYPE SLICEL SITEPROP SLICE_X45Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y138 CLASS site SITEPROP SLICE_X45Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y138 IS_BONDED 0 SITEPROP SLICE_X45Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y138 IS_PAD 0 SITEPROP SLICE_X45Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y138 IS_RESERVED 0 SITEPROP SLICE_X45Y138 IS_TEST 0 SITEPROP SLICE_X45Y138 IS_USED 0 SITEPROP SLICE_X45Y138 MANUAL_ROUTING SITEPROP SLICE_X45Y138 NAME SLICE_X45Y138 SITEPROP SLICE_X45Y138 NUM_ARCS 138 SITEPROP SLICE_X45Y138 NUM_BELS 32 SITEPROP SLICE_X45Y138 NUM_INPUTS 32 SITEPROP SLICE_X45Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y138 NUM_PINS 45 SITEPROP SLICE_X45Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y138 PROHIBIT 0 SITEPROP SLICE_X45Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y138 RPM_X 119 SITEPROP SLICE_X45Y138 RPM_Y 276 SITEPROP SLICE_X45Y138 SITE_PIPS SITEPROP SLICE_X45Y138 SITE_TYPE SLICEL SITEPROP SLICE_X45Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y139 CLASS site SITEPROP SLICE_X45Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y139 IS_BONDED 0 SITEPROP SLICE_X45Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y139 IS_PAD 0 SITEPROP SLICE_X45Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y139 IS_RESERVED 0 SITEPROP SLICE_X45Y139 IS_TEST 0 SITEPROP SLICE_X45Y139 IS_USED 0 SITEPROP SLICE_X45Y139 MANUAL_ROUTING SITEPROP SLICE_X45Y139 NAME SLICE_X45Y139 SITEPROP SLICE_X45Y139 NUM_ARCS 138 SITEPROP SLICE_X45Y139 NUM_BELS 32 SITEPROP SLICE_X45Y139 NUM_INPUTS 32 SITEPROP SLICE_X45Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y139 NUM_PINS 45 SITEPROP SLICE_X45Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y139 PROHIBIT 0 SITEPROP SLICE_X45Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y139 RPM_X 119 SITEPROP SLICE_X45Y139 RPM_Y 278 SITEPROP SLICE_X45Y139 SITE_PIPS SITEPROP SLICE_X45Y139 SITE_TYPE SLICEL SITEPROP SLICE_X45Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y140 CLASS site SITEPROP SLICE_X45Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y140 IS_BONDED 0 SITEPROP SLICE_X45Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y140 IS_PAD 0 SITEPROP SLICE_X45Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y140 IS_RESERVED 0 SITEPROP SLICE_X45Y140 IS_TEST 0 SITEPROP SLICE_X45Y140 IS_USED 0 SITEPROP SLICE_X45Y140 MANUAL_ROUTING SITEPROP SLICE_X45Y140 NAME SLICE_X45Y140 SITEPROP SLICE_X45Y140 NUM_ARCS 138 SITEPROP SLICE_X45Y140 NUM_BELS 32 SITEPROP SLICE_X45Y140 NUM_INPUTS 32 SITEPROP SLICE_X45Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y140 NUM_PINS 45 SITEPROP SLICE_X45Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y140 PROHIBIT 0 SITEPROP SLICE_X45Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y140 RPM_X 119 SITEPROP SLICE_X45Y140 RPM_Y 280 SITEPROP SLICE_X45Y140 SITE_PIPS SITEPROP SLICE_X45Y140 SITE_TYPE SLICEL SITEPROP SLICE_X45Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y141 CLASS site SITEPROP SLICE_X45Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y141 IS_BONDED 0 SITEPROP SLICE_X45Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y141 IS_PAD 0 SITEPROP SLICE_X45Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y141 IS_RESERVED 0 SITEPROP SLICE_X45Y141 IS_TEST 0 SITEPROP SLICE_X45Y141 IS_USED 0 SITEPROP SLICE_X45Y141 MANUAL_ROUTING SITEPROP SLICE_X45Y141 NAME SLICE_X45Y141 SITEPROP SLICE_X45Y141 NUM_ARCS 138 SITEPROP SLICE_X45Y141 NUM_BELS 32 SITEPROP SLICE_X45Y141 NUM_INPUTS 32 SITEPROP SLICE_X45Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y141 NUM_PINS 45 SITEPROP SLICE_X45Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y141 PROHIBIT 0 SITEPROP SLICE_X45Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y141 RPM_X 119 SITEPROP SLICE_X45Y141 RPM_Y 282 SITEPROP SLICE_X45Y141 SITE_PIPS SITEPROP SLICE_X45Y141 SITE_TYPE SLICEL SITEPROP SLICE_X45Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y142 CLASS site SITEPROP SLICE_X45Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y142 IS_BONDED 0 SITEPROP SLICE_X45Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y142 IS_PAD 0 SITEPROP SLICE_X45Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y142 IS_RESERVED 0 SITEPROP SLICE_X45Y142 IS_TEST 0 SITEPROP SLICE_X45Y142 IS_USED 0 SITEPROP SLICE_X45Y142 MANUAL_ROUTING SITEPROP SLICE_X45Y142 NAME SLICE_X45Y142 SITEPROP SLICE_X45Y142 NUM_ARCS 138 SITEPROP SLICE_X45Y142 NUM_BELS 32 SITEPROP SLICE_X45Y142 NUM_INPUTS 32 SITEPROP SLICE_X45Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y142 NUM_PINS 45 SITEPROP SLICE_X45Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y142 PROHIBIT 0 SITEPROP SLICE_X45Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y142 RPM_X 119 SITEPROP SLICE_X45Y142 RPM_Y 284 SITEPROP SLICE_X45Y142 SITE_PIPS SITEPROP SLICE_X45Y142 SITE_TYPE SLICEL SITEPROP SLICE_X45Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y143 CLASS site SITEPROP SLICE_X45Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y143 IS_BONDED 0 SITEPROP SLICE_X45Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y143 IS_PAD 0 SITEPROP SLICE_X45Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y143 IS_RESERVED 0 SITEPROP SLICE_X45Y143 IS_TEST 0 SITEPROP SLICE_X45Y143 IS_USED 0 SITEPROP SLICE_X45Y143 MANUAL_ROUTING SITEPROP SLICE_X45Y143 NAME SLICE_X45Y143 SITEPROP SLICE_X45Y143 NUM_ARCS 138 SITEPROP SLICE_X45Y143 NUM_BELS 32 SITEPROP SLICE_X45Y143 NUM_INPUTS 32 SITEPROP SLICE_X45Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y143 NUM_PINS 45 SITEPROP SLICE_X45Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y143 PROHIBIT 0 SITEPROP SLICE_X45Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y143 RPM_X 119 SITEPROP SLICE_X45Y143 RPM_Y 286 SITEPROP SLICE_X45Y143 SITE_PIPS SITEPROP SLICE_X45Y143 SITE_TYPE SLICEL SITEPROP SLICE_X45Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y144 CLASS site SITEPROP SLICE_X45Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y144 IS_BONDED 0 SITEPROP SLICE_X45Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y144 IS_PAD 0 SITEPROP SLICE_X45Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y144 IS_RESERVED 0 SITEPROP SLICE_X45Y144 IS_TEST 0 SITEPROP SLICE_X45Y144 IS_USED 0 SITEPROP SLICE_X45Y144 MANUAL_ROUTING SITEPROP SLICE_X45Y144 NAME SLICE_X45Y144 SITEPROP SLICE_X45Y144 NUM_ARCS 138 SITEPROP SLICE_X45Y144 NUM_BELS 32 SITEPROP SLICE_X45Y144 NUM_INPUTS 32 SITEPROP SLICE_X45Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y144 NUM_PINS 45 SITEPROP SLICE_X45Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y144 PROHIBIT 0 SITEPROP SLICE_X45Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y144 RPM_X 119 SITEPROP SLICE_X45Y144 RPM_Y 288 SITEPROP SLICE_X45Y144 SITE_PIPS SITEPROP SLICE_X45Y144 SITE_TYPE SLICEL SITEPROP SLICE_X45Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y145 CLASS site SITEPROP SLICE_X45Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y145 IS_BONDED 0 SITEPROP SLICE_X45Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y145 IS_PAD 0 SITEPROP SLICE_X45Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y145 IS_RESERVED 0 SITEPROP SLICE_X45Y145 IS_TEST 0 SITEPROP SLICE_X45Y145 IS_USED 0 SITEPROP SLICE_X45Y145 MANUAL_ROUTING SITEPROP SLICE_X45Y145 NAME SLICE_X45Y145 SITEPROP SLICE_X45Y145 NUM_ARCS 138 SITEPROP SLICE_X45Y145 NUM_BELS 32 SITEPROP SLICE_X45Y145 NUM_INPUTS 32 SITEPROP SLICE_X45Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y145 NUM_PINS 45 SITEPROP SLICE_X45Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y145 PROHIBIT 0 SITEPROP SLICE_X45Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y145 RPM_X 119 SITEPROP SLICE_X45Y145 RPM_Y 290 SITEPROP SLICE_X45Y145 SITE_PIPS SITEPROP SLICE_X45Y145 SITE_TYPE SLICEL SITEPROP SLICE_X45Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y146 CLASS site SITEPROP SLICE_X45Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y146 IS_BONDED 0 SITEPROP SLICE_X45Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y146 IS_PAD 0 SITEPROP SLICE_X45Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y146 IS_RESERVED 0 SITEPROP SLICE_X45Y146 IS_TEST 0 SITEPROP SLICE_X45Y146 IS_USED 0 SITEPROP SLICE_X45Y146 MANUAL_ROUTING SITEPROP SLICE_X45Y146 NAME SLICE_X45Y146 SITEPROP SLICE_X45Y146 NUM_ARCS 138 SITEPROP SLICE_X45Y146 NUM_BELS 32 SITEPROP SLICE_X45Y146 NUM_INPUTS 32 SITEPROP SLICE_X45Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y146 NUM_PINS 45 SITEPROP SLICE_X45Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y146 PROHIBIT 0 SITEPROP SLICE_X45Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y146 RPM_X 119 SITEPROP SLICE_X45Y146 RPM_Y 292 SITEPROP SLICE_X45Y146 SITE_PIPS SITEPROP SLICE_X45Y146 SITE_TYPE SLICEL SITEPROP SLICE_X45Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y147 CLASS site SITEPROP SLICE_X45Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y147 IS_BONDED 0 SITEPROP SLICE_X45Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y147 IS_PAD 0 SITEPROP SLICE_X45Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y147 IS_RESERVED 0 SITEPROP SLICE_X45Y147 IS_TEST 0 SITEPROP SLICE_X45Y147 IS_USED 0 SITEPROP SLICE_X45Y147 MANUAL_ROUTING SITEPROP SLICE_X45Y147 NAME SLICE_X45Y147 SITEPROP SLICE_X45Y147 NUM_ARCS 138 SITEPROP SLICE_X45Y147 NUM_BELS 32 SITEPROP SLICE_X45Y147 NUM_INPUTS 32 SITEPROP SLICE_X45Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y147 NUM_PINS 45 SITEPROP SLICE_X45Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y147 PROHIBIT 0 SITEPROP SLICE_X45Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y147 RPM_X 119 SITEPROP SLICE_X45Y147 RPM_Y 294 SITEPROP SLICE_X45Y147 SITE_PIPS SITEPROP SLICE_X45Y147 SITE_TYPE SLICEL SITEPROP SLICE_X45Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y148 CLASS site SITEPROP SLICE_X45Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y148 IS_BONDED 0 SITEPROP SLICE_X45Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y148 IS_PAD 0 SITEPROP SLICE_X45Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y148 IS_RESERVED 0 SITEPROP SLICE_X45Y148 IS_TEST 0 SITEPROP SLICE_X45Y148 IS_USED 0 SITEPROP SLICE_X45Y148 MANUAL_ROUTING SITEPROP SLICE_X45Y148 NAME SLICE_X45Y148 SITEPROP SLICE_X45Y148 NUM_ARCS 138 SITEPROP SLICE_X45Y148 NUM_BELS 32 SITEPROP SLICE_X45Y148 NUM_INPUTS 32 SITEPROP SLICE_X45Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y148 NUM_PINS 45 SITEPROP SLICE_X45Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y148 PROHIBIT 0 SITEPROP SLICE_X45Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y148 RPM_X 119 SITEPROP SLICE_X45Y148 RPM_Y 296 SITEPROP SLICE_X45Y148 SITE_PIPS SITEPROP SLICE_X45Y148 SITE_TYPE SLICEL SITEPROP SLICE_X45Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X45Y149 CLASS site SITEPROP SLICE_X45Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X45Y149 IS_BONDED 0 SITEPROP SLICE_X45Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X45Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y149 IS_PAD 0 SITEPROP SLICE_X45Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X45Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X45Y149 IS_RESERVED 0 SITEPROP SLICE_X45Y149 IS_TEST 0 SITEPROP SLICE_X45Y149 IS_USED 0 SITEPROP SLICE_X45Y149 MANUAL_ROUTING SITEPROP SLICE_X45Y149 NAME SLICE_X45Y149 SITEPROP SLICE_X45Y149 NUM_ARCS 138 SITEPROP SLICE_X45Y149 NUM_BELS 32 SITEPROP SLICE_X45Y149 NUM_INPUTS 32 SITEPROP SLICE_X45Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X45Y149 NUM_PINS 45 SITEPROP SLICE_X45Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X45Y149 PROHIBIT 0 SITEPROP SLICE_X45Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X45Y149 RPM_X 119 SITEPROP SLICE_X45Y149 RPM_Y 298 SITEPROP SLICE_X45Y149 SITE_PIPS SITEPROP SLICE_X45Y149 SITE_TYPE SLICEL SITEPROP SLICE_X46Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y0 CLASS site SITEPROP SLICE_X46Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y0 IS_BONDED 0 SITEPROP SLICE_X46Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y0 IS_PAD 0 SITEPROP SLICE_X46Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y0 IS_RESERVED 0 SITEPROP SLICE_X46Y0 IS_TEST 0 SITEPROP SLICE_X46Y0 IS_USED 0 SITEPROP SLICE_X46Y0 MANUAL_ROUTING SITEPROP SLICE_X46Y0 NAME SLICE_X46Y0 SITEPROP SLICE_X46Y0 NUM_ARCS 153 SITEPROP SLICE_X46Y0 NUM_BELS 32 SITEPROP SLICE_X46Y0 NUM_INPUTS 37 SITEPROP SLICE_X46Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y0 NUM_PINS 50 SITEPROP SLICE_X46Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y0 PROHIBIT 0 SITEPROP SLICE_X46Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y0 RPM_X 121 SITEPROP SLICE_X46Y0 RPM_Y 0 SITEPROP SLICE_X46Y0 SITE_PIPS SITEPROP SLICE_X46Y0 SITE_TYPE SLICEM SITEPROP SLICE_X46Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y1 CLASS site SITEPROP SLICE_X46Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y1 IS_BONDED 0 SITEPROP SLICE_X46Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y1 IS_PAD 0 SITEPROP SLICE_X46Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y1 IS_RESERVED 0 SITEPROP SLICE_X46Y1 IS_TEST 0 SITEPROP SLICE_X46Y1 IS_USED 0 SITEPROP SLICE_X46Y1 MANUAL_ROUTING SITEPROP SLICE_X46Y1 NAME SLICE_X46Y1 SITEPROP SLICE_X46Y1 NUM_ARCS 153 SITEPROP SLICE_X46Y1 NUM_BELS 32 SITEPROP SLICE_X46Y1 NUM_INPUTS 37 SITEPROP SLICE_X46Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y1 NUM_PINS 50 SITEPROP SLICE_X46Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y1 PROHIBIT 0 SITEPROP SLICE_X46Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y1 RPM_X 121 SITEPROP SLICE_X46Y1 RPM_Y 2 SITEPROP SLICE_X46Y1 SITE_PIPS SITEPROP SLICE_X46Y1 SITE_TYPE SLICEM SITEPROP SLICE_X46Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y2 CLASS site SITEPROP SLICE_X46Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y2 IS_BONDED 0 SITEPROP SLICE_X46Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y2 IS_PAD 0 SITEPROP SLICE_X46Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y2 IS_RESERVED 0 SITEPROP SLICE_X46Y2 IS_TEST 0 SITEPROP SLICE_X46Y2 IS_USED 0 SITEPROP SLICE_X46Y2 MANUAL_ROUTING SITEPROP SLICE_X46Y2 NAME SLICE_X46Y2 SITEPROP SLICE_X46Y2 NUM_ARCS 153 SITEPROP SLICE_X46Y2 NUM_BELS 32 SITEPROP SLICE_X46Y2 NUM_INPUTS 37 SITEPROP SLICE_X46Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y2 NUM_PINS 50 SITEPROP SLICE_X46Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y2 PROHIBIT 0 SITEPROP SLICE_X46Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y2 RPM_X 121 SITEPROP SLICE_X46Y2 RPM_Y 4 SITEPROP SLICE_X46Y2 SITE_PIPS SITEPROP SLICE_X46Y2 SITE_TYPE SLICEM SITEPROP SLICE_X46Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y3 CLASS site SITEPROP SLICE_X46Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y3 IS_BONDED 0 SITEPROP SLICE_X46Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y3 IS_PAD 0 SITEPROP SLICE_X46Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y3 IS_RESERVED 0 SITEPROP SLICE_X46Y3 IS_TEST 0 SITEPROP SLICE_X46Y3 IS_USED 0 SITEPROP SLICE_X46Y3 MANUAL_ROUTING SITEPROP SLICE_X46Y3 NAME SLICE_X46Y3 SITEPROP SLICE_X46Y3 NUM_ARCS 153 SITEPROP SLICE_X46Y3 NUM_BELS 32 SITEPROP SLICE_X46Y3 NUM_INPUTS 37 SITEPROP SLICE_X46Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y3 NUM_PINS 50 SITEPROP SLICE_X46Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y3 PROHIBIT 0 SITEPROP SLICE_X46Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y3 RPM_X 121 SITEPROP SLICE_X46Y3 RPM_Y 6 SITEPROP SLICE_X46Y3 SITE_PIPS SITEPROP SLICE_X46Y3 SITE_TYPE SLICEM SITEPROP SLICE_X46Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y4 CLASS site SITEPROP SLICE_X46Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y4 IS_BONDED 0 SITEPROP SLICE_X46Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y4 IS_PAD 0 SITEPROP SLICE_X46Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y4 IS_RESERVED 0 SITEPROP SLICE_X46Y4 IS_TEST 0 SITEPROP SLICE_X46Y4 IS_USED 0 SITEPROP SLICE_X46Y4 MANUAL_ROUTING SITEPROP SLICE_X46Y4 NAME SLICE_X46Y4 SITEPROP SLICE_X46Y4 NUM_ARCS 153 SITEPROP SLICE_X46Y4 NUM_BELS 32 SITEPROP SLICE_X46Y4 NUM_INPUTS 37 SITEPROP SLICE_X46Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y4 NUM_PINS 50 SITEPROP SLICE_X46Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y4 PROHIBIT 0 SITEPROP SLICE_X46Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y4 RPM_X 121 SITEPROP SLICE_X46Y4 RPM_Y 8 SITEPROP SLICE_X46Y4 SITE_PIPS SITEPROP SLICE_X46Y4 SITE_TYPE SLICEM SITEPROP SLICE_X46Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y5 CLASS site SITEPROP SLICE_X46Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y5 IS_BONDED 0 SITEPROP SLICE_X46Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y5 IS_PAD 0 SITEPROP SLICE_X46Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y5 IS_RESERVED 0 SITEPROP SLICE_X46Y5 IS_TEST 0 SITEPROP SLICE_X46Y5 IS_USED 0 SITEPROP SLICE_X46Y5 MANUAL_ROUTING SITEPROP SLICE_X46Y5 NAME SLICE_X46Y5 SITEPROP SLICE_X46Y5 NUM_ARCS 153 SITEPROP SLICE_X46Y5 NUM_BELS 32 SITEPROP SLICE_X46Y5 NUM_INPUTS 37 SITEPROP SLICE_X46Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y5 NUM_PINS 50 SITEPROP SLICE_X46Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y5 PROHIBIT 0 SITEPROP SLICE_X46Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y5 RPM_X 121 SITEPROP SLICE_X46Y5 RPM_Y 10 SITEPROP SLICE_X46Y5 SITE_PIPS SITEPROP SLICE_X46Y5 SITE_TYPE SLICEM SITEPROP SLICE_X46Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y6 CLASS site SITEPROP SLICE_X46Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y6 IS_BONDED 0 SITEPROP SLICE_X46Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y6 IS_PAD 0 SITEPROP SLICE_X46Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y6 IS_RESERVED 0 SITEPROP SLICE_X46Y6 IS_TEST 0 SITEPROP SLICE_X46Y6 IS_USED 0 SITEPROP SLICE_X46Y6 MANUAL_ROUTING SITEPROP SLICE_X46Y6 NAME SLICE_X46Y6 SITEPROP SLICE_X46Y6 NUM_ARCS 153 SITEPROP SLICE_X46Y6 NUM_BELS 32 SITEPROP SLICE_X46Y6 NUM_INPUTS 37 SITEPROP SLICE_X46Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y6 NUM_PINS 50 SITEPROP SLICE_X46Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y6 PROHIBIT 0 SITEPROP SLICE_X46Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y6 RPM_X 121 SITEPROP SLICE_X46Y6 RPM_Y 12 SITEPROP SLICE_X46Y6 SITE_PIPS SITEPROP SLICE_X46Y6 SITE_TYPE SLICEM SITEPROP SLICE_X46Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y7 CLASS site SITEPROP SLICE_X46Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y7 IS_BONDED 0 SITEPROP SLICE_X46Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y7 IS_PAD 0 SITEPROP SLICE_X46Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y7 IS_RESERVED 0 SITEPROP SLICE_X46Y7 IS_TEST 0 SITEPROP SLICE_X46Y7 IS_USED 0 SITEPROP SLICE_X46Y7 MANUAL_ROUTING SITEPROP SLICE_X46Y7 NAME SLICE_X46Y7 SITEPROP SLICE_X46Y7 NUM_ARCS 153 SITEPROP SLICE_X46Y7 NUM_BELS 32 SITEPROP SLICE_X46Y7 NUM_INPUTS 37 SITEPROP SLICE_X46Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y7 NUM_PINS 50 SITEPROP SLICE_X46Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y7 PROHIBIT 0 SITEPROP SLICE_X46Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y7 RPM_X 121 SITEPROP SLICE_X46Y7 RPM_Y 14 SITEPROP SLICE_X46Y7 SITE_PIPS SITEPROP SLICE_X46Y7 SITE_TYPE SLICEM SITEPROP SLICE_X46Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y8 CLASS site SITEPROP SLICE_X46Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y8 IS_BONDED 0 SITEPROP SLICE_X46Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y8 IS_PAD 0 SITEPROP SLICE_X46Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y8 IS_RESERVED 0 SITEPROP SLICE_X46Y8 IS_TEST 0 SITEPROP SLICE_X46Y8 IS_USED 0 SITEPROP SLICE_X46Y8 MANUAL_ROUTING SITEPROP SLICE_X46Y8 NAME SLICE_X46Y8 SITEPROP SLICE_X46Y8 NUM_ARCS 153 SITEPROP SLICE_X46Y8 NUM_BELS 32 SITEPROP SLICE_X46Y8 NUM_INPUTS 37 SITEPROP SLICE_X46Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y8 NUM_PINS 50 SITEPROP SLICE_X46Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y8 PROHIBIT 0 SITEPROP SLICE_X46Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y8 RPM_X 121 SITEPROP SLICE_X46Y8 RPM_Y 16 SITEPROP SLICE_X46Y8 SITE_PIPS SITEPROP SLICE_X46Y8 SITE_TYPE SLICEM SITEPROP SLICE_X46Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y9 CLASS site SITEPROP SLICE_X46Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y9 IS_BONDED 0 SITEPROP SLICE_X46Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y9 IS_PAD 0 SITEPROP SLICE_X46Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y9 IS_RESERVED 0 SITEPROP SLICE_X46Y9 IS_TEST 0 SITEPROP SLICE_X46Y9 IS_USED 0 SITEPROP SLICE_X46Y9 MANUAL_ROUTING SITEPROP SLICE_X46Y9 NAME SLICE_X46Y9 SITEPROP SLICE_X46Y9 NUM_ARCS 153 SITEPROP SLICE_X46Y9 NUM_BELS 32 SITEPROP SLICE_X46Y9 NUM_INPUTS 37 SITEPROP SLICE_X46Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y9 NUM_PINS 50 SITEPROP SLICE_X46Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y9 PROHIBIT 0 SITEPROP SLICE_X46Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y9 RPM_X 121 SITEPROP SLICE_X46Y9 RPM_Y 18 SITEPROP SLICE_X46Y9 SITE_PIPS SITEPROP SLICE_X46Y9 SITE_TYPE SLICEM SITEPROP SLICE_X46Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y10 CLASS site SITEPROP SLICE_X46Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y10 IS_BONDED 0 SITEPROP SLICE_X46Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y10 IS_PAD 0 SITEPROP SLICE_X46Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y10 IS_RESERVED 0 SITEPROP SLICE_X46Y10 IS_TEST 0 SITEPROP SLICE_X46Y10 IS_USED 0 SITEPROP SLICE_X46Y10 MANUAL_ROUTING SITEPROP SLICE_X46Y10 NAME SLICE_X46Y10 SITEPROP SLICE_X46Y10 NUM_ARCS 153 SITEPROP SLICE_X46Y10 NUM_BELS 32 SITEPROP SLICE_X46Y10 NUM_INPUTS 37 SITEPROP SLICE_X46Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y10 NUM_PINS 50 SITEPROP SLICE_X46Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y10 PROHIBIT 0 SITEPROP SLICE_X46Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y10 RPM_X 121 SITEPROP SLICE_X46Y10 RPM_Y 20 SITEPROP SLICE_X46Y10 SITE_PIPS SITEPROP SLICE_X46Y10 SITE_TYPE SLICEM SITEPROP SLICE_X46Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y11 CLASS site SITEPROP SLICE_X46Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y11 IS_BONDED 0 SITEPROP SLICE_X46Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y11 IS_PAD 0 SITEPROP SLICE_X46Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y11 IS_RESERVED 0 SITEPROP SLICE_X46Y11 IS_TEST 0 SITEPROP SLICE_X46Y11 IS_USED 0 SITEPROP SLICE_X46Y11 MANUAL_ROUTING SITEPROP SLICE_X46Y11 NAME SLICE_X46Y11 SITEPROP SLICE_X46Y11 NUM_ARCS 153 SITEPROP SLICE_X46Y11 NUM_BELS 32 SITEPROP SLICE_X46Y11 NUM_INPUTS 37 SITEPROP SLICE_X46Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y11 NUM_PINS 50 SITEPROP SLICE_X46Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y11 PROHIBIT 0 SITEPROP SLICE_X46Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y11 RPM_X 121 SITEPROP SLICE_X46Y11 RPM_Y 22 SITEPROP SLICE_X46Y11 SITE_PIPS SITEPROP SLICE_X46Y11 SITE_TYPE SLICEM SITEPROP SLICE_X46Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y12 CLASS site SITEPROP SLICE_X46Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y12 IS_BONDED 0 SITEPROP SLICE_X46Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y12 IS_PAD 0 SITEPROP SLICE_X46Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y12 IS_RESERVED 0 SITEPROP SLICE_X46Y12 IS_TEST 0 SITEPROP SLICE_X46Y12 IS_USED 0 SITEPROP SLICE_X46Y12 MANUAL_ROUTING SITEPROP SLICE_X46Y12 NAME SLICE_X46Y12 SITEPROP SLICE_X46Y12 NUM_ARCS 153 SITEPROP SLICE_X46Y12 NUM_BELS 32 SITEPROP SLICE_X46Y12 NUM_INPUTS 37 SITEPROP SLICE_X46Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y12 NUM_PINS 50 SITEPROP SLICE_X46Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y12 PROHIBIT 0 SITEPROP SLICE_X46Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y12 RPM_X 121 SITEPROP SLICE_X46Y12 RPM_Y 24 SITEPROP SLICE_X46Y12 SITE_PIPS SITEPROP SLICE_X46Y12 SITE_TYPE SLICEM SITEPROP SLICE_X46Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y13 CLASS site SITEPROP SLICE_X46Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y13 IS_BONDED 0 SITEPROP SLICE_X46Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y13 IS_PAD 0 SITEPROP SLICE_X46Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y13 IS_RESERVED 0 SITEPROP SLICE_X46Y13 IS_TEST 0 SITEPROP SLICE_X46Y13 IS_USED 0 SITEPROP SLICE_X46Y13 MANUAL_ROUTING SITEPROP SLICE_X46Y13 NAME SLICE_X46Y13 SITEPROP SLICE_X46Y13 NUM_ARCS 153 SITEPROP SLICE_X46Y13 NUM_BELS 32 SITEPROP SLICE_X46Y13 NUM_INPUTS 37 SITEPROP SLICE_X46Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y13 NUM_PINS 50 SITEPROP SLICE_X46Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y13 PROHIBIT 0 SITEPROP SLICE_X46Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y13 RPM_X 121 SITEPROP SLICE_X46Y13 RPM_Y 26 SITEPROP SLICE_X46Y13 SITE_PIPS SITEPROP SLICE_X46Y13 SITE_TYPE SLICEM SITEPROP SLICE_X46Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y14 CLASS site SITEPROP SLICE_X46Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y14 IS_BONDED 0 SITEPROP SLICE_X46Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y14 IS_PAD 0 SITEPROP SLICE_X46Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y14 IS_RESERVED 0 SITEPROP SLICE_X46Y14 IS_TEST 0 SITEPROP SLICE_X46Y14 IS_USED 0 SITEPROP SLICE_X46Y14 MANUAL_ROUTING SITEPROP SLICE_X46Y14 NAME SLICE_X46Y14 SITEPROP SLICE_X46Y14 NUM_ARCS 153 SITEPROP SLICE_X46Y14 NUM_BELS 32 SITEPROP SLICE_X46Y14 NUM_INPUTS 37 SITEPROP SLICE_X46Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y14 NUM_PINS 50 SITEPROP SLICE_X46Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y14 PROHIBIT 0 SITEPROP SLICE_X46Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y14 RPM_X 121 SITEPROP SLICE_X46Y14 RPM_Y 28 SITEPROP SLICE_X46Y14 SITE_PIPS SITEPROP SLICE_X46Y14 SITE_TYPE SLICEM SITEPROP SLICE_X46Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y15 CLASS site SITEPROP SLICE_X46Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y15 IS_BONDED 0 SITEPROP SLICE_X46Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y15 IS_PAD 0 SITEPROP SLICE_X46Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y15 IS_RESERVED 0 SITEPROP SLICE_X46Y15 IS_TEST 0 SITEPROP SLICE_X46Y15 IS_USED 0 SITEPROP SLICE_X46Y15 MANUAL_ROUTING SITEPROP SLICE_X46Y15 NAME SLICE_X46Y15 SITEPROP SLICE_X46Y15 NUM_ARCS 153 SITEPROP SLICE_X46Y15 NUM_BELS 32 SITEPROP SLICE_X46Y15 NUM_INPUTS 37 SITEPROP SLICE_X46Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y15 NUM_PINS 50 SITEPROP SLICE_X46Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y15 PROHIBIT 0 SITEPROP SLICE_X46Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y15 RPM_X 121 SITEPROP SLICE_X46Y15 RPM_Y 30 SITEPROP SLICE_X46Y15 SITE_PIPS SITEPROP SLICE_X46Y15 SITE_TYPE SLICEM SITEPROP SLICE_X46Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y16 CLASS site SITEPROP SLICE_X46Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y16 IS_BONDED 0 SITEPROP SLICE_X46Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y16 IS_PAD 0 SITEPROP SLICE_X46Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y16 IS_RESERVED 0 SITEPROP SLICE_X46Y16 IS_TEST 0 SITEPROP SLICE_X46Y16 IS_USED 0 SITEPROP SLICE_X46Y16 MANUAL_ROUTING SITEPROP SLICE_X46Y16 NAME SLICE_X46Y16 SITEPROP SLICE_X46Y16 NUM_ARCS 153 SITEPROP SLICE_X46Y16 NUM_BELS 32 SITEPROP SLICE_X46Y16 NUM_INPUTS 37 SITEPROP SLICE_X46Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y16 NUM_PINS 50 SITEPROP SLICE_X46Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y16 PROHIBIT 0 SITEPROP SLICE_X46Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y16 RPM_X 121 SITEPROP SLICE_X46Y16 RPM_Y 32 SITEPROP SLICE_X46Y16 SITE_PIPS SITEPROP SLICE_X46Y16 SITE_TYPE SLICEM SITEPROP SLICE_X46Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y17 CLASS site SITEPROP SLICE_X46Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y17 IS_BONDED 0 SITEPROP SLICE_X46Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y17 IS_PAD 0 SITEPROP SLICE_X46Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y17 IS_RESERVED 0 SITEPROP SLICE_X46Y17 IS_TEST 0 SITEPROP SLICE_X46Y17 IS_USED 0 SITEPROP SLICE_X46Y17 MANUAL_ROUTING SITEPROP SLICE_X46Y17 NAME SLICE_X46Y17 SITEPROP SLICE_X46Y17 NUM_ARCS 153 SITEPROP SLICE_X46Y17 NUM_BELS 32 SITEPROP SLICE_X46Y17 NUM_INPUTS 37 SITEPROP SLICE_X46Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y17 NUM_PINS 50 SITEPROP SLICE_X46Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y17 PROHIBIT 0 SITEPROP SLICE_X46Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y17 RPM_X 121 SITEPROP SLICE_X46Y17 RPM_Y 34 SITEPROP SLICE_X46Y17 SITE_PIPS SITEPROP SLICE_X46Y17 SITE_TYPE SLICEM SITEPROP SLICE_X46Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y18 CLASS site SITEPROP SLICE_X46Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y18 IS_BONDED 0 SITEPROP SLICE_X46Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y18 IS_PAD 0 SITEPROP SLICE_X46Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y18 IS_RESERVED 0 SITEPROP SLICE_X46Y18 IS_TEST 0 SITEPROP SLICE_X46Y18 IS_USED 0 SITEPROP SLICE_X46Y18 MANUAL_ROUTING SITEPROP SLICE_X46Y18 NAME SLICE_X46Y18 SITEPROP SLICE_X46Y18 NUM_ARCS 153 SITEPROP SLICE_X46Y18 NUM_BELS 32 SITEPROP SLICE_X46Y18 NUM_INPUTS 37 SITEPROP SLICE_X46Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y18 NUM_PINS 50 SITEPROP SLICE_X46Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y18 PROHIBIT 0 SITEPROP SLICE_X46Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y18 RPM_X 121 SITEPROP SLICE_X46Y18 RPM_Y 36 SITEPROP SLICE_X46Y18 SITE_PIPS SITEPROP SLICE_X46Y18 SITE_TYPE SLICEM SITEPROP SLICE_X46Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y19 CLASS site SITEPROP SLICE_X46Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y19 IS_BONDED 0 SITEPROP SLICE_X46Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y19 IS_PAD 0 SITEPROP SLICE_X46Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y19 IS_RESERVED 0 SITEPROP SLICE_X46Y19 IS_TEST 0 SITEPROP SLICE_X46Y19 IS_USED 0 SITEPROP SLICE_X46Y19 MANUAL_ROUTING SITEPROP SLICE_X46Y19 NAME SLICE_X46Y19 SITEPROP SLICE_X46Y19 NUM_ARCS 153 SITEPROP SLICE_X46Y19 NUM_BELS 32 SITEPROP SLICE_X46Y19 NUM_INPUTS 37 SITEPROP SLICE_X46Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y19 NUM_PINS 50 SITEPROP SLICE_X46Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y19 PROHIBIT 0 SITEPROP SLICE_X46Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y19 RPM_X 121 SITEPROP SLICE_X46Y19 RPM_Y 38 SITEPROP SLICE_X46Y19 SITE_PIPS SITEPROP SLICE_X46Y19 SITE_TYPE SLICEM SITEPROP SLICE_X46Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y20 CLASS site SITEPROP SLICE_X46Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y20 IS_BONDED 0 SITEPROP SLICE_X46Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y20 IS_PAD 0 SITEPROP SLICE_X46Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y20 IS_RESERVED 0 SITEPROP SLICE_X46Y20 IS_TEST 0 SITEPROP SLICE_X46Y20 IS_USED 0 SITEPROP SLICE_X46Y20 MANUAL_ROUTING SITEPROP SLICE_X46Y20 NAME SLICE_X46Y20 SITEPROP SLICE_X46Y20 NUM_ARCS 153 SITEPROP SLICE_X46Y20 NUM_BELS 32 SITEPROP SLICE_X46Y20 NUM_INPUTS 37 SITEPROP SLICE_X46Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y20 NUM_PINS 50 SITEPROP SLICE_X46Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y20 PROHIBIT 0 SITEPROP SLICE_X46Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y20 RPM_X 121 SITEPROP SLICE_X46Y20 RPM_Y 40 SITEPROP SLICE_X46Y20 SITE_PIPS SITEPROP SLICE_X46Y20 SITE_TYPE SLICEM SITEPROP SLICE_X46Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y21 CLASS site SITEPROP SLICE_X46Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y21 IS_BONDED 0 SITEPROP SLICE_X46Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y21 IS_PAD 0 SITEPROP SLICE_X46Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y21 IS_RESERVED 0 SITEPROP SLICE_X46Y21 IS_TEST 0 SITEPROP SLICE_X46Y21 IS_USED 0 SITEPROP SLICE_X46Y21 MANUAL_ROUTING SITEPROP SLICE_X46Y21 NAME SLICE_X46Y21 SITEPROP SLICE_X46Y21 NUM_ARCS 153 SITEPROP SLICE_X46Y21 NUM_BELS 32 SITEPROP SLICE_X46Y21 NUM_INPUTS 37 SITEPROP SLICE_X46Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y21 NUM_PINS 50 SITEPROP SLICE_X46Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y21 PROHIBIT 0 SITEPROP SLICE_X46Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y21 RPM_X 121 SITEPROP SLICE_X46Y21 RPM_Y 42 SITEPROP SLICE_X46Y21 SITE_PIPS SITEPROP SLICE_X46Y21 SITE_TYPE SLICEM SITEPROP SLICE_X46Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y22 CLASS site SITEPROP SLICE_X46Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y22 IS_BONDED 0 SITEPROP SLICE_X46Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y22 IS_PAD 0 SITEPROP SLICE_X46Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y22 IS_RESERVED 0 SITEPROP SLICE_X46Y22 IS_TEST 0 SITEPROP SLICE_X46Y22 IS_USED 0 SITEPROP SLICE_X46Y22 MANUAL_ROUTING SITEPROP SLICE_X46Y22 NAME SLICE_X46Y22 SITEPROP SLICE_X46Y22 NUM_ARCS 153 SITEPROP SLICE_X46Y22 NUM_BELS 32 SITEPROP SLICE_X46Y22 NUM_INPUTS 37 SITEPROP SLICE_X46Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y22 NUM_PINS 50 SITEPROP SLICE_X46Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y22 PROHIBIT 0 SITEPROP SLICE_X46Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y22 RPM_X 121 SITEPROP SLICE_X46Y22 RPM_Y 44 SITEPROP SLICE_X46Y22 SITE_PIPS SITEPROP SLICE_X46Y22 SITE_TYPE SLICEM SITEPROP SLICE_X46Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y23 CLASS site SITEPROP SLICE_X46Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y23 IS_BONDED 0 SITEPROP SLICE_X46Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y23 IS_PAD 0 SITEPROP SLICE_X46Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y23 IS_RESERVED 0 SITEPROP SLICE_X46Y23 IS_TEST 0 SITEPROP SLICE_X46Y23 IS_USED 0 SITEPROP SLICE_X46Y23 MANUAL_ROUTING SITEPROP SLICE_X46Y23 NAME SLICE_X46Y23 SITEPROP SLICE_X46Y23 NUM_ARCS 153 SITEPROP SLICE_X46Y23 NUM_BELS 32 SITEPROP SLICE_X46Y23 NUM_INPUTS 37 SITEPROP SLICE_X46Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y23 NUM_PINS 50 SITEPROP SLICE_X46Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y23 PROHIBIT 0 SITEPROP SLICE_X46Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y23 RPM_X 121 SITEPROP SLICE_X46Y23 RPM_Y 46 SITEPROP SLICE_X46Y23 SITE_PIPS SITEPROP SLICE_X46Y23 SITE_TYPE SLICEM SITEPROP SLICE_X46Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y24 CLASS site SITEPROP SLICE_X46Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y24 IS_BONDED 0 SITEPROP SLICE_X46Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y24 IS_PAD 0 SITEPROP SLICE_X46Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y24 IS_RESERVED 0 SITEPROP SLICE_X46Y24 IS_TEST 0 SITEPROP SLICE_X46Y24 IS_USED 0 SITEPROP SLICE_X46Y24 MANUAL_ROUTING SITEPROP SLICE_X46Y24 NAME SLICE_X46Y24 SITEPROP SLICE_X46Y24 NUM_ARCS 153 SITEPROP SLICE_X46Y24 NUM_BELS 32 SITEPROP SLICE_X46Y24 NUM_INPUTS 37 SITEPROP SLICE_X46Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y24 NUM_PINS 50 SITEPROP SLICE_X46Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y24 PROHIBIT 0 SITEPROP SLICE_X46Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y24 RPM_X 121 SITEPROP SLICE_X46Y24 RPM_Y 48 SITEPROP SLICE_X46Y24 SITE_PIPS SITEPROP SLICE_X46Y24 SITE_TYPE SLICEM SITEPROP SLICE_X46Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y25 CLASS site SITEPROP SLICE_X46Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y25 IS_BONDED 0 SITEPROP SLICE_X46Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y25 IS_PAD 0 SITEPROP SLICE_X46Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y25 IS_RESERVED 0 SITEPROP SLICE_X46Y25 IS_TEST 0 SITEPROP SLICE_X46Y25 IS_USED 0 SITEPROP SLICE_X46Y25 MANUAL_ROUTING SITEPROP SLICE_X46Y25 NAME SLICE_X46Y25 SITEPROP SLICE_X46Y25 NUM_ARCS 153 SITEPROP SLICE_X46Y25 NUM_BELS 32 SITEPROP SLICE_X46Y25 NUM_INPUTS 37 SITEPROP SLICE_X46Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y25 NUM_PINS 50 SITEPROP SLICE_X46Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y25 PROHIBIT 0 SITEPROP SLICE_X46Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y25 RPM_X 121 SITEPROP SLICE_X46Y25 RPM_Y 50 SITEPROP SLICE_X46Y25 SITE_PIPS SITEPROP SLICE_X46Y25 SITE_TYPE SLICEM SITEPROP SLICE_X46Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y26 CLASS site SITEPROP SLICE_X46Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y26 IS_BONDED 0 SITEPROP SLICE_X46Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y26 IS_PAD 0 SITEPROP SLICE_X46Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y26 IS_RESERVED 0 SITEPROP SLICE_X46Y26 IS_TEST 0 SITEPROP SLICE_X46Y26 IS_USED 0 SITEPROP SLICE_X46Y26 MANUAL_ROUTING SITEPROP SLICE_X46Y26 NAME SLICE_X46Y26 SITEPROP SLICE_X46Y26 NUM_ARCS 153 SITEPROP SLICE_X46Y26 NUM_BELS 32 SITEPROP SLICE_X46Y26 NUM_INPUTS 37 SITEPROP SLICE_X46Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y26 NUM_PINS 50 SITEPROP SLICE_X46Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y26 PROHIBIT 0 SITEPROP SLICE_X46Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y26 RPM_X 121 SITEPROP SLICE_X46Y26 RPM_Y 52 SITEPROP SLICE_X46Y26 SITE_PIPS SITEPROP SLICE_X46Y26 SITE_TYPE SLICEM SITEPROP SLICE_X46Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y27 CLASS site SITEPROP SLICE_X46Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y27 IS_BONDED 0 SITEPROP SLICE_X46Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y27 IS_PAD 0 SITEPROP SLICE_X46Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y27 IS_RESERVED 0 SITEPROP SLICE_X46Y27 IS_TEST 0 SITEPROP SLICE_X46Y27 IS_USED 0 SITEPROP SLICE_X46Y27 MANUAL_ROUTING SITEPROP SLICE_X46Y27 NAME SLICE_X46Y27 SITEPROP SLICE_X46Y27 NUM_ARCS 153 SITEPROP SLICE_X46Y27 NUM_BELS 32 SITEPROP SLICE_X46Y27 NUM_INPUTS 37 SITEPROP SLICE_X46Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y27 NUM_PINS 50 SITEPROP SLICE_X46Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y27 PROHIBIT 0 SITEPROP SLICE_X46Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y27 RPM_X 121 SITEPROP SLICE_X46Y27 RPM_Y 54 SITEPROP SLICE_X46Y27 SITE_PIPS SITEPROP SLICE_X46Y27 SITE_TYPE SLICEM SITEPROP SLICE_X46Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y28 CLASS site SITEPROP SLICE_X46Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y28 IS_BONDED 0 SITEPROP SLICE_X46Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y28 IS_PAD 0 SITEPROP SLICE_X46Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y28 IS_RESERVED 0 SITEPROP SLICE_X46Y28 IS_TEST 0 SITEPROP SLICE_X46Y28 IS_USED 0 SITEPROP SLICE_X46Y28 MANUAL_ROUTING SITEPROP SLICE_X46Y28 NAME SLICE_X46Y28 SITEPROP SLICE_X46Y28 NUM_ARCS 153 SITEPROP SLICE_X46Y28 NUM_BELS 32 SITEPROP SLICE_X46Y28 NUM_INPUTS 37 SITEPROP SLICE_X46Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y28 NUM_PINS 50 SITEPROP SLICE_X46Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y28 PROHIBIT 0 SITEPROP SLICE_X46Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y28 RPM_X 121 SITEPROP SLICE_X46Y28 RPM_Y 56 SITEPROP SLICE_X46Y28 SITE_PIPS SITEPROP SLICE_X46Y28 SITE_TYPE SLICEM SITEPROP SLICE_X46Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y29 CLASS site SITEPROP SLICE_X46Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y29 IS_BONDED 0 SITEPROP SLICE_X46Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y29 IS_PAD 0 SITEPROP SLICE_X46Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y29 IS_RESERVED 0 SITEPROP SLICE_X46Y29 IS_TEST 0 SITEPROP SLICE_X46Y29 IS_USED 0 SITEPROP SLICE_X46Y29 MANUAL_ROUTING SITEPROP SLICE_X46Y29 NAME SLICE_X46Y29 SITEPROP SLICE_X46Y29 NUM_ARCS 153 SITEPROP SLICE_X46Y29 NUM_BELS 32 SITEPROP SLICE_X46Y29 NUM_INPUTS 37 SITEPROP SLICE_X46Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y29 NUM_PINS 50 SITEPROP SLICE_X46Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y29 PROHIBIT 0 SITEPROP SLICE_X46Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y29 RPM_X 121 SITEPROP SLICE_X46Y29 RPM_Y 58 SITEPROP SLICE_X46Y29 SITE_PIPS SITEPROP SLICE_X46Y29 SITE_TYPE SLICEM SITEPROP SLICE_X46Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y30 CLASS site SITEPROP SLICE_X46Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y30 IS_BONDED 0 SITEPROP SLICE_X46Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y30 IS_PAD 0 SITEPROP SLICE_X46Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y30 IS_RESERVED 0 SITEPROP SLICE_X46Y30 IS_TEST 0 SITEPROP SLICE_X46Y30 IS_USED 0 SITEPROP SLICE_X46Y30 MANUAL_ROUTING SITEPROP SLICE_X46Y30 NAME SLICE_X46Y30 SITEPROP SLICE_X46Y30 NUM_ARCS 153 SITEPROP SLICE_X46Y30 NUM_BELS 32 SITEPROP SLICE_X46Y30 NUM_INPUTS 37 SITEPROP SLICE_X46Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y30 NUM_PINS 50 SITEPROP SLICE_X46Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y30 PROHIBIT 0 SITEPROP SLICE_X46Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y30 RPM_X 121 SITEPROP SLICE_X46Y30 RPM_Y 60 SITEPROP SLICE_X46Y30 SITE_PIPS SITEPROP SLICE_X46Y30 SITE_TYPE SLICEM SITEPROP SLICE_X46Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y31 CLASS site SITEPROP SLICE_X46Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y31 IS_BONDED 0 SITEPROP SLICE_X46Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y31 IS_PAD 0 SITEPROP SLICE_X46Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y31 IS_RESERVED 0 SITEPROP SLICE_X46Y31 IS_TEST 0 SITEPROP SLICE_X46Y31 IS_USED 0 SITEPROP SLICE_X46Y31 MANUAL_ROUTING SITEPROP SLICE_X46Y31 NAME SLICE_X46Y31 SITEPROP SLICE_X46Y31 NUM_ARCS 153 SITEPROP SLICE_X46Y31 NUM_BELS 32 SITEPROP SLICE_X46Y31 NUM_INPUTS 37 SITEPROP SLICE_X46Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y31 NUM_PINS 50 SITEPROP SLICE_X46Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y31 PROHIBIT 0 SITEPROP SLICE_X46Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y31 RPM_X 121 SITEPROP SLICE_X46Y31 RPM_Y 62 SITEPROP SLICE_X46Y31 SITE_PIPS SITEPROP SLICE_X46Y31 SITE_TYPE SLICEM SITEPROP SLICE_X46Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y32 CLASS site SITEPROP SLICE_X46Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y32 IS_BONDED 0 SITEPROP SLICE_X46Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y32 IS_PAD 0 SITEPROP SLICE_X46Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y32 IS_RESERVED 0 SITEPROP SLICE_X46Y32 IS_TEST 0 SITEPROP SLICE_X46Y32 IS_USED 0 SITEPROP SLICE_X46Y32 MANUAL_ROUTING SITEPROP SLICE_X46Y32 NAME SLICE_X46Y32 SITEPROP SLICE_X46Y32 NUM_ARCS 153 SITEPROP SLICE_X46Y32 NUM_BELS 32 SITEPROP SLICE_X46Y32 NUM_INPUTS 37 SITEPROP SLICE_X46Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y32 NUM_PINS 50 SITEPROP SLICE_X46Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y32 PROHIBIT 0 SITEPROP SLICE_X46Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y32 RPM_X 121 SITEPROP SLICE_X46Y32 RPM_Y 64 SITEPROP SLICE_X46Y32 SITE_PIPS SITEPROP SLICE_X46Y32 SITE_TYPE SLICEM SITEPROP SLICE_X46Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y33 CLASS site SITEPROP SLICE_X46Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y33 IS_BONDED 0 SITEPROP SLICE_X46Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y33 IS_PAD 0 SITEPROP SLICE_X46Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y33 IS_RESERVED 0 SITEPROP SLICE_X46Y33 IS_TEST 0 SITEPROP SLICE_X46Y33 IS_USED 0 SITEPROP SLICE_X46Y33 MANUAL_ROUTING SITEPROP SLICE_X46Y33 NAME SLICE_X46Y33 SITEPROP SLICE_X46Y33 NUM_ARCS 153 SITEPROP SLICE_X46Y33 NUM_BELS 32 SITEPROP SLICE_X46Y33 NUM_INPUTS 37 SITEPROP SLICE_X46Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y33 NUM_PINS 50 SITEPROP SLICE_X46Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y33 PROHIBIT 0 SITEPROP SLICE_X46Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y33 RPM_X 121 SITEPROP SLICE_X46Y33 RPM_Y 66 SITEPROP SLICE_X46Y33 SITE_PIPS SITEPROP SLICE_X46Y33 SITE_TYPE SLICEM SITEPROP SLICE_X46Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y34 CLASS site SITEPROP SLICE_X46Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y34 IS_BONDED 0 SITEPROP SLICE_X46Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y34 IS_PAD 0 SITEPROP SLICE_X46Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y34 IS_RESERVED 0 SITEPROP SLICE_X46Y34 IS_TEST 0 SITEPROP SLICE_X46Y34 IS_USED 0 SITEPROP SLICE_X46Y34 MANUAL_ROUTING SITEPROP SLICE_X46Y34 NAME SLICE_X46Y34 SITEPROP SLICE_X46Y34 NUM_ARCS 153 SITEPROP SLICE_X46Y34 NUM_BELS 32 SITEPROP SLICE_X46Y34 NUM_INPUTS 37 SITEPROP SLICE_X46Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y34 NUM_PINS 50 SITEPROP SLICE_X46Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y34 PROHIBIT 0 SITEPROP SLICE_X46Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y34 RPM_X 121 SITEPROP SLICE_X46Y34 RPM_Y 68 SITEPROP SLICE_X46Y34 SITE_PIPS SITEPROP SLICE_X46Y34 SITE_TYPE SLICEM SITEPROP SLICE_X46Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y35 CLASS site SITEPROP SLICE_X46Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y35 IS_BONDED 0 SITEPROP SLICE_X46Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y35 IS_PAD 0 SITEPROP SLICE_X46Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y35 IS_RESERVED 0 SITEPROP SLICE_X46Y35 IS_TEST 0 SITEPROP SLICE_X46Y35 IS_USED 0 SITEPROP SLICE_X46Y35 MANUAL_ROUTING SITEPROP SLICE_X46Y35 NAME SLICE_X46Y35 SITEPROP SLICE_X46Y35 NUM_ARCS 153 SITEPROP SLICE_X46Y35 NUM_BELS 32 SITEPROP SLICE_X46Y35 NUM_INPUTS 37 SITEPROP SLICE_X46Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y35 NUM_PINS 50 SITEPROP SLICE_X46Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y35 PROHIBIT 0 SITEPROP SLICE_X46Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y35 RPM_X 121 SITEPROP SLICE_X46Y35 RPM_Y 70 SITEPROP SLICE_X46Y35 SITE_PIPS SITEPROP SLICE_X46Y35 SITE_TYPE SLICEM SITEPROP SLICE_X46Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y36 CLASS site SITEPROP SLICE_X46Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y36 IS_BONDED 0 SITEPROP SLICE_X46Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y36 IS_PAD 0 SITEPROP SLICE_X46Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y36 IS_RESERVED 0 SITEPROP SLICE_X46Y36 IS_TEST 0 SITEPROP SLICE_X46Y36 IS_USED 0 SITEPROP SLICE_X46Y36 MANUAL_ROUTING SITEPROP SLICE_X46Y36 NAME SLICE_X46Y36 SITEPROP SLICE_X46Y36 NUM_ARCS 153 SITEPROP SLICE_X46Y36 NUM_BELS 32 SITEPROP SLICE_X46Y36 NUM_INPUTS 37 SITEPROP SLICE_X46Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y36 NUM_PINS 50 SITEPROP SLICE_X46Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y36 PROHIBIT 0 SITEPROP SLICE_X46Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y36 RPM_X 121 SITEPROP SLICE_X46Y36 RPM_Y 72 SITEPROP SLICE_X46Y36 SITE_PIPS SITEPROP SLICE_X46Y36 SITE_TYPE SLICEM SITEPROP SLICE_X46Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y37 CLASS site SITEPROP SLICE_X46Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y37 IS_BONDED 0 SITEPROP SLICE_X46Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y37 IS_PAD 0 SITEPROP SLICE_X46Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y37 IS_RESERVED 0 SITEPROP SLICE_X46Y37 IS_TEST 0 SITEPROP SLICE_X46Y37 IS_USED 0 SITEPROP SLICE_X46Y37 MANUAL_ROUTING SITEPROP SLICE_X46Y37 NAME SLICE_X46Y37 SITEPROP SLICE_X46Y37 NUM_ARCS 153 SITEPROP SLICE_X46Y37 NUM_BELS 32 SITEPROP SLICE_X46Y37 NUM_INPUTS 37 SITEPROP SLICE_X46Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y37 NUM_PINS 50 SITEPROP SLICE_X46Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y37 PROHIBIT 0 SITEPROP SLICE_X46Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y37 RPM_X 121 SITEPROP SLICE_X46Y37 RPM_Y 74 SITEPROP SLICE_X46Y37 SITE_PIPS SITEPROP SLICE_X46Y37 SITE_TYPE SLICEM SITEPROP SLICE_X46Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y38 CLASS site SITEPROP SLICE_X46Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y38 IS_BONDED 0 SITEPROP SLICE_X46Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y38 IS_PAD 0 SITEPROP SLICE_X46Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y38 IS_RESERVED 0 SITEPROP SLICE_X46Y38 IS_TEST 0 SITEPROP SLICE_X46Y38 IS_USED 0 SITEPROP SLICE_X46Y38 MANUAL_ROUTING SITEPROP SLICE_X46Y38 NAME SLICE_X46Y38 SITEPROP SLICE_X46Y38 NUM_ARCS 153 SITEPROP SLICE_X46Y38 NUM_BELS 32 SITEPROP SLICE_X46Y38 NUM_INPUTS 37 SITEPROP SLICE_X46Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y38 NUM_PINS 50 SITEPROP SLICE_X46Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y38 PROHIBIT 0 SITEPROP SLICE_X46Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y38 RPM_X 121 SITEPROP SLICE_X46Y38 RPM_Y 76 SITEPROP SLICE_X46Y38 SITE_PIPS SITEPROP SLICE_X46Y38 SITE_TYPE SLICEM SITEPROP SLICE_X46Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y39 CLASS site SITEPROP SLICE_X46Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y39 IS_BONDED 0 SITEPROP SLICE_X46Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y39 IS_PAD 0 SITEPROP SLICE_X46Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y39 IS_RESERVED 0 SITEPROP SLICE_X46Y39 IS_TEST 0 SITEPROP SLICE_X46Y39 IS_USED 0 SITEPROP SLICE_X46Y39 MANUAL_ROUTING SITEPROP SLICE_X46Y39 NAME SLICE_X46Y39 SITEPROP SLICE_X46Y39 NUM_ARCS 153 SITEPROP SLICE_X46Y39 NUM_BELS 32 SITEPROP SLICE_X46Y39 NUM_INPUTS 37 SITEPROP SLICE_X46Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y39 NUM_PINS 50 SITEPROP SLICE_X46Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y39 PROHIBIT 0 SITEPROP SLICE_X46Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y39 RPM_X 121 SITEPROP SLICE_X46Y39 RPM_Y 78 SITEPROP SLICE_X46Y39 SITE_PIPS SITEPROP SLICE_X46Y39 SITE_TYPE SLICEM SITEPROP SLICE_X46Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y40 CLASS site SITEPROP SLICE_X46Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y40 IS_BONDED 0 SITEPROP SLICE_X46Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y40 IS_PAD 0 SITEPROP SLICE_X46Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y40 IS_RESERVED 0 SITEPROP SLICE_X46Y40 IS_TEST 0 SITEPROP SLICE_X46Y40 IS_USED 0 SITEPROP SLICE_X46Y40 MANUAL_ROUTING SITEPROP SLICE_X46Y40 NAME SLICE_X46Y40 SITEPROP SLICE_X46Y40 NUM_ARCS 153 SITEPROP SLICE_X46Y40 NUM_BELS 32 SITEPROP SLICE_X46Y40 NUM_INPUTS 37 SITEPROP SLICE_X46Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y40 NUM_PINS 50 SITEPROP SLICE_X46Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y40 PROHIBIT 0 SITEPROP SLICE_X46Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y40 RPM_X 121 SITEPROP SLICE_X46Y40 RPM_Y 80 SITEPROP SLICE_X46Y40 SITE_PIPS SITEPROP SLICE_X46Y40 SITE_TYPE SLICEM SITEPROP SLICE_X46Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y41 CLASS site SITEPROP SLICE_X46Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y41 IS_BONDED 0 SITEPROP SLICE_X46Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y41 IS_PAD 0 SITEPROP SLICE_X46Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y41 IS_RESERVED 0 SITEPROP SLICE_X46Y41 IS_TEST 0 SITEPROP SLICE_X46Y41 IS_USED 0 SITEPROP SLICE_X46Y41 MANUAL_ROUTING SITEPROP SLICE_X46Y41 NAME SLICE_X46Y41 SITEPROP SLICE_X46Y41 NUM_ARCS 153 SITEPROP SLICE_X46Y41 NUM_BELS 32 SITEPROP SLICE_X46Y41 NUM_INPUTS 37 SITEPROP SLICE_X46Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y41 NUM_PINS 50 SITEPROP SLICE_X46Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y41 PROHIBIT 0 SITEPROP SLICE_X46Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y41 RPM_X 121 SITEPROP SLICE_X46Y41 RPM_Y 82 SITEPROP SLICE_X46Y41 SITE_PIPS SITEPROP SLICE_X46Y41 SITE_TYPE SLICEM SITEPROP SLICE_X46Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y42 CLASS site SITEPROP SLICE_X46Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y42 IS_BONDED 0 SITEPROP SLICE_X46Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y42 IS_PAD 0 SITEPROP SLICE_X46Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y42 IS_RESERVED 0 SITEPROP SLICE_X46Y42 IS_TEST 0 SITEPROP SLICE_X46Y42 IS_USED 0 SITEPROP SLICE_X46Y42 MANUAL_ROUTING SITEPROP SLICE_X46Y42 NAME SLICE_X46Y42 SITEPROP SLICE_X46Y42 NUM_ARCS 153 SITEPROP SLICE_X46Y42 NUM_BELS 32 SITEPROP SLICE_X46Y42 NUM_INPUTS 37 SITEPROP SLICE_X46Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y42 NUM_PINS 50 SITEPROP SLICE_X46Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y42 PROHIBIT 0 SITEPROP SLICE_X46Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y42 RPM_X 121 SITEPROP SLICE_X46Y42 RPM_Y 84 SITEPROP SLICE_X46Y42 SITE_PIPS SITEPROP SLICE_X46Y42 SITE_TYPE SLICEM SITEPROP SLICE_X46Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y43 CLASS site SITEPROP SLICE_X46Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y43 IS_BONDED 0 SITEPROP SLICE_X46Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y43 IS_PAD 0 SITEPROP SLICE_X46Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y43 IS_RESERVED 0 SITEPROP SLICE_X46Y43 IS_TEST 0 SITEPROP SLICE_X46Y43 IS_USED 0 SITEPROP SLICE_X46Y43 MANUAL_ROUTING SITEPROP SLICE_X46Y43 NAME SLICE_X46Y43 SITEPROP SLICE_X46Y43 NUM_ARCS 153 SITEPROP SLICE_X46Y43 NUM_BELS 32 SITEPROP SLICE_X46Y43 NUM_INPUTS 37 SITEPROP SLICE_X46Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y43 NUM_PINS 50 SITEPROP SLICE_X46Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y43 PROHIBIT 0 SITEPROP SLICE_X46Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y43 RPM_X 121 SITEPROP SLICE_X46Y43 RPM_Y 86 SITEPROP SLICE_X46Y43 SITE_PIPS SITEPROP SLICE_X46Y43 SITE_TYPE SLICEM SITEPROP SLICE_X46Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y44 CLASS site SITEPROP SLICE_X46Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y44 IS_BONDED 0 SITEPROP SLICE_X46Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y44 IS_PAD 0 SITEPROP SLICE_X46Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y44 IS_RESERVED 0 SITEPROP SLICE_X46Y44 IS_TEST 0 SITEPROP SLICE_X46Y44 IS_USED 0 SITEPROP SLICE_X46Y44 MANUAL_ROUTING SITEPROP SLICE_X46Y44 NAME SLICE_X46Y44 SITEPROP SLICE_X46Y44 NUM_ARCS 153 SITEPROP SLICE_X46Y44 NUM_BELS 32 SITEPROP SLICE_X46Y44 NUM_INPUTS 37 SITEPROP SLICE_X46Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y44 NUM_PINS 50 SITEPROP SLICE_X46Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y44 PROHIBIT 0 SITEPROP SLICE_X46Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y44 RPM_X 121 SITEPROP SLICE_X46Y44 RPM_Y 88 SITEPROP SLICE_X46Y44 SITE_PIPS SITEPROP SLICE_X46Y44 SITE_TYPE SLICEM SITEPROP SLICE_X46Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y45 CLASS site SITEPROP SLICE_X46Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y45 IS_BONDED 0 SITEPROP SLICE_X46Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y45 IS_PAD 0 SITEPROP SLICE_X46Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y45 IS_RESERVED 0 SITEPROP SLICE_X46Y45 IS_TEST 0 SITEPROP SLICE_X46Y45 IS_USED 0 SITEPROP SLICE_X46Y45 MANUAL_ROUTING SITEPROP SLICE_X46Y45 NAME SLICE_X46Y45 SITEPROP SLICE_X46Y45 NUM_ARCS 153 SITEPROP SLICE_X46Y45 NUM_BELS 32 SITEPROP SLICE_X46Y45 NUM_INPUTS 37 SITEPROP SLICE_X46Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y45 NUM_PINS 50 SITEPROP SLICE_X46Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y45 PROHIBIT 0 SITEPROP SLICE_X46Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y45 RPM_X 121 SITEPROP SLICE_X46Y45 RPM_Y 90 SITEPROP SLICE_X46Y45 SITE_PIPS SITEPROP SLICE_X46Y45 SITE_TYPE SLICEM SITEPROP SLICE_X46Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y46 CLASS site SITEPROP SLICE_X46Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y46 IS_BONDED 0 SITEPROP SLICE_X46Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y46 IS_PAD 0 SITEPROP SLICE_X46Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y46 IS_RESERVED 0 SITEPROP SLICE_X46Y46 IS_TEST 0 SITEPROP SLICE_X46Y46 IS_USED 0 SITEPROP SLICE_X46Y46 MANUAL_ROUTING SITEPROP SLICE_X46Y46 NAME SLICE_X46Y46 SITEPROP SLICE_X46Y46 NUM_ARCS 153 SITEPROP SLICE_X46Y46 NUM_BELS 32 SITEPROP SLICE_X46Y46 NUM_INPUTS 37 SITEPROP SLICE_X46Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y46 NUM_PINS 50 SITEPROP SLICE_X46Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y46 PROHIBIT 0 SITEPROP SLICE_X46Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y46 RPM_X 121 SITEPROP SLICE_X46Y46 RPM_Y 92 SITEPROP SLICE_X46Y46 SITE_PIPS SITEPROP SLICE_X46Y46 SITE_TYPE SLICEM SITEPROP SLICE_X46Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y47 CLASS site SITEPROP SLICE_X46Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y47 IS_BONDED 0 SITEPROP SLICE_X46Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y47 IS_PAD 0 SITEPROP SLICE_X46Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y47 IS_RESERVED 0 SITEPROP SLICE_X46Y47 IS_TEST 0 SITEPROP SLICE_X46Y47 IS_USED 0 SITEPROP SLICE_X46Y47 MANUAL_ROUTING SITEPROP SLICE_X46Y47 NAME SLICE_X46Y47 SITEPROP SLICE_X46Y47 NUM_ARCS 153 SITEPROP SLICE_X46Y47 NUM_BELS 32 SITEPROP SLICE_X46Y47 NUM_INPUTS 37 SITEPROP SLICE_X46Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y47 NUM_PINS 50 SITEPROP SLICE_X46Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y47 PROHIBIT 0 SITEPROP SLICE_X46Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y47 RPM_X 121 SITEPROP SLICE_X46Y47 RPM_Y 94 SITEPROP SLICE_X46Y47 SITE_PIPS SITEPROP SLICE_X46Y47 SITE_TYPE SLICEM SITEPROP SLICE_X46Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y48 CLASS site SITEPROP SLICE_X46Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y48 IS_BONDED 0 SITEPROP SLICE_X46Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y48 IS_PAD 0 SITEPROP SLICE_X46Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y48 IS_RESERVED 0 SITEPROP SLICE_X46Y48 IS_TEST 0 SITEPROP SLICE_X46Y48 IS_USED 0 SITEPROP SLICE_X46Y48 MANUAL_ROUTING SITEPROP SLICE_X46Y48 NAME SLICE_X46Y48 SITEPROP SLICE_X46Y48 NUM_ARCS 153 SITEPROP SLICE_X46Y48 NUM_BELS 32 SITEPROP SLICE_X46Y48 NUM_INPUTS 37 SITEPROP SLICE_X46Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y48 NUM_PINS 50 SITEPROP SLICE_X46Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y48 PROHIBIT 0 SITEPROP SLICE_X46Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y48 RPM_X 121 SITEPROP SLICE_X46Y48 RPM_Y 96 SITEPROP SLICE_X46Y48 SITE_PIPS SITEPROP SLICE_X46Y48 SITE_TYPE SLICEM SITEPROP SLICE_X46Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y49 CLASS site SITEPROP SLICE_X46Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X46Y49 IS_BONDED 0 SITEPROP SLICE_X46Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y49 IS_PAD 0 SITEPROP SLICE_X46Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y49 IS_RESERVED 0 SITEPROP SLICE_X46Y49 IS_TEST 0 SITEPROP SLICE_X46Y49 IS_USED 0 SITEPROP SLICE_X46Y49 MANUAL_ROUTING SITEPROP SLICE_X46Y49 NAME SLICE_X46Y49 SITEPROP SLICE_X46Y49 NUM_ARCS 153 SITEPROP SLICE_X46Y49 NUM_BELS 32 SITEPROP SLICE_X46Y49 NUM_INPUTS 37 SITEPROP SLICE_X46Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y49 NUM_PINS 50 SITEPROP SLICE_X46Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y49 PROHIBIT 0 SITEPROP SLICE_X46Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y49 RPM_X 121 SITEPROP SLICE_X46Y49 RPM_Y 98 SITEPROP SLICE_X46Y49 SITE_PIPS SITEPROP SLICE_X46Y49 SITE_TYPE SLICEM SITEPROP SLICE_X46Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y50 CLASS site SITEPROP SLICE_X46Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y50 IS_BONDED 0 SITEPROP SLICE_X46Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y50 IS_PAD 0 SITEPROP SLICE_X46Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y50 IS_RESERVED 0 SITEPROP SLICE_X46Y50 IS_TEST 0 SITEPROP SLICE_X46Y50 IS_USED 0 SITEPROP SLICE_X46Y50 MANUAL_ROUTING SITEPROP SLICE_X46Y50 NAME SLICE_X46Y50 SITEPROP SLICE_X46Y50 NUM_ARCS 153 SITEPROP SLICE_X46Y50 NUM_BELS 32 SITEPROP SLICE_X46Y50 NUM_INPUTS 37 SITEPROP SLICE_X46Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y50 NUM_PINS 50 SITEPROP SLICE_X46Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y50 PROHIBIT 0 SITEPROP SLICE_X46Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y50 RPM_X 121 SITEPROP SLICE_X46Y50 RPM_Y 100 SITEPROP SLICE_X46Y50 SITE_PIPS SITEPROP SLICE_X46Y50 SITE_TYPE SLICEM SITEPROP SLICE_X46Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y51 CLASS site SITEPROP SLICE_X46Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y51 IS_BONDED 0 SITEPROP SLICE_X46Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y51 IS_PAD 0 SITEPROP SLICE_X46Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y51 IS_RESERVED 0 SITEPROP SLICE_X46Y51 IS_TEST 0 SITEPROP SLICE_X46Y51 IS_USED 0 SITEPROP SLICE_X46Y51 MANUAL_ROUTING SITEPROP SLICE_X46Y51 NAME SLICE_X46Y51 SITEPROP SLICE_X46Y51 NUM_ARCS 153 SITEPROP SLICE_X46Y51 NUM_BELS 32 SITEPROP SLICE_X46Y51 NUM_INPUTS 37 SITEPROP SLICE_X46Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y51 NUM_PINS 50 SITEPROP SLICE_X46Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y51 PROHIBIT 0 SITEPROP SLICE_X46Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y51 RPM_X 121 SITEPROP SLICE_X46Y51 RPM_Y 102 SITEPROP SLICE_X46Y51 SITE_PIPS SITEPROP SLICE_X46Y51 SITE_TYPE SLICEM SITEPROP SLICE_X46Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y52 CLASS site SITEPROP SLICE_X46Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y52 IS_BONDED 0 SITEPROP SLICE_X46Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y52 IS_PAD 0 SITEPROP SLICE_X46Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y52 IS_RESERVED 0 SITEPROP SLICE_X46Y52 IS_TEST 0 SITEPROP SLICE_X46Y52 IS_USED 0 SITEPROP SLICE_X46Y52 MANUAL_ROUTING SITEPROP SLICE_X46Y52 NAME SLICE_X46Y52 SITEPROP SLICE_X46Y52 NUM_ARCS 153 SITEPROP SLICE_X46Y52 NUM_BELS 32 SITEPROP SLICE_X46Y52 NUM_INPUTS 37 SITEPROP SLICE_X46Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y52 NUM_PINS 50 SITEPROP SLICE_X46Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y52 PROHIBIT 0 SITEPROP SLICE_X46Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y52 RPM_X 121 SITEPROP SLICE_X46Y52 RPM_Y 104 SITEPROP SLICE_X46Y52 SITE_PIPS SITEPROP SLICE_X46Y52 SITE_TYPE SLICEM SITEPROP SLICE_X46Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y53 CLASS site SITEPROP SLICE_X46Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y53 IS_BONDED 0 SITEPROP SLICE_X46Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y53 IS_PAD 0 SITEPROP SLICE_X46Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y53 IS_RESERVED 0 SITEPROP SLICE_X46Y53 IS_TEST 0 SITEPROP SLICE_X46Y53 IS_USED 0 SITEPROP SLICE_X46Y53 MANUAL_ROUTING SITEPROP SLICE_X46Y53 NAME SLICE_X46Y53 SITEPROP SLICE_X46Y53 NUM_ARCS 153 SITEPROP SLICE_X46Y53 NUM_BELS 32 SITEPROP SLICE_X46Y53 NUM_INPUTS 37 SITEPROP SLICE_X46Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y53 NUM_PINS 50 SITEPROP SLICE_X46Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y53 PROHIBIT 0 SITEPROP SLICE_X46Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y53 RPM_X 121 SITEPROP SLICE_X46Y53 RPM_Y 106 SITEPROP SLICE_X46Y53 SITE_PIPS SITEPROP SLICE_X46Y53 SITE_TYPE SLICEM SITEPROP SLICE_X46Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y54 CLASS site SITEPROP SLICE_X46Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y54 IS_BONDED 0 SITEPROP SLICE_X46Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y54 IS_PAD 0 SITEPROP SLICE_X46Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y54 IS_RESERVED 0 SITEPROP SLICE_X46Y54 IS_TEST 0 SITEPROP SLICE_X46Y54 IS_USED 0 SITEPROP SLICE_X46Y54 MANUAL_ROUTING SITEPROP SLICE_X46Y54 NAME SLICE_X46Y54 SITEPROP SLICE_X46Y54 NUM_ARCS 153 SITEPROP SLICE_X46Y54 NUM_BELS 32 SITEPROP SLICE_X46Y54 NUM_INPUTS 37 SITEPROP SLICE_X46Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y54 NUM_PINS 50 SITEPROP SLICE_X46Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y54 PROHIBIT 0 SITEPROP SLICE_X46Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y54 RPM_X 121 SITEPROP SLICE_X46Y54 RPM_Y 108 SITEPROP SLICE_X46Y54 SITE_PIPS SITEPROP SLICE_X46Y54 SITE_TYPE SLICEM SITEPROP SLICE_X46Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y55 CLASS site SITEPROP SLICE_X46Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y55 IS_BONDED 0 SITEPROP SLICE_X46Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y55 IS_PAD 0 SITEPROP SLICE_X46Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y55 IS_RESERVED 0 SITEPROP SLICE_X46Y55 IS_TEST 0 SITEPROP SLICE_X46Y55 IS_USED 0 SITEPROP SLICE_X46Y55 MANUAL_ROUTING SITEPROP SLICE_X46Y55 NAME SLICE_X46Y55 SITEPROP SLICE_X46Y55 NUM_ARCS 153 SITEPROP SLICE_X46Y55 NUM_BELS 32 SITEPROP SLICE_X46Y55 NUM_INPUTS 37 SITEPROP SLICE_X46Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y55 NUM_PINS 50 SITEPROP SLICE_X46Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y55 PROHIBIT 0 SITEPROP SLICE_X46Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y55 RPM_X 121 SITEPROP SLICE_X46Y55 RPM_Y 110 SITEPROP SLICE_X46Y55 SITE_PIPS SITEPROP SLICE_X46Y55 SITE_TYPE SLICEM SITEPROP SLICE_X46Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y56 CLASS site SITEPROP SLICE_X46Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y56 IS_BONDED 0 SITEPROP SLICE_X46Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y56 IS_PAD 0 SITEPROP SLICE_X46Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y56 IS_RESERVED 0 SITEPROP SLICE_X46Y56 IS_TEST 0 SITEPROP SLICE_X46Y56 IS_USED 0 SITEPROP SLICE_X46Y56 MANUAL_ROUTING SITEPROP SLICE_X46Y56 NAME SLICE_X46Y56 SITEPROP SLICE_X46Y56 NUM_ARCS 153 SITEPROP SLICE_X46Y56 NUM_BELS 32 SITEPROP SLICE_X46Y56 NUM_INPUTS 37 SITEPROP SLICE_X46Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y56 NUM_PINS 50 SITEPROP SLICE_X46Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y56 PROHIBIT 0 SITEPROP SLICE_X46Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y56 RPM_X 121 SITEPROP SLICE_X46Y56 RPM_Y 112 SITEPROP SLICE_X46Y56 SITE_PIPS SITEPROP SLICE_X46Y56 SITE_TYPE SLICEM SITEPROP SLICE_X46Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y57 CLASS site SITEPROP SLICE_X46Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y57 IS_BONDED 0 SITEPROP SLICE_X46Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y57 IS_PAD 0 SITEPROP SLICE_X46Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y57 IS_RESERVED 0 SITEPROP SLICE_X46Y57 IS_TEST 0 SITEPROP SLICE_X46Y57 IS_USED 0 SITEPROP SLICE_X46Y57 MANUAL_ROUTING SITEPROP SLICE_X46Y57 NAME SLICE_X46Y57 SITEPROP SLICE_X46Y57 NUM_ARCS 153 SITEPROP SLICE_X46Y57 NUM_BELS 32 SITEPROP SLICE_X46Y57 NUM_INPUTS 37 SITEPROP SLICE_X46Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y57 NUM_PINS 50 SITEPROP SLICE_X46Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y57 PROHIBIT 0 SITEPROP SLICE_X46Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y57 RPM_X 121 SITEPROP SLICE_X46Y57 RPM_Y 114 SITEPROP SLICE_X46Y57 SITE_PIPS SITEPROP SLICE_X46Y57 SITE_TYPE SLICEM SITEPROP SLICE_X46Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y58 CLASS site SITEPROP SLICE_X46Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y58 IS_BONDED 0 SITEPROP SLICE_X46Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y58 IS_PAD 0 SITEPROP SLICE_X46Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y58 IS_RESERVED 0 SITEPROP SLICE_X46Y58 IS_TEST 0 SITEPROP SLICE_X46Y58 IS_USED 0 SITEPROP SLICE_X46Y58 MANUAL_ROUTING SITEPROP SLICE_X46Y58 NAME SLICE_X46Y58 SITEPROP SLICE_X46Y58 NUM_ARCS 153 SITEPROP SLICE_X46Y58 NUM_BELS 32 SITEPROP SLICE_X46Y58 NUM_INPUTS 37 SITEPROP SLICE_X46Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y58 NUM_PINS 50 SITEPROP SLICE_X46Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y58 PROHIBIT 0 SITEPROP SLICE_X46Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y58 RPM_X 121 SITEPROP SLICE_X46Y58 RPM_Y 116 SITEPROP SLICE_X46Y58 SITE_PIPS SITEPROP SLICE_X46Y58 SITE_TYPE SLICEM SITEPROP SLICE_X46Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y59 CLASS site SITEPROP SLICE_X46Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y59 IS_BONDED 0 SITEPROP SLICE_X46Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y59 IS_PAD 0 SITEPROP SLICE_X46Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y59 IS_RESERVED 0 SITEPROP SLICE_X46Y59 IS_TEST 0 SITEPROP SLICE_X46Y59 IS_USED 0 SITEPROP SLICE_X46Y59 MANUAL_ROUTING SITEPROP SLICE_X46Y59 NAME SLICE_X46Y59 SITEPROP SLICE_X46Y59 NUM_ARCS 153 SITEPROP SLICE_X46Y59 NUM_BELS 32 SITEPROP SLICE_X46Y59 NUM_INPUTS 37 SITEPROP SLICE_X46Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y59 NUM_PINS 50 SITEPROP SLICE_X46Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y59 PROHIBIT 0 SITEPROP SLICE_X46Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y59 RPM_X 121 SITEPROP SLICE_X46Y59 RPM_Y 118 SITEPROP SLICE_X46Y59 SITE_PIPS SITEPROP SLICE_X46Y59 SITE_TYPE SLICEM SITEPROP SLICE_X46Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y60 CLASS site SITEPROP SLICE_X46Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y60 IS_BONDED 0 SITEPROP SLICE_X46Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y60 IS_PAD 0 SITEPROP SLICE_X46Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y60 IS_RESERVED 0 SITEPROP SLICE_X46Y60 IS_TEST 0 SITEPROP SLICE_X46Y60 IS_USED 0 SITEPROP SLICE_X46Y60 MANUAL_ROUTING SITEPROP SLICE_X46Y60 NAME SLICE_X46Y60 SITEPROP SLICE_X46Y60 NUM_ARCS 153 SITEPROP SLICE_X46Y60 NUM_BELS 32 SITEPROP SLICE_X46Y60 NUM_INPUTS 37 SITEPROP SLICE_X46Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y60 NUM_PINS 50 SITEPROP SLICE_X46Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y60 PROHIBIT 0 SITEPROP SLICE_X46Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y60 RPM_X 121 SITEPROP SLICE_X46Y60 RPM_Y 120 SITEPROP SLICE_X46Y60 SITE_PIPS SITEPROP SLICE_X46Y60 SITE_TYPE SLICEM SITEPROP SLICE_X46Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y61 CLASS site SITEPROP SLICE_X46Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y61 IS_BONDED 0 SITEPROP SLICE_X46Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y61 IS_PAD 0 SITEPROP SLICE_X46Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y61 IS_RESERVED 0 SITEPROP SLICE_X46Y61 IS_TEST 0 SITEPROP SLICE_X46Y61 IS_USED 0 SITEPROP SLICE_X46Y61 MANUAL_ROUTING SITEPROP SLICE_X46Y61 NAME SLICE_X46Y61 SITEPROP SLICE_X46Y61 NUM_ARCS 153 SITEPROP SLICE_X46Y61 NUM_BELS 32 SITEPROP SLICE_X46Y61 NUM_INPUTS 37 SITEPROP SLICE_X46Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y61 NUM_PINS 50 SITEPROP SLICE_X46Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y61 PROHIBIT 0 SITEPROP SLICE_X46Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y61 RPM_X 121 SITEPROP SLICE_X46Y61 RPM_Y 122 SITEPROP SLICE_X46Y61 SITE_PIPS SITEPROP SLICE_X46Y61 SITE_TYPE SLICEM SITEPROP SLICE_X46Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y62 CLASS site SITEPROP SLICE_X46Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y62 IS_BONDED 0 SITEPROP SLICE_X46Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y62 IS_PAD 0 SITEPROP SLICE_X46Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y62 IS_RESERVED 0 SITEPROP SLICE_X46Y62 IS_TEST 0 SITEPROP SLICE_X46Y62 IS_USED 0 SITEPROP SLICE_X46Y62 MANUAL_ROUTING SITEPROP SLICE_X46Y62 NAME SLICE_X46Y62 SITEPROP SLICE_X46Y62 NUM_ARCS 153 SITEPROP SLICE_X46Y62 NUM_BELS 32 SITEPROP SLICE_X46Y62 NUM_INPUTS 37 SITEPROP SLICE_X46Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y62 NUM_PINS 50 SITEPROP SLICE_X46Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y62 PROHIBIT 0 SITEPROP SLICE_X46Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y62 RPM_X 121 SITEPROP SLICE_X46Y62 RPM_Y 124 SITEPROP SLICE_X46Y62 SITE_PIPS SITEPROP SLICE_X46Y62 SITE_TYPE SLICEM SITEPROP SLICE_X46Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y63 CLASS site SITEPROP SLICE_X46Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y63 IS_BONDED 0 SITEPROP SLICE_X46Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y63 IS_PAD 0 SITEPROP SLICE_X46Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y63 IS_RESERVED 0 SITEPROP SLICE_X46Y63 IS_TEST 0 SITEPROP SLICE_X46Y63 IS_USED 0 SITEPROP SLICE_X46Y63 MANUAL_ROUTING SITEPROP SLICE_X46Y63 NAME SLICE_X46Y63 SITEPROP SLICE_X46Y63 NUM_ARCS 153 SITEPROP SLICE_X46Y63 NUM_BELS 32 SITEPROP SLICE_X46Y63 NUM_INPUTS 37 SITEPROP SLICE_X46Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y63 NUM_PINS 50 SITEPROP SLICE_X46Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y63 PROHIBIT 0 SITEPROP SLICE_X46Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y63 RPM_X 121 SITEPROP SLICE_X46Y63 RPM_Y 126 SITEPROP SLICE_X46Y63 SITE_PIPS SITEPROP SLICE_X46Y63 SITE_TYPE SLICEM SITEPROP SLICE_X46Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y64 CLASS site SITEPROP SLICE_X46Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y64 IS_BONDED 0 SITEPROP SLICE_X46Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y64 IS_PAD 0 SITEPROP SLICE_X46Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y64 IS_RESERVED 0 SITEPROP SLICE_X46Y64 IS_TEST 0 SITEPROP SLICE_X46Y64 IS_USED 0 SITEPROP SLICE_X46Y64 MANUAL_ROUTING SITEPROP SLICE_X46Y64 NAME SLICE_X46Y64 SITEPROP SLICE_X46Y64 NUM_ARCS 153 SITEPROP SLICE_X46Y64 NUM_BELS 32 SITEPROP SLICE_X46Y64 NUM_INPUTS 37 SITEPROP SLICE_X46Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y64 NUM_PINS 50 SITEPROP SLICE_X46Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y64 PROHIBIT 0 SITEPROP SLICE_X46Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y64 RPM_X 121 SITEPROP SLICE_X46Y64 RPM_Y 128 SITEPROP SLICE_X46Y64 SITE_PIPS SITEPROP SLICE_X46Y64 SITE_TYPE SLICEM SITEPROP SLICE_X46Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y65 CLASS site SITEPROP SLICE_X46Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y65 IS_BONDED 0 SITEPROP SLICE_X46Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y65 IS_PAD 0 SITEPROP SLICE_X46Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y65 IS_RESERVED 0 SITEPROP SLICE_X46Y65 IS_TEST 0 SITEPROP SLICE_X46Y65 IS_USED 0 SITEPROP SLICE_X46Y65 MANUAL_ROUTING SITEPROP SLICE_X46Y65 NAME SLICE_X46Y65 SITEPROP SLICE_X46Y65 NUM_ARCS 153 SITEPROP SLICE_X46Y65 NUM_BELS 32 SITEPROP SLICE_X46Y65 NUM_INPUTS 37 SITEPROP SLICE_X46Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y65 NUM_PINS 50 SITEPROP SLICE_X46Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y65 PROHIBIT 0 SITEPROP SLICE_X46Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y65 RPM_X 121 SITEPROP SLICE_X46Y65 RPM_Y 130 SITEPROP SLICE_X46Y65 SITE_PIPS SITEPROP SLICE_X46Y65 SITE_TYPE SLICEM SITEPROP SLICE_X46Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y66 CLASS site SITEPROP SLICE_X46Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y66 IS_BONDED 0 SITEPROP SLICE_X46Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y66 IS_PAD 0 SITEPROP SLICE_X46Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y66 IS_RESERVED 0 SITEPROP SLICE_X46Y66 IS_TEST 0 SITEPROP SLICE_X46Y66 IS_USED 0 SITEPROP SLICE_X46Y66 MANUAL_ROUTING SITEPROP SLICE_X46Y66 NAME SLICE_X46Y66 SITEPROP SLICE_X46Y66 NUM_ARCS 153 SITEPROP SLICE_X46Y66 NUM_BELS 32 SITEPROP SLICE_X46Y66 NUM_INPUTS 37 SITEPROP SLICE_X46Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y66 NUM_PINS 50 SITEPROP SLICE_X46Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y66 PROHIBIT 0 SITEPROP SLICE_X46Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y66 RPM_X 121 SITEPROP SLICE_X46Y66 RPM_Y 132 SITEPROP SLICE_X46Y66 SITE_PIPS SITEPROP SLICE_X46Y66 SITE_TYPE SLICEM SITEPROP SLICE_X46Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y67 CLASS site SITEPROP SLICE_X46Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y67 IS_BONDED 0 SITEPROP SLICE_X46Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y67 IS_PAD 0 SITEPROP SLICE_X46Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y67 IS_RESERVED 0 SITEPROP SLICE_X46Y67 IS_TEST 0 SITEPROP SLICE_X46Y67 IS_USED 0 SITEPROP SLICE_X46Y67 MANUAL_ROUTING SITEPROP SLICE_X46Y67 NAME SLICE_X46Y67 SITEPROP SLICE_X46Y67 NUM_ARCS 153 SITEPROP SLICE_X46Y67 NUM_BELS 32 SITEPROP SLICE_X46Y67 NUM_INPUTS 37 SITEPROP SLICE_X46Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y67 NUM_PINS 50 SITEPROP SLICE_X46Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y67 PROHIBIT 0 SITEPROP SLICE_X46Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y67 RPM_X 121 SITEPROP SLICE_X46Y67 RPM_Y 134 SITEPROP SLICE_X46Y67 SITE_PIPS SITEPROP SLICE_X46Y67 SITE_TYPE SLICEM SITEPROP SLICE_X46Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y68 CLASS site SITEPROP SLICE_X46Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y68 IS_BONDED 0 SITEPROP SLICE_X46Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y68 IS_PAD 0 SITEPROP SLICE_X46Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y68 IS_RESERVED 0 SITEPROP SLICE_X46Y68 IS_TEST 0 SITEPROP SLICE_X46Y68 IS_USED 0 SITEPROP SLICE_X46Y68 MANUAL_ROUTING SITEPROP SLICE_X46Y68 NAME SLICE_X46Y68 SITEPROP SLICE_X46Y68 NUM_ARCS 153 SITEPROP SLICE_X46Y68 NUM_BELS 32 SITEPROP SLICE_X46Y68 NUM_INPUTS 37 SITEPROP SLICE_X46Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y68 NUM_PINS 50 SITEPROP SLICE_X46Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y68 PROHIBIT 0 SITEPROP SLICE_X46Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y68 RPM_X 121 SITEPROP SLICE_X46Y68 RPM_Y 136 SITEPROP SLICE_X46Y68 SITE_PIPS SITEPROP SLICE_X46Y68 SITE_TYPE SLICEM SITEPROP SLICE_X46Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y69 CLASS site SITEPROP SLICE_X46Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y69 IS_BONDED 0 SITEPROP SLICE_X46Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y69 IS_PAD 0 SITEPROP SLICE_X46Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y69 IS_RESERVED 0 SITEPROP SLICE_X46Y69 IS_TEST 0 SITEPROP SLICE_X46Y69 IS_USED 0 SITEPROP SLICE_X46Y69 MANUAL_ROUTING SITEPROP SLICE_X46Y69 NAME SLICE_X46Y69 SITEPROP SLICE_X46Y69 NUM_ARCS 153 SITEPROP SLICE_X46Y69 NUM_BELS 32 SITEPROP SLICE_X46Y69 NUM_INPUTS 37 SITEPROP SLICE_X46Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y69 NUM_PINS 50 SITEPROP SLICE_X46Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y69 PROHIBIT 0 SITEPROP SLICE_X46Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y69 RPM_X 121 SITEPROP SLICE_X46Y69 RPM_Y 138 SITEPROP SLICE_X46Y69 SITE_PIPS SITEPROP SLICE_X46Y69 SITE_TYPE SLICEM SITEPROP SLICE_X46Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y70 CLASS site SITEPROP SLICE_X46Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y70 IS_BONDED 0 SITEPROP SLICE_X46Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y70 IS_PAD 0 SITEPROP SLICE_X46Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y70 IS_RESERVED 0 SITEPROP SLICE_X46Y70 IS_TEST 0 SITEPROP SLICE_X46Y70 IS_USED 0 SITEPROP SLICE_X46Y70 MANUAL_ROUTING SITEPROP SLICE_X46Y70 NAME SLICE_X46Y70 SITEPROP SLICE_X46Y70 NUM_ARCS 153 SITEPROP SLICE_X46Y70 NUM_BELS 32 SITEPROP SLICE_X46Y70 NUM_INPUTS 37 SITEPROP SLICE_X46Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y70 NUM_PINS 50 SITEPROP SLICE_X46Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y70 PROHIBIT 0 SITEPROP SLICE_X46Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y70 RPM_X 121 SITEPROP SLICE_X46Y70 RPM_Y 140 SITEPROP SLICE_X46Y70 SITE_PIPS SITEPROP SLICE_X46Y70 SITE_TYPE SLICEM SITEPROP SLICE_X46Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y71 CLASS site SITEPROP SLICE_X46Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y71 IS_BONDED 0 SITEPROP SLICE_X46Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y71 IS_PAD 0 SITEPROP SLICE_X46Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y71 IS_RESERVED 0 SITEPROP SLICE_X46Y71 IS_TEST 0 SITEPROP SLICE_X46Y71 IS_USED 0 SITEPROP SLICE_X46Y71 MANUAL_ROUTING SITEPROP SLICE_X46Y71 NAME SLICE_X46Y71 SITEPROP SLICE_X46Y71 NUM_ARCS 153 SITEPROP SLICE_X46Y71 NUM_BELS 32 SITEPROP SLICE_X46Y71 NUM_INPUTS 37 SITEPROP SLICE_X46Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y71 NUM_PINS 50 SITEPROP SLICE_X46Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y71 PROHIBIT 0 SITEPROP SLICE_X46Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y71 RPM_X 121 SITEPROP SLICE_X46Y71 RPM_Y 142 SITEPROP SLICE_X46Y71 SITE_PIPS SITEPROP SLICE_X46Y71 SITE_TYPE SLICEM SITEPROP SLICE_X46Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y72 CLASS site SITEPROP SLICE_X46Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y72 IS_BONDED 0 SITEPROP SLICE_X46Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y72 IS_PAD 0 SITEPROP SLICE_X46Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y72 IS_RESERVED 0 SITEPROP SLICE_X46Y72 IS_TEST 0 SITEPROP SLICE_X46Y72 IS_USED 0 SITEPROP SLICE_X46Y72 MANUAL_ROUTING SITEPROP SLICE_X46Y72 NAME SLICE_X46Y72 SITEPROP SLICE_X46Y72 NUM_ARCS 153 SITEPROP SLICE_X46Y72 NUM_BELS 32 SITEPROP SLICE_X46Y72 NUM_INPUTS 37 SITEPROP SLICE_X46Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y72 NUM_PINS 50 SITEPROP SLICE_X46Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y72 PROHIBIT 0 SITEPROP SLICE_X46Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y72 RPM_X 121 SITEPROP SLICE_X46Y72 RPM_Y 144 SITEPROP SLICE_X46Y72 SITE_PIPS SITEPROP SLICE_X46Y72 SITE_TYPE SLICEM SITEPROP SLICE_X46Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y73 CLASS site SITEPROP SLICE_X46Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y73 IS_BONDED 0 SITEPROP SLICE_X46Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y73 IS_PAD 0 SITEPROP SLICE_X46Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y73 IS_RESERVED 0 SITEPROP SLICE_X46Y73 IS_TEST 0 SITEPROP SLICE_X46Y73 IS_USED 0 SITEPROP SLICE_X46Y73 MANUAL_ROUTING SITEPROP SLICE_X46Y73 NAME SLICE_X46Y73 SITEPROP SLICE_X46Y73 NUM_ARCS 153 SITEPROP SLICE_X46Y73 NUM_BELS 32 SITEPROP SLICE_X46Y73 NUM_INPUTS 37 SITEPROP SLICE_X46Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y73 NUM_PINS 50 SITEPROP SLICE_X46Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y73 PROHIBIT 0 SITEPROP SLICE_X46Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y73 RPM_X 121 SITEPROP SLICE_X46Y73 RPM_Y 146 SITEPROP SLICE_X46Y73 SITE_PIPS SITEPROP SLICE_X46Y73 SITE_TYPE SLICEM SITEPROP SLICE_X46Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y74 CLASS site SITEPROP SLICE_X46Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y74 IS_BONDED 0 SITEPROP SLICE_X46Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y74 IS_PAD 0 SITEPROP SLICE_X46Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y74 IS_RESERVED 0 SITEPROP SLICE_X46Y74 IS_TEST 0 SITEPROP SLICE_X46Y74 IS_USED 0 SITEPROP SLICE_X46Y74 MANUAL_ROUTING SITEPROP SLICE_X46Y74 NAME SLICE_X46Y74 SITEPROP SLICE_X46Y74 NUM_ARCS 153 SITEPROP SLICE_X46Y74 NUM_BELS 32 SITEPROP SLICE_X46Y74 NUM_INPUTS 37 SITEPROP SLICE_X46Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y74 NUM_PINS 50 SITEPROP SLICE_X46Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y74 PROHIBIT 0 SITEPROP SLICE_X46Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y74 RPM_X 121 SITEPROP SLICE_X46Y74 RPM_Y 148 SITEPROP SLICE_X46Y74 SITE_PIPS SITEPROP SLICE_X46Y74 SITE_TYPE SLICEM SITEPROP SLICE_X46Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y75 CLASS site SITEPROP SLICE_X46Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y75 IS_BONDED 0 SITEPROP SLICE_X46Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y75 IS_PAD 0 SITEPROP SLICE_X46Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y75 IS_RESERVED 0 SITEPROP SLICE_X46Y75 IS_TEST 0 SITEPROP SLICE_X46Y75 IS_USED 0 SITEPROP SLICE_X46Y75 MANUAL_ROUTING SITEPROP SLICE_X46Y75 NAME SLICE_X46Y75 SITEPROP SLICE_X46Y75 NUM_ARCS 153 SITEPROP SLICE_X46Y75 NUM_BELS 32 SITEPROP SLICE_X46Y75 NUM_INPUTS 37 SITEPROP SLICE_X46Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y75 NUM_PINS 50 SITEPROP SLICE_X46Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y75 PROHIBIT 0 SITEPROP SLICE_X46Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y75 RPM_X 121 SITEPROP SLICE_X46Y75 RPM_Y 150 SITEPROP SLICE_X46Y75 SITE_PIPS SITEPROP SLICE_X46Y75 SITE_TYPE SLICEM SITEPROP SLICE_X46Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y76 CLASS site SITEPROP SLICE_X46Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y76 IS_BONDED 0 SITEPROP SLICE_X46Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y76 IS_PAD 0 SITEPROP SLICE_X46Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y76 IS_RESERVED 0 SITEPROP SLICE_X46Y76 IS_TEST 0 SITEPROP SLICE_X46Y76 IS_USED 0 SITEPROP SLICE_X46Y76 MANUAL_ROUTING SITEPROP SLICE_X46Y76 NAME SLICE_X46Y76 SITEPROP SLICE_X46Y76 NUM_ARCS 153 SITEPROP SLICE_X46Y76 NUM_BELS 32 SITEPROP SLICE_X46Y76 NUM_INPUTS 37 SITEPROP SLICE_X46Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y76 NUM_PINS 50 SITEPROP SLICE_X46Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y76 PROHIBIT 0 SITEPROP SLICE_X46Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y76 RPM_X 121 SITEPROP SLICE_X46Y76 RPM_Y 152 SITEPROP SLICE_X46Y76 SITE_PIPS SITEPROP SLICE_X46Y76 SITE_TYPE SLICEM SITEPROP SLICE_X46Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y77 CLASS site SITEPROP SLICE_X46Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y77 IS_BONDED 0 SITEPROP SLICE_X46Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y77 IS_PAD 0 SITEPROP SLICE_X46Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y77 IS_RESERVED 0 SITEPROP SLICE_X46Y77 IS_TEST 0 SITEPROP SLICE_X46Y77 IS_USED 0 SITEPROP SLICE_X46Y77 MANUAL_ROUTING SITEPROP SLICE_X46Y77 NAME SLICE_X46Y77 SITEPROP SLICE_X46Y77 NUM_ARCS 153 SITEPROP SLICE_X46Y77 NUM_BELS 32 SITEPROP SLICE_X46Y77 NUM_INPUTS 37 SITEPROP SLICE_X46Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y77 NUM_PINS 50 SITEPROP SLICE_X46Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y77 PROHIBIT 0 SITEPROP SLICE_X46Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y77 RPM_X 121 SITEPROP SLICE_X46Y77 RPM_Y 154 SITEPROP SLICE_X46Y77 SITE_PIPS SITEPROP SLICE_X46Y77 SITE_TYPE SLICEM SITEPROP SLICE_X46Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y78 CLASS site SITEPROP SLICE_X46Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y78 IS_BONDED 0 SITEPROP SLICE_X46Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y78 IS_PAD 0 SITEPROP SLICE_X46Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y78 IS_RESERVED 0 SITEPROP SLICE_X46Y78 IS_TEST 0 SITEPROP SLICE_X46Y78 IS_USED 0 SITEPROP SLICE_X46Y78 MANUAL_ROUTING SITEPROP SLICE_X46Y78 NAME SLICE_X46Y78 SITEPROP SLICE_X46Y78 NUM_ARCS 153 SITEPROP SLICE_X46Y78 NUM_BELS 32 SITEPROP SLICE_X46Y78 NUM_INPUTS 37 SITEPROP SLICE_X46Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y78 NUM_PINS 50 SITEPROP SLICE_X46Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y78 PROHIBIT 0 SITEPROP SLICE_X46Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y78 RPM_X 121 SITEPROP SLICE_X46Y78 RPM_Y 156 SITEPROP SLICE_X46Y78 SITE_PIPS SITEPROP SLICE_X46Y78 SITE_TYPE SLICEM SITEPROP SLICE_X46Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y79 CLASS site SITEPROP SLICE_X46Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y79 IS_BONDED 0 SITEPROP SLICE_X46Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y79 IS_PAD 0 SITEPROP SLICE_X46Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y79 IS_RESERVED 0 SITEPROP SLICE_X46Y79 IS_TEST 0 SITEPROP SLICE_X46Y79 IS_USED 0 SITEPROP SLICE_X46Y79 MANUAL_ROUTING SITEPROP SLICE_X46Y79 NAME SLICE_X46Y79 SITEPROP SLICE_X46Y79 NUM_ARCS 153 SITEPROP SLICE_X46Y79 NUM_BELS 32 SITEPROP SLICE_X46Y79 NUM_INPUTS 37 SITEPROP SLICE_X46Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y79 NUM_PINS 50 SITEPROP SLICE_X46Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y79 PROHIBIT 0 SITEPROP SLICE_X46Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y79 RPM_X 121 SITEPROP SLICE_X46Y79 RPM_Y 158 SITEPROP SLICE_X46Y79 SITE_PIPS SITEPROP SLICE_X46Y79 SITE_TYPE SLICEM SITEPROP SLICE_X46Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y80 CLASS site SITEPROP SLICE_X46Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y80 IS_BONDED 0 SITEPROP SLICE_X46Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y80 IS_PAD 0 SITEPROP SLICE_X46Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y80 IS_RESERVED 0 SITEPROP SLICE_X46Y80 IS_TEST 0 SITEPROP SLICE_X46Y80 IS_USED 0 SITEPROP SLICE_X46Y80 MANUAL_ROUTING SITEPROP SLICE_X46Y80 NAME SLICE_X46Y80 SITEPROP SLICE_X46Y80 NUM_ARCS 153 SITEPROP SLICE_X46Y80 NUM_BELS 32 SITEPROP SLICE_X46Y80 NUM_INPUTS 37 SITEPROP SLICE_X46Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y80 NUM_PINS 50 SITEPROP SLICE_X46Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y80 PROHIBIT 0 SITEPROP SLICE_X46Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y80 RPM_X 121 SITEPROP SLICE_X46Y80 RPM_Y 160 SITEPROP SLICE_X46Y80 SITE_PIPS SITEPROP SLICE_X46Y80 SITE_TYPE SLICEM SITEPROP SLICE_X46Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y81 CLASS site SITEPROP SLICE_X46Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y81 IS_BONDED 0 SITEPROP SLICE_X46Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y81 IS_PAD 0 SITEPROP SLICE_X46Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y81 IS_RESERVED 0 SITEPROP SLICE_X46Y81 IS_TEST 0 SITEPROP SLICE_X46Y81 IS_USED 0 SITEPROP SLICE_X46Y81 MANUAL_ROUTING SITEPROP SLICE_X46Y81 NAME SLICE_X46Y81 SITEPROP SLICE_X46Y81 NUM_ARCS 153 SITEPROP SLICE_X46Y81 NUM_BELS 32 SITEPROP SLICE_X46Y81 NUM_INPUTS 37 SITEPROP SLICE_X46Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y81 NUM_PINS 50 SITEPROP SLICE_X46Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y81 PROHIBIT 0 SITEPROP SLICE_X46Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y81 RPM_X 121 SITEPROP SLICE_X46Y81 RPM_Y 162 SITEPROP SLICE_X46Y81 SITE_PIPS SITEPROP SLICE_X46Y81 SITE_TYPE SLICEM SITEPROP SLICE_X46Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y82 CLASS site SITEPROP SLICE_X46Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y82 IS_BONDED 0 SITEPROP SLICE_X46Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y82 IS_PAD 0 SITEPROP SLICE_X46Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y82 IS_RESERVED 0 SITEPROP SLICE_X46Y82 IS_TEST 0 SITEPROP SLICE_X46Y82 IS_USED 0 SITEPROP SLICE_X46Y82 MANUAL_ROUTING SITEPROP SLICE_X46Y82 NAME SLICE_X46Y82 SITEPROP SLICE_X46Y82 NUM_ARCS 153 SITEPROP SLICE_X46Y82 NUM_BELS 32 SITEPROP SLICE_X46Y82 NUM_INPUTS 37 SITEPROP SLICE_X46Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y82 NUM_PINS 50 SITEPROP SLICE_X46Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y82 PROHIBIT 0 SITEPROP SLICE_X46Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y82 RPM_X 121 SITEPROP SLICE_X46Y82 RPM_Y 164 SITEPROP SLICE_X46Y82 SITE_PIPS SITEPROP SLICE_X46Y82 SITE_TYPE SLICEM SITEPROP SLICE_X46Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y83 CLASS site SITEPROP SLICE_X46Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y83 IS_BONDED 0 SITEPROP SLICE_X46Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y83 IS_PAD 0 SITEPROP SLICE_X46Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y83 IS_RESERVED 0 SITEPROP SLICE_X46Y83 IS_TEST 0 SITEPROP SLICE_X46Y83 IS_USED 0 SITEPROP SLICE_X46Y83 MANUAL_ROUTING SITEPROP SLICE_X46Y83 NAME SLICE_X46Y83 SITEPROP SLICE_X46Y83 NUM_ARCS 153 SITEPROP SLICE_X46Y83 NUM_BELS 32 SITEPROP SLICE_X46Y83 NUM_INPUTS 37 SITEPROP SLICE_X46Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y83 NUM_PINS 50 SITEPROP SLICE_X46Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y83 PROHIBIT 0 SITEPROP SLICE_X46Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y83 RPM_X 121 SITEPROP SLICE_X46Y83 RPM_Y 166 SITEPROP SLICE_X46Y83 SITE_PIPS SITEPROP SLICE_X46Y83 SITE_TYPE SLICEM SITEPROP SLICE_X46Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y84 CLASS site SITEPROP SLICE_X46Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y84 IS_BONDED 0 SITEPROP SLICE_X46Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y84 IS_PAD 0 SITEPROP SLICE_X46Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y84 IS_RESERVED 0 SITEPROP SLICE_X46Y84 IS_TEST 0 SITEPROP SLICE_X46Y84 IS_USED 0 SITEPROP SLICE_X46Y84 MANUAL_ROUTING SITEPROP SLICE_X46Y84 NAME SLICE_X46Y84 SITEPROP SLICE_X46Y84 NUM_ARCS 153 SITEPROP SLICE_X46Y84 NUM_BELS 32 SITEPROP SLICE_X46Y84 NUM_INPUTS 37 SITEPROP SLICE_X46Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y84 NUM_PINS 50 SITEPROP SLICE_X46Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y84 PROHIBIT 0 SITEPROP SLICE_X46Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y84 RPM_X 121 SITEPROP SLICE_X46Y84 RPM_Y 168 SITEPROP SLICE_X46Y84 SITE_PIPS SITEPROP SLICE_X46Y84 SITE_TYPE SLICEM SITEPROP SLICE_X46Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y85 CLASS site SITEPROP SLICE_X46Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y85 IS_BONDED 0 SITEPROP SLICE_X46Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y85 IS_PAD 0 SITEPROP SLICE_X46Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y85 IS_RESERVED 0 SITEPROP SLICE_X46Y85 IS_TEST 0 SITEPROP SLICE_X46Y85 IS_USED 0 SITEPROP SLICE_X46Y85 MANUAL_ROUTING SITEPROP SLICE_X46Y85 NAME SLICE_X46Y85 SITEPROP SLICE_X46Y85 NUM_ARCS 153 SITEPROP SLICE_X46Y85 NUM_BELS 32 SITEPROP SLICE_X46Y85 NUM_INPUTS 37 SITEPROP SLICE_X46Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y85 NUM_PINS 50 SITEPROP SLICE_X46Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y85 PROHIBIT 0 SITEPROP SLICE_X46Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y85 RPM_X 121 SITEPROP SLICE_X46Y85 RPM_Y 170 SITEPROP SLICE_X46Y85 SITE_PIPS SITEPROP SLICE_X46Y85 SITE_TYPE SLICEM SITEPROP SLICE_X46Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y86 CLASS site SITEPROP SLICE_X46Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y86 IS_BONDED 0 SITEPROP SLICE_X46Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y86 IS_PAD 0 SITEPROP SLICE_X46Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y86 IS_RESERVED 0 SITEPROP SLICE_X46Y86 IS_TEST 0 SITEPROP SLICE_X46Y86 IS_USED 0 SITEPROP SLICE_X46Y86 MANUAL_ROUTING SITEPROP SLICE_X46Y86 NAME SLICE_X46Y86 SITEPROP SLICE_X46Y86 NUM_ARCS 153 SITEPROP SLICE_X46Y86 NUM_BELS 32 SITEPROP SLICE_X46Y86 NUM_INPUTS 37 SITEPROP SLICE_X46Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y86 NUM_PINS 50 SITEPROP SLICE_X46Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y86 PROHIBIT 0 SITEPROP SLICE_X46Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y86 RPM_X 121 SITEPROP SLICE_X46Y86 RPM_Y 172 SITEPROP SLICE_X46Y86 SITE_PIPS SITEPROP SLICE_X46Y86 SITE_TYPE SLICEM SITEPROP SLICE_X46Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y87 CLASS site SITEPROP SLICE_X46Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y87 IS_BONDED 0 SITEPROP SLICE_X46Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y87 IS_PAD 0 SITEPROP SLICE_X46Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y87 IS_RESERVED 0 SITEPROP SLICE_X46Y87 IS_TEST 0 SITEPROP SLICE_X46Y87 IS_USED 0 SITEPROP SLICE_X46Y87 MANUAL_ROUTING SITEPROP SLICE_X46Y87 NAME SLICE_X46Y87 SITEPROP SLICE_X46Y87 NUM_ARCS 153 SITEPROP SLICE_X46Y87 NUM_BELS 32 SITEPROP SLICE_X46Y87 NUM_INPUTS 37 SITEPROP SLICE_X46Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y87 NUM_PINS 50 SITEPROP SLICE_X46Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y87 PROHIBIT 0 SITEPROP SLICE_X46Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y87 RPM_X 121 SITEPROP SLICE_X46Y87 RPM_Y 174 SITEPROP SLICE_X46Y87 SITE_PIPS SITEPROP SLICE_X46Y87 SITE_TYPE SLICEM SITEPROP SLICE_X46Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y88 CLASS site SITEPROP SLICE_X46Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y88 IS_BONDED 0 SITEPROP SLICE_X46Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y88 IS_PAD 0 SITEPROP SLICE_X46Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y88 IS_RESERVED 0 SITEPROP SLICE_X46Y88 IS_TEST 0 SITEPROP SLICE_X46Y88 IS_USED 0 SITEPROP SLICE_X46Y88 MANUAL_ROUTING SITEPROP SLICE_X46Y88 NAME SLICE_X46Y88 SITEPROP SLICE_X46Y88 NUM_ARCS 153 SITEPROP SLICE_X46Y88 NUM_BELS 32 SITEPROP SLICE_X46Y88 NUM_INPUTS 37 SITEPROP SLICE_X46Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y88 NUM_PINS 50 SITEPROP SLICE_X46Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y88 PROHIBIT 0 SITEPROP SLICE_X46Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y88 RPM_X 121 SITEPROP SLICE_X46Y88 RPM_Y 176 SITEPROP SLICE_X46Y88 SITE_PIPS SITEPROP SLICE_X46Y88 SITE_TYPE SLICEM SITEPROP SLICE_X46Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y89 CLASS site SITEPROP SLICE_X46Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y89 IS_BONDED 0 SITEPROP SLICE_X46Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y89 IS_PAD 0 SITEPROP SLICE_X46Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y89 IS_RESERVED 0 SITEPROP SLICE_X46Y89 IS_TEST 0 SITEPROP SLICE_X46Y89 IS_USED 0 SITEPROP SLICE_X46Y89 MANUAL_ROUTING SITEPROP SLICE_X46Y89 NAME SLICE_X46Y89 SITEPROP SLICE_X46Y89 NUM_ARCS 153 SITEPROP SLICE_X46Y89 NUM_BELS 32 SITEPROP SLICE_X46Y89 NUM_INPUTS 37 SITEPROP SLICE_X46Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y89 NUM_PINS 50 SITEPROP SLICE_X46Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y89 PROHIBIT 0 SITEPROP SLICE_X46Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y89 RPM_X 121 SITEPROP SLICE_X46Y89 RPM_Y 178 SITEPROP SLICE_X46Y89 SITE_PIPS SITEPROP SLICE_X46Y89 SITE_TYPE SLICEM SITEPROP SLICE_X46Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y90 CLASS site SITEPROP SLICE_X46Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y90 IS_BONDED 0 SITEPROP SLICE_X46Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y90 IS_PAD 0 SITEPROP SLICE_X46Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y90 IS_RESERVED 0 SITEPROP SLICE_X46Y90 IS_TEST 0 SITEPROP SLICE_X46Y90 IS_USED 0 SITEPROP SLICE_X46Y90 MANUAL_ROUTING SITEPROP SLICE_X46Y90 NAME SLICE_X46Y90 SITEPROP SLICE_X46Y90 NUM_ARCS 153 SITEPROP SLICE_X46Y90 NUM_BELS 32 SITEPROP SLICE_X46Y90 NUM_INPUTS 37 SITEPROP SLICE_X46Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y90 NUM_PINS 50 SITEPROP SLICE_X46Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y90 PROHIBIT 0 SITEPROP SLICE_X46Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y90 RPM_X 121 SITEPROP SLICE_X46Y90 RPM_Y 180 SITEPROP SLICE_X46Y90 SITE_PIPS SITEPROP SLICE_X46Y90 SITE_TYPE SLICEM SITEPROP SLICE_X46Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y91 CLASS site SITEPROP SLICE_X46Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y91 IS_BONDED 0 SITEPROP SLICE_X46Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y91 IS_PAD 0 SITEPROP SLICE_X46Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y91 IS_RESERVED 0 SITEPROP SLICE_X46Y91 IS_TEST 0 SITEPROP SLICE_X46Y91 IS_USED 0 SITEPROP SLICE_X46Y91 MANUAL_ROUTING SITEPROP SLICE_X46Y91 NAME SLICE_X46Y91 SITEPROP SLICE_X46Y91 NUM_ARCS 153 SITEPROP SLICE_X46Y91 NUM_BELS 32 SITEPROP SLICE_X46Y91 NUM_INPUTS 37 SITEPROP SLICE_X46Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y91 NUM_PINS 50 SITEPROP SLICE_X46Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y91 PROHIBIT 0 SITEPROP SLICE_X46Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y91 RPM_X 121 SITEPROP SLICE_X46Y91 RPM_Y 182 SITEPROP SLICE_X46Y91 SITE_PIPS SITEPROP SLICE_X46Y91 SITE_TYPE SLICEM SITEPROP SLICE_X46Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y92 CLASS site SITEPROP SLICE_X46Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y92 IS_BONDED 0 SITEPROP SLICE_X46Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y92 IS_PAD 0 SITEPROP SLICE_X46Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y92 IS_RESERVED 0 SITEPROP SLICE_X46Y92 IS_TEST 0 SITEPROP SLICE_X46Y92 IS_USED 0 SITEPROP SLICE_X46Y92 MANUAL_ROUTING SITEPROP SLICE_X46Y92 NAME SLICE_X46Y92 SITEPROP SLICE_X46Y92 NUM_ARCS 153 SITEPROP SLICE_X46Y92 NUM_BELS 32 SITEPROP SLICE_X46Y92 NUM_INPUTS 37 SITEPROP SLICE_X46Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y92 NUM_PINS 50 SITEPROP SLICE_X46Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y92 PROHIBIT 0 SITEPROP SLICE_X46Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y92 RPM_X 121 SITEPROP SLICE_X46Y92 RPM_Y 184 SITEPROP SLICE_X46Y92 SITE_PIPS SITEPROP SLICE_X46Y92 SITE_TYPE SLICEM SITEPROP SLICE_X46Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y93 CLASS site SITEPROP SLICE_X46Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y93 IS_BONDED 0 SITEPROP SLICE_X46Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y93 IS_PAD 0 SITEPROP SLICE_X46Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y93 IS_RESERVED 0 SITEPROP SLICE_X46Y93 IS_TEST 0 SITEPROP SLICE_X46Y93 IS_USED 0 SITEPROP SLICE_X46Y93 MANUAL_ROUTING SITEPROP SLICE_X46Y93 NAME SLICE_X46Y93 SITEPROP SLICE_X46Y93 NUM_ARCS 153 SITEPROP SLICE_X46Y93 NUM_BELS 32 SITEPROP SLICE_X46Y93 NUM_INPUTS 37 SITEPROP SLICE_X46Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y93 NUM_PINS 50 SITEPROP SLICE_X46Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y93 PROHIBIT 0 SITEPROP SLICE_X46Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y93 RPM_X 121 SITEPROP SLICE_X46Y93 RPM_Y 186 SITEPROP SLICE_X46Y93 SITE_PIPS SITEPROP SLICE_X46Y93 SITE_TYPE SLICEM SITEPROP SLICE_X46Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y94 CLASS site SITEPROP SLICE_X46Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y94 IS_BONDED 0 SITEPROP SLICE_X46Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y94 IS_PAD 0 SITEPROP SLICE_X46Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y94 IS_RESERVED 0 SITEPROP SLICE_X46Y94 IS_TEST 0 SITEPROP SLICE_X46Y94 IS_USED 0 SITEPROP SLICE_X46Y94 MANUAL_ROUTING SITEPROP SLICE_X46Y94 NAME SLICE_X46Y94 SITEPROP SLICE_X46Y94 NUM_ARCS 153 SITEPROP SLICE_X46Y94 NUM_BELS 32 SITEPROP SLICE_X46Y94 NUM_INPUTS 37 SITEPROP SLICE_X46Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y94 NUM_PINS 50 SITEPROP SLICE_X46Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y94 PROHIBIT 0 SITEPROP SLICE_X46Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y94 RPM_X 121 SITEPROP SLICE_X46Y94 RPM_Y 188 SITEPROP SLICE_X46Y94 SITE_PIPS SITEPROP SLICE_X46Y94 SITE_TYPE SLICEM SITEPROP SLICE_X46Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y95 CLASS site SITEPROP SLICE_X46Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y95 IS_BONDED 0 SITEPROP SLICE_X46Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y95 IS_PAD 0 SITEPROP SLICE_X46Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y95 IS_RESERVED 0 SITEPROP SLICE_X46Y95 IS_TEST 0 SITEPROP SLICE_X46Y95 IS_USED 0 SITEPROP SLICE_X46Y95 MANUAL_ROUTING SITEPROP SLICE_X46Y95 NAME SLICE_X46Y95 SITEPROP SLICE_X46Y95 NUM_ARCS 153 SITEPROP SLICE_X46Y95 NUM_BELS 32 SITEPROP SLICE_X46Y95 NUM_INPUTS 37 SITEPROP SLICE_X46Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y95 NUM_PINS 50 SITEPROP SLICE_X46Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y95 PROHIBIT 0 SITEPROP SLICE_X46Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y95 RPM_X 121 SITEPROP SLICE_X46Y95 RPM_Y 190 SITEPROP SLICE_X46Y95 SITE_PIPS SITEPROP SLICE_X46Y95 SITE_TYPE SLICEM SITEPROP SLICE_X46Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y96 CLASS site SITEPROP SLICE_X46Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y96 IS_BONDED 0 SITEPROP SLICE_X46Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y96 IS_PAD 0 SITEPROP SLICE_X46Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y96 IS_RESERVED 0 SITEPROP SLICE_X46Y96 IS_TEST 0 SITEPROP SLICE_X46Y96 IS_USED 0 SITEPROP SLICE_X46Y96 MANUAL_ROUTING SITEPROP SLICE_X46Y96 NAME SLICE_X46Y96 SITEPROP SLICE_X46Y96 NUM_ARCS 153 SITEPROP SLICE_X46Y96 NUM_BELS 32 SITEPROP SLICE_X46Y96 NUM_INPUTS 37 SITEPROP SLICE_X46Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y96 NUM_PINS 50 SITEPROP SLICE_X46Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y96 PROHIBIT 0 SITEPROP SLICE_X46Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y96 RPM_X 121 SITEPROP SLICE_X46Y96 RPM_Y 192 SITEPROP SLICE_X46Y96 SITE_PIPS SITEPROP SLICE_X46Y96 SITE_TYPE SLICEM SITEPROP SLICE_X46Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y97 CLASS site SITEPROP SLICE_X46Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y97 IS_BONDED 0 SITEPROP SLICE_X46Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y97 IS_PAD 0 SITEPROP SLICE_X46Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y97 IS_RESERVED 0 SITEPROP SLICE_X46Y97 IS_TEST 0 SITEPROP SLICE_X46Y97 IS_USED 0 SITEPROP SLICE_X46Y97 MANUAL_ROUTING SITEPROP SLICE_X46Y97 NAME SLICE_X46Y97 SITEPROP SLICE_X46Y97 NUM_ARCS 153 SITEPROP SLICE_X46Y97 NUM_BELS 32 SITEPROP SLICE_X46Y97 NUM_INPUTS 37 SITEPROP SLICE_X46Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y97 NUM_PINS 50 SITEPROP SLICE_X46Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y97 PROHIBIT 0 SITEPROP SLICE_X46Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y97 RPM_X 121 SITEPROP SLICE_X46Y97 RPM_Y 194 SITEPROP SLICE_X46Y97 SITE_PIPS SITEPROP SLICE_X46Y97 SITE_TYPE SLICEM SITEPROP SLICE_X46Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y98 CLASS site SITEPROP SLICE_X46Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y98 IS_BONDED 0 SITEPROP SLICE_X46Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y98 IS_PAD 0 SITEPROP SLICE_X46Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y98 IS_RESERVED 0 SITEPROP SLICE_X46Y98 IS_TEST 0 SITEPROP SLICE_X46Y98 IS_USED 0 SITEPROP SLICE_X46Y98 MANUAL_ROUTING SITEPROP SLICE_X46Y98 NAME SLICE_X46Y98 SITEPROP SLICE_X46Y98 NUM_ARCS 153 SITEPROP SLICE_X46Y98 NUM_BELS 32 SITEPROP SLICE_X46Y98 NUM_INPUTS 37 SITEPROP SLICE_X46Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y98 NUM_PINS 50 SITEPROP SLICE_X46Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y98 PROHIBIT 0 SITEPROP SLICE_X46Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y98 RPM_X 121 SITEPROP SLICE_X46Y98 RPM_Y 196 SITEPROP SLICE_X46Y98 SITE_PIPS SITEPROP SLICE_X46Y98 SITE_TYPE SLICEM SITEPROP SLICE_X46Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y99 CLASS site SITEPROP SLICE_X46Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X46Y99 IS_BONDED 0 SITEPROP SLICE_X46Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y99 IS_PAD 0 SITEPROP SLICE_X46Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y99 IS_RESERVED 0 SITEPROP SLICE_X46Y99 IS_TEST 0 SITEPROP SLICE_X46Y99 IS_USED 0 SITEPROP SLICE_X46Y99 MANUAL_ROUTING SITEPROP SLICE_X46Y99 NAME SLICE_X46Y99 SITEPROP SLICE_X46Y99 NUM_ARCS 153 SITEPROP SLICE_X46Y99 NUM_BELS 32 SITEPROP SLICE_X46Y99 NUM_INPUTS 37 SITEPROP SLICE_X46Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y99 NUM_PINS 50 SITEPROP SLICE_X46Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y99 PROHIBIT 0 SITEPROP SLICE_X46Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y99 RPM_X 121 SITEPROP SLICE_X46Y99 RPM_Y 198 SITEPROP SLICE_X46Y99 SITE_PIPS SITEPROP SLICE_X46Y99 SITE_TYPE SLICEM SITEPROP SLICE_X46Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y125 CLASS site SITEPROP SLICE_X46Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y125 IS_BONDED 0 SITEPROP SLICE_X46Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y125 IS_PAD 0 SITEPROP SLICE_X46Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y125 IS_RESERVED 0 SITEPROP SLICE_X46Y125 IS_TEST 0 SITEPROP SLICE_X46Y125 IS_USED 0 SITEPROP SLICE_X46Y125 MANUAL_ROUTING SITEPROP SLICE_X46Y125 NAME SLICE_X46Y125 SITEPROP SLICE_X46Y125 NUM_ARCS 153 SITEPROP SLICE_X46Y125 NUM_BELS 32 SITEPROP SLICE_X46Y125 NUM_INPUTS 37 SITEPROP SLICE_X46Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y125 NUM_PINS 50 SITEPROP SLICE_X46Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y125 PROHIBIT 0 SITEPROP SLICE_X46Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y125 RPM_X 121 SITEPROP SLICE_X46Y125 RPM_Y 250 SITEPROP SLICE_X46Y125 SITE_PIPS SITEPROP SLICE_X46Y125 SITE_TYPE SLICEM SITEPROP SLICE_X46Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y126 CLASS site SITEPROP SLICE_X46Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y126 IS_BONDED 0 SITEPROP SLICE_X46Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y126 IS_PAD 0 SITEPROP SLICE_X46Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y126 IS_RESERVED 0 SITEPROP SLICE_X46Y126 IS_TEST 0 SITEPROP SLICE_X46Y126 IS_USED 0 SITEPROP SLICE_X46Y126 MANUAL_ROUTING SITEPROP SLICE_X46Y126 NAME SLICE_X46Y126 SITEPROP SLICE_X46Y126 NUM_ARCS 153 SITEPROP SLICE_X46Y126 NUM_BELS 32 SITEPROP SLICE_X46Y126 NUM_INPUTS 37 SITEPROP SLICE_X46Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y126 NUM_PINS 50 SITEPROP SLICE_X46Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y126 PROHIBIT 0 SITEPROP SLICE_X46Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y126 RPM_X 121 SITEPROP SLICE_X46Y126 RPM_Y 252 SITEPROP SLICE_X46Y126 SITE_PIPS SITEPROP SLICE_X46Y126 SITE_TYPE SLICEM SITEPROP SLICE_X46Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y127 CLASS site SITEPROP SLICE_X46Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y127 IS_BONDED 0 SITEPROP SLICE_X46Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y127 IS_PAD 0 SITEPROP SLICE_X46Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y127 IS_RESERVED 0 SITEPROP SLICE_X46Y127 IS_TEST 0 SITEPROP SLICE_X46Y127 IS_USED 0 SITEPROP SLICE_X46Y127 MANUAL_ROUTING SITEPROP SLICE_X46Y127 NAME SLICE_X46Y127 SITEPROP SLICE_X46Y127 NUM_ARCS 153 SITEPROP SLICE_X46Y127 NUM_BELS 32 SITEPROP SLICE_X46Y127 NUM_INPUTS 37 SITEPROP SLICE_X46Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y127 NUM_PINS 50 SITEPROP SLICE_X46Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y127 PROHIBIT 0 SITEPROP SLICE_X46Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y127 RPM_X 121 SITEPROP SLICE_X46Y127 RPM_Y 254 SITEPROP SLICE_X46Y127 SITE_PIPS SITEPROP SLICE_X46Y127 SITE_TYPE SLICEM SITEPROP SLICE_X46Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y128 CLASS site SITEPROP SLICE_X46Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y128 IS_BONDED 0 SITEPROP SLICE_X46Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y128 IS_PAD 0 SITEPROP SLICE_X46Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y128 IS_RESERVED 0 SITEPROP SLICE_X46Y128 IS_TEST 0 SITEPROP SLICE_X46Y128 IS_USED 0 SITEPROP SLICE_X46Y128 MANUAL_ROUTING SITEPROP SLICE_X46Y128 NAME SLICE_X46Y128 SITEPROP SLICE_X46Y128 NUM_ARCS 153 SITEPROP SLICE_X46Y128 NUM_BELS 32 SITEPROP SLICE_X46Y128 NUM_INPUTS 37 SITEPROP SLICE_X46Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y128 NUM_PINS 50 SITEPROP SLICE_X46Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y128 PROHIBIT 0 SITEPROP SLICE_X46Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y128 RPM_X 121 SITEPROP SLICE_X46Y128 RPM_Y 256 SITEPROP SLICE_X46Y128 SITE_PIPS SITEPROP SLICE_X46Y128 SITE_TYPE SLICEM SITEPROP SLICE_X46Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y129 CLASS site SITEPROP SLICE_X46Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y129 IS_BONDED 0 SITEPROP SLICE_X46Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y129 IS_PAD 0 SITEPROP SLICE_X46Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y129 IS_RESERVED 0 SITEPROP SLICE_X46Y129 IS_TEST 0 SITEPROP SLICE_X46Y129 IS_USED 0 SITEPROP SLICE_X46Y129 MANUAL_ROUTING SITEPROP SLICE_X46Y129 NAME SLICE_X46Y129 SITEPROP SLICE_X46Y129 NUM_ARCS 153 SITEPROP SLICE_X46Y129 NUM_BELS 32 SITEPROP SLICE_X46Y129 NUM_INPUTS 37 SITEPROP SLICE_X46Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y129 NUM_PINS 50 SITEPROP SLICE_X46Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y129 PROHIBIT 0 SITEPROP SLICE_X46Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y129 RPM_X 121 SITEPROP SLICE_X46Y129 RPM_Y 258 SITEPROP SLICE_X46Y129 SITE_PIPS SITEPROP SLICE_X46Y129 SITE_TYPE SLICEM SITEPROP SLICE_X46Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y130 CLASS site SITEPROP SLICE_X46Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y130 IS_BONDED 0 SITEPROP SLICE_X46Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y130 IS_PAD 0 SITEPROP SLICE_X46Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y130 IS_RESERVED 0 SITEPROP SLICE_X46Y130 IS_TEST 0 SITEPROP SLICE_X46Y130 IS_USED 0 SITEPROP SLICE_X46Y130 MANUAL_ROUTING SITEPROP SLICE_X46Y130 NAME SLICE_X46Y130 SITEPROP SLICE_X46Y130 NUM_ARCS 153 SITEPROP SLICE_X46Y130 NUM_BELS 32 SITEPROP SLICE_X46Y130 NUM_INPUTS 37 SITEPROP SLICE_X46Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y130 NUM_PINS 50 SITEPROP SLICE_X46Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y130 PROHIBIT 0 SITEPROP SLICE_X46Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y130 RPM_X 121 SITEPROP SLICE_X46Y130 RPM_Y 260 SITEPROP SLICE_X46Y130 SITE_PIPS SITEPROP SLICE_X46Y130 SITE_TYPE SLICEM SITEPROP SLICE_X46Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y131 CLASS site SITEPROP SLICE_X46Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y131 IS_BONDED 0 SITEPROP SLICE_X46Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y131 IS_PAD 0 SITEPROP SLICE_X46Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y131 IS_RESERVED 0 SITEPROP SLICE_X46Y131 IS_TEST 0 SITEPROP SLICE_X46Y131 IS_USED 0 SITEPROP SLICE_X46Y131 MANUAL_ROUTING SITEPROP SLICE_X46Y131 NAME SLICE_X46Y131 SITEPROP SLICE_X46Y131 NUM_ARCS 153 SITEPROP SLICE_X46Y131 NUM_BELS 32 SITEPROP SLICE_X46Y131 NUM_INPUTS 37 SITEPROP SLICE_X46Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y131 NUM_PINS 50 SITEPROP SLICE_X46Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y131 PROHIBIT 0 SITEPROP SLICE_X46Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y131 RPM_X 121 SITEPROP SLICE_X46Y131 RPM_Y 262 SITEPROP SLICE_X46Y131 SITE_PIPS SITEPROP SLICE_X46Y131 SITE_TYPE SLICEM SITEPROP SLICE_X46Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y132 CLASS site SITEPROP SLICE_X46Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y132 IS_BONDED 0 SITEPROP SLICE_X46Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y132 IS_PAD 0 SITEPROP SLICE_X46Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y132 IS_RESERVED 0 SITEPROP SLICE_X46Y132 IS_TEST 0 SITEPROP SLICE_X46Y132 IS_USED 0 SITEPROP SLICE_X46Y132 MANUAL_ROUTING SITEPROP SLICE_X46Y132 NAME SLICE_X46Y132 SITEPROP SLICE_X46Y132 NUM_ARCS 153 SITEPROP SLICE_X46Y132 NUM_BELS 32 SITEPROP SLICE_X46Y132 NUM_INPUTS 37 SITEPROP SLICE_X46Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y132 NUM_PINS 50 SITEPROP SLICE_X46Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y132 PROHIBIT 0 SITEPROP SLICE_X46Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y132 RPM_X 121 SITEPROP SLICE_X46Y132 RPM_Y 264 SITEPROP SLICE_X46Y132 SITE_PIPS SITEPROP SLICE_X46Y132 SITE_TYPE SLICEM SITEPROP SLICE_X46Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y133 CLASS site SITEPROP SLICE_X46Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y133 IS_BONDED 0 SITEPROP SLICE_X46Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y133 IS_PAD 0 SITEPROP SLICE_X46Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y133 IS_RESERVED 0 SITEPROP SLICE_X46Y133 IS_TEST 0 SITEPROP SLICE_X46Y133 IS_USED 0 SITEPROP SLICE_X46Y133 MANUAL_ROUTING SITEPROP SLICE_X46Y133 NAME SLICE_X46Y133 SITEPROP SLICE_X46Y133 NUM_ARCS 153 SITEPROP SLICE_X46Y133 NUM_BELS 32 SITEPROP SLICE_X46Y133 NUM_INPUTS 37 SITEPROP SLICE_X46Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y133 NUM_PINS 50 SITEPROP SLICE_X46Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y133 PROHIBIT 0 SITEPROP SLICE_X46Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y133 RPM_X 121 SITEPROP SLICE_X46Y133 RPM_Y 266 SITEPROP SLICE_X46Y133 SITE_PIPS SITEPROP SLICE_X46Y133 SITE_TYPE SLICEM SITEPROP SLICE_X46Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y134 CLASS site SITEPROP SLICE_X46Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y134 IS_BONDED 0 SITEPROP SLICE_X46Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y134 IS_PAD 0 SITEPROP SLICE_X46Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y134 IS_RESERVED 0 SITEPROP SLICE_X46Y134 IS_TEST 0 SITEPROP SLICE_X46Y134 IS_USED 0 SITEPROP SLICE_X46Y134 MANUAL_ROUTING SITEPROP SLICE_X46Y134 NAME SLICE_X46Y134 SITEPROP SLICE_X46Y134 NUM_ARCS 153 SITEPROP SLICE_X46Y134 NUM_BELS 32 SITEPROP SLICE_X46Y134 NUM_INPUTS 37 SITEPROP SLICE_X46Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y134 NUM_PINS 50 SITEPROP SLICE_X46Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y134 PROHIBIT 0 SITEPROP SLICE_X46Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y134 RPM_X 121 SITEPROP SLICE_X46Y134 RPM_Y 268 SITEPROP SLICE_X46Y134 SITE_PIPS SITEPROP SLICE_X46Y134 SITE_TYPE SLICEM SITEPROP SLICE_X46Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y135 CLASS site SITEPROP SLICE_X46Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y135 IS_BONDED 0 SITEPROP SLICE_X46Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y135 IS_PAD 0 SITEPROP SLICE_X46Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y135 IS_RESERVED 0 SITEPROP SLICE_X46Y135 IS_TEST 0 SITEPROP SLICE_X46Y135 IS_USED 0 SITEPROP SLICE_X46Y135 MANUAL_ROUTING SITEPROP SLICE_X46Y135 NAME SLICE_X46Y135 SITEPROP SLICE_X46Y135 NUM_ARCS 153 SITEPROP SLICE_X46Y135 NUM_BELS 32 SITEPROP SLICE_X46Y135 NUM_INPUTS 37 SITEPROP SLICE_X46Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y135 NUM_PINS 50 SITEPROP SLICE_X46Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y135 PROHIBIT 0 SITEPROP SLICE_X46Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y135 RPM_X 121 SITEPROP SLICE_X46Y135 RPM_Y 270 SITEPROP SLICE_X46Y135 SITE_PIPS SITEPROP SLICE_X46Y135 SITE_TYPE SLICEM SITEPROP SLICE_X46Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y136 CLASS site SITEPROP SLICE_X46Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y136 IS_BONDED 0 SITEPROP SLICE_X46Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y136 IS_PAD 0 SITEPROP SLICE_X46Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y136 IS_RESERVED 0 SITEPROP SLICE_X46Y136 IS_TEST 0 SITEPROP SLICE_X46Y136 IS_USED 0 SITEPROP SLICE_X46Y136 MANUAL_ROUTING SITEPROP SLICE_X46Y136 NAME SLICE_X46Y136 SITEPROP SLICE_X46Y136 NUM_ARCS 153 SITEPROP SLICE_X46Y136 NUM_BELS 32 SITEPROP SLICE_X46Y136 NUM_INPUTS 37 SITEPROP SLICE_X46Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y136 NUM_PINS 50 SITEPROP SLICE_X46Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y136 PROHIBIT 0 SITEPROP SLICE_X46Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y136 RPM_X 121 SITEPROP SLICE_X46Y136 RPM_Y 272 SITEPROP SLICE_X46Y136 SITE_PIPS SITEPROP SLICE_X46Y136 SITE_TYPE SLICEM SITEPROP SLICE_X46Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y137 CLASS site SITEPROP SLICE_X46Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y137 IS_BONDED 0 SITEPROP SLICE_X46Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y137 IS_PAD 0 SITEPROP SLICE_X46Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y137 IS_RESERVED 0 SITEPROP SLICE_X46Y137 IS_TEST 0 SITEPROP SLICE_X46Y137 IS_USED 0 SITEPROP SLICE_X46Y137 MANUAL_ROUTING SITEPROP SLICE_X46Y137 NAME SLICE_X46Y137 SITEPROP SLICE_X46Y137 NUM_ARCS 153 SITEPROP SLICE_X46Y137 NUM_BELS 32 SITEPROP SLICE_X46Y137 NUM_INPUTS 37 SITEPROP SLICE_X46Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y137 NUM_PINS 50 SITEPROP SLICE_X46Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y137 PROHIBIT 0 SITEPROP SLICE_X46Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y137 RPM_X 121 SITEPROP SLICE_X46Y137 RPM_Y 274 SITEPROP SLICE_X46Y137 SITE_PIPS SITEPROP SLICE_X46Y137 SITE_TYPE SLICEM SITEPROP SLICE_X46Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y138 CLASS site SITEPROP SLICE_X46Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y138 IS_BONDED 0 SITEPROP SLICE_X46Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y138 IS_PAD 0 SITEPROP SLICE_X46Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y138 IS_RESERVED 0 SITEPROP SLICE_X46Y138 IS_TEST 0 SITEPROP SLICE_X46Y138 IS_USED 0 SITEPROP SLICE_X46Y138 MANUAL_ROUTING SITEPROP SLICE_X46Y138 NAME SLICE_X46Y138 SITEPROP SLICE_X46Y138 NUM_ARCS 153 SITEPROP SLICE_X46Y138 NUM_BELS 32 SITEPROP SLICE_X46Y138 NUM_INPUTS 37 SITEPROP SLICE_X46Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y138 NUM_PINS 50 SITEPROP SLICE_X46Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y138 PROHIBIT 0 SITEPROP SLICE_X46Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y138 RPM_X 121 SITEPROP SLICE_X46Y138 RPM_Y 276 SITEPROP SLICE_X46Y138 SITE_PIPS SITEPROP SLICE_X46Y138 SITE_TYPE SLICEM SITEPROP SLICE_X46Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y139 CLASS site SITEPROP SLICE_X46Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y139 IS_BONDED 0 SITEPROP SLICE_X46Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y139 IS_PAD 0 SITEPROP SLICE_X46Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y139 IS_RESERVED 0 SITEPROP SLICE_X46Y139 IS_TEST 0 SITEPROP SLICE_X46Y139 IS_USED 0 SITEPROP SLICE_X46Y139 MANUAL_ROUTING SITEPROP SLICE_X46Y139 NAME SLICE_X46Y139 SITEPROP SLICE_X46Y139 NUM_ARCS 153 SITEPROP SLICE_X46Y139 NUM_BELS 32 SITEPROP SLICE_X46Y139 NUM_INPUTS 37 SITEPROP SLICE_X46Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y139 NUM_PINS 50 SITEPROP SLICE_X46Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y139 PROHIBIT 0 SITEPROP SLICE_X46Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y139 RPM_X 121 SITEPROP SLICE_X46Y139 RPM_Y 278 SITEPROP SLICE_X46Y139 SITE_PIPS SITEPROP SLICE_X46Y139 SITE_TYPE SLICEM SITEPROP SLICE_X46Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y140 CLASS site SITEPROP SLICE_X46Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y140 IS_BONDED 0 SITEPROP SLICE_X46Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y140 IS_PAD 0 SITEPROP SLICE_X46Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y140 IS_RESERVED 0 SITEPROP SLICE_X46Y140 IS_TEST 0 SITEPROP SLICE_X46Y140 IS_USED 0 SITEPROP SLICE_X46Y140 MANUAL_ROUTING SITEPROP SLICE_X46Y140 NAME SLICE_X46Y140 SITEPROP SLICE_X46Y140 NUM_ARCS 153 SITEPROP SLICE_X46Y140 NUM_BELS 32 SITEPROP SLICE_X46Y140 NUM_INPUTS 37 SITEPROP SLICE_X46Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y140 NUM_PINS 50 SITEPROP SLICE_X46Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y140 PROHIBIT 0 SITEPROP SLICE_X46Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y140 RPM_X 121 SITEPROP SLICE_X46Y140 RPM_Y 280 SITEPROP SLICE_X46Y140 SITE_PIPS SITEPROP SLICE_X46Y140 SITE_TYPE SLICEM SITEPROP SLICE_X46Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y141 CLASS site SITEPROP SLICE_X46Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y141 IS_BONDED 0 SITEPROP SLICE_X46Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y141 IS_PAD 0 SITEPROP SLICE_X46Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y141 IS_RESERVED 0 SITEPROP SLICE_X46Y141 IS_TEST 0 SITEPROP SLICE_X46Y141 IS_USED 0 SITEPROP SLICE_X46Y141 MANUAL_ROUTING SITEPROP SLICE_X46Y141 NAME SLICE_X46Y141 SITEPROP SLICE_X46Y141 NUM_ARCS 153 SITEPROP SLICE_X46Y141 NUM_BELS 32 SITEPROP SLICE_X46Y141 NUM_INPUTS 37 SITEPROP SLICE_X46Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y141 NUM_PINS 50 SITEPROP SLICE_X46Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y141 PROHIBIT 0 SITEPROP SLICE_X46Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y141 RPM_X 121 SITEPROP SLICE_X46Y141 RPM_Y 282 SITEPROP SLICE_X46Y141 SITE_PIPS SITEPROP SLICE_X46Y141 SITE_TYPE SLICEM SITEPROP SLICE_X46Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y142 CLASS site SITEPROP SLICE_X46Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y142 IS_BONDED 0 SITEPROP SLICE_X46Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y142 IS_PAD 0 SITEPROP SLICE_X46Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y142 IS_RESERVED 0 SITEPROP SLICE_X46Y142 IS_TEST 0 SITEPROP SLICE_X46Y142 IS_USED 0 SITEPROP SLICE_X46Y142 MANUAL_ROUTING SITEPROP SLICE_X46Y142 NAME SLICE_X46Y142 SITEPROP SLICE_X46Y142 NUM_ARCS 153 SITEPROP SLICE_X46Y142 NUM_BELS 32 SITEPROP SLICE_X46Y142 NUM_INPUTS 37 SITEPROP SLICE_X46Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y142 NUM_PINS 50 SITEPROP SLICE_X46Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y142 PROHIBIT 0 SITEPROP SLICE_X46Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y142 RPM_X 121 SITEPROP SLICE_X46Y142 RPM_Y 284 SITEPROP SLICE_X46Y142 SITE_PIPS SITEPROP SLICE_X46Y142 SITE_TYPE SLICEM SITEPROP SLICE_X46Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y143 CLASS site SITEPROP SLICE_X46Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y143 IS_BONDED 0 SITEPROP SLICE_X46Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y143 IS_PAD 0 SITEPROP SLICE_X46Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y143 IS_RESERVED 0 SITEPROP SLICE_X46Y143 IS_TEST 0 SITEPROP SLICE_X46Y143 IS_USED 0 SITEPROP SLICE_X46Y143 MANUAL_ROUTING SITEPROP SLICE_X46Y143 NAME SLICE_X46Y143 SITEPROP SLICE_X46Y143 NUM_ARCS 153 SITEPROP SLICE_X46Y143 NUM_BELS 32 SITEPROP SLICE_X46Y143 NUM_INPUTS 37 SITEPROP SLICE_X46Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y143 NUM_PINS 50 SITEPROP SLICE_X46Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y143 PROHIBIT 0 SITEPROP SLICE_X46Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y143 RPM_X 121 SITEPROP SLICE_X46Y143 RPM_Y 286 SITEPROP SLICE_X46Y143 SITE_PIPS SITEPROP SLICE_X46Y143 SITE_TYPE SLICEM SITEPROP SLICE_X46Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y144 CLASS site SITEPROP SLICE_X46Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y144 IS_BONDED 0 SITEPROP SLICE_X46Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y144 IS_PAD 0 SITEPROP SLICE_X46Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y144 IS_RESERVED 0 SITEPROP SLICE_X46Y144 IS_TEST 0 SITEPROP SLICE_X46Y144 IS_USED 0 SITEPROP SLICE_X46Y144 MANUAL_ROUTING SITEPROP SLICE_X46Y144 NAME SLICE_X46Y144 SITEPROP SLICE_X46Y144 NUM_ARCS 153 SITEPROP SLICE_X46Y144 NUM_BELS 32 SITEPROP SLICE_X46Y144 NUM_INPUTS 37 SITEPROP SLICE_X46Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y144 NUM_PINS 50 SITEPROP SLICE_X46Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y144 PROHIBIT 0 SITEPROP SLICE_X46Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y144 RPM_X 121 SITEPROP SLICE_X46Y144 RPM_Y 288 SITEPROP SLICE_X46Y144 SITE_PIPS SITEPROP SLICE_X46Y144 SITE_TYPE SLICEM SITEPROP SLICE_X46Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y145 CLASS site SITEPROP SLICE_X46Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y145 IS_BONDED 0 SITEPROP SLICE_X46Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y145 IS_PAD 0 SITEPROP SLICE_X46Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y145 IS_RESERVED 0 SITEPROP SLICE_X46Y145 IS_TEST 0 SITEPROP SLICE_X46Y145 IS_USED 0 SITEPROP SLICE_X46Y145 MANUAL_ROUTING SITEPROP SLICE_X46Y145 NAME SLICE_X46Y145 SITEPROP SLICE_X46Y145 NUM_ARCS 153 SITEPROP SLICE_X46Y145 NUM_BELS 32 SITEPROP SLICE_X46Y145 NUM_INPUTS 37 SITEPROP SLICE_X46Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y145 NUM_PINS 50 SITEPROP SLICE_X46Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y145 PROHIBIT 0 SITEPROP SLICE_X46Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y145 RPM_X 121 SITEPROP SLICE_X46Y145 RPM_Y 290 SITEPROP SLICE_X46Y145 SITE_PIPS SITEPROP SLICE_X46Y145 SITE_TYPE SLICEM SITEPROP SLICE_X46Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y146 CLASS site SITEPROP SLICE_X46Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y146 IS_BONDED 0 SITEPROP SLICE_X46Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y146 IS_PAD 0 SITEPROP SLICE_X46Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y146 IS_RESERVED 0 SITEPROP SLICE_X46Y146 IS_TEST 0 SITEPROP SLICE_X46Y146 IS_USED 0 SITEPROP SLICE_X46Y146 MANUAL_ROUTING SITEPROP SLICE_X46Y146 NAME SLICE_X46Y146 SITEPROP SLICE_X46Y146 NUM_ARCS 153 SITEPROP SLICE_X46Y146 NUM_BELS 32 SITEPROP SLICE_X46Y146 NUM_INPUTS 37 SITEPROP SLICE_X46Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y146 NUM_PINS 50 SITEPROP SLICE_X46Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y146 PROHIBIT 0 SITEPROP SLICE_X46Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y146 RPM_X 121 SITEPROP SLICE_X46Y146 RPM_Y 292 SITEPROP SLICE_X46Y146 SITE_PIPS SITEPROP SLICE_X46Y146 SITE_TYPE SLICEM SITEPROP SLICE_X46Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y147 CLASS site SITEPROP SLICE_X46Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y147 IS_BONDED 0 SITEPROP SLICE_X46Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y147 IS_PAD 0 SITEPROP SLICE_X46Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y147 IS_RESERVED 0 SITEPROP SLICE_X46Y147 IS_TEST 0 SITEPROP SLICE_X46Y147 IS_USED 0 SITEPROP SLICE_X46Y147 MANUAL_ROUTING SITEPROP SLICE_X46Y147 NAME SLICE_X46Y147 SITEPROP SLICE_X46Y147 NUM_ARCS 153 SITEPROP SLICE_X46Y147 NUM_BELS 32 SITEPROP SLICE_X46Y147 NUM_INPUTS 37 SITEPROP SLICE_X46Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y147 NUM_PINS 50 SITEPROP SLICE_X46Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y147 PROHIBIT 0 SITEPROP SLICE_X46Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y147 RPM_X 121 SITEPROP SLICE_X46Y147 RPM_Y 294 SITEPROP SLICE_X46Y147 SITE_PIPS SITEPROP SLICE_X46Y147 SITE_TYPE SLICEM SITEPROP SLICE_X46Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y148 CLASS site SITEPROP SLICE_X46Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y148 IS_BONDED 0 SITEPROP SLICE_X46Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y148 IS_PAD 0 SITEPROP SLICE_X46Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y148 IS_RESERVED 0 SITEPROP SLICE_X46Y148 IS_TEST 0 SITEPROP SLICE_X46Y148 IS_USED 0 SITEPROP SLICE_X46Y148 MANUAL_ROUTING SITEPROP SLICE_X46Y148 NAME SLICE_X46Y148 SITEPROP SLICE_X46Y148 NUM_ARCS 153 SITEPROP SLICE_X46Y148 NUM_BELS 32 SITEPROP SLICE_X46Y148 NUM_INPUTS 37 SITEPROP SLICE_X46Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y148 NUM_PINS 50 SITEPROP SLICE_X46Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y148 PROHIBIT 0 SITEPROP SLICE_X46Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y148 RPM_X 121 SITEPROP SLICE_X46Y148 RPM_Y 296 SITEPROP SLICE_X46Y148 SITE_PIPS SITEPROP SLICE_X46Y148 SITE_TYPE SLICEM SITEPROP SLICE_X46Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X46Y149 CLASS site SITEPROP SLICE_X46Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X46Y149 IS_BONDED 0 SITEPROP SLICE_X46Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X46Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y149 IS_PAD 0 SITEPROP SLICE_X46Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X46Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X46Y149 IS_RESERVED 0 SITEPROP SLICE_X46Y149 IS_TEST 0 SITEPROP SLICE_X46Y149 IS_USED 0 SITEPROP SLICE_X46Y149 MANUAL_ROUTING SITEPROP SLICE_X46Y149 NAME SLICE_X46Y149 SITEPROP SLICE_X46Y149 NUM_ARCS 153 SITEPROP SLICE_X46Y149 NUM_BELS 32 SITEPROP SLICE_X46Y149 NUM_INPUTS 37 SITEPROP SLICE_X46Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X46Y149 NUM_PINS 50 SITEPROP SLICE_X46Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X46Y149 PROHIBIT 0 SITEPROP SLICE_X46Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X46Y149 RPM_X 121 SITEPROP SLICE_X46Y149 RPM_Y 298 SITEPROP SLICE_X46Y149 SITE_PIPS SITEPROP SLICE_X46Y149 SITE_TYPE SLICEM SITEPROP SLICE_X47Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y0 CLASS site SITEPROP SLICE_X47Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y0 IS_BONDED 0 SITEPROP SLICE_X47Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y0 IS_PAD 0 SITEPROP SLICE_X47Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y0 IS_RESERVED 0 SITEPROP SLICE_X47Y0 IS_TEST 0 SITEPROP SLICE_X47Y0 IS_USED 0 SITEPROP SLICE_X47Y0 MANUAL_ROUTING SITEPROP SLICE_X47Y0 NAME SLICE_X47Y0 SITEPROP SLICE_X47Y0 NUM_ARCS 138 SITEPROP SLICE_X47Y0 NUM_BELS 32 SITEPROP SLICE_X47Y0 NUM_INPUTS 32 SITEPROP SLICE_X47Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y0 NUM_PINS 45 SITEPROP SLICE_X47Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y0 PROHIBIT 0 SITEPROP SLICE_X47Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y0 RPM_X 123 SITEPROP SLICE_X47Y0 RPM_Y 0 SITEPROP SLICE_X47Y0 SITE_PIPS SITEPROP SLICE_X47Y0 SITE_TYPE SLICEL SITEPROP SLICE_X47Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y1 CLASS site SITEPROP SLICE_X47Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y1 IS_BONDED 0 SITEPROP SLICE_X47Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y1 IS_PAD 0 SITEPROP SLICE_X47Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y1 IS_RESERVED 0 SITEPROP SLICE_X47Y1 IS_TEST 0 SITEPROP SLICE_X47Y1 IS_USED 0 SITEPROP SLICE_X47Y1 MANUAL_ROUTING SITEPROP SLICE_X47Y1 NAME SLICE_X47Y1 SITEPROP SLICE_X47Y1 NUM_ARCS 138 SITEPROP SLICE_X47Y1 NUM_BELS 32 SITEPROP SLICE_X47Y1 NUM_INPUTS 32 SITEPROP SLICE_X47Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y1 NUM_PINS 45 SITEPROP SLICE_X47Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y1 PROHIBIT 0 SITEPROP SLICE_X47Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y1 RPM_X 123 SITEPROP SLICE_X47Y1 RPM_Y 2 SITEPROP SLICE_X47Y1 SITE_PIPS SITEPROP SLICE_X47Y1 SITE_TYPE SLICEL SITEPROP SLICE_X47Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y2 CLASS site SITEPROP SLICE_X47Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y2 IS_BONDED 0 SITEPROP SLICE_X47Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y2 IS_PAD 0 SITEPROP SLICE_X47Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y2 IS_RESERVED 0 SITEPROP SLICE_X47Y2 IS_TEST 0 SITEPROP SLICE_X47Y2 IS_USED 0 SITEPROP SLICE_X47Y2 MANUAL_ROUTING SITEPROP SLICE_X47Y2 NAME SLICE_X47Y2 SITEPROP SLICE_X47Y2 NUM_ARCS 138 SITEPROP SLICE_X47Y2 NUM_BELS 32 SITEPROP SLICE_X47Y2 NUM_INPUTS 32 SITEPROP SLICE_X47Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y2 NUM_PINS 45 SITEPROP SLICE_X47Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y2 PROHIBIT 0 SITEPROP SLICE_X47Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y2 RPM_X 123 SITEPROP SLICE_X47Y2 RPM_Y 4 SITEPROP SLICE_X47Y2 SITE_PIPS SITEPROP SLICE_X47Y2 SITE_TYPE SLICEL SITEPROP SLICE_X47Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y3 CLASS site SITEPROP SLICE_X47Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y3 IS_BONDED 0 SITEPROP SLICE_X47Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y3 IS_PAD 0 SITEPROP SLICE_X47Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y3 IS_RESERVED 0 SITEPROP SLICE_X47Y3 IS_TEST 0 SITEPROP SLICE_X47Y3 IS_USED 0 SITEPROP SLICE_X47Y3 MANUAL_ROUTING SITEPROP SLICE_X47Y3 NAME SLICE_X47Y3 SITEPROP SLICE_X47Y3 NUM_ARCS 138 SITEPROP SLICE_X47Y3 NUM_BELS 32 SITEPROP SLICE_X47Y3 NUM_INPUTS 32 SITEPROP SLICE_X47Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y3 NUM_PINS 45 SITEPROP SLICE_X47Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y3 PROHIBIT 0 SITEPROP SLICE_X47Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y3 RPM_X 123 SITEPROP SLICE_X47Y3 RPM_Y 6 SITEPROP SLICE_X47Y3 SITE_PIPS SITEPROP SLICE_X47Y3 SITE_TYPE SLICEL SITEPROP SLICE_X47Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y4 CLASS site SITEPROP SLICE_X47Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y4 IS_BONDED 0 SITEPROP SLICE_X47Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y4 IS_PAD 0 SITEPROP SLICE_X47Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y4 IS_RESERVED 0 SITEPROP SLICE_X47Y4 IS_TEST 0 SITEPROP SLICE_X47Y4 IS_USED 0 SITEPROP SLICE_X47Y4 MANUAL_ROUTING SITEPROP SLICE_X47Y4 NAME SLICE_X47Y4 SITEPROP SLICE_X47Y4 NUM_ARCS 138 SITEPROP SLICE_X47Y4 NUM_BELS 32 SITEPROP SLICE_X47Y4 NUM_INPUTS 32 SITEPROP SLICE_X47Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y4 NUM_PINS 45 SITEPROP SLICE_X47Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y4 PROHIBIT 0 SITEPROP SLICE_X47Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y4 RPM_X 123 SITEPROP SLICE_X47Y4 RPM_Y 8 SITEPROP SLICE_X47Y4 SITE_PIPS SITEPROP SLICE_X47Y4 SITE_TYPE SLICEL SITEPROP SLICE_X47Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y5 CLASS site SITEPROP SLICE_X47Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y5 IS_BONDED 0 SITEPROP SLICE_X47Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y5 IS_PAD 0 SITEPROP SLICE_X47Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y5 IS_RESERVED 0 SITEPROP SLICE_X47Y5 IS_TEST 0 SITEPROP SLICE_X47Y5 IS_USED 0 SITEPROP SLICE_X47Y5 MANUAL_ROUTING SITEPROP SLICE_X47Y5 NAME SLICE_X47Y5 SITEPROP SLICE_X47Y5 NUM_ARCS 138 SITEPROP SLICE_X47Y5 NUM_BELS 32 SITEPROP SLICE_X47Y5 NUM_INPUTS 32 SITEPROP SLICE_X47Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y5 NUM_PINS 45 SITEPROP SLICE_X47Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y5 PROHIBIT 0 SITEPROP SLICE_X47Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y5 RPM_X 123 SITEPROP SLICE_X47Y5 RPM_Y 10 SITEPROP SLICE_X47Y5 SITE_PIPS SITEPROP SLICE_X47Y5 SITE_TYPE SLICEL SITEPROP SLICE_X47Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y6 CLASS site SITEPROP SLICE_X47Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y6 IS_BONDED 0 SITEPROP SLICE_X47Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y6 IS_PAD 0 SITEPROP SLICE_X47Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y6 IS_RESERVED 0 SITEPROP SLICE_X47Y6 IS_TEST 0 SITEPROP SLICE_X47Y6 IS_USED 0 SITEPROP SLICE_X47Y6 MANUAL_ROUTING SITEPROP SLICE_X47Y6 NAME SLICE_X47Y6 SITEPROP SLICE_X47Y6 NUM_ARCS 138 SITEPROP SLICE_X47Y6 NUM_BELS 32 SITEPROP SLICE_X47Y6 NUM_INPUTS 32 SITEPROP SLICE_X47Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y6 NUM_PINS 45 SITEPROP SLICE_X47Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y6 PROHIBIT 0 SITEPROP SLICE_X47Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y6 RPM_X 123 SITEPROP SLICE_X47Y6 RPM_Y 12 SITEPROP SLICE_X47Y6 SITE_PIPS SITEPROP SLICE_X47Y6 SITE_TYPE SLICEL SITEPROP SLICE_X47Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y7 CLASS site SITEPROP SLICE_X47Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y7 IS_BONDED 0 SITEPROP SLICE_X47Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y7 IS_PAD 0 SITEPROP SLICE_X47Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y7 IS_RESERVED 0 SITEPROP SLICE_X47Y7 IS_TEST 0 SITEPROP SLICE_X47Y7 IS_USED 0 SITEPROP SLICE_X47Y7 MANUAL_ROUTING SITEPROP SLICE_X47Y7 NAME SLICE_X47Y7 SITEPROP SLICE_X47Y7 NUM_ARCS 138 SITEPROP SLICE_X47Y7 NUM_BELS 32 SITEPROP SLICE_X47Y7 NUM_INPUTS 32 SITEPROP SLICE_X47Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y7 NUM_PINS 45 SITEPROP SLICE_X47Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y7 PROHIBIT 0 SITEPROP SLICE_X47Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y7 RPM_X 123 SITEPROP SLICE_X47Y7 RPM_Y 14 SITEPROP SLICE_X47Y7 SITE_PIPS SITEPROP SLICE_X47Y7 SITE_TYPE SLICEL SITEPROP SLICE_X47Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y8 CLASS site SITEPROP SLICE_X47Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y8 IS_BONDED 0 SITEPROP SLICE_X47Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y8 IS_PAD 0 SITEPROP SLICE_X47Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y8 IS_RESERVED 0 SITEPROP SLICE_X47Y8 IS_TEST 0 SITEPROP SLICE_X47Y8 IS_USED 0 SITEPROP SLICE_X47Y8 MANUAL_ROUTING SITEPROP SLICE_X47Y8 NAME SLICE_X47Y8 SITEPROP SLICE_X47Y8 NUM_ARCS 138 SITEPROP SLICE_X47Y8 NUM_BELS 32 SITEPROP SLICE_X47Y8 NUM_INPUTS 32 SITEPROP SLICE_X47Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y8 NUM_PINS 45 SITEPROP SLICE_X47Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y8 PROHIBIT 0 SITEPROP SLICE_X47Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y8 RPM_X 123 SITEPROP SLICE_X47Y8 RPM_Y 16 SITEPROP SLICE_X47Y8 SITE_PIPS SITEPROP SLICE_X47Y8 SITE_TYPE SLICEL SITEPROP SLICE_X47Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y9 CLASS site SITEPROP SLICE_X47Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y9 IS_BONDED 0 SITEPROP SLICE_X47Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y9 IS_PAD 0 SITEPROP SLICE_X47Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y9 IS_RESERVED 0 SITEPROP SLICE_X47Y9 IS_TEST 0 SITEPROP SLICE_X47Y9 IS_USED 0 SITEPROP SLICE_X47Y9 MANUAL_ROUTING SITEPROP SLICE_X47Y9 NAME SLICE_X47Y9 SITEPROP SLICE_X47Y9 NUM_ARCS 138 SITEPROP SLICE_X47Y9 NUM_BELS 32 SITEPROP SLICE_X47Y9 NUM_INPUTS 32 SITEPROP SLICE_X47Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y9 NUM_PINS 45 SITEPROP SLICE_X47Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y9 PROHIBIT 0 SITEPROP SLICE_X47Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y9 RPM_X 123 SITEPROP SLICE_X47Y9 RPM_Y 18 SITEPROP SLICE_X47Y9 SITE_PIPS SITEPROP SLICE_X47Y9 SITE_TYPE SLICEL SITEPROP SLICE_X47Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y10 CLASS site SITEPROP SLICE_X47Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y10 IS_BONDED 0 SITEPROP SLICE_X47Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y10 IS_PAD 0 SITEPROP SLICE_X47Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y10 IS_RESERVED 0 SITEPROP SLICE_X47Y10 IS_TEST 0 SITEPROP SLICE_X47Y10 IS_USED 0 SITEPROP SLICE_X47Y10 MANUAL_ROUTING SITEPROP SLICE_X47Y10 NAME SLICE_X47Y10 SITEPROP SLICE_X47Y10 NUM_ARCS 138 SITEPROP SLICE_X47Y10 NUM_BELS 32 SITEPROP SLICE_X47Y10 NUM_INPUTS 32 SITEPROP SLICE_X47Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y10 NUM_PINS 45 SITEPROP SLICE_X47Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y10 PROHIBIT 0 SITEPROP SLICE_X47Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y10 RPM_X 123 SITEPROP SLICE_X47Y10 RPM_Y 20 SITEPROP SLICE_X47Y10 SITE_PIPS SITEPROP SLICE_X47Y10 SITE_TYPE SLICEL SITEPROP SLICE_X47Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y11 CLASS site SITEPROP SLICE_X47Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y11 IS_BONDED 0 SITEPROP SLICE_X47Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y11 IS_PAD 0 SITEPROP SLICE_X47Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y11 IS_RESERVED 0 SITEPROP SLICE_X47Y11 IS_TEST 0 SITEPROP SLICE_X47Y11 IS_USED 0 SITEPROP SLICE_X47Y11 MANUAL_ROUTING SITEPROP SLICE_X47Y11 NAME SLICE_X47Y11 SITEPROP SLICE_X47Y11 NUM_ARCS 138 SITEPROP SLICE_X47Y11 NUM_BELS 32 SITEPROP SLICE_X47Y11 NUM_INPUTS 32 SITEPROP SLICE_X47Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y11 NUM_PINS 45 SITEPROP SLICE_X47Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y11 PROHIBIT 0 SITEPROP SLICE_X47Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y11 RPM_X 123 SITEPROP SLICE_X47Y11 RPM_Y 22 SITEPROP SLICE_X47Y11 SITE_PIPS SITEPROP SLICE_X47Y11 SITE_TYPE SLICEL SITEPROP SLICE_X47Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y12 CLASS site SITEPROP SLICE_X47Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y12 IS_BONDED 0 SITEPROP SLICE_X47Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y12 IS_PAD 0 SITEPROP SLICE_X47Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y12 IS_RESERVED 0 SITEPROP SLICE_X47Y12 IS_TEST 0 SITEPROP SLICE_X47Y12 IS_USED 0 SITEPROP SLICE_X47Y12 MANUAL_ROUTING SITEPROP SLICE_X47Y12 NAME SLICE_X47Y12 SITEPROP SLICE_X47Y12 NUM_ARCS 138 SITEPROP SLICE_X47Y12 NUM_BELS 32 SITEPROP SLICE_X47Y12 NUM_INPUTS 32 SITEPROP SLICE_X47Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y12 NUM_PINS 45 SITEPROP SLICE_X47Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y12 PROHIBIT 0 SITEPROP SLICE_X47Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y12 RPM_X 123 SITEPROP SLICE_X47Y12 RPM_Y 24 SITEPROP SLICE_X47Y12 SITE_PIPS SITEPROP SLICE_X47Y12 SITE_TYPE SLICEL SITEPROP SLICE_X47Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y13 CLASS site SITEPROP SLICE_X47Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y13 IS_BONDED 0 SITEPROP SLICE_X47Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y13 IS_PAD 0 SITEPROP SLICE_X47Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y13 IS_RESERVED 0 SITEPROP SLICE_X47Y13 IS_TEST 0 SITEPROP SLICE_X47Y13 IS_USED 0 SITEPROP SLICE_X47Y13 MANUAL_ROUTING SITEPROP SLICE_X47Y13 NAME SLICE_X47Y13 SITEPROP SLICE_X47Y13 NUM_ARCS 138 SITEPROP SLICE_X47Y13 NUM_BELS 32 SITEPROP SLICE_X47Y13 NUM_INPUTS 32 SITEPROP SLICE_X47Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y13 NUM_PINS 45 SITEPROP SLICE_X47Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y13 PROHIBIT 0 SITEPROP SLICE_X47Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y13 RPM_X 123 SITEPROP SLICE_X47Y13 RPM_Y 26 SITEPROP SLICE_X47Y13 SITE_PIPS SITEPROP SLICE_X47Y13 SITE_TYPE SLICEL SITEPROP SLICE_X47Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y14 CLASS site SITEPROP SLICE_X47Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y14 IS_BONDED 0 SITEPROP SLICE_X47Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y14 IS_PAD 0 SITEPROP SLICE_X47Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y14 IS_RESERVED 0 SITEPROP SLICE_X47Y14 IS_TEST 0 SITEPROP SLICE_X47Y14 IS_USED 0 SITEPROP SLICE_X47Y14 MANUAL_ROUTING SITEPROP SLICE_X47Y14 NAME SLICE_X47Y14 SITEPROP SLICE_X47Y14 NUM_ARCS 138 SITEPROP SLICE_X47Y14 NUM_BELS 32 SITEPROP SLICE_X47Y14 NUM_INPUTS 32 SITEPROP SLICE_X47Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y14 NUM_PINS 45 SITEPROP SLICE_X47Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y14 PROHIBIT 0 SITEPROP SLICE_X47Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y14 RPM_X 123 SITEPROP SLICE_X47Y14 RPM_Y 28 SITEPROP SLICE_X47Y14 SITE_PIPS SITEPROP SLICE_X47Y14 SITE_TYPE SLICEL SITEPROP SLICE_X47Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y15 CLASS site SITEPROP SLICE_X47Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y15 IS_BONDED 0 SITEPROP SLICE_X47Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y15 IS_PAD 0 SITEPROP SLICE_X47Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y15 IS_RESERVED 0 SITEPROP SLICE_X47Y15 IS_TEST 0 SITEPROP SLICE_X47Y15 IS_USED 0 SITEPROP SLICE_X47Y15 MANUAL_ROUTING SITEPROP SLICE_X47Y15 NAME SLICE_X47Y15 SITEPROP SLICE_X47Y15 NUM_ARCS 138 SITEPROP SLICE_X47Y15 NUM_BELS 32 SITEPROP SLICE_X47Y15 NUM_INPUTS 32 SITEPROP SLICE_X47Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y15 NUM_PINS 45 SITEPROP SLICE_X47Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y15 PROHIBIT 0 SITEPROP SLICE_X47Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y15 RPM_X 123 SITEPROP SLICE_X47Y15 RPM_Y 30 SITEPROP SLICE_X47Y15 SITE_PIPS SITEPROP SLICE_X47Y15 SITE_TYPE SLICEL SITEPROP SLICE_X47Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y16 CLASS site SITEPROP SLICE_X47Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y16 IS_BONDED 0 SITEPROP SLICE_X47Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y16 IS_PAD 0 SITEPROP SLICE_X47Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y16 IS_RESERVED 0 SITEPROP SLICE_X47Y16 IS_TEST 0 SITEPROP SLICE_X47Y16 IS_USED 0 SITEPROP SLICE_X47Y16 MANUAL_ROUTING SITEPROP SLICE_X47Y16 NAME SLICE_X47Y16 SITEPROP SLICE_X47Y16 NUM_ARCS 138 SITEPROP SLICE_X47Y16 NUM_BELS 32 SITEPROP SLICE_X47Y16 NUM_INPUTS 32 SITEPROP SLICE_X47Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y16 NUM_PINS 45 SITEPROP SLICE_X47Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y16 PROHIBIT 0 SITEPROP SLICE_X47Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y16 RPM_X 123 SITEPROP SLICE_X47Y16 RPM_Y 32 SITEPROP SLICE_X47Y16 SITE_PIPS SITEPROP SLICE_X47Y16 SITE_TYPE SLICEL SITEPROP SLICE_X47Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y17 CLASS site SITEPROP SLICE_X47Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y17 IS_BONDED 0 SITEPROP SLICE_X47Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y17 IS_PAD 0 SITEPROP SLICE_X47Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y17 IS_RESERVED 0 SITEPROP SLICE_X47Y17 IS_TEST 0 SITEPROP SLICE_X47Y17 IS_USED 0 SITEPROP SLICE_X47Y17 MANUAL_ROUTING SITEPROP SLICE_X47Y17 NAME SLICE_X47Y17 SITEPROP SLICE_X47Y17 NUM_ARCS 138 SITEPROP SLICE_X47Y17 NUM_BELS 32 SITEPROP SLICE_X47Y17 NUM_INPUTS 32 SITEPROP SLICE_X47Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y17 NUM_PINS 45 SITEPROP SLICE_X47Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y17 PROHIBIT 0 SITEPROP SLICE_X47Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y17 RPM_X 123 SITEPROP SLICE_X47Y17 RPM_Y 34 SITEPROP SLICE_X47Y17 SITE_PIPS SITEPROP SLICE_X47Y17 SITE_TYPE SLICEL SITEPROP SLICE_X47Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y18 CLASS site SITEPROP SLICE_X47Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y18 IS_BONDED 0 SITEPROP SLICE_X47Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y18 IS_PAD 0 SITEPROP SLICE_X47Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y18 IS_RESERVED 0 SITEPROP SLICE_X47Y18 IS_TEST 0 SITEPROP SLICE_X47Y18 IS_USED 0 SITEPROP SLICE_X47Y18 MANUAL_ROUTING SITEPROP SLICE_X47Y18 NAME SLICE_X47Y18 SITEPROP SLICE_X47Y18 NUM_ARCS 138 SITEPROP SLICE_X47Y18 NUM_BELS 32 SITEPROP SLICE_X47Y18 NUM_INPUTS 32 SITEPROP SLICE_X47Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y18 NUM_PINS 45 SITEPROP SLICE_X47Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y18 PROHIBIT 0 SITEPROP SLICE_X47Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y18 RPM_X 123 SITEPROP SLICE_X47Y18 RPM_Y 36 SITEPROP SLICE_X47Y18 SITE_PIPS SITEPROP SLICE_X47Y18 SITE_TYPE SLICEL SITEPROP SLICE_X47Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y19 CLASS site SITEPROP SLICE_X47Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y19 IS_BONDED 0 SITEPROP SLICE_X47Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y19 IS_PAD 0 SITEPROP SLICE_X47Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y19 IS_RESERVED 0 SITEPROP SLICE_X47Y19 IS_TEST 0 SITEPROP SLICE_X47Y19 IS_USED 0 SITEPROP SLICE_X47Y19 MANUAL_ROUTING SITEPROP SLICE_X47Y19 NAME SLICE_X47Y19 SITEPROP SLICE_X47Y19 NUM_ARCS 138 SITEPROP SLICE_X47Y19 NUM_BELS 32 SITEPROP SLICE_X47Y19 NUM_INPUTS 32 SITEPROP SLICE_X47Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y19 NUM_PINS 45 SITEPROP SLICE_X47Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y19 PROHIBIT 0 SITEPROP SLICE_X47Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y19 RPM_X 123 SITEPROP SLICE_X47Y19 RPM_Y 38 SITEPROP SLICE_X47Y19 SITE_PIPS SITEPROP SLICE_X47Y19 SITE_TYPE SLICEL SITEPROP SLICE_X47Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y20 CLASS site SITEPROP SLICE_X47Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y20 IS_BONDED 0 SITEPROP SLICE_X47Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y20 IS_PAD 0 SITEPROP SLICE_X47Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y20 IS_RESERVED 0 SITEPROP SLICE_X47Y20 IS_TEST 0 SITEPROP SLICE_X47Y20 IS_USED 0 SITEPROP SLICE_X47Y20 MANUAL_ROUTING SITEPROP SLICE_X47Y20 NAME SLICE_X47Y20 SITEPROP SLICE_X47Y20 NUM_ARCS 138 SITEPROP SLICE_X47Y20 NUM_BELS 32 SITEPROP SLICE_X47Y20 NUM_INPUTS 32 SITEPROP SLICE_X47Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y20 NUM_PINS 45 SITEPROP SLICE_X47Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y20 PROHIBIT 0 SITEPROP SLICE_X47Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y20 RPM_X 123 SITEPROP SLICE_X47Y20 RPM_Y 40 SITEPROP SLICE_X47Y20 SITE_PIPS SITEPROP SLICE_X47Y20 SITE_TYPE SLICEL SITEPROP SLICE_X47Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y21 CLASS site SITEPROP SLICE_X47Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y21 IS_BONDED 0 SITEPROP SLICE_X47Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y21 IS_PAD 0 SITEPROP SLICE_X47Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y21 IS_RESERVED 0 SITEPROP SLICE_X47Y21 IS_TEST 0 SITEPROP SLICE_X47Y21 IS_USED 0 SITEPROP SLICE_X47Y21 MANUAL_ROUTING SITEPROP SLICE_X47Y21 NAME SLICE_X47Y21 SITEPROP SLICE_X47Y21 NUM_ARCS 138 SITEPROP SLICE_X47Y21 NUM_BELS 32 SITEPROP SLICE_X47Y21 NUM_INPUTS 32 SITEPROP SLICE_X47Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y21 NUM_PINS 45 SITEPROP SLICE_X47Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y21 PROHIBIT 0 SITEPROP SLICE_X47Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y21 RPM_X 123 SITEPROP SLICE_X47Y21 RPM_Y 42 SITEPROP SLICE_X47Y21 SITE_PIPS SITEPROP SLICE_X47Y21 SITE_TYPE SLICEL SITEPROP SLICE_X47Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y22 CLASS site SITEPROP SLICE_X47Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y22 IS_BONDED 0 SITEPROP SLICE_X47Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y22 IS_PAD 0 SITEPROP SLICE_X47Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y22 IS_RESERVED 0 SITEPROP SLICE_X47Y22 IS_TEST 0 SITEPROP SLICE_X47Y22 IS_USED 0 SITEPROP SLICE_X47Y22 MANUAL_ROUTING SITEPROP SLICE_X47Y22 NAME SLICE_X47Y22 SITEPROP SLICE_X47Y22 NUM_ARCS 138 SITEPROP SLICE_X47Y22 NUM_BELS 32 SITEPROP SLICE_X47Y22 NUM_INPUTS 32 SITEPROP SLICE_X47Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y22 NUM_PINS 45 SITEPROP SLICE_X47Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y22 PROHIBIT 0 SITEPROP SLICE_X47Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y22 RPM_X 123 SITEPROP SLICE_X47Y22 RPM_Y 44 SITEPROP SLICE_X47Y22 SITE_PIPS SITEPROP SLICE_X47Y22 SITE_TYPE SLICEL SITEPROP SLICE_X47Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y23 CLASS site SITEPROP SLICE_X47Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y23 IS_BONDED 0 SITEPROP SLICE_X47Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y23 IS_PAD 0 SITEPROP SLICE_X47Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y23 IS_RESERVED 0 SITEPROP SLICE_X47Y23 IS_TEST 0 SITEPROP SLICE_X47Y23 IS_USED 0 SITEPROP SLICE_X47Y23 MANUAL_ROUTING SITEPROP SLICE_X47Y23 NAME SLICE_X47Y23 SITEPROP SLICE_X47Y23 NUM_ARCS 138 SITEPROP SLICE_X47Y23 NUM_BELS 32 SITEPROP SLICE_X47Y23 NUM_INPUTS 32 SITEPROP SLICE_X47Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y23 NUM_PINS 45 SITEPROP SLICE_X47Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y23 PROHIBIT 0 SITEPROP SLICE_X47Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y23 RPM_X 123 SITEPROP SLICE_X47Y23 RPM_Y 46 SITEPROP SLICE_X47Y23 SITE_PIPS SITEPROP SLICE_X47Y23 SITE_TYPE SLICEL SITEPROP SLICE_X47Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y24 CLASS site SITEPROP SLICE_X47Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y24 IS_BONDED 0 SITEPROP SLICE_X47Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y24 IS_PAD 0 SITEPROP SLICE_X47Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y24 IS_RESERVED 0 SITEPROP SLICE_X47Y24 IS_TEST 0 SITEPROP SLICE_X47Y24 IS_USED 0 SITEPROP SLICE_X47Y24 MANUAL_ROUTING SITEPROP SLICE_X47Y24 NAME SLICE_X47Y24 SITEPROP SLICE_X47Y24 NUM_ARCS 138 SITEPROP SLICE_X47Y24 NUM_BELS 32 SITEPROP SLICE_X47Y24 NUM_INPUTS 32 SITEPROP SLICE_X47Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y24 NUM_PINS 45 SITEPROP SLICE_X47Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y24 PROHIBIT 0 SITEPROP SLICE_X47Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y24 RPM_X 123 SITEPROP SLICE_X47Y24 RPM_Y 48 SITEPROP SLICE_X47Y24 SITE_PIPS SITEPROP SLICE_X47Y24 SITE_TYPE SLICEL SITEPROP SLICE_X47Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y25 CLASS site SITEPROP SLICE_X47Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y25 IS_BONDED 0 SITEPROP SLICE_X47Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y25 IS_PAD 0 SITEPROP SLICE_X47Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y25 IS_RESERVED 0 SITEPROP SLICE_X47Y25 IS_TEST 0 SITEPROP SLICE_X47Y25 IS_USED 0 SITEPROP SLICE_X47Y25 MANUAL_ROUTING SITEPROP SLICE_X47Y25 NAME SLICE_X47Y25 SITEPROP SLICE_X47Y25 NUM_ARCS 138 SITEPROP SLICE_X47Y25 NUM_BELS 32 SITEPROP SLICE_X47Y25 NUM_INPUTS 32 SITEPROP SLICE_X47Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y25 NUM_PINS 45 SITEPROP SLICE_X47Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y25 PROHIBIT 0 SITEPROP SLICE_X47Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y25 RPM_X 123 SITEPROP SLICE_X47Y25 RPM_Y 50 SITEPROP SLICE_X47Y25 SITE_PIPS SITEPROP SLICE_X47Y25 SITE_TYPE SLICEL SITEPROP SLICE_X47Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y26 CLASS site SITEPROP SLICE_X47Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y26 IS_BONDED 0 SITEPROP SLICE_X47Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y26 IS_PAD 0 SITEPROP SLICE_X47Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y26 IS_RESERVED 0 SITEPROP SLICE_X47Y26 IS_TEST 0 SITEPROP SLICE_X47Y26 IS_USED 0 SITEPROP SLICE_X47Y26 MANUAL_ROUTING SITEPROP SLICE_X47Y26 NAME SLICE_X47Y26 SITEPROP SLICE_X47Y26 NUM_ARCS 138 SITEPROP SLICE_X47Y26 NUM_BELS 32 SITEPROP SLICE_X47Y26 NUM_INPUTS 32 SITEPROP SLICE_X47Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y26 NUM_PINS 45 SITEPROP SLICE_X47Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y26 PROHIBIT 0 SITEPROP SLICE_X47Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y26 RPM_X 123 SITEPROP SLICE_X47Y26 RPM_Y 52 SITEPROP SLICE_X47Y26 SITE_PIPS SITEPROP SLICE_X47Y26 SITE_TYPE SLICEL SITEPROP SLICE_X47Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y27 CLASS site SITEPROP SLICE_X47Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y27 IS_BONDED 0 SITEPROP SLICE_X47Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y27 IS_PAD 0 SITEPROP SLICE_X47Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y27 IS_RESERVED 0 SITEPROP SLICE_X47Y27 IS_TEST 0 SITEPROP SLICE_X47Y27 IS_USED 0 SITEPROP SLICE_X47Y27 MANUAL_ROUTING SITEPROP SLICE_X47Y27 NAME SLICE_X47Y27 SITEPROP SLICE_X47Y27 NUM_ARCS 138 SITEPROP SLICE_X47Y27 NUM_BELS 32 SITEPROP SLICE_X47Y27 NUM_INPUTS 32 SITEPROP SLICE_X47Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y27 NUM_PINS 45 SITEPROP SLICE_X47Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y27 PROHIBIT 0 SITEPROP SLICE_X47Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y27 RPM_X 123 SITEPROP SLICE_X47Y27 RPM_Y 54 SITEPROP SLICE_X47Y27 SITE_PIPS SITEPROP SLICE_X47Y27 SITE_TYPE SLICEL SITEPROP SLICE_X47Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y28 CLASS site SITEPROP SLICE_X47Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y28 IS_BONDED 0 SITEPROP SLICE_X47Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y28 IS_PAD 0 SITEPROP SLICE_X47Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y28 IS_RESERVED 0 SITEPROP SLICE_X47Y28 IS_TEST 0 SITEPROP SLICE_X47Y28 IS_USED 0 SITEPROP SLICE_X47Y28 MANUAL_ROUTING SITEPROP SLICE_X47Y28 NAME SLICE_X47Y28 SITEPROP SLICE_X47Y28 NUM_ARCS 138 SITEPROP SLICE_X47Y28 NUM_BELS 32 SITEPROP SLICE_X47Y28 NUM_INPUTS 32 SITEPROP SLICE_X47Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y28 NUM_PINS 45 SITEPROP SLICE_X47Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y28 PROHIBIT 0 SITEPROP SLICE_X47Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y28 RPM_X 123 SITEPROP SLICE_X47Y28 RPM_Y 56 SITEPROP SLICE_X47Y28 SITE_PIPS SITEPROP SLICE_X47Y28 SITE_TYPE SLICEL SITEPROP SLICE_X47Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y29 CLASS site SITEPROP SLICE_X47Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y29 IS_BONDED 0 SITEPROP SLICE_X47Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y29 IS_PAD 0 SITEPROP SLICE_X47Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y29 IS_RESERVED 0 SITEPROP SLICE_X47Y29 IS_TEST 0 SITEPROP SLICE_X47Y29 IS_USED 0 SITEPROP SLICE_X47Y29 MANUAL_ROUTING SITEPROP SLICE_X47Y29 NAME SLICE_X47Y29 SITEPROP SLICE_X47Y29 NUM_ARCS 138 SITEPROP SLICE_X47Y29 NUM_BELS 32 SITEPROP SLICE_X47Y29 NUM_INPUTS 32 SITEPROP SLICE_X47Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y29 NUM_PINS 45 SITEPROP SLICE_X47Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y29 PROHIBIT 0 SITEPROP SLICE_X47Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y29 RPM_X 123 SITEPROP SLICE_X47Y29 RPM_Y 58 SITEPROP SLICE_X47Y29 SITE_PIPS SITEPROP SLICE_X47Y29 SITE_TYPE SLICEL SITEPROP SLICE_X47Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y30 CLASS site SITEPROP SLICE_X47Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y30 IS_BONDED 0 SITEPROP SLICE_X47Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y30 IS_PAD 0 SITEPROP SLICE_X47Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y30 IS_RESERVED 0 SITEPROP SLICE_X47Y30 IS_TEST 0 SITEPROP SLICE_X47Y30 IS_USED 0 SITEPROP SLICE_X47Y30 MANUAL_ROUTING SITEPROP SLICE_X47Y30 NAME SLICE_X47Y30 SITEPROP SLICE_X47Y30 NUM_ARCS 138 SITEPROP SLICE_X47Y30 NUM_BELS 32 SITEPROP SLICE_X47Y30 NUM_INPUTS 32 SITEPROP SLICE_X47Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y30 NUM_PINS 45 SITEPROP SLICE_X47Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y30 PROHIBIT 0 SITEPROP SLICE_X47Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y30 RPM_X 123 SITEPROP SLICE_X47Y30 RPM_Y 60 SITEPROP SLICE_X47Y30 SITE_PIPS SITEPROP SLICE_X47Y30 SITE_TYPE SLICEL SITEPROP SLICE_X47Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y31 CLASS site SITEPROP SLICE_X47Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y31 IS_BONDED 0 SITEPROP SLICE_X47Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y31 IS_PAD 0 SITEPROP SLICE_X47Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y31 IS_RESERVED 0 SITEPROP SLICE_X47Y31 IS_TEST 0 SITEPROP SLICE_X47Y31 IS_USED 0 SITEPROP SLICE_X47Y31 MANUAL_ROUTING SITEPROP SLICE_X47Y31 NAME SLICE_X47Y31 SITEPROP SLICE_X47Y31 NUM_ARCS 138 SITEPROP SLICE_X47Y31 NUM_BELS 32 SITEPROP SLICE_X47Y31 NUM_INPUTS 32 SITEPROP SLICE_X47Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y31 NUM_PINS 45 SITEPROP SLICE_X47Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y31 PROHIBIT 0 SITEPROP SLICE_X47Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y31 RPM_X 123 SITEPROP SLICE_X47Y31 RPM_Y 62 SITEPROP SLICE_X47Y31 SITE_PIPS SITEPROP SLICE_X47Y31 SITE_TYPE SLICEL SITEPROP SLICE_X47Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y32 CLASS site SITEPROP SLICE_X47Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y32 IS_BONDED 0 SITEPROP SLICE_X47Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y32 IS_PAD 0 SITEPROP SLICE_X47Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y32 IS_RESERVED 0 SITEPROP SLICE_X47Y32 IS_TEST 0 SITEPROP SLICE_X47Y32 IS_USED 0 SITEPROP SLICE_X47Y32 MANUAL_ROUTING SITEPROP SLICE_X47Y32 NAME SLICE_X47Y32 SITEPROP SLICE_X47Y32 NUM_ARCS 138 SITEPROP SLICE_X47Y32 NUM_BELS 32 SITEPROP SLICE_X47Y32 NUM_INPUTS 32 SITEPROP SLICE_X47Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y32 NUM_PINS 45 SITEPROP SLICE_X47Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y32 PROHIBIT 0 SITEPROP SLICE_X47Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y32 RPM_X 123 SITEPROP SLICE_X47Y32 RPM_Y 64 SITEPROP SLICE_X47Y32 SITE_PIPS SITEPROP SLICE_X47Y32 SITE_TYPE SLICEL SITEPROP SLICE_X47Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y33 CLASS site SITEPROP SLICE_X47Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y33 IS_BONDED 0 SITEPROP SLICE_X47Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y33 IS_PAD 0 SITEPROP SLICE_X47Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y33 IS_RESERVED 0 SITEPROP SLICE_X47Y33 IS_TEST 0 SITEPROP SLICE_X47Y33 IS_USED 0 SITEPROP SLICE_X47Y33 MANUAL_ROUTING SITEPROP SLICE_X47Y33 NAME SLICE_X47Y33 SITEPROP SLICE_X47Y33 NUM_ARCS 138 SITEPROP SLICE_X47Y33 NUM_BELS 32 SITEPROP SLICE_X47Y33 NUM_INPUTS 32 SITEPROP SLICE_X47Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y33 NUM_PINS 45 SITEPROP SLICE_X47Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y33 PROHIBIT 0 SITEPROP SLICE_X47Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y33 RPM_X 123 SITEPROP SLICE_X47Y33 RPM_Y 66 SITEPROP SLICE_X47Y33 SITE_PIPS SITEPROP SLICE_X47Y33 SITE_TYPE SLICEL SITEPROP SLICE_X47Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y34 CLASS site SITEPROP SLICE_X47Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y34 IS_BONDED 0 SITEPROP SLICE_X47Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y34 IS_PAD 0 SITEPROP SLICE_X47Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y34 IS_RESERVED 0 SITEPROP SLICE_X47Y34 IS_TEST 0 SITEPROP SLICE_X47Y34 IS_USED 0 SITEPROP SLICE_X47Y34 MANUAL_ROUTING SITEPROP SLICE_X47Y34 NAME SLICE_X47Y34 SITEPROP SLICE_X47Y34 NUM_ARCS 138 SITEPROP SLICE_X47Y34 NUM_BELS 32 SITEPROP SLICE_X47Y34 NUM_INPUTS 32 SITEPROP SLICE_X47Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y34 NUM_PINS 45 SITEPROP SLICE_X47Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y34 PROHIBIT 0 SITEPROP SLICE_X47Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y34 RPM_X 123 SITEPROP SLICE_X47Y34 RPM_Y 68 SITEPROP SLICE_X47Y34 SITE_PIPS SITEPROP SLICE_X47Y34 SITE_TYPE SLICEL SITEPROP SLICE_X47Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y35 CLASS site SITEPROP SLICE_X47Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y35 IS_BONDED 0 SITEPROP SLICE_X47Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y35 IS_PAD 0 SITEPROP SLICE_X47Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y35 IS_RESERVED 0 SITEPROP SLICE_X47Y35 IS_TEST 0 SITEPROP SLICE_X47Y35 IS_USED 0 SITEPROP SLICE_X47Y35 MANUAL_ROUTING SITEPROP SLICE_X47Y35 NAME SLICE_X47Y35 SITEPROP SLICE_X47Y35 NUM_ARCS 138 SITEPROP SLICE_X47Y35 NUM_BELS 32 SITEPROP SLICE_X47Y35 NUM_INPUTS 32 SITEPROP SLICE_X47Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y35 NUM_PINS 45 SITEPROP SLICE_X47Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y35 PROHIBIT 0 SITEPROP SLICE_X47Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y35 RPM_X 123 SITEPROP SLICE_X47Y35 RPM_Y 70 SITEPROP SLICE_X47Y35 SITE_PIPS SITEPROP SLICE_X47Y35 SITE_TYPE SLICEL SITEPROP SLICE_X47Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y36 CLASS site SITEPROP SLICE_X47Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y36 IS_BONDED 0 SITEPROP SLICE_X47Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y36 IS_PAD 0 SITEPROP SLICE_X47Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y36 IS_RESERVED 0 SITEPROP SLICE_X47Y36 IS_TEST 0 SITEPROP SLICE_X47Y36 IS_USED 0 SITEPROP SLICE_X47Y36 MANUAL_ROUTING SITEPROP SLICE_X47Y36 NAME SLICE_X47Y36 SITEPROP SLICE_X47Y36 NUM_ARCS 138 SITEPROP SLICE_X47Y36 NUM_BELS 32 SITEPROP SLICE_X47Y36 NUM_INPUTS 32 SITEPROP SLICE_X47Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y36 NUM_PINS 45 SITEPROP SLICE_X47Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y36 PROHIBIT 0 SITEPROP SLICE_X47Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y36 RPM_X 123 SITEPROP SLICE_X47Y36 RPM_Y 72 SITEPROP SLICE_X47Y36 SITE_PIPS SITEPROP SLICE_X47Y36 SITE_TYPE SLICEL SITEPROP SLICE_X47Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y37 CLASS site SITEPROP SLICE_X47Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y37 IS_BONDED 0 SITEPROP SLICE_X47Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y37 IS_PAD 0 SITEPROP SLICE_X47Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y37 IS_RESERVED 0 SITEPROP SLICE_X47Y37 IS_TEST 0 SITEPROP SLICE_X47Y37 IS_USED 0 SITEPROP SLICE_X47Y37 MANUAL_ROUTING SITEPROP SLICE_X47Y37 NAME SLICE_X47Y37 SITEPROP SLICE_X47Y37 NUM_ARCS 138 SITEPROP SLICE_X47Y37 NUM_BELS 32 SITEPROP SLICE_X47Y37 NUM_INPUTS 32 SITEPROP SLICE_X47Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y37 NUM_PINS 45 SITEPROP SLICE_X47Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y37 PROHIBIT 0 SITEPROP SLICE_X47Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y37 RPM_X 123 SITEPROP SLICE_X47Y37 RPM_Y 74 SITEPROP SLICE_X47Y37 SITE_PIPS SITEPROP SLICE_X47Y37 SITE_TYPE SLICEL SITEPROP SLICE_X47Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y38 CLASS site SITEPROP SLICE_X47Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y38 IS_BONDED 0 SITEPROP SLICE_X47Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y38 IS_PAD 0 SITEPROP SLICE_X47Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y38 IS_RESERVED 0 SITEPROP SLICE_X47Y38 IS_TEST 0 SITEPROP SLICE_X47Y38 IS_USED 0 SITEPROP SLICE_X47Y38 MANUAL_ROUTING SITEPROP SLICE_X47Y38 NAME SLICE_X47Y38 SITEPROP SLICE_X47Y38 NUM_ARCS 138 SITEPROP SLICE_X47Y38 NUM_BELS 32 SITEPROP SLICE_X47Y38 NUM_INPUTS 32 SITEPROP SLICE_X47Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y38 NUM_PINS 45 SITEPROP SLICE_X47Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y38 PROHIBIT 0 SITEPROP SLICE_X47Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y38 RPM_X 123 SITEPROP SLICE_X47Y38 RPM_Y 76 SITEPROP SLICE_X47Y38 SITE_PIPS SITEPROP SLICE_X47Y38 SITE_TYPE SLICEL SITEPROP SLICE_X47Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y39 CLASS site SITEPROP SLICE_X47Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y39 IS_BONDED 0 SITEPROP SLICE_X47Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y39 IS_PAD 0 SITEPROP SLICE_X47Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y39 IS_RESERVED 0 SITEPROP SLICE_X47Y39 IS_TEST 0 SITEPROP SLICE_X47Y39 IS_USED 0 SITEPROP SLICE_X47Y39 MANUAL_ROUTING SITEPROP SLICE_X47Y39 NAME SLICE_X47Y39 SITEPROP SLICE_X47Y39 NUM_ARCS 138 SITEPROP SLICE_X47Y39 NUM_BELS 32 SITEPROP SLICE_X47Y39 NUM_INPUTS 32 SITEPROP SLICE_X47Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y39 NUM_PINS 45 SITEPROP SLICE_X47Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y39 PROHIBIT 0 SITEPROP SLICE_X47Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y39 RPM_X 123 SITEPROP SLICE_X47Y39 RPM_Y 78 SITEPROP SLICE_X47Y39 SITE_PIPS SITEPROP SLICE_X47Y39 SITE_TYPE SLICEL SITEPROP SLICE_X47Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y40 CLASS site SITEPROP SLICE_X47Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y40 IS_BONDED 0 SITEPROP SLICE_X47Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y40 IS_PAD 0 SITEPROP SLICE_X47Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y40 IS_RESERVED 0 SITEPROP SLICE_X47Y40 IS_TEST 0 SITEPROP SLICE_X47Y40 IS_USED 0 SITEPROP SLICE_X47Y40 MANUAL_ROUTING SITEPROP SLICE_X47Y40 NAME SLICE_X47Y40 SITEPROP SLICE_X47Y40 NUM_ARCS 138 SITEPROP SLICE_X47Y40 NUM_BELS 32 SITEPROP SLICE_X47Y40 NUM_INPUTS 32 SITEPROP SLICE_X47Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y40 NUM_PINS 45 SITEPROP SLICE_X47Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y40 PROHIBIT 0 SITEPROP SLICE_X47Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y40 RPM_X 123 SITEPROP SLICE_X47Y40 RPM_Y 80 SITEPROP SLICE_X47Y40 SITE_PIPS SITEPROP SLICE_X47Y40 SITE_TYPE SLICEL SITEPROP SLICE_X47Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y41 CLASS site SITEPROP SLICE_X47Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y41 IS_BONDED 0 SITEPROP SLICE_X47Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y41 IS_PAD 0 SITEPROP SLICE_X47Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y41 IS_RESERVED 0 SITEPROP SLICE_X47Y41 IS_TEST 0 SITEPROP SLICE_X47Y41 IS_USED 0 SITEPROP SLICE_X47Y41 MANUAL_ROUTING SITEPROP SLICE_X47Y41 NAME SLICE_X47Y41 SITEPROP SLICE_X47Y41 NUM_ARCS 138 SITEPROP SLICE_X47Y41 NUM_BELS 32 SITEPROP SLICE_X47Y41 NUM_INPUTS 32 SITEPROP SLICE_X47Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y41 NUM_PINS 45 SITEPROP SLICE_X47Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y41 PROHIBIT 0 SITEPROP SLICE_X47Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y41 RPM_X 123 SITEPROP SLICE_X47Y41 RPM_Y 82 SITEPROP SLICE_X47Y41 SITE_PIPS SITEPROP SLICE_X47Y41 SITE_TYPE SLICEL SITEPROP SLICE_X47Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y42 CLASS site SITEPROP SLICE_X47Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y42 IS_BONDED 0 SITEPROP SLICE_X47Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y42 IS_PAD 0 SITEPROP SLICE_X47Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y42 IS_RESERVED 0 SITEPROP SLICE_X47Y42 IS_TEST 0 SITEPROP SLICE_X47Y42 IS_USED 0 SITEPROP SLICE_X47Y42 MANUAL_ROUTING SITEPROP SLICE_X47Y42 NAME SLICE_X47Y42 SITEPROP SLICE_X47Y42 NUM_ARCS 138 SITEPROP SLICE_X47Y42 NUM_BELS 32 SITEPROP SLICE_X47Y42 NUM_INPUTS 32 SITEPROP SLICE_X47Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y42 NUM_PINS 45 SITEPROP SLICE_X47Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y42 PROHIBIT 0 SITEPROP SLICE_X47Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y42 RPM_X 123 SITEPROP SLICE_X47Y42 RPM_Y 84 SITEPROP SLICE_X47Y42 SITE_PIPS SITEPROP SLICE_X47Y42 SITE_TYPE SLICEL SITEPROP SLICE_X47Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y43 CLASS site SITEPROP SLICE_X47Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y43 IS_BONDED 0 SITEPROP SLICE_X47Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y43 IS_PAD 0 SITEPROP SLICE_X47Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y43 IS_RESERVED 0 SITEPROP SLICE_X47Y43 IS_TEST 0 SITEPROP SLICE_X47Y43 IS_USED 0 SITEPROP SLICE_X47Y43 MANUAL_ROUTING SITEPROP SLICE_X47Y43 NAME SLICE_X47Y43 SITEPROP SLICE_X47Y43 NUM_ARCS 138 SITEPROP SLICE_X47Y43 NUM_BELS 32 SITEPROP SLICE_X47Y43 NUM_INPUTS 32 SITEPROP SLICE_X47Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y43 NUM_PINS 45 SITEPROP SLICE_X47Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y43 PROHIBIT 0 SITEPROP SLICE_X47Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y43 RPM_X 123 SITEPROP SLICE_X47Y43 RPM_Y 86 SITEPROP SLICE_X47Y43 SITE_PIPS SITEPROP SLICE_X47Y43 SITE_TYPE SLICEL SITEPROP SLICE_X47Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y44 CLASS site SITEPROP SLICE_X47Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y44 IS_BONDED 0 SITEPROP SLICE_X47Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y44 IS_PAD 0 SITEPROP SLICE_X47Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y44 IS_RESERVED 0 SITEPROP SLICE_X47Y44 IS_TEST 0 SITEPROP SLICE_X47Y44 IS_USED 0 SITEPROP SLICE_X47Y44 MANUAL_ROUTING SITEPROP SLICE_X47Y44 NAME SLICE_X47Y44 SITEPROP SLICE_X47Y44 NUM_ARCS 138 SITEPROP SLICE_X47Y44 NUM_BELS 32 SITEPROP SLICE_X47Y44 NUM_INPUTS 32 SITEPROP SLICE_X47Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y44 NUM_PINS 45 SITEPROP SLICE_X47Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y44 PROHIBIT 0 SITEPROP SLICE_X47Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y44 RPM_X 123 SITEPROP SLICE_X47Y44 RPM_Y 88 SITEPROP SLICE_X47Y44 SITE_PIPS SITEPROP SLICE_X47Y44 SITE_TYPE SLICEL SITEPROP SLICE_X47Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y45 CLASS site SITEPROP SLICE_X47Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y45 IS_BONDED 0 SITEPROP SLICE_X47Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y45 IS_PAD 0 SITEPROP SLICE_X47Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y45 IS_RESERVED 0 SITEPROP SLICE_X47Y45 IS_TEST 0 SITEPROP SLICE_X47Y45 IS_USED 0 SITEPROP SLICE_X47Y45 MANUAL_ROUTING SITEPROP SLICE_X47Y45 NAME SLICE_X47Y45 SITEPROP SLICE_X47Y45 NUM_ARCS 138 SITEPROP SLICE_X47Y45 NUM_BELS 32 SITEPROP SLICE_X47Y45 NUM_INPUTS 32 SITEPROP SLICE_X47Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y45 NUM_PINS 45 SITEPROP SLICE_X47Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y45 PROHIBIT 0 SITEPROP SLICE_X47Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y45 RPM_X 123 SITEPROP SLICE_X47Y45 RPM_Y 90 SITEPROP SLICE_X47Y45 SITE_PIPS SITEPROP SLICE_X47Y45 SITE_TYPE SLICEL SITEPROP SLICE_X47Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y46 CLASS site SITEPROP SLICE_X47Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y46 IS_BONDED 0 SITEPROP SLICE_X47Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y46 IS_PAD 0 SITEPROP SLICE_X47Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y46 IS_RESERVED 0 SITEPROP SLICE_X47Y46 IS_TEST 0 SITEPROP SLICE_X47Y46 IS_USED 0 SITEPROP SLICE_X47Y46 MANUAL_ROUTING SITEPROP SLICE_X47Y46 NAME SLICE_X47Y46 SITEPROP SLICE_X47Y46 NUM_ARCS 138 SITEPROP SLICE_X47Y46 NUM_BELS 32 SITEPROP SLICE_X47Y46 NUM_INPUTS 32 SITEPROP SLICE_X47Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y46 NUM_PINS 45 SITEPROP SLICE_X47Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y46 PROHIBIT 0 SITEPROP SLICE_X47Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y46 RPM_X 123 SITEPROP SLICE_X47Y46 RPM_Y 92 SITEPROP SLICE_X47Y46 SITE_PIPS SITEPROP SLICE_X47Y46 SITE_TYPE SLICEL SITEPROP SLICE_X47Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y47 CLASS site SITEPROP SLICE_X47Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y47 IS_BONDED 0 SITEPROP SLICE_X47Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y47 IS_PAD 0 SITEPROP SLICE_X47Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y47 IS_RESERVED 0 SITEPROP SLICE_X47Y47 IS_TEST 0 SITEPROP SLICE_X47Y47 IS_USED 0 SITEPROP SLICE_X47Y47 MANUAL_ROUTING SITEPROP SLICE_X47Y47 NAME SLICE_X47Y47 SITEPROP SLICE_X47Y47 NUM_ARCS 138 SITEPROP SLICE_X47Y47 NUM_BELS 32 SITEPROP SLICE_X47Y47 NUM_INPUTS 32 SITEPROP SLICE_X47Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y47 NUM_PINS 45 SITEPROP SLICE_X47Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y47 PROHIBIT 0 SITEPROP SLICE_X47Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y47 RPM_X 123 SITEPROP SLICE_X47Y47 RPM_Y 94 SITEPROP SLICE_X47Y47 SITE_PIPS SITEPROP SLICE_X47Y47 SITE_TYPE SLICEL SITEPROP SLICE_X47Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y48 CLASS site SITEPROP SLICE_X47Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y48 IS_BONDED 0 SITEPROP SLICE_X47Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y48 IS_PAD 0 SITEPROP SLICE_X47Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y48 IS_RESERVED 0 SITEPROP SLICE_X47Y48 IS_TEST 0 SITEPROP SLICE_X47Y48 IS_USED 0 SITEPROP SLICE_X47Y48 MANUAL_ROUTING SITEPROP SLICE_X47Y48 NAME SLICE_X47Y48 SITEPROP SLICE_X47Y48 NUM_ARCS 138 SITEPROP SLICE_X47Y48 NUM_BELS 32 SITEPROP SLICE_X47Y48 NUM_INPUTS 32 SITEPROP SLICE_X47Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y48 NUM_PINS 45 SITEPROP SLICE_X47Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y48 PROHIBIT 0 SITEPROP SLICE_X47Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y48 RPM_X 123 SITEPROP SLICE_X47Y48 RPM_Y 96 SITEPROP SLICE_X47Y48 SITE_PIPS SITEPROP SLICE_X47Y48 SITE_TYPE SLICEL SITEPROP SLICE_X47Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y49 CLASS site SITEPROP SLICE_X47Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X47Y49 IS_BONDED 0 SITEPROP SLICE_X47Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y49 IS_PAD 0 SITEPROP SLICE_X47Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y49 IS_RESERVED 0 SITEPROP SLICE_X47Y49 IS_TEST 0 SITEPROP SLICE_X47Y49 IS_USED 0 SITEPROP SLICE_X47Y49 MANUAL_ROUTING SITEPROP SLICE_X47Y49 NAME SLICE_X47Y49 SITEPROP SLICE_X47Y49 NUM_ARCS 138 SITEPROP SLICE_X47Y49 NUM_BELS 32 SITEPROP SLICE_X47Y49 NUM_INPUTS 32 SITEPROP SLICE_X47Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y49 NUM_PINS 45 SITEPROP SLICE_X47Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y49 PROHIBIT 0 SITEPROP SLICE_X47Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y49 RPM_X 123 SITEPROP SLICE_X47Y49 RPM_Y 98 SITEPROP SLICE_X47Y49 SITE_PIPS SITEPROP SLICE_X47Y49 SITE_TYPE SLICEL SITEPROP SLICE_X47Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y50 CLASS site SITEPROP SLICE_X47Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y50 IS_BONDED 0 SITEPROP SLICE_X47Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y50 IS_PAD 0 SITEPROP SLICE_X47Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y50 IS_RESERVED 0 SITEPROP SLICE_X47Y50 IS_TEST 0 SITEPROP SLICE_X47Y50 IS_USED 0 SITEPROP SLICE_X47Y50 MANUAL_ROUTING SITEPROP SLICE_X47Y50 NAME SLICE_X47Y50 SITEPROP SLICE_X47Y50 NUM_ARCS 138 SITEPROP SLICE_X47Y50 NUM_BELS 32 SITEPROP SLICE_X47Y50 NUM_INPUTS 32 SITEPROP SLICE_X47Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y50 NUM_PINS 45 SITEPROP SLICE_X47Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y50 PROHIBIT 0 SITEPROP SLICE_X47Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y50 RPM_X 123 SITEPROP SLICE_X47Y50 RPM_Y 100 SITEPROP SLICE_X47Y50 SITE_PIPS SITEPROP SLICE_X47Y50 SITE_TYPE SLICEL SITEPROP SLICE_X47Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y51 CLASS site SITEPROP SLICE_X47Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y51 IS_BONDED 0 SITEPROP SLICE_X47Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y51 IS_PAD 0 SITEPROP SLICE_X47Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y51 IS_RESERVED 0 SITEPROP SLICE_X47Y51 IS_TEST 0 SITEPROP SLICE_X47Y51 IS_USED 0 SITEPROP SLICE_X47Y51 MANUAL_ROUTING SITEPROP SLICE_X47Y51 NAME SLICE_X47Y51 SITEPROP SLICE_X47Y51 NUM_ARCS 138 SITEPROP SLICE_X47Y51 NUM_BELS 32 SITEPROP SLICE_X47Y51 NUM_INPUTS 32 SITEPROP SLICE_X47Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y51 NUM_PINS 45 SITEPROP SLICE_X47Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y51 PROHIBIT 0 SITEPROP SLICE_X47Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y51 RPM_X 123 SITEPROP SLICE_X47Y51 RPM_Y 102 SITEPROP SLICE_X47Y51 SITE_PIPS SITEPROP SLICE_X47Y51 SITE_TYPE SLICEL SITEPROP SLICE_X47Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y52 CLASS site SITEPROP SLICE_X47Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y52 IS_BONDED 0 SITEPROP SLICE_X47Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y52 IS_PAD 0 SITEPROP SLICE_X47Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y52 IS_RESERVED 0 SITEPROP SLICE_X47Y52 IS_TEST 0 SITEPROP SLICE_X47Y52 IS_USED 0 SITEPROP SLICE_X47Y52 MANUAL_ROUTING SITEPROP SLICE_X47Y52 NAME SLICE_X47Y52 SITEPROP SLICE_X47Y52 NUM_ARCS 138 SITEPROP SLICE_X47Y52 NUM_BELS 32 SITEPROP SLICE_X47Y52 NUM_INPUTS 32 SITEPROP SLICE_X47Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y52 NUM_PINS 45 SITEPROP SLICE_X47Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y52 PROHIBIT 0 SITEPROP SLICE_X47Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y52 RPM_X 123 SITEPROP SLICE_X47Y52 RPM_Y 104 SITEPROP SLICE_X47Y52 SITE_PIPS SITEPROP SLICE_X47Y52 SITE_TYPE SLICEL SITEPROP SLICE_X47Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y53 CLASS site SITEPROP SLICE_X47Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y53 IS_BONDED 0 SITEPROP SLICE_X47Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y53 IS_PAD 0 SITEPROP SLICE_X47Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y53 IS_RESERVED 0 SITEPROP SLICE_X47Y53 IS_TEST 0 SITEPROP SLICE_X47Y53 IS_USED 0 SITEPROP SLICE_X47Y53 MANUAL_ROUTING SITEPROP SLICE_X47Y53 NAME SLICE_X47Y53 SITEPROP SLICE_X47Y53 NUM_ARCS 138 SITEPROP SLICE_X47Y53 NUM_BELS 32 SITEPROP SLICE_X47Y53 NUM_INPUTS 32 SITEPROP SLICE_X47Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y53 NUM_PINS 45 SITEPROP SLICE_X47Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y53 PROHIBIT 0 SITEPROP SLICE_X47Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y53 RPM_X 123 SITEPROP SLICE_X47Y53 RPM_Y 106 SITEPROP SLICE_X47Y53 SITE_PIPS SITEPROP SLICE_X47Y53 SITE_TYPE SLICEL SITEPROP SLICE_X47Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y54 CLASS site SITEPROP SLICE_X47Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y54 IS_BONDED 0 SITEPROP SLICE_X47Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y54 IS_PAD 0 SITEPROP SLICE_X47Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y54 IS_RESERVED 0 SITEPROP SLICE_X47Y54 IS_TEST 0 SITEPROP SLICE_X47Y54 IS_USED 0 SITEPROP SLICE_X47Y54 MANUAL_ROUTING SITEPROP SLICE_X47Y54 NAME SLICE_X47Y54 SITEPROP SLICE_X47Y54 NUM_ARCS 138 SITEPROP SLICE_X47Y54 NUM_BELS 32 SITEPROP SLICE_X47Y54 NUM_INPUTS 32 SITEPROP SLICE_X47Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y54 NUM_PINS 45 SITEPROP SLICE_X47Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y54 PROHIBIT 0 SITEPROP SLICE_X47Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y54 RPM_X 123 SITEPROP SLICE_X47Y54 RPM_Y 108 SITEPROP SLICE_X47Y54 SITE_PIPS SITEPROP SLICE_X47Y54 SITE_TYPE SLICEL SITEPROP SLICE_X47Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y55 CLASS site SITEPROP SLICE_X47Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y55 IS_BONDED 0 SITEPROP SLICE_X47Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y55 IS_PAD 0 SITEPROP SLICE_X47Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y55 IS_RESERVED 0 SITEPROP SLICE_X47Y55 IS_TEST 0 SITEPROP SLICE_X47Y55 IS_USED 0 SITEPROP SLICE_X47Y55 MANUAL_ROUTING SITEPROP SLICE_X47Y55 NAME SLICE_X47Y55 SITEPROP SLICE_X47Y55 NUM_ARCS 138 SITEPROP SLICE_X47Y55 NUM_BELS 32 SITEPROP SLICE_X47Y55 NUM_INPUTS 32 SITEPROP SLICE_X47Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y55 NUM_PINS 45 SITEPROP SLICE_X47Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y55 PROHIBIT 0 SITEPROP SLICE_X47Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y55 RPM_X 123 SITEPROP SLICE_X47Y55 RPM_Y 110 SITEPROP SLICE_X47Y55 SITE_PIPS SITEPROP SLICE_X47Y55 SITE_TYPE SLICEL SITEPROP SLICE_X47Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y56 CLASS site SITEPROP SLICE_X47Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y56 IS_BONDED 0 SITEPROP SLICE_X47Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y56 IS_PAD 0 SITEPROP SLICE_X47Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y56 IS_RESERVED 0 SITEPROP SLICE_X47Y56 IS_TEST 0 SITEPROP SLICE_X47Y56 IS_USED 0 SITEPROP SLICE_X47Y56 MANUAL_ROUTING SITEPROP SLICE_X47Y56 NAME SLICE_X47Y56 SITEPROP SLICE_X47Y56 NUM_ARCS 138 SITEPROP SLICE_X47Y56 NUM_BELS 32 SITEPROP SLICE_X47Y56 NUM_INPUTS 32 SITEPROP SLICE_X47Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y56 NUM_PINS 45 SITEPROP SLICE_X47Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y56 PROHIBIT 0 SITEPROP SLICE_X47Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y56 RPM_X 123 SITEPROP SLICE_X47Y56 RPM_Y 112 SITEPROP SLICE_X47Y56 SITE_PIPS SITEPROP SLICE_X47Y56 SITE_TYPE SLICEL SITEPROP SLICE_X47Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y57 CLASS site SITEPROP SLICE_X47Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y57 IS_BONDED 0 SITEPROP SLICE_X47Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y57 IS_PAD 0 SITEPROP SLICE_X47Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y57 IS_RESERVED 0 SITEPROP SLICE_X47Y57 IS_TEST 0 SITEPROP SLICE_X47Y57 IS_USED 0 SITEPROP SLICE_X47Y57 MANUAL_ROUTING SITEPROP SLICE_X47Y57 NAME SLICE_X47Y57 SITEPROP SLICE_X47Y57 NUM_ARCS 138 SITEPROP SLICE_X47Y57 NUM_BELS 32 SITEPROP SLICE_X47Y57 NUM_INPUTS 32 SITEPROP SLICE_X47Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y57 NUM_PINS 45 SITEPROP SLICE_X47Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y57 PROHIBIT 0 SITEPROP SLICE_X47Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y57 RPM_X 123 SITEPROP SLICE_X47Y57 RPM_Y 114 SITEPROP SLICE_X47Y57 SITE_PIPS SITEPROP SLICE_X47Y57 SITE_TYPE SLICEL SITEPROP SLICE_X47Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y58 CLASS site SITEPROP SLICE_X47Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y58 IS_BONDED 0 SITEPROP SLICE_X47Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y58 IS_PAD 0 SITEPROP SLICE_X47Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y58 IS_RESERVED 0 SITEPROP SLICE_X47Y58 IS_TEST 0 SITEPROP SLICE_X47Y58 IS_USED 0 SITEPROP SLICE_X47Y58 MANUAL_ROUTING SITEPROP SLICE_X47Y58 NAME SLICE_X47Y58 SITEPROP SLICE_X47Y58 NUM_ARCS 138 SITEPROP SLICE_X47Y58 NUM_BELS 32 SITEPROP SLICE_X47Y58 NUM_INPUTS 32 SITEPROP SLICE_X47Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y58 NUM_PINS 45 SITEPROP SLICE_X47Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y58 PROHIBIT 0 SITEPROP SLICE_X47Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y58 RPM_X 123 SITEPROP SLICE_X47Y58 RPM_Y 116 SITEPROP SLICE_X47Y58 SITE_PIPS SITEPROP SLICE_X47Y58 SITE_TYPE SLICEL SITEPROP SLICE_X47Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y59 CLASS site SITEPROP SLICE_X47Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y59 IS_BONDED 0 SITEPROP SLICE_X47Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y59 IS_PAD 0 SITEPROP SLICE_X47Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y59 IS_RESERVED 0 SITEPROP SLICE_X47Y59 IS_TEST 0 SITEPROP SLICE_X47Y59 IS_USED 0 SITEPROP SLICE_X47Y59 MANUAL_ROUTING SITEPROP SLICE_X47Y59 NAME SLICE_X47Y59 SITEPROP SLICE_X47Y59 NUM_ARCS 138 SITEPROP SLICE_X47Y59 NUM_BELS 32 SITEPROP SLICE_X47Y59 NUM_INPUTS 32 SITEPROP SLICE_X47Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y59 NUM_PINS 45 SITEPROP SLICE_X47Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y59 PROHIBIT 0 SITEPROP SLICE_X47Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y59 RPM_X 123 SITEPROP SLICE_X47Y59 RPM_Y 118 SITEPROP SLICE_X47Y59 SITE_PIPS SITEPROP SLICE_X47Y59 SITE_TYPE SLICEL SITEPROP SLICE_X47Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y60 CLASS site SITEPROP SLICE_X47Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y60 IS_BONDED 0 SITEPROP SLICE_X47Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y60 IS_PAD 0 SITEPROP SLICE_X47Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y60 IS_RESERVED 0 SITEPROP SLICE_X47Y60 IS_TEST 0 SITEPROP SLICE_X47Y60 IS_USED 0 SITEPROP SLICE_X47Y60 MANUAL_ROUTING SITEPROP SLICE_X47Y60 NAME SLICE_X47Y60 SITEPROP SLICE_X47Y60 NUM_ARCS 138 SITEPROP SLICE_X47Y60 NUM_BELS 32 SITEPROP SLICE_X47Y60 NUM_INPUTS 32 SITEPROP SLICE_X47Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y60 NUM_PINS 45 SITEPROP SLICE_X47Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y60 PROHIBIT 0 SITEPROP SLICE_X47Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y60 RPM_X 123 SITEPROP SLICE_X47Y60 RPM_Y 120 SITEPROP SLICE_X47Y60 SITE_PIPS SITEPROP SLICE_X47Y60 SITE_TYPE SLICEL SITEPROP SLICE_X47Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y61 CLASS site SITEPROP SLICE_X47Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y61 IS_BONDED 0 SITEPROP SLICE_X47Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y61 IS_PAD 0 SITEPROP SLICE_X47Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y61 IS_RESERVED 0 SITEPROP SLICE_X47Y61 IS_TEST 0 SITEPROP SLICE_X47Y61 IS_USED 0 SITEPROP SLICE_X47Y61 MANUAL_ROUTING SITEPROP SLICE_X47Y61 NAME SLICE_X47Y61 SITEPROP SLICE_X47Y61 NUM_ARCS 138 SITEPROP SLICE_X47Y61 NUM_BELS 32 SITEPROP SLICE_X47Y61 NUM_INPUTS 32 SITEPROP SLICE_X47Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y61 NUM_PINS 45 SITEPROP SLICE_X47Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y61 PROHIBIT 0 SITEPROP SLICE_X47Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y61 RPM_X 123 SITEPROP SLICE_X47Y61 RPM_Y 122 SITEPROP SLICE_X47Y61 SITE_PIPS SITEPROP SLICE_X47Y61 SITE_TYPE SLICEL SITEPROP SLICE_X47Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y62 CLASS site SITEPROP SLICE_X47Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y62 IS_BONDED 0 SITEPROP SLICE_X47Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y62 IS_PAD 0 SITEPROP SLICE_X47Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y62 IS_RESERVED 0 SITEPROP SLICE_X47Y62 IS_TEST 0 SITEPROP SLICE_X47Y62 IS_USED 0 SITEPROP SLICE_X47Y62 MANUAL_ROUTING SITEPROP SLICE_X47Y62 NAME SLICE_X47Y62 SITEPROP SLICE_X47Y62 NUM_ARCS 138 SITEPROP SLICE_X47Y62 NUM_BELS 32 SITEPROP SLICE_X47Y62 NUM_INPUTS 32 SITEPROP SLICE_X47Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y62 NUM_PINS 45 SITEPROP SLICE_X47Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y62 PROHIBIT 0 SITEPROP SLICE_X47Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y62 RPM_X 123 SITEPROP SLICE_X47Y62 RPM_Y 124 SITEPROP SLICE_X47Y62 SITE_PIPS SITEPROP SLICE_X47Y62 SITE_TYPE SLICEL SITEPROP SLICE_X47Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y63 CLASS site SITEPROP SLICE_X47Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y63 IS_BONDED 0 SITEPROP SLICE_X47Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y63 IS_PAD 0 SITEPROP SLICE_X47Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y63 IS_RESERVED 0 SITEPROP SLICE_X47Y63 IS_TEST 0 SITEPROP SLICE_X47Y63 IS_USED 0 SITEPROP SLICE_X47Y63 MANUAL_ROUTING SITEPROP SLICE_X47Y63 NAME SLICE_X47Y63 SITEPROP SLICE_X47Y63 NUM_ARCS 138 SITEPROP SLICE_X47Y63 NUM_BELS 32 SITEPROP SLICE_X47Y63 NUM_INPUTS 32 SITEPROP SLICE_X47Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y63 NUM_PINS 45 SITEPROP SLICE_X47Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y63 PROHIBIT 0 SITEPROP SLICE_X47Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y63 RPM_X 123 SITEPROP SLICE_X47Y63 RPM_Y 126 SITEPROP SLICE_X47Y63 SITE_PIPS SITEPROP SLICE_X47Y63 SITE_TYPE SLICEL SITEPROP SLICE_X47Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y64 CLASS site SITEPROP SLICE_X47Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y64 IS_BONDED 0 SITEPROP SLICE_X47Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y64 IS_PAD 0 SITEPROP SLICE_X47Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y64 IS_RESERVED 0 SITEPROP SLICE_X47Y64 IS_TEST 0 SITEPROP SLICE_X47Y64 IS_USED 0 SITEPROP SLICE_X47Y64 MANUAL_ROUTING SITEPROP SLICE_X47Y64 NAME SLICE_X47Y64 SITEPROP SLICE_X47Y64 NUM_ARCS 138 SITEPROP SLICE_X47Y64 NUM_BELS 32 SITEPROP SLICE_X47Y64 NUM_INPUTS 32 SITEPROP SLICE_X47Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y64 NUM_PINS 45 SITEPROP SLICE_X47Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y64 PROHIBIT 0 SITEPROP SLICE_X47Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y64 RPM_X 123 SITEPROP SLICE_X47Y64 RPM_Y 128 SITEPROP SLICE_X47Y64 SITE_PIPS SITEPROP SLICE_X47Y64 SITE_TYPE SLICEL SITEPROP SLICE_X47Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y65 CLASS site SITEPROP SLICE_X47Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y65 IS_BONDED 0 SITEPROP SLICE_X47Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y65 IS_PAD 0 SITEPROP SLICE_X47Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y65 IS_RESERVED 0 SITEPROP SLICE_X47Y65 IS_TEST 0 SITEPROP SLICE_X47Y65 IS_USED 0 SITEPROP SLICE_X47Y65 MANUAL_ROUTING SITEPROP SLICE_X47Y65 NAME SLICE_X47Y65 SITEPROP SLICE_X47Y65 NUM_ARCS 138 SITEPROP SLICE_X47Y65 NUM_BELS 32 SITEPROP SLICE_X47Y65 NUM_INPUTS 32 SITEPROP SLICE_X47Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y65 NUM_PINS 45 SITEPROP SLICE_X47Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y65 PROHIBIT 0 SITEPROP SLICE_X47Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y65 RPM_X 123 SITEPROP SLICE_X47Y65 RPM_Y 130 SITEPROP SLICE_X47Y65 SITE_PIPS SITEPROP SLICE_X47Y65 SITE_TYPE SLICEL SITEPROP SLICE_X47Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y66 CLASS site SITEPROP SLICE_X47Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y66 IS_BONDED 0 SITEPROP SLICE_X47Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y66 IS_PAD 0 SITEPROP SLICE_X47Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y66 IS_RESERVED 0 SITEPROP SLICE_X47Y66 IS_TEST 0 SITEPROP SLICE_X47Y66 IS_USED 0 SITEPROP SLICE_X47Y66 MANUAL_ROUTING SITEPROP SLICE_X47Y66 NAME SLICE_X47Y66 SITEPROP SLICE_X47Y66 NUM_ARCS 138 SITEPROP SLICE_X47Y66 NUM_BELS 32 SITEPROP SLICE_X47Y66 NUM_INPUTS 32 SITEPROP SLICE_X47Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y66 NUM_PINS 45 SITEPROP SLICE_X47Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y66 PROHIBIT 0 SITEPROP SLICE_X47Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y66 RPM_X 123 SITEPROP SLICE_X47Y66 RPM_Y 132 SITEPROP SLICE_X47Y66 SITE_PIPS SITEPROP SLICE_X47Y66 SITE_TYPE SLICEL SITEPROP SLICE_X47Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y67 CLASS site SITEPROP SLICE_X47Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y67 IS_BONDED 0 SITEPROP SLICE_X47Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y67 IS_PAD 0 SITEPROP SLICE_X47Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y67 IS_RESERVED 0 SITEPROP SLICE_X47Y67 IS_TEST 0 SITEPROP SLICE_X47Y67 IS_USED 0 SITEPROP SLICE_X47Y67 MANUAL_ROUTING SITEPROP SLICE_X47Y67 NAME SLICE_X47Y67 SITEPROP SLICE_X47Y67 NUM_ARCS 138 SITEPROP SLICE_X47Y67 NUM_BELS 32 SITEPROP SLICE_X47Y67 NUM_INPUTS 32 SITEPROP SLICE_X47Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y67 NUM_PINS 45 SITEPROP SLICE_X47Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y67 PROHIBIT 0 SITEPROP SLICE_X47Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y67 RPM_X 123 SITEPROP SLICE_X47Y67 RPM_Y 134 SITEPROP SLICE_X47Y67 SITE_PIPS SITEPROP SLICE_X47Y67 SITE_TYPE SLICEL SITEPROP SLICE_X47Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y68 CLASS site SITEPROP SLICE_X47Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y68 IS_BONDED 0 SITEPROP SLICE_X47Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y68 IS_PAD 0 SITEPROP SLICE_X47Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y68 IS_RESERVED 0 SITEPROP SLICE_X47Y68 IS_TEST 0 SITEPROP SLICE_X47Y68 IS_USED 0 SITEPROP SLICE_X47Y68 MANUAL_ROUTING SITEPROP SLICE_X47Y68 NAME SLICE_X47Y68 SITEPROP SLICE_X47Y68 NUM_ARCS 138 SITEPROP SLICE_X47Y68 NUM_BELS 32 SITEPROP SLICE_X47Y68 NUM_INPUTS 32 SITEPROP SLICE_X47Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y68 NUM_PINS 45 SITEPROP SLICE_X47Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y68 PROHIBIT 0 SITEPROP SLICE_X47Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y68 RPM_X 123 SITEPROP SLICE_X47Y68 RPM_Y 136 SITEPROP SLICE_X47Y68 SITE_PIPS SITEPROP SLICE_X47Y68 SITE_TYPE SLICEL SITEPROP SLICE_X47Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y69 CLASS site SITEPROP SLICE_X47Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y69 IS_BONDED 0 SITEPROP SLICE_X47Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y69 IS_PAD 0 SITEPROP SLICE_X47Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y69 IS_RESERVED 0 SITEPROP SLICE_X47Y69 IS_TEST 0 SITEPROP SLICE_X47Y69 IS_USED 0 SITEPROP SLICE_X47Y69 MANUAL_ROUTING SITEPROP SLICE_X47Y69 NAME SLICE_X47Y69 SITEPROP SLICE_X47Y69 NUM_ARCS 138 SITEPROP SLICE_X47Y69 NUM_BELS 32 SITEPROP SLICE_X47Y69 NUM_INPUTS 32 SITEPROP SLICE_X47Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y69 NUM_PINS 45 SITEPROP SLICE_X47Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y69 PROHIBIT 0 SITEPROP SLICE_X47Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y69 RPM_X 123 SITEPROP SLICE_X47Y69 RPM_Y 138 SITEPROP SLICE_X47Y69 SITE_PIPS SITEPROP SLICE_X47Y69 SITE_TYPE SLICEL SITEPROP SLICE_X47Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y70 CLASS site SITEPROP SLICE_X47Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y70 IS_BONDED 0 SITEPROP SLICE_X47Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y70 IS_PAD 0 SITEPROP SLICE_X47Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y70 IS_RESERVED 0 SITEPROP SLICE_X47Y70 IS_TEST 0 SITEPROP SLICE_X47Y70 IS_USED 0 SITEPROP SLICE_X47Y70 MANUAL_ROUTING SITEPROP SLICE_X47Y70 NAME SLICE_X47Y70 SITEPROP SLICE_X47Y70 NUM_ARCS 138 SITEPROP SLICE_X47Y70 NUM_BELS 32 SITEPROP SLICE_X47Y70 NUM_INPUTS 32 SITEPROP SLICE_X47Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y70 NUM_PINS 45 SITEPROP SLICE_X47Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y70 PROHIBIT 0 SITEPROP SLICE_X47Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y70 RPM_X 123 SITEPROP SLICE_X47Y70 RPM_Y 140 SITEPROP SLICE_X47Y70 SITE_PIPS SITEPROP SLICE_X47Y70 SITE_TYPE SLICEL SITEPROP SLICE_X47Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y71 CLASS site SITEPROP SLICE_X47Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y71 IS_BONDED 0 SITEPROP SLICE_X47Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y71 IS_PAD 0 SITEPROP SLICE_X47Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y71 IS_RESERVED 0 SITEPROP SLICE_X47Y71 IS_TEST 0 SITEPROP SLICE_X47Y71 IS_USED 0 SITEPROP SLICE_X47Y71 MANUAL_ROUTING SITEPROP SLICE_X47Y71 NAME SLICE_X47Y71 SITEPROP SLICE_X47Y71 NUM_ARCS 138 SITEPROP SLICE_X47Y71 NUM_BELS 32 SITEPROP SLICE_X47Y71 NUM_INPUTS 32 SITEPROP SLICE_X47Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y71 NUM_PINS 45 SITEPROP SLICE_X47Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y71 PROHIBIT 0 SITEPROP SLICE_X47Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y71 RPM_X 123 SITEPROP SLICE_X47Y71 RPM_Y 142 SITEPROP SLICE_X47Y71 SITE_PIPS SITEPROP SLICE_X47Y71 SITE_TYPE SLICEL SITEPROP SLICE_X47Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y72 CLASS site SITEPROP SLICE_X47Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y72 IS_BONDED 0 SITEPROP SLICE_X47Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y72 IS_PAD 0 SITEPROP SLICE_X47Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y72 IS_RESERVED 0 SITEPROP SLICE_X47Y72 IS_TEST 0 SITEPROP SLICE_X47Y72 IS_USED 0 SITEPROP SLICE_X47Y72 MANUAL_ROUTING SITEPROP SLICE_X47Y72 NAME SLICE_X47Y72 SITEPROP SLICE_X47Y72 NUM_ARCS 138 SITEPROP SLICE_X47Y72 NUM_BELS 32 SITEPROP SLICE_X47Y72 NUM_INPUTS 32 SITEPROP SLICE_X47Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y72 NUM_PINS 45 SITEPROP SLICE_X47Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y72 PROHIBIT 0 SITEPROP SLICE_X47Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y72 RPM_X 123 SITEPROP SLICE_X47Y72 RPM_Y 144 SITEPROP SLICE_X47Y72 SITE_PIPS SITEPROP SLICE_X47Y72 SITE_TYPE SLICEL SITEPROP SLICE_X47Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y73 CLASS site SITEPROP SLICE_X47Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y73 IS_BONDED 0 SITEPROP SLICE_X47Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y73 IS_PAD 0 SITEPROP SLICE_X47Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y73 IS_RESERVED 0 SITEPROP SLICE_X47Y73 IS_TEST 0 SITEPROP SLICE_X47Y73 IS_USED 0 SITEPROP SLICE_X47Y73 MANUAL_ROUTING SITEPROP SLICE_X47Y73 NAME SLICE_X47Y73 SITEPROP SLICE_X47Y73 NUM_ARCS 138 SITEPROP SLICE_X47Y73 NUM_BELS 32 SITEPROP SLICE_X47Y73 NUM_INPUTS 32 SITEPROP SLICE_X47Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y73 NUM_PINS 45 SITEPROP SLICE_X47Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y73 PROHIBIT 0 SITEPROP SLICE_X47Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y73 RPM_X 123 SITEPROP SLICE_X47Y73 RPM_Y 146 SITEPROP SLICE_X47Y73 SITE_PIPS SITEPROP SLICE_X47Y73 SITE_TYPE SLICEL SITEPROP SLICE_X47Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y74 CLASS site SITEPROP SLICE_X47Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y74 IS_BONDED 0 SITEPROP SLICE_X47Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y74 IS_PAD 0 SITEPROP SLICE_X47Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y74 IS_RESERVED 0 SITEPROP SLICE_X47Y74 IS_TEST 0 SITEPROP SLICE_X47Y74 IS_USED 0 SITEPROP SLICE_X47Y74 MANUAL_ROUTING SITEPROP SLICE_X47Y74 NAME SLICE_X47Y74 SITEPROP SLICE_X47Y74 NUM_ARCS 138 SITEPROP SLICE_X47Y74 NUM_BELS 32 SITEPROP SLICE_X47Y74 NUM_INPUTS 32 SITEPROP SLICE_X47Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y74 NUM_PINS 45 SITEPROP SLICE_X47Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y74 PROHIBIT 0 SITEPROP SLICE_X47Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y74 RPM_X 123 SITEPROP SLICE_X47Y74 RPM_Y 148 SITEPROP SLICE_X47Y74 SITE_PIPS SITEPROP SLICE_X47Y74 SITE_TYPE SLICEL SITEPROP SLICE_X47Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y75 CLASS site SITEPROP SLICE_X47Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y75 IS_BONDED 0 SITEPROP SLICE_X47Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y75 IS_PAD 0 SITEPROP SLICE_X47Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y75 IS_RESERVED 0 SITEPROP SLICE_X47Y75 IS_TEST 0 SITEPROP SLICE_X47Y75 IS_USED 0 SITEPROP SLICE_X47Y75 MANUAL_ROUTING SITEPROP SLICE_X47Y75 NAME SLICE_X47Y75 SITEPROP SLICE_X47Y75 NUM_ARCS 138 SITEPROP SLICE_X47Y75 NUM_BELS 32 SITEPROP SLICE_X47Y75 NUM_INPUTS 32 SITEPROP SLICE_X47Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y75 NUM_PINS 45 SITEPROP SLICE_X47Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y75 PROHIBIT 0 SITEPROP SLICE_X47Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y75 RPM_X 123 SITEPROP SLICE_X47Y75 RPM_Y 150 SITEPROP SLICE_X47Y75 SITE_PIPS SITEPROP SLICE_X47Y75 SITE_TYPE SLICEL SITEPROP SLICE_X47Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y76 CLASS site SITEPROP SLICE_X47Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y76 IS_BONDED 0 SITEPROP SLICE_X47Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y76 IS_PAD 0 SITEPROP SLICE_X47Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y76 IS_RESERVED 0 SITEPROP SLICE_X47Y76 IS_TEST 0 SITEPROP SLICE_X47Y76 IS_USED 0 SITEPROP SLICE_X47Y76 MANUAL_ROUTING SITEPROP SLICE_X47Y76 NAME SLICE_X47Y76 SITEPROP SLICE_X47Y76 NUM_ARCS 138 SITEPROP SLICE_X47Y76 NUM_BELS 32 SITEPROP SLICE_X47Y76 NUM_INPUTS 32 SITEPROP SLICE_X47Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y76 NUM_PINS 45 SITEPROP SLICE_X47Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y76 PROHIBIT 0 SITEPROP SLICE_X47Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y76 RPM_X 123 SITEPROP SLICE_X47Y76 RPM_Y 152 SITEPROP SLICE_X47Y76 SITE_PIPS SITEPROP SLICE_X47Y76 SITE_TYPE SLICEL SITEPROP SLICE_X47Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y77 CLASS site SITEPROP SLICE_X47Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y77 IS_BONDED 0 SITEPROP SLICE_X47Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y77 IS_PAD 0 SITEPROP SLICE_X47Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y77 IS_RESERVED 0 SITEPROP SLICE_X47Y77 IS_TEST 0 SITEPROP SLICE_X47Y77 IS_USED 0 SITEPROP SLICE_X47Y77 MANUAL_ROUTING SITEPROP SLICE_X47Y77 NAME SLICE_X47Y77 SITEPROP SLICE_X47Y77 NUM_ARCS 138 SITEPROP SLICE_X47Y77 NUM_BELS 32 SITEPROP SLICE_X47Y77 NUM_INPUTS 32 SITEPROP SLICE_X47Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y77 NUM_PINS 45 SITEPROP SLICE_X47Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y77 PROHIBIT 0 SITEPROP SLICE_X47Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y77 RPM_X 123 SITEPROP SLICE_X47Y77 RPM_Y 154 SITEPROP SLICE_X47Y77 SITE_PIPS SITEPROP SLICE_X47Y77 SITE_TYPE SLICEL SITEPROP SLICE_X47Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y78 CLASS site SITEPROP SLICE_X47Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y78 IS_BONDED 0 SITEPROP SLICE_X47Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y78 IS_PAD 0 SITEPROP SLICE_X47Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y78 IS_RESERVED 0 SITEPROP SLICE_X47Y78 IS_TEST 0 SITEPROP SLICE_X47Y78 IS_USED 0 SITEPROP SLICE_X47Y78 MANUAL_ROUTING SITEPROP SLICE_X47Y78 NAME SLICE_X47Y78 SITEPROP SLICE_X47Y78 NUM_ARCS 138 SITEPROP SLICE_X47Y78 NUM_BELS 32 SITEPROP SLICE_X47Y78 NUM_INPUTS 32 SITEPROP SLICE_X47Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y78 NUM_PINS 45 SITEPROP SLICE_X47Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y78 PROHIBIT 0 SITEPROP SLICE_X47Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y78 RPM_X 123 SITEPROP SLICE_X47Y78 RPM_Y 156 SITEPROP SLICE_X47Y78 SITE_PIPS SITEPROP SLICE_X47Y78 SITE_TYPE SLICEL SITEPROP SLICE_X47Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y79 CLASS site SITEPROP SLICE_X47Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y79 IS_BONDED 0 SITEPROP SLICE_X47Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y79 IS_PAD 0 SITEPROP SLICE_X47Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y79 IS_RESERVED 0 SITEPROP SLICE_X47Y79 IS_TEST 0 SITEPROP SLICE_X47Y79 IS_USED 0 SITEPROP SLICE_X47Y79 MANUAL_ROUTING SITEPROP SLICE_X47Y79 NAME SLICE_X47Y79 SITEPROP SLICE_X47Y79 NUM_ARCS 138 SITEPROP SLICE_X47Y79 NUM_BELS 32 SITEPROP SLICE_X47Y79 NUM_INPUTS 32 SITEPROP SLICE_X47Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y79 NUM_PINS 45 SITEPROP SLICE_X47Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y79 PROHIBIT 0 SITEPROP SLICE_X47Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y79 RPM_X 123 SITEPROP SLICE_X47Y79 RPM_Y 158 SITEPROP SLICE_X47Y79 SITE_PIPS SITEPROP SLICE_X47Y79 SITE_TYPE SLICEL SITEPROP SLICE_X47Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y80 CLASS site SITEPROP SLICE_X47Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y80 IS_BONDED 0 SITEPROP SLICE_X47Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y80 IS_PAD 0 SITEPROP SLICE_X47Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y80 IS_RESERVED 0 SITEPROP SLICE_X47Y80 IS_TEST 0 SITEPROP SLICE_X47Y80 IS_USED 0 SITEPROP SLICE_X47Y80 MANUAL_ROUTING SITEPROP SLICE_X47Y80 NAME SLICE_X47Y80 SITEPROP SLICE_X47Y80 NUM_ARCS 138 SITEPROP SLICE_X47Y80 NUM_BELS 32 SITEPROP SLICE_X47Y80 NUM_INPUTS 32 SITEPROP SLICE_X47Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y80 NUM_PINS 45 SITEPROP SLICE_X47Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y80 PROHIBIT 0 SITEPROP SLICE_X47Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y80 RPM_X 123 SITEPROP SLICE_X47Y80 RPM_Y 160 SITEPROP SLICE_X47Y80 SITE_PIPS SITEPROP SLICE_X47Y80 SITE_TYPE SLICEL SITEPROP SLICE_X47Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y81 CLASS site SITEPROP SLICE_X47Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y81 IS_BONDED 0 SITEPROP SLICE_X47Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y81 IS_PAD 0 SITEPROP SLICE_X47Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y81 IS_RESERVED 0 SITEPROP SLICE_X47Y81 IS_TEST 0 SITEPROP SLICE_X47Y81 IS_USED 0 SITEPROP SLICE_X47Y81 MANUAL_ROUTING SITEPROP SLICE_X47Y81 NAME SLICE_X47Y81 SITEPROP SLICE_X47Y81 NUM_ARCS 138 SITEPROP SLICE_X47Y81 NUM_BELS 32 SITEPROP SLICE_X47Y81 NUM_INPUTS 32 SITEPROP SLICE_X47Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y81 NUM_PINS 45 SITEPROP SLICE_X47Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y81 PROHIBIT 0 SITEPROP SLICE_X47Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y81 RPM_X 123 SITEPROP SLICE_X47Y81 RPM_Y 162 SITEPROP SLICE_X47Y81 SITE_PIPS SITEPROP SLICE_X47Y81 SITE_TYPE SLICEL SITEPROP SLICE_X47Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y82 CLASS site SITEPROP SLICE_X47Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y82 IS_BONDED 0 SITEPROP SLICE_X47Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y82 IS_PAD 0 SITEPROP SLICE_X47Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y82 IS_RESERVED 0 SITEPROP SLICE_X47Y82 IS_TEST 0 SITEPROP SLICE_X47Y82 IS_USED 0 SITEPROP SLICE_X47Y82 MANUAL_ROUTING SITEPROP SLICE_X47Y82 NAME SLICE_X47Y82 SITEPROP SLICE_X47Y82 NUM_ARCS 138 SITEPROP SLICE_X47Y82 NUM_BELS 32 SITEPROP SLICE_X47Y82 NUM_INPUTS 32 SITEPROP SLICE_X47Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y82 NUM_PINS 45 SITEPROP SLICE_X47Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y82 PROHIBIT 0 SITEPROP SLICE_X47Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y82 RPM_X 123 SITEPROP SLICE_X47Y82 RPM_Y 164 SITEPROP SLICE_X47Y82 SITE_PIPS SITEPROP SLICE_X47Y82 SITE_TYPE SLICEL SITEPROP SLICE_X47Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y83 CLASS site SITEPROP SLICE_X47Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y83 IS_BONDED 0 SITEPROP SLICE_X47Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y83 IS_PAD 0 SITEPROP SLICE_X47Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y83 IS_RESERVED 0 SITEPROP SLICE_X47Y83 IS_TEST 0 SITEPROP SLICE_X47Y83 IS_USED 0 SITEPROP SLICE_X47Y83 MANUAL_ROUTING SITEPROP SLICE_X47Y83 NAME SLICE_X47Y83 SITEPROP SLICE_X47Y83 NUM_ARCS 138 SITEPROP SLICE_X47Y83 NUM_BELS 32 SITEPROP SLICE_X47Y83 NUM_INPUTS 32 SITEPROP SLICE_X47Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y83 NUM_PINS 45 SITEPROP SLICE_X47Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y83 PROHIBIT 0 SITEPROP SLICE_X47Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y83 RPM_X 123 SITEPROP SLICE_X47Y83 RPM_Y 166 SITEPROP SLICE_X47Y83 SITE_PIPS SITEPROP SLICE_X47Y83 SITE_TYPE SLICEL SITEPROP SLICE_X47Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y84 CLASS site SITEPROP SLICE_X47Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y84 IS_BONDED 0 SITEPROP SLICE_X47Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y84 IS_PAD 0 SITEPROP SLICE_X47Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y84 IS_RESERVED 0 SITEPROP SLICE_X47Y84 IS_TEST 0 SITEPROP SLICE_X47Y84 IS_USED 0 SITEPROP SLICE_X47Y84 MANUAL_ROUTING SITEPROP SLICE_X47Y84 NAME SLICE_X47Y84 SITEPROP SLICE_X47Y84 NUM_ARCS 138 SITEPROP SLICE_X47Y84 NUM_BELS 32 SITEPROP SLICE_X47Y84 NUM_INPUTS 32 SITEPROP SLICE_X47Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y84 NUM_PINS 45 SITEPROP SLICE_X47Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y84 PROHIBIT 0 SITEPROP SLICE_X47Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y84 RPM_X 123 SITEPROP SLICE_X47Y84 RPM_Y 168 SITEPROP SLICE_X47Y84 SITE_PIPS SITEPROP SLICE_X47Y84 SITE_TYPE SLICEL SITEPROP SLICE_X47Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y85 CLASS site SITEPROP SLICE_X47Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y85 IS_BONDED 0 SITEPROP SLICE_X47Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y85 IS_PAD 0 SITEPROP SLICE_X47Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y85 IS_RESERVED 0 SITEPROP SLICE_X47Y85 IS_TEST 0 SITEPROP SLICE_X47Y85 IS_USED 0 SITEPROP SLICE_X47Y85 MANUAL_ROUTING SITEPROP SLICE_X47Y85 NAME SLICE_X47Y85 SITEPROP SLICE_X47Y85 NUM_ARCS 138 SITEPROP SLICE_X47Y85 NUM_BELS 32 SITEPROP SLICE_X47Y85 NUM_INPUTS 32 SITEPROP SLICE_X47Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y85 NUM_PINS 45 SITEPROP SLICE_X47Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y85 PROHIBIT 0 SITEPROP SLICE_X47Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y85 RPM_X 123 SITEPROP SLICE_X47Y85 RPM_Y 170 SITEPROP SLICE_X47Y85 SITE_PIPS SITEPROP SLICE_X47Y85 SITE_TYPE SLICEL SITEPROP SLICE_X47Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y86 CLASS site SITEPROP SLICE_X47Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y86 IS_BONDED 0 SITEPROP SLICE_X47Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y86 IS_PAD 0 SITEPROP SLICE_X47Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y86 IS_RESERVED 0 SITEPROP SLICE_X47Y86 IS_TEST 0 SITEPROP SLICE_X47Y86 IS_USED 0 SITEPROP SLICE_X47Y86 MANUAL_ROUTING SITEPROP SLICE_X47Y86 NAME SLICE_X47Y86 SITEPROP SLICE_X47Y86 NUM_ARCS 138 SITEPROP SLICE_X47Y86 NUM_BELS 32 SITEPROP SLICE_X47Y86 NUM_INPUTS 32 SITEPROP SLICE_X47Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y86 NUM_PINS 45 SITEPROP SLICE_X47Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y86 PROHIBIT 0 SITEPROP SLICE_X47Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y86 RPM_X 123 SITEPROP SLICE_X47Y86 RPM_Y 172 SITEPROP SLICE_X47Y86 SITE_PIPS SITEPROP SLICE_X47Y86 SITE_TYPE SLICEL SITEPROP SLICE_X47Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y87 CLASS site SITEPROP SLICE_X47Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y87 IS_BONDED 0 SITEPROP SLICE_X47Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y87 IS_PAD 0 SITEPROP SLICE_X47Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y87 IS_RESERVED 0 SITEPROP SLICE_X47Y87 IS_TEST 0 SITEPROP SLICE_X47Y87 IS_USED 0 SITEPROP SLICE_X47Y87 MANUAL_ROUTING SITEPROP SLICE_X47Y87 NAME SLICE_X47Y87 SITEPROP SLICE_X47Y87 NUM_ARCS 138 SITEPROP SLICE_X47Y87 NUM_BELS 32 SITEPROP SLICE_X47Y87 NUM_INPUTS 32 SITEPROP SLICE_X47Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y87 NUM_PINS 45 SITEPROP SLICE_X47Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y87 PROHIBIT 0 SITEPROP SLICE_X47Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y87 RPM_X 123 SITEPROP SLICE_X47Y87 RPM_Y 174 SITEPROP SLICE_X47Y87 SITE_PIPS SITEPROP SLICE_X47Y87 SITE_TYPE SLICEL SITEPROP SLICE_X47Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y88 CLASS site SITEPROP SLICE_X47Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y88 IS_BONDED 0 SITEPROP SLICE_X47Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y88 IS_PAD 0 SITEPROP SLICE_X47Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y88 IS_RESERVED 0 SITEPROP SLICE_X47Y88 IS_TEST 0 SITEPROP SLICE_X47Y88 IS_USED 0 SITEPROP SLICE_X47Y88 MANUAL_ROUTING SITEPROP SLICE_X47Y88 NAME SLICE_X47Y88 SITEPROP SLICE_X47Y88 NUM_ARCS 138 SITEPROP SLICE_X47Y88 NUM_BELS 32 SITEPROP SLICE_X47Y88 NUM_INPUTS 32 SITEPROP SLICE_X47Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y88 NUM_PINS 45 SITEPROP SLICE_X47Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y88 PROHIBIT 0 SITEPROP SLICE_X47Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y88 RPM_X 123 SITEPROP SLICE_X47Y88 RPM_Y 176 SITEPROP SLICE_X47Y88 SITE_PIPS SITEPROP SLICE_X47Y88 SITE_TYPE SLICEL SITEPROP SLICE_X47Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y89 CLASS site SITEPROP SLICE_X47Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y89 IS_BONDED 0 SITEPROP SLICE_X47Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y89 IS_PAD 0 SITEPROP SLICE_X47Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y89 IS_RESERVED 0 SITEPROP SLICE_X47Y89 IS_TEST 0 SITEPROP SLICE_X47Y89 IS_USED 0 SITEPROP SLICE_X47Y89 MANUAL_ROUTING SITEPROP SLICE_X47Y89 NAME SLICE_X47Y89 SITEPROP SLICE_X47Y89 NUM_ARCS 138 SITEPROP SLICE_X47Y89 NUM_BELS 32 SITEPROP SLICE_X47Y89 NUM_INPUTS 32 SITEPROP SLICE_X47Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y89 NUM_PINS 45 SITEPROP SLICE_X47Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y89 PROHIBIT 0 SITEPROP SLICE_X47Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y89 RPM_X 123 SITEPROP SLICE_X47Y89 RPM_Y 178 SITEPROP SLICE_X47Y89 SITE_PIPS SITEPROP SLICE_X47Y89 SITE_TYPE SLICEL SITEPROP SLICE_X47Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y90 CLASS site SITEPROP SLICE_X47Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y90 IS_BONDED 0 SITEPROP SLICE_X47Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y90 IS_PAD 0 SITEPROP SLICE_X47Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y90 IS_RESERVED 0 SITEPROP SLICE_X47Y90 IS_TEST 0 SITEPROP SLICE_X47Y90 IS_USED 0 SITEPROP SLICE_X47Y90 MANUAL_ROUTING SITEPROP SLICE_X47Y90 NAME SLICE_X47Y90 SITEPROP SLICE_X47Y90 NUM_ARCS 138 SITEPROP SLICE_X47Y90 NUM_BELS 32 SITEPROP SLICE_X47Y90 NUM_INPUTS 32 SITEPROP SLICE_X47Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y90 NUM_PINS 45 SITEPROP SLICE_X47Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y90 PROHIBIT 0 SITEPROP SLICE_X47Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y90 RPM_X 123 SITEPROP SLICE_X47Y90 RPM_Y 180 SITEPROP SLICE_X47Y90 SITE_PIPS SITEPROP SLICE_X47Y90 SITE_TYPE SLICEL SITEPROP SLICE_X47Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y91 CLASS site SITEPROP SLICE_X47Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y91 IS_BONDED 0 SITEPROP SLICE_X47Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y91 IS_PAD 0 SITEPROP SLICE_X47Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y91 IS_RESERVED 0 SITEPROP SLICE_X47Y91 IS_TEST 0 SITEPROP SLICE_X47Y91 IS_USED 0 SITEPROP SLICE_X47Y91 MANUAL_ROUTING SITEPROP SLICE_X47Y91 NAME SLICE_X47Y91 SITEPROP SLICE_X47Y91 NUM_ARCS 138 SITEPROP SLICE_X47Y91 NUM_BELS 32 SITEPROP SLICE_X47Y91 NUM_INPUTS 32 SITEPROP SLICE_X47Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y91 NUM_PINS 45 SITEPROP SLICE_X47Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y91 PROHIBIT 0 SITEPROP SLICE_X47Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y91 RPM_X 123 SITEPROP SLICE_X47Y91 RPM_Y 182 SITEPROP SLICE_X47Y91 SITE_PIPS SITEPROP SLICE_X47Y91 SITE_TYPE SLICEL SITEPROP SLICE_X47Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y92 CLASS site SITEPROP SLICE_X47Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y92 IS_BONDED 0 SITEPROP SLICE_X47Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y92 IS_PAD 0 SITEPROP SLICE_X47Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y92 IS_RESERVED 0 SITEPROP SLICE_X47Y92 IS_TEST 0 SITEPROP SLICE_X47Y92 IS_USED 0 SITEPROP SLICE_X47Y92 MANUAL_ROUTING SITEPROP SLICE_X47Y92 NAME SLICE_X47Y92 SITEPROP SLICE_X47Y92 NUM_ARCS 138 SITEPROP SLICE_X47Y92 NUM_BELS 32 SITEPROP SLICE_X47Y92 NUM_INPUTS 32 SITEPROP SLICE_X47Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y92 NUM_PINS 45 SITEPROP SLICE_X47Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y92 PROHIBIT 0 SITEPROP SLICE_X47Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y92 RPM_X 123 SITEPROP SLICE_X47Y92 RPM_Y 184 SITEPROP SLICE_X47Y92 SITE_PIPS SITEPROP SLICE_X47Y92 SITE_TYPE SLICEL SITEPROP SLICE_X47Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y93 CLASS site SITEPROP SLICE_X47Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y93 IS_BONDED 0 SITEPROP SLICE_X47Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y93 IS_PAD 0 SITEPROP SLICE_X47Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y93 IS_RESERVED 0 SITEPROP SLICE_X47Y93 IS_TEST 0 SITEPROP SLICE_X47Y93 IS_USED 0 SITEPROP SLICE_X47Y93 MANUAL_ROUTING SITEPROP SLICE_X47Y93 NAME SLICE_X47Y93 SITEPROP SLICE_X47Y93 NUM_ARCS 138 SITEPROP SLICE_X47Y93 NUM_BELS 32 SITEPROP SLICE_X47Y93 NUM_INPUTS 32 SITEPROP SLICE_X47Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y93 NUM_PINS 45 SITEPROP SLICE_X47Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y93 PROHIBIT 0 SITEPROP SLICE_X47Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y93 RPM_X 123 SITEPROP SLICE_X47Y93 RPM_Y 186 SITEPROP SLICE_X47Y93 SITE_PIPS SITEPROP SLICE_X47Y93 SITE_TYPE SLICEL SITEPROP SLICE_X47Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y94 CLASS site SITEPROP SLICE_X47Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y94 IS_BONDED 0 SITEPROP SLICE_X47Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y94 IS_PAD 0 SITEPROP SLICE_X47Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y94 IS_RESERVED 0 SITEPROP SLICE_X47Y94 IS_TEST 0 SITEPROP SLICE_X47Y94 IS_USED 0 SITEPROP SLICE_X47Y94 MANUAL_ROUTING SITEPROP SLICE_X47Y94 NAME SLICE_X47Y94 SITEPROP SLICE_X47Y94 NUM_ARCS 138 SITEPROP SLICE_X47Y94 NUM_BELS 32 SITEPROP SLICE_X47Y94 NUM_INPUTS 32 SITEPROP SLICE_X47Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y94 NUM_PINS 45 SITEPROP SLICE_X47Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y94 PROHIBIT 0 SITEPROP SLICE_X47Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y94 RPM_X 123 SITEPROP SLICE_X47Y94 RPM_Y 188 SITEPROP SLICE_X47Y94 SITE_PIPS SITEPROP SLICE_X47Y94 SITE_TYPE SLICEL SITEPROP SLICE_X47Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y95 CLASS site SITEPROP SLICE_X47Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y95 IS_BONDED 0 SITEPROP SLICE_X47Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y95 IS_PAD 0 SITEPROP SLICE_X47Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y95 IS_RESERVED 0 SITEPROP SLICE_X47Y95 IS_TEST 0 SITEPROP SLICE_X47Y95 IS_USED 0 SITEPROP SLICE_X47Y95 MANUAL_ROUTING SITEPROP SLICE_X47Y95 NAME SLICE_X47Y95 SITEPROP SLICE_X47Y95 NUM_ARCS 138 SITEPROP SLICE_X47Y95 NUM_BELS 32 SITEPROP SLICE_X47Y95 NUM_INPUTS 32 SITEPROP SLICE_X47Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y95 NUM_PINS 45 SITEPROP SLICE_X47Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y95 PROHIBIT 0 SITEPROP SLICE_X47Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y95 RPM_X 123 SITEPROP SLICE_X47Y95 RPM_Y 190 SITEPROP SLICE_X47Y95 SITE_PIPS SITEPROP SLICE_X47Y95 SITE_TYPE SLICEL SITEPROP SLICE_X47Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y96 CLASS site SITEPROP SLICE_X47Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y96 IS_BONDED 0 SITEPROP SLICE_X47Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y96 IS_PAD 0 SITEPROP SLICE_X47Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y96 IS_RESERVED 0 SITEPROP SLICE_X47Y96 IS_TEST 0 SITEPROP SLICE_X47Y96 IS_USED 0 SITEPROP SLICE_X47Y96 MANUAL_ROUTING SITEPROP SLICE_X47Y96 NAME SLICE_X47Y96 SITEPROP SLICE_X47Y96 NUM_ARCS 138 SITEPROP SLICE_X47Y96 NUM_BELS 32 SITEPROP SLICE_X47Y96 NUM_INPUTS 32 SITEPROP SLICE_X47Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y96 NUM_PINS 45 SITEPROP SLICE_X47Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y96 PROHIBIT 0 SITEPROP SLICE_X47Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y96 RPM_X 123 SITEPROP SLICE_X47Y96 RPM_Y 192 SITEPROP SLICE_X47Y96 SITE_PIPS SITEPROP SLICE_X47Y96 SITE_TYPE SLICEL SITEPROP SLICE_X47Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y97 CLASS site SITEPROP SLICE_X47Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y97 IS_BONDED 0 SITEPROP SLICE_X47Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y97 IS_PAD 0 SITEPROP SLICE_X47Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y97 IS_RESERVED 0 SITEPROP SLICE_X47Y97 IS_TEST 0 SITEPROP SLICE_X47Y97 IS_USED 0 SITEPROP SLICE_X47Y97 MANUAL_ROUTING SITEPROP SLICE_X47Y97 NAME SLICE_X47Y97 SITEPROP SLICE_X47Y97 NUM_ARCS 138 SITEPROP SLICE_X47Y97 NUM_BELS 32 SITEPROP SLICE_X47Y97 NUM_INPUTS 32 SITEPROP SLICE_X47Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y97 NUM_PINS 45 SITEPROP SLICE_X47Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y97 PROHIBIT 0 SITEPROP SLICE_X47Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y97 RPM_X 123 SITEPROP SLICE_X47Y97 RPM_Y 194 SITEPROP SLICE_X47Y97 SITE_PIPS SITEPROP SLICE_X47Y97 SITE_TYPE SLICEL SITEPROP SLICE_X47Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y98 CLASS site SITEPROP SLICE_X47Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y98 IS_BONDED 0 SITEPROP SLICE_X47Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y98 IS_PAD 0 SITEPROP SLICE_X47Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y98 IS_RESERVED 0 SITEPROP SLICE_X47Y98 IS_TEST 0 SITEPROP SLICE_X47Y98 IS_USED 0 SITEPROP SLICE_X47Y98 MANUAL_ROUTING SITEPROP SLICE_X47Y98 NAME SLICE_X47Y98 SITEPROP SLICE_X47Y98 NUM_ARCS 138 SITEPROP SLICE_X47Y98 NUM_BELS 32 SITEPROP SLICE_X47Y98 NUM_INPUTS 32 SITEPROP SLICE_X47Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y98 NUM_PINS 45 SITEPROP SLICE_X47Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y98 PROHIBIT 0 SITEPROP SLICE_X47Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y98 RPM_X 123 SITEPROP SLICE_X47Y98 RPM_Y 196 SITEPROP SLICE_X47Y98 SITE_PIPS SITEPROP SLICE_X47Y98 SITE_TYPE SLICEL SITEPROP SLICE_X47Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y99 CLASS site SITEPROP SLICE_X47Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X47Y99 IS_BONDED 0 SITEPROP SLICE_X47Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y99 IS_PAD 0 SITEPROP SLICE_X47Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y99 IS_RESERVED 0 SITEPROP SLICE_X47Y99 IS_TEST 0 SITEPROP SLICE_X47Y99 IS_USED 0 SITEPROP SLICE_X47Y99 MANUAL_ROUTING SITEPROP SLICE_X47Y99 NAME SLICE_X47Y99 SITEPROP SLICE_X47Y99 NUM_ARCS 138 SITEPROP SLICE_X47Y99 NUM_BELS 32 SITEPROP SLICE_X47Y99 NUM_INPUTS 32 SITEPROP SLICE_X47Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y99 NUM_PINS 45 SITEPROP SLICE_X47Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y99 PROHIBIT 0 SITEPROP SLICE_X47Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y99 RPM_X 123 SITEPROP SLICE_X47Y99 RPM_Y 198 SITEPROP SLICE_X47Y99 SITE_PIPS SITEPROP SLICE_X47Y99 SITE_TYPE SLICEL SITEPROP SLICE_X47Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y125 CLASS site SITEPROP SLICE_X47Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y125 IS_BONDED 0 SITEPROP SLICE_X47Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y125 IS_PAD 0 SITEPROP SLICE_X47Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y125 IS_RESERVED 0 SITEPROP SLICE_X47Y125 IS_TEST 0 SITEPROP SLICE_X47Y125 IS_USED 0 SITEPROP SLICE_X47Y125 MANUAL_ROUTING SITEPROP SLICE_X47Y125 NAME SLICE_X47Y125 SITEPROP SLICE_X47Y125 NUM_ARCS 138 SITEPROP SLICE_X47Y125 NUM_BELS 32 SITEPROP SLICE_X47Y125 NUM_INPUTS 32 SITEPROP SLICE_X47Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y125 NUM_PINS 45 SITEPROP SLICE_X47Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y125 PROHIBIT 0 SITEPROP SLICE_X47Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y125 RPM_X 123 SITEPROP SLICE_X47Y125 RPM_Y 250 SITEPROP SLICE_X47Y125 SITE_PIPS SITEPROP SLICE_X47Y125 SITE_TYPE SLICEL SITEPROP SLICE_X47Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y126 CLASS site SITEPROP SLICE_X47Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y126 IS_BONDED 0 SITEPROP SLICE_X47Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y126 IS_PAD 0 SITEPROP SLICE_X47Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y126 IS_RESERVED 0 SITEPROP SLICE_X47Y126 IS_TEST 0 SITEPROP SLICE_X47Y126 IS_USED 0 SITEPROP SLICE_X47Y126 MANUAL_ROUTING SITEPROP SLICE_X47Y126 NAME SLICE_X47Y126 SITEPROP SLICE_X47Y126 NUM_ARCS 138 SITEPROP SLICE_X47Y126 NUM_BELS 32 SITEPROP SLICE_X47Y126 NUM_INPUTS 32 SITEPROP SLICE_X47Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y126 NUM_PINS 45 SITEPROP SLICE_X47Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y126 PROHIBIT 0 SITEPROP SLICE_X47Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y126 RPM_X 123 SITEPROP SLICE_X47Y126 RPM_Y 252 SITEPROP SLICE_X47Y126 SITE_PIPS SITEPROP SLICE_X47Y126 SITE_TYPE SLICEL SITEPROP SLICE_X47Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y127 CLASS site SITEPROP SLICE_X47Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y127 IS_BONDED 0 SITEPROP SLICE_X47Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y127 IS_PAD 0 SITEPROP SLICE_X47Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y127 IS_RESERVED 0 SITEPROP SLICE_X47Y127 IS_TEST 0 SITEPROP SLICE_X47Y127 IS_USED 0 SITEPROP SLICE_X47Y127 MANUAL_ROUTING SITEPROP SLICE_X47Y127 NAME SLICE_X47Y127 SITEPROP SLICE_X47Y127 NUM_ARCS 138 SITEPROP SLICE_X47Y127 NUM_BELS 32 SITEPROP SLICE_X47Y127 NUM_INPUTS 32 SITEPROP SLICE_X47Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y127 NUM_PINS 45 SITEPROP SLICE_X47Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y127 PROHIBIT 0 SITEPROP SLICE_X47Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y127 RPM_X 123 SITEPROP SLICE_X47Y127 RPM_Y 254 SITEPROP SLICE_X47Y127 SITE_PIPS SITEPROP SLICE_X47Y127 SITE_TYPE SLICEL SITEPROP SLICE_X47Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y128 CLASS site SITEPROP SLICE_X47Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y128 IS_BONDED 0 SITEPROP SLICE_X47Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y128 IS_PAD 0 SITEPROP SLICE_X47Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y128 IS_RESERVED 0 SITEPROP SLICE_X47Y128 IS_TEST 0 SITEPROP SLICE_X47Y128 IS_USED 0 SITEPROP SLICE_X47Y128 MANUAL_ROUTING SITEPROP SLICE_X47Y128 NAME SLICE_X47Y128 SITEPROP SLICE_X47Y128 NUM_ARCS 138 SITEPROP SLICE_X47Y128 NUM_BELS 32 SITEPROP SLICE_X47Y128 NUM_INPUTS 32 SITEPROP SLICE_X47Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y128 NUM_PINS 45 SITEPROP SLICE_X47Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y128 PROHIBIT 0 SITEPROP SLICE_X47Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y128 RPM_X 123 SITEPROP SLICE_X47Y128 RPM_Y 256 SITEPROP SLICE_X47Y128 SITE_PIPS SITEPROP SLICE_X47Y128 SITE_TYPE SLICEL SITEPROP SLICE_X47Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y129 CLASS site SITEPROP SLICE_X47Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y129 IS_BONDED 0 SITEPROP SLICE_X47Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y129 IS_PAD 0 SITEPROP SLICE_X47Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y129 IS_RESERVED 0 SITEPROP SLICE_X47Y129 IS_TEST 0 SITEPROP SLICE_X47Y129 IS_USED 0 SITEPROP SLICE_X47Y129 MANUAL_ROUTING SITEPROP SLICE_X47Y129 NAME SLICE_X47Y129 SITEPROP SLICE_X47Y129 NUM_ARCS 138 SITEPROP SLICE_X47Y129 NUM_BELS 32 SITEPROP SLICE_X47Y129 NUM_INPUTS 32 SITEPROP SLICE_X47Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y129 NUM_PINS 45 SITEPROP SLICE_X47Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y129 PROHIBIT 0 SITEPROP SLICE_X47Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y129 RPM_X 123 SITEPROP SLICE_X47Y129 RPM_Y 258 SITEPROP SLICE_X47Y129 SITE_PIPS SITEPROP SLICE_X47Y129 SITE_TYPE SLICEL SITEPROP SLICE_X47Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y130 CLASS site SITEPROP SLICE_X47Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y130 IS_BONDED 0 SITEPROP SLICE_X47Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y130 IS_PAD 0 SITEPROP SLICE_X47Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y130 IS_RESERVED 0 SITEPROP SLICE_X47Y130 IS_TEST 0 SITEPROP SLICE_X47Y130 IS_USED 0 SITEPROP SLICE_X47Y130 MANUAL_ROUTING SITEPROP SLICE_X47Y130 NAME SLICE_X47Y130 SITEPROP SLICE_X47Y130 NUM_ARCS 138 SITEPROP SLICE_X47Y130 NUM_BELS 32 SITEPROP SLICE_X47Y130 NUM_INPUTS 32 SITEPROP SLICE_X47Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y130 NUM_PINS 45 SITEPROP SLICE_X47Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y130 PROHIBIT 0 SITEPROP SLICE_X47Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y130 RPM_X 123 SITEPROP SLICE_X47Y130 RPM_Y 260 SITEPROP SLICE_X47Y130 SITE_PIPS SITEPROP SLICE_X47Y130 SITE_TYPE SLICEL SITEPROP SLICE_X47Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y131 CLASS site SITEPROP SLICE_X47Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y131 IS_BONDED 0 SITEPROP SLICE_X47Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y131 IS_PAD 0 SITEPROP SLICE_X47Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y131 IS_RESERVED 0 SITEPROP SLICE_X47Y131 IS_TEST 0 SITEPROP SLICE_X47Y131 IS_USED 0 SITEPROP SLICE_X47Y131 MANUAL_ROUTING SITEPROP SLICE_X47Y131 NAME SLICE_X47Y131 SITEPROP SLICE_X47Y131 NUM_ARCS 138 SITEPROP SLICE_X47Y131 NUM_BELS 32 SITEPROP SLICE_X47Y131 NUM_INPUTS 32 SITEPROP SLICE_X47Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y131 NUM_PINS 45 SITEPROP SLICE_X47Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y131 PROHIBIT 0 SITEPROP SLICE_X47Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y131 RPM_X 123 SITEPROP SLICE_X47Y131 RPM_Y 262 SITEPROP SLICE_X47Y131 SITE_PIPS SITEPROP SLICE_X47Y131 SITE_TYPE SLICEL SITEPROP SLICE_X47Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y132 CLASS site SITEPROP SLICE_X47Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y132 IS_BONDED 0 SITEPROP SLICE_X47Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y132 IS_PAD 0 SITEPROP SLICE_X47Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y132 IS_RESERVED 0 SITEPROP SLICE_X47Y132 IS_TEST 0 SITEPROP SLICE_X47Y132 IS_USED 0 SITEPROP SLICE_X47Y132 MANUAL_ROUTING SITEPROP SLICE_X47Y132 NAME SLICE_X47Y132 SITEPROP SLICE_X47Y132 NUM_ARCS 138 SITEPROP SLICE_X47Y132 NUM_BELS 32 SITEPROP SLICE_X47Y132 NUM_INPUTS 32 SITEPROP SLICE_X47Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y132 NUM_PINS 45 SITEPROP SLICE_X47Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y132 PROHIBIT 0 SITEPROP SLICE_X47Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y132 RPM_X 123 SITEPROP SLICE_X47Y132 RPM_Y 264 SITEPROP SLICE_X47Y132 SITE_PIPS SITEPROP SLICE_X47Y132 SITE_TYPE SLICEL SITEPROP SLICE_X47Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y133 CLASS site SITEPROP SLICE_X47Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y133 IS_BONDED 0 SITEPROP SLICE_X47Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y133 IS_PAD 0 SITEPROP SLICE_X47Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y133 IS_RESERVED 0 SITEPROP SLICE_X47Y133 IS_TEST 0 SITEPROP SLICE_X47Y133 IS_USED 0 SITEPROP SLICE_X47Y133 MANUAL_ROUTING SITEPROP SLICE_X47Y133 NAME SLICE_X47Y133 SITEPROP SLICE_X47Y133 NUM_ARCS 138 SITEPROP SLICE_X47Y133 NUM_BELS 32 SITEPROP SLICE_X47Y133 NUM_INPUTS 32 SITEPROP SLICE_X47Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y133 NUM_PINS 45 SITEPROP SLICE_X47Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y133 PROHIBIT 0 SITEPROP SLICE_X47Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y133 RPM_X 123 SITEPROP SLICE_X47Y133 RPM_Y 266 SITEPROP SLICE_X47Y133 SITE_PIPS SITEPROP SLICE_X47Y133 SITE_TYPE SLICEL SITEPROP SLICE_X47Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y134 CLASS site SITEPROP SLICE_X47Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y134 IS_BONDED 0 SITEPROP SLICE_X47Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y134 IS_PAD 0 SITEPROP SLICE_X47Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y134 IS_RESERVED 0 SITEPROP SLICE_X47Y134 IS_TEST 0 SITEPROP SLICE_X47Y134 IS_USED 0 SITEPROP SLICE_X47Y134 MANUAL_ROUTING SITEPROP SLICE_X47Y134 NAME SLICE_X47Y134 SITEPROP SLICE_X47Y134 NUM_ARCS 138 SITEPROP SLICE_X47Y134 NUM_BELS 32 SITEPROP SLICE_X47Y134 NUM_INPUTS 32 SITEPROP SLICE_X47Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y134 NUM_PINS 45 SITEPROP SLICE_X47Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y134 PROHIBIT 0 SITEPROP SLICE_X47Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y134 RPM_X 123 SITEPROP SLICE_X47Y134 RPM_Y 268 SITEPROP SLICE_X47Y134 SITE_PIPS SITEPROP SLICE_X47Y134 SITE_TYPE SLICEL SITEPROP SLICE_X47Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y135 CLASS site SITEPROP SLICE_X47Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y135 IS_BONDED 0 SITEPROP SLICE_X47Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y135 IS_PAD 0 SITEPROP SLICE_X47Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y135 IS_RESERVED 0 SITEPROP SLICE_X47Y135 IS_TEST 0 SITEPROP SLICE_X47Y135 IS_USED 0 SITEPROP SLICE_X47Y135 MANUAL_ROUTING SITEPROP SLICE_X47Y135 NAME SLICE_X47Y135 SITEPROP SLICE_X47Y135 NUM_ARCS 138 SITEPROP SLICE_X47Y135 NUM_BELS 32 SITEPROP SLICE_X47Y135 NUM_INPUTS 32 SITEPROP SLICE_X47Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y135 NUM_PINS 45 SITEPROP SLICE_X47Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y135 PROHIBIT 0 SITEPROP SLICE_X47Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y135 RPM_X 123 SITEPROP SLICE_X47Y135 RPM_Y 270 SITEPROP SLICE_X47Y135 SITE_PIPS SITEPROP SLICE_X47Y135 SITE_TYPE SLICEL SITEPROP SLICE_X47Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y136 CLASS site SITEPROP SLICE_X47Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y136 IS_BONDED 0 SITEPROP SLICE_X47Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y136 IS_PAD 0 SITEPROP SLICE_X47Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y136 IS_RESERVED 0 SITEPROP SLICE_X47Y136 IS_TEST 0 SITEPROP SLICE_X47Y136 IS_USED 0 SITEPROP SLICE_X47Y136 MANUAL_ROUTING SITEPROP SLICE_X47Y136 NAME SLICE_X47Y136 SITEPROP SLICE_X47Y136 NUM_ARCS 138 SITEPROP SLICE_X47Y136 NUM_BELS 32 SITEPROP SLICE_X47Y136 NUM_INPUTS 32 SITEPROP SLICE_X47Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y136 NUM_PINS 45 SITEPROP SLICE_X47Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y136 PROHIBIT 0 SITEPROP SLICE_X47Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y136 RPM_X 123 SITEPROP SLICE_X47Y136 RPM_Y 272 SITEPROP SLICE_X47Y136 SITE_PIPS SITEPROP SLICE_X47Y136 SITE_TYPE SLICEL SITEPROP SLICE_X47Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y137 CLASS site SITEPROP SLICE_X47Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y137 IS_BONDED 0 SITEPROP SLICE_X47Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y137 IS_PAD 0 SITEPROP SLICE_X47Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y137 IS_RESERVED 0 SITEPROP SLICE_X47Y137 IS_TEST 0 SITEPROP SLICE_X47Y137 IS_USED 0 SITEPROP SLICE_X47Y137 MANUAL_ROUTING SITEPROP SLICE_X47Y137 NAME SLICE_X47Y137 SITEPROP SLICE_X47Y137 NUM_ARCS 138 SITEPROP SLICE_X47Y137 NUM_BELS 32 SITEPROP SLICE_X47Y137 NUM_INPUTS 32 SITEPROP SLICE_X47Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y137 NUM_PINS 45 SITEPROP SLICE_X47Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y137 PROHIBIT 0 SITEPROP SLICE_X47Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y137 RPM_X 123 SITEPROP SLICE_X47Y137 RPM_Y 274 SITEPROP SLICE_X47Y137 SITE_PIPS SITEPROP SLICE_X47Y137 SITE_TYPE SLICEL SITEPROP SLICE_X47Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y138 CLASS site SITEPROP SLICE_X47Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y138 IS_BONDED 0 SITEPROP SLICE_X47Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y138 IS_PAD 0 SITEPROP SLICE_X47Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y138 IS_RESERVED 0 SITEPROP SLICE_X47Y138 IS_TEST 0 SITEPROP SLICE_X47Y138 IS_USED 0 SITEPROP SLICE_X47Y138 MANUAL_ROUTING SITEPROP SLICE_X47Y138 NAME SLICE_X47Y138 SITEPROP SLICE_X47Y138 NUM_ARCS 138 SITEPROP SLICE_X47Y138 NUM_BELS 32 SITEPROP SLICE_X47Y138 NUM_INPUTS 32 SITEPROP SLICE_X47Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y138 NUM_PINS 45 SITEPROP SLICE_X47Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y138 PROHIBIT 0 SITEPROP SLICE_X47Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y138 RPM_X 123 SITEPROP SLICE_X47Y138 RPM_Y 276 SITEPROP SLICE_X47Y138 SITE_PIPS SITEPROP SLICE_X47Y138 SITE_TYPE SLICEL SITEPROP SLICE_X47Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y139 CLASS site SITEPROP SLICE_X47Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y139 IS_BONDED 0 SITEPROP SLICE_X47Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y139 IS_PAD 0 SITEPROP SLICE_X47Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y139 IS_RESERVED 0 SITEPROP SLICE_X47Y139 IS_TEST 0 SITEPROP SLICE_X47Y139 IS_USED 0 SITEPROP SLICE_X47Y139 MANUAL_ROUTING SITEPROP SLICE_X47Y139 NAME SLICE_X47Y139 SITEPROP SLICE_X47Y139 NUM_ARCS 138 SITEPROP SLICE_X47Y139 NUM_BELS 32 SITEPROP SLICE_X47Y139 NUM_INPUTS 32 SITEPROP SLICE_X47Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y139 NUM_PINS 45 SITEPROP SLICE_X47Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y139 PROHIBIT 0 SITEPROP SLICE_X47Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y139 RPM_X 123 SITEPROP SLICE_X47Y139 RPM_Y 278 SITEPROP SLICE_X47Y139 SITE_PIPS SITEPROP SLICE_X47Y139 SITE_TYPE SLICEL SITEPROP SLICE_X47Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y140 CLASS site SITEPROP SLICE_X47Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y140 IS_BONDED 0 SITEPROP SLICE_X47Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y140 IS_PAD 0 SITEPROP SLICE_X47Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y140 IS_RESERVED 0 SITEPROP SLICE_X47Y140 IS_TEST 0 SITEPROP SLICE_X47Y140 IS_USED 0 SITEPROP SLICE_X47Y140 MANUAL_ROUTING SITEPROP SLICE_X47Y140 NAME SLICE_X47Y140 SITEPROP SLICE_X47Y140 NUM_ARCS 138 SITEPROP SLICE_X47Y140 NUM_BELS 32 SITEPROP SLICE_X47Y140 NUM_INPUTS 32 SITEPROP SLICE_X47Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y140 NUM_PINS 45 SITEPROP SLICE_X47Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y140 PROHIBIT 0 SITEPROP SLICE_X47Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y140 RPM_X 123 SITEPROP SLICE_X47Y140 RPM_Y 280 SITEPROP SLICE_X47Y140 SITE_PIPS SITEPROP SLICE_X47Y140 SITE_TYPE SLICEL SITEPROP SLICE_X47Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y141 CLASS site SITEPROP SLICE_X47Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y141 IS_BONDED 0 SITEPROP SLICE_X47Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y141 IS_PAD 0 SITEPROP SLICE_X47Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y141 IS_RESERVED 0 SITEPROP SLICE_X47Y141 IS_TEST 0 SITEPROP SLICE_X47Y141 IS_USED 0 SITEPROP SLICE_X47Y141 MANUAL_ROUTING SITEPROP SLICE_X47Y141 NAME SLICE_X47Y141 SITEPROP SLICE_X47Y141 NUM_ARCS 138 SITEPROP SLICE_X47Y141 NUM_BELS 32 SITEPROP SLICE_X47Y141 NUM_INPUTS 32 SITEPROP SLICE_X47Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y141 NUM_PINS 45 SITEPROP SLICE_X47Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y141 PROHIBIT 0 SITEPROP SLICE_X47Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y141 RPM_X 123 SITEPROP SLICE_X47Y141 RPM_Y 282 SITEPROP SLICE_X47Y141 SITE_PIPS SITEPROP SLICE_X47Y141 SITE_TYPE SLICEL SITEPROP SLICE_X47Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y142 CLASS site SITEPROP SLICE_X47Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y142 IS_BONDED 0 SITEPROP SLICE_X47Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y142 IS_PAD 0 SITEPROP SLICE_X47Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y142 IS_RESERVED 0 SITEPROP SLICE_X47Y142 IS_TEST 0 SITEPROP SLICE_X47Y142 IS_USED 0 SITEPROP SLICE_X47Y142 MANUAL_ROUTING SITEPROP SLICE_X47Y142 NAME SLICE_X47Y142 SITEPROP SLICE_X47Y142 NUM_ARCS 138 SITEPROP SLICE_X47Y142 NUM_BELS 32 SITEPROP SLICE_X47Y142 NUM_INPUTS 32 SITEPROP SLICE_X47Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y142 NUM_PINS 45 SITEPROP SLICE_X47Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y142 PROHIBIT 0 SITEPROP SLICE_X47Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y142 RPM_X 123 SITEPROP SLICE_X47Y142 RPM_Y 284 SITEPROP SLICE_X47Y142 SITE_PIPS SITEPROP SLICE_X47Y142 SITE_TYPE SLICEL SITEPROP SLICE_X47Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y143 CLASS site SITEPROP SLICE_X47Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y143 IS_BONDED 0 SITEPROP SLICE_X47Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y143 IS_PAD 0 SITEPROP SLICE_X47Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y143 IS_RESERVED 0 SITEPROP SLICE_X47Y143 IS_TEST 0 SITEPROP SLICE_X47Y143 IS_USED 0 SITEPROP SLICE_X47Y143 MANUAL_ROUTING SITEPROP SLICE_X47Y143 NAME SLICE_X47Y143 SITEPROP SLICE_X47Y143 NUM_ARCS 138 SITEPROP SLICE_X47Y143 NUM_BELS 32 SITEPROP SLICE_X47Y143 NUM_INPUTS 32 SITEPROP SLICE_X47Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y143 NUM_PINS 45 SITEPROP SLICE_X47Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y143 PROHIBIT 0 SITEPROP SLICE_X47Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y143 RPM_X 123 SITEPROP SLICE_X47Y143 RPM_Y 286 SITEPROP SLICE_X47Y143 SITE_PIPS SITEPROP SLICE_X47Y143 SITE_TYPE SLICEL SITEPROP SLICE_X47Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y144 CLASS site SITEPROP SLICE_X47Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y144 IS_BONDED 0 SITEPROP SLICE_X47Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y144 IS_PAD 0 SITEPROP SLICE_X47Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y144 IS_RESERVED 0 SITEPROP SLICE_X47Y144 IS_TEST 0 SITEPROP SLICE_X47Y144 IS_USED 0 SITEPROP SLICE_X47Y144 MANUAL_ROUTING SITEPROP SLICE_X47Y144 NAME SLICE_X47Y144 SITEPROP SLICE_X47Y144 NUM_ARCS 138 SITEPROP SLICE_X47Y144 NUM_BELS 32 SITEPROP SLICE_X47Y144 NUM_INPUTS 32 SITEPROP SLICE_X47Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y144 NUM_PINS 45 SITEPROP SLICE_X47Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y144 PROHIBIT 0 SITEPROP SLICE_X47Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y144 RPM_X 123 SITEPROP SLICE_X47Y144 RPM_Y 288 SITEPROP SLICE_X47Y144 SITE_PIPS SITEPROP SLICE_X47Y144 SITE_TYPE SLICEL SITEPROP SLICE_X47Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y145 CLASS site SITEPROP SLICE_X47Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y145 IS_BONDED 0 SITEPROP SLICE_X47Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y145 IS_PAD 0 SITEPROP SLICE_X47Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y145 IS_RESERVED 0 SITEPROP SLICE_X47Y145 IS_TEST 0 SITEPROP SLICE_X47Y145 IS_USED 0 SITEPROP SLICE_X47Y145 MANUAL_ROUTING SITEPROP SLICE_X47Y145 NAME SLICE_X47Y145 SITEPROP SLICE_X47Y145 NUM_ARCS 138 SITEPROP SLICE_X47Y145 NUM_BELS 32 SITEPROP SLICE_X47Y145 NUM_INPUTS 32 SITEPROP SLICE_X47Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y145 NUM_PINS 45 SITEPROP SLICE_X47Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y145 PROHIBIT 0 SITEPROP SLICE_X47Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y145 RPM_X 123 SITEPROP SLICE_X47Y145 RPM_Y 290 SITEPROP SLICE_X47Y145 SITE_PIPS SITEPROP SLICE_X47Y145 SITE_TYPE SLICEL SITEPROP SLICE_X47Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y146 CLASS site SITEPROP SLICE_X47Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y146 IS_BONDED 0 SITEPROP SLICE_X47Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y146 IS_PAD 0 SITEPROP SLICE_X47Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y146 IS_RESERVED 0 SITEPROP SLICE_X47Y146 IS_TEST 0 SITEPROP SLICE_X47Y146 IS_USED 0 SITEPROP SLICE_X47Y146 MANUAL_ROUTING SITEPROP SLICE_X47Y146 NAME SLICE_X47Y146 SITEPROP SLICE_X47Y146 NUM_ARCS 138 SITEPROP SLICE_X47Y146 NUM_BELS 32 SITEPROP SLICE_X47Y146 NUM_INPUTS 32 SITEPROP SLICE_X47Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y146 NUM_PINS 45 SITEPROP SLICE_X47Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y146 PROHIBIT 0 SITEPROP SLICE_X47Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y146 RPM_X 123 SITEPROP SLICE_X47Y146 RPM_Y 292 SITEPROP SLICE_X47Y146 SITE_PIPS SITEPROP SLICE_X47Y146 SITE_TYPE SLICEL SITEPROP SLICE_X47Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y147 CLASS site SITEPROP SLICE_X47Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y147 IS_BONDED 0 SITEPROP SLICE_X47Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y147 IS_PAD 0 SITEPROP SLICE_X47Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y147 IS_RESERVED 0 SITEPROP SLICE_X47Y147 IS_TEST 0 SITEPROP SLICE_X47Y147 IS_USED 0 SITEPROP SLICE_X47Y147 MANUAL_ROUTING SITEPROP SLICE_X47Y147 NAME SLICE_X47Y147 SITEPROP SLICE_X47Y147 NUM_ARCS 138 SITEPROP SLICE_X47Y147 NUM_BELS 32 SITEPROP SLICE_X47Y147 NUM_INPUTS 32 SITEPROP SLICE_X47Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y147 NUM_PINS 45 SITEPROP SLICE_X47Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y147 PROHIBIT 0 SITEPROP SLICE_X47Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y147 RPM_X 123 SITEPROP SLICE_X47Y147 RPM_Y 294 SITEPROP SLICE_X47Y147 SITE_PIPS SITEPROP SLICE_X47Y147 SITE_TYPE SLICEL SITEPROP SLICE_X47Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y148 CLASS site SITEPROP SLICE_X47Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y148 IS_BONDED 0 SITEPROP SLICE_X47Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y148 IS_PAD 0 SITEPROP SLICE_X47Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y148 IS_RESERVED 0 SITEPROP SLICE_X47Y148 IS_TEST 0 SITEPROP SLICE_X47Y148 IS_USED 0 SITEPROP SLICE_X47Y148 MANUAL_ROUTING SITEPROP SLICE_X47Y148 NAME SLICE_X47Y148 SITEPROP SLICE_X47Y148 NUM_ARCS 138 SITEPROP SLICE_X47Y148 NUM_BELS 32 SITEPROP SLICE_X47Y148 NUM_INPUTS 32 SITEPROP SLICE_X47Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y148 NUM_PINS 45 SITEPROP SLICE_X47Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y148 PROHIBIT 0 SITEPROP SLICE_X47Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y148 RPM_X 123 SITEPROP SLICE_X47Y148 RPM_Y 296 SITEPROP SLICE_X47Y148 SITE_PIPS SITEPROP SLICE_X47Y148 SITE_TYPE SLICEL SITEPROP SLICE_X47Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X47Y149 CLASS site SITEPROP SLICE_X47Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X47Y149 IS_BONDED 0 SITEPROP SLICE_X47Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X47Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y149 IS_PAD 0 SITEPROP SLICE_X47Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X47Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X47Y149 IS_RESERVED 0 SITEPROP SLICE_X47Y149 IS_TEST 0 SITEPROP SLICE_X47Y149 IS_USED 0 SITEPROP SLICE_X47Y149 MANUAL_ROUTING SITEPROP SLICE_X47Y149 NAME SLICE_X47Y149 SITEPROP SLICE_X47Y149 NUM_ARCS 138 SITEPROP SLICE_X47Y149 NUM_BELS 32 SITEPROP SLICE_X47Y149 NUM_INPUTS 32 SITEPROP SLICE_X47Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X47Y149 NUM_PINS 45 SITEPROP SLICE_X47Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X47Y149 PROHIBIT 0 SITEPROP SLICE_X47Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X47Y149 RPM_X 123 SITEPROP SLICE_X47Y149 RPM_Y 298 SITEPROP SLICE_X47Y149 SITE_PIPS SITEPROP SLICE_X47Y149 SITE_TYPE SLICEL SITEPROP SLICE_X48Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y0 CLASS site SITEPROP SLICE_X48Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y0 IS_BONDED 0 SITEPROP SLICE_X48Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y0 IS_PAD 0 SITEPROP SLICE_X48Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y0 IS_RESERVED 0 SITEPROP SLICE_X48Y0 IS_TEST 0 SITEPROP SLICE_X48Y0 IS_USED 0 SITEPROP SLICE_X48Y0 MANUAL_ROUTING SITEPROP SLICE_X48Y0 NAME SLICE_X48Y0 SITEPROP SLICE_X48Y0 NUM_ARCS 138 SITEPROP SLICE_X48Y0 NUM_BELS 32 SITEPROP SLICE_X48Y0 NUM_INPUTS 32 SITEPROP SLICE_X48Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y0 NUM_PINS 45 SITEPROP SLICE_X48Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y0 PROHIBIT 0 SITEPROP SLICE_X48Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y0 RPM_X 129 SITEPROP SLICE_X48Y0 RPM_Y 0 SITEPROP SLICE_X48Y0 SITE_PIPS SITEPROP SLICE_X48Y0 SITE_TYPE SLICEL SITEPROP SLICE_X48Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y1 CLASS site SITEPROP SLICE_X48Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y1 IS_BONDED 0 SITEPROP SLICE_X48Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y1 IS_PAD 0 SITEPROP SLICE_X48Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y1 IS_RESERVED 0 SITEPROP SLICE_X48Y1 IS_TEST 0 SITEPROP SLICE_X48Y1 IS_USED 0 SITEPROP SLICE_X48Y1 MANUAL_ROUTING SITEPROP SLICE_X48Y1 NAME SLICE_X48Y1 SITEPROP SLICE_X48Y1 NUM_ARCS 138 SITEPROP SLICE_X48Y1 NUM_BELS 32 SITEPROP SLICE_X48Y1 NUM_INPUTS 32 SITEPROP SLICE_X48Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y1 NUM_PINS 45 SITEPROP SLICE_X48Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y1 PROHIBIT 0 SITEPROP SLICE_X48Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y1 RPM_X 129 SITEPROP SLICE_X48Y1 RPM_Y 2 SITEPROP SLICE_X48Y1 SITE_PIPS SITEPROP SLICE_X48Y1 SITE_TYPE SLICEL SITEPROP SLICE_X48Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y2 CLASS site SITEPROP SLICE_X48Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y2 IS_BONDED 0 SITEPROP SLICE_X48Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y2 IS_PAD 0 SITEPROP SLICE_X48Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y2 IS_RESERVED 0 SITEPROP SLICE_X48Y2 IS_TEST 0 SITEPROP SLICE_X48Y2 IS_USED 0 SITEPROP SLICE_X48Y2 MANUAL_ROUTING SITEPROP SLICE_X48Y2 NAME SLICE_X48Y2 SITEPROP SLICE_X48Y2 NUM_ARCS 138 SITEPROP SLICE_X48Y2 NUM_BELS 32 SITEPROP SLICE_X48Y2 NUM_INPUTS 32 SITEPROP SLICE_X48Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y2 NUM_PINS 45 SITEPROP SLICE_X48Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y2 PROHIBIT 0 SITEPROP SLICE_X48Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y2 RPM_X 129 SITEPROP SLICE_X48Y2 RPM_Y 4 SITEPROP SLICE_X48Y2 SITE_PIPS SITEPROP SLICE_X48Y2 SITE_TYPE SLICEL SITEPROP SLICE_X48Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y3 CLASS site SITEPROP SLICE_X48Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y3 IS_BONDED 0 SITEPROP SLICE_X48Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y3 IS_PAD 0 SITEPROP SLICE_X48Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y3 IS_RESERVED 0 SITEPROP SLICE_X48Y3 IS_TEST 0 SITEPROP SLICE_X48Y3 IS_USED 0 SITEPROP SLICE_X48Y3 MANUAL_ROUTING SITEPROP SLICE_X48Y3 NAME SLICE_X48Y3 SITEPROP SLICE_X48Y3 NUM_ARCS 138 SITEPROP SLICE_X48Y3 NUM_BELS 32 SITEPROP SLICE_X48Y3 NUM_INPUTS 32 SITEPROP SLICE_X48Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y3 NUM_PINS 45 SITEPROP SLICE_X48Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y3 PROHIBIT 0 SITEPROP SLICE_X48Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y3 RPM_X 129 SITEPROP SLICE_X48Y3 RPM_Y 6 SITEPROP SLICE_X48Y3 SITE_PIPS SITEPROP SLICE_X48Y3 SITE_TYPE SLICEL SITEPROP SLICE_X48Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y4 CLASS site SITEPROP SLICE_X48Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y4 IS_BONDED 0 SITEPROP SLICE_X48Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y4 IS_PAD 0 SITEPROP SLICE_X48Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y4 IS_RESERVED 0 SITEPROP SLICE_X48Y4 IS_TEST 0 SITEPROP SLICE_X48Y4 IS_USED 0 SITEPROP SLICE_X48Y4 MANUAL_ROUTING SITEPROP SLICE_X48Y4 NAME SLICE_X48Y4 SITEPROP SLICE_X48Y4 NUM_ARCS 138 SITEPROP SLICE_X48Y4 NUM_BELS 32 SITEPROP SLICE_X48Y4 NUM_INPUTS 32 SITEPROP SLICE_X48Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y4 NUM_PINS 45 SITEPROP SLICE_X48Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y4 PROHIBIT 0 SITEPROP SLICE_X48Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y4 RPM_X 129 SITEPROP SLICE_X48Y4 RPM_Y 8 SITEPROP SLICE_X48Y4 SITE_PIPS SITEPROP SLICE_X48Y4 SITE_TYPE SLICEL SITEPROP SLICE_X48Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y5 CLASS site SITEPROP SLICE_X48Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y5 IS_BONDED 0 SITEPROP SLICE_X48Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y5 IS_PAD 0 SITEPROP SLICE_X48Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y5 IS_RESERVED 0 SITEPROP SLICE_X48Y5 IS_TEST 0 SITEPROP SLICE_X48Y5 IS_USED 0 SITEPROP SLICE_X48Y5 MANUAL_ROUTING SITEPROP SLICE_X48Y5 NAME SLICE_X48Y5 SITEPROP SLICE_X48Y5 NUM_ARCS 138 SITEPROP SLICE_X48Y5 NUM_BELS 32 SITEPROP SLICE_X48Y5 NUM_INPUTS 32 SITEPROP SLICE_X48Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y5 NUM_PINS 45 SITEPROP SLICE_X48Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y5 PROHIBIT 0 SITEPROP SLICE_X48Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y5 RPM_X 129 SITEPROP SLICE_X48Y5 RPM_Y 10 SITEPROP SLICE_X48Y5 SITE_PIPS SITEPROP SLICE_X48Y5 SITE_TYPE SLICEL SITEPROP SLICE_X48Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y6 CLASS site SITEPROP SLICE_X48Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y6 IS_BONDED 0 SITEPROP SLICE_X48Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y6 IS_PAD 0 SITEPROP SLICE_X48Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y6 IS_RESERVED 0 SITEPROP SLICE_X48Y6 IS_TEST 0 SITEPROP SLICE_X48Y6 IS_USED 0 SITEPROP SLICE_X48Y6 MANUAL_ROUTING SITEPROP SLICE_X48Y6 NAME SLICE_X48Y6 SITEPROP SLICE_X48Y6 NUM_ARCS 138 SITEPROP SLICE_X48Y6 NUM_BELS 32 SITEPROP SLICE_X48Y6 NUM_INPUTS 32 SITEPROP SLICE_X48Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y6 NUM_PINS 45 SITEPROP SLICE_X48Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y6 PROHIBIT 0 SITEPROP SLICE_X48Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y6 RPM_X 129 SITEPROP SLICE_X48Y6 RPM_Y 12 SITEPROP SLICE_X48Y6 SITE_PIPS SITEPROP SLICE_X48Y6 SITE_TYPE SLICEL SITEPROP SLICE_X48Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y7 CLASS site SITEPROP SLICE_X48Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y7 IS_BONDED 0 SITEPROP SLICE_X48Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y7 IS_PAD 0 SITEPROP SLICE_X48Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y7 IS_RESERVED 0 SITEPROP SLICE_X48Y7 IS_TEST 0 SITEPROP SLICE_X48Y7 IS_USED 0 SITEPROP SLICE_X48Y7 MANUAL_ROUTING SITEPROP SLICE_X48Y7 NAME SLICE_X48Y7 SITEPROP SLICE_X48Y7 NUM_ARCS 138 SITEPROP SLICE_X48Y7 NUM_BELS 32 SITEPROP SLICE_X48Y7 NUM_INPUTS 32 SITEPROP SLICE_X48Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y7 NUM_PINS 45 SITEPROP SLICE_X48Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y7 PROHIBIT 0 SITEPROP SLICE_X48Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y7 RPM_X 129 SITEPROP SLICE_X48Y7 RPM_Y 14 SITEPROP SLICE_X48Y7 SITE_PIPS SITEPROP SLICE_X48Y7 SITE_TYPE SLICEL SITEPROP SLICE_X48Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y8 CLASS site SITEPROP SLICE_X48Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y8 IS_BONDED 0 SITEPROP SLICE_X48Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y8 IS_PAD 0 SITEPROP SLICE_X48Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y8 IS_RESERVED 0 SITEPROP SLICE_X48Y8 IS_TEST 0 SITEPROP SLICE_X48Y8 IS_USED 0 SITEPROP SLICE_X48Y8 MANUAL_ROUTING SITEPROP SLICE_X48Y8 NAME SLICE_X48Y8 SITEPROP SLICE_X48Y8 NUM_ARCS 138 SITEPROP SLICE_X48Y8 NUM_BELS 32 SITEPROP SLICE_X48Y8 NUM_INPUTS 32 SITEPROP SLICE_X48Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y8 NUM_PINS 45 SITEPROP SLICE_X48Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y8 PROHIBIT 0 SITEPROP SLICE_X48Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y8 RPM_X 129 SITEPROP SLICE_X48Y8 RPM_Y 16 SITEPROP SLICE_X48Y8 SITE_PIPS SITEPROP SLICE_X48Y8 SITE_TYPE SLICEL SITEPROP SLICE_X48Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y9 CLASS site SITEPROP SLICE_X48Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y9 IS_BONDED 0 SITEPROP SLICE_X48Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y9 IS_PAD 0 SITEPROP SLICE_X48Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y9 IS_RESERVED 0 SITEPROP SLICE_X48Y9 IS_TEST 0 SITEPROP SLICE_X48Y9 IS_USED 0 SITEPROP SLICE_X48Y9 MANUAL_ROUTING SITEPROP SLICE_X48Y9 NAME SLICE_X48Y9 SITEPROP SLICE_X48Y9 NUM_ARCS 138 SITEPROP SLICE_X48Y9 NUM_BELS 32 SITEPROP SLICE_X48Y9 NUM_INPUTS 32 SITEPROP SLICE_X48Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y9 NUM_PINS 45 SITEPROP SLICE_X48Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y9 PROHIBIT 0 SITEPROP SLICE_X48Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y9 RPM_X 129 SITEPROP SLICE_X48Y9 RPM_Y 18 SITEPROP SLICE_X48Y9 SITE_PIPS SITEPROP SLICE_X48Y9 SITE_TYPE SLICEL SITEPROP SLICE_X48Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y10 CLASS site SITEPROP SLICE_X48Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y10 IS_BONDED 0 SITEPROP SLICE_X48Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y10 IS_PAD 0 SITEPROP SLICE_X48Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y10 IS_RESERVED 0 SITEPROP SLICE_X48Y10 IS_TEST 0 SITEPROP SLICE_X48Y10 IS_USED 0 SITEPROP SLICE_X48Y10 MANUAL_ROUTING SITEPROP SLICE_X48Y10 NAME SLICE_X48Y10 SITEPROP SLICE_X48Y10 NUM_ARCS 138 SITEPROP SLICE_X48Y10 NUM_BELS 32 SITEPROP SLICE_X48Y10 NUM_INPUTS 32 SITEPROP SLICE_X48Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y10 NUM_PINS 45 SITEPROP SLICE_X48Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y10 PROHIBIT 0 SITEPROP SLICE_X48Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y10 RPM_X 129 SITEPROP SLICE_X48Y10 RPM_Y 20 SITEPROP SLICE_X48Y10 SITE_PIPS SITEPROP SLICE_X48Y10 SITE_TYPE SLICEL SITEPROP SLICE_X48Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y11 CLASS site SITEPROP SLICE_X48Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y11 IS_BONDED 0 SITEPROP SLICE_X48Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y11 IS_PAD 0 SITEPROP SLICE_X48Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y11 IS_RESERVED 0 SITEPROP SLICE_X48Y11 IS_TEST 0 SITEPROP SLICE_X48Y11 IS_USED 0 SITEPROP SLICE_X48Y11 MANUAL_ROUTING SITEPROP SLICE_X48Y11 NAME SLICE_X48Y11 SITEPROP SLICE_X48Y11 NUM_ARCS 138 SITEPROP SLICE_X48Y11 NUM_BELS 32 SITEPROP SLICE_X48Y11 NUM_INPUTS 32 SITEPROP SLICE_X48Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y11 NUM_PINS 45 SITEPROP SLICE_X48Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y11 PROHIBIT 0 SITEPROP SLICE_X48Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y11 RPM_X 129 SITEPROP SLICE_X48Y11 RPM_Y 22 SITEPROP SLICE_X48Y11 SITE_PIPS SITEPROP SLICE_X48Y11 SITE_TYPE SLICEL SITEPROP SLICE_X48Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y12 CLASS site SITEPROP SLICE_X48Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y12 IS_BONDED 0 SITEPROP SLICE_X48Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y12 IS_PAD 0 SITEPROP SLICE_X48Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y12 IS_RESERVED 0 SITEPROP SLICE_X48Y12 IS_TEST 0 SITEPROP SLICE_X48Y12 IS_USED 0 SITEPROP SLICE_X48Y12 MANUAL_ROUTING SITEPROP SLICE_X48Y12 NAME SLICE_X48Y12 SITEPROP SLICE_X48Y12 NUM_ARCS 138 SITEPROP SLICE_X48Y12 NUM_BELS 32 SITEPROP SLICE_X48Y12 NUM_INPUTS 32 SITEPROP SLICE_X48Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y12 NUM_PINS 45 SITEPROP SLICE_X48Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y12 PROHIBIT 0 SITEPROP SLICE_X48Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y12 RPM_X 129 SITEPROP SLICE_X48Y12 RPM_Y 24 SITEPROP SLICE_X48Y12 SITE_PIPS SITEPROP SLICE_X48Y12 SITE_TYPE SLICEL SITEPROP SLICE_X48Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y13 CLASS site SITEPROP SLICE_X48Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y13 IS_BONDED 0 SITEPROP SLICE_X48Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y13 IS_PAD 0 SITEPROP SLICE_X48Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y13 IS_RESERVED 0 SITEPROP SLICE_X48Y13 IS_TEST 0 SITEPROP SLICE_X48Y13 IS_USED 0 SITEPROP SLICE_X48Y13 MANUAL_ROUTING SITEPROP SLICE_X48Y13 NAME SLICE_X48Y13 SITEPROP SLICE_X48Y13 NUM_ARCS 138 SITEPROP SLICE_X48Y13 NUM_BELS 32 SITEPROP SLICE_X48Y13 NUM_INPUTS 32 SITEPROP SLICE_X48Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y13 NUM_PINS 45 SITEPROP SLICE_X48Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y13 PROHIBIT 0 SITEPROP SLICE_X48Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y13 RPM_X 129 SITEPROP SLICE_X48Y13 RPM_Y 26 SITEPROP SLICE_X48Y13 SITE_PIPS SITEPROP SLICE_X48Y13 SITE_TYPE SLICEL SITEPROP SLICE_X48Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y14 CLASS site SITEPROP SLICE_X48Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y14 IS_BONDED 0 SITEPROP SLICE_X48Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y14 IS_PAD 0 SITEPROP SLICE_X48Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y14 IS_RESERVED 0 SITEPROP SLICE_X48Y14 IS_TEST 0 SITEPROP SLICE_X48Y14 IS_USED 0 SITEPROP SLICE_X48Y14 MANUAL_ROUTING SITEPROP SLICE_X48Y14 NAME SLICE_X48Y14 SITEPROP SLICE_X48Y14 NUM_ARCS 138 SITEPROP SLICE_X48Y14 NUM_BELS 32 SITEPROP SLICE_X48Y14 NUM_INPUTS 32 SITEPROP SLICE_X48Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y14 NUM_PINS 45 SITEPROP SLICE_X48Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y14 PROHIBIT 0 SITEPROP SLICE_X48Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y14 RPM_X 129 SITEPROP SLICE_X48Y14 RPM_Y 28 SITEPROP SLICE_X48Y14 SITE_PIPS SITEPROP SLICE_X48Y14 SITE_TYPE SLICEL SITEPROP SLICE_X48Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y15 CLASS site SITEPROP SLICE_X48Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y15 IS_BONDED 0 SITEPROP SLICE_X48Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y15 IS_PAD 0 SITEPROP SLICE_X48Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y15 IS_RESERVED 0 SITEPROP SLICE_X48Y15 IS_TEST 0 SITEPROP SLICE_X48Y15 IS_USED 0 SITEPROP SLICE_X48Y15 MANUAL_ROUTING SITEPROP SLICE_X48Y15 NAME SLICE_X48Y15 SITEPROP SLICE_X48Y15 NUM_ARCS 138 SITEPROP SLICE_X48Y15 NUM_BELS 32 SITEPROP SLICE_X48Y15 NUM_INPUTS 32 SITEPROP SLICE_X48Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y15 NUM_PINS 45 SITEPROP SLICE_X48Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y15 PROHIBIT 0 SITEPROP SLICE_X48Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y15 RPM_X 129 SITEPROP SLICE_X48Y15 RPM_Y 30 SITEPROP SLICE_X48Y15 SITE_PIPS SITEPROP SLICE_X48Y15 SITE_TYPE SLICEL SITEPROP SLICE_X48Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y16 CLASS site SITEPROP SLICE_X48Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y16 IS_BONDED 0 SITEPROP SLICE_X48Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y16 IS_PAD 0 SITEPROP SLICE_X48Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y16 IS_RESERVED 0 SITEPROP SLICE_X48Y16 IS_TEST 0 SITEPROP SLICE_X48Y16 IS_USED 0 SITEPROP SLICE_X48Y16 MANUAL_ROUTING SITEPROP SLICE_X48Y16 NAME SLICE_X48Y16 SITEPROP SLICE_X48Y16 NUM_ARCS 138 SITEPROP SLICE_X48Y16 NUM_BELS 32 SITEPROP SLICE_X48Y16 NUM_INPUTS 32 SITEPROP SLICE_X48Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y16 NUM_PINS 45 SITEPROP SLICE_X48Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y16 PROHIBIT 0 SITEPROP SLICE_X48Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y16 RPM_X 129 SITEPROP SLICE_X48Y16 RPM_Y 32 SITEPROP SLICE_X48Y16 SITE_PIPS SITEPROP SLICE_X48Y16 SITE_TYPE SLICEL SITEPROP SLICE_X48Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y17 CLASS site SITEPROP SLICE_X48Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y17 IS_BONDED 0 SITEPROP SLICE_X48Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y17 IS_PAD 0 SITEPROP SLICE_X48Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y17 IS_RESERVED 0 SITEPROP SLICE_X48Y17 IS_TEST 0 SITEPROP SLICE_X48Y17 IS_USED 0 SITEPROP SLICE_X48Y17 MANUAL_ROUTING SITEPROP SLICE_X48Y17 NAME SLICE_X48Y17 SITEPROP SLICE_X48Y17 NUM_ARCS 138 SITEPROP SLICE_X48Y17 NUM_BELS 32 SITEPROP SLICE_X48Y17 NUM_INPUTS 32 SITEPROP SLICE_X48Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y17 NUM_PINS 45 SITEPROP SLICE_X48Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y17 PROHIBIT 0 SITEPROP SLICE_X48Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y17 RPM_X 129 SITEPROP SLICE_X48Y17 RPM_Y 34 SITEPROP SLICE_X48Y17 SITE_PIPS SITEPROP SLICE_X48Y17 SITE_TYPE SLICEL SITEPROP SLICE_X48Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y18 CLASS site SITEPROP SLICE_X48Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y18 IS_BONDED 0 SITEPROP SLICE_X48Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y18 IS_PAD 0 SITEPROP SLICE_X48Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y18 IS_RESERVED 0 SITEPROP SLICE_X48Y18 IS_TEST 0 SITEPROP SLICE_X48Y18 IS_USED 0 SITEPROP SLICE_X48Y18 MANUAL_ROUTING SITEPROP SLICE_X48Y18 NAME SLICE_X48Y18 SITEPROP SLICE_X48Y18 NUM_ARCS 138 SITEPROP SLICE_X48Y18 NUM_BELS 32 SITEPROP SLICE_X48Y18 NUM_INPUTS 32 SITEPROP SLICE_X48Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y18 NUM_PINS 45 SITEPROP SLICE_X48Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y18 PROHIBIT 0 SITEPROP SLICE_X48Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y18 RPM_X 129 SITEPROP SLICE_X48Y18 RPM_Y 36 SITEPROP SLICE_X48Y18 SITE_PIPS SITEPROP SLICE_X48Y18 SITE_TYPE SLICEL SITEPROP SLICE_X48Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y19 CLASS site SITEPROP SLICE_X48Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y19 IS_BONDED 0 SITEPROP SLICE_X48Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y19 IS_PAD 0 SITEPROP SLICE_X48Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y19 IS_RESERVED 0 SITEPROP SLICE_X48Y19 IS_TEST 0 SITEPROP SLICE_X48Y19 IS_USED 0 SITEPROP SLICE_X48Y19 MANUAL_ROUTING SITEPROP SLICE_X48Y19 NAME SLICE_X48Y19 SITEPROP SLICE_X48Y19 NUM_ARCS 138 SITEPROP SLICE_X48Y19 NUM_BELS 32 SITEPROP SLICE_X48Y19 NUM_INPUTS 32 SITEPROP SLICE_X48Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y19 NUM_PINS 45 SITEPROP SLICE_X48Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y19 PROHIBIT 0 SITEPROP SLICE_X48Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y19 RPM_X 129 SITEPROP SLICE_X48Y19 RPM_Y 38 SITEPROP SLICE_X48Y19 SITE_PIPS SITEPROP SLICE_X48Y19 SITE_TYPE SLICEL SITEPROP SLICE_X48Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y20 CLASS site SITEPROP SLICE_X48Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y20 IS_BONDED 0 SITEPROP SLICE_X48Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y20 IS_PAD 0 SITEPROP SLICE_X48Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y20 IS_RESERVED 0 SITEPROP SLICE_X48Y20 IS_TEST 0 SITEPROP SLICE_X48Y20 IS_USED 0 SITEPROP SLICE_X48Y20 MANUAL_ROUTING SITEPROP SLICE_X48Y20 NAME SLICE_X48Y20 SITEPROP SLICE_X48Y20 NUM_ARCS 138 SITEPROP SLICE_X48Y20 NUM_BELS 32 SITEPROP SLICE_X48Y20 NUM_INPUTS 32 SITEPROP SLICE_X48Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y20 NUM_PINS 45 SITEPROP SLICE_X48Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y20 PROHIBIT 0 SITEPROP SLICE_X48Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y20 RPM_X 129 SITEPROP SLICE_X48Y20 RPM_Y 40 SITEPROP SLICE_X48Y20 SITE_PIPS SITEPROP SLICE_X48Y20 SITE_TYPE SLICEL SITEPROP SLICE_X48Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y21 CLASS site SITEPROP SLICE_X48Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y21 IS_BONDED 0 SITEPROP SLICE_X48Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y21 IS_PAD 0 SITEPROP SLICE_X48Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y21 IS_RESERVED 0 SITEPROP SLICE_X48Y21 IS_TEST 0 SITEPROP SLICE_X48Y21 IS_USED 0 SITEPROP SLICE_X48Y21 MANUAL_ROUTING SITEPROP SLICE_X48Y21 NAME SLICE_X48Y21 SITEPROP SLICE_X48Y21 NUM_ARCS 138 SITEPROP SLICE_X48Y21 NUM_BELS 32 SITEPROP SLICE_X48Y21 NUM_INPUTS 32 SITEPROP SLICE_X48Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y21 NUM_PINS 45 SITEPROP SLICE_X48Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y21 PROHIBIT 0 SITEPROP SLICE_X48Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y21 RPM_X 129 SITEPROP SLICE_X48Y21 RPM_Y 42 SITEPROP SLICE_X48Y21 SITE_PIPS SITEPROP SLICE_X48Y21 SITE_TYPE SLICEL SITEPROP SLICE_X48Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y22 CLASS site SITEPROP SLICE_X48Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y22 IS_BONDED 0 SITEPROP SLICE_X48Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y22 IS_PAD 0 SITEPROP SLICE_X48Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y22 IS_RESERVED 0 SITEPROP SLICE_X48Y22 IS_TEST 0 SITEPROP SLICE_X48Y22 IS_USED 0 SITEPROP SLICE_X48Y22 MANUAL_ROUTING SITEPROP SLICE_X48Y22 NAME SLICE_X48Y22 SITEPROP SLICE_X48Y22 NUM_ARCS 138 SITEPROP SLICE_X48Y22 NUM_BELS 32 SITEPROP SLICE_X48Y22 NUM_INPUTS 32 SITEPROP SLICE_X48Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y22 NUM_PINS 45 SITEPROP SLICE_X48Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y22 PROHIBIT 0 SITEPROP SLICE_X48Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y22 RPM_X 129 SITEPROP SLICE_X48Y22 RPM_Y 44 SITEPROP SLICE_X48Y22 SITE_PIPS SITEPROP SLICE_X48Y22 SITE_TYPE SLICEL SITEPROP SLICE_X48Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y23 CLASS site SITEPROP SLICE_X48Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y23 IS_BONDED 0 SITEPROP SLICE_X48Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y23 IS_PAD 0 SITEPROP SLICE_X48Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y23 IS_RESERVED 0 SITEPROP SLICE_X48Y23 IS_TEST 0 SITEPROP SLICE_X48Y23 IS_USED 0 SITEPROP SLICE_X48Y23 MANUAL_ROUTING SITEPROP SLICE_X48Y23 NAME SLICE_X48Y23 SITEPROP SLICE_X48Y23 NUM_ARCS 138 SITEPROP SLICE_X48Y23 NUM_BELS 32 SITEPROP SLICE_X48Y23 NUM_INPUTS 32 SITEPROP SLICE_X48Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y23 NUM_PINS 45 SITEPROP SLICE_X48Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y23 PROHIBIT 0 SITEPROP SLICE_X48Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y23 RPM_X 129 SITEPROP SLICE_X48Y23 RPM_Y 46 SITEPROP SLICE_X48Y23 SITE_PIPS SITEPROP SLICE_X48Y23 SITE_TYPE SLICEL SITEPROP SLICE_X48Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y24 CLASS site SITEPROP SLICE_X48Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y24 IS_BONDED 0 SITEPROP SLICE_X48Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y24 IS_PAD 0 SITEPROP SLICE_X48Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y24 IS_RESERVED 0 SITEPROP SLICE_X48Y24 IS_TEST 0 SITEPROP SLICE_X48Y24 IS_USED 0 SITEPROP SLICE_X48Y24 MANUAL_ROUTING SITEPROP SLICE_X48Y24 NAME SLICE_X48Y24 SITEPROP SLICE_X48Y24 NUM_ARCS 138 SITEPROP SLICE_X48Y24 NUM_BELS 32 SITEPROP SLICE_X48Y24 NUM_INPUTS 32 SITEPROP SLICE_X48Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y24 NUM_PINS 45 SITEPROP SLICE_X48Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y24 PROHIBIT 0 SITEPROP SLICE_X48Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y24 RPM_X 129 SITEPROP SLICE_X48Y24 RPM_Y 48 SITEPROP SLICE_X48Y24 SITE_PIPS SITEPROP SLICE_X48Y24 SITE_TYPE SLICEL SITEPROP SLICE_X48Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y25 CLASS site SITEPROP SLICE_X48Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y25 IS_BONDED 0 SITEPROP SLICE_X48Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y25 IS_PAD 0 SITEPROP SLICE_X48Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y25 IS_RESERVED 0 SITEPROP SLICE_X48Y25 IS_TEST 0 SITEPROP SLICE_X48Y25 IS_USED 0 SITEPROP SLICE_X48Y25 MANUAL_ROUTING SITEPROP SLICE_X48Y25 NAME SLICE_X48Y25 SITEPROP SLICE_X48Y25 NUM_ARCS 138 SITEPROP SLICE_X48Y25 NUM_BELS 32 SITEPROP SLICE_X48Y25 NUM_INPUTS 32 SITEPROP SLICE_X48Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y25 NUM_PINS 45 SITEPROP SLICE_X48Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y25 PROHIBIT 0 SITEPROP SLICE_X48Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y25 RPM_X 129 SITEPROP SLICE_X48Y25 RPM_Y 50 SITEPROP SLICE_X48Y25 SITE_PIPS SITEPROP SLICE_X48Y25 SITE_TYPE SLICEL SITEPROP SLICE_X48Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y26 CLASS site SITEPROP SLICE_X48Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y26 IS_BONDED 0 SITEPROP SLICE_X48Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y26 IS_PAD 0 SITEPROP SLICE_X48Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y26 IS_RESERVED 0 SITEPROP SLICE_X48Y26 IS_TEST 0 SITEPROP SLICE_X48Y26 IS_USED 0 SITEPROP SLICE_X48Y26 MANUAL_ROUTING SITEPROP SLICE_X48Y26 NAME SLICE_X48Y26 SITEPROP SLICE_X48Y26 NUM_ARCS 138 SITEPROP SLICE_X48Y26 NUM_BELS 32 SITEPROP SLICE_X48Y26 NUM_INPUTS 32 SITEPROP SLICE_X48Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y26 NUM_PINS 45 SITEPROP SLICE_X48Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y26 PROHIBIT 0 SITEPROP SLICE_X48Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y26 RPM_X 129 SITEPROP SLICE_X48Y26 RPM_Y 52 SITEPROP SLICE_X48Y26 SITE_PIPS SITEPROP SLICE_X48Y26 SITE_TYPE SLICEL SITEPROP SLICE_X48Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y27 CLASS site SITEPROP SLICE_X48Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y27 IS_BONDED 0 SITEPROP SLICE_X48Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y27 IS_PAD 0 SITEPROP SLICE_X48Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y27 IS_RESERVED 0 SITEPROP SLICE_X48Y27 IS_TEST 0 SITEPROP SLICE_X48Y27 IS_USED 0 SITEPROP SLICE_X48Y27 MANUAL_ROUTING SITEPROP SLICE_X48Y27 NAME SLICE_X48Y27 SITEPROP SLICE_X48Y27 NUM_ARCS 138 SITEPROP SLICE_X48Y27 NUM_BELS 32 SITEPROP SLICE_X48Y27 NUM_INPUTS 32 SITEPROP SLICE_X48Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y27 NUM_PINS 45 SITEPROP SLICE_X48Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y27 PROHIBIT 0 SITEPROP SLICE_X48Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y27 RPM_X 129 SITEPROP SLICE_X48Y27 RPM_Y 54 SITEPROP SLICE_X48Y27 SITE_PIPS SITEPROP SLICE_X48Y27 SITE_TYPE SLICEL SITEPROP SLICE_X48Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y28 CLASS site SITEPROP SLICE_X48Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y28 IS_BONDED 0 SITEPROP SLICE_X48Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y28 IS_PAD 0 SITEPROP SLICE_X48Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y28 IS_RESERVED 0 SITEPROP SLICE_X48Y28 IS_TEST 0 SITEPROP SLICE_X48Y28 IS_USED 0 SITEPROP SLICE_X48Y28 MANUAL_ROUTING SITEPROP SLICE_X48Y28 NAME SLICE_X48Y28 SITEPROP SLICE_X48Y28 NUM_ARCS 138 SITEPROP SLICE_X48Y28 NUM_BELS 32 SITEPROP SLICE_X48Y28 NUM_INPUTS 32 SITEPROP SLICE_X48Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y28 NUM_PINS 45 SITEPROP SLICE_X48Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y28 PROHIBIT 0 SITEPROP SLICE_X48Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y28 RPM_X 129 SITEPROP SLICE_X48Y28 RPM_Y 56 SITEPROP SLICE_X48Y28 SITE_PIPS SITEPROP SLICE_X48Y28 SITE_TYPE SLICEL SITEPROP SLICE_X48Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y29 CLASS site SITEPROP SLICE_X48Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y29 IS_BONDED 0 SITEPROP SLICE_X48Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y29 IS_PAD 0 SITEPROP SLICE_X48Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y29 IS_RESERVED 0 SITEPROP SLICE_X48Y29 IS_TEST 0 SITEPROP SLICE_X48Y29 IS_USED 0 SITEPROP SLICE_X48Y29 MANUAL_ROUTING SITEPROP SLICE_X48Y29 NAME SLICE_X48Y29 SITEPROP SLICE_X48Y29 NUM_ARCS 138 SITEPROP SLICE_X48Y29 NUM_BELS 32 SITEPROP SLICE_X48Y29 NUM_INPUTS 32 SITEPROP SLICE_X48Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y29 NUM_PINS 45 SITEPROP SLICE_X48Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y29 PROHIBIT 0 SITEPROP SLICE_X48Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y29 RPM_X 129 SITEPROP SLICE_X48Y29 RPM_Y 58 SITEPROP SLICE_X48Y29 SITE_PIPS SITEPROP SLICE_X48Y29 SITE_TYPE SLICEL SITEPROP SLICE_X48Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y30 CLASS site SITEPROP SLICE_X48Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y30 IS_BONDED 0 SITEPROP SLICE_X48Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y30 IS_PAD 0 SITEPROP SLICE_X48Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y30 IS_RESERVED 0 SITEPROP SLICE_X48Y30 IS_TEST 0 SITEPROP SLICE_X48Y30 IS_USED 0 SITEPROP SLICE_X48Y30 MANUAL_ROUTING SITEPROP SLICE_X48Y30 NAME SLICE_X48Y30 SITEPROP SLICE_X48Y30 NUM_ARCS 138 SITEPROP SLICE_X48Y30 NUM_BELS 32 SITEPROP SLICE_X48Y30 NUM_INPUTS 32 SITEPROP SLICE_X48Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y30 NUM_PINS 45 SITEPROP SLICE_X48Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y30 PROHIBIT 0 SITEPROP SLICE_X48Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y30 RPM_X 129 SITEPROP SLICE_X48Y30 RPM_Y 60 SITEPROP SLICE_X48Y30 SITE_PIPS SITEPROP SLICE_X48Y30 SITE_TYPE SLICEL SITEPROP SLICE_X48Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y31 CLASS site SITEPROP SLICE_X48Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y31 IS_BONDED 0 SITEPROP SLICE_X48Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y31 IS_PAD 0 SITEPROP SLICE_X48Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y31 IS_RESERVED 0 SITEPROP SLICE_X48Y31 IS_TEST 0 SITEPROP SLICE_X48Y31 IS_USED 0 SITEPROP SLICE_X48Y31 MANUAL_ROUTING SITEPROP SLICE_X48Y31 NAME SLICE_X48Y31 SITEPROP SLICE_X48Y31 NUM_ARCS 138 SITEPROP SLICE_X48Y31 NUM_BELS 32 SITEPROP SLICE_X48Y31 NUM_INPUTS 32 SITEPROP SLICE_X48Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y31 NUM_PINS 45 SITEPROP SLICE_X48Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y31 PROHIBIT 0 SITEPROP SLICE_X48Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y31 RPM_X 129 SITEPROP SLICE_X48Y31 RPM_Y 62 SITEPROP SLICE_X48Y31 SITE_PIPS SITEPROP SLICE_X48Y31 SITE_TYPE SLICEL SITEPROP SLICE_X48Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y32 CLASS site SITEPROP SLICE_X48Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y32 IS_BONDED 0 SITEPROP SLICE_X48Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y32 IS_PAD 0 SITEPROP SLICE_X48Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y32 IS_RESERVED 0 SITEPROP SLICE_X48Y32 IS_TEST 0 SITEPROP SLICE_X48Y32 IS_USED 0 SITEPROP SLICE_X48Y32 MANUAL_ROUTING SITEPROP SLICE_X48Y32 NAME SLICE_X48Y32 SITEPROP SLICE_X48Y32 NUM_ARCS 138 SITEPROP SLICE_X48Y32 NUM_BELS 32 SITEPROP SLICE_X48Y32 NUM_INPUTS 32 SITEPROP SLICE_X48Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y32 NUM_PINS 45 SITEPROP SLICE_X48Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y32 PROHIBIT 0 SITEPROP SLICE_X48Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y32 RPM_X 129 SITEPROP SLICE_X48Y32 RPM_Y 64 SITEPROP SLICE_X48Y32 SITE_PIPS SITEPROP SLICE_X48Y32 SITE_TYPE SLICEL SITEPROP SLICE_X48Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y33 CLASS site SITEPROP SLICE_X48Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y33 IS_BONDED 0 SITEPROP SLICE_X48Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y33 IS_PAD 0 SITEPROP SLICE_X48Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y33 IS_RESERVED 0 SITEPROP SLICE_X48Y33 IS_TEST 0 SITEPROP SLICE_X48Y33 IS_USED 0 SITEPROP SLICE_X48Y33 MANUAL_ROUTING SITEPROP SLICE_X48Y33 NAME SLICE_X48Y33 SITEPROP SLICE_X48Y33 NUM_ARCS 138 SITEPROP SLICE_X48Y33 NUM_BELS 32 SITEPROP SLICE_X48Y33 NUM_INPUTS 32 SITEPROP SLICE_X48Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y33 NUM_PINS 45 SITEPROP SLICE_X48Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y33 PROHIBIT 0 SITEPROP SLICE_X48Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y33 RPM_X 129 SITEPROP SLICE_X48Y33 RPM_Y 66 SITEPROP SLICE_X48Y33 SITE_PIPS SITEPROP SLICE_X48Y33 SITE_TYPE SLICEL SITEPROP SLICE_X48Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y34 CLASS site SITEPROP SLICE_X48Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y34 IS_BONDED 0 SITEPROP SLICE_X48Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y34 IS_PAD 0 SITEPROP SLICE_X48Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y34 IS_RESERVED 0 SITEPROP SLICE_X48Y34 IS_TEST 0 SITEPROP SLICE_X48Y34 IS_USED 0 SITEPROP SLICE_X48Y34 MANUAL_ROUTING SITEPROP SLICE_X48Y34 NAME SLICE_X48Y34 SITEPROP SLICE_X48Y34 NUM_ARCS 138 SITEPROP SLICE_X48Y34 NUM_BELS 32 SITEPROP SLICE_X48Y34 NUM_INPUTS 32 SITEPROP SLICE_X48Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y34 NUM_PINS 45 SITEPROP SLICE_X48Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y34 PROHIBIT 0 SITEPROP SLICE_X48Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y34 RPM_X 129 SITEPROP SLICE_X48Y34 RPM_Y 68 SITEPROP SLICE_X48Y34 SITE_PIPS SITEPROP SLICE_X48Y34 SITE_TYPE SLICEL SITEPROP SLICE_X48Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y35 CLASS site SITEPROP SLICE_X48Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y35 IS_BONDED 0 SITEPROP SLICE_X48Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y35 IS_PAD 0 SITEPROP SLICE_X48Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y35 IS_RESERVED 0 SITEPROP SLICE_X48Y35 IS_TEST 0 SITEPROP SLICE_X48Y35 IS_USED 0 SITEPROP SLICE_X48Y35 MANUAL_ROUTING SITEPROP SLICE_X48Y35 NAME SLICE_X48Y35 SITEPROP SLICE_X48Y35 NUM_ARCS 138 SITEPROP SLICE_X48Y35 NUM_BELS 32 SITEPROP SLICE_X48Y35 NUM_INPUTS 32 SITEPROP SLICE_X48Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y35 NUM_PINS 45 SITEPROP SLICE_X48Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y35 PROHIBIT 0 SITEPROP SLICE_X48Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y35 RPM_X 129 SITEPROP SLICE_X48Y35 RPM_Y 70 SITEPROP SLICE_X48Y35 SITE_PIPS SITEPROP SLICE_X48Y35 SITE_TYPE SLICEL SITEPROP SLICE_X48Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y36 CLASS site SITEPROP SLICE_X48Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y36 IS_BONDED 0 SITEPROP SLICE_X48Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y36 IS_PAD 0 SITEPROP SLICE_X48Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y36 IS_RESERVED 0 SITEPROP SLICE_X48Y36 IS_TEST 0 SITEPROP SLICE_X48Y36 IS_USED 0 SITEPROP SLICE_X48Y36 MANUAL_ROUTING SITEPROP SLICE_X48Y36 NAME SLICE_X48Y36 SITEPROP SLICE_X48Y36 NUM_ARCS 138 SITEPROP SLICE_X48Y36 NUM_BELS 32 SITEPROP SLICE_X48Y36 NUM_INPUTS 32 SITEPROP SLICE_X48Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y36 NUM_PINS 45 SITEPROP SLICE_X48Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y36 PROHIBIT 0 SITEPROP SLICE_X48Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y36 RPM_X 129 SITEPROP SLICE_X48Y36 RPM_Y 72 SITEPROP SLICE_X48Y36 SITE_PIPS SITEPROP SLICE_X48Y36 SITE_TYPE SLICEL SITEPROP SLICE_X48Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y37 CLASS site SITEPROP SLICE_X48Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y37 IS_BONDED 0 SITEPROP SLICE_X48Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y37 IS_PAD 0 SITEPROP SLICE_X48Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y37 IS_RESERVED 0 SITEPROP SLICE_X48Y37 IS_TEST 0 SITEPROP SLICE_X48Y37 IS_USED 0 SITEPROP SLICE_X48Y37 MANUAL_ROUTING SITEPROP SLICE_X48Y37 NAME SLICE_X48Y37 SITEPROP SLICE_X48Y37 NUM_ARCS 138 SITEPROP SLICE_X48Y37 NUM_BELS 32 SITEPROP SLICE_X48Y37 NUM_INPUTS 32 SITEPROP SLICE_X48Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y37 NUM_PINS 45 SITEPROP SLICE_X48Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y37 PROHIBIT 0 SITEPROP SLICE_X48Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y37 RPM_X 129 SITEPROP SLICE_X48Y37 RPM_Y 74 SITEPROP SLICE_X48Y37 SITE_PIPS SITEPROP SLICE_X48Y37 SITE_TYPE SLICEL SITEPROP SLICE_X48Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y38 CLASS site SITEPROP SLICE_X48Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y38 IS_BONDED 0 SITEPROP SLICE_X48Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y38 IS_PAD 0 SITEPROP SLICE_X48Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y38 IS_RESERVED 0 SITEPROP SLICE_X48Y38 IS_TEST 0 SITEPROP SLICE_X48Y38 IS_USED 0 SITEPROP SLICE_X48Y38 MANUAL_ROUTING SITEPROP SLICE_X48Y38 NAME SLICE_X48Y38 SITEPROP SLICE_X48Y38 NUM_ARCS 138 SITEPROP SLICE_X48Y38 NUM_BELS 32 SITEPROP SLICE_X48Y38 NUM_INPUTS 32 SITEPROP SLICE_X48Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y38 NUM_PINS 45 SITEPROP SLICE_X48Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y38 PROHIBIT 0 SITEPROP SLICE_X48Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y38 RPM_X 129 SITEPROP SLICE_X48Y38 RPM_Y 76 SITEPROP SLICE_X48Y38 SITE_PIPS SITEPROP SLICE_X48Y38 SITE_TYPE SLICEL SITEPROP SLICE_X48Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y39 CLASS site SITEPROP SLICE_X48Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y39 IS_BONDED 0 SITEPROP SLICE_X48Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y39 IS_PAD 0 SITEPROP SLICE_X48Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y39 IS_RESERVED 0 SITEPROP SLICE_X48Y39 IS_TEST 0 SITEPROP SLICE_X48Y39 IS_USED 0 SITEPROP SLICE_X48Y39 MANUAL_ROUTING SITEPROP SLICE_X48Y39 NAME SLICE_X48Y39 SITEPROP SLICE_X48Y39 NUM_ARCS 138 SITEPROP SLICE_X48Y39 NUM_BELS 32 SITEPROP SLICE_X48Y39 NUM_INPUTS 32 SITEPROP SLICE_X48Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y39 NUM_PINS 45 SITEPROP SLICE_X48Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y39 PROHIBIT 0 SITEPROP SLICE_X48Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y39 RPM_X 129 SITEPROP SLICE_X48Y39 RPM_Y 78 SITEPROP SLICE_X48Y39 SITE_PIPS SITEPROP SLICE_X48Y39 SITE_TYPE SLICEL SITEPROP SLICE_X48Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y40 CLASS site SITEPROP SLICE_X48Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y40 IS_BONDED 0 SITEPROP SLICE_X48Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y40 IS_PAD 0 SITEPROP SLICE_X48Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y40 IS_RESERVED 0 SITEPROP SLICE_X48Y40 IS_TEST 0 SITEPROP SLICE_X48Y40 IS_USED 0 SITEPROP SLICE_X48Y40 MANUAL_ROUTING SITEPROP SLICE_X48Y40 NAME SLICE_X48Y40 SITEPROP SLICE_X48Y40 NUM_ARCS 138 SITEPROP SLICE_X48Y40 NUM_BELS 32 SITEPROP SLICE_X48Y40 NUM_INPUTS 32 SITEPROP SLICE_X48Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y40 NUM_PINS 45 SITEPROP SLICE_X48Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y40 PROHIBIT 0 SITEPROP SLICE_X48Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y40 RPM_X 129 SITEPROP SLICE_X48Y40 RPM_Y 80 SITEPROP SLICE_X48Y40 SITE_PIPS SITEPROP SLICE_X48Y40 SITE_TYPE SLICEL SITEPROP SLICE_X48Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y41 CLASS site SITEPROP SLICE_X48Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y41 IS_BONDED 0 SITEPROP SLICE_X48Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y41 IS_PAD 0 SITEPROP SLICE_X48Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y41 IS_RESERVED 0 SITEPROP SLICE_X48Y41 IS_TEST 0 SITEPROP SLICE_X48Y41 IS_USED 0 SITEPROP SLICE_X48Y41 MANUAL_ROUTING SITEPROP SLICE_X48Y41 NAME SLICE_X48Y41 SITEPROP SLICE_X48Y41 NUM_ARCS 138 SITEPROP SLICE_X48Y41 NUM_BELS 32 SITEPROP SLICE_X48Y41 NUM_INPUTS 32 SITEPROP SLICE_X48Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y41 NUM_PINS 45 SITEPROP SLICE_X48Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y41 PROHIBIT 0 SITEPROP SLICE_X48Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y41 RPM_X 129 SITEPROP SLICE_X48Y41 RPM_Y 82 SITEPROP SLICE_X48Y41 SITE_PIPS SITEPROP SLICE_X48Y41 SITE_TYPE SLICEL SITEPROP SLICE_X48Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y42 CLASS site SITEPROP SLICE_X48Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y42 IS_BONDED 0 SITEPROP SLICE_X48Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y42 IS_PAD 0 SITEPROP SLICE_X48Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y42 IS_RESERVED 0 SITEPROP SLICE_X48Y42 IS_TEST 0 SITEPROP SLICE_X48Y42 IS_USED 0 SITEPROP SLICE_X48Y42 MANUAL_ROUTING SITEPROP SLICE_X48Y42 NAME SLICE_X48Y42 SITEPROP SLICE_X48Y42 NUM_ARCS 138 SITEPROP SLICE_X48Y42 NUM_BELS 32 SITEPROP SLICE_X48Y42 NUM_INPUTS 32 SITEPROP SLICE_X48Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y42 NUM_PINS 45 SITEPROP SLICE_X48Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y42 PROHIBIT 0 SITEPROP SLICE_X48Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y42 RPM_X 129 SITEPROP SLICE_X48Y42 RPM_Y 84 SITEPROP SLICE_X48Y42 SITE_PIPS SITEPROP SLICE_X48Y42 SITE_TYPE SLICEL SITEPROP SLICE_X48Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y43 CLASS site SITEPROP SLICE_X48Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y43 IS_BONDED 0 SITEPROP SLICE_X48Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y43 IS_PAD 0 SITEPROP SLICE_X48Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y43 IS_RESERVED 0 SITEPROP SLICE_X48Y43 IS_TEST 0 SITEPROP SLICE_X48Y43 IS_USED 0 SITEPROP SLICE_X48Y43 MANUAL_ROUTING SITEPROP SLICE_X48Y43 NAME SLICE_X48Y43 SITEPROP SLICE_X48Y43 NUM_ARCS 138 SITEPROP SLICE_X48Y43 NUM_BELS 32 SITEPROP SLICE_X48Y43 NUM_INPUTS 32 SITEPROP SLICE_X48Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y43 NUM_PINS 45 SITEPROP SLICE_X48Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y43 PROHIBIT 0 SITEPROP SLICE_X48Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y43 RPM_X 129 SITEPROP SLICE_X48Y43 RPM_Y 86 SITEPROP SLICE_X48Y43 SITE_PIPS SITEPROP SLICE_X48Y43 SITE_TYPE SLICEL SITEPROP SLICE_X48Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y44 CLASS site SITEPROP SLICE_X48Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y44 IS_BONDED 0 SITEPROP SLICE_X48Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y44 IS_PAD 0 SITEPROP SLICE_X48Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y44 IS_RESERVED 0 SITEPROP SLICE_X48Y44 IS_TEST 0 SITEPROP SLICE_X48Y44 IS_USED 0 SITEPROP SLICE_X48Y44 MANUAL_ROUTING SITEPROP SLICE_X48Y44 NAME SLICE_X48Y44 SITEPROP SLICE_X48Y44 NUM_ARCS 138 SITEPROP SLICE_X48Y44 NUM_BELS 32 SITEPROP SLICE_X48Y44 NUM_INPUTS 32 SITEPROP SLICE_X48Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y44 NUM_PINS 45 SITEPROP SLICE_X48Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y44 PROHIBIT 0 SITEPROP SLICE_X48Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y44 RPM_X 129 SITEPROP SLICE_X48Y44 RPM_Y 88 SITEPROP SLICE_X48Y44 SITE_PIPS SITEPROP SLICE_X48Y44 SITE_TYPE SLICEL SITEPROP SLICE_X48Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y45 CLASS site SITEPROP SLICE_X48Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y45 IS_BONDED 0 SITEPROP SLICE_X48Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y45 IS_PAD 0 SITEPROP SLICE_X48Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y45 IS_RESERVED 0 SITEPROP SLICE_X48Y45 IS_TEST 0 SITEPROP SLICE_X48Y45 IS_USED 0 SITEPROP SLICE_X48Y45 MANUAL_ROUTING SITEPROP SLICE_X48Y45 NAME SLICE_X48Y45 SITEPROP SLICE_X48Y45 NUM_ARCS 138 SITEPROP SLICE_X48Y45 NUM_BELS 32 SITEPROP SLICE_X48Y45 NUM_INPUTS 32 SITEPROP SLICE_X48Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y45 NUM_PINS 45 SITEPROP SLICE_X48Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y45 PROHIBIT 0 SITEPROP SLICE_X48Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y45 RPM_X 129 SITEPROP SLICE_X48Y45 RPM_Y 90 SITEPROP SLICE_X48Y45 SITE_PIPS SITEPROP SLICE_X48Y45 SITE_TYPE SLICEL SITEPROP SLICE_X48Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y46 CLASS site SITEPROP SLICE_X48Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y46 IS_BONDED 0 SITEPROP SLICE_X48Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y46 IS_PAD 0 SITEPROP SLICE_X48Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y46 IS_RESERVED 0 SITEPROP SLICE_X48Y46 IS_TEST 0 SITEPROP SLICE_X48Y46 IS_USED 0 SITEPROP SLICE_X48Y46 MANUAL_ROUTING SITEPROP SLICE_X48Y46 NAME SLICE_X48Y46 SITEPROP SLICE_X48Y46 NUM_ARCS 138 SITEPROP SLICE_X48Y46 NUM_BELS 32 SITEPROP SLICE_X48Y46 NUM_INPUTS 32 SITEPROP SLICE_X48Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y46 NUM_PINS 45 SITEPROP SLICE_X48Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y46 PROHIBIT 0 SITEPROP SLICE_X48Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y46 RPM_X 129 SITEPROP SLICE_X48Y46 RPM_Y 92 SITEPROP SLICE_X48Y46 SITE_PIPS SITEPROP SLICE_X48Y46 SITE_TYPE SLICEL SITEPROP SLICE_X48Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y47 CLASS site SITEPROP SLICE_X48Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y47 IS_BONDED 0 SITEPROP SLICE_X48Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y47 IS_PAD 0 SITEPROP SLICE_X48Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y47 IS_RESERVED 0 SITEPROP SLICE_X48Y47 IS_TEST 0 SITEPROP SLICE_X48Y47 IS_USED 0 SITEPROP SLICE_X48Y47 MANUAL_ROUTING SITEPROP SLICE_X48Y47 NAME SLICE_X48Y47 SITEPROP SLICE_X48Y47 NUM_ARCS 138 SITEPROP SLICE_X48Y47 NUM_BELS 32 SITEPROP SLICE_X48Y47 NUM_INPUTS 32 SITEPROP SLICE_X48Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y47 NUM_PINS 45 SITEPROP SLICE_X48Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y47 PROHIBIT 0 SITEPROP SLICE_X48Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y47 RPM_X 129 SITEPROP SLICE_X48Y47 RPM_Y 94 SITEPROP SLICE_X48Y47 SITE_PIPS SITEPROP SLICE_X48Y47 SITE_TYPE SLICEL SITEPROP SLICE_X48Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y48 CLASS site SITEPROP SLICE_X48Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y48 IS_BONDED 0 SITEPROP SLICE_X48Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y48 IS_PAD 0 SITEPROP SLICE_X48Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y48 IS_RESERVED 0 SITEPROP SLICE_X48Y48 IS_TEST 0 SITEPROP SLICE_X48Y48 IS_USED 0 SITEPROP SLICE_X48Y48 MANUAL_ROUTING SITEPROP SLICE_X48Y48 NAME SLICE_X48Y48 SITEPROP SLICE_X48Y48 NUM_ARCS 138 SITEPROP SLICE_X48Y48 NUM_BELS 32 SITEPROP SLICE_X48Y48 NUM_INPUTS 32 SITEPROP SLICE_X48Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y48 NUM_PINS 45 SITEPROP SLICE_X48Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y48 PROHIBIT 0 SITEPROP SLICE_X48Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y48 RPM_X 129 SITEPROP SLICE_X48Y48 RPM_Y 96 SITEPROP SLICE_X48Y48 SITE_PIPS SITEPROP SLICE_X48Y48 SITE_TYPE SLICEL SITEPROP SLICE_X48Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y49 CLASS site SITEPROP SLICE_X48Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X48Y49 IS_BONDED 0 SITEPROP SLICE_X48Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y49 IS_PAD 0 SITEPROP SLICE_X48Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y49 IS_RESERVED 0 SITEPROP SLICE_X48Y49 IS_TEST 0 SITEPROP SLICE_X48Y49 IS_USED 0 SITEPROP SLICE_X48Y49 MANUAL_ROUTING SITEPROP SLICE_X48Y49 NAME SLICE_X48Y49 SITEPROP SLICE_X48Y49 NUM_ARCS 138 SITEPROP SLICE_X48Y49 NUM_BELS 32 SITEPROP SLICE_X48Y49 NUM_INPUTS 32 SITEPROP SLICE_X48Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y49 NUM_PINS 45 SITEPROP SLICE_X48Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y49 PROHIBIT 0 SITEPROP SLICE_X48Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y49 RPM_X 129 SITEPROP SLICE_X48Y49 RPM_Y 98 SITEPROP SLICE_X48Y49 SITE_PIPS SITEPROP SLICE_X48Y49 SITE_TYPE SLICEL SITEPROP SLICE_X48Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y50 CLASS site SITEPROP SLICE_X48Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y50 IS_BONDED 0 SITEPROP SLICE_X48Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y50 IS_PAD 0 SITEPROP SLICE_X48Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y50 IS_RESERVED 0 SITEPROP SLICE_X48Y50 IS_TEST 0 SITEPROP SLICE_X48Y50 IS_USED 0 SITEPROP SLICE_X48Y50 MANUAL_ROUTING SITEPROP SLICE_X48Y50 NAME SLICE_X48Y50 SITEPROP SLICE_X48Y50 NUM_ARCS 138 SITEPROP SLICE_X48Y50 NUM_BELS 32 SITEPROP SLICE_X48Y50 NUM_INPUTS 32 SITEPROP SLICE_X48Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y50 NUM_PINS 45 SITEPROP SLICE_X48Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y50 PROHIBIT 0 SITEPROP SLICE_X48Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y50 RPM_X 129 SITEPROP SLICE_X48Y50 RPM_Y 100 SITEPROP SLICE_X48Y50 SITE_PIPS SITEPROP SLICE_X48Y50 SITE_TYPE SLICEL SITEPROP SLICE_X48Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y51 CLASS site SITEPROP SLICE_X48Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y51 IS_BONDED 0 SITEPROP SLICE_X48Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y51 IS_PAD 0 SITEPROP SLICE_X48Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y51 IS_RESERVED 0 SITEPROP SLICE_X48Y51 IS_TEST 0 SITEPROP SLICE_X48Y51 IS_USED 0 SITEPROP SLICE_X48Y51 MANUAL_ROUTING SITEPROP SLICE_X48Y51 NAME SLICE_X48Y51 SITEPROP SLICE_X48Y51 NUM_ARCS 138 SITEPROP SLICE_X48Y51 NUM_BELS 32 SITEPROP SLICE_X48Y51 NUM_INPUTS 32 SITEPROP SLICE_X48Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y51 NUM_PINS 45 SITEPROP SLICE_X48Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y51 PROHIBIT 0 SITEPROP SLICE_X48Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y51 RPM_X 129 SITEPROP SLICE_X48Y51 RPM_Y 102 SITEPROP SLICE_X48Y51 SITE_PIPS SITEPROP SLICE_X48Y51 SITE_TYPE SLICEL SITEPROP SLICE_X48Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y52 CLASS site SITEPROP SLICE_X48Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y52 IS_BONDED 0 SITEPROP SLICE_X48Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y52 IS_PAD 0 SITEPROP SLICE_X48Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y52 IS_RESERVED 0 SITEPROP SLICE_X48Y52 IS_TEST 0 SITEPROP SLICE_X48Y52 IS_USED 0 SITEPROP SLICE_X48Y52 MANUAL_ROUTING SITEPROP SLICE_X48Y52 NAME SLICE_X48Y52 SITEPROP SLICE_X48Y52 NUM_ARCS 138 SITEPROP SLICE_X48Y52 NUM_BELS 32 SITEPROP SLICE_X48Y52 NUM_INPUTS 32 SITEPROP SLICE_X48Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y52 NUM_PINS 45 SITEPROP SLICE_X48Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y52 PROHIBIT 0 SITEPROP SLICE_X48Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y52 RPM_X 129 SITEPROP SLICE_X48Y52 RPM_Y 104 SITEPROP SLICE_X48Y52 SITE_PIPS SITEPROP SLICE_X48Y52 SITE_TYPE SLICEL SITEPROP SLICE_X48Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y53 CLASS site SITEPROP SLICE_X48Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y53 IS_BONDED 0 SITEPROP SLICE_X48Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y53 IS_PAD 0 SITEPROP SLICE_X48Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y53 IS_RESERVED 0 SITEPROP SLICE_X48Y53 IS_TEST 0 SITEPROP SLICE_X48Y53 IS_USED 0 SITEPROP SLICE_X48Y53 MANUAL_ROUTING SITEPROP SLICE_X48Y53 NAME SLICE_X48Y53 SITEPROP SLICE_X48Y53 NUM_ARCS 138 SITEPROP SLICE_X48Y53 NUM_BELS 32 SITEPROP SLICE_X48Y53 NUM_INPUTS 32 SITEPROP SLICE_X48Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y53 NUM_PINS 45 SITEPROP SLICE_X48Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y53 PROHIBIT 0 SITEPROP SLICE_X48Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y53 RPM_X 129 SITEPROP SLICE_X48Y53 RPM_Y 106 SITEPROP SLICE_X48Y53 SITE_PIPS SITEPROP SLICE_X48Y53 SITE_TYPE SLICEL SITEPROP SLICE_X48Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y54 CLASS site SITEPROP SLICE_X48Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y54 IS_BONDED 0 SITEPROP SLICE_X48Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y54 IS_PAD 0 SITEPROP SLICE_X48Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y54 IS_RESERVED 0 SITEPROP SLICE_X48Y54 IS_TEST 0 SITEPROP SLICE_X48Y54 IS_USED 0 SITEPROP SLICE_X48Y54 MANUAL_ROUTING SITEPROP SLICE_X48Y54 NAME SLICE_X48Y54 SITEPROP SLICE_X48Y54 NUM_ARCS 138 SITEPROP SLICE_X48Y54 NUM_BELS 32 SITEPROP SLICE_X48Y54 NUM_INPUTS 32 SITEPROP SLICE_X48Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y54 NUM_PINS 45 SITEPROP SLICE_X48Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y54 PROHIBIT 0 SITEPROP SLICE_X48Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y54 RPM_X 129 SITEPROP SLICE_X48Y54 RPM_Y 108 SITEPROP SLICE_X48Y54 SITE_PIPS SITEPROP SLICE_X48Y54 SITE_TYPE SLICEL SITEPROP SLICE_X48Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y55 CLASS site SITEPROP SLICE_X48Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y55 IS_BONDED 0 SITEPROP SLICE_X48Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y55 IS_PAD 0 SITEPROP SLICE_X48Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y55 IS_RESERVED 0 SITEPROP SLICE_X48Y55 IS_TEST 0 SITEPROP SLICE_X48Y55 IS_USED 0 SITEPROP SLICE_X48Y55 MANUAL_ROUTING SITEPROP SLICE_X48Y55 NAME SLICE_X48Y55 SITEPROP SLICE_X48Y55 NUM_ARCS 138 SITEPROP SLICE_X48Y55 NUM_BELS 32 SITEPROP SLICE_X48Y55 NUM_INPUTS 32 SITEPROP SLICE_X48Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y55 NUM_PINS 45 SITEPROP SLICE_X48Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y55 PROHIBIT 0 SITEPROP SLICE_X48Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y55 RPM_X 129 SITEPROP SLICE_X48Y55 RPM_Y 110 SITEPROP SLICE_X48Y55 SITE_PIPS SITEPROP SLICE_X48Y55 SITE_TYPE SLICEL SITEPROP SLICE_X48Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y56 CLASS site SITEPROP SLICE_X48Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y56 IS_BONDED 0 SITEPROP SLICE_X48Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y56 IS_PAD 0 SITEPROP SLICE_X48Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y56 IS_RESERVED 0 SITEPROP SLICE_X48Y56 IS_TEST 0 SITEPROP SLICE_X48Y56 IS_USED 0 SITEPROP SLICE_X48Y56 MANUAL_ROUTING SITEPROP SLICE_X48Y56 NAME SLICE_X48Y56 SITEPROP SLICE_X48Y56 NUM_ARCS 138 SITEPROP SLICE_X48Y56 NUM_BELS 32 SITEPROP SLICE_X48Y56 NUM_INPUTS 32 SITEPROP SLICE_X48Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y56 NUM_PINS 45 SITEPROP SLICE_X48Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y56 PROHIBIT 0 SITEPROP SLICE_X48Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y56 RPM_X 129 SITEPROP SLICE_X48Y56 RPM_Y 112 SITEPROP SLICE_X48Y56 SITE_PIPS SITEPROP SLICE_X48Y56 SITE_TYPE SLICEL SITEPROP SLICE_X48Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y57 CLASS site SITEPROP SLICE_X48Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y57 IS_BONDED 0 SITEPROP SLICE_X48Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y57 IS_PAD 0 SITEPROP SLICE_X48Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y57 IS_RESERVED 0 SITEPROP SLICE_X48Y57 IS_TEST 0 SITEPROP SLICE_X48Y57 IS_USED 0 SITEPROP SLICE_X48Y57 MANUAL_ROUTING SITEPROP SLICE_X48Y57 NAME SLICE_X48Y57 SITEPROP SLICE_X48Y57 NUM_ARCS 138 SITEPROP SLICE_X48Y57 NUM_BELS 32 SITEPROP SLICE_X48Y57 NUM_INPUTS 32 SITEPROP SLICE_X48Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y57 NUM_PINS 45 SITEPROP SLICE_X48Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y57 PROHIBIT 0 SITEPROP SLICE_X48Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y57 RPM_X 129 SITEPROP SLICE_X48Y57 RPM_Y 114 SITEPROP SLICE_X48Y57 SITE_PIPS SITEPROP SLICE_X48Y57 SITE_TYPE SLICEL SITEPROP SLICE_X48Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y58 CLASS site SITEPROP SLICE_X48Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y58 IS_BONDED 0 SITEPROP SLICE_X48Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y58 IS_PAD 0 SITEPROP SLICE_X48Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y58 IS_RESERVED 0 SITEPROP SLICE_X48Y58 IS_TEST 0 SITEPROP SLICE_X48Y58 IS_USED 0 SITEPROP SLICE_X48Y58 MANUAL_ROUTING SITEPROP SLICE_X48Y58 NAME SLICE_X48Y58 SITEPROP SLICE_X48Y58 NUM_ARCS 138 SITEPROP SLICE_X48Y58 NUM_BELS 32 SITEPROP SLICE_X48Y58 NUM_INPUTS 32 SITEPROP SLICE_X48Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y58 NUM_PINS 45 SITEPROP SLICE_X48Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y58 PROHIBIT 0 SITEPROP SLICE_X48Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y58 RPM_X 129 SITEPROP SLICE_X48Y58 RPM_Y 116 SITEPROP SLICE_X48Y58 SITE_PIPS SITEPROP SLICE_X48Y58 SITE_TYPE SLICEL SITEPROP SLICE_X48Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y59 CLASS site SITEPROP SLICE_X48Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y59 IS_BONDED 0 SITEPROP SLICE_X48Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y59 IS_PAD 0 SITEPROP SLICE_X48Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y59 IS_RESERVED 0 SITEPROP SLICE_X48Y59 IS_TEST 0 SITEPROP SLICE_X48Y59 IS_USED 0 SITEPROP SLICE_X48Y59 MANUAL_ROUTING SITEPROP SLICE_X48Y59 NAME SLICE_X48Y59 SITEPROP SLICE_X48Y59 NUM_ARCS 138 SITEPROP SLICE_X48Y59 NUM_BELS 32 SITEPROP SLICE_X48Y59 NUM_INPUTS 32 SITEPROP SLICE_X48Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y59 NUM_PINS 45 SITEPROP SLICE_X48Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y59 PROHIBIT 0 SITEPROP SLICE_X48Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y59 RPM_X 129 SITEPROP SLICE_X48Y59 RPM_Y 118 SITEPROP SLICE_X48Y59 SITE_PIPS SITEPROP SLICE_X48Y59 SITE_TYPE SLICEL SITEPROP SLICE_X48Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y60 CLASS site SITEPROP SLICE_X48Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y60 IS_BONDED 0 SITEPROP SLICE_X48Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y60 IS_PAD 0 SITEPROP SLICE_X48Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y60 IS_RESERVED 0 SITEPROP SLICE_X48Y60 IS_TEST 0 SITEPROP SLICE_X48Y60 IS_USED 0 SITEPROP SLICE_X48Y60 MANUAL_ROUTING SITEPROP SLICE_X48Y60 NAME SLICE_X48Y60 SITEPROP SLICE_X48Y60 NUM_ARCS 138 SITEPROP SLICE_X48Y60 NUM_BELS 32 SITEPROP SLICE_X48Y60 NUM_INPUTS 32 SITEPROP SLICE_X48Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y60 NUM_PINS 45 SITEPROP SLICE_X48Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y60 PROHIBIT 0 SITEPROP SLICE_X48Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y60 RPM_X 129 SITEPROP SLICE_X48Y60 RPM_Y 120 SITEPROP SLICE_X48Y60 SITE_PIPS SITEPROP SLICE_X48Y60 SITE_TYPE SLICEL SITEPROP SLICE_X48Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y61 CLASS site SITEPROP SLICE_X48Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y61 IS_BONDED 0 SITEPROP SLICE_X48Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y61 IS_PAD 0 SITEPROP SLICE_X48Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y61 IS_RESERVED 0 SITEPROP SLICE_X48Y61 IS_TEST 0 SITEPROP SLICE_X48Y61 IS_USED 0 SITEPROP SLICE_X48Y61 MANUAL_ROUTING SITEPROP SLICE_X48Y61 NAME SLICE_X48Y61 SITEPROP SLICE_X48Y61 NUM_ARCS 138 SITEPROP SLICE_X48Y61 NUM_BELS 32 SITEPROP SLICE_X48Y61 NUM_INPUTS 32 SITEPROP SLICE_X48Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y61 NUM_PINS 45 SITEPROP SLICE_X48Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y61 PROHIBIT 0 SITEPROP SLICE_X48Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y61 RPM_X 129 SITEPROP SLICE_X48Y61 RPM_Y 122 SITEPROP SLICE_X48Y61 SITE_PIPS SITEPROP SLICE_X48Y61 SITE_TYPE SLICEL SITEPROP SLICE_X48Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y62 CLASS site SITEPROP SLICE_X48Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y62 IS_BONDED 0 SITEPROP SLICE_X48Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y62 IS_PAD 0 SITEPROP SLICE_X48Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y62 IS_RESERVED 0 SITEPROP SLICE_X48Y62 IS_TEST 0 SITEPROP SLICE_X48Y62 IS_USED 0 SITEPROP SLICE_X48Y62 MANUAL_ROUTING SITEPROP SLICE_X48Y62 NAME SLICE_X48Y62 SITEPROP SLICE_X48Y62 NUM_ARCS 138 SITEPROP SLICE_X48Y62 NUM_BELS 32 SITEPROP SLICE_X48Y62 NUM_INPUTS 32 SITEPROP SLICE_X48Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y62 NUM_PINS 45 SITEPROP SLICE_X48Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y62 PROHIBIT 0 SITEPROP SLICE_X48Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y62 RPM_X 129 SITEPROP SLICE_X48Y62 RPM_Y 124 SITEPROP SLICE_X48Y62 SITE_PIPS SITEPROP SLICE_X48Y62 SITE_TYPE SLICEL SITEPROP SLICE_X48Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y63 CLASS site SITEPROP SLICE_X48Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y63 IS_BONDED 0 SITEPROP SLICE_X48Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y63 IS_PAD 0 SITEPROP SLICE_X48Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y63 IS_RESERVED 0 SITEPROP SLICE_X48Y63 IS_TEST 0 SITEPROP SLICE_X48Y63 IS_USED 0 SITEPROP SLICE_X48Y63 MANUAL_ROUTING SITEPROP SLICE_X48Y63 NAME SLICE_X48Y63 SITEPROP SLICE_X48Y63 NUM_ARCS 138 SITEPROP SLICE_X48Y63 NUM_BELS 32 SITEPROP SLICE_X48Y63 NUM_INPUTS 32 SITEPROP SLICE_X48Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y63 NUM_PINS 45 SITEPROP SLICE_X48Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y63 PROHIBIT 0 SITEPROP SLICE_X48Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y63 RPM_X 129 SITEPROP SLICE_X48Y63 RPM_Y 126 SITEPROP SLICE_X48Y63 SITE_PIPS SITEPROP SLICE_X48Y63 SITE_TYPE SLICEL SITEPROP SLICE_X48Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y64 CLASS site SITEPROP SLICE_X48Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y64 IS_BONDED 0 SITEPROP SLICE_X48Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y64 IS_PAD 0 SITEPROP SLICE_X48Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y64 IS_RESERVED 0 SITEPROP SLICE_X48Y64 IS_TEST 0 SITEPROP SLICE_X48Y64 IS_USED 0 SITEPROP SLICE_X48Y64 MANUAL_ROUTING SITEPROP SLICE_X48Y64 NAME SLICE_X48Y64 SITEPROP SLICE_X48Y64 NUM_ARCS 138 SITEPROP SLICE_X48Y64 NUM_BELS 32 SITEPROP SLICE_X48Y64 NUM_INPUTS 32 SITEPROP SLICE_X48Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y64 NUM_PINS 45 SITEPROP SLICE_X48Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y64 PROHIBIT 0 SITEPROP SLICE_X48Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y64 RPM_X 129 SITEPROP SLICE_X48Y64 RPM_Y 128 SITEPROP SLICE_X48Y64 SITE_PIPS SITEPROP SLICE_X48Y64 SITE_TYPE SLICEL SITEPROP SLICE_X48Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y65 CLASS site SITEPROP SLICE_X48Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y65 IS_BONDED 0 SITEPROP SLICE_X48Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y65 IS_PAD 0 SITEPROP SLICE_X48Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y65 IS_RESERVED 0 SITEPROP SLICE_X48Y65 IS_TEST 0 SITEPROP SLICE_X48Y65 IS_USED 0 SITEPROP SLICE_X48Y65 MANUAL_ROUTING SITEPROP SLICE_X48Y65 NAME SLICE_X48Y65 SITEPROP SLICE_X48Y65 NUM_ARCS 138 SITEPROP SLICE_X48Y65 NUM_BELS 32 SITEPROP SLICE_X48Y65 NUM_INPUTS 32 SITEPROP SLICE_X48Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y65 NUM_PINS 45 SITEPROP SLICE_X48Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y65 PROHIBIT 0 SITEPROP SLICE_X48Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y65 RPM_X 129 SITEPROP SLICE_X48Y65 RPM_Y 130 SITEPROP SLICE_X48Y65 SITE_PIPS SITEPROP SLICE_X48Y65 SITE_TYPE SLICEL SITEPROP SLICE_X48Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y66 CLASS site SITEPROP SLICE_X48Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y66 IS_BONDED 0 SITEPROP SLICE_X48Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y66 IS_PAD 0 SITEPROP SLICE_X48Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y66 IS_RESERVED 0 SITEPROP SLICE_X48Y66 IS_TEST 0 SITEPROP SLICE_X48Y66 IS_USED 0 SITEPROP SLICE_X48Y66 MANUAL_ROUTING SITEPROP SLICE_X48Y66 NAME SLICE_X48Y66 SITEPROP SLICE_X48Y66 NUM_ARCS 138 SITEPROP SLICE_X48Y66 NUM_BELS 32 SITEPROP SLICE_X48Y66 NUM_INPUTS 32 SITEPROP SLICE_X48Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y66 NUM_PINS 45 SITEPROP SLICE_X48Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y66 PROHIBIT 0 SITEPROP SLICE_X48Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y66 RPM_X 129 SITEPROP SLICE_X48Y66 RPM_Y 132 SITEPROP SLICE_X48Y66 SITE_PIPS SITEPROP SLICE_X48Y66 SITE_TYPE SLICEL SITEPROP SLICE_X48Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y67 CLASS site SITEPROP SLICE_X48Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y67 IS_BONDED 0 SITEPROP SLICE_X48Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y67 IS_PAD 0 SITEPROP SLICE_X48Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y67 IS_RESERVED 0 SITEPROP SLICE_X48Y67 IS_TEST 0 SITEPROP SLICE_X48Y67 IS_USED 0 SITEPROP SLICE_X48Y67 MANUAL_ROUTING SITEPROP SLICE_X48Y67 NAME SLICE_X48Y67 SITEPROP SLICE_X48Y67 NUM_ARCS 138 SITEPROP SLICE_X48Y67 NUM_BELS 32 SITEPROP SLICE_X48Y67 NUM_INPUTS 32 SITEPROP SLICE_X48Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y67 NUM_PINS 45 SITEPROP SLICE_X48Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y67 PROHIBIT 0 SITEPROP SLICE_X48Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y67 RPM_X 129 SITEPROP SLICE_X48Y67 RPM_Y 134 SITEPROP SLICE_X48Y67 SITE_PIPS SITEPROP SLICE_X48Y67 SITE_TYPE SLICEL SITEPROP SLICE_X48Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y68 CLASS site SITEPROP SLICE_X48Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y68 IS_BONDED 0 SITEPROP SLICE_X48Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y68 IS_PAD 0 SITEPROP SLICE_X48Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y68 IS_RESERVED 0 SITEPROP SLICE_X48Y68 IS_TEST 0 SITEPROP SLICE_X48Y68 IS_USED 0 SITEPROP SLICE_X48Y68 MANUAL_ROUTING SITEPROP SLICE_X48Y68 NAME SLICE_X48Y68 SITEPROP SLICE_X48Y68 NUM_ARCS 138 SITEPROP SLICE_X48Y68 NUM_BELS 32 SITEPROP SLICE_X48Y68 NUM_INPUTS 32 SITEPROP SLICE_X48Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y68 NUM_PINS 45 SITEPROP SLICE_X48Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y68 PROHIBIT 0 SITEPROP SLICE_X48Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y68 RPM_X 129 SITEPROP SLICE_X48Y68 RPM_Y 136 SITEPROP SLICE_X48Y68 SITE_PIPS SITEPROP SLICE_X48Y68 SITE_TYPE SLICEL SITEPROP SLICE_X48Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y69 CLASS site SITEPROP SLICE_X48Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y69 IS_BONDED 0 SITEPROP SLICE_X48Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y69 IS_PAD 0 SITEPROP SLICE_X48Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y69 IS_RESERVED 0 SITEPROP SLICE_X48Y69 IS_TEST 0 SITEPROP SLICE_X48Y69 IS_USED 0 SITEPROP SLICE_X48Y69 MANUAL_ROUTING SITEPROP SLICE_X48Y69 NAME SLICE_X48Y69 SITEPROP SLICE_X48Y69 NUM_ARCS 138 SITEPROP SLICE_X48Y69 NUM_BELS 32 SITEPROP SLICE_X48Y69 NUM_INPUTS 32 SITEPROP SLICE_X48Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y69 NUM_PINS 45 SITEPROP SLICE_X48Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y69 PROHIBIT 0 SITEPROP SLICE_X48Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y69 RPM_X 129 SITEPROP SLICE_X48Y69 RPM_Y 138 SITEPROP SLICE_X48Y69 SITE_PIPS SITEPROP SLICE_X48Y69 SITE_TYPE SLICEL SITEPROP SLICE_X48Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y70 CLASS site SITEPROP SLICE_X48Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y70 IS_BONDED 0 SITEPROP SLICE_X48Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y70 IS_PAD 0 SITEPROP SLICE_X48Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y70 IS_RESERVED 0 SITEPROP SLICE_X48Y70 IS_TEST 0 SITEPROP SLICE_X48Y70 IS_USED 0 SITEPROP SLICE_X48Y70 MANUAL_ROUTING SITEPROP SLICE_X48Y70 NAME SLICE_X48Y70 SITEPROP SLICE_X48Y70 NUM_ARCS 138 SITEPROP SLICE_X48Y70 NUM_BELS 32 SITEPROP SLICE_X48Y70 NUM_INPUTS 32 SITEPROP SLICE_X48Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y70 NUM_PINS 45 SITEPROP SLICE_X48Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y70 PROHIBIT 0 SITEPROP SLICE_X48Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y70 RPM_X 129 SITEPROP SLICE_X48Y70 RPM_Y 140 SITEPROP SLICE_X48Y70 SITE_PIPS SITEPROP SLICE_X48Y70 SITE_TYPE SLICEL SITEPROP SLICE_X48Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y71 CLASS site SITEPROP SLICE_X48Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y71 IS_BONDED 0 SITEPROP SLICE_X48Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y71 IS_PAD 0 SITEPROP SLICE_X48Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y71 IS_RESERVED 0 SITEPROP SLICE_X48Y71 IS_TEST 0 SITEPROP SLICE_X48Y71 IS_USED 0 SITEPROP SLICE_X48Y71 MANUAL_ROUTING SITEPROP SLICE_X48Y71 NAME SLICE_X48Y71 SITEPROP SLICE_X48Y71 NUM_ARCS 138 SITEPROP SLICE_X48Y71 NUM_BELS 32 SITEPROP SLICE_X48Y71 NUM_INPUTS 32 SITEPROP SLICE_X48Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y71 NUM_PINS 45 SITEPROP SLICE_X48Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y71 PROHIBIT 0 SITEPROP SLICE_X48Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y71 RPM_X 129 SITEPROP SLICE_X48Y71 RPM_Y 142 SITEPROP SLICE_X48Y71 SITE_PIPS SITEPROP SLICE_X48Y71 SITE_TYPE SLICEL SITEPROP SLICE_X48Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y72 CLASS site SITEPROP SLICE_X48Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y72 IS_BONDED 0 SITEPROP SLICE_X48Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y72 IS_PAD 0 SITEPROP SLICE_X48Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y72 IS_RESERVED 0 SITEPROP SLICE_X48Y72 IS_TEST 0 SITEPROP SLICE_X48Y72 IS_USED 0 SITEPROP SLICE_X48Y72 MANUAL_ROUTING SITEPROP SLICE_X48Y72 NAME SLICE_X48Y72 SITEPROP SLICE_X48Y72 NUM_ARCS 138 SITEPROP SLICE_X48Y72 NUM_BELS 32 SITEPROP SLICE_X48Y72 NUM_INPUTS 32 SITEPROP SLICE_X48Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y72 NUM_PINS 45 SITEPROP SLICE_X48Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y72 PROHIBIT 0 SITEPROP SLICE_X48Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y72 RPM_X 129 SITEPROP SLICE_X48Y72 RPM_Y 144 SITEPROP SLICE_X48Y72 SITE_PIPS SITEPROP SLICE_X48Y72 SITE_TYPE SLICEL SITEPROP SLICE_X48Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y73 CLASS site SITEPROP SLICE_X48Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y73 IS_BONDED 0 SITEPROP SLICE_X48Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y73 IS_PAD 0 SITEPROP SLICE_X48Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y73 IS_RESERVED 0 SITEPROP SLICE_X48Y73 IS_TEST 0 SITEPROP SLICE_X48Y73 IS_USED 0 SITEPROP SLICE_X48Y73 MANUAL_ROUTING SITEPROP SLICE_X48Y73 NAME SLICE_X48Y73 SITEPROP SLICE_X48Y73 NUM_ARCS 138 SITEPROP SLICE_X48Y73 NUM_BELS 32 SITEPROP SLICE_X48Y73 NUM_INPUTS 32 SITEPROP SLICE_X48Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y73 NUM_PINS 45 SITEPROP SLICE_X48Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y73 PROHIBIT 0 SITEPROP SLICE_X48Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y73 RPM_X 129 SITEPROP SLICE_X48Y73 RPM_Y 146 SITEPROP SLICE_X48Y73 SITE_PIPS SITEPROP SLICE_X48Y73 SITE_TYPE SLICEL SITEPROP SLICE_X48Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y74 CLASS site SITEPROP SLICE_X48Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y74 IS_BONDED 0 SITEPROP SLICE_X48Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y74 IS_PAD 0 SITEPROP SLICE_X48Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y74 IS_RESERVED 0 SITEPROP SLICE_X48Y74 IS_TEST 0 SITEPROP SLICE_X48Y74 IS_USED 0 SITEPROP SLICE_X48Y74 MANUAL_ROUTING SITEPROP SLICE_X48Y74 NAME SLICE_X48Y74 SITEPROP SLICE_X48Y74 NUM_ARCS 138 SITEPROP SLICE_X48Y74 NUM_BELS 32 SITEPROP SLICE_X48Y74 NUM_INPUTS 32 SITEPROP SLICE_X48Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y74 NUM_PINS 45 SITEPROP SLICE_X48Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y74 PROHIBIT 0 SITEPROP SLICE_X48Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y74 RPM_X 129 SITEPROP SLICE_X48Y74 RPM_Y 148 SITEPROP SLICE_X48Y74 SITE_PIPS SITEPROP SLICE_X48Y74 SITE_TYPE SLICEL SITEPROP SLICE_X48Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y75 CLASS site SITEPROP SLICE_X48Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y75 IS_BONDED 0 SITEPROP SLICE_X48Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y75 IS_PAD 0 SITEPROP SLICE_X48Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y75 IS_RESERVED 0 SITEPROP SLICE_X48Y75 IS_TEST 0 SITEPROP SLICE_X48Y75 IS_USED 0 SITEPROP SLICE_X48Y75 MANUAL_ROUTING SITEPROP SLICE_X48Y75 NAME SLICE_X48Y75 SITEPROP SLICE_X48Y75 NUM_ARCS 138 SITEPROP SLICE_X48Y75 NUM_BELS 32 SITEPROP SLICE_X48Y75 NUM_INPUTS 32 SITEPROP SLICE_X48Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y75 NUM_PINS 45 SITEPROP SLICE_X48Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y75 PROHIBIT 0 SITEPROP SLICE_X48Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y75 RPM_X 129 SITEPROP SLICE_X48Y75 RPM_Y 150 SITEPROP SLICE_X48Y75 SITE_PIPS SITEPROP SLICE_X48Y75 SITE_TYPE SLICEL SITEPROP SLICE_X48Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y76 CLASS site SITEPROP SLICE_X48Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y76 IS_BONDED 0 SITEPROP SLICE_X48Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y76 IS_PAD 0 SITEPROP SLICE_X48Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y76 IS_RESERVED 0 SITEPROP SLICE_X48Y76 IS_TEST 0 SITEPROP SLICE_X48Y76 IS_USED 0 SITEPROP SLICE_X48Y76 MANUAL_ROUTING SITEPROP SLICE_X48Y76 NAME SLICE_X48Y76 SITEPROP SLICE_X48Y76 NUM_ARCS 138 SITEPROP SLICE_X48Y76 NUM_BELS 32 SITEPROP SLICE_X48Y76 NUM_INPUTS 32 SITEPROP SLICE_X48Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y76 NUM_PINS 45 SITEPROP SLICE_X48Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y76 PROHIBIT 0 SITEPROP SLICE_X48Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y76 RPM_X 129 SITEPROP SLICE_X48Y76 RPM_Y 152 SITEPROP SLICE_X48Y76 SITE_PIPS SITEPROP SLICE_X48Y76 SITE_TYPE SLICEL SITEPROP SLICE_X48Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y77 CLASS site SITEPROP SLICE_X48Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y77 IS_BONDED 0 SITEPROP SLICE_X48Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y77 IS_PAD 0 SITEPROP SLICE_X48Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y77 IS_RESERVED 0 SITEPROP SLICE_X48Y77 IS_TEST 0 SITEPROP SLICE_X48Y77 IS_USED 0 SITEPROP SLICE_X48Y77 MANUAL_ROUTING SITEPROP SLICE_X48Y77 NAME SLICE_X48Y77 SITEPROP SLICE_X48Y77 NUM_ARCS 138 SITEPROP SLICE_X48Y77 NUM_BELS 32 SITEPROP SLICE_X48Y77 NUM_INPUTS 32 SITEPROP SLICE_X48Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y77 NUM_PINS 45 SITEPROP SLICE_X48Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y77 PROHIBIT 0 SITEPROP SLICE_X48Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y77 RPM_X 129 SITEPROP SLICE_X48Y77 RPM_Y 154 SITEPROP SLICE_X48Y77 SITE_PIPS SITEPROP SLICE_X48Y77 SITE_TYPE SLICEL SITEPROP SLICE_X48Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y78 CLASS site SITEPROP SLICE_X48Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y78 IS_BONDED 0 SITEPROP SLICE_X48Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y78 IS_PAD 0 SITEPROP SLICE_X48Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y78 IS_RESERVED 0 SITEPROP SLICE_X48Y78 IS_TEST 0 SITEPROP SLICE_X48Y78 IS_USED 0 SITEPROP SLICE_X48Y78 MANUAL_ROUTING SITEPROP SLICE_X48Y78 NAME SLICE_X48Y78 SITEPROP SLICE_X48Y78 NUM_ARCS 138 SITEPROP SLICE_X48Y78 NUM_BELS 32 SITEPROP SLICE_X48Y78 NUM_INPUTS 32 SITEPROP SLICE_X48Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y78 NUM_PINS 45 SITEPROP SLICE_X48Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y78 PROHIBIT 0 SITEPROP SLICE_X48Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y78 RPM_X 129 SITEPROP SLICE_X48Y78 RPM_Y 156 SITEPROP SLICE_X48Y78 SITE_PIPS SITEPROP SLICE_X48Y78 SITE_TYPE SLICEL SITEPROP SLICE_X48Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y79 CLASS site SITEPROP SLICE_X48Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y79 IS_BONDED 0 SITEPROP SLICE_X48Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y79 IS_PAD 0 SITEPROP SLICE_X48Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y79 IS_RESERVED 0 SITEPROP SLICE_X48Y79 IS_TEST 0 SITEPROP SLICE_X48Y79 IS_USED 0 SITEPROP SLICE_X48Y79 MANUAL_ROUTING SITEPROP SLICE_X48Y79 NAME SLICE_X48Y79 SITEPROP SLICE_X48Y79 NUM_ARCS 138 SITEPROP SLICE_X48Y79 NUM_BELS 32 SITEPROP SLICE_X48Y79 NUM_INPUTS 32 SITEPROP SLICE_X48Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y79 NUM_PINS 45 SITEPROP SLICE_X48Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y79 PROHIBIT 0 SITEPROP SLICE_X48Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y79 RPM_X 129 SITEPROP SLICE_X48Y79 RPM_Y 158 SITEPROP SLICE_X48Y79 SITE_PIPS SITEPROP SLICE_X48Y79 SITE_TYPE SLICEL SITEPROP SLICE_X48Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y80 CLASS site SITEPROP SLICE_X48Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y80 IS_BONDED 0 SITEPROP SLICE_X48Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y80 IS_PAD 0 SITEPROP SLICE_X48Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y80 IS_RESERVED 0 SITEPROP SLICE_X48Y80 IS_TEST 0 SITEPROP SLICE_X48Y80 IS_USED 0 SITEPROP SLICE_X48Y80 MANUAL_ROUTING SITEPROP SLICE_X48Y80 NAME SLICE_X48Y80 SITEPROP SLICE_X48Y80 NUM_ARCS 138 SITEPROP SLICE_X48Y80 NUM_BELS 32 SITEPROP SLICE_X48Y80 NUM_INPUTS 32 SITEPROP SLICE_X48Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y80 NUM_PINS 45 SITEPROP SLICE_X48Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y80 PROHIBIT 0 SITEPROP SLICE_X48Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y80 RPM_X 129 SITEPROP SLICE_X48Y80 RPM_Y 160 SITEPROP SLICE_X48Y80 SITE_PIPS SITEPROP SLICE_X48Y80 SITE_TYPE SLICEL SITEPROP SLICE_X48Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y81 CLASS site SITEPROP SLICE_X48Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y81 IS_BONDED 0 SITEPROP SLICE_X48Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y81 IS_PAD 0 SITEPROP SLICE_X48Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y81 IS_RESERVED 0 SITEPROP SLICE_X48Y81 IS_TEST 0 SITEPROP SLICE_X48Y81 IS_USED 0 SITEPROP SLICE_X48Y81 MANUAL_ROUTING SITEPROP SLICE_X48Y81 NAME SLICE_X48Y81 SITEPROP SLICE_X48Y81 NUM_ARCS 138 SITEPROP SLICE_X48Y81 NUM_BELS 32 SITEPROP SLICE_X48Y81 NUM_INPUTS 32 SITEPROP SLICE_X48Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y81 NUM_PINS 45 SITEPROP SLICE_X48Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y81 PROHIBIT 0 SITEPROP SLICE_X48Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y81 RPM_X 129 SITEPROP SLICE_X48Y81 RPM_Y 162 SITEPROP SLICE_X48Y81 SITE_PIPS SITEPROP SLICE_X48Y81 SITE_TYPE SLICEL SITEPROP SLICE_X48Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y82 CLASS site SITEPROP SLICE_X48Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y82 IS_BONDED 0 SITEPROP SLICE_X48Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y82 IS_PAD 0 SITEPROP SLICE_X48Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y82 IS_RESERVED 0 SITEPROP SLICE_X48Y82 IS_TEST 0 SITEPROP SLICE_X48Y82 IS_USED 0 SITEPROP SLICE_X48Y82 MANUAL_ROUTING SITEPROP SLICE_X48Y82 NAME SLICE_X48Y82 SITEPROP SLICE_X48Y82 NUM_ARCS 138 SITEPROP SLICE_X48Y82 NUM_BELS 32 SITEPROP SLICE_X48Y82 NUM_INPUTS 32 SITEPROP SLICE_X48Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y82 NUM_PINS 45 SITEPROP SLICE_X48Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y82 PROHIBIT 0 SITEPROP SLICE_X48Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y82 RPM_X 129 SITEPROP SLICE_X48Y82 RPM_Y 164 SITEPROP SLICE_X48Y82 SITE_PIPS SITEPROP SLICE_X48Y82 SITE_TYPE SLICEL SITEPROP SLICE_X48Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y83 CLASS site SITEPROP SLICE_X48Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y83 IS_BONDED 0 SITEPROP SLICE_X48Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y83 IS_PAD 0 SITEPROP SLICE_X48Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y83 IS_RESERVED 0 SITEPROP SLICE_X48Y83 IS_TEST 0 SITEPROP SLICE_X48Y83 IS_USED 0 SITEPROP SLICE_X48Y83 MANUAL_ROUTING SITEPROP SLICE_X48Y83 NAME SLICE_X48Y83 SITEPROP SLICE_X48Y83 NUM_ARCS 138 SITEPROP SLICE_X48Y83 NUM_BELS 32 SITEPROP SLICE_X48Y83 NUM_INPUTS 32 SITEPROP SLICE_X48Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y83 NUM_PINS 45 SITEPROP SLICE_X48Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y83 PROHIBIT 0 SITEPROP SLICE_X48Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y83 RPM_X 129 SITEPROP SLICE_X48Y83 RPM_Y 166 SITEPROP SLICE_X48Y83 SITE_PIPS SITEPROP SLICE_X48Y83 SITE_TYPE SLICEL SITEPROP SLICE_X48Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y84 CLASS site SITEPROP SLICE_X48Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y84 IS_BONDED 0 SITEPROP SLICE_X48Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y84 IS_PAD 0 SITEPROP SLICE_X48Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y84 IS_RESERVED 0 SITEPROP SLICE_X48Y84 IS_TEST 0 SITEPROP SLICE_X48Y84 IS_USED 0 SITEPROP SLICE_X48Y84 MANUAL_ROUTING SITEPROP SLICE_X48Y84 NAME SLICE_X48Y84 SITEPROP SLICE_X48Y84 NUM_ARCS 138 SITEPROP SLICE_X48Y84 NUM_BELS 32 SITEPROP SLICE_X48Y84 NUM_INPUTS 32 SITEPROP SLICE_X48Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y84 NUM_PINS 45 SITEPROP SLICE_X48Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y84 PROHIBIT 0 SITEPROP SLICE_X48Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y84 RPM_X 129 SITEPROP SLICE_X48Y84 RPM_Y 168 SITEPROP SLICE_X48Y84 SITE_PIPS SITEPROP SLICE_X48Y84 SITE_TYPE SLICEL SITEPROP SLICE_X48Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y85 CLASS site SITEPROP SLICE_X48Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y85 IS_BONDED 0 SITEPROP SLICE_X48Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y85 IS_PAD 0 SITEPROP SLICE_X48Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y85 IS_RESERVED 0 SITEPROP SLICE_X48Y85 IS_TEST 0 SITEPROP SLICE_X48Y85 IS_USED 0 SITEPROP SLICE_X48Y85 MANUAL_ROUTING SITEPROP SLICE_X48Y85 NAME SLICE_X48Y85 SITEPROP SLICE_X48Y85 NUM_ARCS 138 SITEPROP SLICE_X48Y85 NUM_BELS 32 SITEPROP SLICE_X48Y85 NUM_INPUTS 32 SITEPROP SLICE_X48Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y85 NUM_PINS 45 SITEPROP SLICE_X48Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y85 PROHIBIT 0 SITEPROP SLICE_X48Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y85 RPM_X 129 SITEPROP SLICE_X48Y85 RPM_Y 170 SITEPROP SLICE_X48Y85 SITE_PIPS SITEPROP SLICE_X48Y85 SITE_TYPE SLICEL SITEPROP SLICE_X48Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y86 CLASS site SITEPROP SLICE_X48Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y86 IS_BONDED 0 SITEPROP SLICE_X48Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y86 IS_PAD 0 SITEPROP SLICE_X48Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y86 IS_RESERVED 0 SITEPROP SLICE_X48Y86 IS_TEST 0 SITEPROP SLICE_X48Y86 IS_USED 0 SITEPROP SLICE_X48Y86 MANUAL_ROUTING SITEPROP SLICE_X48Y86 NAME SLICE_X48Y86 SITEPROP SLICE_X48Y86 NUM_ARCS 138 SITEPROP SLICE_X48Y86 NUM_BELS 32 SITEPROP SLICE_X48Y86 NUM_INPUTS 32 SITEPROP SLICE_X48Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y86 NUM_PINS 45 SITEPROP SLICE_X48Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y86 PROHIBIT 0 SITEPROP SLICE_X48Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y86 RPM_X 129 SITEPROP SLICE_X48Y86 RPM_Y 172 SITEPROP SLICE_X48Y86 SITE_PIPS SITEPROP SLICE_X48Y86 SITE_TYPE SLICEL SITEPROP SLICE_X48Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y87 CLASS site SITEPROP SLICE_X48Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y87 IS_BONDED 0 SITEPROP SLICE_X48Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y87 IS_PAD 0 SITEPROP SLICE_X48Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y87 IS_RESERVED 0 SITEPROP SLICE_X48Y87 IS_TEST 0 SITEPROP SLICE_X48Y87 IS_USED 0 SITEPROP SLICE_X48Y87 MANUAL_ROUTING SITEPROP SLICE_X48Y87 NAME SLICE_X48Y87 SITEPROP SLICE_X48Y87 NUM_ARCS 138 SITEPROP SLICE_X48Y87 NUM_BELS 32 SITEPROP SLICE_X48Y87 NUM_INPUTS 32 SITEPROP SLICE_X48Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y87 NUM_PINS 45 SITEPROP SLICE_X48Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y87 PROHIBIT 0 SITEPROP SLICE_X48Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y87 RPM_X 129 SITEPROP SLICE_X48Y87 RPM_Y 174 SITEPROP SLICE_X48Y87 SITE_PIPS SITEPROP SLICE_X48Y87 SITE_TYPE SLICEL SITEPROP SLICE_X48Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y88 CLASS site SITEPROP SLICE_X48Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y88 IS_BONDED 0 SITEPROP SLICE_X48Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y88 IS_PAD 0 SITEPROP SLICE_X48Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y88 IS_RESERVED 0 SITEPROP SLICE_X48Y88 IS_TEST 0 SITEPROP SLICE_X48Y88 IS_USED 0 SITEPROP SLICE_X48Y88 MANUAL_ROUTING SITEPROP SLICE_X48Y88 NAME SLICE_X48Y88 SITEPROP SLICE_X48Y88 NUM_ARCS 138 SITEPROP SLICE_X48Y88 NUM_BELS 32 SITEPROP SLICE_X48Y88 NUM_INPUTS 32 SITEPROP SLICE_X48Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y88 NUM_PINS 45 SITEPROP SLICE_X48Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y88 PROHIBIT 0 SITEPROP SLICE_X48Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y88 RPM_X 129 SITEPROP SLICE_X48Y88 RPM_Y 176 SITEPROP SLICE_X48Y88 SITE_PIPS SITEPROP SLICE_X48Y88 SITE_TYPE SLICEL SITEPROP SLICE_X48Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y89 CLASS site SITEPROP SLICE_X48Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y89 IS_BONDED 0 SITEPROP SLICE_X48Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y89 IS_PAD 0 SITEPROP SLICE_X48Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y89 IS_RESERVED 0 SITEPROP SLICE_X48Y89 IS_TEST 0 SITEPROP SLICE_X48Y89 IS_USED 0 SITEPROP SLICE_X48Y89 MANUAL_ROUTING SITEPROP SLICE_X48Y89 NAME SLICE_X48Y89 SITEPROP SLICE_X48Y89 NUM_ARCS 138 SITEPROP SLICE_X48Y89 NUM_BELS 32 SITEPROP SLICE_X48Y89 NUM_INPUTS 32 SITEPROP SLICE_X48Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y89 NUM_PINS 45 SITEPROP SLICE_X48Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y89 PROHIBIT 0 SITEPROP SLICE_X48Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y89 RPM_X 129 SITEPROP SLICE_X48Y89 RPM_Y 178 SITEPROP SLICE_X48Y89 SITE_PIPS SITEPROP SLICE_X48Y89 SITE_TYPE SLICEL SITEPROP SLICE_X48Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y90 CLASS site SITEPROP SLICE_X48Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y90 IS_BONDED 0 SITEPROP SLICE_X48Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y90 IS_PAD 0 SITEPROP SLICE_X48Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y90 IS_RESERVED 0 SITEPROP SLICE_X48Y90 IS_TEST 0 SITEPROP SLICE_X48Y90 IS_USED 0 SITEPROP SLICE_X48Y90 MANUAL_ROUTING SITEPROP SLICE_X48Y90 NAME SLICE_X48Y90 SITEPROP SLICE_X48Y90 NUM_ARCS 138 SITEPROP SLICE_X48Y90 NUM_BELS 32 SITEPROP SLICE_X48Y90 NUM_INPUTS 32 SITEPROP SLICE_X48Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y90 NUM_PINS 45 SITEPROP SLICE_X48Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y90 PROHIBIT 0 SITEPROP SLICE_X48Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y90 RPM_X 129 SITEPROP SLICE_X48Y90 RPM_Y 180 SITEPROP SLICE_X48Y90 SITE_PIPS SITEPROP SLICE_X48Y90 SITE_TYPE SLICEL SITEPROP SLICE_X48Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y91 CLASS site SITEPROP SLICE_X48Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y91 IS_BONDED 0 SITEPROP SLICE_X48Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y91 IS_PAD 0 SITEPROP SLICE_X48Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y91 IS_RESERVED 0 SITEPROP SLICE_X48Y91 IS_TEST 0 SITEPROP SLICE_X48Y91 IS_USED 0 SITEPROP SLICE_X48Y91 MANUAL_ROUTING SITEPROP SLICE_X48Y91 NAME SLICE_X48Y91 SITEPROP SLICE_X48Y91 NUM_ARCS 138 SITEPROP SLICE_X48Y91 NUM_BELS 32 SITEPROP SLICE_X48Y91 NUM_INPUTS 32 SITEPROP SLICE_X48Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y91 NUM_PINS 45 SITEPROP SLICE_X48Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y91 PROHIBIT 0 SITEPROP SLICE_X48Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y91 RPM_X 129 SITEPROP SLICE_X48Y91 RPM_Y 182 SITEPROP SLICE_X48Y91 SITE_PIPS SITEPROP SLICE_X48Y91 SITE_TYPE SLICEL SITEPROP SLICE_X48Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y92 CLASS site SITEPROP SLICE_X48Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y92 IS_BONDED 0 SITEPROP SLICE_X48Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y92 IS_PAD 0 SITEPROP SLICE_X48Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y92 IS_RESERVED 0 SITEPROP SLICE_X48Y92 IS_TEST 0 SITEPROP SLICE_X48Y92 IS_USED 0 SITEPROP SLICE_X48Y92 MANUAL_ROUTING SITEPROP SLICE_X48Y92 NAME SLICE_X48Y92 SITEPROP SLICE_X48Y92 NUM_ARCS 138 SITEPROP SLICE_X48Y92 NUM_BELS 32 SITEPROP SLICE_X48Y92 NUM_INPUTS 32 SITEPROP SLICE_X48Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y92 NUM_PINS 45 SITEPROP SLICE_X48Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y92 PROHIBIT 0 SITEPROP SLICE_X48Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y92 RPM_X 129 SITEPROP SLICE_X48Y92 RPM_Y 184 SITEPROP SLICE_X48Y92 SITE_PIPS SITEPROP SLICE_X48Y92 SITE_TYPE SLICEL SITEPROP SLICE_X48Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y93 CLASS site SITEPROP SLICE_X48Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y93 IS_BONDED 0 SITEPROP SLICE_X48Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y93 IS_PAD 0 SITEPROP SLICE_X48Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y93 IS_RESERVED 0 SITEPROP SLICE_X48Y93 IS_TEST 0 SITEPROP SLICE_X48Y93 IS_USED 0 SITEPROP SLICE_X48Y93 MANUAL_ROUTING SITEPROP SLICE_X48Y93 NAME SLICE_X48Y93 SITEPROP SLICE_X48Y93 NUM_ARCS 138 SITEPROP SLICE_X48Y93 NUM_BELS 32 SITEPROP SLICE_X48Y93 NUM_INPUTS 32 SITEPROP SLICE_X48Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y93 NUM_PINS 45 SITEPROP SLICE_X48Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y93 PROHIBIT 0 SITEPROP SLICE_X48Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y93 RPM_X 129 SITEPROP SLICE_X48Y93 RPM_Y 186 SITEPROP SLICE_X48Y93 SITE_PIPS SITEPROP SLICE_X48Y93 SITE_TYPE SLICEL SITEPROP SLICE_X48Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y94 CLASS site SITEPROP SLICE_X48Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y94 IS_BONDED 0 SITEPROP SLICE_X48Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y94 IS_PAD 0 SITEPROP SLICE_X48Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y94 IS_RESERVED 0 SITEPROP SLICE_X48Y94 IS_TEST 0 SITEPROP SLICE_X48Y94 IS_USED 0 SITEPROP SLICE_X48Y94 MANUAL_ROUTING SITEPROP SLICE_X48Y94 NAME SLICE_X48Y94 SITEPROP SLICE_X48Y94 NUM_ARCS 138 SITEPROP SLICE_X48Y94 NUM_BELS 32 SITEPROP SLICE_X48Y94 NUM_INPUTS 32 SITEPROP SLICE_X48Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y94 NUM_PINS 45 SITEPROP SLICE_X48Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y94 PROHIBIT 0 SITEPROP SLICE_X48Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y94 RPM_X 129 SITEPROP SLICE_X48Y94 RPM_Y 188 SITEPROP SLICE_X48Y94 SITE_PIPS SITEPROP SLICE_X48Y94 SITE_TYPE SLICEL SITEPROP SLICE_X48Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y95 CLASS site SITEPROP SLICE_X48Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y95 IS_BONDED 0 SITEPROP SLICE_X48Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y95 IS_PAD 0 SITEPROP SLICE_X48Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y95 IS_RESERVED 0 SITEPROP SLICE_X48Y95 IS_TEST 0 SITEPROP SLICE_X48Y95 IS_USED 0 SITEPROP SLICE_X48Y95 MANUAL_ROUTING SITEPROP SLICE_X48Y95 NAME SLICE_X48Y95 SITEPROP SLICE_X48Y95 NUM_ARCS 138 SITEPROP SLICE_X48Y95 NUM_BELS 32 SITEPROP SLICE_X48Y95 NUM_INPUTS 32 SITEPROP SLICE_X48Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y95 NUM_PINS 45 SITEPROP SLICE_X48Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y95 PROHIBIT 0 SITEPROP SLICE_X48Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y95 RPM_X 129 SITEPROP SLICE_X48Y95 RPM_Y 190 SITEPROP SLICE_X48Y95 SITE_PIPS SITEPROP SLICE_X48Y95 SITE_TYPE SLICEL SITEPROP SLICE_X48Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y96 CLASS site SITEPROP SLICE_X48Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y96 IS_BONDED 0 SITEPROP SLICE_X48Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y96 IS_PAD 0 SITEPROP SLICE_X48Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y96 IS_RESERVED 0 SITEPROP SLICE_X48Y96 IS_TEST 0 SITEPROP SLICE_X48Y96 IS_USED 0 SITEPROP SLICE_X48Y96 MANUAL_ROUTING SITEPROP SLICE_X48Y96 NAME SLICE_X48Y96 SITEPROP SLICE_X48Y96 NUM_ARCS 138 SITEPROP SLICE_X48Y96 NUM_BELS 32 SITEPROP SLICE_X48Y96 NUM_INPUTS 32 SITEPROP SLICE_X48Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y96 NUM_PINS 45 SITEPROP SLICE_X48Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y96 PROHIBIT 0 SITEPROP SLICE_X48Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y96 RPM_X 129 SITEPROP SLICE_X48Y96 RPM_Y 192 SITEPROP SLICE_X48Y96 SITE_PIPS SITEPROP SLICE_X48Y96 SITE_TYPE SLICEL SITEPROP SLICE_X48Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y97 CLASS site SITEPROP SLICE_X48Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y97 IS_BONDED 0 SITEPROP SLICE_X48Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y97 IS_PAD 0 SITEPROP SLICE_X48Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y97 IS_RESERVED 0 SITEPROP SLICE_X48Y97 IS_TEST 0 SITEPROP SLICE_X48Y97 IS_USED 0 SITEPROP SLICE_X48Y97 MANUAL_ROUTING SITEPROP SLICE_X48Y97 NAME SLICE_X48Y97 SITEPROP SLICE_X48Y97 NUM_ARCS 138 SITEPROP SLICE_X48Y97 NUM_BELS 32 SITEPROP SLICE_X48Y97 NUM_INPUTS 32 SITEPROP SLICE_X48Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y97 NUM_PINS 45 SITEPROP SLICE_X48Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y97 PROHIBIT 0 SITEPROP SLICE_X48Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y97 RPM_X 129 SITEPROP SLICE_X48Y97 RPM_Y 194 SITEPROP SLICE_X48Y97 SITE_PIPS SITEPROP SLICE_X48Y97 SITE_TYPE SLICEL SITEPROP SLICE_X48Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y98 CLASS site SITEPROP SLICE_X48Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y98 IS_BONDED 0 SITEPROP SLICE_X48Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y98 IS_PAD 0 SITEPROP SLICE_X48Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y98 IS_RESERVED 0 SITEPROP SLICE_X48Y98 IS_TEST 0 SITEPROP SLICE_X48Y98 IS_USED 0 SITEPROP SLICE_X48Y98 MANUAL_ROUTING SITEPROP SLICE_X48Y98 NAME SLICE_X48Y98 SITEPROP SLICE_X48Y98 NUM_ARCS 138 SITEPROP SLICE_X48Y98 NUM_BELS 32 SITEPROP SLICE_X48Y98 NUM_INPUTS 32 SITEPROP SLICE_X48Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y98 NUM_PINS 45 SITEPROP SLICE_X48Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y98 PROHIBIT 0 SITEPROP SLICE_X48Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y98 RPM_X 129 SITEPROP SLICE_X48Y98 RPM_Y 196 SITEPROP SLICE_X48Y98 SITE_PIPS SITEPROP SLICE_X48Y98 SITE_TYPE SLICEL SITEPROP SLICE_X48Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y99 CLASS site SITEPROP SLICE_X48Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X48Y99 IS_BONDED 0 SITEPROP SLICE_X48Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y99 IS_PAD 0 SITEPROP SLICE_X48Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y99 IS_RESERVED 0 SITEPROP SLICE_X48Y99 IS_TEST 0 SITEPROP SLICE_X48Y99 IS_USED 0 SITEPROP SLICE_X48Y99 MANUAL_ROUTING SITEPROP SLICE_X48Y99 NAME SLICE_X48Y99 SITEPROP SLICE_X48Y99 NUM_ARCS 138 SITEPROP SLICE_X48Y99 NUM_BELS 32 SITEPROP SLICE_X48Y99 NUM_INPUTS 32 SITEPROP SLICE_X48Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y99 NUM_PINS 45 SITEPROP SLICE_X48Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y99 PROHIBIT 0 SITEPROP SLICE_X48Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y99 RPM_X 129 SITEPROP SLICE_X48Y99 RPM_Y 198 SITEPROP SLICE_X48Y99 SITE_PIPS SITEPROP SLICE_X48Y99 SITE_TYPE SLICEL SITEPROP SLICE_X48Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y100 CLASS site SITEPROP SLICE_X48Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y100 IS_BONDED 0 SITEPROP SLICE_X48Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y100 IS_PAD 0 SITEPROP SLICE_X48Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y100 IS_RESERVED 0 SITEPROP SLICE_X48Y100 IS_TEST 0 SITEPROP SLICE_X48Y100 IS_USED 0 SITEPROP SLICE_X48Y100 MANUAL_ROUTING SITEPROP SLICE_X48Y100 NAME SLICE_X48Y100 SITEPROP SLICE_X48Y100 NUM_ARCS 138 SITEPROP SLICE_X48Y100 NUM_BELS 32 SITEPROP SLICE_X48Y100 NUM_INPUTS 32 SITEPROP SLICE_X48Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y100 NUM_PINS 45 SITEPROP SLICE_X48Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y100 PROHIBIT 0 SITEPROP SLICE_X48Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y100 RPM_X 129 SITEPROP SLICE_X48Y100 RPM_Y 200 SITEPROP SLICE_X48Y100 SITE_PIPS SITEPROP SLICE_X48Y100 SITE_TYPE SLICEL SITEPROP SLICE_X48Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y101 CLASS site SITEPROP SLICE_X48Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y101 IS_BONDED 0 SITEPROP SLICE_X48Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y101 IS_PAD 0 SITEPROP SLICE_X48Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y101 IS_RESERVED 0 SITEPROP SLICE_X48Y101 IS_TEST 0 SITEPROP SLICE_X48Y101 IS_USED 0 SITEPROP SLICE_X48Y101 MANUAL_ROUTING SITEPROP SLICE_X48Y101 NAME SLICE_X48Y101 SITEPROP SLICE_X48Y101 NUM_ARCS 138 SITEPROP SLICE_X48Y101 NUM_BELS 32 SITEPROP SLICE_X48Y101 NUM_INPUTS 32 SITEPROP SLICE_X48Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y101 NUM_PINS 45 SITEPROP SLICE_X48Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y101 PROHIBIT 0 SITEPROP SLICE_X48Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y101 RPM_X 129 SITEPROP SLICE_X48Y101 RPM_Y 202 SITEPROP SLICE_X48Y101 SITE_PIPS SITEPROP SLICE_X48Y101 SITE_TYPE SLICEL SITEPROP SLICE_X48Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y102 CLASS site SITEPROP SLICE_X48Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y102 IS_BONDED 0 SITEPROP SLICE_X48Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y102 IS_PAD 0 SITEPROP SLICE_X48Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y102 IS_RESERVED 0 SITEPROP SLICE_X48Y102 IS_TEST 0 SITEPROP SLICE_X48Y102 IS_USED 0 SITEPROP SLICE_X48Y102 MANUAL_ROUTING SITEPROP SLICE_X48Y102 NAME SLICE_X48Y102 SITEPROP SLICE_X48Y102 NUM_ARCS 138 SITEPROP SLICE_X48Y102 NUM_BELS 32 SITEPROP SLICE_X48Y102 NUM_INPUTS 32 SITEPROP SLICE_X48Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y102 NUM_PINS 45 SITEPROP SLICE_X48Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y102 PROHIBIT 0 SITEPROP SLICE_X48Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y102 RPM_X 129 SITEPROP SLICE_X48Y102 RPM_Y 204 SITEPROP SLICE_X48Y102 SITE_PIPS SITEPROP SLICE_X48Y102 SITE_TYPE SLICEL SITEPROP SLICE_X48Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y103 CLASS site SITEPROP SLICE_X48Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y103 IS_BONDED 0 SITEPROP SLICE_X48Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y103 IS_PAD 0 SITEPROP SLICE_X48Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y103 IS_RESERVED 0 SITEPROP SLICE_X48Y103 IS_TEST 0 SITEPROP SLICE_X48Y103 IS_USED 0 SITEPROP SLICE_X48Y103 MANUAL_ROUTING SITEPROP SLICE_X48Y103 NAME SLICE_X48Y103 SITEPROP SLICE_X48Y103 NUM_ARCS 138 SITEPROP SLICE_X48Y103 NUM_BELS 32 SITEPROP SLICE_X48Y103 NUM_INPUTS 32 SITEPROP SLICE_X48Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y103 NUM_PINS 45 SITEPROP SLICE_X48Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y103 PROHIBIT 0 SITEPROP SLICE_X48Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y103 RPM_X 129 SITEPROP SLICE_X48Y103 RPM_Y 206 SITEPROP SLICE_X48Y103 SITE_PIPS SITEPROP SLICE_X48Y103 SITE_TYPE SLICEL SITEPROP SLICE_X48Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y104 CLASS site SITEPROP SLICE_X48Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y104 IS_BONDED 0 SITEPROP SLICE_X48Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y104 IS_PAD 0 SITEPROP SLICE_X48Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y104 IS_RESERVED 0 SITEPROP SLICE_X48Y104 IS_TEST 0 SITEPROP SLICE_X48Y104 IS_USED 0 SITEPROP SLICE_X48Y104 MANUAL_ROUTING SITEPROP SLICE_X48Y104 NAME SLICE_X48Y104 SITEPROP SLICE_X48Y104 NUM_ARCS 138 SITEPROP SLICE_X48Y104 NUM_BELS 32 SITEPROP SLICE_X48Y104 NUM_INPUTS 32 SITEPROP SLICE_X48Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y104 NUM_PINS 45 SITEPROP SLICE_X48Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y104 PROHIBIT 0 SITEPROP SLICE_X48Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y104 RPM_X 129 SITEPROP SLICE_X48Y104 RPM_Y 208 SITEPROP SLICE_X48Y104 SITE_PIPS SITEPROP SLICE_X48Y104 SITE_TYPE SLICEL SITEPROP SLICE_X48Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y105 CLASS site SITEPROP SLICE_X48Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y105 IS_BONDED 0 SITEPROP SLICE_X48Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y105 IS_PAD 0 SITEPROP SLICE_X48Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y105 IS_RESERVED 0 SITEPROP SLICE_X48Y105 IS_TEST 0 SITEPROP SLICE_X48Y105 IS_USED 0 SITEPROP SLICE_X48Y105 MANUAL_ROUTING SITEPROP SLICE_X48Y105 NAME SLICE_X48Y105 SITEPROP SLICE_X48Y105 NUM_ARCS 138 SITEPROP SLICE_X48Y105 NUM_BELS 32 SITEPROP SLICE_X48Y105 NUM_INPUTS 32 SITEPROP SLICE_X48Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y105 NUM_PINS 45 SITEPROP SLICE_X48Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y105 PROHIBIT 0 SITEPROP SLICE_X48Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y105 RPM_X 129 SITEPROP SLICE_X48Y105 RPM_Y 210 SITEPROP SLICE_X48Y105 SITE_PIPS SITEPROP SLICE_X48Y105 SITE_TYPE SLICEL SITEPROP SLICE_X48Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y106 CLASS site SITEPROP SLICE_X48Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y106 IS_BONDED 0 SITEPROP SLICE_X48Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y106 IS_PAD 0 SITEPROP SLICE_X48Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y106 IS_RESERVED 0 SITEPROP SLICE_X48Y106 IS_TEST 0 SITEPROP SLICE_X48Y106 IS_USED 0 SITEPROP SLICE_X48Y106 MANUAL_ROUTING SITEPROP SLICE_X48Y106 NAME SLICE_X48Y106 SITEPROP SLICE_X48Y106 NUM_ARCS 138 SITEPROP SLICE_X48Y106 NUM_BELS 32 SITEPROP SLICE_X48Y106 NUM_INPUTS 32 SITEPROP SLICE_X48Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y106 NUM_PINS 45 SITEPROP SLICE_X48Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y106 PROHIBIT 0 SITEPROP SLICE_X48Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y106 RPM_X 129 SITEPROP SLICE_X48Y106 RPM_Y 212 SITEPROP SLICE_X48Y106 SITE_PIPS SITEPROP SLICE_X48Y106 SITE_TYPE SLICEL SITEPROP SLICE_X48Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y107 CLASS site SITEPROP SLICE_X48Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y107 IS_BONDED 0 SITEPROP SLICE_X48Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y107 IS_PAD 0 SITEPROP SLICE_X48Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y107 IS_RESERVED 0 SITEPROP SLICE_X48Y107 IS_TEST 0 SITEPROP SLICE_X48Y107 IS_USED 0 SITEPROP SLICE_X48Y107 MANUAL_ROUTING SITEPROP SLICE_X48Y107 NAME SLICE_X48Y107 SITEPROP SLICE_X48Y107 NUM_ARCS 138 SITEPROP SLICE_X48Y107 NUM_BELS 32 SITEPROP SLICE_X48Y107 NUM_INPUTS 32 SITEPROP SLICE_X48Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y107 NUM_PINS 45 SITEPROP SLICE_X48Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y107 PROHIBIT 0 SITEPROP SLICE_X48Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y107 RPM_X 129 SITEPROP SLICE_X48Y107 RPM_Y 214 SITEPROP SLICE_X48Y107 SITE_PIPS SITEPROP SLICE_X48Y107 SITE_TYPE SLICEL SITEPROP SLICE_X48Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y108 CLASS site SITEPROP SLICE_X48Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y108 IS_BONDED 0 SITEPROP SLICE_X48Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y108 IS_PAD 0 SITEPROP SLICE_X48Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y108 IS_RESERVED 0 SITEPROP SLICE_X48Y108 IS_TEST 0 SITEPROP SLICE_X48Y108 IS_USED 0 SITEPROP SLICE_X48Y108 MANUAL_ROUTING SITEPROP SLICE_X48Y108 NAME SLICE_X48Y108 SITEPROP SLICE_X48Y108 NUM_ARCS 138 SITEPROP SLICE_X48Y108 NUM_BELS 32 SITEPROP SLICE_X48Y108 NUM_INPUTS 32 SITEPROP SLICE_X48Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y108 NUM_PINS 45 SITEPROP SLICE_X48Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y108 PROHIBIT 0 SITEPROP SLICE_X48Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y108 RPM_X 129 SITEPROP SLICE_X48Y108 RPM_Y 216 SITEPROP SLICE_X48Y108 SITE_PIPS SITEPROP SLICE_X48Y108 SITE_TYPE SLICEL SITEPROP SLICE_X48Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y109 CLASS site SITEPROP SLICE_X48Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y109 IS_BONDED 0 SITEPROP SLICE_X48Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y109 IS_PAD 0 SITEPROP SLICE_X48Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y109 IS_RESERVED 0 SITEPROP SLICE_X48Y109 IS_TEST 0 SITEPROP SLICE_X48Y109 IS_USED 0 SITEPROP SLICE_X48Y109 MANUAL_ROUTING SITEPROP SLICE_X48Y109 NAME SLICE_X48Y109 SITEPROP SLICE_X48Y109 NUM_ARCS 138 SITEPROP SLICE_X48Y109 NUM_BELS 32 SITEPROP SLICE_X48Y109 NUM_INPUTS 32 SITEPROP SLICE_X48Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y109 NUM_PINS 45 SITEPROP SLICE_X48Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y109 PROHIBIT 0 SITEPROP SLICE_X48Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y109 RPM_X 129 SITEPROP SLICE_X48Y109 RPM_Y 218 SITEPROP SLICE_X48Y109 SITE_PIPS SITEPROP SLICE_X48Y109 SITE_TYPE SLICEL SITEPROP SLICE_X48Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y110 CLASS site SITEPROP SLICE_X48Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y110 IS_BONDED 0 SITEPROP SLICE_X48Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y110 IS_PAD 0 SITEPROP SLICE_X48Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y110 IS_RESERVED 0 SITEPROP SLICE_X48Y110 IS_TEST 0 SITEPROP SLICE_X48Y110 IS_USED 0 SITEPROP SLICE_X48Y110 MANUAL_ROUTING SITEPROP SLICE_X48Y110 NAME SLICE_X48Y110 SITEPROP SLICE_X48Y110 NUM_ARCS 138 SITEPROP SLICE_X48Y110 NUM_BELS 32 SITEPROP SLICE_X48Y110 NUM_INPUTS 32 SITEPROP SLICE_X48Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y110 NUM_PINS 45 SITEPROP SLICE_X48Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y110 PROHIBIT 0 SITEPROP SLICE_X48Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y110 RPM_X 129 SITEPROP SLICE_X48Y110 RPM_Y 220 SITEPROP SLICE_X48Y110 SITE_PIPS SITEPROP SLICE_X48Y110 SITE_TYPE SLICEL SITEPROP SLICE_X48Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y111 CLASS site SITEPROP SLICE_X48Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y111 IS_BONDED 0 SITEPROP SLICE_X48Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y111 IS_PAD 0 SITEPROP SLICE_X48Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y111 IS_RESERVED 0 SITEPROP SLICE_X48Y111 IS_TEST 0 SITEPROP SLICE_X48Y111 IS_USED 0 SITEPROP SLICE_X48Y111 MANUAL_ROUTING SITEPROP SLICE_X48Y111 NAME SLICE_X48Y111 SITEPROP SLICE_X48Y111 NUM_ARCS 138 SITEPROP SLICE_X48Y111 NUM_BELS 32 SITEPROP SLICE_X48Y111 NUM_INPUTS 32 SITEPROP SLICE_X48Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y111 NUM_PINS 45 SITEPROP SLICE_X48Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y111 PROHIBIT 0 SITEPROP SLICE_X48Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y111 RPM_X 129 SITEPROP SLICE_X48Y111 RPM_Y 222 SITEPROP SLICE_X48Y111 SITE_PIPS SITEPROP SLICE_X48Y111 SITE_TYPE SLICEL SITEPROP SLICE_X48Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y112 CLASS site SITEPROP SLICE_X48Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y112 IS_BONDED 0 SITEPROP SLICE_X48Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y112 IS_PAD 0 SITEPROP SLICE_X48Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y112 IS_RESERVED 0 SITEPROP SLICE_X48Y112 IS_TEST 0 SITEPROP SLICE_X48Y112 IS_USED 0 SITEPROP SLICE_X48Y112 MANUAL_ROUTING SITEPROP SLICE_X48Y112 NAME SLICE_X48Y112 SITEPROP SLICE_X48Y112 NUM_ARCS 138 SITEPROP SLICE_X48Y112 NUM_BELS 32 SITEPROP SLICE_X48Y112 NUM_INPUTS 32 SITEPROP SLICE_X48Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y112 NUM_PINS 45 SITEPROP SLICE_X48Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y112 PROHIBIT 0 SITEPROP SLICE_X48Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y112 RPM_X 129 SITEPROP SLICE_X48Y112 RPM_Y 224 SITEPROP SLICE_X48Y112 SITE_PIPS SITEPROP SLICE_X48Y112 SITE_TYPE SLICEL SITEPROP SLICE_X48Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y113 CLASS site SITEPROP SLICE_X48Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y113 IS_BONDED 0 SITEPROP SLICE_X48Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y113 IS_PAD 0 SITEPROP SLICE_X48Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y113 IS_RESERVED 0 SITEPROP SLICE_X48Y113 IS_TEST 0 SITEPROP SLICE_X48Y113 IS_USED 0 SITEPROP SLICE_X48Y113 MANUAL_ROUTING SITEPROP SLICE_X48Y113 NAME SLICE_X48Y113 SITEPROP SLICE_X48Y113 NUM_ARCS 138 SITEPROP SLICE_X48Y113 NUM_BELS 32 SITEPROP SLICE_X48Y113 NUM_INPUTS 32 SITEPROP SLICE_X48Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y113 NUM_PINS 45 SITEPROP SLICE_X48Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y113 PROHIBIT 0 SITEPROP SLICE_X48Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y113 RPM_X 129 SITEPROP SLICE_X48Y113 RPM_Y 226 SITEPROP SLICE_X48Y113 SITE_PIPS SITEPROP SLICE_X48Y113 SITE_TYPE SLICEL SITEPROP SLICE_X48Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y114 CLASS site SITEPROP SLICE_X48Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y114 IS_BONDED 0 SITEPROP SLICE_X48Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y114 IS_PAD 0 SITEPROP SLICE_X48Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y114 IS_RESERVED 0 SITEPROP SLICE_X48Y114 IS_TEST 0 SITEPROP SLICE_X48Y114 IS_USED 0 SITEPROP SLICE_X48Y114 MANUAL_ROUTING SITEPROP SLICE_X48Y114 NAME SLICE_X48Y114 SITEPROP SLICE_X48Y114 NUM_ARCS 138 SITEPROP SLICE_X48Y114 NUM_BELS 32 SITEPROP SLICE_X48Y114 NUM_INPUTS 32 SITEPROP SLICE_X48Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y114 NUM_PINS 45 SITEPROP SLICE_X48Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y114 PROHIBIT 0 SITEPROP SLICE_X48Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y114 RPM_X 129 SITEPROP SLICE_X48Y114 RPM_Y 228 SITEPROP SLICE_X48Y114 SITE_PIPS SITEPROP SLICE_X48Y114 SITE_TYPE SLICEL SITEPROP SLICE_X48Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y115 CLASS site SITEPROP SLICE_X48Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y115 IS_BONDED 0 SITEPROP SLICE_X48Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y115 IS_PAD 0 SITEPROP SLICE_X48Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y115 IS_RESERVED 0 SITEPROP SLICE_X48Y115 IS_TEST 0 SITEPROP SLICE_X48Y115 IS_USED 0 SITEPROP SLICE_X48Y115 MANUAL_ROUTING SITEPROP SLICE_X48Y115 NAME SLICE_X48Y115 SITEPROP SLICE_X48Y115 NUM_ARCS 138 SITEPROP SLICE_X48Y115 NUM_BELS 32 SITEPROP SLICE_X48Y115 NUM_INPUTS 32 SITEPROP SLICE_X48Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y115 NUM_PINS 45 SITEPROP SLICE_X48Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y115 PROHIBIT 0 SITEPROP SLICE_X48Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y115 RPM_X 129 SITEPROP SLICE_X48Y115 RPM_Y 230 SITEPROP SLICE_X48Y115 SITE_PIPS SITEPROP SLICE_X48Y115 SITE_TYPE SLICEL SITEPROP SLICE_X48Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y116 CLASS site SITEPROP SLICE_X48Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y116 IS_BONDED 0 SITEPROP SLICE_X48Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y116 IS_PAD 0 SITEPROP SLICE_X48Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y116 IS_RESERVED 0 SITEPROP SLICE_X48Y116 IS_TEST 0 SITEPROP SLICE_X48Y116 IS_USED 0 SITEPROP SLICE_X48Y116 MANUAL_ROUTING SITEPROP SLICE_X48Y116 NAME SLICE_X48Y116 SITEPROP SLICE_X48Y116 NUM_ARCS 138 SITEPROP SLICE_X48Y116 NUM_BELS 32 SITEPROP SLICE_X48Y116 NUM_INPUTS 32 SITEPROP SLICE_X48Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y116 NUM_PINS 45 SITEPROP SLICE_X48Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y116 PROHIBIT 0 SITEPROP SLICE_X48Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y116 RPM_X 129 SITEPROP SLICE_X48Y116 RPM_Y 232 SITEPROP SLICE_X48Y116 SITE_PIPS SITEPROP SLICE_X48Y116 SITE_TYPE SLICEL SITEPROP SLICE_X48Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y117 CLASS site SITEPROP SLICE_X48Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y117 IS_BONDED 0 SITEPROP SLICE_X48Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y117 IS_PAD 0 SITEPROP SLICE_X48Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y117 IS_RESERVED 0 SITEPROP SLICE_X48Y117 IS_TEST 0 SITEPROP SLICE_X48Y117 IS_USED 0 SITEPROP SLICE_X48Y117 MANUAL_ROUTING SITEPROP SLICE_X48Y117 NAME SLICE_X48Y117 SITEPROP SLICE_X48Y117 NUM_ARCS 138 SITEPROP SLICE_X48Y117 NUM_BELS 32 SITEPROP SLICE_X48Y117 NUM_INPUTS 32 SITEPROP SLICE_X48Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y117 NUM_PINS 45 SITEPROP SLICE_X48Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y117 PROHIBIT 0 SITEPROP SLICE_X48Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y117 RPM_X 129 SITEPROP SLICE_X48Y117 RPM_Y 234 SITEPROP SLICE_X48Y117 SITE_PIPS SITEPROP SLICE_X48Y117 SITE_TYPE SLICEL SITEPROP SLICE_X48Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y118 CLASS site SITEPROP SLICE_X48Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y118 IS_BONDED 0 SITEPROP SLICE_X48Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y118 IS_PAD 0 SITEPROP SLICE_X48Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y118 IS_RESERVED 0 SITEPROP SLICE_X48Y118 IS_TEST 0 SITEPROP SLICE_X48Y118 IS_USED 0 SITEPROP SLICE_X48Y118 MANUAL_ROUTING SITEPROP SLICE_X48Y118 NAME SLICE_X48Y118 SITEPROP SLICE_X48Y118 NUM_ARCS 138 SITEPROP SLICE_X48Y118 NUM_BELS 32 SITEPROP SLICE_X48Y118 NUM_INPUTS 32 SITEPROP SLICE_X48Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y118 NUM_PINS 45 SITEPROP SLICE_X48Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y118 PROHIBIT 0 SITEPROP SLICE_X48Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y118 RPM_X 129 SITEPROP SLICE_X48Y118 RPM_Y 236 SITEPROP SLICE_X48Y118 SITE_PIPS SITEPROP SLICE_X48Y118 SITE_TYPE SLICEL SITEPROP SLICE_X48Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y119 CLASS site SITEPROP SLICE_X48Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y119 IS_BONDED 0 SITEPROP SLICE_X48Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y119 IS_PAD 0 SITEPROP SLICE_X48Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y119 IS_RESERVED 0 SITEPROP SLICE_X48Y119 IS_TEST 0 SITEPROP SLICE_X48Y119 IS_USED 0 SITEPROP SLICE_X48Y119 MANUAL_ROUTING SITEPROP SLICE_X48Y119 NAME SLICE_X48Y119 SITEPROP SLICE_X48Y119 NUM_ARCS 138 SITEPROP SLICE_X48Y119 NUM_BELS 32 SITEPROP SLICE_X48Y119 NUM_INPUTS 32 SITEPROP SLICE_X48Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y119 NUM_PINS 45 SITEPROP SLICE_X48Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y119 PROHIBIT 0 SITEPROP SLICE_X48Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y119 RPM_X 129 SITEPROP SLICE_X48Y119 RPM_Y 238 SITEPROP SLICE_X48Y119 SITE_PIPS SITEPROP SLICE_X48Y119 SITE_TYPE SLICEL SITEPROP SLICE_X48Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y120 CLASS site SITEPROP SLICE_X48Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y120 IS_BONDED 0 SITEPROP SLICE_X48Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y120 IS_PAD 0 SITEPROP SLICE_X48Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y120 IS_RESERVED 0 SITEPROP SLICE_X48Y120 IS_TEST 0 SITEPROP SLICE_X48Y120 IS_USED 0 SITEPROP SLICE_X48Y120 MANUAL_ROUTING SITEPROP SLICE_X48Y120 NAME SLICE_X48Y120 SITEPROP SLICE_X48Y120 NUM_ARCS 138 SITEPROP SLICE_X48Y120 NUM_BELS 32 SITEPROP SLICE_X48Y120 NUM_INPUTS 32 SITEPROP SLICE_X48Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y120 NUM_PINS 45 SITEPROP SLICE_X48Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y120 PROHIBIT 0 SITEPROP SLICE_X48Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y120 RPM_X 129 SITEPROP SLICE_X48Y120 RPM_Y 240 SITEPROP SLICE_X48Y120 SITE_PIPS SITEPROP SLICE_X48Y120 SITE_TYPE SLICEL SITEPROP SLICE_X48Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y121 CLASS site SITEPROP SLICE_X48Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y121 IS_BONDED 0 SITEPROP SLICE_X48Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y121 IS_PAD 0 SITEPROP SLICE_X48Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y121 IS_RESERVED 0 SITEPROP SLICE_X48Y121 IS_TEST 0 SITEPROP SLICE_X48Y121 IS_USED 0 SITEPROP SLICE_X48Y121 MANUAL_ROUTING SITEPROP SLICE_X48Y121 NAME SLICE_X48Y121 SITEPROP SLICE_X48Y121 NUM_ARCS 138 SITEPROP SLICE_X48Y121 NUM_BELS 32 SITEPROP SLICE_X48Y121 NUM_INPUTS 32 SITEPROP SLICE_X48Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y121 NUM_PINS 45 SITEPROP SLICE_X48Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y121 PROHIBIT 0 SITEPROP SLICE_X48Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y121 RPM_X 129 SITEPROP SLICE_X48Y121 RPM_Y 242 SITEPROP SLICE_X48Y121 SITE_PIPS SITEPROP SLICE_X48Y121 SITE_TYPE SLICEL SITEPROP SLICE_X48Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y122 CLASS site SITEPROP SLICE_X48Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y122 IS_BONDED 0 SITEPROP SLICE_X48Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y122 IS_PAD 0 SITEPROP SLICE_X48Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y122 IS_RESERVED 0 SITEPROP SLICE_X48Y122 IS_TEST 0 SITEPROP SLICE_X48Y122 IS_USED 0 SITEPROP SLICE_X48Y122 MANUAL_ROUTING SITEPROP SLICE_X48Y122 NAME SLICE_X48Y122 SITEPROP SLICE_X48Y122 NUM_ARCS 138 SITEPROP SLICE_X48Y122 NUM_BELS 32 SITEPROP SLICE_X48Y122 NUM_INPUTS 32 SITEPROP SLICE_X48Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y122 NUM_PINS 45 SITEPROP SLICE_X48Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y122 PROHIBIT 0 SITEPROP SLICE_X48Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y122 RPM_X 129 SITEPROP SLICE_X48Y122 RPM_Y 244 SITEPROP SLICE_X48Y122 SITE_PIPS SITEPROP SLICE_X48Y122 SITE_TYPE SLICEL SITEPROP SLICE_X48Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y123 CLASS site SITEPROP SLICE_X48Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y123 IS_BONDED 0 SITEPROP SLICE_X48Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y123 IS_PAD 0 SITEPROP SLICE_X48Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y123 IS_RESERVED 0 SITEPROP SLICE_X48Y123 IS_TEST 0 SITEPROP SLICE_X48Y123 IS_USED 0 SITEPROP SLICE_X48Y123 MANUAL_ROUTING SITEPROP SLICE_X48Y123 NAME SLICE_X48Y123 SITEPROP SLICE_X48Y123 NUM_ARCS 138 SITEPROP SLICE_X48Y123 NUM_BELS 32 SITEPROP SLICE_X48Y123 NUM_INPUTS 32 SITEPROP SLICE_X48Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y123 NUM_PINS 45 SITEPROP SLICE_X48Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y123 PROHIBIT 0 SITEPROP SLICE_X48Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y123 RPM_X 129 SITEPROP SLICE_X48Y123 RPM_Y 246 SITEPROP SLICE_X48Y123 SITE_PIPS SITEPROP SLICE_X48Y123 SITE_TYPE SLICEL SITEPROP SLICE_X48Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y124 CLASS site SITEPROP SLICE_X48Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y124 IS_BONDED 0 SITEPROP SLICE_X48Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y124 IS_PAD 0 SITEPROP SLICE_X48Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y124 IS_RESERVED 0 SITEPROP SLICE_X48Y124 IS_TEST 0 SITEPROP SLICE_X48Y124 IS_USED 0 SITEPROP SLICE_X48Y124 MANUAL_ROUTING SITEPROP SLICE_X48Y124 NAME SLICE_X48Y124 SITEPROP SLICE_X48Y124 NUM_ARCS 138 SITEPROP SLICE_X48Y124 NUM_BELS 32 SITEPROP SLICE_X48Y124 NUM_INPUTS 32 SITEPROP SLICE_X48Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y124 NUM_PINS 45 SITEPROP SLICE_X48Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y124 PROHIBIT 0 SITEPROP SLICE_X48Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y124 RPM_X 129 SITEPROP SLICE_X48Y124 RPM_Y 248 SITEPROP SLICE_X48Y124 SITE_PIPS SITEPROP SLICE_X48Y124 SITE_TYPE SLICEL SITEPROP SLICE_X48Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y125 CLASS site SITEPROP SLICE_X48Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y125 IS_BONDED 0 SITEPROP SLICE_X48Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y125 IS_PAD 0 SITEPROP SLICE_X48Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y125 IS_RESERVED 0 SITEPROP SLICE_X48Y125 IS_TEST 0 SITEPROP SLICE_X48Y125 IS_USED 0 SITEPROP SLICE_X48Y125 MANUAL_ROUTING SITEPROP SLICE_X48Y125 NAME SLICE_X48Y125 SITEPROP SLICE_X48Y125 NUM_ARCS 138 SITEPROP SLICE_X48Y125 NUM_BELS 32 SITEPROP SLICE_X48Y125 NUM_INPUTS 32 SITEPROP SLICE_X48Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y125 NUM_PINS 45 SITEPROP SLICE_X48Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y125 PROHIBIT 0 SITEPROP SLICE_X48Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y125 RPM_X 129 SITEPROP SLICE_X48Y125 RPM_Y 250 SITEPROP SLICE_X48Y125 SITE_PIPS SITEPROP SLICE_X48Y125 SITE_TYPE SLICEL SITEPROP SLICE_X48Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y126 CLASS site SITEPROP SLICE_X48Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y126 IS_BONDED 0 SITEPROP SLICE_X48Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y126 IS_PAD 0 SITEPROP SLICE_X48Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y126 IS_RESERVED 0 SITEPROP SLICE_X48Y126 IS_TEST 0 SITEPROP SLICE_X48Y126 IS_USED 0 SITEPROP SLICE_X48Y126 MANUAL_ROUTING SITEPROP SLICE_X48Y126 NAME SLICE_X48Y126 SITEPROP SLICE_X48Y126 NUM_ARCS 138 SITEPROP SLICE_X48Y126 NUM_BELS 32 SITEPROP SLICE_X48Y126 NUM_INPUTS 32 SITEPROP SLICE_X48Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y126 NUM_PINS 45 SITEPROP SLICE_X48Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y126 PROHIBIT 0 SITEPROP SLICE_X48Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y126 RPM_X 129 SITEPROP SLICE_X48Y126 RPM_Y 252 SITEPROP SLICE_X48Y126 SITE_PIPS SITEPROP SLICE_X48Y126 SITE_TYPE SLICEL SITEPROP SLICE_X48Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y127 CLASS site SITEPROP SLICE_X48Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y127 IS_BONDED 0 SITEPROP SLICE_X48Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y127 IS_PAD 0 SITEPROP SLICE_X48Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y127 IS_RESERVED 0 SITEPROP SLICE_X48Y127 IS_TEST 0 SITEPROP SLICE_X48Y127 IS_USED 0 SITEPROP SLICE_X48Y127 MANUAL_ROUTING SITEPROP SLICE_X48Y127 NAME SLICE_X48Y127 SITEPROP SLICE_X48Y127 NUM_ARCS 138 SITEPROP SLICE_X48Y127 NUM_BELS 32 SITEPROP SLICE_X48Y127 NUM_INPUTS 32 SITEPROP SLICE_X48Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y127 NUM_PINS 45 SITEPROP SLICE_X48Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y127 PROHIBIT 0 SITEPROP SLICE_X48Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y127 RPM_X 129 SITEPROP SLICE_X48Y127 RPM_Y 254 SITEPROP SLICE_X48Y127 SITE_PIPS SITEPROP SLICE_X48Y127 SITE_TYPE SLICEL SITEPROP SLICE_X48Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y128 CLASS site SITEPROP SLICE_X48Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y128 IS_BONDED 0 SITEPROP SLICE_X48Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y128 IS_PAD 0 SITEPROP SLICE_X48Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y128 IS_RESERVED 0 SITEPROP SLICE_X48Y128 IS_TEST 0 SITEPROP SLICE_X48Y128 IS_USED 0 SITEPROP SLICE_X48Y128 MANUAL_ROUTING SITEPROP SLICE_X48Y128 NAME SLICE_X48Y128 SITEPROP SLICE_X48Y128 NUM_ARCS 138 SITEPROP SLICE_X48Y128 NUM_BELS 32 SITEPROP SLICE_X48Y128 NUM_INPUTS 32 SITEPROP SLICE_X48Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y128 NUM_PINS 45 SITEPROP SLICE_X48Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y128 PROHIBIT 0 SITEPROP SLICE_X48Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y128 RPM_X 129 SITEPROP SLICE_X48Y128 RPM_Y 256 SITEPROP SLICE_X48Y128 SITE_PIPS SITEPROP SLICE_X48Y128 SITE_TYPE SLICEL SITEPROP SLICE_X48Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y129 CLASS site SITEPROP SLICE_X48Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y129 IS_BONDED 0 SITEPROP SLICE_X48Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y129 IS_PAD 0 SITEPROP SLICE_X48Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y129 IS_RESERVED 0 SITEPROP SLICE_X48Y129 IS_TEST 0 SITEPROP SLICE_X48Y129 IS_USED 0 SITEPROP SLICE_X48Y129 MANUAL_ROUTING SITEPROP SLICE_X48Y129 NAME SLICE_X48Y129 SITEPROP SLICE_X48Y129 NUM_ARCS 138 SITEPROP SLICE_X48Y129 NUM_BELS 32 SITEPROP SLICE_X48Y129 NUM_INPUTS 32 SITEPROP SLICE_X48Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y129 NUM_PINS 45 SITEPROP SLICE_X48Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y129 PROHIBIT 0 SITEPROP SLICE_X48Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y129 RPM_X 129 SITEPROP SLICE_X48Y129 RPM_Y 258 SITEPROP SLICE_X48Y129 SITE_PIPS SITEPROP SLICE_X48Y129 SITE_TYPE SLICEL SITEPROP SLICE_X48Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y130 CLASS site SITEPROP SLICE_X48Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y130 IS_BONDED 0 SITEPROP SLICE_X48Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y130 IS_PAD 0 SITEPROP SLICE_X48Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y130 IS_RESERVED 0 SITEPROP SLICE_X48Y130 IS_TEST 0 SITEPROP SLICE_X48Y130 IS_USED 0 SITEPROP SLICE_X48Y130 MANUAL_ROUTING SITEPROP SLICE_X48Y130 NAME SLICE_X48Y130 SITEPROP SLICE_X48Y130 NUM_ARCS 138 SITEPROP SLICE_X48Y130 NUM_BELS 32 SITEPROP SLICE_X48Y130 NUM_INPUTS 32 SITEPROP SLICE_X48Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y130 NUM_PINS 45 SITEPROP SLICE_X48Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y130 PROHIBIT 0 SITEPROP SLICE_X48Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y130 RPM_X 129 SITEPROP SLICE_X48Y130 RPM_Y 260 SITEPROP SLICE_X48Y130 SITE_PIPS SITEPROP SLICE_X48Y130 SITE_TYPE SLICEL SITEPROP SLICE_X48Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y131 CLASS site SITEPROP SLICE_X48Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y131 IS_BONDED 0 SITEPROP SLICE_X48Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y131 IS_PAD 0 SITEPROP SLICE_X48Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y131 IS_RESERVED 0 SITEPROP SLICE_X48Y131 IS_TEST 0 SITEPROP SLICE_X48Y131 IS_USED 0 SITEPROP SLICE_X48Y131 MANUAL_ROUTING SITEPROP SLICE_X48Y131 NAME SLICE_X48Y131 SITEPROP SLICE_X48Y131 NUM_ARCS 138 SITEPROP SLICE_X48Y131 NUM_BELS 32 SITEPROP SLICE_X48Y131 NUM_INPUTS 32 SITEPROP SLICE_X48Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y131 NUM_PINS 45 SITEPROP SLICE_X48Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y131 PROHIBIT 0 SITEPROP SLICE_X48Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y131 RPM_X 129 SITEPROP SLICE_X48Y131 RPM_Y 262 SITEPROP SLICE_X48Y131 SITE_PIPS SITEPROP SLICE_X48Y131 SITE_TYPE SLICEL SITEPROP SLICE_X48Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y132 CLASS site SITEPROP SLICE_X48Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y132 IS_BONDED 0 SITEPROP SLICE_X48Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y132 IS_PAD 0 SITEPROP SLICE_X48Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y132 IS_RESERVED 0 SITEPROP SLICE_X48Y132 IS_TEST 0 SITEPROP SLICE_X48Y132 IS_USED 0 SITEPROP SLICE_X48Y132 MANUAL_ROUTING SITEPROP SLICE_X48Y132 NAME SLICE_X48Y132 SITEPROP SLICE_X48Y132 NUM_ARCS 138 SITEPROP SLICE_X48Y132 NUM_BELS 32 SITEPROP SLICE_X48Y132 NUM_INPUTS 32 SITEPROP SLICE_X48Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y132 NUM_PINS 45 SITEPROP SLICE_X48Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y132 PROHIBIT 0 SITEPROP SLICE_X48Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y132 RPM_X 129 SITEPROP SLICE_X48Y132 RPM_Y 264 SITEPROP SLICE_X48Y132 SITE_PIPS SITEPROP SLICE_X48Y132 SITE_TYPE SLICEL SITEPROP SLICE_X48Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y133 CLASS site SITEPROP SLICE_X48Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y133 IS_BONDED 0 SITEPROP SLICE_X48Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y133 IS_PAD 0 SITEPROP SLICE_X48Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y133 IS_RESERVED 0 SITEPROP SLICE_X48Y133 IS_TEST 0 SITEPROP SLICE_X48Y133 IS_USED 0 SITEPROP SLICE_X48Y133 MANUAL_ROUTING SITEPROP SLICE_X48Y133 NAME SLICE_X48Y133 SITEPROP SLICE_X48Y133 NUM_ARCS 138 SITEPROP SLICE_X48Y133 NUM_BELS 32 SITEPROP SLICE_X48Y133 NUM_INPUTS 32 SITEPROP SLICE_X48Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y133 NUM_PINS 45 SITEPROP SLICE_X48Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y133 PROHIBIT 0 SITEPROP SLICE_X48Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y133 RPM_X 129 SITEPROP SLICE_X48Y133 RPM_Y 266 SITEPROP SLICE_X48Y133 SITE_PIPS SITEPROP SLICE_X48Y133 SITE_TYPE SLICEL SITEPROP SLICE_X48Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y134 CLASS site SITEPROP SLICE_X48Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y134 IS_BONDED 0 SITEPROP SLICE_X48Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y134 IS_PAD 0 SITEPROP SLICE_X48Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y134 IS_RESERVED 0 SITEPROP SLICE_X48Y134 IS_TEST 0 SITEPROP SLICE_X48Y134 IS_USED 0 SITEPROP SLICE_X48Y134 MANUAL_ROUTING SITEPROP SLICE_X48Y134 NAME SLICE_X48Y134 SITEPROP SLICE_X48Y134 NUM_ARCS 138 SITEPROP SLICE_X48Y134 NUM_BELS 32 SITEPROP SLICE_X48Y134 NUM_INPUTS 32 SITEPROP SLICE_X48Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y134 NUM_PINS 45 SITEPROP SLICE_X48Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y134 PROHIBIT 0 SITEPROP SLICE_X48Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y134 RPM_X 129 SITEPROP SLICE_X48Y134 RPM_Y 268 SITEPROP SLICE_X48Y134 SITE_PIPS SITEPROP SLICE_X48Y134 SITE_TYPE SLICEL SITEPROP SLICE_X48Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y135 CLASS site SITEPROP SLICE_X48Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y135 IS_BONDED 0 SITEPROP SLICE_X48Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y135 IS_PAD 0 SITEPROP SLICE_X48Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y135 IS_RESERVED 0 SITEPROP SLICE_X48Y135 IS_TEST 0 SITEPROP SLICE_X48Y135 IS_USED 0 SITEPROP SLICE_X48Y135 MANUAL_ROUTING SITEPROP SLICE_X48Y135 NAME SLICE_X48Y135 SITEPROP SLICE_X48Y135 NUM_ARCS 138 SITEPROP SLICE_X48Y135 NUM_BELS 32 SITEPROP SLICE_X48Y135 NUM_INPUTS 32 SITEPROP SLICE_X48Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y135 NUM_PINS 45 SITEPROP SLICE_X48Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y135 PROHIBIT 0 SITEPROP SLICE_X48Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y135 RPM_X 129 SITEPROP SLICE_X48Y135 RPM_Y 270 SITEPROP SLICE_X48Y135 SITE_PIPS SITEPROP SLICE_X48Y135 SITE_TYPE SLICEL SITEPROP SLICE_X48Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y136 CLASS site SITEPROP SLICE_X48Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y136 IS_BONDED 0 SITEPROP SLICE_X48Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y136 IS_PAD 0 SITEPROP SLICE_X48Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y136 IS_RESERVED 0 SITEPROP SLICE_X48Y136 IS_TEST 0 SITEPROP SLICE_X48Y136 IS_USED 0 SITEPROP SLICE_X48Y136 MANUAL_ROUTING SITEPROP SLICE_X48Y136 NAME SLICE_X48Y136 SITEPROP SLICE_X48Y136 NUM_ARCS 138 SITEPROP SLICE_X48Y136 NUM_BELS 32 SITEPROP SLICE_X48Y136 NUM_INPUTS 32 SITEPROP SLICE_X48Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y136 NUM_PINS 45 SITEPROP SLICE_X48Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y136 PROHIBIT 0 SITEPROP SLICE_X48Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y136 RPM_X 129 SITEPROP SLICE_X48Y136 RPM_Y 272 SITEPROP SLICE_X48Y136 SITE_PIPS SITEPROP SLICE_X48Y136 SITE_TYPE SLICEL SITEPROP SLICE_X48Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y137 CLASS site SITEPROP SLICE_X48Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y137 IS_BONDED 0 SITEPROP SLICE_X48Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y137 IS_PAD 0 SITEPROP SLICE_X48Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y137 IS_RESERVED 0 SITEPROP SLICE_X48Y137 IS_TEST 0 SITEPROP SLICE_X48Y137 IS_USED 0 SITEPROP SLICE_X48Y137 MANUAL_ROUTING SITEPROP SLICE_X48Y137 NAME SLICE_X48Y137 SITEPROP SLICE_X48Y137 NUM_ARCS 138 SITEPROP SLICE_X48Y137 NUM_BELS 32 SITEPROP SLICE_X48Y137 NUM_INPUTS 32 SITEPROP SLICE_X48Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y137 NUM_PINS 45 SITEPROP SLICE_X48Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y137 PROHIBIT 0 SITEPROP SLICE_X48Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y137 RPM_X 129 SITEPROP SLICE_X48Y137 RPM_Y 274 SITEPROP SLICE_X48Y137 SITE_PIPS SITEPROP SLICE_X48Y137 SITE_TYPE SLICEL SITEPROP SLICE_X48Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y138 CLASS site SITEPROP SLICE_X48Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y138 IS_BONDED 0 SITEPROP SLICE_X48Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y138 IS_PAD 0 SITEPROP SLICE_X48Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y138 IS_RESERVED 0 SITEPROP SLICE_X48Y138 IS_TEST 0 SITEPROP SLICE_X48Y138 IS_USED 0 SITEPROP SLICE_X48Y138 MANUAL_ROUTING SITEPROP SLICE_X48Y138 NAME SLICE_X48Y138 SITEPROP SLICE_X48Y138 NUM_ARCS 138 SITEPROP SLICE_X48Y138 NUM_BELS 32 SITEPROP SLICE_X48Y138 NUM_INPUTS 32 SITEPROP SLICE_X48Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y138 NUM_PINS 45 SITEPROP SLICE_X48Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y138 PROHIBIT 0 SITEPROP SLICE_X48Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y138 RPM_X 129 SITEPROP SLICE_X48Y138 RPM_Y 276 SITEPROP SLICE_X48Y138 SITE_PIPS SITEPROP SLICE_X48Y138 SITE_TYPE SLICEL SITEPROP SLICE_X48Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y139 CLASS site SITEPROP SLICE_X48Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y139 IS_BONDED 0 SITEPROP SLICE_X48Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y139 IS_PAD 0 SITEPROP SLICE_X48Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y139 IS_RESERVED 0 SITEPROP SLICE_X48Y139 IS_TEST 0 SITEPROP SLICE_X48Y139 IS_USED 0 SITEPROP SLICE_X48Y139 MANUAL_ROUTING SITEPROP SLICE_X48Y139 NAME SLICE_X48Y139 SITEPROP SLICE_X48Y139 NUM_ARCS 138 SITEPROP SLICE_X48Y139 NUM_BELS 32 SITEPROP SLICE_X48Y139 NUM_INPUTS 32 SITEPROP SLICE_X48Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y139 NUM_PINS 45 SITEPROP SLICE_X48Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y139 PROHIBIT 0 SITEPROP SLICE_X48Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y139 RPM_X 129 SITEPROP SLICE_X48Y139 RPM_Y 278 SITEPROP SLICE_X48Y139 SITE_PIPS SITEPROP SLICE_X48Y139 SITE_TYPE SLICEL SITEPROP SLICE_X48Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y140 CLASS site SITEPROP SLICE_X48Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y140 IS_BONDED 0 SITEPROP SLICE_X48Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y140 IS_PAD 0 SITEPROP SLICE_X48Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y140 IS_RESERVED 0 SITEPROP SLICE_X48Y140 IS_TEST 0 SITEPROP SLICE_X48Y140 IS_USED 0 SITEPROP SLICE_X48Y140 MANUAL_ROUTING SITEPROP SLICE_X48Y140 NAME SLICE_X48Y140 SITEPROP SLICE_X48Y140 NUM_ARCS 138 SITEPROP SLICE_X48Y140 NUM_BELS 32 SITEPROP SLICE_X48Y140 NUM_INPUTS 32 SITEPROP SLICE_X48Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y140 NUM_PINS 45 SITEPROP SLICE_X48Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y140 PROHIBIT 0 SITEPROP SLICE_X48Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y140 RPM_X 129 SITEPROP SLICE_X48Y140 RPM_Y 280 SITEPROP SLICE_X48Y140 SITE_PIPS SITEPROP SLICE_X48Y140 SITE_TYPE SLICEL SITEPROP SLICE_X48Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y141 CLASS site SITEPROP SLICE_X48Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y141 IS_BONDED 0 SITEPROP SLICE_X48Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y141 IS_PAD 0 SITEPROP SLICE_X48Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y141 IS_RESERVED 0 SITEPROP SLICE_X48Y141 IS_TEST 0 SITEPROP SLICE_X48Y141 IS_USED 0 SITEPROP SLICE_X48Y141 MANUAL_ROUTING SITEPROP SLICE_X48Y141 NAME SLICE_X48Y141 SITEPROP SLICE_X48Y141 NUM_ARCS 138 SITEPROP SLICE_X48Y141 NUM_BELS 32 SITEPROP SLICE_X48Y141 NUM_INPUTS 32 SITEPROP SLICE_X48Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y141 NUM_PINS 45 SITEPROP SLICE_X48Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y141 PROHIBIT 0 SITEPROP SLICE_X48Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y141 RPM_X 129 SITEPROP SLICE_X48Y141 RPM_Y 282 SITEPROP SLICE_X48Y141 SITE_PIPS SITEPROP SLICE_X48Y141 SITE_TYPE SLICEL SITEPROP SLICE_X48Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y142 CLASS site SITEPROP SLICE_X48Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y142 IS_BONDED 0 SITEPROP SLICE_X48Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y142 IS_PAD 0 SITEPROP SLICE_X48Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y142 IS_RESERVED 0 SITEPROP SLICE_X48Y142 IS_TEST 0 SITEPROP SLICE_X48Y142 IS_USED 0 SITEPROP SLICE_X48Y142 MANUAL_ROUTING SITEPROP SLICE_X48Y142 NAME SLICE_X48Y142 SITEPROP SLICE_X48Y142 NUM_ARCS 138 SITEPROP SLICE_X48Y142 NUM_BELS 32 SITEPROP SLICE_X48Y142 NUM_INPUTS 32 SITEPROP SLICE_X48Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y142 NUM_PINS 45 SITEPROP SLICE_X48Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y142 PROHIBIT 0 SITEPROP SLICE_X48Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y142 RPM_X 129 SITEPROP SLICE_X48Y142 RPM_Y 284 SITEPROP SLICE_X48Y142 SITE_PIPS SITEPROP SLICE_X48Y142 SITE_TYPE SLICEL SITEPROP SLICE_X48Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y143 CLASS site SITEPROP SLICE_X48Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y143 IS_BONDED 0 SITEPROP SLICE_X48Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y143 IS_PAD 0 SITEPROP SLICE_X48Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y143 IS_RESERVED 0 SITEPROP SLICE_X48Y143 IS_TEST 0 SITEPROP SLICE_X48Y143 IS_USED 0 SITEPROP SLICE_X48Y143 MANUAL_ROUTING SITEPROP SLICE_X48Y143 NAME SLICE_X48Y143 SITEPROP SLICE_X48Y143 NUM_ARCS 138 SITEPROP SLICE_X48Y143 NUM_BELS 32 SITEPROP SLICE_X48Y143 NUM_INPUTS 32 SITEPROP SLICE_X48Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y143 NUM_PINS 45 SITEPROP SLICE_X48Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y143 PROHIBIT 0 SITEPROP SLICE_X48Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y143 RPM_X 129 SITEPROP SLICE_X48Y143 RPM_Y 286 SITEPROP SLICE_X48Y143 SITE_PIPS SITEPROP SLICE_X48Y143 SITE_TYPE SLICEL SITEPROP SLICE_X48Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y144 CLASS site SITEPROP SLICE_X48Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y144 IS_BONDED 0 SITEPROP SLICE_X48Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y144 IS_PAD 0 SITEPROP SLICE_X48Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y144 IS_RESERVED 0 SITEPROP SLICE_X48Y144 IS_TEST 0 SITEPROP SLICE_X48Y144 IS_USED 0 SITEPROP SLICE_X48Y144 MANUAL_ROUTING SITEPROP SLICE_X48Y144 NAME SLICE_X48Y144 SITEPROP SLICE_X48Y144 NUM_ARCS 138 SITEPROP SLICE_X48Y144 NUM_BELS 32 SITEPROP SLICE_X48Y144 NUM_INPUTS 32 SITEPROP SLICE_X48Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y144 NUM_PINS 45 SITEPROP SLICE_X48Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y144 PROHIBIT 0 SITEPROP SLICE_X48Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y144 RPM_X 129 SITEPROP SLICE_X48Y144 RPM_Y 288 SITEPROP SLICE_X48Y144 SITE_PIPS SITEPROP SLICE_X48Y144 SITE_TYPE SLICEL SITEPROP SLICE_X48Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y145 CLASS site SITEPROP SLICE_X48Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y145 IS_BONDED 0 SITEPROP SLICE_X48Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y145 IS_PAD 0 SITEPROP SLICE_X48Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y145 IS_RESERVED 0 SITEPROP SLICE_X48Y145 IS_TEST 0 SITEPROP SLICE_X48Y145 IS_USED 0 SITEPROP SLICE_X48Y145 MANUAL_ROUTING SITEPROP SLICE_X48Y145 NAME SLICE_X48Y145 SITEPROP SLICE_X48Y145 NUM_ARCS 138 SITEPROP SLICE_X48Y145 NUM_BELS 32 SITEPROP SLICE_X48Y145 NUM_INPUTS 32 SITEPROP SLICE_X48Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y145 NUM_PINS 45 SITEPROP SLICE_X48Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y145 PROHIBIT 0 SITEPROP SLICE_X48Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y145 RPM_X 129 SITEPROP SLICE_X48Y145 RPM_Y 290 SITEPROP SLICE_X48Y145 SITE_PIPS SITEPROP SLICE_X48Y145 SITE_TYPE SLICEL SITEPROP SLICE_X48Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y146 CLASS site SITEPROP SLICE_X48Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y146 IS_BONDED 0 SITEPROP SLICE_X48Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y146 IS_PAD 0 SITEPROP SLICE_X48Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y146 IS_RESERVED 0 SITEPROP SLICE_X48Y146 IS_TEST 0 SITEPROP SLICE_X48Y146 IS_USED 0 SITEPROP SLICE_X48Y146 MANUAL_ROUTING SITEPROP SLICE_X48Y146 NAME SLICE_X48Y146 SITEPROP SLICE_X48Y146 NUM_ARCS 138 SITEPROP SLICE_X48Y146 NUM_BELS 32 SITEPROP SLICE_X48Y146 NUM_INPUTS 32 SITEPROP SLICE_X48Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y146 NUM_PINS 45 SITEPROP SLICE_X48Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y146 PROHIBIT 0 SITEPROP SLICE_X48Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y146 RPM_X 129 SITEPROP SLICE_X48Y146 RPM_Y 292 SITEPROP SLICE_X48Y146 SITE_PIPS SITEPROP SLICE_X48Y146 SITE_TYPE SLICEL SITEPROP SLICE_X48Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y147 CLASS site SITEPROP SLICE_X48Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y147 IS_BONDED 0 SITEPROP SLICE_X48Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y147 IS_PAD 0 SITEPROP SLICE_X48Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y147 IS_RESERVED 0 SITEPROP SLICE_X48Y147 IS_TEST 0 SITEPROP SLICE_X48Y147 IS_USED 0 SITEPROP SLICE_X48Y147 MANUAL_ROUTING SITEPROP SLICE_X48Y147 NAME SLICE_X48Y147 SITEPROP SLICE_X48Y147 NUM_ARCS 138 SITEPROP SLICE_X48Y147 NUM_BELS 32 SITEPROP SLICE_X48Y147 NUM_INPUTS 32 SITEPROP SLICE_X48Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y147 NUM_PINS 45 SITEPROP SLICE_X48Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y147 PROHIBIT 0 SITEPROP SLICE_X48Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y147 RPM_X 129 SITEPROP SLICE_X48Y147 RPM_Y 294 SITEPROP SLICE_X48Y147 SITE_PIPS SITEPROP SLICE_X48Y147 SITE_TYPE SLICEL SITEPROP SLICE_X48Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y148 CLASS site SITEPROP SLICE_X48Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y148 IS_BONDED 0 SITEPROP SLICE_X48Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y148 IS_PAD 0 SITEPROP SLICE_X48Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y148 IS_RESERVED 0 SITEPROP SLICE_X48Y148 IS_TEST 0 SITEPROP SLICE_X48Y148 IS_USED 0 SITEPROP SLICE_X48Y148 MANUAL_ROUTING SITEPROP SLICE_X48Y148 NAME SLICE_X48Y148 SITEPROP SLICE_X48Y148 NUM_ARCS 138 SITEPROP SLICE_X48Y148 NUM_BELS 32 SITEPROP SLICE_X48Y148 NUM_INPUTS 32 SITEPROP SLICE_X48Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y148 NUM_PINS 45 SITEPROP SLICE_X48Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y148 PROHIBIT 0 SITEPROP SLICE_X48Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y148 RPM_X 129 SITEPROP SLICE_X48Y148 RPM_Y 296 SITEPROP SLICE_X48Y148 SITE_PIPS SITEPROP SLICE_X48Y148 SITE_TYPE SLICEL SITEPROP SLICE_X48Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X48Y149 CLASS site SITEPROP SLICE_X48Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X48Y149 IS_BONDED 0 SITEPROP SLICE_X48Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X48Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y149 IS_PAD 0 SITEPROP SLICE_X48Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X48Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X48Y149 IS_RESERVED 0 SITEPROP SLICE_X48Y149 IS_TEST 0 SITEPROP SLICE_X48Y149 IS_USED 0 SITEPROP SLICE_X48Y149 MANUAL_ROUTING SITEPROP SLICE_X48Y149 NAME SLICE_X48Y149 SITEPROP SLICE_X48Y149 NUM_ARCS 138 SITEPROP SLICE_X48Y149 NUM_BELS 32 SITEPROP SLICE_X48Y149 NUM_INPUTS 32 SITEPROP SLICE_X48Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X48Y149 NUM_PINS 45 SITEPROP SLICE_X48Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X48Y149 PROHIBIT 0 SITEPROP SLICE_X48Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X48Y149 RPM_X 129 SITEPROP SLICE_X48Y149 RPM_Y 298 SITEPROP SLICE_X48Y149 SITE_PIPS SITEPROP SLICE_X48Y149 SITE_TYPE SLICEL SITEPROP SLICE_X49Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y0 CLASS site SITEPROP SLICE_X49Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y0 IS_BONDED 0 SITEPROP SLICE_X49Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y0 IS_PAD 0 SITEPROP SLICE_X49Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y0 IS_RESERVED 0 SITEPROP SLICE_X49Y0 IS_TEST 0 SITEPROP SLICE_X49Y0 IS_USED 0 SITEPROP SLICE_X49Y0 MANUAL_ROUTING SITEPROP SLICE_X49Y0 NAME SLICE_X49Y0 SITEPROP SLICE_X49Y0 NUM_ARCS 138 SITEPROP SLICE_X49Y0 NUM_BELS 32 SITEPROP SLICE_X49Y0 NUM_INPUTS 32 SITEPROP SLICE_X49Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y0 NUM_PINS 45 SITEPROP SLICE_X49Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y0 PROHIBIT 0 SITEPROP SLICE_X49Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y0 RPM_X 131 SITEPROP SLICE_X49Y0 RPM_Y 0 SITEPROP SLICE_X49Y0 SITE_PIPS SITEPROP SLICE_X49Y0 SITE_TYPE SLICEL SITEPROP SLICE_X49Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y1 CLASS site SITEPROP SLICE_X49Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y1 IS_BONDED 0 SITEPROP SLICE_X49Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y1 IS_PAD 0 SITEPROP SLICE_X49Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y1 IS_RESERVED 0 SITEPROP SLICE_X49Y1 IS_TEST 0 SITEPROP SLICE_X49Y1 IS_USED 0 SITEPROP SLICE_X49Y1 MANUAL_ROUTING SITEPROP SLICE_X49Y1 NAME SLICE_X49Y1 SITEPROP SLICE_X49Y1 NUM_ARCS 138 SITEPROP SLICE_X49Y1 NUM_BELS 32 SITEPROP SLICE_X49Y1 NUM_INPUTS 32 SITEPROP SLICE_X49Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y1 NUM_PINS 45 SITEPROP SLICE_X49Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y1 PROHIBIT 0 SITEPROP SLICE_X49Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y1 RPM_X 131 SITEPROP SLICE_X49Y1 RPM_Y 2 SITEPROP SLICE_X49Y1 SITE_PIPS SITEPROP SLICE_X49Y1 SITE_TYPE SLICEL SITEPROP SLICE_X49Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y2 CLASS site SITEPROP SLICE_X49Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y2 IS_BONDED 0 SITEPROP SLICE_X49Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y2 IS_PAD 0 SITEPROP SLICE_X49Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y2 IS_RESERVED 0 SITEPROP SLICE_X49Y2 IS_TEST 0 SITEPROP SLICE_X49Y2 IS_USED 0 SITEPROP SLICE_X49Y2 MANUAL_ROUTING SITEPROP SLICE_X49Y2 NAME SLICE_X49Y2 SITEPROP SLICE_X49Y2 NUM_ARCS 138 SITEPROP SLICE_X49Y2 NUM_BELS 32 SITEPROP SLICE_X49Y2 NUM_INPUTS 32 SITEPROP SLICE_X49Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y2 NUM_PINS 45 SITEPROP SLICE_X49Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y2 PROHIBIT 0 SITEPROP SLICE_X49Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y2 RPM_X 131 SITEPROP SLICE_X49Y2 RPM_Y 4 SITEPROP SLICE_X49Y2 SITE_PIPS SITEPROP SLICE_X49Y2 SITE_TYPE SLICEL SITEPROP SLICE_X49Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y3 CLASS site SITEPROP SLICE_X49Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y3 IS_BONDED 0 SITEPROP SLICE_X49Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y3 IS_PAD 0 SITEPROP SLICE_X49Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y3 IS_RESERVED 0 SITEPROP SLICE_X49Y3 IS_TEST 0 SITEPROP SLICE_X49Y3 IS_USED 0 SITEPROP SLICE_X49Y3 MANUAL_ROUTING SITEPROP SLICE_X49Y3 NAME SLICE_X49Y3 SITEPROP SLICE_X49Y3 NUM_ARCS 138 SITEPROP SLICE_X49Y3 NUM_BELS 32 SITEPROP SLICE_X49Y3 NUM_INPUTS 32 SITEPROP SLICE_X49Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y3 NUM_PINS 45 SITEPROP SLICE_X49Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y3 PROHIBIT 0 SITEPROP SLICE_X49Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y3 RPM_X 131 SITEPROP SLICE_X49Y3 RPM_Y 6 SITEPROP SLICE_X49Y3 SITE_PIPS SITEPROP SLICE_X49Y3 SITE_TYPE SLICEL SITEPROP SLICE_X49Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y4 CLASS site SITEPROP SLICE_X49Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y4 IS_BONDED 0 SITEPROP SLICE_X49Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y4 IS_PAD 0 SITEPROP SLICE_X49Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y4 IS_RESERVED 0 SITEPROP SLICE_X49Y4 IS_TEST 0 SITEPROP SLICE_X49Y4 IS_USED 0 SITEPROP SLICE_X49Y4 MANUAL_ROUTING SITEPROP SLICE_X49Y4 NAME SLICE_X49Y4 SITEPROP SLICE_X49Y4 NUM_ARCS 138 SITEPROP SLICE_X49Y4 NUM_BELS 32 SITEPROP SLICE_X49Y4 NUM_INPUTS 32 SITEPROP SLICE_X49Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y4 NUM_PINS 45 SITEPROP SLICE_X49Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y4 PROHIBIT 0 SITEPROP SLICE_X49Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y4 RPM_X 131 SITEPROP SLICE_X49Y4 RPM_Y 8 SITEPROP SLICE_X49Y4 SITE_PIPS SITEPROP SLICE_X49Y4 SITE_TYPE SLICEL SITEPROP SLICE_X49Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y5 CLASS site SITEPROP SLICE_X49Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y5 IS_BONDED 0 SITEPROP SLICE_X49Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y5 IS_PAD 0 SITEPROP SLICE_X49Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y5 IS_RESERVED 0 SITEPROP SLICE_X49Y5 IS_TEST 0 SITEPROP SLICE_X49Y5 IS_USED 0 SITEPROP SLICE_X49Y5 MANUAL_ROUTING SITEPROP SLICE_X49Y5 NAME SLICE_X49Y5 SITEPROP SLICE_X49Y5 NUM_ARCS 138 SITEPROP SLICE_X49Y5 NUM_BELS 32 SITEPROP SLICE_X49Y5 NUM_INPUTS 32 SITEPROP SLICE_X49Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y5 NUM_PINS 45 SITEPROP SLICE_X49Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y5 PROHIBIT 0 SITEPROP SLICE_X49Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y5 RPM_X 131 SITEPROP SLICE_X49Y5 RPM_Y 10 SITEPROP SLICE_X49Y5 SITE_PIPS SITEPROP SLICE_X49Y5 SITE_TYPE SLICEL SITEPROP SLICE_X49Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y6 CLASS site SITEPROP SLICE_X49Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y6 IS_BONDED 0 SITEPROP SLICE_X49Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y6 IS_PAD 0 SITEPROP SLICE_X49Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y6 IS_RESERVED 0 SITEPROP SLICE_X49Y6 IS_TEST 0 SITEPROP SLICE_X49Y6 IS_USED 0 SITEPROP SLICE_X49Y6 MANUAL_ROUTING SITEPROP SLICE_X49Y6 NAME SLICE_X49Y6 SITEPROP SLICE_X49Y6 NUM_ARCS 138 SITEPROP SLICE_X49Y6 NUM_BELS 32 SITEPROP SLICE_X49Y6 NUM_INPUTS 32 SITEPROP SLICE_X49Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y6 NUM_PINS 45 SITEPROP SLICE_X49Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y6 PROHIBIT 0 SITEPROP SLICE_X49Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y6 RPM_X 131 SITEPROP SLICE_X49Y6 RPM_Y 12 SITEPROP SLICE_X49Y6 SITE_PIPS SITEPROP SLICE_X49Y6 SITE_TYPE SLICEL SITEPROP SLICE_X49Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y7 CLASS site SITEPROP SLICE_X49Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y7 IS_BONDED 0 SITEPROP SLICE_X49Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y7 IS_PAD 0 SITEPROP SLICE_X49Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y7 IS_RESERVED 0 SITEPROP SLICE_X49Y7 IS_TEST 0 SITEPROP SLICE_X49Y7 IS_USED 0 SITEPROP SLICE_X49Y7 MANUAL_ROUTING SITEPROP SLICE_X49Y7 NAME SLICE_X49Y7 SITEPROP SLICE_X49Y7 NUM_ARCS 138 SITEPROP SLICE_X49Y7 NUM_BELS 32 SITEPROP SLICE_X49Y7 NUM_INPUTS 32 SITEPROP SLICE_X49Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y7 NUM_PINS 45 SITEPROP SLICE_X49Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y7 PROHIBIT 0 SITEPROP SLICE_X49Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y7 RPM_X 131 SITEPROP SLICE_X49Y7 RPM_Y 14 SITEPROP SLICE_X49Y7 SITE_PIPS SITEPROP SLICE_X49Y7 SITE_TYPE SLICEL SITEPROP SLICE_X49Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y8 CLASS site SITEPROP SLICE_X49Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y8 IS_BONDED 0 SITEPROP SLICE_X49Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y8 IS_PAD 0 SITEPROP SLICE_X49Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y8 IS_RESERVED 0 SITEPROP SLICE_X49Y8 IS_TEST 0 SITEPROP SLICE_X49Y8 IS_USED 0 SITEPROP SLICE_X49Y8 MANUAL_ROUTING SITEPROP SLICE_X49Y8 NAME SLICE_X49Y8 SITEPROP SLICE_X49Y8 NUM_ARCS 138 SITEPROP SLICE_X49Y8 NUM_BELS 32 SITEPROP SLICE_X49Y8 NUM_INPUTS 32 SITEPROP SLICE_X49Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y8 NUM_PINS 45 SITEPROP SLICE_X49Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y8 PROHIBIT 0 SITEPROP SLICE_X49Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y8 RPM_X 131 SITEPROP SLICE_X49Y8 RPM_Y 16 SITEPROP SLICE_X49Y8 SITE_PIPS SITEPROP SLICE_X49Y8 SITE_TYPE SLICEL SITEPROP SLICE_X49Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y9 CLASS site SITEPROP SLICE_X49Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y9 IS_BONDED 0 SITEPROP SLICE_X49Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y9 IS_PAD 0 SITEPROP SLICE_X49Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y9 IS_RESERVED 0 SITEPROP SLICE_X49Y9 IS_TEST 0 SITEPROP SLICE_X49Y9 IS_USED 0 SITEPROP SLICE_X49Y9 MANUAL_ROUTING SITEPROP SLICE_X49Y9 NAME SLICE_X49Y9 SITEPROP SLICE_X49Y9 NUM_ARCS 138 SITEPROP SLICE_X49Y9 NUM_BELS 32 SITEPROP SLICE_X49Y9 NUM_INPUTS 32 SITEPROP SLICE_X49Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y9 NUM_PINS 45 SITEPROP SLICE_X49Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y9 PROHIBIT 0 SITEPROP SLICE_X49Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y9 RPM_X 131 SITEPROP SLICE_X49Y9 RPM_Y 18 SITEPROP SLICE_X49Y9 SITE_PIPS SITEPROP SLICE_X49Y9 SITE_TYPE SLICEL SITEPROP SLICE_X49Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y10 CLASS site SITEPROP SLICE_X49Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y10 IS_BONDED 0 SITEPROP SLICE_X49Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y10 IS_PAD 0 SITEPROP SLICE_X49Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y10 IS_RESERVED 0 SITEPROP SLICE_X49Y10 IS_TEST 0 SITEPROP SLICE_X49Y10 IS_USED 0 SITEPROP SLICE_X49Y10 MANUAL_ROUTING SITEPROP SLICE_X49Y10 NAME SLICE_X49Y10 SITEPROP SLICE_X49Y10 NUM_ARCS 138 SITEPROP SLICE_X49Y10 NUM_BELS 32 SITEPROP SLICE_X49Y10 NUM_INPUTS 32 SITEPROP SLICE_X49Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y10 NUM_PINS 45 SITEPROP SLICE_X49Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y10 PROHIBIT 0 SITEPROP SLICE_X49Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y10 RPM_X 131 SITEPROP SLICE_X49Y10 RPM_Y 20 SITEPROP SLICE_X49Y10 SITE_PIPS SITEPROP SLICE_X49Y10 SITE_TYPE SLICEL SITEPROP SLICE_X49Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y11 CLASS site SITEPROP SLICE_X49Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y11 IS_BONDED 0 SITEPROP SLICE_X49Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y11 IS_PAD 0 SITEPROP SLICE_X49Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y11 IS_RESERVED 0 SITEPROP SLICE_X49Y11 IS_TEST 0 SITEPROP SLICE_X49Y11 IS_USED 0 SITEPROP SLICE_X49Y11 MANUAL_ROUTING SITEPROP SLICE_X49Y11 NAME SLICE_X49Y11 SITEPROP SLICE_X49Y11 NUM_ARCS 138 SITEPROP SLICE_X49Y11 NUM_BELS 32 SITEPROP SLICE_X49Y11 NUM_INPUTS 32 SITEPROP SLICE_X49Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y11 NUM_PINS 45 SITEPROP SLICE_X49Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y11 PROHIBIT 0 SITEPROP SLICE_X49Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y11 RPM_X 131 SITEPROP SLICE_X49Y11 RPM_Y 22 SITEPROP SLICE_X49Y11 SITE_PIPS SITEPROP SLICE_X49Y11 SITE_TYPE SLICEL SITEPROP SLICE_X49Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y12 CLASS site SITEPROP SLICE_X49Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y12 IS_BONDED 0 SITEPROP SLICE_X49Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y12 IS_PAD 0 SITEPROP SLICE_X49Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y12 IS_RESERVED 0 SITEPROP SLICE_X49Y12 IS_TEST 0 SITEPROP SLICE_X49Y12 IS_USED 0 SITEPROP SLICE_X49Y12 MANUAL_ROUTING SITEPROP SLICE_X49Y12 NAME SLICE_X49Y12 SITEPROP SLICE_X49Y12 NUM_ARCS 138 SITEPROP SLICE_X49Y12 NUM_BELS 32 SITEPROP SLICE_X49Y12 NUM_INPUTS 32 SITEPROP SLICE_X49Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y12 NUM_PINS 45 SITEPROP SLICE_X49Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y12 PROHIBIT 0 SITEPROP SLICE_X49Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y12 RPM_X 131 SITEPROP SLICE_X49Y12 RPM_Y 24 SITEPROP SLICE_X49Y12 SITE_PIPS SITEPROP SLICE_X49Y12 SITE_TYPE SLICEL SITEPROP SLICE_X49Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y13 CLASS site SITEPROP SLICE_X49Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y13 IS_BONDED 0 SITEPROP SLICE_X49Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y13 IS_PAD 0 SITEPROP SLICE_X49Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y13 IS_RESERVED 0 SITEPROP SLICE_X49Y13 IS_TEST 0 SITEPROP SLICE_X49Y13 IS_USED 0 SITEPROP SLICE_X49Y13 MANUAL_ROUTING SITEPROP SLICE_X49Y13 NAME SLICE_X49Y13 SITEPROP SLICE_X49Y13 NUM_ARCS 138 SITEPROP SLICE_X49Y13 NUM_BELS 32 SITEPROP SLICE_X49Y13 NUM_INPUTS 32 SITEPROP SLICE_X49Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y13 NUM_PINS 45 SITEPROP SLICE_X49Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y13 PROHIBIT 0 SITEPROP SLICE_X49Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y13 RPM_X 131 SITEPROP SLICE_X49Y13 RPM_Y 26 SITEPROP SLICE_X49Y13 SITE_PIPS SITEPROP SLICE_X49Y13 SITE_TYPE SLICEL SITEPROP SLICE_X49Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y14 CLASS site SITEPROP SLICE_X49Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y14 IS_BONDED 0 SITEPROP SLICE_X49Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y14 IS_PAD 0 SITEPROP SLICE_X49Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y14 IS_RESERVED 0 SITEPROP SLICE_X49Y14 IS_TEST 0 SITEPROP SLICE_X49Y14 IS_USED 0 SITEPROP SLICE_X49Y14 MANUAL_ROUTING SITEPROP SLICE_X49Y14 NAME SLICE_X49Y14 SITEPROP SLICE_X49Y14 NUM_ARCS 138 SITEPROP SLICE_X49Y14 NUM_BELS 32 SITEPROP SLICE_X49Y14 NUM_INPUTS 32 SITEPROP SLICE_X49Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y14 NUM_PINS 45 SITEPROP SLICE_X49Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y14 PROHIBIT 0 SITEPROP SLICE_X49Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y14 RPM_X 131 SITEPROP SLICE_X49Y14 RPM_Y 28 SITEPROP SLICE_X49Y14 SITE_PIPS SITEPROP SLICE_X49Y14 SITE_TYPE SLICEL SITEPROP SLICE_X49Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y15 CLASS site SITEPROP SLICE_X49Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y15 IS_BONDED 0 SITEPROP SLICE_X49Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y15 IS_PAD 0 SITEPROP SLICE_X49Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y15 IS_RESERVED 0 SITEPROP SLICE_X49Y15 IS_TEST 0 SITEPROP SLICE_X49Y15 IS_USED 0 SITEPROP SLICE_X49Y15 MANUAL_ROUTING SITEPROP SLICE_X49Y15 NAME SLICE_X49Y15 SITEPROP SLICE_X49Y15 NUM_ARCS 138 SITEPROP SLICE_X49Y15 NUM_BELS 32 SITEPROP SLICE_X49Y15 NUM_INPUTS 32 SITEPROP SLICE_X49Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y15 NUM_PINS 45 SITEPROP SLICE_X49Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y15 PROHIBIT 0 SITEPROP SLICE_X49Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y15 RPM_X 131 SITEPROP SLICE_X49Y15 RPM_Y 30 SITEPROP SLICE_X49Y15 SITE_PIPS SITEPROP SLICE_X49Y15 SITE_TYPE SLICEL SITEPROP SLICE_X49Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y16 CLASS site SITEPROP SLICE_X49Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y16 IS_BONDED 0 SITEPROP SLICE_X49Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y16 IS_PAD 0 SITEPROP SLICE_X49Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y16 IS_RESERVED 0 SITEPROP SLICE_X49Y16 IS_TEST 0 SITEPROP SLICE_X49Y16 IS_USED 0 SITEPROP SLICE_X49Y16 MANUAL_ROUTING SITEPROP SLICE_X49Y16 NAME SLICE_X49Y16 SITEPROP SLICE_X49Y16 NUM_ARCS 138 SITEPROP SLICE_X49Y16 NUM_BELS 32 SITEPROP SLICE_X49Y16 NUM_INPUTS 32 SITEPROP SLICE_X49Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y16 NUM_PINS 45 SITEPROP SLICE_X49Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y16 PROHIBIT 0 SITEPROP SLICE_X49Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y16 RPM_X 131 SITEPROP SLICE_X49Y16 RPM_Y 32 SITEPROP SLICE_X49Y16 SITE_PIPS SITEPROP SLICE_X49Y16 SITE_TYPE SLICEL SITEPROP SLICE_X49Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y17 CLASS site SITEPROP SLICE_X49Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y17 IS_BONDED 0 SITEPROP SLICE_X49Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y17 IS_PAD 0 SITEPROP SLICE_X49Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y17 IS_RESERVED 0 SITEPROP SLICE_X49Y17 IS_TEST 0 SITEPROP SLICE_X49Y17 IS_USED 0 SITEPROP SLICE_X49Y17 MANUAL_ROUTING SITEPROP SLICE_X49Y17 NAME SLICE_X49Y17 SITEPROP SLICE_X49Y17 NUM_ARCS 138 SITEPROP SLICE_X49Y17 NUM_BELS 32 SITEPROP SLICE_X49Y17 NUM_INPUTS 32 SITEPROP SLICE_X49Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y17 NUM_PINS 45 SITEPROP SLICE_X49Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y17 PROHIBIT 0 SITEPROP SLICE_X49Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y17 RPM_X 131 SITEPROP SLICE_X49Y17 RPM_Y 34 SITEPROP SLICE_X49Y17 SITE_PIPS SITEPROP SLICE_X49Y17 SITE_TYPE SLICEL SITEPROP SLICE_X49Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y18 CLASS site SITEPROP SLICE_X49Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y18 IS_BONDED 0 SITEPROP SLICE_X49Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y18 IS_PAD 0 SITEPROP SLICE_X49Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y18 IS_RESERVED 0 SITEPROP SLICE_X49Y18 IS_TEST 0 SITEPROP SLICE_X49Y18 IS_USED 0 SITEPROP SLICE_X49Y18 MANUAL_ROUTING SITEPROP SLICE_X49Y18 NAME SLICE_X49Y18 SITEPROP SLICE_X49Y18 NUM_ARCS 138 SITEPROP SLICE_X49Y18 NUM_BELS 32 SITEPROP SLICE_X49Y18 NUM_INPUTS 32 SITEPROP SLICE_X49Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y18 NUM_PINS 45 SITEPROP SLICE_X49Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y18 PROHIBIT 0 SITEPROP SLICE_X49Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y18 RPM_X 131 SITEPROP SLICE_X49Y18 RPM_Y 36 SITEPROP SLICE_X49Y18 SITE_PIPS SITEPROP SLICE_X49Y18 SITE_TYPE SLICEL SITEPROP SLICE_X49Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y19 CLASS site SITEPROP SLICE_X49Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y19 IS_BONDED 0 SITEPROP SLICE_X49Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y19 IS_PAD 0 SITEPROP SLICE_X49Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y19 IS_RESERVED 0 SITEPROP SLICE_X49Y19 IS_TEST 0 SITEPROP SLICE_X49Y19 IS_USED 0 SITEPROP SLICE_X49Y19 MANUAL_ROUTING SITEPROP SLICE_X49Y19 NAME SLICE_X49Y19 SITEPROP SLICE_X49Y19 NUM_ARCS 138 SITEPROP SLICE_X49Y19 NUM_BELS 32 SITEPROP SLICE_X49Y19 NUM_INPUTS 32 SITEPROP SLICE_X49Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y19 NUM_PINS 45 SITEPROP SLICE_X49Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y19 PROHIBIT 0 SITEPROP SLICE_X49Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y19 RPM_X 131 SITEPROP SLICE_X49Y19 RPM_Y 38 SITEPROP SLICE_X49Y19 SITE_PIPS SITEPROP SLICE_X49Y19 SITE_TYPE SLICEL SITEPROP SLICE_X49Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y20 CLASS site SITEPROP SLICE_X49Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y20 IS_BONDED 0 SITEPROP SLICE_X49Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y20 IS_PAD 0 SITEPROP SLICE_X49Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y20 IS_RESERVED 0 SITEPROP SLICE_X49Y20 IS_TEST 0 SITEPROP SLICE_X49Y20 IS_USED 0 SITEPROP SLICE_X49Y20 MANUAL_ROUTING SITEPROP SLICE_X49Y20 NAME SLICE_X49Y20 SITEPROP SLICE_X49Y20 NUM_ARCS 138 SITEPROP SLICE_X49Y20 NUM_BELS 32 SITEPROP SLICE_X49Y20 NUM_INPUTS 32 SITEPROP SLICE_X49Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y20 NUM_PINS 45 SITEPROP SLICE_X49Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y20 PROHIBIT 0 SITEPROP SLICE_X49Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y20 RPM_X 131 SITEPROP SLICE_X49Y20 RPM_Y 40 SITEPROP SLICE_X49Y20 SITE_PIPS SITEPROP SLICE_X49Y20 SITE_TYPE SLICEL SITEPROP SLICE_X49Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y21 CLASS site SITEPROP SLICE_X49Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y21 IS_BONDED 0 SITEPROP SLICE_X49Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y21 IS_PAD 0 SITEPROP SLICE_X49Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y21 IS_RESERVED 0 SITEPROP SLICE_X49Y21 IS_TEST 0 SITEPROP SLICE_X49Y21 IS_USED 0 SITEPROP SLICE_X49Y21 MANUAL_ROUTING SITEPROP SLICE_X49Y21 NAME SLICE_X49Y21 SITEPROP SLICE_X49Y21 NUM_ARCS 138 SITEPROP SLICE_X49Y21 NUM_BELS 32 SITEPROP SLICE_X49Y21 NUM_INPUTS 32 SITEPROP SLICE_X49Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y21 NUM_PINS 45 SITEPROP SLICE_X49Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y21 PROHIBIT 0 SITEPROP SLICE_X49Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y21 RPM_X 131 SITEPROP SLICE_X49Y21 RPM_Y 42 SITEPROP SLICE_X49Y21 SITE_PIPS SITEPROP SLICE_X49Y21 SITE_TYPE SLICEL SITEPROP SLICE_X49Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y22 CLASS site SITEPROP SLICE_X49Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y22 IS_BONDED 0 SITEPROP SLICE_X49Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y22 IS_PAD 0 SITEPROP SLICE_X49Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y22 IS_RESERVED 0 SITEPROP SLICE_X49Y22 IS_TEST 0 SITEPROP SLICE_X49Y22 IS_USED 0 SITEPROP SLICE_X49Y22 MANUAL_ROUTING SITEPROP SLICE_X49Y22 NAME SLICE_X49Y22 SITEPROP SLICE_X49Y22 NUM_ARCS 138 SITEPROP SLICE_X49Y22 NUM_BELS 32 SITEPROP SLICE_X49Y22 NUM_INPUTS 32 SITEPROP SLICE_X49Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y22 NUM_PINS 45 SITEPROP SLICE_X49Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y22 PROHIBIT 0 SITEPROP SLICE_X49Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y22 RPM_X 131 SITEPROP SLICE_X49Y22 RPM_Y 44 SITEPROP SLICE_X49Y22 SITE_PIPS SITEPROP SLICE_X49Y22 SITE_TYPE SLICEL SITEPROP SLICE_X49Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y23 CLASS site SITEPROP SLICE_X49Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y23 IS_BONDED 0 SITEPROP SLICE_X49Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y23 IS_PAD 0 SITEPROP SLICE_X49Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y23 IS_RESERVED 0 SITEPROP SLICE_X49Y23 IS_TEST 0 SITEPROP SLICE_X49Y23 IS_USED 0 SITEPROP SLICE_X49Y23 MANUAL_ROUTING SITEPROP SLICE_X49Y23 NAME SLICE_X49Y23 SITEPROP SLICE_X49Y23 NUM_ARCS 138 SITEPROP SLICE_X49Y23 NUM_BELS 32 SITEPROP SLICE_X49Y23 NUM_INPUTS 32 SITEPROP SLICE_X49Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y23 NUM_PINS 45 SITEPROP SLICE_X49Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y23 PROHIBIT 0 SITEPROP SLICE_X49Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y23 RPM_X 131 SITEPROP SLICE_X49Y23 RPM_Y 46 SITEPROP SLICE_X49Y23 SITE_PIPS SITEPROP SLICE_X49Y23 SITE_TYPE SLICEL SITEPROP SLICE_X49Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y24 CLASS site SITEPROP SLICE_X49Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y24 IS_BONDED 0 SITEPROP SLICE_X49Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y24 IS_PAD 0 SITEPROP SLICE_X49Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y24 IS_RESERVED 0 SITEPROP SLICE_X49Y24 IS_TEST 0 SITEPROP SLICE_X49Y24 IS_USED 0 SITEPROP SLICE_X49Y24 MANUAL_ROUTING SITEPROP SLICE_X49Y24 NAME SLICE_X49Y24 SITEPROP SLICE_X49Y24 NUM_ARCS 138 SITEPROP SLICE_X49Y24 NUM_BELS 32 SITEPROP SLICE_X49Y24 NUM_INPUTS 32 SITEPROP SLICE_X49Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y24 NUM_PINS 45 SITEPROP SLICE_X49Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y24 PROHIBIT 0 SITEPROP SLICE_X49Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y24 RPM_X 131 SITEPROP SLICE_X49Y24 RPM_Y 48 SITEPROP SLICE_X49Y24 SITE_PIPS SITEPROP SLICE_X49Y24 SITE_TYPE SLICEL SITEPROP SLICE_X49Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y25 CLASS site SITEPROP SLICE_X49Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y25 IS_BONDED 0 SITEPROP SLICE_X49Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y25 IS_PAD 0 SITEPROP SLICE_X49Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y25 IS_RESERVED 0 SITEPROP SLICE_X49Y25 IS_TEST 0 SITEPROP SLICE_X49Y25 IS_USED 0 SITEPROP SLICE_X49Y25 MANUAL_ROUTING SITEPROP SLICE_X49Y25 NAME SLICE_X49Y25 SITEPROP SLICE_X49Y25 NUM_ARCS 138 SITEPROP SLICE_X49Y25 NUM_BELS 32 SITEPROP SLICE_X49Y25 NUM_INPUTS 32 SITEPROP SLICE_X49Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y25 NUM_PINS 45 SITEPROP SLICE_X49Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y25 PROHIBIT 0 SITEPROP SLICE_X49Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y25 RPM_X 131 SITEPROP SLICE_X49Y25 RPM_Y 50 SITEPROP SLICE_X49Y25 SITE_PIPS SITEPROP SLICE_X49Y25 SITE_TYPE SLICEL SITEPROP SLICE_X49Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y26 CLASS site SITEPROP SLICE_X49Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y26 IS_BONDED 0 SITEPROP SLICE_X49Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y26 IS_PAD 0 SITEPROP SLICE_X49Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y26 IS_RESERVED 0 SITEPROP SLICE_X49Y26 IS_TEST 0 SITEPROP SLICE_X49Y26 IS_USED 0 SITEPROP SLICE_X49Y26 MANUAL_ROUTING SITEPROP SLICE_X49Y26 NAME SLICE_X49Y26 SITEPROP SLICE_X49Y26 NUM_ARCS 138 SITEPROP SLICE_X49Y26 NUM_BELS 32 SITEPROP SLICE_X49Y26 NUM_INPUTS 32 SITEPROP SLICE_X49Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y26 NUM_PINS 45 SITEPROP SLICE_X49Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y26 PROHIBIT 0 SITEPROP SLICE_X49Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y26 RPM_X 131 SITEPROP SLICE_X49Y26 RPM_Y 52 SITEPROP SLICE_X49Y26 SITE_PIPS SITEPROP SLICE_X49Y26 SITE_TYPE SLICEL SITEPROP SLICE_X49Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y27 CLASS site SITEPROP SLICE_X49Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y27 IS_BONDED 0 SITEPROP SLICE_X49Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y27 IS_PAD 0 SITEPROP SLICE_X49Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y27 IS_RESERVED 0 SITEPROP SLICE_X49Y27 IS_TEST 0 SITEPROP SLICE_X49Y27 IS_USED 0 SITEPROP SLICE_X49Y27 MANUAL_ROUTING SITEPROP SLICE_X49Y27 NAME SLICE_X49Y27 SITEPROP SLICE_X49Y27 NUM_ARCS 138 SITEPROP SLICE_X49Y27 NUM_BELS 32 SITEPROP SLICE_X49Y27 NUM_INPUTS 32 SITEPROP SLICE_X49Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y27 NUM_PINS 45 SITEPROP SLICE_X49Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y27 PROHIBIT 0 SITEPROP SLICE_X49Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y27 RPM_X 131 SITEPROP SLICE_X49Y27 RPM_Y 54 SITEPROP SLICE_X49Y27 SITE_PIPS SITEPROP SLICE_X49Y27 SITE_TYPE SLICEL SITEPROP SLICE_X49Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y28 CLASS site SITEPROP SLICE_X49Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y28 IS_BONDED 0 SITEPROP SLICE_X49Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y28 IS_PAD 0 SITEPROP SLICE_X49Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y28 IS_RESERVED 0 SITEPROP SLICE_X49Y28 IS_TEST 0 SITEPROP SLICE_X49Y28 IS_USED 0 SITEPROP SLICE_X49Y28 MANUAL_ROUTING SITEPROP SLICE_X49Y28 NAME SLICE_X49Y28 SITEPROP SLICE_X49Y28 NUM_ARCS 138 SITEPROP SLICE_X49Y28 NUM_BELS 32 SITEPROP SLICE_X49Y28 NUM_INPUTS 32 SITEPROP SLICE_X49Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y28 NUM_PINS 45 SITEPROP SLICE_X49Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y28 PROHIBIT 0 SITEPROP SLICE_X49Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y28 RPM_X 131 SITEPROP SLICE_X49Y28 RPM_Y 56 SITEPROP SLICE_X49Y28 SITE_PIPS SITEPROP SLICE_X49Y28 SITE_TYPE SLICEL SITEPROP SLICE_X49Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y29 CLASS site SITEPROP SLICE_X49Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y29 IS_BONDED 0 SITEPROP SLICE_X49Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y29 IS_PAD 0 SITEPROP SLICE_X49Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y29 IS_RESERVED 0 SITEPROP SLICE_X49Y29 IS_TEST 0 SITEPROP SLICE_X49Y29 IS_USED 0 SITEPROP SLICE_X49Y29 MANUAL_ROUTING SITEPROP SLICE_X49Y29 NAME SLICE_X49Y29 SITEPROP SLICE_X49Y29 NUM_ARCS 138 SITEPROP SLICE_X49Y29 NUM_BELS 32 SITEPROP SLICE_X49Y29 NUM_INPUTS 32 SITEPROP SLICE_X49Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y29 NUM_PINS 45 SITEPROP SLICE_X49Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y29 PROHIBIT 0 SITEPROP SLICE_X49Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y29 RPM_X 131 SITEPROP SLICE_X49Y29 RPM_Y 58 SITEPROP SLICE_X49Y29 SITE_PIPS SITEPROP SLICE_X49Y29 SITE_TYPE SLICEL SITEPROP SLICE_X49Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y30 CLASS site SITEPROP SLICE_X49Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y30 IS_BONDED 0 SITEPROP SLICE_X49Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y30 IS_PAD 0 SITEPROP SLICE_X49Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y30 IS_RESERVED 0 SITEPROP SLICE_X49Y30 IS_TEST 0 SITEPROP SLICE_X49Y30 IS_USED 0 SITEPROP SLICE_X49Y30 MANUAL_ROUTING SITEPROP SLICE_X49Y30 NAME SLICE_X49Y30 SITEPROP SLICE_X49Y30 NUM_ARCS 138 SITEPROP SLICE_X49Y30 NUM_BELS 32 SITEPROP SLICE_X49Y30 NUM_INPUTS 32 SITEPROP SLICE_X49Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y30 NUM_PINS 45 SITEPROP SLICE_X49Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y30 PROHIBIT 0 SITEPROP SLICE_X49Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y30 RPM_X 131 SITEPROP SLICE_X49Y30 RPM_Y 60 SITEPROP SLICE_X49Y30 SITE_PIPS SITEPROP SLICE_X49Y30 SITE_TYPE SLICEL SITEPROP SLICE_X49Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y31 CLASS site SITEPROP SLICE_X49Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y31 IS_BONDED 0 SITEPROP SLICE_X49Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y31 IS_PAD 0 SITEPROP SLICE_X49Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y31 IS_RESERVED 0 SITEPROP SLICE_X49Y31 IS_TEST 0 SITEPROP SLICE_X49Y31 IS_USED 0 SITEPROP SLICE_X49Y31 MANUAL_ROUTING SITEPROP SLICE_X49Y31 NAME SLICE_X49Y31 SITEPROP SLICE_X49Y31 NUM_ARCS 138 SITEPROP SLICE_X49Y31 NUM_BELS 32 SITEPROP SLICE_X49Y31 NUM_INPUTS 32 SITEPROP SLICE_X49Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y31 NUM_PINS 45 SITEPROP SLICE_X49Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y31 PROHIBIT 0 SITEPROP SLICE_X49Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y31 RPM_X 131 SITEPROP SLICE_X49Y31 RPM_Y 62 SITEPROP SLICE_X49Y31 SITE_PIPS SITEPROP SLICE_X49Y31 SITE_TYPE SLICEL SITEPROP SLICE_X49Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y32 CLASS site SITEPROP SLICE_X49Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y32 IS_BONDED 0 SITEPROP SLICE_X49Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y32 IS_PAD 0 SITEPROP SLICE_X49Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y32 IS_RESERVED 0 SITEPROP SLICE_X49Y32 IS_TEST 0 SITEPROP SLICE_X49Y32 IS_USED 0 SITEPROP SLICE_X49Y32 MANUAL_ROUTING SITEPROP SLICE_X49Y32 NAME SLICE_X49Y32 SITEPROP SLICE_X49Y32 NUM_ARCS 138 SITEPROP SLICE_X49Y32 NUM_BELS 32 SITEPROP SLICE_X49Y32 NUM_INPUTS 32 SITEPROP SLICE_X49Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y32 NUM_PINS 45 SITEPROP SLICE_X49Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y32 PROHIBIT 0 SITEPROP SLICE_X49Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y32 RPM_X 131 SITEPROP SLICE_X49Y32 RPM_Y 64 SITEPROP SLICE_X49Y32 SITE_PIPS SITEPROP SLICE_X49Y32 SITE_TYPE SLICEL SITEPROP SLICE_X49Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y33 CLASS site SITEPROP SLICE_X49Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y33 IS_BONDED 0 SITEPROP SLICE_X49Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y33 IS_PAD 0 SITEPROP SLICE_X49Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y33 IS_RESERVED 0 SITEPROP SLICE_X49Y33 IS_TEST 0 SITEPROP SLICE_X49Y33 IS_USED 0 SITEPROP SLICE_X49Y33 MANUAL_ROUTING SITEPROP SLICE_X49Y33 NAME SLICE_X49Y33 SITEPROP SLICE_X49Y33 NUM_ARCS 138 SITEPROP SLICE_X49Y33 NUM_BELS 32 SITEPROP SLICE_X49Y33 NUM_INPUTS 32 SITEPROP SLICE_X49Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y33 NUM_PINS 45 SITEPROP SLICE_X49Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y33 PROHIBIT 0 SITEPROP SLICE_X49Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y33 RPM_X 131 SITEPROP SLICE_X49Y33 RPM_Y 66 SITEPROP SLICE_X49Y33 SITE_PIPS SITEPROP SLICE_X49Y33 SITE_TYPE SLICEL SITEPROP SLICE_X49Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y34 CLASS site SITEPROP SLICE_X49Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y34 IS_BONDED 0 SITEPROP SLICE_X49Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y34 IS_PAD 0 SITEPROP SLICE_X49Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y34 IS_RESERVED 0 SITEPROP SLICE_X49Y34 IS_TEST 0 SITEPROP SLICE_X49Y34 IS_USED 0 SITEPROP SLICE_X49Y34 MANUAL_ROUTING SITEPROP SLICE_X49Y34 NAME SLICE_X49Y34 SITEPROP SLICE_X49Y34 NUM_ARCS 138 SITEPROP SLICE_X49Y34 NUM_BELS 32 SITEPROP SLICE_X49Y34 NUM_INPUTS 32 SITEPROP SLICE_X49Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y34 NUM_PINS 45 SITEPROP SLICE_X49Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y34 PROHIBIT 0 SITEPROP SLICE_X49Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y34 RPM_X 131 SITEPROP SLICE_X49Y34 RPM_Y 68 SITEPROP SLICE_X49Y34 SITE_PIPS SITEPROP SLICE_X49Y34 SITE_TYPE SLICEL SITEPROP SLICE_X49Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y35 CLASS site SITEPROP SLICE_X49Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y35 IS_BONDED 0 SITEPROP SLICE_X49Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y35 IS_PAD 0 SITEPROP SLICE_X49Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y35 IS_RESERVED 0 SITEPROP SLICE_X49Y35 IS_TEST 0 SITEPROP SLICE_X49Y35 IS_USED 0 SITEPROP SLICE_X49Y35 MANUAL_ROUTING SITEPROP SLICE_X49Y35 NAME SLICE_X49Y35 SITEPROP SLICE_X49Y35 NUM_ARCS 138 SITEPROP SLICE_X49Y35 NUM_BELS 32 SITEPROP SLICE_X49Y35 NUM_INPUTS 32 SITEPROP SLICE_X49Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y35 NUM_PINS 45 SITEPROP SLICE_X49Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y35 PROHIBIT 0 SITEPROP SLICE_X49Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y35 RPM_X 131 SITEPROP SLICE_X49Y35 RPM_Y 70 SITEPROP SLICE_X49Y35 SITE_PIPS SITEPROP SLICE_X49Y35 SITE_TYPE SLICEL SITEPROP SLICE_X49Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y36 CLASS site SITEPROP SLICE_X49Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y36 IS_BONDED 0 SITEPROP SLICE_X49Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y36 IS_PAD 0 SITEPROP SLICE_X49Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y36 IS_RESERVED 0 SITEPROP SLICE_X49Y36 IS_TEST 0 SITEPROP SLICE_X49Y36 IS_USED 0 SITEPROP SLICE_X49Y36 MANUAL_ROUTING SITEPROP SLICE_X49Y36 NAME SLICE_X49Y36 SITEPROP SLICE_X49Y36 NUM_ARCS 138 SITEPROP SLICE_X49Y36 NUM_BELS 32 SITEPROP SLICE_X49Y36 NUM_INPUTS 32 SITEPROP SLICE_X49Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y36 NUM_PINS 45 SITEPROP SLICE_X49Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y36 PROHIBIT 0 SITEPROP SLICE_X49Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y36 RPM_X 131 SITEPROP SLICE_X49Y36 RPM_Y 72 SITEPROP SLICE_X49Y36 SITE_PIPS SITEPROP SLICE_X49Y36 SITE_TYPE SLICEL SITEPROP SLICE_X49Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y37 CLASS site SITEPROP SLICE_X49Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y37 IS_BONDED 0 SITEPROP SLICE_X49Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y37 IS_PAD 0 SITEPROP SLICE_X49Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y37 IS_RESERVED 0 SITEPROP SLICE_X49Y37 IS_TEST 0 SITEPROP SLICE_X49Y37 IS_USED 0 SITEPROP SLICE_X49Y37 MANUAL_ROUTING SITEPROP SLICE_X49Y37 NAME SLICE_X49Y37 SITEPROP SLICE_X49Y37 NUM_ARCS 138 SITEPROP SLICE_X49Y37 NUM_BELS 32 SITEPROP SLICE_X49Y37 NUM_INPUTS 32 SITEPROP SLICE_X49Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y37 NUM_PINS 45 SITEPROP SLICE_X49Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y37 PROHIBIT 0 SITEPROP SLICE_X49Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y37 RPM_X 131 SITEPROP SLICE_X49Y37 RPM_Y 74 SITEPROP SLICE_X49Y37 SITE_PIPS SITEPROP SLICE_X49Y37 SITE_TYPE SLICEL SITEPROP SLICE_X49Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y38 CLASS site SITEPROP SLICE_X49Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y38 IS_BONDED 0 SITEPROP SLICE_X49Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y38 IS_PAD 0 SITEPROP SLICE_X49Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y38 IS_RESERVED 0 SITEPROP SLICE_X49Y38 IS_TEST 0 SITEPROP SLICE_X49Y38 IS_USED 0 SITEPROP SLICE_X49Y38 MANUAL_ROUTING SITEPROP SLICE_X49Y38 NAME SLICE_X49Y38 SITEPROP SLICE_X49Y38 NUM_ARCS 138 SITEPROP SLICE_X49Y38 NUM_BELS 32 SITEPROP SLICE_X49Y38 NUM_INPUTS 32 SITEPROP SLICE_X49Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y38 NUM_PINS 45 SITEPROP SLICE_X49Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y38 PROHIBIT 0 SITEPROP SLICE_X49Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y38 RPM_X 131 SITEPROP SLICE_X49Y38 RPM_Y 76 SITEPROP SLICE_X49Y38 SITE_PIPS SITEPROP SLICE_X49Y38 SITE_TYPE SLICEL SITEPROP SLICE_X49Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y39 CLASS site SITEPROP SLICE_X49Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y39 IS_BONDED 0 SITEPROP SLICE_X49Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y39 IS_PAD 0 SITEPROP SLICE_X49Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y39 IS_RESERVED 0 SITEPROP SLICE_X49Y39 IS_TEST 0 SITEPROP SLICE_X49Y39 IS_USED 0 SITEPROP SLICE_X49Y39 MANUAL_ROUTING SITEPROP SLICE_X49Y39 NAME SLICE_X49Y39 SITEPROP SLICE_X49Y39 NUM_ARCS 138 SITEPROP SLICE_X49Y39 NUM_BELS 32 SITEPROP SLICE_X49Y39 NUM_INPUTS 32 SITEPROP SLICE_X49Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y39 NUM_PINS 45 SITEPROP SLICE_X49Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y39 PROHIBIT 0 SITEPROP SLICE_X49Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y39 RPM_X 131 SITEPROP SLICE_X49Y39 RPM_Y 78 SITEPROP SLICE_X49Y39 SITE_PIPS SITEPROP SLICE_X49Y39 SITE_TYPE SLICEL SITEPROP SLICE_X49Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y40 CLASS site SITEPROP SLICE_X49Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y40 IS_BONDED 0 SITEPROP SLICE_X49Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y40 IS_PAD 0 SITEPROP SLICE_X49Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y40 IS_RESERVED 0 SITEPROP SLICE_X49Y40 IS_TEST 0 SITEPROP SLICE_X49Y40 IS_USED 0 SITEPROP SLICE_X49Y40 MANUAL_ROUTING SITEPROP SLICE_X49Y40 NAME SLICE_X49Y40 SITEPROP SLICE_X49Y40 NUM_ARCS 138 SITEPROP SLICE_X49Y40 NUM_BELS 32 SITEPROP SLICE_X49Y40 NUM_INPUTS 32 SITEPROP SLICE_X49Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y40 NUM_PINS 45 SITEPROP SLICE_X49Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y40 PROHIBIT 0 SITEPROP SLICE_X49Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y40 RPM_X 131 SITEPROP SLICE_X49Y40 RPM_Y 80 SITEPROP SLICE_X49Y40 SITE_PIPS SITEPROP SLICE_X49Y40 SITE_TYPE SLICEL SITEPROP SLICE_X49Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y41 CLASS site SITEPROP SLICE_X49Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y41 IS_BONDED 0 SITEPROP SLICE_X49Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y41 IS_PAD 0 SITEPROP SLICE_X49Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y41 IS_RESERVED 0 SITEPROP SLICE_X49Y41 IS_TEST 0 SITEPROP SLICE_X49Y41 IS_USED 0 SITEPROP SLICE_X49Y41 MANUAL_ROUTING SITEPROP SLICE_X49Y41 NAME SLICE_X49Y41 SITEPROP SLICE_X49Y41 NUM_ARCS 138 SITEPROP SLICE_X49Y41 NUM_BELS 32 SITEPROP SLICE_X49Y41 NUM_INPUTS 32 SITEPROP SLICE_X49Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y41 NUM_PINS 45 SITEPROP SLICE_X49Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y41 PROHIBIT 0 SITEPROP SLICE_X49Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y41 RPM_X 131 SITEPROP SLICE_X49Y41 RPM_Y 82 SITEPROP SLICE_X49Y41 SITE_PIPS SITEPROP SLICE_X49Y41 SITE_TYPE SLICEL SITEPROP SLICE_X49Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y42 CLASS site SITEPROP SLICE_X49Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y42 IS_BONDED 0 SITEPROP SLICE_X49Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y42 IS_PAD 0 SITEPROP SLICE_X49Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y42 IS_RESERVED 0 SITEPROP SLICE_X49Y42 IS_TEST 0 SITEPROP SLICE_X49Y42 IS_USED 0 SITEPROP SLICE_X49Y42 MANUAL_ROUTING SITEPROP SLICE_X49Y42 NAME SLICE_X49Y42 SITEPROP SLICE_X49Y42 NUM_ARCS 138 SITEPROP SLICE_X49Y42 NUM_BELS 32 SITEPROP SLICE_X49Y42 NUM_INPUTS 32 SITEPROP SLICE_X49Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y42 NUM_PINS 45 SITEPROP SLICE_X49Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y42 PROHIBIT 0 SITEPROP SLICE_X49Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y42 RPM_X 131 SITEPROP SLICE_X49Y42 RPM_Y 84 SITEPROP SLICE_X49Y42 SITE_PIPS SITEPROP SLICE_X49Y42 SITE_TYPE SLICEL SITEPROP SLICE_X49Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y43 CLASS site SITEPROP SLICE_X49Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y43 IS_BONDED 0 SITEPROP SLICE_X49Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y43 IS_PAD 0 SITEPROP SLICE_X49Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y43 IS_RESERVED 0 SITEPROP SLICE_X49Y43 IS_TEST 0 SITEPROP SLICE_X49Y43 IS_USED 0 SITEPROP SLICE_X49Y43 MANUAL_ROUTING SITEPROP SLICE_X49Y43 NAME SLICE_X49Y43 SITEPROP SLICE_X49Y43 NUM_ARCS 138 SITEPROP SLICE_X49Y43 NUM_BELS 32 SITEPROP SLICE_X49Y43 NUM_INPUTS 32 SITEPROP SLICE_X49Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y43 NUM_PINS 45 SITEPROP SLICE_X49Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y43 PROHIBIT 0 SITEPROP SLICE_X49Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y43 RPM_X 131 SITEPROP SLICE_X49Y43 RPM_Y 86 SITEPROP SLICE_X49Y43 SITE_PIPS SITEPROP SLICE_X49Y43 SITE_TYPE SLICEL SITEPROP SLICE_X49Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y44 CLASS site SITEPROP SLICE_X49Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y44 IS_BONDED 0 SITEPROP SLICE_X49Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y44 IS_PAD 0 SITEPROP SLICE_X49Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y44 IS_RESERVED 0 SITEPROP SLICE_X49Y44 IS_TEST 0 SITEPROP SLICE_X49Y44 IS_USED 0 SITEPROP SLICE_X49Y44 MANUAL_ROUTING SITEPROP SLICE_X49Y44 NAME SLICE_X49Y44 SITEPROP SLICE_X49Y44 NUM_ARCS 138 SITEPROP SLICE_X49Y44 NUM_BELS 32 SITEPROP SLICE_X49Y44 NUM_INPUTS 32 SITEPROP SLICE_X49Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y44 NUM_PINS 45 SITEPROP SLICE_X49Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y44 PROHIBIT 0 SITEPROP SLICE_X49Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y44 RPM_X 131 SITEPROP SLICE_X49Y44 RPM_Y 88 SITEPROP SLICE_X49Y44 SITE_PIPS SITEPROP SLICE_X49Y44 SITE_TYPE SLICEL SITEPROP SLICE_X49Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y45 CLASS site SITEPROP SLICE_X49Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y45 IS_BONDED 0 SITEPROP SLICE_X49Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y45 IS_PAD 0 SITEPROP SLICE_X49Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y45 IS_RESERVED 0 SITEPROP SLICE_X49Y45 IS_TEST 0 SITEPROP SLICE_X49Y45 IS_USED 0 SITEPROP SLICE_X49Y45 MANUAL_ROUTING SITEPROP SLICE_X49Y45 NAME SLICE_X49Y45 SITEPROP SLICE_X49Y45 NUM_ARCS 138 SITEPROP SLICE_X49Y45 NUM_BELS 32 SITEPROP SLICE_X49Y45 NUM_INPUTS 32 SITEPROP SLICE_X49Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y45 NUM_PINS 45 SITEPROP SLICE_X49Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y45 PROHIBIT 0 SITEPROP SLICE_X49Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y45 RPM_X 131 SITEPROP SLICE_X49Y45 RPM_Y 90 SITEPROP SLICE_X49Y45 SITE_PIPS SITEPROP SLICE_X49Y45 SITE_TYPE SLICEL SITEPROP SLICE_X49Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y46 CLASS site SITEPROP SLICE_X49Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y46 IS_BONDED 0 SITEPROP SLICE_X49Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y46 IS_PAD 0 SITEPROP SLICE_X49Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y46 IS_RESERVED 0 SITEPROP SLICE_X49Y46 IS_TEST 0 SITEPROP SLICE_X49Y46 IS_USED 0 SITEPROP SLICE_X49Y46 MANUAL_ROUTING SITEPROP SLICE_X49Y46 NAME SLICE_X49Y46 SITEPROP SLICE_X49Y46 NUM_ARCS 138 SITEPROP SLICE_X49Y46 NUM_BELS 32 SITEPROP SLICE_X49Y46 NUM_INPUTS 32 SITEPROP SLICE_X49Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y46 NUM_PINS 45 SITEPROP SLICE_X49Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y46 PROHIBIT 0 SITEPROP SLICE_X49Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y46 RPM_X 131 SITEPROP SLICE_X49Y46 RPM_Y 92 SITEPROP SLICE_X49Y46 SITE_PIPS SITEPROP SLICE_X49Y46 SITE_TYPE SLICEL SITEPROP SLICE_X49Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y47 CLASS site SITEPROP SLICE_X49Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y47 IS_BONDED 0 SITEPROP SLICE_X49Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y47 IS_PAD 0 SITEPROP SLICE_X49Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y47 IS_RESERVED 0 SITEPROP SLICE_X49Y47 IS_TEST 0 SITEPROP SLICE_X49Y47 IS_USED 0 SITEPROP SLICE_X49Y47 MANUAL_ROUTING SITEPROP SLICE_X49Y47 NAME SLICE_X49Y47 SITEPROP SLICE_X49Y47 NUM_ARCS 138 SITEPROP SLICE_X49Y47 NUM_BELS 32 SITEPROP SLICE_X49Y47 NUM_INPUTS 32 SITEPROP SLICE_X49Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y47 NUM_PINS 45 SITEPROP SLICE_X49Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y47 PROHIBIT 0 SITEPROP SLICE_X49Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y47 RPM_X 131 SITEPROP SLICE_X49Y47 RPM_Y 94 SITEPROP SLICE_X49Y47 SITE_PIPS SITEPROP SLICE_X49Y47 SITE_TYPE SLICEL SITEPROP SLICE_X49Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y48 CLASS site SITEPROP SLICE_X49Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y48 IS_BONDED 0 SITEPROP SLICE_X49Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y48 IS_PAD 0 SITEPROP SLICE_X49Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y48 IS_RESERVED 0 SITEPROP SLICE_X49Y48 IS_TEST 0 SITEPROP SLICE_X49Y48 IS_USED 0 SITEPROP SLICE_X49Y48 MANUAL_ROUTING SITEPROP SLICE_X49Y48 NAME SLICE_X49Y48 SITEPROP SLICE_X49Y48 NUM_ARCS 138 SITEPROP SLICE_X49Y48 NUM_BELS 32 SITEPROP SLICE_X49Y48 NUM_INPUTS 32 SITEPROP SLICE_X49Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y48 NUM_PINS 45 SITEPROP SLICE_X49Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y48 PROHIBIT 0 SITEPROP SLICE_X49Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y48 RPM_X 131 SITEPROP SLICE_X49Y48 RPM_Y 96 SITEPROP SLICE_X49Y48 SITE_PIPS SITEPROP SLICE_X49Y48 SITE_TYPE SLICEL SITEPROP SLICE_X49Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y49 CLASS site SITEPROP SLICE_X49Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X49Y49 IS_BONDED 0 SITEPROP SLICE_X49Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y49 IS_PAD 0 SITEPROP SLICE_X49Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y49 IS_RESERVED 0 SITEPROP SLICE_X49Y49 IS_TEST 0 SITEPROP SLICE_X49Y49 IS_USED 0 SITEPROP SLICE_X49Y49 MANUAL_ROUTING SITEPROP SLICE_X49Y49 NAME SLICE_X49Y49 SITEPROP SLICE_X49Y49 NUM_ARCS 138 SITEPROP SLICE_X49Y49 NUM_BELS 32 SITEPROP SLICE_X49Y49 NUM_INPUTS 32 SITEPROP SLICE_X49Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y49 NUM_PINS 45 SITEPROP SLICE_X49Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y49 PROHIBIT 0 SITEPROP SLICE_X49Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y49 RPM_X 131 SITEPROP SLICE_X49Y49 RPM_Y 98 SITEPROP SLICE_X49Y49 SITE_PIPS SITEPROP SLICE_X49Y49 SITE_TYPE SLICEL SITEPROP SLICE_X49Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y50 CLASS site SITEPROP SLICE_X49Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y50 IS_BONDED 0 SITEPROP SLICE_X49Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y50 IS_PAD 0 SITEPROP SLICE_X49Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y50 IS_RESERVED 0 SITEPROP SLICE_X49Y50 IS_TEST 0 SITEPROP SLICE_X49Y50 IS_USED 0 SITEPROP SLICE_X49Y50 MANUAL_ROUTING SITEPROP SLICE_X49Y50 NAME SLICE_X49Y50 SITEPROP SLICE_X49Y50 NUM_ARCS 138 SITEPROP SLICE_X49Y50 NUM_BELS 32 SITEPROP SLICE_X49Y50 NUM_INPUTS 32 SITEPROP SLICE_X49Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y50 NUM_PINS 45 SITEPROP SLICE_X49Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y50 PROHIBIT 0 SITEPROP SLICE_X49Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y50 RPM_X 131 SITEPROP SLICE_X49Y50 RPM_Y 100 SITEPROP SLICE_X49Y50 SITE_PIPS SITEPROP SLICE_X49Y50 SITE_TYPE SLICEL SITEPROP SLICE_X49Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y51 CLASS site SITEPROP SLICE_X49Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y51 IS_BONDED 0 SITEPROP SLICE_X49Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y51 IS_PAD 0 SITEPROP SLICE_X49Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y51 IS_RESERVED 0 SITEPROP SLICE_X49Y51 IS_TEST 0 SITEPROP SLICE_X49Y51 IS_USED 0 SITEPROP SLICE_X49Y51 MANUAL_ROUTING SITEPROP SLICE_X49Y51 NAME SLICE_X49Y51 SITEPROP SLICE_X49Y51 NUM_ARCS 138 SITEPROP SLICE_X49Y51 NUM_BELS 32 SITEPROP SLICE_X49Y51 NUM_INPUTS 32 SITEPROP SLICE_X49Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y51 NUM_PINS 45 SITEPROP SLICE_X49Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y51 PROHIBIT 0 SITEPROP SLICE_X49Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y51 RPM_X 131 SITEPROP SLICE_X49Y51 RPM_Y 102 SITEPROP SLICE_X49Y51 SITE_PIPS SITEPROP SLICE_X49Y51 SITE_TYPE SLICEL SITEPROP SLICE_X49Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y52 CLASS site SITEPROP SLICE_X49Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y52 IS_BONDED 0 SITEPROP SLICE_X49Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y52 IS_PAD 0 SITEPROP SLICE_X49Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y52 IS_RESERVED 0 SITEPROP SLICE_X49Y52 IS_TEST 0 SITEPROP SLICE_X49Y52 IS_USED 0 SITEPROP SLICE_X49Y52 MANUAL_ROUTING SITEPROP SLICE_X49Y52 NAME SLICE_X49Y52 SITEPROP SLICE_X49Y52 NUM_ARCS 138 SITEPROP SLICE_X49Y52 NUM_BELS 32 SITEPROP SLICE_X49Y52 NUM_INPUTS 32 SITEPROP SLICE_X49Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y52 NUM_PINS 45 SITEPROP SLICE_X49Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y52 PROHIBIT 0 SITEPROP SLICE_X49Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y52 RPM_X 131 SITEPROP SLICE_X49Y52 RPM_Y 104 SITEPROP SLICE_X49Y52 SITE_PIPS SITEPROP SLICE_X49Y52 SITE_TYPE SLICEL SITEPROP SLICE_X49Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y53 CLASS site SITEPROP SLICE_X49Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y53 IS_BONDED 0 SITEPROP SLICE_X49Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y53 IS_PAD 0 SITEPROP SLICE_X49Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y53 IS_RESERVED 0 SITEPROP SLICE_X49Y53 IS_TEST 0 SITEPROP SLICE_X49Y53 IS_USED 0 SITEPROP SLICE_X49Y53 MANUAL_ROUTING SITEPROP SLICE_X49Y53 NAME SLICE_X49Y53 SITEPROP SLICE_X49Y53 NUM_ARCS 138 SITEPROP SLICE_X49Y53 NUM_BELS 32 SITEPROP SLICE_X49Y53 NUM_INPUTS 32 SITEPROP SLICE_X49Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y53 NUM_PINS 45 SITEPROP SLICE_X49Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y53 PROHIBIT 0 SITEPROP SLICE_X49Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y53 RPM_X 131 SITEPROP SLICE_X49Y53 RPM_Y 106 SITEPROP SLICE_X49Y53 SITE_PIPS SITEPROP SLICE_X49Y53 SITE_TYPE SLICEL SITEPROP SLICE_X49Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y54 CLASS site SITEPROP SLICE_X49Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y54 IS_BONDED 0 SITEPROP SLICE_X49Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y54 IS_PAD 0 SITEPROP SLICE_X49Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y54 IS_RESERVED 0 SITEPROP SLICE_X49Y54 IS_TEST 0 SITEPROP SLICE_X49Y54 IS_USED 0 SITEPROP SLICE_X49Y54 MANUAL_ROUTING SITEPROP SLICE_X49Y54 NAME SLICE_X49Y54 SITEPROP SLICE_X49Y54 NUM_ARCS 138 SITEPROP SLICE_X49Y54 NUM_BELS 32 SITEPROP SLICE_X49Y54 NUM_INPUTS 32 SITEPROP SLICE_X49Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y54 NUM_PINS 45 SITEPROP SLICE_X49Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y54 PROHIBIT 0 SITEPROP SLICE_X49Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y54 RPM_X 131 SITEPROP SLICE_X49Y54 RPM_Y 108 SITEPROP SLICE_X49Y54 SITE_PIPS SITEPROP SLICE_X49Y54 SITE_TYPE SLICEL SITEPROP SLICE_X49Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y55 CLASS site SITEPROP SLICE_X49Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y55 IS_BONDED 0 SITEPROP SLICE_X49Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y55 IS_PAD 0 SITEPROP SLICE_X49Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y55 IS_RESERVED 0 SITEPROP SLICE_X49Y55 IS_TEST 0 SITEPROP SLICE_X49Y55 IS_USED 0 SITEPROP SLICE_X49Y55 MANUAL_ROUTING SITEPROP SLICE_X49Y55 NAME SLICE_X49Y55 SITEPROP SLICE_X49Y55 NUM_ARCS 138 SITEPROP SLICE_X49Y55 NUM_BELS 32 SITEPROP SLICE_X49Y55 NUM_INPUTS 32 SITEPROP SLICE_X49Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y55 NUM_PINS 45 SITEPROP SLICE_X49Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y55 PROHIBIT 0 SITEPROP SLICE_X49Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y55 RPM_X 131 SITEPROP SLICE_X49Y55 RPM_Y 110 SITEPROP SLICE_X49Y55 SITE_PIPS SITEPROP SLICE_X49Y55 SITE_TYPE SLICEL SITEPROP SLICE_X49Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y56 CLASS site SITEPROP SLICE_X49Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y56 IS_BONDED 0 SITEPROP SLICE_X49Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y56 IS_PAD 0 SITEPROP SLICE_X49Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y56 IS_RESERVED 0 SITEPROP SLICE_X49Y56 IS_TEST 0 SITEPROP SLICE_X49Y56 IS_USED 0 SITEPROP SLICE_X49Y56 MANUAL_ROUTING SITEPROP SLICE_X49Y56 NAME SLICE_X49Y56 SITEPROP SLICE_X49Y56 NUM_ARCS 138 SITEPROP SLICE_X49Y56 NUM_BELS 32 SITEPROP SLICE_X49Y56 NUM_INPUTS 32 SITEPROP SLICE_X49Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y56 NUM_PINS 45 SITEPROP SLICE_X49Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y56 PROHIBIT 0 SITEPROP SLICE_X49Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y56 RPM_X 131 SITEPROP SLICE_X49Y56 RPM_Y 112 SITEPROP SLICE_X49Y56 SITE_PIPS SITEPROP SLICE_X49Y56 SITE_TYPE SLICEL SITEPROP SLICE_X49Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y57 CLASS site SITEPROP SLICE_X49Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y57 IS_BONDED 0 SITEPROP SLICE_X49Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y57 IS_PAD 0 SITEPROP SLICE_X49Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y57 IS_RESERVED 0 SITEPROP SLICE_X49Y57 IS_TEST 0 SITEPROP SLICE_X49Y57 IS_USED 0 SITEPROP SLICE_X49Y57 MANUAL_ROUTING SITEPROP SLICE_X49Y57 NAME SLICE_X49Y57 SITEPROP SLICE_X49Y57 NUM_ARCS 138 SITEPROP SLICE_X49Y57 NUM_BELS 32 SITEPROP SLICE_X49Y57 NUM_INPUTS 32 SITEPROP SLICE_X49Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y57 NUM_PINS 45 SITEPROP SLICE_X49Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y57 PROHIBIT 0 SITEPROP SLICE_X49Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y57 RPM_X 131 SITEPROP SLICE_X49Y57 RPM_Y 114 SITEPROP SLICE_X49Y57 SITE_PIPS SITEPROP SLICE_X49Y57 SITE_TYPE SLICEL SITEPROP SLICE_X49Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y58 CLASS site SITEPROP SLICE_X49Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y58 IS_BONDED 0 SITEPROP SLICE_X49Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y58 IS_PAD 0 SITEPROP SLICE_X49Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y58 IS_RESERVED 0 SITEPROP SLICE_X49Y58 IS_TEST 0 SITEPROP SLICE_X49Y58 IS_USED 0 SITEPROP SLICE_X49Y58 MANUAL_ROUTING SITEPROP SLICE_X49Y58 NAME SLICE_X49Y58 SITEPROP SLICE_X49Y58 NUM_ARCS 138 SITEPROP SLICE_X49Y58 NUM_BELS 32 SITEPROP SLICE_X49Y58 NUM_INPUTS 32 SITEPROP SLICE_X49Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y58 NUM_PINS 45 SITEPROP SLICE_X49Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y58 PROHIBIT 0 SITEPROP SLICE_X49Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y58 RPM_X 131 SITEPROP SLICE_X49Y58 RPM_Y 116 SITEPROP SLICE_X49Y58 SITE_PIPS SITEPROP SLICE_X49Y58 SITE_TYPE SLICEL SITEPROP SLICE_X49Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y59 CLASS site SITEPROP SLICE_X49Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y59 IS_BONDED 0 SITEPROP SLICE_X49Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y59 IS_PAD 0 SITEPROP SLICE_X49Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y59 IS_RESERVED 0 SITEPROP SLICE_X49Y59 IS_TEST 0 SITEPROP SLICE_X49Y59 IS_USED 0 SITEPROP SLICE_X49Y59 MANUAL_ROUTING SITEPROP SLICE_X49Y59 NAME SLICE_X49Y59 SITEPROP SLICE_X49Y59 NUM_ARCS 138 SITEPROP SLICE_X49Y59 NUM_BELS 32 SITEPROP SLICE_X49Y59 NUM_INPUTS 32 SITEPROP SLICE_X49Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y59 NUM_PINS 45 SITEPROP SLICE_X49Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y59 PROHIBIT 0 SITEPROP SLICE_X49Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y59 RPM_X 131 SITEPROP SLICE_X49Y59 RPM_Y 118 SITEPROP SLICE_X49Y59 SITE_PIPS SITEPROP SLICE_X49Y59 SITE_TYPE SLICEL SITEPROP SLICE_X49Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y60 CLASS site SITEPROP SLICE_X49Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y60 IS_BONDED 0 SITEPROP SLICE_X49Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y60 IS_PAD 0 SITEPROP SLICE_X49Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y60 IS_RESERVED 0 SITEPROP SLICE_X49Y60 IS_TEST 0 SITEPROP SLICE_X49Y60 IS_USED 0 SITEPROP SLICE_X49Y60 MANUAL_ROUTING SITEPROP SLICE_X49Y60 NAME SLICE_X49Y60 SITEPROP SLICE_X49Y60 NUM_ARCS 138 SITEPROP SLICE_X49Y60 NUM_BELS 32 SITEPROP SLICE_X49Y60 NUM_INPUTS 32 SITEPROP SLICE_X49Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y60 NUM_PINS 45 SITEPROP SLICE_X49Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y60 PROHIBIT 0 SITEPROP SLICE_X49Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y60 RPM_X 131 SITEPROP SLICE_X49Y60 RPM_Y 120 SITEPROP SLICE_X49Y60 SITE_PIPS SITEPROP SLICE_X49Y60 SITE_TYPE SLICEL SITEPROP SLICE_X49Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y61 CLASS site SITEPROP SLICE_X49Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y61 IS_BONDED 0 SITEPROP SLICE_X49Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y61 IS_PAD 0 SITEPROP SLICE_X49Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y61 IS_RESERVED 0 SITEPROP SLICE_X49Y61 IS_TEST 0 SITEPROP SLICE_X49Y61 IS_USED 0 SITEPROP SLICE_X49Y61 MANUAL_ROUTING SITEPROP SLICE_X49Y61 NAME SLICE_X49Y61 SITEPROP SLICE_X49Y61 NUM_ARCS 138 SITEPROP SLICE_X49Y61 NUM_BELS 32 SITEPROP SLICE_X49Y61 NUM_INPUTS 32 SITEPROP SLICE_X49Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y61 NUM_PINS 45 SITEPROP SLICE_X49Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y61 PROHIBIT 0 SITEPROP SLICE_X49Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y61 RPM_X 131 SITEPROP SLICE_X49Y61 RPM_Y 122 SITEPROP SLICE_X49Y61 SITE_PIPS SITEPROP SLICE_X49Y61 SITE_TYPE SLICEL SITEPROP SLICE_X49Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y62 CLASS site SITEPROP SLICE_X49Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y62 IS_BONDED 0 SITEPROP SLICE_X49Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y62 IS_PAD 0 SITEPROP SLICE_X49Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y62 IS_RESERVED 0 SITEPROP SLICE_X49Y62 IS_TEST 0 SITEPROP SLICE_X49Y62 IS_USED 0 SITEPROP SLICE_X49Y62 MANUAL_ROUTING SITEPROP SLICE_X49Y62 NAME SLICE_X49Y62 SITEPROP SLICE_X49Y62 NUM_ARCS 138 SITEPROP SLICE_X49Y62 NUM_BELS 32 SITEPROP SLICE_X49Y62 NUM_INPUTS 32 SITEPROP SLICE_X49Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y62 NUM_PINS 45 SITEPROP SLICE_X49Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y62 PROHIBIT 0 SITEPROP SLICE_X49Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y62 RPM_X 131 SITEPROP SLICE_X49Y62 RPM_Y 124 SITEPROP SLICE_X49Y62 SITE_PIPS SITEPROP SLICE_X49Y62 SITE_TYPE SLICEL SITEPROP SLICE_X49Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y63 CLASS site SITEPROP SLICE_X49Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y63 IS_BONDED 0 SITEPROP SLICE_X49Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y63 IS_PAD 0 SITEPROP SLICE_X49Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y63 IS_RESERVED 0 SITEPROP SLICE_X49Y63 IS_TEST 0 SITEPROP SLICE_X49Y63 IS_USED 0 SITEPROP SLICE_X49Y63 MANUAL_ROUTING SITEPROP SLICE_X49Y63 NAME SLICE_X49Y63 SITEPROP SLICE_X49Y63 NUM_ARCS 138 SITEPROP SLICE_X49Y63 NUM_BELS 32 SITEPROP SLICE_X49Y63 NUM_INPUTS 32 SITEPROP SLICE_X49Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y63 NUM_PINS 45 SITEPROP SLICE_X49Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y63 PROHIBIT 0 SITEPROP SLICE_X49Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y63 RPM_X 131 SITEPROP SLICE_X49Y63 RPM_Y 126 SITEPROP SLICE_X49Y63 SITE_PIPS SITEPROP SLICE_X49Y63 SITE_TYPE SLICEL SITEPROP SLICE_X49Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y64 CLASS site SITEPROP SLICE_X49Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y64 IS_BONDED 0 SITEPROP SLICE_X49Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y64 IS_PAD 0 SITEPROP SLICE_X49Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y64 IS_RESERVED 0 SITEPROP SLICE_X49Y64 IS_TEST 0 SITEPROP SLICE_X49Y64 IS_USED 0 SITEPROP SLICE_X49Y64 MANUAL_ROUTING SITEPROP SLICE_X49Y64 NAME SLICE_X49Y64 SITEPROP SLICE_X49Y64 NUM_ARCS 138 SITEPROP SLICE_X49Y64 NUM_BELS 32 SITEPROP SLICE_X49Y64 NUM_INPUTS 32 SITEPROP SLICE_X49Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y64 NUM_PINS 45 SITEPROP SLICE_X49Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y64 PROHIBIT 0 SITEPROP SLICE_X49Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y64 RPM_X 131 SITEPROP SLICE_X49Y64 RPM_Y 128 SITEPROP SLICE_X49Y64 SITE_PIPS SITEPROP SLICE_X49Y64 SITE_TYPE SLICEL SITEPROP SLICE_X49Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y65 CLASS site SITEPROP SLICE_X49Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y65 IS_BONDED 0 SITEPROP SLICE_X49Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y65 IS_PAD 0 SITEPROP SLICE_X49Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y65 IS_RESERVED 0 SITEPROP SLICE_X49Y65 IS_TEST 0 SITEPROP SLICE_X49Y65 IS_USED 0 SITEPROP SLICE_X49Y65 MANUAL_ROUTING SITEPROP SLICE_X49Y65 NAME SLICE_X49Y65 SITEPROP SLICE_X49Y65 NUM_ARCS 138 SITEPROP SLICE_X49Y65 NUM_BELS 32 SITEPROP SLICE_X49Y65 NUM_INPUTS 32 SITEPROP SLICE_X49Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y65 NUM_PINS 45 SITEPROP SLICE_X49Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y65 PROHIBIT 0 SITEPROP SLICE_X49Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y65 RPM_X 131 SITEPROP SLICE_X49Y65 RPM_Y 130 SITEPROP SLICE_X49Y65 SITE_PIPS SITEPROP SLICE_X49Y65 SITE_TYPE SLICEL SITEPROP SLICE_X49Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y66 CLASS site SITEPROP SLICE_X49Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y66 IS_BONDED 0 SITEPROP SLICE_X49Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y66 IS_PAD 0 SITEPROP SLICE_X49Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y66 IS_RESERVED 0 SITEPROP SLICE_X49Y66 IS_TEST 0 SITEPROP SLICE_X49Y66 IS_USED 0 SITEPROP SLICE_X49Y66 MANUAL_ROUTING SITEPROP SLICE_X49Y66 NAME SLICE_X49Y66 SITEPROP SLICE_X49Y66 NUM_ARCS 138 SITEPROP SLICE_X49Y66 NUM_BELS 32 SITEPROP SLICE_X49Y66 NUM_INPUTS 32 SITEPROP SLICE_X49Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y66 NUM_PINS 45 SITEPROP SLICE_X49Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y66 PROHIBIT 0 SITEPROP SLICE_X49Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y66 RPM_X 131 SITEPROP SLICE_X49Y66 RPM_Y 132 SITEPROP SLICE_X49Y66 SITE_PIPS SITEPROP SLICE_X49Y66 SITE_TYPE SLICEL SITEPROP SLICE_X49Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y67 CLASS site SITEPROP SLICE_X49Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y67 IS_BONDED 0 SITEPROP SLICE_X49Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y67 IS_PAD 0 SITEPROP SLICE_X49Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y67 IS_RESERVED 0 SITEPROP SLICE_X49Y67 IS_TEST 0 SITEPROP SLICE_X49Y67 IS_USED 0 SITEPROP SLICE_X49Y67 MANUAL_ROUTING SITEPROP SLICE_X49Y67 NAME SLICE_X49Y67 SITEPROP SLICE_X49Y67 NUM_ARCS 138 SITEPROP SLICE_X49Y67 NUM_BELS 32 SITEPROP SLICE_X49Y67 NUM_INPUTS 32 SITEPROP SLICE_X49Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y67 NUM_PINS 45 SITEPROP SLICE_X49Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y67 PROHIBIT 0 SITEPROP SLICE_X49Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y67 RPM_X 131 SITEPROP SLICE_X49Y67 RPM_Y 134 SITEPROP SLICE_X49Y67 SITE_PIPS SITEPROP SLICE_X49Y67 SITE_TYPE SLICEL SITEPROP SLICE_X49Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y68 CLASS site SITEPROP SLICE_X49Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y68 IS_BONDED 0 SITEPROP SLICE_X49Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y68 IS_PAD 0 SITEPROP SLICE_X49Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y68 IS_RESERVED 0 SITEPROP SLICE_X49Y68 IS_TEST 0 SITEPROP SLICE_X49Y68 IS_USED 0 SITEPROP SLICE_X49Y68 MANUAL_ROUTING SITEPROP SLICE_X49Y68 NAME SLICE_X49Y68 SITEPROP SLICE_X49Y68 NUM_ARCS 138 SITEPROP SLICE_X49Y68 NUM_BELS 32 SITEPROP SLICE_X49Y68 NUM_INPUTS 32 SITEPROP SLICE_X49Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y68 NUM_PINS 45 SITEPROP SLICE_X49Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y68 PROHIBIT 0 SITEPROP SLICE_X49Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y68 RPM_X 131 SITEPROP SLICE_X49Y68 RPM_Y 136 SITEPROP SLICE_X49Y68 SITE_PIPS SITEPROP SLICE_X49Y68 SITE_TYPE SLICEL SITEPROP SLICE_X49Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y69 CLASS site SITEPROP SLICE_X49Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y69 IS_BONDED 0 SITEPROP SLICE_X49Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y69 IS_PAD 0 SITEPROP SLICE_X49Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y69 IS_RESERVED 0 SITEPROP SLICE_X49Y69 IS_TEST 0 SITEPROP SLICE_X49Y69 IS_USED 0 SITEPROP SLICE_X49Y69 MANUAL_ROUTING SITEPROP SLICE_X49Y69 NAME SLICE_X49Y69 SITEPROP SLICE_X49Y69 NUM_ARCS 138 SITEPROP SLICE_X49Y69 NUM_BELS 32 SITEPROP SLICE_X49Y69 NUM_INPUTS 32 SITEPROP SLICE_X49Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y69 NUM_PINS 45 SITEPROP SLICE_X49Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y69 PROHIBIT 0 SITEPROP SLICE_X49Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y69 RPM_X 131 SITEPROP SLICE_X49Y69 RPM_Y 138 SITEPROP SLICE_X49Y69 SITE_PIPS SITEPROP SLICE_X49Y69 SITE_TYPE SLICEL SITEPROP SLICE_X49Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y70 CLASS site SITEPROP SLICE_X49Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y70 IS_BONDED 0 SITEPROP SLICE_X49Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y70 IS_PAD 0 SITEPROP SLICE_X49Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y70 IS_RESERVED 0 SITEPROP SLICE_X49Y70 IS_TEST 0 SITEPROP SLICE_X49Y70 IS_USED 0 SITEPROP SLICE_X49Y70 MANUAL_ROUTING SITEPROP SLICE_X49Y70 NAME SLICE_X49Y70 SITEPROP SLICE_X49Y70 NUM_ARCS 138 SITEPROP SLICE_X49Y70 NUM_BELS 32 SITEPROP SLICE_X49Y70 NUM_INPUTS 32 SITEPROP SLICE_X49Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y70 NUM_PINS 45 SITEPROP SLICE_X49Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y70 PROHIBIT 0 SITEPROP SLICE_X49Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y70 RPM_X 131 SITEPROP SLICE_X49Y70 RPM_Y 140 SITEPROP SLICE_X49Y70 SITE_PIPS SITEPROP SLICE_X49Y70 SITE_TYPE SLICEL SITEPROP SLICE_X49Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y71 CLASS site SITEPROP SLICE_X49Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y71 IS_BONDED 0 SITEPROP SLICE_X49Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y71 IS_PAD 0 SITEPROP SLICE_X49Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y71 IS_RESERVED 0 SITEPROP SLICE_X49Y71 IS_TEST 0 SITEPROP SLICE_X49Y71 IS_USED 0 SITEPROP SLICE_X49Y71 MANUAL_ROUTING SITEPROP SLICE_X49Y71 NAME SLICE_X49Y71 SITEPROP SLICE_X49Y71 NUM_ARCS 138 SITEPROP SLICE_X49Y71 NUM_BELS 32 SITEPROP SLICE_X49Y71 NUM_INPUTS 32 SITEPROP SLICE_X49Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y71 NUM_PINS 45 SITEPROP SLICE_X49Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y71 PROHIBIT 0 SITEPROP SLICE_X49Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y71 RPM_X 131 SITEPROP SLICE_X49Y71 RPM_Y 142 SITEPROP SLICE_X49Y71 SITE_PIPS SITEPROP SLICE_X49Y71 SITE_TYPE SLICEL SITEPROP SLICE_X49Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y72 CLASS site SITEPROP SLICE_X49Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y72 IS_BONDED 0 SITEPROP SLICE_X49Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y72 IS_PAD 0 SITEPROP SLICE_X49Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y72 IS_RESERVED 0 SITEPROP SLICE_X49Y72 IS_TEST 0 SITEPROP SLICE_X49Y72 IS_USED 0 SITEPROP SLICE_X49Y72 MANUAL_ROUTING SITEPROP SLICE_X49Y72 NAME SLICE_X49Y72 SITEPROP SLICE_X49Y72 NUM_ARCS 138 SITEPROP SLICE_X49Y72 NUM_BELS 32 SITEPROP SLICE_X49Y72 NUM_INPUTS 32 SITEPROP SLICE_X49Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y72 NUM_PINS 45 SITEPROP SLICE_X49Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y72 PROHIBIT 0 SITEPROP SLICE_X49Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y72 RPM_X 131 SITEPROP SLICE_X49Y72 RPM_Y 144 SITEPROP SLICE_X49Y72 SITE_PIPS SITEPROP SLICE_X49Y72 SITE_TYPE SLICEL SITEPROP SLICE_X49Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y73 CLASS site SITEPROP SLICE_X49Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y73 IS_BONDED 0 SITEPROP SLICE_X49Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y73 IS_PAD 0 SITEPROP SLICE_X49Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y73 IS_RESERVED 0 SITEPROP SLICE_X49Y73 IS_TEST 0 SITEPROP SLICE_X49Y73 IS_USED 0 SITEPROP SLICE_X49Y73 MANUAL_ROUTING SITEPROP SLICE_X49Y73 NAME SLICE_X49Y73 SITEPROP SLICE_X49Y73 NUM_ARCS 138 SITEPROP SLICE_X49Y73 NUM_BELS 32 SITEPROP SLICE_X49Y73 NUM_INPUTS 32 SITEPROP SLICE_X49Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y73 NUM_PINS 45 SITEPROP SLICE_X49Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y73 PROHIBIT 0 SITEPROP SLICE_X49Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y73 RPM_X 131 SITEPROP SLICE_X49Y73 RPM_Y 146 SITEPROP SLICE_X49Y73 SITE_PIPS SITEPROP SLICE_X49Y73 SITE_TYPE SLICEL SITEPROP SLICE_X49Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y74 CLASS site SITEPROP SLICE_X49Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y74 IS_BONDED 0 SITEPROP SLICE_X49Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y74 IS_PAD 0 SITEPROP SLICE_X49Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y74 IS_RESERVED 0 SITEPROP SLICE_X49Y74 IS_TEST 0 SITEPROP SLICE_X49Y74 IS_USED 0 SITEPROP SLICE_X49Y74 MANUAL_ROUTING SITEPROP SLICE_X49Y74 NAME SLICE_X49Y74 SITEPROP SLICE_X49Y74 NUM_ARCS 138 SITEPROP SLICE_X49Y74 NUM_BELS 32 SITEPROP SLICE_X49Y74 NUM_INPUTS 32 SITEPROP SLICE_X49Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y74 NUM_PINS 45 SITEPROP SLICE_X49Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y74 PROHIBIT 0 SITEPROP SLICE_X49Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y74 RPM_X 131 SITEPROP SLICE_X49Y74 RPM_Y 148 SITEPROP SLICE_X49Y74 SITE_PIPS SITEPROP SLICE_X49Y74 SITE_TYPE SLICEL SITEPROP SLICE_X49Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y75 CLASS site SITEPROP SLICE_X49Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y75 IS_BONDED 0 SITEPROP SLICE_X49Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y75 IS_PAD 0 SITEPROP SLICE_X49Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y75 IS_RESERVED 0 SITEPROP SLICE_X49Y75 IS_TEST 0 SITEPROP SLICE_X49Y75 IS_USED 0 SITEPROP SLICE_X49Y75 MANUAL_ROUTING SITEPROP SLICE_X49Y75 NAME SLICE_X49Y75 SITEPROP SLICE_X49Y75 NUM_ARCS 138 SITEPROP SLICE_X49Y75 NUM_BELS 32 SITEPROP SLICE_X49Y75 NUM_INPUTS 32 SITEPROP SLICE_X49Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y75 NUM_PINS 45 SITEPROP SLICE_X49Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y75 PROHIBIT 0 SITEPROP SLICE_X49Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y75 RPM_X 131 SITEPROP SLICE_X49Y75 RPM_Y 150 SITEPROP SLICE_X49Y75 SITE_PIPS SITEPROP SLICE_X49Y75 SITE_TYPE SLICEL SITEPROP SLICE_X49Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y76 CLASS site SITEPROP SLICE_X49Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y76 IS_BONDED 0 SITEPROP SLICE_X49Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y76 IS_PAD 0 SITEPROP SLICE_X49Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y76 IS_RESERVED 0 SITEPROP SLICE_X49Y76 IS_TEST 0 SITEPROP SLICE_X49Y76 IS_USED 0 SITEPROP SLICE_X49Y76 MANUAL_ROUTING SITEPROP SLICE_X49Y76 NAME SLICE_X49Y76 SITEPROP SLICE_X49Y76 NUM_ARCS 138 SITEPROP SLICE_X49Y76 NUM_BELS 32 SITEPROP SLICE_X49Y76 NUM_INPUTS 32 SITEPROP SLICE_X49Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y76 NUM_PINS 45 SITEPROP SLICE_X49Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y76 PROHIBIT 0 SITEPROP SLICE_X49Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y76 RPM_X 131 SITEPROP SLICE_X49Y76 RPM_Y 152 SITEPROP SLICE_X49Y76 SITE_PIPS SITEPROP SLICE_X49Y76 SITE_TYPE SLICEL SITEPROP SLICE_X49Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y77 CLASS site SITEPROP SLICE_X49Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y77 IS_BONDED 0 SITEPROP SLICE_X49Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y77 IS_PAD 0 SITEPROP SLICE_X49Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y77 IS_RESERVED 0 SITEPROP SLICE_X49Y77 IS_TEST 0 SITEPROP SLICE_X49Y77 IS_USED 0 SITEPROP SLICE_X49Y77 MANUAL_ROUTING SITEPROP SLICE_X49Y77 NAME SLICE_X49Y77 SITEPROP SLICE_X49Y77 NUM_ARCS 138 SITEPROP SLICE_X49Y77 NUM_BELS 32 SITEPROP SLICE_X49Y77 NUM_INPUTS 32 SITEPROP SLICE_X49Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y77 NUM_PINS 45 SITEPROP SLICE_X49Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y77 PROHIBIT 0 SITEPROP SLICE_X49Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y77 RPM_X 131 SITEPROP SLICE_X49Y77 RPM_Y 154 SITEPROP SLICE_X49Y77 SITE_PIPS SITEPROP SLICE_X49Y77 SITE_TYPE SLICEL SITEPROP SLICE_X49Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y78 CLASS site SITEPROP SLICE_X49Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y78 IS_BONDED 0 SITEPROP SLICE_X49Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y78 IS_PAD 0 SITEPROP SLICE_X49Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y78 IS_RESERVED 0 SITEPROP SLICE_X49Y78 IS_TEST 0 SITEPROP SLICE_X49Y78 IS_USED 0 SITEPROP SLICE_X49Y78 MANUAL_ROUTING SITEPROP SLICE_X49Y78 NAME SLICE_X49Y78 SITEPROP SLICE_X49Y78 NUM_ARCS 138 SITEPROP SLICE_X49Y78 NUM_BELS 32 SITEPROP SLICE_X49Y78 NUM_INPUTS 32 SITEPROP SLICE_X49Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y78 NUM_PINS 45 SITEPROP SLICE_X49Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y78 PROHIBIT 0 SITEPROP SLICE_X49Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y78 RPM_X 131 SITEPROP SLICE_X49Y78 RPM_Y 156 SITEPROP SLICE_X49Y78 SITE_PIPS SITEPROP SLICE_X49Y78 SITE_TYPE SLICEL SITEPROP SLICE_X49Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y79 CLASS site SITEPROP SLICE_X49Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y79 IS_BONDED 0 SITEPROP SLICE_X49Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y79 IS_PAD 0 SITEPROP SLICE_X49Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y79 IS_RESERVED 0 SITEPROP SLICE_X49Y79 IS_TEST 0 SITEPROP SLICE_X49Y79 IS_USED 0 SITEPROP SLICE_X49Y79 MANUAL_ROUTING SITEPROP SLICE_X49Y79 NAME SLICE_X49Y79 SITEPROP SLICE_X49Y79 NUM_ARCS 138 SITEPROP SLICE_X49Y79 NUM_BELS 32 SITEPROP SLICE_X49Y79 NUM_INPUTS 32 SITEPROP SLICE_X49Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y79 NUM_PINS 45 SITEPROP SLICE_X49Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y79 PROHIBIT 0 SITEPROP SLICE_X49Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y79 RPM_X 131 SITEPROP SLICE_X49Y79 RPM_Y 158 SITEPROP SLICE_X49Y79 SITE_PIPS SITEPROP SLICE_X49Y79 SITE_TYPE SLICEL SITEPROP SLICE_X49Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y80 CLASS site SITEPROP SLICE_X49Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y80 IS_BONDED 0 SITEPROP SLICE_X49Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y80 IS_PAD 0 SITEPROP SLICE_X49Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y80 IS_RESERVED 0 SITEPROP SLICE_X49Y80 IS_TEST 0 SITEPROP SLICE_X49Y80 IS_USED 0 SITEPROP SLICE_X49Y80 MANUAL_ROUTING SITEPROP SLICE_X49Y80 NAME SLICE_X49Y80 SITEPROP SLICE_X49Y80 NUM_ARCS 138 SITEPROP SLICE_X49Y80 NUM_BELS 32 SITEPROP SLICE_X49Y80 NUM_INPUTS 32 SITEPROP SLICE_X49Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y80 NUM_PINS 45 SITEPROP SLICE_X49Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y80 PROHIBIT 0 SITEPROP SLICE_X49Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y80 RPM_X 131 SITEPROP SLICE_X49Y80 RPM_Y 160 SITEPROP SLICE_X49Y80 SITE_PIPS SITEPROP SLICE_X49Y80 SITE_TYPE SLICEL SITEPROP SLICE_X49Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y81 CLASS site SITEPROP SLICE_X49Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y81 IS_BONDED 0 SITEPROP SLICE_X49Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y81 IS_PAD 0 SITEPROP SLICE_X49Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y81 IS_RESERVED 0 SITEPROP SLICE_X49Y81 IS_TEST 0 SITEPROP SLICE_X49Y81 IS_USED 0 SITEPROP SLICE_X49Y81 MANUAL_ROUTING SITEPROP SLICE_X49Y81 NAME SLICE_X49Y81 SITEPROP SLICE_X49Y81 NUM_ARCS 138 SITEPROP SLICE_X49Y81 NUM_BELS 32 SITEPROP SLICE_X49Y81 NUM_INPUTS 32 SITEPROP SLICE_X49Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y81 NUM_PINS 45 SITEPROP SLICE_X49Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y81 PROHIBIT 0 SITEPROP SLICE_X49Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y81 RPM_X 131 SITEPROP SLICE_X49Y81 RPM_Y 162 SITEPROP SLICE_X49Y81 SITE_PIPS SITEPROP SLICE_X49Y81 SITE_TYPE SLICEL SITEPROP SLICE_X49Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y82 CLASS site SITEPROP SLICE_X49Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y82 IS_BONDED 0 SITEPROP SLICE_X49Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y82 IS_PAD 0 SITEPROP SLICE_X49Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y82 IS_RESERVED 0 SITEPROP SLICE_X49Y82 IS_TEST 0 SITEPROP SLICE_X49Y82 IS_USED 0 SITEPROP SLICE_X49Y82 MANUAL_ROUTING SITEPROP SLICE_X49Y82 NAME SLICE_X49Y82 SITEPROP SLICE_X49Y82 NUM_ARCS 138 SITEPROP SLICE_X49Y82 NUM_BELS 32 SITEPROP SLICE_X49Y82 NUM_INPUTS 32 SITEPROP SLICE_X49Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y82 NUM_PINS 45 SITEPROP SLICE_X49Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y82 PROHIBIT 0 SITEPROP SLICE_X49Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y82 RPM_X 131 SITEPROP SLICE_X49Y82 RPM_Y 164 SITEPROP SLICE_X49Y82 SITE_PIPS SITEPROP SLICE_X49Y82 SITE_TYPE SLICEL SITEPROP SLICE_X49Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y83 CLASS site SITEPROP SLICE_X49Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y83 IS_BONDED 0 SITEPROP SLICE_X49Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y83 IS_PAD 0 SITEPROP SLICE_X49Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y83 IS_RESERVED 0 SITEPROP SLICE_X49Y83 IS_TEST 0 SITEPROP SLICE_X49Y83 IS_USED 0 SITEPROP SLICE_X49Y83 MANUAL_ROUTING SITEPROP SLICE_X49Y83 NAME SLICE_X49Y83 SITEPROP SLICE_X49Y83 NUM_ARCS 138 SITEPROP SLICE_X49Y83 NUM_BELS 32 SITEPROP SLICE_X49Y83 NUM_INPUTS 32 SITEPROP SLICE_X49Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y83 NUM_PINS 45 SITEPROP SLICE_X49Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y83 PROHIBIT 0 SITEPROP SLICE_X49Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y83 RPM_X 131 SITEPROP SLICE_X49Y83 RPM_Y 166 SITEPROP SLICE_X49Y83 SITE_PIPS SITEPROP SLICE_X49Y83 SITE_TYPE SLICEL SITEPROP SLICE_X49Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y84 CLASS site SITEPROP SLICE_X49Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y84 IS_BONDED 0 SITEPROP SLICE_X49Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y84 IS_PAD 0 SITEPROP SLICE_X49Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y84 IS_RESERVED 0 SITEPROP SLICE_X49Y84 IS_TEST 0 SITEPROP SLICE_X49Y84 IS_USED 0 SITEPROP SLICE_X49Y84 MANUAL_ROUTING SITEPROP SLICE_X49Y84 NAME SLICE_X49Y84 SITEPROP SLICE_X49Y84 NUM_ARCS 138 SITEPROP SLICE_X49Y84 NUM_BELS 32 SITEPROP SLICE_X49Y84 NUM_INPUTS 32 SITEPROP SLICE_X49Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y84 NUM_PINS 45 SITEPROP SLICE_X49Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y84 PROHIBIT 0 SITEPROP SLICE_X49Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y84 RPM_X 131 SITEPROP SLICE_X49Y84 RPM_Y 168 SITEPROP SLICE_X49Y84 SITE_PIPS SITEPROP SLICE_X49Y84 SITE_TYPE SLICEL SITEPROP SLICE_X49Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y85 CLASS site SITEPROP SLICE_X49Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y85 IS_BONDED 0 SITEPROP SLICE_X49Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y85 IS_PAD 0 SITEPROP SLICE_X49Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y85 IS_RESERVED 0 SITEPROP SLICE_X49Y85 IS_TEST 0 SITEPROP SLICE_X49Y85 IS_USED 0 SITEPROP SLICE_X49Y85 MANUAL_ROUTING SITEPROP SLICE_X49Y85 NAME SLICE_X49Y85 SITEPROP SLICE_X49Y85 NUM_ARCS 138 SITEPROP SLICE_X49Y85 NUM_BELS 32 SITEPROP SLICE_X49Y85 NUM_INPUTS 32 SITEPROP SLICE_X49Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y85 NUM_PINS 45 SITEPROP SLICE_X49Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y85 PROHIBIT 0 SITEPROP SLICE_X49Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y85 RPM_X 131 SITEPROP SLICE_X49Y85 RPM_Y 170 SITEPROP SLICE_X49Y85 SITE_PIPS SITEPROP SLICE_X49Y85 SITE_TYPE SLICEL SITEPROP SLICE_X49Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y86 CLASS site SITEPROP SLICE_X49Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y86 IS_BONDED 0 SITEPROP SLICE_X49Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y86 IS_PAD 0 SITEPROP SLICE_X49Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y86 IS_RESERVED 0 SITEPROP SLICE_X49Y86 IS_TEST 0 SITEPROP SLICE_X49Y86 IS_USED 0 SITEPROP SLICE_X49Y86 MANUAL_ROUTING SITEPROP SLICE_X49Y86 NAME SLICE_X49Y86 SITEPROP SLICE_X49Y86 NUM_ARCS 138 SITEPROP SLICE_X49Y86 NUM_BELS 32 SITEPROP SLICE_X49Y86 NUM_INPUTS 32 SITEPROP SLICE_X49Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y86 NUM_PINS 45 SITEPROP SLICE_X49Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y86 PROHIBIT 0 SITEPROP SLICE_X49Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y86 RPM_X 131 SITEPROP SLICE_X49Y86 RPM_Y 172 SITEPROP SLICE_X49Y86 SITE_PIPS SITEPROP SLICE_X49Y86 SITE_TYPE SLICEL SITEPROP SLICE_X49Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y87 CLASS site SITEPROP SLICE_X49Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y87 IS_BONDED 0 SITEPROP SLICE_X49Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y87 IS_PAD 0 SITEPROP SLICE_X49Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y87 IS_RESERVED 0 SITEPROP SLICE_X49Y87 IS_TEST 0 SITEPROP SLICE_X49Y87 IS_USED 0 SITEPROP SLICE_X49Y87 MANUAL_ROUTING SITEPROP SLICE_X49Y87 NAME SLICE_X49Y87 SITEPROP SLICE_X49Y87 NUM_ARCS 138 SITEPROP SLICE_X49Y87 NUM_BELS 32 SITEPROP SLICE_X49Y87 NUM_INPUTS 32 SITEPROP SLICE_X49Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y87 NUM_PINS 45 SITEPROP SLICE_X49Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y87 PROHIBIT 0 SITEPROP SLICE_X49Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y87 RPM_X 131 SITEPROP SLICE_X49Y87 RPM_Y 174 SITEPROP SLICE_X49Y87 SITE_PIPS SITEPROP SLICE_X49Y87 SITE_TYPE SLICEL SITEPROP SLICE_X49Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y88 CLASS site SITEPROP SLICE_X49Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y88 IS_BONDED 0 SITEPROP SLICE_X49Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y88 IS_PAD 0 SITEPROP SLICE_X49Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y88 IS_RESERVED 0 SITEPROP SLICE_X49Y88 IS_TEST 0 SITEPROP SLICE_X49Y88 IS_USED 0 SITEPROP SLICE_X49Y88 MANUAL_ROUTING SITEPROP SLICE_X49Y88 NAME SLICE_X49Y88 SITEPROP SLICE_X49Y88 NUM_ARCS 138 SITEPROP SLICE_X49Y88 NUM_BELS 32 SITEPROP SLICE_X49Y88 NUM_INPUTS 32 SITEPROP SLICE_X49Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y88 NUM_PINS 45 SITEPROP SLICE_X49Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y88 PROHIBIT 0 SITEPROP SLICE_X49Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y88 RPM_X 131 SITEPROP SLICE_X49Y88 RPM_Y 176 SITEPROP SLICE_X49Y88 SITE_PIPS SITEPROP SLICE_X49Y88 SITE_TYPE SLICEL SITEPROP SLICE_X49Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y89 CLASS site SITEPROP SLICE_X49Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y89 IS_BONDED 0 SITEPROP SLICE_X49Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y89 IS_PAD 0 SITEPROP SLICE_X49Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y89 IS_RESERVED 0 SITEPROP SLICE_X49Y89 IS_TEST 0 SITEPROP SLICE_X49Y89 IS_USED 0 SITEPROP SLICE_X49Y89 MANUAL_ROUTING SITEPROP SLICE_X49Y89 NAME SLICE_X49Y89 SITEPROP SLICE_X49Y89 NUM_ARCS 138 SITEPROP SLICE_X49Y89 NUM_BELS 32 SITEPROP SLICE_X49Y89 NUM_INPUTS 32 SITEPROP SLICE_X49Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y89 NUM_PINS 45 SITEPROP SLICE_X49Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y89 PROHIBIT 0 SITEPROP SLICE_X49Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y89 RPM_X 131 SITEPROP SLICE_X49Y89 RPM_Y 178 SITEPROP SLICE_X49Y89 SITE_PIPS SITEPROP SLICE_X49Y89 SITE_TYPE SLICEL SITEPROP SLICE_X49Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y90 CLASS site SITEPROP SLICE_X49Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y90 IS_BONDED 0 SITEPROP SLICE_X49Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y90 IS_PAD 0 SITEPROP SLICE_X49Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y90 IS_RESERVED 0 SITEPROP SLICE_X49Y90 IS_TEST 0 SITEPROP SLICE_X49Y90 IS_USED 0 SITEPROP SLICE_X49Y90 MANUAL_ROUTING SITEPROP SLICE_X49Y90 NAME SLICE_X49Y90 SITEPROP SLICE_X49Y90 NUM_ARCS 138 SITEPROP SLICE_X49Y90 NUM_BELS 32 SITEPROP SLICE_X49Y90 NUM_INPUTS 32 SITEPROP SLICE_X49Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y90 NUM_PINS 45 SITEPROP SLICE_X49Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y90 PROHIBIT 0 SITEPROP SLICE_X49Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y90 RPM_X 131 SITEPROP SLICE_X49Y90 RPM_Y 180 SITEPROP SLICE_X49Y90 SITE_PIPS SITEPROP SLICE_X49Y90 SITE_TYPE SLICEL SITEPROP SLICE_X49Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y91 CLASS site SITEPROP SLICE_X49Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y91 IS_BONDED 0 SITEPROP SLICE_X49Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y91 IS_PAD 0 SITEPROP SLICE_X49Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y91 IS_RESERVED 0 SITEPROP SLICE_X49Y91 IS_TEST 0 SITEPROP SLICE_X49Y91 IS_USED 0 SITEPROP SLICE_X49Y91 MANUAL_ROUTING SITEPROP SLICE_X49Y91 NAME SLICE_X49Y91 SITEPROP SLICE_X49Y91 NUM_ARCS 138 SITEPROP SLICE_X49Y91 NUM_BELS 32 SITEPROP SLICE_X49Y91 NUM_INPUTS 32 SITEPROP SLICE_X49Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y91 NUM_PINS 45 SITEPROP SLICE_X49Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y91 PROHIBIT 0 SITEPROP SLICE_X49Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y91 RPM_X 131 SITEPROP SLICE_X49Y91 RPM_Y 182 SITEPROP SLICE_X49Y91 SITE_PIPS SITEPROP SLICE_X49Y91 SITE_TYPE SLICEL SITEPROP SLICE_X49Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y92 CLASS site SITEPROP SLICE_X49Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y92 IS_BONDED 0 SITEPROP SLICE_X49Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y92 IS_PAD 0 SITEPROP SLICE_X49Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y92 IS_RESERVED 0 SITEPROP SLICE_X49Y92 IS_TEST 0 SITEPROP SLICE_X49Y92 IS_USED 0 SITEPROP SLICE_X49Y92 MANUAL_ROUTING SITEPROP SLICE_X49Y92 NAME SLICE_X49Y92 SITEPROP SLICE_X49Y92 NUM_ARCS 138 SITEPROP SLICE_X49Y92 NUM_BELS 32 SITEPROP SLICE_X49Y92 NUM_INPUTS 32 SITEPROP SLICE_X49Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y92 NUM_PINS 45 SITEPROP SLICE_X49Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y92 PROHIBIT 0 SITEPROP SLICE_X49Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y92 RPM_X 131 SITEPROP SLICE_X49Y92 RPM_Y 184 SITEPROP SLICE_X49Y92 SITE_PIPS SITEPROP SLICE_X49Y92 SITE_TYPE SLICEL SITEPROP SLICE_X49Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y93 CLASS site SITEPROP SLICE_X49Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y93 IS_BONDED 0 SITEPROP SLICE_X49Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y93 IS_PAD 0 SITEPROP SLICE_X49Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y93 IS_RESERVED 0 SITEPROP SLICE_X49Y93 IS_TEST 0 SITEPROP SLICE_X49Y93 IS_USED 0 SITEPROP SLICE_X49Y93 MANUAL_ROUTING SITEPROP SLICE_X49Y93 NAME SLICE_X49Y93 SITEPROP SLICE_X49Y93 NUM_ARCS 138 SITEPROP SLICE_X49Y93 NUM_BELS 32 SITEPROP SLICE_X49Y93 NUM_INPUTS 32 SITEPROP SLICE_X49Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y93 NUM_PINS 45 SITEPROP SLICE_X49Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y93 PROHIBIT 0 SITEPROP SLICE_X49Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y93 RPM_X 131 SITEPROP SLICE_X49Y93 RPM_Y 186 SITEPROP SLICE_X49Y93 SITE_PIPS SITEPROP SLICE_X49Y93 SITE_TYPE SLICEL SITEPROP SLICE_X49Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y94 CLASS site SITEPROP SLICE_X49Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y94 IS_BONDED 0 SITEPROP SLICE_X49Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y94 IS_PAD 0 SITEPROP SLICE_X49Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y94 IS_RESERVED 0 SITEPROP SLICE_X49Y94 IS_TEST 0 SITEPROP SLICE_X49Y94 IS_USED 0 SITEPROP SLICE_X49Y94 MANUAL_ROUTING SITEPROP SLICE_X49Y94 NAME SLICE_X49Y94 SITEPROP SLICE_X49Y94 NUM_ARCS 138 SITEPROP SLICE_X49Y94 NUM_BELS 32 SITEPROP SLICE_X49Y94 NUM_INPUTS 32 SITEPROP SLICE_X49Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y94 NUM_PINS 45 SITEPROP SLICE_X49Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y94 PROHIBIT 0 SITEPROP SLICE_X49Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y94 RPM_X 131 SITEPROP SLICE_X49Y94 RPM_Y 188 SITEPROP SLICE_X49Y94 SITE_PIPS SITEPROP SLICE_X49Y94 SITE_TYPE SLICEL SITEPROP SLICE_X49Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y95 CLASS site SITEPROP SLICE_X49Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y95 IS_BONDED 0 SITEPROP SLICE_X49Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y95 IS_PAD 0 SITEPROP SLICE_X49Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y95 IS_RESERVED 0 SITEPROP SLICE_X49Y95 IS_TEST 0 SITEPROP SLICE_X49Y95 IS_USED 0 SITEPROP SLICE_X49Y95 MANUAL_ROUTING SITEPROP SLICE_X49Y95 NAME SLICE_X49Y95 SITEPROP SLICE_X49Y95 NUM_ARCS 138 SITEPROP SLICE_X49Y95 NUM_BELS 32 SITEPROP SLICE_X49Y95 NUM_INPUTS 32 SITEPROP SLICE_X49Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y95 NUM_PINS 45 SITEPROP SLICE_X49Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y95 PROHIBIT 0 SITEPROP SLICE_X49Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y95 RPM_X 131 SITEPROP SLICE_X49Y95 RPM_Y 190 SITEPROP SLICE_X49Y95 SITE_PIPS SITEPROP SLICE_X49Y95 SITE_TYPE SLICEL SITEPROP SLICE_X49Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y96 CLASS site SITEPROP SLICE_X49Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y96 IS_BONDED 0 SITEPROP SLICE_X49Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y96 IS_PAD 0 SITEPROP SLICE_X49Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y96 IS_RESERVED 0 SITEPROP SLICE_X49Y96 IS_TEST 0 SITEPROP SLICE_X49Y96 IS_USED 0 SITEPROP SLICE_X49Y96 MANUAL_ROUTING SITEPROP SLICE_X49Y96 NAME SLICE_X49Y96 SITEPROP SLICE_X49Y96 NUM_ARCS 138 SITEPROP SLICE_X49Y96 NUM_BELS 32 SITEPROP SLICE_X49Y96 NUM_INPUTS 32 SITEPROP SLICE_X49Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y96 NUM_PINS 45 SITEPROP SLICE_X49Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y96 PROHIBIT 0 SITEPROP SLICE_X49Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y96 RPM_X 131 SITEPROP SLICE_X49Y96 RPM_Y 192 SITEPROP SLICE_X49Y96 SITE_PIPS SITEPROP SLICE_X49Y96 SITE_TYPE SLICEL SITEPROP SLICE_X49Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y97 CLASS site SITEPROP SLICE_X49Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y97 IS_BONDED 0 SITEPROP SLICE_X49Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y97 IS_PAD 0 SITEPROP SLICE_X49Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y97 IS_RESERVED 0 SITEPROP SLICE_X49Y97 IS_TEST 0 SITEPROP SLICE_X49Y97 IS_USED 0 SITEPROP SLICE_X49Y97 MANUAL_ROUTING SITEPROP SLICE_X49Y97 NAME SLICE_X49Y97 SITEPROP SLICE_X49Y97 NUM_ARCS 138 SITEPROP SLICE_X49Y97 NUM_BELS 32 SITEPROP SLICE_X49Y97 NUM_INPUTS 32 SITEPROP SLICE_X49Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y97 NUM_PINS 45 SITEPROP SLICE_X49Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y97 PROHIBIT 0 SITEPROP SLICE_X49Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y97 RPM_X 131 SITEPROP SLICE_X49Y97 RPM_Y 194 SITEPROP SLICE_X49Y97 SITE_PIPS SITEPROP SLICE_X49Y97 SITE_TYPE SLICEL SITEPROP SLICE_X49Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y98 CLASS site SITEPROP SLICE_X49Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y98 IS_BONDED 0 SITEPROP SLICE_X49Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y98 IS_PAD 0 SITEPROP SLICE_X49Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y98 IS_RESERVED 0 SITEPROP SLICE_X49Y98 IS_TEST 0 SITEPROP SLICE_X49Y98 IS_USED 0 SITEPROP SLICE_X49Y98 MANUAL_ROUTING SITEPROP SLICE_X49Y98 NAME SLICE_X49Y98 SITEPROP SLICE_X49Y98 NUM_ARCS 138 SITEPROP SLICE_X49Y98 NUM_BELS 32 SITEPROP SLICE_X49Y98 NUM_INPUTS 32 SITEPROP SLICE_X49Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y98 NUM_PINS 45 SITEPROP SLICE_X49Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y98 PROHIBIT 0 SITEPROP SLICE_X49Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y98 RPM_X 131 SITEPROP SLICE_X49Y98 RPM_Y 196 SITEPROP SLICE_X49Y98 SITE_PIPS SITEPROP SLICE_X49Y98 SITE_TYPE SLICEL SITEPROP SLICE_X49Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y99 CLASS site SITEPROP SLICE_X49Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X49Y99 IS_BONDED 0 SITEPROP SLICE_X49Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y99 IS_PAD 0 SITEPROP SLICE_X49Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y99 IS_RESERVED 0 SITEPROP SLICE_X49Y99 IS_TEST 0 SITEPROP SLICE_X49Y99 IS_USED 0 SITEPROP SLICE_X49Y99 MANUAL_ROUTING SITEPROP SLICE_X49Y99 NAME SLICE_X49Y99 SITEPROP SLICE_X49Y99 NUM_ARCS 138 SITEPROP SLICE_X49Y99 NUM_BELS 32 SITEPROP SLICE_X49Y99 NUM_INPUTS 32 SITEPROP SLICE_X49Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y99 NUM_PINS 45 SITEPROP SLICE_X49Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y99 PROHIBIT 0 SITEPROP SLICE_X49Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y99 RPM_X 131 SITEPROP SLICE_X49Y99 RPM_Y 198 SITEPROP SLICE_X49Y99 SITE_PIPS SITEPROP SLICE_X49Y99 SITE_TYPE SLICEL SITEPROP SLICE_X49Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y100 CLASS site SITEPROP SLICE_X49Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y100 IS_BONDED 0 SITEPROP SLICE_X49Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y100 IS_PAD 0 SITEPROP SLICE_X49Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y100 IS_RESERVED 0 SITEPROP SLICE_X49Y100 IS_TEST 0 SITEPROP SLICE_X49Y100 IS_USED 0 SITEPROP SLICE_X49Y100 MANUAL_ROUTING SITEPROP SLICE_X49Y100 NAME SLICE_X49Y100 SITEPROP SLICE_X49Y100 NUM_ARCS 138 SITEPROP SLICE_X49Y100 NUM_BELS 32 SITEPROP SLICE_X49Y100 NUM_INPUTS 32 SITEPROP SLICE_X49Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y100 NUM_PINS 45 SITEPROP SLICE_X49Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y100 PROHIBIT 0 SITEPROP SLICE_X49Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y100 RPM_X 131 SITEPROP SLICE_X49Y100 RPM_Y 200 SITEPROP SLICE_X49Y100 SITE_PIPS SITEPROP SLICE_X49Y100 SITE_TYPE SLICEL SITEPROP SLICE_X49Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y101 CLASS site SITEPROP SLICE_X49Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y101 IS_BONDED 0 SITEPROP SLICE_X49Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y101 IS_PAD 0 SITEPROP SLICE_X49Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y101 IS_RESERVED 0 SITEPROP SLICE_X49Y101 IS_TEST 0 SITEPROP SLICE_X49Y101 IS_USED 0 SITEPROP SLICE_X49Y101 MANUAL_ROUTING SITEPROP SLICE_X49Y101 NAME SLICE_X49Y101 SITEPROP SLICE_X49Y101 NUM_ARCS 138 SITEPROP SLICE_X49Y101 NUM_BELS 32 SITEPROP SLICE_X49Y101 NUM_INPUTS 32 SITEPROP SLICE_X49Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y101 NUM_PINS 45 SITEPROP SLICE_X49Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y101 PROHIBIT 0 SITEPROP SLICE_X49Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y101 RPM_X 131 SITEPROP SLICE_X49Y101 RPM_Y 202 SITEPROP SLICE_X49Y101 SITE_PIPS SITEPROP SLICE_X49Y101 SITE_TYPE SLICEL SITEPROP SLICE_X49Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y102 CLASS site SITEPROP SLICE_X49Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y102 IS_BONDED 0 SITEPROP SLICE_X49Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y102 IS_PAD 0 SITEPROP SLICE_X49Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y102 IS_RESERVED 0 SITEPROP SLICE_X49Y102 IS_TEST 0 SITEPROP SLICE_X49Y102 IS_USED 0 SITEPROP SLICE_X49Y102 MANUAL_ROUTING SITEPROP SLICE_X49Y102 NAME SLICE_X49Y102 SITEPROP SLICE_X49Y102 NUM_ARCS 138 SITEPROP SLICE_X49Y102 NUM_BELS 32 SITEPROP SLICE_X49Y102 NUM_INPUTS 32 SITEPROP SLICE_X49Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y102 NUM_PINS 45 SITEPROP SLICE_X49Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y102 PROHIBIT 0 SITEPROP SLICE_X49Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y102 RPM_X 131 SITEPROP SLICE_X49Y102 RPM_Y 204 SITEPROP SLICE_X49Y102 SITE_PIPS SITEPROP SLICE_X49Y102 SITE_TYPE SLICEL SITEPROP SLICE_X49Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y103 CLASS site SITEPROP SLICE_X49Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y103 IS_BONDED 0 SITEPROP SLICE_X49Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y103 IS_PAD 0 SITEPROP SLICE_X49Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y103 IS_RESERVED 0 SITEPROP SLICE_X49Y103 IS_TEST 0 SITEPROP SLICE_X49Y103 IS_USED 0 SITEPROP SLICE_X49Y103 MANUAL_ROUTING SITEPROP SLICE_X49Y103 NAME SLICE_X49Y103 SITEPROP SLICE_X49Y103 NUM_ARCS 138 SITEPROP SLICE_X49Y103 NUM_BELS 32 SITEPROP SLICE_X49Y103 NUM_INPUTS 32 SITEPROP SLICE_X49Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y103 NUM_PINS 45 SITEPROP SLICE_X49Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y103 PROHIBIT 0 SITEPROP SLICE_X49Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y103 RPM_X 131 SITEPROP SLICE_X49Y103 RPM_Y 206 SITEPROP SLICE_X49Y103 SITE_PIPS SITEPROP SLICE_X49Y103 SITE_TYPE SLICEL SITEPROP SLICE_X49Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y104 CLASS site SITEPROP SLICE_X49Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y104 IS_BONDED 0 SITEPROP SLICE_X49Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y104 IS_PAD 0 SITEPROP SLICE_X49Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y104 IS_RESERVED 0 SITEPROP SLICE_X49Y104 IS_TEST 0 SITEPROP SLICE_X49Y104 IS_USED 0 SITEPROP SLICE_X49Y104 MANUAL_ROUTING SITEPROP SLICE_X49Y104 NAME SLICE_X49Y104 SITEPROP SLICE_X49Y104 NUM_ARCS 138 SITEPROP SLICE_X49Y104 NUM_BELS 32 SITEPROP SLICE_X49Y104 NUM_INPUTS 32 SITEPROP SLICE_X49Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y104 NUM_PINS 45 SITEPROP SLICE_X49Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y104 PROHIBIT 0 SITEPROP SLICE_X49Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y104 RPM_X 131 SITEPROP SLICE_X49Y104 RPM_Y 208 SITEPROP SLICE_X49Y104 SITE_PIPS SITEPROP SLICE_X49Y104 SITE_TYPE SLICEL SITEPROP SLICE_X49Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y105 CLASS site SITEPROP SLICE_X49Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y105 IS_BONDED 0 SITEPROP SLICE_X49Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y105 IS_PAD 0 SITEPROP SLICE_X49Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y105 IS_RESERVED 0 SITEPROP SLICE_X49Y105 IS_TEST 0 SITEPROP SLICE_X49Y105 IS_USED 0 SITEPROP SLICE_X49Y105 MANUAL_ROUTING SITEPROP SLICE_X49Y105 NAME SLICE_X49Y105 SITEPROP SLICE_X49Y105 NUM_ARCS 138 SITEPROP SLICE_X49Y105 NUM_BELS 32 SITEPROP SLICE_X49Y105 NUM_INPUTS 32 SITEPROP SLICE_X49Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y105 NUM_PINS 45 SITEPROP SLICE_X49Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y105 PROHIBIT 0 SITEPROP SLICE_X49Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y105 RPM_X 131 SITEPROP SLICE_X49Y105 RPM_Y 210 SITEPROP SLICE_X49Y105 SITE_PIPS SITEPROP SLICE_X49Y105 SITE_TYPE SLICEL SITEPROP SLICE_X49Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y106 CLASS site SITEPROP SLICE_X49Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y106 IS_BONDED 0 SITEPROP SLICE_X49Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y106 IS_PAD 0 SITEPROP SLICE_X49Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y106 IS_RESERVED 0 SITEPROP SLICE_X49Y106 IS_TEST 0 SITEPROP SLICE_X49Y106 IS_USED 0 SITEPROP SLICE_X49Y106 MANUAL_ROUTING SITEPROP SLICE_X49Y106 NAME SLICE_X49Y106 SITEPROP SLICE_X49Y106 NUM_ARCS 138 SITEPROP SLICE_X49Y106 NUM_BELS 32 SITEPROP SLICE_X49Y106 NUM_INPUTS 32 SITEPROP SLICE_X49Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y106 NUM_PINS 45 SITEPROP SLICE_X49Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y106 PROHIBIT 0 SITEPROP SLICE_X49Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y106 RPM_X 131 SITEPROP SLICE_X49Y106 RPM_Y 212 SITEPROP SLICE_X49Y106 SITE_PIPS SITEPROP SLICE_X49Y106 SITE_TYPE SLICEL SITEPROP SLICE_X49Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y107 CLASS site SITEPROP SLICE_X49Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y107 IS_BONDED 0 SITEPROP SLICE_X49Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y107 IS_PAD 0 SITEPROP SLICE_X49Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y107 IS_RESERVED 0 SITEPROP SLICE_X49Y107 IS_TEST 0 SITEPROP SLICE_X49Y107 IS_USED 0 SITEPROP SLICE_X49Y107 MANUAL_ROUTING SITEPROP SLICE_X49Y107 NAME SLICE_X49Y107 SITEPROP SLICE_X49Y107 NUM_ARCS 138 SITEPROP SLICE_X49Y107 NUM_BELS 32 SITEPROP SLICE_X49Y107 NUM_INPUTS 32 SITEPROP SLICE_X49Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y107 NUM_PINS 45 SITEPROP SLICE_X49Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y107 PROHIBIT 0 SITEPROP SLICE_X49Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y107 RPM_X 131 SITEPROP SLICE_X49Y107 RPM_Y 214 SITEPROP SLICE_X49Y107 SITE_PIPS SITEPROP SLICE_X49Y107 SITE_TYPE SLICEL SITEPROP SLICE_X49Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y108 CLASS site SITEPROP SLICE_X49Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y108 IS_BONDED 0 SITEPROP SLICE_X49Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y108 IS_PAD 0 SITEPROP SLICE_X49Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y108 IS_RESERVED 0 SITEPROP SLICE_X49Y108 IS_TEST 0 SITEPROP SLICE_X49Y108 IS_USED 0 SITEPROP SLICE_X49Y108 MANUAL_ROUTING SITEPROP SLICE_X49Y108 NAME SLICE_X49Y108 SITEPROP SLICE_X49Y108 NUM_ARCS 138 SITEPROP SLICE_X49Y108 NUM_BELS 32 SITEPROP SLICE_X49Y108 NUM_INPUTS 32 SITEPROP SLICE_X49Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y108 NUM_PINS 45 SITEPROP SLICE_X49Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y108 PROHIBIT 0 SITEPROP SLICE_X49Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y108 RPM_X 131 SITEPROP SLICE_X49Y108 RPM_Y 216 SITEPROP SLICE_X49Y108 SITE_PIPS SITEPROP SLICE_X49Y108 SITE_TYPE SLICEL SITEPROP SLICE_X49Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y109 CLASS site SITEPROP SLICE_X49Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y109 IS_BONDED 0 SITEPROP SLICE_X49Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y109 IS_PAD 0 SITEPROP SLICE_X49Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y109 IS_RESERVED 0 SITEPROP SLICE_X49Y109 IS_TEST 0 SITEPROP SLICE_X49Y109 IS_USED 0 SITEPROP SLICE_X49Y109 MANUAL_ROUTING SITEPROP SLICE_X49Y109 NAME SLICE_X49Y109 SITEPROP SLICE_X49Y109 NUM_ARCS 138 SITEPROP SLICE_X49Y109 NUM_BELS 32 SITEPROP SLICE_X49Y109 NUM_INPUTS 32 SITEPROP SLICE_X49Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y109 NUM_PINS 45 SITEPROP SLICE_X49Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y109 PROHIBIT 0 SITEPROP SLICE_X49Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y109 RPM_X 131 SITEPROP SLICE_X49Y109 RPM_Y 218 SITEPROP SLICE_X49Y109 SITE_PIPS SITEPROP SLICE_X49Y109 SITE_TYPE SLICEL SITEPROP SLICE_X49Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y110 CLASS site SITEPROP SLICE_X49Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y110 IS_BONDED 0 SITEPROP SLICE_X49Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y110 IS_PAD 0 SITEPROP SLICE_X49Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y110 IS_RESERVED 0 SITEPROP SLICE_X49Y110 IS_TEST 0 SITEPROP SLICE_X49Y110 IS_USED 0 SITEPROP SLICE_X49Y110 MANUAL_ROUTING SITEPROP SLICE_X49Y110 NAME SLICE_X49Y110 SITEPROP SLICE_X49Y110 NUM_ARCS 138 SITEPROP SLICE_X49Y110 NUM_BELS 32 SITEPROP SLICE_X49Y110 NUM_INPUTS 32 SITEPROP SLICE_X49Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y110 NUM_PINS 45 SITEPROP SLICE_X49Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y110 PROHIBIT 0 SITEPROP SLICE_X49Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y110 RPM_X 131 SITEPROP SLICE_X49Y110 RPM_Y 220 SITEPROP SLICE_X49Y110 SITE_PIPS SITEPROP SLICE_X49Y110 SITE_TYPE SLICEL SITEPROP SLICE_X49Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y111 CLASS site SITEPROP SLICE_X49Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y111 IS_BONDED 0 SITEPROP SLICE_X49Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y111 IS_PAD 0 SITEPROP SLICE_X49Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y111 IS_RESERVED 0 SITEPROP SLICE_X49Y111 IS_TEST 0 SITEPROP SLICE_X49Y111 IS_USED 0 SITEPROP SLICE_X49Y111 MANUAL_ROUTING SITEPROP SLICE_X49Y111 NAME SLICE_X49Y111 SITEPROP SLICE_X49Y111 NUM_ARCS 138 SITEPROP SLICE_X49Y111 NUM_BELS 32 SITEPROP SLICE_X49Y111 NUM_INPUTS 32 SITEPROP SLICE_X49Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y111 NUM_PINS 45 SITEPROP SLICE_X49Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y111 PROHIBIT 0 SITEPROP SLICE_X49Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y111 RPM_X 131 SITEPROP SLICE_X49Y111 RPM_Y 222 SITEPROP SLICE_X49Y111 SITE_PIPS SITEPROP SLICE_X49Y111 SITE_TYPE SLICEL SITEPROP SLICE_X49Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y112 CLASS site SITEPROP SLICE_X49Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y112 IS_BONDED 0 SITEPROP SLICE_X49Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y112 IS_PAD 0 SITEPROP SLICE_X49Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y112 IS_RESERVED 0 SITEPROP SLICE_X49Y112 IS_TEST 0 SITEPROP SLICE_X49Y112 IS_USED 0 SITEPROP SLICE_X49Y112 MANUAL_ROUTING SITEPROP SLICE_X49Y112 NAME SLICE_X49Y112 SITEPROP SLICE_X49Y112 NUM_ARCS 138 SITEPROP SLICE_X49Y112 NUM_BELS 32 SITEPROP SLICE_X49Y112 NUM_INPUTS 32 SITEPROP SLICE_X49Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y112 NUM_PINS 45 SITEPROP SLICE_X49Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y112 PROHIBIT 0 SITEPROP SLICE_X49Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y112 RPM_X 131 SITEPROP SLICE_X49Y112 RPM_Y 224 SITEPROP SLICE_X49Y112 SITE_PIPS SITEPROP SLICE_X49Y112 SITE_TYPE SLICEL SITEPROP SLICE_X49Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y113 CLASS site SITEPROP SLICE_X49Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y113 IS_BONDED 0 SITEPROP SLICE_X49Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y113 IS_PAD 0 SITEPROP SLICE_X49Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y113 IS_RESERVED 0 SITEPROP SLICE_X49Y113 IS_TEST 0 SITEPROP SLICE_X49Y113 IS_USED 0 SITEPROP SLICE_X49Y113 MANUAL_ROUTING SITEPROP SLICE_X49Y113 NAME SLICE_X49Y113 SITEPROP SLICE_X49Y113 NUM_ARCS 138 SITEPROP SLICE_X49Y113 NUM_BELS 32 SITEPROP SLICE_X49Y113 NUM_INPUTS 32 SITEPROP SLICE_X49Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y113 NUM_PINS 45 SITEPROP SLICE_X49Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y113 PROHIBIT 0 SITEPROP SLICE_X49Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y113 RPM_X 131 SITEPROP SLICE_X49Y113 RPM_Y 226 SITEPROP SLICE_X49Y113 SITE_PIPS SITEPROP SLICE_X49Y113 SITE_TYPE SLICEL SITEPROP SLICE_X49Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y114 CLASS site SITEPROP SLICE_X49Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y114 IS_BONDED 0 SITEPROP SLICE_X49Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y114 IS_PAD 0 SITEPROP SLICE_X49Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y114 IS_RESERVED 0 SITEPROP SLICE_X49Y114 IS_TEST 0 SITEPROP SLICE_X49Y114 IS_USED 0 SITEPROP SLICE_X49Y114 MANUAL_ROUTING SITEPROP SLICE_X49Y114 NAME SLICE_X49Y114 SITEPROP SLICE_X49Y114 NUM_ARCS 138 SITEPROP SLICE_X49Y114 NUM_BELS 32 SITEPROP SLICE_X49Y114 NUM_INPUTS 32 SITEPROP SLICE_X49Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y114 NUM_PINS 45 SITEPROP SLICE_X49Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y114 PROHIBIT 0 SITEPROP SLICE_X49Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y114 RPM_X 131 SITEPROP SLICE_X49Y114 RPM_Y 228 SITEPROP SLICE_X49Y114 SITE_PIPS SITEPROP SLICE_X49Y114 SITE_TYPE SLICEL SITEPROP SLICE_X49Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y115 CLASS site SITEPROP SLICE_X49Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y115 IS_BONDED 0 SITEPROP SLICE_X49Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y115 IS_PAD 0 SITEPROP SLICE_X49Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y115 IS_RESERVED 0 SITEPROP SLICE_X49Y115 IS_TEST 0 SITEPROP SLICE_X49Y115 IS_USED 0 SITEPROP SLICE_X49Y115 MANUAL_ROUTING SITEPROP SLICE_X49Y115 NAME SLICE_X49Y115 SITEPROP SLICE_X49Y115 NUM_ARCS 138 SITEPROP SLICE_X49Y115 NUM_BELS 32 SITEPROP SLICE_X49Y115 NUM_INPUTS 32 SITEPROP SLICE_X49Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y115 NUM_PINS 45 SITEPROP SLICE_X49Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y115 PROHIBIT 0 SITEPROP SLICE_X49Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y115 RPM_X 131 SITEPROP SLICE_X49Y115 RPM_Y 230 SITEPROP SLICE_X49Y115 SITE_PIPS SITEPROP SLICE_X49Y115 SITE_TYPE SLICEL SITEPROP SLICE_X49Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y116 CLASS site SITEPROP SLICE_X49Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y116 IS_BONDED 0 SITEPROP SLICE_X49Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y116 IS_PAD 0 SITEPROP SLICE_X49Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y116 IS_RESERVED 0 SITEPROP SLICE_X49Y116 IS_TEST 0 SITEPROP SLICE_X49Y116 IS_USED 0 SITEPROP SLICE_X49Y116 MANUAL_ROUTING SITEPROP SLICE_X49Y116 NAME SLICE_X49Y116 SITEPROP SLICE_X49Y116 NUM_ARCS 138 SITEPROP SLICE_X49Y116 NUM_BELS 32 SITEPROP SLICE_X49Y116 NUM_INPUTS 32 SITEPROP SLICE_X49Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y116 NUM_PINS 45 SITEPROP SLICE_X49Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y116 PROHIBIT 0 SITEPROP SLICE_X49Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y116 RPM_X 131 SITEPROP SLICE_X49Y116 RPM_Y 232 SITEPROP SLICE_X49Y116 SITE_PIPS SITEPROP SLICE_X49Y116 SITE_TYPE SLICEL SITEPROP SLICE_X49Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y117 CLASS site SITEPROP SLICE_X49Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y117 IS_BONDED 0 SITEPROP SLICE_X49Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y117 IS_PAD 0 SITEPROP SLICE_X49Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y117 IS_RESERVED 0 SITEPROP SLICE_X49Y117 IS_TEST 0 SITEPROP SLICE_X49Y117 IS_USED 0 SITEPROP SLICE_X49Y117 MANUAL_ROUTING SITEPROP SLICE_X49Y117 NAME SLICE_X49Y117 SITEPROP SLICE_X49Y117 NUM_ARCS 138 SITEPROP SLICE_X49Y117 NUM_BELS 32 SITEPROP SLICE_X49Y117 NUM_INPUTS 32 SITEPROP SLICE_X49Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y117 NUM_PINS 45 SITEPROP SLICE_X49Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y117 PROHIBIT 0 SITEPROP SLICE_X49Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y117 RPM_X 131 SITEPROP SLICE_X49Y117 RPM_Y 234 SITEPROP SLICE_X49Y117 SITE_PIPS SITEPROP SLICE_X49Y117 SITE_TYPE SLICEL SITEPROP SLICE_X49Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y118 CLASS site SITEPROP SLICE_X49Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y118 IS_BONDED 0 SITEPROP SLICE_X49Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y118 IS_PAD 0 SITEPROP SLICE_X49Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y118 IS_RESERVED 0 SITEPROP SLICE_X49Y118 IS_TEST 0 SITEPROP SLICE_X49Y118 IS_USED 0 SITEPROP SLICE_X49Y118 MANUAL_ROUTING SITEPROP SLICE_X49Y118 NAME SLICE_X49Y118 SITEPROP SLICE_X49Y118 NUM_ARCS 138 SITEPROP SLICE_X49Y118 NUM_BELS 32 SITEPROP SLICE_X49Y118 NUM_INPUTS 32 SITEPROP SLICE_X49Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y118 NUM_PINS 45 SITEPROP SLICE_X49Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y118 PROHIBIT 0 SITEPROP SLICE_X49Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y118 RPM_X 131 SITEPROP SLICE_X49Y118 RPM_Y 236 SITEPROP SLICE_X49Y118 SITE_PIPS SITEPROP SLICE_X49Y118 SITE_TYPE SLICEL SITEPROP SLICE_X49Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y119 CLASS site SITEPROP SLICE_X49Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y119 IS_BONDED 0 SITEPROP SLICE_X49Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y119 IS_PAD 0 SITEPROP SLICE_X49Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y119 IS_RESERVED 0 SITEPROP SLICE_X49Y119 IS_TEST 0 SITEPROP SLICE_X49Y119 IS_USED 0 SITEPROP SLICE_X49Y119 MANUAL_ROUTING SITEPROP SLICE_X49Y119 NAME SLICE_X49Y119 SITEPROP SLICE_X49Y119 NUM_ARCS 138 SITEPROP SLICE_X49Y119 NUM_BELS 32 SITEPROP SLICE_X49Y119 NUM_INPUTS 32 SITEPROP SLICE_X49Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y119 NUM_PINS 45 SITEPROP SLICE_X49Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y119 PROHIBIT 0 SITEPROP SLICE_X49Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y119 RPM_X 131 SITEPROP SLICE_X49Y119 RPM_Y 238 SITEPROP SLICE_X49Y119 SITE_PIPS SITEPROP SLICE_X49Y119 SITE_TYPE SLICEL SITEPROP SLICE_X49Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y120 CLASS site SITEPROP SLICE_X49Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y120 IS_BONDED 0 SITEPROP SLICE_X49Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y120 IS_PAD 0 SITEPROP SLICE_X49Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y120 IS_RESERVED 0 SITEPROP SLICE_X49Y120 IS_TEST 0 SITEPROP SLICE_X49Y120 IS_USED 0 SITEPROP SLICE_X49Y120 MANUAL_ROUTING SITEPROP SLICE_X49Y120 NAME SLICE_X49Y120 SITEPROP SLICE_X49Y120 NUM_ARCS 138 SITEPROP SLICE_X49Y120 NUM_BELS 32 SITEPROP SLICE_X49Y120 NUM_INPUTS 32 SITEPROP SLICE_X49Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y120 NUM_PINS 45 SITEPROP SLICE_X49Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y120 PROHIBIT 0 SITEPROP SLICE_X49Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y120 RPM_X 131 SITEPROP SLICE_X49Y120 RPM_Y 240 SITEPROP SLICE_X49Y120 SITE_PIPS SITEPROP SLICE_X49Y120 SITE_TYPE SLICEL SITEPROP SLICE_X49Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y121 CLASS site SITEPROP SLICE_X49Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y121 IS_BONDED 0 SITEPROP SLICE_X49Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y121 IS_PAD 0 SITEPROP SLICE_X49Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y121 IS_RESERVED 0 SITEPROP SLICE_X49Y121 IS_TEST 0 SITEPROP SLICE_X49Y121 IS_USED 0 SITEPROP SLICE_X49Y121 MANUAL_ROUTING SITEPROP SLICE_X49Y121 NAME SLICE_X49Y121 SITEPROP SLICE_X49Y121 NUM_ARCS 138 SITEPROP SLICE_X49Y121 NUM_BELS 32 SITEPROP SLICE_X49Y121 NUM_INPUTS 32 SITEPROP SLICE_X49Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y121 NUM_PINS 45 SITEPROP SLICE_X49Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y121 PROHIBIT 0 SITEPROP SLICE_X49Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y121 RPM_X 131 SITEPROP SLICE_X49Y121 RPM_Y 242 SITEPROP SLICE_X49Y121 SITE_PIPS SITEPROP SLICE_X49Y121 SITE_TYPE SLICEL SITEPROP SLICE_X49Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y122 CLASS site SITEPROP SLICE_X49Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y122 IS_BONDED 0 SITEPROP SLICE_X49Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y122 IS_PAD 0 SITEPROP SLICE_X49Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y122 IS_RESERVED 0 SITEPROP SLICE_X49Y122 IS_TEST 0 SITEPROP SLICE_X49Y122 IS_USED 0 SITEPROP SLICE_X49Y122 MANUAL_ROUTING SITEPROP SLICE_X49Y122 NAME SLICE_X49Y122 SITEPROP SLICE_X49Y122 NUM_ARCS 138 SITEPROP SLICE_X49Y122 NUM_BELS 32 SITEPROP SLICE_X49Y122 NUM_INPUTS 32 SITEPROP SLICE_X49Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y122 NUM_PINS 45 SITEPROP SLICE_X49Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y122 PROHIBIT 0 SITEPROP SLICE_X49Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y122 RPM_X 131 SITEPROP SLICE_X49Y122 RPM_Y 244 SITEPROP SLICE_X49Y122 SITE_PIPS SITEPROP SLICE_X49Y122 SITE_TYPE SLICEL SITEPROP SLICE_X49Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y123 CLASS site SITEPROP SLICE_X49Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y123 IS_BONDED 0 SITEPROP SLICE_X49Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y123 IS_PAD 0 SITEPROP SLICE_X49Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y123 IS_RESERVED 0 SITEPROP SLICE_X49Y123 IS_TEST 0 SITEPROP SLICE_X49Y123 IS_USED 0 SITEPROP SLICE_X49Y123 MANUAL_ROUTING SITEPROP SLICE_X49Y123 NAME SLICE_X49Y123 SITEPROP SLICE_X49Y123 NUM_ARCS 138 SITEPROP SLICE_X49Y123 NUM_BELS 32 SITEPROP SLICE_X49Y123 NUM_INPUTS 32 SITEPROP SLICE_X49Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y123 NUM_PINS 45 SITEPROP SLICE_X49Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y123 PROHIBIT 0 SITEPROP SLICE_X49Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y123 RPM_X 131 SITEPROP SLICE_X49Y123 RPM_Y 246 SITEPROP SLICE_X49Y123 SITE_PIPS SITEPROP SLICE_X49Y123 SITE_TYPE SLICEL SITEPROP SLICE_X49Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y124 CLASS site SITEPROP SLICE_X49Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y124 IS_BONDED 0 SITEPROP SLICE_X49Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y124 IS_PAD 0 SITEPROP SLICE_X49Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y124 IS_RESERVED 0 SITEPROP SLICE_X49Y124 IS_TEST 0 SITEPROP SLICE_X49Y124 IS_USED 0 SITEPROP SLICE_X49Y124 MANUAL_ROUTING SITEPROP SLICE_X49Y124 NAME SLICE_X49Y124 SITEPROP SLICE_X49Y124 NUM_ARCS 138 SITEPROP SLICE_X49Y124 NUM_BELS 32 SITEPROP SLICE_X49Y124 NUM_INPUTS 32 SITEPROP SLICE_X49Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y124 NUM_PINS 45 SITEPROP SLICE_X49Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y124 PROHIBIT 0 SITEPROP SLICE_X49Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y124 RPM_X 131 SITEPROP SLICE_X49Y124 RPM_Y 248 SITEPROP SLICE_X49Y124 SITE_PIPS SITEPROP SLICE_X49Y124 SITE_TYPE SLICEL SITEPROP SLICE_X49Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y125 CLASS site SITEPROP SLICE_X49Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y125 IS_BONDED 0 SITEPROP SLICE_X49Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y125 IS_PAD 0 SITEPROP SLICE_X49Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y125 IS_RESERVED 0 SITEPROP SLICE_X49Y125 IS_TEST 0 SITEPROP SLICE_X49Y125 IS_USED 0 SITEPROP SLICE_X49Y125 MANUAL_ROUTING SITEPROP SLICE_X49Y125 NAME SLICE_X49Y125 SITEPROP SLICE_X49Y125 NUM_ARCS 138 SITEPROP SLICE_X49Y125 NUM_BELS 32 SITEPROP SLICE_X49Y125 NUM_INPUTS 32 SITEPROP SLICE_X49Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y125 NUM_PINS 45 SITEPROP SLICE_X49Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y125 PROHIBIT 0 SITEPROP SLICE_X49Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y125 RPM_X 131 SITEPROP SLICE_X49Y125 RPM_Y 250 SITEPROP SLICE_X49Y125 SITE_PIPS SITEPROP SLICE_X49Y125 SITE_TYPE SLICEL SITEPROP SLICE_X49Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y126 CLASS site SITEPROP SLICE_X49Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y126 IS_BONDED 0 SITEPROP SLICE_X49Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y126 IS_PAD 0 SITEPROP SLICE_X49Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y126 IS_RESERVED 0 SITEPROP SLICE_X49Y126 IS_TEST 0 SITEPROP SLICE_X49Y126 IS_USED 0 SITEPROP SLICE_X49Y126 MANUAL_ROUTING SITEPROP SLICE_X49Y126 NAME SLICE_X49Y126 SITEPROP SLICE_X49Y126 NUM_ARCS 138 SITEPROP SLICE_X49Y126 NUM_BELS 32 SITEPROP SLICE_X49Y126 NUM_INPUTS 32 SITEPROP SLICE_X49Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y126 NUM_PINS 45 SITEPROP SLICE_X49Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y126 PROHIBIT 0 SITEPROP SLICE_X49Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y126 RPM_X 131 SITEPROP SLICE_X49Y126 RPM_Y 252 SITEPROP SLICE_X49Y126 SITE_PIPS SITEPROP SLICE_X49Y126 SITE_TYPE SLICEL SITEPROP SLICE_X49Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y127 CLASS site SITEPROP SLICE_X49Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y127 IS_BONDED 0 SITEPROP SLICE_X49Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y127 IS_PAD 0 SITEPROP SLICE_X49Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y127 IS_RESERVED 0 SITEPROP SLICE_X49Y127 IS_TEST 0 SITEPROP SLICE_X49Y127 IS_USED 0 SITEPROP SLICE_X49Y127 MANUAL_ROUTING SITEPROP SLICE_X49Y127 NAME SLICE_X49Y127 SITEPROP SLICE_X49Y127 NUM_ARCS 138 SITEPROP SLICE_X49Y127 NUM_BELS 32 SITEPROP SLICE_X49Y127 NUM_INPUTS 32 SITEPROP SLICE_X49Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y127 NUM_PINS 45 SITEPROP SLICE_X49Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y127 PROHIBIT 0 SITEPROP SLICE_X49Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y127 RPM_X 131 SITEPROP SLICE_X49Y127 RPM_Y 254 SITEPROP SLICE_X49Y127 SITE_PIPS SITEPROP SLICE_X49Y127 SITE_TYPE SLICEL SITEPROP SLICE_X49Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y128 CLASS site SITEPROP SLICE_X49Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y128 IS_BONDED 0 SITEPROP SLICE_X49Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y128 IS_PAD 0 SITEPROP SLICE_X49Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y128 IS_RESERVED 0 SITEPROP SLICE_X49Y128 IS_TEST 0 SITEPROP SLICE_X49Y128 IS_USED 0 SITEPROP SLICE_X49Y128 MANUAL_ROUTING SITEPROP SLICE_X49Y128 NAME SLICE_X49Y128 SITEPROP SLICE_X49Y128 NUM_ARCS 138 SITEPROP SLICE_X49Y128 NUM_BELS 32 SITEPROP SLICE_X49Y128 NUM_INPUTS 32 SITEPROP SLICE_X49Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y128 NUM_PINS 45 SITEPROP SLICE_X49Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y128 PROHIBIT 0 SITEPROP SLICE_X49Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y128 RPM_X 131 SITEPROP SLICE_X49Y128 RPM_Y 256 SITEPROP SLICE_X49Y128 SITE_PIPS SITEPROP SLICE_X49Y128 SITE_TYPE SLICEL SITEPROP SLICE_X49Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y129 CLASS site SITEPROP SLICE_X49Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y129 IS_BONDED 0 SITEPROP SLICE_X49Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y129 IS_PAD 0 SITEPROP SLICE_X49Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y129 IS_RESERVED 0 SITEPROP SLICE_X49Y129 IS_TEST 0 SITEPROP SLICE_X49Y129 IS_USED 0 SITEPROP SLICE_X49Y129 MANUAL_ROUTING SITEPROP SLICE_X49Y129 NAME SLICE_X49Y129 SITEPROP SLICE_X49Y129 NUM_ARCS 138 SITEPROP SLICE_X49Y129 NUM_BELS 32 SITEPROP SLICE_X49Y129 NUM_INPUTS 32 SITEPROP SLICE_X49Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y129 NUM_PINS 45 SITEPROP SLICE_X49Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y129 PROHIBIT 0 SITEPROP SLICE_X49Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y129 RPM_X 131 SITEPROP SLICE_X49Y129 RPM_Y 258 SITEPROP SLICE_X49Y129 SITE_PIPS SITEPROP SLICE_X49Y129 SITE_TYPE SLICEL SITEPROP SLICE_X49Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y130 CLASS site SITEPROP SLICE_X49Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y130 IS_BONDED 0 SITEPROP SLICE_X49Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y130 IS_PAD 0 SITEPROP SLICE_X49Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y130 IS_RESERVED 0 SITEPROP SLICE_X49Y130 IS_TEST 0 SITEPROP SLICE_X49Y130 IS_USED 0 SITEPROP SLICE_X49Y130 MANUAL_ROUTING SITEPROP SLICE_X49Y130 NAME SLICE_X49Y130 SITEPROP SLICE_X49Y130 NUM_ARCS 138 SITEPROP SLICE_X49Y130 NUM_BELS 32 SITEPROP SLICE_X49Y130 NUM_INPUTS 32 SITEPROP SLICE_X49Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y130 NUM_PINS 45 SITEPROP SLICE_X49Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y130 PROHIBIT 0 SITEPROP SLICE_X49Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y130 RPM_X 131 SITEPROP SLICE_X49Y130 RPM_Y 260 SITEPROP SLICE_X49Y130 SITE_PIPS SITEPROP SLICE_X49Y130 SITE_TYPE SLICEL SITEPROP SLICE_X49Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y131 CLASS site SITEPROP SLICE_X49Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y131 IS_BONDED 0 SITEPROP SLICE_X49Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y131 IS_PAD 0 SITEPROP SLICE_X49Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y131 IS_RESERVED 0 SITEPROP SLICE_X49Y131 IS_TEST 0 SITEPROP SLICE_X49Y131 IS_USED 0 SITEPROP SLICE_X49Y131 MANUAL_ROUTING SITEPROP SLICE_X49Y131 NAME SLICE_X49Y131 SITEPROP SLICE_X49Y131 NUM_ARCS 138 SITEPROP SLICE_X49Y131 NUM_BELS 32 SITEPROP SLICE_X49Y131 NUM_INPUTS 32 SITEPROP SLICE_X49Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y131 NUM_PINS 45 SITEPROP SLICE_X49Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y131 PROHIBIT 0 SITEPROP SLICE_X49Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y131 RPM_X 131 SITEPROP SLICE_X49Y131 RPM_Y 262 SITEPROP SLICE_X49Y131 SITE_PIPS SITEPROP SLICE_X49Y131 SITE_TYPE SLICEL SITEPROP SLICE_X49Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y132 CLASS site SITEPROP SLICE_X49Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y132 IS_BONDED 0 SITEPROP SLICE_X49Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y132 IS_PAD 0 SITEPROP SLICE_X49Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y132 IS_RESERVED 0 SITEPROP SLICE_X49Y132 IS_TEST 0 SITEPROP SLICE_X49Y132 IS_USED 0 SITEPROP SLICE_X49Y132 MANUAL_ROUTING SITEPROP SLICE_X49Y132 NAME SLICE_X49Y132 SITEPROP SLICE_X49Y132 NUM_ARCS 138 SITEPROP SLICE_X49Y132 NUM_BELS 32 SITEPROP SLICE_X49Y132 NUM_INPUTS 32 SITEPROP SLICE_X49Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y132 NUM_PINS 45 SITEPROP SLICE_X49Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y132 PROHIBIT 0 SITEPROP SLICE_X49Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y132 RPM_X 131 SITEPROP SLICE_X49Y132 RPM_Y 264 SITEPROP SLICE_X49Y132 SITE_PIPS SITEPROP SLICE_X49Y132 SITE_TYPE SLICEL SITEPROP SLICE_X49Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y133 CLASS site SITEPROP SLICE_X49Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y133 IS_BONDED 0 SITEPROP SLICE_X49Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y133 IS_PAD 0 SITEPROP SLICE_X49Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y133 IS_RESERVED 0 SITEPROP SLICE_X49Y133 IS_TEST 0 SITEPROP SLICE_X49Y133 IS_USED 0 SITEPROP SLICE_X49Y133 MANUAL_ROUTING SITEPROP SLICE_X49Y133 NAME SLICE_X49Y133 SITEPROP SLICE_X49Y133 NUM_ARCS 138 SITEPROP SLICE_X49Y133 NUM_BELS 32 SITEPROP SLICE_X49Y133 NUM_INPUTS 32 SITEPROP SLICE_X49Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y133 NUM_PINS 45 SITEPROP SLICE_X49Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y133 PROHIBIT 0 SITEPROP SLICE_X49Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y133 RPM_X 131 SITEPROP SLICE_X49Y133 RPM_Y 266 SITEPROP SLICE_X49Y133 SITE_PIPS SITEPROP SLICE_X49Y133 SITE_TYPE SLICEL SITEPROP SLICE_X49Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y134 CLASS site SITEPROP SLICE_X49Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y134 IS_BONDED 0 SITEPROP SLICE_X49Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y134 IS_PAD 0 SITEPROP SLICE_X49Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y134 IS_RESERVED 0 SITEPROP SLICE_X49Y134 IS_TEST 0 SITEPROP SLICE_X49Y134 IS_USED 0 SITEPROP SLICE_X49Y134 MANUAL_ROUTING SITEPROP SLICE_X49Y134 NAME SLICE_X49Y134 SITEPROP SLICE_X49Y134 NUM_ARCS 138 SITEPROP SLICE_X49Y134 NUM_BELS 32 SITEPROP SLICE_X49Y134 NUM_INPUTS 32 SITEPROP SLICE_X49Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y134 NUM_PINS 45 SITEPROP SLICE_X49Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y134 PROHIBIT 0 SITEPROP SLICE_X49Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y134 RPM_X 131 SITEPROP SLICE_X49Y134 RPM_Y 268 SITEPROP SLICE_X49Y134 SITE_PIPS SITEPROP SLICE_X49Y134 SITE_TYPE SLICEL SITEPROP SLICE_X49Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y135 CLASS site SITEPROP SLICE_X49Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y135 IS_BONDED 0 SITEPROP SLICE_X49Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y135 IS_PAD 0 SITEPROP SLICE_X49Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y135 IS_RESERVED 0 SITEPROP SLICE_X49Y135 IS_TEST 0 SITEPROP SLICE_X49Y135 IS_USED 0 SITEPROP SLICE_X49Y135 MANUAL_ROUTING SITEPROP SLICE_X49Y135 NAME SLICE_X49Y135 SITEPROP SLICE_X49Y135 NUM_ARCS 138 SITEPROP SLICE_X49Y135 NUM_BELS 32 SITEPROP SLICE_X49Y135 NUM_INPUTS 32 SITEPROP SLICE_X49Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y135 NUM_PINS 45 SITEPROP SLICE_X49Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y135 PROHIBIT 0 SITEPROP SLICE_X49Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y135 RPM_X 131 SITEPROP SLICE_X49Y135 RPM_Y 270 SITEPROP SLICE_X49Y135 SITE_PIPS SITEPROP SLICE_X49Y135 SITE_TYPE SLICEL SITEPROP SLICE_X49Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y136 CLASS site SITEPROP SLICE_X49Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y136 IS_BONDED 0 SITEPROP SLICE_X49Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y136 IS_PAD 0 SITEPROP SLICE_X49Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y136 IS_RESERVED 0 SITEPROP SLICE_X49Y136 IS_TEST 0 SITEPROP SLICE_X49Y136 IS_USED 0 SITEPROP SLICE_X49Y136 MANUAL_ROUTING SITEPROP SLICE_X49Y136 NAME SLICE_X49Y136 SITEPROP SLICE_X49Y136 NUM_ARCS 138 SITEPROP SLICE_X49Y136 NUM_BELS 32 SITEPROP SLICE_X49Y136 NUM_INPUTS 32 SITEPROP SLICE_X49Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y136 NUM_PINS 45 SITEPROP SLICE_X49Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y136 PROHIBIT 0 SITEPROP SLICE_X49Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y136 RPM_X 131 SITEPROP SLICE_X49Y136 RPM_Y 272 SITEPROP SLICE_X49Y136 SITE_PIPS SITEPROP SLICE_X49Y136 SITE_TYPE SLICEL SITEPROP SLICE_X49Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y137 CLASS site SITEPROP SLICE_X49Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y137 IS_BONDED 0 SITEPROP SLICE_X49Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y137 IS_PAD 0 SITEPROP SLICE_X49Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y137 IS_RESERVED 0 SITEPROP SLICE_X49Y137 IS_TEST 0 SITEPROP SLICE_X49Y137 IS_USED 0 SITEPROP SLICE_X49Y137 MANUAL_ROUTING SITEPROP SLICE_X49Y137 NAME SLICE_X49Y137 SITEPROP SLICE_X49Y137 NUM_ARCS 138 SITEPROP SLICE_X49Y137 NUM_BELS 32 SITEPROP SLICE_X49Y137 NUM_INPUTS 32 SITEPROP SLICE_X49Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y137 NUM_PINS 45 SITEPROP SLICE_X49Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y137 PROHIBIT 0 SITEPROP SLICE_X49Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y137 RPM_X 131 SITEPROP SLICE_X49Y137 RPM_Y 274 SITEPROP SLICE_X49Y137 SITE_PIPS SITEPROP SLICE_X49Y137 SITE_TYPE SLICEL SITEPROP SLICE_X49Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y138 CLASS site SITEPROP SLICE_X49Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y138 IS_BONDED 0 SITEPROP SLICE_X49Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y138 IS_PAD 0 SITEPROP SLICE_X49Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y138 IS_RESERVED 0 SITEPROP SLICE_X49Y138 IS_TEST 0 SITEPROP SLICE_X49Y138 IS_USED 0 SITEPROP SLICE_X49Y138 MANUAL_ROUTING SITEPROP SLICE_X49Y138 NAME SLICE_X49Y138 SITEPROP SLICE_X49Y138 NUM_ARCS 138 SITEPROP SLICE_X49Y138 NUM_BELS 32 SITEPROP SLICE_X49Y138 NUM_INPUTS 32 SITEPROP SLICE_X49Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y138 NUM_PINS 45 SITEPROP SLICE_X49Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y138 PROHIBIT 0 SITEPROP SLICE_X49Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y138 RPM_X 131 SITEPROP SLICE_X49Y138 RPM_Y 276 SITEPROP SLICE_X49Y138 SITE_PIPS SITEPROP SLICE_X49Y138 SITE_TYPE SLICEL SITEPROP SLICE_X49Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y139 CLASS site SITEPROP SLICE_X49Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y139 IS_BONDED 0 SITEPROP SLICE_X49Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y139 IS_PAD 0 SITEPROP SLICE_X49Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y139 IS_RESERVED 0 SITEPROP SLICE_X49Y139 IS_TEST 0 SITEPROP SLICE_X49Y139 IS_USED 0 SITEPROP SLICE_X49Y139 MANUAL_ROUTING SITEPROP SLICE_X49Y139 NAME SLICE_X49Y139 SITEPROP SLICE_X49Y139 NUM_ARCS 138 SITEPROP SLICE_X49Y139 NUM_BELS 32 SITEPROP SLICE_X49Y139 NUM_INPUTS 32 SITEPROP SLICE_X49Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y139 NUM_PINS 45 SITEPROP SLICE_X49Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y139 PROHIBIT 0 SITEPROP SLICE_X49Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y139 RPM_X 131 SITEPROP SLICE_X49Y139 RPM_Y 278 SITEPROP SLICE_X49Y139 SITE_PIPS SITEPROP SLICE_X49Y139 SITE_TYPE SLICEL SITEPROP SLICE_X49Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y140 CLASS site SITEPROP SLICE_X49Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y140 IS_BONDED 0 SITEPROP SLICE_X49Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y140 IS_PAD 0 SITEPROP SLICE_X49Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y140 IS_RESERVED 0 SITEPROP SLICE_X49Y140 IS_TEST 0 SITEPROP SLICE_X49Y140 IS_USED 0 SITEPROP SLICE_X49Y140 MANUAL_ROUTING SITEPROP SLICE_X49Y140 NAME SLICE_X49Y140 SITEPROP SLICE_X49Y140 NUM_ARCS 138 SITEPROP SLICE_X49Y140 NUM_BELS 32 SITEPROP SLICE_X49Y140 NUM_INPUTS 32 SITEPROP SLICE_X49Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y140 NUM_PINS 45 SITEPROP SLICE_X49Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y140 PROHIBIT 0 SITEPROP SLICE_X49Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y140 RPM_X 131 SITEPROP SLICE_X49Y140 RPM_Y 280 SITEPROP SLICE_X49Y140 SITE_PIPS SITEPROP SLICE_X49Y140 SITE_TYPE SLICEL SITEPROP SLICE_X49Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y141 CLASS site SITEPROP SLICE_X49Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y141 IS_BONDED 0 SITEPROP SLICE_X49Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y141 IS_PAD 0 SITEPROP SLICE_X49Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y141 IS_RESERVED 0 SITEPROP SLICE_X49Y141 IS_TEST 0 SITEPROP SLICE_X49Y141 IS_USED 0 SITEPROP SLICE_X49Y141 MANUAL_ROUTING SITEPROP SLICE_X49Y141 NAME SLICE_X49Y141 SITEPROP SLICE_X49Y141 NUM_ARCS 138 SITEPROP SLICE_X49Y141 NUM_BELS 32 SITEPROP SLICE_X49Y141 NUM_INPUTS 32 SITEPROP SLICE_X49Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y141 NUM_PINS 45 SITEPROP SLICE_X49Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y141 PROHIBIT 0 SITEPROP SLICE_X49Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y141 RPM_X 131 SITEPROP SLICE_X49Y141 RPM_Y 282 SITEPROP SLICE_X49Y141 SITE_PIPS SITEPROP SLICE_X49Y141 SITE_TYPE SLICEL SITEPROP SLICE_X49Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y142 CLASS site SITEPROP SLICE_X49Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y142 IS_BONDED 0 SITEPROP SLICE_X49Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y142 IS_PAD 0 SITEPROP SLICE_X49Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y142 IS_RESERVED 0 SITEPROP SLICE_X49Y142 IS_TEST 0 SITEPROP SLICE_X49Y142 IS_USED 0 SITEPROP SLICE_X49Y142 MANUAL_ROUTING SITEPROP SLICE_X49Y142 NAME SLICE_X49Y142 SITEPROP SLICE_X49Y142 NUM_ARCS 138 SITEPROP SLICE_X49Y142 NUM_BELS 32 SITEPROP SLICE_X49Y142 NUM_INPUTS 32 SITEPROP SLICE_X49Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y142 NUM_PINS 45 SITEPROP SLICE_X49Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y142 PROHIBIT 0 SITEPROP SLICE_X49Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y142 RPM_X 131 SITEPROP SLICE_X49Y142 RPM_Y 284 SITEPROP SLICE_X49Y142 SITE_PIPS SITEPROP SLICE_X49Y142 SITE_TYPE SLICEL SITEPROP SLICE_X49Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y143 CLASS site SITEPROP SLICE_X49Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y143 IS_BONDED 0 SITEPROP SLICE_X49Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y143 IS_PAD 0 SITEPROP SLICE_X49Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y143 IS_RESERVED 0 SITEPROP SLICE_X49Y143 IS_TEST 0 SITEPROP SLICE_X49Y143 IS_USED 0 SITEPROP SLICE_X49Y143 MANUAL_ROUTING SITEPROP SLICE_X49Y143 NAME SLICE_X49Y143 SITEPROP SLICE_X49Y143 NUM_ARCS 138 SITEPROP SLICE_X49Y143 NUM_BELS 32 SITEPROP SLICE_X49Y143 NUM_INPUTS 32 SITEPROP SLICE_X49Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y143 NUM_PINS 45 SITEPROP SLICE_X49Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y143 PROHIBIT 0 SITEPROP SLICE_X49Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y143 RPM_X 131 SITEPROP SLICE_X49Y143 RPM_Y 286 SITEPROP SLICE_X49Y143 SITE_PIPS SITEPROP SLICE_X49Y143 SITE_TYPE SLICEL SITEPROP SLICE_X49Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y144 CLASS site SITEPROP SLICE_X49Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y144 IS_BONDED 0 SITEPROP SLICE_X49Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y144 IS_PAD 0 SITEPROP SLICE_X49Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y144 IS_RESERVED 0 SITEPROP SLICE_X49Y144 IS_TEST 0 SITEPROP SLICE_X49Y144 IS_USED 0 SITEPROP SLICE_X49Y144 MANUAL_ROUTING SITEPROP SLICE_X49Y144 NAME SLICE_X49Y144 SITEPROP SLICE_X49Y144 NUM_ARCS 138 SITEPROP SLICE_X49Y144 NUM_BELS 32 SITEPROP SLICE_X49Y144 NUM_INPUTS 32 SITEPROP SLICE_X49Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y144 NUM_PINS 45 SITEPROP SLICE_X49Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y144 PROHIBIT 0 SITEPROP SLICE_X49Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y144 RPM_X 131 SITEPROP SLICE_X49Y144 RPM_Y 288 SITEPROP SLICE_X49Y144 SITE_PIPS SITEPROP SLICE_X49Y144 SITE_TYPE SLICEL SITEPROP SLICE_X49Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y145 CLASS site SITEPROP SLICE_X49Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y145 IS_BONDED 0 SITEPROP SLICE_X49Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y145 IS_PAD 0 SITEPROP SLICE_X49Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y145 IS_RESERVED 0 SITEPROP SLICE_X49Y145 IS_TEST 0 SITEPROP SLICE_X49Y145 IS_USED 0 SITEPROP SLICE_X49Y145 MANUAL_ROUTING SITEPROP SLICE_X49Y145 NAME SLICE_X49Y145 SITEPROP SLICE_X49Y145 NUM_ARCS 138 SITEPROP SLICE_X49Y145 NUM_BELS 32 SITEPROP SLICE_X49Y145 NUM_INPUTS 32 SITEPROP SLICE_X49Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y145 NUM_PINS 45 SITEPROP SLICE_X49Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y145 PROHIBIT 0 SITEPROP SLICE_X49Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y145 RPM_X 131 SITEPROP SLICE_X49Y145 RPM_Y 290 SITEPROP SLICE_X49Y145 SITE_PIPS SITEPROP SLICE_X49Y145 SITE_TYPE SLICEL SITEPROP SLICE_X49Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y146 CLASS site SITEPROP SLICE_X49Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y146 IS_BONDED 0 SITEPROP SLICE_X49Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y146 IS_PAD 0 SITEPROP SLICE_X49Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y146 IS_RESERVED 0 SITEPROP SLICE_X49Y146 IS_TEST 0 SITEPROP SLICE_X49Y146 IS_USED 0 SITEPROP SLICE_X49Y146 MANUAL_ROUTING SITEPROP SLICE_X49Y146 NAME SLICE_X49Y146 SITEPROP SLICE_X49Y146 NUM_ARCS 138 SITEPROP SLICE_X49Y146 NUM_BELS 32 SITEPROP SLICE_X49Y146 NUM_INPUTS 32 SITEPROP SLICE_X49Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y146 NUM_PINS 45 SITEPROP SLICE_X49Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y146 PROHIBIT 0 SITEPROP SLICE_X49Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y146 RPM_X 131 SITEPROP SLICE_X49Y146 RPM_Y 292 SITEPROP SLICE_X49Y146 SITE_PIPS SITEPROP SLICE_X49Y146 SITE_TYPE SLICEL SITEPROP SLICE_X49Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y147 CLASS site SITEPROP SLICE_X49Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y147 IS_BONDED 0 SITEPROP SLICE_X49Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y147 IS_PAD 0 SITEPROP SLICE_X49Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y147 IS_RESERVED 0 SITEPROP SLICE_X49Y147 IS_TEST 0 SITEPROP SLICE_X49Y147 IS_USED 0 SITEPROP SLICE_X49Y147 MANUAL_ROUTING SITEPROP SLICE_X49Y147 NAME SLICE_X49Y147 SITEPROP SLICE_X49Y147 NUM_ARCS 138 SITEPROP SLICE_X49Y147 NUM_BELS 32 SITEPROP SLICE_X49Y147 NUM_INPUTS 32 SITEPROP SLICE_X49Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y147 NUM_PINS 45 SITEPROP SLICE_X49Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y147 PROHIBIT 0 SITEPROP SLICE_X49Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y147 RPM_X 131 SITEPROP SLICE_X49Y147 RPM_Y 294 SITEPROP SLICE_X49Y147 SITE_PIPS SITEPROP SLICE_X49Y147 SITE_TYPE SLICEL SITEPROP SLICE_X49Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y148 CLASS site SITEPROP SLICE_X49Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y148 IS_BONDED 0 SITEPROP SLICE_X49Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y148 IS_PAD 0 SITEPROP SLICE_X49Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y148 IS_RESERVED 0 SITEPROP SLICE_X49Y148 IS_TEST 0 SITEPROP SLICE_X49Y148 IS_USED 0 SITEPROP SLICE_X49Y148 MANUAL_ROUTING SITEPROP SLICE_X49Y148 NAME SLICE_X49Y148 SITEPROP SLICE_X49Y148 NUM_ARCS 138 SITEPROP SLICE_X49Y148 NUM_BELS 32 SITEPROP SLICE_X49Y148 NUM_INPUTS 32 SITEPROP SLICE_X49Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y148 NUM_PINS 45 SITEPROP SLICE_X49Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y148 PROHIBIT 0 SITEPROP SLICE_X49Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y148 RPM_X 131 SITEPROP SLICE_X49Y148 RPM_Y 296 SITEPROP SLICE_X49Y148 SITE_PIPS SITEPROP SLICE_X49Y148 SITE_TYPE SLICEL SITEPROP SLICE_X49Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X49Y149 CLASS site SITEPROP SLICE_X49Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X49Y149 IS_BONDED 0 SITEPROP SLICE_X49Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X49Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y149 IS_PAD 0 SITEPROP SLICE_X49Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X49Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X49Y149 IS_RESERVED 0 SITEPROP SLICE_X49Y149 IS_TEST 0 SITEPROP SLICE_X49Y149 IS_USED 0 SITEPROP SLICE_X49Y149 MANUAL_ROUTING SITEPROP SLICE_X49Y149 NAME SLICE_X49Y149 SITEPROP SLICE_X49Y149 NUM_ARCS 138 SITEPROP SLICE_X49Y149 NUM_BELS 32 SITEPROP SLICE_X49Y149 NUM_INPUTS 32 SITEPROP SLICE_X49Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X49Y149 NUM_PINS 45 SITEPROP SLICE_X49Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X49Y149 PROHIBIT 0 SITEPROP SLICE_X49Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X49Y149 RPM_X 131 SITEPROP SLICE_X49Y149 RPM_Y 298 SITEPROP SLICE_X49Y149 SITE_PIPS SITEPROP SLICE_X49Y149 SITE_TYPE SLICEL SITEPROP SLICE_X4Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y0 CLASS site SITEPROP SLICE_X4Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y0 IS_BONDED 0 SITEPROP SLICE_X4Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y0 IS_PAD 0 SITEPROP SLICE_X4Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y0 IS_RESERVED 0 SITEPROP SLICE_X4Y0 IS_TEST 0 SITEPROP SLICE_X4Y0 IS_USED 0 SITEPROP SLICE_X4Y0 MANUAL_ROUTING SITEPROP SLICE_X4Y0 NAME SLICE_X4Y0 SITEPROP SLICE_X4Y0 NUM_ARCS 138 SITEPROP SLICE_X4Y0 NUM_BELS 32 SITEPROP SLICE_X4Y0 NUM_INPUTS 32 SITEPROP SLICE_X4Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y0 NUM_PINS 45 SITEPROP SLICE_X4Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y0 PROHIBIT 0 SITEPROP SLICE_X4Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y0 RPM_X 25 SITEPROP SLICE_X4Y0 RPM_Y 0 SITEPROP SLICE_X4Y0 SITE_PIPS SITEPROP SLICE_X4Y0 SITE_TYPE SLICEL SITEPROP SLICE_X4Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y1 CLASS site SITEPROP SLICE_X4Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y1 IS_BONDED 0 SITEPROP SLICE_X4Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y1 IS_PAD 0 SITEPROP SLICE_X4Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y1 IS_RESERVED 0 SITEPROP SLICE_X4Y1 IS_TEST 0 SITEPROP SLICE_X4Y1 IS_USED 0 SITEPROP SLICE_X4Y1 MANUAL_ROUTING SITEPROP SLICE_X4Y1 NAME SLICE_X4Y1 SITEPROP SLICE_X4Y1 NUM_ARCS 138 SITEPROP SLICE_X4Y1 NUM_BELS 32 SITEPROP SLICE_X4Y1 NUM_INPUTS 32 SITEPROP SLICE_X4Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y1 NUM_PINS 45 SITEPROP SLICE_X4Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y1 PROHIBIT 0 SITEPROP SLICE_X4Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y1 RPM_X 25 SITEPROP SLICE_X4Y1 RPM_Y 2 SITEPROP SLICE_X4Y1 SITE_PIPS SITEPROP SLICE_X4Y1 SITE_TYPE SLICEL SITEPROP SLICE_X4Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y2 CLASS site SITEPROP SLICE_X4Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y2 IS_BONDED 0 SITEPROP SLICE_X4Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y2 IS_PAD 0 SITEPROP SLICE_X4Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y2 IS_RESERVED 0 SITEPROP SLICE_X4Y2 IS_TEST 0 SITEPROP SLICE_X4Y2 IS_USED 0 SITEPROP SLICE_X4Y2 MANUAL_ROUTING SITEPROP SLICE_X4Y2 NAME SLICE_X4Y2 SITEPROP SLICE_X4Y2 NUM_ARCS 138 SITEPROP SLICE_X4Y2 NUM_BELS 32 SITEPROP SLICE_X4Y2 NUM_INPUTS 32 SITEPROP SLICE_X4Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y2 NUM_PINS 45 SITEPROP SLICE_X4Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y2 PROHIBIT 0 SITEPROP SLICE_X4Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y2 RPM_X 25 SITEPROP SLICE_X4Y2 RPM_Y 4 SITEPROP SLICE_X4Y2 SITE_PIPS SITEPROP SLICE_X4Y2 SITE_TYPE SLICEL SITEPROP SLICE_X4Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y3 CLASS site SITEPROP SLICE_X4Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y3 IS_BONDED 0 SITEPROP SLICE_X4Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y3 IS_PAD 0 SITEPROP SLICE_X4Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y3 IS_RESERVED 0 SITEPROP SLICE_X4Y3 IS_TEST 0 SITEPROP SLICE_X4Y3 IS_USED 0 SITEPROP SLICE_X4Y3 MANUAL_ROUTING SITEPROP SLICE_X4Y3 NAME SLICE_X4Y3 SITEPROP SLICE_X4Y3 NUM_ARCS 138 SITEPROP SLICE_X4Y3 NUM_BELS 32 SITEPROP SLICE_X4Y3 NUM_INPUTS 32 SITEPROP SLICE_X4Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y3 NUM_PINS 45 SITEPROP SLICE_X4Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y3 PROHIBIT 0 SITEPROP SLICE_X4Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y3 RPM_X 25 SITEPROP SLICE_X4Y3 RPM_Y 6 SITEPROP SLICE_X4Y3 SITE_PIPS SITEPROP SLICE_X4Y3 SITE_TYPE SLICEL SITEPROP SLICE_X4Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y4 CLASS site SITEPROP SLICE_X4Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y4 IS_BONDED 0 SITEPROP SLICE_X4Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y4 IS_PAD 0 SITEPROP SLICE_X4Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y4 IS_RESERVED 0 SITEPROP SLICE_X4Y4 IS_TEST 0 SITEPROP SLICE_X4Y4 IS_USED 0 SITEPROP SLICE_X4Y4 MANUAL_ROUTING SITEPROP SLICE_X4Y4 NAME SLICE_X4Y4 SITEPROP SLICE_X4Y4 NUM_ARCS 138 SITEPROP SLICE_X4Y4 NUM_BELS 32 SITEPROP SLICE_X4Y4 NUM_INPUTS 32 SITEPROP SLICE_X4Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y4 NUM_PINS 45 SITEPROP SLICE_X4Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y4 PROHIBIT 0 SITEPROP SLICE_X4Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y4 RPM_X 25 SITEPROP SLICE_X4Y4 RPM_Y 8 SITEPROP SLICE_X4Y4 SITE_PIPS SITEPROP SLICE_X4Y4 SITE_TYPE SLICEL SITEPROP SLICE_X4Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y5 CLASS site SITEPROP SLICE_X4Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y5 IS_BONDED 0 SITEPROP SLICE_X4Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y5 IS_PAD 0 SITEPROP SLICE_X4Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y5 IS_RESERVED 0 SITEPROP SLICE_X4Y5 IS_TEST 0 SITEPROP SLICE_X4Y5 IS_USED 0 SITEPROP SLICE_X4Y5 MANUAL_ROUTING SITEPROP SLICE_X4Y5 NAME SLICE_X4Y5 SITEPROP SLICE_X4Y5 NUM_ARCS 138 SITEPROP SLICE_X4Y5 NUM_BELS 32 SITEPROP SLICE_X4Y5 NUM_INPUTS 32 SITEPROP SLICE_X4Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y5 NUM_PINS 45 SITEPROP SLICE_X4Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y5 PROHIBIT 0 SITEPROP SLICE_X4Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y5 RPM_X 25 SITEPROP SLICE_X4Y5 RPM_Y 10 SITEPROP SLICE_X4Y5 SITE_PIPS SITEPROP SLICE_X4Y5 SITE_TYPE SLICEL SITEPROP SLICE_X4Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y6 CLASS site SITEPROP SLICE_X4Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y6 IS_BONDED 0 SITEPROP SLICE_X4Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y6 IS_PAD 0 SITEPROP SLICE_X4Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y6 IS_RESERVED 0 SITEPROP SLICE_X4Y6 IS_TEST 0 SITEPROP SLICE_X4Y6 IS_USED 0 SITEPROP SLICE_X4Y6 MANUAL_ROUTING SITEPROP SLICE_X4Y6 NAME SLICE_X4Y6 SITEPROP SLICE_X4Y6 NUM_ARCS 138 SITEPROP SLICE_X4Y6 NUM_BELS 32 SITEPROP SLICE_X4Y6 NUM_INPUTS 32 SITEPROP SLICE_X4Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y6 NUM_PINS 45 SITEPROP SLICE_X4Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y6 PROHIBIT 0 SITEPROP SLICE_X4Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y6 RPM_X 25 SITEPROP SLICE_X4Y6 RPM_Y 12 SITEPROP SLICE_X4Y6 SITE_PIPS SITEPROP SLICE_X4Y6 SITE_TYPE SLICEL SITEPROP SLICE_X4Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y7 CLASS site SITEPROP SLICE_X4Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y7 IS_BONDED 0 SITEPROP SLICE_X4Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y7 IS_PAD 0 SITEPROP SLICE_X4Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y7 IS_RESERVED 0 SITEPROP SLICE_X4Y7 IS_TEST 0 SITEPROP SLICE_X4Y7 IS_USED 0 SITEPROP SLICE_X4Y7 MANUAL_ROUTING SITEPROP SLICE_X4Y7 NAME SLICE_X4Y7 SITEPROP SLICE_X4Y7 NUM_ARCS 138 SITEPROP SLICE_X4Y7 NUM_BELS 32 SITEPROP SLICE_X4Y7 NUM_INPUTS 32 SITEPROP SLICE_X4Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y7 NUM_PINS 45 SITEPROP SLICE_X4Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y7 PROHIBIT 0 SITEPROP SLICE_X4Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y7 RPM_X 25 SITEPROP SLICE_X4Y7 RPM_Y 14 SITEPROP SLICE_X4Y7 SITE_PIPS SITEPROP SLICE_X4Y7 SITE_TYPE SLICEL SITEPROP SLICE_X4Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y8 CLASS site SITEPROP SLICE_X4Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y8 IS_BONDED 0 SITEPROP SLICE_X4Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y8 IS_PAD 0 SITEPROP SLICE_X4Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y8 IS_RESERVED 0 SITEPROP SLICE_X4Y8 IS_TEST 0 SITEPROP SLICE_X4Y8 IS_USED 0 SITEPROP SLICE_X4Y8 MANUAL_ROUTING SITEPROP SLICE_X4Y8 NAME SLICE_X4Y8 SITEPROP SLICE_X4Y8 NUM_ARCS 138 SITEPROP SLICE_X4Y8 NUM_BELS 32 SITEPROP SLICE_X4Y8 NUM_INPUTS 32 SITEPROP SLICE_X4Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y8 NUM_PINS 45 SITEPROP SLICE_X4Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y8 PROHIBIT 0 SITEPROP SLICE_X4Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y8 RPM_X 25 SITEPROP SLICE_X4Y8 RPM_Y 16 SITEPROP SLICE_X4Y8 SITE_PIPS SITEPROP SLICE_X4Y8 SITE_TYPE SLICEL SITEPROP SLICE_X4Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y9 CLASS site SITEPROP SLICE_X4Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y9 IS_BONDED 0 SITEPROP SLICE_X4Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y9 IS_PAD 0 SITEPROP SLICE_X4Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y9 IS_RESERVED 0 SITEPROP SLICE_X4Y9 IS_TEST 0 SITEPROP SLICE_X4Y9 IS_USED 0 SITEPROP SLICE_X4Y9 MANUAL_ROUTING SITEPROP SLICE_X4Y9 NAME SLICE_X4Y9 SITEPROP SLICE_X4Y9 NUM_ARCS 138 SITEPROP SLICE_X4Y9 NUM_BELS 32 SITEPROP SLICE_X4Y9 NUM_INPUTS 32 SITEPROP SLICE_X4Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y9 NUM_PINS 45 SITEPROP SLICE_X4Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y9 PROHIBIT 0 SITEPROP SLICE_X4Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y9 RPM_X 25 SITEPROP SLICE_X4Y9 RPM_Y 18 SITEPROP SLICE_X4Y9 SITE_PIPS SITEPROP SLICE_X4Y9 SITE_TYPE SLICEL SITEPROP SLICE_X4Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y10 CLASS site SITEPROP SLICE_X4Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y10 IS_BONDED 0 SITEPROP SLICE_X4Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y10 IS_PAD 0 SITEPROP SLICE_X4Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y10 IS_RESERVED 0 SITEPROP SLICE_X4Y10 IS_TEST 0 SITEPROP SLICE_X4Y10 IS_USED 0 SITEPROP SLICE_X4Y10 MANUAL_ROUTING SITEPROP SLICE_X4Y10 NAME SLICE_X4Y10 SITEPROP SLICE_X4Y10 NUM_ARCS 138 SITEPROP SLICE_X4Y10 NUM_BELS 32 SITEPROP SLICE_X4Y10 NUM_INPUTS 32 SITEPROP SLICE_X4Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y10 NUM_PINS 45 SITEPROP SLICE_X4Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y10 PROHIBIT 0 SITEPROP SLICE_X4Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y10 RPM_X 25 SITEPROP SLICE_X4Y10 RPM_Y 20 SITEPROP SLICE_X4Y10 SITE_PIPS SITEPROP SLICE_X4Y10 SITE_TYPE SLICEL SITEPROP SLICE_X4Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y11 CLASS site SITEPROP SLICE_X4Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y11 IS_BONDED 0 SITEPROP SLICE_X4Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y11 IS_PAD 0 SITEPROP SLICE_X4Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y11 IS_RESERVED 0 SITEPROP SLICE_X4Y11 IS_TEST 0 SITEPROP SLICE_X4Y11 IS_USED 0 SITEPROP SLICE_X4Y11 MANUAL_ROUTING SITEPROP SLICE_X4Y11 NAME SLICE_X4Y11 SITEPROP SLICE_X4Y11 NUM_ARCS 138 SITEPROP SLICE_X4Y11 NUM_BELS 32 SITEPROP SLICE_X4Y11 NUM_INPUTS 32 SITEPROP SLICE_X4Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y11 NUM_PINS 45 SITEPROP SLICE_X4Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y11 PROHIBIT 0 SITEPROP SLICE_X4Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y11 RPM_X 25 SITEPROP SLICE_X4Y11 RPM_Y 22 SITEPROP SLICE_X4Y11 SITE_PIPS SITEPROP SLICE_X4Y11 SITE_TYPE SLICEL SITEPROP SLICE_X4Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y12 CLASS site SITEPROP SLICE_X4Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y12 IS_BONDED 0 SITEPROP SLICE_X4Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y12 IS_PAD 0 SITEPROP SLICE_X4Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y12 IS_RESERVED 0 SITEPROP SLICE_X4Y12 IS_TEST 0 SITEPROP SLICE_X4Y12 IS_USED 0 SITEPROP SLICE_X4Y12 MANUAL_ROUTING SITEPROP SLICE_X4Y12 NAME SLICE_X4Y12 SITEPROP SLICE_X4Y12 NUM_ARCS 138 SITEPROP SLICE_X4Y12 NUM_BELS 32 SITEPROP SLICE_X4Y12 NUM_INPUTS 32 SITEPROP SLICE_X4Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y12 NUM_PINS 45 SITEPROP SLICE_X4Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y12 PROHIBIT 0 SITEPROP SLICE_X4Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y12 RPM_X 25 SITEPROP SLICE_X4Y12 RPM_Y 24 SITEPROP SLICE_X4Y12 SITE_PIPS SITEPROP SLICE_X4Y12 SITE_TYPE SLICEL SITEPROP SLICE_X4Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y13 CLASS site SITEPROP SLICE_X4Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y13 IS_BONDED 0 SITEPROP SLICE_X4Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y13 IS_PAD 0 SITEPROP SLICE_X4Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y13 IS_RESERVED 0 SITEPROP SLICE_X4Y13 IS_TEST 0 SITEPROP SLICE_X4Y13 IS_USED 0 SITEPROP SLICE_X4Y13 MANUAL_ROUTING SITEPROP SLICE_X4Y13 NAME SLICE_X4Y13 SITEPROP SLICE_X4Y13 NUM_ARCS 138 SITEPROP SLICE_X4Y13 NUM_BELS 32 SITEPROP SLICE_X4Y13 NUM_INPUTS 32 SITEPROP SLICE_X4Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y13 NUM_PINS 45 SITEPROP SLICE_X4Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y13 PROHIBIT 0 SITEPROP SLICE_X4Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y13 RPM_X 25 SITEPROP SLICE_X4Y13 RPM_Y 26 SITEPROP SLICE_X4Y13 SITE_PIPS SITEPROP SLICE_X4Y13 SITE_TYPE SLICEL SITEPROP SLICE_X4Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y14 CLASS site SITEPROP SLICE_X4Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y14 IS_BONDED 0 SITEPROP SLICE_X4Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y14 IS_PAD 0 SITEPROP SLICE_X4Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y14 IS_RESERVED 0 SITEPROP SLICE_X4Y14 IS_TEST 0 SITEPROP SLICE_X4Y14 IS_USED 0 SITEPROP SLICE_X4Y14 MANUAL_ROUTING SITEPROP SLICE_X4Y14 NAME SLICE_X4Y14 SITEPROP SLICE_X4Y14 NUM_ARCS 138 SITEPROP SLICE_X4Y14 NUM_BELS 32 SITEPROP SLICE_X4Y14 NUM_INPUTS 32 SITEPROP SLICE_X4Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y14 NUM_PINS 45 SITEPROP SLICE_X4Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y14 PROHIBIT 0 SITEPROP SLICE_X4Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y14 RPM_X 25 SITEPROP SLICE_X4Y14 RPM_Y 28 SITEPROP SLICE_X4Y14 SITE_PIPS SITEPROP SLICE_X4Y14 SITE_TYPE SLICEL SITEPROP SLICE_X4Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y15 CLASS site SITEPROP SLICE_X4Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y15 IS_BONDED 0 SITEPROP SLICE_X4Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y15 IS_PAD 0 SITEPROP SLICE_X4Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y15 IS_RESERVED 0 SITEPROP SLICE_X4Y15 IS_TEST 0 SITEPROP SLICE_X4Y15 IS_USED 0 SITEPROP SLICE_X4Y15 MANUAL_ROUTING SITEPROP SLICE_X4Y15 NAME SLICE_X4Y15 SITEPROP SLICE_X4Y15 NUM_ARCS 138 SITEPROP SLICE_X4Y15 NUM_BELS 32 SITEPROP SLICE_X4Y15 NUM_INPUTS 32 SITEPROP SLICE_X4Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y15 NUM_PINS 45 SITEPROP SLICE_X4Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y15 PROHIBIT 0 SITEPROP SLICE_X4Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y15 RPM_X 25 SITEPROP SLICE_X4Y15 RPM_Y 30 SITEPROP SLICE_X4Y15 SITE_PIPS SITEPROP SLICE_X4Y15 SITE_TYPE SLICEL SITEPROP SLICE_X4Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y16 CLASS site SITEPROP SLICE_X4Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y16 IS_BONDED 0 SITEPROP SLICE_X4Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y16 IS_PAD 0 SITEPROP SLICE_X4Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y16 IS_RESERVED 0 SITEPROP SLICE_X4Y16 IS_TEST 0 SITEPROP SLICE_X4Y16 IS_USED 0 SITEPROP SLICE_X4Y16 MANUAL_ROUTING SITEPROP SLICE_X4Y16 NAME SLICE_X4Y16 SITEPROP SLICE_X4Y16 NUM_ARCS 138 SITEPROP SLICE_X4Y16 NUM_BELS 32 SITEPROP SLICE_X4Y16 NUM_INPUTS 32 SITEPROP SLICE_X4Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y16 NUM_PINS 45 SITEPROP SLICE_X4Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y16 PROHIBIT 0 SITEPROP SLICE_X4Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y16 RPM_X 25 SITEPROP SLICE_X4Y16 RPM_Y 32 SITEPROP SLICE_X4Y16 SITE_PIPS SITEPROP SLICE_X4Y16 SITE_TYPE SLICEL SITEPROP SLICE_X4Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y17 CLASS site SITEPROP SLICE_X4Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y17 IS_BONDED 0 SITEPROP SLICE_X4Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y17 IS_PAD 0 SITEPROP SLICE_X4Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y17 IS_RESERVED 0 SITEPROP SLICE_X4Y17 IS_TEST 0 SITEPROP SLICE_X4Y17 IS_USED 0 SITEPROP SLICE_X4Y17 MANUAL_ROUTING SITEPROP SLICE_X4Y17 NAME SLICE_X4Y17 SITEPROP SLICE_X4Y17 NUM_ARCS 138 SITEPROP SLICE_X4Y17 NUM_BELS 32 SITEPROP SLICE_X4Y17 NUM_INPUTS 32 SITEPROP SLICE_X4Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y17 NUM_PINS 45 SITEPROP SLICE_X4Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y17 PROHIBIT 0 SITEPROP SLICE_X4Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y17 RPM_X 25 SITEPROP SLICE_X4Y17 RPM_Y 34 SITEPROP SLICE_X4Y17 SITE_PIPS SITEPROP SLICE_X4Y17 SITE_TYPE SLICEL SITEPROP SLICE_X4Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y18 CLASS site SITEPROP SLICE_X4Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y18 IS_BONDED 0 SITEPROP SLICE_X4Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y18 IS_PAD 0 SITEPROP SLICE_X4Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y18 IS_RESERVED 0 SITEPROP SLICE_X4Y18 IS_TEST 0 SITEPROP SLICE_X4Y18 IS_USED 0 SITEPROP SLICE_X4Y18 MANUAL_ROUTING SITEPROP SLICE_X4Y18 NAME SLICE_X4Y18 SITEPROP SLICE_X4Y18 NUM_ARCS 138 SITEPROP SLICE_X4Y18 NUM_BELS 32 SITEPROP SLICE_X4Y18 NUM_INPUTS 32 SITEPROP SLICE_X4Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y18 NUM_PINS 45 SITEPROP SLICE_X4Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y18 PROHIBIT 0 SITEPROP SLICE_X4Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y18 RPM_X 25 SITEPROP SLICE_X4Y18 RPM_Y 36 SITEPROP SLICE_X4Y18 SITE_PIPS SITEPROP SLICE_X4Y18 SITE_TYPE SLICEL SITEPROP SLICE_X4Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y19 CLASS site SITEPROP SLICE_X4Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y19 IS_BONDED 0 SITEPROP SLICE_X4Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y19 IS_PAD 0 SITEPROP SLICE_X4Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y19 IS_RESERVED 0 SITEPROP SLICE_X4Y19 IS_TEST 0 SITEPROP SLICE_X4Y19 IS_USED 0 SITEPROP SLICE_X4Y19 MANUAL_ROUTING SITEPROP SLICE_X4Y19 NAME SLICE_X4Y19 SITEPROP SLICE_X4Y19 NUM_ARCS 138 SITEPROP SLICE_X4Y19 NUM_BELS 32 SITEPROP SLICE_X4Y19 NUM_INPUTS 32 SITEPROP SLICE_X4Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y19 NUM_PINS 45 SITEPROP SLICE_X4Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y19 PROHIBIT 0 SITEPROP SLICE_X4Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y19 RPM_X 25 SITEPROP SLICE_X4Y19 RPM_Y 38 SITEPROP SLICE_X4Y19 SITE_PIPS SITEPROP SLICE_X4Y19 SITE_TYPE SLICEL SITEPROP SLICE_X4Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y20 CLASS site SITEPROP SLICE_X4Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y20 IS_BONDED 0 SITEPROP SLICE_X4Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y20 IS_PAD 0 SITEPROP SLICE_X4Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y20 IS_RESERVED 0 SITEPROP SLICE_X4Y20 IS_TEST 0 SITEPROP SLICE_X4Y20 IS_USED 0 SITEPROP SLICE_X4Y20 MANUAL_ROUTING SITEPROP SLICE_X4Y20 NAME SLICE_X4Y20 SITEPROP SLICE_X4Y20 NUM_ARCS 138 SITEPROP SLICE_X4Y20 NUM_BELS 32 SITEPROP SLICE_X4Y20 NUM_INPUTS 32 SITEPROP SLICE_X4Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y20 NUM_PINS 45 SITEPROP SLICE_X4Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y20 PROHIBIT 0 SITEPROP SLICE_X4Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y20 RPM_X 25 SITEPROP SLICE_X4Y20 RPM_Y 40 SITEPROP SLICE_X4Y20 SITE_PIPS SITEPROP SLICE_X4Y20 SITE_TYPE SLICEL SITEPROP SLICE_X4Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y21 CLASS site SITEPROP SLICE_X4Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y21 IS_BONDED 0 SITEPROP SLICE_X4Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y21 IS_PAD 0 SITEPROP SLICE_X4Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y21 IS_RESERVED 0 SITEPROP SLICE_X4Y21 IS_TEST 0 SITEPROP SLICE_X4Y21 IS_USED 0 SITEPROP SLICE_X4Y21 MANUAL_ROUTING SITEPROP SLICE_X4Y21 NAME SLICE_X4Y21 SITEPROP SLICE_X4Y21 NUM_ARCS 138 SITEPROP SLICE_X4Y21 NUM_BELS 32 SITEPROP SLICE_X4Y21 NUM_INPUTS 32 SITEPROP SLICE_X4Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y21 NUM_PINS 45 SITEPROP SLICE_X4Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y21 PROHIBIT 0 SITEPROP SLICE_X4Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y21 RPM_X 25 SITEPROP SLICE_X4Y21 RPM_Y 42 SITEPROP SLICE_X4Y21 SITE_PIPS SITEPROP SLICE_X4Y21 SITE_TYPE SLICEL SITEPROP SLICE_X4Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y22 CLASS site SITEPROP SLICE_X4Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y22 IS_BONDED 0 SITEPROP SLICE_X4Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y22 IS_PAD 0 SITEPROP SLICE_X4Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y22 IS_RESERVED 0 SITEPROP SLICE_X4Y22 IS_TEST 0 SITEPROP SLICE_X4Y22 IS_USED 0 SITEPROP SLICE_X4Y22 MANUAL_ROUTING SITEPROP SLICE_X4Y22 NAME SLICE_X4Y22 SITEPROP SLICE_X4Y22 NUM_ARCS 138 SITEPROP SLICE_X4Y22 NUM_BELS 32 SITEPROP SLICE_X4Y22 NUM_INPUTS 32 SITEPROP SLICE_X4Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y22 NUM_PINS 45 SITEPROP SLICE_X4Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y22 PROHIBIT 0 SITEPROP SLICE_X4Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y22 RPM_X 25 SITEPROP SLICE_X4Y22 RPM_Y 44 SITEPROP SLICE_X4Y22 SITE_PIPS SITEPROP SLICE_X4Y22 SITE_TYPE SLICEL SITEPROP SLICE_X4Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y23 CLASS site SITEPROP SLICE_X4Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y23 IS_BONDED 0 SITEPROP SLICE_X4Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y23 IS_PAD 0 SITEPROP SLICE_X4Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y23 IS_RESERVED 0 SITEPROP SLICE_X4Y23 IS_TEST 0 SITEPROP SLICE_X4Y23 IS_USED 0 SITEPROP SLICE_X4Y23 MANUAL_ROUTING SITEPROP SLICE_X4Y23 NAME SLICE_X4Y23 SITEPROP SLICE_X4Y23 NUM_ARCS 138 SITEPROP SLICE_X4Y23 NUM_BELS 32 SITEPROP SLICE_X4Y23 NUM_INPUTS 32 SITEPROP SLICE_X4Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y23 NUM_PINS 45 SITEPROP SLICE_X4Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y23 PROHIBIT 0 SITEPROP SLICE_X4Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y23 RPM_X 25 SITEPROP SLICE_X4Y23 RPM_Y 46 SITEPROP SLICE_X4Y23 SITE_PIPS SITEPROP SLICE_X4Y23 SITE_TYPE SLICEL SITEPROP SLICE_X4Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y24 CLASS site SITEPROP SLICE_X4Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y24 IS_BONDED 0 SITEPROP SLICE_X4Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y24 IS_PAD 0 SITEPROP SLICE_X4Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y24 IS_RESERVED 0 SITEPROP SLICE_X4Y24 IS_TEST 0 SITEPROP SLICE_X4Y24 IS_USED 0 SITEPROP SLICE_X4Y24 MANUAL_ROUTING SITEPROP SLICE_X4Y24 NAME SLICE_X4Y24 SITEPROP SLICE_X4Y24 NUM_ARCS 138 SITEPROP SLICE_X4Y24 NUM_BELS 32 SITEPROP SLICE_X4Y24 NUM_INPUTS 32 SITEPROP SLICE_X4Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y24 NUM_PINS 45 SITEPROP SLICE_X4Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y24 PROHIBIT 0 SITEPROP SLICE_X4Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y24 RPM_X 25 SITEPROP SLICE_X4Y24 RPM_Y 48 SITEPROP SLICE_X4Y24 SITE_PIPS SITEPROP SLICE_X4Y24 SITE_TYPE SLICEL SITEPROP SLICE_X4Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y25 CLASS site SITEPROP SLICE_X4Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y25 IS_BONDED 0 SITEPROP SLICE_X4Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y25 IS_PAD 0 SITEPROP SLICE_X4Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y25 IS_RESERVED 0 SITEPROP SLICE_X4Y25 IS_TEST 0 SITEPROP SLICE_X4Y25 IS_USED 0 SITEPROP SLICE_X4Y25 MANUAL_ROUTING SITEPROP SLICE_X4Y25 NAME SLICE_X4Y25 SITEPROP SLICE_X4Y25 NUM_ARCS 138 SITEPROP SLICE_X4Y25 NUM_BELS 32 SITEPROP SLICE_X4Y25 NUM_INPUTS 32 SITEPROP SLICE_X4Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y25 NUM_PINS 45 SITEPROP SLICE_X4Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y25 PROHIBIT 0 SITEPROP SLICE_X4Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y25 RPM_X 25 SITEPROP SLICE_X4Y25 RPM_Y 50 SITEPROP SLICE_X4Y25 SITE_PIPS SITEPROP SLICE_X4Y25 SITE_TYPE SLICEL SITEPROP SLICE_X4Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y26 CLASS site SITEPROP SLICE_X4Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y26 IS_BONDED 0 SITEPROP SLICE_X4Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y26 IS_PAD 0 SITEPROP SLICE_X4Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y26 IS_RESERVED 0 SITEPROP SLICE_X4Y26 IS_TEST 0 SITEPROP SLICE_X4Y26 IS_USED 0 SITEPROP SLICE_X4Y26 MANUAL_ROUTING SITEPROP SLICE_X4Y26 NAME SLICE_X4Y26 SITEPROP SLICE_X4Y26 NUM_ARCS 138 SITEPROP SLICE_X4Y26 NUM_BELS 32 SITEPROP SLICE_X4Y26 NUM_INPUTS 32 SITEPROP SLICE_X4Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y26 NUM_PINS 45 SITEPROP SLICE_X4Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y26 PROHIBIT 0 SITEPROP SLICE_X4Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y26 RPM_X 25 SITEPROP SLICE_X4Y26 RPM_Y 52 SITEPROP SLICE_X4Y26 SITE_PIPS SITEPROP SLICE_X4Y26 SITE_TYPE SLICEL SITEPROP SLICE_X4Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y27 CLASS site SITEPROP SLICE_X4Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y27 IS_BONDED 0 SITEPROP SLICE_X4Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y27 IS_PAD 0 SITEPROP SLICE_X4Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y27 IS_RESERVED 0 SITEPROP SLICE_X4Y27 IS_TEST 0 SITEPROP SLICE_X4Y27 IS_USED 0 SITEPROP SLICE_X4Y27 MANUAL_ROUTING SITEPROP SLICE_X4Y27 NAME SLICE_X4Y27 SITEPROP SLICE_X4Y27 NUM_ARCS 138 SITEPROP SLICE_X4Y27 NUM_BELS 32 SITEPROP SLICE_X4Y27 NUM_INPUTS 32 SITEPROP SLICE_X4Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y27 NUM_PINS 45 SITEPROP SLICE_X4Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y27 PROHIBIT 0 SITEPROP SLICE_X4Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y27 RPM_X 25 SITEPROP SLICE_X4Y27 RPM_Y 54 SITEPROP SLICE_X4Y27 SITE_PIPS SITEPROP SLICE_X4Y27 SITE_TYPE SLICEL SITEPROP SLICE_X4Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y28 CLASS site SITEPROP SLICE_X4Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y28 IS_BONDED 0 SITEPROP SLICE_X4Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y28 IS_PAD 0 SITEPROP SLICE_X4Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y28 IS_RESERVED 0 SITEPROP SLICE_X4Y28 IS_TEST 0 SITEPROP SLICE_X4Y28 IS_USED 0 SITEPROP SLICE_X4Y28 MANUAL_ROUTING SITEPROP SLICE_X4Y28 NAME SLICE_X4Y28 SITEPROP SLICE_X4Y28 NUM_ARCS 138 SITEPROP SLICE_X4Y28 NUM_BELS 32 SITEPROP SLICE_X4Y28 NUM_INPUTS 32 SITEPROP SLICE_X4Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y28 NUM_PINS 45 SITEPROP SLICE_X4Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y28 PROHIBIT 0 SITEPROP SLICE_X4Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y28 RPM_X 25 SITEPROP SLICE_X4Y28 RPM_Y 56 SITEPROP SLICE_X4Y28 SITE_PIPS SITEPROP SLICE_X4Y28 SITE_TYPE SLICEL SITEPROP SLICE_X4Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y29 CLASS site SITEPROP SLICE_X4Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y29 IS_BONDED 0 SITEPROP SLICE_X4Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y29 IS_PAD 0 SITEPROP SLICE_X4Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y29 IS_RESERVED 0 SITEPROP SLICE_X4Y29 IS_TEST 0 SITEPROP SLICE_X4Y29 IS_USED 0 SITEPROP SLICE_X4Y29 MANUAL_ROUTING SITEPROP SLICE_X4Y29 NAME SLICE_X4Y29 SITEPROP SLICE_X4Y29 NUM_ARCS 138 SITEPROP SLICE_X4Y29 NUM_BELS 32 SITEPROP SLICE_X4Y29 NUM_INPUTS 32 SITEPROP SLICE_X4Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y29 NUM_PINS 45 SITEPROP SLICE_X4Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y29 PROHIBIT 0 SITEPROP SLICE_X4Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y29 RPM_X 25 SITEPROP SLICE_X4Y29 RPM_Y 58 SITEPROP SLICE_X4Y29 SITE_PIPS SITEPROP SLICE_X4Y29 SITE_TYPE SLICEL SITEPROP SLICE_X4Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y30 CLASS site SITEPROP SLICE_X4Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y30 IS_BONDED 0 SITEPROP SLICE_X4Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y30 IS_PAD 0 SITEPROP SLICE_X4Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y30 IS_RESERVED 0 SITEPROP SLICE_X4Y30 IS_TEST 0 SITEPROP SLICE_X4Y30 IS_USED 0 SITEPROP SLICE_X4Y30 MANUAL_ROUTING SITEPROP SLICE_X4Y30 NAME SLICE_X4Y30 SITEPROP SLICE_X4Y30 NUM_ARCS 138 SITEPROP SLICE_X4Y30 NUM_BELS 32 SITEPROP SLICE_X4Y30 NUM_INPUTS 32 SITEPROP SLICE_X4Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y30 NUM_PINS 45 SITEPROP SLICE_X4Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y30 PROHIBIT 0 SITEPROP SLICE_X4Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y30 RPM_X 25 SITEPROP SLICE_X4Y30 RPM_Y 60 SITEPROP SLICE_X4Y30 SITE_PIPS SITEPROP SLICE_X4Y30 SITE_TYPE SLICEL SITEPROP SLICE_X4Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y31 CLASS site SITEPROP SLICE_X4Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y31 IS_BONDED 0 SITEPROP SLICE_X4Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y31 IS_PAD 0 SITEPROP SLICE_X4Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y31 IS_RESERVED 0 SITEPROP SLICE_X4Y31 IS_TEST 0 SITEPROP SLICE_X4Y31 IS_USED 0 SITEPROP SLICE_X4Y31 MANUAL_ROUTING SITEPROP SLICE_X4Y31 NAME SLICE_X4Y31 SITEPROP SLICE_X4Y31 NUM_ARCS 138 SITEPROP SLICE_X4Y31 NUM_BELS 32 SITEPROP SLICE_X4Y31 NUM_INPUTS 32 SITEPROP SLICE_X4Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y31 NUM_PINS 45 SITEPROP SLICE_X4Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y31 PROHIBIT 0 SITEPROP SLICE_X4Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y31 RPM_X 25 SITEPROP SLICE_X4Y31 RPM_Y 62 SITEPROP SLICE_X4Y31 SITE_PIPS SITEPROP SLICE_X4Y31 SITE_TYPE SLICEL SITEPROP SLICE_X4Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y32 CLASS site SITEPROP SLICE_X4Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y32 IS_BONDED 0 SITEPROP SLICE_X4Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y32 IS_PAD 0 SITEPROP SLICE_X4Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y32 IS_RESERVED 0 SITEPROP SLICE_X4Y32 IS_TEST 0 SITEPROP SLICE_X4Y32 IS_USED 0 SITEPROP SLICE_X4Y32 MANUAL_ROUTING SITEPROP SLICE_X4Y32 NAME SLICE_X4Y32 SITEPROP SLICE_X4Y32 NUM_ARCS 138 SITEPROP SLICE_X4Y32 NUM_BELS 32 SITEPROP SLICE_X4Y32 NUM_INPUTS 32 SITEPROP SLICE_X4Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y32 NUM_PINS 45 SITEPROP SLICE_X4Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y32 PROHIBIT 0 SITEPROP SLICE_X4Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y32 RPM_X 25 SITEPROP SLICE_X4Y32 RPM_Y 64 SITEPROP SLICE_X4Y32 SITE_PIPS SITEPROP SLICE_X4Y32 SITE_TYPE SLICEL SITEPROP SLICE_X4Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y33 CLASS site SITEPROP SLICE_X4Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y33 IS_BONDED 0 SITEPROP SLICE_X4Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y33 IS_PAD 0 SITEPROP SLICE_X4Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y33 IS_RESERVED 0 SITEPROP SLICE_X4Y33 IS_TEST 0 SITEPROP SLICE_X4Y33 IS_USED 0 SITEPROP SLICE_X4Y33 MANUAL_ROUTING SITEPROP SLICE_X4Y33 NAME SLICE_X4Y33 SITEPROP SLICE_X4Y33 NUM_ARCS 138 SITEPROP SLICE_X4Y33 NUM_BELS 32 SITEPROP SLICE_X4Y33 NUM_INPUTS 32 SITEPROP SLICE_X4Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y33 NUM_PINS 45 SITEPROP SLICE_X4Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y33 PROHIBIT 0 SITEPROP SLICE_X4Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y33 RPM_X 25 SITEPROP SLICE_X4Y33 RPM_Y 66 SITEPROP SLICE_X4Y33 SITE_PIPS SITEPROP SLICE_X4Y33 SITE_TYPE SLICEL SITEPROP SLICE_X4Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y34 CLASS site SITEPROP SLICE_X4Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y34 IS_BONDED 0 SITEPROP SLICE_X4Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y34 IS_PAD 0 SITEPROP SLICE_X4Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y34 IS_RESERVED 0 SITEPROP SLICE_X4Y34 IS_TEST 0 SITEPROP SLICE_X4Y34 IS_USED 0 SITEPROP SLICE_X4Y34 MANUAL_ROUTING SITEPROP SLICE_X4Y34 NAME SLICE_X4Y34 SITEPROP SLICE_X4Y34 NUM_ARCS 138 SITEPROP SLICE_X4Y34 NUM_BELS 32 SITEPROP SLICE_X4Y34 NUM_INPUTS 32 SITEPROP SLICE_X4Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y34 NUM_PINS 45 SITEPROP SLICE_X4Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y34 PROHIBIT 0 SITEPROP SLICE_X4Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y34 RPM_X 25 SITEPROP SLICE_X4Y34 RPM_Y 68 SITEPROP SLICE_X4Y34 SITE_PIPS SITEPROP SLICE_X4Y34 SITE_TYPE SLICEL SITEPROP SLICE_X4Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y35 CLASS site SITEPROP SLICE_X4Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y35 IS_BONDED 0 SITEPROP SLICE_X4Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y35 IS_PAD 0 SITEPROP SLICE_X4Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y35 IS_RESERVED 0 SITEPROP SLICE_X4Y35 IS_TEST 0 SITEPROP SLICE_X4Y35 IS_USED 0 SITEPROP SLICE_X4Y35 MANUAL_ROUTING SITEPROP SLICE_X4Y35 NAME SLICE_X4Y35 SITEPROP SLICE_X4Y35 NUM_ARCS 138 SITEPROP SLICE_X4Y35 NUM_BELS 32 SITEPROP SLICE_X4Y35 NUM_INPUTS 32 SITEPROP SLICE_X4Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y35 NUM_PINS 45 SITEPROP SLICE_X4Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y35 PROHIBIT 0 SITEPROP SLICE_X4Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y35 RPM_X 25 SITEPROP SLICE_X4Y35 RPM_Y 70 SITEPROP SLICE_X4Y35 SITE_PIPS SITEPROP SLICE_X4Y35 SITE_TYPE SLICEL SITEPROP SLICE_X4Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y36 CLASS site SITEPROP SLICE_X4Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y36 IS_BONDED 0 SITEPROP SLICE_X4Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y36 IS_PAD 0 SITEPROP SLICE_X4Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y36 IS_RESERVED 0 SITEPROP SLICE_X4Y36 IS_TEST 0 SITEPROP SLICE_X4Y36 IS_USED 0 SITEPROP SLICE_X4Y36 MANUAL_ROUTING SITEPROP SLICE_X4Y36 NAME SLICE_X4Y36 SITEPROP SLICE_X4Y36 NUM_ARCS 138 SITEPROP SLICE_X4Y36 NUM_BELS 32 SITEPROP SLICE_X4Y36 NUM_INPUTS 32 SITEPROP SLICE_X4Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y36 NUM_PINS 45 SITEPROP SLICE_X4Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y36 PROHIBIT 0 SITEPROP SLICE_X4Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y36 RPM_X 25 SITEPROP SLICE_X4Y36 RPM_Y 72 SITEPROP SLICE_X4Y36 SITE_PIPS SITEPROP SLICE_X4Y36 SITE_TYPE SLICEL SITEPROP SLICE_X4Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y37 CLASS site SITEPROP SLICE_X4Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y37 IS_BONDED 0 SITEPROP SLICE_X4Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y37 IS_PAD 0 SITEPROP SLICE_X4Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y37 IS_RESERVED 0 SITEPROP SLICE_X4Y37 IS_TEST 0 SITEPROP SLICE_X4Y37 IS_USED 0 SITEPROP SLICE_X4Y37 MANUAL_ROUTING SITEPROP SLICE_X4Y37 NAME SLICE_X4Y37 SITEPROP SLICE_X4Y37 NUM_ARCS 138 SITEPROP SLICE_X4Y37 NUM_BELS 32 SITEPROP SLICE_X4Y37 NUM_INPUTS 32 SITEPROP SLICE_X4Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y37 NUM_PINS 45 SITEPROP SLICE_X4Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y37 PROHIBIT 0 SITEPROP SLICE_X4Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y37 RPM_X 25 SITEPROP SLICE_X4Y37 RPM_Y 74 SITEPROP SLICE_X4Y37 SITE_PIPS SITEPROP SLICE_X4Y37 SITE_TYPE SLICEL SITEPROP SLICE_X4Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y38 CLASS site SITEPROP SLICE_X4Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y38 IS_BONDED 0 SITEPROP SLICE_X4Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y38 IS_PAD 0 SITEPROP SLICE_X4Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y38 IS_RESERVED 0 SITEPROP SLICE_X4Y38 IS_TEST 0 SITEPROP SLICE_X4Y38 IS_USED 0 SITEPROP SLICE_X4Y38 MANUAL_ROUTING SITEPROP SLICE_X4Y38 NAME SLICE_X4Y38 SITEPROP SLICE_X4Y38 NUM_ARCS 138 SITEPROP SLICE_X4Y38 NUM_BELS 32 SITEPROP SLICE_X4Y38 NUM_INPUTS 32 SITEPROP SLICE_X4Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y38 NUM_PINS 45 SITEPROP SLICE_X4Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y38 PROHIBIT 0 SITEPROP SLICE_X4Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y38 RPM_X 25 SITEPROP SLICE_X4Y38 RPM_Y 76 SITEPROP SLICE_X4Y38 SITE_PIPS SITEPROP SLICE_X4Y38 SITE_TYPE SLICEL SITEPROP SLICE_X4Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y39 CLASS site SITEPROP SLICE_X4Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y39 IS_BONDED 0 SITEPROP SLICE_X4Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y39 IS_PAD 0 SITEPROP SLICE_X4Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y39 IS_RESERVED 0 SITEPROP SLICE_X4Y39 IS_TEST 0 SITEPROP SLICE_X4Y39 IS_USED 0 SITEPROP SLICE_X4Y39 MANUAL_ROUTING SITEPROP SLICE_X4Y39 NAME SLICE_X4Y39 SITEPROP SLICE_X4Y39 NUM_ARCS 138 SITEPROP SLICE_X4Y39 NUM_BELS 32 SITEPROP SLICE_X4Y39 NUM_INPUTS 32 SITEPROP SLICE_X4Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y39 NUM_PINS 45 SITEPROP SLICE_X4Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y39 PROHIBIT 0 SITEPROP SLICE_X4Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y39 RPM_X 25 SITEPROP SLICE_X4Y39 RPM_Y 78 SITEPROP SLICE_X4Y39 SITE_PIPS SITEPROP SLICE_X4Y39 SITE_TYPE SLICEL SITEPROP SLICE_X4Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y40 CLASS site SITEPROP SLICE_X4Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y40 IS_BONDED 0 SITEPROP SLICE_X4Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y40 IS_PAD 0 SITEPROP SLICE_X4Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y40 IS_RESERVED 0 SITEPROP SLICE_X4Y40 IS_TEST 0 SITEPROP SLICE_X4Y40 IS_USED 0 SITEPROP SLICE_X4Y40 MANUAL_ROUTING SITEPROP SLICE_X4Y40 NAME SLICE_X4Y40 SITEPROP SLICE_X4Y40 NUM_ARCS 138 SITEPROP SLICE_X4Y40 NUM_BELS 32 SITEPROP SLICE_X4Y40 NUM_INPUTS 32 SITEPROP SLICE_X4Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y40 NUM_PINS 45 SITEPROP SLICE_X4Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y40 PROHIBIT 0 SITEPROP SLICE_X4Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y40 RPM_X 25 SITEPROP SLICE_X4Y40 RPM_Y 80 SITEPROP SLICE_X4Y40 SITE_PIPS SITEPROP SLICE_X4Y40 SITE_TYPE SLICEL SITEPROP SLICE_X4Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y41 CLASS site SITEPROP SLICE_X4Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y41 IS_BONDED 0 SITEPROP SLICE_X4Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y41 IS_PAD 0 SITEPROP SLICE_X4Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y41 IS_RESERVED 0 SITEPROP SLICE_X4Y41 IS_TEST 0 SITEPROP SLICE_X4Y41 IS_USED 0 SITEPROP SLICE_X4Y41 MANUAL_ROUTING SITEPROP SLICE_X4Y41 NAME SLICE_X4Y41 SITEPROP SLICE_X4Y41 NUM_ARCS 138 SITEPROP SLICE_X4Y41 NUM_BELS 32 SITEPROP SLICE_X4Y41 NUM_INPUTS 32 SITEPROP SLICE_X4Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y41 NUM_PINS 45 SITEPROP SLICE_X4Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y41 PROHIBIT 0 SITEPROP SLICE_X4Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y41 RPM_X 25 SITEPROP SLICE_X4Y41 RPM_Y 82 SITEPROP SLICE_X4Y41 SITE_PIPS SITEPROP SLICE_X4Y41 SITE_TYPE SLICEL SITEPROP SLICE_X4Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y42 CLASS site SITEPROP SLICE_X4Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y42 IS_BONDED 0 SITEPROP SLICE_X4Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y42 IS_PAD 0 SITEPROP SLICE_X4Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y42 IS_RESERVED 0 SITEPROP SLICE_X4Y42 IS_TEST 0 SITEPROP SLICE_X4Y42 IS_USED 0 SITEPROP SLICE_X4Y42 MANUAL_ROUTING SITEPROP SLICE_X4Y42 NAME SLICE_X4Y42 SITEPROP SLICE_X4Y42 NUM_ARCS 138 SITEPROP SLICE_X4Y42 NUM_BELS 32 SITEPROP SLICE_X4Y42 NUM_INPUTS 32 SITEPROP SLICE_X4Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y42 NUM_PINS 45 SITEPROP SLICE_X4Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y42 PROHIBIT 0 SITEPROP SLICE_X4Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y42 RPM_X 25 SITEPROP SLICE_X4Y42 RPM_Y 84 SITEPROP SLICE_X4Y42 SITE_PIPS SITEPROP SLICE_X4Y42 SITE_TYPE SLICEL SITEPROP SLICE_X4Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y43 CLASS site SITEPROP SLICE_X4Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y43 IS_BONDED 0 SITEPROP SLICE_X4Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y43 IS_PAD 0 SITEPROP SLICE_X4Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y43 IS_RESERVED 0 SITEPROP SLICE_X4Y43 IS_TEST 0 SITEPROP SLICE_X4Y43 IS_USED 0 SITEPROP SLICE_X4Y43 MANUAL_ROUTING SITEPROP SLICE_X4Y43 NAME SLICE_X4Y43 SITEPROP SLICE_X4Y43 NUM_ARCS 138 SITEPROP SLICE_X4Y43 NUM_BELS 32 SITEPROP SLICE_X4Y43 NUM_INPUTS 32 SITEPROP SLICE_X4Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y43 NUM_PINS 45 SITEPROP SLICE_X4Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y43 PROHIBIT 0 SITEPROP SLICE_X4Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y43 RPM_X 25 SITEPROP SLICE_X4Y43 RPM_Y 86 SITEPROP SLICE_X4Y43 SITE_PIPS SITEPROP SLICE_X4Y43 SITE_TYPE SLICEL SITEPROP SLICE_X4Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y44 CLASS site SITEPROP SLICE_X4Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y44 IS_BONDED 0 SITEPROP SLICE_X4Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y44 IS_PAD 0 SITEPROP SLICE_X4Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y44 IS_RESERVED 0 SITEPROP SLICE_X4Y44 IS_TEST 0 SITEPROP SLICE_X4Y44 IS_USED 0 SITEPROP SLICE_X4Y44 MANUAL_ROUTING SITEPROP SLICE_X4Y44 NAME SLICE_X4Y44 SITEPROP SLICE_X4Y44 NUM_ARCS 138 SITEPROP SLICE_X4Y44 NUM_BELS 32 SITEPROP SLICE_X4Y44 NUM_INPUTS 32 SITEPROP SLICE_X4Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y44 NUM_PINS 45 SITEPROP SLICE_X4Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y44 PROHIBIT 0 SITEPROP SLICE_X4Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y44 RPM_X 25 SITEPROP SLICE_X4Y44 RPM_Y 88 SITEPROP SLICE_X4Y44 SITE_PIPS SITEPROP SLICE_X4Y44 SITE_TYPE SLICEL SITEPROP SLICE_X4Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y45 CLASS site SITEPROP SLICE_X4Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y45 IS_BONDED 0 SITEPROP SLICE_X4Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y45 IS_PAD 0 SITEPROP SLICE_X4Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y45 IS_RESERVED 0 SITEPROP SLICE_X4Y45 IS_TEST 0 SITEPROP SLICE_X4Y45 IS_USED 0 SITEPROP SLICE_X4Y45 MANUAL_ROUTING SITEPROP SLICE_X4Y45 NAME SLICE_X4Y45 SITEPROP SLICE_X4Y45 NUM_ARCS 138 SITEPROP SLICE_X4Y45 NUM_BELS 32 SITEPROP SLICE_X4Y45 NUM_INPUTS 32 SITEPROP SLICE_X4Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y45 NUM_PINS 45 SITEPROP SLICE_X4Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y45 PROHIBIT 0 SITEPROP SLICE_X4Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y45 RPM_X 25 SITEPROP SLICE_X4Y45 RPM_Y 90 SITEPROP SLICE_X4Y45 SITE_PIPS SITEPROP SLICE_X4Y45 SITE_TYPE SLICEL SITEPROP SLICE_X4Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y46 CLASS site SITEPROP SLICE_X4Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y46 IS_BONDED 0 SITEPROP SLICE_X4Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y46 IS_PAD 0 SITEPROP SLICE_X4Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y46 IS_RESERVED 0 SITEPROP SLICE_X4Y46 IS_TEST 0 SITEPROP SLICE_X4Y46 IS_USED 0 SITEPROP SLICE_X4Y46 MANUAL_ROUTING SITEPROP SLICE_X4Y46 NAME SLICE_X4Y46 SITEPROP SLICE_X4Y46 NUM_ARCS 138 SITEPROP SLICE_X4Y46 NUM_BELS 32 SITEPROP SLICE_X4Y46 NUM_INPUTS 32 SITEPROP SLICE_X4Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y46 NUM_PINS 45 SITEPROP SLICE_X4Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y46 PROHIBIT 0 SITEPROP SLICE_X4Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y46 RPM_X 25 SITEPROP SLICE_X4Y46 RPM_Y 92 SITEPROP SLICE_X4Y46 SITE_PIPS SITEPROP SLICE_X4Y46 SITE_TYPE SLICEL SITEPROP SLICE_X4Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y47 CLASS site SITEPROP SLICE_X4Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y47 IS_BONDED 0 SITEPROP SLICE_X4Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y47 IS_PAD 0 SITEPROP SLICE_X4Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y47 IS_RESERVED 0 SITEPROP SLICE_X4Y47 IS_TEST 0 SITEPROP SLICE_X4Y47 IS_USED 0 SITEPROP SLICE_X4Y47 MANUAL_ROUTING SITEPROP SLICE_X4Y47 NAME SLICE_X4Y47 SITEPROP SLICE_X4Y47 NUM_ARCS 138 SITEPROP SLICE_X4Y47 NUM_BELS 32 SITEPROP SLICE_X4Y47 NUM_INPUTS 32 SITEPROP SLICE_X4Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y47 NUM_PINS 45 SITEPROP SLICE_X4Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y47 PROHIBIT 0 SITEPROP SLICE_X4Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y47 RPM_X 25 SITEPROP SLICE_X4Y47 RPM_Y 94 SITEPROP SLICE_X4Y47 SITE_PIPS SITEPROP SLICE_X4Y47 SITE_TYPE SLICEL SITEPROP SLICE_X4Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y48 CLASS site SITEPROP SLICE_X4Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y48 IS_BONDED 0 SITEPROP SLICE_X4Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y48 IS_PAD 0 SITEPROP SLICE_X4Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y48 IS_RESERVED 0 SITEPROP SLICE_X4Y48 IS_TEST 0 SITEPROP SLICE_X4Y48 IS_USED 0 SITEPROP SLICE_X4Y48 MANUAL_ROUTING SITEPROP SLICE_X4Y48 NAME SLICE_X4Y48 SITEPROP SLICE_X4Y48 NUM_ARCS 138 SITEPROP SLICE_X4Y48 NUM_BELS 32 SITEPROP SLICE_X4Y48 NUM_INPUTS 32 SITEPROP SLICE_X4Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y48 NUM_PINS 45 SITEPROP SLICE_X4Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y48 PROHIBIT 0 SITEPROP SLICE_X4Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y48 RPM_X 25 SITEPROP SLICE_X4Y48 RPM_Y 96 SITEPROP SLICE_X4Y48 SITE_PIPS SITEPROP SLICE_X4Y48 SITE_TYPE SLICEL SITEPROP SLICE_X4Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y49 CLASS site SITEPROP SLICE_X4Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X4Y49 IS_BONDED 0 SITEPROP SLICE_X4Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y49 IS_PAD 0 SITEPROP SLICE_X4Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y49 IS_RESERVED 0 SITEPROP SLICE_X4Y49 IS_TEST 0 SITEPROP SLICE_X4Y49 IS_USED 0 SITEPROP SLICE_X4Y49 MANUAL_ROUTING SITEPROP SLICE_X4Y49 NAME SLICE_X4Y49 SITEPROP SLICE_X4Y49 NUM_ARCS 138 SITEPROP SLICE_X4Y49 NUM_BELS 32 SITEPROP SLICE_X4Y49 NUM_INPUTS 32 SITEPROP SLICE_X4Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y49 NUM_PINS 45 SITEPROP SLICE_X4Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y49 PROHIBIT 0 SITEPROP SLICE_X4Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y49 RPM_X 25 SITEPROP SLICE_X4Y49 RPM_Y 98 SITEPROP SLICE_X4Y49 SITE_PIPS SITEPROP SLICE_X4Y49 SITE_TYPE SLICEL SITEPROP SLICE_X4Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y50 CLASS site SITEPROP SLICE_X4Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y50 IS_BONDED 0 SITEPROP SLICE_X4Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y50 IS_PAD 0 SITEPROP SLICE_X4Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y50 IS_RESERVED 0 SITEPROP SLICE_X4Y50 IS_TEST 0 SITEPROP SLICE_X4Y50 IS_USED 0 SITEPROP SLICE_X4Y50 MANUAL_ROUTING SITEPROP SLICE_X4Y50 NAME SLICE_X4Y50 SITEPROP SLICE_X4Y50 NUM_ARCS 138 SITEPROP SLICE_X4Y50 NUM_BELS 32 SITEPROP SLICE_X4Y50 NUM_INPUTS 32 SITEPROP SLICE_X4Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y50 NUM_PINS 45 SITEPROP SLICE_X4Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y50 PROHIBIT 0 SITEPROP SLICE_X4Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y50 RPM_X 25 SITEPROP SLICE_X4Y50 RPM_Y 100 SITEPROP SLICE_X4Y50 SITE_PIPS SITEPROP SLICE_X4Y50 SITE_TYPE SLICEL SITEPROP SLICE_X4Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y51 CLASS site SITEPROP SLICE_X4Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y51 IS_BONDED 0 SITEPROP SLICE_X4Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y51 IS_PAD 0 SITEPROP SLICE_X4Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y51 IS_RESERVED 0 SITEPROP SLICE_X4Y51 IS_TEST 0 SITEPROP SLICE_X4Y51 IS_USED 0 SITEPROP SLICE_X4Y51 MANUAL_ROUTING SITEPROP SLICE_X4Y51 NAME SLICE_X4Y51 SITEPROP SLICE_X4Y51 NUM_ARCS 138 SITEPROP SLICE_X4Y51 NUM_BELS 32 SITEPROP SLICE_X4Y51 NUM_INPUTS 32 SITEPROP SLICE_X4Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y51 NUM_PINS 45 SITEPROP SLICE_X4Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y51 PROHIBIT 0 SITEPROP SLICE_X4Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y51 RPM_X 25 SITEPROP SLICE_X4Y51 RPM_Y 102 SITEPROP SLICE_X4Y51 SITE_PIPS SITEPROP SLICE_X4Y51 SITE_TYPE SLICEL SITEPROP SLICE_X4Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y52 CLASS site SITEPROP SLICE_X4Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y52 IS_BONDED 0 SITEPROP SLICE_X4Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y52 IS_PAD 0 SITEPROP SLICE_X4Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y52 IS_RESERVED 0 SITEPROP SLICE_X4Y52 IS_TEST 0 SITEPROP SLICE_X4Y52 IS_USED 0 SITEPROP SLICE_X4Y52 MANUAL_ROUTING SITEPROP SLICE_X4Y52 NAME SLICE_X4Y52 SITEPROP SLICE_X4Y52 NUM_ARCS 138 SITEPROP SLICE_X4Y52 NUM_BELS 32 SITEPROP SLICE_X4Y52 NUM_INPUTS 32 SITEPROP SLICE_X4Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y52 NUM_PINS 45 SITEPROP SLICE_X4Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y52 PROHIBIT 0 SITEPROP SLICE_X4Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y52 RPM_X 25 SITEPROP SLICE_X4Y52 RPM_Y 104 SITEPROP SLICE_X4Y52 SITE_PIPS SITEPROP SLICE_X4Y52 SITE_TYPE SLICEL SITEPROP SLICE_X4Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y53 CLASS site SITEPROP SLICE_X4Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y53 IS_BONDED 0 SITEPROP SLICE_X4Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y53 IS_PAD 0 SITEPROP SLICE_X4Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y53 IS_RESERVED 0 SITEPROP SLICE_X4Y53 IS_TEST 0 SITEPROP SLICE_X4Y53 IS_USED 0 SITEPROP SLICE_X4Y53 MANUAL_ROUTING SITEPROP SLICE_X4Y53 NAME SLICE_X4Y53 SITEPROP SLICE_X4Y53 NUM_ARCS 138 SITEPROP SLICE_X4Y53 NUM_BELS 32 SITEPROP SLICE_X4Y53 NUM_INPUTS 32 SITEPROP SLICE_X4Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y53 NUM_PINS 45 SITEPROP SLICE_X4Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y53 PROHIBIT 0 SITEPROP SLICE_X4Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y53 RPM_X 25 SITEPROP SLICE_X4Y53 RPM_Y 106 SITEPROP SLICE_X4Y53 SITE_PIPS SITEPROP SLICE_X4Y53 SITE_TYPE SLICEL SITEPROP SLICE_X4Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y54 CLASS site SITEPROP SLICE_X4Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y54 IS_BONDED 0 SITEPROP SLICE_X4Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y54 IS_PAD 0 SITEPROP SLICE_X4Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y54 IS_RESERVED 0 SITEPROP SLICE_X4Y54 IS_TEST 0 SITEPROP SLICE_X4Y54 IS_USED 0 SITEPROP SLICE_X4Y54 MANUAL_ROUTING SITEPROP SLICE_X4Y54 NAME SLICE_X4Y54 SITEPROP SLICE_X4Y54 NUM_ARCS 138 SITEPROP SLICE_X4Y54 NUM_BELS 32 SITEPROP SLICE_X4Y54 NUM_INPUTS 32 SITEPROP SLICE_X4Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y54 NUM_PINS 45 SITEPROP SLICE_X4Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y54 PROHIBIT 0 SITEPROP SLICE_X4Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y54 RPM_X 25 SITEPROP SLICE_X4Y54 RPM_Y 108 SITEPROP SLICE_X4Y54 SITE_PIPS SITEPROP SLICE_X4Y54 SITE_TYPE SLICEL SITEPROP SLICE_X4Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y55 CLASS site SITEPROP SLICE_X4Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y55 IS_BONDED 0 SITEPROP SLICE_X4Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y55 IS_PAD 0 SITEPROP SLICE_X4Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y55 IS_RESERVED 0 SITEPROP SLICE_X4Y55 IS_TEST 0 SITEPROP SLICE_X4Y55 IS_USED 0 SITEPROP SLICE_X4Y55 MANUAL_ROUTING SITEPROP SLICE_X4Y55 NAME SLICE_X4Y55 SITEPROP SLICE_X4Y55 NUM_ARCS 138 SITEPROP SLICE_X4Y55 NUM_BELS 32 SITEPROP SLICE_X4Y55 NUM_INPUTS 32 SITEPROP SLICE_X4Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y55 NUM_PINS 45 SITEPROP SLICE_X4Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y55 PROHIBIT 0 SITEPROP SLICE_X4Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y55 RPM_X 25 SITEPROP SLICE_X4Y55 RPM_Y 110 SITEPROP SLICE_X4Y55 SITE_PIPS SITEPROP SLICE_X4Y55 SITE_TYPE SLICEL SITEPROP SLICE_X4Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y56 CLASS site SITEPROP SLICE_X4Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y56 IS_BONDED 0 SITEPROP SLICE_X4Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y56 IS_PAD 0 SITEPROP SLICE_X4Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y56 IS_RESERVED 0 SITEPROP SLICE_X4Y56 IS_TEST 0 SITEPROP SLICE_X4Y56 IS_USED 0 SITEPROP SLICE_X4Y56 MANUAL_ROUTING SITEPROP SLICE_X4Y56 NAME SLICE_X4Y56 SITEPROP SLICE_X4Y56 NUM_ARCS 138 SITEPROP SLICE_X4Y56 NUM_BELS 32 SITEPROP SLICE_X4Y56 NUM_INPUTS 32 SITEPROP SLICE_X4Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y56 NUM_PINS 45 SITEPROP SLICE_X4Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y56 PROHIBIT 0 SITEPROP SLICE_X4Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y56 RPM_X 25 SITEPROP SLICE_X4Y56 RPM_Y 112 SITEPROP SLICE_X4Y56 SITE_PIPS SITEPROP SLICE_X4Y56 SITE_TYPE SLICEL SITEPROP SLICE_X4Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y57 CLASS site SITEPROP SLICE_X4Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y57 IS_BONDED 0 SITEPROP SLICE_X4Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y57 IS_PAD 0 SITEPROP SLICE_X4Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y57 IS_RESERVED 0 SITEPROP SLICE_X4Y57 IS_TEST 0 SITEPROP SLICE_X4Y57 IS_USED 0 SITEPROP SLICE_X4Y57 MANUAL_ROUTING SITEPROP SLICE_X4Y57 NAME SLICE_X4Y57 SITEPROP SLICE_X4Y57 NUM_ARCS 138 SITEPROP SLICE_X4Y57 NUM_BELS 32 SITEPROP SLICE_X4Y57 NUM_INPUTS 32 SITEPROP SLICE_X4Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y57 NUM_PINS 45 SITEPROP SLICE_X4Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y57 PROHIBIT 0 SITEPROP SLICE_X4Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y57 RPM_X 25 SITEPROP SLICE_X4Y57 RPM_Y 114 SITEPROP SLICE_X4Y57 SITE_PIPS SITEPROP SLICE_X4Y57 SITE_TYPE SLICEL SITEPROP SLICE_X4Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y58 CLASS site SITEPROP SLICE_X4Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y58 IS_BONDED 0 SITEPROP SLICE_X4Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y58 IS_PAD 0 SITEPROP SLICE_X4Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y58 IS_RESERVED 0 SITEPROP SLICE_X4Y58 IS_TEST 0 SITEPROP SLICE_X4Y58 IS_USED 0 SITEPROP SLICE_X4Y58 MANUAL_ROUTING SITEPROP SLICE_X4Y58 NAME SLICE_X4Y58 SITEPROP SLICE_X4Y58 NUM_ARCS 138 SITEPROP SLICE_X4Y58 NUM_BELS 32 SITEPROP SLICE_X4Y58 NUM_INPUTS 32 SITEPROP SLICE_X4Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y58 NUM_PINS 45 SITEPROP SLICE_X4Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y58 PROHIBIT 0 SITEPROP SLICE_X4Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y58 RPM_X 25 SITEPROP SLICE_X4Y58 RPM_Y 116 SITEPROP SLICE_X4Y58 SITE_PIPS SITEPROP SLICE_X4Y58 SITE_TYPE SLICEL SITEPROP SLICE_X4Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y59 CLASS site SITEPROP SLICE_X4Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y59 IS_BONDED 0 SITEPROP SLICE_X4Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y59 IS_PAD 0 SITEPROP SLICE_X4Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y59 IS_RESERVED 0 SITEPROP SLICE_X4Y59 IS_TEST 0 SITEPROP SLICE_X4Y59 IS_USED 0 SITEPROP SLICE_X4Y59 MANUAL_ROUTING SITEPROP SLICE_X4Y59 NAME SLICE_X4Y59 SITEPROP SLICE_X4Y59 NUM_ARCS 138 SITEPROP SLICE_X4Y59 NUM_BELS 32 SITEPROP SLICE_X4Y59 NUM_INPUTS 32 SITEPROP SLICE_X4Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y59 NUM_PINS 45 SITEPROP SLICE_X4Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y59 PROHIBIT 0 SITEPROP SLICE_X4Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y59 RPM_X 25 SITEPROP SLICE_X4Y59 RPM_Y 118 SITEPROP SLICE_X4Y59 SITE_PIPS SITEPROP SLICE_X4Y59 SITE_TYPE SLICEL SITEPROP SLICE_X4Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y60 CLASS site SITEPROP SLICE_X4Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y60 IS_BONDED 0 SITEPROP SLICE_X4Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y60 IS_PAD 0 SITEPROP SLICE_X4Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y60 IS_RESERVED 0 SITEPROP SLICE_X4Y60 IS_TEST 0 SITEPROP SLICE_X4Y60 IS_USED 0 SITEPROP SLICE_X4Y60 MANUAL_ROUTING SITEPROP SLICE_X4Y60 NAME SLICE_X4Y60 SITEPROP SLICE_X4Y60 NUM_ARCS 138 SITEPROP SLICE_X4Y60 NUM_BELS 32 SITEPROP SLICE_X4Y60 NUM_INPUTS 32 SITEPROP SLICE_X4Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y60 NUM_PINS 45 SITEPROP SLICE_X4Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y60 PROHIBIT 0 SITEPROP SLICE_X4Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y60 RPM_X 25 SITEPROP SLICE_X4Y60 RPM_Y 120 SITEPROP SLICE_X4Y60 SITE_PIPS SITEPROP SLICE_X4Y60 SITE_TYPE SLICEL SITEPROP SLICE_X4Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y61 CLASS site SITEPROP SLICE_X4Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y61 IS_BONDED 0 SITEPROP SLICE_X4Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y61 IS_PAD 0 SITEPROP SLICE_X4Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y61 IS_RESERVED 0 SITEPROP SLICE_X4Y61 IS_TEST 0 SITEPROP SLICE_X4Y61 IS_USED 0 SITEPROP SLICE_X4Y61 MANUAL_ROUTING SITEPROP SLICE_X4Y61 NAME SLICE_X4Y61 SITEPROP SLICE_X4Y61 NUM_ARCS 138 SITEPROP SLICE_X4Y61 NUM_BELS 32 SITEPROP SLICE_X4Y61 NUM_INPUTS 32 SITEPROP SLICE_X4Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y61 NUM_PINS 45 SITEPROP SLICE_X4Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y61 PROHIBIT 0 SITEPROP SLICE_X4Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y61 RPM_X 25 SITEPROP SLICE_X4Y61 RPM_Y 122 SITEPROP SLICE_X4Y61 SITE_PIPS SITEPROP SLICE_X4Y61 SITE_TYPE SLICEL SITEPROP SLICE_X4Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y62 CLASS site SITEPROP SLICE_X4Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y62 IS_BONDED 0 SITEPROP SLICE_X4Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y62 IS_PAD 0 SITEPROP SLICE_X4Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y62 IS_RESERVED 0 SITEPROP SLICE_X4Y62 IS_TEST 0 SITEPROP SLICE_X4Y62 IS_USED 0 SITEPROP SLICE_X4Y62 MANUAL_ROUTING SITEPROP SLICE_X4Y62 NAME SLICE_X4Y62 SITEPROP SLICE_X4Y62 NUM_ARCS 138 SITEPROP SLICE_X4Y62 NUM_BELS 32 SITEPROP SLICE_X4Y62 NUM_INPUTS 32 SITEPROP SLICE_X4Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y62 NUM_PINS 45 SITEPROP SLICE_X4Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y62 PROHIBIT 0 SITEPROP SLICE_X4Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y62 RPM_X 25 SITEPROP SLICE_X4Y62 RPM_Y 124 SITEPROP SLICE_X4Y62 SITE_PIPS SITEPROP SLICE_X4Y62 SITE_TYPE SLICEL SITEPROP SLICE_X4Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y63 CLASS site SITEPROP SLICE_X4Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y63 IS_BONDED 0 SITEPROP SLICE_X4Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y63 IS_PAD 0 SITEPROP SLICE_X4Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y63 IS_RESERVED 0 SITEPROP SLICE_X4Y63 IS_TEST 0 SITEPROP SLICE_X4Y63 IS_USED 0 SITEPROP SLICE_X4Y63 MANUAL_ROUTING SITEPROP SLICE_X4Y63 NAME SLICE_X4Y63 SITEPROP SLICE_X4Y63 NUM_ARCS 138 SITEPROP SLICE_X4Y63 NUM_BELS 32 SITEPROP SLICE_X4Y63 NUM_INPUTS 32 SITEPROP SLICE_X4Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y63 NUM_PINS 45 SITEPROP SLICE_X4Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y63 PROHIBIT 0 SITEPROP SLICE_X4Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y63 RPM_X 25 SITEPROP SLICE_X4Y63 RPM_Y 126 SITEPROP SLICE_X4Y63 SITE_PIPS SITEPROP SLICE_X4Y63 SITE_TYPE SLICEL SITEPROP SLICE_X4Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y64 CLASS site SITEPROP SLICE_X4Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y64 IS_BONDED 0 SITEPROP SLICE_X4Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y64 IS_PAD 0 SITEPROP SLICE_X4Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y64 IS_RESERVED 0 SITEPROP SLICE_X4Y64 IS_TEST 0 SITEPROP SLICE_X4Y64 IS_USED 0 SITEPROP SLICE_X4Y64 MANUAL_ROUTING SITEPROP SLICE_X4Y64 NAME SLICE_X4Y64 SITEPROP SLICE_X4Y64 NUM_ARCS 138 SITEPROP SLICE_X4Y64 NUM_BELS 32 SITEPROP SLICE_X4Y64 NUM_INPUTS 32 SITEPROP SLICE_X4Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y64 NUM_PINS 45 SITEPROP SLICE_X4Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y64 PROHIBIT 0 SITEPROP SLICE_X4Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y64 RPM_X 25 SITEPROP SLICE_X4Y64 RPM_Y 128 SITEPROP SLICE_X4Y64 SITE_PIPS SITEPROP SLICE_X4Y64 SITE_TYPE SLICEL SITEPROP SLICE_X4Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y65 CLASS site SITEPROP SLICE_X4Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y65 IS_BONDED 0 SITEPROP SLICE_X4Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y65 IS_PAD 0 SITEPROP SLICE_X4Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y65 IS_RESERVED 0 SITEPROP SLICE_X4Y65 IS_TEST 0 SITEPROP SLICE_X4Y65 IS_USED 0 SITEPROP SLICE_X4Y65 MANUAL_ROUTING SITEPROP SLICE_X4Y65 NAME SLICE_X4Y65 SITEPROP SLICE_X4Y65 NUM_ARCS 138 SITEPROP SLICE_X4Y65 NUM_BELS 32 SITEPROP SLICE_X4Y65 NUM_INPUTS 32 SITEPROP SLICE_X4Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y65 NUM_PINS 45 SITEPROP SLICE_X4Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y65 PROHIBIT 0 SITEPROP SLICE_X4Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y65 RPM_X 25 SITEPROP SLICE_X4Y65 RPM_Y 130 SITEPROP SLICE_X4Y65 SITE_PIPS SITEPROP SLICE_X4Y65 SITE_TYPE SLICEL SITEPROP SLICE_X4Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y66 CLASS site SITEPROP SLICE_X4Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y66 IS_BONDED 0 SITEPROP SLICE_X4Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y66 IS_PAD 0 SITEPROP SLICE_X4Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y66 IS_RESERVED 0 SITEPROP SLICE_X4Y66 IS_TEST 0 SITEPROP SLICE_X4Y66 IS_USED 0 SITEPROP SLICE_X4Y66 MANUAL_ROUTING SITEPROP SLICE_X4Y66 NAME SLICE_X4Y66 SITEPROP SLICE_X4Y66 NUM_ARCS 138 SITEPROP SLICE_X4Y66 NUM_BELS 32 SITEPROP SLICE_X4Y66 NUM_INPUTS 32 SITEPROP SLICE_X4Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y66 NUM_PINS 45 SITEPROP SLICE_X4Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y66 PROHIBIT 0 SITEPROP SLICE_X4Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y66 RPM_X 25 SITEPROP SLICE_X4Y66 RPM_Y 132 SITEPROP SLICE_X4Y66 SITE_PIPS SITEPROP SLICE_X4Y66 SITE_TYPE SLICEL SITEPROP SLICE_X4Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y67 CLASS site SITEPROP SLICE_X4Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y67 IS_BONDED 0 SITEPROP SLICE_X4Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y67 IS_PAD 0 SITEPROP SLICE_X4Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y67 IS_RESERVED 0 SITEPROP SLICE_X4Y67 IS_TEST 0 SITEPROP SLICE_X4Y67 IS_USED 0 SITEPROP SLICE_X4Y67 MANUAL_ROUTING SITEPROP SLICE_X4Y67 NAME SLICE_X4Y67 SITEPROP SLICE_X4Y67 NUM_ARCS 138 SITEPROP SLICE_X4Y67 NUM_BELS 32 SITEPROP SLICE_X4Y67 NUM_INPUTS 32 SITEPROP SLICE_X4Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y67 NUM_PINS 45 SITEPROP SLICE_X4Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y67 PROHIBIT 0 SITEPROP SLICE_X4Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y67 RPM_X 25 SITEPROP SLICE_X4Y67 RPM_Y 134 SITEPROP SLICE_X4Y67 SITE_PIPS SITEPROP SLICE_X4Y67 SITE_TYPE SLICEL SITEPROP SLICE_X4Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y68 CLASS site SITEPROP SLICE_X4Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y68 IS_BONDED 0 SITEPROP SLICE_X4Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y68 IS_PAD 0 SITEPROP SLICE_X4Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y68 IS_RESERVED 0 SITEPROP SLICE_X4Y68 IS_TEST 0 SITEPROP SLICE_X4Y68 IS_USED 0 SITEPROP SLICE_X4Y68 MANUAL_ROUTING SITEPROP SLICE_X4Y68 NAME SLICE_X4Y68 SITEPROP SLICE_X4Y68 NUM_ARCS 138 SITEPROP SLICE_X4Y68 NUM_BELS 32 SITEPROP SLICE_X4Y68 NUM_INPUTS 32 SITEPROP SLICE_X4Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y68 NUM_PINS 45 SITEPROP SLICE_X4Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y68 PROHIBIT 0 SITEPROP SLICE_X4Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y68 RPM_X 25 SITEPROP SLICE_X4Y68 RPM_Y 136 SITEPROP SLICE_X4Y68 SITE_PIPS SITEPROP SLICE_X4Y68 SITE_TYPE SLICEL SITEPROP SLICE_X4Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y69 CLASS site SITEPROP SLICE_X4Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y69 IS_BONDED 0 SITEPROP SLICE_X4Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y69 IS_PAD 0 SITEPROP SLICE_X4Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y69 IS_RESERVED 0 SITEPROP SLICE_X4Y69 IS_TEST 0 SITEPROP SLICE_X4Y69 IS_USED 0 SITEPROP SLICE_X4Y69 MANUAL_ROUTING SITEPROP SLICE_X4Y69 NAME SLICE_X4Y69 SITEPROP SLICE_X4Y69 NUM_ARCS 138 SITEPROP SLICE_X4Y69 NUM_BELS 32 SITEPROP SLICE_X4Y69 NUM_INPUTS 32 SITEPROP SLICE_X4Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y69 NUM_PINS 45 SITEPROP SLICE_X4Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y69 PROHIBIT 0 SITEPROP SLICE_X4Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y69 RPM_X 25 SITEPROP SLICE_X4Y69 RPM_Y 138 SITEPROP SLICE_X4Y69 SITE_PIPS SITEPROP SLICE_X4Y69 SITE_TYPE SLICEL SITEPROP SLICE_X4Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y70 CLASS site SITEPROP SLICE_X4Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y70 IS_BONDED 0 SITEPROP SLICE_X4Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y70 IS_PAD 0 SITEPROP SLICE_X4Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y70 IS_RESERVED 0 SITEPROP SLICE_X4Y70 IS_TEST 0 SITEPROP SLICE_X4Y70 IS_USED 0 SITEPROP SLICE_X4Y70 MANUAL_ROUTING SITEPROP SLICE_X4Y70 NAME SLICE_X4Y70 SITEPROP SLICE_X4Y70 NUM_ARCS 138 SITEPROP SLICE_X4Y70 NUM_BELS 32 SITEPROP SLICE_X4Y70 NUM_INPUTS 32 SITEPROP SLICE_X4Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y70 NUM_PINS 45 SITEPROP SLICE_X4Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y70 PROHIBIT 0 SITEPROP SLICE_X4Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y70 RPM_X 25 SITEPROP SLICE_X4Y70 RPM_Y 140 SITEPROP SLICE_X4Y70 SITE_PIPS SITEPROP SLICE_X4Y70 SITE_TYPE SLICEL SITEPROP SLICE_X4Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y71 CLASS site SITEPROP SLICE_X4Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y71 IS_BONDED 0 SITEPROP SLICE_X4Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y71 IS_PAD 0 SITEPROP SLICE_X4Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y71 IS_RESERVED 0 SITEPROP SLICE_X4Y71 IS_TEST 0 SITEPROP SLICE_X4Y71 IS_USED 0 SITEPROP SLICE_X4Y71 MANUAL_ROUTING SITEPROP SLICE_X4Y71 NAME SLICE_X4Y71 SITEPROP SLICE_X4Y71 NUM_ARCS 138 SITEPROP SLICE_X4Y71 NUM_BELS 32 SITEPROP SLICE_X4Y71 NUM_INPUTS 32 SITEPROP SLICE_X4Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y71 NUM_PINS 45 SITEPROP SLICE_X4Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y71 PROHIBIT 0 SITEPROP SLICE_X4Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y71 RPM_X 25 SITEPROP SLICE_X4Y71 RPM_Y 142 SITEPROP SLICE_X4Y71 SITE_PIPS SITEPROP SLICE_X4Y71 SITE_TYPE SLICEL SITEPROP SLICE_X4Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y72 CLASS site SITEPROP SLICE_X4Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y72 IS_BONDED 0 SITEPROP SLICE_X4Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y72 IS_PAD 0 SITEPROP SLICE_X4Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y72 IS_RESERVED 0 SITEPROP SLICE_X4Y72 IS_TEST 0 SITEPROP SLICE_X4Y72 IS_USED 0 SITEPROP SLICE_X4Y72 MANUAL_ROUTING SITEPROP SLICE_X4Y72 NAME SLICE_X4Y72 SITEPROP SLICE_X4Y72 NUM_ARCS 138 SITEPROP SLICE_X4Y72 NUM_BELS 32 SITEPROP SLICE_X4Y72 NUM_INPUTS 32 SITEPROP SLICE_X4Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y72 NUM_PINS 45 SITEPROP SLICE_X4Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y72 PROHIBIT 0 SITEPROP SLICE_X4Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y72 RPM_X 25 SITEPROP SLICE_X4Y72 RPM_Y 144 SITEPROP SLICE_X4Y72 SITE_PIPS SITEPROP SLICE_X4Y72 SITE_TYPE SLICEL SITEPROP SLICE_X4Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y73 CLASS site SITEPROP SLICE_X4Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y73 IS_BONDED 0 SITEPROP SLICE_X4Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y73 IS_PAD 0 SITEPROP SLICE_X4Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y73 IS_RESERVED 0 SITEPROP SLICE_X4Y73 IS_TEST 0 SITEPROP SLICE_X4Y73 IS_USED 0 SITEPROP SLICE_X4Y73 MANUAL_ROUTING SITEPROP SLICE_X4Y73 NAME SLICE_X4Y73 SITEPROP SLICE_X4Y73 NUM_ARCS 138 SITEPROP SLICE_X4Y73 NUM_BELS 32 SITEPROP SLICE_X4Y73 NUM_INPUTS 32 SITEPROP SLICE_X4Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y73 NUM_PINS 45 SITEPROP SLICE_X4Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y73 PROHIBIT 0 SITEPROP SLICE_X4Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y73 RPM_X 25 SITEPROP SLICE_X4Y73 RPM_Y 146 SITEPROP SLICE_X4Y73 SITE_PIPS SITEPROP SLICE_X4Y73 SITE_TYPE SLICEL SITEPROP SLICE_X4Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y74 CLASS site SITEPROP SLICE_X4Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y74 IS_BONDED 0 SITEPROP SLICE_X4Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y74 IS_PAD 0 SITEPROP SLICE_X4Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y74 IS_RESERVED 0 SITEPROP SLICE_X4Y74 IS_TEST 0 SITEPROP SLICE_X4Y74 IS_USED 0 SITEPROP SLICE_X4Y74 MANUAL_ROUTING SITEPROP SLICE_X4Y74 NAME SLICE_X4Y74 SITEPROP SLICE_X4Y74 NUM_ARCS 138 SITEPROP SLICE_X4Y74 NUM_BELS 32 SITEPROP SLICE_X4Y74 NUM_INPUTS 32 SITEPROP SLICE_X4Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y74 NUM_PINS 45 SITEPROP SLICE_X4Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y74 PROHIBIT 0 SITEPROP SLICE_X4Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y74 RPM_X 25 SITEPROP SLICE_X4Y74 RPM_Y 148 SITEPROP SLICE_X4Y74 SITE_PIPS SITEPROP SLICE_X4Y74 SITE_TYPE SLICEL SITEPROP SLICE_X4Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y75 CLASS site SITEPROP SLICE_X4Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y75 IS_BONDED 0 SITEPROP SLICE_X4Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y75 IS_PAD 0 SITEPROP SLICE_X4Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y75 IS_RESERVED 0 SITEPROP SLICE_X4Y75 IS_TEST 0 SITEPROP SLICE_X4Y75 IS_USED 0 SITEPROP SLICE_X4Y75 MANUAL_ROUTING SITEPROP SLICE_X4Y75 NAME SLICE_X4Y75 SITEPROP SLICE_X4Y75 NUM_ARCS 138 SITEPROP SLICE_X4Y75 NUM_BELS 32 SITEPROP SLICE_X4Y75 NUM_INPUTS 32 SITEPROP SLICE_X4Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y75 NUM_PINS 45 SITEPROP SLICE_X4Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y75 PROHIBIT 0 SITEPROP SLICE_X4Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y75 RPM_X 25 SITEPROP SLICE_X4Y75 RPM_Y 150 SITEPROP SLICE_X4Y75 SITE_PIPS SITEPROP SLICE_X4Y75 SITE_TYPE SLICEL SITEPROP SLICE_X4Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y76 CLASS site SITEPROP SLICE_X4Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y76 IS_BONDED 0 SITEPROP SLICE_X4Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y76 IS_PAD 0 SITEPROP SLICE_X4Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y76 IS_RESERVED 0 SITEPROP SLICE_X4Y76 IS_TEST 0 SITEPROP SLICE_X4Y76 IS_USED 0 SITEPROP SLICE_X4Y76 MANUAL_ROUTING SITEPROP SLICE_X4Y76 NAME SLICE_X4Y76 SITEPROP SLICE_X4Y76 NUM_ARCS 138 SITEPROP SLICE_X4Y76 NUM_BELS 32 SITEPROP SLICE_X4Y76 NUM_INPUTS 32 SITEPROP SLICE_X4Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y76 NUM_PINS 45 SITEPROP SLICE_X4Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y76 PROHIBIT 0 SITEPROP SLICE_X4Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y76 RPM_X 25 SITEPROP SLICE_X4Y76 RPM_Y 152 SITEPROP SLICE_X4Y76 SITE_PIPS SITEPROP SLICE_X4Y76 SITE_TYPE SLICEL SITEPROP SLICE_X4Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y77 CLASS site SITEPROP SLICE_X4Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y77 IS_BONDED 0 SITEPROP SLICE_X4Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y77 IS_PAD 0 SITEPROP SLICE_X4Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y77 IS_RESERVED 0 SITEPROP SLICE_X4Y77 IS_TEST 0 SITEPROP SLICE_X4Y77 IS_USED 0 SITEPROP SLICE_X4Y77 MANUAL_ROUTING SITEPROP SLICE_X4Y77 NAME SLICE_X4Y77 SITEPROP SLICE_X4Y77 NUM_ARCS 138 SITEPROP SLICE_X4Y77 NUM_BELS 32 SITEPROP SLICE_X4Y77 NUM_INPUTS 32 SITEPROP SLICE_X4Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y77 NUM_PINS 45 SITEPROP SLICE_X4Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y77 PROHIBIT 0 SITEPROP SLICE_X4Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y77 RPM_X 25 SITEPROP SLICE_X4Y77 RPM_Y 154 SITEPROP SLICE_X4Y77 SITE_PIPS SITEPROP SLICE_X4Y77 SITE_TYPE SLICEL SITEPROP SLICE_X4Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y78 CLASS site SITEPROP SLICE_X4Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y78 IS_BONDED 0 SITEPROP SLICE_X4Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y78 IS_PAD 0 SITEPROP SLICE_X4Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y78 IS_RESERVED 0 SITEPROP SLICE_X4Y78 IS_TEST 0 SITEPROP SLICE_X4Y78 IS_USED 0 SITEPROP SLICE_X4Y78 MANUAL_ROUTING SITEPROP SLICE_X4Y78 NAME SLICE_X4Y78 SITEPROP SLICE_X4Y78 NUM_ARCS 138 SITEPROP SLICE_X4Y78 NUM_BELS 32 SITEPROP SLICE_X4Y78 NUM_INPUTS 32 SITEPROP SLICE_X4Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y78 NUM_PINS 45 SITEPROP SLICE_X4Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y78 PROHIBIT 0 SITEPROP SLICE_X4Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y78 RPM_X 25 SITEPROP SLICE_X4Y78 RPM_Y 156 SITEPROP SLICE_X4Y78 SITE_PIPS SITEPROP SLICE_X4Y78 SITE_TYPE SLICEL SITEPROP SLICE_X4Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y79 CLASS site SITEPROP SLICE_X4Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y79 IS_BONDED 0 SITEPROP SLICE_X4Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y79 IS_PAD 0 SITEPROP SLICE_X4Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y79 IS_RESERVED 0 SITEPROP SLICE_X4Y79 IS_TEST 0 SITEPROP SLICE_X4Y79 IS_USED 0 SITEPROP SLICE_X4Y79 MANUAL_ROUTING SITEPROP SLICE_X4Y79 NAME SLICE_X4Y79 SITEPROP SLICE_X4Y79 NUM_ARCS 138 SITEPROP SLICE_X4Y79 NUM_BELS 32 SITEPROP SLICE_X4Y79 NUM_INPUTS 32 SITEPROP SLICE_X4Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y79 NUM_PINS 45 SITEPROP SLICE_X4Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y79 PROHIBIT 0 SITEPROP SLICE_X4Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y79 RPM_X 25 SITEPROP SLICE_X4Y79 RPM_Y 158 SITEPROP SLICE_X4Y79 SITE_PIPS SITEPROP SLICE_X4Y79 SITE_TYPE SLICEL SITEPROP SLICE_X4Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y80 CLASS site SITEPROP SLICE_X4Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y80 IS_BONDED 0 SITEPROP SLICE_X4Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y80 IS_PAD 0 SITEPROP SLICE_X4Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y80 IS_RESERVED 0 SITEPROP SLICE_X4Y80 IS_TEST 0 SITEPROP SLICE_X4Y80 IS_USED 0 SITEPROP SLICE_X4Y80 MANUAL_ROUTING SITEPROP SLICE_X4Y80 NAME SLICE_X4Y80 SITEPROP SLICE_X4Y80 NUM_ARCS 138 SITEPROP SLICE_X4Y80 NUM_BELS 32 SITEPROP SLICE_X4Y80 NUM_INPUTS 32 SITEPROP SLICE_X4Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y80 NUM_PINS 45 SITEPROP SLICE_X4Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y80 PROHIBIT 0 SITEPROP SLICE_X4Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y80 RPM_X 25 SITEPROP SLICE_X4Y80 RPM_Y 160 SITEPROP SLICE_X4Y80 SITE_PIPS SITEPROP SLICE_X4Y80 SITE_TYPE SLICEL SITEPROP SLICE_X4Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y81 CLASS site SITEPROP SLICE_X4Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y81 IS_BONDED 0 SITEPROP SLICE_X4Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y81 IS_PAD 0 SITEPROP SLICE_X4Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y81 IS_RESERVED 0 SITEPROP SLICE_X4Y81 IS_TEST 0 SITEPROP SLICE_X4Y81 IS_USED 0 SITEPROP SLICE_X4Y81 MANUAL_ROUTING SITEPROP SLICE_X4Y81 NAME SLICE_X4Y81 SITEPROP SLICE_X4Y81 NUM_ARCS 138 SITEPROP SLICE_X4Y81 NUM_BELS 32 SITEPROP SLICE_X4Y81 NUM_INPUTS 32 SITEPROP SLICE_X4Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y81 NUM_PINS 45 SITEPROP SLICE_X4Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y81 PROHIBIT 0 SITEPROP SLICE_X4Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y81 RPM_X 25 SITEPROP SLICE_X4Y81 RPM_Y 162 SITEPROP SLICE_X4Y81 SITE_PIPS SITEPROP SLICE_X4Y81 SITE_TYPE SLICEL SITEPROP SLICE_X4Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y82 CLASS site SITEPROP SLICE_X4Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y82 IS_BONDED 0 SITEPROP SLICE_X4Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y82 IS_PAD 0 SITEPROP SLICE_X4Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y82 IS_RESERVED 0 SITEPROP SLICE_X4Y82 IS_TEST 0 SITEPROP SLICE_X4Y82 IS_USED 0 SITEPROP SLICE_X4Y82 MANUAL_ROUTING SITEPROP SLICE_X4Y82 NAME SLICE_X4Y82 SITEPROP SLICE_X4Y82 NUM_ARCS 138 SITEPROP SLICE_X4Y82 NUM_BELS 32 SITEPROP SLICE_X4Y82 NUM_INPUTS 32 SITEPROP SLICE_X4Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y82 NUM_PINS 45 SITEPROP SLICE_X4Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y82 PROHIBIT 0 SITEPROP SLICE_X4Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y82 RPM_X 25 SITEPROP SLICE_X4Y82 RPM_Y 164 SITEPROP SLICE_X4Y82 SITE_PIPS SITEPROP SLICE_X4Y82 SITE_TYPE SLICEL SITEPROP SLICE_X4Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y83 CLASS site SITEPROP SLICE_X4Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y83 IS_BONDED 0 SITEPROP SLICE_X4Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y83 IS_PAD 0 SITEPROP SLICE_X4Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y83 IS_RESERVED 0 SITEPROP SLICE_X4Y83 IS_TEST 0 SITEPROP SLICE_X4Y83 IS_USED 0 SITEPROP SLICE_X4Y83 MANUAL_ROUTING SITEPROP SLICE_X4Y83 NAME SLICE_X4Y83 SITEPROP SLICE_X4Y83 NUM_ARCS 138 SITEPROP SLICE_X4Y83 NUM_BELS 32 SITEPROP SLICE_X4Y83 NUM_INPUTS 32 SITEPROP SLICE_X4Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y83 NUM_PINS 45 SITEPROP SLICE_X4Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y83 PROHIBIT 0 SITEPROP SLICE_X4Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y83 RPM_X 25 SITEPROP SLICE_X4Y83 RPM_Y 166 SITEPROP SLICE_X4Y83 SITE_PIPS SITEPROP SLICE_X4Y83 SITE_TYPE SLICEL SITEPROP SLICE_X4Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y84 CLASS site SITEPROP SLICE_X4Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y84 IS_BONDED 0 SITEPROP SLICE_X4Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y84 IS_PAD 0 SITEPROP SLICE_X4Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y84 IS_RESERVED 0 SITEPROP SLICE_X4Y84 IS_TEST 0 SITEPROP SLICE_X4Y84 IS_USED 0 SITEPROP SLICE_X4Y84 MANUAL_ROUTING SITEPROP SLICE_X4Y84 NAME SLICE_X4Y84 SITEPROP SLICE_X4Y84 NUM_ARCS 138 SITEPROP SLICE_X4Y84 NUM_BELS 32 SITEPROP SLICE_X4Y84 NUM_INPUTS 32 SITEPROP SLICE_X4Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y84 NUM_PINS 45 SITEPROP SLICE_X4Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y84 PROHIBIT 0 SITEPROP SLICE_X4Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y84 RPM_X 25 SITEPROP SLICE_X4Y84 RPM_Y 168 SITEPROP SLICE_X4Y84 SITE_PIPS SITEPROP SLICE_X4Y84 SITE_TYPE SLICEL SITEPROP SLICE_X4Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y85 CLASS site SITEPROP SLICE_X4Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y85 IS_BONDED 0 SITEPROP SLICE_X4Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y85 IS_PAD 0 SITEPROP SLICE_X4Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y85 IS_RESERVED 0 SITEPROP SLICE_X4Y85 IS_TEST 0 SITEPROP SLICE_X4Y85 IS_USED 0 SITEPROP SLICE_X4Y85 MANUAL_ROUTING SITEPROP SLICE_X4Y85 NAME SLICE_X4Y85 SITEPROP SLICE_X4Y85 NUM_ARCS 138 SITEPROP SLICE_X4Y85 NUM_BELS 32 SITEPROP SLICE_X4Y85 NUM_INPUTS 32 SITEPROP SLICE_X4Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y85 NUM_PINS 45 SITEPROP SLICE_X4Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y85 PROHIBIT 0 SITEPROP SLICE_X4Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y85 RPM_X 25 SITEPROP SLICE_X4Y85 RPM_Y 170 SITEPROP SLICE_X4Y85 SITE_PIPS SITEPROP SLICE_X4Y85 SITE_TYPE SLICEL SITEPROP SLICE_X4Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y86 CLASS site SITEPROP SLICE_X4Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y86 IS_BONDED 0 SITEPROP SLICE_X4Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y86 IS_PAD 0 SITEPROP SLICE_X4Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y86 IS_RESERVED 0 SITEPROP SLICE_X4Y86 IS_TEST 0 SITEPROP SLICE_X4Y86 IS_USED 0 SITEPROP SLICE_X4Y86 MANUAL_ROUTING SITEPROP SLICE_X4Y86 NAME SLICE_X4Y86 SITEPROP SLICE_X4Y86 NUM_ARCS 138 SITEPROP SLICE_X4Y86 NUM_BELS 32 SITEPROP SLICE_X4Y86 NUM_INPUTS 32 SITEPROP SLICE_X4Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y86 NUM_PINS 45 SITEPROP SLICE_X4Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y86 PROHIBIT 0 SITEPROP SLICE_X4Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y86 RPM_X 25 SITEPROP SLICE_X4Y86 RPM_Y 172 SITEPROP SLICE_X4Y86 SITE_PIPS SITEPROP SLICE_X4Y86 SITE_TYPE SLICEL SITEPROP SLICE_X4Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y87 CLASS site SITEPROP SLICE_X4Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y87 IS_BONDED 0 SITEPROP SLICE_X4Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y87 IS_PAD 0 SITEPROP SLICE_X4Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y87 IS_RESERVED 0 SITEPROP SLICE_X4Y87 IS_TEST 0 SITEPROP SLICE_X4Y87 IS_USED 0 SITEPROP SLICE_X4Y87 MANUAL_ROUTING SITEPROP SLICE_X4Y87 NAME SLICE_X4Y87 SITEPROP SLICE_X4Y87 NUM_ARCS 138 SITEPROP SLICE_X4Y87 NUM_BELS 32 SITEPROP SLICE_X4Y87 NUM_INPUTS 32 SITEPROP SLICE_X4Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y87 NUM_PINS 45 SITEPROP SLICE_X4Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y87 PROHIBIT 0 SITEPROP SLICE_X4Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y87 RPM_X 25 SITEPROP SLICE_X4Y87 RPM_Y 174 SITEPROP SLICE_X4Y87 SITE_PIPS SITEPROP SLICE_X4Y87 SITE_TYPE SLICEL SITEPROP SLICE_X4Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y88 CLASS site SITEPROP SLICE_X4Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y88 IS_BONDED 0 SITEPROP SLICE_X4Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y88 IS_PAD 0 SITEPROP SLICE_X4Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y88 IS_RESERVED 0 SITEPROP SLICE_X4Y88 IS_TEST 0 SITEPROP SLICE_X4Y88 IS_USED 0 SITEPROP SLICE_X4Y88 MANUAL_ROUTING SITEPROP SLICE_X4Y88 NAME SLICE_X4Y88 SITEPROP SLICE_X4Y88 NUM_ARCS 138 SITEPROP SLICE_X4Y88 NUM_BELS 32 SITEPROP SLICE_X4Y88 NUM_INPUTS 32 SITEPROP SLICE_X4Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y88 NUM_PINS 45 SITEPROP SLICE_X4Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y88 PROHIBIT 0 SITEPROP SLICE_X4Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y88 RPM_X 25 SITEPROP SLICE_X4Y88 RPM_Y 176 SITEPROP SLICE_X4Y88 SITE_PIPS SITEPROP SLICE_X4Y88 SITE_TYPE SLICEL SITEPROP SLICE_X4Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y89 CLASS site SITEPROP SLICE_X4Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y89 IS_BONDED 0 SITEPROP SLICE_X4Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y89 IS_PAD 0 SITEPROP SLICE_X4Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y89 IS_RESERVED 0 SITEPROP SLICE_X4Y89 IS_TEST 0 SITEPROP SLICE_X4Y89 IS_USED 0 SITEPROP SLICE_X4Y89 MANUAL_ROUTING SITEPROP SLICE_X4Y89 NAME SLICE_X4Y89 SITEPROP SLICE_X4Y89 NUM_ARCS 138 SITEPROP SLICE_X4Y89 NUM_BELS 32 SITEPROP SLICE_X4Y89 NUM_INPUTS 32 SITEPROP SLICE_X4Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y89 NUM_PINS 45 SITEPROP SLICE_X4Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y89 PROHIBIT 0 SITEPROP SLICE_X4Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y89 RPM_X 25 SITEPROP SLICE_X4Y89 RPM_Y 178 SITEPROP SLICE_X4Y89 SITE_PIPS SITEPROP SLICE_X4Y89 SITE_TYPE SLICEL SITEPROP SLICE_X4Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y90 CLASS site SITEPROP SLICE_X4Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y90 IS_BONDED 0 SITEPROP SLICE_X4Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y90 IS_PAD 0 SITEPROP SLICE_X4Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y90 IS_RESERVED 0 SITEPROP SLICE_X4Y90 IS_TEST 0 SITEPROP SLICE_X4Y90 IS_USED 0 SITEPROP SLICE_X4Y90 MANUAL_ROUTING SITEPROP SLICE_X4Y90 NAME SLICE_X4Y90 SITEPROP SLICE_X4Y90 NUM_ARCS 138 SITEPROP SLICE_X4Y90 NUM_BELS 32 SITEPROP SLICE_X4Y90 NUM_INPUTS 32 SITEPROP SLICE_X4Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y90 NUM_PINS 45 SITEPROP SLICE_X4Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y90 PROHIBIT 0 SITEPROP SLICE_X4Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y90 RPM_X 25 SITEPROP SLICE_X4Y90 RPM_Y 180 SITEPROP SLICE_X4Y90 SITE_PIPS SITEPROP SLICE_X4Y90 SITE_TYPE SLICEL SITEPROP SLICE_X4Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y91 CLASS site SITEPROP SLICE_X4Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y91 IS_BONDED 0 SITEPROP SLICE_X4Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y91 IS_PAD 0 SITEPROP SLICE_X4Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y91 IS_RESERVED 0 SITEPROP SLICE_X4Y91 IS_TEST 0 SITEPROP SLICE_X4Y91 IS_USED 0 SITEPROP SLICE_X4Y91 MANUAL_ROUTING SITEPROP SLICE_X4Y91 NAME SLICE_X4Y91 SITEPROP SLICE_X4Y91 NUM_ARCS 138 SITEPROP SLICE_X4Y91 NUM_BELS 32 SITEPROP SLICE_X4Y91 NUM_INPUTS 32 SITEPROP SLICE_X4Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y91 NUM_PINS 45 SITEPROP SLICE_X4Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y91 PROHIBIT 0 SITEPROP SLICE_X4Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y91 RPM_X 25 SITEPROP SLICE_X4Y91 RPM_Y 182 SITEPROP SLICE_X4Y91 SITE_PIPS SITEPROP SLICE_X4Y91 SITE_TYPE SLICEL SITEPROP SLICE_X4Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y92 CLASS site SITEPROP SLICE_X4Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y92 IS_BONDED 0 SITEPROP SLICE_X4Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y92 IS_PAD 0 SITEPROP SLICE_X4Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y92 IS_RESERVED 0 SITEPROP SLICE_X4Y92 IS_TEST 0 SITEPROP SLICE_X4Y92 IS_USED 0 SITEPROP SLICE_X4Y92 MANUAL_ROUTING SITEPROP SLICE_X4Y92 NAME SLICE_X4Y92 SITEPROP SLICE_X4Y92 NUM_ARCS 138 SITEPROP SLICE_X4Y92 NUM_BELS 32 SITEPROP SLICE_X4Y92 NUM_INPUTS 32 SITEPROP SLICE_X4Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y92 NUM_PINS 45 SITEPROP SLICE_X4Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y92 PROHIBIT 0 SITEPROP SLICE_X4Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y92 RPM_X 25 SITEPROP SLICE_X4Y92 RPM_Y 184 SITEPROP SLICE_X4Y92 SITE_PIPS SITEPROP SLICE_X4Y92 SITE_TYPE SLICEL SITEPROP SLICE_X4Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y93 CLASS site SITEPROP SLICE_X4Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y93 IS_BONDED 0 SITEPROP SLICE_X4Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y93 IS_PAD 0 SITEPROP SLICE_X4Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y93 IS_RESERVED 0 SITEPROP SLICE_X4Y93 IS_TEST 0 SITEPROP SLICE_X4Y93 IS_USED 0 SITEPROP SLICE_X4Y93 MANUAL_ROUTING SITEPROP SLICE_X4Y93 NAME SLICE_X4Y93 SITEPROP SLICE_X4Y93 NUM_ARCS 138 SITEPROP SLICE_X4Y93 NUM_BELS 32 SITEPROP SLICE_X4Y93 NUM_INPUTS 32 SITEPROP SLICE_X4Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y93 NUM_PINS 45 SITEPROP SLICE_X4Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y93 PROHIBIT 0 SITEPROP SLICE_X4Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y93 RPM_X 25 SITEPROP SLICE_X4Y93 RPM_Y 186 SITEPROP SLICE_X4Y93 SITE_PIPS SITEPROP SLICE_X4Y93 SITE_TYPE SLICEL SITEPROP SLICE_X4Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y94 CLASS site SITEPROP SLICE_X4Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y94 IS_BONDED 0 SITEPROP SLICE_X4Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y94 IS_PAD 0 SITEPROP SLICE_X4Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y94 IS_RESERVED 0 SITEPROP SLICE_X4Y94 IS_TEST 0 SITEPROP SLICE_X4Y94 IS_USED 0 SITEPROP SLICE_X4Y94 MANUAL_ROUTING SITEPROP SLICE_X4Y94 NAME SLICE_X4Y94 SITEPROP SLICE_X4Y94 NUM_ARCS 138 SITEPROP SLICE_X4Y94 NUM_BELS 32 SITEPROP SLICE_X4Y94 NUM_INPUTS 32 SITEPROP SLICE_X4Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y94 NUM_PINS 45 SITEPROP SLICE_X4Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y94 PROHIBIT 0 SITEPROP SLICE_X4Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y94 RPM_X 25 SITEPROP SLICE_X4Y94 RPM_Y 188 SITEPROP SLICE_X4Y94 SITE_PIPS SITEPROP SLICE_X4Y94 SITE_TYPE SLICEL SITEPROP SLICE_X4Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y95 CLASS site SITEPROP SLICE_X4Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y95 IS_BONDED 0 SITEPROP SLICE_X4Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y95 IS_PAD 0 SITEPROP SLICE_X4Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y95 IS_RESERVED 0 SITEPROP SLICE_X4Y95 IS_TEST 0 SITEPROP SLICE_X4Y95 IS_USED 0 SITEPROP SLICE_X4Y95 MANUAL_ROUTING SITEPROP SLICE_X4Y95 NAME SLICE_X4Y95 SITEPROP SLICE_X4Y95 NUM_ARCS 138 SITEPROP SLICE_X4Y95 NUM_BELS 32 SITEPROP SLICE_X4Y95 NUM_INPUTS 32 SITEPROP SLICE_X4Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y95 NUM_PINS 45 SITEPROP SLICE_X4Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y95 PROHIBIT 0 SITEPROP SLICE_X4Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y95 RPM_X 25 SITEPROP SLICE_X4Y95 RPM_Y 190 SITEPROP SLICE_X4Y95 SITE_PIPS SITEPROP SLICE_X4Y95 SITE_TYPE SLICEL SITEPROP SLICE_X4Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y96 CLASS site SITEPROP SLICE_X4Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y96 IS_BONDED 0 SITEPROP SLICE_X4Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y96 IS_PAD 0 SITEPROP SLICE_X4Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y96 IS_RESERVED 0 SITEPROP SLICE_X4Y96 IS_TEST 0 SITEPROP SLICE_X4Y96 IS_USED 0 SITEPROP SLICE_X4Y96 MANUAL_ROUTING SITEPROP SLICE_X4Y96 NAME SLICE_X4Y96 SITEPROP SLICE_X4Y96 NUM_ARCS 138 SITEPROP SLICE_X4Y96 NUM_BELS 32 SITEPROP SLICE_X4Y96 NUM_INPUTS 32 SITEPROP SLICE_X4Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y96 NUM_PINS 45 SITEPROP SLICE_X4Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y96 PROHIBIT 0 SITEPROP SLICE_X4Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y96 RPM_X 25 SITEPROP SLICE_X4Y96 RPM_Y 192 SITEPROP SLICE_X4Y96 SITE_PIPS SITEPROP SLICE_X4Y96 SITE_TYPE SLICEL SITEPROP SLICE_X4Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y97 CLASS site SITEPROP SLICE_X4Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y97 IS_BONDED 0 SITEPROP SLICE_X4Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y97 IS_PAD 0 SITEPROP SLICE_X4Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y97 IS_RESERVED 0 SITEPROP SLICE_X4Y97 IS_TEST 0 SITEPROP SLICE_X4Y97 IS_USED 0 SITEPROP SLICE_X4Y97 MANUAL_ROUTING SITEPROP SLICE_X4Y97 NAME SLICE_X4Y97 SITEPROP SLICE_X4Y97 NUM_ARCS 138 SITEPROP SLICE_X4Y97 NUM_BELS 32 SITEPROP SLICE_X4Y97 NUM_INPUTS 32 SITEPROP SLICE_X4Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y97 NUM_PINS 45 SITEPROP SLICE_X4Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y97 PROHIBIT 0 SITEPROP SLICE_X4Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y97 RPM_X 25 SITEPROP SLICE_X4Y97 RPM_Y 194 SITEPROP SLICE_X4Y97 SITE_PIPS SITEPROP SLICE_X4Y97 SITE_TYPE SLICEL SITEPROP SLICE_X4Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y98 CLASS site SITEPROP SLICE_X4Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y98 IS_BONDED 0 SITEPROP SLICE_X4Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y98 IS_PAD 0 SITEPROP SLICE_X4Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y98 IS_RESERVED 0 SITEPROP SLICE_X4Y98 IS_TEST 0 SITEPROP SLICE_X4Y98 IS_USED 0 SITEPROP SLICE_X4Y98 MANUAL_ROUTING SITEPROP SLICE_X4Y98 NAME SLICE_X4Y98 SITEPROP SLICE_X4Y98 NUM_ARCS 138 SITEPROP SLICE_X4Y98 NUM_BELS 32 SITEPROP SLICE_X4Y98 NUM_INPUTS 32 SITEPROP SLICE_X4Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y98 NUM_PINS 45 SITEPROP SLICE_X4Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y98 PROHIBIT 0 SITEPROP SLICE_X4Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y98 RPM_X 25 SITEPROP SLICE_X4Y98 RPM_Y 196 SITEPROP SLICE_X4Y98 SITE_PIPS SITEPROP SLICE_X4Y98 SITE_TYPE SLICEL SITEPROP SLICE_X4Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y99 CLASS site SITEPROP SLICE_X4Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X4Y99 IS_BONDED 0 SITEPROP SLICE_X4Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y99 IS_PAD 0 SITEPROP SLICE_X4Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y99 IS_RESERVED 0 SITEPROP SLICE_X4Y99 IS_TEST 0 SITEPROP SLICE_X4Y99 IS_USED 0 SITEPROP SLICE_X4Y99 MANUAL_ROUTING SITEPROP SLICE_X4Y99 NAME SLICE_X4Y99 SITEPROP SLICE_X4Y99 NUM_ARCS 138 SITEPROP SLICE_X4Y99 NUM_BELS 32 SITEPROP SLICE_X4Y99 NUM_INPUTS 32 SITEPROP SLICE_X4Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y99 NUM_PINS 45 SITEPROP SLICE_X4Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y99 PROHIBIT 0 SITEPROP SLICE_X4Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y99 RPM_X 25 SITEPROP SLICE_X4Y99 RPM_Y 198 SITEPROP SLICE_X4Y99 SITE_PIPS SITEPROP SLICE_X4Y99 SITE_TYPE SLICEL SITEPROP SLICE_X4Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y100 CLASS site SITEPROP SLICE_X4Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y100 IS_BONDED 0 SITEPROP SLICE_X4Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y100 IS_PAD 0 SITEPROP SLICE_X4Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y100 IS_RESERVED 0 SITEPROP SLICE_X4Y100 IS_TEST 0 SITEPROP SLICE_X4Y100 IS_USED 0 SITEPROP SLICE_X4Y100 MANUAL_ROUTING SITEPROP SLICE_X4Y100 NAME SLICE_X4Y100 SITEPROP SLICE_X4Y100 NUM_ARCS 138 SITEPROP SLICE_X4Y100 NUM_BELS 32 SITEPROP SLICE_X4Y100 NUM_INPUTS 32 SITEPROP SLICE_X4Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y100 NUM_PINS 45 SITEPROP SLICE_X4Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y100 PROHIBIT 0 SITEPROP SLICE_X4Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y100 RPM_X 25 SITEPROP SLICE_X4Y100 RPM_Y 200 SITEPROP SLICE_X4Y100 SITE_PIPS SITEPROP SLICE_X4Y100 SITE_TYPE SLICEL SITEPROP SLICE_X4Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y101 CLASS site SITEPROP SLICE_X4Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y101 IS_BONDED 0 SITEPROP SLICE_X4Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y101 IS_PAD 0 SITEPROP SLICE_X4Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y101 IS_RESERVED 0 SITEPROP SLICE_X4Y101 IS_TEST 0 SITEPROP SLICE_X4Y101 IS_USED 0 SITEPROP SLICE_X4Y101 MANUAL_ROUTING SITEPROP SLICE_X4Y101 NAME SLICE_X4Y101 SITEPROP SLICE_X4Y101 NUM_ARCS 138 SITEPROP SLICE_X4Y101 NUM_BELS 32 SITEPROP SLICE_X4Y101 NUM_INPUTS 32 SITEPROP SLICE_X4Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y101 NUM_PINS 45 SITEPROP SLICE_X4Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y101 PROHIBIT 0 SITEPROP SLICE_X4Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y101 RPM_X 25 SITEPROP SLICE_X4Y101 RPM_Y 202 SITEPROP SLICE_X4Y101 SITE_PIPS SITEPROP SLICE_X4Y101 SITE_TYPE SLICEL SITEPROP SLICE_X4Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y102 CLASS site SITEPROP SLICE_X4Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y102 IS_BONDED 0 SITEPROP SLICE_X4Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y102 IS_PAD 0 SITEPROP SLICE_X4Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y102 IS_RESERVED 0 SITEPROP SLICE_X4Y102 IS_TEST 0 SITEPROP SLICE_X4Y102 IS_USED 0 SITEPROP SLICE_X4Y102 MANUAL_ROUTING SITEPROP SLICE_X4Y102 NAME SLICE_X4Y102 SITEPROP SLICE_X4Y102 NUM_ARCS 138 SITEPROP SLICE_X4Y102 NUM_BELS 32 SITEPROP SLICE_X4Y102 NUM_INPUTS 32 SITEPROP SLICE_X4Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y102 NUM_PINS 45 SITEPROP SLICE_X4Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y102 PROHIBIT 0 SITEPROP SLICE_X4Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y102 RPM_X 25 SITEPROP SLICE_X4Y102 RPM_Y 204 SITEPROP SLICE_X4Y102 SITE_PIPS SITEPROP SLICE_X4Y102 SITE_TYPE SLICEL SITEPROP SLICE_X4Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y103 CLASS site SITEPROP SLICE_X4Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y103 IS_BONDED 0 SITEPROP SLICE_X4Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y103 IS_PAD 0 SITEPROP SLICE_X4Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y103 IS_RESERVED 0 SITEPROP SLICE_X4Y103 IS_TEST 0 SITEPROP SLICE_X4Y103 IS_USED 0 SITEPROP SLICE_X4Y103 MANUAL_ROUTING SITEPROP SLICE_X4Y103 NAME SLICE_X4Y103 SITEPROP SLICE_X4Y103 NUM_ARCS 138 SITEPROP SLICE_X4Y103 NUM_BELS 32 SITEPROP SLICE_X4Y103 NUM_INPUTS 32 SITEPROP SLICE_X4Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y103 NUM_PINS 45 SITEPROP SLICE_X4Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y103 PROHIBIT 0 SITEPROP SLICE_X4Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y103 RPM_X 25 SITEPROP SLICE_X4Y103 RPM_Y 206 SITEPROP SLICE_X4Y103 SITE_PIPS SITEPROP SLICE_X4Y103 SITE_TYPE SLICEL SITEPROP SLICE_X4Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y104 CLASS site SITEPROP SLICE_X4Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y104 IS_BONDED 0 SITEPROP SLICE_X4Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y104 IS_PAD 0 SITEPROP SLICE_X4Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y104 IS_RESERVED 0 SITEPROP SLICE_X4Y104 IS_TEST 0 SITEPROP SLICE_X4Y104 IS_USED 0 SITEPROP SLICE_X4Y104 MANUAL_ROUTING SITEPROP SLICE_X4Y104 NAME SLICE_X4Y104 SITEPROP SLICE_X4Y104 NUM_ARCS 138 SITEPROP SLICE_X4Y104 NUM_BELS 32 SITEPROP SLICE_X4Y104 NUM_INPUTS 32 SITEPROP SLICE_X4Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y104 NUM_PINS 45 SITEPROP SLICE_X4Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y104 PROHIBIT 0 SITEPROP SLICE_X4Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y104 RPM_X 25 SITEPROP SLICE_X4Y104 RPM_Y 208 SITEPROP SLICE_X4Y104 SITE_PIPS SITEPROP SLICE_X4Y104 SITE_TYPE SLICEL SITEPROP SLICE_X4Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y105 CLASS site SITEPROP SLICE_X4Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y105 IS_BONDED 0 SITEPROP SLICE_X4Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y105 IS_PAD 0 SITEPROP SLICE_X4Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y105 IS_RESERVED 0 SITEPROP SLICE_X4Y105 IS_TEST 0 SITEPROP SLICE_X4Y105 IS_USED 0 SITEPROP SLICE_X4Y105 MANUAL_ROUTING SITEPROP SLICE_X4Y105 NAME SLICE_X4Y105 SITEPROP SLICE_X4Y105 NUM_ARCS 138 SITEPROP SLICE_X4Y105 NUM_BELS 32 SITEPROP SLICE_X4Y105 NUM_INPUTS 32 SITEPROP SLICE_X4Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y105 NUM_PINS 45 SITEPROP SLICE_X4Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y105 PROHIBIT 0 SITEPROP SLICE_X4Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y105 RPM_X 25 SITEPROP SLICE_X4Y105 RPM_Y 210 SITEPROP SLICE_X4Y105 SITE_PIPS SITEPROP SLICE_X4Y105 SITE_TYPE SLICEL SITEPROP SLICE_X4Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y106 CLASS site SITEPROP SLICE_X4Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y106 IS_BONDED 0 SITEPROP SLICE_X4Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y106 IS_PAD 0 SITEPROP SLICE_X4Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y106 IS_RESERVED 0 SITEPROP SLICE_X4Y106 IS_TEST 0 SITEPROP SLICE_X4Y106 IS_USED 0 SITEPROP SLICE_X4Y106 MANUAL_ROUTING SITEPROP SLICE_X4Y106 NAME SLICE_X4Y106 SITEPROP SLICE_X4Y106 NUM_ARCS 138 SITEPROP SLICE_X4Y106 NUM_BELS 32 SITEPROP SLICE_X4Y106 NUM_INPUTS 32 SITEPROP SLICE_X4Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y106 NUM_PINS 45 SITEPROP SLICE_X4Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y106 PROHIBIT 0 SITEPROP SLICE_X4Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y106 RPM_X 25 SITEPROP SLICE_X4Y106 RPM_Y 212 SITEPROP SLICE_X4Y106 SITE_PIPS SITEPROP SLICE_X4Y106 SITE_TYPE SLICEL SITEPROP SLICE_X4Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y107 CLASS site SITEPROP SLICE_X4Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y107 IS_BONDED 0 SITEPROP SLICE_X4Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y107 IS_PAD 0 SITEPROP SLICE_X4Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y107 IS_RESERVED 0 SITEPROP SLICE_X4Y107 IS_TEST 0 SITEPROP SLICE_X4Y107 IS_USED 0 SITEPROP SLICE_X4Y107 MANUAL_ROUTING SITEPROP SLICE_X4Y107 NAME SLICE_X4Y107 SITEPROP SLICE_X4Y107 NUM_ARCS 138 SITEPROP SLICE_X4Y107 NUM_BELS 32 SITEPROP SLICE_X4Y107 NUM_INPUTS 32 SITEPROP SLICE_X4Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y107 NUM_PINS 45 SITEPROP SLICE_X4Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y107 PROHIBIT 0 SITEPROP SLICE_X4Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y107 RPM_X 25 SITEPROP SLICE_X4Y107 RPM_Y 214 SITEPROP SLICE_X4Y107 SITE_PIPS SITEPROP SLICE_X4Y107 SITE_TYPE SLICEL SITEPROP SLICE_X4Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y108 CLASS site SITEPROP SLICE_X4Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y108 IS_BONDED 0 SITEPROP SLICE_X4Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y108 IS_PAD 0 SITEPROP SLICE_X4Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y108 IS_RESERVED 0 SITEPROP SLICE_X4Y108 IS_TEST 0 SITEPROP SLICE_X4Y108 IS_USED 0 SITEPROP SLICE_X4Y108 MANUAL_ROUTING SITEPROP SLICE_X4Y108 NAME SLICE_X4Y108 SITEPROP SLICE_X4Y108 NUM_ARCS 138 SITEPROP SLICE_X4Y108 NUM_BELS 32 SITEPROP SLICE_X4Y108 NUM_INPUTS 32 SITEPROP SLICE_X4Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y108 NUM_PINS 45 SITEPROP SLICE_X4Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y108 PROHIBIT 0 SITEPROP SLICE_X4Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y108 RPM_X 25 SITEPROP SLICE_X4Y108 RPM_Y 216 SITEPROP SLICE_X4Y108 SITE_PIPS SITEPROP SLICE_X4Y108 SITE_TYPE SLICEL SITEPROP SLICE_X4Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y109 CLASS site SITEPROP SLICE_X4Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y109 IS_BONDED 0 SITEPROP SLICE_X4Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y109 IS_PAD 0 SITEPROP SLICE_X4Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y109 IS_RESERVED 0 SITEPROP SLICE_X4Y109 IS_TEST 0 SITEPROP SLICE_X4Y109 IS_USED 0 SITEPROP SLICE_X4Y109 MANUAL_ROUTING SITEPROP SLICE_X4Y109 NAME SLICE_X4Y109 SITEPROP SLICE_X4Y109 NUM_ARCS 138 SITEPROP SLICE_X4Y109 NUM_BELS 32 SITEPROP SLICE_X4Y109 NUM_INPUTS 32 SITEPROP SLICE_X4Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y109 NUM_PINS 45 SITEPROP SLICE_X4Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y109 PROHIBIT 0 SITEPROP SLICE_X4Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y109 RPM_X 25 SITEPROP SLICE_X4Y109 RPM_Y 218 SITEPROP SLICE_X4Y109 SITE_PIPS SITEPROP SLICE_X4Y109 SITE_TYPE SLICEL SITEPROP SLICE_X4Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y110 CLASS site SITEPROP SLICE_X4Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y110 IS_BONDED 0 SITEPROP SLICE_X4Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y110 IS_PAD 0 SITEPROP SLICE_X4Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y110 IS_RESERVED 0 SITEPROP SLICE_X4Y110 IS_TEST 0 SITEPROP SLICE_X4Y110 IS_USED 0 SITEPROP SLICE_X4Y110 MANUAL_ROUTING SITEPROP SLICE_X4Y110 NAME SLICE_X4Y110 SITEPROP SLICE_X4Y110 NUM_ARCS 138 SITEPROP SLICE_X4Y110 NUM_BELS 32 SITEPROP SLICE_X4Y110 NUM_INPUTS 32 SITEPROP SLICE_X4Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y110 NUM_PINS 45 SITEPROP SLICE_X4Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y110 PROHIBIT 0 SITEPROP SLICE_X4Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y110 RPM_X 25 SITEPROP SLICE_X4Y110 RPM_Y 220 SITEPROP SLICE_X4Y110 SITE_PIPS SITEPROP SLICE_X4Y110 SITE_TYPE SLICEL SITEPROP SLICE_X4Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y111 CLASS site SITEPROP SLICE_X4Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y111 IS_BONDED 0 SITEPROP SLICE_X4Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y111 IS_PAD 0 SITEPROP SLICE_X4Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y111 IS_RESERVED 0 SITEPROP SLICE_X4Y111 IS_TEST 0 SITEPROP SLICE_X4Y111 IS_USED 0 SITEPROP SLICE_X4Y111 MANUAL_ROUTING SITEPROP SLICE_X4Y111 NAME SLICE_X4Y111 SITEPROP SLICE_X4Y111 NUM_ARCS 138 SITEPROP SLICE_X4Y111 NUM_BELS 32 SITEPROP SLICE_X4Y111 NUM_INPUTS 32 SITEPROP SLICE_X4Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y111 NUM_PINS 45 SITEPROP SLICE_X4Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y111 PROHIBIT 0 SITEPROP SLICE_X4Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y111 RPM_X 25 SITEPROP SLICE_X4Y111 RPM_Y 222 SITEPROP SLICE_X4Y111 SITE_PIPS SITEPROP SLICE_X4Y111 SITE_TYPE SLICEL SITEPROP SLICE_X4Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y112 CLASS site SITEPROP SLICE_X4Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y112 IS_BONDED 0 SITEPROP SLICE_X4Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y112 IS_PAD 0 SITEPROP SLICE_X4Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y112 IS_RESERVED 0 SITEPROP SLICE_X4Y112 IS_TEST 0 SITEPROP SLICE_X4Y112 IS_USED 0 SITEPROP SLICE_X4Y112 MANUAL_ROUTING SITEPROP SLICE_X4Y112 NAME SLICE_X4Y112 SITEPROP SLICE_X4Y112 NUM_ARCS 138 SITEPROP SLICE_X4Y112 NUM_BELS 32 SITEPROP SLICE_X4Y112 NUM_INPUTS 32 SITEPROP SLICE_X4Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y112 NUM_PINS 45 SITEPROP SLICE_X4Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y112 PROHIBIT 0 SITEPROP SLICE_X4Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y112 RPM_X 25 SITEPROP SLICE_X4Y112 RPM_Y 224 SITEPROP SLICE_X4Y112 SITE_PIPS SITEPROP SLICE_X4Y112 SITE_TYPE SLICEL SITEPROP SLICE_X4Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y113 CLASS site SITEPROP SLICE_X4Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y113 IS_BONDED 0 SITEPROP SLICE_X4Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y113 IS_PAD 0 SITEPROP SLICE_X4Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y113 IS_RESERVED 0 SITEPROP SLICE_X4Y113 IS_TEST 0 SITEPROP SLICE_X4Y113 IS_USED 0 SITEPROP SLICE_X4Y113 MANUAL_ROUTING SITEPROP SLICE_X4Y113 NAME SLICE_X4Y113 SITEPROP SLICE_X4Y113 NUM_ARCS 138 SITEPROP SLICE_X4Y113 NUM_BELS 32 SITEPROP SLICE_X4Y113 NUM_INPUTS 32 SITEPROP SLICE_X4Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y113 NUM_PINS 45 SITEPROP SLICE_X4Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y113 PROHIBIT 0 SITEPROP SLICE_X4Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y113 RPM_X 25 SITEPROP SLICE_X4Y113 RPM_Y 226 SITEPROP SLICE_X4Y113 SITE_PIPS SITEPROP SLICE_X4Y113 SITE_TYPE SLICEL SITEPROP SLICE_X4Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y114 CLASS site SITEPROP SLICE_X4Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y114 IS_BONDED 0 SITEPROP SLICE_X4Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y114 IS_PAD 0 SITEPROP SLICE_X4Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y114 IS_RESERVED 0 SITEPROP SLICE_X4Y114 IS_TEST 0 SITEPROP SLICE_X4Y114 IS_USED 0 SITEPROP SLICE_X4Y114 MANUAL_ROUTING SITEPROP SLICE_X4Y114 NAME SLICE_X4Y114 SITEPROP SLICE_X4Y114 NUM_ARCS 138 SITEPROP SLICE_X4Y114 NUM_BELS 32 SITEPROP SLICE_X4Y114 NUM_INPUTS 32 SITEPROP SLICE_X4Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y114 NUM_PINS 45 SITEPROP SLICE_X4Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y114 PROHIBIT 0 SITEPROP SLICE_X4Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y114 RPM_X 25 SITEPROP SLICE_X4Y114 RPM_Y 228 SITEPROP SLICE_X4Y114 SITE_PIPS SITEPROP SLICE_X4Y114 SITE_TYPE SLICEL SITEPROP SLICE_X4Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y115 CLASS site SITEPROP SLICE_X4Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y115 IS_BONDED 0 SITEPROP SLICE_X4Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y115 IS_PAD 0 SITEPROP SLICE_X4Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y115 IS_RESERVED 0 SITEPROP SLICE_X4Y115 IS_TEST 0 SITEPROP SLICE_X4Y115 IS_USED 0 SITEPROP SLICE_X4Y115 MANUAL_ROUTING SITEPROP SLICE_X4Y115 NAME SLICE_X4Y115 SITEPROP SLICE_X4Y115 NUM_ARCS 138 SITEPROP SLICE_X4Y115 NUM_BELS 32 SITEPROP SLICE_X4Y115 NUM_INPUTS 32 SITEPROP SLICE_X4Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y115 NUM_PINS 45 SITEPROP SLICE_X4Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y115 PROHIBIT 0 SITEPROP SLICE_X4Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y115 RPM_X 25 SITEPROP SLICE_X4Y115 RPM_Y 230 SITEPROP SLICE_X4Y115 SITE_PIPS SITEPROP SLICE_X4Y115 SITE_TYPE SLICEL SITEPROP SLICE_X4Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y116 CLASS site SITEPROP SLICE_X4Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y116 IS_BONDED 0 SITEPROP SLICE_X4Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y116 IS_PAD 0 SITEPROP SLICE_X4Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y116 IS_RESERVED 0 SITEPROP SLICE_X4Y116 IS_TEST 0 SITEPROP SLICE_X4Y116 IS_USED 0 SITEPROP SLICE_X4Y116 MANUAL_ROUTING SITEPROP SLICE_X4Y116 NAME SLICE_X4Y116 SITEPROP SLICE_X4Y116 NUM_ARCS 138 SITEPROP SLICE_X4Y116 NUM_BELS 32 SITEPROP SLICE_X4Y116 NUM_INPUTS 32 SITEPROP SLICE_X4Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y116 NUM_PINS 45 SITEPROP SLICE_X4Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y116 PROHIBIT 0 SITEPROP SLICE_X4Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y116 RPM_X 25 SITEPROP SLICE_X4Y116 RPM_Y 232 SITEPROP SLICE_X4Y116 SITE_PIPS SITEPROP SLICE_X4Y116 SITE_TYPE SLICEL SITEPROP SLICE_X4Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y117 CLASS site SITEPROP SLICE_X4Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y117 IS_BONDED 0 SITEPROP SLICE_X4Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y117 IS_PAD 0 SITEPROP SLICE_X4Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y117 IS_RESERVED 0 SITEPROP SLICE_X4Y117 IS_TEST 0 SITEPROP SLICE_X4Y117 IS_USED 0 SITEPROP SLICE_X4Y117 MANUAL_ROUTING SITEPROP SLICE_X4Y117 NAME SLICE_X4Y117 SITEPROP SLICE_X4Y117 NUM_ARCS 138 SITEPROP SLICE_X4Y117 NUM_BELS 32 SITEPROP SLICE_X4Y117 NUM_INPUTS 32 SITEPROP SLICE_X4Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y117 NUM_PINS 45 SITEPROP SLICE_X4Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y117 PROHIBIT 0 SITEPROP SLICE_X4Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y117 RPM_X 25 SITEPROP SLICE_X4Y117 RPM_Y 234 SITEPROP SLICE_X4Y117 SITE_PIPS SITEPROP SLICE_X4Y117 SITE_TYPE SLICEL SITEPROP SLICE_X4Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y118 CLASS site SITEPROP SLICE_X4Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y118 IS_BONDED 0 SITEPROP SLICE_X4Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y118 IS_PAD 0 SITEPROP SLICE_X4Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y118 IS_RESERVED 0 SITEPROP SLICE_X4Y118 IS_TEST 0 SITEPROP SLICE_X4Y118 IS_USED 0 SITEPROP SLICE_X4Y118 MANUAL_ROUTING SITEPROP SLICE_X4Y118 NAME SLICE_X4Y118 SITEPROP SLICE_X4Y118 NUM_ARCS 138 SITEPROP SLICE_X4Y118 NUM_BELS 32 SITEPROP SLICE_X4Y118 NUM_INPUTS 32 SITEPROP SLICE_X4Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y118 NUM_PINS 45 SITEPROP SLICE_X4Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y118 PROHIBIT 0 SITEPROP SLICE_X4Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y118 RPM_X 25 SITEPROP SLICE_X4Y118 RPM_Y 236 SITEPROP SLICE_X4Y118 SITE_PIPS SITEPROP SLICE_X4Y118 SITE_TYPE SLICEL SITEPROP SLICE_X4Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y119 CLASS site SITEPROP SLICE_X4Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y119 IS_BONDED 0 SITEPROP SLICE_X4Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y119 IS_PAD 0 SITEPROP SLICE_X4Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y119 IS_RESERVED 0 SITEPROP SLICE_X4Y119 IS_TEST 0 SITEPROP SLICE_X4Y119 IS_USED 0 SITEPROP SLICE_X4Y119 MANUAL_ROUTING SITEPROP SLICE_X4Y119 NAME SLICE_X4Y119 SITEPROP SLICE_X4Y119 NUM_ARCS 138 SITEPROP SLICE_X4Y119 NUM_BELS 32 SITEPROP SLICE_X4Y119 NUM_INPUTS 32 SITEPROP SLICE_X4Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y119 NUM_PINS 45 SITEPROP SLICE_X4Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y119 PROHIBIT 0 SITEPROP SLICE_X4Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y119 RPM_X 25 SITEPROP SLICE_X4Y119 RPM_Y 238 SITEPROP SLICE_X4Y119 SITE_PIPS SITEPROP SLICE_X4Y119 SITE_TYPE SLICEL SITEPROP SLICE_X4Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y120 CLASS site SITEPROP SLICE_X4Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y120 IS_BONDED 0 SITEPROP SLICE_X4Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y120 IS_PAD 0 SITEPROP SLICE_X4Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y120 IS_RESERVED 0 SITEPROP SLICE_X4Y120 IS_TEST 0 SITEPROP SLICE_X4Y120 IS_USED 0 SITEPROP SLICE_X4Y120 MANUAL_ROUTING SITEPROP SLICE_X4Y120 NAME SLICE_X4Y120 SITEPROP SLICE_X4Y120 NUM_ARCS 138 SITEPROP SLICE_X4Y120 NUM_BELS 32 SITEPROP SLICE_X4Y120 NUM_INPUTS 32 SITEPROP SLICE_X4Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y120 NUM_PINS 45 SITEPROP SLICE_X4Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y120 PROHIBIT 0 SITEPROP SLICE_X4Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y120 RPM_X 25 SITEPROP SLICE_X4Y120 RPM_Y 240 SITEPROP SLICE_X4Y120 SITE_PIPS SITEPROP SLICE_X4Y120 SITE_TYPE SLICEL SITEPROP SLICE_X4Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y121 CLASS site SITEPROP SLICE_X4Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y121 IS_BONDED 0 SITEPROP SLICE_X4Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y121 IS_PAD 0 SITEPROP SLICE_X4Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y121 IS_RESERVED 0 SITEPROP SLICE_X4Y121 IS_TEST 0 SITEPROP SLICE_X4Y121 IS_USED 0 SITEPROP SLICE_X4Y121 MANUAL_ROUTING SITEPROP SLICE_X4Y121 NAME SLICE_X4Y121 SITEPROP SLICE_X4Y121 NUM_ARCS 138 SITEPROP SLICE_X4Y121 NUM_BELS 32 SITEPROP SLICE_X4Y121 NUM_INPUTS 32 SITEPROP SLICE_X4Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y121 NUM_PINS 45 SITEPROP SLICE_X4Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y121 PROHIBIT 0 SITEPROP SLICE_X4Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y121 RPM_X 25 SITEPROP SLICE_X4Y121 RPM_Y 242 SITEPROP SLICE_X4Y121 SITE_PIPS SITEPROP SLICE_X4Y121 SITE_TYPE SLICEL SITEPROP SLICE_X4Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y122 CLASS site SITEPROP SLICE_X4Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y122 IS_BONDED 0 SITEPROP SLICE_X4Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y122 IS_PAD 0 SITEPROP SLICE_X4Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y122 IS_RESERVED 0 SITEPROP SLICE_X4Y122 IS_TEST 0 SITEPROP SLICE_X4Y122 IS_USED 0 SITEPROP SLICE_X4Y122 MANUAL_ROUTING SITEPROP SLICE_X4Y122 NAME SLICE_X4Y122 SITEPROP SLICE_X4Y122 NUM_ARCS 138 SITEPROP SLICE_X4Y122 NUM_BELS 32 SITEPROP SLICE_X4Y122 NUM_INPUTS 32 SITEPROP SLICE_X4Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y122 NUM_PINS 45 SITEPROP SLICE_X4Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y122 PROHIBIT 0 SITEPROP SLICE_X4Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y122 RPM_X 25 SITEPROP SLICE_X4Y122 RPM_Y 244 SITEPROP SLICE_X4Y122 SITE_PIPS SITEPROP SLICE_X4Y122 SITE_TYPE SLICEL SITEPROP SLICE_X4Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y123 CLASS site SITEPROP SLICE_X4Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y123 IS_BONDED 0 SITEPROP SLICE_X4Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y123 IS_PAD 0 SITEPROP SLICE_X4Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y123 IS_RESERVED 0 SITEPROP SLICE_X4Y123 IS_TEST 0 SITEPROP SLICE_X4Y123 IS_USED 0 SITEPROP SLICE_X4Y123 MANUAL_ROUTING SITEPROP SLICE_X4Y123 NAME SLICE_X4Y123 SITEPROP SLICE_X4Y123 NUM_ARCS 138 SITEPROP SLICE_X4Y123 NUM_BELS 32 SITEPROP SLICE_X4Y123 NUM_INPUTS 32 SITEPROP SLICE_X4Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y123 NUM_PINS 45 SITEPROP SLICE_X4Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y123 PROHIBIT 0 SITEPROP SLICE_X4Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y123 RPM_X 25 SITEPROP SLICE_X4Y123 RPM_Y 246 SITEPROP SLICE_X4Y123 SITE_PIPS SITEPROP SLICE_X4Y123 SITE_TYPE SLICEL SITEPROP SLICE_X4Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y124 CLASS site SITEPROP SLICE_X4Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y124 IS_BONDED 0 SITEPROP SLICE_X4Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y124 IS_PAD 0 SITEPROP SLICE_X4Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y124 IS_RESERVED 0 SITEPROP SLICE_X4Y124 IS_TEST 0 SITEPROP SLICE_X4Y124 IS_USED 0 SITEPROP SLICE_X4Y124 MANUAL_ROUTING SITEPROP SLICE_X4Y124 NAME SLICE_X4Y124 SITEPROP SLICE_X4Y124 NUM_ARCS 138 SITEPROP SLICE_X4Y124 NUM_BELS 32 SITEPROP SLICE_X4Y124 NUM_INPUTS 32 SITEPROP SLICE_X4Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y124 NUM_PINS 45 SITEPROP SLICE_X4Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y124 PROHIBIT 0 SITEPROP SLICE_X4Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y124 RPM_X 25 SITEPROP SLICE_X4Y124 RPM_Y 248 SITEPROP SLICE_X4Y124 SITE_PIPS SITEPROP SLICE_X4Y124 SITE_TYPE SLICEL SITEPROP SLICE_X4Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y125 CLASS site SITEPROP SLICE_X4Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y125 IS_BONDED 0 SITEPROP SLICE_X4Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y125 IS_PAD 0 SITEPROP SLICE_X4Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y125 IS_RESERVED 0 SITEPROP SLICE_X4Y125 IS_TEST 0 SITEPROP SLICE_X4Y125 IS_USED 0 SITEPROP SLICE_X4Y125 MANUAL_ROUTING SITEPROP SLICE_X4Y125 NAME SLICE_X4Y125 SITEPROP SLICE_X4Y125 NUM_ARCS 138 SITEPROP SLICE_X4Y125 NUM_BELS 32 SITEPROP SLICE_X4Y125 NUM_INPUTS 32 SITEPROP SLICE_X4Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y125 NUM_PINS 45 SITEPROP SLICE_X4Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y125 PROHIBIT 0 SITEPROP SLICE_X4Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y125 RPM_X 25 SITEPROP SLICE_X4Y125 RPM_Y 250 SITEPROP SLICE_X4Y125 SITE_PIPS SITEPROP SLICE_X4Y125 SITE_TYPE SLICEL SITEPROP SLICE_X4Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y126 CLASS site SITEPROP SLICE_X4Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y126 IS_BONDED 0 SITEPROP SLICE_X4Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y126 IS_PAD 0 SITEPROP SLICE_X4Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y126 IS_RESERVED 0 SITEPROP SLICE_X4Y126 IS_TEST 0 SITEPROP SLICE_X4Y126 IS_USED 0 SITEPROP SLICE_X4Y126 MANUAL_ROUTING SITEPROP SLICE_X4Y126 NAME SLICE_X4Y126 SITEPROP SLICE_X4Y126 NUM_ARCS 138 SITEPROP SLICE_X4Y126 NUM_BELS 32 SITEPROP SLICE_X4Y126 NUM_INPUTS 32 SITEPROP SLICE_X4Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y126 NUM_PINS 45 SITEPROP SLICE_X4Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y126 PROHIBIT 0 SITEPROP SLICE_X4Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y126 RPM_X 25 SITEPROP SLICE_X4Y126 RPM_Y 252 SITEPROP SLICE_X4Y126 SITE_PIPS SITEPROP SLICE_X4Y126 SITE_TYPE SLICEL SITEPROP SLICE_X4Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y127 CLASS site SITEPROP SLICE_X4Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y127 IS_BONDED 0 SITEPROP SLICE_X4Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y127 IS_PAD 0 SITEPROP SLICE_X4Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y127 IS_RESERVED 0 SITEPROP SLICE_X4Y127 IS_TEST 0 SITEPROP SLICE_X4Y127 IS_USED 0 SITEPROP SLICE_X4Y127 MANUAL_ROUTING SITEPROP SLICE_X4Y127 NAME SLICE_X4Y127 SITEPROP SLICE_X4Y127 NUM_ARCS 138 SITEPROP SLICE_X4Y127 NUM_BELS 32 SITEPROP SLICE_X4Y127 NUM_INPUTS 32 SITEPROP SLICE_X4Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y127 NUM_PINS 45 SITEPROP SLICE_X4Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y127 PROHIBIT 0 SITEPROP SLICE_X4Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y127 RPM_X 25 SITEPROP SLICE_X4Y127 RPM_Y 254 SITEPROP SLICE_X4Y127 SITE_PIPS SITEPROP SLICE_X4Y127 SITE_TYPE SLICEL SITEPROP SLICE_X4Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y128 CLASS site SITEPROP SLICE_X4Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y128 IS_BONDED 0 SITEPROP SLICE_X4Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y128 IS_PAD 0 SITEPROP SLICE_X4Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y128 IS_RESERVED 0 SITEPROP SLICE_X4Y128 IS_TEST 0 SITEPROP SLICE_X4Y128 IS_USED 0 SITEPROP SLICE_X4Y128 MANUAL_ROUTING SITEPROP SLICE_X4Y128 NAME SLICE_X4Y128 SITEPROP SLICE_X4Y128 NUM_ARCS 138 SITEPROP SLICE_X4Y128 NUM_BELS 32 SITEPROP SLICE_X4Y128 NUM_INPUTS 32 SITEPROP SLICE_X4Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y128 NUM_PINS 45 SITEPROP SLICE_X4Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y128 PROHIBIT 0 SITEPROP SLICE_X4Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y128 RPM_X 25 SITEPROP SLICE_X4Y128 RPM_Y 256 SITEPROP SLICE_X4Y128 SITE_PIPS SITEPROP SLICE_X4Y128 SITE_TYPE SLICEL SITEPROP SLICE_X4Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y129 CLASS site SITEPROP SLICE_X4Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y129 IS_BONDED 0 SITEPROP SLICE_X4Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y129 IS_PAD 0 SITEPROP SLICE_X4Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y129 IS_RESERVED 0 SITEPROP SLICE_X4Y129 IS_TEST 0 SITEPROP SLICE_X4Y129 IS_USED 0 SITEPROP SLICE_X4Y129 MANUAL_ROUTING SITEPROP SLICE_X4Y129 NAME SLICE_X4Y129 SITEPROP SLICE_X4Y129 NUM_ARCS 138 SITEPROP SLICE_X4Y129 NUM_BELS 32 SITEPROP SLICE_X4Y129 NUM_INPUTS 32 SITEPROP SLICE_X4Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y129 NUM_PINS 45 SITEPROP SLICE_X4Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y129 PROHIBIT 0 SITEPROP SLICE_X4Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y129 RPM_X 25 SITEPROP SLICE_X4Y129 RPM_Y 258 SITEPROP SLICE_X4Y129 SITE_PIPS SITEPROP SLICE_X4Y129 SITE_TYPE SLICEL SITEPROP SLICE_X4Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y130 CLASS site SITEPROP SLICE_X4Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y130 IS_BONDED 0 SITEPROP SLICE_X4Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y130 IS_PAD 0 SITEPROP SLICE_X4Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y130 IS_RESERVED 0 SITEPROP SLICE_X4Y130 IS_TEST 0 SITEPROP SLICE_X4Y130 IS_USED 0 SITEPROP SLICE_X4Y130 MANUAL_ROUTING SITEPROP SLICE_X4Y130 NAME SLICE_X4Y130 SITEPROP SLICE_X4Y130 NUM_ARCS 138 SITEPROP SLICE_X4Y130 NUM_BELS 32 SITEPROP SLICE_X4Y130 NUM_INPUTS 32 SITEPROP SLICE_X4Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y130 NUM_PINS 45 SITEPROP SLICE_X4Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y130 PROHIBIT 0 SITEPROP SLICE_X4Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y130 RPM_X 25 SITEPROP SLICE_X4Y130 RPM_Y 260 SITEPROP SLICE_X4Y130 SITE_PIPS SITEPROP SLICE_X4Y130 SITE_TYPE SLICEL SITEPROP SLICE_X4Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y131 CLASS site SITEPROP SLICE_X4Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y131 IS_BONDED 0 SITEPROP SLICE_X4Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y131 IS_PAD 0 SITEPROP SLICE_X4Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y131 IS_RESERVED 0 SITEPROP SLICE_X4Y131 IS_TEST 0 SITEPROP SLICE_X4Y131 IS_USED 0 SITEPROP SLICE_X4Y131 MANUAL_ROUTING SITEPROP SLICE_X4Y131 NAME SLICE_X4Y131 SITEPROP SLICE_X4Y131 NUM_ARCS 138 SITEPROP SLICE_X4Y131 NUM_BELS 32 SITEPROP SLICE_X4Y131 NUM_INPUTS 32 SITEPROP SLICE_X4Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y131 NUM_PINS 45 SITEPROP SLICE_X4Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y131 PROHIBIT 0 SITEPROP SLICE_X4Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y131 RPM_X 25 SITEPROP SLICE_X4Y131 RPM_Y 262 SITEPROP SLICE_X4Y131 SITE_PIPS SITEPROP SLICE_X4Y131 SITE_TYPE SLICEL SITEPROP SLICE_X4Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y132 CLASS site SITEPROP SLICE_X4Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y132 IS_BONDED 0 SITEPROP SLICE_X4Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y132 IS_PAD 0 SITEPROP SLICE_X4Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y132 IS_RESERVED 0 SITEPROP SLICE_X4Y132 IS_TEST 0 SITEPROP SLICE_X4Y132 IS_USED 0 SITEPROP SLICE_X4Y132 MANUAL_ROUTING SITEPROP SLICE_X4Y132 NAME SLICE_X4Y132 SITEPROP SLICE_X4Y132 NUM_ARCS 138 SITEPROP SLICE_X4Y132 NUM_BELS 32 SITEPROP SLICE_X4Y132 NUM_INPUTS 32 SITEPROP SLICE_X4Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y132 NUM_PINS 45 SITEPROP SLICE_X4Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y132 PROHIBIT 0 SITEPROP SLICE_X4Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y132 RPM_X 25 SITEPROP SLICE_X4Y132 RPM_Y 264 SITEPROP SLICE_X4Y132 SITE_PIPS SITEPROP SLICE_X4Y132 SITE_TYPE SLICEL SITEPROP SLICE_X4Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y133 CLASS site SITEPROP SLICE_X4Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y133 IS_BONDED 0 SITEPROP SLICE_X4Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y133 IS_PAD 0 SITEPROP SLICE_X4Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y133 IS_RESERVED 0 SITEPROP SLICE_X4Y133 IS_TEST 0 SITEPROP SLICE_X4Y133 IS_USED 0 SITEPROP SLICE_X4Y133 MANUAL_ROUTING SITEPROP SLICE_X4Y133 NAME SLICE_X4Y133 SITEPROP SLICE_X4Y133 NUM_ARCS 138 SITEPROP SLICE_X4Y133 NUM_BELS 32 SITEPROP SLICE_X4Y133 NUM_INPUTS 32 SITEPROP SLICE_X4Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y133 NUM_PINS 45 SITEPROP SLICE_X4Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y133 PROHIBIT 0 SITEPROP SLICE_X4Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y133 RPM_X 25 SITEPROP SLICE_X4Y133 RPM_Y 266 SITEPROP SLICE_X4Y133 SITE_PIPS SITEPROP SLICE_X4Y133 SITE_TYPE SLICEL SITEPROP SLICE_X4Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y134 CLASS site SITEPROP SLICE_X4Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y134 IS_BONDED 0 SITEPROP SLICE_X4Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y134 IS_PAD 0 SITEPROP SLICE_X4Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y134 IS_RESERVED 0 SITEPROP SLICE_X4Y134 IS_TEST 0 SITEPROP SLICE_X4Y134 IS_USED 0 SITEPROP SLICE_X4Y134 MANUAL_ROUTING SITEPROP SLICE_X4Y134 NAME SLICE_X4Y134 SITEPROP SLICE_X4Y134 NUM_ARCS 138 SITEPROP SLICE_X4Y134 NUM_BELS 32 SITEPROP SLICE_X4Y134 NUM_INPUTS 32 SITEPROP SLICE_X4Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y134 NUM_PINS 45 SITEPROP SLICE_X4Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y134 PROHIBIT 0 SITEPROP SLICE_X4Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y134 RPM_X 25 SITEPROP SLICE_X4Y134 RPM_Y 268 SITEPROP SLICE_X4Y134 SITE_PIPS SITEPROP SLICE_X4Y134 SITE_TYPE SLICEL SITEPROP SLICE_X4Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y135 CLASS site SITEPROP SLICE_X4Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y135 IS_BONDED 0 SITEPROP SLICE_X4Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y135 IS_PAD 0 SITEPROP SLICE_X4Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y135 IS_RESERVED 0 SITEPROP SLICE_X4Y135 IS_TEST 0 SITEPROP SLICE_X4Y135 IS_USED 0 SITEPROP SLICE_X4Y135 MANUAL_ROUTING SITEPROP SLICE_X4Y135 NAME SLICE_X4Y135 SITEPROP SLICE_X4Y135 NUM_ARCS 138 SITEPROP SLICE_X4Y135 NUM_BELS 32 SITEPROP SLICE_X4Y135 NUM_INPUTS 32 SITEPROP SLICE_X4Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y135 NUM_PINS 45 SITEPROP SLICE_X4Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y135 PROHIBIT 0 SITEPROP SLICE_X4Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y135 RPM_X 25 SITEPROP SLICE_X4Y135 RPM_Y 270 SITEPROP SLICE_X4Y135 SITE_PIPS SITEPROP SLICE_X4Y135 SITE_TYPE SLICEL SITEPROP SLICE_X4Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y136 CLASS site SITEPROP SLICE_X4Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y136 IS_BONDED 0 SITEPROP SLICE_X4Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y136 IS_PAD 0 SITEPROP SLICE_X4Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y136 IS_RESERVED 0 SITEPROP SLICE_X4Y136 IS_TEST 0 SITEPROP SLICE_X4Y136 IS_USED 0 SITEPROP SLICE_X4Y136 MANUAL_ROUTING SITEPROP SLICE_X4Y136 NAME SLICE_X4Y136 SITEPROP SLICE_X4Y136 NUM_ARCS 138 SITEPROP SLICE_X4Y136 NUM_BELS 32 SITEPROP SLICE_X4Y136 NUM_INPUTS 32 SITEPROP SLICE_X4Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y136 NUM_PINS 45 SITEPROP SLICE_X4Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y136 PROHIBIT 0 SITEPROP SLICE_X4Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y136 RPM_X 25 SITEPROP SLICE_X4Y136 RPM_Y 272 SITEPROP SLICE_X4Y136 SITE_PIPS SITEPROP SLICE_X4Y136 SITE_TYPE SLICEL SITEPROP SLICE_X4Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y137 CLASS site SITEPROP SLICE_X4Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y137 IS_BONDED 0 SITEPROP SLICE_X4Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y137 IS_PAD 0 SITEPROP SLICE_X4Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y137 IS_RESERVED 0 SITEPROP SLICE_X4Y137 IS_TEST 0 SITEPROP SLICE_X4Y137 IS_USED 0 SITEPROP SLICE_X4Y137 MANUAL_ROUTING SITEPROP SLICE_X4Y137 NAME SLICE_X4Y137 SITEPROP SLICE_X4Y137 NUM_ARCS 138 SITEPROP SLICE_X4Y137 NUM_BELS 32 SITEPROP SLICE_X4Y137 NUM_INPUTS 32 SITEPROP SLICE_X4Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y137 NUM_PINS 45 SITEPROP SLICE_X4Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y137 PROHIBIT 0 SITEPROP SLICE_X4Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y137 RPM_X 25 SITEPROP SLICE_X4Y137 RPM_Y 274 SITEPROP SLICE_X4Y137 SITE_PIPS SITEPROP SLICE_X4Y137 SITE_TYPE SLICEL SITEPROP SLICE_X4Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y138 CLASS site SITEPROP SLICE_X4Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y138 IS_BONDED 0 SITEPROP SLICE_X4Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y138 IS_PAD 0 SITEPROP SLICE_X4Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y138 IS_RESERVED 0 SITEPROP SLICE_X4Y138 IS_TEST 0 SITEPROP SLICE_X4Y138 IS_USED 0 SITEPROP SLICE_X4Y138 MANUAL_ROUTING SITEPROP SLICE_X4Y138 NAME SLICE_X4Y138 SITEPROP SLICE_X4Y138 NUM_ARCS 138 SITEPROP SLICE_X4Y138 NUM_BELS 32 SITEPROP SLICE_X4Y138 NUM_INPUTS 32 SITEPROP SLICE_X4Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y138 NUM_PINS 45 SITEPROP SLICE_X4Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y138 PROHIBIT 0 SITEPROP SLICE_X4Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y138 RPM_X 25 SITEPROP SLICE_X4Y138 RPM_Y 276 SITEPROP SLICE_X4Y138 SITE_PIPS SITEPROP SLICE_X4Y138 SITE_TYPE SLICEL SITEPROP SLICE_X4Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y139 CLASS site SITEPROP SLICE_X4Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y139 IS_BONDED 0 SITEPROP SLICE_X4Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y139 IS_PAD 0 SITEPROP SLICE_X4Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y139 IS_RESERVED 0 SITEPROP SLICE_X4Y139 IS_TEST 0 SITEPROP SLICE_X4Y139 IS_USED 0 SITEPROP SLICE_X4Y139 MANUAL_ROUTING SITEPROP SLICE_X4Y139 NAME SLICE_X4Y139 SITEPROP SLICE_X4Y139 NUM_ARCS 138 SITEPROP SLICE_X4Y139 NUM_BELS 32 SITEPROP SLICE_X4Y139 NUM_INPUTS 32 SITEPROP SLICE_X4Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y139 NUM_PINS 45 SITEPROP SLICE_X4Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y139 PROHIBIT 0 SITEPROP SLICE_X4Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y139 RPM_X 25 SITEPROP SLICE_X4Y139 RPM_Y 278 SITEPROP SLICE_X4Y139 SITE_PIPS SITEPROP SLICE_X4Y139 SITE_TYPE SLICEL SITEPROP SLICE_X4Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y140 CLASS site SITEPROP SLICE_X4Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y140 IS_BONDED 0 SITEPROP SLICE_X4Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y140 IS_PAD 0 SITEPROP SLICE_X4Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y140 IS_RESERVED 0 SITEPROP SLICE_X4Y140 IS_TEST 0 SITEPROP SLICE_X4Y140 IS_USED 0 SITEPROP SLICE_X4Y140 MANUAL_ROUTING SITEPROP SLICE_X4Y140 NAME SLICE_X4Y140 SITEPROP SLICE_X4Y140 NUM_ARCS 138 SITEPROP SLICE_X4Y140 NUM_BELS 32 SITEPROP SLICE_X4Y140 NUM_INPUTS 32 SITEPROP SLICE_X4Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y140 NUM_PINS 45 SITEPROP SLICE_X4Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y140 PROHIBIT 0 SITEPROP SLICE_X4Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y140 RPM_X 25 SITEPROP SLICE_X4Y140 RPM_Y 280 SITEPROP SLICE_X4Y140 SITE_PIPS SITEPROP SLICE_X4Y140 SITE_TYPE SLICEL SITEPROP SLICE_X4Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y141 CLASS site SITEPROP SLICE_X4Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y141 IS_BONDED 0 SITEPROP SLICE_X4Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y141 IS_PAD 0 SITEPROP SLICE_X4Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y141 IS_RESERVED 0 SITEPROP SLICE_X4Y141 IS_TEST 0 SITEPROP SLICE_X4Y141 IS_USED 0 SITEPROP SLICE_X4Y141 MANUAL_ROUTING SITEPROP SLICE_X4Y141 NAME SLICE_X4Y141 SITEPROP SLICE_X4Y141 NUM_ARCS 138 SITEPROP SLICE_X4Y141 NUM_BELS 32 SITEPROP SLICE_X4Y141 NUM_INPUTS 32 SITEPROP SLICE_X4Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y141 NUM_PINS 45 SITEPROP SLICE_X4Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y141 PROHIBIT 0 SITEPROP SLICE_X4Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y141 RPM_X 25 SITEPROP SLICE_X4Y141 RPM_Y 282 SITEPROP SLICE_X4Y141 SITE_PIPS SITEPROP SLICE_X4Y141 SITE_TYPE SLICEL SITEPROP SLICE_X4Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y142 CLASS site SITEPROP SLICE_X4Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y142 IS_BONDED 0 SITEPROP SLICE_X4Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y142 IS_PAD 0 SITEPROP SLICE_X4Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y142 IS_RESERVED 0 SITEPROP SLICE_X4Y142 IS_TEST 0 SITEPROP SLICE_X4Y142 IS_USED 0 SITEPROP SLICE_X4Y142 MANUAL_ROUTING SITEPROP SLICE_X4Y142 NAME SLICE_X4Y142 SITEPROP SLICE_X4Y142 NUM_ARCS 138 SITEPROP SLICE_X4Y142 NUM_BELS 32 SITEPROP SLICE_X4Y142 NUM_INPUTS 32 SITEPROP SLICE_X4Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y142 NUM_PINS 45 SITEPROP SLICE_X4Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y142 PROHIBIT 0 SITEPROP SLICE_X4Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y142 RPM_X 25 SITEPROP SLICE_X4Y142 RPM_Y 284 SITEPROP SLICE_X4Y142 SITE_PIPS SITEPROP SLICE_X4Y142 SITE_TYPE SLICEL SITEPROP SLICE_X4Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y143 CLASS site SITEPROP SLICE_X4Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y143 IS_BONDED 0 SITEPROP SLICE_X4Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y143 IS_PAD 0 SITEPROP SLICE_X4Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y143 IS_RESERVED 0 SITEPROP SLICE_X4Y143 IS_TEST 0 SITEPROP SLICE_X4Y143 IS_USED 0 SITEPROP SLICE_X4Y143 MANUAL_ROUTING SITEPROP SLICE_X4Y143 NAME SLICE_X4Y143 SITEPROP SLICE_X4Y143 NUM_ARCS 138 SITEPROP SLICE_X4Y143 NUM_BELS 32 SITEPROP SLICE_X4Y143 NUM_INPUTS 32 SITEPROP SLICE_X4Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y143 NUM_PINS 45 SITEPROP SLICE_X4Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y143 PROHIBIT 0 SITEPROP SLICE_X4Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y143 RPM_X 25 SITEPROP SLICE_X4Y143 RPM_Y 286 SITEPROP SLICE_X4Y143 SITE_PIPS SITEPROP SLICE_X4Y143 SITE_TYPE SLICEL SITEPROP SLICE_X4Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y144 CLASS site SITEPROP SLICE_X4Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y144 IS_BONDED 0 SITEPROP SLICE_X4Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y144 IS_PAD 0 SITEPROP SLICE_X4Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y144 IS_RESERVED 0 SITEPROP SLICE_X4Y144 IS_TEST 0 SITEPROP SLICE_X4Y144 IS_USED 0 SITEPROP SLICE_X4Y144 MANUAL_ROUTING SITEPROP SLICE_X4Y144 NAME SLICE_X4Y144 SITEPROP SLICE_X4Y144 NUM_ARCS 138 SITEPROP SLICE_X4Y144 NUM_BELS 32 SITEPROP SLICE_X4Y144 NUM_INPUTS 32 SITEPROP SLICE_X4Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y144 NUM_PINS 45 SITEPROP SLICE_X4Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y144 PROHIBIT 0 SITEPROP SLICE_X4Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y144 RPM_X 25 SITEPROP SLICE_X4Y144 RPM_Y 288 SITEPROP SLICE_X4Y144 SITE_PIPS SITEPROP SLICE_X4Y144 SITE_TYPE SLICEL SITEPROP SLICE_X4Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y145 CLASS site SITEPROP SLICE_X4Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y145 IS_BONDED 0 SITEPROP SLICE_X4Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y145 IS_PAD 0 SITEPROP SLICE_X4Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y145 IS_RESERVED 0 SITEPROP SLICE_X4Y145 IS_TEST 0 SITEPROP SLICE_X4Y145 IS_USED 0 SITEPROP SLICE_X4Y145 MANUAL_ROUTING SITEPROP SLICE_X4Y145 NAME SLICE_X4Y145 SITEPROP SLICE_X4Y145 NUM_ARCS 138 SITEPROP SLICE_X4Y145 NUM_BELS 32 SITEPROP SLICE_X4Y145 NUM_INPUTS 32 SITEPROP SLICE_X4Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y145 NUM_PINS 45 SITEPROP SLICE_X4Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y145 PROHIBIT 0 SITEPROP SLICE_X4Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y145 RPM_X 25 SITEPROP SLICE_X4Y145 RPM_Y 290 SITEPROP SLICE_X4Y145 SITE_PIPS SITEPROP SLICE_X4Y145 SITE_TYPE SLICEL SITEPROP SLICE_X4Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y146 CLASS site SITEPROP SLICE_X4Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y146 IS_BONDED 0 SITEPROP SLICE_X4Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y146 IS_PAD 0 SITEPROP SLICE_X4Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y146 IS_RESERVED 0 SITEPROP SLICE_X4Y146 IS_TEST 0 SITEPROP SLICE_X4Y146 IS_USED 0 SITEPROP SLICE_X4Y146 MANUAL_ROUTING SITEPROP SLICE_X4Y146 NAME SLICE_X4Y146 SITEPROP SLICE_X4Y146 NUM_ARCS 138 SITEPROP SLICE_X4Y146 NUM_BELS 32 SITEPROP SLICE_X4Y146 NUM_INPUTS 32 SITEPROP SLICE_X4Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y146 NUM_PINS 45 SITEPROP SLICE_X4Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y146 PROHIBIT 0 SITEPROP SLICE_X4Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y146 RPM_X 25 SITEPROP SLICE_X4Y146 RPM_Y 292 SITEPROP SLICE_X4Y146 SITE_PIPS SITEPROP SLICE_X4Y146 SITE_TYPE SLICEL SITEPROP SLICE_X4Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y147 CLASS site SITEPROP SLICE_X4Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y147 IS_BONDED 0 SITEPROP SLICE_X4Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y147 IS_PAD 0 SITEPROP SLICE_X4Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y147 IS_RESERVED 0 SITEPROP SLICE_X4Y147 IS_TEST 0 SITEPROP SLICE_X4Y147 IS_USED 0 SITEPROP SLICE_X4Y147 MANUAL_ROUTING SITEPROP SLICE_X4Y147 NAME SLICE_X4Y147 SITEPROP SLICE_X4Y147 NUM_ARCS 138 SITEPROP SLICE_X4Y147 NUM_BELS 32 SITEPROP SLICE_X4Y147 NUM_INPUTS 32 SITEPROP SLICE_X4Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y147 NUM_PINS 45 SITEPROP SLICE_X4Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y147 PROHIBIT 0 SITEPROP SLICE_X4Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y147 RPM_X 25 SITEPROP SLICE_X4Y147 RPM_Y 294 SITEPROP SLICE_X4Y147 SITE_PIPS SITEPROP SLICE_X4Y147 SITE_TYPE SLICEL SITEPROP SLICE_X4Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y148 CLASS site SITEPROP SLICE_X4Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y148 IS_BONDED 0 SITEPROP SLICE_X4Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y148 IS_PAD 0 SITEPROP SLICE_X4Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y148 IS_RESERVED 0 SITEPROP SLICE_X4Y148 IS_TEST 0 SITEPROP SLICE_X4Y148 IS_USED 0 SITEPROP SLICE_X4Y148 MANUAL_ROUTING SITEPROP SLICE_X4Y148 NAME SLICE_X4Y148 SITEPROP SLICE_X4Y148 NUM_ARCS 138 SITEPROP SLICE_X4Y148 NUM_BELS 32 SITEPROP SLICE_X4Y148 NUM_INPUTS 32 SITEPROP SLICE_X4Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y148 NUM_PINS 45 SITEPROP SLICE_X4Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y148 PROHIBIT 0 SITEPROP SLICE_X4Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y148 RPM_X 25 SITEPROP SLICE_X4Y148 RPM_Y 296 SITEPROP SLICE_X4Y148 SITE_PIPS SITEPROP SLICE_X4Y148 SITE_TYPE SLICEL SITEPROP SLICE_X4Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X4Y149 CLASS site SITEPROP SLICE_X4Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X4Y149 IS_BONDED 0 SITEPROP SLICE_X4Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X4Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y149 IS_PAD 0 SITEPROP SLICE_X4Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X4Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X4Y149 IS_RESERVED 0 SITEPROP SLICE_X4Y149 IS_TEST 0 SITEPROP SLICE_X4Y149 IS_USED 0 SITEPROP SLICE_X4Y149 MANUAL_ROUTING SITEPROP SLICE_X4Y149 NAME SLICE_X4Y149 SITEPROP SLICE_X4Y149 NUM_ARCS 138 SITEPROP SLICE_X4Y149 NUM_BELS 32 SITEPROP SLICE_X4Y149 NUM_INPUTS 32 SITEPROP SLICE_X4Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X4Y149 NUM_PINS 45 SITEPROP SLICE_X4Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X4Y149 PROHIBIT 0 SITEPROP SLICE_X4Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X4Y149 RPM_X 25 SITEPROP SLICE_X4Y149 RPM_Y 298 SITEPROP SLICE_X4Y149 SITE_PIPS SITEPROP SLICE_X4Y149 SITE_TYPE SLICEL SITEPROP SLICE_X50Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y0 CLASS site SITEPROP SLICE_X50Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y0 IS_BONDED 0 SITEPROP SLICE_X50Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y0 IS_PAD 0 SITEPROP SLICE_X50Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y0 IS_RESERVED 0 SITEPROP SLICE_X50Y0 IS_TEST 0 SITEPROP SLICE_X50Y0 IS_USED 0 SITEPROP SLICE_X50Y0 MANUAL_ROUTING SITEPROP SLICE_X50Y0 NAME SLICE_X50Y0 SITEPROP SLICE_X50Y0 NUM_ARCS 153 SITEPROP SLICE_X50Y0 NUM_BELS 32 SITEPROP SLICE_X50Y0 NUM_INPUTS 37 SITEPROP SLICE_X50Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y0 NUM_PINS 50 SITEPROP SLICE_X50Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y0 PROHIBIT 0 SITEPROP SLICE_X50Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y0 RPM_X 133 SITEPROP SLICE_X50Y0 RPM_Y 0 SITEPROP SLICE_X50Y0 SITE_PIPS SITEPROP SLICE_X50Y0 SITE_TYPE SLICEM SITEPROP SLICE_X50Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y1 CLASS site SITEPROP SLICE_X50Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y1 IS_BONDED 0 SITEPROP SLICE_X50Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y1 IS_PAD 0 SITEPROP SLICE_X50Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y1 IS_RESERVED 0 SITEPROP SLICE_X50Y1 IS_TEST 0 SITEPROP SLICE_X50Y1 IS_USED 0 SITEPROP SLICE_X50Y1 MANUAL_ROUTING SITEPROP SLICE_X50Y1 NAME SLICE_X50Y1 SITEPROP SLICE_X50Y1 NUM_ARCS 153 SITEPROP SLICE_X50Y1 NUM_BELS 32 SITEPROP SLICE_X50Y1 NUM_INPUTS 37 SITEPROP SLICE_X50Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y1 NUM_PINS 50 SITEPROP SLICE_X50Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y1 PROHIBIT 0 SITEPROP SLICE_X50Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y1 RPM_X 133 SITEPROP SLICE_X50Y1 RPM_Y 2 SITEPROP SLICE_X50Y1 SITE_PIPS SITEPROP SLICE_X50Y1 SITE_TYPE SLICEM SITEPROP SLICE_X50Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y2 CLASS site SITEPROP SLICE_X50Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y2 IS_BONDED 0 SITEPROP SLICE_X50Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y2 IS_PAD 0 SITEPROP SLICE_X50Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y2 IS_RESERVED 0 SITEPROP SLICE_X50Y2 IS_TEST 0 SITEPROP SLICE_X50Y2 IS_USED 0 SITEPROP SLICE_X50Y2 MANUAL_ROUTING SITEPROP SLICE_X50Y2 NAME SLICE_X50Y2 SITEPROP SLICE_X50Y2 NUM_ARCS 153 SITEPROP SLICE_X50Y2 NUM_BELS 32 SITEPROP SLICE_X50Y2 NUM_INPUTS 37 SITEPROP SLICE_X50Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y2 NUM_PINS 50 SITEPROP SLICE_X50Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y2 PROHIBIT 0 SITEPROP SLICE_X50Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y2 RPM_X 133 SITEPROP SLICE_X50Y2 RPM_Y 4 SITEPROP SLICE_X50Y2 SITE_PIPS SITEPROP SLICE_X50Y2 SITE_TYPE SLICEM SITEPROP SLICE_X50Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y3 CLASS site SITEPROP SLICE_X50Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y3 IS_BONDED 0 SITEPROP SLICE_X50Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y3 IS_PAD 0 SITEPROP SLICE_X50Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y3 IS_RESERVED 0 SITEPROP SLICE_X50Y3 IS_TEST 0 SITEPROP SLICE_X50Y3 IS_USED 0 SITEPROP SLICE_X50Y3 MANUAL_ROUTING SITEPROP SLICE_X50Y3 NAME SLICE_X50Y3 SITEPROP SLICE_X50Y3 NUM_ARCS 153 SITEPROP SLICE_X50Y3 NUM_BELS 32 SITEPROP SLICE_X50Y3 NUM_INPUTS 37 SITEPROP SLICE_X50Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y3 NUM_PINS 50 SITEPROP SLICE_X50Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y3 PROHIBIT 0 SITEPROP SLICE_X50Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y3 RPM_X 133 SITEPROP SLICE_X50Y3 RPM_Y 6 SITEPROP SLICE_X50Y3 SITE_PIPS SITEPROP SLICE_X50Y3 SITE_TYPE SLICEM SITEPROP SLICE_X50Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y4 CLASS site SITEPROP SLICE_X50Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y4 IS_BONDED 0 SITEPROP SLICE_X50Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y4 IS_PAD 0 SITEPROP SLICE_X50Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y4 IS_RESERVED 0 SITEPROP SLICE_X50Y4 IS_TEST 0 SITEPROP SLICE_X50Y4 IS_USED 0 SITEPROP SLICE_X50Y4 MANUAL_ROUTING SITEPROP SLICE_X50Y4 NAME SLICE_X50Y4 SITEPROP SLICE_X50Y4 NUM_ARCS 153 SITEPROP SLICE_X50Y4 NUM_BELS 32 SITEPROP SLICE_X50Y4 NUM_INPUTS 37 SITEPROP SLICE_X50Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y4 NUM_PINS 50 SITEPROP SLICE_X50Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y4 PROHIBIT 0 SITEPROP SLICE_X50Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y4 RPM_X 133 SITEPROP SLICE_X50Y4 RPM_Y 8 SITEPROP SLICE_X50Y4 SITE_PIPS SITEPROP SLICE_X50Y4 SITE_TYPE SLICEM SITEPROP SLICE_X50Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y5 CLASS site SITEPROP SLICE_X50Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y5 IS_BONDED 0 SITEPROP SLICE_X50Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y5 IS_PAD 0 SITEPROP SLICE_X50Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y5 IS_RESERVED 0 SITEPROP SLICE_X50Y5 IS_TEST 0 SITEPROP SLICE_X50Y5 IS_USED 0 SITEPROP SLICE_X50Y5 MANUAL_ROUTING SITEPROP SLICE_X50Y5 NAME SLICE_X50Y5 SITEPROP SLICE_X50Y5 NUM_ARCS 153 SITEPROP SLICE_X50Y5 NUM_BELS 32 SITEPROP SLICE_X50Y5 NUM_INPUTS 37 SITEPROP SLICE_X50Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y5 NUM_PINS 50 SITEPROP SLICE_X50Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y5 PROHIBIT 0 SITEPROP SLICE_X50Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y5 RPM_X 133 SITEPROP SLICE_X50Y5 RPM_Y 10 SITEPROP SLICE_X50Y5 SITE_PIPS SITEPROP SLICE_X50Y5 SITE_TYPE SLICEM SITEPROP SLICE_X50Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y6 CLASS site SITEPROP SLICE_X50Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y6 IS_BONDED 0 SITEPROP SLICE_X50Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y6 IS_PAD 0 SITEPROP SLICE_X50Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y6 IS_RESERVED 0 SITEPROP SLICE_X50Y6 IS_TEST 0 SITEPROP SLICE_X50Y6 IS_USED 0 SITEPROP SLICE_X50Y6 MANUAL_ROUTING SITEPROP SLICE_X50Y6 NAME SLICE_X50Y6 SITEPROP SLICE_X50Y6 NUM_ARCS 153 SITEPROP SLICE_X50Y6 NUM_BELS 32 SITEPROP SLICE_X50Y6 NUM_INPUTS 37 SITEPROP SLICE_X50Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y6 NUM_PINS 50 SITEPROP SLICE_X50Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y6 PROHIBIT 0 SITEPROP SLICE_X50Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y6 RPM_X 133 SITEPROP SLICE_X50Y6 RPM_Y 12 SITEPROP SLICE_X50Y6 SITE_PIPS SITEPROP SLICE_X50Y6 SITE_TYPE SLICEM SITEPROP SLICE_X50Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y7 CLASS site SITEPROP SLICE_X50Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y7 IS_BONDED 0 SITEPROP SLICE_X50Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y7 IS_PAD 0 SITEPROP SLICE_X50Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y7 IS_RESERVED 0 SITEPROP SLICE_X50Y7 IS_TEST 0 SITEPROP SLICE_X50Y7 IS_USED 0 SITEPROP SLICE_X50Y7 MANUAL_ROUTING SITEPROP SLICE_X50Y7 NAME SLICE_X50Y7 SITEPROP SLICE_X50Y7 NUM_ARCS 153 SITEPROP SLICE_X50Y7 NUM_BELS 32 SITEPROP SLICE_X50Y7 NUM_INPUTS 37 SITEPROP SLICE_X50Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y7 NUM_PINS 50 SITEPROP SLICE_X50Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y7 PROHIBIT 0 SITEPROP SLICE_X50Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y7 RPM_X 133 SITEPROP SLICE_X50Y7 RPM_Y 14 SITEPROP SLICE_X50Y7 SITE_PIPS SITEPROP SLICE_X50Y7 SITE_TYPE SLICEM SITEPROP SLICE_X50Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y8 CLASS site SITEPROP SLICE_X50Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y8 IS_BONDED 0 SITEPROP SLICE_X50Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y8 IS_PAD 0 SITEPROP SLICE_X50Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y8 IS_RESERVED 0 SITEPROP SLICE_X50Y8 IS_TEST 0 SITEPROP SLICE_X50Y8 IS_USED 0 SITEPROP SLICE_X50Y8 MANUAL_ROUTING SITEPROP SLICE_X50Y8 NAME SLICE_X50Y8 SITEPROP SLICE_X50Y8 NUM_ARCS 153 SITEPROP SLICE_X50Y8 NUM_BELS 32 SITEPROP SLICE_X50Y8 NUM_INPUTS 37 SITEPROP SLICE_X50Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y8 NUM_PINS 50 SITEPROP SLICE_X50Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y8 PROHIBIT 0 SITEPROP SLICE_X50Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y8 RPM_X 133 SITEPROP SLICE_X50Y8 RPM_Y 16 SITEPROP SLICE_X50Y8 SITE_PIPS SITEPROP SLICE_X50Y8 SITE_TYPE SLICEM SITEPROP SLICE_X50Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y9 CLASS site SITEPROP SLICE_X50Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y9 IS_BONDED 0 SITEPROP SLICE_X50Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y9 IS_PAD 0 SITEPROP SLICE_X50Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y9 IS_RESERVED 0 SITEPROP SLICE_X50Y9 IS_TEST 0 SITEPROP SLICE_X50Y9 IS_USED 0 SITEPROP SLICE_X50Y9 MANUAL_ROUTING SITEPROP SLICE_X50Y9 NAME SLICE_X50Y9 SITEPROP SLICE_X50Y9 NUM_ARCS 153 SITEPROP SLICE_X50Y9 NUM_BELS 32 SITEPROP SLICE_X50Y9 NUM_INPUTS 37 SITEPROP SLICE_X50Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y9 NUM_PINS 50 SITEPROP SLICE_X50Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y9 PROHIBIT 0 SITEPROP SLICE_X50Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y9 RPM_X 133 SITEPROP SLICE_X50Y9 RPM_Y 18 SITEPROP SLICE_X50Y9 SITE_PIPS SITEPROP SLICE_X50Y9 SITE_TYPE SLICEM SITEPROP SLICE_X50Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y10 CLASS site SITEPROP SLICE_X50Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y10 IS_BONDED 0 SITEPROP SLICE_X50Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y10 IS_PAD 0 SITEPROP SLICE_X50Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y10 IS_RESERVED 0 SITEPROP SLICE_X50Y10 IS_TEST 0 SITEPROP SLICE_X50Y10 IS_USED 0 SITEPROP SLICE_X50Y10 MANUAL_ROUTING SITEPROP SLICE_X50Y10 NAME SLICE_X50Y10 SITEPROP SLICE_X50Y10 NUM_ARCS 153 SITEPROP SLICE_X50Y10 NUM_BELS 32 SITEPROP SLICE_X50Y10 NUM_INPUTS 37 SITEPROP SLICE_X50Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y10 NUM_PINS 50 SITEPROP SLICE_X50Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y10 PROHIBIT 0 SITEPROP SLICE_X50Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y10 RPM_X 133 SITEPROP SLICE_X50Y10 RPM_Y 20 SITEPROP SLICE_X50Y10 SITE_PIPS SITEPROP SLICE_X50Y10 SITE_TYPE SLICEM SITEPROP SLICE_X50Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y11 CLASS site SITEPROP SLICE_X50Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y11 IS_BONDED 0 SITEPROP SLICE_X50Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y11 IS_PAD 0 SITEPROP SLICE_X50Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y11 IS_RESERVED 0 SITEPROP SLICE_X50Y11 IS_TEST 0 SITEPROP SLICE_X50Y11 IS_USED 0 SITEPROP SLICE_X50Y11 MANUAL_ROUTING SITEPROP SLICE_X50Y11 NAME SLICE_X50Y11 SITEPROP SLICE_X50Y11 NUM_ARCS 153 SITEPROP SLICE_X50Y11 NUM_BELS 32 SITEPROP SLICE_X50Y11 NUM_INPUTS 37 SITEPROP SLICE_X50Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y11 NUM_PINS 50 SITEPROP SLICE_X50Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y11 PROHIBIT 0 SITEPROP SLICE_X50Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y11 RPM_X 133 SITEPROP SLICE_X50Y11 RPM_Y 22 SITEPROP SLICE_X50Y11 SITE_PIPS SITEPROP SLICE_X50Y11 SITE_TYPE SLICEM SITEPROP SLICE_X50Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y12 CLASS site SITEPROP SLICE_X50Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y12 IS_BONDED 0 SITEPROP SLICE_X50Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y12 IS_PAD 0 SITEPROP SLICE_X50Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y12 IS_RESERVED 0 SITEPROP SLICE_X50Y12 IS_TEST 0 SITEPROP SLICE_X50Y12 IS_USED 0 SITEPROP SLICE_X50Y12 MANUAL_ROUTING SITEPROP SLICE_X50Y12 NAME SLICE_X50Y12 SITEPROP SLICE_X50Y12 NUM_ARCS 153 SITEPROP SLICE_X50Y12 NUM_BELS 32 SITEPROP SLICE_X50Y12 NUM_INPUTS 37 SITEPROP SLICE_X50Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y12 NUM_PINS 50 SITEPROP SLICE_X50Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y12 PROHIBIT 0 SITEPROP SLICE_X50Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y12 RPM_X 133 SITEPROP SLICE_X50Y12 RPM_Y 24 SITEPROP SLICE_X50Y12 SITE_PIPS SITEPROP SLICE_X50Y12 SITE_TYPE SLICEM SITEPROP SLICE_X50Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y13 CLASS site SITEPROP SLICE_X50Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y13 IS_BONDED 0 SITEPROP SLICE_X50Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y13 IS_PAD 0 SITEPROP SLICE_X50Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y13 IS_RESERVED 0 SITEPROP SLICE_X50Y13 IS_TEST 0 SITEPROP SLICE_X50Y13 IS_USED 0 SITEPROP SLICE_X50Y13 MANUAL_ROUTING SITEPROP SLICE_X50Y13 NAME SLICE_X50Y13 SITEPROP SLICE_X50Y13 NUM_ARCS 153 SITEPROP SLICE_X50Y13 NUM_BELS 32 SITEPROP SLICE_X50Y13 NUM_INPUTS 37 SITEPROP SLICE_X50Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y13 NUM_PINS 50 SITEPROP SLICE_X50Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y13 PROHIBIT 0 SITEPROP SLICE_X50Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y13 RPM_X 133 SITEPROP SLICE_X50Y13 RPM_Y 26 SITEPROP SLICE_X50Y13 SITE_PIPS SITEPROP SLICE_X50Y13 SITE_TYPE SLICEM SITEPROP SLICE_X50Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y14 CLASS site SITEPROP SLICE_X50Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y14 IS_BONDED 0 SITEPROP SLICE_X50Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y14 IS_PAD 0 SITEPROP SLICE_X50Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y14 IS_RESERVED 0 SITEPROP SLICE_X50Y14 IS_TEST 0 SITEPROP SLICE_X50Y14 IS_USED 0 SITEPROP SLICE_X50Y14 MANUAL_ROUTING SITEPROP SLICE_X50Y14 NAME SLICE_X50Y14 SITEPROP SLICE_X50Y14 NUM_ARCS 153 SITEPROP SLICE_X50Y14 NUM_BELS 32 SITEPROP SLICE_X50Y14 NUM_INPUTS 37 SITEPROP SLICE_X50Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y14 NUM_PINS 50 SITEPROP SLICE_X50Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y14 PROHIBIT 0 SITEPROP SLICE_X50Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y14 RPM_X 133 SITEPROP SLICE_X50Y14 RPM_Y 28 SITEPROP SLICE_X50Y14 SITE_PIPS SITEPROP SLICE_X50Y14 SITE_TYPE SLICEM SITEPROP SLICE_X50Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y15 CLASS site SITEPROP SLICE_X50Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y15 IS_BONDED 0 SITEPROP SLICE_X50Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y15 IS_PAD 0 SITEPROP SLICE_X50Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y15 IS_RESERVED 0 SITEPROP SLICE_X50Y15 IS_TEST 0 SITEPROP SLICE_X50Y15 IS_USED 0 SITEPROP SLICE_X50Y15 MANUAL_ROUTING SITEPROP SLICE_X50Y15 NAME SLICE_X50Y15 SITEPROP SLICE_X50Y15 NUM_ARCS 153 SITEPROP SLICE_X50Y15 NUM_BELS 32 SITEPROP SLICE_X50Y15 NUM_INPUTS 37 SITEPROP SLICE_X50Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y15 NUM_PINS 50 SITEPROP SLICE_X50Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y15 PROHIBIT 0 SITEPROP SLICE_X50Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y15 RPM_X 133 SITEPROP SLICE_X50Y15 RPM_Y 30 SITEPROP SLICE_X50Y15 SITE_PIPS SITEPROP SLICE_X50Y15 SITE_TYPE SLICEM SITEPROP SLICE_X50Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y16 CLASS site SITEPROP SLICE_X50Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y16 IS_BONDED 0 SITEPROP SLICE_X50Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y16 IS_PAD 0 SITEPROP SLICE_X50Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y16 IS_RESERVED 0 SITEPROP SLICE_X50Y16 IS_TEST 0 SITEPROP SLICE_X50Y16 IS_USED 0 SITEPROP SLICE_X50Y16 MANUAL_ROUTING SITEPROP SLICE_X50Y16 NAME SLICE_X50Y16 SITEPROP SLICE_X50Y16 NUM_ARCS 153 SITEPROP SLICE_X50Y16 NUM_BELS 32 SITEPROP SLICE_X50Y16 NUM_INPUTS 37 SITEPROP SLICE_X50Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y16 NUM_PINS 50 SITEPROP SLICE_X50Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y16 PROHIBIT 0 SITEPROP SLICE_X50Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y16 RPM_X 133 SITEPROP SLICE_X50Y16 RPM_Y 32 SITEPROP SLICE_X50Y16 SITE_PIPS SITEPROP SLICE_X50Y16 SITE_TYPE SLICEM SITEPROP SLICE_X50Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y17 CLASS site SITEPROP SLICE_X50Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y17 IS_BONDED 0 SITEPROP SLICE_X50Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y17 IS_PAD 0 SITEPROP SLICE_X50Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y17 IS_RESERVED 0 SITEPROP SLICE_X50Y17 IS_TEST 0 SITEPROP SLICE_X50Y17 IS_USED 0 SITEPROP SLICE_X50Y17 MANUAL_ROUTING SITEPROP SLICE_X50Y17 NAME SLICE_X50Y17 SITEPROP SLICE_X50Y17 NUM_ARCS 153 SITEPROP SLICE_X50Y17 NUM_BELS 32 SITEPROP SLICE_X50Y17 NUM_INPUTS 37 SITEPROP SLICE_X50Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y17 NUM_PINS 50 SITEPROP SLICE_X50Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y17 PROHIBIT 0 SITEPROP SLICE_X50Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y17 RPM_X 133 SITEPROP SLICE_X50Y17 RPM_Y 34 SITEPROP SLICE_X50Y17 SITE_PIPS SITEPROP SLICE_X50Y17 SITE_TYPE SLICEM SITEPROP SLICE_X50Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y18 CLASS site SITEPROP SLICE_X50Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y18 IS_BONDED 0 SITEPROP SLICE_X50Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y18 IS_PAD 0 SITEPROP SLICE_X50Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y18 IS_RESERVED 0 SITEPROP SLICE_X50Y18 IS_TEST 0 SITEPROP SLICE_X50Y18 IS_USED 0 SITEPROP SLICE_X50Y18 MANUAL_ROUTING SITEPROP SLICE_X50Y18 NAME SLICE_X50Y18 SITEPROP SLICE_X50Y18 NUM_ARCS 153 SITEPROP SLICE_X50Y18 NUM_BELS 32 SITEPROP SLICE_X50Y18 NUM_INPUTS 37 SITEPROP SLICE_X50Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y18 NUM_PINS 50 SITEPROP SLICE_X50Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y18 PROHIBIT 0 SITEPROP SLICE_X50Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y18 RPM_X 133 SITEPROP SLICE_X50Y18 RPM_Y 36 SITEPROP SLICE_X50Y18 SITE_PIPS SITEPROP SLICE_X50Y18 SITE_TYPE SLICEM SITEPROP SLICE_X50Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y19 CLASS site SITEPROP SLICE_X50Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y19 IS_BONDED 0 SITEPROP SLICE_X50Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y19 IS_PAD 0 SITEPROP SLICE_X50Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y19 IS_RESERVED 0 SITEPROP SLICE_X50Y19 IS_TEST 0 SITEPROP SLICE_X50Y19 IS_USED 0 SITEPROP SLICE_X50Y19 MANUAL_ROUTING SITEPROP SLICE_X50Y19 NAME SLICE_X50Y19 SITEPROP SLICE_X50Y19 NUM_ARCS 153 SITEPROP SLICE_X50Y19 NUM_BELS 32 SITEPROP SLICE_X50Y19 NUM_INPUTS 37 SITEPROP SLICE_X50Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y19 NUM_PINS 50 SITEPROP SLICE_X50Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y19 PROHIBIT 0 SITEPROP SLICE_X50Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y19 RPM_X 133 SITEPROP SLICE_X50Y19 RPM_Y 38 SITEPROP SLICE_X50Y19 SITE_PIPS SITEPROP SLICE_X50Y19 SITE_TYPE SLICEM SITEPROP SLICE_X50Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y20 CLASS site SITEPROP SLICE_X50Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y20 IS_BONDED 0 SITEPROP SLICE_X50Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y20 IS_PAD 0 SITEPROP SLICE_X50Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y20 IS_RESERVED 0 SITEPROP SLICE_X50Y20 IS_TEST 0 SITEPROP SLICE_X50Y20 IS_USED 0 SITEPROP SLICE_X50Y20 MANUAL_ROUTING SITEPROP SLICE_X50Y20 NAME SLICE_X50Y20 SITEPROP SLICE_X50Y20 NUM_ARCS 153 SITEPROP SLICE_X50Y20 NUM_BELS 32 SITEPROP SLICE_X50Y20 NUM_INPUTS 37 SITEPROP SLICE_X50Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y20 NUM_PINS 50 SITEPROP SLICE_X50Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y20 PROHIBIT 0 SITEPROP SLICE_X50Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y20 RPM_X 133 SITEPROP SLICE_X50Y20 RPM_Y 40 SITEPROP SLICE_X50Y20 SITE_PIPS SITEPROP SLICE_X50Y20 SITE_TYPE SLICEM SITEPROP SLICE_X50Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y21 CLASS site SITEPROP SLICE_X50Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y21 IS_BONDED 0 SITEPROP SLICE_X50Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y21 IS_PAD 0 SITEPROP SLICE_X50Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y21 IS_RESERVED 0 SITEPROP SLICE_X50Y21 IS_TEST 0 SITEPROP SLICE_X50Y21 IS_USED 0 SITEPROP SLICE_X50Y21 MANUAL_ROUTING SITEPROP SLICE_X50Y21 NAME SLICE_X50Y21 SITEPROP SLICE_X50Y21 NUM_ARCS 153 SITEPROP SLICE_X50Y21 NUM_BELS 32 SITEPROP SLICE_X50Y21 NUM_INPUTS 37 SITEPROP SLICE_X50Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y21 NUM_PINS 50 SITEPROP SLICE_X50Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y21 PROHIBIT 0 SITEPROP SLICE_X50Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y21 RPM_X 133 SITEPROP SLICE_X50Y21 RPM_Y 42 SITEPROP SLICE_X50Y21 SITE_PIPS SITEPROP SLICE_X50Y21 SITE_TYPE SLICEM SITEPROP SLICE_X50Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y22 CLASS site SITEPROP SLICE_X50Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y22 IS_BONDED 0 SITEPROP SLICE_X50Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y22 IS_PAD 0 SITEPROP SLICE_X50Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y22 IS_RESERVED 0 SITEPROP SLICE_X50Y22 IS_TEST 0 SITEPROP SLICE_X50Y22 IS_USED 0 SITEPROP SLICE_X50Y22 MANUAL_ROUTING SITEPROP SLICE_X50Y22 NAME SLICE_X50Y22 SITEPROP SLICE_X50Y22 NUM_ARCS 153 SITEPROP SLICE_X50Y22 NUM_BELS 32 SITEPROP SLICE_X50Y22 NUM_INPUTS 37 SITEPROP SLICE_X50Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y22 NUM_PINS 50 SITEPROP SLICE_X50Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y22 PROHIBIT 0 SITEPROP SLICE_X50Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y22 RPM_X 133 SITEPROP SLICE_X50Y22 RPM_Y 44 SITEPROP SLICE_X50Y22 SITE_PIPS SITEPROP SLICE_X50Y22 SITE_TYPE SLICEM SITEPROP SLICE_X50Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y23 CLASS site SITEPROP SLICE_X50Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y23 IS_BONDED 0 SITEPROP SLICE_X50Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y23 IS_PAD 0 SITEPROP SLICE_X50Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y23 IS_RESERVED 0 SITEPROP SLICE_X50Y23 IS_TEST 0 SITEPROP SLICE_X50Y23 IS_USED 0 SITEPROP SLICE_X50Y23 MANUAL_ROUTING SITEPROP SLICE_X50Y23 NAME SLICE_X50Y23 SITEPROP SLICE_X50Y23 NUM_ARCS 153 SITEPROP SLICE_X50Y23 NUM_BELS 32 SITEPROP SLICE_X50Y23 NUM_INPUTS 37 SITEPROP SLICE_X50Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y23 NUM_PINS 50 SITEPROP SLICE_X50Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y23 PROHIBIT 0 SITEPROP SLICE_X50Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y23 RPM_X 133 SITEPROP SLICE_X50Y23 RPM_Y 46 SITEPROP SLICE_X50Y23 SITE_PIPS SITEPROP SLICE_X50Y23 SITE_TYPE SLICEM SITEPROP SLICE_X50Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y24 CLASS site SITEPROP SLICE_X50Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y24 IS_BONDED 0 SITEPROP SLICE_X50Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y24 IS_PAD 0 SITEPROP SLICE_X50Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y24 IS_RESERVED 0 SITEPROP SLICE_X50Y24 IS_TEST 0 SITEPROP SLICE_X50Y24 IS_USED 0 SITEPROP SLICE_X50Y24 MANUAL_ROUTING SITEPROP SLICE_X50Y24 NAME SLICE_X50Y24 SITEPROP SLICE_X50Y24 NUM_ARCS 153 SITEPROP SLICE_X50Y24 NUM_BELS 32 SITEPROP SLICE_X50Y24 NUM_INPUTS 37 SITEPROP SLICE_X50Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y24 NUM_PINS 50 SITEPROP SLICE_X50Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y24 PROHIBIT 0 SITEPROP SLICE_X50Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y24 RPM_X 133 SITEPROP SLICE_X50Y24 RPM_Y 48 SITEPROP SLICE_X50Y24 SITE_PIPS SITEPROP SLICE_X50Y24 SITE_TYPE SLICEM SITEPROP SLICE_X50Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y25 CLASS site SITEPROP SLICE_X50Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y25 IS_BONDED 0 SITEPROP SLICE_X50Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y25 IS_PAD 0 SITEPROP SLICE_X50Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y25 IS_RESERVED 0 SITEPROP SLICE_X50Y25 IS_TEST 0 SITEPROP SLICE_X50Y25 IS_USED 0 SITEPROP SLICE_X50Y25 MANUAL_ROUTING SITEPROP SLICE_X50Y25 NAME SLICE_X50Y25 SITEPROP SLICE_X50Y25 NUM_ARCS 153 SITEPROP SLICE_X50Y25 NUM_BELS 32 SITEPROP SLICE_X50Y25 NUM_INPUTS 37 SITEPROP SLICE_X50Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y25 NUM_PINS 50 SITEPROP SLICE_X50Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y25 PROHIBIT 0 SITEPROP SLICE_X50Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y25 RPM_X 133 SITEPROP SLICE_X50Y25 RPM_Y 50 SITEPROP SLICE_X50Y25 SITE_PIPS SITEPROP SLICE_X50Y25 SITE_TYPE SLICEM SITEPROP SLICE_X50Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y26 CLASS site SITEPROP SLICE_X50Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y26 IS_BONDED 0 SITEPROP SLICE_X50Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y26 IS_PAD 0 SITEPROP SLICE_X50Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y26 IS_RESERVED 0 SITEPROP SLICE_X50Y26 IS_TEST 0 SITEPROP SLICE_X50Y26 IS_USED 0 SITEPROP SLICE_X50Y26 MANUAL_ROUTING SITEPROP SLICE_X50Y26 NAME SLICE_X50Y26 SITEPROP SLICE_X50Y26 NUM_ARCS 153 SITEPROP SLICE_X50Y26 NUM_BELS 32 SITEPROP SLICE_X50Y26 NUM_INPUTS 37 SITEPROP SLICE_X50Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y26 NUM_PINS 50 SITEPROP SLICE_X50Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y26 PROHIBIT 0 SITEPROP SLICE_X50Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y26 RPM_X 133 SITEPROP SLICE_X50Y26 RPM_Y 52 SITEPROP SLICE_X50Y26 SITE_PIPS SITEPROP SLICE_X50Y26 SITE_TYPE SLICEM SITEPROP SLICE_X50Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y27 CLASS site SITEPROP SLICE_X50Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y27 IS_BONDED 0 SITEPROP SLICE_X50Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y27 IS_PAD 0 SITEPROP SLICE_X50Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y27 IS_RESERVED 0 SITEPROP SLICE_X50Y27 IS_TEST 0 SITEPROP SLICE_X50Y27 IS_USED 0 SITEPROP SLICE_X50Y27 MANUAL_ROUTING SITEPROP SLICE_X50Y27 NAME SLICE_X50Y27 SITEPROP SLICE_X50Y27 NUM_ARCS 153 SITEPROP SLICE_X50Y27 NUM_BELS 32 SITEPROP SLICE_X50Y27 NUM_INPUTS 37 SITEPROP SLICE_X50Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y27 NUM_PINS 50 SITEPROP SLICE_X50Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y27 PROHIBIT 0 SITEPROP SLICE_X50Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y27 RPM_X 133 SITEPROP SLICE_X50Y27 RPM_Y 54 SITEPROP SLICE_X50Y27 SITE_PIPS SITEPROP SLICE_X50Y27 SITE_TYPE SLICEM SITEPROP SLICE_X50Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y28 CLASS site SITEPROP SLICE_X50Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y28 IS_BONDED 0 SITEPROP SLICE_X50Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y28 IS_PAD 0 SITEPROP SLICE_X50Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y28 IS_RESERVED 0 SITEPROP SLICE_X50Y28 IS_TEST 0 SITEPROP SLICE_X50Y28 IS_USED 0 SITEPROP SLICE_X50Y28 MANUAL_ROUTING SITEPROP SLICE_X50Y28 NAME SLICE_X50Y28 SITEPROP SLICE_X50Y28 NUM_ARCS 153 SITEPROP SLICE_X50Y28 NUM_BELS 32 SITEPROP SLICE_X50Y28 NUM_INPUTS 37 SITEPROP SLICE_X50Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y28 NUM_PINS 50 SITEPROP SLICE_X50Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y28 PROHIBIT 0 SITEPROP SLICE_X50Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y28 RPM_X 133 SITEPROP SLICE_X50Y28 RPM_Y 56 SITEPROP SLICE_X50Y28 SITE_PIPS SITEPROP SLICE_X50Y28 SITE_TYPE SLICEM SITEPROP SLICE_X50Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y29 CLASS site SITEPROP SLICE_X50Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y29 IS_BONDED 0 SITEPROP SLICE_X50Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y29 IS_PAD 0 SITEPROP SLICE_X50Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y29 IS_RESERVED 0 SITEPROP SLICE_X50Y29 IS_TEST 0 SITEPROP SLICE_X50Y29 IS_USED 0 SITEPROP SLICE_X50Y29 MANUAL_ROUTING SITEPROP SLICE_X50Y29 NAME SLICE_X50Y29 SITEPROP SLICE_X50Y29 NUM_ARCS 153 SITEPROP SLICE_X50Y29 NUM_BELS 32 SITEPROP SLICE_X50Y29 NUM_INPUTS 37 SITEPROP SLICE_X50Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y29 NUM_PINS 50 SITEPROP SLICE_X50Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y29 PROHIBIT 0 SITEPROP SLICE_X50Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y29 RPM_X 133 SITEPROP SLICE_X50Y29 RPM_Y 58 SITEPROP SLICE_X50Y29 SITE_PIPS SITEPROP SLICE_X50Y29 SITE_TYPE SLICEM SITEPROP SLICE_X50Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y30 CLASS site SITEPROP SLICE_X50Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y30 IS_BONDED 0 SITEPROP SLICE_X50Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y30 IS_PAD 0 SITEPROP SLICE_X50Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y30 IS_RESERVED 0 SITEPROP SLICE_X50Y30 IS_TEST 0 SITEPROP SLICE_X50Y30 IS_USED 0 SITEPROP SLICE_X50Y30 MANUAL_ROUTING SITEPROP SLICE_X50Y30 NAME SLICE_X50Y30 SITEPROP SLICE_X50Y30 NUM_ARCS 153 SITEPROP SLICE_X50Y30 NUM_BELS 32 SITEPROP SLICE_X50Y30 NUM_INPUTS 37 SITEPROP SLICE_X50Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y30 NUM_PINS 50 SITEPROP SLICE_X50Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y30 PROHIBIT 0 SITEPROP SLICE_X50Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y30 RPM_X 133 SITEPROP SLICE_X50Y30 RPM_Y 60 SITEPROP SLICE_X50Y30 SITE_PIPS SITEPROP SLICE_X50Y30 SITE_TYPE SLICEM SITEPROP SLICE_X50Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y31 CLASS site SITEPROP SLICE_X50Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y31 IS_BONDED 0 SITEPROP SLICE_X50Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y31 IS_PAD 0 SITEPROP SLICE_X50Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y31 IS_RESERVED 0 SITEPROP SLICE_X50Y31 IS_TEST 0 SITEPROP SLICE_X50Y31 IS_USED 0 SITEPROP SLICE_X50Y31 MANUAL_ROUTING SITEPROP SLICE_X50Y31 NAME SLICE_X50Y31 SITEPROP SLICE_X50Y31 NUM_ARCS 153 SITEPROP SLICE_X50Y31 NUM_BELS 32 SITEPROP SLICE_X50Y31 NUM_INPUTS 37 SITEPROP SLICE_X50Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y31 NUM_PINS 50 SITEPROP SLICE_X50Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y31 PROHIBIT 0 SITEPROP SLICE_X50Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y31 RPM_X 133 SITEPROP SLICE_X50Y31 RPM_Y 62 SITEPROP SLICE_X50Y31 SITE_PIPS SITEPROP SLICE_X50Y31 SITE_TYPE SLICEM SITEPROP SLICE_X50Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y32 CLASS site SITEPROP SLICE_X50Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y32 IS_BONDED 0 SITEPROP SLICE_X50Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y32 IS_PAD 0 SITEPROP SLICE_X50Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y32 IS_RESERVED 0 SITEPROP SLICE_X50Y32 IS_TEST 0 SITEPROP SLICE_X50Y32 IS_USED 0 SITEPROP SLICE_X50Y32 MANUAL_ROUTING SITEPROP SLICE_X50Y32 NAME SLICE_X50Y32 SITEPROP SLICE_X50Y32 NUM_ARCS 153 SITEPROP SLICE_X50Y32 NUM_BELS 32 SITEPROP SLICE_X50Y32 NUM_INPUTS 37 SITEPROP SLICE_X50Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y32 NUM_PINS 50 SITEPROP SLICE_X50Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y32 PROHIBIT 0 SITEPROP SLICE_X50Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y32 RPM_X 133 SITEPROP SLICE_X50Y32 RPM_Y 64 SITEPROP SLICE_X50Y32 SITE_PIPS SITEPROP SLICE_X50Y32 SITE_TYPE SLICEM SITEPROP SLICE_X50Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y33 CLASS site SITEPROP SLICE_X50Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y33 IS_BONDED 0 SITEPROP SLICE_X50Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y33 IS_PAD 0 SITEPROP SLICE_X50Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y33 IS_RESERVED 0 SITEPROP SLICE_X50Y33 IS_TEST 0 SITEPROP SLICE_X50Y33 IS_USED 0 SITEPROP SLICE_X50Y33 MANUAL_ROUTING SITEPROP SLICE_X50Y33 NAME SLICE_X50Y33 SITEPROP SLICE_X50Y33 NUM_ARCS 153 SITEPROP SLICE_X50Y33 NUM_BELS 32 SITEPROP SLICE_X50Y33 NUM_INPUTS 37 SITEPROP SLICE_X50Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y33 NUM_PINS 50 SITEPROP SLICE_X50Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y33 PROHIBIT 0 SITEPROP SLICE_X50Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y33 RPM_X 133 SITEPROP SLICE_X50Y33 RPM_Y 66 SITEPROP SLICE_X50Y33 SITE_PIPS SITEPROP SLICE_X50Y33 SITE_TYPE SLICEM SITEPROP SLICE_X50Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y34 CLASS site SITEPROP SLICE_X50Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y34 IS_BONDED 0 SITEPROP SLICE_X50Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y34 IS_PAD 0 SITEPROP SLICE_X50Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y34 IS_RESERVED 0 SITEPROP SLICE_X50Y34 IS_TEST 0 SITEPROP SLICE_X50Y34 IS_USED 0 SITEPROP SLICE_X50Y34 MANUAL_ROUTING SITEPROP SLICE_X50Y34 NAME SLICE_X50Y34 SITEPROP SLICE_X50Y34 NUM_ARCS 153 SITEPROP SLICE_X50Y34 NUM_BELS 32 SITEPROP SLICE_X50Y34 NUM_INPUTS 37 SITEPROP SLICE_X50Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y34 NUM_PINS 50 SITEPROP SLICE_X50Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y34 PROHIBIT 0 SITEPROP SLICE_X50Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y34 RPM_X 133 SITEPROP SLICE_X50Y34 RPM_Y 68 SITEPROP SLICE_X50Y34 SITE_PIPS SITEPROP SLICE_X50Y34 SITE_TYPE SLICEM SITEPROP SLICE_X50Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y35 CLASS site SITEPROP SLICE_X50Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y35 IS_BONDED 0 SITEPROP SLICE_X50Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y35 IS_PAD 0 SITEPROP SLICE_X50Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y35 IS_RESERVED 0 SITEPROP SLICE_X50Y35 IS_TEST 0 SITEPROP SLICE_X50Y35 IS_USED 0 SITEPROP SLICE_X50Y35 MANUAL_ROUTING SITEPROP SLICE_X50Y35 NAME SLICE_X50Y35 SITEPROP SLICE_X50Y35 NUM_ARCS 153 SITEPROP SLICE_X50Y35 NUM_BELS 32 SITEPROP SLICE_X50Y35 NUM_INPUTS 37 SITEPROP SLICE_X50Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y35 NUM_PINS 50 SITEPROP SLICE_X50Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y35 PROHIBIT 0 SITEPROP SLICE_X50Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y35 RPM_X 133 SITEPROP SLICE_X50Y35 RPM_Y 70 SITEPROP SLICE_X50Y35 SITE_PIPS SITEPROP SLICE_X50Y35 SITE_TYPE SLICEM SITEPROP SLICE_X50Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y36 CLASS site SITEPROP SLICE_X50Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y36 IS_BONDED 0 SITEPROP SLICE_X50Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y36 IS_PAD 0 SITEPROP SLICE_X50Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y36 IS_RESERVED 0 SITEPROP SLICE_X50Y36 IS_TEST 0 SITEPROP SLICE_X50Y36 IS_USED 0 SITEPROP SLICE_X50Y36 MANUAL_ROUTING SITEPROP SLICE_X50Y36 NAME SLICE_X50Y36 SITEPROP SLICE_X50Y36 NUM_ARCS 153 SITEPROP SLICE_X50Y36 NUM_BELS 32 SITEPROP SLICE_X50Y36 NUM_INPUTS 37 SITEPROP SLICE_X50Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y36 NUM_PINS 50 SITEPROP SLICE_X50Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y36 PROHIBIT 0 SITEPROP SLICE_X50Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y36 RPM_X 133 SITEPROP SLICE_X50Y36 RPM_Y 72 SITEPROP SLICE_X50Y36 SITE_PIPS SITEPROP SLICE_X50Y36 SITE_TYPE SLICEM SITEPROP SLICE_X50Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y37 CLASS site SITEPROP SLICE_X50Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y37 IS_BONDED 0 SITEPROP SLICE_X50Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y37 IS_PAD 0 SITEPROP SLICE_X50Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y37 IS_RESERVED 0 SITEPROP SLICE_X50Y37 IS_TEST 0 SITEPROP SLICE_X50Y37 IS_USED 0 SITEPROP SLICE_X50Y37 MANUAL_ROUTING SITEPROP SLICE_X50Y37 NAME SLICE_X50Y37 SITEPROP SLICE_X50Y37 NUM_ARCS 153 SITEPROP SLICE_X50Y37 NUM_BELS 32 SITEPROP SLICE_X50Y37 NUM_INPUTS 37 SITEPROP SLICE_X50Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y37 NUM_PINS 50 SITEPROP SLICE_X50Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y37 PROHIBIT 0 SITEPROP SLICE_X50Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y37 RPM_X 133 SITEPROP SLICE_X50Y37 RPM_Y 74 SITEPROP SLICE_X50Y37 SITE_PIPS SITEPROP SLICE_X50Y37 SITE_TYPE SLICEM SITEPROP SLICE_X50Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y38 CLASS site SITEPROP SLICE_X50Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y38 IS_BONDED 0 SITEPROP SLICE_X50Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y38 IS_PAD 0 SITEPROP SLICE_X50Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y38 IS_RESERVED 0 SITEPROP SLICE_X50Y38 IS_TEST 0 SITEPROP SLICE_X50Y38 IS_USED 0 SITEPROP SLICE_X50Y38 MANUAL_ROUTING SITEPROP SLICE_X50Y38 NAME SLICE_X50Y38 SITEPROP SLICE_X50Y38 NUM_ARCS 153 SITEPROP SLICE_X50Y38 NUM_BELS 32 SITEPROP SLICE_X50Y38 NUM_INPUTS 37 SITEPROP SLICE_X50Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y38 NUM_PINS 50 SITEPROP SLICE_X50Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y38 PROHIBIT 0 SITEPROP SLICE_X50Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y38 RPM_X 133 SITEPROP SLICE_X50Y38 RPM_Y 76 SITEPROP SLICE_X50Y38 SITE_PIPS SITEPROP SLICE_X50Y38 SITE_TYPE SLICEM SITEPROP SLICE_X50Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y39 CLASS site SITEPROP SLICE_X50Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y39 IS_BONDED 0 SITEPROP SLICE_X50Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y39 IS_PAD 0 SITEPROP SLICE_X50Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y39 IS_RESERVED 0 SITEPROP SLICE_X50Y39 IS_TEST 0 SITEPROP SLICE_X50Y39 IS_USED 0 SITEPROP SLICE_X50Y39 MANUAL_ROUTING SITEPROP SLICE_X50Y39 NAME SLICE_X50Y39 SITEPROP SLICE_X50Y39 NUM_ARCS 153 SITEPROP SLICE_X50Y39 NUM_BELS 32 SITEPROP SLICE_X50Y39 NUM_INPUTS 37 SITEPROP SLICE_X50Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y39 NUM_PINS 50 SITEPROP SLICE_X50Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y39 PROHIBIT 0 SITEPROP SLICE_X50Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y39 RPM_X 133 SITEPROP SLICE_X50Y39 RPM_Y 78 SITEPROP SLICE_X50Y39 SITE_PIPS SITEPROP SLICE_X50Y39 SITE_TYPE SLICEM SITEPROP SLICE_X50Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y40 CLASS site SITEPROP SLICE_X50Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y40 IS_BONDED 0 SITEPROP SLICE_X50Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y40 IS_PAD 0 SITEPROP SLICE_X50Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y40 IS_RESERVED 0 SITEPROP SLICE_X50Y40 IS_TEST 0 SITEPROP SLICE_X50Y40 IS_USED 0 SITEPROP SLICE_X50Y40 MANUAL_ROUTING SITEPROP SLICE_X50Y40 NAME SLICE_X50Y40 SITEPROP SLICE_X50Y40 NUM_ARCS 153 SITEPROP SLICE_X50Y40 NUM_BELS 32 SITEPROP SLICE_X50Y40 NUM_INPUTS 37 SITEPROP SLICE_X50Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y40 NUM_PINS 50 SITEPROP SLICE_X50Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y40 PROHIBIT 0 SITEPROP SLICE_X50Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y40 RPM_X 133 SITEPROP SLICE_X50Y40 RPM_Y 80 SITEPROP SLICE_X50Y40 SITE_PIPS SITEPROP SLICE_X50Y40 SITE_TYPE SLICEM SITEPROP SLICE_X50Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y41 CLASS site SITEPROP SLICE_X50Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y41 IS_BONDED 0 SITEPROP SLICE_X50Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y41 IS_PAD 0 SITEPROP SLICE_X50Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y41 IS_RESERVED 0 SITEPROP SLICE_X50Y41 IS_TEST 0 SITEPROP SLICE_X50Y41 IS_USED 0 SITEPROP SLICE_X50Y41 MANUAL_ROUTING SITEPROP SLICE_X50Y41 NAME SLICE_X50Y41 SITEPROP SLICE_X50Y41 NUM_ARCS 153 SITEPROP SLICE_X50Y41 NUM_BELS 32 SITEPROP SLICE_X50Y41 NUM_INPUTS 37 SITEPROP SLICE_X50Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y41 NUM_PINS 50 SITEPROP SLICE_X50Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y41 PROHIBIT 0 SITEPROP SLICE_X50Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y41 RPM_X 133 SITEPROP SLICE_X50Y41 RPM_Y 82 SITEPROP SLICE_X50Y41 SITE_PIPS SITEPROP SLICE_X50Y41 SITE_TYPE SLICEM SITEPROP SLICE_X50Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y42 CLASS site SITEPROP SLICE_X50Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y42 IS_BONDED 0 SITEPROP SLICE_X50Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y42 IS_PAD 0 SITEPROP SLICE_X50Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y42 IS_RESERVED 0 SITEPROP SLICE_X50Y42 IS_TEST 0 SITEPROP SLICE_X50Y42 IS_USED 0 SITEPROP SLICE_X50Y42 MANUAL_ROUTING SITEPROP SLICE_X50Y42 NAME SLICE_X50Y42 SITEPROP SLICE_X50Y42 NUM_ARCS 153 SITEPROP SLICE_X50Y42 NUM_BELS 32 SITEPROP SLICE_X50Y42 NUM_INPUTS 37 SITEPROP SLICE_X50Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y42 NUM_PINS 50 SITEPROP SLICE_X50Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y42 PROHIBIT 0 SITEPROP SLICE_X50Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y42 RPM_X 133 SITEPROP SLICE_X50Y42 RPM_Y 84 SITEPROP SLICE_X50Y42 SITE_PIPS SITEPROP SLICE_X50Y42 SITE_TYPE SLICEM SITEPROP SLICE_X50Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y43 CLASS site SITEPROP SLICE_X50Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y43 IS_BONDED 0 SITEPROP SLICE_X50Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y43 IS_PAD 0 SITEPROP SLICE_X50Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y43 IS_RESERVED 0 SITEPROP SLICE_X50Y43 IS_TEST 0 SITEPROP SLICE_X50Y43 IS_USED 0 SITEPROP SLICE_X50Y43 MANUAL_ROUTING SITEPROP SLICE_X50Y43 NAME SLICE_X50Y43 SITEPROP SLICE_X50Y43 NUM_ARCS 153 SITEPROP SLICE_X50Y43 NUM_BELS 32 SITEPROP SLICE_X50Y43 NUM_INPUTS 37 SITEPROP SLICE_X50Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y43 NUM_PINS 50 SITEPROP SLICE_X50Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y43 PROHIBIT 0 SITEPROP SLICE_X50Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y43 RPM_X 133 SITEPROP SLICE_X50Y43 RPM_Y 86 SITEPROP SLICE_X50Y43 SITE_PIPS SITEPROP SLICE_X50Y43 SITE_TYPE SLICEM SITEPROP SLICE_X50Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y44 CLASS site SITEPROP SLICE_X50Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y44 IS_BONDED 0 SITEPROP SLICE_X50Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y44 IS_PAD 0 SITEPROP SLICE_X50Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y44 IS_RESERVED 0 SITEPROP SLICE_X50Y44 IS_TEST 0 SITEPROP SLICE_X50Y44 IS_USED 0 SITEPROP SLICE_X50Y44 MANUAL_ROUTING SITEPROP SLICE_X50Y44 NAME SLICE_X50Y44 SITEPROP SLICE_X50Y44 NUM_ARCS 153 SITEPROP SLICE_X50Y44 NUM_BELS 32 SITEPROP SLICE_X50Y44 NUM_INPUTS 37 SITEPROP SLICE_X50Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y44 NUM_PINS 50 SITEPROP SLICE_X50Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y44 PROHIBIT 0 SITEPROP SLICE_X50Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y44 RPM_X 133 SITEPROP SLICE_X50Y44 RPM_Y 88 SITEPROP SLICE_X50Y44 SITE_PIPS SITEPROP SLICE_X50Y44 SITE_TYPE SLICEM SITEPROP SLICE_X50Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y45 CLASS site SITEPROP SLICE_X50Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y45 IS_BONDED 0 SITEPROP SLICE_X50Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y45 IS_PAD 0 SITEPROP SLICE_X50Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y45 IS_RESERVED 0 SITEPROP SLICE_X50Y45 IS_TEST 0 SITEPROP SLICE_X50Y45 IS_USED 0 SITEPROP SLICE_X50Y45 MANUAL_ROUTING SITEPROP SLICE_X50Y45 NAME SLICE_X50Y45 SITEPROP SLICE_X50Y45 NUM_ARCS 153 SITEPROP SLICE_X50Y45 NUM_BELS 32 SITEPROP SLICE_X50Y45 NUM_INPUTS 37 SITEPROP SLICE_X50Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y45 NUM_PINS 50 SITEPROP SLICE_X50Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y45 PROHIBIT 0 SITEPROP SLICE_X50Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y45 RPM_X 133 SITEPROP SLICE_X50Y45 RPM_Y 90 SITEPROP SLICE_X50Y45 SITE_PIPS SITEPROP SLICE_X50Y45 SITE_TYPE SLICEM SITEPROP SLICE_X50Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y46 CLASS site SITEPROP SLICE_X50Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y46 IS_BONDED 0 SITEPROP SLICE_X50Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y46 IS_PAD 0 SITEPROP SLICE_X50Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y46 IS_RESERVED 0 SITEPROP SLICE_X50Y46 IS_TEST 0 SITEPROP SLICE_X50Y46 IS_USED 0 SITEPROP SLICE_X50Y46 MANUAL_ROUTING SITEPROP SLICE_X50Y46 NAME SLICE_X50Y46 SITEPROP SLICE_X50Y46 NUM_ARCS 153 SITEPROP SLICE_X50Y46 NUM_BELS 32 SITEPROP SLICE_X50Y46 NUM_INPUTS 37 SITEPROP SLICE_X50Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y46 NUM_PINS 50 SITEPROP SLICE_X50Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y46 PROHIBIT 0 SITEPROP SLICE_X50Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y46 RPM_X 133 SITEPROP SLICE_X50Y46 RPM_Y 92 SITEPROP SLICE_X50Y46 SITE_PIPS SITEPROP SLICE_X50Y46 SITE_TYPE SLICEM SITEPROP SLICE_X50Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y47 CLASS site SITEPROP SLICE_X50Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y47 IS_BONDED 0 SITEPROP SLICE_X50Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y47 IS_PAD 0 SITEPROP SLICE_X50Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y47 IS_RESERVED 0 SITEPROP SLICE_X50Y47 IS_TEST 0 SITEPROP SLICE_X50Y47 IS_USED 0 SITEPROP SLICE_X50Y47 MANUAL_ROUTING SITEPROP SLICE_X50Y47 NAME SLICE_X50Y47 SITEPROP SLICE_X50Y47 NUM_ARCS 153 SITEPROP SLICE_X50Y47 NUM_BELS 32 SITEPROP SLICE_X50Y47 NUM_INPUTS 37 SITEPROP SLICE_X50Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y47 NUM_PINS 50 SITEPROP SLICE_X50Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y47 PROHIBIT 0 SITEPROP SLICE_X50Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y47 RPM_X 133 SITEPROP SLICE_X50Y47 RPM_Y 94 SITEPROP SLICE_X50Y47 SITE_PIPS SITEPROP SLICE_X50Y47 SITE_TYPE SLICEM SITEPROP SLICE_X50Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y48 CLASS site SITEPROP SLICE_X50Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y48 IS_BONDED 0 SITEPROP SLICE_X50Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y48 IS_PAD 0 SITEPROP SLICE_X50Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y48 IS_RESERVED 0 SITEPROP SLICE_X50Y48 IS_TEST 0 SITEPROP SLICE_X50Y48 IS_USED 0 SITEPROP SLICE_X50Y48 MANUAL_ROUTING SITEPROP SLICE_X50Y48 NAME SLICE_X50Y48 SITEPROP SLICE_X50Y48 NUM_ARCS 153 SITEPROP SLICE_X50Y48 NUM_BELS 32 SITEPROP SLICE_X50Y48 NUM_INPUTS 37 SITEPROP SLICE_X50Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y48 NUM_PINS 50 SITEPROP SLICE_X50Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y48 PROHIBIT 0 SITEPROP SLICE_X50Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y48 RPM_X 133 SITEPROP SLICE_X50Y48 RPM_Y 96 SITEPROP SLICE_X50Y48 SITE_PIPS SITEPROP SLICE_X50Y48 SITE_TYPE SLICEM SITEPROP SLICE_X50Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y49 CLASS site SITEPROP SLICE_X50Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X50Y49 IS_BONDED 0 SITEPROP SLICE_X50Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y49 IS_PAD 0 SITEPROP SLICE_X50Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y49 IS_RESERVED 0 SITEPROP SLICE_X50Y49 IS_TEST 0 SITEPROP SLICE_X50Y49 IS_USED 0 SITEPROP SLICE_X50Y49 MANUAL_ROUTING SITEPROP SLICE_X50Y49 NAME SLICE_X50Y49 SITEPROP SLICE_X50Y49 NUM_ARCS 153 SITEPROP SLICE_X50Y49 NUM_BELS 32 SITEPROP SLICE_X50Y49 NUM_INPUTS 37 SITEPROP SLICE_X50Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y49 NUM_PINS 50 SITEPROP SLICE_X50Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y49 PROHIBIT 0 SITEPROP SLICE_X50Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y49 RPM_X 133 SITEPROP SLICE_X50Y49 RPM_Y 98 SITEPROP SLICE_X50Y49 SITE_PIPS SITEPROP SLICE_X50Y49 SITE_TYPE SLICEM SITEPROP SLICE_X50Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y50 CLASS site SITEPROP SLICE_X50Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y50 IS_BONDED 0 SITEPROP SLICE_X50Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y50 IS_PAD 0 SITEPROP SLICE_X50Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y50 IS_RESERVED 0 SITEPROP SLICE_X50Y50 IS_TEST 0 SITEPROP SLICE_X50Y50 IS_USED 0 SITEPROP SLICE_X50Y50 MANUAL_ROUTING SITEPROP SLICE_X50Y50 NAME SLICE_X50Y50 SITEPROP SLICE_X50Y50 NUM_ARCS 153 SITEPROP SLICE_X50Y50 NUM_BELS 32 SITEPROP SLICE_X50Y50 NUM_INPUTS 37 SITEPROP SLICE_X50Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y50 NUM_PINS 50 SITEPROP SLICE_X50Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y50 PROHIBIT 0 SITEPROP SLICE_X50Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y50 RPM_X 133 SITEPROP SLICE_X50Y50 RPM_Y 100 SITEPROP SLICE_X50Y50 SITE_PIPS SITEPROP SLICE_X50Y50 SITE_TYPE SLICEM SITEPROP SLICE_X50Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y51 CLASS site SITEPROP SLICE_X50Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y51 IS_BONDED 0 SITEPROP SLICE_X50Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y51 IS_PAD 0 SITEPROP SLICE_X50Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y51 IS_RESERVED 0 SITEPROP SLICE_X50Y51 IS_TEST 0 SITEPROP SLICE_X50Y51 IS_USED 0 SITEPROP SLICE_X50Y51 MANUAL_ROUTING SITEPROP SLICE_X50Y51 NAME SLICE_X50Y51 SITEPROP SLICE_X50Y51 NUM_ARCS 153 SITEPROP SLICE_X50Y51 NUM_BELS 32 SITEPROP SLICE_X50Y51 NUM_INPUTS 37 SITEPROP SLICE_X50Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y51 NUM_PINS 50 SITEPROP SLICE_X50Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y51 PROHIBIT 0 SITEPROP SLICE_X50Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y51 RPM_X 133 SITEPROP SLICE_X50Y51 RPM_Y 102 SITEPROP SLICE_X50Y51 SITE_PIPS SITEPROP SLICE_X50Y51 SITE_TYPE SLICEM SITEPROP SLICE_X50Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y52 CLASS site SITEPROP SLICE_X50Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y52 IS_BONDED 0 SITEPROP SLICE_X50Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y52 IS_PAD 0 SITEPROP SLICE_X50Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y52 IS_RESERVED 0 SITEPROP SLICE_X50Y52 IS_TEST 0 SITEPROP SLICE_X50Y52 IS_USED 0 SITEPROP SLICE_X50Y52 MANUAL_ROUTING SITEPROP SLICE_X50Y52 NAME SLICE_X50Y52 SITEPROP SLICE_X50Y52 NUM_ARCS 153 SITEPROP SLICE_X50Y52 NUM_BELS 32 SITEPROP SLICE_X50Y52 NUM_INPUTS 37 SITEPROP SLICE_X50Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y52 NUM_PINS 50 SITEPROP SLICE_X50Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y52 PROHIBIT 0 SITEPROP SLICE_X50Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y52 RPM_X 133 SITEPROP SLICE_X50Y52 RPM_Y 104 SITEPROP SLICE_X50Y52 SITE_PIPS SITEPROP SLICE_X50Y52 SITE_TYPE SLICEM SITEPROP SLICE_X50Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y53 CLASS site SITEPROP SLICE_X50Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y53 IS_BONDED 0 SITEPROP SLICE_X50Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y53 IS_PAD 0 SITEPROP SLICE_X50Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y53 IS_RESERVED 0 SITEPROP SLICE_X50Y53 IS_TEST 0 SITEPROP SLICE_X50Y53 IS_USED 0 SITEPROP SLICE_X50Y53 MANUAL_ROUTING SITEPROP SLICE_X50Y53 NAME SLICE_X50Y53 SITEPROP SLICE_X50Y53 NUM_ARCS 153 SITEPROP SLICE_X50Y53 NUM_BELS 32 SITEPROP SLICE_X50Y53 NUM_INPUTS 37 SITEPROP SLICE_X50Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y53 NUM_PINS 50 SITEPROP SLICE_X50Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y53 PROHIBIT 0 SITEPROP SLICE_X50Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y53 RPM_X 133 SITEPROP SLICE_X50Y53 RPM_Y 106 SITEPROP SLICE_X50Y53 SITE_PIPS SITEPROP SLICE_X50Y53 SITE_TYPE SLICEM SITEPROP SLICE_X50Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y54 CLASS site SITEPROP SLICE_X50Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y54 IS_BONDED 0 SITEPROP SLICE_X50Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y54 IS_PAD 0 SITEPROP SLICE_X50Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y54 IS_RESERVED 0 SITEPROP SLICE_X50Y54 IS_TEST 0 SITEPROP SLICE_X50Y54 IS_USED 0 SITEPROP SLICE_X50Y54 MANUAL_ROUTING SITEPROP SLICE_X50Y54 NAME SLICE_X50Y54 SITEPROP SLICE_X50Y54 NUM_ARCS 153 SITEPROP SLICE_X50Y54 NUM_BELS 32 SITEPROP SLICE_X50Y54 NUM_INPUTS 37 SITEPROP SLICE_X50Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y54 NUM_PINS 50 SITEPROP SLICE_X50Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y54 PROHIBIT 0 SITEPROP SLICE_X50Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y54 RPM_X 133 SITEPROP SLICE_X50Y54 RPM_Y 108 SITEPROP SLICE_X50Y54 SITE_PIPS SITEPROP SLICE_X50Y54 SITE_TYPE SLICEM SITEPROP SLICE_X50Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y55 CLASS site SITEPROP SLICE_X50Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y55 IS_BONDED 0 SITEPROP SLICE_X50Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y55 IS_PAD 0 SITEPROP SLICE_X50Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y55 IS_RESERVED 0 SITEPROP SLICE_X50Y55 IS_TEST 0 SITEPROP SLICE_X50Y55 IS_USED 0 SITEPROP SLICE_X50Y55 MANUAL_ROUTING SITEPROP SLICE_X50Y55 NAME SLICE_X50Y55 SITEPROP SLICE_X50Y55 NUM_ARCS 153 SITEPROP SLICE_X50Y55 NUM_BELS 32 SITEPROP SLICE_X50Y55 NUM_INPUTS 37 SITEPROP SLICE_X50Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y55 NUM_PINS 50 SITEPROP SLICE_X50Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y55 PROHIBIT 0 SITEPROP SLICE_X50Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y55 RPM_X 133 SITEPROP SLICE_X50Y55 RPM_Y 110 SITEPROP SLICE_X50Y55 SITE_PIPS SITEPROP SLICE_X50Y55 SITE_TYPE SLICEM SITEPROP SLICE_X50Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y56 CLASS site SITEPROP SLICE_X50Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y56 IS_BONDED 0 SITEPROP SLICE_X50Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y56 IS_PAD 0 SITEPROP SLICE_X50Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y56 IS_RESERVED 0 SITEPROP SLICE_X50Y56 IS_TEST 0 SITEPROP SLICE_X50Y56 IS_USED 0 SITEPROP SLICE_X50Y56 MANUAL_ROUTING SITEPROP SLICE_X50Y56 NAME SLICE_X50Y56 SITEPROP SLICE_X50Y56 NUM_ARCS 153 SITEPROP SLICE_X50Y56 NUM_BELS 32 SITEPROP SLICE_X50Y56 NUM_INPUTS 37 SITEPROP SLICE_X50Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y56 NUM_PINS 50 SITEPROP SLICE_X50Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y56 PROHIBIT 0 SITEPROP SLICE_X50Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y56 RPM_X 133 SITEPROP SLICE_X50Y56 RPM_Y 112 SITEPROP SLICE_X50Y56 SITE_PIPS SITEPROP SLICE_X50Y56 SITE_TYPE SLICEM SITEPROP SLICE_X50Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y57 CLASS site SITEPROP SLICE_X50Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y57 IS_BONDED 0 SITEPROP SLICE_X50Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y57 IS_PAD 0 SITEPROP SLICE_X50Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y57 IS_RESERVED 0 SITEPROP SLICE_X50Y57 IS_TEST 0 SITEPROP SLICE_X50Y57 IS_USED 0 SITEPROP SLICE_X50Y57 MANUAL_ROUTING SITEPROP SLICE_X50Y57 NAME SLICE_X50Y57 SITEPROP SLICE_X50Y57 NUM_ARCS 153 SITEPROP SLICE_X50Y57 NUM_BELS 32 SITEPROP SLICE_X50Y57 NUM_INPUTS 37 SITEPROP SLICE_X50Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y57 NUM_PINS 50 SITEPROP SLICE_X50Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y57 PROHIBIT 0 SITEPROP SLICE_X50Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y57 RPM_X 133 SITEPROP SLICE_X50Y57 RPM_Y 114 SITEPROP SLICE_X50Y57 SITE_PIPS SITEPROP SLICE_X50Y57 SITE_TYPE SLICEM SITEPROP SLICE_X50Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y58 CLASS site SITEPROP SLICE_X50Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y58 IS_BONDED 0 SITEPROP SLICE_X50Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y58 IS_PAD 0 SITEPROP SLICE_X50Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y58 IS_RESERVED 0 SITEPROP SLICE_X50Y58 IS_TEST 0 SITEPROP SLICE_X50Y58 IS_USED 0 SITEPROP SLICE_X50Y58 MANUAL_ROUTING SITEPROP SLICE_X50Y58 NAME SLICE_X50Y58 SITEPROP SLICE_X50Y58 NUM_ARCS 153 SITEPROP SLICE_X50Y58 NUM_BELS 32 SITEPROP SLICE_X50Y58 NUM_INPUTS 37 SITEPROP SLICE_X50Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y58 NUM_PINS 50 SITEPROP SLICE_X50Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y58 PROHIBIT 0 SITEPROP SLICE_X50Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y58 RPM_X 133 SITEPROP SLICE_X50Y58 RPM_Y 116 SITEPROP SLICE_X50Y58 SITE_PIPS SITEPROP SLICE_X50Y58 SITE_TYPE SLICEM SITEPROP SLICE_X50Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y59 CLASS site SITEPROP SLICE_X50Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y59 IS_BONDED 0 SITEPROP SLICE_X50Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y59 IS_PAD 0 SITEPROP SLICE_X50Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y59 IS_RESERVED 0 SITEPROP SLICE_X50Y59 IS_TEST 0 SITEPROP SLICE_X50Y59 IS_USED 0 SITEPROP SLICE_X50Y59 MANUAL_ROUTING SITEPROP SLICE_X50Y59 NAME SLICE_X50Y59 SITEPROP SLICE_X50Y59 NUM_ARCS 153 SITEPROP SLICE_X50Y59 NUM_BELS 32 SITEPROP SLICE_X50Y59 NUM_INPUTS 37 SITEPROP SLICE_X50Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y59 NUM_PINS 50 SITEPROP SLICE_X50Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y59 PROHIBIT 0 SITEPROP SLICE_X50Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y59 RPM_X 133 SITEPROP SLICE_X50Y59 RPM_Y 118 SITEPROP SLICE_X50Y59 SITE_PIPS SITEPROP SLICE_X50Y59 SITE_TYPE SLICEM SITEPROP SLICE_X50Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y60 CLASS site SITEPROP SLICE_X50Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y60 IS_BONDED 0 SITEPROP SLICE_X50Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y60 IS_PAD 0 SITEPROP SLICE_X50Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y60 IS_RESERVED 0 SITEPROP SLICE_X50Y60 IS_TEST 0 SITEPROP SLICE_X50Y60 IS_USED 0 SITEPROP SLICE_X50Y60 MANUAL_ROUTING SITEPROP SLICE_X50Y60 NAME SLICE_X50Y60 SITEPROP SLICE_X50Y60 NUM_ARCS 153 SITEPROP SLICE_X50Y60 NUM_BELS 32 SITEPROP SLICE_X50Y60 NUM_INPUTS 37 SITEPROP SLICE_X50Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y60 NUM_PINS 50 SITEPROP SLICE_X50Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y60 PROHIBIT 0 SITEPROP SLICE_X50Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y60 RPM_X 133 SITEPROP SLICE_X50Y60 RPM_Y 120 SITEPROP SLICE_X50Y60 SITE_PIPS SITEPROP SLICE_X50Y60 SITE_TYPE SLICEM SITEPROP SLICE_X50Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y61 CLASS site SITEPROP SLICE_X50Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y61 IS_BONDED 0 SITEPROP SLICE_X50Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y61 IS_PAD 0 SITEPROP SLICE_X50Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y61 IS_RESERVED 0 SITEPROP SLICE_X50Y61 IS_TEST 0 SITEPROP SLICE_X50Y61 IS_USED 0 SITEPROP SLICE_X50Y61 MANUAL_ROUTING SITEPROP SLICE_X50Y61 NAME SLICE_X50Y61 SITEPROP SLICE_X50Y61 NUM_ARCS 153 SITEPROP SLICE_X50Y61 NUM_BELS 32 SITEPROP SLICE_X50Y61 NUM_INPUTS 37 SITEPROP SLICE_X50Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y61 NUM_PINS 50 SITEPROP SLICE_X50Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y61 PROHIBIT 0 SITEPROP SLICE_X50Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y61 RPM_X 133 SITEPROP SLICE_X50Y61 RPM_Y 122 SITEPROP SLICE_X50Y61 SITE_PIPS SITEPROP SLICE_X50Y61 SITE_TYPE SLICEM SITEPROP SLICE_X50Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y62 CLASS site SITEPROP SLICE_X50Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y62 IS_BONDED 0 SITEPROP SLICE_X50Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y62 IS_PAD 0 SITEPROP SLICE_X50Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y62 IS_RESERVED 0 SITEPROP SLICE_X50Y62 IS_TEST 0 SITEPROP SLICE_X50Y62 IS_USED 0 SITEPROP SLICE_X50Y62 MANUAL_ROUTING SITEPROP SLICE_X50Y62 NAME SLICE_X50Y62 SITEPROP SLICE_X50Y62 NUM_ARCS 153 SITEPROP SLICE_X50Y62 NUM_BELS 32 SITEPROP SLICE_X50Y62 NUM_INPUTS 37 SITEPROP SLICE_X50Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y62 NUM_PINS 50 SITEPROP SLICE_X50Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y62 PROHIBIT 0 SITEPROP SLICE_X50Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y62 RPM_X 133 SITEPROP SLICE_X50Y62 RPM_Y 124 SITEPROP SLICE_X50Y62 SITE_PIPS SITEPROP SLICE_X50Y62 SITE_TYPE SLICEM SITEPROP SLICE_X50Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y63 CLASS site SITEPROP SLICE_X50Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y63 IS_BONDED 0 SITEPROP SLICE_X50Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y63 IS_PAD 0 SITEPROP SLICE_X50Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y63 IS_RESERVED 0 SITEPROP SLICE_X50Y63 IS_TEST 0 SITEPROP SLICE_X50Y63 IS_USED 0 SITEPROP SLICE_X50Y63 MANUAL_ROUTING SITEPROP SLICE_X50Y63 NAME SLICE_X50Y63 SITEPROP SLICE_X50Y63 NUM_ARCS 153 SITEPROP SLICE_X50Y63 NUM_BELS 32 SITEPROP SLICE_X50Y63 NUM_INPUTS 37 SITEPROP SLICE_X50Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y63 NUM_PINS 50 SITEPROP SLICE_X50Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y63 PROHIBIT 0 SITEPROP SLICE_X50Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y63 RPM_X 133 SITEPROP SLICE_X50Y63 RPM_Y 126 SITEPROP SLICE_X50Y63 SITE_PIPS SITEPROP SLICE_X50Y63 SITE_TYPE SLICEM SITEPROP SLICE_X50Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y64 CLASS site SITEPROP SLICE_X50Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y64 IS_BONDED 0 SITEPROP SLICE_X50Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y64 IS_PAD 0 SITEPROP SLICE_X50Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y64 IS_RESERVED 0 SITEPROP SLICE_X50Y64 IS_TEST 0 SITEPROP SLICE_X50Y64 IS_USED 0 SITEPROP SLICE_X50Y64 MANUAL_ROUTING SITEPROP SLICE_X50Y64 NAME SLICE_X50Y64 SITEPROP SLICE_X50Y64 NUM_ARCS 153 SITEPROP SLICE_X50Y64 NUM_BELS 32 SITEPROP SLICE_X50Y64 NUM_INPUTS 37 SITEPROP SLICE_X50Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y64 NUM_PINS 50 SITEPROP SLICE_X50Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y64 PROHIBIT 0 SITEPROP SLICE_X50Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y64 RPM_X 133 SITEPROP SLICE_X50Y64 RPM_Y 128 SITEPROP SLICE_X50Y64 SITE_PIPS SITEPROP SLICE_X50Y64 SITE_TYPE SLICEM SITEPROP SLICE_X50Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y65 CLASS site SITEPROP SLICE_X50Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y65 IS_BONDED 0 SITEPROP SLICE_X50Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y65 IS_PAD 0 SITEPROP SLICE_X50Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y65 IS_RESERVED 0 SITEPROP SLICE_X50Y65 IS_TEST 0 SITEPROP SLICE_X50Y65 IS_USED 0 SITEPROP SLICE_X50Y65 MANUAL_ROUTING SITEPROP SLICE_X50Y65 NAME SLICE_X50Y65 SITEPROP SLICE_X50Y65 NUM_ARCS 153 SITEPROP SLICE_X50Y65 NUM_BELS 32 SITEPROP SLICE_X50Y65 NUM_INPUTS 37 SITEPROP SLICE_X50Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y65 NUM_PINS 50 SITEPROP SLICE_X50Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y65 PROHIBIT 0 SITEPROP SLICE_X50Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y65 RPM_X 133 SITEPROP SLICE_X50Y65 RPM_Y 130 SITEPROP SLICE_X50Y65 SITE_PIPS SITEPROP SLICE_X50Y65 SITE_TYPE SLICEM SITEPROP SLICE_X50Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y66 CLASS site SITEPROP SLICE_X50Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y66 IS_BONDED 0 SITEPROP SLICE_X50Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y66 IS_PAD 0 SITEPROP SLICE_X50Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y66 IS_RESERVED 0 SITEPROP SLICE_X50Y66 IS_TEST 0 SITEPROP SLICE_X50Y66 IS_USED 0 SITEPROP SLICE_X50Y66 MANUAL_ROUTING SITEPROP SLICE_X50Y66 NAME SLICE_X50Y66 SITEPROP SLICE_X50Y66 NUM_ARCS 153 SITEPROP SLICE_X50Y66 NUM_BELS 32 SITEPROP SLICE_X50Y66 NUM_INPUTS 37 SITEPROP SLICE_X50Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y66 NUM_PINS 50 SITEPROP SLICE_X50Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y66 PROHIBIT 0 SITEPROP SLICE_X50Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y66 RPM_X 133 SITEPROP SLICE_X50Y66 RPM_Y 132 SITEPROP SLICE_X50Y66 SITE_PIPS SITEPROP SLICE_X50Y66 SITE_TYPE SLICEM SITEPROP SLICE_X50Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y67 CLASS site SITEPROP SLICE_X50Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y67 IS_BONDED 0 SITEPROP SLICE_X50Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y67 IS_PAD 0 SITEPROP SLICE_X50Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y67 IS_RESERVED 0 SITEPROP SLICE_X50Y67 IS_TEST 0 SITEPROP SLICE_X50Y67 IS_USED 0 SITEPROP SLICE_X50Y67 MANUAL_ROUTING SITEPROP SLICE_X50Y67 NAME SLICE_X50Y67 SITEPROP SLICE_X50Y67 NUM_ARCS 153 SITEPROP SLICE_X50Y67 NUM_BELS 32 SITEPROP SLICE_X50Y67 NUM_INPUTS 37 SITEPROP SLICE_X50Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y67 NUM_PINS 50 SITEPROP SLICE_X50Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y67 PROHIBIT 0 SITEPROP SLICE_X50Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y67 RPM_X 133 SITEPROP SLICE_X50Y67 RPM_Y 134 SITEPROP SLICE_X50Y67 SITE_PIPS SITEPROP SLICE_X50Y67 SITE_TYPE SLICEM SITEPROP SLICE_X50Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y68 CLASS site SITEPROP SLICE_X50Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y68 IS_BONDED 0 SITEPROP SLICE_X50Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y68 IS_PAD 0 SITEPROP SLICE_X50Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y68 IS_RESERVED 0 SITEPROP SLICE_X50Y68 IS_TEST 0 SITEPROP SLICE_X50Y68 IS_USED 0 SITEPROP SLICE_X50Y68 MANUAL_ROUTING SITEPROP SLICE_X50Y68 NAME SLICE_X50Y68 SITEPROP SLICE_X50Y68 NUM_ARCS 153 SITEPROP SLICE_X50Y68 NUM_BELS 32 SITEPROP SLICE_X50Y68 NUM_INPUTS 37 SITEPROP SLICE_X50Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y68 NUM_PINS 50 SITEPROP SLICE_X50Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y68 PROHIBIT 0 SITEPROP SLICE_X50Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y68 RPM_X 133 SITEPROP SLICE_X50Y68 RPM_Y 136 SITEPROP SLICE_X50Y68 SITE_PIPS SITEPROP SLICE_X50Y68 SITE_TYPE SLICEM SITEPROP SLICE_X50Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y69 CLASS site SITEPROP SLICE_X50Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y69 IS_BONDED 0 SITEPROP SLICE_X50Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y69 IS_PAD 0 SITEPROP SLICE_X50Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y69 IS_RESERVED 0 SITEPROP SLICE_X50Y69 IS_TEST 0 SITEPROP SLICE_X50Y69 IS_USED 0 SITEPROP SLICE_X50Y69 MANUAL_ROUTING SITEPROP SLICE_X50Y69 NAME SLICE_X50Y69 SITEPROP SLICE_X50Y69 NUM_ARCS 153 SITEPROP SLICE_X50Y69 NUM_BELS 32 SITEPROP SLICE_X50Y69 NUM_INPUTS 37 SITEPROP SLICE_X50Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y69 NUM_PINS 50 SITEPROP SLICE_X50Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y69 PROHIBIT 0 SITEPROP SLICE_X50Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y69 RPM_X 133 SITEPROP SLICE_X50Y69 RPM_Y 138 SITEPROP SLICE_X50Y69 SITE_PIPS SITEPROP SLICE_X50Y69 SITE_TYPE SLICEM SITEPROP SLICE_X50Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y70 CLASS site SITEPROP SLICE_X50Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y70 IS_BONDED 0 SITEPROP SLICE_X50Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y70 IS_PAD 0 SITEPROP SLICE_X50Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y70 IS_RESERVED 0 SITEPROP SLICE_X50Y70 IS_TEST 0 SITEPROP SLICE_X50Y70 IS_USED 0 SITEPROP SLICE_X50Y70 MANUAL_ROUTING SITEPROP SLICE_X50Y70 NAME SLICE_X50Y70 SITEPROP SLICE_X50Y70 NUM_ARCS 153 SITEPROP SLICE_X50Y70 NUM_BELS 32 SITEPROP SLICE_X50Y70 NUM_INPUTS 37 SITEPROP SLICE_X50Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y70 NUM_PINS 50 SITEPROP SLICE_X50Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y70 PROHIBIT 0 SITEPROP SLICE_X50Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y70 RPM_X 133 SITEPROP SLICE_X50Y70 RPM_Y 140 SITEPROP SLICE_X50Y70 SITE_PIPS SITEPROP SLICE_X50Y70 SITE_TYPE SLICEM SITEPROP SLICE_X50Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y71 CLASS site SITEPROP SLICE_X50Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y71 IS_BONDED 0 SITEPROP SLICE_X50Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y71 IS_PAD 0 SITEPROP SLICE_X50Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y71 IS_RESERVED 0 SITEPROP SLICE_X50Y71 IS_TEST 0 SITEPROP SLICE_X50Y71 IS_USED 0 SITEPROP SLICE_X50Y71 MANUAL_ROUTING SITEPROP SLICE_X50Y71 NAME SLICE_X50Y71 SITEPROP SLICE_X50Y71 NUM_ARCS 153 SITEPROP SLICE_X50Y71 NUM_BELS 32 SITEPROP SLICE_X50Y71 NUM_INPUTS 37 SITEPROP SLICE_X50Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y71 NUM_PINS 50 SITEPROP SLICE_X50Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y71 PROHIBIT 0 SITEPROP SLICE_X50Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y71 RPM_X 133 SITEPROP SLICE_X50Y71 RPM_Y 142 SITEPROP SLICE_X50Y71 SITE_PIPS SITEPROP SLICE_X50Y71 SITE_TYPE SLICEM SITEPROP SLICE_X50Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y72 CLASS site SITEPROP SLICE_X50Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y72 IS_BONDED 0 SITEPROP SLICE_X50Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y72 IS_PAD 0 SITEPROP SLICE_X50Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y72 IS_RESERVED 0 SITEPROP SLICE_X50Y72 IS_TEST 0 SITEPROP SLICE_X50Y72 IS_USED 0 SITEPROP SLICE_X50Y72 MANUAL_ROUTING SITEPROP SLICE_X50Y72 NAME SLICE_X50Y72 SITEPROP SLICE_X50Y72 NUM_ARCS 153 SITEPROP SLICE_X50Y72 NUM_BELS 32 SITEPROP SLICE_X50Y72 NUM_INPUTS 37 SITEPROP SLICE_X50Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y72 NUM_PINS 50 SITEPROP SLICE_X50Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y72 PROHIBIT 0 SITEPROP SLICE_X50Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y72 RPM_X 133 SITEPROP SLICE_X50Y72 RPM_Y 144 SITEPROP SLICE_X50Y72 SITE_PIPS SITEPROP SLICE_X50Y72 SITE_TYPE SLICEM SITEPROP SLICE_X50Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y73 CLASS site SITEPROP SLICE_X50Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y73 IS_BONDED 0 SITEPROP SLICE_X50Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y73 IS_PAD 0 SITEPROP SLICE_X50Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y73 IS_RESERVED 0 SITEPROP SLICE_X50Y73 IS_TEST 0 SITEPROP SLICE_X50Y73 IS_USED 0 SITEPROP SLICE_X50Y73 MANUAL_ROUTING SITEPROP SLICE_X50Y73 NAME SLICE_X50Y73 SITEPROP SLICE_X50Y73 NUM_ARCS 153 SITEPROP SLICE_X50Y73 NUM_BELS 32 SITEPROP SLICE_X50Y73 NUM_INPUTS 37 SITEPROP SLICE_X50Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y73 NUM_PINS 50 SITEPROP SLICE_X50Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y73 PROHIBIT 0 SITEPROP SLICE_X50Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y73 RPM_X 133 SITEPROP SLICE_X50Y73 RPM_Y 146 SITEPROP SLICE_X50Y73 SITE_PIPS SITEPROP SLICE_X50Y73 SITE_TYPE SLICEM SITEPROP SLICE_X50Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y74 CLASS site SITEPROP SLICE_X50Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y74 IS_BONDED 0 SITEPROP SLICE_X50Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y74 IS_PAD 0 SITEPROP SLICE_X50Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y74 IS_RESERVED 0 SITEPROP SLICE_X50Y74 IS_TEST 0 SITEPROP SLICE_X50Y74 IS_USED 0 SITEPROP SLICE_X50Y74 MANUAL_ROUTING SITEPROP SLICE_X50Y74 NAME SLICE_X50Y74 SITEPROP SLICE_X50Y74 NUM_ARCS 153 SITEPROP SLICE_X50Y74 NUM_BELS 32 SITEPROP SLICE_X50Y74 NUM_INPUTS 37 SITEPROP SLICE_X50Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y74 NUM_PINS 50 SITEPROP SLICE_X50Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y74 PROHIBIT 0 SITEPROP SLICE_X50Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y74 RPM_X 133 SITEPROP SLICE_X50Y74 RPM_Y 148 SITEPROP SLICE_X50Y74 SITE_PIPS SITEPROP SLICE_X50Y74 SITE_TYPE SLICEM SITEPROP SLICE_X50Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y75 CLASS site SITEPROP SLICE_X50Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y75 IS_BONDED 0 SITEPROP SLICE_X50Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y75 IS_PAD 0 SITEPROP SLICE_X50Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y75 IS_RESERVED 0 SITEPROP SLICE_X50Y75 IS_TEST 0 SITEPROP SLICE_X50Y75 IS_USED 0 SITEPROP SLICE_X50Y75 MANUAL_ROUTING SITEPROP SLICE_X50Y75 NAME SLICE_X50Y75 SITEPROP SLICE_X50Y75 NUM_ARCS 153 SITEPROP SLICE_X50Y75 NUM_BELS 32 SITEPROP SLICE_X50Y75 NUM_INPUTS 37 SITEPROP SLICE_X50Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y75 NUM_PINS 50 SITEPROP SLICE_X50Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y75 PROHIBIT 0 SITEPROP SLICE_X50Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y75 RPM_X 133 SITEPROP SLICE_X50Y75 RPM_Y 150 SITEPROP SLICE_X50Y75 SITE_PIPS SITEPROP SLICE_X50Y75 SITE_TYPE SLICEM SITEPROP SLICE_X50Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y76 CLASS site SITEPROP SLICE_X50Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y76 IS_BONDED 0 SITEPROP SLICE_X50Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y76 IS_PAD 0 SITEPROP SLICE_X50Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y76 IS_RESERVED 0 SITEPROP SLICE_X50Y76 IS_TEST 0 SITEPROP SLICE_X50Y76 IS_USED 0 SITEPROP SLICE_X50Y76 MANUAL_ROUTING SITEPROP SLICE_X50Y76 NAME SLICE_X50Y76 SITEPROP SLICE_X50Y76 NUM_ARCS 153 SITEPROP SLICE_X50Y76 NUM_BELS 32 SITEPROP SLICE_X50Y76 NUM_INPUTS 37 SITEPROP SLICE_X50Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y76 NUM_PINS 50 SITEPROP SLICE_X50Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y76 PROHIBIT 0 SITEPROP SLICE_X50Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y76 RPM_X 133 SITEPROP SLICE_X50Y76 RPM_Y 152 SITEPROP SLICE_X50Y76 SITE_PIPS SITEPROP SLICE_X50Y76 SITE_TYPE SLICEM SITEPROP SLICE_X50Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y77 CLASS site SITEPROP SLICE_X50Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y77 IS_BONDED 0 SITEPROP SLICE_X50Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y77 IS_PAD 0 SITEPROP SLICE_X50Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y77 IS_RESERVED 0 SITEPROP SLICE_X50Y77 IS_TEST 0 SITEPROP SLICE_X50Y77 IS_USED 0 SITEPROP SLICE_X50Y77 MANUAL_ROUTING SITEPROP SLICE_X50Y77 NAME SLICE_X50Y77 SITEPROP SLICE_X50Y77 NUM_ARCS 153 SITEPROP SLICE_X50Y77 NUM_BELS 32 SITEPROP SLICE_X50Y77 NUM_INPUTS 37 SITEPROP SLICE_X50Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y77 NUM_PINS 50 SITEPROP SLICE_X50Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y77 PROHIBIT 0 SITEPROP SLICE_X50Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y77 RPM_X 133 SITEPROP SLICE_X50Y77 RPM_Y 154 SITEPROP SLICE_X50Y77 SITE_PIPS SITEPROP SLICE_X50Y77 SITE_TYPE SLICEM SITEPROP SLICE_X50Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y78 CLASS site SITEPROP SLICE_X50Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y78 IS_BONDED 0 SITEPROP SLICE_X50Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y78 IS_PAD 0 SITEPROP SLICE_X50Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y78 IS_RESERVED 0 SITEPROP SLICE_X50Y78 IS_TEST 0 SITEPROP SLICE_X50Y78 IS_USED 0 SITEPROP SLICE_X50Y78 MANUAL_ROUTING SITEPROP SLICE_X50Y78 NAME SLICE_X50Y78 SITEPROP SLICE_X50Y78 NUM_ARCS 153 SITEPROP SLICE_X50Y78 NUM_BELS 32 SITEPROP SLICE_X50Y78 NUM_INPUTS 37 SITEPROP SLICE_X50Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y78 NUM_PINS 50 SITEPROP SLICE_X50Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y78 PROHIBIT 0 SITEPROP SLICE_X50Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y78 RPM_X 133 SITEPROP SLICE_X50Y78 RPM_Y 156 SITEPROP SLICE_X50Y78 SITE_PIPS SITEPROP SLICE_X50Y78 SITE_TYPE SLICEM SITEPROP SLICE_X50Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y79 CLASS site SITEPROP SLICE_X50Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y79 IS_BONDED 0 SITEPROP SLICE_X50Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y79 IS_PAD 0 SITEPROP SLICE_X50Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y79 IS_RESERVED 0 SITEPROP SLICE_X50Y79 IS_TEST 0 SITEPROP SLICE_X50Y79 IS_USED 0 SITEPROP SLICE_X50Y79 MANUAL_ROUTING SITEPROP SLICE_X50Y79 NAME SLICE_X50Y79 SITEPROP SLICE_X50Y79 NUM_ARCS 153 SITEPROP SLICE_X50Y79 NUM_BELS 32 SITEPROP SLICE_X50Y79 NUM_INPUTS 37 SITEPROP SLICE_X50Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y79 NUM_PINS 50 SITEPROP SLICE_X50Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y79 PROHIBIT 0 SITEPROP SLICE_X50Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y79 RPM_X 133 SITEPROP SLICE_X50Y79 RPM_Y 158 SITEPROP SLICE_X50Y79 SITE_PIPS SITEPROP SLICE_X50Y79 SITE_TYPE SLICEM SITEPROP SLICE_X50Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y80 CLASS site SITEPROP SLICE_X50Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y80 IS_BONDED 0 SITEPROP SLICE_X50Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y80 IS_PAD 0 SITEPROP SLICE_X50Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y80 IS_RESERVED 0 SITEPROP SLICE_X50Y80 IS_TEST 0 SITEPROP SLICE_X50Y80 IS_USED 0 SITEPROP SLICE_X50Y80 MANUAL_ROUTING SITEPROP SLICE_X50Y80 NAME SLICE_X50Y80 SITEPROP SLICE_X50Y80 NUM_ARCS 153 SITEPROP SLICE_X50Y80 NUM_BELS 32 SITEPROP SLICE_X50Y80 NUM_INPUTS 37 SITEPROP SLICE_X50Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y80 NUM_PINS 50 SITEPROP SLICE_X50Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y80 PROHIBIT 0 SITEPROP SLICE_X50Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y80 RPM_X 133 SITEPROP SLICE_X50Y80 RPM_Y 160 SITEPROP SLICE_X50Y80 SITE_PIPS SITEPROP SLICE_X50Y80 SITE_TYPE SLICEM SITEPROP SLICE_X50Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y81 CLASS site SITEPROP SLICE_X50Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y81 IS_BONDED 0 SITEPROP SLICE_X50Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y81 IS_PAD 0 SITEPROP SLICE_X50Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y81 IS_RESERVED 0 SITEPROP SLICE_X50Y81 IS_TEST 0 SITEPROP SLICE_X50Y81 IS_USED 0 SITEPROP SLICE_X50Y81 MANUAL_ROUTING SITEPROP SLICE_X50Y81 NAME SLICE_X50Y81 SITEPROP SLICE_X50Y81 NUM_ARCS 153 SITEPROP SLICE_X50Y81 NUM_BELS 32 SITEPROP SLICE_X50Y81 NUM_INPUTS 37 SITEPROP SLICE_X50Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y81 NUM_PINS 50 SITEPROP SLICE_X50Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y81 PROHIBIT 0 SITEPROP SLICE_X50Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y81 RPM_X 133 SITEPROP SLICE_X50Y81 RPM_Y 162 SITEPROP SLICE_X50Y81 SITE_PIPS SITEPROP SLICE_X50Y81 SITE_TYPE SLICEM SITEPROP SLICE_X50Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y82 CLASS site SITEPROP SLICE_X50Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y82 IS_BONDED 0 SITEPROP SLICE_X50Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y82 IS_PAD 0 SITEPROP SLICE_X50Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y82 IS_RESERVED 0 SITEPROP SLICE_X50Y82 IS_TEST 0 SITEPROP SLICE_X50Y82 IS_USED 0 SITEPROP SLICE_X50Y82 MANUAL_ROUTING SITEPROP SLICE_X50Y82 NAME SLICE_X50Y82 SITEPROP SLICE_X50Y82 NUM_ARCS 153 SITEPROP SLICE_X50Y82 NUM_BELS 32 SITEPROP SLICE_X50Y82 NUM_INPUTS 37 SITEPROP SLICE_X50Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y82 NUM_PINS 50 SITEPROP SLICE_X50Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y82 PROHIBIT 0 SITEPROP SLICE_X50Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y82 RPM_X 133 SITEPROP SLICE_X50Y82 RPM_Y 164 SITEPROP SLICE_X50Y82 SITE_PIPS SITEPROP SLICE_X50Y82 SITE_TYPE SLICEM SITEPROP SLICE_X50Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y83 CLASS site SITEPROP SLICE_X50Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y83 IS_BONDED 0 SITEPROP SLICE_X50Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y83 IS_PAD 0 SITEPROP SLICE_X50Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y83 IS_RESERVED 0 SITEPROP SLICE_X50Y83 IS_TEST 0 SITEPROP SLICE_X50Y83 IS_USED 0 SITEPROP SLICE_X50Y83 MANUAL_ROUTING SITEPROP SLICE_X50Y83 NAME SLICE_X50Y83 SITEPROP SLICE_X50Y83 NUM_ARCS 153 SITEPROP SLICE_X50Y83 NUM_BELS 32 SITEPROP SLICE_X50Y83 NUM_INPUTS 37 SITEPROP SLICE_X50Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y83 NUM_PINS 50 SITEPROP SLICE_X50Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y83 PROHIBIT 0 SITEPROP SLICE_X50Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y83 RPM_X 133 SITEPROP SLICE_X50Y83 RPM_Y 166 SITEPROP SLICE_X50Y83 SITE_PIPS SITEPROP SLICE_X50Y83 SITE_TYPE SLICEM SITEPROP SLICE_X50Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y84 CLASS site SITEPROP SLICE_X50Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y84 IS_BONDED 0 SITEPROP SLICE_X50Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y84 IS_PAD 0 SITEPROP SLICE_X50Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y84 IS_RESERVED 0 SITEPROP SLICE_X50Y84 IS_TEST 0 SITEPROP SLICE_X50Y84 IS_USED 0 SITEPROP SLICE_X50Y84 MANUAL_ROUTING SITEPROP SLICE_X50Y84 NAME SLICE_X50Y84 SITEPROP SLICE_X50Y84 NUM_ARCS 153 SITEPROP SLICE_X50Y84 NUM_BELS 32 SITEPROP SLICE_X50Y84 NUM_INPUTS 37 SITEPROP SLICE_X50Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y84 NUM_PINS 50 SITEPROP SLICE_X50Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y84 PROHIBIT 0 SITEPROP SLICE_X50Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y84 RPM_X 133 SITEPROP SLICE_X50Y84 RPM_Y 168 SITEPROP SLICE_X50Y84 SITE_PIPS SITEPROP SLICE_X50Y84 SITE_TYPE SLICEM SITEPROP SLICE_X50Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y85 CLASS site SITEPROP SLICE_X50Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y85 IS_BONDED 0 SITEPROP SLICE_X50Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y85 IS_PAD 0 SITEPROP SLICE_X50Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y85 IS_RESERVED 0 SITEPROP SLICE_X50Y85 IS_TEST 0 SITEPROP SLICE_X50Y85 IS_USED 0 SITEPROP SLICE_X50Y85 MANUAL_ROUTING SITEPROP SLICE_X50Y85 NAME SLICE_X50Y85 SITEPROP SLICE_X50Y85 NUM_ARCS 153 SITEPROP SLICE_X50Y85 NUM_BELS 32 SITEPROP SLICE_X50Y85 NUM_INPUTS 37 SITEPROP SLICE_X50Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y85 NUM_PINS 50 SITEPROP SLICE_X50Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y85 PROHIBIT 0 SITEPROP SLICE_X50Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y85 RPM_X 133 SITEPROP SLICE_X50Y85 RPM_Y 170 SITEPROP SLICE_X50Y85 SITE_PIPS SITEPROP SLICE_X50Y85 SITE_TYPE SLICEM SITEPROP SLICE_X50Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y86 CLASS site SITEPROP SLICE_X50Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y86 IS_BONDED 0 SITEPROP SLICE_X50Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y86 IS_PAD 0 SITEPROP SLICE_X50Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y86 IS_RESERVED 0 SITEPROP SLICE_X50Y86 IS_TEST 0 SITEPROP SLICE_X50Y86 IS_USED 0 SITEPROP SLICE_X50Y86 MANUAL_ROUTING SITEPROP SLICE_X50Y86 NAME SLICE_X50Y86 SITEPROP SLICE_X50Y86 NUM_ARCS 153 SITEPROP SLICE_X50Y86 NUM_BELS 32 SITEPROP SLICE_X50Y86 NUM_INPUTS 37 SITEPROP SLICE_X50Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y86 NUM_PINS 50 SITEPROP SLICE_X50Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y86 PROHIBIT 0 SITEPROP SLICE_X50Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y86 RPM_X 133 SITEPROP SLICE_X50Y86 RPM_Y 172 SITEPROP SLICE_X50Y86 SITE_PIPS SITEPROP SLICE_X50Y86 SITE_TYPE SLICEM SITEPROP SLICE_X50Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y87 CLASS site SITEPROP SLICE_X50Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y87 IS_BONDED 0 SITEPROP SLICE_X50Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y87 IS_PAD 0 SITEPROP SLICE_X50Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y87 IS_RESERVED 0 SITEPROP SLICE_X50Y87 IS_TEST 0 SITEPROP SLICE_X50Y87 IS_USED 0 SITEPROP SLICE_X50Y87 MANUAL_ROUTING SITEPROP SLICE_X50Y87 NAME SLICE_X50Y87 SITEPROP SLICE_X50Y87 NUM_ARCS 153 SITEPROP SLICE_X50Y87 NUM_BELS 32 SITEPROP SLICE_X50Y87 NUM_INPUTS 37 SITEPROP SLICE_X50Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y87 NUM_PINS 50 SITEPROP SLICE_X50Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y87 PROHIBIT 0 SITEPROP SLICE_X50Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y87 RPM_X 133 SITEPROP SLICE_X50Y87 RPM_Y 174 SITEPROP SLICE_X50Y87 SITE_PIPS SITEPROP SLICE_X50Y87 SITE_TYPE SLICEM SITEPROP SLICE_X50Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y88 CLASS site SITEPROP SLICE_X50Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y88 IS_BONDED 0 SITEPROP SLICE_X50Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y88 IS_PAD 0 SITEPROP SLICE_X50Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y88 IS_RESERVED 0 SITEPROP SLICE_X50Y88 IS_TEST 0 SITEPROP SLICE_X50Y88 IS_USED 0 SITEPROP SLICE_X50Y88 MANUAL_ROUTING SITEPROP SLICE_X50Y88 NAME SLICE_X50Y88 SITEPROP SLICE_X50Y88 NUM_ARCS 153 SITEPROP SLICE_X50Y88 NUM_BELS 32 SITEPROP SLICE_X50Y88 NUM_INPUTS 37 SITEPROP SLICE_X50Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y88 NUM_PINS 50 SITEPROP SLICE_X50Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y88 PROHIBIT 0 SITEPROP SLICE_X50Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y88 RPM_X 133 SITEPROP SLICE_X50Y88 RPM_Y 176 SITEPROP SLICE_X50Y88 SITE_PIPS SITEPROP SLICE_X50Y88 SITE_TYPE SLICEM SITEPROP SLICE_X50Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y89 CLASS site SITEPROP SLICE_X50Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y89 IS_BONDED 0 SITEPROP SLICE_X50Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y89 IS_PAD 0 SITEPROP SLICE_X50Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y89 IS_RESERVED 0 SITEPROP SLICE_X50Y89 IS_TEST 0 SITEPROP SLICE_X50Y89 IS_USED 0 SITEPROP SLICE_X50Y89 MANUAL_ROUTING SITEPROP SLICE_X50Y89 NAME SLICE_X50Y89 SITEPROP SLICE_X50Y89 NUM_ARCS 153 SITEPROP SLICE_X50Y89 NUM_BELS 32 SITEPROP SLICE_X50Y89 NUM_INPUTS 37 SITEPROP SLICE_X50Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y89 NUM_PINS 50 SITEPROP SLICE_X50Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y89 PROHIBIT 0 SITEPROP SLICE_X50Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y89 RPM_X 133 SITEPROP SLICE_X50Y89 RPM_Y 178 SITEPROP SLICE_X50Y89 SITE_PIPS SITEPROP SLICE_X50Y89 SITE_TYPE SLICEM SITEPROP SLICE_X50Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y90 CLASS site SITEPROP SLICE_X50Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y90 IS_BONDED 0 SITEPROP SLICE_X50Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y90 IS_PAD 0 SITEPROP SLICE_X50Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y90 IS_RESERVED 0 SITEPROP SLICE_X50Y90 IS_TEST 0 SITEPROP SLICE_X50Y90 IS_USED 0 SITEPROP SLICE_X50Y90 MANUAL_ROUTING SITEPROP SLICE_X50Y90 NAME SLICE_X50Y90 SITEPROP SLICE_X50Y90 NUM_ARCS 153 SITEPROP SLICE_X50Y90 NUM_BELS 32 SITEPROP SLICE_X50Y90 NUM_INPUTS 37 SITEPROP SLICE_X50Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y90 NUM_PINS 50 SITEPROP SLICE_X50Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y90 PROHIBIT 0 SITEPROP SLICE_X50Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y90 RPM_X 133 SITEPROP SLICE_X50Y90 RPM_Y 180 SITEPROP SLICE_X50Y90 SITE_PIPS SITEPROP SLICE_X50Y90 SITE_TYPE SLICEM SITEPROP SLICE_X50Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y91 CLASS site SITEPROP SLICE_X50Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y91 IS_BONDED 0 SITEPROP SLICE_X50Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y91 IS_PAD 0 SITEPROP SLICE_X50Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y91 IS_RESERVED 0 SITEPROP SLICE_X50Y91 IS_TEST 0 SITEPROP SLICE_X50Y91 IS_USED 0 SITEPROP SLICE_X50Y91 MANUAL_ROUTING SITEPROP SLICE_X50Y91 NAME SLICE_X50Y91 SITEPROP SLICE_X50Y91 NUM_ARCS 153 SITEPROP SLICE_X50Y91 NUM_BELS 32 SITEPROP SLICE_X50Y91 NUM_INPUTS 37 SITEPROP SLICE_X50Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y91 NUM_PINS 50 SITEPROP SLICE_X50Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y91 PROHIBIT 0 SITEPROP SLICE_X50Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y91 RPM_X 133 SITEPROP SLICE_X50Y91 RPM_Y 182 SITEPROP SLICE_X50Y91 SITE_PIPS SITEPROP SLICE_X50Y91 SITE_TYPE SLICEM SITEPROP SLICE_X50Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y92 CLASS site SITEPROP SLICE_X50Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y92 IS_BONDED 0 SITEPROP SLICE_X50Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y92 IS_PAD 0 SITEPROP SLICE_X50Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y92 IS_RESERVED 0 SITEPROP SLICE_X50Y92 IS_TEST 0 SITEPROP SLICE_X50Y92 IS_USED 0 SITEPROP SLICE_X50Y92 MANUAL_ROUTING SITEPROP SLICE_X50Y92 NAME SLICE_X50Y92 SITEPROP SLICE_X50Y92 NUM_ARCS 153 SITEPROP SLICE_X50Y92 NUM_BELS 32 SITEPROP SLICE_X50Y92 NUM_INPUTS 37 SITEPROP SLICE_X50Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y92 NUM_PINS 50 SITEPROP SLICE_X50Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y92 PROHIBIT 0 SITEPROP SLICE_X50Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y92 RPM_X 133 SITEPROP SLICE_X50Y92 RPM_Y 184 SITEPROP SLICE_X50Y92 SITE_PIPS SITEPROP SLICE_X50Y92 SITE_TYPE SLICEM SITEPROP SLICE_X50Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y93 CLASS site SITEPROP SLICE_X50Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y93 IS_BONDED 0 SITEPROP SLICE_X50Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y93 IS_PAD 0 SITEPROP SLICE_X50Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y93 IS_RESERVED 0 SITEPROP SLICE_X50Y93 IS_TEST 0 SITEPROP SLICE_X50Y93 IS_USED 0 SITEPROP SLICE_X50Y93 MANUAL_ROUTING SITEPROP SLICE_X50Y93 NAME SLICE_X50Y93 SITEPROP SLICE_X50Y93 NUM_ARCS 153 SITEPROP SLICE_X50Y93 NUM_BELS 32 SITEPROP SLICE_X50Y93 NUM_INPUTS 37 SITEPROP SLICE_X50Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y93 NUM_PINS 50 SITEPROP SLICE_X50Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y93 PROHIBIT 0 SITEPROP SLICE_X50Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y93 RPM_X 133 SITEPROP SLICE_X50Y93 RPM_Y 186 SITEPROP SLICE_X50Y93 SITE_PIPS SITEPROP SLICE_X50Y93 SITE_TYPE SLICEM SITEPROP SLICE_X50Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y94 CLASS site SITEPROP SLICE_X50Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y94 IS_BONDED 0 SITEPROP SLICE_X50Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y94 IS_PAD 0 SITEPROP SLICE_X50Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y94 IS_RESERVED 0 SITEPROP SLICE_X50Y94 IS_TEST 0 SITEPROP SLICE_X50Y94 IS_USED 0 SITEPROP SLICE_X50Y94 MANUAL_ROUTING SITEPROP SLICE_X50Y94 NAME SLICE_X50Y94 SITEPROP SLICE_X50Y94 NUM_ARCS 153 SITEPROP SLICE_X50Y94 NUM_BELS 32 SITEPROP SLICE_X50Y94 NUM_INPUTS 37 SITEPROP SLICE_X50Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y94 NUM_PINS 50 SITEPROP SLICE_X50Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y94 PROHIBIT 0 SITEPROP SLICE_X50Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y94 RPM_X 133 SITEPROP SLICE_X50Y94 RPM_Y 188 SITEPROP SLICE_X50Y94 SITE_PIPS SITEPROP SLICE_X50Y94 SITE_TYPE SLICEM SITEPROP SLICE_X50Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y95 CLASS site SITEPROP SLICE_X50Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y95 IS_BONDED 0 SITEPROP SLICE_X50Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y95 IS_PAD 0 SITEPROP SLICE_X50Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y95 IS_RESERVED 0 SITEPROP SLICE_X50Y95 IS_TEST 0 SITEPROP SLICE_X50Y95 IS_USED 0 SITEPROP SLICE_X50Y95 MANUAL_ROUTING SITEPROP SLICE_X50Y95 NAME SLICE_X50Y95 SITEPROP SLICE_X50Y95 NUM_ARCS 153 SITEPROP SLICE_X50Y95 NUM_BELS 32 SITEPROP SLICE_X50Y95 NUM_INPUTS 37 SITEPROP SLICE_X50Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y95 NUM_PINS 50 SITEPROP SLICE_X50Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y95 PROHIBIT 0 SITEPROP SLICE_X50Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y95 RPM_X 133 SITEPROP SLICE_X50Y95 RPM_Y 190 SITEPROP SLICE_X50Y95 SITE_PIPS SITEPROP SLICE_X50Y95 SITE_TYPE SLICEM SITEPROP SLICE_X50Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y96 CLASS site SITEPROP SLICE_X50Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y96 IS_BONDED 0 SITEPROP SLICE_X50Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y96 IS_PAD 0 SITEPROP SLICE_X50Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y96 IS_RESERVED 0 SITEPROP SLICE_X50Y96 IS_TEST 0 SITEPROP SLICE_X50Y96 IS_USED 0 SITEPROP SLICE_X50Y96 MANUAL_ROUTING SITEPROP SLICE_X50Y96 NAME SLICE_X50Y96 SITEPROP SLICE_X50Y96 NUM_ARCS 153 SITEPROP SLICE_X50Y96 NUM_BELS 32 SITEPROP SLICE_X50Y96 NUM_INPUTS 37 SITEPROP SLICE_X50Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y96 NUM_PINS 50 SITEPROP SLICE_X50Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y96 PROHIBIT 0 SITEPROP SLICE_X50Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y96 RPM_X 133 SITEPROP SLICE_X50Y96 RPM_Y 192 SITEPROP SLICE_X50Y96 SITE_PIPS SITEPROP SLICE_X50Y96 SITE_TYPE SLICEM SITEPROP SLICE_X50Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y97 CLASS site SITEPROP SLICE_X50Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y97 IS_BONDED 0 SITEPROP SLICE_X50Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y97 IS_PAD 0 SITEPROP SLICE_X50Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y97 IS_RESERVED 0 SITEPROP SLICE_X50Y97 IS_TEST 0 SITEPROP SLICE_X50Y97 IS_USED 0 SITEPROP SLICE_X50Y97 MANUAL_ROUTING SITEPROP SLICE_X50Y97 NAME SLICE_X50Y97 SITEPROP SLICE_X50Y97 NUM_ARCS 153 SITEPROP SLICE_X50Y97 NUM_BELS 32 SITEPROP SLICE_X50Y97 NUM_INPUTS 37 SITEPROP SLICE_X50Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y97 NUM_PINS 50 SITEPROP SLICE_X50Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y97 PROHIBIT 0 SITEPROP SLICE_X50Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y97 RPM_X 133 SITEPROP SLICE_X50Y97 RPM_Y 194 SITEPROP SLICE_X50Y97 SITE_PIPS SITEPROP SLICE_X50Y97 SITE_TYPE SLICEM SITEPROP SLICE_X50Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y98 CLASS site SITEPROP SLICE_X50Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y98 IS_BONDED 0 SITEPROP SLICE_X50Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y98 IS_PAD 0 SITEPROP SLICE_X50Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y98 IS_RESERVED 0 SITEPROP SLICE_X50Y98 IS_TEST 0 SITEPROP SLICE_X50Y98 IS_USED 0 SITEPROP SLICE_X50Y98 MANUAL_ROUTING SITEPROP SLICE_X50Y98 NAME SLICE_X50Y98 SITEPROP SLICE_X50Y98 NUM_ARCS 153 SITEPROP SLICE_X50Y98 NUM_BELS 32 SITEPROP SLICE_X50Y98 NUM_INPUTS 37 SITEPROP SLICE_X50Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y98 NUM_PINS 50 SITEPROP SLICE_X50Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y98 PROHIBIT 0 SITEPROP SLICE_X50Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y98 RPM_X 133 SITEPROP SLICE_X50Y98 RPM_Y 196 SITEPROP SLICE_X50Y98 SITE_PIPS SITEPROP SLICE_X50Y98 SITE_TYPE SLICEM SITEPROP SLICE_X50Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y99 CLASS site SITEPROP SLICE_X50Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X50Y99 IS_BONDED 0 SITEPROP SLICE_X50Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y99 IS_PAD 0 SITEPROP SLICE_X50Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y99 IS_RESERVED 0 SITEPROP SLICE_X50Y99 IS_TEST 0 SITEPROP SLICE_X50Y99 IS_USED 0 SITEPROP SLICE_X50Y99 MANUAL_ROUTING SITEPROP SLICE_X50Y99 NAME SLICE_X50Y99 SITEPROP SLICE_X50Y99 NUM_ARCS 153 SITEPROP SLICE_X50Y99 NUM_BELS 32 SITEPROP SLICE_X50Y99 NUM_INPUTS 37 SITEPROP SLICE_X50Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y99 NUM_PINS 50 SITEPROP SLICE_X50Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y99 PROHIBIT 0 SITEPROP SLICE_X50Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y99 RPM_X 133 SITEPROP SLICE_X50Y99 RPM_Y 198 SITEPROP SLICE_X50Y99 SITE_PIPS SITEPROP SLICE_X50Y99 SITE_TYPE SLICEM SITEPROP SLICE_X50Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y100 CLASS site SITEPROP SLICE_X50Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y100 IS_BONDED 0 SITEPROP SLICE_X50Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y100 IS_PAD 0 SITEPROP SLICE_X50Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y100 IS_RESERVED 0 SITEPROP SLICE_X50Y100 IS_TEST 0 SITEPROP SLICE_X50Y100 IS_USED 0 SITEPROP SLICE_X50Y100 MANUAL_ROUTING SITEPROP SLICE_X50Y100 NAME SLICE_X50Y100 SITEPROP SLICE_X50Y100 NUM_ARCS 153 SITEPROP SLICE_X50Y100 NUM_BELS 32 SITEPROP SLICE_X50Y100 NUM_INPUTS 37 SITEPROP SLICE_X50Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y100 NUM_PINS 50 SITEPROP SLICE_X50Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y100 PROHIBIT 0 SITEPROP SLICE_X50Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y100 RPM_X 133 SITEPROP SLICE_X50Y100 RPM_Y 200 SITEPROP SLICE_X50Y100 SITE_PIPS SITEPROP SLICE_X50Y100 SITE_TYPE SLICEM SITEPROP SLICE_X50Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y101 CLASS site SITEPROP SLICE_X50Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y101 IS_BONDED 0 SITEPROP SLICE_X50Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y101 IS_PAD 0 SITEPROP SLICE_X50Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y101 IS_RESERVED 0 SITEPROP SLICE_X50Y101 IS_TEST 0 SITEPROP SLICE_X50Y101 IS_USED 0 SITEPROP SLICE_X50Y101 MANUAL_ROUTING SITEPROP SLICE_X50Y101 NAME SLICE_X50Y101 SITEPROP SLICE_X50Y101 NUM_ARCS 153 SITEPROP SLICE_X50Y101 NUM_BELS 32 SITEPROP SLICE_X50Y101 NUM_INPUTS 37 SITEPROP SLICE_X50Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y101 NUM_PINS 50 SITEPROP SLICE_X50Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y101 PROHIBIT 0 SITEPROP SLICE_X50Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y101 RPM_X 133 SITEPROP SLICE_X50Y101 RPM_Y 202 SITEPROP SLICE_X50Y101 SITE_PIPS SITEPROP SLICE_X50Y101 SITE_TYPE SLICEM SITEPROP SLICE_X50Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y102 CLASS site SITEPROP SLICE_X50Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y102 IS_BONDED 0 SITEPROP SLICE_X50Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y102 IS_PAD 0 SITEPROP SLICE_X50Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y102 IS_RESERVED 0 SITEPROP SLICE_X50Y102 IS_TEST 0 SITEPROP SLICE_X50Y102 IS_USED 0 SITEPROP SLICE_X50Y102 MANUAL_ROUTING SITEPROP SLICE_X50Y102 NAME SLICE_X50Y102 SITEPROP SLICE_X50Y102 NUM_ARCS 153 SITEPROP SLICE_X50Y102 NUM_BELS 32 SITEPROP SLICE_X50Y102 NUM_INPUTS 37 SITEPROP SLICE_X50Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y102 NUM_PINS 50 SITEPROP SLICE_X50Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y102 PROHIBIT 0 SITEPROP SLICE_X50Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y102 RPM_X 133 SITEPROP SLICE_X50Y102 RPM_Y 204 SITEPROP SLICE_X50Y102 SITE_PIPS SITEPROP SLICE_X50Y102 SITE_TYPE SLICEM SITEPROP SLICE_X50Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y103 CLASS site SITEPROP SLICE_X50Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y103 IS_BONDED 0 SITEPROP SLICE_X50Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y103 IS_PAD 0 SITEPROP SLICE_X50Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y103 IS_RESERVED 0 SITEPROP SLICE_X50Y103 IS_TEST 0 SITEPROP SLICE_X50Y103 IS_USED 0 SITEPROP SLICE_X50Y103 MANUAL_ROUTING SITEPROP SLICE_X50Y103 NAME SLICE_X50Y103 SITEPROP SLICE_X50Y103 NUM_ARCS 153 SITEPROP SLICE_X50Y103 NUM_BELS 32 SITEPROP SLICE_X50Y103 NUM_INPUTS 37 SITEPROP SLICE_X50Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y103 NUM_PINS 50 SITEPROP SLICE_X50Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y103 PROHIBIT 0 SITEPROP SLICE_X50Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y103 RPM_X 133 SITEPROP SLICE_X50Y103 RPM_Y 206 SITEPROP SLICE_X50Y103 SITE_PIPS SITEPROP SLICE_X50Y103 SITE_TYPE SLICEM SITEPROP SLICE_X50Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y104 CLASS site SITEPROP SLICE_X50Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y104 IS_BONDED 0 SITEPROP SLICE_X50Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y104 IS_PAD 0 SITEPROP SLICE_X50Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y104 IS_RESERVED 0 SITEPROP SLICE_X50Y104 IS_TEST 0 SITEPROP SLICE_X50Y104 IS_USED 0 SITEPROP SLICE_X50Y104 MANUAL_ROUTING SITEPROP SLICE_X50Y104 NAME SLICE_X50Y104 SITEPROP SLICE_X50Y104 NUM_ARCS 153 SITEPROP SLICE_X50Y104 NUM_BELS 32 SITEPROP SLICE_X50Y104 NUM_INPUTS 37 SITEPROP SLICE_X50Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y104 NUM_PINS 50 SITEPROP SLICE_X50Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y104 PROHIBIT 0 SITEPROP SLICE_X50Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y104 RPM_X 133 SITEPROP SLICE_X50Y104 RPM_Y 208 SITEPROP SLICE_X50Y104 SITE_PIPS SITEPROP SLICE_X50Y104 SITE_TYPE SLICEM SITEPROP SLICE_X50Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y105 CLASS site SITEPROP SLICE_X50Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y105 IS_BONDED 0 SITEPROP SLICE_X50Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y105 IS_PAD 0 SITEPROP SLICE_X50Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y105 IS_RESERVED 0 SITEPROP SLICE_X50Y105 IS_TEST 0 SITEPROP SLICE_X50Y105 IS_USED 0 SITEPROP SLICE_X50Y105 MANUAL_ROUTING SITEPROP SLICE_X50Y105 NAME SLICE_X50Y105 SITEPROP SLICE_X50Y105 NUM_ARCS 153 SITEPROP SLICE_X50Y105 NUM_BELS 32 SITEPROP SLICE_X50Y105 NUM_INPUTS 37 SITEPROP SLICE_X50Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y105 NUM_PINS 50 SITEPROP SLICE_X50Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y105 PROHIBIT 0 SITEPROP SLICE_X50Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y105 RPM_X 133 SITEPROP SLICE_X50Y105 RPM_Y 210 SITEPROP SLICE_X50Y105 SITE_PIPS SITEPROP SLICE_X50Y105 SITE_TYPE SLICEM SITEPROP SLICE_X50Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y106 CLASS site SITEPROP SLICE_X50Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y106 IS_BONDED 0 SITEPROP SLICE_X50Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y106 IS_PAD 0 SITEPROP SLICE_X50Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y106 IS_RESERVED 0 SITEPROP SLICE_X50Y106 IS_TEST 0 SITEPROP SLICE_X50Y106 IS_USED 0 SITEPROP SLICE_X50Y106 MANUAL_ROUTING SITEPROP SLICE_X50Y106 NAME SLICE_X50Y106 SITEPROP SLICE_X50Y106 NUM_ARCS 153 SITEPROP SLICE_X50Y106 NUM_BELS 32 SITEPROP SLICE_X50Y106 NUM_INPUTS 37 SITEPROP SLICE_X50Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y106 NUM_PINS 50 SITEPROP SLICE_X50Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y106 PROHIBIT 0 SITEPROP SLICE_X50Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y106 RPM_X 133 SITEPROP SLICE_X50Y106 RPM_Y 212 SITEPROP SLICE_X50Y106 SITE_PIPS SITEPROP SLICE_X50Y106 SITE_TYPE SLICEM SITEPROP SLICE_X50Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y107 CLASS site SITEPROP SLICE_X50Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y107 IS_BONDED 0 SITEPROP SLICE_X50Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y107 IS_PAD 0 SITEPROP SLICE_X50Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y107 IS_RESERVED 0 SITEPROP SLICE_X50Y107 IS_TEST 0 SITEPROP SLICE_X50Y107 IS_USED 0 SITEPROP SLICE_X50Y107 MANUAL_ROUTING SITEPROP SLICE_X50Y107 NAME SLICE_X50Y107 SITEPROP SLICE_X50Y107 NUM_ARCS 153 SITEPROP SLICE_X50Y107 NUM_BELS 32 SITEPROP SLICE_X50Y107 NUM_INPUTS 37 SITEPROP SLICE_X50Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y107 NUM_PINS 50 SITEPROP SLICE_X50Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y107 PROHIBIT 0 SITEPROP SLICE_X50Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y107 RPM_X 133 SITEPROP SLICE_X50Y107 RPM_Y 214 SITEPROP SLICE_X50Y107 SITE_PIPS SITEPROP SLICE_X50Y107 SITE_TYPE SLICEM SITEPROP SLICE_X50Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y108 CLASS site SITEPROP SLICE_X50Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y108 IS_BONDED 0 SITEPROP SLICE_X50Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y108 IS_PAD 0 SITEPROP SLICE_X50Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y108 IS_RESERVED 0 SITEPROP SLICE_X50Y108 IS_TEST 0 SITEPROP SLICE_X50Y108 IS_USED 0 SITEPROP SLICE_X50Y108 MANUAL_ROUTING SITEPROP SLICE_X50Y108 NAME SLICE_X50Y108 SITEPROP SLICE_X50Y108 NUM_ARCS 153 SITEPROP SLICE_X50Y108 NUM_BELS 32 SITEPROP SLICE_X50Y108 NUM_INPUTS 37 SITEPROP SLICE_X50Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y108 NUM_PINS 50 SITEPROP SLICE_X50Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y108 PROHIBIT 0 SITEPROP SLICE_X50Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y108 RPM_X 133 SITEPROP SLICE_X50Y108 RPM_Y 216 SITEPROP SLICE_X50Y108 SITE_PIPS SITEPROP SLICE_X50Y108 SITE_TYPE SLICEM SITEPROP SLICE_X50Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y109 CLASS site SITEPROP SLICE_X50Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y109 IS_BONDED 0 SITEPROP SLICE_X50Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y109 IS_PAD 0 SITEPROP SLICE_X50Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y109 IS_RESERVED 0 SITEPROP SLICE_X50Y109 IS_TEST 0 SITEPROP SLICE_X50Y109 IS_USED 0 SITEPROP SLICE_X50Y109 MANUAL_ROUTING SITEPROP SLICE_X50Y109 NAME SLICE_X50Y109 SITEPROP SLICE_X50Y109 NUM_ARCS 153 SITEPROP SLICE_X50Y109 NUM_BELS 32 SITEPROP SLICE_X50Y109 NUM_INPUTS 37 SITEPROP SLICE_X50Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y109 NUM_PINS 50 SITEPROP SLICE_X50Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y109 PROHIBIT 0 SITEPROP SLICE_X50Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y109 RPM_X 133 SITEPROP SLICE_X50Y109 RPM_Y 218 SITEPROP SLICE_X50Y109 SITE_PIPS SITEPROP SLICE_X50Y109 SITE_TYPE SLICEM SITEPROP SLICE_X50Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y110 CLASS site SITEPROP SLICE_X50Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y110 IS_BONDED 0 SITEPROP SLICE_X50Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y110 IS_PAD 0 SITEPROP SLICE_X50Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y110 IS_RESERVED 0 SITEPROP SLICE_X50Y110 IS_TEST 0 SITEPROP SLICE_X50Y110 IS_USED 0 SITEPROP SLICE_X50Y110 MANUAL_ROUTING SITEPROP SLICE_X50Y110 NAME SLICE_X50Y110 SITEPROP SLICE_X50Y110 NUM_ARCS 153 SITEPROP SLICE_X50Y110 NUM_BELS 32 SITEPROP SLICE_X50Y110 NUM_INPUTS 37 SITEPROP SLICE_X50Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y110 NUM_PINS 50 SITEPROP SLICE_X50Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y110 PROHIBIT 0 SITEPROP SLICE_X50Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y110 RPM_X 133 SITEPROP SLICE_X50Y110 RPM_Y 220 SITEPROP SLICE_X50Y110 SITE_PIPS SITEPROP SLICE_X50Y110 SITE_TYPE SLICEM SITEPROP SLICE_X50Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y111 CLASS site SITEPROP SLICE_X50Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y111 IS_BONDED 0 SITEPROP SLICE_X50Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y111 IS_PAD 0 SITEPROP SLICE_X50Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y111 IS_RESERVED 0 SITEPROP SLICE_X50Y111 IS_TEST 0 SITEPROP SLICE_X50Y111 IS_USED 0 SITEPROP SLICE_X50Y111 MANUAL_ROUTING SITEPROP SLICE_X50Y111 NAME SLICE_X50Y111 SITEPROP SLICE_X50Y111 NUM_ARCS 153 SITEPROP SLICE_X50Y111 NUM_BELS 32 SITEPROP SLICE_X50Y111 NUM_INPUTS 37 SITEPROP SLICE_X50Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y111 NUM_PINS 50 SITEPROP SLICE_X50Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y111 PROHIBIT 0 SITEPROP SLICE_X50Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y111 RPM_X 133 SITEPROP SLICE_X50Y111 RPM_Y 222 SITEPROP SLICE_X50Y111 SITE_PIPS SITEPROP SLICE_X50Y111 SITE_TYPE SLICEM SITEPROP SLICE_X50Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y112 CLASS site SITEPROP SLICE_X50Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y112 IS_BONDED 0 SITEPROP SLICE_X50Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y112 IS_PAD 0 SITEPROP SLICE_X50Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y112 IS_RESERVED 0 SITEPROP SLICE_X50Y112 IS_TEST 0 SITEPROP SLICE_X50Y112 IS_USED 0 SITEPROP SLICE_X50Y112 MANUAL_ROUTING SITEPROP SLICE_X50Y112 NAME SLICE_X50Y112 SITEPROP SLICE_X50Y112 NUM_ARCS 153 SITEPROP SLICE_X50Y112 NUM_BELS 32 SITEPROP SLICE_X50Y112 NUM_INPUTS 37 SITEPROP SLICE_X50Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y112 NUM_PINS 50 SITEPROP SLICE_X50Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y112 PROHIBIT 0 SITEPROP SLICE_X50Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y112 RPM_X 133 SITEPROP SLICE_X50Y112 RPM_Y 224 SITEPROP SLICE_X50Y112 SITE_PIPS SITEPROP SLICE_X50Y112 SITE_TYPE SLICEM SITEPROP SLICE_X50Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y113 CLASS site SITEPROP SLICE_X50Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y113 IS_BONDED 0 SITEPROP SLICE_X50Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y113 IS_PAD 0 SITEPROP SLICE_X50Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y113 IS_RESERVED 0 SITEPROP SLICE_X50Y113 IS_TEST 0 SITEPROP SLICE_X50Y113 IS_USED 0 SITEPROP SLICE_X50Y113 MANUAL_ROUTING SITEPROP SLICE_X50Y113 NAME SLICE_X50Y113 SITEPROP SLICE_X50Y113 NUM_ARCS 153 SITEPROP SLICE_X50Y113 NUM_BELS 32 SITEPROP SLICE_X50Y113 NUM_INPUTS 37 SITEPROP SLICE_X50Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y113 NUM_PINS 50 SITEPROP SLICE_X50Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y113 PROHIBIT 0 SITEPROP SLICE_X50Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y113 RPM_X 133 SITEPROP SLICE_X50Y113 RPM_Y 226 SITEPROP SLICE_X50Y113 SITE_PIPS SITEPROP SLICE_X50Y113 SITE_TYPE SLICEM SITEPROP SLICE_X50Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y114 CLASS site SITEPROP SLICE_X50Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y114 IS_BONDED 0 SITEPROP SLICE_X50Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y114 IS_PAD 0 SITEPROP SLICE_X50Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y114 IS_RESERVED 0 SITEPROP SLICE_X50Y114 IS_TEST 0 SITEPROP SLICE_X50Y114 IS_USED 0 SITEPROP SLICE_X50Y114 MANUAL_ROUTING SITEPROP SLICE_X50Y114 NAME SLICE_X50Y114 SITEPROP SLICE_X50Y114 NUM_ARCS 153 SITEPROP SLICE_X50Y114 NUM_BELS 32 SITEPROP SLICE_X50Y114 NUM_INPUTS 37 SITEPROP SLICE_X50Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y114 NUM_PINS 50 SITEPROP SLICE_X50Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y114 PROHIBIT 0 SITEPROP SLICE_X50Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y114 RPM_X 133 SITEPROP SLICE_X50Y114 RPM_Y 228 SITEPROP SLICE_X50Y114 SITE_PIPS SITEPROP SLICE_X50Y114 SITE_TYPE SLICEM SITEPROP SLICE_X50Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y115 CLASS site SITEPROP SLICE_X50Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y115 IS_BONDED 0 SITEPROP SLICE_X50Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y115 IS_PAD 0 SITEPROP SLICE_X50Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y115 IS_RESERVED 0 SITEPROP SLICE_X50Y115 IS_TEST 0 SITEPROP SLICE_X50Y115 IS_USED 0 SITEPROP SLICE_X50Y115 MANUAL_ROUTING SITEPROP SLICE_X50Y115 NAME SLICE_X50Y115 SITEPROP SLICE_X50Y115 NUM_ARCS 153 SITEPROP SLICE_X50Y115 NUM_BELS 32 SITEPROP SLICE_X50Y115 NUM_INPUTS 37 SITEPROP SLICE_X50Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y115 NUM_PINS 50 SITEPROP SLICE_X50Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y115 PROHIBIT 0 SITEPROP SLICE_X50Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y115 RPM_X 133 SITEPROP SLICE_X50Y115 RPM_Y 230 SITEPROP SLICE_X50Y115 SITE_PIPS SITEPROP SLICE_X50Y115 SITE_TYPE SLICEM SITEPROP SLICE_X50Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y116 CLASS site SITEPROP SLICE_X50Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y116 IS_BONDED 0 SITEPROP SLICE_X50Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y116 IS_PAD 0 SITEPROP SLICE_X50Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y116 IS_RESERVED 0 SITEPROP SLICE_X50Y116 IS_TEST 0 SITEPROP SLICE_X50Y116 IS_USED 0 SITEPROP SLICE_X50Y116 MANUAL_ROUTING SITEPROP SLICE_X50Y116 NAME SLICE_X50Y116 SITEPROP SLICE_X50Y116 NUM_ARCS 153 SITEPROP SLICE_X50Y116 NUM_BELS 32 SITEPROP SLICE_X50Y116 NUM_INPUTS 37 SITEPROP SLICE_X50Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y116 NUM_PINS 50 SITEPROP SLICE_X50Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y116 PROHIBIT 0 SITEPROP SLICE_X50Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y116 RPM_X 133 SITEPROP SLICE_X50Y116 RPM_Y 232 SITEPROP SLICE_X50Y116 SITE_PIPS SITEPROP SLICE_X50Y116 SITE_TYPE SLICEM SITEPROP SLICE_X50Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y117 CLASS site SITEPROP SLICE_X50Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y117 IS_BONDED 0 SITEPROP SLICE_X50Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y117 IS_PAD 0 SITEPROP SLICE_X50Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y117 IS_RESERVED 0 SITEPROP SLICE_X50Y117 IS_TEST 0 SITEPROP SLICE_X50Y117 IS_USED 0 SITEPROP SLICE_X50Y117 MANUAL_ROUTING SITEPROP SLICE_X50Y117 NAME SLICE_X50Y117 SITEPROP SLICE_X50Y117 NUM_ARCS 153 SITEPROP SLICE_X50Y117 NUM_BELS 32 SITEPROP SLICE_X50Y117 NUM_INPUTS 37 SITEPROP SLICE_X50Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y117 NUM_PINS 50 SITEPROP SLICE_X50Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y117 PROHIBIT 0 SITEPROP SLICE_X50Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y117 RPM_X 133 SITEPROP SLICE_X50Y117 RPM_Y 234 SITEPROP SLICE_X50Y117 SITE_PIPS SITEPROP SLICE_X50Y117 SITE_TYPE SLICEM SITEPROP SLICE_X50Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y118 CLASS site SITEPROP SLICE_X50Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y118 IS_BONDED 0 SITEPROP SLICE_X50Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y118 IS_PAD 0 SITEPROP SLICE_X50Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y118 IS_RESERVED 0 SITEPROP SLICE_X50Y118 IS_TEST 0 SITEPROP SLICE_X50Y118 IS_USED 0 SITEPROP SLICE_X50Y118 MANUAL_ROUTING SITEPROP SLICE_X50Y118 NAME SLICE_X50Y118 SITEPROP SLICE_X50Y118 NUM_ARCS 153 SITEPROP SLICE_X50Y118 NUM_BELS 32 SITEPROP SLICE_X50Y118 NUM_INPUTS 37 SITEPROP SLICE_X50Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y118 NUM_PINS 50 SITEPROP SLICE_X50Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y118 PROHIBIT 0 SITEPROP SLICE_X50Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y118 RPM_X 133 SITEPROP SLICE_X50Y118 RPM_Y 236 SITEPROP SLICE_X50Y118 SITE_PIPS SITEPROP SLICE_X50Y118 SITE_TYPE SLICEM SITEPROP SLICE_X50Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y119 CLASS site SITEPROP SLICE_X50Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y119 IS_BONDED 0 SITEPROP SLICE_X50Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y119 IS_PAD 0 SITEPROP SLICE_X50Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y119 IS_RESERVED 0 SITEPROP SLICE_X50Y119 IS_TEST 0 SITEPROP SLICE_X50Y119 IS_USED 0 SITEPROP SLICE_X50Y119 MANUAL_ROUTING SITEPROP SLICE_X50Y119 NAME SLICE_X50Y119 SITEPROP SLICE_X50Y119 NUM_ARCS 153 SITEPROP SLICE_X50Y119 NUM_BELS 32 SITEPROP SLICE_X50Y119 NUM_INPUTS 37 SITEPROP SLICE_X50Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y119 NUM_PINS 50 SITEPROP SLICE_X50Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y119 PROHIBIT 0 SITEPROP SLICE_X50Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y119 RPM_X 133 SITEPROP SLICE_X50Y119 RPM_Y 238 SITEPROP SLICE_X50Y119 SITE_PIPS SITEPROP SLICE_X50Y119 SITE_TYPE SLICEM SITEPROP SLICE_X50Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y120 CLASS site SITEPROP SLICE_X50Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y120 IS_BONDED 0 SITEPROP SLICE_X50Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y120 IS_PAD 0 SITEPROP SLICE_X50Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y120 IS_RESERVED 0 SITEPROP SLICE_X50Y120 IS_TEST 0 SITEPROP SLICE_X50Y120 IS_USED 0 SITEPROP SLICE_X50Y120 MANUAL_ROUTING SITEPROP SLICE_X50Y120 NAME SLICE_X50Y120 SITEPROP SLICE_X50Y120 NUM_ARCS 153 SITEPROP SLICE_X50Y120 NUM_BELS 32 SITEPROP SLICE_X50Y120 NUM_INPUTS 37 SITEPROP SLICE_X50Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y120 NUM_PINS 50 SITEPROP SLICE_X50Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y120 PROHIBIT 0 SITEPROP SLICE_X50Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y120 RPM_X 133 SITEPROP SLICE_X50Y120 RPM_Y 240 SITEPROP SLICE_X50Y120 SITE_PIPS SITEPROP SLICE_X50Y120 SITE_TYPE SLICEM SITEPROP SLICE_X50Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y121 CLASS site SITEPROP SLICE_X50Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y121 IS_BONDED 0 SITEPROP SLICE_X50Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y121 IS_PAD 0 SITEPROP SLICE_X50Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y121 IS_RESERVED 0 SITEPROP SLICE_X50Y121 IS_TEST 0 SITEPROP SLICE_X50Y121 IS_USED 0 SITEPROP SLICE_X50Y121 MANUAL_ROUTING SITEPROP SLICE_X50Y121 NAME SLICE_X50Y121 SITEPROP SLICE_X50Y121 NUM_ARCS 153 SITEPROP SLICE_X50Y121 NUM_BELS 32 SITEPROP SLICE_X50Y121 NUM_INPUTS 37 SITEPROP SLICE_X50Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y121 NUM_PINS 50 SITEPROP SLICE_X50Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y121 PROHIBIT 0 SITEPROP SLICE_X50Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y121 RPM_X 133 SITEPROP SLICE_X50Y121 RPM_Y 242 SITEPROP SLICE_X50Y121 SITE_PIPS SITEPROP SLICE_X50Y121 SITE_TYPE SLICEM SITEPROP SLICE_X50Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y122 CLASS site SITEPROP SLICE_X50Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y122 IS_BONDED 0 SITEPROP SLICE_X50Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y122 IS_PAD 0 SITEPROP SLICE_X50Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y122 IS_RESERVED 0 SITEPROP SLICE_X50Y122 IS_TEST 0 SITEPROP SLICE_X50Y122 IS_USED 0 SITEPROP SLICE_X50Y122 MANUAL_ROUTING SITEPROP SLICE_X50Y122 NAME SLICE_X50Y122 SITEPROP SLICE_X50Y122 NUM_ARCS 153 SITEPROP SLICE_X50Y122 NUM_BELS 32 SITEPROP SLICE_X50Y122 NUM_INPUTS 37 SITEPROP SLICE_X50Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y122 NUM_PINS 50 SITEPROP SLICE_X50Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y122 PROHIBIT 0 SITEPROP SLICE_X50Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y122 RPM_X 133 SITEPROP SLICE_X50Y122 RPM_Y 244 SITEPROP SLICE_X50Y122 SITE_PIPS SITEPROP SLICE_X50Y122 SITE_TYPE SLICEM SITEPROP SLICE_X50Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y123 CLASS site SITEPROP SLICE_X50Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y123 IS_BONDED 0 SITEPROP SLICE_X50Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y123 IS_PAD 0 SITEPROP SLICE_X50Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y123 IS_RESERVED 0 SITEPROP SLICE_X50Y123 IS_TEST 0 SITEPROP SLICE_X50Y123 IS_USED 0 SITEPROP SLICE_X50Y123 MANUAL_ROUTING SITEPROP SLICE_X50Y123 NAME SLICE_X50Y123 SITEPROP SLICE_X50Y123 NUM_ARCS 153 SITEPROP SLICE_X50Y123 NUM_BELS 32 SITEPROP SLICE_X50Y123 NUM_INPUTS 37 SITEPROP SLICE_X50Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y123 NUM_PINS 50 SITEPROP SLICE_X50Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y123 PROHIBIT 0 SITEPROP SLICE_X50Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y123 RPM_X 133 SITEPROP SLICE_X50Y123 RPM_Y 246 SITEPROP SLICE_X50Y123 SITE_PIPS SITEPROP SLICE_X50Y123 SITE_TYPE SLICEM SITEPROP SLICE_X50Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y124 CLASS site SITEPROP SLICE_X50Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y124 IS_BONDED 0 SITEPROP SLICE_X50Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y124 IS_PAD 0 SITEPROP SLICE_X50Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y124 IS_RESERVED 0 SITEPROP SLICE_X50Y124 IS_TEST 0 SITEPROP SLICE_X50Y124 IS_USED 0 SITEPROP SLICE_X50Y124 MANUAL_ROUTING SITEPROP SLICE_X50Y124 NAME SLICE_X50Y124 SITEPROP SLICE_X50Y124 NUM_ARCS 153 SITEPROP SLICE_X50Y124 NUM_BELS 32 SITEPROP SLICE_X50Y124 NUM_INPUTS 37 SITEPROP SLICE_X50Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y124 NUM_PINS 50 SITEPROP SLICE_X50Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y124 PROHIBIT 0 SITEPROP SLICE_X50Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y124 RPM_X 133 SITEPROP SLICE_X50Y124 RPM_Y 248 SITEPROP SLICE_X50Y124 SITE_PIPS SITEPROP SLICE_X50Y124 SITE_TYPE SLICEM SITEPROP SLICE_X50Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y125 CLASS site SITEPROP SLICE_X50Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y125 IS_BONDED 0 SITEPROP SLICE_X50Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y125 IS_PAD 0 SITEPROP SLICE_X50Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y125 IS_RESERVED 0 SITEPROP SLICE_X50Y125 IS_TEST 0 SITEPROP SLICE_X50Y125 IS_USED 0 SITEPROP SLICE_X50Y125 MANUAL_ROUTING SITEPROP SLICE_X50Y125 NAME SLICE_X50Y125 SITEPROP SLICE_X50Y125 NUM_ARCS 153 SITEPROP SLICE_X50Y125 NUM_BELS 32 SITEPROP SLICE_X50Y125 NUM_INPUTS 37 SITEPROP SLICE_X50Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y125 NUM_PINS 50 SITEPROP SLICE_X50Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y125 PROHIBIT 0 SITEPROP SLICE_X50Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y125 RPM_X 133 SITEPROP SLICE_X50Y125 RPM_Y 250 SITEPROP SLICE_X50Y125 SITE_PIPS SITEPROP SLICE_X50Y125 SITE_TYPE SLICEM SITEPROP SLICE_X50Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y126 CLASS site SITEPROP SLICE_X50Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y126 IS_BONDED 0 SITEPROP SLICE_X50Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y126 IS_PAD 0 SITEPROP SLICE_X50Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y126 IS_RESERVED 0 SITEPROP SLICE_X50Y126 IS_TEST 0 SITEPROP SLICE_X50Y126 IS_USED 0 SITEPROP SLICE_X50Y126 MANUAL_ROUTING SITEPROP SLICE_X50Y126 NAME SLICE_X50Y126 SITEPROP SLICE_X50Y126 NUM_ARCS 153 SITEPROP SLICE_X50Y126 NUM_BELS 32 SITEPROP SLICE_X50Y126 NUM_INPUTS 37 SITEPROP SLICE_X50Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y126 NUM_PINS 50 SITEPROP SLICE_X50Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y126 PROHIBIT 0 SITEPROP SLICE_X50Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y126 RPM_X 133 SITEPROP SLICE_X50Y126 RPM_Y 252 SITEPROP SLICE_X50Y126 SITE_PIPS SITEPROP SLICE_X50Y126 SITE_TYPE SLICEM SITEPROP SLICE_X50Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y127 CLASS site SITEPROP SLICE_X50Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y127 IS_BONDED 0 SITEPROP SLICE_X50Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y127 IS_PAD 0 SITEPROP SLICE_X50Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y127 IS_RESERVED 0 SITEPROP SLICE_X50Y127 IS_TEST 0 SITEPROP SLICE_X50Y127 IS_USED 0 SITEPROP SLICE_X50Y127 MANUAL_ROUTING SITEPROP SLICE_X50Y127 NAME SLICE_X50Y127 SITEPROP SLICE_X50Y127 NUM_ARCS 153 SITEPROP SLICE_X50Y127 NUM_BELS 32 SITEPROP SLICE_X50Y127 NUM_INPUTS 37 SITEPROP SLICE_X50Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y127 NUM_PINS 50 SITEPROP SLICE_X50Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y127 PROHIBIT 0 SITEPROP SLICE_X50Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y127 RPM_X 133 SITEPROP SLICE_X50Y127 RPM_Y 254 SITEPROP SLICE_X50Y127 SITE_PIPS SITEPROP SLICE_X50Y127 SITE_TYPE SLICEM SITEPROP SLICE_X50Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y128 CLASS site SITEPROP SLICE_X50Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y128 IS_BONDED 0 SITEPROP SLICE_X50Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y128 IS_PAD 0 SITEPROP SLICE_X50Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y128 IS_RESERVED 0 SITEPROP SLICE_X50Y128 IS_TEST 0 SITEPROP SLICE_X50Y128 IS_USED 0 SITEPROP SLICE_X50Y128 MANUAL_ROUTING SITEPROP SLICE_X50Y128 NAME SLICE_X50Y128 SITEPROP SLICE_X50Y128 NUM_ARCS 153 SITEPROP SLICE_X50Y128 NUM_BELS 32 SITEPROP SLICE_X50Y128 NUM_INPUTS 37 SITEPROP SLICE_X50Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y128 NUM_PINS 50 SITEPROP SLICE_X50Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y128 PROHIBIT 0 SITEPROP SLICE_X50Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y128 RPM_X 133 SITEPROP SLICE_X50Y128 RPM_Y 256 SITEPROP SLICE_X50Y128 SITE_PIPS SITEPROP SLICE_X50Y128 SITE_TYPE SLICEM SITEPROP SLICE_X50Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y129 CLASS site SITEPROP SLICE_X50Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y129 IS_BONDED 0 SITEPROP SLICE_X50Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y129 IS_PAD 0 SITEPROP SLICE_X50Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y129 IS_RESERVED 0 SITEPROP SLICE_X50Y129 IS_TEST 0 SITEPROP SLICE_X50Y129 IS_USED 0 SITEPROP SLICE_X50Y129 MANUAL_ROUTING SITEPROP SLICE_X50Y129 NAME SLICE_X50Y129 SITEPROP SLICE_X50Y129 NUM_ARCS 153 SITEPROP SLICE_X50Y129 NUM_BELS 32 SITEPROP SLICE_X50Y129 NUM_INPUTS 37 SITEPROP SLICE_X50Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y129 NUM_PINS 50 SITEPROP SLICE_X50Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y129 PROHIBIT 0 SITEPROP SLICE_X50Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y129 RPM_X 133 SITEPROP SLICE_X50Y129 RPM_Y 258 SITEPROP SLICE_X50Y129 SITE_PIPS SITEPROP SLICE_X50Y129 SITE_TYPE SLICEM SITEPROP SLICE_X50Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y130 CLASS site SITEPROP SLICE_X50Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y130 IS_BONDED 0 SITEPROP SLICE_X50Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y130 IS_PAD 0 SITEPROP SLICE_X50Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y130 IS_RESERVED 0 SITEPROP SLICE_X50Y130 IS_TEST 0 SITEPROP SLICE_X50Y130 IS_USED 0 SITEPROP SLICE_X50Y130 MANUAL_ROUTING SITEPROP SLICE_X50Y130 NAME SLICE_X50Y130 SITEPROP SLICE_X50Y130 NUM_ARCS 153 SITEPROP SLICE_X50Y130 NUM_BELS 32 SITEPROP SLICE_X50Y130 NUM_INPUTS 37 SITEPROP SLICE_X50Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y130 NUM_PINS 50 SITEPROP SLICE_X50Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y130 PROHIBIT 0 SITEPROP SLICE_X50Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y130 RPM_X 133 SITEPROP SLICE_X50Y130 RPM_Y 260 SITEPROP SLICE_X50Y130 SITE_PIPS SITEPROP SLICE_X50Y130 SITE_TYPE SLICEM SITEPROP SLICE_X50Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y131 CLASS site SITEPROP SLICE_X50Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y131 IS_BONDED 0 SITEPROP SLICE_X50Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y131 IS_PAD 0 SITEPROP SLICE_X50Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y131 IS_RESERVED 0 SITEPROP SLICE_X50Y131 IS_TEST 0 SITEPROP SLICE_X50Y131 IS_USED 0 SITEPROP SLICE_X50Y131 MANUAL_ROUTING SITEPROP SLICE_X50Y131 NAME SLICE_X50Y131 SITEPROP SLICE_X50Y131 NUM_ARCS 153 SITEPROP SLICE_X50Y131 NUM_BELS 32 SITEPROP SLICE_X50Y131 NUM_INPUTS 37 SITEPROP SLICE_X50Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y131 NUM_PINS 50 SITEPROP SLICE_X50Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y131 PROHIBIT 0 SITEPROP SLICE_X50Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y131 RPM_X 133 SITEPROP SLICE_X50Y131 RPM_Y 262 SITEPROP SLICE_X50Y131 SITE_PIPS SITEPROP SLICE_X50Y131 SITE_TYPE SLICEM SITEPROP SLICE_X50Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y132 CLASS site SITEPROP SLICE_X50Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y132 IS_BONDED 0 SITEPROP SLICE_X50Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y132 IS_PAD 0 SITEPROP SLICE_X50Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y132 IS_RESERVED 0 SITEPROP SLICE_X50Y132 IS_TEST 0 SITEPROP SLICE_X50Y132 IS_USED 0 SITEPROP SLICE_X50Y132 MANUAL_ROUTING SITEPROP SLICE_X50Y132 NAME SLICE_X50Y132 SITEPROP SLICE_X50Y132 NUM_ARCS 153 SITEPROP SLICE_X50Y132 NUM_BELS 32 SITEPROP SLICE_X50Y132 NUM_INPUTS 37 SITEPROP SLICE_X50Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y132 NUM_PINS 50 SITEPROP SLICE_X50Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y132 PROHIBIT 0 SITEPROP SLICE_X50Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y132 RPM_X 133 SITEPROP SLICE_X50Y132 RPM_Y 264 SITEPROP SLICE_X50Y132 SITE_PIPS SITEPROP SLICE_X50Y132 SITE_TYPE SLICEM SITEPROP SLICE_X50Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y133 CLASS site SITEPROP SLICE_X50Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y133 IS_BONDED 0 SITEPROP SLICE_X50Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y133 IS_PAD 0 SITEPROP SLICE_X50Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y133 IS_RESERVED 0 SITEPROP SLICE_X50Y133 IS_TEST 0 SITEPROP SLICE_X50Y133 IS_USED 0 SITEPROP SLICE_X50Y133 MANUAL_ROUTING SITEPROP SLICE_X50Y133 NAME SLICE_X50Y133 SITEPROP SLICE_X50Y133 NUM_ARCS 153 SITEPROP SLICE_X50Y133 NUM_BELS 32 SITEPROP SLICE_X50Y133 NUM_INPUTS 37 SITEPROP SLICE_X50Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y133 NUM_PINS 50 SITEPROP SLICE_X50Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y133 PROHIBIT 0 SITEPROP SLICE_X50Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y133 RPM_X 133 SITEPROP SLICE_X50Y133 RPM_Y 266 SITEPROP SLICE_X50Y133 SITE_PIPS SITEPROP SLICE_X50Y133 SITE_TYPE SLICEM SITEPROP SLICE_X50Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y134 CLASS site SITEPROP SLICE_X50Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y134 IS_BONDED 0 SITEPROP SLICE_X50Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y134 IS_PAD 0 SITEPROP SLICE_X50Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y134 IS_RESERVED 0 SITEPROP SLICE_X50Y134 IS_TEST 0 SITEPROP SLICE_X50Y134 IS_USED 0 SITEPROP SLICE_X50Y134 MANUAL_ROUTING SITEPROP SLICE_X50Y134 NAME SLICE_X50Y134 SITEPROP SLICE_X50Y134 NUM_ARCS 153 SITEPROP SLICE_X50Y134 NUM_BELS 32 SITEPROP SLICE_X50Y134 NUM_INPUTS 37 SITEPROP SLICE_X50Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y134 NUM_PINS 50 SITEPROP SLICE_X50Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y134 PROHIBIT 0 SITEPROP SLICE_X50Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y134 RPM_X 133 SITEPROP SLICE_X50Y134 RPM_Y 268 SITEPROP SLICE_X50Y134 SITE_PIPS SITEPROP SLICE_X50Y134 SITE_TYPE SLICEM SITEPROP SLICE_X50Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y135 CLASS site SITEPROP SLICE_X50Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y135 IS_BONDED 0 SITEPROP SLICE_X50Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y135 IS_PAD 0 SITEPROP SLICE_X50Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y135 IS_RESERVED 0 SITEPROP SLICE_X50Y135 IS_TEST 0 SITEPROP SLICE_X50Y135 IS_USED 0 SITEPROP SLICE_X50Y135 MANUAL_ROUTING SITEPROP SLICE_X50Y135 NAME SLICE_X50Y135 SITEPROP SLICE_X50Y135 NUM_ARCS 153 SITEPROP SLICE_X50Y135 NUM_BELS 32 SITEPROP SLICE_X50Y135 NUM_INPUTS 37 SITEPROP SLICE_X50Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y135 NUM_PINS 50 SITEPROP SLICE_X50Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y135 PROHIBIT 0 SITEPROP SLICE_X50Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y135 RPM_X 133 SITEPROP SLICE_X50Y135 RPM_Y 270 SITEPROP SLICE_X50Y135 SITE_PIPS SITEPROP SLICE_X50Y135 SITE_TYPE SLICEM SITEPROP SLICE_X50Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y136 CLASS site SITEPROP SLICE_X50Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y136 IS_BONDED 0 SITEPROP SLICE_X50Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y136 IS_PAD 0 SITEPROP SLICE_X50Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y136 IS_RESERVED 0 SITEPROP SLICE_X50Y136 IS_TEST 0 SITEPROP SLICE_X50Y136 IS_USED 0 SITEPROP SLICE_X50Y136 MANUAL_ROUTING SITEPROP SLICE_X50Y136 NAME SLICE_X50Y136 SITEPROP SLICE_X50Y136 NUM_ARCS 153 SITEPROP SLICE_X50Y136 NUM_BELS 32 SITEPROP SLICE_X50Y136 NUM_INPUTS 37 SITEPROP SLICE_X50Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y136 NUM_PINS 50 SITEPROP SLICE_X50Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y136 PROHIBIT 0 SITEPROP SLICE_X50Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y136 RPM_X 133 SITEPROP SLICE_X50Y136 RPM_Y 272 SITEPROP SLICE_X50Y136 SITE_PIPS SITEPROP SLICE_X50Y136 SITE_TYPE SLICEM SITEPROP SLICE_X50Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y137 CLASS site SITEPROP SLICE_X50Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y137 IS_BONDED 0 SITEPROP SLICE_X50Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y137 IS_PAD 0 SITEPROP SLICE_X50Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y137 IS_RESERVED 0 SITEPROP SLICE_X50Y137 IS_TEST 0 SITEPROP SLICE_X50Y137 IS_USED 0 SITEPROP SLICE_X50Y137 MANUAL_ROUTING SITEPROP SLICE_X50Y137 NAME SLICE_X50Y137 SITEPROP SLICE_X50Y137 NUM_ARCS 153 SITEPROP SLICE_X50Y137 NUM_BELS 32 SITEPROP SLICE_X50Y137 NUM_INPUTS 37 SITEPROP SLICE_X50Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y137 NUM_PINS 50 SITEPROP SLICE_X50Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y137 PROHIBIT 0 SITEPROP SLICE_X50Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y137 RPM_X 133 SITEPROP SLICE_X50Y137 RPM_Y 274 SITEPROP SLICE_X50Y137 SITE_PIPS SITEPROP SLICE_X50Y137 SITE_TYPE SLICEM SITEPROP SLICE_X50Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y138 CLASS site SITEPROP SLICE_X50Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y138 IS_BONDED 0 SITEPROP SLICE_X50Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y138 IS_PAD 0 SITEPROP SLICE_X50Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y138 IS_RESERVED 0 SITEPROP SLICE_X50Y138 IS_TEST 0 SITEPROP SLICE_X50Y138 IS_USED 0 SITEPROP SLICE_X50Y138 MANUAL_ROUTING SITEPROP SLICE_X50Y138 NAME SLICE_X50Y138 SITEPROP SLICE_X50Y138 NUM_ARCS 153 SITEPROP SLICE_X50Y138 NUM_BELS 32 SITEPROP SLICE_X50Y138 NUM_INPUTS 37 SITEPROP SLICE_X50Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y138 NUM_PINS 50 SITEPROP SLICE_X50Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y138 PROHIBIT 0 SITEPROP SLICE_X50Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y138 RPM_X 133 SITEPROP SLICE_X50Y138 RPM_Y 276 SITEPROP SLICE_X50Y138 SITE_PIPS SITEPROP SLICE_X50Y138 SITE_TYPE SLICEM SITEPROP SLICE_X50Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y139 CLASS site SITEPROP SLICE_X50Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y139 IS_BONDED 0 SITEPROP SLICE_X50Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y139 IS_PAD 0 SITEPROP SLICE_X50Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y139 IS_RESERVED 0 SITEPROP SLICE_X50Y139 IS_TEST 0 SITEPROP SLICE_X50Y139 IS_USED 0 SITEPROP SLICE_X50Y139 MANUAL_ROUTING SITEPROP SLICE_X50Y139 NAME SLICE_X50Y139 SITEPROP SLICE_X50Y139 NUM_ARCS 153 SITEPROP SLICE_X50Y139 NUM_BELS 32 SITEPROP SLICE_X50Y139 NUM_INPUTS 37 SITEPROP SLICE_X50Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y139 NUM_PINS 50 SITEPROP SLICE_X50Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y139 PROHIBIT 0 SITEPROP SLICE_X50Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y139 RPM_X 133 SITEPROP SLICE_X50Y139 RPM_Y 278 SITEPROP SLICE_X50Y139 SITE_PIPS SITEPROP SLICE_X50Y139 SITE_TYPE SLICEM SITEPROP SLICE_X50Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y140 CLASS site SITEPROP SLICE_X50Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y140 IS_BONDED 0 SITEPROP SLICE_X50Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y140 IS_PAD 0 SITEPROP SLICE_X50Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y140 IS_RESERVED 0 SITEPROP SLICE_X50Y140 IS_TEST 0 SITEPROP SLICE_X50Y140 IS_USED 0 SITEPROP SLICE_X50Y140 MANUAL_ROUTING SITEPROP SLICE_X50Y140 NAME SLICE_X50Y140 SITEPROP SLICE_X50Y140 NUM_ARCS 153 SITEPROP SLICE_X50Y140 NUM_BELS 32 SITEPROP SLICE_X50Y140 NUM_INPUTS 37 SITEPROP SLICE_X50Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y140 NUM_PINS 50 SITEPROP SLICE_X50Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y140 PROHIBIT 0 SITEPROP SLICE_X50Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y140 RPM_X 133 SITEPROP SLICE_X50Y140 RPM_Y 280 SITEPROP SLICE_X50Y140 SITE_PIPS SITEPROP SLICE_X50Y140 SITE_TYPE SLICEM SITEPROP SLICE_X50Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y141 CLASS site SITEPROP SLICE_X50Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y141 IS_BONDED 0 SITEPROP SLICE_X50Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y141 IS_PAD 0 SITEPROP SLICE_X50Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y141 IS_RESERVED 0 SITEPROP SLICE_X50Y141 IS_TEST 0 SITEPROP SLICE_X50Y141 IS_USED 0 SITEPROP SLICE_X50Y141 MANUAL_ROUTING SITEPROP SLICE_X50Y141 NAME SLICE_X50Y141 SITEPROP SLICE_X50Y141 NUM_ARCS 153 SITEPROP SLICE_X50Y141 NUM_BELS 32 SITEPROP SLICE_X50Y141 NUM_INPUTS 37 SITEPROP SLICE_X50Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y141 NUM_PINS 50 SITEPROP SLICE_X50Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y141 PROHIBIT 0 SITEPROP SLICE_X50Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y141 RPM_X 133 SITEPROP SLICE_X50Y141 RPM_Y 282 SITEPROP SLICE_X50Y141 SITE_PIPS SITEPROP SLICE_X50Y141 SITE_TYPE SLICEM SITEPROP SLICE_X50Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y142 CLASS site SITEPROP SLICE_X50Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y142 IS_BONDED 0 SITEPROP SLICE_X50Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y142 IS_PAD 0 SITEPROP SLICE_X50Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y142 IS_RESERVED 0 SITEPROP SLICE_X50Y142 IS_TEST 0 SITEPROP SLICE_X50Y142 IS_USED 0 SITEPROP SLICE_X50Y142 MANUAL_ROUTING SITEPROP SLICE_X50Y142 NAME SLICE_X50Y142 SITEPROP SLICE_X50Y142 NUM_ARCS 153 SITEPROP SLICE_X50Y142 NUM_BELS 32 SITEPROP SLICE_X50Y142 NUM_INPUTS 37 SITEPROP SLICE_X50Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y142 NUM_PINS 50 SITEPROP SLICE_X50Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y142 PROHIBIT 0 SITEPROP SLICE_X50Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y142 RPM_X 133 SITEPROP SLICE_X50Y142 RPM_Y 284 SITEPROP SLICE_X50Y142 SITE_PIPS SITEPROP SLICE_X50Y142 SITE_TYPE SLICEM SITEPROP SLICE_X50Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y143 CLASS site SITEPROP SLICE_X50Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y143 IS_BONDED 0 SITEPROP SLICE_X50Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y143 IS_PAD 0 SITEPROP SLICE_X50Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y143 IS_RESERVED 0 SITEPROP SLICE_X50Y143 IS_TEST 0 SITEPROP SLICE_X50Y143 IS_USED 0 SITEPROP SLICE_X50Y143 MANUAL_ROUTING SITEPROP SLICE_X50Y143 NAME SLICE_X50Y143 SITEPROP SLICE_X50Y143 NUM_ARCS 153 SITEPROP SLICE_X50Y143 NUM_BELS 32 SITEPROP SLICE_X50Y143 NUM_INPUTS 37 SITEPROP SLICE_X50Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y143 NUM_PINS 50 SITEPROP SLICE_X50Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y143 PROHIBIT 0 SITEPROP SLICE_X50Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y143 RPM_X 133 SITEPROP SLICE_X50Y143 RPM_Y 286 SITEPROP SLICE_X50Y143 SITE_PIPS SITEPROP SLICE_X50Y143 SITE_TYPE SLICEM SITEPROP SLICE_X50Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y144 CLASS site SITEPROP SLICE_X50Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y144 IS_BONDED 0 SITEPROP SLICE_X50Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y144 IS_PAD 0 SITEPROP SLICE_X50Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y144 IS_RESERVED 0 SITEPROP SLICE_X50Y144 IS_TEST 0 SITEPROP SLICE_X50Y144 IS_USED 0 SITEPROP SLICE_X50Y144 MANUAL_ROUTING SITEPROP SLICE_X50Y144 NAME SLICE_X50Y144 SITEPROP SLICE_X50Y144 NUM_ARCS 153 SITEPROP SLICE_X50Y144 NUM_BELS 32 SITEPROP SLICE_X50Y144 NUM_INPUTS 37 SITEPROP SLICE_X50Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y144 NUM_PINS 50 SITEPROP SLICE_X50Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y144 PROHIBIT 0 SITEPROP SLICE_X50Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y144 RPM_X 133 SITEPROP SLICE_X50Y144 RPM_Y 288 SITEPROP SLICE_X50Y144 SITE_PIPS SITEPROP SLICE_X50Y144 SITE_TYPE SLICEM SITEPROP SLICE_X50Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y145 CLASS site SITEPROP SLICE_X50Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y145 IS_BONDED 0 SITEPROP SLICE_X50Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y145 IS_PAD 0 SITEPROP SLICE_X50Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y145 IS_RESERVED 0 SITEPROP SLICE_X50Y145 IS_TEST 0 SITEPROP SLICE_X50Y145 IS_USED 0 SITEPROP SLICE_X50Y145 MANUAL_ROUTING SITEPROP SLICE_X50Y145 NAME SLICE_X50Y145 SITEPROP SLICE_X50Y145 NUM_ARCS 153 SITEPROP SLICE_X50Y145 NUM_BELS 32 SITEPROP SLICE_X50Y145 NUM_INPUTS 37 SITEPROP SLICE_X50Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y145 NUM_PINS 50 SITEPROP SLICE_X50Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y145 PROHIBIT 0 SITEPROP SLICE_X50Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y145 RPM_X 133 SITEPROP SLICE_X50Y145 RPM_Y 290 SITEPROP SLICE_X50Y145 SITE_PIPS SITEPROP SLICE_X50Y145 SITE_TYPE SLICEM SITEPROP SLICE_X50Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y146 CLASS site SITEPROP SLICE_X50Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y146 IS_BONDED 0 SITEPROP SLICE_X50Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y146 IS_PAD 0 SITEPROP SLICE_X50Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y146 IS_RESERVED 0 SITEPROP SLICE_X50Y146 IS_TEST 0 SITEPROP SLICE_X50Y146 IS_USED 0 SITEPROP SLICE_X50Y146 MANUAL_ROUTING SITEPROP SLICE_X50Y146 NAME SLICE_X50Y146 SITEPROP SLICE_X50Y146 NUM_ARCS 153 SITEPROP SLICE_X50Y146 NUM_BELS 32 SITEPROP SLICE_X50Y146 NUM_INPUTS 37 SITEPROP SLICE_X50Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y146 NUM_PINS 50 SITEPROP SLICE_X50Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y146 PROHIBIT 0 SITEPROP SLICE_X50Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y146 RPM_X 133 SITEPROP SLICE_X50Y146 RPM_Y 292 SITEPROP SLICE_X50Y146 SITE_PIPS SITEPROP SLICE_X50Y146 SITE_TYPE SLICEM SITEPROP SLICE_X50Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y147 CLASS site SITEPROP SLICE_X50Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y147 IS_BONDED 0 SITEPROP SLICE_X50Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y147 IS_PAD 0 SITEPROP SLICE_X50Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y147 IS_RESERVED 0 SITEPROP SLICE_X50Y147 IS_TEST 0 SITEPROP SLICE_X50Y147 IS_USED 0 SITEPROP SLICE_X50Y147 MANUAL_ROUTING SITEPROP SLICE_X50Y147 NAME SLICE_X50Y147 SITEPROP SLICE_X50Y147 NUM_ARCS 153 SITEPROP SLICE_X50Y147 NUM_BELS 32 SITEPROP SLICE_X50Y147 NUM_INPUTS 37 SITEPROP SLICE_X50Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y147 NUM_PINS 50 SITEPROP SLICE_X50Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y147 PROHIBIT 0 SITEPROP SLICE_X50Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y147 RPM_X 133 SITEPROP SLICE_X50Y147 RPM_Y 294 SITEPROP SLICE_X50Y147 SITE_PIPS SITEPROP SLICE_X50Y147 SITE_TYPE SLICEM SITEPROP SLICE_X50Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y148 CLASS site SITEPROP SLICE_X50Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y148 IS_BONDED 0 SITEPROP SLICE_X50Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y148 IS_PAD 0 SITEPROP SLICE_X50Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y148 IS_RESERVED 0 SITEPROP SLICE_X50Y148 IS_TEST 0 SITEPROP SLICE_X50Y148 IS_USED 0 SITEPROP SLICE_X50Y148 MANUAL_ROUTING SITEPROP SLICE_X50Y148 NAME SLICE_X50Y148 SITEPROP SLICE_X50Y148 NUM_ARCS 153 SITEPROP SLICE_X50Y148 NUM_BELS 32 SITEPROP SLICE_X50Y148 NUM_INPUTS 37 SITEPROP SLICE_X50Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y148 NUM_PINS 50 SITEPROP SLICE_X50Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y148 PROHIBIT 0 SITEPROP SLICE_X50Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y148 RPM_X 133 SITEPROP SLICE_X50Y148 RPM_Y 296 SITEPROP SLICE_X50Y148 SITE_PIPS SITEPROP SLICE_X50Y148 SITE_TYPE SLICEM SITEPROP SLICE_X50Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X50Y149 CLASS site SITEPROP SLICE_X50Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X50Y149 IS_BONDED 0 SITEPROP SLICE_X50Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X50Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y149 IS_PAD 0 SITEPROP SLICE_X50Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X50Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X50Y149 IS_RESERVED 0 SITEPROP SLICE_X50Y149 IS_TEST 0 SITEPROP SLICE_X50Y149 IS_USED 0 SITEPROP SLICE_X50Y149 MANUAL_ROUTING SITEPROP SLICE_X50Y149 NAME SLICE_X50Y149 SITEPROP SLICE_X50Y149 NUM_ARCS 153 SITEPROP SLICE_X50Y149 NUM_BELS 32 SITEPROP SLICE_X50Y149 NUM_INPUTS 37 SITEPROP SLICE_X50Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X50Y149 NUM_PINS 50 SITEPROP SLICE_X50Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X50Y149 PROHIBIT 0 SITEPROP SLICE_X50Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X50Y149 RPM_X 133 SITEPROP SLICE_X50Y149 RPM_Y 298 SITEPROP SLICE_X50Y149 SITE_PIPS SITEPROP SLICE_X50Y149 SITE_TYPE SLICEM SITEPROP SLICE_X51Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y0 CLASS site SITEPROP SLICE_X51Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y0 IS_BONDED 0 SITEPROP SLICE_X51Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y0 IS_PAD 0 SITEPROP SLICE_X51Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y0 IS_RESERVED 0 SITEPROP SLICE_X51Y0 IS_TEST 0 SITEPROP SLICE_X51Y0 IS_USED 0 SITEPROP SLICE_X51Y0 MANUAL_ROUTING SITEPROP SLICE_X51Y0 NAME SLICE_X51Y0 SITEPROP SLICE_X51Y0 NUM_ARCS 138 SITEPROP SLICE_X51Y0 NUM_BELS 32 SITEPROP SLICE_X51Y0 NUM_INPUTS 32 SITEPROP SLICE_X51Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y0 NUM_PINS 45 SITEPROP SLICE_X51Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y0 PROHIBIT 0 SITEPROP SLICE_X51Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y0 RPM_X 135 SITEPROP SLICE_X51Y0 RPM_Y 0 SITEPROP SLICE_X51Y0 SITE_PIPS SITEPROP SLICE_X51Y0 SITE_TYPE SLICEL SITEPROP SLICE_X51Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y1 CLASS site SITEPROP SLICE_X51Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y1 IS_BONDED 0 SITEPROP SLICE_X51Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y1 IS_PAD 0 SITEPROP SLICE_X51Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y1 IS_RESERVED 0 SITEPROP SLICE_X51Y1 IS_TEST 0 SITEPROP SLICE_X51Y1 IS_USED 0 SITEPROP SLICE_X51Y1 MANUAL_ROUTING SITEPROP SLICE_X51Y1 NAME SLICE_X51Y1 SITEPROP SLICE_X51Y1 NUM_ARCS 138 SITEPROP SLICE_X51Y1 NUM_BELS 32 SITEPROP SLICE_X51Y1 NUM_INPUTS 32 SITEPROP SLICE_X51Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y1 NUM_PINS 45 SITEPROP SLICE_X51Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y1 PROHIBIT 0 SITEPROP SLICE_X51Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y1 RPM_X 135 SITEPROP SLICE_X51Y1 RPM_Y 2 SITEPROP SLICE_X51Y1 SITE_PIPS SITEPROP SLICE_X51Y1 SITE_TYPE SLICEL SITEPROP SLICE_X51Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y2 CLASS site SITEPROP SLICE_X51Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y2 IS_BONDED 0 SITEPROP SLICE_X51Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y2 IS_PAD 0 SITEPROP SLICE_X51Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y2 IS_RESERVED 0 SITEPROP SLICE_X51Y2 IS_TEST 0 SITEPROP SLICE_X51Y2 IS_USED 0 SITEPROP SLICE_X51Y2 MANUAL_ROUTING SITEPROP SLICE_X51Y2 NAME SLICE_X51Y2 SITEPROP SLICE_X51Y2 NUM_ARCS 138 SITEPROP SLICE_X51Y2 NUM_BELS 32 SITEPROP SLICE_X51Y2 NUM_INPUTS 32 SITEPROP SLICE_X51Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y2 NUM_PINS 45 SITEPROP SLICE_X51Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y2 PROHIBIT 0 SITEPROP SLICE_X51Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y2 RPM_X 135 SITEPROP SLICE_X51Y2 RPM_Y 4 SITEPROP SLICE_X51Y2 SITE_PIPS SITEPROP SLICE_X51Y2 SITE_TYPE SLICEL SITEPROP SLICE_X51Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y3 CLASS site SITEPROP SLICE_X51Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y3 IS_BONDED 0 SITEPROP SLICE_X51Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y3 IS_PAD 0 SITEPROP SLICE_X51Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y3 IS_RESERVED 0 SITEPROP SLICE_X51Y3 IS_TEST 0 SITEPROP SLICE_X51Y3 IS_USED 0 SITEPROP SLICE_X51Y3 MANUAL_ROUTING SITEPROP SLICE_X51Y3 NAME SLICE_X51Y3 SITEPROP SLICE_X51Y3 NUM_ARCS 138 SITEPROP SLICE_X51Y3 NUM_BELS 32 SITEPROP SLICE_X51Y3 NUM_INPUTS 32 SITEPROP SLICE_X51Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y3 NUM_PINS 45 SITEPROP SLICE_X51Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y3 PROHIBIT 0 SITEPROP SLICE_X51Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y3 RPM_X 135 SITEPROP SLICE_X51Y3 RPM_Y 6 SITEPROP SLICE_X51Y3 SITE_PIPS SITEPROP SLICE_X51Y3 SITE_TYPE SLICEL SITEPROP SLICE_X51Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y4 CLASS site SITEPROP SLICE_X51Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y4 IS_BONDED 0 SITEPROP SLICE_X51Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y4 IS_PAD 0 SITEPROP SLICE_X51Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y4 IS_RESERVED 0 SITEPROP SLICE_X51Y4 IS_TEST 0 SITEPROP SLICE_X51Y4 IS_USED 0 SITEPROP SLICE_X51Y4 MANUAL_ROUTING SITEPROP SLICE_X51Y4 NAME SLICE_X51Y4 SITEPROP SLICE_X51Y4 NUM_ARCS 138 SITEPROP SLICE_X51Y4 NUM_BELS 32 SITEPROP SLICE_X51Y4 NUM_INPUTS 32 SITEPROP SLICE_X51Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y4 NUM_PINS 45 SITEPROP SLICE_X51Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y4 PROHIBIT 0 SITEPROP SLICE_X51Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y4 RPM_X 135 SITEPROP SLICE_X51Y4 RPM_Y 8 SITEPROP SLICE_X51Y4 SITE_PIPS SITEPROP SLICE_X51Y4 SITE_TYPE SLICEL SITEPROP SLICE_X51Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y5 CLASS site SITEPROP SLICE_X51Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y5 IS_BONDED 0 SITEPROP SLICE_X51Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y5 IS_PAD 0 SITEPROP SLICE_X51Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y5 IS_RESERVED 0 SITEPROP SLICE_X51Y5 IS_TEST 0 SITEPROP SLICE_X51Y5 IS_USED 0 SITEPROP SLICE_X51Y5 MANUAL_ROUTING SITEPROP SLICE_X51Y5 NAME SLICE_X51Y5 SITEPROP SLICE_X51Y5 NUM_ARCS 138 SITEPROP SLICE_X51Y5 NUM_BELS 32 SITEPROP SLICE_X51Y5 NUM_INPUTS 32 SITEPROP SLICE_X51Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y5 NUM_PINS 45 SITEPROP SLICE_X51Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y5 PROHIBIT 0 SITEPROP SLICE_X51Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y5 RPM_X 135 SITEPROP SLICE_X51Y5 RPM_Y 10 SITEPROP SLICE_X51Y5 SITE_PIPS SITEPROP SLICE_X51Y5 SITE_TYPE SLICEL SITEPROP SLICE_X51Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y6 CLASS site SITEPROP SLICE_X51Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y6 IS_BONDED 0 SITEPROP SLICE_X51Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y6 IS_PAD 0 SITEPROP SLICE_X51Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y6 IS_RESERVED 0 SITEPROP SLICE_X51Y6 IS_TEST 0 SITEPROP SLICE_X51Y6 IS_USED 0 SITEPROP SLICE_X51Y6 MANUAL_ROUTING SITEPROP SLICE_X51Y6 NAME SLICE_X51Y6 SITEPROP SLICE_X51Y6 NUM_ARCS 138 SITEPROP SLICE_X51Y6 NUM_BELS 32 SITEPROP SLICE_X51Y6 NUM_INPUTS 32 SITEPROP SLICE_X51Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y6 NUM_PINS 45 SITEPROP SLICE_X51Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y6 PROHIBIT 0 SITEPROP SLICE_X51Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y6 RPM_X 135 SITEPROP SLICE_X51Y6 RPM_Y 12 SITEPROP SLICE_X51Y6 SITE_PIPS SITEPROP SLICE_X51Y6 SITE_TYPE SLICEL SITEPROP SLICE_X51Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y7 CLASS site SITEPROP SLICE_X51Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y7 IS_BONDED 0 SITEPROP SLICE_X51Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y7 IS_PAD 0 SITEPROP SLICE_X51Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y7 IS_RESERVED 0 SITEPROP SLICE_X51Y7 IS_TEST 0 SITEPROP SLICE_X51Y7 IS_USED 0 SITEPROP SLICE_X51Y7 MANUAL_ROUTING SITEPROP SLICE_X51Y7 NAME SLICE_X51Y7 SITEPROP SLICE_X51Y7 NUM_ARCS 138 SITEPROP SLICE_X51Y7 NUM_BELS 32 SITEPROP SLICE_X51Y7 NUM_INPUTS 32 SITEPROP SLICE_X51Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y7 NUM_PINS 45 SITEPROP SLICE_X51Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y7 PROHIBIT 0 SITEPROP SLICE_X51Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y7 RPM_X 135 SITEPROP SLICE_X51Y7 RPM_Y 14 SITEPROP SLICE_X51Y7 SITE_PIPS SITEPROP SLICE_X51Y7 SITE_TYPE SLICEL SITEPROP SLICE_X51Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y8 CLASS site SITEPROP SLICE_X51Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y8 IS_BONDED 0 SITEPROP SLICE_X51Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y8 IS_PAD 0 SITEPROP SLICE_X51Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y8 IS_RESERVED 0 SITEPROP SLICE_X51Y8 IS_TEST 0 SITEPROP SLICE_X51Y8 IS_USED 0 SITEPROP SLICE_X51Y8 MANUAL_ROUTING SITEPROP SLICE_X51Y8 NAME SLICE_X51Y8 SITEPROP SLICE_X51Y8 NUM_ARCS 138 SITEPROP SLICE_X51Y8 NUM_BELS 32 SITEPROP SLICE_X51Y8 NUM_INPUTS 32 SITEPROP SLICE_X51Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y8 NUM_PINS 45 SITEPROP SLICE_X51Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y8 PROHIBIT 0 SITEPROP SLICE_X51Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y8 RPM_X 135 SITEPROP SLICE_X51Y8 RPM_Y 16 SITEPROP SLICE_X51Y8 SITE_PIPS SITEPROP SLICE_X51Y8 SITE_TYPE SLICEL SITEPROP SLICE_X51Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y9 CLASS site SITEPROP SLICE_X51Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y9 IS_BONDED 0 SITEPROP SLICE_X51Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y9 IS_PAD 0 SITEPROP SLICE_X51Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y9 IS_RESERVED 0 SITEPROP SLICE_X51Y9 IS_TEST 0 SITEPROP SLICE_X51Y9 IS_USED 0 SITEPROP SLICE_X51Y9 MANUAL_ROUTING SITEPROP SLICE_X51Y9 NAME SLICE_X51Y9 SITEPROP SLICE_X51Y9 NUM_ARCS 138 SITEPROP SLICE_X51Y9 NUM_BELS 32 SITEPROP SLICE_X51Y9 NUM_INPUTS 32 SITEPROP SLICE_X51Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y9 NUM_PINS 45 SITEPROP SLICE_X51Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y9 PROHIBIT 0 SITEPROP SLICE_X51Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y9 RPM_X 135 SITEPROP SLICE_X51Y9 RPM_Y 18 SITEPROP SLICE_X51Y9 SITE_PIPS SITEPROP SLICE_X51Y9 SITE_TYPE SLICEL SITEPROP SLICE_X51Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y10 CLASS site SITEPROP SLICE_X51Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y10 IS_BONDED 0 SITEPROP SLICE_X51Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y10 IS_PAD 0 SITEPROP SLICE_X51Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y10 IS_RESERVED 0 SITEPROP SLICE_X51Y10 IS_TEST 0 SITEPROP SLICE_X51Y10 IS_USED 0 SITEPROP SLICE_X51Y10 MANUAL_ROUTING SITEPROP SLICE_X51Y10 NAME SLICE_X51Y10 SITEPROP SLICE_X51Y10 NUM_ARCS 138 SITEPROP SLICE_X51Y10 NUM_BELS 32 SITEPROP SLICE_X51Y10 NUM_INPUTS 32 SITEPROP SLICE_X51Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y10 NUM_PINS 45 SITEPROP SLICE_X51Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y10 PROHIBIT 0 SITEPROP SLICE_X51Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y10 RPM_X 135 SITEPROP SLICE_X51Y10 RPM_Y 20 SITEPROP SLICE_X51Y10 SITE_PIPS SITEPROP SLICE_X51Y10 SITE_TYPE SLICEL SITEPROP SLICE_X51Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y11 CLASS site SITEPROP SLICE_X51Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y11 IS_BONDED 0 SITEPROP SLICE_X51Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y11 IS_PAD 0 SITEPROP SLICE_X51Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y11 IS_RESERVED 0 SITEPROP SLICE_X51Y11 IS_TEST 0 SITEPROP SLICE_X51Y11 IS_USED 0 SITEPROP SLICE_X51Y11 MANUAL_ROUTING SITEPROP SLICE_X51Y11 NAME SLICE_X51Y11 SITEPROP SLICE_X51Y11 NUM_ARCS 138 SITEPROP SLICE_X51Y11 NUM_BELS 32 SITEPROP SLICE_X51Y11 NUM_INPUTS 32 SITEPROP SLICE_X51Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y11 NUM_PINS 45 SITEPROP SLICE_X51Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y11 PROHIBIT 0 SITEPROP SLICE_X51Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y11 RPM_X 135 SITEPROP SLICE_X51Y11 RPM_Y 22 SITEPROP SLICE_X51Y11 SITE_PIPS SITEPROP SLICE_X51Y11 SITE_TYPE SLICEL SITEPROP SLICE_X51Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y12 CLASS site SITEPROP SLICE_X51Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y12 IS_BONDED 0 SITEPROP SLICE_X51Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y12 IS_PAD 0 SITEPROP SLICE_X51Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y12 IS_RESERVED 0 SITEPROP SLICE_X51Y12 IS_TEST 0 SITEPROP SLICE_X51Y12 IS_USED 0 SITEPROP SLICE_X51Y12 MANUAL_ROUTING SITEPROP SLICE_X51Y12 NAME SLICE_X51Y12 SITEPROP SLICE_X51Y12 NUM_ARCS 138 SITEPROP SLICE_X51Y12 NUM_BELS 32 SITEPROP SLICE_X51Y12 NUM_INPUTS 32 SITEPROP SLICE_X51Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y12 NUM_PINS 45 SITEPROP SLICE_X51Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y12 PROHIBIT 0 SITEPROP SLICE_X51Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y12 RPM_X 135 SITEPROP SLICE_X51Y12 RPM_Y 24 SITEPROP SLICE_X51Y12 SITE_PIPS SITEPROP SLICE_X51Y12 SITE_TYPE SLICEL SITEPROP SLICE_X51Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y13 CLASS site SITEPROP SLICE_X51Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y13 IS_BONDED 0 SITEPROP SLICE_X51Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y13 IS_PAD 0 SITEPROP SLICE_X51Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y13 IS_RESERVED 0 SITEPROP SLICE_X51Y13 IS_TEST 0 SITEPROP SLICE_X51Y13 IS_USED 0 SITEPROP SLICE_X51Y13 MANUAL_ROUTING SITEPROP SLICE_X51Y13 NAME SLICE_X51Y13 SITEPROP SLICE_X51Y13 NUM_ARCS 138 SITEPROP SLICE_X51Y13 NUM_BELS 32 SITEPROP SLICE_X51Y13 NUM_INPUTS 32 SITEPROP SLICE_X51Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y13 NUM_PINS 45 SITEPROP SLICE_X51Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y13 PROHIBIT 0 SITEPROP SLICE_X51Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y13 RPM_X 135 SITEPROP SLICE_X51Y13 RPM_Y 26 SITEPROP SLICE_X51Y13 SITE_PIPS SITEPROP SLICE_X51Y13 SITE_TYPE SLICEL SITEPROP SLICE_X51Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y14 CLASS site SITEPROP SLICE_X51Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y14 IS_BONDED 0 SITEPROP SLICE_X51Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y14 IS_PAD 0 SITEPROP SLICE_X51Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y14 IS_RESERVED 0 SITEPROP SLICE_X51Y14 IS_TEST 0 SITEPROP SLICE_X51Y14 IS_USED 0 SITEPROP SLICE_X51Y14 MANUAL_ROUTING SITEPROP SLICE_X51Y14 NAME SLICE_X51Y14 SITEPROP SLICE_X51Y14 NUM_ARCS 138 SITEPROP SLICE_X51Y14 NUM_BELS 32 SITEPROP SLICE_X51Y14 NUM_INPUTS 32 SITEPROP SLICE_X51Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y14 NUM_PINS 45 SITEPROP SLICE_X51Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y14 PROHIBIT 0 SITEPROP SLICE_X51Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y14 RPM_X 135 SITEPROP SLICE_X51Y14 RPM_Y 28 SITEPROP SLICE_X51Y14 SITE_PIPS SITEPROP SLICE_X51Y14 SITE_TYPE SLICEL SITEPROP SLICE_X51Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y15 CLASS site SITEPROP SLICE_X51Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y15 IS_BONDED 0 SITEPROP SLICE_X51Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y15 IS_PAD 0 SITEPROP SLICE_X51Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y15 IS_RESERVED 0 SITEPROP SLICE_X51Y15 IS_TEST 0 SITEPROP SLICE_X51Y15 IS_USED 0 SITEPROP SLICE_X51Y15 MANUAL_ROUTING SITEPROP SLICE_X51Y15 NAME SLICE_X51Y15 SITEPROP SLICE_X51Y15 NUM_ARCS 138 SITEPROP SLICE_X51Y15 NUM_BELS 32 SITEPROP SLICE_X51Y15 NUM_INPUTS 32 SITEPROP SLICE_X51Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y15 NUM_PINS 45 SITEPROP SLICE_X51Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y15 PROHIBIT 0 SITEPROP SLICE_X51Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y15 RPM_X 135 SITEPROP SLICE_X51Y15 RPM_Y 30 SITEPROP SLICE_X51Y15 SITE_PIPS SITEPROP SLICE_X51Y15 SITE_TYPE SLICEL SITEPROP SLICE_X51Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y16 CLASS site SITEPROP SLICE_X51Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y16 IS_BONDED 0 SITEPROP SLICE_X51Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y16 IS_PAD 0 SITEPROP SLICE_X51Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y16 IS_RESERVED 0 SITEPROP SLICE_X51Y16 IS_TEST 0 SITEPROP SLICE_X51Y16 IS_USED 0 SITEPROP SLICE_X51Y16 MANUAL_ROUTING SITEPROP SLICE_X51Y16 NAME SLICE_X51Y16 SITEPROP SLICE_X51Y16 NUM_ARCS 138 SITEPROP SLICE_X51Y16 NUM_BELS 32 SITEPROP SLICE_X51Y16 NUM_INPUTS 32 SITEPROP SLICE_X51Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y16 NUM_PINS 45 SITEPROP SLICE_X51Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y16 PROHIBIT 0 SITEPROP SLICE_X51Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y16 RPM_X 135 SITEPROP SLICE_X51Y16 RPM_Y 32 SITEPROP SLICE_X51Y16 SITE_PIPS SITEPROP SLICE_X51Y16 SITE_TYPE SLICEL SITEPROP SLICE_X51Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y17 CLASS site SITEPROP SLICE_X51Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y17 IS_BONDED 0 SITEPROP SLICE_X51Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y17 IS_PAD 0 SITEPROP SLICE_X51Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y17 IS_RESERVED 0 SITEPROP SLICE_X51Y17 IS_TEST 0 SITEPROP SLICE_X51Y17 IS_USED 0 SITEPROP SLICE_X51Y17 MANUAL_ROUTING SITEPROP SLICE_X51Y17 NAME SLICE_X51Y17 SITEPROP SLICE_X51Y17 NUM_ARCS 138 SITEPROP SLICE_X51Y17 NUM_BELS 32 SITEPROP SLICE_X51Y17 NUM_INPUTS 32 SITEPROP SLICE_X51Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y17 NUM_PINS 45 SITEPROP SLICE_X51Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y17 PROHIBIT 0 SITEPROP SLICE_X51Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y17 RPM_X 135 SITEPROP SLICE_X51Y17 RPM_Y 34 SITEPROP SLICE_X51Y17 SITE_PIPS SITEPROP SLICE_X51Y17 SITE_TYPE SLICEL SITEPROP SLICE_X51Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y18 CLASS site SITEPROP SLICE_X51Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y18 IS_BONDED 0 SITEPROP SLICE_X51Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y18 IS_PAD 0 SITEPROP SLICE_X51Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y18 IS_RESERVED 0 SITEPROP SLICE_X51Y18 IS_TEST 0 SITEPROP SLICE_X51Y18 IS_USED 0 SITEPROP SLICE_X51Y18 MANUAL_ROUTING SITEPROP SLICE_X51Y18 NAME SLICE_X51Y18 SITEPROP SLICE_X51Y18 NUM_ARCS 138 SITEPROP SLICE_X51Y18 NUM_BELS 32 SITEPROP SLICE_X51Y18 NUM_INPUTS 32 SITEPROP SLICE_X51Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y18 NUM_PINS 45 SITEPROP SLICE_X51Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y18 PROHIBIT 0 SITEPROP SLICE_X51Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y18 RPM_X 135 SITEPROP SLICE_X51Y18 RPM_Y 36 SITEPROP SLICE_X51Y18 SITE_PIPS SITEPROP SLICE_X51Y18 SITE_TYPE SLICEL SITEPROP SLICE_X51Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y19 CLASS site SITEPROP SLICE_X51Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y19 IS_BONDED 0 SITEPROP SLICE_X51Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y19 IS_PAD 0 SITEPROP SLICE_X51Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y19 IS_RESERVED 0 SITEPROP SLICE_X51Y19 IS_TEST 0 SITEPROP SLICE_X51Y19 IS_USED 0 SITEPROP SLICE_X51Y19 MANUAL_ROUTING SITEPROP SLICE_X51Y19 NAME SLICE_X51Y19 SITEPROP SLICE_X51Y19 NUM_ARCS 138 SITEPROP SLICE_X51Y19 NUM_BELS 32 SITEPROP SLICE_X51Y19 NUM_INPUTS 32 SITEPROP SLICE_X51Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y19 NUM_PINS 45 SITEPROP SLICE_X51Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y19 PROHIBIT 0 SITEPROP SLICE_X51Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y19 RPM_X 135 SITEPROP SLICE_X51Y19 RPM_Y 38 SITEPROP SLICE_X51Y19 SITE_PIPS SITEPROP SLICE_X51Y19 SITE_TYPE SLICEL SITEPROP SLICE_X51Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y20 CLASS site SITEPROP SLICE_X51Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y20 IS_BONDED 0 SITEPROP SLICE_X51Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y20 IS_PAD 0 SITEPROP SLICE_X51Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y20 IS_RESERVED 0 SITEPROP SLICE_X51Y20 IS_TEST 0 SITEPROP SLICE_X51Y20 IS_USED 0 SITEPROP SLICE_X51Y20 MANUAL_ROUTING SITEPROP SLICE_X51Y20 NAME SLICE_X51Y20 SITEPROP SLICE_X51Y20 NUM_ARCS 138 SITEPROP SLICE_X51Y20 NUM_BELS 32 SITEPROP SLICE_X51Y20 NUM_INPUTS 32 SITEPROP SLICE_X51Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y20 NUM_PINS 45 SITEPROP SLICE_X51Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y20 PROHIBIT 0 SITEPROP SLICE_X51Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y20 RPM_X 135 SITEPROP SLICE_X51Y20 RPM_Y 40 SITEPROP SLICE_X51Y20 SITE_PIPS SITEPROP SLICE_X51Y20 SITE_TYPE SLICEL SITEPROP SLICE_X51Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y21 CLASS site SITEPROP SLICE_X51Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y21 IS_BONDED 0 SITEPROP SLICE_X51Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y21 IS_PAD 0 SITEPROP SLICE_X51Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y21 IS_RESERVED 0 SITEPROP SLICE_X51Y21 IS_TEST 0 SITEPROP SLICE_X51Y21 IS_USED 0 SITEPROP SLICE_X51Y21 MANUAL_ROUTING SITEPROP SLICE_X51Y21 NAME SLICE_X51Y21 SITEPROP SLICE_X51Y21 NUM_ARCS 138 SITEPROP SLICE_X51Y21 NUM_BELS 32 SITEPROP SLICE_X51Y21 NUM_INPUTS 32 SITEPROP SLICE_X51Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y21 NUM_PINS 45 SITEPROP SLICE_X51Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y21 PROHIBIT 0 SITEPROP SLICE_X51Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y21 RPM_X 135 SITEPROP SLICE_X51Y21 RPM_Y 42 SITEPROP SLICE_X51Y21 SITE_PIPS SITEPROP SLICE_X51Y21 SITE_TYPE SLICEL SITEPROP SLICE_X51Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y22 CLASS site SITEPROP SLICE_X51Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y22 IS_BONDED 0 SITEPROP SLICE_X51Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y22 IS_PAD 0 SITEPROP SLICE_X51Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y22 IS_RESERVED 0 SITEPROP SLICE_X51Y22 IS_TEST 0 SITEPROP SLICE_X51Y22 IS_USED 0 SITEPROP SLICE_X51Y22 MANUAL_ROUTING SITEPROP SLICE_X51Y22 NAME SLICE_X51Y22 SITEPROP SLICE_X51Y22 NUM_ARCS 138 SITEPROP SLICE_X51Y22 NUM_BELS 32 SITEPROP SLICE_X51Y22 NUM_INPUTS 32 SITEPROP SLICE_X51Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y22 NUM_PINS 45 SITEPROP SLICE_X51Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y22 PROHIBIT 0 SITEPROP SLICE_X51Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y22 RPM_X 135 SITEPROP SLICE_X51Y22 RPM_Y 44 SITEPROP SLICE_X51Y22 SITE_PIPS SITEPROP SLICE_X51Y22 SITE_TYPE SLICEL SITEPROP SLICE_X51Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y23 CLASS site SITEPROP SLICE_X51Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y23 IS_BONDED 0 SITEPROP SLICE_X51Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y23 IS_PAD 0 SITEPROP SLICE_X51Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y23 IS_RESERVED 0 SITEPROP SLICE_X51Y23 IS_TEST 0 SITEPROP SLICE_X51Y23 IS_USED 0 SITEPROP SLICE_X51Y23 MANUAL_ROUTING SITEPROP SLICE_X51Y23 NAME SLICE_X51Y23 SITEPROP SLICE_X51Y23 NUM_ARCS 138 SITEPROP SLICE_X51Y23 NUM_BELS 32 SITEPROP SLICE_X51Y23 NUM_INPUTS 32 SITEPROP SLICE_X51Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y23 NUM_PINS 45 SITEPROP SLICE_X51Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y23 PROHIBIT 0 SITEPROP SLICE_X51Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y23 RPM_X 135 SITEPROP SLICE_X51Y23 RPM_Y 46 SITEPROP SLICE_X51Y23 SITE_PIPS SITEPROP SLICE_X51Y23 SITE_TYPE SLICEL SITEPROP SLICE_X51Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y24 CLASS site SITEPROP SLICE_X51Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y24 IS_BONDED 0 SITEPROP SLICE_X51Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y24 IS_PAD 0 SITEPROP SLICE_X51Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y24 IS_RESERVED 0 SITEPROP SLICE_X51Y24 IS_TEST 0 SITEPROP SLICE_X51Y24 IS_USED 0 SITEPROP SLICE_X51Y24 MANUAL_ROUTING SITEPROP SLICE_X51Y24 NAME SLICE_X51Y24 SITEPROP SLICE_X51Y24 NUM_ARCS 138 SITEPROP SLICE_X51Y24 NUM_BELS 32 SITEPROP SLICE_X51Y24 NUM_INPUTS 32 SITEPROP SLICE_X51Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y24 NUM_PINS 45 SITEPROP SLICE_X51Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y24 PROHIBIT 0 SITEPROP SLICE_X51Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y24 RPM_X 135 SITEPROP SLICE_X51Y24 RPM_Y 48 SITEPROP SLICE_X51Y24 SITE_PIPS SITEPROP SLICE_X51Y24 SITE_TYPE SLICEL SITEPROP SLICE_X51Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y25 CLASS site SITEPROP SLICE_X51Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y25 IS_BONDED 0 SITEPROP SLICE_X51Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y25 IS_PAD 0 SITEPROP SLICE_X51Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y25 IS_RESERVED 0 SITEPROP SLICE_X51Y25 IS_TEST 0 SITEPROP SLICE_X51Y25 IS_USED 0 SITEPROP SLICE_X51Y25 MANUAL_ROUTING SITEPROP SLICE_X51Y25 NAME SLICE_X51Y25 SITEPROP SLICE_X51Y25 NUM_ARCS 138 SITEPROP SLICE_X51Y25 NUM_BELS 32 SITEPROP SLICE_X51Y25 NUM_INPUTS 32 SITEPROP SLICE_X51Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y25 NUM_PINS 45 SITEPROP SLICE_X51Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y25 PROHIBIT 0 SITEPROP SLICE_X51Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y25 RPM_X 135 SITEPROP SLICE_X51Y25 RPM_Y 50 SITEPROP SLICE_X51Y25 SITE_PIPS SITEPROP SLICE_X51Y25 SITE_TYPE SLICEL SITEPROP SLICE_X51Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y26 CLASS site SITEPROP SLICE_X51Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y26 IS_BONDED 0 SITEPROP SLICE_X51Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y26 IS_PAD 0 SITEPROP SLICE_X51Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y26 IS_RESERVED 0 SITEPROP SLICE_X51Y26 IS_TEST 0 SITEPROP SLICE_X51Y26 IS_USED 0 SITEPROP SLICE_X51Y26 MANUAL_ROUTING SITEPROP SLICE_X51Y26 NAME SLICE_X51Y26 SITEPROP SLICE_X51Y26 NUM_ARCS 138 SITEPROP SLICE_X51Y26 NUM_BELS 32 SITEPROP SLICE_X51Y26 NUM_INPUTS 32 SITEPROP SLICE_X51Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y26 NUM_PINS 45 SITEPROP SLICE_X51Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y26 PROHIBIT 0 SITEPROP SLICE_X51Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y26 RPM_X 135 SITEPROP SLICE_X51Y26 RPM_Y 52 SITEPROP SLICE_X51Y26 SITE_PIPS SITEPROP SLICE_X51Y26 SITE_TYPE SLICEL SITEPROP SLICE_X51Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y27 CLASS site SITEPROP SLICE_X51Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y27 IS_BONDED 0 SITEPROP SLICE_X51Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y27 IS_PAD 0 SITEPROP SLICE_X51Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y27 IS_RESERVED 0 SITEPROP SLICE_X51Y27 IS_TEST 0 SITEPROP SLICE_X51Y27 IS_USED 0 SITEPROP SLICE_X51Y27 MANUAL_ROUTING SITEPROP SLICE_X51Y27 NAME SLICE_X51Y27 SITEPROP SLICE_X51Y27 NUM_ARCS 138 SITEPROP SLICE_X51Y27 NUM_BELS 32 SITEPROP SLICE_X51Y27 NUM_INPUTS 32 SITEPROP SLICE_X51Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y27 NUM_PINS 45 SITEPROP SLICE_X51Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y27 PROHIBIT 0 SITEPROP SLICE_X51Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y27 RPM_X 135 SITEPROP SLICE_X51Y27 RPM_Y 54 SITEPROP SLICE_X51Y27 SITE_PIPS SITEPROP SLICE_X51Y27 SITE_TYPE SLICEL SITEPROP SLICE_X51Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y28 CLASS site SITEPROP SLICE_X51Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y28 IS_BONDED 0 SITEPROP SLICE_X51Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y28 IS_PAD 0 SITEPROP SLICE_X51Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y28 IS_RESERVED 0 SITEPROP SLICE_X51Y28 IS_TEST 0 SITEPROP SLICE_X51Y28 IS_USED 0 SITEPROP SLICE_X51Y28 MANUAL_ROUTING SITEPROP SLICE_X51Y28 NAME SLICE_X51Y28 SITEPROP SLICE_X51Y28 NUM_ARCS 138 SITEPROP SLICE_X51Y28 NUM_BELS 32 SITEPROP SLICE_X51Y28 NUM_INPUTS 32 SITEPROP SLICE_X51Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y28 NUM_PINS 45 SITEPROP SLICE_X51Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y28 PROHIBIT 0 SITEPROP SLICE_X51Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y28 RPM_X 135 SITEPROP SLICE_X51Y28 RPM_Y 56 SITEPROP SLICE_X51Y28 SITE_PIPS SITEPROP SLICE_X51Y28 SITE_TYPE SLICEL SITEPROP SLICE_X51Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y29 CLASS site SITEPROP SLICE_X51Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y29 IS_BONDED 0 SITEPROP SLICE_X51Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y29 IS_PAD 0 SITEPROP SLICE_X51Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y29 IS_RESERVED 0 SITEPROP SLICE_X51Y29 IS_TEST 0 SITEPROP SLICE_X51Y29 IS_USED 0 SITEPROP SLICE_X51Y29 MANUAL_ROUTING SITEPROP SLICE_X51Y29 NAME SLICE_X51Y29 SITEPROP SLICE_X51Y29 NUM_ARCS 138 SITEPROP SLICE_X51Y29 NUM_BELS 32 SITEPROP SLICE_X51Y29 NUM_INPUTS 32 SITEPROP SLICE_X51Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y29 NUM_PINS 45 SITEPROP SLICE_X51Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y29 PROHIBIT 0 SITEPROP SLICE_X51Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y29 RPM_X 135 SITEPROP SLICE_X51Y29 RPM_Y 58 SITEPROP SLICE_X51Y29 SITE_PIPS SITEPROP SLICE_X51Y29 SITE_TYPE SLICEL SITEPROP SLICE_X51Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y30 CLASS site SITEPROP SLICE_X51Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y30 IS_BONDED 0 SITEPROP SLICE_X51Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y30 IS_PAD 0 SITEPROP SLICE_X51Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y30 IS_RESERVED 0 SITEPROP SLICE_X51Y30 IS_TEST 0 SITEPROP SLICE_X51Y30 IS_USED 0 SITEPROP SLICE_X51Y30 MANUAL_ROUTING SITEPROP SLICE_X51Y30 NAME SLICE_X51Y30 SITEPROP SLICE_X51Y30 NUM_ARCS 138 SITEPROP SLICE_X51Y30 NUM_BELS 32 SITEPROP SLICE_X51Y30 NUM_INPUTS 32 SITEPROP SLICE_X51Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y30 NUM_PINS 45 SITEPROP SLICE_X51Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y30 PROHIBIT 0 SITEPROP SLICE_X51Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y30 RPM_X 135 SITEPROP SLICE_X51Y30 RPM_Y 60 SITEPROP SLICE_X51Y30 SITE_PIPS SITEPROP SLICE_X51Y30 SITE_TYPE SLICEL SITEPROP SLICE_X51Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y31 CLASS site SITEPROP SLICE_X51Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y31 IS_BONDED 0 SITEPROP SLICE_X51Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y31 IS_PAD 0 SITEPROP SLICE_X51Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y31 IS_RESERVED 0 SITEPROP SLICE_X51Y31 IS_TEST 0 SITEPROP SLICE_X51Y31 IS_USED 0 SITEPROP SLICE_X51Y31 MANUAL_ROUTING SITEPROP SLICE_X51Y31 NAME SLICE_X51Y31 SITEPROP SLICE_X51Y31 NUM_ARCS 138 SITEPROP SLICE_X51Y31 NUM_BELS 32 SITEPROP SLICE_X51Y31 NUM_INPUTS 32 SITEPROP SLICE_X51Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y31 NUM_PINS 45 SITEPROP SLICE_X51Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y31 PROHIBIT 0 SITEPROP SLICE_X51Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y31 RPM_X 135 SITEPROP SLICE_X51Y31 RPM_Y 62 SITEPROP SLICE_X51Y31 SITE_PIPS SITEPROP SLICE_X51Y31 SITE_TYPE SLICEL SITEPROP SLICE_X51Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y32 CLASS site SITEPROP SLICE_X51Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y32 IS_BONDED 0 SITEPROP SLICE_X51Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y32 IS_PAD 0 SITEPROP SLICE_X51Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y32 IS_RESERVED 0 SITEPROP SLICE_X51Y32 IS_TEST 0 SITEPROP SLICE_X51Y32 IS_USED 0 SITEPROP SLICE_X51Y32 MANUAL_ROUTING SITEPROP SLICE_X51Y32 NAME SLICE_X51Y32 SITEPROP SLICE_X51Y32 NUM_ARCS 138 SITEPROP SLICE_X51Y32 NUM_BELS 32 SITEPROP SLICE_X51Y32 NUM_INPUTS 32 SITEPROP SLICE_X51Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y32 NUM_PINS 45 SITEPROP SLICE_X51Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y32 PROHIBIT 0 SITEPROP SLICE_X51Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y32 RPM_X 135 SITEPROP SLICE_X51Y32 RPM_Y 64 SITEPROP SLICE_X51Y32 SITE_PIPS SITEPROP SLICE_X51Y32 SITE_TYPE SLICEL SITEPROP SLICE_X51Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y33 CLASS site SITEPROP SLICE_X51Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y33 IS_BONDED 0 SITEPROP SLICE_X51Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y33 IS_PAD 0 SITEPROP SLICE_X51Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y33 IS_RESERVED 0 SITEPROP SLICE_X51Y33 IS_TEST 0 SITEPROP SLICE_X51Y33 IS_USED 0 SITEPROP SLICE_X51Y33 MANUAL_ROUTING SITEPROP SLICE_X51Y33 NAME SLICE_X51Y33 SITEPROP SLICE_X51Y33 NUM_ARCS 138 SITEPROP SLICE_X51Y33 NUM_BELS 32 SITEPROP SLICE_X51Y33 NUM_INPUTS 32 SITEPROP SLICE_X51Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y33 NUM_PINS 45 SITEPROP SLICE_X51Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y33 PROHIBIT 0 SITEPROP SLICE_X51Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y33 RPM_X 135 SITEPROP SLICE_X51Y33 RPM_Y 66 SITEPROP SLICE_X51Y33 SITE_PIPS SITEPROP SLICE_X51Y33 SITE_TYPE SLICEL SITEPROP SLICE_X51Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y34 CLASS site SITEPROP SLICE_X51Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y34 IS_BONDED 0 SITEPROP SLICE_X51Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y34 IS_PAD 0 SITEPROP SLICE_X51Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y34 IS_RESERVED 0 SITEPROP SLICE_X51Y34 IS_TEST 0 SITEPROP SLICE_X51Y34 IS_USED 0 SITEPROP SLICE_X51Y34 MANUAL_ROUTING SITEPROP SLICE_X51Y34 NAME SLICE_X51Y34 SITEPROP SLICE_X51Y34 NUM_ARCS 138 SITEPROP SLICE_X51Y34 NUM_BELS 32 SITEPROP SLICE_X51Y34 NUM_INPUTS 32 SITEPROP SLICE_X51Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y34 NUM_PINS 45 SITEPROP SLICE_X51Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y34 PROHIBIT 0 SITEPROP SLICE_X51Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y34 RPM_X 135 SITEPROP SLICE_X51Y34 RPM_Y 68 SITEPROP SLICE_X51Y34 SITE_PIPS SITEPROP SLICE_X51Y34 SITE_TYPE SLICEL SITEPROP SLICE_X51Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y35 CLASS site SITEPROP SLICE_X51Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y35 IS_BONDED 0 SITEPROP SLICE_X51Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y35 IS_PAD 0 SITEPROP SLICE_X51Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y35 IS_RESERVED 0 SITEPROP SLICE_X51Y35 IS_TEST 0 SITEPROP SLICE_X51Y35 IS_USED 0 SITEPROP SLICE_X51Y35 MANUAL_ROUTING SITEPROP SLICE_X51Y35 NAME SLICE_X51Y35 SITEPROP SLICE_X51Y35 NUM_ARCS 138 SITEPROP SLICE_X51Y35 NUM_BELS 32 SITEPROP SLICE_X51Y35 NUM_INPUTS 32 SITEPROP SLICE_X51Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y35 NUM_PINS 45 SITEPROP SLICE_X51Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y35 PROHIBIT 0 SITEPROP SLICE_X51Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y35 RPM_X 135 SITEPROP SLICE_X51Y35 RPM_Y 70 SITEPROP SLICE_X51Y35 SITE_PIPS SITEPROP SLICE_X51Y35 SITE_TYPE SLICEL SITEPROP SLICE_X51Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y36 CLASS site SITEPROP SLICE_X51Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y36 IS_BONDED 0 SITEPROP SLICE_X51Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y36 IS_PAD 0 SITEPROP SLICE_X51Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y36 IS_RESERVED 0 SITEPROP SLICE_X51Y36 IS_TEST 0 SITEPROP SLICE_X51Y36 IS_USED 0 SITEPROP SLICE_X51Y36 MANUAL_ROUTING SITEPROP SLICE_X51Y36 NAME SLICE_X51Y36 SITEPROP SLICE_X51Y36 NUM_ARCS 138 SITEPROP SLICE_X51Y36 NUM_BELS 32 SITEPROP SLICE_X51Y36 NUM_INPUTS 32 SITEPROP SLICE_X51Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y36 NUM_PINS 45 SITEPROP SLICE_X51Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y36 PROHIBIT 0 SITEPROP SLICE_X51Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y36 RPM_X 135 SITEPROP SLICE_X51Y36 RPM_Y 72 SITEPROP SLICE_X51Y36 SITE_PIPS SITEPROP SLICE_X51Y36 SITE_TYPE SLICEL SITEPROP SLICE_X51Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y37 CLASS site SITEPROP SLICE_X51Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y37 IS_BONDED 0 SITEPROP SLICE_X51Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y37 IS_PAD 0 SITEPROP SLICE_X51Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y37 IS_RESERVED 0 SITEPROP SLICE_X51Y37 IS_TEST 0 SITEPROP SLICE_X51Y37 IS_USED 0 SITEPROP SLICE_X51Y37 MANUAL_ROUTING SITEPROP SLICE_X51Y37 NAME SLICE_X51Y37 SITEPROP SLICE_X51Y37 NUM_ARCS 138 SITEPROP SLICE_X51Y37 NUM_BELS 32 SITEPROP SLICE_X51Y37 NUM_INPUTS 32 SITEPROP SLICE_X51Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y37 NUM_PINS 45 SITEPROP SLICE_X51Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y37 PROHIBIT 0 SITEPROP SLICE_X51Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y37 RPM_X 135 SITEPROP SLICE_X51Y37 RPM_Y 74 SITEPROP SLICE_X51Y37 SITE_PIPS SITEPROP SLICE_X51Y37 SITE_TYPE SLICEL SITEPROP SLICE_X51Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y38 CLASS site SITEPROP SLICE_X51Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y38 IS_BONDED 0 SITEPROP SLICE_X51Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y38 IS_PAD 0 SITEPROP SLICE_X51Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y38 IS_RESERVED 0 SITEPROP SLICE_X51Y38 IS_TEST 0 SITEPROP SLICE_X51Y38 IS_USED 0 SITEPROP SLICE_X51Y38 MANUAL_ROUTING SITEPROP SLICE_X51Y38 NAME SLICE_X51Y38 SITEPROP SLICE_X51Y38 NUM_ARCS 138 SITEPROP SLICE_X51Y38 NUM_BELS 32 SITEPROP SLICE_X51Y38 NUM_INPUTS 32 SITEPROP SLICE_X51Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y38 NUM_PINS 45 SITEPROP SLICE_X51Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y38 PROHIBIT 0 SITEPROP SLICE_X51Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y38 RPM_X 135 SITEPROP SLICE_X51Y38 RPM_Y 76 SITEPROP SLICE_X51Y38 SITE_PIPS SITEPROP SLICE_X51Y38 SITE_TYPE SLICEL SITEPROP SLICE_X51Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y39 CLASS site SITEPROP SLICE_X51Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y39 IS_BONDED 0 SITEPROP SLICE_X51Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y39 IS_PAD 0 SITEPROP SLICE_X51Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y39 IS_RESERVED 0 SITEPROP SLICE_X51Y39 IS_TEST 0 SITEPROP SLICE_X51Y39 IS_USED 0 SITEPROP SLICE_X51Y39 MANUAL_ROUTING SITEPROP SLICE_X51Y39 NAME SLICE_X51Y39 SITEPROP SLICE_X51Y39 NUM_ARCS 138 SITEPROP SLICE_X51Y39 NUM_BELS 32 SITEPROP SLICE_X51Y39 NUM_INPUTS 32 SITEPROP SLICE_X51Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y39 NUM_PINS 45 SITEPROP SLICE_X51Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y39 PROHIBIT 0 SITEPROP SLICE_X51Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y39 RPM_X 135 SITEPROP SLICE_X51Y39 RPM_Y 78 SITEPROP SLICE_X51Y39 SITE_PIPS SITEPROP SLICE_X51Y39 SITE_TYPE SLICEL SITEPROP SLICE_X51Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y40 CLASS site SITEPROP SLICE_X51Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y40 IS_BONDED 0 SITEPROP SLICE_X51Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y40 IS_PAD 0 SITEPROP SLICE_X51Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y40 IS_RESERVED 0 SITEPROP SLICE_X51Y40 IS_TEST 0 SITEPROP SLICE_X51Y40 IS_USED 0 SITEPROP SLICE_X51Y40 MANUAL_ROUTING SITEPROP SLICE_X51Y40 NAME SLICE_X51Y40 SITEPROP SLICE_X51Y40 NUM_ARCS 138 SITEPROP SLICE_X51Y40 NUM_BELS 32 SITEPROP SLICE_X51Y40 NUM_INPUTS 32 SITEPROP SLICE_X51Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y40 NUM_PINS 45 SITEPROP SLICE_X51Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y40 PROHIBIT 0 SITEPROP SLICE_X51Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y40 RPM_X 135 SITEPROP SLICE_X51Y40 RPM_Y 80 SITEPROP SLICE_X51Y40 SITE_PIPS SITEPROP SLICE_X51Y40 SITE_TYPE SLICEL SITEPROP SLICE_X51Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y41 CLASS site SITEPROP SLICE_X51Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y41 IS_BONDED 0 SITEPROP SLICE_X51Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y41 IS_PAD 0 SITEPROP SLICE_X51Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y41 IS_RESERVED 0 SITEPROP SLICE_X51Y41 IS_TEST 0 SITEPROP SLICE_X51Y41 IS_USED 0 SITEPROP SLICE_X51Y41 MANUAL_ROUTING SITEPROP SLICE_X51Y41 NAME SLICE_X51Y41 SITEPROP SLICE_X51Y41 NUM_ARCS 138 SITEPROP SLICE_X51Y41 NUM_BELS 32 SITEPROP SLICE_X51Y41 NUM_INPUTS 32 SITEPROP SLICE_X51Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y41 NUM_PINS 45 SITEPROP SLICE_X51Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y41 PROHIBIT 0 SITEPROP SLICE_X51Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y41 RPM_X 135 SITEPROP SLICE_X51Y41 RPM_Y 82 SITEPROP SLICE_X51Y41 SITE_PIPS SITEPROP SLICE_X51Y41 SITE_TYPE SLICEL SITEPROP SLICE_X51Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y42 CLASS site SITEPROP SLICE_X51Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y42 IS_BONDED 0 SITEPROP SLICE_X51Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y42 IS_PAD 0 SITEPROP SLICE_X51Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y42 IS_RESERVED 0 SITEPROP SLICE_X51Y42 IS_TEST 0 SITEPROP SLICE_X51Y42 IS_USED 0 SITEPROP SLICE_X51Y42 MANUAL_ROUTING SITEPROP SLICE_X51Y42 NAME SLICE_X51Y42 SITEPROP SLICE_X51Y42 NUM_ARCS 138 SITEPROP SLICE_X51Y42 NUM_BELS 32 SITEPROP SLICE_X51Y42 NUM_INPUTS 32 SITEPROP SLICE_X51Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y42 NUM_PINS 45 SITEPROP SLICE_X51Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y42 PROHIBIT 0 SITEPROP SLICE_X51Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y42 RPM_X 135 SITEPROP SLICE_X51Y42 RPM_Y 84 SITEPROP SLICE_X51Y42 SITE_PIPS SITEPROP SLICE_X51Y42 SITE_TYPE SLICEL SITEPROP SLICE_X51Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y43 CLASS site SITEPROP SLICE_X51Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y43 IS_BONDED 0 SITEPROP SLICE_X51Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y43 IS_PAD 0 SITEPROP SLICE_X51Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y43 IS_RESERVED 0 SITEPROP SLICE_X51Y43 IS_TEST 0 SITEPROP SLICE_X51Y43 IS_USED 0 SITEPROP SLICE_X51Y43 MANUAL_ROUTING SITEPROP SLICE_X51Y43 NAME SLICE_X51Y43 SITEPROP SLICE_X51Y43 NUM_ARCS 138 SITEPROP SLICE_X51Y43 NUM_BELS 32 SITEPROP SLICE_X51Y43 NUM_INPUTS 32 SITEPROP SLICE_X51Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y43 NUM_PINS 45 SITEPROP SLICE_X51Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y43 PROHIBIT 0 SITEPROP SLICE_X51Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y43 RPM_X 135 SITEPROP SLICE_X51Y43 RPM_Y 86 SITEPROP SLICE_X51Y43 SITE_PIPS SITEPROP SLICE_X51Y43 SITE_TYPE SLICEL SITEPROP SLICE_X51Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y44 CLASS site SITEPROP SLICE_X51Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y44 IS_BONDED 0 SITEPROP SLICE_X51Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y44 IS_PAD 0 SITEPROP SLICE_X51Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y44 IS_RESERVED 0 SITEPROP SLICE_X51Y44 IS_TEST 0 SITEPROP SLICE_X51Y44 IS_USED 0 SITEPROP SLICE_X51Y44 MANUAL_ROUTING SITEPROP SLICE_X51Y44 NAME SLICE_X51Y44 SITEPROP SLICE_X51Y44 NUM_ARCS 138 SITEPROP SLICE_X51Y44 NUM_BELS 32 SITEPROP SLICE_X51Y44 NUM_INPUTS 32 SITEPROP SLICE_X51Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y44 NUM_PINS 45 SITEPROP SLICE_X51Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y44 PROHIBIT 0 SITEPROP SLICE_X51Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y44 RPM_X 135 SITEPROP SLICE_X51Y44 RPM_Y 88 SITEPROP SLICE_X51Y44 SITE_PIPS SITEPROP SLICE_X51Y44 SITE_TYPE SLICEL SITEPROP SLICE_X51Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y45 CLASS site SITEPROP SLICE_X51Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y45 IS_BONDED 0 SITEPROP SLICE_X51Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y45 IS_PAD 0 SITEPROP SLICE_X51Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y45 IS_RESERVED 0 SITEPROP SLICE_X51Y45 IS_TEST 0 SITEPROP SLICE_X51Y45 IS_USED 0 SITEPROP SLICE_X51Y45 MANUAL_ROUTING SITEPROP SLICE_X51Y45 NAME SLICE_X51Y45 SITEPROP SLICE_X51Y45 NUM_ARCS 138 SITEPROP SLICE_X51Y45 NUM_BELS 32 SITEPROP SLICE_X51Y45 NUM_INPUTS 32 SITEPROP SLICE_X51Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y45 NUM_PINS 45 SITEPROP SLICE_X51Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y45 PROHIBIT 0 SITEPROP SLICE_X51Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y45 RPM_X 135 SITEPROP SLICE_X51Y45 RPM_Y 90 SITEPROP SLICE_X51Y45 SITE_PIPS SITEPROP SLICE_X51Y45 SITE_TYPE SLICEL SITEPROP SLICE_X51Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y46 CLASS site SITEPROP SLICE_X51Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y46 IS_BONDED 0 SITEPROP SLICE_X51Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y46 IS_PAD 0 SITEPROP SLICE_X51Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y46 IS_RESERVED 0 SITEPROP SLICE_X51Y46 IS_TEST 0 SITEPROP SLICE_X51Y46 IS_USED 0 SITEPROP SLICE_X51Y46 MANUAL_ROUTING SITEPROP SLICE_X51Y46 NAME SLICE_X51Y46 SITEPROP SLICE_X51Y46 NUM_ARCS 138 SITEPROP SLICE_X51Y46 NUM_BELS 32 SITEPROP SLICE_X51Y46 NUM_INPUTS 32 SITEPROP SLICE_X51Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y46 NUM_PINS 45 SITEPROP SLICE_X51Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y46 PROHIBIT 0 SITEPROP SLICE_X51Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y46 RPM_X 135 SITEPROP SLICE_X51Y46 RPM_Y 92 SITEPROP SLICE_X51Y46 SITE_PIPS SITEPROP SLICE_X51Y46 SITE_TYPE SLICEL SITEPROP SLICE_X51Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y47 CLASS site SITEPROP SLICE_X51Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y47 IS_BONDED 0 SITEPROP SLICE_X51Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y47 IS_PAD 0 SITEPROP SLICE_X51Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y47 IS_RESERVED 0 SITEPROP SLICE_X51Y47 IS_TEST 0 SITEPROP SLICE_X51Y47 IS_USED 0 SITEPROP SLICE_X51Y47 MANUAL_ROUTING SITEPROP SLICE_X51Y47 NAME SLICE_X51Y47 SITEPROP SLICE_X51Y47 NUM_ARCS 138 SITEPROP SLICE_X51Y47 NUM_BELS 32 SITEPROP SLICE_X51Y47 NUM_INPUTS 32 SITEPROP SLICE_X51Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y47 NUM_PINS 45 SITEPROP SLICE_X51Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y47 PROHIBIT 0 SITEPROP SLICE_X51Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y47 RPM_X 135 SITEPROP SLICE_X51Y47 RPM_Y 94 SITEPROP SLICE_X51Y47 SITE_PIPS SITEPROP SLICE_X51Y47 SITE_TYPE SLICEL SITEPROP SLICE_X51Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y48 CLASS site SITEPROP SLICE_X51Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y48 IS_BONDED 0 SITEPROP SLICE_X51Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y48 IS_PAD 0 SITEPROP SLICE_X51Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y48 IS_RESERVED 0 SITEPROP SLICE_X51Y48 IS_TEST 0 SITEPROP SLICE_X51Y48 IS_USED 0 SITEPROP SLICE_X51Y48 MANUAL_ROUTING SITEPROP SLICE_X51Y48 NAME SLICE_X51Y48 SITEPROP SLICE_X51Y48 NUM_ARCS 138 SITEPROP SLICE_X51Y48 NUM_BELS 32 SITEPROP SLICE_X51Y48 NUM_INPUTS 32 SITEPROP SLICE_X51Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y48 NUM_PINS 45 SITEPROP SLICE_X51Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y48 PROHIBIT 0 SITEPROP SLICE_X51Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y48 RPM_X 135 SITEPROP SLICE_X51Y48 RPM_Y 96 SITEPROP SLICE_X51Y48 SITE_PIPS SITEPROP SLICE_X51Y48 SITE_TYPE SLICEL SITEPROP SLICE_X51Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y49 CLASS site SITEPROP SLICE_X51Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X51Y49 IS_BONDED 0 SITEPROP SLICE_X51Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y49 IS_PAD 0 SITEPROP SLICE_X51Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y49 IS_RESERVED 0 SITEPROP SLICE_X51Y49 IS_TEST 0 SITEPROP SLICE_X51Y49 IS_USED 0 SITEPROP SLICE_X51Y49 MANUAL_ROUTING SITEPROP SLICE_X51Y49 NAME SLICE_X51Y49 SITEPROP SLICE_X51Y49 NUM_ARCS 138 SITEPROP SLICE_X51Y49 NUM_BELS 32 SITEPROP SLICE_X51Y49 NUM_INPUTS 32 SITEPROP SLICE_X51Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y49 NUM_PINS 45 SITEPROP SLICE_X51Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y49 PROHIBIT 0 SITEPROP SLICE_X51Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y49 RPM_X 135 SITEPROP SLICE_X51Y49 RPM_Y 98 SITEPROP SLICE_X51Y49 SITE_PIPS SITEPROP SLICE_X51Y49 SITE_TYPE SLICEL SITEPROP SLICE_X51Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y50 CLASS site SITEPROP SLICE_X51Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y50 IS_BONDED 0 SITEPROP SLICE_X51Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y50 IS_PAD 0 SITEPROP SLICE_X51Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y50 IS_RESERVED 0 SITEPROP SLICE_X51Y50 IS_TEST 0 SITEPROP SLICE_X51Y50 IS_USED 0 SITEPROP SLICE_X51Y50 MANUAL_ROUTING SITEPROP SLICE_X51Y50 NAME SLICE_X51Y50 SITEPROP SLICE_X51Y50 NUM_ARCS 138 SITEPROP SLICE_X51Y50 NUM_BELS 32 SITEPROP SLICE_X51Y50 NUM_INPUTS 32 SITEPROP SLICE_X51Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y50 NUM_PINS 45 SITEPROP SLICE_X51Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y50 PROHIBIT 0 SITEPROP SLICE_X51Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y50 RPM_X 135 SITEPROP SLICE_X51Y50 RPM_Y 100 SITEPROP SLICE_X51Y50 SITE_PIPS SITEPROP SLICE_X51Y50 SITE_TYPE SLICEL SITEPROP SLICE_X51Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y51 CLASS site SITEPROP SLICE_X51Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y51 IS_BONDED 0 SITEPROP SLICE_X51Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y51 IS_PAD 0 SITEPROP SLICE_X51Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y51 IS_RESERVED 0 SITEPROP SLICE_X51Y51 IS_TEST 0 SITEPROP SLICE_X51Y51 IS_USED 0 SITEPROP SLICE_X51Y51 MANUAL_ROUTING SITEPROP SLICE_X51Y51 NAME SLICE_X51Y51 SITEPROP SLICE_X51Y51 NUM_ARCS 138 SITEPROP SLICE_X51Y51 NUM_BELS 32 SITEPROP SLICE_X51Y51 NUM_INPUTS 32 SITEPROP SLICE_X51Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y51 NUM_PINS 45 SITEPROP SLICE_X51Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y51 PROHIBIT 0 SITEPROP SLICE_X51Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y51 RPM_X 135 SITEPROP SLICE_X51Y51 RPM_Y 102 SITEPROP SLICE_X51Y51 SITE_PIPS SITEPROP SLICE_X51Y51 SITE_TYPE SLICEL SITEPROP SLICE_X51Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y52 CLASS site SITEPROP SLICE_X51Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y52 IS_BONDED 0 SITEPROP SLICE_X51Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y52 IS_PAD 0 SITEPROP SLICE_X51Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y52 IS_RESERVED 0 SITEPROP SLICE_X51Y52 IS_TEST 0 SITEPROP SLICE_X51Y52 IS_USED 0 SITEPROP SLICE_X51Y52 MANUAL_ROUTING SITEPROP SLICE_X51Y52 NAME SLICE_X51Y52 SITEPROP SLICE_X51Y52 NUM_ARCS 138 SITEPROP SLICE_X51Y52 NUM_BELS 32 SITEPROP SLICE_X51Y52 NUM_INPUTS 32 SITEPROP SLICE_X51Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y52 NUM_PINS 45 SITEPROP SLICE_X51Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y52 PROHIBIT 0 SITEPROP SLICE_X51Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y52 RPM_X 135 SITEPROP SLICE_X51Y52 RPM_Y 104 SITEPROP SLICE_X51Y52 SITE_PIPS SITEPROP SLICE_X51Y52 SITE_TYPE SLICEL SITEPROP SLICE_X51Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y53 CLASS site SITEPROP SLICE_X51Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y53 IS_BONDED 0 SITEPROP SLICE_X51Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y53 IS_PAD 0 SITEPROP SLICE_X51Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y53 IS_RESERVED 0 SITEPROP SLICE_X51Y53 IS_TEST 0 SITEPROP SLICE_X51Y53 IS_USED 0 SITEPROP SLICE_X51Y53 MANUAL_ROUTING SITEPROP SLICE_X51Y53 NAME SLICE_X51Y53 SITEPROP SLICE_X51Y53 NUM_ARCS 138 SITEPROP SLICE_X51Y53 NUM_BELS 32 SITEPROP SLICE_X51Y53 NUM_INPUTS 32 SITEPROP SLICE_X51Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y53 NUM_PINS 45 SITEPROP SLICE_X51Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y53 PROHIBIT 0 SITEPROP SLICE_X51Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y53 RPM_X 135 SITEPROP SLICE_X51Y53 RPM_Y 106 SITEPROP SLICE_X51Y53 SITE_PIPS SITEPROP SLICE_X51Y53 SITE_TYPE SLICEL SITEPROP SLICE_X51Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y54 CLASS site SITEPROP SLICE_X51Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y54 IS_BONDED 0 SITEPROP SLICE_X51Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y54 IS_PAD 0 SITEPROP SLICE_X51Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y54 IS_RESERVED 0 SITEPROP SLICE_X51Y54 IS_TEST 0 SITEPROP SLICE_X51Y54 IS_USED 0 SITEPROP SLICE_X51Y54 MANUAL_ROUTING SITEPROP SLICE_X51Y54 NAME SLICE_X51Y54 SITEPROP SLICE_X51Y54 NUM_ARCS 138 SITEPROP SLICE_X51Y54 NUM_BELS 32 SITEPROP SLICE_X51Y54 NUM_INPUTS 32 SITEPROP SLICE_X51Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y54 NUM_PINS 45 SITEPROP SLICE_X51Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y54 PROHIBIT 0 SITEPROP SLICE_X51Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y54 RPM_X 135 SITEPROP SLICE_X51Y54 RPM_Y 108 SITEPROP SLICE_X51Y54 SITE_PIPS SITEPROP SLICE_X51Y54 SITE_TYPE SLICEL SITEPROP SLICE_X51Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y55 CLASS site SITEPROP SLICE_X51Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y55 IS_BONDED 0 SITEPROP SLICE_X51Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y55 IS_PAD 0 SITEPROP SLICE_X51Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y55 IS_RESERVED 0 SITEPROP SLICE_X51Y55 IS_TEST 0 SITEPROP SLICE_X51Y55 IS_USED 0 SITEPROP SLICE_X51Y55 MANUAL_ROUTING SITEPROP SLICE_X51Y55 NAME SLICE_X51Y55 SITEPROP SLICE_X51Y55 NUM_ARCS 138 SITEPROP SLICE_X51Y55 NUM_BELS 32 SITEPROP SLICE_X51Y55 NUM_INPUTS 32 SITEPROP SLICE_X51Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y55 NUM_PINS 45 SITEPROP SLICE_X51Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y55 PROHIBIT 0 SITEPROP SLICE_X51Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y55 RPM_X 135 SITEPROP SLICE_X51Y55 RPM_Y 110 SITEPROP SLICE_X51Y55 SITE_PIPS SITEPROP SLICE_X51Y55 SITE_TYPE SLICEL SITEPROP SLICE_X51Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y56 CLASS site SITEPROP SLICE_X51Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y56 IS_BONDED 0 SITEPROP SLICE_X51Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y56 IS_PAD 0 SITEPROP SLICE_X51Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y56 IS_RESERVED 0 SITEPROP SLICE_X51Y56 IS_TEST 0 SITEPROP SLICE_X51Y56 IS_USED 0 SITEPROP SLICE_X51Y56 MANUAL_ROUTING SITEPROP SLICE_X51Y56 NAME SLICE_X51Y56 SITEPROP SLICE_X51Y56 NUM_ARCS 138 SITEPROP SLICE_X51Y56 NUM_BELS 32 SITEPROP SLICE_X51Y56 NUM_INPUTS 32 SITEPROP SLICE_X51Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y56 NUM_PINS 45 SITEPROP SLICE_X51Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y56 PROHIBIT 0 SITEPROP SLICE_X51Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y56 RPM_X 135 SITEPROP SLICE_X51Y56 RPM_Y 112 SITEPROP SLICE_X51Y56 SITE_PIPS SITEPROP SLICE_X51Y56 SITE_TYPE SLICEL SITEPROP SLICE_X51Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y57 CLASS site SITEPROP SLICE_X51Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y57 IS_BONDED 0 SITEPROP SLICE_X51Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y57 IS_PAD 0 SITEPROP SLICE_X51Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y57 IS_RESERVED 0 SITEPROP SLICE_X51Y57 IS_TEST 0 SITEPROP SLICE_X51Y57 IS_USED 0 SITEPROP SLICE_X51Y57 MANUAL_ROUTING SITEPROP SLICE_X51Y57 NAME SLICE_X51Y57 SITEPROP SLICE_X51Y57 NUM_ARCS 138 SITEPROP SLICE_X51Y57 NUM_BELS 32 SITEPROP SLICE_X51Y57 NUM_INPUTS 32 SITEPROP SLICE_X51Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y57 NUM_PINS 45 SITEPROP SLICE_X51Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y57 PROHIBIT 0 SITEPROP SLICE_X51Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y57 RPM_X 135 SITEPROP SLICE_X51Y57 RPM_Y 114 SITEPROP SLICE_X51Y57 SITE_PIPS SITEPROP SLICE_X51Y57 SITE_TYPE SLICEL SITEPROP SLICE_X51Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y58 CLASS site SITEPROP SLICE_X51Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y58 IS_BONDED 0 SITEPROP SLICE_X51Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y58 IS_PAD 0 SITEPROP SLICE_X51Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y58 IS_RESERVED 0 SITEPROP SLICE_X51Y58 IS_TEST 0 SITEPROP SLICE_X51Y58 IS_USED 0 SITEPROP SLICE_X51Y58 MANUAL_ROUTING SITEPROP SLICE_X51Y58 NAME SLICE_X51Y58 SITEPROP SLICE_X51Y58 NUM_ARCS 138 SITEPROP SLICE_X51Y58 NUM_BELS 32 SITEPROP SLICE_X51Y58 NUM_INPUTS 32 SITEPROP SLICE_X51Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y58 NUM_PINS 45 SITEPROP SLICE_X51Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y58 PROHIBIT 0 SITEPROP SLICE_X51Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y58 RPM_X 135 SITEPROP SLICE_X51Y58 RPM_Y 116 SITEPROP SLICE_X51Y58 SITE_PIPS SITEPROP SLICE_X51Y58 SITE_TYPE SLICEL SITEPROP SLICE_X51Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y59 CLASS site SITEPROP SLICE_X51Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y59 IS_BONDED 0 SITEPROP SLICE_X51Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y59 IS_PAD 0 SITEPROP SLICE_X51Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y59 IS_RESERVED 0 SITEPROP SLICE_X51Y59 IS_TEST 0 SITEPROP SLICE_X51Y59 IS_USED 0 SITEPROP SLICE_X51Y59 MANUAL_ROUTING SITEPROP SLICE_X51Y59 NAME SLICE_X51Y59 SITEPROP SLICE_X51Y59 NUM_ARCS 138 SITEPROP SLICE_X51Y59 NUM_BELS 32 SITEPROP SLICE_X51Y59 NUM_INPUTS 32 SITEPROP SLICE_X51Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y59 NUM_PINS 45 SITEPROP SLICE_X51Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y59 PROHIBIT 0 SITEPROP SLICE_X51Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y59 RPM_X 135 SITEPROP SLICE_X51Y59 RPM_Y 118 SITEPROP SLICE_X51Y59 SITE_PIPS SITEPROP SLICE_X51Y59 SITE_TYPE SLICEL SITEPROP SLICE_X51Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y60 CLASS site SITEPROP SLICE_X51Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y60 IS_BONDED 0 SITEPROP SLICE_X51Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y60 IS_PAD 0 SITEPROP SLICE_X51Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y60 IS_RESERVED 0 SITEPROP SLICE_X51Y60 IS_TEST 0 SITEPROP SLICE_X51Y60 IS_USED 0 SITEPROP SLICE_X51Y60 MANUAL_ROUTING SITEPROP SLICE_X51Y60 NAME SLICE_X51Y60 SITEPROP SLICE_X51Y60 NUM_ARCS 138 SITEPROP SLICE_X51Y60 NUM_BELS 32 SITEPROP SLICE_X51Y60 NUM_INPUTS 32 SITEPROP SLICE_X51Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y60 NUM_PINS 45 SITEPROP SLICE_X51Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y60 PROHIBIT 0 SITEPROP SLICE_X51Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y60 RPM_X 135 SITEPROP SLICE_X51Y60 RPM_Y 120 SITEPROP SLICE_X51Y60 SITE_PIPS SITEPROP SLICE_X51Y60 SITE_TYPE SLICEL SITEPROP SLICE_X51Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y61 CLASS site SITEPROP SLICE_X51Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y61 IS_BONDED 0 SITEPROP SLICE_X51Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y61 IS_PAD 0 SITEPROP SLICE_X51Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y61 IS_RESERVED 0 SITEPROP SLICE_X51Y61 IS_TEST 0 SITEPROP SLICE_X51Y61 IS_USED 0 SITEPROP SLICE_X51Y61 MANUAL_ROUTING SITEPROP SLICE_X51Y61 NAME SLICE_X51Y61 SITEPROP SLICE_X51Y61 NUM_ARCS 138 SITEPROP SLICE_X51Y61 NUM_BELS 32 SITEPROP SLICE_X51Y61 NUM_INPUTS 32 SITEPROP SLICE_X51Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y61 NUM_PINS 45 SITEPROP SLICE_X51Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y61 PROHIBIT 0 SITEPROP SLICE_X51Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y61 RPM_X 135 SITEPROP SLICE_X51Y61 RPM_Y 122 SITEPROP SLICE_X51Y61 SITE_PIPS SITEPROP SLICE_X51Y61 SITE_TYPE SLICEL SITEPROP SLICE_X51Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y62 CLASS site SITEPROP SLICE_X51Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y62 IS_BONDED 0 SITEPROP SLICE_X51Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y62 IS_PAD 0 SITEPROP SLICE_X51Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y62 IS_RESERVED 0 SITEPROP SLICE_X51Y62 IS_TEST 0 SITEPROP SLICE_X51Y62 IS_USED 0 SITEPROP SLICE_X51Y62 MANUAL_ROUTING SITEPROP SLICE_X51Y62 NAME SLICE_X51Y62 SITEPROP SLICE_X51Y62 NUM_ARCS 138 SITEPROP SLICE_X51Y62 NUM_BELS 32 SITEPROP SLICE_X51Y62 NUM_INPUTS 32 SITEPROP SLICE_X51Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y62 NUM_PINS 45 SITEPROP SLICE_X51Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y62 PROHIBIT 0 SITEPROP SLICE_X51Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y62 RPM_X 135 SITEPROP SLICE_X51Y62 RPM_Y 124 SITEPROP SLICE_X51Y62 SITE_PIPS SITEPROP SLICE_X51Y62 SITE_TYPE SLICEL SITEPROP SLICE_X51Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y63 CLASS site SITEPROP SLICE_X51Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y63 IS_BONDED 0 SITEPROP SLICE_X51Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y63 IS_PAD 0 SITEPROP SLICE_X51Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y63 IS_RESERVED 0 SITEPROP SLICE_X51Y63 IS_TEST 0 SITEPROP SLICE_X51Y63 IS_USED 0 SITEPROP SLICE_X51Y63 MANUAL_ROUTING SITEPROP SLICE_X51Y63 NAME SLICE_X51Y63 SITEPROP SLICE_X51Y63 NUM_ARCS 138 SITEPROP SLICE_X51Y63 NUM_BELS 32 SITEPROP SLICE_X51Y63 NUM_INPUTS 32 SITEPROP SLICE_X51Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y63 NUM_PINS 45 SITEPROP SLICE_X51Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y63 PROHIBIT 0 SITEPROP SLICE_X51Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y63 RPM_X 135 SITEPROP SLICE_X51Y63 RPM_Y 126 SITEPROP SLICE_X51Y63 SITE_PIPS SITEPROP SLICE_X51Y63 SITE_TYPE SLICEL SITEPROP SLICE_X51Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y64 CLASS site SITEPROP SLICE_X51Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y64 IS_BONDED 0 SITEPROP SLICE_X51Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y64 IS_PAD 0 SITEPROP SLICE_X51Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y64 IS_RESERVED 0 SITEPROP SLICE_X51Y64 IS_TEST 0 SITEPROP SLICE_X51Y64 IS_USED 0 SITEPROP SLICE_X51Y64 MANUAL_ROUTING SITEPROP SLICE_X51Y64 NAME SLICE_X51Y64 SITEPROP SLICE_X51Y64 NUM_ARCS 138 SITEPROP SLICE_X51Y64 NUM_BELS 32 SITEPROP SLICE_X51Y64 NUM_INPUTS 32 SITEPROP SLICE_X51Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y64 NUM_PINS 45 SITEPROP SLICE_X51Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y64 PROHIBIT 0 SITEPROP SLICE_X51Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y64 RPM_X 135 SITEPROP SLICE_X51Y64 RPM_Y 128 SITEPROP SLICE_X51Y64 SITE_PIPS SITEPROP SLICE_X51Y64 SITE_TYPE SLICEL SITEPROP SLICE_X51Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y65 CLASS site SITEPROP SLICE_X51Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y65 IS_BONDED 0 SITEPROP SLICE_X51Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y65 IS_PAD 0 SITEPROP SLICE_X51Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y65 IS_RESERVED 0 SITEPROP SLICE_X51Y65 IS_TEST 0 SITEPROP SLICE_X51Y65 IS_USED 0 SITEPROP SLICE_X51Y65 MANUAL_ROUTING SITEPROP SLICE_X51Y65 NAME SLICE_X51Y65 SITEPROP SLICE_X51Y65 NUM_ARCS 138 SITEPROP SLICE_X51Y65 NUM_BELS 32 SITEPROP SLICE_X51Y65 NUM_INPUTS 32 SITEPROP SLICE_X51Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y65 NUM_PINS 45 SITEPROP SLICE_X51Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y65 PROHIBIT 0 SITEPROP SLICE_X51Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y65 RPM_X 135 SITEPROP SLICE_X51Y65 RPM_Y 130 SITEPROP SLICE_X51Y65 SITE_PIPS SITEPROP SLICE_X51Y65 SITE_TYPE SLICEL SITEPROP SLICE_X51Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y66 CLASS site SITEPROP SLICE_X51Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y66 IS_BONDED 0 SITEPROP SLICE_X51Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y66 IS_PAD 0 SITEPROP SLICE_X51Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y66 IS_RESERVED 0 SITEPROP SLICE_X51Y66 IS_TEST 0 SITEPROP SLICE_X51Y66 IS_USED 0 SITEPROP SLICE_X51Y66 MANUAL_ROUTING SITEPROP SLICE_X51Y66 NAME SLICE_X51Y66 SITEPROP SLICE_X51Y66 NUM_ARCS 138 SITEPROP SLICE_X51Y66 NUM_BELS 32 SITEPROP SLICE_X51Y66 NUM_INPUTS 32 SITEPROP SLICE_X51Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y66 NUM_PINS 45 SITEPROP SLICE_X51Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y66 PROHIBIT 0 SITEPROP SLICE_X51Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y66 RPM_X 135 SITEPROP SLICE_X51Y66 RPM_Y 132 SITEPROP SLICE_X51Y66 SITE_PIPS SITEPROP SLICE_X51Y66 SITE_TYPE SLICEL SITEPROP SLICE_X51Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y67 CLASS site SITEPROP SLICE_X51Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y67 IS_BONDED 0 SITEPROP SLICE_X51Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y67 IS_PAD 0 SITEPROP SLICE_X51Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y67 IS_RESERVED 0 SITEPROP SLICE_X51Y67 IS_TEST 0 SITEPROP SLICE_X51Y67 IS_USED 0 SITEPROP SLICE_X51Y67 MANUAL_ROUTING SITEPROP SLICE_X51Y67 NAME SLICE_X51Y67 SITEPROP SLICE_X51Y67 NUM_ARCS 138 SITEPROP SLICE_X51Y67 NUM_BELS 32 SITEPROP SLICE_X51Y67 NUM_INPUTS 32 SITEPROP SLICE_X51Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y67 NUM_PINS 45 SITEPROP SLICE_X51Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y67 PROHIBIT 0 SITEPROP SLICE_X51Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y67 RPM_X 135 SITEPROP SLICE_X51Y67 RPM_Y 134 SITEPROP SLICE_X51Y67 SITE_PIPS SITEPROP SLICE_X51Y67 SITE_TYPE SLICEL SITEPROP SLICE_X51Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y68 CLASS site SITEPROP SLICE_X51Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y68 IS_BONDED 0 SITEPROP SLICE_X51Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y68 IS_PAD 0 SITEPROP SLICE_X51Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y68 IS_RESERVED 0 SITEPROP SLICE_X51Y68 IS_TEST 0 SITEPROP SLICE_X51Y68 IS_USED 0 SITEPROP SLICE_X51Y68 MANUAL_ROUTING SITEPROP SLICE_X51Y68 NAME SLICE_X51Y68 SITEPROP SLICE_X51Y68 NUM_ARCS 138 SITEPROP SLICE_X51Y68 NUM_BELS 32 SITEPROP SLICE_X51Y68 NUM_INPUTS 32 SITEPROP SLICE_X51Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y68 NUM_PINS 45 SITEPROP SLICE_X51Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y68 PROHIBIT 0 SITEPROP SLICE_X51Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y68 RPM_X 135 SITEPROP SLICE_X51Y68 RPM_Y 136 SITEPROP SLICE_X51Y68 SITE_PIPS SITEPROP SLICE_X51Y68 SITE_TYPE SLICEL SITEPROP SLICE_X51Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y69 CLASS site SITEPROP SLICE_X51Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y69 IS_BONDED 0 SITEPROP SLICE_X51Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y69 IS_PAD 0 SITEPROP SLICE_X51Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y69 IS_RESERVED 0 SITEPROP SLICE_X51Y69 IS_TEST 0 SITEPROP SLICE_X51Y69 IS_USED 0 SITEPROP SLICE_X51Y69 MANUAL_ROUTING SITEPROP SLICE_X51Y69 NAME SLICE_X51Y69 SITEPROP SLICE_X51Y69 NUM_ARCS 138 SITEPROP SLICE_X51Y69 NUM_BELS 32 SITEPROP SLICE_X51Y69 NUM_INPUTS 32 SITEPROP SLICE_X51Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y69 NUM_PINS 45 SITEPROP SLICE_X51Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y69 PROHIBIT 0 SITEPROP SLICE_X51Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y69 RPM_X 135 SITEPROP SLICE_X51Y69 RPM_Y 138 SITEPROP SLICE_X51Y69 SITE_PIPS SITEPROP SLICE_X51Y69 SITE_TYPE SLICEL SITEPROP SLICE_X51Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y70 CLASS site SITEPROP SLICE_X51Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y70 IS_BONDED 0 SITEPROP SLICE_X51Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y70 IS_PAD 0 SITEPROP SLICE_X51Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y70 IS_RESERVED 0 SITEPROP SLICE_X51Y70 IS_TEST 0 SITEPROP SLICE_X51Y70 IS_USED 0 SITEPROP SLICE_X51Y70 MANUAL_ROUTING SITEPROP SLICE_X51Y70 NAME SLICE_X51Y70 SITEPROP SLICE_X51Y70 NUM_ARCS 138 SITEPROP SLICE_X51Y70 NUM_BELS 32 SITEPROP SLICE_X51Y70 NUM_INPUTS 32 SITEPROP SLICE_X51Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y70 NUM_PINS 45 SITEPROP SLICE_X51Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y70 PROHIBIT 0 SITEPROP SLICE_X51Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y70 RPM_X 135 SITEPROP SLICE_X51Y70 RPM_Y 140 SITEPROP SLICE_X51Y70 SITE_PIPS SITEPROP SLICE_X51Y70 SITE_TYPE SLICEL SITEPROP SLICE_X51Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y71 CLASS site SITEPROP SLICE_X51Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y71 IS_BONDED 0 SITEPROP SLICE_X51Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y71 IS_PAD 0 SITEPROP SLICE_X51Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y71 IS_RESERVED 0 SITEPROP SLICE_X51Y71 IS_TEST 0 SITEPROP SLICE_X51Y71 IS_USED 0 SITEPROP SLICE_X51Y71 MANUAL_ROUTING SITEPROP SLICE_X51Y71 NAME SLICE_X51Y71 SITEPROP SLICE_X51Y71 NUM_ARCS 138 SITEPROP SLICE_X51Y71 NUM_BELS 32 SITEPROP SLICE_X51Y71 NUM_INPUTS 32 SITEPROP SLICE_X51Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y71 NUM_PINS 45 SITEPROP SLICE_X51Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y71 PROHIBIT 0 SITEPROP SLICE_X51Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y71 RPM_X 135 SITEPROP SLICE_X51Y71 RPM_Y 142 SITEPROP SLICE_X51Y71 SITE_PIPS SITEPROP SLICE_X51Y71 SITE_TYPE SLICEL SITEPROP SLICE_X51Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y72 CLASS site SITEPROP SLICE_X51Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y72 IS_BONDED 0 SITEPROP SLICE_X51Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y72 IS_PAD 0 SITEPROP SLICE_X51Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y72 IS_RESERVED 0 SITEPROP SLICE_X51Y72 IS_TEST 0 SITEPROP SLICE_X51Y72 IS_USED 0 SITEPROP SLICE_X51Y72 MANUAL_ROUTING SITEPROP SLICE_X51Y72 NAME SLICE_X51Y72 SITEPROP SLICE_X51Y72 NUM_ARCS 138 SITEPROP SLICE_X51Y72 NUM_BELS 32 SITEPROP SLICE_X51Y72 NUM_INPUTS 32 SITEPROP SLICE_X51Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y72 NUM_PINS 45 SITEPROP SLICE_X51Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y72 PROHIBIT 0 SITEPROP SLICE_X51Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y72 RPM_X 135 SITEPROP SLICE_X51Y72 RPM_Y 144 SITEPROP SLICE_X51Y72 SITE_PIPS SITEPROP SLICE_X51Y72 SITE_TYPE SLICEL SITEPROP SLICE_X51Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y73 CLASS site SITEPROP SLICE_X51Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y73 IS_BONDED 0 SITEPROP SLICE_X51Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y73 IS_PAD 0 SITEPROP SLICE_X51Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y73 IS_RESERVED 0 SITEPROP SLICE_X51Y73 IS_TEST 0 SITEPROP SLICE_X51Y73 IS_USED 0 SITEPROP SLICE_X51Y73 MANUAL_ROUTING SITEPROP SLICE_X51Y73 NAME SLICE_X51Y73 SITEPROP SLICE_X51Y73 NUM_ARCS 138 SITEPROP SLICE_X51Y73 NUM_BELS 32 SITEPROP SLICE_X51Y73 NUM_INPUTS 32 SITEPROP SLICE_X51Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y73 NUM_PINS 45 SITEPROP SLICE_X51Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y73 PROHIBIT 0 SITEPROP SLICE_X51Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y73 RPM_X 135 SITEPROP SLICE_X51Y73 RPM_Y 146 SITEPROP SLICE_X51Y73 SITE_PIPS SITEPROP SLICE_X51Y73 SITE_TYPE SLICEL SITEPROP SLICE_X51Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y74 CLASS site SITEPROP SLICE_X51Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y74 IS_BONDED 0 SITEPROP SLICE_X51Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y74 IS_PAD 0 SITEPROP SLICE_X51Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y74 IS_RESERVED 0 SITEPROP SLICE_X51Y74 IS_TEST 0 SITEPROP SLICE_X51Y74 IS_USED 0 SITEPROP SLICE_X51Y74 MANUAL_ROUTING SITEPROP SLICE_X51Y74 NAME SLICE_X51Y74 SITEPROP SLICE_X51Y74 NUM_ARCS 138 SITEPROP SLICE_X51Y74 NUM_BELS 32 SITEPROP SLICE_X51Y74 NUM_INPUTS 32 SITEPROP SLICE_X51Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y74 NUM_PINS 45 SITEPROP SLICE_X51Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y74 PROHIBIT 0 SITEPROP SLICE_X51Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y74 RPM_X 135 SITEPROP SLICE_X51Y74 RPM_Y 148 SITEPROP SLICE_X51Y74 SITE_PIPS SITEPROP SLICE_X51Y74 SITE_TYPE SLICEL SITEPROP SLICE_X51Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y75 CLASS site SITEPROP SLICE_X51Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y75 IS_BONDED 0 SITEPROP SLICE_X51Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y75 IS_PAD 0 SITEPROP SLICE_X51Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y75 IS_RESERVED 0 SITEPROP SLICE_X51Y75 IS_TEST 0 SITEPROP SLICE_X51Y75 IS_USED 0 SITEPROP SLICE_X51Y75 MANUAL_ROUTING SITEPROP SLICE_X51Y75 NAME SLICE_X51Y75 SITEPROP SLICE_X51Y75 NUM_ARCS 138 SITEPROP SLICE_X51Y75 NUM_BELS 32 SITEPROP SLICE_X51Y75 NUM_INPUTS 32 SITEPROP SLICE_X51Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y75 NUM_PINS 45 SITEPROP SLICE_X51Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y75 PROHIBIT 0 SITEPROP SLICE_X51Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y75 RPM_X 135 SITEPROP SLICE_X51Y75 RPM_Y 150 SITEPROP SLICE_X51Y75 SITE_PIPS SITEPROP SLICE_X51Y75 SITE_TYPE SLICEL SITEPROP SLICE_X51Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y76 CLASS site SITEPROP SLICE_X51Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y76 IS_BONDED 0 SITEPROP SLICE_X51Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y76 IS_PAD 0 SITEPROP SLICE_X51Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y76 IS_RESERVED 0 SITEPROP SLICE_X51Y76 IS_TEST 0 SITEPROP SLICE_X51Y76 IS_USED 0 SITEPROP SLICE_X51Y76 MANUAL_ROUTING SITEPROP SLICE_X51Y76 NAME SLICE_X51Y76 SITEPROP SLICE_X51Y76 NUM_ARCS 138 SITEPROP SLICE_X51Y76 NUM_BELS 32 SITEPROP SLICE_X51Y76 NUM_INPUTS 32 SITEPROP SLICE_X51Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y76 NUM_PINS 45 SITEPROP SLICE_X51Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y76 PROHIBIT 0 SITEPROP SLICE_X51Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y76 RPM_X 135 SITEPROP SLICE_X51Y76 RPM_Y 152 SITEPROP SLICE_X51Y76 SITE_PIPS SITEPROP SLICE_X51Y76 SITE_TYPE SLICEL SITEPROP SLICE_X51Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y77 CLASS site SITEPROP SLICE_X51Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y77 IS_BONDED 0 SITEPROP SLICE_X51Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y77 IS_PAD 0 SITEPROP SLICE_X51Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y77 IS_RESERVED 0 SITEPROP SLICE_X51Y77 IS_TEST 0 SITEPROP SLICE_X51Y77 IS_USED 0 SITEPROP SLICE_X51Y77 MANUAL_ROUTING SITEPROP SLICE_X51Y77 NAME SLICE_X51Y77 SITEPROP SLICE_X51Y77 NUM_ARCS 138 SITEPROP SLICE_X51Y77 NUM_BELS 32 SITEPROP SLICE_X51Y77 NUM_INPUTS 32 SITEPROP SLICE_X51Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y77 NUM_PINS 45 SITEPROP SLICE_X51Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y77 PROHIBIT 0 SITEPROP SLICE_X51Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y77 RPM_X 135 SITEPROP SLICE_X51Y77 RPM_Y 154 SITEPROP SLICE_X51Y77 SITE_PIPS SITEPROP SLICE_X51Y77 SITE_TYPE SLICEL SITEPROP SLICE_X51Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y78 CLASS site SITEPROP SLICE_X51Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y78 IS_BONDED 0 SITEPROP SLICE_X51Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y78 IS_PAD 0 SITEPROP SLICE_X51Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y78 IS_RESERVED 0 SITEPROP SLICE_X51Y78 IS_TEST 0 SITEPROP SLICE_X51Y78 IS_USED 0 SITEPROP SLICE_X51Y78 MANUAL_ROUTING SITEPROP SLICE_X51Y78 NAME SLICE_X51Y78 SITEPROP SLICE_X51Y78 NUM_ARCS 138 SITEPROP SLICE_X51Y78 NUM_BELS 32 SITEPROP SLICE_X51Y78 NUM_INPUTS 32 SITEPROP SLICE_X51Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y78 NUM_PINS 45 SITEPROP SLICE_X51Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y78 PROHIBIT 0 SITEPROP SLICE_X51Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y78 RPM_X 135 SITEPROP SLICE_X51Y78 RPM_Y 156 SITEPROP SLICE_X51Y78 SITE_PIPS SITEPROP SLICE_X51Y78 SITE_TYPE SLICEL SITEPROP SLICE_X51Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y79 CLASS site SITEPROP SLICE_X51Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y79 IS_BONDED 0 SITEPROP SLICE_X51Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y79 IS_PAD 0 SITEPROP SLICE_X51Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y79 IS_RESERVED 0 SITEPROP SLICE_X51Y79 IS_TEST 0 SITEPROP SLICE_X51Y79 IS_USED 0 SITEPROP SLICE_X51Y79 MANUAL_ROUTING SITEPROP SLICE_X51Y79 NAME SLICE_X51Y79 SITEPROP SLICE_X51Y79 NUM_ARCS 138 SITEPROP SLICE_X51Y79 NUM_BELS 32 SITEPROP SLICE_X51Y79 NUM_INPUTS 32 SITEPROP SLICE_X51Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y79 NUM_PINS 45 SITEPROP SLICE_X51Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y79 PROHIBIT 0 SITEPROP SLICE_X51Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y79 RPM_X 135 SITEPROP SLICE_X51Y79 RPM_Y 158 SITEPROP SLICE_X51Y79 SITE_PIPS SITEPROP SLICE_X51Y79 SITE_TYPE SLICEL SITEPROP SLICE_X51Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y80 CLASS site SITEPROP SLICE_X51Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y80 IS_BONDED 0 SITEPROP SLICE_X51Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y80 IS_PAD 0 SITEPROP SLICE_X51Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y80 IS_RESERVED 0 SITEPROP SLICE_X51Y80 IS_TEST 0 SITEPROP SLICE_X51Y80 IS_USED 0 SITEPROP SLICE_X51Y80 MANUAL_ROUTING SITEPROP SLICE_X51Y80 NAME SLICE_X51Y80 SITEPROP SLICE_X51Y80 NUM_ARCS 138 SITEPROP SLICE_X51Y80 NUM_BELS 32 SITEPROP SLICE_X51Y80 NUM_INPUTS 32 SITEPROP SLICE_X51Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y80 NUM_PINS 45 SITEPROP SLICE_X51Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y80 PROHIBIT 0 SITEPROP SLICE_X51Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y80 RPM_X 135 SITEPROP SLICE_X51Y80 RPM_Y 160 SITEPROP SLICE_X51Y80 SITE_PIPS SITEPROP SLICE_X51Y80 SITE_TYPE SLICEL SITEPROP SLICE_X51Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y81 CLASS site SITEPROP SLICE_X51Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y81 IS_BONDED 0 SITEPROP SLICE_X51Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y81 IS_PAD 0 SITEPROP SLICE_X51Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y81 IS_RESERVED 0 SITEPROP SLICE_X51Y81 IS_TEST 0 SITEPROP SLICE_X51Y81 IS_USED 0 SITEPROP SLICE_X51Y81 MANUAL_ROUTING SITEPROP SLICE_X51Y81 NAME SLICE_X51Y81 SITEPROP SLICE_X51Y81 NUM_ARCS 138 SITEPROP SLICE_X51Y81 NUM_BELS 32 SITEPROP SLICE_X51Y81 NUM_INPUTS 32 SITEPROP SLICE_X51Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y81 NUM_PINS 45 SITEPROP SLICE_X51Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y81 PROHIBIT 0 SITEPROP SLICE_X51Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y81 RPM_X 135 SITEPROP SLICE_X51Y81 RPM_Y 162 SITEPROP SLICE_X51Y81 SITE_PIPS SITEPROP SLICE_X51Y81 SITE_TYPE SLICEL SITEPROP SLICE_X51Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y82 CLASS site SITEPROP SLICE_X51Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y82 IS_BONDED 0 SITEPROP SLICE_X51Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y82 IS_PAD 0 SITEPROP SLICE_X51Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y82 IS_RESERVED 0 SITEPROP SLICE_X51Y82 IS_TEST 0 SITEPROP SLICE_X51Y82 IS_USED 0 SITEPROP SLICE_X51Y82 MANUAL_ROUTING SITEPROP SLICE_X51Y82 NAME SLICE_X51Y82 SITEPROP SLICE_X51Y82 NUM_ARCS 138 SITEPROP SLICE_X51Y82 NUM_BELS 32 SITEPROP SLICE_X51Y82 NUM_INPUTS 32 SITEPROP SLICE_X51Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y82 NUM_PINS 45 SITEPROP SLICE_X51Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y82 PROHIBIT 0 SITEPROP SLICE_X51Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y82 RPM_X 135 SITEPROP SLICE_X51Y82 RPM_Y 164 SITEPROP SLICE_X51Y82 SITE_PIPS SITEPROP SLICE_X51Y82 SITE_TYPE SLICEL SITEPROP SLICE_X51Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y83 CLASS site SITEPROP SLICE_X51Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y83 IS_BONDED 0 SITEPROP SLICE_X51Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y83 IS_PAD 0 SITEPROP SLICE_X51Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y83 IS_RESERVED 0 SITEPROP SLICE_X51Y83 IS_TEST 0 SITEPROP SLICE_X51Y83 IS_USED 0 SITEPROP SLICE_X51Y83 MANUAL_ROUTING SITEPROP SLICE_X51Y83 NAME SLICE_X51Y83 SITEPROP SLICE_X51Y83 NUM_ARCS 138 SITEPROP SLICE_X51Y83 NUM_BELS 32 SITEPROP SLICE_X51Y83 NUM_INPUTS 32 SITEPROP SLICE_X51Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y83 NUM_PINS 45 SITEPROP SLICE_X51Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y83 PROHIBIT 0 SITEPROP SLICE_X51Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y83 RPM_X 135 SITEPROP SLICE_X51Y83 RPM_Y 166 SITEPROP SLICE_X51Y83 SITE_PIPS SITEPROP SLICE_X51Y83 SITE_TYPE SLICEL SITEPROP SLICE_X51Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y84 CLASS site SITEPROP SLICE_X51Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y84 IS_BONDED 0 SITEPROP SLICE_X51Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y84 IS_PAD 0 SITEPROP SLICE_X51Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y84 IS_RESERVED 0 SITEPROP SLICE_X51Y84 IS_TEST 0 SITEPROP SLICE_X51Y84 IS_USED 0 SITEPROP SLICE_X51Y84 MANUAL_ROUTING SITEPROP SLICE_X51Y84 NAME SLICE_X51Y84 SITEPROP SLICE_X51Y84 NUM_ARCS 138 SITEPROP SLICE_X51Y84 NUM_BELS 32 SITEPROP SLICE_X51Y84 NUM_INPUTS 32 SITEPROP SLICE_X51Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y84 NUM_PINS 45 SITEPROP SLICE_X51Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y84 PROHIBIT 0 SITEPROP SLICE_X51Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y84 RPM_X 135 SITEPROP SLICE_X51Y84 RPM_Y 168 SITEPROP SLICE_X51Y84 SITE_PIPS SITEPROP SLICE_X51Y84 SITE_TYPE SLICEL SITEPROP SLICE_X51Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y85 CLASS site SITEPROP SLICE_X51Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y85 IS_BONDED 0 SITEPROP SLICE_X51Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y85 IS_PAD 0 SITEPROP SLICE_X51Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y85 IS_RESERVED 0 SITEPROP SLICE_X51Y85 IS_TEST 0 SITEPROP SLICE_X51Y85 IS_USED 0 SITEPROP SLICE_X51Y85 MANUAL_ROUTING SITEPROP SLICE_X51Y85 NAME SLICE_X51Y85 SITEPROP SLICE_X51Y85 NUM_ARCS 138 SITEPROP SLICE_X51Y85 NUM_BELS 32 SITEPROP SLICE_X51Y85 NUM_INPUTS 32 SITEPROP SLICE_X51Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y85 NUM_PINS 45 SITEPROP SLICE_X51Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y85 PROHIBIT 0 SITEPROP SLICE_X51Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y85 RPM_X 135 SITEPROP SLICE_X51Y85 RPM_Y 170 SITEPROP SLICE_X51Y85 SITE_PIPS SITEPROP SLICE_X51Y85 SITE_TYPE SLICEL SITEPROP SLICE_X51Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y86 CLASS site SITEPROP SLICE_X51Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y86 IS_BONDED 0 SITEPROP SLICE_X51Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y86 IS_PAD 0 SITEPROP SLICE_X51Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y86 IS_RESERVED 0 SITEPROP SLICE_X51Y86 IS_TEST 0 SITEPROP SLICE_X51Y86 IS_USED 0 SITEPROP SLICE_X51Y86 MANUAL_ROUTING SITEPROP SLICE_X51Y86 NAME SLICE_X51Y86 SITEPROP SLICE_X51Y86 NUM_ARCS 138 SITEPROP SLICE_X51Y86 NUM_BELS 32 SITEPROP SLICE_X51Y86 NUM_INPUTS 32 SITEPROP SLICE_X51Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y86 NUM_PINS 45 SITEPROP SLICE_X51Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y86 PROHIBIT 0 SITEPROP SLICE_X51Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y86 RPM_X 135 SITEPROP SLICE_X51Y86 RPM_Y 172 SITEPROP SLICE_X51Y86 SITE_PIPS SITEPROP SLICE_X51Y86 SITE_TYPE SLICEL SITEPROP SLICE_X51Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y87 CLASS site SITEPROP SLICE_X51Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y87 IS_BONDED 0 SITEPROP SLICE_X51Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y87 IS_PAD 0 SITEPROP SLICE_X51Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y87 IS_RESERVED 0 SITEPROP SLICE_X51Y87 IS_TEST 0 SITEPROP SLICE_X51Y87 IS_USED 0 SITEPROP SLICE_X51Y87 MANUAL_ROUTING SITEPROP SLICE_X51Y87 NAME SLICE_X51Y87 SITEPROP SLICE_X51Y87 NUM_ARCS 138 SITEPROP SLICE_X51Y87 NUM_BELS 32 SITEPROP SLICE_X51Y87 NUM_INPUTS 32 SITEPROP SLICE_X51Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y87 NUM_PINS 45 SITEPROP SLICE_X51Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y87 PROHIBIT 0 SITEPROP SLICE_X51Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y87 RPM_X 135 SITEPROP SLICE_X51Y87 RPM_Y 174 SITEPROP SLICE_X51Y87 SITE_PIPS SITEPROP SLICE_X51Y87 SITE_TYPE SLICEL SITEPROP SLICE_X51Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y88 CLASS site SITEPROP SLICE_X51Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y88 IS_BONDED 0 SITEPROP SLICE_X51Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y88 IS_PAD 0 SITEPROP SLICE_X51Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y88 IS_RESERVED 0 SITEPROP SLICE_X51Y88 IS_TEST 0 SITEPROP SLICE_X51Y88 IS_USED 0 SITEPROP SLICE_X51Y88 MANUAL_ROUTING SITEPROP SLICE_X51Y88 NAME SLICE_X51Y88 SITEPROP SLICE_X51Y88 NUM_ARCS 138 SITEPROP SLICE_X51Y88 NUM_BELS 32 SITEPROP SLICE_X51Y88 NUM_INPUTS 32 SITEPROP SLICE_X51Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y88 NUM_PINS 45 SITEPROP SLICE_X51Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y88 PROHIBIT 0 SITEPROP SLICE_X51Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y88 RPM_X 135 SITEPROP SLICE_X51Y88 RPM_Y 176 SITEPROP SLICE_X51Y88 SITE_PIPS SITEPROP SLICE_X51Y88 SITE_TYPE SLICEL SITEPROP SLICE_X51Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y89 CLASS site SITEPROP SLICE_X51Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y89 IS_BONDED 0 SITEPROP SLICE_X51Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y89 IS_PAD 0 SITEPROP SLICE_X51Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y89 IS_RESERVED 0 SITEPROP SLICE_X51Y89 IS_TEST 0 SITEPROP SLICE_X51Y89 IS_USED 0 SITEPROP SLICE_X51Y89 MANUAL_ROUTING SITEPROP SLICE_X51Y89 NAME SLICE_X51Y89 SITEPROP SLICE_X51Y89 NUM_ARCS 138 SITEPROP SLICE_X51Y89 NUM_BELS 32 SITEPROP SLICE_X51Y89 NUM_INPUTS 32 SITEPROP SLICE_X51Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y89 NUM_PINS 45 SITEPROP SLICE_X51Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y89 PROHIBIT 0 SITEPROP SLICE_X51Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y89 RPM_X 135 SITEPROP SLICE_X51Y89 RPM_Y 178 SITEPROP SLICE_X51Y89 SITE_PIPS SITEPROP SLICE_X51Y89 SITE_TYPE SLICEL SITEPROP SLICE_X51Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y90 CLASS site SITEPROP SLICE_X51Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y90 IS_BONDED 0 SITEPROP SLICE_X51Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y90 IS_PAD 0 SITEPROP SLICE_X51Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y90 IS_RESERVED 0 SITEPROP SLICE_X51Y90 IS_TEST 0 SITEPROP SLICE_X51Y90 IS_USED 0 SITEPROP SLICE_X51Y90 MANUAL_ROUTING SITEPROP SLICE_X51Y90 NAME SLICE_X51Y90 SITEPROP SLICE_X51Y90 NUM_ARCS 138 SITEPROP SLICE_X51Y90 NUM_BELS 32 SITEPROP SLICE_X51Y90 NUM_INPUTS 32 SITEPROP SLICE_X51Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y90 NUM_PINS 45 SITEPROP SLICE_X51Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y90 PROHIBIT 0 SITEPROP SLICE_X51Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y90 RPM_X 135 SITEPROP SLICE_X51Y90 RPM_Y 180 SITEPROP SLICE_X51Y90 SITE_PIPS SITEPROP SLICE_X51Y90 SITE_TYPE SLICEL SITEPROP SLICE_X51Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y91 CLASS site SITEPROP SLICE_X51Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y91 IS_BONDED 0 SITEPROP SLICE_X51Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y91 IS_PAD 0 SITEPROP SLICE_X51Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y91 IS_RESERVED 0 SITEPROP SLICE_X51Y91 IS_TEST 0 SITEPROP SLICE_X51Y91 IS_USED 0 SITEPROP SLICE_X51Y91 MANUAL_ROUTING SITEPROP SLICE_X51Y91 NAME SLICE_X51Y91 SITEPROP SLICE_X51Y91 NUM_ARCS 138 SITEPROP SLICE_X51Y91 NUM_BELS 32 SITEPROP SLICE_X51Y91 NUM_INPUTS 32 SITEPROP SLICE_X51Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y91 NUM_PINS 45 SITEPROP SLICE_X51Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y91 PROHIBIT 0 SITEPROP SLICE_X51Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y91 RPM_X 135 SITEPROP SLICE_X51Y91 RPM_Y 182 SITEPROP SLICE_X51Y91 SITE_PIPS SITEPROP SLICE_X51Y91 SITE_TYPE SLICEL SITEPROP SLICE_X51Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y92 CLASS site SITEPROP SLICE_X51Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y92 IS_BONDED 0 SITEPROP SLICE_X51Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y92 IS_PAD 0 SITEPROP SLICE_X51Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y92 IS_RESERVED 0 SITEPROP SLICE_X51Y92 IS_TEST 0 SITEPROP SLICE_X51Y92 IS_USED 0 SITEPROP SLICE_X51Y92 MANUAL_ROUTING SITEPROP SLICE_X51Y92 NAME SLICE_X51Y92 SITEPROP SLICE_X51Y92 NUM_ARCS 138 SITEPROP SLICE_X51Y92 NUM_BELS 32 SITEPROP SLICE_X51Y92 NUM_INPUTS 32 SITEPROP SLICE_X51Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y92 NUM_PINS 45 SITEPROP SLICE_X51Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y92 PROHIBIT 0 SITEPROP SLICE_X51Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y92 RPM_X 135 SITEPROP SLICE_X51Y92 RPM_Y 184 SITEPROP SLICE_X51Y92 SITE_PIPS SITEPROP SLICE_X51Y92 SITE_TYPE SLICEL SITEPROP SLICE_X51Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y93 CLASS site SITEPROP SLICE_X51Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y93 IS_BONDED 0 SITEPROP SLICE_X51Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y93 IS_PAD 0 SITEPROP SLICE_X51Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y93 IS_RESERVED 0 SITEPROP SLICE_X51Y93 IS_TEST 0 SITEPROP SLICE_X51Y93 IS_USED 0 SITEPROP SLICE_X51Y93 MANUAL_ROUTING SITEPROP SLICE_X51Y93 NAME SLICE_X51Y93 SITEPROP SLICE_X51Y93 NUM_ARCS 138 SITEPROP SLICE_X51Y93 NUM_BELS 32 SITEPROP SLICE_X51Y93 NUM_INPUTS 32 SITEPROP SLICE_X51Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y93 NUM_PINS 45 SITEPROP SLICE_X51Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y93 PROHIBIT 0 SITEPROP SLICE_X51Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y93 RPM_X 135 SITEPROP SLICE_X51Y93 RPM_Y 186 SITEPROP SLICE_X51Y93 SITE_PIPS SITEPROP SLICE_X51Y93 SITE_TYPE SLICEL SITEPROP SLICE_X51Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y94 CLASS site SITEPROP SLICE_X51Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y94 IS_BONDED 0 SITEPROP SLICE_X51Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y94 IS_PAD 0 SITEPROP SLICE_X51Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y94 IS_RESERVED 0 SITEPROP SLICE_X51Y94 IS_TEST 0 SITEPROP SLICE_X51Y94 IS_USED 0 SITEPROP SLICE_X51Y94 MANUAL_ROUTING SITEPROP SLICE_X51Y94 NAME SLICE_X51Y94 SITEPROP SLICE_X51Y94 NUM_ARCS 138 SITEPROP SLICE_X51Y94 NUM_BELS 32 SITEPROP SLICE_X51Y94 NUM_INPUTS 32 SITEPROP SLICE_X51Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y94 NUM_PINS 45 SITEPROP SLICE_X51Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y94 PROHIBIT 0 SITEPROP SLICE_X51Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y94 RPM_X 135 SITEPROP SLICE_X51Y94 RPM_Y 188 SITEPROP SLICE_X51Y94 SITE_PIPS SITEPROP SLICE_X51Y94 SITE_TYPE SLICEL SITEPROP SLICE_X51Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y95 CLASS site SITEPROP SLICE_X51Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y95 IS_BONDED 0 SITEPROP SLICE_X51Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y95 IS_PAD 0 SITEPROP SLICE_X51Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y95 IS_RESERVED 0 SITEPROP SLICE_X51Y95 IS_TEST 0 SITEPROP SLICE_X51Y95 IS_USED 0 SITEPROP SLICE_X51Y95 MANUAL_ROUTING SITEPROP SLICE_X51Y95 NAME SLICE_X51Y95 SITEPROP SLICE_X51Y95 NUM_ARCS 138 SITEPROP SLICE_X51Y95 NUM_BELS 32 SITEPROP SLICE_X51Y95 NUM_INPUTS 32 SITEPROP SLICE_X51Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y95 NUM_PINS 45 SITEPROP SLICE_X51Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y95 PROHIBIT 0 SITEPROP SLICE_X51Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y95 RPM_X 135 SITEPROP SLICE_X51Y95 RPM_Y 190 SITEPROP SLICE_X51Y95 SITE_PIPS SITEPROP SLICE_X51Y95 SITE_TYPE SLICEL SITEPROP SLICE_X51Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y96 CLASS site SITEPROP SLICE_X51Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y96 IS_BONDED 0 SITEPROP SLICE_X51Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y96 IS_PAD 0 SITEPROP SLICE_X51Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y96 IS_RESERVED 0 SITEPROP SLICE_X51Y96 IS_TEST 0 SITEPROP SLICE_X51Y96 IS_USED 0 SITEPROP SLICE_X51Y96 MANUAL_ROUTING SITEPROP SLICE_X51Y96 NAME SLICE_X51Y96 SITEPROP SLICE_X51Y96 NUM_ARCS 138 SITEPROP SLICE_X51Y96 NUM_BELS 32 SITEPROP SLICE_X51Y96 NUM_INPUTS 32 SITEPROP SLICE_X51Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y96 NUM_PINS 45 SITEPROP SLICE_X51Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y96 PROHIBIT 0 SITEPROP SLICE_X51Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y96 RPM_X 135 SITEPROP SLICE_X51Y96 RPM_Y 192 SITEPROP SLICE_X51Y96 SITE_PIPS SITEPROP SLICE_X51Y96 SITE_TYPE SLICEL SITEPROP SLICE_X51Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y97 CLASS site SITEPROP SLICE_X51Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y97 IS_BONDED 0 SITEPROP SLICE_X51Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y97 IS_PAD 0 SITEPROP SLICE_X51Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y97 IS_RESERVED 0 SITEPROP SLICE_X51Y97 IS_TEST 0 SITEPROP SLICE_X51Y97 IS_USED 0 SITEPROP SLICE_X51Y97 MANUAL_ROUTING SITEPROP SLICE_X51Y97 NAME SLICE_X51Y97 SITEPROP SLICE_X51Y97 NUM_ARCS 138 SITEPROP SLICE_X51Y97 NUM_BELS 32 SITEPROP SLICE_X51Y97 NUM_INPUTS 32 SITEPROP SLICE_X51Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y97 NUM_PINS 45 SITEPROP SLICE_X51Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y97 PROHIBIT 0 SITEPROP SLICE_X51Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y97 RPM_X 135 SITEPROP SLICE_X51Y97 RPM_Y 194 SITEPROP SLICE_X51Y97 SITE_PIPS SITEPROP SLICE_X51Y97 SITE_TYPE SLICEL SITEPROP SLICE_X51Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y98 CLASS site SITEPROP SLICE_X51Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y98 IS_BONDED 0 SITEPROP SLICE_X51Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y98 IS_PAD 0 SITEPROP SLICE_X51Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y98 IS_RESERVED 0 SITEPROP SLICE_X51Y98 IS_TEST 0 SITEPROP SLICE_X51Y98 IS_USED 0 SITEPROP SLICE_X51Y98 MANUAL_ROUTING SITEPROP SLICE_X51Y98 NAME SLICE_X51Y98 SITEPROP SLICE_X51Y98 NUM_ARCS 138 SITEPROP SLICE_X51Y98 NUM_BELS 32 SITEPROP SLICE_X51Y98 NUM_INPUTS 32 SITEPROP SLICE_X51Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y98 NUM_PINS 45 SITEPROP SLICE_X51Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y98 PROHIBIT 0 SITEPROP SLICE_X51Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y98 RPM_X 135 SITEPROP SLICE_X51Y98 RPM_Y 196 SITEPROP SLICE_X51Y98 SITE_PIPS SITEPROP SLICE_X51Y98 SITE_TYPE SLICEL SITEPROP SLICE_X51Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y99 CLASS site SITEPROP SLICE_X51Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X51Y99 IS_BONDED 0 SITEPROP SLICE_X51Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y99 IS_PAD 0 SITEPROP SLICE_X51Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y99 IS_RESERVED 0 SITEPROP SLICE_X51Y99 IS_TEST 0 SITEPROP SLICE_X51Y99 IS_USED 0 SITEPROP SLICE_X51Y99 MANUAL_ROUTING SITEPROP SLICE_X51Y99 NAME SLICE_X51Y99 SITEPROP SLICE_X51Y99 NUM_ARCS 138 SITEPROP SLICE_X51Y99 NUM_BELS 32 SITEPROP SLICE_X51Y99 NUM_INPUTS 32 SITEPROP SLICE_X51Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y99 NUM_PINS 45 SITEPROP SLICE_X51Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y99 PROHIBIT 0 SITEPROP SLICE_X51Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y99 RPM_X 135 SITEPROP SLICE_X51Y99 RPM_Y 198 SITEPROP SLICE_X51Y99 SITE_PIPS SITEPROP SLICE_X51Y99 SITE_TYPE SLICEL SITEPROP SLICE_X51Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y100 CLASS site SITEPROP SLICE_X51Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y100 IS_BONDED 0 SITEPROP SLICE_X51Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y100 IS_PAD 0 SITEPROP SLICE_X51Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y100 IS_RESERVED 0 SITEPROP SLICE_X51Y100 IS_TEST 0 SITEPROP SLICE_X51Y100 IS_USED 0 SITEPROP SLICE_X51Y100 MANUAL_ROUTING SITEPROP SLICE_X51Y100 NAME SLICE_X51Y100 SITEPROP SLICE_X51Y100 NUM_ARCS 138 SITEPROP SLICE_X51Y100 NUM_BELS 32 SITEPROP SLICE_X51Y100 NUM_INPUTS 32 SITEPROP SLICE_X51Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y100 NUM_PINS 45 SITEPROP SLICE_X51Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y100 PROHIBIT 0 SITEPROP SLICE_X51Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y100 RPM_X 135 SITEPROP SLICE_X51Y100 RPM_Y 200 SITEPROP SLICE_X51Y100 SITE_PIPS SITEPROP SLICE_X51Y100 SITE_TYPE SLICEL SITEPROP SLICE_X51Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y101 CLASS site SITEPROP SLICE_X51Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y101 IS_BONDED 0 SITEPROP SLICE_X51Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y101 IS_PAD 0 SITEPROP SLICE_X51Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y101 IS_RESERVED 0 SITEPROP SLICE_X51Y101 IS_TEST 0 SITEPROP SLICE_X51Y101 IS_USED 0 SITEPROP SLICE_X51Y101 MANUAL_ROUTING SITEPROP SLICE_X51Y101 NAME SLICE_X51Y101 SITEPROP SLICE_X51Y101 NUM_ARCS 138 SITEPROP SLICE_X51Y101 NUM_BELS 32 SITEPROP SLICE_X51Y101 NUM_INPUTS 32 SITEPROP SLICE_X51Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y101 NUM_PINS 45 SITEPROP SLICE_X51Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y101 PROHIBIT 0 SITEPROP SLICE_X51Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y101 RPM_X 135 SITEPROP SLICE_X51Y101 RPM_Y 202 SITEPROP SLICE_X51Y101 SITE_PIPS SITEPROP SLICE_X51Y101 SITE_TYPE SLICEL SITEPROP SLICE_X51Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y102 CLASS site SITEPROP SLICE_X51Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y102 IS_BONDED 0 SITEPROP SLICE_X51Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y102 IS_PAD 0 SITEPROP SLICE_X51Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y102 IS_RESERVED 0 SITEPROP SLICE_X51Y102 IS_TEST 0 SITEPROP SLICE_X51Y102 IS_USED 0 SITEPROP SLICE_X51Y102 MANUAL_ROUTING SITEPROP SLICE_X51Y102 NAME SLICE_X51Y102 SITEPROP SLICE_X51Y102 NUM_ARCS 138 SITEPROP SLICE_X51Y102 NUM_BELS 32 SITEPROP SLICE_X51Y102 NUM_INPUTS 32 SITEPROP SLICE_X51Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y102 NUM_PINS 45 SITEPROP SLICE_X51Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y102 PROHIBIT 0 SITEPROP SLICE_X51Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y102 RPM_X 135 SITEPROP SLICE_X51Y102 RPM_Y 204 SITEPROP SLICE_X51Y102 SITE_PIPS SITEPROP SLICE_X51Y102 SITE_TYPE SLICEL SITEPROP SLICE_X51Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y103 CLASS site SITEPROP SLICE_X51Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y103 IS_BONDED 0 SITEPROP SLICE_X51Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y103 IS_PAD 0 SITEPROP SLICE_X51Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y103 IS_RESERVED 0 SITEPROP SLICE_X51Y103 IS_TEST 0 SITEPROP SLICE_X51Y103 IS_USED 0 SITEPROP SLICE_X51Y103 MANUAL_ROUTING SITEPROP SLICE_X51Y103 NAME SLICE_X51Y103 SITEPROP SLICE_X51Y103 NUM_ARCS 138 SITEPROP SLICE_X51Y103 NUM_BELS 32 SITEPROP SLICE_X51Y103 NUM_INPUTS 32 SITEPROP SLICE_X51Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y103 NUM_PINS 45 SITEPROP SLICE_X51Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y103 PROHIBIT 0 SITEPROP SLICE_X51Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y103 RPM_X 135 SITEPROP SLICE_X51Y103 RPM_Y 206 SITEPROP SLICE_X51Y103 SITE_PIPS SITEPROP SLICE_X51Y103 SITE_TYPE SLICEL SITEPROP SLICE_X51Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y104 CLASS site SITEPROP SLICE_X51Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y104 IS_BONDED 0 SITEPROP SLICE_X51Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y104 IS_PAD 0 SITEPROP SLICE_X51Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y104 IS_RESERVED 0 SITEPROP SLICE_X51Y104 IS_TEST 0 SITEPROP SLICE_X51Y104 IS_USED 0 SITEPROP SLICE_X51Y104 MANUAL_ROUTING SITEPROP SLICE_X51Y104 NAME SLICE_X51Y104 SITEPROP SLICE_X51Y104 NUM_ARCS 138 SITEPROP SLICE_X51Y104 NUM_BELS 32 SITEPROP SLICE_X51Y104 NUM_INPUTS 32 SITEPROP SLICE_X51Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y104 NUM_PINS 45 SITEPROP SLICE_X51Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y104 PROHIBIT 0 SITEPROP SLICE_X51Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y104 RPM_X 135 SITEPROP SLICE_X51Y104 RPM_Y 208 SITEPROP SLICE_X51Y104 SITE_PIPS SITEPROP SLICE_X51Y104 SITE_TYPE SLICEL SITEPROP SLICE_X51Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y105 CLASS site SITEPROP SLICE_X51Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y105 IS_BONDED 0 SITEPROP SLICE_X51Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y105 IS_PAD 0 SITEPROP SLICE_X51Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y105 IS_RESERVED 0 SITEPROP SLICE_X51Y105 IS_TEST 0 SITEPROP SLICE_X51Y105 IS_USED 0 SITEPROP SLICE_X51Y105 MANUAL_ROUTING SITEPROP SLICE_X51Y105 NAME SLICE_X51Y105 SITEPROP SLICE_X51Y105 NUM_ARCS 138 SITEPROP SLICE_X51Y105 NUM_BELS 32 SITEPROP SLICE_X51Y105 NUM_INPUTS 32 SITEPROP SLICE_X51Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y105 NUM_PINS 45 SITEPROP SLICE_X51Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y105 PROHIBIT 0 SITEPROP SLICE_X51Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y105 RPM_X 135 SITEPROP SLICE_X51Y105 RPM_Y 210 SITEPROP SLICE_X51Y105 SITE_PIPS SITEPROP SLICE_X51Y105 SITE_TYPE SLICEL SITEPROP SLICE_X51Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y106 CLASS site SITEPROP SLICE_X51Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y106 IS_BONDED 0 SITEPROP SLICE_X51Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y106 IS_PAD 0 SITEPROP SLICE_X51Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y106 IS_RESERVED 0 SITEPROP SLICE_X51Y106 IS_TEST 0 SITEPROP SLICE_X51Y106 IS_USED 0 SITEPROP SLICE_X51Y106 MANUAL_ROUTING SITEPROP SLICE_X51Y106 NAME SLICE_X51Y106 SITEPROP SLICE_X51Y106 NUM_ARCS 138 SITEPROP SLICE_X51Y106 NUM_BELS 32 SITEPROP SLICE_X51Y106 NUM_INPUTS 32 SITEPROP SLICE_X51Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y106 NUM_PINS 45 SITEPROP SLICE_X51Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y106 PROHIBIT 0 SITEPROP SLICE_X51Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y106 RPM_X 135 SITEPROP SLICE_X51Y106 RPM_Y 212 SITEPROP SLICE_X51Y106 SITE_PIPS SITEPROP SLICE_X51Y106 SITE_TYPE SLICEL SITEPROP SLICE_X51Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y107 CLASS site SITEPROP SLICE_X51Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y107 IS_BONDED 0 SITEPROP SLICE_X51Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y107 IS_PAD 0 SITEPROP SLICE_X51Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y107 IS_RESERVED 0 SITEPROP SLICE_X51Y107 IS_TEST 0 SITEPROP SLICE_X51Y107 IS_USED 0 SITEPROP SLICE_X51Y107 MANUAL_ROUTING SITEPROP SLICE_X51Y107 NAME SLICE_X51Y107 SITEPROP SLICE_X51Y107 NUM_ARCS 138 SITEPROP SLICE_X51Y107 NUM_BELS 32 SITEPROP SLICE_X51Y107 NUM_INPUTS 32 SITEPROP SLICE_X51Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y107 NUM_PINS 45 SITEPROP SLICE_X51Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y107 PROHIBIT 0 SITEPROP SLICE_X51Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y107 RPM_X 135 SITEPROP SLICE_X51Y107 RPM_Y 214 SITEPROP SLICE_X51Y107 SITE_PIPS SITEPROP SLICE_X51Y107 SITE_TYPE SLICEL SITEPROP SLICE_X51Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y108 CLASS site SITEPROP SLICE_X51Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y108 IS_BONDED 0 SITEPROP SLICE_X51Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y108 IS_PAD 0 SITEPROP SLICE_X51Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y108 IS_RESERVED 0 SITEPROP SLICE_X51Y108 IS_TEST 0 SITEPROP SLICE_X51Y108 IS_USED 0 SITEPROP SLICE_X51Y108 MANUAL_ROUTING SITEPROP SLICE_X51Y108 NAME SLICE_X51Y108 SITEPROP SLICE_X51Y108 NUM_ARCS 138 SITEPROP SLICE_X51Y108 NUM_BELS 32 SITEPROP SLICE_X51Y108 NUM_INPUTS 32 SITEPROP SLICE_X51Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y108 NUM_PINS 45 SITEPROP SLICE_X51Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y108 PROHIBIT 0 SITEPROP SLICE_X51Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y108 RPM_X 135 SITEPROP SLICE_X51Y108 RPM_Y 216 SITEPROP SLICE_X51Y108 SITE_PIPS SITEPROP SLICE_X51Y108 SITE_TYPE SLICEL SITEPROP SLICE_X51Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y109 CLASS site SITEPROP SLICE_X51Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y109 IS_BONDED 0 SITEPROP SLICE_X51Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y109 IS_PAD 0 SITEPROP SLICE_X51Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y109 IS_RESERVED 0 SITEPROP SLICE_X51Y109 IS_TEST 0 SITEPROP SLICE_X51Y109 IS_USED 0 SITEPROP SLICE_X51Y109 MANUAL_ROUTING SITEPROP SLICE_X51Y109 NAME SLICE_X51Y109 SITEPROP SLICE_X51Y109 NUM_ARCS 138 SITEPROP SLICE_X51Y109 NUM_BELS 32 SITEPROP SLICE_X51Y109 NUM_INPUTS 32 SITEPROP SLICE_X51Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y109 NUM_PINS 45 SITEPROP SLICE_X51Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y109 PROHIBIT 0 SITEPROP SLICE_X51Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y109 RPM_X 135 SITEPROP SLICE_X51Y109 RPM_Y 218 SITEPROP SLICE_X51Y109 SITE_PIPS SITEPROP SLICE_X51Y109 SITE_TYPE SLICEL SITEPROP SLICE_X51Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y110 CLASS site SITEPROP SLICE_X51Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y110 IS_BONDED 0 SITEPROP SLICE_X51Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y110 IS_PAD 0 SITEPROP SLICE_X51Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y110 IS_RESERVED 0 SITEPROP SLICE_X51Y110 IS_TEST 0 SITEPROP SLICE_X51Y110 IS_USED 0 SITEPROP SLICE_X51Y110 MANUAL_ROUTING SITEPROP SLICE_X51Y110 NAME SLICE_X51Y110 SITEPROP SLICE_X51Y110 NUM_ARCS 138 SITEPROP SLICE_X51Y110 NUM_BELS 32 SITEPROP SLICE_X51Y110 NUM_INPUTS 32 SITEPROP SLICE_X51Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y110 NUM_PINS 45 SITEPROP SLICE_X51Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y110 PROHIBIT 0 SITEPROP SLICE_X51Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y110 RPM_X 135 SITEPROP SLICE_X51Y110 RPM_Y 220 SITEPROP SLICE_X51Y110 SITE_PIPS SITEPROP SLICE_X51Y110 SITE_TYPE SLICEL SITEPROP SLICE_X51Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y111 CLASS site SITEPROP SLICE_X51Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y111 IS_BONDED 0 SITEPROP SLICE_X51Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y111 IS_PAD 0 SITEPROP SLICE_X51Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y111 IS_RESERVED 0 SITEPROP SLICE_X51Y111 IS_TEST 0 SITEPROP SLICE_X51Y111 IS_USED 0 SITEPROP SLICE_X51Y111 MANUAL_ROUTING SITEPROP SLICE_X51Y111 NAME SLICE_X51Y111 SITEPROP SLICE_X51Y111 NUM_ARCS 138 SITEPROP SLICE_X51Y111 NUM_BELS 32 SITEPROP SLICE_X51Y111 NUM_INPUTS 32 SITEPROP SLICE_X51Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y111 NUM_PINS 45 SITEPROP SLICE_X51Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y111 PROHIBIT 0 SITEPROP SLICE_X51Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y111 RPM_X 135 SITEPROP SLICE_X51Y111 RPM_Y 222 SITEPROP SLICE_X51Y111 SITE_PIPS SITEPROP SLICE_X51Y111 SITE_TYPE SLICEL SITEPROP SLICE_X51Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y112 CLASS site SITEPROP SLICE_X51Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y112 IS_BONDED 0 SITEPROP SLICE_X51Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y112 IS_PAD 0 SITEPROP SLICE_X51Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y112 IS_RESERVED 0 SITEPROP SLICE_X51Y112 IS_TEST 0 SITEPROP SLICE_X51Y112 IS_USED 0 SITEPROP SLICE_X51Y112 MANUAL_ROUTING SITEPROP SLICE_X51Y112 NAME SLICE_X51Y112 SITEPROP SLICE_X51Y112 NUM_ARCS 138 SITEPROP SLICE_X51Y112 NUM_BELS 32 SITEPROP SLICE_X51Y112 NUM_INPUTS 32 SITEPROP SLICE_X51Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y112 NUM_PINS 45 SITEPROP SLICE_X51Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y112 PROHIBIT 0 SITEPROP SLICE_X51Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y112 RPM_X 135 SITEPROP SLICE_X51Y112 RPM_Y 224 SITEPROP SLICE_X51Y112 SITE_PIPS SITEPROP SLICE_X51Y112 SITE_TYPE SLICEL SITEPROP SLICE_X51Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y113 CLASS site SITEPROP SLICE_X51Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y113 IS_BONDED 0 SITEPROP SLICE_X51Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y113 IS_PAD 0 SITEPROP SLICE_X51Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y113 IS_RESERVED 0 SITEPROP SLICE_X51Y113 IS_TEST 0 SITEPROP SLICE_X51Y113 IS_USED 0 SITEPROP SLICE_X51Y113 MANUAL_ROUTING SITEPROP SLICE_X51Y113 NAME SLICE_X51Y113 SITEPROP SLICE_X51Y113 NUM_ARCS 138 SITEPROP SLICE_X51Y113 NUM_BELS 32 SITEPROP SLICE_X51Y113 NUM_INPUTS 32 SITEPROP SLICE_X51Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y113 NUM_PINS 45 SITEPROP SLICE_X51Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y113 PROHIBIT 0 SITEPROP SLICE_X51Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y113 RPM_X 135 SITEPROP SLICE_X51Y113 RPM_Y 226 SITEPROP SLICE_X51Y113 SITE_PIPS SITEPROP SLICE_X51Y113 SITE_TYPE SLICEL SITEPROP SLICE_X51Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y114 CLASS site SITEPROP SLICE_X51Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y114 IS_BONDED 0 SITEPROP SLICE_X51Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y114 IS_PAD 0 SITEPROP SLICE_X51Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y114 IS_RESERVED 0 SITEPROP SLICE_X51Y114 IS_TEST 0 SITEPROP SLICE_X51Y114 IS_USED 0 SITEPROP SLICE_X51Y114 MANUAL_ROUTING SITEPROP SLICE_X51Y114 NAME SLICE_X51Y114 SITEPROP SLICE_X51Y114 NUM_ARCS 138 SITEPROP SLICE_X51Y114 NUM_BELS 32 SITEPROP SLICE_X51Y114 NUM_INPUTS 32 SITEPROP SLICE_X51Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y114 NUM_PINS 45 SITEPROP SLICE_X51Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y114 PROHIBIT 0 SITEPROP SLICE_X51Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y114 RPM_X 135 SITEPROP SLICE_X51Y114 RPM_Y 228 SITEPROP SLICE_X51Y114 SITE_PIPS SITEPROP SLICE_X51Y114 SITE_TYPE SLICEL SITEPROP SLICE_X51Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y115 CLASS site SITEPROP SLICE_X51Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y115 IS_BONDED 0 SITEPROP SLICE_X51Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y115 IS_PAD 0 SITEPROP SLICE_X51Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y115 IS_RESERVED 0 SITEPROP SLICE_X51Y115 IS_TEST 0 SITEPROP SLICE_X51Y115 IS_USED 0 SITEPROP SLICE_X51Y115 MANUAL_ROUTING SITEPROP SLICE_X51Y115 NAME SLICE_X51Y115 SITEPROP SLICE_X51Y115 NUM_ARCS 138 SITEPROP SLICE_X51Y115 NUM_BELS 32 SITEPROP SLICE_X51Y115 NUM_INPUTS 32 SITEPROP SLICE_X51Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y115 NUM_PINS 45 SITEPROP SLICE_X51Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y115 PROHIBIT 0 SITEPROP SLICE_X51Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y115 RPM_X 135 SITEPROP SLICE_X51Y115 RPM_Y 230 SITEPROP SLICE_X51Y115 SITE_PIPS SITEPROP SLICE_X51Y115 SITE_TYPE SLICEL SITEPROP SLICE_X51Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y116 CLASS site SITEPROP SLICE_X51Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y116 IS_BONDED 0 SITEPROP SLICE_X51Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y116 IS_PAD 0 SITEPROP SLICE_X51Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y116 IS_RESERVED 0 SITEPROP SLICE_X51Y116 IS_TEST 0 SITEPROP SLICE_X51Y116 IS_USED 0 SITEPROP SLICE_X51Y116 MANUAL_ROUTING SITEPROP SLICE_X51Y116 NAME SLICE_X51Y116 SITEPROP SLICE_X51Y116 NUM_ARCS 138 SITEPROP SLICE_X51Y116 NUM_BELS 32 SITEPROP SLICE_X51Y116 NUM_INPUTS 32 SITEPROP SLICE_X51Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y116 NUM_PINS 45 SITEPROP SLICE_X51Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y116 PROHIBIT 0 SITEPROP SLICE_X51Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y116 RPM_X 135 SITEPROP SLICE_X51Y116 RPM_Y 232 SITEPROP SLICE_X51Y116 SITE_PIPS SITEPROP SLICE_X51Y116 SITE_TYPE SLICEL SITEPROP SLICE_X51Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y117 CLASS site SITEPROP SLICE_X51Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y117 IS_BONDED 0 SITEPROP SLICE_X51Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y117 IS_PAD 0 SITEPROP SLICE_X51Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y117 IS_RESERVED 0 SITEPROP SLICE_X51Y117 IS_TEST 0 SITEPROP SLICE_X51Y117 IS_USED 0 SITEPROP SLICE_X51Y117 MANUAL_ROUTING SITEPROP SLICE_X51Y117 NAME SLICE_X51Y117 SITEPROP SLICE_X51Y117 NUM_ARCS 138 SITEPROP SLICE_X51Y117 NUM_BELS 32 SITEPROP SLICE_X51Y117 NUM_INPUTS 32 SITEPROP SLICE_X51Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y117 NUM_PINS 45 SITEPROP SLICE_X51Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y117 PROHIBIT 0 SITEPROP SLICE_X51Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y117 RPM_X 135 SITEPROP SLICE_X51Y117 RPM_Y 234 SITEPROP SLICE_X51Y117 SITE_PIPS SITEPROP SLICE_X51Y117 SITE_TYPE SLICEL SITEPROP SLICE_X51Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y118 CLASS site SITEPROP SLICE_X51Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y118 IS_BONDED 0 SITEPROP SLICE_X51Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y118 IS_PAD 0 SITEPROP SLICE_X51Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y118 IS_RESERVED 0 SITEPROP SLICE_X51Y118 IS_TEST 0 SITEPROP SLICE_X51Y118 IS_USED 0 SITEPROP SLICE_X51Y118 MANUAL_ROUTING SITEPROP SLICE_X51Y118 NAME SLICE_X51Y118 SITEPROP SLICE_X51Y118 NUM_ARCS 138 SITEPROP SLICE_X51Y118 NUM_BELS 32 SITEPROP SLICE_X51Y118 NUM_INPUTS 32 SITEPROP SLICE_X51Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y118 NUM_PINS 45 SITEPROP SLICE_X51Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y118 PROHIBIT 0 SITEPROP SLICE_X51Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y118 RPM_X 135 SITEPROP SLICE_X51Y118 RPM_Y 236 SITEPROP SLICE_X51Y118 SITE_PIPS SITEPROP SLICE_X51Y118 SITE_TYPE SLICEL SITEPROP SLICE_X51Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y119 CLASS site SITEPROP SLICE_X51Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y119 IS_BONDED 0 SITEPROP SLICE_X51Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y119 IS_PAD 0 SITEPROP SLICE_X51Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y119 IS_RESERVED 0 SITEPROP SLICE_X51Y119 IS_TEST 0 SITEPROP SLICE_X51Y119 IS_USED 0 SITEPROP SLICE_X51Y119 MANUAL_ROUTING SITEPROP SLICE_X51Y119 NAME SLICE_X51Y119 SITEPROP SLICE_X51Y119 NUM_ARCS 138 SITEPROP SLICE_X51Y119 NUM_BELS 32 SITEPROP SLICE_X51Y119 NUM_INPUTS 32 SITEPROP SLICE_X51Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y119 NUM_PINS 45 SITEPROP SLICE_X51Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y119 PROHIBIT 0 SITEPROP SLICE_X51Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y119 RPM_X 135 SITEPROP SLICE_X51Y119 RPM_Y 238 SITEPROP SLICE_X51Y119 SITE_PIPS SITEPROP SLICE_X51Y119 SITE_TYPE SLICEL SITEPROP SLICE_X51Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y120 CLASS site SITEPROP SLICE_X51Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y120 IS_BONDED 0 SITEPROP SLICE_X51Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y120 IS_PAD 0 SITEPROP SLICE_X51Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y120 IS_RESERVED 0 SITEPROP SLICE_X51Y120 IS_TEST 0 SITEPROP SLICE_X51Y120 IS_USED 0 SITEPROP SLICE_X51Y120 MANUAL_ROUTING SITEPROP SLICE_X51Y120 NAME SLICE_X51Y120 SITEPROP SLICE_X51Y120 NUM_ARCS 138 SITEPROP SLICE_X51Y120 NUM_BELS 32 SITEPROP SLICE_X51Y120 NUM_INPUTS 32 SITEPROP SLICE_X51Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y120 NUM_PINS 45 SITEPROP SLICE_X51Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y120 PROHIBIT 0 SITEPROP SLICE_X51Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y120 RPM_X 135 SITEPROP SLICE_X51Y120 RPM_Y 240 SITEPROP SLICE_X51Y120 SITE_PIPS SITEPROP SLICE_X51Y120 SITE_TYPE SLICEL SITEPROP SLICE_X51Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y121 CLASS site SITEPROP SLICE_X51Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y121 IS_BONDED 0 SITEPROP SLICE_X51Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y121 IS_PAD 0 SITEPROP SLICE_X51Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y121 IS_RESERVED 0 SITEPROP SLICE_X51Y121 IS_TEST 0 SITEPROP SLICE_X51Y121 IS_USED 0 SITEPROP SLICE_X51Y121 MANUAL_ROUTING SITEPROP SLICE_X51Y121 NAME SLICE_X51Y121 SITEPROP SLICE_X51Y121 NUM_ARCS 138 SITEPROP SLICE_X51Y121 NUM_BELS 32 SITEPROP SLICE_X51Y121 NUM_INPUTS 32 SITEPROP SLICE_X51Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y121 NUM_PINS 45 SITEPROP SLICE_X51Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y121 PROHIBIT 0 SITEPROP SLICE_X51Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y121 RPM_X 135 SITEPROP SLICE_X51Y121 RPM_Y 242 SITEPROP SLICE_X51Y121 SITE_PIPS SITEPROP SLICE_X51Y121 SITE_TYPE SLICEL SITEPROP SLICE_X51Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y122 CLASS site SITEPROP SLICE_X51Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y122 IS_BONDED 0 SITEPROP SLICE_X51Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y122 IS_PAD 0 SITEPROP SLICE_X51Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y122 IS_RESERVED 0 SITEPROP SLICE_X51Y122 IS_TEST 0 SITEPROP SLICE_X51Y122 IS_USED 0 SITEPROP SLICE_X51Y122 MANUAL_ROUTING SITEPROP SLICE_X51Y122 NAME SLICE_X51Y122 SITEPROP SLICE_X51Y122 NUM_ARCS 138 SITEPROP SLICE_X51Y122 NUM_BELS 32 SITEPROP SLICE_X51Y122 NUM_INPUTS 32 SITEPROP SLICE_X51Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y122 NUM_PINS 45 SITEPROP SLICE_X51Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y122 PROHIBIT 0 SITEPROP SLICE_X51Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y122 RPM_X 135 SITEPROP SLICE_X51Y122 RPM_Y 244 SITEPROP SLICE_X51Y122 SITE_PIPS SITEPROP SLICE_X51Y122 SITE_TYPE SLICEL SITEPROP SLICE_X51Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y123 CLASS site SITEPROP SLICE_X51Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y123 IS_BONDED 0 SITEPROP SLICE_X51Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y123 IS_PAD 0 SITEPROP SLICE_X51Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y123 IS_RESERVED 0 SITEPROP SLICE_X51Y123 IS_TEST 0 SITEPROP SLICE_X51Y123 IS_USED 0 SITEPROP SLICE_X51Y123 MANUAL_ROUTING SITEPROP SLICE_X51Y123 NAME SLICE_X51Y123 SITEPROP SLICE_X51Y123 NUM_ARCS 138 SITEPROP SLICE_X51Y123 NUM_BELS 32 SITEPROP SLICE_X51Y123 NUM_INPUTS 32 SITEPROP SLICE_X51Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y123 NUM_PINS 45 SITEPROP SLICE_X51Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y123 PROHIBIT 0 SITEPROP SLICE_X51Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y123 RPM_X 135 SITEPROP SLICE_X51Y123 RPM_Y 246 SITEPROP SLICE_X51Y123 SITE_PIPS SITEPROP SLICE_X51Y123 SITE_TYPE SLICEL SITEPROP SLICE_X51Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y124 CLASS site SITEPROP SLICE_X51Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y124 IS_BONDED 0 SITEPROP SLICE_X51Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y124 IS_PAD 0 SITEPROP SLICE_X51Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y124 IS_RESERVED 0 SITEPROP SLICE_X51Y124 IS_TEST 0 SITEPROP SLICE_X51Y124 IS_USED 0 SITEPROP SLICE_X51Y124 MANUAL_ROUTING SITEPROP SLICE_X51Y124 NAME SLICE_X51Y124 SITEPROP SLICE_X51Y124 NUM_ARCS 138 SITEPROP SLICE_X51Y124 NUM_BELS 32 SITEPROP SLICE_X51Y124 NUM_INPUTS 32 SITEPROP SLICE_X51Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y124 NUM_PINS 45 SITEPROP SLICE_X51Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y124 PROHIBIT 0 SITEPROP SLICE_X51Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y124 RPM_X 135 SITEPROP SLICE_X51Y124 RPM_Y 248 SITEPROP SLICE_X51Y124 SITE_PIPS SITEPROP SLICE_X51Y124 SITE_TYPE SLICEL SITEPROP SLICE_X51Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y125 CLASS site SITEPROP SLICE_X51Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y125 IS_BONDED 0 SITEPROP SLICE_X51Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y125 IS_PAD 0 SITEPROP SLICE_X51Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y125 IS_RESERVED 0 SITEPROP SLICE_X51Y125 IS_TEST 0 SITEPROP SLICE_X51Y125 IS_USED 0 SITEPROP SLICE_X51Y125 MANUAL_ROUTING SITEPROP SLICE_X51Y125 NAME SLICE_X51Y125 SITEPROP SLICE_X51Y125 NUM_ARCS 138 SITEPROP SLICE_X51Y125 NUM_BELS 32 SITEPROP SLICE_X51Y125 NUM_INPUTS 32 SITEPROP SLICE_X51Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y125 NUM_PINS 45 SITEPROP SLICE_X51Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y125 PROHIBIT 0 SITEPROP SLICE_X51Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y125 RPM_X 135 SITEPROP SLICE_X51Y125 RPM_Y 250 SITEPROP SLICE_X51Y125 SITE_PIPS SITEPROP SLICE_X51Y125 SITE_TYPE SLICEL SITEPROP SLICE_X51Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y126 CLASS site SITEPROP SLICE_X51Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y126 IS_BONDED 0 SITEPROP SLICE_X51Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y126 IS_PAD 0 SITEPROP SLICE_X51Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y126 IS_RESERVED 0 SITEPROP SLICE_X51Y126 IS_TEST 0 SITEPROP SLICE_X51Y126 IS_USED 0 SITEPROP SLICE_X51Y126 MANUAL_ROUTING SITEPROP SLICE_X51Y126 NAME SLICE_X51Y126 SITEPROP SLICE_X51Y126 NUM_ARCS 138 SITEPROP SLICE_X51Y126 NUM_BELS 32 SITEPROP SLICE_X51Y126 NUM_INPUTS 32 SITEPROP SLICE_X51Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y126 NUM_PINS 45 SITEPROP SLICE_X51Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y126 PROHIBIT 0 SITEPROP SLICE_X51Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y126 RPM_X 135 SITEPROP SLICE_X51Y126 RPM_Y 252 SITEPROP SLICE_X51Y126 SITE_PIPS SITEPROP SLICE_X51Y126 SITE_TYPE SLICEL SITEPROP SLICE_X51Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y127 CLASS site SITEPROP SLICE_X51Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y127 IS_BONDED 0 SITEPROP SLICE_X51Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y127 IS_PAD 0 SITEPROP SLICE_X51Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y127 IS_RESERVED 0 SITEPROP SLICE_X51Y127 IS_TEST 0 SITEPROP SLICE_X51Y127 IS_USED 0 SITEPROP SLICE_X51Y127 MANUAL_ROUTING SITEPROP SLICE_X51Y127 NAME SLICE_X51Y127 SITEPROP SLICE_X51Y127 NUM_ARCS 138 SITEPROP SLICE_X51Y127 NUM_BELS 32 SITEPROP SLICE_X51Y127 NUM_INPUTS 32 SITEPROP SLICE_X51Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y127 NUM_PINS 45 SITEPROP SLICE_X51Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y127 PROHIBIT 0 SITEPROP SLICE_X51Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y127 RPM_X 135 SITEPROP SLICE_X51Y127 RPM_Y 254 SITEPROP SLICE_X51Y127 SITE_PIPS SITEPROP SLICE_X51Y127 SITE_TYPE SLICEL SITEPROP SLICE_X51Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y128 CLASS site SITEPROP SLICE_X51Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y128 IS_BONDED 0 SITEPROP SLICE_X51Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y128 IS_PAD 0 SITEPROP SLICE_X51Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y128 IS_RESERVED 0 SITEPROP SLICE_X51Y128 IS_TEST 0 SITEPROP SLICE_X51Y128 IS_USED 0 SITEPROP SLICE_X51Y128 MANUAL_ROUTING SITEPROP SLICE_X51Y128 NAME SLICE_X51Y128 SITEPROP SLICE_X51Y128 NUM_ARCS 138 SITEPROP SLICE_X51Y128 NUM_BELS 32 SITEPROP SLICE_X51Y128 NUM_INPUTS 32 SITEPROP SLICE_X51Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y128 NUM_PINS 45 SITEPROP SLICE_X51Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y128 PROHIBIT 0 SITEPROP SLICE_X51Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y128 RPM_X 135 SITEPROP SLICE_X51Y128 RPM_Y 256 SITEPROP SLICE_X51Y128 SITE_PIPS SITEPROP SLICE_X51Y128 SITE_TYPE SLICEL SITEPROP SLICE_X51Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y129 CLASS site SITEPROP SLICE_X51Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y129 IS_BONDED 0 SITEPROP SLICE_X51Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y129 IS_PAD 0 SITEPROP SLICE_X51Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y129 IS_RESERVED 0 SITEPROP SLICE_X51Y129 IS_TEST 0 SITEPROP SLICE_X51Y129 IS_USED 0 SITEPROP SLICE_X51Y129 MANUAL_ROUTING SITEPROP SLICE_X51Y129 NAME SLICE_X51Y129 SITEPROP SLICE_X51Y129 NUM_ARCS 138 SITEPROP SLICE_X51Y129 NUM_BELS 32 SITEPROP SLICE_X51Y129 NUM_INPUTS 32 SITEPROP SLICE_X51Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y129 NUM_PINS 45 SITEPROP SLICE_X51Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y129 PROHIBIT 0 SITEPROP SLICE_X51Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y129 RPM_X 135 SITEPROP SLICE_X51Y129 RPM_Y 258 SITEPROP SLICE_X51Y129 SITE_PIPS SITEPROP SLICE_X51Y129 SITE_TYPE SLICEL SITEPROP SLICE_X51Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y130 CLASS site SITEPROP SLICE_X51Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y130 IS_BONDED 0 SITEPROP SLICE_X51Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y130 IS_PAD 0 SITEPROP SLICE_X51Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y130 IS_RESERVED 0 SITEPROP SLICE_X51Y130 IS_TEST 0 SITEPROP SLICE_X51Y130 IS_USED 0 SITEPROP SLICE_X51Y130 MANUAL_ROUTING SITEPROP SLICE_X51Y130 NAME SLICE_X51Y130 SITEPROP SLICE_X51Y130 NUM_ARCS 138 SITEPROP SLICE_X51Y130 NUM_BELS 32 SITEPROP SLICE_X51Y130 NUM_INPUTS 32 SITEPROP SLICE_X51Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y130 NUM_PINS 45 SITEPROP SLICE_X51Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y130 PROHIBIT 0 SITEPROP SLICE_X51Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y130 RPM_X 135 SITEPROP SLICE_X51Y130 RPM_Y 260 SITEPROP SLICE_X51Y130 SITE_PIPS SITEPROP SLICE_X51Y130 SITE_TYPE SLICEL SITEPROP SLICE_X51Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y131 CLASS site SITEPROP SLICE_X51Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y131 IS_BONDED 0 SITEPROP SLICE_X51Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y131 IS_PAD 0 SITEPROP SLICE_X51Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y131 IS_RESERVED 0 SITEPROP SLICE_X51Y131 IS_TEST 0 SITEPROP SLICE_X51Y131 IS_USED 0 SITEPROP SLICE_X51Y131 MANUAL_ROUTING SITEPROP SLICE_X51Y131 NAME SLICE_X51Y131 SITEPROP SLICE_X51Y131 NUM_ARCS 138 SITEPROP SLICE_X51Y131 NUM_BELS 32 SITEPROP SLICE_X51Y131 NUM_INPUTS 32 SITEPROP SLICE_X51Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y131 NUM_PINS 45 SITEPROP SLICE_X51Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y131 PROHIBIT 0 SITEPROP SLICE_X51Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y131 RPM_X 135 SITEPROP SLICE_X51Y131 RPM_Y 262 SITEPROP SLICE_X51Y131 SITE_PIPS SITEPROP SLICE_X51Y131 SITE_TYPE SLICEL SITEPROP SLICE_X51Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y132 CLASS site SITEPROP SLICE_X51Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y132 IS_BONDED 0 SITEPROP SLICE_X51Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y132 IS_PAD 0 SITEPROP SLICE_X51Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y132 IS_RESERVED 0 SITEPROP SLICE_X51Y132 IS_TEST 0 SITEPROP SLICE_X51Y132 IS_USED 0 SITEPROP SLICE_X51Y132 MANUAL_ROUTING SITEPROP SLICE_X51Y132 NAME SLICE_X51Y132 SITEPROP SLICE_X51Y132 NUM_ARCS 138 SITEPROP SLICE_X51Y132 NUM_BELS 32 SITEPROP SLICE_X51Y132 NUM_INPUTS 32 SITEPROP SLICE_X51Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y132 NUM_PINS 45 SITEPROP SLICE_X51Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y132 PROHIBIT 0 SITEPROP SLICE_X51Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y132 RPM_X 135 SITEPROP SLICE_X51Y132 RPM_Y 264 SITEPROP SLICE_X51Y132 SITE_PIPS SITEPROP SLICE_X51Y132 SITE_TYPE SLICEL SITEPROP SLICE_X51Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y133 CLASS site SITEPROP SLICE_X51Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y133 IS_BONDED 0 SITEPROP SLICE_X51Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y133 IS_PAD 0 SITEPROP SLICE_X51Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y133 IS_RESERVED 0 SITEPROP SLICE_X51Y133 IS_TEST 0 SITEPROP SLICE_X51Y133 IS_USED 0 SITEPROP SLICE_X51Y133 MANUAL_ROUTING SITEPROP SLICE_X51Y133 NAME SLICE_X51Y133 SITEPROP SLICE_X51Y133 NUM_ARCS 138 SITEPROP SLICE_X51Y133 NUM_BELS 32 SITEPROP SLICE_X51Y133 NUM_INPUTS 32 SITEPROP SLICE_X51Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y133 NUM_PINS 45 SITEPROP SLICE_X51Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y133 PROHIBIT 0 SITEPROP SLICE_X51Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y133 RPM_X 135 SITEPROP SLICE_X51Y133 RPM_Y 266 SITEPROP SLICE_X51Y133 SITE_PIPS SITEPROP SLICE_X51Y133 SITE_TYPE SLICEL SITEPROP SLICE_X51Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y134 CLASS site SITEPROP SLICE_X51Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y134 IS_BONDED 0 SITEPROP SLICE_X51Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y134 IS_PAD 0 SITEPROP SLICE_X51Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y134 IS_RESERVED 0 SITEPROP SLICE_X51Y134 IS_TEST 0 SITEPROP SLICE_X51Y134 IS_USED 0 SITEPROP SLICE_X51Y134 MANUAL_ROUTING SITEPROP SLICE_X51Y134 NAME SLICE_X51Y134 SITEPROP SLICE_X51Y134 NUM_ARCS 138 SITEPROP SLICE_X51Y134 NUM_BELS 32 SITEPROP SLICE_X51Y134 NUM_INPUTS 32 SITEPROP SLICE_X51Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y134 NUM_PINS 45 SITEPROP SLICE_X51Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y134 PROHIBIT 0 SITEPROP SLICE_X51Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y134 RPM_X 135 SITEPROP SLICE_X51Y134 RPM_Y 268 SITEPROP SLICE_X51Y134 SITE_PIPS SITEPROP SLICE_X51Y134 SITE_TYPE SLICEL SITEPROP SLICE_X51Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y135 CLASS site SITEPROP SLICE_X51Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y135 IS_BONDED 0 SITEPROP SLICE_X51Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y135 IS_PAD 0 SITEPROP SLICE_X51Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y135 IS_RESERVED 0 SITEPROP SLICE_X51Y135 IS_TEST 0 SITEPROP SLICE_X51Y135 IS_USED 0 SITEPROP SLICE_X51Y135 MANUAL_ROUTING SITEPROP SLICE_X51Y135 NAME SLICE_X51Y135 SITEPROP SLICE_X51Y135 NUM_ARCS 138 SITEPROP SLICE_X51Y135 NUM_BELS 32 SITEPROP SLICE_X51Y135 NUM_INPUTS 32 SITEPROP SLICE_X51Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y135 NUM_PINS 45 SITEPROP SLICE_X51Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y135 PROHIBIT 0 SITEPROP SLICE_X51Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y135 RPM_X 135 SITEPROP SLICE_X51Y135 RPM_Y 270 SITEPROP SLICE_X51Y135 SITE_PIPS SITEPROP SLICE_X51Y135 SITE_TYPE SLICEL SITEPROP SLICE_X51Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y136 CLASS site SITEPROP SLICE_X51Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y136 IS_BONDED 0 SITEPROP SLICE_X51Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y136 IS_PAD 0 SITEPROP SLICE_X51Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y136 IS_RESERVED 0 SITEPROP SLICE_X51Y136 IS_TEST 0 SITEPROP SLICE_X51Y136 IS_USED 0 SITEPROP SLICE_X51Y136 MANUAL_ROUTING SITEPROP SLICE_X51Y136 NAME SLICE_X51Y136 SITEPROP SLICE_X51Y136 NUM_ARCS 138 SITEPROP SLICE_X51Y136 NUM_BELS 32 SITEPROP SLICE_X51Y136 NUM_INPUTS 32 SITEPROP SLICE_X51Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y136 NUM_PINS 45 SITEPROP SLICE_X51Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y136 PROHIBIT 0 SITEPROP SLICE_X51Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y136 RPM_X 135 SITEPROP SLICE_X51Y136 RPM_Y 272 SITEPROP SLICE_X51Y136 SITE_PIPS SITEPROP SLICE_X51Y136 SITE_TYPE SLICEL SITEPROP SLICE_X51Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y137 CLASS site SITEPROP SLICE_X51Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y137 IS_BONDED 0 SITEPROP SLICE_X51Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y137 IS_PAD 0 SITEPROP SLICE_X51Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y137 IS_RESERVED 0 SITEPROP SLICE_X51Y137 IS_TEST 0 SITEPROP SLICE_X51Y137 IS_USED 0 SITEPROP SLICE_X51Y137 MANUAL_ROUTING SITEPROP SLICE_X51Y137 NAME SLICE_X51Y137 SITEPROP SLICE_X51Y137 NUM_ARCS 138 SITEPROP SLICE_X51Y137 NUM_BELS 32 SITEPROP SLICE_X51Y137 NUM_INPUTS 32 SITEPROP SLICE_X51Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y137 NUM_PINS 45 SITEPROP SLICE_X51Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y137 PROHIBIT 0 SITEPROP SLICE_X51Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y137 RPM_X 135 SITEPROP SLICE_X51Y137 RPM_Y 274 SITEPROP SLICE_X51Y137 SITE_PIPS SITEPROP SLICE_X51Y137 SITE_TYPE SLICEL SITEPROP SLICE_X51Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y138 CLASS site SITEPROP SLICE_X51Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y138 IS_BONDED 0 SITEPROP SLICE_X51Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y138 IS_PAD 0 SITEPROP SLICE_X51Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y138 IS_RESERVED 0 SITEPROP SLICE_X51Y138 IS_TEST 0 SITEPROP SLICE_X51Y138 IS_USED 0 SITEPROP SLICE_X51Y138 MANUAL_ROUTING SITEPROP SLICE_X51Y138 NAME SLICE_X51Y138 SITEPROP SLICE_X51Y138 NUM_ARCS 138 SITEPROP SLICE_X51Y138 NUM_BELS 32 SITEPROP SLICE_X51Y138 NUM_INPUTS 32 SITEPROP SLICE_X51Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y138 NUM_PINS 45 SITEPROP SLICE_X51Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y138 PROHIBIT 0 SITEPROP SLICE_X51Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y138 RPM_X 135 SITEPROP SLICE_X51Y138 RPM_Y 276 SITEPROP SLICE_X51Y138 SITE_PIPS SITEPROP SLICE_X51Y138 SITE_TYPE SLICEL SITEPROP SLICE_X51Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y139 CLASS site SITEPROP SLICE_X51Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y139 IS_BONDED 0 SITEPROP SLICE_X51Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y139 IS_PAD 0 SITEPROP SLICE_X51Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y139 IS_RESERVED 0 SITEPROP SLICE_X51Y139 IS_TEST 0 SITEPROP SLICE_X51Y139 IS_USED 0 SITEPROP SLICE_X51Y139 MANUAL_ROUTING SITEPROP SLICE_X51Y139 NAME SLICE_X51Y139 SITEPROP SLICE_X51Y139 NUM_ARCS 138 SITEPROP SLICE_X51Y139 NUM_BELS 32 SITEPROP SLICE_X51Y139 NUM_INPUTS 32 SITEPROP SLICE_X51Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y139 NUM_PINS 45 SITEPROP SLICE_X51Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y139 PROHIBIT 0 SITEPROP SLICE_X51Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y139 RPM_X 135 SITEPROP SLICE_X51Y139 RPM_Y 278 SITEPROP SLICE_X51Y139 SITE_PIPS SITEPROP SLICE_X51Y139 SITE_TYPE SLICEL SITEPROP SLICE_X51Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y140 CLASS site SITEPROP SLICE_X51Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y140 IS_BONDED 0 SITEPROP SLICE_X51Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y140 IS_PAD 0 SITEPROP SLICE_X51Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y140 IS_RESERVED 0 SITEPROP SLICE_X51Y140 IS_TEST 0 SITEPROP SLICE_X51Y140 IS_USED 0 SITEPROP SLICE_X51Y140 MANUAL_ROUTING SITEPROP SLICE_X51Y140 NAME SLICE_X51Y140 SITEPROP SLICE_X51Y140 NUM_ARCS 138 SITEPROP SLICE_X51Y140 NUM_BELS 32 SITEPROP SLICE_X51Y140 NUM_INPUTS 32 SITEPROP SLICE_X51Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y140 NUM_PINS 45 SITEPROP SLICE_X51Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y140 PROHIBIT 0 SITEPROP SLICE_X51Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y140 RPM_X 135 SITEPROP SLICE_X51Y140 RPM_Y 280 SITEPROP SLICE_X51Y140 SITE_PIPS SITEPROP SLICE_X51Y140 SITE_TYPE SLICEL SITEPROP SLICE_X51Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y141 CLASS site SITEPROP SLICE_X51Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y141 IS_BONDED 0 SITEPROP SLICE_X51Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y141 IS_PAD 0 SITEPROP SLICE_X51Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y141 IS_RESERVED 0 SITEPROP SLICE_X51Y141 IS_TEST 0 SITEPROP SLICE_X51Y141 IS_USED 0 SITEPROP SLICE_X51Y141 MANUAL_ROUTING SITEPROP SLICE_X51Y141 NAME SLICE_X51Y141 SITEPROP SLICE_X51Y141 NUM_ARCS 138 SITEPROP SLICE_X51Y141 NUM_BELS 32 SITEPROP SLICE_X51Y141 NUM_INPUTS 32 SITEPROP SLICE_X51Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y141 NUM_PINS 45 SITEPROP SLICE_X51Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y141 PROHIBIT 0 SITEPROP SLICE_X51Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y141 RPM_X 135 SITEPROP SLICE_X51Y141 RPM_Y 282 SITEPROP SLICE_X51Y141 SITE_PIPS SITEPROP SLICE_X51Y141 SITE_TYPE SLICEL SITEPROP SLICE_X51Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y142 CLASS site SITEPROP SLICE_X51Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y142 IS_BONDED 0 SITEPROP SLICE_X51Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y142 IS_PAD 0 SITEPROP SLICE_X51Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y142 IS_RESERVED 0 SITEPROP SLICE_X51Y142 IS_TEST 0 SITEPROP SLICE_X51Y142 IS_USED 0 SITEPROP SLICE_X51Y142 MANUAL_ROUTING SITEPROP SLICE_X51Y142 NAME SLICE_X51Y142 SITEPROP SLICE_X51Y142 NUM_ARCS 138 SITEPROP SLICE_X51Y142 NUM_BELS 32 SITEPROP SLICE_X51Y142 NUM_INPUTS 32 SITEPROP SLICE_X51Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y142 NUM_PINS 45 SITEPROP SLICE_X51Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y142 PROHIBIT 0 SITEPROP SLICE_X51Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y142 RPM_X 135 SITEPROP SLICE_X51Y142 RPM_Y 284 SITEPROP SLICE_X51Y142 SITE_PIPS SITEPROP SLICE_X51Y142 SITE_TYPE SLICEL SITEPROP SLICE_X51Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y143 CLASS site SITEPROP SLICE_X51Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y143 IS_BONDED 0 SITEPROP SLICE_X51Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y143 IS_PAD 0 SITEPROP SLICE_X51Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y143 IS_RESERVED 0 SITEPROP SLICE_X51Y143 IS_TEST 0 SITEPROP SLICE_X51Y143 IS_USED 0 SITEPROP SLICE_X51Y143 MANUAL_ROUTING SITEPROP SLICE_X51Y143 NAME SLICE_X51Y143 SITEPROP SLICE_X51Y143 NUM_ARCS 138 SITEPROP SLICE_X51Y143 NUM_BELS 32 SITEPROP SLICE_X51Y143 NUM_INPUTS 32 SITEPROP SLICE_X51Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y143 NUM_PINS 45 SITEPROP SLICE_X51Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y143 PROHIBIT 0 SITEPROP SLICE_X51Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y143 RPM_X 135 SITEPROP SLICE_X51Y143 RPM_Y 286 SITEPROP SLICE_X51Y143 SITE_PIPS SITEPROP SLICE_X51Y143 SITE_TYPE SLICEL SITEPROP SLICE_X51Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y144 CLASS site SITEPROP SLICE_X51Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y144 IS_BONDED 0 SITEPROP SLICE_X51Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y144 IS_PAD 0 SITEPROP SLICE_X51Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y144 IS_RESERVED 0 SITEPROP SLICE_X51Y144 IS_TEST 0 SITEPROP SLICE_X51Y144 IS_USED 0 SITEPROP SLICE_X51Y144 MANUAL_ROUTING SITEPROP SLICE_X51Y144 NAME SLICE_X51Y144 SITEPROP SLICE_X51Y144 NUM_ARCS 138 SITEPROP SLICE_X51Y144 NUM_BELS 32 SITEPROP SLICE_X51Y144 NUM_INPUTS 32 SITEPROP SLICE_X51Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y144 NUM_PINS 45 SITEPROP SLICE_X51Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y144 PROHIBIT 0 SITEPROP SLICE_X51Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y144 RPM_X 135 SITEPROP SLICE_X51Y144 RPM_Y 288 SITEPROP SLICE_X51Y144 SITE_PIPS SITEPROP SLICE_X51Y144 SITE_TYPE SLICEL SITEPROP SLICE_X51Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y145 CLASS site SITEPROP SLICE_X51Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y145 IS_BONDED 0 SITEPROP SLICE_X51Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y145 IS_PAD 0 SITEPROP SLICE_X51Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y145 IS_RESERVED 0 SITEPROP SLICE_X51Y145 IS_TEST 0 SITEPROP SLICE_X51Y145 IS_USED 0 SITEPROP SLICE_X51Y145 MANUAL_ROUTING SITEPROP SLICE_X51Y145 NAME SLICE_X51Y145 SITEPROP SLICE_X51Y145 NUM_ARCS 138 SITEPROP SLICE_X51Y145 NUM_BELS 32 SITEPROP SLICE_X51Y145 NUM_INPUTS 32 SITEPROP SLICE_X51Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y145 NUM_PINS 45 SITEPROP SLICE_X51Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y145 PROHIBIT 0 SITEPROP SLICE_X51Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y145 RPM_X 135 SITEPROP SLICE_X51Y145 RPM_Y 290 SITEPROP SLICE_X51Y145 SITE_PIPS SITEPROP SLICE_X51Y145 SITE_TYPE SLICEL SITEPROP SLICE_X51Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y146 CLASS site SITEPROP SLICE_X51Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y146 IS_BONDED 0 SITEPROP SLICE_X51Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y146 IS_PAD 0 SITEPROP SLICE_X51Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y146 IS_RESERVED 0 SITEPROP SLICE_X51Y146 IS_TEST 0 SITEPROP SLICE_X51Y146 IS_USED 0 SITEPROP SLICE_X51Y146 MANUAL_ROUTING SITEPROP SLICE_X51Y146 NAME SLICE_X51Y146 SITEPROP SLICE_X51Y146 NUM_ARCS 138 SITEPROP SLICE_X51Y146 NUM_BELS 32 SITEPROP SLICE_X51Y146 NUM_INPUTS 32 SITEPROP SLICE_X51Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y146 NUM_PINS 45 SITEPROP SLICE_X51Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y146 PROHIBIT 0 SITEPROP SLICE_X51Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y146 RPM_X 135 SITEPROP SLICE_X51Y146 RPM_Y 292 SITEPROP SLICE_X51Y146 SITE_PIPS SITEPROP SLICE_X51Y146 SITE_TYPE SLICEL SITEPROP SLICE_X51Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y147 CLASS site SITEPROP SLICE_X51Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y147 IS_BONDED 0 SITEPROP SLICE_X51Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y147 IS_PAD 0 SITEPROP SLICE_X51Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y147 IS_RESERVED 0 SITEPROP SLICE_X51Y147 IS_TEST 0 SITEPROP SLICE_X51Y147 IS_USED 0 SITEPROP SLICE_X51Y147 MANUAL_ROUTING SITEPROP SLICE_X51Y147 NAME SLICE_X51Y147 SITEPROP SLICE_X51Y147 NUM_ARCS 138 SITEPROP SLICE_X51Y147 NUM_BELS 32 SITEPROP SLICE_X51Y147 NUM_INPUTS 32 SITEPROP SLICE_X51Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y147 NUM_PINS 45 SITEPROP SLICE_X51Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y147 PROHIBIT 0 SITEPROP SLICE_X51Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y147 RPM_X 135 SITEPROP SLICE_X51Y147 RPM_Y 294 SITEPROP SLICE_X51Y147 SITE_PIPS SITEPROP SLICE_X51Y147 SITE_TYPE SLICEL SITEPROP SLICE_X51Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y148 CLASS site SITEPROP SLICE_X51Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y148 IS_BONDED 0 SITEPROP SLICE_X51Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y148 IS_PAD 0 SITEPROP SLICE_X51Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y148 IS_RESERVED 0 SITEPROP SLICE_X51Y148 IS_TEST 0 SITEPROP SLICE_X51Y148 IS_USED 0 SITEPROP SLICE_X51Y148 MANUAL_ROUTING SITEPROP SLICE_X51Y148 NAME SLICE_X51Y148 SITEPROP SLICE_X51Y148 NUM_ARCS 138 SITEPROP SLICE_X51Y148 NUM_BELS 32 SITEPROP SLICE_X51Y148 NUM_INPUTS 32 SITEPROP SLICE_X51Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y148 NUM_PINS 45 SITEPROP SLICE_X51Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y148 PROHIBIT 0 SITEPROP SLICE_X51Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y148 RPM_X 135 SITEPROP SLICE_X51Y148 RPM_Y 296 SITEPROP SLICE_X51Y148 SITE_PIPS SITEPROP SLICE_X51Y148 SITE_TYPE SLICEL SITEPROP SLICE_X51Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X51Y149 CLASS site SITEPROP SLICE_X51Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X51Y149 IS_BONDED 0 SITEPROP SLICE_X51Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X51Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y149 IS_PAD 0 SITEPROP SLICE_X51Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X51Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X51Y149 IS_RESERVED 0 SITEPROP SLICE_X51Y149 IS_TEST 0 SITEPROP SLICE_X51Y149 IS_USED 0 SITEPROP SLICE_X51Y149 MANUAL_ROUTING SITEPROP SLICE_X51Y149 NAME SLICE_X51Y149 SITEPROP SLICE_X51Y149 NUM_ARCS 138 SITEPROP SLICE_X51Y149 NUM_BELS 32 SITEPROP SLICE_X51Y149 NUM_INPUTS 32 SITEPROP SLICE_X51Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X51Y149 NUM_PINS 45 SITEPROP SLICE_X51Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X51Y149 PROHIBIT 0 SITEPROP SLICE_X51Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X51Y149 RPM_X 135 SITEPROP SLICE_X51Y149 RPM_Y 298 SITEPROP SLICE_X51Y149 SITE_PIPS SITEPROP SLICE_X51Y149 SITE_TYPE SLICEL SITEPROP SLICE_X52Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y0 CLASS site SITEPROP SLICE_X52Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y0 IS_BONDED 0 SITEPROP SLICE_X52Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y0 IS_PAD 0 SITEPROP SLICE_X52Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y0 IS_RESERVED 0 SITEPROP SLICE_X52Y0 IS_TEST 0 SITEPROP SLICE_X52Y0 IS_USED 0 SITEPROP SLICE_X52Y0 MANUAL_ROUTING SITEPROP SLICE_X52Y0 NAME SLICE_X52Y0 SITEPROP SLICE_X52Y0 NUM_ARCS 153 SITEPROP SLICE_X52Y0 NUM_BELS 32 SITEPROP SLICE_X52Y0 NUM_INPUTS 37 SITEPROP SLICE_X52Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y0 NUM_PINS 50 SITEPROP SLICE_X52Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y0 PROHIBIT 0 SITEPROP SLICE_X52Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y0 RPM_X 137 SITEPROP SLICE_X52Y0 RPM_Y 0 SITEPROP SLICE_X52Y0 SITE_PIPS SITEPROP SLICE_X52Y0 SITE_TYPE SLICEM SITEPROP SLICE_X52Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y1 CLASS site SITEPROP SLICE_X52Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y1 IS_BONDED 0 SITEPROP SLICE_X52Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y1 IS_PAD 0 SITEPROP SLICE_X52Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y1 IS_RESERVED 0 SITEPROP SLICE_X52Y1 IS_TEST 0 SITEPROP SLICE_X52Y1 IS_USED 0 SITEPROP SLICE_X52Y1 MANUAL_ROUTING SITEPROP SLICE_X52Y1 NAME SLICE_X52Y1 SITEPROP SLICE_X52Y1 NUM_ARCS 153 SITEPROP SLICE_X52Y1 NUM_BELS 32 SITEPROP SLICE_X52Y1 NUM_INPUTS 37 SITEPROP SLICE_X52Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y1 NUM_PINS 50 SITEPROP SLICE_X52Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y1 PROHIBIT 0 SITEPROP SLICE_X52Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y1 RPM_X 137 SITEPROP SLICE_X52Y1 RPM_Y 2 SITEPROP SLICE_X52Y1 SITE_PIPS SITEPROP SLICE_X52Y1 SITE_TYPE SLICEM SITEPROP SLICE_X52Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y2 CLASS site SITEPROP SLICE_X52Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y2 IS_BONDED 0 SITEPROP SLICE_X52Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y2 IS_PAD 0 SITEPROP SLICE_X52Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y2 IS_RESERVED 0 SITEPROP SLICE_X52Y2 IS_TEST 0 SITEPROP SLICE_X52Y2 IS_USED 0 SITEPROP SLICE_X52Y2 MANUAL_ROUTING SITEPROP SLICE_X52Y2 NAME SLICE_X52Y2 SITEPROP SLICE_X52Y2 NUM_ARCS 153 SITEPROP SLICE_X52Y2 NUM_BELS 32 SITEPROP SLICE_X52Y2 NUM_INPUTS 37 SITEPROP SLICE_X52Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y2 NUM_PINS 50 SITEPROP SLICE_X52Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y2 PROHIBIT 0 SITEPROP SLICE_X52Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y2 RPM_X 137 SITEPROP SLICE_X52Y2 RPM_Y 4 SITEPROP SLICE_X52Y2 SITE_PIPS SITEPROP SLICE_X52Y2 SITE_TYPE SLICEM SITEPROP SLICE_X52Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y3 CLASS site SITEPROP SLICE_X52Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y3 IS_BONDED 0 SITEPROP SLICE_X52Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y3 IS_PAD 0 SITEPROP SLICE_X52Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y3 IS_RESERVED 0 SITEPROP SLICE_X52Y3 IS_TEST 0 SITEPROP SLICE_X52Y3 IS_USED 0 SITEPROP SLICE_X52Y3 MANUAL_ROUTING SITEPROP SLICE_X52Y3 NAME SLICE_X52Y3 SITEPROP SLICE_X52Y3 NUM_ARCS 153 SITEPROP SLICE_X52Y3 NUM_BELS 32 SITEPROP SLICE_X52Y3 NUM_INPUTS 37 SITEPROP SLICE_X52Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y3 NUM_PINS 50 SITEPROP SLICE_X52Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y3 PROHIBIT 0 SITEPROP SLICE_X52Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y3 RPM_X 137 SITEPROP SLICE_X52Y3 RPM_Y 6 SITEPROP SLICE_X52Y3 SITE_PIPS SITEPROP SLICE_X52Y3 SITE_TYPE SLICEM SITEPROP SLICE_X52Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y4 CLASS site SITEPROP SLICE_X52Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y4 IS_BONDED 0 SITEPROP SLICE_X52Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y4 IS_PAD 0 SITEPROP SLICE_X52Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y4 IS_RESERVED 0 SITEPROP SLICE_X52Y4 IS_TEST 0 SITEPROP SLICE_X52Y4 IS_USED 0 SITEPROP SLICE_X52Y4 MANUAL_ROUTING SITEPROP SLICE_X52Y4 NAME SLICE_X52Y4 SITEPROP SLICE_X52Y4 NUM_ARCS 153 SITEPROP SLICE_X52Y4 NUM_BELS 32 SITEPROP SLICE_X52Y4 NUM_INPUTS 37 SITEPROP SLICE_X52Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y4 NUM_PINS 50 SITEPROP SLICE_X52Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y4 PROHIBIT 0 SITEPROP SLICE_X52Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y4 RPM_X 137 SITEPROP SLICE_X52Y4 RPM_Y 8 SITEPROP SLICE_X52Y4 SITE_PIPS SITEPROP SLICE_X52Y4 SITE_TYPE SLICEM SITEPROP SLICE_X52Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y5 CLASS site SITEPROP SLICE_X52Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y5 IS_BONDED 0 SITEPROP SLICE_X52Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y5 IS_PAD 0 SITEPROP SLICE_X52Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y5 IS_RESERVED 0 SITEPROP SLICE_X52Y5 IS_TEST 0 SITEPROP SLICE_X52Y5 IS_USED 0 SITEPROP SLICE_X52Y5 MANUAL_ROUTING SITEPROP SLICE_X52Y5 NAME SLICE_X52Y5 SITEPROP SLICE_X52Y5 NUM_ARCS 153 SITEPROP SLICE_X52Y5 NUM_BELS 32 SITEPROP SLICE_X52Y5 NUM_INPUTS 37 SITEPROP SLICE_X52Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y5 NUM_PINS 50 SITEPROP SLICE_X52Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y5 PROHIBIT 0 SITEPROP SLICE_X52Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y5 RPM_X 137 SITEPROP SLICE_X52Y5 RPM_Y 10 SITEPROP SLICE_X52Y5 SITE_PIPS SITEPROP SLICE_X52Y5 SITE_TYPE SLICEM SITEPROP SLICE_X52Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y6 CLASS site SITEPROP SLICE_X52Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y6 IS_BONDED 0 SITEPROP SLICE_X52Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y6 IS_PAD 0 SITEPROP SLICE_X52Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y6 IS_RESERVED 0 SITEPROP SLICE_X52Y6 IS_TEST 0 SITEPROP SLICE_X52Y6 IS_USED 0 SITEPROP SLICE_X52Y6 MANUAL_ROUTING SITEPROP SLICE_X52Y6 NAME SLICE_X52Y6 SITEPROP SLICE_X52Y6 NUM_ARCS 153 SITEPROP SLICE_X52Y6 NUM_BELS 32 SITEPROP SLICE_X52Y6 NUM_INPUTS 37 SITEPROP SLICE_X52Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y6 NUM_PINS 50 SITEPROP SLICE_X52Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y6 PROHIBIT 0 SITEPROP SLICE_X52Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y6 RPM_X 137 SITEPROP SLICE_X52Y6 RPM_Y 12 SITEPROP SLICE_X52Y6 SITE_PIPS SITEPROP SLICE_X52Y6 SITE_TYPE SLICEM SITEPROP SLICE_X52Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y7 CLASS site SITEPROP SLICE_X52Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y7 IS_BONDED 0 SITEPROP SLICE_X52Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y7 IS_PAD 0 SITEPROP SLICE_X52Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y7 IS_RESERVED 0 SITEPROP SLICE_X52Y7 IS_TEST 0 SITEPROP SLICE_X52Y7 IS_USED 0 SITEPROP SLICE_X52Y7 MANUAL_ROUTING SITEPROP SLICE_X52Y7 NAME SLICE_X52Y7 SITEPROP SLICE_X52Y7 NUM_ARCS 153 SITEPROP SLICE_X52Y7 NUM_BELS 32 SITEPROP SLICE_X52Y7 NUM_INPUTS 37 SITEPROP SLICE_X52Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y7 NUM_PINS 50 SITEPROP SLICE_X52Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y7 PROHIBIT 0 SITEPROP SLICE_X52Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y7 RPM_X 137 SITEPROP SLICE_X52Y7 RPM_Y 14 SITEPROP SLICE_X52Y7 SITE_PIPS SITEPROP SLICE_X52Y7 SITE_TYPE SLICEM SITEPROP SLICE_X52Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y8 CLASS site SITEPROP SLICE_X52Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y8 IS_BONDED 0 SITEPROP SLICE_X52Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y8 IS_PAD 0 SITEPROP SLICE_X52Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y8 IS_RESERVED 0 SITEPROP SLICE_X52Y8 IS_TEST 0 SITEPROP SLICE_X52Y8 IS_USED 0 SITEPROP SLICE_X52Y8 MANUAL_ROUTING SITEPROP SLICE_X52Y8 NAME SLICE_X52Y8 SITEPROP SLICE_X52Y8 NUM_ARCS 153 SITEPROP SLICE_X52Y8 NUM_BELS 32 SITEPROP SLICE_X52Y8 NUM_INPUTS 37 SITEPROP SLICE_X52Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y8 NUM_PINS 50 SITEPROP SLICE_X52Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y8 PROHIBIT 0 SITEPROP SLICE_X52Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y8 RPM_X 137 SITEPROP SLICE_X52Y8 RPM_Y 16 SITEPROP SLICE_X52Y8 SITE_PIPS SITEPROP SLICE_X52Y8 SITE_TYPE SLICEM SITEPROP SLICE_X52Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y9 CLASS site SITEPROP SLICE_X52Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y9 IS_BONDED 0 SITEPROP SLICE_X52Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y9 IS_PAD 0 SITEPROP SLICE_X52Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y9 IS_RESERVED 0 SITEPROP SLICE_X52Y9 IS_TEST 0 SITEPROP SLICE_X52Y9 IS_USED 0 SITEPROP SLICE_X52Y9 MANUAL_ROUTING SITEPROP SLICE_X52Y9 NAME SLICE_X52Y9 SITEPROP SLICE_X52Y9 NUM_ARCS 153 SITEPROP SLICE_X52Y9 NUM_BELS 32 SITEPROP SLICE_X52Y9 NUM_INPUTS 37 SITEPROP SLICE_X52Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y9 NUM_PINS 50 SITEPROP SLICE_X52Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y9 PROHIBIT 0 SITEPROP SLICE_X52Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y9 RPM_X 137 SITEPROP SLICE_X52Y9 RPM_Y 18 SITEPROP SLICE_X52Y9 SITE_PIPS SITEPROP SLICE_X52Y9 SITE_TYPE SLICEM SITEPROP SLICE_X52Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y10 CLASS site SITEPROP SLICE_X52Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y10 IS_BONDED 0 SITEPROP SLICE_X52Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y10 IS_PAD 0 SITEPROP SLICE_X52Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y10 IS_RESERVED 0 SITEPROP SLICE_X52Y10 IS_TEST 0 SITEPROP SLICE_X52Y10 IS_USED 0 SITEPROP SLICE_X52Y10 MANUAL_ROUTING SITEPROP SLICE_X52Y10 NAME SLICE_X52Y10 SITEPROP SLICE_X52Y10 NUM_ARCS 153 SITEPROP SLICE_X52Y10 NUM_BELS 32 SITEPROP SLICE_X52Y10 NUM_INPUTS 37 SITEPROP SLICE_X52Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y10 NUM_PINS 50 SITEPROP SLICE_X52Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y10 PROHIBIT 0 SITEPROP SLICE_X52Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y10 RPM_X 137 SITEPROP SLICE_X52Y10 RPM_Y 20 SITEPROP SLICE_X52Y10 SITE_PIPS SITEPROP SLICE_X52Y10 SITE_TYPE SLICEM SITEPROP SLICE_X52Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y11 CLASS site SITEPROP SLICE_X52Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y11 IS_BONDED 0 SITEPROP SLICE_X52Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y11 IS_PAD 0 SITEPROP SLICE_X52Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y11 IS_RESERVED 0 SITEPROP SLICE_X52Y11 IS_TEST 0 SITEPROP SLICE_X52Y11 IS_USED 0 SITEPROP SLICE_X52Y11 MANUAL_ROUTING SITEPROP SLICE_X52Y11 NAME SLICE_X52Y11 SITEPROP SLICE_X52Y11 NUM_ARCS 153 SITEPROP SLICE_X52Y11 NUM_BELS 32 SITEPROP SLICE_X52Y11 NUM_INPUTS 37 SITEPROP SLICE_X52Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y11 NUM_PINS 50 SITEPROP SLICE_X52Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y11 PROHIBIT 0 SITEPROP SLICE_X52Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y11 RPM_X 137 SITEPROP SLICE_X52Y11 RPM_Y 22 SITEPROP SLICE_X52Y11 SITE_PIPS SITEPROP SLICE_X52Y11 SITE_TYPE SLICEM SITEPROP SLICE_X52Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y12 CLASS site SITEPROP SLICE_X52Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y12 IS_BONDED 0 SITEPROP SLICE_X52Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y12 IS_PAD 0 SITEPROP SLICE_X52Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y12 IS_RESERVED 0 SITEPROP SLICE_X52Y12 IS_TEST 0 SITEPROP SLICE_X52Y12 IS_USED 0 SITEPROP SLICE_X52Y12 MANUAL_ROUTING SITEPROP SLICE_X52Y12 NAME SLICE_X52Y12 SITEPROP SLICE_X52Y12 NUM_ARCS 153 SITEPROP SLICE_X52Y12 NUM_BELS 32 SITEPROP SLICE_X52Y12 NUM_INPUTS 37 SITEPROP SLICE_X52Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y12 NUM_PINS 50 SITEPROP SLICE_X52Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y12 PROHIBIT 0 SITEPROP SLICE_X52Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y12 RPM_X 137 SITEPROP SLICE_X52Y12 RPM_Y 24 SITEPROP SLICE_X52Y12 SITE_PIPS SITEPROP SLICE_X52Y12 SITE_TYPE SLICEM SITEPROP SLICE_X52Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y13 CLASS site SITEPROP SLICE_X52Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y13 IS_BONDED 0 SITEPROP SLICE_X52Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y13 IS_PAD 0 SITEPROP SLICE_X52Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y13 IS_RESERVED 0 SITEPROP SLICE_X52Y13 IS_TEST 0 SITEPROP SLICE_X52Y13 IS_USED 0 SITEPROP SLICE_X52Y13 MANUAL_ROUTING SITEPROP SLICE_X52Y13 NAME SLICE_X52Y13 SITEPROP SLICE_X52Y13 NUM_ARCS 153 SITEPROP SLICE_X52Y13 NUM_BELS 32 SITEPROP SLICE_X52Y13 NUM_INPUTS 37 SITEPROP SLICE_X52Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y13 NUM_PINS 50 SITEPROP SLICE_X52Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y13 PROHIBIT 0 SITEPROP SLICE_X52Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y13 RPM_X 137 SITEPROP SLICE_X52Y13 RPM_Y 26 SITEPROP SLICE_X52Y13 SITE_PIPS SITEPROP SLICE_X52Y13 SITE_TYPE SLICEM SITEPROP SLICE_X52Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y14 CLASS site SITEPROP SLICE_X52Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y14 IS_BONDED 0 SITEPROP SLICE_X52Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y14 IS_PAD 0 SITEPROP SLICE_X52Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y14 IS_RESERVED 0 SITEPROP SLICE_X52Y14 IS_TEST 0 SITEPROP SLICE_X52Y14 IS_USED 0 SITEPROP SLICE_X52Y14 MANUAL_ROUTING SITEPROP SLICE_X52Y14 NAME SLICE_X52Y14 SITEPROP SLICE_X52Y14 NUM_ARCS 153 SITEPROP SLICE_X52Y14 NUM_BELS 32 SITEPROP SLICE_X52Y14 NUM_INPUTS 37 SITEPROP SLICE_X52Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y14 NUM_PINS 50 SITEPROP SLICE_X52Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y14 PROHIBIT 0 SITEPROP SLICE_X52Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y14 RPM_X 137 SITEPROP SLICE_X52Y14 RPM_Y 28 SITEPROP SLICE_X52Y14 SITE_PIPS SITEPROP SLICE_X52Y14 SITE_TYPE SLICEM SITEPROP SLICE_X52Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y15 CLASS site SITEPROP SLICE_X52Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y15 IS_BONDED 0 SITEPROP SLICE_X52Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y15 IS_PAD 0 SITEPROP SLICE_X52Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y15 IS_RESERVED 0 SITEPROP SLICE_X52Y15 IS_TEST 0 SITEPROP SLICE_X52Y15 IS_USED 0 SITEPROP SLICE_X52Y15 MANUAL_ROUTING SITEPROP SLICE_X52Y15 NAME SLICE_X52Y15 SITEPROP SLICE_X52Y15 NUM_ARCS 153 SITEPROP SLICE_X52Y15 NUM_BELS 32 SITEPROP SLICE_X52Y15 NUM_INPUTS 37 SITEPROP SLICE_X52Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y15 NUM_PINS 50 SITEPROP SLICE_X52Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y15 PROHIBIT 0 SITEPROP SLICE_X52Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y15 RPM_X 137 SITEPROP SLICE_X52Y15 RPM_Y 30 SITEPROP SLICE_X52Y15 SITE_PIPS SITEPROP SLICE_X52Y15 SITE_TYPE SLICEM SITEPROP SLICE_X52Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y16 CLASS site SITEPROP SLICE_X52Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y16 IS_BONDED 0 SITEPROP SLICE_X52Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y16 IS_PAD 0 SITEPROP SLICE_X52Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y16 IS_RESERVED 0 SITEPROP SLICE_X52Y16 IS_TEST 0 SITEPROP SLICE_X52Y16 IS_USED 0 SITEPROP SLICE_X52Y16 MANUAL_ROUTING SITEPROP SLICE_X52Y16 NAME SLICE_X52Y16 SITEPROP SLICE_X52Y16 NUM_ARCS 153 SITEPROP SLICE_X52Y16 NUM_BELS 32 SITEPROP SLICE_X52Y16 NUM_INPUTS 37 SITEPROP SLICE_X52Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y16 NUM_PINS 50 SITEPROP SLICE_X52Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y16 PROHIBIT 0 SITEPROP SLICE_X52Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y16 RPM_X 137 SITEPROP SLICE_X52Y16 RPM_Y 32 SITEPROP SLICE_X52Y16 SITE_PIPS SITEPROP SLICE_X52Y16 SITE_TYPE SLICEM SITEPROP SLICE_X52Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y17 CLASS site SITEPROP SLICE_X52Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y17 IS_BONDED 0 SITEPROP SLICE_X52Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y17 IS_PAD 0 SITEPROP SLICE_X52Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y17 IS_RESERVED 0 SITEPROP SLICE_X52Y17 IS_TEST 0 SITEPROP SLICE_X52Y17 IS_USED 0 SITEPROP SLICE_X52Y17 MANUAL_ROUTING SITEPROP SLICE_X52Y17 NAME SLICE_X52Y17 SITEPROP SLICE_X52Y17 NUM_ARCS 153 SITEPROP SLICE_X52Y17 NUM_BELS 32 SITEPROP SLICE_X52Y17 NUM_INPUTS 37 SITEPROP SLICE_X52Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y17 NUM_PINS 50 SITEPROP SLICE_X52Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y17 PROHIBIT 0 SITEPROP SLICE_X52Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y17 RPM_X 137 SITEPROP SLICE_X52Y17 RPM_Y 34 SITEPROP SLICE_X52Y17 SITE_PIPS SITEPROP SLICE_X52Y17 SITE_TYPE SLICEM SITEPROP SLICE_X52Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y18 CLASS site SITEPROP SLICE_X52Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y18 IS_BONDED 0 SITEPROP SLICE_X52Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y18 IS_PAD 0 SITEPROP SLICE_X52Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y18 IS_RESERVED 0 SITEPROP SLICE_X52Y18 IS_TEST 0 SITEPROP SLICE_X52Y18 IS_USED 0 SITEPROP SLICE_X52Y18 MANUAL_ROUTING SITEPROP SLICE_X52Y18 NAME SLICE_X52Y18 SITEPROP SLICE_X52Y18 NUM_ARCS 153 SITEPROP SLICE_X52Y18 NUM_BELS 32 SITEPROP SLICE_X52Y18 NUM_INPUTS 37 SITEPROP SLICE_X52Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y18 NUM_PINS 50 SITEPROP SLICE_X52Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y18 PROHIBIT 0 SITEPROP SLICE_X52Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y18 RPM_X 137 SITEPROP SLICE_X52Y18 RPM_Y 36 SITEPROP SLICE_X52Y18 SITE_PIPS SITEPROP SLICE_X52Y18 SITE_TYPE SLICEM SITEPROP SLICE_X52Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y19 CLASS site SITEPROP SLICE_X52Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y19 IS_BONDED 0 SITEPROP SLICE_X52Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y19 IS_PAD 0 SITEPROP SLICE_X52Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y19 IS_RESERVED 0 SITEPROP SLICE_X52Y19 IS_TEST 0 SITEPROP SLICE_X52Y19 IS_USED 0 SITEPROP SLICE_X52Y19 MANUAL_ROUTING SITEPROP SLICE_X52Y19 NAME SLICE_X52Y19 SITEPROP SLICE_X52Y19 NUM_ARCS 153 SITEPROP SLICE_X52Y19 NUM_BELS 32 SITEPROP SLICE_X52Y19 NUM_INPUTS 37 SITEPROP SLICE_X52Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y19 NUM_PINS 50 SITEPROP SLICE_X52Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y19 PROHIBIT 0 SITEPROP SLICE_X52Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y19 RPM_X 137 SITEPROP SLICE_X52Y19 RPM_Y 38 SITEPROP SLICE_X52Y19 SITE_PIPS SITEPROP SLICE_X52Y19 SITE_TYPE SLICEM SITEPROP SLICE_X52Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y20 CLASS site SITEPROP SLICE_X52Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y20 IS_BONDED 0 SITEPROP SLICE_X52Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y20 IS_PAD 0 SITEPROP SLICE_X52Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y20 IS_RESERVED 0 SITEPROP SLICE_X52Y20 IS_TEST 0 SITEPROP SLICE_X52Y20 IS_USED 0 SITEPROP SLICE_X52Y20 MANUAL_ROUTING SITEPROP SLICE_X52Y20 NAME SLICE_X52Y20 SITEPROP SLICE_X52Y20 NUM_ARCS 153 SITEPROP SLICE_X52Y20 NUM_BELS 32 SITEPROP SLICE_X52Y20 NUM_INPUTS 37 SITEPROP SLICE_X52Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y20 NUM_PINS 50 SITEPROP SLICE_X52Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y20 PROHIBIT 0 SITEPROP SLICE_X52Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y20 RPM_X 137 SITEPROP SLICE_X52Y20 RPM_Y 40 SITEPROP SLICE_X52Y20 SITE_PIPS SITEPROP SLICE_X52Y20 SITE_TYPE SLICEM SITEPROP SLICE_X52Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y21 CLASS site SITEPROP SLICE_X52Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y21 IS_BONDED 0 SITEPROP SLICE_X52Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y21 IS_PAD 0 SITEPROP SLICE_X52Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y21 IS_RESERVED 0 SITEPROP SLICE_X52Y21 IS_TEST 0 SITEPROP SLICE_X52Y21 IS_USED 0 SITEPROP SLICE_X52Y21 MANUAL_ROUTING SITEPROP SLICE_X52Y21 NAME SLICE_X52Y21 SITEPROP SLICE_X52Y21 NUM_ARCS 153 SITEPROP SLICE_X52Y21 NUM_BELS 32 SITEPROP SLICE_X52Y21 NUM_INPUTS 37 SITEPROP SLICE_X52Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y21 NUM_PINS 50 SITEPROP SLICE_X52Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y21 PROHIBIT 0 SITEPROP SLICE_X52Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y21 RPM_X 137 SITEPROP SLICE_X52Y21 RPM_Y 42 SITEPROP SLICE_X52Y21 SITE_PIPS SITEPROP SLICE_X52Y21 SITE_TYPE SLICEM SITEPROP SLICE_X52Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y22 CLASS site SITEPROP SLICE_X52Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y22 IS_BONDED 0 SITEPROP SLICE_X52Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y22 IS_PAD 0 SITEPROP SLICE_X52Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y22 IS_RESERVED 0 SITEPROP SLICE_X52Y22 IS_TEST 0 SITEPROP SLICE_X52Y22 IS_USED 0 SITEPROP SLICE_X52Y22 MANUAL_ROUTING SITEPROP SLICE_X52Y22 NAME SLICE_X52Y22 SITEPROP SLICE_X52Y22 NUM_ARCS 153 SITEPROP SLICE_X52Y22 NUM_BELS 32 SITEPROP SLICE_X52Y22 NUM_INPUTS 37 SITEPROP SLICE_X52Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y22 NUM_PINS 50 SITEPROP SLICE_X52Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y22 PROHIBIT 0 SITEPROP SLICE_X52Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y22 RPM_X 137 SITEPROP SLICE_X52Y22 RPM_Y 44 SITEPROP SLICE_X52Y22 SITE_PIPS SITEPROP SLICE_X52Y22 SITE_TYPE SLICEM SITEPROP SLICE_X52Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y23 CLASS site SITEPROP SLICE_X52Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y23 IS_BONDED 0 SITEPROP SLICE_X52Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y23 IS_PAD 0 SITEPROP SLICE_X52Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y23 IS_RESERVED 0 SITEPROP SLICE_X52Y23 IS_TEST 0 SITEPROP SLICE_X52Y23 IS_USED 0 SITEPROP SLICE_X52Y23 MANUAL_ROUTING SITEPROP SLICE_X52Y23 NAME SLICE_X52Y23 SITEPROP SLICE_X52Y23 NUM_ARCS 153 SITEPROP SLICE_X52Y23 NUM_BELS 32 SITEPROP SLICE_X52Y23 NUM_INPUTS 37 SITEPROP SLICE_X52Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y23 NUM_PINS 50 SITEPROP SLICE_X52Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y23 PROHIBIT 0 SITEPROP SLICE_X52Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y23 RPM_X 137 SITEPROP SLICE_X52Y23 RPM_Y 46 SITEPROP SLICE_X52Y23 SITE_PIPS SITEPROP SLICE_X52Y23 SITE_TYPE SLICEM SITEPROP SLICE_X52Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y24 CLASS site SITEPROP SLICE_X52Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y24 IS_BONDED 0 SITEPROP SLICE_X52Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y24 IS_PAD 0 SITEPROP SLICE_X52Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y24 IS_RESERVED 0 SITEPROP SLICE_X52Y24 IS_TEST 0 SITEPROP SLICE_X52Y24 IS_USED 0 SITEPROP SLICE_X52Y24 MANUAL_ROUTING SITEPROP SLICE_X52Y24 NAME SLICE_X52Y24 SITEPROP SLICE_X52Y24 NUM_ARCS 153 SITEPROP SLICE_X52Y24 NUM_BELS 32 SITEPROP SLICE_X52Y24 NUM_INPUTS 37 SITEPROP SLICE_X52Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y24 NUM_PINS 50 SITEPROP SLICE_X52Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y24 PROHIBIT 0 SITEPROP SLICE_X52Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y24 RPM_X 137 SITEPROP SLICE_X52Y24 RPM_Y 48 SITEPROP SLICE_X52Y24 SITE_PIPS SITEPROP SLICE_X52Y24 SITE_TYPE SLICEM SITEPROP SLICE_X52Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y25 CLASS site SITEPROP SLICE_X52Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y25 IS_BONDED 0 SITEPROP SLICE_X52Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y25 IS_PAD 0 SITEPROP SLICE_X52Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y25 IS_RESERVED 0 SITEPROP SLICE_X52Y25 IS_TEST 0 SITEPROP SLICE_X52Y25 IS_USED 0 SITEPROP SLICE_X52Y25 MANUAL_ROUTING SITEPROP SLICE_X52Y25 NAME SLICE_X52Y25 SITEPROP SLICE_X52Y25 NUM_ARCS 153 SITEPROP SLICE_X52Y25 NUM_BELS 32 SITEPROP SLICE_X52Y25 NUM_INPUTS 37 SITEPROP SLICE_X52Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y25 NUM_PINS 50 SITEPROP SLICE_X52Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y25 PROHIBIT 0 SITEPROP SLICE_X52Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y25 RPM_X 137 SITEPROP SLICE_X52Y25 RPM_Y 50 SITEPROP SLICE_X52Y25 SITE_PIPS SITEPROP SLICE_X52Y25 SITE_TYPE SLICEM SITEPROP SLICE_X52Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y26 CLASS site SITEPROP SLICE_X52Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y26 IS_BONDED 0 SITEPROP SLICE_X52Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y26 IS_PAD 0 SITEPROP SLICE_X52Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y26 IS_RESERVED 0 SITEPROP SLICE_X52Y26 IS_TEST 0 SITEPROP SLICE_X52Y26 IS_USED 0 SITEPROP SLICE_X52Y26 MANUAL_ROUTING SITEPROP SLICE_X52Y26 NAME SLICE_X52Y26 SITEPROP SLICE_X52Y26 NUM_ARCS 153 SITEPROP SLICE_X52Y26 NUM_BELS 32 SITEPROP SLICE_X52Y26 NUM_INPUTS 37 SITEPROP SLICE_X52Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y26 NUM_PINS 50 SITEPROP SLICE_X52Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y26 PROHIBIT 0 SITEPROP SLICE_X52Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y26 RPM_X 137 SITEPROP SLICE_X52Y26 RPM_Y 52 SITEPROP SLICE_X52Y26 SITE_PIPS SITEPROP SLICE_X52Y26 SITE_TYPE SLICEM SITEPROP SLICE_X52Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y27 CLASS site SITEPROP SLICE_X52Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y27 IS_BONDED 0 SITEPROP SLICE_X52Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y27 IS_PAD 0 SITEPROP SLICE_X52Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y27 IS_RESERVED 0 SITEPROP SLICE_X52Y27 IS_TEST 0 SITEPROP SLICE_X52Y27 IS_USED 0 SITEPROP SLICE_X52Y27 MANUAL_ROUTING SITEPROP SLICE_X52Y27 NAME SLICE_X52Y27 SITEPROP SLICE_X52Y27 NUM_ARCS 153 SITEPROP SLICE_X52Y27 NUM_BELS 32 SITEPROP SLICE_X52Y27 NUM_INPUTS 37 SITEPROP SLICE_X52Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y27 NUM_PINS 50 SITEPROP SLICE_X52Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y27 PROHIBIT 0 SITEPROP SLICE_X52Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y27 RPM_X 137 SITEPROP SLICE_X52Y27 RPM_Y 54 SITEPROP SLICE_X52Y27 SITE_PIPS SITEPROP SLICE_X52Y27 SITE_TYPE SLICEM SITEPROP SLICE_X52Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y28 CLASS site SITEPROP SLICE_X52Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y28 IS_BONDED 0 SITEPROP SLICE_X52Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y28 IS_PAD 0 SITEPROP SLICE_X52Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y28 IS_RESERVED 0 SITEPROP SLICE_X52Y28 IS_TEST 0 SITEPROP SLICE_X52Y28 IS_USED 0 SITEPROP SLICE_X52Y28 MANUAL_ROUTING SITEPROP SLICE_X52Y28 NAME SLICE_X52Y28 SITEPROP SLICE_X52Y28 NUM_ARCS 153 SITEPROP SLICE_X52Y28 NUM_BELS 32 SITEPROP SLICE_X52Y28 NUM_INPUTS 37 SITEPROP SLICE_X52Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y28 NUM_PINS 50 SITEPROP SLICE_X52Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y28 PROHIBIT 0 SITEPROP SLICE_X52Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y28 RPM_X 137 SITEPROP SLICE_X52Y28 RPM_Y 56 SITEPROP SLICE_X52Y28 SITE_PIPS SITEPROP SLICE_X52Y28 SITE_TYPE SLICEM SITEPROP SLICE_X52Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y29 CLASS site SITEPROP SLICE_X52Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y29 IS_BONDED 0 SITEPROP SLICE_X52Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y29 IS_PAD 0 SITEPROP SLICE_X52Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y29 IS_RESERVED 0 SITEPROP SLICE_X52Y29 IS_TEST 0 SITEPROP SLICE_X52Y29 IS_USED 0 SITEPROP SLICE_X52Y29 MANUAL_ROUTING SITEPROP SLICE_X52Y29 NAME SLICE_X52Y29 SITEPROP SLICE_X52Y29 NUM_ARCS 153 SITEPROP SLICE_X52Y29 NUM_BELS 32 SITEPROP SLICE_X52Y29 NUM_INPUTS 37 SITEPROP SLICE_X52Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y29 NUM_PINS 50 SITEPROP SLICE_X52Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y29 PROHIBIT 0 SITEPROP SLICE_X52Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y29 RPM_X 137 SITEPROP SLICE_X52Y29 RPM_Y 58 SITEPROP SLICE_X52Y29 SITE_PIPS SITEPROP SLICE_X52Y29 SITE_TYPE SLICEM SITEPROP SLICE_X52Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y30 CLASS site SITEPROP SLICE_X52Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y30 IS_BONDED 0 SITEPROP SLICE_X52Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y30 IS_PAD 0 SITEPROP SLICE_X52Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y30 IS_RESERVED 0 SITEPROP SLICE_X52Y30 IS_TEST 0 SITEPROP SLICE_X52Y30 IS_USED 0 SITEPROP SLICE_X52Y30 MANUAL_ROUTING SITEPROP SLICE_X52Y30 NAME SLICE_X52Y30 SITEPROP SLICE_X52Y30 NUM_ARCS 153 SITEPROP SLICE_X52Y30 NUM_BELS 32 SITEPROP SLICE_X52Y30 NUM_INPUTS 37 SITEPROP SLICE_X52Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y30 NUM_PINS 50 SITEPROP SLICE_X52Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y30 PROHIBIT 0 SITEPROP SLICE_X52Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y30 RPM_X 137 SITEPROP SLICE_X52Y30 RPM_Y 60 SITEPROP SLICE_X52Y30 SITE_PIPS SITEPROP SLICE_X52Y30 SITE_TYPE SLICEM SITEPROP SLICE_X52Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y31 CLASS site SITEPROP SLICE_X52Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y31 IS_BONDED 0 SITEPROP SLICE_X52Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y31 IS_PAD 0 SITEPROP SLICE_X52Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y31 IS_RESERVED 0 SITEPROP SLICE_X52Y31 IS_TEST 0 SITEPROP SLICE_X52Y31 IS_USED 0 SITEPROP SLICE_X52Y31 MANUAL_ROUTING SITEPROP SLICE_X52Y31 NAME SLICE_X52Y31 SITEPROP SLICE_X52Y31 NUM_ARCS 153 SITEPROP SLICE_X52Y31 NUM_BELS 32 SITEPROP SLICE_X52Y31 NUM_INPUTS 37 SITEPROP SLICE_X52Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y31 NUM_PINS 50 SITEPROP SLICE_X52Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y31 PROHIBIT 0 SITEPROP SLICE_X52Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y31 RPM_X 137 SITEPROP SLICE_X52Y31 RPM_Y 62 SITEPROP SLICE_X52Y31 SITE_PIPS SITEPROP SLICE_X52Y31 SITE_TYPE SLICEM SITEPROP SLICE_X52Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y32 CLASS site SITEPROP SLICE_X52Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y32 IS_BONDED 0 SITEPROP SLICE_X52Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y32 IS_PAD 0 SITEPROP SLICE_X52Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y32 IS_RESERVED 0 SITEPROP SLICE_X52Y32 IS_TEST 0 SITEPROP SLICE_X52Y32 IS_USED 0 SITEPROP SLICE_X52Y32 MANUAL_ROUTING SITEPROP SLICE_X52Y32 NAME SLICE_X52Y32 SITEPROP SLICE_X52Y32 NUM_ARCS 153 SITEPROP SLICE_X52Y32 NUM_BELS 32 SITEPROP SLICE_X52Y32 NUM_INPUTS 37 SITEPROP SLICE_X52Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y32 NUM_PINS 50 SITEPROP SLICE_X52Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y32 PROHIBIT 0 SITEPROP SLICE_X52Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y32 RPM_X 137 SITEPROP SLICE_X52Y32 RPM_Y 64 SITEPROP SLICE_X52Y32 SITE_PIPS SITEPROP SLICE_X52Y32 SITE_TYPE SLICEM SITEPROP SLICE_X52Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y33 CLASS site SITEPROP SLICE_X52Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y33 IS_BONDED 0 SITEPROP SLICE_X52Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y33 IS_PAD 0 SITEPROP SLICE_X52Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y33 IS_RESERVED 0 SITEPROP SLICE_X52Y33 IS_TEST 0 SITEPROP SLICE_X52Y33 IS_USED 0 SITEPROP SLICE_X52Y33 MANUAL_ROUTING SITEPROP SLICE_X52Y33 NAME SLICE_X52Y33 SITEPROP SLICE_X52Y33 NUM_ARCS 153 SITEPROP SLICE_X52Y33 NUM_BELS 32 SITEPROP SLICE_X52Y33 NUM_INPUTS 37 SITEPROP SLICE_X52Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y33 NUM_PINS 50 SITEPROP SLICE_X52Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y33 PROHIBIT 0 SITEPROP SLICE_X52Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y33 RPM_X 137 SITEPROP SLICE_X52Y33 RPM_Y 66 SITEPROP SLICE_X52Y33 SITE_PIPS SITEPROP SLICE_X52Y33 SITE_TYPE SLICEM SITEPROP SLICE_X52Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y34 CLASS site SITEPROP SLICE_X52Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y34 IS_BONDED 0 SITEPROP SLICE_X52Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y34 IS_PAD 0 SITEPROP SLICE_X52Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y34 IS_RESERVED 0 SITEPROP SLICE_X52Y34 IS_TEST 0 SITEPROP SLICE_X52Y34 IS_USED 0 SITEPROP SLICE_X52Y34 MANUAL_ROUTING SITEPROP SLICE_X52Y34 NAME SLICE_X52Y34 SITEPROP SLICE_X52Y34 NUM_ARCS 153 SITEPROP SLICE_X52Y34 NUM_BELS 32 SITEPROP SLICE_X52Y34 NUM_INPUTS 37 SITEPROP SLICE_X52Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y34 NUM_PINS 50 SITEPROP SLICE_X52Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y34 PROHIBIT 0 SITEPROP SLICE_X52Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y34 RPM_X 137 SITEPROP SLICE_X52Y34 RPM_Y 68 SITEPROP SLICE_X52Y34 SITE_PIPS SITEPROP SLICE_X52Y34 SITE_TYPE SLICEM SITEPROP SLICE_X52Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y35 CLASS site SITEPROP SLICE_X52Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y35 IS_BONDED 0 SITEPROP SLICE_X52Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y35 IS_PAD 0 SITEPROP SLICE_X52Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y35 IS_RESERVED 0 SITEPROP SLICE_X52Y35 IS_TEST 0 SITEPROP SLICE_X52Y35 IS_USED 0 SITEPROP SLICE_X52Y35 MANUAL_ROUTING SITEPROP SLICE_X52Y35 NAME SLICE_X52Y35 SITEPROP SLICE_X52Y35 NUM_ARCS 153 SITEPROP SLICE_X52Y35 NUM_BELS 32 SITEPROP SLICE_X52Y35 NUM_INPUTS 37 SITEPROP SLICE_X52Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y35 NUM_PINS 50 SITEPROP SLICE_X52Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y35 PROHIBIT 0 SITEPROP SLICE_X52Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y35 RPM_X 137 SITEPROP SLICE_X52Y35 RPM_Y 70 SITEPROP SLICE_X52Y35 SITE_PIPS SITEPROP SLICE_X52Y35 SITE_TYPE SLICEM SITEPROP SLICE_X52Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y36 CLASS site SITEPROP SLICE_X52Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y36 IS_BONDED 0 SITEPROP SLICE_X52Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y36 IS_PAD 0 SITEPROP SLICE_X52Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y36 IS_RESERVED 0 SITEPROP SLICE_X52Y36 IS_TEST 0 SITEPROP SLICE_X52Y36 IS_USED 0 SITEPROP SLICE_X52Y36 MANUAL_ROUTING SITEPROP SLICE_X52Y36 NAME SLICE_X52Y36 SITEPROP SLICE_X52Y36 NUM_ARCS 153 SITEPROP SLICE_X52Y36 NUM_BELS 32 SITEPROP SLICE_X52Y36 NUM_INPUTS 37 SITEPROP SLICE_X52Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y36 NUM_PINS 50 SITEPROP SLICE_X52Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y36 PROHIBIT 0 SITEPROP SLICE_X52Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y36 RPM_X 137 SITEPROP SLICE_X52Y36 RPM_Y 72 SITEPROP SLICE_X52Y36 SITE_PIPS SITEPROP SLICE_X52Y36 SITE_TYPE SLICEM SITEPROP SLICE_X52Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y37 CLASS site SITEPROP SLICE_X52Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y37 IS_BONDED 0 SITEPROP SLICE_X52Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y37 IS_PAD 0 SITEPROP SLICE_X52Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y37 IS_RESERVED 0 SITEPROP SLICE_X52Y37 IS_TEST 0 SITEPROP SLICE_X52Y37 IS_USED 0 SITEPROP SLICE_X52Y37 MANUAL_ROUTING SITEPROP SLICE_X52Y37 NAME SLICE_X52Y37 SITEPROP SLICE_X52Y37 NUM_ARCS 153 SITEPROP SLICE_X52Y37 NUM_BELS 32 SITEPROP SLICE_X52Y37 NUM_INPUTS 37 SITEPROP SLICE_X52Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y37 NUM_PINS 50 SITEPROP SLICE_X52Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y37 PROHIBIT 0 SITEPROP SLICE_X52Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y37 RPM_X 137 SITEPROP SLICE_X52Y37 RPM_Y 74 SITEPROP SLICE_X52Y37 SITE_PIPS SITEPROP SLICE_X52Y37 SITE_TYPE SLICEM SITEPROP SLICE_X52Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y38 CLASS site SITEPROP SLICE_X52Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y38 IS_BONDED 0 SITEPROP SLICE_X52Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y38 IS_PAD 0 SITEPROP SLICE_X52Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y38 IS_RESERVED 0 SITEPROP SLICE_X52Y38 IS_TEST 0 SITEPROP SLICE_X52Y38 IS_USED 0 SITEPROP SLICE_X52Y38 MANUAL_ROUTING SITEPROP SLICE_X52Y38 NAME SLICE_X52Y38 SITEPROP SLICE_X52Y38 NUM_ARCS 153 SITEPROP SLICE_X52Y38 NUM_BELS 32 SITEPROP SLICE_X52Y38 NUM_INPUTS 37 SITEPROP SLICE_X52Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y38 NUM_PINS 50 SITEPROP SLICE_X52Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y38 PROHIBIT 0 SITEPROP SLICE_X52Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y38 RPM_X 137 SITEPROP SLICE_X52Y38 RPM_Y 76 SITEPROP SLICE_X52Y38 SITE_PIPS SITEPROP SLICE_X52Y38 SITE_TYPE SLICEM SITEPROP SLICE_X52Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y39 CLASS site SITEPROP SLICE_X52Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y39 IS_BONDED 0 SITEPROP SLICE_X52Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y39 IS_PAD 0 SITEPROP SLICE_X52Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y39 IS_RESERVED 0 SITEPROP SLICE_X52Y39 IS_TEST 0 SITEPROP SLICE_X52Y39 IS_USED 0 SITEPROP SLICE_X52Y39 MANUAL_ROUTING SITEPROP SLICE_X52Y39 NAME SLICE_X52Y39 SITEPROP SLICE_X52Y39 NUM_ARCS 153 SITEPROP SLICE_X52Y39 NUM_BELS 32 SITEPROP SLICE_X52Y39 NUM_INPUTS 37 SITEPROP SLICE_X52Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y39 NUM_PINS 50 SITEPROP SLICE_X52Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y39 PROHIBIT 0 SITEPROP SLICE_X52Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y39 RPM_X 137 SITEPROP SLICE_X52Y39 RPM_Y 78 SITEPROP SLICE_X52Y39 SITE_PIPS SITEPROP SLICE_X52Y39 SITE_TYPE SLICEM SITEPROP SLICE_X52Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y40 CLASS site SITEPROP SLICE_X52Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y40 IS_BONDED 0 SITEPROP SLICE_X52Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y40 IS_PAD 0 SITEPROP SLICE_X52Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y40 IS_RESERVED 0 SITEPROP SLICE_X52Y40 IS_TEST 0 SITEPROP SLICE_X52Y40 IS_USED 0 SITEPROP SLICE_X52Y40 MANUAL_ROUTING SITEPROP SLICE_X52Y40 NAME SLICE_X52Y40 SITEPROP SLICE_X52Y40 NUM_ARCS 153 SITEPROP SLICE_X52Y40 NUM_BELS 32 SITEPROP SLICE_X52Y40 NUM_INPUTS 37 SITEPROP SLICE_X52Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y40 NUM_PINS 50 SITEPROP SLICE_X52Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y40 PROHIBIT 0 SITEPROP SLICE_X52Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y40 RPM_X 137 SITEPROP SLICE_X52Y40 RPM_Y 80 SITEPROP SLICE_X52Y40 SITE_PIPS SITEPROP SLICE_X52Y40 SITE_TYPE SLICEM SITEPROP SLICE_X52Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y41 CLASS site SITEPROP SLICE_X52Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y41 IS_BONDED 0 SITEPROP SLICE_X52Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y41 IS_PAD 0 SITEPROP SLICE_X52Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y41 IS_RESERVED 0 SITEPROP SLICE_X52Y41 IS_TEST 0 SITEPROP SLICE_X52Y41 IS_USED 0 SITEPROP SLICE_X52Y41 MANUAL_ROUTING SITEPROP SLICE_X52Y41 NAME SLICE_X52Y41 SITEPROP SLICE_X52Y41 NUM_ARCS 153 SITEPROP SLICE_X52Y41 NUM_BELS 32 SITEPROP SLICE_X52Y41 NUM_INPUTS 37 SITEPROP SLICE_X52Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y41 NUM_PINS 50 SITEPROP SLICE_X52Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y41 PROHIBIT 0 SITEPROP SLICE_X52Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y41 RPM_X 137 SITEPROP SLICE_X52Y41 RPM_Y 82 SITEPROP SLICE_X52Y41 SITE_PIPS SITEPROP SLICE_X52Y41 SITE_TYPE SLICEM SITEPROP SLICE_X52Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y42 CLASS site SITEPROP SLICE_X52Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y42 IS_BONDED 0 SITEPROP SLICE_X52Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y42 IS_PAD 0 SITEPROP SLICE_X52Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y42 IS_RESERVED 0 SITEPROP SLICE_X52Y42 IS_TEST 0 SITEPROP SLICE_X52Y42 IS_USED 0 SITEPROP SLICE_X52Y42 MANUAL_ROUTING SITEPROP SLICE_X52Y42 NAME SLICE_X52Y42 SITEPROP SLICE_X52Y42 NUM_ARCS 153 SITEPROP SLICE_X52Y42 NUM_BELS 32 SITEPROP SLICE_X52Y42 NUM_INPUTS 37 SITEPROP SLICE_X52Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y42 NUM_PINS 50 SITEPROP SLICE_X52Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y42 PROHIBIT 0 SITEPROP SLICE_X52Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y42 RPM_X 137 SITEPROP SLICE_X52Y42 RPM_Y 84 SITEPROP SLICE_X52Y42 SITE_PIPS SITEPROP SLICE_X52Y42 SITE_TYPE SLICEM SITEPROP SLICE_X52Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y43 CLASS site SITEPROP SLICE_X52Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y43 IS_BONDED 0 SITEPROP SLICE_X52Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y43 IS_PAD 0 SITEPROP SLICE_X52Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y43 IS_RESERVED 0 SITEPROP SLICE_X52Y43 IS_TEST 0 SITEPROP SLICE_X52Y43 IS_USED 0 SITEPROP SLICE_X52Y43 MANUAL_ROUTING SITEPROP SLICE_X52Y43 NAME SLICE_X52Y43 SITEPROP SLICE_X52Y43 NUM_ARCS 153 SITEPROP SLICE_X52Y43 NUM_BELS 32 SITEPROP SLICE_X52Y43 NUM_INPUTS 37 SITEPROP SLICE_X52Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y43 NUM_PINS 50 SITEPROP SLICE_X52Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y43 PROHIBIT 0 SITEPROP SLICE_X52Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y43 RPM_X 137 SITEPROP SLICE_X52Y43 RPM_Y 86 SITEPROP SLICE_X52Y43 SITE_PIPS SITEPROP SLICE_X52Y43 SITE_TYPE SLICEM SITEPROP SLICE_X52Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y44 CLASS site SITEPROP SLICE_X52Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y44 IS_BONDED 0 SITEPROP SLICE_X52Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y44 IS_PAD 0 SITEPROP SLICE_X52Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y44 IS_RESERVED 0 SITEPROP SLICE_X52Y44 IS_TEST 0 SITEPROP SLICE_X52Y44 IS_USED 0 SITEPROP SLICE_X52Y44 MANUAL_ROUTING SITEPROP SLICE_X52Y44 NAME SLICE_X52Y44 SITEPROP SLICE_X52Y44 NUM_ARCS 153 SITEPROP SLICE_X52Y44 NUM_BELS 32 SITEPROP SLICE_X52Y44 NUM_INPUTS 37 SITEPROP SLICE_X52Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y44 NUM_PINS 50 SITEPROP SLICE_X52Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y44 PROHIBIT 0 SITEPROP SLICE_X52Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y44 RPM_X 137 SITEPROP SLICE_X52Y44 RPM_Y 88 SITEPROP SLICE_X52Y44 SITE_PIPS SITEPROP SLICE_X52Y44 SITE_TYPE SLICEM SITEPROP SLICE_X52Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y45 CLASS site SITEPROP SLICE_X52Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y45 IS_BONDED 0 SITEPROP SLICE_X52Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y45 IS_PAD 0 SITEPROP SLICE_X52Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y45 IS_RESERVED 0 SITEPROP SLICE_X52Y45 IS_TEST 0 SITEPROP SLICE_X52Y45 IS_USED 0 SITEPROP SLICE_X52Y45 MANUAL_ROUTING SITEPROP SLICE_X52Y45 NAME SLICE_X52Y45 SITEPROP SLICE_X52Y45 NUM_ARCS 153 SITEPROP SLICE_X52Y45 NUM_BELS 32 SITEPROP SLICE_X52Y45 NUM_INPUTS 37 SITEPROP SLICE_X52Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y45 NUM_PINS 50 SITEPROP SLICE_X52Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y45 PROHIBIT 0 SITEPROP SLICE_X52Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y45 RPM_X 137 SITEPROP SLICE_X52Y45 RPM_Y 90 SITEPROP SLICE_X52Y45 SITE_PIPS SITEPROP SLICE_X52Y45 SITE_TYPE SLICEM SITEPROP SLICE_X52Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y46 CLASS site SITEPROP SLICE_X52Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y46 IS_BONDED 0 SITEPROP SLICE_X52Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y46 IS_PAD 0 SITEPROP SLICE_X52Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y46 IS_RESERVED 0 SITEPROP SLICE_X52Y46 IS_TEST 0 SITEPROP SLICE_X52Y46 IS_USED 0 SITEPROP SLICE_X52Y46 MANUAL_ROUTING SITEPROP SLICE_X52Y46 NAME SLICE_X52Y46 SITEPROP SLICE_X52Y46 NUM_ARCS 153 SITEPROP SLICE_X52Y46 NUM_BELS 32 SITEPROP SLICE_X52Y46 NUM_INPUTS 37 SITEPROP SLICE_X52Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y46 NUM_PINS 50 SITEPROP SLICE_X52Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y46 PROHIBIT 0 SITEPROP SLICE_X52Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y46 RPM_X 137 SITEPROP SLICE_X52Y46 RPM_Y 92 SITEPROP SLICE_X52Y46 SITE_PIPS SITEPROP SLICE_X52Y46 SITE_TYPE SLICEM SITEPROP SLICE_X52Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y47 CLASS site SITEPROP SLICE_X52Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y47 IS_BONDED 0 SITEPROP SLICE_X52Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y47 IS_PAD 0 SITEPROP SLICE_X52Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y47 IS_RESERVED 0 SITEPROP SLICE_X52Y47 IS_TEST 0 SITEPROP SLICE_X52Y47 IS_USED 0 SITEPROP SLICE_X52Y47 MANUAL_ROUTING SITEPROP SLICE_X52Y47 NAME SLICE_X52Y47 SITEPROP SLICE_X52Y47 NUM_ARCS 153 SITEPROP SLICE_X52Y47 NUM_BELS 32 SITEPROP SLICE_X52Y47 NUM_INPUTS 37 SITEPROP SLICE_X52Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y47 NUM_PINS 50 SITEPROP SLICE_X52Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y47 PROHIBIT 0 SITEPROP SLICE_X52Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y47 RPM_X 137 SITEPROP SLICE_X52Y47 RPM_Y 94 SITEPROP SLICE_X52Y47 SITE_PIPS SITEPROP SLICE_X52Y47 SITE_TYPE SLICEM SITEPROP SLICE_X52Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y48 CLASS site SITEPROP SLICE_X52Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y48 IS_BONDED 0 SITEPROP SLICE_X52Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y48 IS_PAD 0 SITEPROP SLICE_X52Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y48 IS_RESERVED 0 SITEPROP SLICE_X52Y48 IS_TEST 0 SITEPROP SLICE_X52Y48 IS_USED 0 SITEPROP SLICE_X52Y48 MANUAL_ROUTING SITEPROP SLICE_X52Y48 NAME SLICE_X52Y48 SITEPROP SLICE_X52Y48 NUM_ARCS 153 SITEPROP SLICE_X52Y48 NUM_BELS 32 SITEPROP SLICE_X52Y48 NUM_INPUTS 37 SITEPROP SLICE_X52Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y48 NUM_PINS 50 SITEPROP SLICE_X52Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y48 PROHIBIT 0 SITEPROP SLICE_X52Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y48 RPM_X 137 SITEPROP SLICE_X52Y48 RPM_Y 96 SITEPROP SLICE_X52Y48 SITE_PIPS SITEPROP SLICE_X52Y48 SITE_TYPE SLICEM SITEPROP SLICE_X52Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y49 CLASS site SITEPROP SLICE_X52Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X52Y49 IS_BONDED 0 SITEPROP SLICE_X52Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y49 IS_PAD 0 SITEPROP SLICE_X52Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y49 IS_RESERVED 0 SITEPROP SLICE_X52Y49 IS_TEST 0 SITEPROP SLICE_X52Y49 IS_USED 0 SITEPROP SLICE_X52Y49 MANUAL_ROUTING SITEPROP SLICE_X52Y49 NAME SLICE_X52Y49 SITEPROP SLICE_X52Y49 NUM_ARCS 153 SITEPROP SLICE_X52Y49 NUM_BELS 32 SITEPROP SLICE_X52Y49 NUM_INPUTS 37 SITEPROP SLICE_X52Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y49 NUM_PINS 50 SITEPROP SLICE_X52Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y49 PROHIBIT 0 SITEPROP SLICE_X52Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y49 RPM_X 137 SITEPROP SLICE_X52Y49 RPM_Y 98 SITEPROP SLICE_X52Y49 SITE_PIPS SITEPROP SLICE_X52Y49 SITE_TYPE SLICEM SITEPROP SLICE_X52Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y50 CLASS site SITEPROP SLICE_X52Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y50 IS_BONDED 0 SITEPROP SLICE_X52Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y50 IS_PAD 0 SITEPROP SLICE_X52Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y50 IS_RESERVED 0 SITEPROP SLICE_X52Y50 IS_TEST 0 SITEPROP SLICE_X52Y50 IS_USED 0 SITEPROP SLICE_X52Y50 MANUAL_ROUTING SITEPROP SLICE_X52Y50 NAME SLICE_X52Y50 SITEPROP SLICE_X52Y50 NUM_ARCS 153 SITEPROP SLICE_X52Y50 NUM_BELS 32 SITEPROP SLICE_X52Y50 NUM_INPUTS 37 SITEPROP SLICE_X52Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y50 NUM_PINS 50 SITEPROP SLICE_X52Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y50 PROHIBIT 0 SITEPROP SLICE_X52Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y50 RPM_X 137 SITEPROP SLICE_X52Y50 RPM_Y 100 SITEPROP SLICE_X52Y50 SITE_PIPS SITEPROP SLICE_X52Y50 SITE_TYPE SLICEM SITEPROP SLICE_X52Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y51 CLASS site SITEPROP SLICE_X52Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y51 IS_BONDED 0 SITEPROP SLICE_X52Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y51 IS_PAD 0 SITEPROP SLICE_X52Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y51 IS_RESERVED 0 SITEPROP SLICE_X52Y51 IS_TEST 0 SITEPROP SLICE_X52Y51 IS_USED 0 SITEPROP SLICE_X52Y51 MANUAL_ROUTING SITEPROP SLICE_X52Y51 NAME SLICE_X52Y51 SITEPROP SLICE_X52Y51 NUM_ARCS 153 SITEPROP SLICE_X52Y51 NUM_BELS 32 SITEPROP SLICE_X52Y51 NUM_INPUTS 37 SITEPROP SLICE_X52Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y51 NUM_PINS 50 SITEPROP SLICE_X52Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y51 PROHIBIT 0 SITEPROP SLICE_X52Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y51 RPM_X 137 SITEPROP SLICE_X52Y51 RPM_Y 102 SITEPROP SLICE_X52Y51 SITE_PIPS SITEPROP SLICE_X52Y51 SITE_TYPE SLICEM SITEPROP SLICE_X52Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y52 CLASS site SITEPROP SLICE_X52Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y52 IS_BONDED 0 SITEPROP SLICE_X52Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y52 IS_PAD 0 SITEPROP SLICE_X52Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y52 IS_RESERVED 0 SITEPROP SLICE_X52Y52 IS_TEST 0 SITEPROP SLICE_X52Y52 IS_USED 0 SITEPROP SLICE_X52Y52 MANUAL_ROUTING SITEPROP SLICE_X52Y52 NAME SLICE_X52Y52 SITEPROP SLICE_X52Y52 NUM_ARCS 153 SITEPROP SLICE_X52Y52 NUM_BELS 32 SITEPROP SLICE_X52Y52 NUM_INPUTS 37 SITEPROP SLICE_X52Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y52 NUM_PINS 50 SITEPROP SLICE_X52Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y52 PROHIBIT 0 SITEPROP SLICE_X52Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y52 RPM_X 137 SITEPROP SLICE_X52Y52 RPM_Y 104 SITEPROP SLICE_X52Y52 SITE_PIPS SITEPROP SLICE_X52Y52 SITE_TYPE SLICEM SITEPROP SLICE_X52Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y53 CLASS site SITEPROP SLICE_X52Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y53 IS_BONDED 0 SITEPROP SLICE_X52Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y53 IS_PAD 0 SITEPROP SLICE_X52Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y53 IS_RESERVED 0 SITEPROP SLICE_X52Y53 IS_TEST 0 SITEPROP SLICE_X52Y53 IS_USED 0 SITEPROP SLICE_X52Y53 MANUAL_ROUTING SITEPROP SLICE_X52Y53 NAME SLICE_X52Y53 SITEPROP SLICE_X52Y53 NUM_ARCS 153 SITEPROP SLICE_X52Y53 NUM_BELS 32 SITEPROP SLICE_X52Y53 NUM_INPUTS 37 SITEPROP SLICE_X52Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y53 NUM_PINS 50 SITEPROP SLICE_X52Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y53 PROHIBIT 0 SITEPROP SLICE_X52Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y53 RPM_X 137 SITEPROP SLICE_X52Y53 RPM_Y 106 SITEPROP SLICE_X52Y53 SITE_PIPS SITEPROP SLICE_X52Y53 SITE_TYPE SLICEM SITEPROP SLICE_X52Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y54 CLASS site SITEPROP SLICE_X52Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y54 IS_BONDED 0 SITEPROP SLICE_X52Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y54 IS_PAD 0 SITEPROP SLICE_X52Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y54 IS_RESERVED 0 SITEPROP SLICE_X52Y54 IS_TEST 0 SITEPROP SLICE_X52Y54 IS_USED 0 SITEPROP SLICE_X52Y54 MANUAL_ROUTING SITEPROP SLICE_X52Y54 NAME SLICE_X52Y54 SITEPROP SLICE_X52Y54 NUM_ARCS 153 SITEPROP SLICE_X52Y54 NUM_BELS 32 SITEPROP SLICE_X52Y54 NUM_INPUTS 37 SITEPROP SLICE_X52Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y54 NUM_PINS 50 SITEPROP SLICE_X52Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y54 PROHIBIT 0 SITEPROP SLICE_X52Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y54 RPM_X 137 SITEPROP SLICE_X52Y54 RPM_Y 108 SITEPROP SLICE_X52Y54 SITE_PIPS SITEPROP SLICE_X52Y54 SITE_TYPE SLICEM SITEPROP SLICE_X52Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y55 CLASS site SITEPROP SLICE_X52Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y55 IS_BONDED 0 SITEPROP SLICE_X52Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y55 IS_PAD 0 SITEPROP SLICE_X52Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y55 IS_RESERVED 0 SITEPROP SLICE_X52Y55 IS_TEST 0 SITEPROP SLICE_X52Y55 IS_USED 0 SITEPROP SLICE_X52Y55 MANUAL_ROUTING SITEPROP SLICE_X52Y55 NAME SLICE_X52Y55 SITEPROP SLICE_X52Y55 NUM_ARCS 153 SITEPROP SLICE_X52Y55 NUM_BELS 32 SITEPROP SLICE_X52Y55 NUM_INPUTS 37 SITEPROP SLICE_X52Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y55 NUM_PINS 50 SITEPROP SLICE_X52Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y55 PROHIBIT 0 SITEPROP SLICE_X52Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y55 RPM_X 137 SITEPROP SLICE_X52Y55 RPM_Y 110 SITEPROP SLICE_X52Y55 SITE_PIPS SITEPROP SLICE_X52Y55 SITE_TYPE SLICEM SITEPROP SLICE_X52Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y56 CLASS site SITEPROP SLICE_X52Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y56 IS_BONDED 0 SITEPROP SLICE_X52Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y56 IS_PAD 0 SITEPROP SLICE_X52Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y56 IS_RESERVED 0 SITEPROP SLICE_X52Y56 IS_TEST 0 SITEPROP SLICE_X52Y56 IS_USED 0 SITEPROP SLICE_X52Y56 MANUAL_ROUTING SITEPROP SLICE_X52Y56 NAME SLICE_X52Y56 SITEPROP SLICE_X52Y56 NUM_ARCS 153 SITEPROP SLICE_X52Y56 NUM_BELS 32 SITEPROP SLICE_X52Y56 NUM_INPUTS 37 SITEPROP SLICE_X52Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y56 NUM_PINS 50 SITEPROP SLICE_X52Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y56 PROHIBIT 0 SITEPROP SLICE_X52Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y56 RPM_X 137 SITEPROP SLICE_X52Y56 RPM_Y 112 SITEPROP SLICE_X52Y56 SITE_PIPS SITEPROP SLICE_X52Y56 SITE_TYPE SLICEM SITEPROP SLICE_X52Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y57 CLASS site SITEPROP SLICE_X52Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y57 IS_BONDED 0 SITEPROP SLICE_X52Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y57 IS_PAD 0 SITEPROP SLICE_X52Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y57 IS_RESERVED 0 SITEPROP SLICE_X52Y57 IS_TEST 0 SITEPROP SLICE_X52Y57 IS_USED 0 SITEPROP SLICE_X52Y57 MANUAL_ROUTING SITEPROP SLICE_X52Y57 NAME SLICE_X52Y57 SITEPROP SLICE_X52Y57 NUM_ARCS 153 SITEPROP SLICE_X52Y57 NUM_BELS 32 SITEPROP SLICE_X52Y57 NUM_INPUTS 37 SITEPROP SLICE_X52Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y57 NUM_PINS 50 SITEPROP SLICE_X52Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y57 PROHIBIT 0 SITEPROP SLICE_X52Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y57 RPM_X 137 SITEPROP SLICE_X52Y57 RPM_Y 114 SITEPROP SLICE_X52Y57 SITE_PIPS SITEPROP SLICE_X52Y57 SITE_TYPE SLICEM SITEPROP SLICE_X52Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y58 CLASS site SITEPROP SLICE_X52Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y58 IS_BONDED 0 SITEPROP SLICE_X52Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y58 IS_PAD 0 SITEPROP SLICE_X52Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y58 IS_RESERVED 0 SITEPROP SLICE_X52Y58 IS_TEST 0 SITEPROP SLICE_X52Y58 IS_USED 0 SITEPROP SLICE_X52Y58 MANUAL_ROUTING SITEPROP SLICE_X52Y58 NAME SLICE_X52Y58 SITEPROP SLICE_X52Y58 NUM_ARCS 153 SITEPROP SLICE_X52Y58 NUM_BELS 32 SITEPROP SLICE_X52Y58 NUM_INPUTS 37 SITEPROP SLICE_X52Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y58 NUM_PINS 50 SITEPROP SLICE_X52Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y58 PROHIBIT 0 SITEPROP SLICE_X52Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y58 RPM_X 137 SITEPROP SLICE_X52Y58 RPM_Y 116 SITEPROP SLICE_X52Y58 SITE_PIPS SITEPROP SLICE_X52Y58 SITE_TYPE SLICEM SITEPROP SLICE_X52Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y59 CLASS site SITEPROP SLICE_X52Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y59 IS_BONDED 0 SITEPROP SLICE_X52Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y59 IS_PAD 0 SITEPROP SLICE_X52Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y59 IS_RESERVED 0 SITEPROP SLICE_X52Y59 IS_TEST 0 SITEPROP SLICE_X52Y59 IS_USED 0 SITEPROP SLICE_X52Y59 MANUAL_ROUTING SITEPROP SLICE_X52Y59 NAME SLICE_X52Y59 SITEPROP SLICE_X52Y59 NUM_ARCS 153 SITEPROP SLICE_X52Y59 NUM_BELS 32 SITEPROP SLICE_X52Y59 NUM_INPUTS 37 SITEPROP SLICE_X52Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y59 NUM_PINS 50 SITEPROP SLICE_X52Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y59 PROHIBIT 0 SITEPROP SLICE_X52Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y59 RPM_X 137 SITEPROP SLICE_X52Y59 RPM_Y 118 SITEPROP SLICE_X52Y59 SITE_PIPS SITEPROP SLICE_X52Y59 SITE_TYPE SLICEM SITEPROP SLICE_X52Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y60 CLASS site SITEPROP SLICE_X52Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y60 IS_BONDED 0 SITEPROP SLICE_X52Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y60 IS_PAD 0 SITEPROP SLICE_X52Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y60 IS_RESERVED 0 SITEPROP SLICE_X52Y60 IS_TEST 0 SITEPROP SLICE_X52Y60 IS_USED 0 SITEPROP SLICE_X52Y60 MANUAL_ROUTING SITEPROP SLICE_X52Y60 NAME SLICE_X52Y60 SITEPROP SLICE_X52Y60 NUM_ARCS 153 SITEPROP SLICE_X52Y60 NUM_BELS 32 SITEPROP SLICE_X52Y60 NUM_INPUTS 37 SITEPROP SLICE_X52Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y60 NUM_PINS 50 SITEPROP SLICE_X52Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y60 PROHIBIT 0 SITEPROP SLICE_X52Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y60 RPM_X 137 SITEPROP SLICE_X52Y60 RPM_Y 120 SITEPROP SLICE_X52Y60 SITE_PIPS SITEPROP SLICE_X52Y60 SITE_TYPE SLICEM SITEPROP SLICE_X52Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y61 CLASS site SITEPROP SLICE_X52Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y61 IS_BONDED 0 SITEPROP SLICE_X52Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y61 IS_PAD 0 SITEPROP SLICE_X52Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y61 IS_RESERVED 0 SITEPROP SLICE_X52Y61 IS_TEST 0 SITEPROP SLICE_X52Y61 IS_USED 0 SITEPROP SLICE_X52Y61 MANUAL_ROUTING SITEPROP SLICE_X52Y61 NAME SLICE_X52Y61 SITEPROP SLICE_X52Y61 NUM_ARCS 153 SITEPROP SLICE_X52Y61 NUM_BELS 32 SITEPROP SLICE_X52Y61 NUM_INPUTS 37 SITEPROP SLICE_X52Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y61 NUM_PINS 50 SITEPROP SLICE_X52Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y61 PROHIBIT 0 SITEPROP SLICE_X52Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y61 RPM_X 137 SITEPROP SLICE_X52Y61 RPM_Y 122 SITEPROP SLICE_X52Y61 SITE_PIPS SITEPROP SLICE_X52Y61 SITE_TYPE SLICEM SITEPROP SLICE_X52Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y62 CLASS site SITEPROP SLICE_X52Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y62 IS_BONDED 0 SITEPROP SLICE_X52Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y62 IS_PAD 0 SITEPROP SLICE_X52Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y62 IS_RESERVED 0 SITEPROP SLICE_X52Y62 IS_TEST 0 SITEPROP SLICE_X52Y62 IS_USED 0 SITEPROP SLICE_X52Y62 MANUAL_ROUTING SITEPROP SLICE_X52Y62 NAME SLICE_X52Y62 SITEPROP SLICE_X52Y62 NUM_ARCS 153 SITEPROP SLICE_X52Y62 NUM_BELS 32 SITEPROP SLICE_X52Y62 NUM_INPUTS 37 SITEPROP SLICE_X52Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y62 NUM_PINS 50 SITEPROP SLICE_X52Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y62 PROHIBIT 0 SITEPROP SLICE_X52Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y62 RPM_X 137 SITEPROP SLICE_X52Y62 RPM_Y 124 SITEPROP SLICE_X52Y62 SITE_PIPS SITEPROP SLICE_X52Y62 SITE_TYPE SLICEM SITEPROP SLICE_X52Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y63 CLASS site SITEPROP SLICE_X52Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y63 IS_BONDED 0 SITEPROP SLICE_X52Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y63 IS_PAD 0 SITEPROP SLICE_X52Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y63 IS_RESERVED 0 SITEPROP SLICE_X52Y63 IS_TEST 0 SITEPROP SLICE_X52Y63 IS_USED 0 SITEPROP SLICE_X52Y63 MANUAL_ROUTING SITEPROP SLICE_X52Y63 NAME SLICE_X52Y63 SITEPROP SLICE_X52Y63 NUM_ARCS 153 SITEPROP SLICE_X52Y63 NUM_BELS 32 SITEPROP SLICE_X52Y63 NUM_INPUTS 37 SITEPROP SLICE_X52Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y63 NUM_PINS 50 SITEPROP SLICE_X52Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y63 PROHIBIT 0 SITEPROP SLICE_X52Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y63 RPM_X 137 SITEPROP SLICE_X52Y63 RPM_Y 126 SITEPROP SLICE_X52Y63 SITE_PIPS SITEPROP SLICE_X52Y63 SITE_TYPE SLICEM SITEPROP SLICE_X52Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y64 CLASS site SITEPROP SLICE_X52Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y64 IS_BONDED 0 SITEPROP SLICE_X52Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y64 IS_PAD 0 SITEPROP SLICE_X52Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y64 IS_RESERVED 0 SITEPROP SLICE_X52Y64 IS_TEST 0 SITEPROP SLICE_X52Y64 IS_USED 0 SITEPROP SLICE_X52Y64 MANUAL_ROUTING SITEPROP SLICE_X52Y64 NAME SLICE_X52Y64 SITEPROP SLICE_X52Y64 NUM_ARCS 153 SITEPROP SLICE_X52Y64 NUM_BELS 32 SITEPROP SLICE_X52Y64 NUM_INPUTS 37 SITEPROP SLICE_X52Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y64 NUM_PINS 50 SITEPROP SLICE_X52Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y64 PROHIBIT 0 SITEPROP SLICE_X52Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y64 RPM_X 137 SITEPROP SLICE_X52Y64 RPM_Y 128 SITEPROP SLICE_X52Y64 SITE_PIPS SITEPROP SLICE_X52Y64 SITE_TYPE SLICEM SITEPROP SLICE_X52Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y65 CLASS site SITEPROP SLICE_X52Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y65 IS_BONDED 0 SITEPROP SLICE_X52Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y65 IS_PAD 0 SITEPROP SLICE_X52Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y65 IS_RESERVED 0 SITEPROP SLICE_X52Y65 IS_TEST 0 SITEPROP SLICE_X52Y65 IS_USED 0 SITEPROP SLICE_X52Y65 MANUAL_ROUTING SITEPROP SLICE_X52Y65 NAME SLICE_X52Y65 SITEPROP SLICE_X52Y65 NUM_ARCS 153 SITEPROP SLICE_X52Y65 NUM_BELS 32 SITEPROP SLICE_X52Y65 NUM_INPUTS 37 SITEPROP SLICE_X52Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y65 NUM_PINS 50 SITEPROP SLICE_X52Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y65 PROHIBIT 0 SITEPROP SLICE_X52Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y65 RPM_X 137 SITEPROP SLICE_X52Y65 RPM_Y 130 SITEPROP SLICE_X52Y65 SITE_PIPS SITEPROP SLICE_X52Y65 SITE_TYPE SLICEM SITEPROP SLICE_X52Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y66 CLASS site SITEPROP SLICE_X52Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y66 IS_BONDED 0 SITEPROP SLICE_X52Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y66 IS_PAD 0 SITEPROP SLICE_X52Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y66 IS_RESERVED 0 SITEPROP SLICE_X52Y66 IS_TEST 0 SITEPROP SLICE_X52Y66 IS_USED 0 SITEPROP SLICE_X52Y66 MANUAL_ROUTING SITEPROP SLICE_X52Y66 NAME SLICE_X52Y66 SITEPROP SLICE_X52Y66 NUM_ARCS 153 SITEPROP SLICE_X52Y66 NUM_BELS 32 SITEPROP SLICE_X52Y66 NUM_INPUTS 37 SITEPROP SLICE_X52Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y66 NUM_PINS 50 SITEPROP SLICE_X52Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y66 PROHIBIT 0 SITEPROP SLICE_X52Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y66 RPM_X 137 SITEPROP SLICE_X52Y66 RPM_Y 132 SITEPROP SLICE_X52Y66 SITE_PIPS SITEPROP SLICE_X52Y66 SITE_TYPE SLICEM SITEPROP SLICE_X52Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y67 CLASS site SITEPROP SLICE_X52Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y67 IS_BONDED 0 SITEPROP SLICE_X52Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y67 IS_PAD 0 SITEPROP SLICE_X52Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y67 IS_RESERVED 0 SITEPROP SLICE_X52Y67 IS_TEST 0 SITEPROP SLICE_X52Y67 IS_USED 0 SITEPROP SLICE_X52Y67 MANUAL_ROUTING SITEPROP SLICE_X52Y67 NAME SLICE_X52Y67 SITEPROP SLICE_X52Y67 NUM_ARCS 153 SITEPROP SLICE_X52Y67 NUM_BELS 32 SITEPROP SLICE_X52Y67 NUM_INPUTS 37 SITEPROP SLICE_X52Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y67 NUM_PINS 50 SITEPROP SLICE_X52Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y67 PROHIBIT 0 SITEPROP SLICE_X52Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y67 RPM_X 137 SITEPROP SLICE_X52Y67 RPM_Y 134 SITEPROP SLICE_X52Y67 SITE_PIPS SITEPROP SLICE_X52Y67 SITE_TYPE SLICEM SITEPROP SLICE_X52Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y68 CLASS site SITEPROP SLICE_X52Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y68 IS_BONDED 0 SITEPROP SLICE_X52Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y68 IS_PAD 0 SITEPROP SLICE_X52Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y68 IS_RESERVED 0 SITEPROP SLICE_X52Y68 IS_TEST 0 SITEPROP SLICE_X52Y68 IS_USED 0 SITEPROP SLICE_X52Y68 MANUAL_ROUTING SITEPROP SLICE_X52Y68 NAME SLICE_X52Y68 SITEPROP SLICE_X52Y68 NUM_ARCS 153 SITEPROP SLICE_X52Y68 NUM_BELS 32 SITEPROP SLICE_X52Y68 NUM_INPUTS 37 SITEPROP SLICE_X52Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y68 NUM_PINS 50 SITEPROP SLICE_X52Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y68 PROHIBIT 0 SITEPROP SLICE_X52Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y68 RPM_X 137 SITEPROP SLICE_X52Y68 RPM_Y 136 SITEPROP SLICE_X52Y68 SITE_PIPS SITEPROP SLICE_X52Y68 SITE_TYPE SLICEM SITEPROP SLICE_X52Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y69 CLASS site SITEPROP SLICE_X52Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y69 IS_BONDED 0 SITEPROP SLICE_X52Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y69 IS_PAD 0 SITEPROP SLICE_X52Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y69 IS_RESERVED 0 SITEPROP SLICE_X52Y69 IS_TEST 0 SITEPROP SLICE_X52Y69 IS_USED 0 SITEPROP SLICE_X52Y69 MANUAL_ROUTING SITEPROP SLICE_X52Y69 NAME SLICE_X52Y69 SITEPROP SLICE_X52Y69 NUM_ARCS 153 SITEPROP SLICE_X52Y69 NUM_BELS 32 SITEPROP SLICE_X52Y69 NUM_INPUTS 37 SITEPROP SLICE_X52Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y69 NUM_PINS 50 SITEPROP SLICE_X52Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y69 PROHIBIT 0 SITEPROP SLICE_X52Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y69 RPM_X 137 SITEPROP SLICE_X52Y69 RPM_Y 138 SITEPROP SLICE_X52Y69 SITE_PIPS SITEPROP SLICE_X52Y69 SITE_TYPE SLICEM SITEPROP SLICE_X52Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y70 CLASS site SITEPROP SLICE_X52Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y70 IS_BONDED 0 SITEPROP SLICE_X52Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y70 IS_PAD 0 SITEPROP SLICE_X52Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y70 IS_RESERVED 0 SITEPROP SLICE_X52Y70 IS_TEST 0 SITEPROP SLICE_X52Y70 IS_USED 0 SITEPROP SLICE_X52Y70 MANUAL_ROUTING SITEPROP SLICE_X52Y70 NAME SLICE_X52Y70 SITEPROP SLICE_X52Y70 NUM_ARCS 153 SITEPROP SLICE_X52Y70 NUM_BELS 32 SITEPROP SLICE_X52Y70 NUM_INPUTS 37 SITEPROP SLICE_X52Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y70 NUM_PINS 50 SITEPROP SLICE_X52Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y70 PROHIBIT 0 SITEPROP SLICE_X52Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y70 RPM_X 137 SITEPROP SLICE_X52Y70 RPM_Y 140 SITEPROP SLICE_X52Y70 SITE_PIPS SITEPROP SLICE_X52Y70 SITE_TYPE SLICEM SITEPROP SLICE_X52Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y71 CLASS site SITEPROP SLICE_X52Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y71 IS_BONDED 0 SITEPROP SLICE_X52Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y71 IS_PAD 0 SITEPROP SLICE_X52Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y71 IS_RESERVED 0 SITEPROP SLICE_X52Y71 IS_TEST 0 SITEPROP SLICE_X52Y71 IS_USED 0 SITEPROP SLICE_X52Y71 MANUAL_ROUTING SITEPROP SLICE_X52Y71 NAME SLICE_X52Y71 SITEPROP SLICE_X52Y71 NUM_ARCS 153 SITEPROP SLICE_X52Y71 NUM_BELS 32 SITEPROP SLICE_X52Y71 NUM_INPUTS 37 SITEPROP SLICE_X52Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y71 NUM_PINS 50 SITEPROP SLICE_X52Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y71 PROHIBIT 0 SITEPROP SLICE_X52Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y71 RPM_X 137 SITEPROP SLICE_X52Y71 RPM_Y 142 SITEPROP SLICE_X52Y71 SITE_PIPS SITEPROP SLICE_X52Y71 SITE_TYPE SLICEM SITEPROP SLICE_X52Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y72 CLASS site SITEPROP SLICE_X52Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y72 IS_BONDED 0 SITEPROP SLICE_X52Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y72 IS_PAD 0 SITEPROP SLICE_X52Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y72 IS_RESERVED 0 SITEPROP SLICE_X52Y72 IS_TEST 0 SITEPROP SLICE_X52Y72 IS_USED 0 SITEPROP SLICE_X52Y72 MANUAL_ROUTING SITEPROP SLICE_X52Y72 NAME SLICE_X52Y72 SITEPROP SLICE_X52Y72 NUM_ARCS 153 SITEPROP SLICE_X52Y72 NUM_BELS 32 SITEPROP SLICE_X52Y72 NUM_INPUTS 37 SITEPROP SLICE_X52Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y72 NUM_PINS 50 SITEPROP SLICE_X52Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y72 PROHIBIT 0 SITEPROP SLICE_X52Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y72 RPM_X 137 SITEPROP SLICE_X52Y72 RPM_Y 144 SITEPROP SLICE_X52Y72 SITE_PIPS SITEPROP SLICE_X52Y72 SITE_TYPE SLICEM SITEPROP SLICE_X52Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y73 CLASS site SITEPROP SLICE_X52Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y73 IS_BONDED 0 SITEPROP SLICE_X52Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y73 IS_PAD 0 SITEPROP SLICE_X52Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y73 IS_RESERVED 0 SITEPROP SLICE_X52Y73 IS_TEST 0 SITEPROP SLICE_X52Y73 IS_USED 0 SITEPROP SLICE_X52Y73 MANUAL_ROUTING SITEPROP SLICE_X52Y73 NAME SLICE_X52Y73 SITEPROP SLICE_X52Y73 NUM_ARCS 153 SITEPROP SLICE_X52Y73 NUM_BELS 32 SITEPROP SLICE_X52Y73 NUM_INPUTS 37 SITEPROP SLICE_X52Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y73 NUM_PINS 50 SITEPROP SLICE_X52Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y73 PROHIBIT 0 SITEPROP SLICE_X52Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y73 RPM_X 137 SITEPROP SLICE_X52Y73 RPM_Y 146 SITEPROP SLICE_X52Y73 SITE_PIPS SITEPROP SLICE_X52Y73 SITE_TYPE SLICEM SITEPROP SLICE_X52Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y74 CLASS site SITEPROP SLICE_X52Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y74 IS_BONDED 0 SITEPROP SLICE_X52Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y74 IS_PAD 0 SITEPROP SLICE_X52Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y74 IS_RESERVED 0 SITEPROP SLICE_X52Y74 IS_TEST 0 SITEPROP SLICE_X52Y74 IS_USED 0 SITEPROP SLICE_X52Y74 MANUAL_ROUTING SITEPROP SLICE_X52Y74 NAME SLICE_X52Y74 SITEPROP SLICE_X52Y74 NUM_ARCS 153 SITEPROP SLICE_X52Y74 NUM_BELS 32 SITEPROP SLICE_X52Y74 NUM_INPUTS 37 SITEPROP SLICE_X52Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y74 NUM_PINS 50 SITEPROP SLICE_X52Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y74 PROHIBIT 0 SITEPROP SLICE_X52Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y74 RPM_X 137 SITEPROP SLICE_X52Y74 RPM_Y 148 SITEPROP SLICE_X52Y74 SITE_PIPS SITEPROP SLICE_X52Y74 SITE_TYPE SLICEM SITEPROP SLICE_X52Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y75 CLASS site SITEPROP SLICE_X52Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y75 IS_BONDED 0 SITEPROP SLICE_X52Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y75 IS_PAD 0 SITEPROP SLICE_X52Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y75 IS_RESERVED 0 SITEPROP SLICE_X52Y75 IS_TEST 0 SITEPROP SLICE_X52Y75 IS_USED 0 SITEPROP SLICE_X52Y75 MANUAL_ROUTING SITEPROP SLICE_X52Y75 NAME SLICE_X52Y75 SITEPROP SLICE_X52Y75 NUM_ARCS 153 SITEPROP SLICE_X52Y75 NUM_BELS 32 SITEPROP SLICE_X52Y75 NUM_INPUTS 37 SITEPROP SLICE_X52Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y75 NUM_PINS 50 SITEPROP SLICE_X52Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y75 PROHIBIT 0 SITEPROP SLICE_X52Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y75 RPM_X 137 SITEPROP SLICE_X52Y75 RPM_Y 150 SITEPROP SLICE_X52Y75 SITE_PIPS SITEPROP SLICE_X52Y75 SITE_TYPE SLICEM SITEPROP SLICE_X52Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y76 CLASS site SITEPROP SLICE_X52Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y76 IS_BONDED 0 SITEPROP SLICE_X52Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y76 IS_PAD 0 SITEPROP SLICE_X52Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y76 IS_RESERVED 0 SITEPROP SLICE_X52Y76 IS_TEST 0 SITEPROP SLICE_X52Y76 IS_USED 0 SITEPROP SLICE_X52Y76 MANUAL_ROUTING SITEPROP SLICE_X52Y76 NAME SLICE_X52Y76 SITEPROP SLICE_X52Y76 NUM_ARCS 153 SITEPROP SLICE_X52Y76 NUM_BELS 32 SITEPROP SLICE_X52Y76 NUM_INPUTS 37 SITEPROP SLICE_X52Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y76 NUM_PINS 50 SITEPROP SLICE_X52Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y76 PROHIBIT 0 SITEPROP SLICE_X52Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y76 RPM_X 137 SITEPROP SLICE_X52Y76 RPM_Y 152 SITEPROP SLICE_X52Y76 SITE_PIPS SITEPROP SLICE_X52Y76 SITE_TYPE SLICEM SITEPROP SLICE_X52Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y77 CLASS site SITEPROP SLICE_X52Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y77 IS_BONDED 0 SITEPROP SLICE_X52Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y77 IS_PAD 0 SITEPROP SLICE_X52Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y77 IS_RESERVED 0 SITEPROP SLICE_X52Y77 IS_TEST 0 SITEPROP SLICE_X52Y77 IS_USED 0 SITEPROP SLICE_X52Y77 MANUAL_ROUTING SITEPROP SLICE_X52Y77 NAME SLICE_X52Y77 SITEPROP SLICE_X52Y77 NUM_ARCS 153 SITEPROP SLICE_X52Y77 NUM_BELS 32 SITEPROP SLICE_X52Y77 NUM_INPUTS 37 SITEPROP SLICE_X52Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y77 NUM_PINS 50 SITEPROP SLICE_X52Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y77 PROHIBIT 0 SITEPROP SLICE_X52Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y77 RPM_X 137 SITEPROP SLICE_X52Y77 RPM_Y 154 SITEPROP SLICE_X52Y77 SITE_PIPS SITEPROP SLICE_X52Y77 SITE_TYPE SLICEM SITEPROP SLICE_X52Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y78 CLASS site SITEPROP SLICE_X52Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y78 IS_BONDED 0 SITEPROP SLICE_X52Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y78 IS_PAD 0 SITEPROP SLICE_X52Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y78 IS_RESERVED 0 SITEPROP SLICE_X52Y78 IS_TEST 0 SITEPROP SLICE_X52Y78 IS_USED 0 SITEPROP SLICE_X52Y78 MANUAL_ROUTING SITEPROP SLICE_X52Y78 NAME SLICE_X52Y78 SITEPROP SLICE_X52Y78 NUM_ARCS 153 SITEPROP SLICE_X52Y78 NUM_BELS 32 SITEPROP SLICE_X52Y78 NUM_INPUTS 37 SITEPROP SLICE_X52Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y78 NUM_PINS 50 SITEPROP SLICE_X52Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y78 PROHIBIT 0 SITEPROP SLICE_X52Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y78 RPM_X 137 SITEPROP SLICE_X52Y78 RPM_Y 156 SITEPROP SLICE_X52Y78 SITE_PIPS SITEPROP SLICE_X52Y78 SITE_TYPE SLICEM SITEPROP SLICE_X52Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y79 CLASS site SITEPROP SLICE_X52Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y79 IS_BONDED 0 SITEPROP SLICE_X52Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y79 IS_PAD 0 SITEPROP SLICE_X52Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y79 IS_RESERVED 0 SITEPROP SLICE_X52Y79 IS_TEST 0 SITEPROP SLICE_X52Y79 IS_USED 0 SITEPROP SLICE_X52Y79 MANUAL_ROUTING SITEPROP SLICE_X52Y79 NAME SLICE_X52Y79 SITEPROP SLICE_X52Y79 NUM_ARCS 153 SITEPROP SLICE_X52Y79 NUM_BELS 32 SITEPROP SLICE_X52Y79 NUM_INPUTS 37 SITEPROP SLICE_X52Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y79 NUM_PINS 50 SITEPROP SLICE_X52Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y79 PROHIBIT 0 SITEPROP SLICE_X52Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y79 RPM_X 137 SITEPROP SLICE_X52Y79 RPM_Y 158 SITEPROP SLICE_X52Y79 SITE_PIPS SITEPROP SLICE_X52Y79 SITE_TYPE SLICEM SITEPROP SLICE_X52Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y80 CLASS site SITEPROP SLICE_X52Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y80 IS_BONDED 0 SITEPROP SLICE_X52Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y80 IS_PAD 0 SITEPROP SLICE_X52Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y80 IS_RESERVED 0 SITEPROP SLICE_X52Y80 IS_TEST 0 SITEPROP SLICE_X52Y80 IS_USED 0 SITEPROP SLICE_X52Y80 MANUAL_ROUTING SITEPROP SLICE_X52Y80 NAME SLICE_X52Y80 SITEPROP SLICE_X52Y80 NUM_ARCS 153 SITEPROP SLICE_X52Y80 NUM_BELS 32 SITEPROP SLICE_X52Y80 NUM_INPUTS 37 SITEPROP SLICE_X52Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y80 NUM_PINS 50 SITEPROP SLICE_X52Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y80 PROHIBIT 0 SITEPROP SLICE_X52Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y80 RPM_X 137 SITEPROP SLICE_X52Y80 RPM_Y 160 SITEPROP SLICE_X52Y80 SITE_PIPS SITEPROP SLICE_X52Y80 SITE_TYPE SLICEM SITEPROP SLICE_X52Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y81 CLASS site SITEPROP SLICE_X52Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y81 IS_BONDED 0 SITEPROP SLICE_X52Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y81 IS_PAD 0 SITEPROP SLICE_X52Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y81 IS_RESERVED 0 SITEPROP SLICE_X52Y81 IS_TEST 0 SITEPROP SLICE_X52Y81 IS_USED 0 SITEPROP SLICE_X52Y81 MANUAL_ROUTING SITEPROP SLICE_X52Y81 NAME SLICE_X52Y81 SITEPROP SLICE_X52Y81 NUM_ARCS 153 SITEPROP SLICE_X52Y81 NUM_BELS 32 SITEPROP SLICE_X52Y81 NUM_INPUTS 37 SITEPROP SLICE_X52Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y81 NUM_PINS 50 SITEPROP SLICE_X52Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y81 PROHIBIT 0 SITEPROP SLICE_X52Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y81 RPM_X 137 SITEPROP SLICE_X52Y81 RPM_Y 162 SITEPROP SLICE_X52Y81 SITE_PIPS SITEPROP SLICE_X52Y81 SITE_TYPE SLICEM SITEPROP SLICE_X52Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y82 CLASS site SITEPROP SLICE_X52Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y82 IS_BONDED 0 SITEPROP SLICE_X52Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y82 IS_PAD 0 SITEPROP SLICE_X52Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y82 IS_RESERVED 0 SITEPROP SLICE_X52Y82 IS_TEST 0 SITEPROP SLICE_X52Y82 IS_USED 0 SITEPROP SLICE_X52Y82 MANUAL_ROUTING SITEPROP SLICE_X52Y82 NAME SLICE_X52Y82 SITEPROP SLICE_X52Y82 NUM_ARCS 153 SITEPROP SLICE_X52Y82 NUM_BELS 32 SITEPROP SLICE_X52Y82 NUM_INPUTS 37 SITEPROP SLICE_X52Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y82 NUM_PINS 50 SITEPROP SLICE_X52Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y82 PROHIBIT 0 SITEPROP SLICE_X52Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y82 RPM_X 137 SITEPROP SLICE_X52Y82 RPM_Y 164 SITEPROP SLICE_X52Y82 SITE_PIPS SITEPROP SLICE_X52Y82 SITE_TYPE SLICEM SITEPROP SLICE_X52Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y83 CLASS site SITEPROP SLICE_X52Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y83 IS_BONDED 0 SITEPROP SLICE_X52Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y83 IS_PAD 0 SITEPROP SLICE_X52Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y83 IS_RESERVED 0 SITEPROP SLICE_X52Y83 IS_TEST 0 SITEPROP SLICE_X52Y83 IS_USED 0 SITEPROP SLICE_X52Y83 MANUAL_ROUTING SITEPROP SLICE_X52Y83 NAME SLICE_X52Y83 SITEPROP SLICE_X52Y83 NUM_ARCS 153 SITEPROP SLICE_X52Y83 NUM_BELS 32 SITEPROP SLICE_X52Y83 NUM_INPUTS 37 SITEPROP SLICE_X52Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y83 NUM_PINS 50 SITEPROP SLICE_X52Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y83 PROHIBIT 0 SITEPROP SLICE_X52Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y83 RPM_X 137 SITEPROP SLICE_X52Y83 RPM_Y 166 SITEPROP SLICE_X52Y83 SITE_PIPS SITEPROP SLICE_X52Y83 SITE_TYPE SLICEM SITEPROP SLICE_X52Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y84 CLASS site SITEPROP SLICE_X52Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y84 IS_BONDED 0 SITEPROP SLICE_X52Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y84 IS_PAD 0 SITEPROP SLICE_X52Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y84 IS_RESERVED 0 SITEPROP SLICE_X52Y84 IS_TEST 0 SITEPROP SLICE_X52Y84 IS_USED 0 SITEPROP SLICE_X52Y84 MANUAL_ROUTING SITEPROP SLICE_X52Y84 NAME SLICE_X52Y84 SITEPROP SLICE_X52Y84 NUM_ARCS 153 SITEPROP SLICE_X52Y84 NUM_BELS 32 SITEPROP SLICE_X52Y84 NUM_INPUTS 37 SITEPROP SLICE_X52Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y84 NUM_PINS 50 SITEPROP SLICE_X52Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y84 PROHIBIT 0 SITEPROP SLICE_X52Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y84 RPM_X 137 SITEPROP SLICE_X52Y84 RPM_Y 168 SITEPROP SLICE_X52Y84 SITE_PIPS SITEPROP SLICE_X52Y84 SITE_TYPE SLICEM SITEPROP SLICE_X52Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y85 CLASS site SITEPROP SLICE_X52Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y85 IS_BONDED 0 SITEPROP SLICE_X52Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y85 IS_PAD 0 SITEPROP SLICE_X52Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y85 IS_RESERVED 0 SITEPROP SLICE_X52Y85 IS_TEST 0 SITEPROP SLICE_X52Y85 IS_USED 0 SITEPROP SLICE_X52Y85 MANUAL_ROUTING SITEPROP SLICE_X52Y85 NAME SLICE_X52Y85 SITEPROP SLICE_X52Y85 NUM_ARCS 153 SITEPROP SLICE_X52Y85 NUM_BELS 32 SITEPROP SLICE_X52Y85 NUM_INPUTS 37 SITEPROP SLICE_X52Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y85 NUM_PINS 50 SITEPROP SLICE_X52Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y85 PROHIBIT 0 SITEPROP SLICE_X52Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y85 RPM_X 137 SITEPROP SLICE_X52Y85 RPM_Y 170 SITEPROP SLICE_X52Y85 SITE_PIPS SITEPROP SLICE_X52Y85 SITE_TYPE SLICEM SITEPROP SLICE_X52Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y86 CLASS site SITEPROP SLICE_X52Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y86 IS_BONDED 0 SITEPROP SLICE_X52Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y86 IS_PAD 0 SITEPROP SLICE_X52Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y86 IS_RESERVED 0 SITEPROP SLICE_X52Y86 IS_TEST 0 SITEPROP SLICE_X52Y86 IS_USED 0 SITEPROP SLICE_X52Y86 MANUAL_ROUTING SITEPROP SLICE_X52Y86 NAME SLICE_X52Y86 SITEPROP SLICE_X52Y86 NUM_ARCS 153 SITEPROP SLICE_X52Y86 NUM_BELS 32 SITEPROP SLICE_X52Y86 NUM_INPUTS 37 SITEPROP SLICE_X52Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y86 NUM_PINS 50 SITEPROP SLICE_X52Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y86 PROHIBIT 0 SITEPROP SLICE_X52Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y86 RPM_X 137 SITEPROP SLICE_X52Y86 RPM_Y 172 SITEPROP SLICE_X52Y86 SITE_PIPS SITEPROP SLICE_X52Y86 SITE_TYPE SLICEM SITEPROP SLICE_X52Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y87 CLASS site SITEPROP SLICE_X52Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y87 IS_BONDED 0 SITEPROP SLICE_X52Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y87 IS_PAD 0 SITEPROP SLICE_X52Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y87 IS_RESERVED 0 SITEPROP SLICE_X52Y87 IS_TEST 0 SITEPROP SLICE_X52Y87 IS_USED 0 SITEPROP SLICE_X52Y87 MANUAL_ROUTING SITEPROP SLICE_X52Y87 NAME SLICE_X52Y87 SITEPROP SLICE_X52Y87 NUM_ARCS 153 SITEPROP SLICE_X52Y87 NUM_BELS 32 SITEPROP SLICE_X52Y87 NUM_INPUTS 37 SITEPROP SLICE_X52Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y87 NUM_PINS 50 SITEPROP SLICE_X52Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y87 PROHIBIT 0 SITEPROP SLICE_X52Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y87 RPM_X 137 SITEPROP SLICE_X52Y87 RPM_Y 174 SITEPROP SLICE_X52Y87 SITE_PIPS SITEPROP SLICE_X52Y87 SITE_TYPE SLICEM SITEPROP SLICE_X52Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y88 CLASS site SITEPROP SLICE_X52Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y88 IS_BONDED 0 SITEPROP SLICE_X52Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y88 IS_PAD 0 SITEPROP SLICE_X52Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y88 IS_RESERVED 0 SITEPROP SLICE_X52Y88 IS_TEST 0 SITEPROP SLICE_X52Y88 IS_USED 0 SITEPROP SLICE_X52Y88 MANUAL_ROUTING SITEPROP SLICE_X52Y88 NAME SLICE_X52Y88 SITEPROP SLICE_X52Y88 NUM_ARCS 153 SITEPROP SLICE_X52Y88 NUM_BELS 32 SITEPROP SLICE_X52Y88 NUM_INPUTS 37 SITEPROP SLICE_X52Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y88 NUM_PINS 50 SITEPROP SLICE_X52Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y88 PROHIBIT 0 SITEPROP SLICE_X52Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y88 RPM_X 137 SITEPROP SLICE_X52Y88 RPM_Y 176 SITEPROP SLICE_X52Y88 SITE_PIPS SITEPROP SLICE_X52Y88 SITE_TYPE SLICEM SITEPROP SLICE_X52Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y89 CLASS site SITEPROP SLICE_X52Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y89 IS_BONDED 0 SITEPROP SLICE_X52Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y89 IS_PAD 0 SITEPROP SLICE_X52Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y89 IS_RESERVED 0 SITEPROP SLICE_X52Y89 IS_TEST 0 SITEPROP SLICE_X52Y89 IS_USED 0 SITEPROP SLICE_X52Y89 MANUAL_ROUTING SITEPROP SLICE_X52Y89 NAME SLICE_X52Y89 SITEPROP SLICE_X52Y89 NUM_ARCS 153 SITEPROP SLICE_X52Y89 NUM_BELS 32 SITEPROP SLICE_X52Y89 NUM_INPUTS 37 SITEPROP SLICE_X52Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y89 NUM_PINS 50 SITEPROP SLICE_X52Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y89 PROHIBIT 0 SITEPROP SLICE_X52Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y89 RPM_X 137 SITEPROP SLICE_X52Y89 RPM_Y 178 SITEPROP SLICE_X52Y89 SITE_PIPS SITEPROP SLICE_X52Y89 SITE_TYPE SLICEM SITEPROP SLICE_X52Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y90 CLASS site SITEPROP SLICE_X52Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y90 IS_BONDED 0 SITEPROP SLICE_X52Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y90 IS_PAD 0 SITEPROP SLICE_X52Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y90 IS_RESERVED 0 SITEPROP SLICE_X52Y90 IS_TEST 0 SITEPROP SLICE_X52Y90 IS_USED 0 SITEPROP SLICE_X52Y90 MANUAL_ROUTING SITEPROP SLICE_X52Y90 NAME SLICE_X52Y90 SITEPROP SLICE_X52Y90 NUM_ARCS 153 SITEPROP SLICE_X52Y90 NUM_BELS 32 SITEPROP SLICE_X52Y90 NUM_INPUTS 37 SITEPROP SLICE_X52Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y90 NUM_PINS 50 SITEPROP SLICE_X52Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y90 PROHIBIT 0 SITEPROP SLICE_X52Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y90 RPM_X 137 SITEPROP SLICE_X52Y90 RPM_Y 180 SITEPROP SLICE_X52Y90 SITE_PIPS SITEPROP SLICE_X52Y90 SITE_TYPE SLICEM SITEPROP SLICE_X52Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y91 CLASS site SITEPROP SLICE_X52Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y91 IS_BONDED 0 SITEPROP SLICE_X52Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y91 IS_PAD 0 SITEPROP SLICE_X52Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y91 IS_RESERVED 0 SITEPROP SLICE_X52Y91 IS_TEST 0 SITEPROP SLICE_X52Y91 IS_USED 0 SITEPROP SLICE_X52Y91 MANUAL_ROUTING SITEPROP SLICE_X52Y91 NAME SLICE_X52Y91 SITEPROP SLICE_X52Y91 NUM_ARCS 153 SITEPROP SLICE_X52Y91 NUM_BELS 32 SITEPROP SLICE_X52Y91 NUM_INPUTS 37 SITEPROP SLICE_X52Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y91 NUM_PINS 50 SITEPROP SLICE_X52Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y91 PROHIBIT 0 SITEPROP SLICE_X52Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y91 RPM_X 137 SITEPROP SLICE_X52Y91 RPM_Y 182 SITEPROP SLICE_X52Y91 SITE_PIPS SITEPROP SLICE_X52Y91 SITE_TYPE SLICEM SITEPROP SLICE_X52Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y92 CLASS site SITEPROP SLICE_X52Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y92 IS_BONDED 0 SITEPROP SLICE_X52Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y92 IS_PAD 0 SITEPROP SLICE_X52Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y92 IS_RESERVED 0 SITEPROP SLICE_X52Y92 IS_TEST 0 SITEPROP SLICE_X52Y92 IS_USED 0 SITEPROP SLICE_X52Y92 MANUAL_ROUTING SITEPROP SLICE_X52Y92 NAME SLICE_X52Y92 SITEPROP SLICE_X52Y92 NUM_ARCS 153 SITEPROP SLICE_X52Y92 NUM_BELS 32 SITEPROP SLICE_X52Y92 NUM_INPUTS 37 SITEPROP SLICE_X52Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y92 NUM_PINS 50 SITEPROP SLICE_X52Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y92 PROHIBIT 0 SITEPROP SLICE_X52Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y92 RPM_X 137 SITEPROP SLICE_X52Y92 RPM_Y 184 SITEPROP SLICE_X52Y92 SITE_PIPS SITEPROP SLICE_X52Y92 SITE_TYPE SLICEM SITEPROP SLICE_X52Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y93 CLASS site SITEPROP SLICE_X52Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y93 IS_BONDED 0 SITEPROP SLICE_X52Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y93 IS_PAD 0 SITEPROP SLICE_X52Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y93 IS_RESERVED 0 SITEPROP SLICE_X52Y93 IS_TEST 0 SITEPROP SLICE_X52Y93 IS_USED 0 SITEPROP SLICE_X52Y93 MANUAL_ROUTING SITEPROP SLICE_X52Y93 NAME SLICE_X52Y93 SITEPROP SLICE_X52Y93 NUM_ARCS 153 SITEPROP SLICE_X52Y93 NUM_BELS 32 SITEPROP SLICE_X52Y93 NUM_INPUTS 37 SITEPROP SLICE_X52Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y93 NUM_PINS 50 SITEPROP SLICE_X52Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y93 PROHIBIT 0 SITEPROP SLICE_X52Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y93 RPM_X 137 SITEPROP SLICE_X52Y93 RPM_Y 186 SITEPROP SLICE_X52Y93 SITE_PIPS SITEPROP SLICE_X52Y93 SITE_TYPE SLICEM SITEPROP SLICE_X52Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y94 CLASS site SITEPROP SLICE_X52Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y94 IS_BONDED 0 SITEPROP SLICE_X52Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y94 IS_PAD 0 SITEPROP SLICE_X52Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y94 IS_RESERVED 0 SITEPROP SLICE_X52Y94 IS_TEST 0 SITEPROP SLICE_X52Y94 IS_USED 0 SITEPROP SLICE_X52Y94 MANUAL_ROUTING SITEPROP SLICE_X52Y94 NAME SLICE_X52Y94 SITEPROP SLICE_X52Y94 NUM_ARCS 153 SITEPROP SLICE_X52Y94 NUM_BELS 32 SITEPROP SLICE_X52Y94 NUM_INPUTS 37 SITEPROP SLICE_X52Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y94 NUM_PINS 50 SITEPROP SLICE_X52Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y94 PROHIBIT 0 SITEPROP SLICE_X52Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y94 RPM_X 137 SITEPROP SLICE_X52Y94 RPM_Y 188 SITEPROP SLICE_X52Y94 SITE_PIPS SITEPROP SLICE_X52Y94 SITE_TYPE SLICEM SITEPROP SLICE_X52Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y95 CLASS site SITEPROP SLICE_X52Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y95 IS_BONDED 0 SITEPROP SLICE_X52Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y95 IS_PAD 0 SITEPROP SLICE_X52Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y95 IS_RESERVED 0 SITEPROP SLICE_X52Y95 IS_TEST 0 SITEPROP SLICE_X52Y95 IS_USED 0 SITEPROP SLICE_X52Y95 MANUAL_ROUTING SITEPROP SLICE_X52Y95 NAME SLICE_X52Y95 SITEPROP SLICE_X52Y95 NUM_ARCS 153 SITEPROP SLICE_X52Y95 NUM_BELS 32 SITEPROP SLICE_X52Y95 NUM_INPUTS 37 SITEPROP SLICE_X52Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y95 NUM_PINS 50 SITEPROP SLICE_X52Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y95 PROHIBIT 0 SITEPROP SLICE_X52Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y95 RPM_X 137 SITEPROP SLICE_X52Y95 RPM_Y 190 SITEPROP SLICE_X52Y95 SITE_PIPS SITEPROP SLICE_X52Y95 SITE_TYPE SLICEM SITEPROP SLICE_X52Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y96 CLASS site SITEPROP SLICE_X52Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y96 IS_BONDED 0 SITEPROP SLICE_X52Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y96 IS_PAD 0 SITEPROP SLICE_X52Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y96 IS_RESERVED 0 SITEPROP SLICE_X52Y96 IS_TEST 0 SITEPROP SLICE_X52Y96 IS_USED 0 SITEPROP SLICE_X52Y96 MANUAL_ROUTING SITEPROP SLICE_X52Y96 NAME SLICE_X52Y96 SITEPROP SLICE_X52Y96 NUM_ARCS 153 SITEPROP SLICE_X52Y96 NUM_BELS 32 SITEPROP SLICE_X52Y96 NUM_INPUTS 37 SITEPROP SLICE_X52Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y96 NUM_PINS 50 SITEPROP SLICE_X52Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y96 PROHIBIT 0 SITEPROP SLICE_X52Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y96 RPM_X 137 SITEPROP SLICE_X52Y96 RPM_Y 192 SITEPROP SLICE_X52Y96 SITE_PIPS SITEPROP SLICE_X52Y96 SITE_TYPE SLICEM SITEPROP SLICE_X52Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y97 CLASS site SITEPROP SLICE_X52Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y97 IS_BONDED 0 SITEPROP SLICE_X52Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y97 IS_PAD 0 SITEPROP SLICE_X52Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y97 IS_RESERVED 0 SITEPROP SLICE_X52Y97 IS_TEST 0 SITEPROP SLICE_X52Y97 IS_USED 0 SITEPROP SLICE_X52Y97 MANUAL_ROUTING SITEPROP SLICE_X52Y97 NAME SLICE_X52Y97 SITEPROP SLICE_X52Y97 NUM_ARCS 153 SITEPROP SLICE_X52Y97 NUM_BELS 32 SITEPROP SLICE_X52Y97 NUM_INPUTS 37 SITEPROP SLICE_X52Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y97 NUM_PINS 50 SITEPROP SLICE_X52Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y97 PROHIBIT 0 SITEPROP SLICE_X52Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y97 RPM_X 137 SITEPROP SLICE_X52Y97 RPM_Y 194 SITEPROP SLICE_X52Y97 SITE_PIPS SITEPROP SLICE_X52Y97 SITE_TYPE SLICEM SITEPROP SLICE_X52Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y98 CLASS site SITEPROP SLICE_X52Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y98 IS_BONDED 0 SITEPROP SLICE_X52Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y98 IS_PAD 0 SITEPROP SLICE_X52Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y98 IS_RESERVED 0 SITEPROP SLICE_X52Y98 IS_TEST 0 SITEPROP SLICE_X52Y98 IS_USED 0 SITEPROP SLICE_X52Y98 MANUAL_ROUTING SITEPROP SLICE_X52Y98 NAME SLICE_X52Y98 SITEPROP SLICE_X52Y98 NUM_ARCS 153 SITEPROP SLICE_X52Y98 NUM_BELS 32 SITEPROP SLICE_X52Y98 NUM_INPUTS 37 SITEPROP SLICE_X52Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y98 NUM_PINS 50 SITEPROP SLICE_X52Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y98 PROHIBIT 0 SITEPROP SLICE_X52Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y98 RPM_X 137 SITEPROP SLICE_X52Y98 RPM_Y 196 SITEPROP SLICE_X52Y98 SITE_PIPS SITEPROP SLICE_X52Y98 SITE_TYPE SLICEM SITEPROP SLICE_X52Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y99 CLASS site SITEPROP SLICE_X52Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X52Y99 IS_BONDED 0 SITEPROP SLICE_X52Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y99 IS_PAD 0 SITEPROP SLICE_X52Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y99 IS_RESERVED 0 SITEPROP SLICE_X52Y99 IS_TEST 0 SITEPROP SLICE_X52Y99 IS_USED 0 SITEPROP SLICE_X52Y99 MANUAL_ROUTING SITEPROP SLICE_X52Y99 NAME SLICE_X52Y99 SITEPROP SLICE_X52Y99 NUM_ARCS 153 SITEPROP SLICE_X52Y99 NUM_BELS 32 SITEPROP SLICE_X52Y99 NUM_INPUTS 37 SITEPROP SLICE_X52Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y99 NUM_PINS 50 SITEPROP SLICE_X52Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y99 PROHIBIT 0 SITEPROP SLICE_X52Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y99 RPM_X 137 SITEPROP SLICE_X52Y99 RPM_Y 198 SITEPROP SLICE_X52Y99 SITE_PIPS SITEPROP SLICE_X52Y99 SITE_TYPE SLICEM SITEPROP SLICE_X52Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y100 CLASS site SITEPROP SLICE_X52Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y100 IS_BONDED 0 SITEPROP SLICE_X52Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y100 IS_PAD 0 SITEPROP SLICE_X52Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y100 IS_RESERVED 0 SITEPROP SLICE_X52Y100 IS_TEST 0 SITEPROP SLICE_X52Y100 IS_USED 0 SITEPROP SLICE_X52Y100 MANUAL_ROUTING SITEPROP SLICE_X52Y100 NAME SLICE_X52Y100 SITEPROP SLICE_X52Y100 NUM_ARCS 153 SITEPROP SLICE_X52Y100 NUM_BELS 32 SITEPROP SLICE_X52Y100 NUM_INPUTS 37 SITEPROP SLICE_X52Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y100 NUM_PINS 50 SITEPROP SLICE_X52Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y100 PROHIBIT 0 SITEPROP SLICE_X52Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y100 RPM_X 137 SITEPROP SLICE_X52Y100 RPM_Y 200 SITEPROP SLICE_X52Y100 SITE_PIPS SITEPROP SLICE_X52Y100 SITE_TYPE SLICEM SITEPROP SLICE_X52Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y101 CLASS site SITEPROP SLICE_X52Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y101 IS_BONDED 0 SITEPROP SLICE_X52Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y101 IS_PAD 0 SITEPROP SLICE_X52Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y101 IS_RESERVED 0 SITEPROP SLICE_X52Y101 IS_TEST 0 SITEPROP SLICE_X52Y101 IS_USED 0 SITEPROP SLICE_X52Y101 MANUAL_ROUTING SITEPROP SLICE_X52Y101 NAME SLICE_X52Y101 SITEPROP SLICE_X52Y101 NUM_ARCS 153 SITEPROP SLICE_X52Y101 NUM_BELS 32 SITEPROP SLICE_X52Y101 NUM_INPUTS 37 SITEPROP SLICE_X52Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y101 NUM_PINS 50 SITEPROP SLICE_X52Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y101 PROHIBIT 0 SITEPROP SLICE_X52Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y101 RPM_X 137 SITEPROP SLICE_X52Y101 RPM_Y 202 SITEPROP SLICE_X52Y101 SITE_PIPS SITEPROP SLICE_X52Y101 SITE_TYPE SLICEM SITEPROP SLICE_X52Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y102 CLASS site SITEPROP SLICE_X52Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y102 IS_BONDED 0 SITEPROP SLICE_X52Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y102 IS_PAD 0 SITEPROP SLICE_X52Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y102 IS_RESERVED 0 SITEPROP SLICE_X52Y102 IS_TEST 0 SITEPROP SLICE_X52Y102 IS_USED 0 SITEPROP SLICE_X52Y102 MANUAL_ROUTING SITEPROP SLICE_X52Y102 NAME SLICE_X52Y102 SITEPROP SLICE_X52Y102 NUM_ARCS 153 SITEPROP SLICE_X52Y102 NUM_BELS 32 SITEPROP SLICE_X52Y102 NUM_INPUTS 37 SITEPROP SLICE_X52Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y102 NUM_PINS 50 SITEPROP SLICE_X52Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y102 PROHIBIT 0 SITEPROP SLICE_X52Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y102 RPM_X 137 SITEPROP SLICE_X52Y102 RPM_Y 204 SITEPROP SLICE_X52Y102 SITE_PIPS SITEPROP SLICE_X52Y102 SITE_TYPE SLICEM SITEPROP SLICE_X52Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y103 CLASS site SITEPROP SLICE_X52Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y103 IS_BONDED 0 SITEPROP SLICE_X52Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y103 IS_PAD 0 SITEPROP SLICE_X52Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y103 IS_RESERVED 0 SITEPROP SLICE_X52Y103 IS_TEST 0 SITEPROP SLICE_X52Y103 IS_USED 0 SITEPROP SLICE_X52Y103 MANUAL_ROUTING SITEPROP SLICE_X52Y103 NAME SLICE_X52Y103 SITEPROP SLICE_X52Y103 NUM_ARCS 153 SITEPROP SLICE_X52Y103 NUM_BELS 32 SITEPROP SLICE_X52Y103 NUM_INPUTS 37 SITEPROP SLICE_X52Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y103 NUM_PINS 50 SITEPROP SLICE_X52Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y103 PROHIBIT 0 SITEPROP SLICE_X52Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y103 RPM_X 137 SITEPROP SLICE_X52Y103 RPM_Y 206 SITEPROP SLICE_X52Y103 SITE_PIPS SITEPROP SLICE_X52Y103 SITE_TYPE SLICEM SITEPROP SLICE_X52Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y104 CLASS site SITEPROP SLICE_X52Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y104 IS_BONDED 0 SITEPROP SLICE_X52Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y104 IS_PAD 0 SITEPROP SLICE_X52Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y104 IS_RESERVED 0 SITEPROP SLICE_X52Y104 IS_TEST 0 SITEPROP SLICE_X52Y104 IS_USED 0 SITEPROP SLICE_X52Y104 MANUAL_ROUTING SITEPROP SLICE_X52Y104 NAME SLICE_X52Y104 SITEPROP SLICE_X52Y104 NUM_ARCS 153 SITEPROP SLICE_X52Y104 NUM_BELS 32 SITEPROP SLICE_X52Y104 NUM_INPUTS 37 SITEPROP SLICE_X52Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y104 NUM_PINS 50 SITEPROP SLICE_X52Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y104 PROHIBIT 0 SITEPROP SLICE_X52Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y104 RPM_X 137 SITEPROP SLICE_X52Y104 RPM_Y 208 SITEPROP SLICE_X52Y104 SITE_PIPS SITEPROP SLICE_X52Y104 SITE_TYPE SLICEM SITEPROP SLICE_X52Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y105 CLASS site SITEPROP SLICE_X52Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y105 IS_BONDED 0 SITEPROP SLICE_X52Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y105 IS_PAD 0 SITEPROP SLICE_X52Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y105 IS_RESERVED 0 SITEPROP SLICE_X52Y105 IS_TEST 0 SITEPROP SLICE_X52Y105 IS_USED 0 SITEPROP SLICE_X52Y105 MANUAL_ROUTING SITEPROP SLICE_X52Y105 NAME SLICE_X52Y105 SITEPROP SLICE_X52Y105 NUM_ARCS 153 SITEPROP SLICE_X52Y105 NUM_BELS 32 SITEPROP SLICE_X52Y105 NUM_INPUTS 37 SITEPROP SLICE_X52Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y105 NUM_PINS 50 SITEPROP SLICE_X52Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y105 PROHIBIT 0 SITEPROP SLICE_X52Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y105 RPM_X 137 SITEPROP SLICE_X52Y105 RPM_Y 210 SITEPROP SLICE_X52Y105 SITE_PIPS SITEPROP SLICE_X52Y105 SITE_TYPE SLICEM SITEPROP SLICE_X52Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y106 CLASS site SITEPROP SLICE_X52Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y106 IS_BONDED 0 SITEPROP SLICE_X52Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y106 IS_PAD 0 SITEPROP SLICE_X52Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y106 IS_RESERVED 0 SITEPROP SLICE_X52Y106 IS_TEST 0 SITEPROP SLICE_X52Y106 IS_USED 0 SITEPROP SLICE_X52Y106 MANUAL_ROUTING SITEPROP SLICE_X52Y106 NAME SLICE_X52Y106 SITEPROP SLICE_X52Y106 NUM_ARCS 153 SITEPROP SLICE_X52Y106 NUM_BELS 32 SITEPROP SLICE_X52Y106 NUM_INPUTS 37 SITEPROP SLICE_X52Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y106 NUM_PINS 50 SITEPROP SLICE_X52Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y106 PROHIBIT 0 SITEPROP SLICE_X52Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y106 RPM_X 137 SITEPROP SLICE_X52Y106 RPM_Y 212 SITEPROP SLICE_X52Y106 SITE_PIPS SITEPROP SLICE_X52Y106 SITE_TYPE SLICEM SITEPROP SLICE_X52Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y107 CLASS site SITEPROP SLICE_X52Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y107 IS_BONDED 0 SITEPROP SLICE_X52Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y107 IS_PAD 0 SITEPROP SLICE_X52Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y107 IS_RESERVED 0 SITEPROP SLICE_X52Y107 IS_TEST 0 SITEPROP SLICE_X52Y107 IS_USED 0 SITEPROP SLICE_X52Y107 MANUAL_ROUTING SITEPROP SLICE_X52Y107 NAME SLICE_X52Y107 SITEPROP SLICE_X52Y107 NUM_ARCS 153 SITEPROP SLICE_X52Y107 NUM_BELS 32 SITEPROP SLICE_X52Y107 NUM_INPUTS 37 SITEPROP SLICE_X52Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y107 NUM_PINS 50 SITEPROP SLICE_X52Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y107 PROHIBIT 0 SITEPROP SLICE_X52Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y107 RPM_X 137 SITEPROP SLICE_X52Y107 RPM_Y 214 SITEPROP SLICE_X52Y107 SITE_PIPS SITEPROP SLICE_X52Y107 SITE_TYPE SLICEM SITEPROP SLICE_X52Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y108 CLASS site SITEPROP SLICE_X52Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y108 IS_BONDED 0 SITEPROP SLICE_X52Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y108 IS_PAD 0 SITEPROP SLICE_X52Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y108 IS_RESERVED 0 SITEPROP SLICE_X52Y108 IS_TEST 0 SITEPROP SLICE_X52Y108 IS_USED 0 SITEPROP SLICE_X52Y108 MANUAL_ROUTING SITEPROP SLICE_X52Y108 NAME SLICE_X52Y108 SITEPROP SLICE_X52Y108 NUM_ARCS 153 SITEPROP SLICE_X52Y108 NUM_BELS 32 SITEPROP SLICE_X52Y108 NUM_INPUTS 37 SITEPROP SLICE_X52Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y108 NUM_PINS 50 SITEPROP SLICE_X52Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y108 PROHIBIT 0 SITEPROP SLICE_X52Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y108 RPM_X 137 SITEPROP SLICE_X52Y108 RPM_Y 216 SITEPROP SLICE_X52Y108 SITE_PIPS SITEPROP SLICE_X52Y108 SITE_TYPE SLICEM SITEPROP SLICE_X52Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y109 CLASS site SITEPROP SLICE_X52Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y109 IS_BONDED 0 SITEPROP SLICE_X52Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y109 IS_PAD 0 SITEPROP SLICE_X52Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y109 IS_RESERVED 0 SITEPROP SLICE_X52Y109 IS_TEST 0 SITEPROP SLICE_X52Y109 IS_USED 0 SITEPROP SLICE_X52Y109 MANUAL_ROUTING SITEPROP SLICE_X52Y109 NAME SLICE_X52Y109 SITEPROP SLICE_X52Y109 NUM_ARCS 153 SITEPROP SLICE_X52Y109 NUM_BELS 32 SITEPROP SLICE_X52Y109 NUM_INPUTS 37 SITEPROP SLICE_X52Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y109 NUM_PINS 50 SITEPROP SLICE_X52Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y109 PROHIBIT 0 SITEPROP SLICE_X52Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y109 RPM_X 137 SITEPROP SLICE_X52Y109 RPM_Y 218 SITEPROP SLICE_X52Y109 SITE_PIPS SITEPROP SLICE_X52Y109 SITE_TYPE SLICEM SITEPROP SLICE_X52Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y110 CLASS site SITEPROP SLICE_X52Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y110 IS_BONDED 0 SITEPROP SLICE_X52Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y110 IS_PAD 0 SITEPROP SLICE_X52Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y110 IS_RESERVED 0 SITEPROP SLICE_X52Y110 IS_TEST 0 SITEPROP SLICE_X52Y110 IS_USED 0 SITEPROP SLICE_X52Y110 MANUAL_ROUTING SITEPROP SLICE_X52Y110 NAME SLICE_X52Y110 SITEPROP SLICE_X52Y110 NUM_ARCS 153 SITEPROP SLICE_X52Y110 NUM_BELS 32 SITEPROP SLICE_X52Y110 NUM_INPUTS 37 SITEPROP SLICE_X52Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y110 NUM_PINS 50 SITEPROP SLICE_X52Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y110 PROHIBIT 0 SITEPROP SLICE_X52Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y110 RPM_X 137 SITEPROP SLICE_X52Y110 RPM_Y 220 SITEPROP SLICE_X52Y110 SITE_PIPS SITEPROP SLICE_X52Y110 SITE_TYPE SLICEM SITEPROP SLICE_X52Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y111 CLASS site SITEPROP SLICE_X52Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y111 IS_BONDED 0 SITEPROP SLICE_X52Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y111 IS_PAD 0 SITEPROP SLICE_X52Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y111 IS_RESERVED 0 SITEPROP SLICE_X52Y111 IS_TEST 0 SITEPROP SLICE_X52Y111 IS_USED 0 SITEPROP SLICE_X52Y111 MANUAL_ROUTING SITEPROP SLICE_X52Y111 NAME SLICE_X52Y111 SITEPROP SLICE_X52Y111 NUM_ARCS 153 SITEPROP SLICE_X52Y111 NUM_BELS 32 SITEPROP SLICE_X52Y111 NUM_INPUTS 37 SITEPROP SLICE_X52Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y111 NUM_PINS 50 SITEPROP SLICE_X52Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y111 PROHIBIT 0 SITEPROP SLICE_X52Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y111 RPM_X 137 SITEPROP SLICE_X52Y111 RPM_Y 222 SITEPROP SLICE_X52Y111 SITE_PIPS SITEPROP SLICE_X52Y111 SITE_TYPE SLICEM SITEPROP SLICE_X52Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y112 CLASS site SITEPROP SLICE_X52Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y112 IS_BONDED 0 SITEPROP SLICE_X52Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y112 IS_PAD 0 SITEPROP SLICE_X52Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y112 IS_RESERVED 0 SITEPROP SLICE_X52Y112 IS_TEST 0 SITEPROP SLICE_X52Y112 IS_USED 0 SITEPROP SLICE_X52Y112 MANUAL_ROUTING SITEPROP SLICE_X52Y112 NAME SLICE_X52Y112 SITEPROP SLICE_X52Y112 NUM_ARCS 153 SITEPROP SLICE_X52Y112 NUM_BELS 32 SITEPROP SLICE_X52Y112 NUM_INPUTS 37 SITEPROP SLICE_X52Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y112 NUM_PINS 50 SITEPROP SLICE_X52Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y112 PROHIBIT 0 SITEPROP SLICE_X52Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y112 RPM_X 137 SITEPROP SLICE_X52Y112 RPM_Y 224 SITEPROP SLICE_X52Y112 SITE_PIPS SITEPROP SLICE_X52Y112 SITE_TYPE SLICEM SITEPROP SLICE_X52Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y113 CLASS site SITEPROP SLICE_X52Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y113 IS_BONDED 0 SITEPROP SLICE_X52Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y113 IS_PAD 0 SITEPROP SLICE_X52Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y113 IS_RESERVED 0 SITEPROP SLICE_X52Y113 IS_TEST 0 SITEPROP SLICE_X52Y113 IS_USED 0 SITEPROP SLICE_X52Y113 MANUAL_ROUTING SITEPROP SLICE_X52Y113 NAME SLICE_X52Y113 SITEPROP SLICE_X52Y113 NUM_ARCS 153 SITEPROP SLICE_X52Y113 NUM_BELS 32 SITEPROP SLICE_X52Y113 NUM_INPUTS 37 SITEPROP SLICE_X52Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y113 NUM_PINS 50 SITEPROP SLICE_X52Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y113 PROHIBIT 0 SITEPROP SLICE_X52Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y113 RPM_X 137 SITEPROP SLICE_X52Y113 RPM_Y 226 SITEPROP SLICE_X52Y113 SITE_PIPS SITEPROP SLICE_X52Y113 SITE_TYPE SLICEM SITEPROP SLICE_X52Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y114 CLASS site SITEPROP SLICE_X52Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y114 IS_BONDED 0 SITEPROP SLICE_X52Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y114 IS_PAD 0 SITEPROP SLICE_X52Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y114 IS_RESERVED 0 SITEPROP SLICE_X52Y114 IS_TEST 0 SITEPROP SLICE_X52Y114 IS_USED 0 SITEPROP SLICE_X52Y114 MANUAL_ROUTING SITEPROP SLICE_X52Y114 NAME SLICE_X52Y114 SITEPROP SLICE_X52Y114 NUM_ARCS 153 SITEPROP SLICE_X52Y114 NUM_BELS 32 SITEPROP SLICE_X52Y114 NUM_INPUTS 37 SITEPROP SLICE_X52Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y114 NUM_PINS 50 SITEPROP SLICE_X52Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y114 PROHIBIT 0 SITEPROP SLICE_X52Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y114 RPM_X 137 SITEPROP SLICE_X52Y114 RPM_Y 228 SITEPROP SLICE_X52Y114 SITE_PIPS SITEPROP SLICE_X52Y114 SITE_TYPE SLICEM SITEPROP SLICE_X52Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y115 CLASS site SITEPROP SLICE_X52Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y115 IS_BONDED 0 SITEPROP SLICE_X52Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y115 IS_PAD 0 SITEPROP SLICE_X52Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y115 IS_RESERVED 0 SITEPROP SLICE_X52Y115 IS_TEST 0 SITEPROP SLICE_X52Y115 IS_USED 0 SITEPROP SLICE_X52Y115 MANUAL_ROUTING SITEPROP SLICE_X52Y115 NAME SLICE_X52Y115 SITEPROP SLICE_X52Y115 NUM_ARCS 153 SITEPROP SLICE_X52Y115 NUM_BELS 32 SITEPROP SLICE_X52Y115 NUM_INPUTS 37 SITEPROP SLICE_X52Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y115 NUM_PINS 50 SITEPROP SLICE_X52Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y115 PROHIBIT 0 SITEPROP SLICE_X52Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y115 RPM_X 137 SITEPROP SLICE_X52Y115 RPM_Y 230 SITEPROP SLICE_X52Y115 SITE_PIPS SITEPROP SLICE_X52Y115 SITE_TYPE SLICEM SITEPROP SLICE_X52Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y116 CLASS site SITEPROP SLICE_X52Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y116 IS_BONDED 0 SITEPROP SLICE_X52Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y116 IS_PAD 0 SITEPROP SLICE_X52Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y116 IS_RESERVED 0 SITEPROP SLICE_X52Y116 IS_TEST 0 SITEPROP SLICE_X52Y116 IS_USED 0 SITEPROP SLICE_X52Y116 MANUAL_ROUTING SITEPROP SLICE_X52Y116 NAME SLICE_X52Y116 SITEPROP SLICE_X52Y116 NUM_ARCS 153 SITEPROP SLICE_X52Y116 NUM_BELS 32 SITEPROP SLICE_X52Y116 NUM_INPUTS 37 SITEPROP SLICE_X52Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y116 NUM_PINS 50 SITEPROP SLICE_X52Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y116 PROHIBIT 0 SITEPROP SLICE_X52Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y116 RPM_X 137 SITEPROP SLICE_X52Y116 RPM_Y 232 SITEPROP SLICE_X52Y116 SITE_PIPS SITEPROP SLICE_X52Y116 SITE_TYPE SLICEM SITEPROP SLICE_X52Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y117 CLASS site SITEPROP SLICE_X52Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y117 IS_BONDED 0 SITEPROP SLICE_X52Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y117 IS_PAD 0 SITEPROP SLICE_X52Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y117 IS_RESERVED 0 SITEPROP SLICE_X52Y117 IS_TEST 0 SITEPROP SLICE_X52Y117 IS_USED 0 SITEPROP SLICE_X52Y117 MANUAL_ROUTING SITEPROP SLICE_X52Y117 NAME SLICE_X52Y117 SITEPROP SLICE_X52Y117 NUM_ARCS 153 SITEPROP SLICE_X52Y117 NUM_BELS 32 SITEPROP SLICE_X52Y117 NUM_INPUTS 37 SITEPROP SLICE_X52Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y117 NUM_PINS 50 SITEPROP SLICE_X52Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y117 PROHIBIT 0 SITEPROP SLICE_X52Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y117 RPM_X 137 SITEPROP SLICE_X52Y117 RPM_Y 234 SITEPROP SLICE_X52Y117 SITE_PIPS SITEPROP SLICE_X52Y117 SITE_TYPE SLICEM SITEPROP SLICE_X52Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y118 CLASS site SITEPROP SLICE_X52Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y118 IS_BONDED 0 SITEPROP SLICE_X52Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y118 IS_PAD 0 SITEPROP SLICE_X52Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y118 IS_RESERVED 0 SITEPROP SLICE_X52Y118 IS_TEST 0 SITEPROP SLICE_X52Y118 IS_USED 0 SITEPROP SLICE_X52Y118 MANUAL_ROUTING SITEPROP SLICE_X52Y118 NAME SLICE_X52Y118 SITEPROP SLICE_X52Y118 NUM_ARCS 153 SITEPROP SLICE_X52Y118 NUM_BELS 32 SITEPROP SLICE_X52Y118 NUM_INPUTS 37 SITEPROP SLICE_X52Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y118 NUM_PINS 50 SITEPROP SLICE_X52Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y118 PROHIBIT 0 SITEPROP SLICE_X52Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y118 RPM_X 137 SITEPROP SLICE_X52Y118 RPM_Y 236 SITEPROP SLICE_X52Y118 SITE_PIPS SITEPROP SLICE_X52Y118 SITE_TYPE SLICEM SITEPROP SLICE_X52Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y119 CLASS site SITEPROP SLICE_X52Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y119 IS_BONDED 0 SITEPROP SLICE_X52Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y119 IS_PAD 0 SITEPROP SLICE_X52Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y119 IS_RESERVED 0 SITEPROP SLICE_X52Y119 IS_TEST 0 SITEPROP SLICE_X52Y119 IS_USED 0 SITEPROP SLICE_X52Y119 MANUAL_ROUTING SITEPROP SLICE_X52Y119 NAME SLICE_X52Y119 SITEPROP SLICE_X52Y119 NUM_ARCS 153 SITEPROP SLICE_X52Y119 NUM_BELS 32 SITEPROP SLICE_X52Y119 NUM_INPUTS 37 SITEPROP SLICE_X52Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y119 NUM_PINS 50 SITEPROP SLICE_X52Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y119 PROHIBIT 0 SITEPROP SLICE_X52Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y119 RPM_X 137 SITEPROP SLICE_X52Y119 RPM_Y 238 SITEPROP SLICE_X52Y119 SITE_PIPS SITEPROP SLICE_X52Y119 SITE_TYPE SLICEM SITEPROP SLICE_X52Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y120 CLASS site SITEPROP SLICE_X52Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y120 IS_BONDED 0 SITEPROP SLICE_X52Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y120 IS_PAD 0 SITEPROP SLICE_X52Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y120 IS_RESERVED 0 SITEPROP SLICE_X52Y120 IS_TEST 0 SITEPROP SLICE_X52Y120 IS_USED 0 SITEPROP SLICE_X52Y120 MANUAL_ROUTING SITEPROP SLICE_X52Y120 NAME SLICE_X52Y120 SITEPROP SLICE_X52Y120 NUM_ARCS 153 SITEPROP SLICE_X52Y120 NUM_BELS 32 SITEPROP SLICE_X52Y120 NUM_INPUTS 37 SITEPROP SLICE_X52Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y120 NUM_PINS 50 SITEPROP SLICE_X52Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y120 PROHIBIT 0 SITEPROP SLICE_X52Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y120 RPM_X 137 SITEPROP SLICE_X52Y120 RPM_Y 240 SITEPROP SLICE_X52Y120 SITE_PIPS SITEPROP SLICE_X52Y120 SITE_TYPE SLICEM SITEPROP SLICE_X52Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y121 CLASS site SITEPROP SLICE_X52Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y121 IS_BONDED 0 SITEPROP SLICE_X52Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y121 IS_PAD 0 SITEPROP SLICE_X52Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y121 IS_RESERVED 0 SITEPROP SLICE_X52Y121 IS_TEST 0 SITEPROP SLICE_X52Y121 IS_USED 0 SITEPROP SLICE_X52Y121 MANUAL_ROUTING SITEPROP SLICE_X52Y121 NAME SLICE_X52Y121 SITEPROP SLICE_X52Y121 NUM_ARCS 153 SITEPROP SLICE_X52Y121 NUM_BELS 32 SITEPROP SLICE_X52Y121 NUM_INPUTS 37 SITEPROP SLICE_X52Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y121 NUM_PINS 50 SITEPROP SLICE_X52Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y121 PROHIBIT 0 SITEPROP SLICE_X52Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y121 RPM_X 137 SITEPROP SLICE_X52Y121 RPM_Y 242 SITEPROP SLICE_X52Y121 SITE_PIPS SITEPROP SLICE_X52Y121 SITE_TYPE SLICEM SITEPROP SLICE_X52Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y122 CLASS site SITEPROP SLICE_X52Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y122 IS_BONDED 0 SITEPROP SLICE_X52Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y122 IS_PAD 0 SITEPROP SLICE_X52Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y122 IS_RESERVED 0 SITEPROP SLICE_X52Y122 IS_TEST 0 SITEPROP SLICE_X52Y122 IS_USED 0 SITEPROP SLICE_X52Y122 MANUAL_ROUTING SITEPROP SLICE_X52Y122 NAME SLICE_X52Y122 SITEPROP SLICE_X52Y122 NUM_ARCS 153 SITEPROP SLICE_X52Y122 NUM_BELS 32 SITEPROP SLICE_X52Y122 NUM_INPUTS 37 SITEPROP SLICE_X52Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y122 NUM_PINS 50 SITEPROP SLICE_X52Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y122 PROHIBIT 0 SITEPROP SLICE_X52Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y122 RPM_X 137 SITEPROP SLICE_X52Y122 RPM_Y 244 SITEPROP SLICE_X52Y122 SITE_PIPS SITEPROP SLICE_X52Y122 SITE_TYPE SLICEM SITEPROP SLICE_X52Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y123 CLASS site SITEPROP SLICE_X52Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y123 IS_BONDED 0 SITEPROP SLICE_X52Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y123 IS_PAD 0 SITEPROP SLICE_X52Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y123 IS_RESERVED 0 SITEPROP SLICE_X52Y123 IS_TEST 0 SITEPROP SLICE_X52Y123 IS_USED 0 SITEPROP SLICE_X52Y123 MANUAL_ROUTING SITEPROP SLICE_X52Y123 NAME SLICE_X52Y123 SITEPROP SLICE_X52Y123 NUM_ARCS 153 SITEPROP SLICE_X52Y123 NUM_BELS 32 SITEPROP SLICE_X52Y123 NUM_INPUTS 37 SITEPROP SLICE_X52Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y123 NUM_PINS 50 SITEPROP SLICE_X52Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y123 PROHIBIT 0 SITEPROP SLICE_X52Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y123 RPM_X 137 SITEPROP SLICE_X52Y123 RPM_Y 246 SITEPROP SLICE_X52Y123 SITE_PIPS SITEPROP SLICE_X52Y123 SITE_TYPE SLICEM SITEPROP SLICE_X52Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y124 CLASS site SITEPROP SLICE_X52Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y124 IS_BONDED 0 SITEPROP SLICE_X52Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y124 IS_PAD 0 SITEPROP SLICE_X52Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y124 IS_RESERVED 0 SITEPROP SLICE_X52Y124 IS_TEST 0 SITEPROP SLICE_X52Y124 IS_USED 0 SITEPROP SLICE_X52Y124 MANUAL_ROUTING SITEPROP SLICE_X52Y124 NAME SLICE_X52Y124 SITEPROP SLICE_X52Y124 NUM_ARCS 153 SITEPROP SLICE_X52Y124 NUM_BELS 32 SITEPROP SLICE_X52Y124 NUM_INPUTS 37 SITEPROP SLICE_X52Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y124 NUM_PINS 50 SITEPROP SLICE_X52Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y124 PROHIBIT 0 SITEPROP SLICE_X52Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y124 RPM_X 137 SITEPROP SLICE_X52Y124 RPM_Y 248 SITEPROP SLICE_X52Y124 SITE_PIPS SITEPROP SLICE_X52Y124 SITE_TYPE SLICEM SITEPROP SLICE_X52Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y125 CLASS site SITEPROP SLICE_X52Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y125 IS_BONDED 0 SITEPROP SLICE_X52Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y125 IS_PAD 0 SITEPROP SLICE_X52Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y125 IS_RESERVED 0 SITEPROP SLICE_X52Y125 IS_TEST 0 SITEPROP SLICE_X52Y125 IS_USED 0 SITEPROP SLICE_X52Y125 MANUAL_ROUTING SITEPROP SLICE_X52Y125 NAME SLICE_X52Y125 SITEPROP SLICE_X52Y125 NUM_ARCS 153 SITEPROP SLICE_X52Y125 NUM_BELS 32 SITEPROP SLICE_X52Y125 NUM_INPUTS 37 SITEPROP SLICE_X52Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y125 NUM_PINS 50 SITEPROP SLICE_X52Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y125 PROHIBIT 0 SITEPROP SLICE_X52Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y125 RPM_X 137 SITEPROP SLICE_X52Y125 RPM_Y 250 SITEPROP SLICE_X52Y125 SITE_PIPS SITEPROP SLICE_X52Y125 SITE_TYPE SLICEM SITEPROP SLICE_X52Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y126 CLASS site SITEPROP SLICE_X52Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y126 IS_BONDED 0 SITEPROP SLICE_X52Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y126 IS_PAD 0 SITEPROP SLICE_X52Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y126 IS_RESERVED 0 SITEPROP SLICE_X52Y126 IS_TEST 0 SITEPROP SLICE_X52Y126 IS_USED 0 SITEPROP SLICE_X52Y126 MANUAL_ROUTING SITEPROP SLICE_X52Y126 NAME SLICE_X52Y126 SITEPROP SLICE_X52Y126 NUM_ARCS 153 SITEPROP SLICE_X52Y126 NUM_BELS 32 SITEPROP SLICE_X52Y126 NUM_INPUTS 37 SITEPROP SLICE_X52Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y126 NUM_PINS 50 SITEPROP SLICE_X52Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y126 PROHIBIT 0 SITEPROP SLICE_X52Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y126 RPM_X 137 SITEPROP SLICE_X52Y126 RPM_Y 252 SITEPROP SLICE_X52Y126 SITE_PIPS SITEPROP SLICE_X52Y126 SITE_TYPE SLICEM SITEPROP SLICE_X52Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y127 CLASS site SITEPROP SLICE_X52Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y127 IS_BONDED 0 SITEPROP SLICE_X52Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y127 IS_PAD 0 SITEPROP SLICE_X52Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y127 IS_RESERVED 0 SITEPROP SLICE_X52Y127 IS_TEST 0 SITEPROP SLICE_X52Y127 IS_USED 0 SITEPROP SLICE_X52Y127 MANUAL_ROUTING SITEPROP SLICE_X52Y127 NAME SLICE_X52Y127 SITEPROP SLICE_X52Y127 NUM_ARCS 153 SITEPROP SLICE_X52Y127 NUM_BELS 32 SITEPROP SLICE_X52Y127 NUM_INPUTS 37 SITEPROP SLICE_X52Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y127 NUM_PINS 50 SITEPROP SLICE_X52Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y127 PROHIBIT 0 SITEPROP SLICE_X52Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y127 RPM_X 137 SITEPROP SLICE_X52Y127 RPM_Y 254 SITEPROP SLICE_X52Y127 SITE_PIPS SITEPROP SLICE_X52Y127 SITE_TYPE SLICEM SITEPROP SLICE_X52Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y128 CLASS site SITEPROP SLICE_X52Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y128 IS_BONDED 0 SITEPROP SLICE_X52Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y128 IS_PAD 0 SITEPROP SLICE_X52Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y128 IS_RESERVED 0 SITEPROP SLICE_X52Y128 IS_TEST 0 SITEPROP SLICE_X52Y128 IS_USED 0 SITEPROP SLICE_X52Y128 MANUAL_ROUTING SITEPROP SLICE_X52Y128 NAME SLICE_X52Y128 SITEPROP SLICE_X52Y128 NUM_ARCS 153 SITEPROP SLICE_X52Y128 NUM_BELS 32 SITEPROP SLICE_X52Y128 NUM_INPUTS 37 SITEPROP SLICE_X52Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y128 NUM_PINS 50 SITEPROP SLICE_X52Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y128 PROHIBIT 0 SITEPROP SLICE_X52Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y128 RPM_X 137 SITEPROP SLICE_X52Y128 RPM_Y 256 SITEPROP SLICE_X52Y128 SITE_PIPS SITEPROP SLICE_X52Y128 SITE_TYPE SLICEM SITEPROP SLICE_X52Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y129 CLASS site SITEPROP SLICE_X52Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y129 IS_BONDED 0 SITEPROP SLICE_X52Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y129 IS_PAD 0 SITEPROP SLICE_X52Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y129 IS_RESERVED 0 SITEPROP SLICE_X52Y129 IS_TEST 0 SITEPROP SLICE_X52Y129 IS_USED 0 SITEPROP SLICE_X52Y129 MANUAL_ROUTING SITEPROP SLICE_X52Y129 NAME SLICE_X52Y129 SITEPROP SLICE_X52Y129 NUM_ARCS 153 SITEPROP SLICE_X52Y129 NUM_BELS 32 SITEPROP SLICE_X52Y129 NUM_INPUTS 37 SITEPROP SLICE_X52Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y129 NUM_PINS 50 SITEPROP SLICE_X52Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y129 PROHIBIT 0 SITEPROP SLICE_X52Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y129 RPM_X 137 SITEPROP SLICE_X52Y129 RPM_Y 258 SITEPROP SLICE_X52Y129 SITE_PIPS SITEPROP SLICE_X52Y129 SITE_TYPE SLICEM SITEPROP SLICE_X52Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y130 CLASS site SITEPROP SLICE_X52Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y130 IS_BONDED 0 SITEPROP SLICE_X52Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y130 IS_PAD 0 SITEPROP SLICE_X52Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y130 IS_RESERVED 0 SITEPROP SLICE_X52Y130 IS_TEST 0 SITEPROP SLICE_X52Y130 IS_USED 0 SITEPROP SLICE_X52Y130 MANUAL_ROUTING SITEPROP SLICE_X52Y130 NAME SLICE_X52Y130 SITEPROP SLICE_X52Y130 NUM_ARCS 153 SITEPROP SLICE_X52Y130 NUM_BELS 32 SITEPROP SLICE_X52Y130 NUM_INPUTS 37 SITEPROP SLICE_X52Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y130 NUM_PINS 50 SITEPROP SLICE_X52Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y130 PROHIBIT 0 SITEPROP SLICE_X52Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y130 RPM_X 137 SITEPROP SLICE_X52Y130 RPM_Y 260 SITEPROP SLICE_X52Y130 SITE_PIPS SITEPROP SLICE_X52Y130 SITE_TYPE SLICEM SITEPROP SLICE_X52Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y131 CLASS site SITEPROP SLICE_X52Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y131 IS_BONDED 0 SITEPROP SLICE_X52Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y131 IS_PAD 0 SITEPROP SLICE_X52Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y131 IS_RESERVED 0 SITEPROP SLICE_X52Y131 IS_TEST 0 SITEPROP SLICE_X52Y131 IS_USED 0 SITEPROP SLICE_X52Y131 MANUAL_ROUTING SITEPROP SLICE_X52Y131 NAME SLICE_X52Y131 SITEPROP SLICE_X52Y131 NUM_ARCS 153 SITEPROP SLICE_X52Y131 NUM_BELS 32 SITEPROP SLICE_X52Y131 NUM_INPUTS 37 SITEPROP SLICE_X52Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y131 NUM_PINS 50 SITEPROP SLICE_X52Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y131 PROHIBIT 0 SITEPROP SLICE_X52Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y131 RPM_X 137 SITEPROP SLICE_X52Y131 RPM_Y 262 SITEPROP SLICE_X52Y131 SITE_PIPS SITEPROP SLICE_X52Y131 SITE_TYPE SLICEM SITEPROP SLICE_X52Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y132 CLASS site SITEPROP SLICE_X52Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y132 IS_BONDED 0 SITEPROP SLICE_X52Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y132 IS_PAD 0 SITEPROP SLICE_X52Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y132 IS_RESERVED 0 SITEPROP SLICE_X52Y132 IS_TEST 0 SITEPROP SLICE_X52Y132 IS_USED 0 SITEPROP SLICE_X52Y132 MANUAL_ROUTING SITEPROP SLICE_X52Y132 NAME SLICE_X52Y132 SITEPROP SLICE_X52Y132 NUM_ARCS 153 SITEPROP SLICE_X52Y132 NUM_BELS 32 SITEPROP SLICE_X52Y132 NUM_INPUTS 37 SITEPROP SLICE_X52Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y132 NUM_PINS 50 SITEPROP SLICE_X52Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y132 PROHIBIT 0 SITEPROP SLICE_X52Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y132 RPM_X 137 SITEPROP SLICE_X52Y132 RPM_Y 264 SITEPROP SLICE_X52Y132 SITE_PIPS SITEPROP SLICE_X52Y132 SITE_TYPE SLICEM SITEPROP SLICE_X52Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y133 CLASS site SITEPROP SLICE_X52Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y133 IS_BONDED 0 SITEPROP SLICE_X52Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y133 IS_PAD 0 SITEPROP SLICE_X52Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y133 IS_RESERVED 0 SITEPROP SLICE_X52Y133 IS_TEST 0 SITEPROP SLICE_X52Y133 IS_USED 0 SITEPROP SLICE_X52Y133 MANUAL_ROUTING SITEPROP SLICE_X52Y133 NAME SLICE_X52Y133 SITEPROP SLICE_X52Y133 NUM_ARCS 153 SITEPROP SLICE_X52Y133 NUM_BELS 32 SITEPROP SLICE_X52Y133 NUM_INPUTS 37 SITEPROP SLICE_X52Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y133 NUM_PINS 50 SITEPROP SLICE_X52Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y133 PROHIBIT 0 SITEPROP SLICE_X52Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y133 RPM_X 137 SITEPROP SLICE_X52Y133 RPM_Y 266 SITEPROP SLICE_X52Y133 SITE_PIPS SITEPROP SLICE_X52Y133 SITE_TYPE SLICEM SITEPROP SLICE_X52Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y134 CLASS site SITEPROP SLICE_X52Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y134 IS_BONDED 0 SITEPROP SLICE_X52Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y134 IS_PAD 0 SITEPROP SLICE_X52Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y134 IS_RESERVED 0 SITEPROP SLICE_X52Y134 IS_TEST 0 SITEPROP SLICE_X52Y134 IS_USED 0 SITEPROP SLICE_X52Y134 MANUAL_ROUTING SITEPROP SLICE_X52Y134 NAME SLICE_X52Y134 SITEPROP SLICE_X52Y134 NUM_ARCS 153 SITEPROP SLICE_X52Y134 NUM_BELS 32 SITEPROP SLICE_X52Y134 NUM_INPUTS 37 SITEPROP SLICE_X52Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y134 NUM_PINS 50 SITEPROP SLICE_X52Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y134 PROHIBIT 0 SITEPROP SLICE_X52Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y134 RPM_X 137 SITEPROP SLICE_X52Y134 RPM_Y 268 SITEPROP SLICE_X52Y134 SITE_PIPS SITEPROP SLICE_X52Y134 SITE_TYPE SLICEM SITEPROP SLICE_X52Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y135 CLASS site SITEPROP SLICE_X52Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y135 IS_BONDED 0 SITEPROP SLICE_X52Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y135 IS_PAD 0 SITEPROP SLICE_X52Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y135 IS_RESERVED 0 SITEPROP SLICE_X52Y135 IS_TEST 0 SITEPROP SLICE_X52Y135 IS_USED 0 SITEPROP SLICE_X52Y135 MANUAL_ROUTING SITEPROP SLICE_X52Y135 NAME SLICE_X52Y135 SITEPROP SLICE_X52Y135 NUM_ARCS 153 SITEPROP SLICE_X52Y135 NUM_BELS 32 SITEPROP SLICE_X52Y135 NUM_INPUTS 37 SITEPROP SLICE_X52Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y135 NUM_PINS 50 SITEPROP SLICE_X52Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y135 PROHIBIT 0 SITEPROP SLICE_X52Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y135 RPM_X 137 SITEPROP SLICE_X52Y135 RPM_Y 270 SITEPROP SLICE_X52Y135 SITE_PIPS SITEPROP SLICE_X52Y135 SITE_TYPE SLICEM SITEPROP SLICE_X52Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y136 CLASS site SITEPROP SLICE_X52Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y136 IS_BONDED 0 SITEPROP SLICE_X52Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y136 IS_PAD 0 SITEPROP SLICE_X52Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y136 IS_RESERVED 0 SITEPROP SLICE_X52Y136 IS_TEST 0 SITEPROP SLICE_X52Y136 IS_USED 0 SITEPROP SLICE_X52Y136 MANUAL_ROUTING SITEPROP SLICE_X52Y136 NAME SLICE_X52Y136 SITEPROP SLICE_X52Y136 NUM_ARCS 153 SITEPROP SLICE_X52Y136 NUM_BELS 32 SITEPROP SLICE_X52Y136 NUM_INPUTS 37 SITEPROP SLICE_X52Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y136 NUM_PINS 50 SITEPROP SLICE_X52Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y136 PROHIBIT 0 SITEPROP SLICE_X52Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y136 RPM_X 137 SITEPROP SLICE_X52Y136 RPM_Y 272 SITEPROP SLICE_X52Y136 SITE_PIPS SITEPROP SLICE_X52Y136 SITE_TYPE SLICEM SITEPROP SLICE_X52Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y137 CLASS site SITEPROP SLICE_X52Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y137 IS_BONDED 0 SITEPROP SLICE_X52Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y137 IS_PAD 0 SITEPROP SLICE_X52Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y137 IS_RESERVED 0 SITEPROP SLICE_X52Y137 IS_TEST 0 SITEPROP SLICE_X52Y137 IS_USED 0 SITEPROP SLICE_X52Y137 MANUAL_ROUTING SITEPROP SLICE_X52Y137 NAME SLICE_X52Y137 SITEPROP SLICE_X52Y137 NUM_ARCS 153 SITEPROP SLICE_X52Y137 NUM_BELS 32 SITEPROP SLICE_X52Y137 NUM_INPUTS 37 SITEPROP SLICE_X52Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y137 NUM_PINS 50 SITEPROP SLICE_X52Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y137 PROHIBIT 0 SITEPROP SLICE_X52Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y137 RPM_X 137 SITEPROP SLICE_X52Y137 RPM_Y 274 SITEPROP SLICE_X52Y137 SITE_PIPS SITEPROP SLICE_X52Y137 SITE_TYPE SLICEM SITEPROP SLICE_X52Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y138 CLASS site SITEPROP SLICE_X52Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y138 IS_BONDED 0 SITEPROP SLICE_X52Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y138 IS_PAD 0 SITEPROP SLICE_X52Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y138 IS_RESERVED 0 SITEPROP SLICE_X52Y138 IS_TEST 0 SITEPROP SLICE_X52Y138 IS_USED 0 SITEPROP SLICE_X52Y138 MANUAL_ROUTING SITEPROP SLICE_X52Y138 NAME SLICE_X52Y138 SITEPROP SLICE_X52Y138 NUM_ARCS 153 SITEPROP SLICE_X52Y138 NUM_BELS 32 SITEPROP SLICE_X52Y138 NUM_INPUTS 37 SITEPROP SLICE_X52Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y138 NUM_PINS 50 SITEPROP SLICE_X52Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y138 PROHIBIT 0 SITEPROP SLICE_X52Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y138 RPM_X 137 SITEPROP SLICE_X52Y138 RPM_Y 276 SITEPROP SLICE_X52Y138 SITE_PIPS SITEPROP SLICE_X52Y138 SITE_TYPE SLICEM SITEPROP SLICE_X52Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y139 CLASS site SITEPROP SLICE_X52Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y139 IS_BONDED 0 SITEPROP SLICE_X52Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y139 IS_PAD 0 SITEPROP SLICE_X52Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y139 IS_RESERVED 0 SITEPROP SLICE_X52Y139 IS_TEST 0 SITEPROP SLICE_X52Y139 IS_USED 0 SITEPROP SLICE_X52Y139 MANUAL_ROUTING SITEPROP SLICE_X52Y139 NAME SLICE_X52Y139 SITEPROP SLICE_X52Y139 NUM_ARCS 153 SITEPROP SLICE_X52Y139 NUM_BELS 32 SITEPROP SLICE_X52Y139 NUM_INPUTS 37 SITEPROP SLICE_X52Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y139 NUM_PINS 50 SITEPROP SLICE_X52Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y139 PROHIBIT 0 SITEPROP SLICE_X52Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y139 RPM_X 137 SITEPROP SLICE_X52Y139 RPM_Y 278 SITEPROP SLICE_X52Y139 SITE_PIPS SITEPROP SLICE_X52Y139 SITE_TYPE SLICEM SITEPROP SLICE_X52Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y140 CLASS site SITEPROP SLICE_X52Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y140 IS_BONDED 0 SITEPROP SLICE_X52Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y140 IS_PAD 0 SITEPROP SLICE_X52Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y140 IS_RESERVED 0 SITEPROP SLICE_X52Y140 IS_TEST 0 SITEPROP SLICE_X52Y140 IS_USED 0 SITEPROP SLICE_X52Y140 MANUAL_ROUTING SITEPROP SLICE_X52Y140 NAME SLICE_X52Y140 SITEPROP SLICE_X52Y140 NUM_ARCS 153 SITEPROP SLICE_X52Y140 NUM_BELS 32 SITEPROP SLICE_X52Y140 NUM_INPUTS 37 SITEPROP SLICE_X52Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y140 NUM_PINS 50 SITEPROP SLICE_X52Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y140 PROHIBIT 0 SITEPROP SLICE_X52Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y140 RPM_X 137 SITEPROP SLICE_X52Y140 RPM_Y 280 SITEPROP SLICE_X52Y140 SITE_PIPS SITEPROP SLICE_X52Y140 SITE_TYPE SLICEM SITEPROP SLICE_X52Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y141 CLASS site SITEPROP SLICE_X52Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y141 IS_BONDED 0 SITEPROP SLICE_X52Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y141 IS_PAD 0 SITEPROP SLICE_X52Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y141 IS_RESERVED 0 SITEPROP SLICE_X52Y141 IS_TEST 0 SITEPROP SLICE_X52Y141 IS_USED 0 SITEPROP SLICE_X52Y141 MANUAL_ROUTING SITEPROP SLICE_X52Y141 NAME SLICE_X52Y141 SITEPROP SLICE_X52Y141 NUM_ARCS 153 SITEPROP SLICE_X52Y141 NUM_BELS 32 SITEPROP SLICE_X52Y141 NUM_INPUTS 37 SITEPROP SLICE_X52Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y141 NUM_PINS 50 SITEPROP SLICE_X52Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y141 PROHIBIT 0 SITEPROP SLICE_X52Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y141 RPM_X 137 SITEPROP SLICE_X52Y141 RPM_Y 282 SITEPROP SLICE_X52Y141 SITE_PIPS SITEPROP SLICE_X52Y141 SITE_TYPE SLICEM SITEPROP SLICE_X52Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y142 CLASS site SITEPROP SLICE_X52Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y142 IS_BONDED 0 SITEPROP SLICE_X52Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y142 IS_PAD 0 SITEPROP SLICE_X52Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y142 IS_RESERVED 0 SITEPROP SLICE_X52Y142 IS_TEST 0 SITEPROP SLICE_X52Y142 IS_USED 0 SITEPROP SLICE_X52Y142 MANUAL_ROUTING SITEPROP SLICE_X52Y142 NAME SLICE_X52Y142 SITEPROP SLICE_X52Y142 NUM_ARCS 153 SITEPROP SLICE_X52Y142 NUM_BELS 32 SITEPROP SLICE_X52Y142 NUM_INPUTS 37 SITEPROP SLICE_X52Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y142 NUM_PINS 50 SITEPROP SLICE_X52Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y142 PROHIBIT 0 SITEPROP SLICE_X52Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y142 RPM_X 137 SITEPROP SLICE_X52Y142 RPM_Y 284 SITEPROP SLICE_X52Y142 SITE_PIPS SITEPROP SLICE_X52Y142 SITE_TYPE SLICEM SITEPROP SLICE_X52Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y143 CLASS site SITEPROP SLICE_X52Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y143 IS_BONDED 0 SITEPROP SLICE_X52Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y143 IS_PAD 0 SITEPROP SLICE_X52Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y143 IS_RESERVED 0 SITEPROP SLICE_X52Y143 IS_TEST 0 SITEPROP SLICE_X52Y143 IS_USED 0 SITEPROP SLICE_X52Y143 MANUAL_ROUTING SITEPROP SLICE_X52Y143 NAME SLICE_X52Y143 SITEPROP SLICE_X52Y143 NUM_ARCS 153 SITEPROP SLICE_X52Y143 NUM_BELS 32 SITEPROP SLICE_X52Y143 NUM_INPUTS 37 SITEPROP SLICE_X52Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y143 NUM_PINS 50 SITEPROP SLICE_X52Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y143 PROHIBIT 0 SITEPROP SLICE_X52Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y143 RPM_X 137 SITEPROP SLICE_X52Y143 RPM_Y 286 SITEPROP SLICE_X52Y143 SITE_PIPS SITEPROP SLICE_X52Y143 SITE_TYPE SLICEM SITEPROP SLICE_X52Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y144 CLASS site SITEPROP SLICE_X52Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y144 IS_BONDED 0 SITEPROP SLICE_X52Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y144 IS_PAD 0 SITEPROP SLICE_X52Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y144 IS_RESERVED 0 SITEPROP SLICE_X52Y144 IS_TEST 0 SITEPROP SLICE_X52Y144 IS_USED 0 SITEPROP SLICE_X52Y144 MANUAL_ROUTING SITEPROP SLICE_X52Y144 NAME SLICE_X52Y144 SITEPROP SLICE_X52Y144 NUM_ARCS 153 SITEPROP SLICE_X52Y144 NUM_BELS 32 SITEPROP SLICE_X52Y144 NUM_INPUTS 37 SITEPROP SLICE_X52Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y144 NUM_PINS 50 SITEPROP SLICE_X52Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y144 PROHIBIT 0 SITEPROP SLICE_X52Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y144 RPM_X 137 SITEPROP SLICE_X52Y144 RPM_Y 288 SITEPROP SLICE_X52Y144 SITE_PIPS SITEPROP SLICE_X52Y144 SITE_TYPE SLICEM SITEPROP SLICE_X52Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y145 CLASS site SITEPROP SLICE_X52Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y145 IS_BONDED 0 SITEPROP SLICE_X52Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y145 IS_PAD 0 SITEPROP SLICE_X52Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y145 IS_RESERVED 0 SITEPROP SLICE_X52Y145 IS_TEST 0 SITEPROP SLICE_X52Y145 IS_USED 0 SITEPROP SLICE_X52Y145 MANUAL_ROUTING SITEPROP SLICE_X52Y145 NAME SLICE_X52Y145 SITEPROP SLICE_X52Y145 NUM_ARCS 153 SITEPROP SLICE_X52Y145 NUM_BELS 32 SITEPROP SLICE_X52Y145 NUM_INPUTS 37 SITEPROP SLICE_X52Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y145 NUM_PINS 50 SITEPROP SLICE_X52Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y145 PROHIBIT 0 SITEPROP SLICE_X52Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y145 RPM_X 137 SITEPROP SLICE_X52Y145 RPM_Y 290 SITEPROP SLICE_X52Y145 SITE_PIPS SITEPROP SLICE_X52Y145 SITE_TYPE SLICEM SITEPROP SLICE_X52Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y146 CLASS site SITEPROP SLICE_X52Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y146 IS_BONDED 0 SITEPROP SLICE_X52Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y146 IS_PAD 0 SITEPROP SLICE_X52Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y146 IS_RESERVED 0 SITEPROP SLICE_X52Y146 IS_TEST 0 SITEPROP SLICE_X52Y146 IS_USED 0 SITEPROP SLICE_X52Y146 MANUAL_ROUTING SITEPROP SLICE_X52Y146 NAME SLICE_X52Y146 SITEPROP SLICE_X52Y146 NUM_ARCS 153 SITEPROP SLICE_X52Y146 NUM_BELS 32 SITEPROP SLICE_X52Y146 NUM_INPUTS 37 SITEPROP SLICE_X52Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y146 NUM_PINS 50 SITEPROP SLICE_X52Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y146 PROHIBIT 0 SITEPROP SLICE_X52Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y146 RPM_X 137 SITEPROP SLICE_X52Y146 RPM_Y 292 SITEPROP SLICE_X52Y146 SITE_PIPS SITEPROP SLICE_X52Y146 SITE_TYPE SLICEM SITEPROP SLICE_X52Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y147 CLASS site SITEPROP SLICE_X52Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y147 IS_BONDED 0 SITEPROP SLICE_X52Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y147 IS_PAD 0 SITEPROP SLICE_X52Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y147 IS_RESERVED 0 SITEPROP SLICE_X52Y147 IS_TEST 0 SITEPROP SLICE_X52Y147 IS_USED 0 SITEPROP SLICE_X52Y147 MANUAL_ROUTING SITEPROP SLICE_X52Y147 NAME SLICE_X52Y147 SITEPROP SLICE_X52Y147 NUM_ARCS 153 SITEPROP SLICE_X52Y147 NUM_BELS 32 SITEPROP SLICE_X52Y147 NUM_INPUTS 37 SITEPROP SLICE_X52Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y147 NUM_PINS 50 SITEPROP SLICE_X52Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y147 PROHIBIT 0 SITEPROP SLICE_X52Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y147 RPM_X 137 SITEPROP SLICE_X52Y147 RPM_Y 294 SITEPROP SLICE_X52Y147 SITE_PIPS SITEPROP SLICE_X52Y147 SITE_TYPE SLICEM SITEPROP SLICE_X52Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y148 CLASS site SITEPROP SLICE_X52Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y148 IS_BONDED 0 SITEPROP SLICE_X52Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y148 IS_PAD 0 SITEPROP SLICE_X52Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y148 IS_RESERVED 0 SITEPROP SLICE_X52Y148 IS_TEST 0 SITEPROP SLICE_X52Y148 IS_USED 0 SITEPROP SLICE_X52Y148 MANUAL_ROUTING SITEPROP SLICE_X52Y148 NAME SLICE_X52Y148 SITEPROP SLICE_X52Y148 NUM_ARCS 153 SITEPROP SLICE_X52Y148 NUM_BELS 32 SITEPROP SLICE_X52Y148 NUM_INPUTS 37 SITEPROP SLICE_X52Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y148 NUM_PINS 50 SITEPROP SLICE_X52Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y148 PROHIBIT 0 SITEPROP SLICE_X52Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y148 RPM_X 137 SITEPROP SLICE_X52Y148 RPM_Y 296 SITEPROP SLICE_X52Y148 SITE_PIPS SITEPROP SLICE_X52Y148 SITE_TYPE SLICEM SITEPROP SLICE_X52Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X52Y149 CLASS site SITEPROP SLICE_X52Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X52Y149 IS_BONDED 0 SITEPROP SLICE_X52Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X52Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y149 IS_PAD 0 SITEPROP SLICE_X52Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X52Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X52Y149 IS_RESERVED 0 SITEPROP SLICE_X52Y149 IS_TEST 0 SITEPROP SLICE_X52Y149 IS_USED 0 SITEPROP SLICE_X52Y149 MANUAL_ROUTING SITEPROP SLICE_X52Y149 NAME SLICE_X52Y149 SITEPROP SLICE_X52Y149 NUM_ARCS 153 SITEPROP SLICE_X52Y149 NUM_BELS 32 SITEPROP SLICE_X52Y149 NUM_INPUTS 37 SITEPROP SLICE_X52Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X52Y149 NUM_PINS 50 SITEPROP SLICE_X52Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X52Y149 PROHIBIT 0 SITEPROP SLICE_X52Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X52Y149 RPM_X 137 SITEPROP SLICE_X52Y149 RPM_Y 298 SITEPROP SLICE_X52Y149 SITE_PIPS SITEPROP SLICE_X52Y149 SITE_TYPE SLICEM SITEPROP SLICE_X53Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y0 CLASS site SITEPROP SLICE_X53Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y0 IS_BONDED 0 SITEPROP SLICE_X53Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y0 IS_PAD 0 SITEPROP SLICE_X53Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y0 IS_RESERVED 0 SITEPROP SLICE_X53Y0 IS_TEST 0 SITEPROP SLICE_X53Y0 IS_USED 0 SITEPROP SLICE_X53Y0 MANUAL_ROUTING SITEPROP SLICE_X53Y0 NAME SLICE_X53Y0 SITEPROP SLICE_X53Y0 NUM_ARCS 138 SITEPROP SLICE_X53Y0 NUM_BELS 32 SITEPROP SLICE_X53Y0 NUM_INPUTS 32 SITEPROP SLICE_X53Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y0 NUM_PINS 45 SITEPROP SLICE_X53Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y0 PROHIBIT 0 SITEPROP SLICE_X53Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y0 RPM_X 139 SITEPROP SLICE_X53Y0 RPM_Y 0 SITEPROP SLICE_X53Y0 SITE_PIPS SITEPROP SLICE_X53Y0 SITE_TYPE SLICEL SITEPROP SLICE_X53Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y1 CLASS site SITEPROP SLICE_X53Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y1 IS_BONDED 0 SITEPROP SLICE_X53Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y1 IS_PAD 0 SITEPROP SLICE_X53Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y1 IS_RESERVED 0 SITEPROP SLICE_X53Y1 IS_TEST 0 SITEPROP SLICE_X53Y1 IS_USED 0 SITEPROP SLICE_X53Y1 MANUAL_ROUTING SITEPROP SLICE_X53Y1 NAME SLICE_X53Y1 SITEPROP SLICE_X53Y1 NUM_ARCS 138 SITEPROP SLICE_X53Y1 NUM_BELS 32 SITEPROP SLICE_X53Y1 NUM_INPUTS 32 SITEPROP SLICE_X53Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y1 NUM_PINS 45 SITEPROP SLICE_X53Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y1 PROHIBIT 0 SITEPROP SLICE_X53Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y1 RPM_X 139 SITEPROP SLICE_X53Y1 RPM_Y 2 SITEPROP SLICE_X53Y1 SITE_PIPS SITEPROP SLICE_X53Y1 SITE_TYPE SLICEL SITEPROP SLICE_X53Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y2 CLASS site SITEPROP SLICE_X53Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y2 IS_BONDED 0 SITEPROP SLICE_X53Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y2 IS_PAD 0 SITEPROP SLICE_X53Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y2 IS_RESERVED 0 SITEPROP SLICE_X53Y2 IS_TEST 0 SITEPROP SLICE_X53Y2 IS_USED 0 SITEPROP SLICE_X53Y2 MANUAL_ROUTING SITEPROP SLICE_X53Y2 NAME SLICE_X53Y2 SITEPROP SLICE_X53Y2 NUM_ARCS 138 SITEPROP SLICE_X53Y2 NUM_BELS 32 SITEPROP SLICE_X53Y2 NUM_INPUTS 32 SITEPROP SLICE_X53Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y2 NUM_PINS 45 SITEPROP SLICE_X53Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y2 PROHIBIT 0 SITEPROP SLICE_X53Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y2 RPM_X 139 SITEPROP SLICE_X53Y2 RPM_Y 4 SITEPROP SLICE_X53Y2 SITE_PIPS SITEPROP SLICE_X53Y2 SITE_TYPE SLICEL SITEPROP SLICE_X53Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y3 CLASS site SITEPROP SLICE_X53Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y3 IS_BONDED 0 SITEPROP SLICE_X53Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y3 IS_PAD 0 SITEPROP SLICE_X53Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y3 IS_RESERVED 0 SITEPROP SLICE_X53Y3 IS_TEST 0 SITEPROP SLICE_X53Y3 IS_USED 0 SITEPROP SLICE_X53Y3 MANUAL_ROUTING SITEPROP SLICE_X53Y3 NAME SLICE_X53Y3 SITEPROP SLICE_X53Y3 NUM_ARCS 138 SITEPROP SLICE_X53Y3 NUM_BELS 32 SITEPROP SLICE_X53Y3 NUM_INPUTS 32 SITEPROP SLICE_X53Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y3 NUM_PINS 45 SITEPROP SLICE_X53Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y3 PROHIBIT 0 SITEPROP SLICE_X53Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y3 RPM_X 139 SITEPROP SLICE_X53Y3 RPM_Y 6 SITEPROP SLICE_X53Y3 SITE_PIPS SITEPROP SLICE_X53Y3 SITE_TYPE SLICEL SITEPROP SLICE_X53Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y4 CLASS site SITEPROP SLICE_X53Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y4 IS_BONDED 0 SITEPROP SLICE_X53Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y4 IS_PAD 0 SITEPROP SLICE_X53Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y4 IS_RESERVED 0 SITEPROP SLICE_X53Y4 IS_TEST 0 SITEPROP SLICE_X53Y4 IS_USED 0 SITEPROP SLICE_X53Y4 MANUAL_ROUTING SITEPROP SLICE_X53Y4 NAME SLICE_X53Y4 SITEPROP SLICE_X53Y4 NUM_ARCS 138 SITEPROP SLICE_X53Y4 NUM_BELS 32 SITEPROP SLICE_X53Y4 NUM_INPUTS 32 SITEPROP SLICE_X53Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y4 NUM_PINS 45 SITEPROP SLICE_X53Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y4 PROHIBIT 0 SITEPROP SLICE_X53Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y4 RPM_X 139 SITEPROP SLICE_X53Y4 RPM_Y 8 SITEPROP SLICE_X53Y4 SITE_PIPS SITEPROP SLICE_X53Y4 SITE_TYPE SLICEL SITEPROP SLICE_X53Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y5 CLASS site SITEPROP SLICE_X53Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y5 IS_BONDED 0 SITEPROP SLICE_X53Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y5 IS_PAD 0 SITEPROP SLICE_X53Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y5 IS_RESERVED 0 SITEPROP SLICE_X53Y5 IS_TEST 0 SITEPROP SLICE_X53Y5 IS_USED 0 SITEPROP SLICE_X53Y5 MANUAL_ROUTING SITEPROP SLICE_X53Y5 NAME SLICE_X53Y5 SITEPROP SLICE_X53Y5 NUM_ARCS 138 SITEPROP SLICE_X53Y5 NUM_BELS 32 SITEPROP SLICE_X53Y5 NUM_INPUTS 32 SITEPROP SLICE_X53Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y5 NUM_PINS 45 SITEPROP SLICE_X53Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y5 PROHIBIT 0 SITEPROP SLICE_X53Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y5 RPM_X 139 SITEPROP SLICE_X53Y5 RPM_Y 10 SITEPROP SLICE_X53Y5 SITE_PIPS SITEPROP SLICE_X53Y5 SITE_TYPE SLICEL SITEPROP SLICE_X53Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y6 CLASS site SITEPROP SLICE_X53Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y6 IS_BONDED 0 SITEPROP SLICE_X53Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y6 IS_PAD 0 SITEPROP SLICE_X53Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y6 IS_RESERVED 0 SITEPROP SLICE_X53Y6 IS_TEST 0 SITEPROP SLICE_X53Y6 IS_USED 0 SITEPROP SLICE_X53Y6 MANUAL_ROUTING SITEPROP SLICE_X53Y6 NAME SLICE_X53Y6 SITEPROP SLICE_X53Y6 NUM_ARCS 138 SITEPROP SLICE_X53Y6 NUM_BELS 32 SITEPROP SLICE_X53Y6 NUM_INPUTS 32 SITEPROP SLICE_X53Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y6 NUM_PINS 45 SITEPROP SLICE_X53Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y6 PROHIBIT 0 SITEPROP SLICE_X53Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y6 RPM_X 139 SITEPROP SLICE_X53Y6 RPM_Y 12 SITEPROP SLICE_X53Y6 SITE_PIPS SITEPROP SLICE_X53Y6 SITE_TYPE SLICEL SITEPROP SLICE_X53Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y7 CLASS site SITEPROP SLICE_X53Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y7 IS_BONDED 0 SITEPROP SLICE_X53Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y7 IS_PAD 0 SITEPROP SLICE_X53Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y7 IS_RESERVED 0 SITEPROP SLICE_X53Y7 IS_TEST 0 SITEPROP SLICE_X53Y7 IS_USED 0 SITEPROP SLICE_X53Y7 MANUAL_ROUTING SITEPROP SLICE_X53Y7 NAME SLICE_X53Y7 SITEPROP SLICE_X53Y7 NUM_ARCS 138 SITEPROP SLICE_X53Y7 NUM_BELS 32 SITEPROP SLICE_X53Y7 NUM_INPUTS 32 SITEPROP SLICE_X53Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y7 NUM_PINS 45 SITEPROP SLICE_X53Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y7 PROHIBIT 0 SITEPROP SLICE_X53Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y7 RPM_X 139 SITEPROP SLICE_X53Y7 RPM_Y 14 SITEPROP SLICE_X53Y7 SITE_PIPS SITEPROP SLICE_X53Y7 SITE_TYPE SLICEL SITEPROP SLICE_X53Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y8 CLASS site SITEPROP SLICE_X53Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y8 IS_BONDED 0 SITEPROP SLICE_X53Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y8 IS_PAD 0 SITEPROP SLICE_X53Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y8 IS_RESERVED 0 SITEPROP SLICE_X53Y8 IS_TEST 0 SITEPROP SLICE_X53Y8 IS_USED 0 SITEPROP SLICE_X53Y8 MANUAL_ROUTING SITEPROP SLICE_X53Y8 NAME SLICE_X53Y8 SITEPROP SLICE_X53Y8 NUM_ARCS 138 SITEPROP SLICE_X53Y8 NUM_BELS 32 SITEPROP SLICE_X53Y8 NUM_INPUTS 32 SITEPROP SLICE_X53Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y8 NUM_PINS 45 SITEPROP SLICE_X53Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y8 PROHIBIT 0 SITEPROP SLICE_X53Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y8 RPM_X 139 SITEPROP SLICE_X53Y8 RPM_Y 16 SITEPROP SLICE_X53Y8 SITE_PIPS SITEPROP SLICE_X53Y8 SITE_TYPE SLICEL SITEPROP SLICE_X53Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y9 CLASS site SITEPROP SLICE_X53Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y9 IS_BONDED 0 SITEPROP SLICE_X53Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y9 IS_PAD 0 SITEPROP SLICE_X53Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y9 IS_RESERVED 0 SITEPROP SLICE_X53Y9 IS_TEST 0 SITEPROP SLICE_X53Y9 IS_USED 0 SITEPROP SLICE_X53Y9 MANUAL_ROUTING SITEPROP SLICE_X53Y9 NAME SLICE_X53Y9 SITEPROP SLICE_X53Y9 NUM_ARCS 138 SITEPROP SLICE_X53Y9 NUM_BELS 32 SITEPROP SLICE_X53Y9 NUM_INPUTS 32 SITEPROP SLICE_X53Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y9 NUM_PINS 45 SITEPROP SLICE_X53Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y9 PROHIBIT 0 SITEPROP SLICE_X53Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y9 RPM_X 139 SITEPROP SLICE_X53Y9 RPM_Y 18 SITEPROP SLICE_X53Y9 SITE_PIPS SITEPROP SLICE_X53Y9 SITE_TYPE SLICEL SITEPROP SLICE_X53Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y10 CLASS site SITEPROP SLICE_X53Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y10 IS_BONDED 0 SITEPROP SLICE_X53Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y10 IS_PAD 0 SITEPROP SLICE_X53Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y10 IS_RESERVED 0 SITEPROP SLICE_X53Y10 IS_TEST 0 SITEPROP SLICE_X53Y10 IS_USED 0 SITEPROP SLICE_X53Y10 MANUAL_ROUTING SITEPROP SLICE_X53Y10 NAME SLICE_X53Y10 SITEPROP SLICE_X53Y10 NUM_ARCS 138 SITEPROP SLICE_X53Y10 NUM_BELS 32 SITEPROP SLICE_X53Y10 NUM_INPUTS 32 SITEPROP SLICE_X53Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y10 NUM_PINS 45 SITEPROP SLICE_X53Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y10 PROHIBIT 0 SITEPROP SLICE_X53Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y10 RPM_X 139 SITEPROP SLICE_X53Y10 RPM_Y 20 SITEPROP SLICE_X53Y10 SITE_PIPS SITEPROP SLICE_X53Y10 SITE_TYPE SLICEL SITEPROP SLICE_X53Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y11 CLASS site SITEPROP SLICE_X53Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y11 IS_BONDED 0 SITEPROP SLICE_X53Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y11 IS_PAD 0 SITEPROP SLICE_X53Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y11 IS_RESERVED 0 SITEPROP SLICE_X53Y11 IS_TEST 0 SITEPROP SLICE_X53Y11 IS_USED 0 SITEPROP SLICE_X53Y11 MANUAL_ROUTING SITEPROP SLICE_X53Y11 NAME SLICE_X53Y11 SITEPROP SLICE_X53Y11 NUM_ARCS 138 SITEPROP SLICE_X53Y11 NUM_BELS 32 SITEPROP SLICE_X53Y11 NUM_INPUTS 32 SITEPROP SLICE_X53Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y11 NUM_PINS 45 SITEPROP SLICE_X53Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y11 PROHIBIT 0 SITEPROP SLICE_X53Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y11 RPM_X 139 SITEPROP SLICE_X53Y11 RPM_Y 22 SITEPROP SLICE_X53Y11 SITE_PIPS SITEPROP SLICE_X53Y11 SITE_TYPE SLICEL SITEPROP SLICE_X53Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y12 CLASS site SITEPROP SLICE_X53Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y12 IS_BONDED 0 SITEPROP SLICE_X53Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y12 IS_PAD 0 SITEPROP SLICE_X53Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y12 IS_RESERVED 0 SITEPROP SLICE_X53Y12 IS_TEST 0 SITEPROP SLICE_X53Y12 IS_USED 0 SITEPROP SLICE_X53Y12 MANUAL_ROUTING SITEPROP SLICE_X53Y12 NAME SLICE_X53Y12 SITEPROP SLICE_X53Y12 NUM_ARCS 138 SITEPROP SLICE_X53Y12 NUM_BELS 32 SITEPROP SLICE_X53Y12 NUM_INPUTS 32 SITEPROP SLICE_X53Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y12 NUM_PINS 45 SITEPROP SLICE_X53Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y12 PROHIBIT 0 SITEPROP SLICE_X53Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y12 RPM_X 139 SITEPROP SLICE_X53Y12 RPM_Y 24 SITEPROP SLICE_X53Y12 SITE_PIPS SITEPROP SLICE_X53Y12 SITE_TYPE SLICEL SITEPROP SLICE_X53Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y13 CLASS site SITEPROP SLICE_X53Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y13 IS_BONDED 0 SITEPROP SLICE_X53Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y13 IS_PAD 0 SITEPROP SLICE_X53Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y13 IS_RESERVED 0 SITEPROP SLICE_X53Y13 IS_TEST 0 SITEPROP SLICE_X53Y13 IS_USED 0 SITEPROP SLICE_X53Y13 MANUAL_ROUTING SITEPROP SLICE_X53Y13 NAME SLICE_X53Y13 SITEPROP SLICE_X53Y13 NUM_ARCS 138 SITEPROP SLICE_X53Y13 NUM_BELS 32 SITEPROP SLICE_X53Y13 NUM_INPUTS 32 SITEPROP SLICE_X53Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y13 NUM_PINS 45 SITEPROP SLICE_X53Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y13 PROHIBIT 0 SITEPROP SLICE_X53Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y13 RPM_X 139 SITEPROP SLICE_X53Y13 RPM_Y 26 SITEPROP SLICE_X53Y13 SITE_PIPS SITEPROP SLICE_X53Y13 SITE_TYPE SLICEL SITEPROP SLICE_X53Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y14 CLASS site SITEPROP SLICE_X53Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y14 IS_BONDED 0 SITEPROP SLICE_X53Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y14 IS_PAD 0 SITEPROP SLICE_X53Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y14 IS_RESERVED 0 SITEPROP SLICE_X53Y14 IS_TEST 0 SITEPROP SLICE_X53Y14 IS_USED 0 SITEPROP SLICE_X53Y14 MANUAL_ROUTING SITEPROP SLICE_X53Y14 NAME SLICE_X53Y14 SITEPROP SLICE_X53Y14 NUM_ARCS 138 SITEPROP SLICE_X53Y14 NUM_BELS 32 SITEPROP SLICE_X53Y14 NUM_INPUTS 32 SITEPROP SLICE_X53Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y14 NUM_PINS 45 SITEPROP SLICE_X53Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y14 PROHIBIT 0 SITEPROP SLICE_X53Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y14 RPM_X 139 SITEPROP SLICE_X53Y14 RPM_Y 28 SITEPROP SLICE_X53Y14 SITE_PIPS SITEPROP SLICE_X53Y14 SITE_TYPE SLICEL SITEPROP SLICE_X53Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y15 CLASS site SITEPROP SLICE_X53Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y15 IS_BONDED 0 SITEPROP SLICE_X53Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y15 IS_PAD 0 SITEPROP SLICE_X53Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y15 IS_RESERVED 0 SITEPROP SLICE_X53Y15 IS_TEST 0 SITEPROP SLICE_X53Y15 IS_USED 0 SITEPROP SLICE_X53Y15 MANUAL_ROUTING SITEPROP SLICE_X53Y15 NAME SLICE_X53Y15 SITEPROP SLICE_X53Y15 NUM_ARCS 138 SITEPROP SLICE_X53Y15 NUM_BELS 32 SITEPROP SLICE_X53Y15 NUM_INPUTS 32 SITEPROP SLICE_X53Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y15 NUM_PINS 45 SITEPROP SLICE_X53Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y15 PROHIBIT 0 SITEPROP SLICE_X53Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y15 RPM_X 139 SITEPROP SLICE_X53Y15 RPM_Y 30 SITEPROP SLICE_X53Y15 SITE_PIPS SITEPROP SLICE_X53Y15 SITE_TYPE SLICEL SITEPROP SLICE_X53Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y16 CLASS site SITEPROP SLICE_X53Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y16 IS_BONDED 0 SITEPROP SLICE_X53Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y16 IS_PAD 0 SITEPROP SLICE_X53Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y16 IS_RESERVED 0 SITEPROP SLICE_X53Y16 IS_TEST 0 SITEPROP SLICE_X53Y16 IS_USED 0 SITEPROP SLICE_X53Y16 MANUAL_ROUTING SITEPROP SLICE_X53Y16 NAME SLICE_X53Y16 SITEPROP SLICE_X53Y16 NUM_ARCS 138 SITEPROP SLICE_X53Y16 NUM_BELS 32 SITEPROP SLICE_X53Y16 NUM_INPUTS 32 SITEPROP SLICE_X53Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y16 NUM_PINS 45 SITEPROP SLICE_X53Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y16 PROHIBIT 0 SITEPROP SLICE_X53Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y16 RPM_X 139 SITEPROP SLICE_X53Y16 RPM_Y 32 SITEPROP SLICE_X53Y16 SITE_PIPS SITEPROP SLICE_X53Y16 SITE_TYPE SLICEL SITEPROP SLICE_X53Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y17 CLASS site SITEPROP SLICE_X53Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y17 IS_BONDED 0 SITEPROP SLICE_X53Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y17 IS_PAD 0 SITEPROP SLICE_X53Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y17 IS_RESERVED 0 SITEPROP SLICE_X53Y17 IS_TEST 0 SITEPROP SLICE_X53Y17 IS_USED 0 SITEPROP SLICE_X53Y17 MANUAL_ROUTING SITEPROP SLICE_X53Y17 NAME SLICE_X53Y17 SITEPROP SLICE_X53Y17 NUM_ARCS 138 SITEPROP SLICE_X53Y17 NUM_BELS 32 SITEPROP SLICE_X53Y17 NUM_INPUTS 32 SITEPROP SLICE_X53Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y17 NUM_PINS 45 SITEPROP SLICE_X53Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y17 PROHIBIT 0 SITEPROP SLICE_X53Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y17 RPM_X 139 SITEPROP SLICE_X53Y17 RPM_Y 34 SITEPROP SLICE_X53Y17 SITE_PIPS SITEPROP SLICE_X53Y17 SITE_TYPE SLICEL SITEPROP SLICE_X53Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y18 CLASS site SITEPROP SLICE_X53Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y18 IS_BONDED 0 SITEPROP SLICE_X53Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y18 IS_PAD 0 SITEPROP SLICE_X53Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y18 IS_RESERVED 0 SITEPROP SLICE_X53Y18 IS_TEST 0 SITEPROP SLICE_X53Y18 IS_USED 0 SITEPROP SLICE_X53Y18 MANUAL_ROUTING SITEPROP SLICE_X53Y18 NAME SLICE_X53Y18 SITEPROP SLICE_X53Y18 NUM_ARCS 138 SITEPROP SLICE_X53Y18 NUM_BELS 32 SITEPROP SLICE_X53Y18 NUM_INPUTS 32 SITEPROP SLICE_X53Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y18 NUM_PINS 45 SITEPROP SLICE_X53Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y18 PROHIBIT 0 SITEPROP SLICE_X53Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y18 RPM_X 139 SITEPROP SLICE_X53Y18 RPM_Y 36 SITEPROP SLICE_X53Y18 SITE_PIPS SITEPROP SLICE_X53Y18 SITE_TYPE SLICEL SITEPROP SLICE_X53Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y19 CLASS site SITEPROP SLICE_X53Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y19 IS_BONDED 0 SITEPROP SLICE_X53Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y19 IS_PAD 0 SITEPROP SLICE_X53Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y19 IS_RESERVED 0 SITEPROP SLICE_X53Y19 IS_TEST 0 SITEPROP SLICE_X53Y19 IS_USED 0 SITEPROP SLICE_X53Y19 MANUAL_ROUTING SITEPROP SLICE_X53Y19 NAME SLICE_X53Y19 SITEPROP SLICE_X53Y19 NUM_ARCS 138 SITEPROP SLICE_X53Y19 NUM_BELS 32 SITEPROP SLICE_X53Y19 NUM_INPUTS 32 SITEPROP SLICE_X53Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y19 NUM_PINS 45 SITEPROP SLICE_X53Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y19 PROHIBIT 0 SITEPROP SLICE_X53Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y19 RPM_X 139 SITEPROP SLICE_X53Y19 RPM_Y 38 SITEPROP SLICE_X53Y19 SITE_PIPS SITEPROP SLICE_X53Y19 SITE_TYPE SLICEL SITEPROP SLICE_X53Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y20 CLASS site SITEPROP SLICE_X53Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y20 IS_BONDED 0 SITEPROP SLICE_X53Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y20 IS_PAD 0 SITEPROP SLICE_X53Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y20 IS_RESERVED 0 SITEPROP SLICE_X53Y20 IS_TEST 0 SITEPROP SLICE_X53Y20 IS_USED 0 SITEPROP SLICE_X53Y20 MANUAL_ROUTING SITEPROP SLICE_X53Y20 NAME SLICE_X53Y20 SITEPROP SLICE_X53Y20 NUM_ARCS 138 SITEPROP SLICE_X53Y20 NUM_BELS 32 SITEPROP SLICE_X53Y20 NUM_INPUTS 32 SITEPROP SLICE_X53Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y20 NUM_PINS 45 SITEPROP SLICE_X53Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y20 PROHIBIT 0 SITEPROP SLICE_X53Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y20 RPM_X 139 SITEPROP SLICE_X53Y20 RPM_Y 40 SITEPROP SLICE_X53Y20 SITE_PIPS SITEPROP SLICE_X53Y20 SITE_TYPE SLICEL SITEPROP SLICE_X53Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y21 CLASS site SITEPROP SLICE_X53Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y21 IS_BONDED 0 SITEPROP SLICE_X53Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y21 IS_PAD 0 SITEPROP SLICE_X53Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y21 IS_RESERVED 0 SITEPROP SLICE_X53Y21 IS_TEST 0 SITEPROP SLICE_X53Y21 IS_USED 0 SITEPROP SLICE_X53Y21 MANUAL_ROUTING SITEPROP SLICE_X53Y21 NAME SLICE_X53Y21 SITEPROP SLICE_X53Y21 NUM_ARCS 138 SITEPROP SLICE_X53Y21 NUM_BELS 32 SITEPROP SLICE_X53Y21 NUM_INPUTS 32 SITEPROP SLICE_X53Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y21 NUM_PINS 45 SITEPROP SLICE_X53Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y21 PROHIBIT 0 SITEPROP SLICE_X53Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y21 RPM_X 139 SITEPROP SLICE_X53Y21 RPM_Y 42 SITEPROP SLICE_X53Y21 SITE_PIPS SITEPROP SLICE_X53Y21 SITE_TYPE SLICEL SITEPROP SLICE_X53Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y22 CLASS site SITEPROP SLICE_X53Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y22 IS_BONDED 0 SITEPROP SLICE_X53Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y22 IS_PAD 0 SITEPROP SLICE_X53Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y22 IS_RESERVED 0 SITEPROP SLICE_X53Y22 IS_TEST 0 SITEPROP SLICE_X53Y22 IS_USED 0 SITEPROP SLICE_X53Y22 MANUAL_ROUTING SITEPROP SLICE_X53Y22 NAME SLICE_X53Y22 SITEPROP SLICE_X53Y22 NUM_ARCS 138 SITEPROP SLICE_X53Y22 NUM_BELS 32 SITEPROP SLICE_X53Y22 NUM_INPUTS 32 SITEPROP SLICE_X53Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y22 NUM_PINS 45 SITEPROP SLICE_X53Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y22 PROHIBIT 0 SITEPROP SLICE_X53Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y22 RPM_X 139 SITEPROP SLICE_X53Y22 RPM_Y 44 SITEPROP SLICE_X53Y22 SITE_PIPS SITEPROP SLICE_X53Y22 SITE_TYPE SLICEL SITEPROP SLICE_X53Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y23 CLASS site SITEPROP SLICE_X53Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y23 IS_BONDED 0 SITEPROP SLICE_X53Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y23 IS_PAD 0 SITEPROP SLICE_X53Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y23 IS_RESERVED 0 SITEPROP SLICE_X53Y23 IS_TEST 0 SITEPROP SLICE_X53Y23 IS_USED 0 SITEPROP SLICE_X53Y23 MANUAL_ROUTING SITEPROP SLICE_X53Y23 NAME SLICE_X53Y23 SITEPROP SLICE_X53Y23 NUM_ARCS 138 SITEPROP SLICE_X53Y23 NUM_BELS 32 SITEPROP SLICE_X53Y23 NUM_INPUTS 32 SITEPROP SLICE_X53Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y23 NUM_PINS 45 SITEPROP SLICE_X53Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y23 PROHIBIT 0 SITEPROP SLICE_X53Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y23 RPM_X 139 SITEPROP SLICE_X53Y23 RPM_Y 46 SITEPROP SLICE_X53Y23 SITE_PIPS SITEPROP SLICE_X53Y23 SITE_TYPE SLICEL SITEPROP SLICE_X53Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y24 CLASS site SITEPROP SLICE_X53Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y24 IS_BONDED 0 SITEPROP SLICE_X53Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y24 IS_PAD 0 SITEPROP SLICE_X53Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y24 IS_RESERVED 0 SITEPROP SLICE_X53Y24 IS_TEST 0 SITEPROP SLICE_X53Y24 IS_USED 0 SITEPROP SLICE_X53Y24 MANUAL_ROUTING SITEPROP SLICE_X53Y24 NAME SLICE_X53Y24 SITEPROP SLICE_X53Y24 NUM_ARCS 138 SITEPROP SLICE_X53Y24 NUM_BELS 32 SITEPROP SLICE_X53Y24 NUM_INPUTS 32 SITEPROP SLICE_X53Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y24 NUM_PINS 45 SITEPROP SLICE_X53Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y24 PROHIBIT 0 SITEPROP SLICE_X53Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y24 RPM_X 139 SITEPROP SLICE_X53Y24 RPM_Y 48 SITEPROP SLICE_X53Y24 SITE_PIPS SITEPROP SLICE_X53Y24 SITE_TYPE SLICEL SITEPROP SLICE_X53Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y25 CLASS site SITEPROP SLICE_X53Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y25 IS_BONDED 0 SITEPROP SLICE_X53Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y25 IS_PAD 0 SITEPROP SLICE_X53Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y25 IS_RESERVED 0 SITEPROP SLICE_X53Y25 IS_TEST 0 SITEPROP SLICE_X53Y25 IS_USED 0 SITEPROP SLICE_X53Y25 MANUAL_ROUTING SITEPROP SLICE_X53Y25 NAME SLICE_X53Y25 SITEPROP SLICE_X53Y25 NUM_ARCS 138 SITEPROP SLICE_X53Y25 NUM_BELS 32 SITEPROP SLICE_X53Y25 NUM_INPUTS 32 SITEPROP SLICE_X53Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y25 NUM_PINS 45 SITEPROP SLICE_X53Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y25 PROHIBIT 0 SITEPROP SLICE_X53Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y25 RPM_X 139 SITEPROP SLICE_X53Y25 RPM_Y 50 SITEPROP SLICE_X53Y25 SITE_PIPS SITEPROP SLICE_X53Y25 SITE_TYPE SLICEL SITEPROP SLICE_X53Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y26 CLASS site SITEPROP SLICE_X53Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y26 IS_BONDED 0 SITEPROP SLICE_X53Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y26 IS_PAD 0 SITEPROP SLICE_X53Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y26 IS_RESERVED 0 SITEPROP SLICE_X53Y26 IS_TEST 0 SITEPROP SLICE_X53Y26 IS_USED 0 SITEPROP SLICE_X53Y26 MANUAL_ROUTING SITEPROP SLICE_X53Y26 NAME SLICE_X53Y26 SITEPROP SLICE_X53Y26 NUM_ARCS 138 SITEPROP SLICE_X53Y26 NUM_BELS 32 SITEPROP SLICE_X53Y26 NUM_INPUTS 32 SITEPROP SLICE_X53Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y26 NUM_PINS 45 SITEPROP SLICE_X53Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y26 PROHIBIT 0 SITEPROP SLICE_X53Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y26 RPM_X 139 SITEPROP SLICE_X53Y26 RPM_Y 52 SITEPROP SLICE_X53Y26 SITE_PIPS SITEPROP SLICE_X53Y26 SITE_TYPE SLICEL SITEPROP SLICE_X53Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y27 CLASS site SITEPROP SLICE_X53Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y27 IS_BONDED 0 SITEPROP SLICE_X53Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y27 IS_PAD 0 SITEPROP SLICE_X53Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y27 IS_RESERVED 0 SITEPROP SLICE_X53Y27 IS_TEST 0 SITEPROP SLICE_X53Y27 IS_USED 0 SITEPROP SLICE_X53Y27 MANUAL_ROUTING SITEPROP SLICE_X53Y27 NAME SLICE_X53Y27 SITEPROP SLICE_X53Y27 NUM_ARCS 138 SITEPROP SLICE_X53Y27 NUM_BELS 32 SITEPROP SLICE_X53Y27 NUM_INPUTS 32 SITEPROP SLICE_X53Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y27 NUM_PINS 45 SITEPROP SLICE_X53Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y27 PROHIBIT 0 SITEPROP SLICE_X53Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y27 RPM_X 139 SITEPROP SLICE_X53Y27 RPM_Y 54 SITEPROP SLICE_X53Y27 SITE_PIPS SITEPROP SLICE_X53Y27 SITE_TYPE SLICEL SITEPROP SLICE_X53Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y28 CLASS site SITEPROP SLICE_X53Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y28 IS_BONDED 0 SITEPROP SLICE_X53Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y28 IS_PAD 0 SITEPROP SLICE_X53Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y28 IS_RESERVED 0 SITEPROP SLICE_X53Y28 IS_TEST 0 SITEPROP SLICE_X53Y28 IS_USED 0 SITEPROP SLICE_X53Y28 MANUAL_ROUTING SITEPROP SLICE_X53Y28 NAME SLICE_X53Y28 SITEPROP SLICE_X53Y28 NUM_ARCS 138 SITEPROP SLICE_X53Y28 NUM_BELS 32 SITEPROP SLICE_X53Y28 NUM_INPUTS 32 SITEPROP SLICE_X53Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y28 NUM_PINS 45 SITEPROP SLICE_X53Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y28 PROHIBIT 0 SITEPROP SLICE_X53Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y28 RPM_X 139 SITEPROP SLICE_X53Y28 RPM_Y 56 SITEPROP SLICE_X53Y28 SITE_PIPS SITEPROP SLICE_X53Y28 SITE_TYPE SLICEL SITEPROP SLICE_X53Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y29 CLASS site SITEPROP SLICE_X53Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y29 IS_BONDED 0 SITEPROP SLICE_X53Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y29 IS_PAD 0 SITEPROP SLICE_X53Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y29 IS_RESERVED 0 SITEPROP SLICE_X53Y29 IS_TEST 0 SITEPROP SLICE_X53Y29 IS_USED 0 SITEPROP SLICE_X53Y29 MANUAL_ROUTING SITEPROP SLICE_X53Y29 NAME SLICE_X53Y29 SITEPROP SLICE_X53Y29 NUM_ARCS 138 SITEPROP SLICE_X53Y29 NUM_BELS 32 SITEPROP SLICE_X53Y29 NUM_INPUTS 32 SITEPROP SLICE_X53Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y29 NUM_PINS 45 SITEPROP SLICE_X53Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y29 PROHIBIT 0 SITEPROP SLICE_X53Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y29 RPM_X 139 SITEPROP SLICE_X53Y29 RPM_Y 58 SITEPROP SLICE_X53Y29 SITE_PIPS SITEPROP SLICE_X53Y29 SITE_TYPE SLICEL SITEPROP SLICE_X53Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y30 CLASS site SITEPROP SLICE_X53Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y30 IS_BONDED 0 SITEPROP SLICE_X53Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y30 IS_PAD 0 SITEPROP SLICE_X53Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y30 IS_RESERVED 0 SITEPROP SLICE_X53Y30 IS_TEST 0 SITEPROP SLICE_X53Y30 IS_USED 0 SITEPROP SLICE_X53Y30 MANUAL_ROUTING SITEPROP SLICE_X53Y30 NAME SLICE_X53Y30 SITEPROP SLICE_X53Y30 NUM_ARCS 138 SITEPROP SLICE_X53Y30 NUM_BELS 32 SITEPROP SLICE_X53Y30 NUM_INPUTS 32 SITEPROP SLICE_X53Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y30 NUM_PINS 45 SITEPROP SLICE_X53Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y30 PROHIBIT 0 SITEPROP SLICE_X53Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y30 RPM_X 139 SITEPROP SLICE_X53Y30 RPM_Y 60 SITEPROP SLICE_X53Y30 SITE_PIPS SITEPROP SLICE_X53Y30 SITE_TYPE SLICEL SITEPROP SLICE_X53Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y31 CLASS site SITEPROP SLICE_X53Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y31 IS_BONDED 0 SITEPROP SLICE_X53Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y31 IS_PAD 0 SITEPROP SLICE_X53Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y31 IS_RESERVED 0 SITEPROP SLICE_X53Y31 IS_TEST 0 SITEPROP SLICE_X53Y31 IS_USED 0 SITEPROP SLICE_X53Y31 MANUAL_ROUTING SITEPROP SLICE_X53Y31 NAME SLICE_X53Y31 SITEPROP SLICE_X53Y31 NUM_ARCS 138 SITEPROP SLICE_X53Y31 NUM_BELS 32 SITEPROP SLICE_X53Y31 NUM_INPUTS 32 SITEPROP SLICE_X53Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y31 NUM_PINS 45 SITEPROP SLICE_X53Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y31 PROHIBIT 0 SITEPROP SLICE_X53Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y31 RPM_X 139 SITEPROP SLICE_X53Y31 RPM_Y 62 SITEPROP SLICE_X53Y31 SITE_PIPS SITEPROP SLICE_X53Y31 SITE_TYPE SLICEL SITEPROP SLICE_X53Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y32 CLASS site SITEPROP SLICE_X53Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y32 IS_BONDED 0 SITEPROP SLICE_X53Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y32 IS_PAD 0 SITEPROP SLICE_X53Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y32 IS_RESERVED 0 SITEPROP SLICE_X53Y32 IS_TEST 0 SITEPROP SLICE_X53Y32 IS_USED 0 SITEPROP SLICE_X53Y32 MANUAL_ROUTING SITEPROP SLICE_X53Y32 NAME SLICE_X53Y32 SITEPROP SLICE_X53Y32 NUM_ARCS 138 SITEPROP SLICE_X53Y32 NUM_BELS 32 SITEPROP SLICE_X53Y32 NUM_INPUTS 32 SITEPROP SLICE_X53Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y32 NUM_PINS 45 SITEPROP SLICE_X53Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y32 PROHIBIT 0 SITEPROP SLICE_X53Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y32 RPM_X 139 SITEPROP SLICE_X53Y32 RPM_Y 64 SITEPROP SLICE_X53Y32 SITE_PIPS SITEPROP SLICE_X53Y32 SITE_TYPE SLICEL SITEPROP SLICE_X53Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y33 CLASS site SITEPROP SLICE_X53Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y33 IS_BONDED 0 SITEPROP SLICE_X53Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y33 IS_PAD 0 SITEPROP SLICE_X53Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y33 IS_RESERVED 0 SITEPROP SLICE_X53Y33 IS_TEST 0 SITEPROP SLICE_X53Y33 IS_USED 0 SITEPROP SLICE_X53Y33 MANUAL_ROUTING SITEPROP SLICE_X53Y33 NAME SLICE_X53Y33 SITEPROP SLICE_X53Y33 NUM_ARCS 138 SITEPROP SLICE_X53Y33 NUM_BELS 32 SITEPROP SLICE_X53Y33 NUM_INPUTS 32 SITEPROP SLICE_X53Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y33 NUM_PINS 45 SITEPROP SLICE_X53Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y33 PROHIBIT 0 SITEPROP SLICE_X53Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y33 RPM_X 139 SITEPROP SLICE_X53Y33 RPM_Y 66 SITEPROP SLICE_X53Y33 SITE_PIPS SITEPROP SLICE_X53Y33 SITE_TYPE SLICEL SITEPROP SLICE_X53Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y34 CLASS site SITEPROP SLICE_X53Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y34 IS_BONDED 0 SITEPROP SLICE_X53Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y34 IS_PAD 0 SITEPROP SLICE_X53Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y34 IS_RESERVED 0 SITEPROP SLICE_X53Y34 IS_TEST 0 SITEPROP SLICE_X53Y34 IS_USED 0 SITEPROP SLICE_X53Y34 MANUAL_ROUTING SITEPROP SLICE_X53Y34 NAME SLICE_X53Y34 SITEPROP SLICE_X53Y34 NUM_ARCS 138 SITEPROP SLICE_X53Y34 NUM_BELS 32 SITEPROP SLICE_X53Y34 NUM_INPUTS 32 SITEPROP SLICE_X53Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y34 NUM_PINS 45 SITEPROP SLICE_X53Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y34 PROHIBIT 0 SITEPROP SLICE_X53Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y34 RPM_X 139 SITEPROP SLICE_X53Y34 RPM_Y 68 SITEPROP SLICE_X53Y34 SITE_PIPS SITEPROP SLICE_X53Y34 SITE_TYPE SLICEL SITEPROP SLICE_X53Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y35 CLASS site SITEPROP SLICE_X53Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y35 IS_BONDED 0 SITEPROP SLICE_X53Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y35 IS_PAD 0 SITEPROP SLICE_X53Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y35 IS_RESERVED 0 SITEPROP SLICE_X53Y35 IS_TEST 0 SITEPROP SLICE_X53Y35 IS_USED 0 SITEPROP SLICE_X53Y35 MANUAL_ROUTING SITEPROP SLICE_X53Y35 NAME SLICE_X53Y35 SITEPROP SLICE_X53Y35 NUM_ARCS 138 SITEPROP SLICE_X53Y35 NUM_BELS 32 SITEPROP SLICE_X53Y35 NUM_INPUTS 32 SITEPROP SLICE_X53Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y35 NUM_PINS 45 SITEPROP SLICE_X53Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y35 PROHIBIT 0 SITEPROP SLICE_X53Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y35 RPM_X 139 SITEPROP SLICE_X53Y35 RPM_Y 70 SITEPROP SLICE_X53Y35 SITE_PIPS SITEPROP SLICE_X53Y35 SITE_TYPE SLICEL SITEPROP SLICE_X53Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y36 CLASS site SITEPROP SLICE_X53Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y36 IS_BONDED 0 SITEPROP SLICE_X53Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y36 IS_PAD 0 SITEPROP SLICE_X53Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y36 IS_RESERVED 0 SITEPROP SLICE_X53Y36 IS_TEST 0 SITEPROP SLICE_X53Y36 IS_USED 0 SITEPROP SLICE_X53Y36 MANUAL_ROUTING SITEPROP SLICE_X53Y36 NAME SLICE_X53Y36 SITEPROP SLICE_X53Y36 NUM_ARCS 138 SITEPROP SLICE_X53Y36 NUM_BELS 32 SITEPROP SLICE_X53Y36 NUM_INPUTS 32 SITEPROP SLICE_X53Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y36 NUM_PINS 45 SITEPROP SLICE_X53Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y36 PROHIBIT 0 SITEPROP SLICE_X53Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y36 RPM_X 139 SITEPROP SLICE_X53Y36 RPM_Y 72 SITEPROP SLICE_X53Y36 SITE_PIPS SITEPROP SLICE_X53Y36 SITE_TYPE SLICEL SITEPROP SLICE_X53Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y37 CLASS site SITEPROP SLICE_X53Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y37 IS_BONDED 0 SITEPROP SLICE_X53Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y37 IS_PAD 0 SITEPROP SLICE_X53Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y37 IS_RESERVED 0 SITEPROP SLICE_X53Y37 IS_TEST 0 SITEPROP SLICE_X53Y37 IS_USED 0 SITEPROP SLICE_X53Y37 MANUAL_ROUTING SITEPROP SLICE_X53Y37 NAME SLICE_X53Y37 SITEPROP SLICE_X53Y37 NUM_ARCS 138 SITEPROP SLICE_X53Y37 NUM_BELS 32 SITEPROP SLICE_X53Y37 NUM_INPUTS 32 SITEPROP SLICE_X53Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y37 NUM_PINS 45 SITEPROP SLICE_X53Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y37 PROHIBIT 0 SITEPROP SLICE_X53Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y37 RPM_X 139 SITEPROP SLICE_X53Y37 RPM_Y 74 SITEPROP SLICE_X53Y37 SITE_PIPS SITEPROP SLICE_X53Y37 SITE_TYPE SLICEL SITEPROP SLICE_X53Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y38 CLASS site SITEPROP SLICE_X53Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y38 IS_BONDED 0 SITEPROP SLICE_X53Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y38 IS_PAD 0 SITEPROP SLICE_X53Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y38 IS_RESERVED 0 SITEPROP SLICE_X53Y38 IS_TEST 0 SITEPROP SLICE_X53Y38 IS_USED 0 SITEPROP SLICE_X53Y38 MANUAL_ROUTING SITEPROP SLICE_X53Y38 NAME SLICE_X53Y38 SITEPROP SLICE_X53Y38 NUM_ARCS 138 SITEPROP SLICE_X53Y38 NUM_BELS 32 SITEPROP SLICE_X53Y38 NUM_INPUTS 32 SITEPROP SLICE_X53Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y38 NUM_PINS 45 SITEPROP SLICE_X53Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y38 PROHIBIT 0 SITEPROP SLICE_X53Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y38 RPM_X 139 SITEPROP SLICE_X53Y38 RPM_Y 76 SITEPROP SLICE_X53Y38 SITE_PIPS SITEPROP SLICE_X53Y38 SITE_TYPE SLICEL SITEPROP SLICE_X53Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y39 CLASS site SITEPROP SLICE_X53Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y39 IS_BONDED 0 SITEPROP SLICE_X53Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y39 IS_PAD 0 SITEPROP SLICE_X53Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y39 IS_RESERVED 0 SITEPROP SLICE_X53Y39 IS_TEST 0 SITEPROP SLICE_X53Y39 IS_USED 0 SITEPROP SLICE_X53Y39 MANUAL_ROUTING SITEPROP SLICE_X53Y39 NAME SLICE_X53Y39 SITEPROP SLICE_X53Y39 NUM_ARCS 138 SITEPROP SLICE_X53Y39 NUM_BELS 32 SITEPROP SLICE_X53Y39 NUM_INPUTS 32 SITEPROP SLICE_X53Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y39 NUM_PINS 45 SITEPROP SLICE_X53Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y39 PROHIBIT 0 SITEPROP SLICE_X53Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y39 RPM_X 139 SITEPROP SLICE_X53Y39 RPM_Y 78 SITEPROP SLICE_X53Y39 SITE_PIPS SITEPROP SLICE_X53Y39 SITE_TYPE SLICEL SITEPROP SLICE_X53Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y40 CLASS site SITEPROP SLICE_X53Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y40 IS_BONDED 0 SITEPROP SLICE_X53Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y40 IS_PAD 0 SITEPROP SLICE_X53Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y40 IS_RESERVED 0 SITEPROP SLICE_X53Y40 IS_TEST 0 SITEPROP SLICE_X53Y40 IS_USED 0 SITEPROP SLICE_X53Y40 MANUAL_ROUTING SITEPROP SLICE_X53Y40 NAME SLICE_X53Y40 SITEPROP SLICE_X53Y40 NUM_ARCS 138 SITEPROP SLICE_X53Y40 NUM_BELS 32 SITEPROP SLICE_X53Y40 NUM_INPUTS 32 SITEPROP SLICE_X53Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y40 NUM_PINS 45 SITEPROP SLICE_X53Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y40 PROHIBIT 0 SITEPROP SLICE_X53Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y40 RPM_X 139 SITEPROP SLICE_X53Y40 RPM_Y 80 SITEPROP SLICE_X53Y40 SITE_PIPS SITEPROP SLICE_X53Y40 SITE_TYPE SLICEL SITEPROP SLICE_X53Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y41 CLASS site SITEPROP SLICE_X53Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y41 IS_BONDED 0 SITEPROP SLICE_X53Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y41 IS_PAD 0 SITEPROP SLICE_X53Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y41 IS_RESERVED 0 SITEPROP SLICE_X53Y41 IS_TEST 0 SITEPROP SLICE_X53Y41 IS_USED 0 SITEPROP SLICE_X53Y41 MANUAL_ROUTING SITEPROP SLICE_X53Y41 NAME SLICE_X53Y41 SITEPROP SLICE_X53Y41 NUM_ARCS 138 SITEPROP SLICE_X53Y41 NUM_BELS 32 SITEPROP SLICE_X53Y41 NUM_INPUTS 32 SITEPROP SLICE_X53Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y41 NUM_PINS 45 SITEPROP SLICE_X53Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y41 PROHIBIT 0 SITEPROP SLICE_X53Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y41 RPM_X 139 SITEPROP SLICE_X53Y41 RPM_Y 82 SITEPROP SLICE_X53Y41 SITE_PIPS SITEPROP SLICE_X53Y41 SITE_TYPE SLICEL SITEPROP SLICE_X53Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y42 CLASS site SITEPROP SLICE_X53Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y42 IS_BONDED 0 SITEPROP SLICE_X53Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y42 IS_PAD 0 SITEPROP SLICE_X53Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y42 IS_RESERVED 0 SITEPROP SLICE_X53Y42 IS_TEST 0 SITEPROP SLICE_X53Y42 IS_USED 0 SITEPROP SLICE_X53Y42 MANUAL_ROUTING SITEPROP SLICE_X53Y42 NAME SLICE_X53Y42 SITEPROP SLICE_X53Y42 NUM_ARCS 138 SITEPROP SLICE_X53Y42 NUM_BELS 32 SITEPROP SLICE_X53Y42 NUM_INPUTS 32 SITEPROP SLICE_X53Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y42 NUM_PINS 45 SITEPROP SLICE_X53Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y42 PROHIBIT 0 SITEPROP SLICE_X53Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y42 RPM_X 139 SITEPROP SLICE_X53Y42 RPM_Y 84 SITEPROP SLICE_X53Y42 SITE_PIPS SITEPROP SLICE_X53Y42 SITE_TYPE SLICEL SITEPROP SLICE_X53Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y43 CLASS site SITEPROP SLICE_X53Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y43 IS_BONDED 0 SITEPROP SLICE_X53Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y43 IS_PAD 0 SITEPROP SLICE_X53Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y43 IS_RESERVED 0 SITEPROP SLICE_X53Y43 IS_TEST 0 SITEPROP SLICE_X53Y43 IS_USED 0 SITEPROP SLICE_X53Y43 MANUAL_ROUTING SITEPROP SLICE_X53Y43 NAME SLICE_X53Y43 SITEPROP SLICE_X53Y43 NUM_ARCS 138 SITEPROP SLICE_X53Y43 NUM_BELS 32 SITEPROP SLICE_X53Y43 NUM_INPUTS 32 SITEPROP SLICE_X53Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y43 NUM_PINS 45 SITEPROP SLICE_X53Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y43 PROHIBIT 0 SITEPROP SLICE_X53Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y43 RPM_X 139 SITEPROP SLICE_X53Y43 RPM_Y 86 SITEPROP SLICE_X53Y43 SITE_PIPS SITEPROP SLICE_X53Y43 SITE_TYPE SLICEL SITEPROP SLICE_X53Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y44 CLASS site SITEPROP SLICE_X53Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y44 IS_BONDED 0 SITEPROP SLICE_X53Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y44 IS_PAD 0 SITEPROP SLICE_X53Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y44 IS_RESERVED 0 SITEPROP SLICE_X53Y44 IS_TEST 0 SITEPROP SLICE_X53Y44 IS_USED 0 SITEPROP SLICE_X53Y44 MANUAL_ROUTING SITEPROP SLICE_X53Y44 NAME SLICE_X53Y44 SITEPROP SLICE_X53Y44 NUM_ARCS 138 SITEPROP SLICE_X53Y44 NUM_BELS 32 SITEPROP SLICE_X53Y44 NUM_INPUTS 32 SITEPROP SLICE_X53Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y44 NUM_PINS 45 SITEPROP SLICE_X53Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y44 PROHIBIT 0 SITEPROP SLICE_X53Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y44 RPM_X 139 SITEPROP SLICE_X53Y44 RPM_Y 88 SITEPROP SLICE_X53Y44 SITE_PIPS SITEPROP SLICE_X53Y44 SITE_TYPE SLICEL SITEPROP SLICE_X53Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y45 CLASS site SITEPROP SLICE_X53Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y45 IS_BONDED 0 SITEPROP SLICE_X53Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y45 IS_PAD 0 SITEPROP SLICE_X53Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y45 IS_RESERVED 0 SITEPROP SLICE_X53Y45 IS_TEST 0 SITEPROP SLICE_X53Y45 IS_USED 0 SITEPROP SLICE_X53Y45 MANUAL_ROUTING SITEPROP SLICE_X53Y45 NAME SLICE_X53Y45 SITEPROP SLICE_X53Y45 NUM_ARCS 138 SITEPROP SLICE_X53Y45 NUM_BELS 32 SITEPROP SLICE_X53Y45 NUM_INPUTS 32 SITEPROP SLICE_X53Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y45 NUM_PINS 45 SITEPROP SLICE_X53Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y45 PROHIBIT 0 SITEPROP SLICE_X53Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y45 RPM_X 139 SITEPROP SLICE_X53Y45 RPM_Y 90 SITEPROP SLICE_X53Y45 SITE_PIPS SITEPROP SLICE_X53Y45 SITE_TYPE SLICEL SITEPROP SLICE_X53Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y46 CLASS site SITEPROP SLICE_X53Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y46 IS_BONDED 0 SITEPROP SLICE_X53Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y46 IS_PAD 0 SITEPROP SLICE_X53Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y46 IS_RESERVED 0 SITEPROP SLICE_X53Y46 IS_TEST 0 SITEPROP SLICE_X53Y46 IS_USED 0 SITEPROP SLICE_X53Y46 MANUAL_ROUTING SITEPROP SLICE_X53Y46 NAME SLICE_X53Y46 SITEPROP SLICE_X53Y46 NUM_ARCS 138 SITEPROP SLICE_X53Y46 NUM_BELS 32 SITEPROP SLICE_X53Y46 NUM_INPUTS 32 SITEPROP SLICE_X53Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y46 NUM_PINS 45 SITEPROP SLICE_X53Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y46 PROHIBIT 0 SITEPROP SLICE_X53Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y46 RPM_X 139 SITEPROP SLICE_X53Y46 RPM_Y 92 SITEPROP SLICE_X53Y46 SITE_PIPS SITEPROP SLICE_X53Y46 SITE_TYPE SLICEL SITEPROP SLICE_X53Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y47 CLASS site SITEPROP SLICE_X53Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y47 IS_BONDED 0 SITEPROP SLICE_X53Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y47 IS_PAD 0 SITEPROP SLICE_X53Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y47 IS_RESERVED 0 SITEPROP SLICE_X53Y47 IS_TEST 0 SITEPROP SLICE_X53Y47 IS_USED 0 SITEPROP SLICE_X53Y47 MANUAL_ROUTING SITEPROP SLICE_X53Y47 NAME SLICE_X53Y47 SITEPROP SLICE_X53Y47 NUM_ARCS 138 SITEPROP SLICE_X53Y47 NUM_BELS 32 SITEPROP SLICE_X53Y47 NUM_INPUTS 32 SITEPROP SLICE_X53Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y47 NUM_PINS 45 SITEPROP SLICE_X53Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y47 PROHIBIT 0 SITEPROP SLICE_X53Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y47 RPM_X 139 SITEPROP SLICE_X53Y47 RPM_Y 94 SITEPROP SLICE_X53Y47 SITE_PIPS SITEPROP SLICE_X53Y47 SITE_TYPE SLICEL SITEPROP SLICE_X53Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y48 CLASS site SITEPROP SLICE_X53Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y48 IS_BONDED 0 SITEPROP SLICE_X53Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y48 IS_PAD 0 SITEPROP SLICE_X53Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y48 IS_RESERVED 0 SITEPROP SLICE_X53Y48 IS_TEST 0 SITEPROP SLICE_X53Y48 IS_USED 0 SITEPROP SLICE_X53Y48 MANUAL_ROUTING SITEPROP SLICE_X53Y48 NAME SLICE_X53Y48 SITEPROP SLICE_X53Y48 NUM_ARCS 138 SITEPROP SLICE_X53Y48 NUM_BELS 32 SITEPROP SLICE_X53Y48 NUM_INPUTS 32 SITEPROP SLICE_X53Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y48 NUM_PINS 45 SITEPROP SLICE_X53Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y48 PROHIBIT 0 SITEPROP SLICE_X53Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y48 RPM_X 139 SITEPROP SLICE_X53Y48 RPM_Y 96 SITEPROP SLICE_X53Y48 SITE_PIPS SITEPROP SLICE_X53Y48 SITE_TYPE SLICEL SITEPROP SLICE_X53Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y49 CLASS site SITEPROP SLICE_X53Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X53Y49 IS_BONDED 0 SITEPROP SLICE_X53Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y49 IS_PAD 0 SITEPROP SLICE_X53Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y49 IS_RESERVED 0 SITEPROP SLICE_X53Y49 IS_TEST 0 SITEPROP SLICE_X53Y49 IS_USED 0 SITEPROP SLICE_X53Y49 MANUAL_ROUTING SITEPROP SLICE_X53Y49 NAME SLICE_X53Y49 SITEPROP SLICE_X53Y49 NUM_ARCS 138 SITEPROP SLICE_X53Y49 NUM_BELS 32 SITEPROP SLICE_X53Y49 NUM_INPUTS 32 SITEPROP SLICE_X53Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y49 NUM_PINS 45 SITEPROP SLICE_X53Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y49 PROHIBIT 0 SITEPROP SLICE_X53Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y49 RPM_X 139 SITEPROP SLICE_X53Y49 RPM_Y 98 SITEPROP SLICE_X53Y49 SITE_PIPS SITEPROP SLICE_X53Y49 SITE_TYPE SLICEL SITEPROP SLICE_X53Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y50 CLASS site SITEPROP SLICE_X53Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y50 IS_BONDED 0 SITEPROP SLICE_X53Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y50 IS_PAD 0 SITEPROP SLICE_X53Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y50 IS_RESERVED 0 SITEPROP SLICE_X53Y50 IS_TEST 0 SITEPROP SLICE_X53Y50 IS_USED 0 SITEPROP SLICE_X53Y50 MANUAL_ROUTING SITEPROP SLICE_X53Y50 NAME SLICE_X53Y50 SITEPROP SLICE_X53Y50 NUM_ARCS 138 SITEPROP SLICE_X53Y50 NUM_BELS 32 SITEPROP SLICE_X53Y50 NUM_INPUTS 32 SITEPROP SLICE_X53Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y50 NUM_PINS 45 SITEPROP SLICE_X53Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y50 PROHIBIT 0 SITEPROP SLICE_X53Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y50 RPM_X 139 SITEPROP SLICE_X53Y50 RPM_Y 100 SITEPROP SLICE_X53Y50 SITE_PIPS SITEPROP SLICE_X53Y50 SITE_TYPE SLICEL SITEPROP SLICE_X53Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y51 CLASS site SITEPROP SLICE_X53Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y51 IS_BONDED 0 SITEPROP SLICE_X53Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y51 IS_PAD 0 SITEPROP SLICE_X53Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y51 IS_RESERVED 0 SITEPROP SLICE_X53Y51 IS_TEST 0 SITEPROP SLICE_X53Y51 IS_USED 0 SITEPROP SLICE_X53Y51 MANUAL_ROUTING SITEPROP SLICE_X53Y51 NAME SLICE_X53Y51 SITEPROP SLICE_X53Y51 NUM_ARCS 138 SITEPROP SLICE_X53Y51 NUM_BELS 32 SITEPROP SLICE_X53Y51 NUM_INPUTS 32 SITEPROP SLICE_X53Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y51 NUM_PINS 45 SITEPROP SLICE_X53Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y51 PROHIBIT 0 SITEPROP SLICE_X53Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y51 RPM_X 139 SITEPROP SLICE_X53Y51 RPM_Y 102 SITEPROP SLICE_X53Y51 SITE_PIPS SITEPROP SLICE_X53Y51 SITE_TYPE SLICEL SITEPROP SLICE_X53Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y52 CLASS site SITEPROP SLICE_X53Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y52 IS_BONDED 0 SITEPROP SLICE_X53Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y52 IS_PAD 0 SITEPROP SLICE_X53Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y52 IS_RESERVED 0 SITEPROP SLICE_X53Y52 IS_TEST 0 SITEPROP SLICE_X53Y52 IS_USED 0 SITEPROP SLICE_X53Y52 MANUAL_ROUTING SITEPROP SLICE_X53Y52 NAME SLICE_X53Y52 SITEPROP SLICE_X53Y52 NUM_ARCS 138 SITEPROP SLICE_X53Y52 NUM_BELS 32 SITEPROP SLICE_X53Y52 NUM_INPUTS 32 SITEPROP SLICE_X53Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y52 NUM_PINS 45 SITEPROP SLICE_X53Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y52 PROHIBIT 0 SITEPROP SLICE_X53Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y52 RPM_X 139 SITEPROP SLICE_X53Y52 RPM_Y 104 SITEPROP SLICE_X53Y52 SITE_PIPS SITEPROP SLICE_X53Y52 SITE_TYPE SLICEL SITEPROP SLICE_X53Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y53 CLASS site SITEPROP SLICE_X53Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y53 IS_BONDED 0 SITEPROP SLICE_X53Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y53 IS_PAD 0 SITEPROP SLICE_X53Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y53 IS_RESERVED 0 SITEPROP SLICE_X53Y53 IS_TEST 0 SITEPROP SLICE_X53Y53 IS_USED 0 SITEPROP SLICE_X53Y53 MANUAL_ROUTING SITEPROP SLICE_X53Y53 NAME SLICE_X53Y53 SITEPROP SLICE_X53Y53 NUM_ARCS 138 SITEPROP SLICE_X53Y53 NUM_BELS 32 SITEPROP SLICE_X53Y53 NUM_INPUTS 32 SITEPROP SLICE_X53Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y53 NUM_PINS 45 SITEPROP SLICE_X53Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y53 PROHIBIT 0 SITEPROP SLICE_X53Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y53 RPM_X 139 SITEPROP SLICE_X53Y53 RPM_Y 106 SITEPROP SLICE_X53Y53 SITE_PIPS SITEPROP SLICE_X53Y53 SITE_TYPE SLICEL SITEPROP SLICE_X53Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y54 CLASS site SITEPROP SLICE_X53Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y54 IS_BONDED 0 SITEPROP SLICE_X53Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y54 IS_PAD 0 SITEPROP SLICE_X53Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y54 IS_RESERVED 0 SITEPROP SLICE_X53Y54 IS_TEST 0 SITEPROP SLICE_X53Y54 IS_USED 0 SITEPROP SLICE_X53Y54 MANUAL_ROUTING SITEPROP SLICE_X53Y54 NAME SLICE_X53Y54 SITEPROP SLICE_X53Y54 NUM_ARCS 138 SITEPROP SLICE_X53Y54 NUM_BELS 32 SITEPROP SLICE_X53Y54 NUM_INPUTS 32 SITEPROP SLICE_X53Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y54 NUM_PINS 45 SITEPROP SLICE_X53Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y54 PROHIBIT 0 SITEPROP SLICE_X53Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y54 RPM_X 139 SITEPROP SLICE_X53Y54 RPM_Y 108 SITEPROP SLICE_X53Y54 SITE_PIPS SITEPROP SLICE_X53Y54 SITE_TYPE SLICEL SITEPROP SLICE_X53Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y55 CLASS site SITEPROP SLICE_X53Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y55 IS_BONDED 0 SITEPROP SLICE_X53Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y55 IS_PAD 0 SITEPROP SLICE_X53Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y55 IS_RESERVED 0 SITEPROP SLICE_X53Y55 IS_TEST 0 SITEPROP SLICE_X53Y55 IS_USED 0 SITEPROP SLICE_X53Y55 MANUAL_ROUTING SITEPROP SLICE_X53Y55 NAME SLICE_X53Y55 SITEPROP SLICE_X53Y55 NUM_ARCS 138 SITEPROP SLICE_X53Y55 NUM_BELS 32 SITEPROP SLICE_X53Y55 NUM_INPUTS 32 SITEPROP SLICE_X53Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y55 NUM_PINS 45 SITEPROP SLICE_X53Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y55 PROHIBIT 0 SITEPROP SLICE_X53Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y55 RPM_X 139 SITEPROP SLICE_X53Y55 RPM_Y 110 SITEPROP SLICE_X53Y55 SITE_PIPS SITEPROP SLICE_X53Y55 SITE_TYPE SLICEL SITEPROP SLICE_X53Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y56 CLASS site SITEPROP SLICE_X53Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y56 IS_BONDED 0 SITEPROP SLICE_X53Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y56 IS_PAD 0 SITEPROP SLICE_X53Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y56 IS_RESERVED 0 SITEPROP SLICE_X53Y56 IS_TEST 0 SITEPROP SLICE_X53Y56 IS_USED 0 SITEPROP SLICE_X53Y56 MANUAL_ROUTING SITEPROP SLICE_X53Y56 NAME SLICE_X53Y56 SITEPROP SLICE_X53Y56 NUM_ARCS 138 SITEPROP SLICE_X53Y56 NUM_BELS 32 SITEPROP SLICE_X53Y56 NUM_INPUTS 32 SITEPROP SLICE_X53Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y56 NUM_PINS 45 SITEPROP SLICE_X53Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y56 PROHIBIT 0 SITEPROP SLICE_X53Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y56 RPM_X 139 SITEPROP SLICE_X53Y56 RPM_Y 112 SITEPROP SLICE_X53Y56 SITE_PIPS SITEPROP SLICE_X53Y56 SITE_TYPE SLICEL SITEPROP SLICE_X53Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y57 CLASS site SITEPROP SLICE_X53Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y57 IS_BONDED 0 SITEPROP SLICE_X53Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y57 IS_PAD 0 SITEPROP SLICE_X53Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y57 IS_RESERVED 0 SITEPROP SLICE_X53Y57 IS_TEST 0 SITEPROP SLICE_X53Y57 IS_USED 0 SITEPROP SLICE_X53Y57 MANUAL_ROUTING SITEPROP SLICE_X53Y57 NAME SLICE_X53Y57 SITEPROP SLICE_X53Y57 NUM_ARCS 138 SITEPROP SLICE_X53Y57 NUM_BELS 32 SITEPROP SLICE_X53Y57 NUM_INPUTS 32 SITEPROP SLICE_X53Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y57 NUM_PINS 45 SITEPROP SLICE_X53Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y57 PROHIBIT 0 SITEPROP SLICE_X53Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y57 RPM_X 139 SITEPROP SLICE_X53Y57 RPM_Y 114 SITEPROP SLICE_X53Y57 SITE_PIPS SITEPROP SLICE_X53Y57 SITE_TYPE SLICEL SITEPROP SLICE_X53Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y58 CLASS site SITEPROP SLICE_X53Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y58 IS_BONDED 0 SITEPROP SLICE_X53Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y58 IS_PAD 0 SITEPROP SLICE_X53Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y58 IS_RESERVED 0 SITEPROP SLICE_X53Y58 IS_TEST 0 SITEPROP SLICE_X53Y58 IS_USED 0 SITEPROP SLICE_X53Y58 MANUAL_ROUTING SITEPROP SLICE_X53Y58 NAME SLICE_X53Y58 SITEPROP SLICE_X53Y58 NUM_ARCS 138 SITEPROP SLICE_X53Y58 NUM_BELS 32 SITEPROP SLICE_X53Y58 NUM_INPUTS 32 SITEPROP SLICE_X53Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y58 NUM_PINS 45 SITEPROP SLICE_X53Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y58 PROHIBIT 0 SITEPROP SLICE_X53Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y58 RPM_X 139 SITEPROP SLICE_X53Y58 RPM_Y 116 SITEPROP SLICE_X53Y58 SITE_PIPS SITEPROP SLICE_X53Y58 SITE_TYPE SLICEL SITEPROP SLICE_X53Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y59 CLASS site SITEPROP SLICE_X53Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y59 IS_BONDED 0 SITEPROP SLICE_X53Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y59 IS_PAD 0 SITEPROP SLICE_X53Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y59 IS_RESERVED 0 SITEPROP SLICE_X53Y59 IS_TEST 0 SITEPROP SLICE_X53Y59 IS_USED 0 SITEPROP SLICE_X53Y59 MANUAL_ROUTING SITEPROP SLICE_X53Y59 NAME SLICE_X53Y59 SITEPROP SLICE_X53Y59 NUM_ARCS 138 SITEPROP SLICE_X53Y59 NUM_BELS 32 SITEPROP SLICE_X53Y59 NUM_INPUTS 32 SITEPROP SLICE_X53Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y59 NUM_PINS 45 SITEPROP SLICE_X53Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y59 PROHIBIT 0 SITEPROP SLICE_X53Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y59 RPM_X 139 SITEPROP SLICE_X53Y59 RPM_Y 118 SITEPROP SLICE_X53Y59 SITE_PIPS SITEPROP SLICE_X53Y59 SITE_TYPE SLICEL SITEPROP SLICE_X53Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y60 CLASS site SITEPROP SLICE_X53Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y60 IS_BONDED 0 SITEPROP SLICE_X53Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y60 IS_PAD 0 SITEPROP SLICE_X53Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y60 IS_RESERVED 0 SITEPROP SLICE_X53Y60 IS_TEST 0 SITEPROP SLICE_X53Y60 IS_USED 0 SITEPROP SLICE_X53Y60 MANUAL_ROUTING SITEPROP SLICE_X53Y60 NAME SLICE_X53Y60 SITEPROP SLICE_X53Y60 NUM_ARCS 138 SITEPROP SLICE_X53Y60 NUM_BELS 32 SITEPROP SLICE_X53Y60 NUM_INPUTS 32 SITEPROP SLICE_X53Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y60 NUM_PINS 45 SITEPROP SLICE_X53Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y60 PROHIBIT 0 SITEPROP SLICE_X53Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y60 RPM_X 139 SITEPROP SLICE_X53Y60 RPM_Y 120 SITEPROP SLICE_X53Y60 SITE_PIPS SITEPROP SLICE_X53Y60 SITE_TYPE SLICEL SITEPROP SLICE_X53Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y61 CLASS site SITEPROP SLICE_X53Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y61 IS_BONDED 0 SITEPROP SLICE_X53Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y61 IS_PAD 0 SITEPROP SLICE_X53Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y61 IS_RESERVED 0 SITEPROP SLICE_X53Y61 IS_TEST 0 SITEPROP SLICE_X53Y61 IS_USED 0 SITEPROP SLICE_X53Y61 MANUAL_ROUTING SITEPROP SLICE_X53Y61 NAME SLICE_X53Y61 SITEPROP SLICE_X53Y61 NUM_ARCS 138 SITEPROP SLICE_X53Y61 NUM_BELS 32 SITEPROP SLICE_X53Y61 NUM_INPUTS 32 SITEPROP SLICE_X53Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y61 NUM_PINS 45 SITEPROP SLICE_X53Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y61 PROHIBIT 0 SITEPROP SLICE_X53Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y61 RPM_X 139 SITEPROP SLICE_X53Y61 RPM_Y 122 SITEPROP SLICE_X53Y61 SITE_PIPS SITEPROP SLICE_X53Y61 SITE_TYPE SLICEL SITEPROP SLICE_X53Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y62 CLASS site SITEPROP SLICE_X53Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y62 IS_BONDED 0 SITEPROP SLICE_X53Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y62 IS_PAD 0 SITEPROP SLICE_X53Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y62 IS_RESERVED 0 SITEPROP SLICE_X53Y62 IS_TEST 0 SITEPROP SLICE_X53Y62 IS_USED 0 SITEPROP SLICE_X53Y62 MANUAL_ROUTING SITEPROP SLICE_X53Y62 NAME SLICE_X53Y62 SITEPROP SLICE_X53Y62 NUM_ARCS 138 SITEPROP SLICE_X53Y62 NUM_BELS 32 SITEPROP SLICE_X53Y62 NUM_INPUTS 32 SITEPROP SLICE_X53Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y62 NUM_PINS 45 SITEPROP SLICE_X53Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y62 PROHIBIT 0 SITEPROP SLICE_X53Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y62 RPM_X 139 SITEPROP SLICE_X53Y62 RPM_Y 124 SITEPROP SLICE_X53Y62 SITE_PIPS SITEPROP SLICE_X53Y62 SITE_TYPE SLICEL SITEPROP SLICE_X53Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y63 CLASS site SITEPROP SLICE_X53Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y63 IS_BONDED 0 SITEPROP SLICE_X53Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y63 IS_PAD 0 SITEPROP SLICE_X53Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y63 IS_RESERVED 0 SITEPROP SLICE_X53Y63 IS_TEST 0 SITEPROP SLICE_X53Y63 IS_USED 0 SITEPROP SLICE_X53Y63 MANUAL_ROUTING SITEPROP SLICE_X53Y63 NAME SLICE_X53Y63 SITEPROP SLICE_X53Y63 NUM_ARCS 138 SITEPROP SLICE_X53Y63 NUM_BELS 32 SITEPROP SLICE_X53Y63 NUM_INPUTS 32 SITEPROP SLICE_X53Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y63 NUM_PINS 45 SITEPROP SLICE_X53Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y63 PROHIBIT 0 SITEPROP SLICE_X53Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y63 RPM_X 139 SITEPROP SLICE_X53Y63 RPM_Y 126 SITEPROP SLICE_X53Y63 SITE_PIPS SITEPROP SLICE_X53Y63 SITE_TYPE SLICEL SITEPROP SLICE_X53Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y64 CLASS site SITEPROP SLICE_X53Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y64 IS_BONDED 0 SITEPROP SLICE_X53Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y64 IS_PAD 0 SITEPROP SLICE_X53Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y64 IS_RESERVED 0 SITEPROP SLICE_X53Y64 IS_TEST 0 SITEPROP SLICE_X53Y64 IS_USED 0 SITEPROP SLICE_X53Y64 MANUAL_ROUTING SITEPROP SLICE_X53Y64 NAME SLICE_X53Y64 SITEPROP SLICE_X53Y64 NUM_ARCS 138 SITEPROP SLICE_X53Y64 NUM_BELS 32 SITEPROP SLICE_X53Y64 NUM_INPUTS 32 SITEPROP SLICE_X53Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y64 NUM_PINS 45 SITEPROP SLICE_X53Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y64 PROHIBIT 0 SITEPROP SLICE_X53Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y64 RPM_X 139 SITEPROP SLICE_X53Y64 RPM_Y 128 SITEPROP SLICE_X53Y64 SITE_PIPS SITEPROP SLICE_X53Y64 SITE_TYPE SLICEL SITEPROP SLICE_X53Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y65 CLASS site SITEPROP SLICE_X53Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y65 IS_BONDED 0 SITEPROP SLICE_X53Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y65 IS_PAD 0 SITEPROP SLICE_X53Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y65 IS_RESERVED 0 SITEPROP SLICE_X53Y65 IS_TEST 0 SITEPROP SLICE_X53Y65 IS_USED 0 SITEPROP SLICE_X53Y65 MANUAL_ROUTING SITEPROP SLICE_X53Y65 NAME SLICE_X53Y65 SITEPROP SLICE_X53Y65 NUM_ARCS 138 SITEPROP SLICE_X53Y65 NUM_BELS 32 SITEPROP SLICE_X53Y65 NUM_INPUTS 32 SITEPROP SLICE_X53Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y65 NUM_PINS 45 SITEPROP SLICE_X53Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y65 PROHIBIT 0 SITEPROP SLICE_X53Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y65 RPM_X 139 SITEPROP SLICE_X53Y65 RPM_Y 130 SITEPROP SLICE_X53Y65 SITE_PIPS SITEPROP SLICE_X53Y65 SITE_TYPE SLICEL SITEPROP SLICE_X53Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y66 CLASS site SITEPROP SLICE_X53Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y66 IS_BONDED 0 SITEPROP SLICE_X53Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y66 IS_PAD 0 SITEPROP SLICE_X53Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y66 IS_RESERVED 0 SITEPROP SLICE_X53Y66 IS_TEST 0 SITEPROP SLICE_X53Y66 IS_USED 0 SITEPROP SLICE_X53Y66 MANUAL_ROUTING SITEPROP SLICE_X53Y66 NAME SLICE_X53Y66 SITEPROP SLICE_X53Y66 NUM_ARCS 138 SITEPROP SLICE_X53Y66 NUM_BELS 32 SITEPROP SLICE_X53Y66 NUM_INPUTS 32 SITEPROP SLICE_X53Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y66 NUM_PINS 45 SITEPROP SLICE_X53Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y66 PROHIBIT 0 SITEPROP SLICE_X53Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y66 RPM_X 139 SITEPROP SLICE_X53Y66 RPM_Y 132 SITEPROP SLICE_X53Y66 SITE_PIPS SITEPROP SLICE_X53Y66 SITE_TYPE SLICEL SITEPROP SLICE_X53Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y67 CLASS site SITEPROP SLICE_X53Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y67 IS_BONDED 0 SITEPROP SLICE_X53Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y67 IS_PAD 0 SITEPROP SLICE_X53Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y67 IS_RESERVED 0 SITEPROP SLICE_X53Y67 IS_TEST 0 SITEPROP SLICE_X53Y67 IS_USED 0 SITEPROP SLICE_X53Y67 MANUAL_ROUTING SITEPROP SLICE_X53Y67 NAME SLICE_X53Y67 SITEPROP SLICE_X53Y67 NUM_ARCS 138 SITEPROP SLICE_X53Y67 NUM_BELS 32 SITEPROP SLICE_X53Y67 NUM_INPUTS 32 SITEPROP SLICE_X53Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y67 NUM_PINS 45 SITEPROP SLICE_X53Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y67 PROHIBIT 0 SITEPROP SLICE_X53Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y67 RPM_X 139 SITEPROP SLICE_X53Y67 RPM_Y 134 SITEPROP SLICE_X53Y67 SITE_PIPS SITEPROP SLICE_X53Y67 SITE_TYPE SLICEL SITEPROP SLICE_X53Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y68 CLASS site SITEPROP SLICE_X53Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y68 IS_BONDED 0 SITEPROP SLICE_X53Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y68 IS_PAD 0 SITEPROP SLICE_X53Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y68 IS_RESERVED 0 SITEPROP SLICE_X53Y68 IS_TEST 0 SITEPROP SLICE_X53Y68 IS_USED 0 SITEPROP SLICE_X53Y68 MANUAL_ROUTING SITEPROP SLICE_X53Y68 NAME SLICE_X53Y68 SITEPROP SLICE_X53Y68 NUM_ARCS 138 SITEPROP SLICE_X53Y68 NUM_BELS 32 SITEPROP SLICE_X53Y68 NUM_INPUTS 32 SITEPROP SLICE_X53Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y68 NUM_PINS 45 SITEPROP SLICE_X53Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y68 PROHIBIT 0 SITEPROP SLICE_X53Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y68 RPM_X 139 SITEPROP SLICE_X53Y68 RPM_Y 136 SITEPROP SLICE_X53Y68 SITE_PIPS SITEPROP SLICE_X53Y68 SITE_TYPE SLICEL SITEPROP SLICE_X53Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y69 CLASS site SITEPROP SLICE_X53Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y69 IS_BONDED 0 SITEPROP SLICE_X53Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y69 IS_PAD 0 SITEPROP SLICE_X53Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y69 IS_RESERVED 0 SITEPROP SLICE_X53Y69 IS_TEST 0 SITEPROP SLICE_X53Y69 IS_USED 0 SITEPROP SLICE_X53Y69 MANUAL_ROUTING SITEPROP SLICE_X53Y69 NAME SLICE_X53Y69 SITEPROP SLICE_X53Y69 NUM_ARCS 138 SITEPROP SLICE_X53Y69 NUM_BELS 32 SITEPROP SLICE_X53Y69 NUM_INPUTS 32 SITEPROP SLICE_X53Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y69 NUM_PINS 45 SITEPROP SLICE_X53Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y69 PROHIBIT 0 SITEPROP SLICE_X53Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y69 RPM_X 139 SITEPROP SLICE_X53Y69 RPM_Y 138 SITEPROP SLICE_X53Y69 SITE_PIPS SITEPROP SLICE_X53Y69 SITE_TYPE SLICEL SITEPROP SLICE_X53Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y70 CLASS site SITEPROP SLICE_X53Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y70 IS_BONDED 0 SITEPROP SLICE_X53Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y70 IS_PAD 0 SITEPROP SLICE_X53Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y70 IS_RESERVED 0 SITEPROP SLICE_X53Y70 IS_TEST 0 SITEPROP SLICE_X53Y70 IS_USED 0 SITEPROP SLICE_X53Y70 MANUAL_ROUTING SITEPROP SLICE_X53Y70 NAME SLICE_X53Y70 SITEPROP SLICE_X53Y70 NUM_ARCS 138 SITEPROP SLICE_X53Y70 NUM_BELS 32 SITEPROP SLICE_X53Y70 NUM_INPUTS 32 SITEPROP SLICE_X53Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y70 NUM_PINS 45 SITEPROP SLICE_X53Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y70 PROHIBIT 0 SITEPROP SLICE_X53Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y70 RPM_X 139 SITEPROP SLICE_X53Y70 RPM_Y 140 SITEPROP SLICE_X53Y70 SITE_PIPS SITEPROP SLICE_X53Y70 SITE_TYPE SLICEL SITEPROP SLICE_X53Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y71 CLASS site SITEPROP SLICE_X53Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y71 IS_BONDED 0 SITEPROP SLICE_X53Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y71 IS_PAD 0 SITEPROP SLICE_X53Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y71 IS_RESERVED 0 SITEPROP SLICE_X53Y71 IS_TEST 0 SITEPROP SLICE_X53Y71 IS_USED 0 SITEPROP SLICE_X53Y71 MANUAL_ROUTING SITEPROP SLICE_X53Y71 NAME SLICE_X53Y71 SITEPROP SLICE_X53Y71 NUM_ARCS 138 SITEPROP SLICE_X53Y71 NUM_BELS 32 SITEPROP SLICE_X53Y71 NUM_INPUTS 32 SITEPROP SLICE_X53Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y71 NUM_PINS 45 SITEPROP SLICE_X53Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y71 PROHIBIT 0 SITEPROP SLICE_X53Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y71 RPM_X 139 SITEPROP SLICE_X53Y71 RPM_Y 142 SITEPROP SLICE_X53Y71 SITE_PIPS SITEPROP SLICE_X53Y71 SITE_TYPE SLICEL SITEPROP SLICE_X53Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y72 CLASS site SITEPROP SLICE_X53Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y72 IS_BONDED 0 SITEPROP SLICE_X53Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y72 IS_PAD 0 SITEPROP SLICE_X53Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y72 IS_RESERVED 0 SITEPROP SLICE_X53Y72 IS_TEST 0 SITEPROP SLICE_X53Y72 IS_USED 0 SITEPROP SLICE_X53Y72 MANUAL_ROUTING SITEPROP SLICE_X53Y72 NAME SLICE_X53Y72 SITEPROP SLICE_X53Y72 NUM_ARCS 138 SITEPROP SLICE_X53Y72 NUM_BELS 32 SITEPROP SLICE_X53Y72 NUM_INPUTS 32 SITEPROP SLICE_X53Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y72 NUM_PINS 45 SITEPROP SLICE_X53Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y72 PROHIBIT 0 SITEPROP SLICE_X53Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y72 RPM_X 139 SITEPROP SLICE_X53Y72 RPM_Y 144 SITEPROP SLICE_X53Y72 SITE_PIPS SITEPROP SLICE_X53Y72 SITE_TYPE SLICEL SITEPROP SLICE_X53Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y73 CLASS site SITEPROP SLICE_X53Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y73 IS_BONDED 0 SITEPROP SLICE_X53Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y73 IS_PAD 0 SITEPROP SLICE_X53Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y73 IS_RESERVED 0 SITEPROP SLICE_X53Y73 IS_TEST 0 SITEPROP SLICE_X53Y73 IS_USED 0 SITEPROP SLICE_X53Y73 MANUAL_ROUTING SITEPROP SLICE_X53Y73 NAME SLICE_X53Y73 SITEPROP SLICE_X53Y73 NUM_ARCS 138 SITEPROP SLICE_X53Y73 NUM_BELS 32 SITEPROP SLICE_X53Y73 NUM_INPUTS 32 SITEPROP SLICE_X53Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y73 NUM_PINS 45 SITEPROP SLICE_X53Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y73 PROHIBIT 0 SITEPROP SLICE_X53Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y73 RPM_X 139 SITEPROP SLICE_X53Y73 RPM_Y 146 SITEPROP SLICE_X53Y73 SITE_PIPS SITEPROP SLICE_X53Y73 SITE_TYPE SLICEL SITEPROP SLICE_X53Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y74 CLASS site SITEPROP SLICE_X53Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y74 IS_BONDED 0 SITEPROP SLICE_X53Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y74 IS_PAD 0 SITEPROP SLICE_X53Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y74 IS_RESERVED 0 SITEPROP SLICE_X53Y74 IS_TEST 0 SITEPROP SLICE_X53Y74 IS_USED 0 SITEPROP SLICE_X53Y74 MANUAL_ROUTING SITEPROP SLICE_X53Y74 NAME SLICE_X53Y74 SITEPROP SLICE_X53Y74 NUM_ARCS 138 SITEPROP SLICE_X53Y74 NUM_BELS 32 SITEPROP SLICE_X53Y74 NUM_INPUTS 32 SITEPROP SLICE_X53Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y74 NUM_PINS 45 SITEPROP SLICE_X53Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y74 PROHIBIT 0 SITEPROP SLICE_X53Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y74 RPM_X 139 SITEPROP SLICE_X53Y74 RPM_Y 148 SITEPROP SLICE_X53Y74 SITE_PIPS SITEPROP SLICE_X53Y74 SITE_TYPE SLICEL SITEPROP SLICE_X53Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y75 CLASS site SITEPROP SLICE_X53Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y75 IS_BONDED 0 SITEPROP SLICE_X53Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y75 IS_PAD 0 SITEPROP SLICE_X53Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y75 IS_RESERVED 0 SITEPROP SLICE_X53Y75 IS_TEST 0 SITEPROP SLICE_X53Y75 IS_USED 0 SITEPROP SLICE_X53Y75 MANUAL_ROUTING SITEPROP SLICE_X53Y75 NAME SLICE_X53Y75 SITEPROP SLICE_X53Y75 NUM_ARCS 138 SITEPROP SLICE_X53Y75 NUM_BELS 32 SITEPROP SLICE_X53Y75 NUM_INPUTS 32 SITEPROP SLICE_X53Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y75 NUM_PINS 45 SITEPROP SLICE_X53Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y75 PROHIBIT 0 SITEPROP SLICE_X53Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y75 RPM_X 139 SITEPROP SLICE_X53Y75 RPM_Y 150 SITEPROP SLICE_X53Y75 SITE_PIPS SITEPROP SLICE_X53Y75 SITE_TYPE SLICEL SITEPROP SLICE_X53Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y76 CLASS site SITEPROP SLICE_X53Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y76 IS_BONDED 0 SITEPROP SLICE_X53Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y76 IS_PAD 0 SITEPROP SLICE_X53Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y76 IS_RESERVED 0 SITEPROP SLICE_X53Y76 IS_TEST 0 SITEPROP SLICE_X53Y76 IS_USED 0 SITEPROP SLICE_X53Y76 MANUAL_ROUTING SITEPROP SLICE_X53Y76 NAME SLICE_X53Y76 SITEPROP SLICE_X53Y76 NUM_ARCS 138 SITEPROP SLICE_X53Y76 NUM_BELS 32 SITEPROP SLICE_X53Y76 NUM_INPUTS 32 SITEPROP SLICE_X53Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y76 NUM_PINS 45 SITEPROP SLICE_X53Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y76 PROHIBIT 0 SITEPROP SLICE_X53Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y76 RPM_X 139 SITEPROP SLICE_X53Y76 RPM_Y 152 SITEPROP SLICE_X53Y76 SITE_PIPS SITEPROP SLICE_X53Y76 SITE_TYPE SLICEL SITEPROP SLICE_X53Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y77 CLASS site SITEPROP SLICE_X53Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y77 IS_BONDED 0 SITEPROP SLICE_X53Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y77 IS_PAD 0 SITEPROP SLICE_X53Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y77 IS_RESERVED 0 SITEPROP SLICE_X53Y77 IS_TEST 0 SITEPROP SLICE_X53Y77 IS_USED 0 SITEPROP SLICE_X53Y77 MANUAL_ROUTING SITEPROP SLICE_X53Y77 NAME SLICE_X53Y77 SITEPROP SLICE_X53Y77 NUM_ARCS 138 SITEPROP SLICE_X53Y77 NUM_BELS 32 SITEPROP SLICE_X53Y77 NUM_INPUTS 32 SITEPROP SLICE_X53Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y77 NUM_PINS 45 SITEPROP SLICE_X53Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y77 PROHIBIT 0 SITEPROP SLICE_X53Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y77 RPM_X 139 SITEPROP SLICE_X53Y77 RPM_Y 154 SITEPROP SLICE_X53Y77 SITE_PIPS SITEPROP SLICE_X53Y77 SITE_TYPE SLICEL SITEPROP SLICE_X53Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y78 CLASS site SITEPROP SLICE_X53Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y78 IS_BONDED 0 SITEPROP SLICE_X53Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y78 IS_PAD 0 SITEPROP SLICE_X53Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y78 IS_RESERVED 0 SITEPROP SLICE_X53Y78 IS_TEST 0 SITEPROP SLICE_X53Y78 IS_USED 0 SITEPROP SLICE_X53Y78 MANUAL_ROUTING SITEPROP SLICE_X53Y78 NAME SLICE_X53Y78 SITEPROP SLICE_X53Y78 NUM_ARCS 138 SITEPROP SLICE_X53Y78 NUM_BELS 32 SITEPROP SLICE_X53Y78 NUM_INPUTS 32 SITEPROP SLICE_X53Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y78 NUM_PINS 45 SITEPROP SLICE_X53Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y78 PROHIBIT 0 SITEPROP SLICE_X53Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y78 RPM_X 139 SITEPROP SLICE_X53Y78 RPM_Y 156 SITEPROP SLICE_X53Y78 SITE_PIPS SITEPROP SLICE_X53Y78 SITE_TYPE SLICEL SITEPROP SLICE_X53Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y79 CLASS site SITEPROP SLICE_X53Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y79 IS_BONDED 0 SITEPROP SLICE_X53Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y79 IS_PAD 0 SITEPROP SLICE_X53Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y79 IS_RESERVED 0 SITEPROP SLICE_X53Y79 IS_TEST 0 SITEPROP SLICE_X53Y79 IS_USED 0 SITEPROP SLICE_X53Y79 MANUAL_ROUTING SITEPROP SLICE_X53Y79 NAME SLICE_X53Y79 SITEPROP SLICE_X53Y79 NUM_ARCS 138 SITEPROP SLICE_X53Y79 NUM_BELS 32 SITEPROP SLICE_X53Y79 NUM_INPUTS 32 SITEPROP SLICE_X53Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y79 NUM_PINS 45 SITEPROP SLICE_X53Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y79 PROHIBIT 0 SITEPROP SLICE_X53Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y79 RPM_X 139 SITEPROP SLICE_X53Y79 RPM_Y 158 SITEPROP SLICE_X53Y79 SITE_PIPS SITEPROP SLICE_X53Y79 SITE_TYPE SLICEL SITEPROP SLICE_X53Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y80 CLASS site SITEPROP SLICE_X53Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y80 IS_BONDED 0 SITEPROP SLICE_X53Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y80 IS_PAD 0 SITEPROP SLICE_X53Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y80 IS_RESERVED 0 SITEPROP SLICE_X53Y80 IS_TEST 0 SITEPROP SLICE_X53Y80 IS_USED 0 SITEPROP SLICE_X53Y80 MANUAL_ROUTING SITEPROP SLICE_X53Y80 NAME SLICE_X53Y80 SITEPROP SLICE_X53Y80 NUM_ARCS 138 SITEPROP SLICE_X53Y80 NUM_BELS 32 SITEPROP SLICE_X53Y80 NUM_INPUTS 32 SITEPROP SLICE_X53Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y80 NUM_PINS 45 SITEPROP SLICE_X53Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y80 PROHIBIT 0 SITEPROP SLICE_X53Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y80 RPM_X 139 SITEPROP SLICE_X53Y80 RPM_Y 160 SITEPROP SLICE_X53Y80 SITE_PIPS SITEPROP SLICE_X53Y80 SITE_TYPE SLICEL SITEPROP SLICE_X53Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y81 CLASS site SITEPROP SLICE_X53Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y81 IS_BONDED 0 SITEPROP SLICE_X53Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y81 IS_PAD 0 SITEPROP SLICE_X53Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y81 IS_RESERVED 0 SITEPROP SLICE_X53Y81 IS_TEST 0 SITEPROP SLICE_X53Y81 IS_USED 0 SITEPROP SLICE_X53Y81 MANUAL_ROUTING SITEPROP SLICE_X53Y81 NAME SLICE_X53Y81 SITEPROP SLICE_X53Y81 NUM_ARCS 138 SITEPROP SLICE_X53Y81 NUM_BELS 32 SITEPROP SLICE_X53Y81 NUM_INPUTS 32 SITEPROP SLICE_X53Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y81 NUM_PINS 45 SITEPROP SLICE_X53Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y81 PROHIBIT 0 SITEPROP SLICE_X53Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y81 RPM_X 139 SITEPROP SLICE_X53Y81 RPM_Y 162 SITEPROP SLICE_X53Y81 SITE_PIPS SITEPROP SLICE_X53Y81 SITE_TYPE SLICEL SITEPROP SLICE_X53Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y82 CLASS site SITEPROP SLICE_X53Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y82 IS_BONDED 0 SITEPROP SLICE_X53Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y82 IS_PAD 0 SITEPROP SLICE_X53Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y82 IS_RESERVED 0 SITEPROP SLICE_X53Y82 IS_TEST 0 SITEPROP SLICE_X53Y82 IS_USED 0 SITEPROP SLICE_X53Y82 MANUAL_ROUTING SITEPROP SLICE_X53Y82 NAME SLICE_X53Y82 SITEPROP SLICE_X53Y82 NUM_ARCS 138 SITEPROP SLICE_X53Y82 NUM_BELS 32 SITEPROP SLICE_X53Y82 NUM_INPUTS 32 SITEPROP SLICE_X53Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y82 NUM_PINS 45 SITEPROP SLICE_X53Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y82 PROHIBIT 0 SITEPROP SLICE_X53Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y82 RPM_X 139 SITEPROP SLICE_X53Y82 RPM_Y 164 SITEPROP SLICE_X53Y82 SITE_PIPS SITEPROP SLICE_X53Y82 SITE_TYPE SLICEL SITEPROP SLICE_X53Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y83 CLASS site SITEPROP SLICE_X53Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y83 IS_BONDED 0 SITEPROP SLICE_X53Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y83 IS_PAD 0 SITEPROP SLICE_X53Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y83 IS_RESERVED 0 SITEPROP SLICE_X53Y83 IS_TEST 0 SITEPROP SLICE_X53Y83 IS_USED 0 SITEPROP SLICE_X53Y83 MANUAL_ROUTING SITEPROP SLICE_X53Y83 NAME SLICE_X53Y83 SITEPROP SLICE_X53Y83 NUM_ARCS 138 SITEPROP SLICE_X53Y83 NUM_BELS 32 SITEPROP SLICE_X53Y83 NUM_INPUTS 32 SITEPROP SLICE_X53Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y83 NUM_PINS 45 SITEPROP SLICE_X53Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y83 PROHIBIT 0 SITEPROP SLICE_X53Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y83 RPM_X 139 SITEPROP SLICE_X53Y83 RPM_Y 166 SITEPROP SLICE_X53Y83 SITE_PIPS SITEPROP SLICE_X53Y83 SITE_TYPE SLICEL SITEPROP SLICE_X53Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y84 CLASS site SITEPROP SLICE_X53Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y84 IS_BONDED 0 SITEPROP SLICE_X53Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y84 IS_PAD 0 SITEPROP SLICE_X53Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y84 IS_RESERVED 0 SITEPROP SLICE_X53Y84 IS_TEST 0 SITEPROP SLICE_X53Y84 IS_USED 0 SITEPROP SLICE_X53Y84 MANUAL_ROUTING SITEPROP SLICE_X53Y84 NAME SLICE_X53Y84 SITEPROP SLICE_X53Y84 NUM_ARCS 138 SITEPROP SLICE_X53Y84 NUM_BELS 32 SITEPROP SLICE_X53Y84 NUM_INPUTS 32 SITEPROP SLICE_X53Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y84 NUM_PINS 45 SITEPROP SLICE_X53Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y84 PROHIBIT 0 SITEPROP SLICE_X53Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y84 RPM_X 139 SITEPROP SLICE_X53Y84 RPM_Y 168 SITEPROP SLICE_X53Y84 SITE_PIPS SITEPROP SLICE_X53Y84 SITE_TYPE SLICEL SITEPROP SLICE_X53Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y85 CLASS site SITEPROP SLICE_X53Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y85 IS_BONDED 0 SITEPROP SLICE_X53Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y85 IS_PAD 0 SITEPROP SLICE_X53Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y85 IS_RESERVED 0 SITEPROP SLICE_X53Y85 IS_TEST 0 SITEPROP SLICE_X53Y85 IS_USED 0 SITEPROP SLICE_X53Y85 MANUAL_ROUTING SITEPROP SLICE_X53Y85 NAME SLICE_X53Y85 SITEPROP SLICE_X53Y85 NUM_ARCS 138 SITEPROP SLICE_X53Y85 NUM_BELS 32 SITEPROP SLICE_X53Y85 NUM_INPUTS 32 SITEPROP SLICE_X53Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y85 NUM_PINS 45 SITEPROP SLICE_X53Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y85 PROHIBIT 0 SITEPROP SLICE_X53Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y85 RPM_X 139 SITEPROP SLICE_X53Y85 RPM_Y 170 SITEPROP SLICE_X53Y85 SITE_PIPS SITEPROP SLICE_X53Y85 SITE_TYPE SLICEL SITEPROP SLICE_X53Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y86 CLASS site SITEPROP SLICE_X53Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y86 IS_BONDED 0 SITEPROP SLICE_X53Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y86 IS_PAD 0 SITEPROP SLICE_X53Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y86 IS_RESERVED 0 SITEPROP SLICE_X53Y86 IS_TEST 0 SITEPROP SLICE_X53Y86 IS_USED 0 SITEPROP SLICE_X53Y86 MANUAL_ROUTING SITEPROP SLICE_X53Y86 NAME SLICE_X53Y86 SITEPROP SLICE_X53Y86 NUM_ARCS 138 SITEPROP SLICE_X53Y86 NUM_BELS 32 SITEPROP SLICE_X53Y86 NUM_INPUTS 32 SITEPROP SLICE_X53Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y86 NUM_PINS 45 SITEPROP SLICE_X53Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y86 PROHIBIT 0 SITEPROP SLICE_X53Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y86 RPM_X 139 SITEPROP SLICE_X53Y86 RPM_Y 172 SITEPROP SLICE_X53Y86 SITE_PIPS SITEPROP SLICE_X53Y86 SITE_TYPE SLICEL SITEPROP SLICE_X53Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y87 CLASS site SITEPROP SLICE_X53Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y87 IS_BONDED 0 SITEPROP SLICE_X53Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y87 IS_PAD 0 SITEPROP SLICE_X53Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y87 IS_RESERVED 0 SITEPROP SLICE_X53Y87 IS_TEST 0 SITEPROP SLICE_X53Y87 IS_USED 0 SITEPROP SLICE_X53Y87 MANUAL_ROUTING SITEPROP SLICE_X53Y87 NAME SLICE_X53Y87 SITEPROP SLICE_X53Y87 NUM_ARCS 138 SITEPROP SLICE_X53Y87 NUM_BELS 32 SITEPROP SLICE_X53Y87 NUM_INPUTS 32 SITEPROP SLICE_X53Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y87 NUM_PINS 45 SITEPROP SLICE_X53Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y87 PROHIBIT 0 SITEPROP SLICE_X53Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y87 RPM_X 139 SITEPROP SLICE_X53Y87 RPM_Y 174 SITEPROP SLICE_X53Y87 SITE_PIPS SITEPROP SLICE_X53Y87 SITE_TYPE SLICEL SITEPROP SLICE_X53Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y88 CLASS site SITEPROP SLICE_X53Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y88 IS_BONDED 0 SITEPROP SLICE_X53Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y88 IS_PAD 0 SITEPROP SLICE_X53Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y88 IS_RESERVED 0 SITEPROP SLICE_X53Y88 IS_TEST 0 SITEPROP SLICE_X53Y88 IS_USED 0 SITEPROP SLICE_X53Y88 MANUAL_ROUTING SITEPROP SLICE_X53Y88 NAME SLICE_X53Y88 SITEPROP SLICE_X53Y88 NUM_ARCS 138 SITEPROP SLICE_X53Y88 NUM_BELS 32 SITEPROP SLICE_X53Y88 NUM_INPUTS 32 SITEPROP SLICE_X53Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y88 NUM_PINS 45 SITEPROP SLICE_X53Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y88 PROHIBIT 0 SITEPROP SLICE_X53Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y88 RPM_X 139 SITEPROP SLICE_X53Y88 RPM_Y 176 SITEPROP SLICE_X53Y88 SITE_PIPS SITEPROP SLICE_X53Y88 SITE_TYPE SLICEL SITEPROP SLICE_X53Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y89 CLASS site SITEPROP SLICE_X53Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y89 IS_BONDED 0 SITEPROP SLICE_X53Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y89 IS_PAD 0 SITEPROP SLICE_X53Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y89 IS_RESERVED 0 SITEPROP SLICE_X53Y89 IS_TEST 0 SITEPROP SLICE_X53Y89 IS_USED 0 SITEPROP SLICE_X53Y89 MANUAL_ROUTING SITEPROP SLICE_X53Y89 NAME SLICE_X53Y89 SITEPROP SLICE_X53Y89 NUM_ARCS 138 SITEPROP SLICE_X53Y89 NUM_BELS 32 SITEPROP SLICE_X53Y89 NUM_INPUTS 32 SITEPROP SLICE_X53Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y89 NUM_PINS 45 SITEPROP SLICE_X53Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y89 PROHIBIT 0 SITEPROP SLICE_X53Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y89 RPM_X 139 SITEPROP SLICE_X53Y89 RPM_Y 178 SITEPROP SLICE_X53Y89 SITE_PIPS SITEPROP SLICE_X53Y89 SITE_TYPE SLICEL SITEPROP SLICE_X53Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y90 CLASS site SITEPROP SLICE_X53Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y90 IS_BONDED 0 SITEPROP SLICE_X53Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y90 IS_PAD 0 SITEPROP SLICE_X53Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y90 IS_RESERVED 0 SITEPROP SLICE_X53Y90 IS_TEST 0 SITEPROP SLICE_X53Y90 IS_USED 0 SITEPROP SLICE_X53Y90 MANUAL_ROUTING SITEPROP SLICE_X53Y90 NAME SLICE_X53Y90 SITEPROP SLICE_X53Y90 NUM_ARCS 138 SITEPROP SLICE_X53Y90 NUM_BELS 32 SITEPROP SLICE_X53Y90 NUM_INPUTS 32 SITEPROP SLICE_X53Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y90 NUM_PINS 45 SITEPROP SLICE_X53Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y90 PROHIBIT 0 SITEPROP SLICE_X53Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y90 RPM_X 139 SITEPROP SLICE_X53Y90 RPM_Y 180 SITEPROP SLICE_X53Y90 SITE_PIPS SITEPROP SLICE_X53Y90 SITE_TYPE SLICEL SITEPROP SLICE_X53Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y91 CLASS site SITEPROP SLICE_X53Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y91 IS_BONDED 0 SITEPROP SLICE_X53Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y91 IS_PAD 0 SITEPROP SLICE_X53Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y91 IS_RESERVED 0 SITEPROP SLICE_X53Y91 IS_TEST 0 SITEPROP SLICE_X53Y91 IS_USED 0 SITEPROP SLICE_X53Y91 MANUAL_ROUTING SITEPROP SLICE_X53Y91 NAME SLICE_X53Y91 SITEPROP SLICE_X53Y91 NUM_ARCS 138 SITEPROP SLICE_X53Y91 NUM_BELS 32 SITEPROP SLICE_X53Y91 NUM_INPUTS 32 SITEPROP SLICE_X53Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y91 NUM_PINS 45 SITEPROP SLICE_X53Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y91 PROHIBIT 0 SITEPROP SLICE_X53Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y91 RPM_X 139 SITEPROP SLICE_X53Y91 RPM_Y 182 SITEPROP SLICE_X53Y91 SITE_PIPS SITEPROP SLICE_X53Y91 SITE_TYPE SLICEL SITEPROP SLICE_X53Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y92 CLASS site SITEPROP SLICE_X53Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y92 IS_BONDED 0 SITEPROP SLICE_X53Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y92 IS_PAD 0 SITEPROP SLICE_X53Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y92 IS_RESERVED 0 SITEPROP SLICE_X53Y92 IS_TEST 0 SITEPROP SLICE_X53Y92 IS_USED 0 SITEPROP SLICE_X53Y92 MANUAL_ROUTING SITEPROP SLICE_X53Y92 NAME SLICE_X53Y92 SITEPROP SLICE_X53Y92 NUM_ARCS 138 SITEPROP SLICE_X53Y92 NUM_BELS 32 SITEPROP SLICE_X53Y92 NUM_INPUTS 32 SITEPROP SLICE_X53Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y92 NUM_PINS 45 SITEPROP SLICE_X53Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y92 PROHIBIT 0 SITEPROP SLICE_X53Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y92 RPM_X 139 SITEPROP SLICE_X53Y92 RPM_Y 184 SITEPROP SLICE_X53Y92 SITE_PIPS SITEPROP SLICE_X53Y92 SITE_TYPE SLICEL SITEPROP SLICE_X53Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y93 CLASS site SITEPROP SLICE_X53Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y93 IS_BONDED 0 SITEPROP SLICE_X53Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y93 IS_PAD 0 SITEPROP SLICE_X53Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y93 IS_RESERVED 0 SITEPROP SLICE_X53Y93 IS_TEST 0 SITEPROP SLICE_X53Y93 IS_USED 0 SITEPROP SLICE_X53Y93 MANUAL_ROUTING SITEPROP SLICE_X53Y93 NAME SLICE_X53Y93 SITEPROP SLICE_X53Y93 NUM_ARCS 138 SITEPROP SLICE_X53Y93 NUM_BELS 32 SITEPROP SLICE_X53Y93 NUM_INPUTS 32 SITEPROP SLICE_X53Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y93 NUM_PINS 45 SITEPROP SLICE_X53Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y93 PROHIBIT 0 SITEPROP SLICE_X53Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y93 RPM_X 139 SITEPROP SLICE_X53Y93 RPM_Y 186 SITEPROP SLICE_X53Y93 SITE_PIPS SITEPROP SLICE_X53Y93 SITE_TYPE SLICEL SITEPROP SLICE_X53Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y94 CLASS site SITEPROP SLICE_X53Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y94 IS_BONDED 0 SITEPROP SLICE_X53Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y94 IS_PAD 0 SITEPROP SLICE_X53Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y94 IS_RESERVED 0 SITEPROP SLICE_X53Y94 IS_TEST 0 SITEPROP SLICE_X53Y94 IS_USED 0 SITEPROP SLICE_X53Y94 MANUAL_ROUTING SITEPROP SLICE_X53Y94 NAME SLICE_X53Y94 SITEPROP SLICE_X53Y94 NUM_ARCS 138 SITEPROP SLICE_X53Y94 NUM_BELS 32 SITEPROP SLICE_X53Y94 NUM_INPUTS 32 SITEPROP SLICE_X53Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y94 NUM_PINS 45 SITEPROP SLICE_X53Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y94 PROHIBIT 0 SITEPROP SLICE_X53Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y94 RPM_X 139 SITEPROP SLICE_X53Y94 RPM_Y 188 SITEPROP SLICE_X53Y94 SITE_PIPS SITEPROP SLICE_X53Y94 SITE_TYPE SLICEL SITEPROP SLICE_X53Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y95 CLASS site SITEPROP SLICE_X53Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y95 IS_BONDED 0 SITEPROP SLICE_X53Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y95 IS_PAD 0 SITEPROP SLICE_X53Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y95 IS_RESERVED 0 SITEPROP SLICE_X53Y95 IS_TEST 0 SITEPROP SLICE_X53Y95 IS_USED 0 SITEPROP SLICE_X53Y95 MANUAL_ROUTING SITEPROP SLICE_X53Y95 NAME SLICE_X53Y95 SITEPROP SLICE_X53Y95 NUM_ARCS 138 SITEPROP SLICE_X53Y95 NUM_BELS 32 SITEPROP SLICE_X53Y95 NUM_INPUTS 32 SITEPROP SLICE_X53Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y95 NUM_PINS 45 SITEPROP SLICE_X53Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y95 PROHIBIT 0 SITEPROP SLICE_X53Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y95 RPM_X 139 SITEPROP SLICE_X53Y95 RPM_Y 190 SITEPROP SLICE_X53Y95 SITE_PIPS SITEPROP SLICE_X53Y95 SITE_TYPE SLICEL SITEPROP SLICE_X53Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y96 CLASS site SITEPROP SLICE_X53Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y96 IS_BONDED 0 SITEPROP SLICE_X53Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y96 IS_PAD 0 SITEPROP SLICE_X53Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y96 IS_RESERVED 0 SITEPROP SLICE_X53Y96 IS_TEST 0 SITEPROP SLICE_X53Y96 IS_USED 0 SITEPROP SLICE_X53Y96 MANUAL_ROUTING SITEPROP SLICE_X53Y96 NAME SLICE_X53Y96 SITEPROP SLICE_X53Y96 NUM_ARCS 138 SITEPROP SLICE_X53Y96 NUM_BELS 32 SITEPROP SLICE_X53Y96 NUM_INPUTS 32 SITEPROP SLICE_X53Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y96 NUM_PINS 45 SITEPROP SLICE_X53Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y96 PROHIBIT 0 SITEPROP SLICE_X53Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y96 RPM_X 139 SITEPROP SLICE_X53Y96 RPM_Y 192 SITEPROP SLICE_X53Y96 SITE_PIPS SITEPROP SLICE_X53Y96 SITE_TYPE SLICEL SITEPROP SLICE_X53Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y97 CLASS site SITEPROP SLICE_X53Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y97 IS_BONDED 0 SITEPROP SLICE_X53Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y97 IS_PAD 0 SITEPROP SLICE_X53Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y97 IS_RESERVED 0 SITEPROP SLICE_X53Y97 IS_TEST 0 SITEPROP SLICE_X53Y97 IS_USED 0 SITEPROP SLICE_X53Y97 MANUAL_ROUTING SITEPROP SLICE_X53Y97 NAME SLICE_X53Y97 SITEPROP SLICE_X53Y97 NUM_ARCS 138 SITEPROP SLICE_X53Y97 NUM_BELS 32 SITEPROP SLICE_X53Y97 NUM_INPUTS 32 SITEPROP SLICE_X53Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y97 NUM_PINS 45 SITEPROP SLICE_X53Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y97 PROHIBIT 0 SITEPROP SLICE_X53Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y97 RPM_X 139 SITEPROP SLICE_X53Y97 RPM_Y 194 SITEPROP SLICE_X53Y97 SITE_PIPS SITEPROP SLICE_X53Y97 SITE_TYPE SLICEL SITEPROP SLICE_X53Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y98 CLASS site SITEPROP SLICE_X53Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y98 IS_BONDED 0 SITEPROP SLICE_X53Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y98 IS_PAD 0 SITEPROP SLICE_X53Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y98 IS_RESERVED 0 SITEPROP SLICE_X53Y98 IS_TEST 0 SITEPROP SLICE_X53Y98 IS_USED 0 SITEPROP SLICE_X53Y98 MANUAL_ROUTING SITEPROP SLICE_X53Y98 NAME SLICE_X53Y98 SITEPROP SLICE_X53Y98 NUM_ARCS 138 SITEPROP SLICE_X53Y98 NUM_BELS 32 SITEPROP SLICE_X53Y98 NUM_INPUTS 32 SITEPROP SLICE_X53Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y98 NUM_PINS 45 SITEPROP SLICE_X53Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y98 PROHIBIT 0 SITEPROP SLICE_X53Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y98 RPM_X 139 SITEPROP SLICE_X53Y98 RPM_Y 196 SITEPROP SLICE_X53Y98 SITE_PIPS SITEPROP SLICE_X53Y98 SITE_TYPE SLICEL SITEPROP SLICE_X53Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y99 CLASS site SITEPROP SLICE_X53Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X53Y99 IS_BONDED 0 SITEPROP SLICE_X53Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y99 IS_PAD 0 SITEPROP SLICE_X53Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y99 IS_RESERVED 0 SITEPROP SLICE_X53Y99 IS_TEST 0 SITEPROP SLICE_X53Y99 IS_USED 0 SITEPROP SLICE_X53Y99 MANUAL_ROUTING SITEPROP SLICE_X53Y99 NAME SLICE_X53Y99 SITEPROP SLICE_X53Y99 NUM_ARCS 138 SITEPROP SLICE_X53Y99 NUM_BELS 32 SITEPROP SLICE_X53Y99 NUM_INPUTS 32 SITEPROP SLICE_X53Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y99 NUM_PINS 45 SITEPROP SLICE_X53Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y99 PROHIBIT 0 SITEPROP SLICE_X53Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y99 RPM_X 139 SITEPROP SLICE_X53Y99 RPM_Y 198 SITEPROP SLICE_X53Y99 SITE_PIPS SITEPROP SLICE_X53Y99 SITE_TYPE SLICEL SITEPROP SLICE_X53Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y100 CLASS site SITEPROP SLICE_X53Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y100 IS_BONDED 0 SITEPROP SLICE_X53Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y100 IS_PAD 0 SITEPROP SLICE_X53Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y100 IS_RESERVED 0 SITEPROP SLICE_X53Y100 IS_TEST 0 SITEPROP SLICE_X53Y100 IS_USED 0 SITEPROP SLICE_X53Y100 MANUAL_ROUTING SITEPROP SLICE_X53Y100 NAME SLICE_X53Y100 SITEPROP SLICE_X53Y100 NUM_ARCS 138 SITEPROP SLICE_X53Y100 NUM_BELS 32 SITEPROP SLICE_X53Y100 NUM_INPUTS 32 SITEPROP SLICE_X53Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y100 NUM_PINS 45 SITEPROP SLICE_X53Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y100 PROHIBIT 0 SITEPROP SLICE_X53Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y100 RPM_X 139 SITEPROP SLICE_X53Y100 RPM_Y 200 SITEPROP SLICE_X53Y100 SITE_PIPS SITEPROP SLICE_X53Y100 SITE_TYPE SLICEL SITEPROP SLICE_X53Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y101 CLASS site SITEPROP SLICE_X53Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y101 IS_BONDED 0 SITEPROP SLICE_X53Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y101 IS_PAD 0 SITEPROP SLICE_X53Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y101 IS_RESERVED 0 SITEPROP SLICE_X53Y101 IS_TEST 0 SITEPROP SLICE_X53Y101 IS_USED 0 SITEPROP SLICE_X53Y101 MANUAL_ROUTING SITEPROP SLICE_X53Y101 NAME SLICE_X53Y101 SITEPROP SLICE_X53Y101 NUM_ARCS 138 SITEPROP SLICE_X53Y101 NUM_BELS 32 SITEPROP SLICE_X53Y101 NUM_INPUTS 32 SITEPROP SLICE_X53Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y101 NUM_PINS 45 SITEPROP SLICE_X53Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y101 PROHIBIT 0 SITEPROP SLICE_X53Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y101 RPM_X 139 SITEPROP SLICE_X53Y101 RPM_Y 202 SITEPROP SLICE_X53Y101 SITE_PIPS SITEPROP SLICE_X53Y101 SITE_TYPE SLICEL SITEPROP SLICE_X53Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y102 CLASS site SITEPROP SLICE_X53Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y102 IS_BONDED 0 SITEPROP SLICE_X53Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y102 IS_PAD 0 SITEPROP SLICE_X53Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y102 IS_RESERVED 0 SITEPROP SLICE_X53Y102 IS_TEST 0 SITEPROP SLICE_X53Y102 IS_USED 0 SITEPROP SLICE_X53Y102 MANUAL_ROUTING SITEPROP SLICE_X53Y102 NAME SLICE_X53Y102 SITEPROP SLICE_X53Y102 NUM_ARCS 138 SITEPROP SLICE_X53Y102 NUM_BELS 32 SITEPROP SLICE_X53Y102 NUM_INPUTS 32 SITEPROP SLICE_X53Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y102 NUM_PINS 45 SITEPROP SLICE_X53Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y102 PROHIBIT 0 SITEPROP SLICE_X53Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y102 RPM_X 139 SITEPROP SLICE_X53Y102 RPM_Y 204 SITEPROP SLICE_X53Y102 SITE_PIPS SITEPROP SLICE_X53Y102 SITE_TYPE SLICEL SITEPROP SLICE_X53Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y103 CLASS site SITEPROP SLICE_X53Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y103 IS_BONDED 0 SITEPROP SLICE_X53Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y103 IS_PAD 0 SITEPROP SLICE_X53Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y103 IS_RESERVED 0 SITEPROP SLICE_X53Y103 IS_TEST 0 SITEPROP SLICE_X53Y103 IS_USED 0 SITEPROP SLICE_X53Y103 MANUAL_ROUTING SITEPROP SLICE_X53Y103 NAME SLICE_X53Y103 SITEPROP SLICE_X53Y103 NUM_ARCS 138 SITEPROP SLICE_X53Y103 NUM_BELS 32 SITEPROP SLICE_X53Y103 NUM_INPUTS 32 SITEPROP SLICE_X53Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y103 NUM_PINS 45 SITEPROP SLICE_X53Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y103 PROHIBIT 0 SITEPROP SLICE_X53Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y103 RPM_X 139 SITEPROP SLICE_X53Y103 RPM_Y 206 SITEPROP SLICE_X53Y103 SITE_PIPS SITEPROP SLICE_X53Y103 SITE_TYPE SLICEL SITEPROP SLICE_X53Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y104 CLASS site SITEPROP SLICE_X53Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y104 IS_BONDED 0 SITEPROP SLICE_X53Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y104 IS_PAD 0 SITEPROP SLICE_X53Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y104 IS_RESERVED 0 SITEPROP SLICE_X53Y104 IS_TEST 0 SITEPROP SLICE_X53Y104 IS_USED 0 SITEPROP SLICE_X53Y104 MANUAL_ROUTING SITEPROP SLICE_X53Y104 NAME SLICE_X53Y104 SITEPROP SLICE_X53Y104 NUM_ARCS 138 SITEPROP SLICE_X53Y104 NUM_BELS 32 SITEPROP SLICE_X53Y104 NUM_INPUTS 32 SITEPROP SLICE_X53Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y104 NUM_PINS 45 SITEPROP SLICE_X53Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y104 PROHIBIT 0 SITEPROP SLICE_X53Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y104 RPM_X 139 SITEPROP SLICE_X53Y104 RPM_Y 208 SITEPROP SLICE_X53Y104 SITE_PIPS SITEPROP SLICE_X53Y104 SITE_TYPE SLICEL SITEPROP SLICE_X53Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y105 CLASS site SITEPROP SLICE_X53Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y105 IS_BONDED 0 SITEPROP SLICE_X53Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y105 IS_PAD 0 SITEPROP SLICE_X53Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y105 IS_RESERVED 0 SITEPROP SLICE_X53Y105 IS_TEST 0 SITEPROP SLICE_X53Y105 IS_USED 0 SITEPROP SLICE_X53Y105 MANUAL_ROUTING SITEPROP SLICE_X53Y105 NAME SLICE_X53Y105 SITEPROP SLICE_X53Y105 NUM_ARCS 138 SITEPROP SLICE_X53Y105 NUM_BELS 32 SITEPROP SLICE_X53Y105 NUM_INPUTS 32 SITEPROP SLICE_X53Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y105 NUM_PINS 45 SITEPROP SLICE_X53Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y105 PROHIBIT 0 SITEPROP SLICE_X53Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y105 RPM_X 139 SITEPROP SLICE_X53Y105 RPM_Y 210 SITEPROP SLICE_X53Y105 SITE_PIPS SITEPROP SLICE_X53Y105 SITE_TYPE SLICEL SITEPROP SLICE_X53Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y106 CLASS site SITEPROP SLICE_X53Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y106 IS_BONDED 0 SITEPROP SLICE_X53Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y106 IS_PAD 0 SITEPROP SLICE_X53Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y106 IS_RESERVED 0 SITEPROP SLICE_X53Y106 IS_TEST 0 SITEPROP SLICE_X53Y106 IS_USED 0 SITEPROP SLICE_X53Y106 MANUAL_ROUTING SITEPROP SLICE_X53Y106 NAME SLICE_X53Y106 SITEPROP SLICE_X53Y106 NUM_ARCS 138 SITEPROP SLICE_X53Y106 NUM_BELS 32 SITEPROP SLICE_X53Y106 NUM_INPUTS 32 SITEPROP SLICE_X53Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y106 NUM_PINS 45 SITEPROP SLICE_X53Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y106 PROHIBIT 0 SITEPROP SLICE_X53Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y106 RPM_X 139 SITEPROP SLICE_X53Y106 RPM_Y 212 SITEPROP SLICE_X53Y106 SITE_PIPS SITEPROP SLICE_X53Y106 SITE_TYPE SLICEL SITEPROP SLICE_X53Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y107 CLASS site SITEPROP SLICE_X53Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y107 IS_BONDED 0 SITEPROP SLICE_X53Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y107 IS_PAD 0 SITEPROP SLICE_X53Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y107 IS_RESERVED 0 SITEPROP SLICE_X53Y107 IS_TEST 0 SITEPROP SLICE_X53Y107 IS_USED 0 SITEPROP SLICE_X53Y107 MANUAL_ROUTING SITEPROP SLICE_X53Y107 NAME SLICE_X53Y107 SITEPROP SLICE_X53Y107 NUM_ARCS 138 SITEPROP SLICE_X53Y107 NUM_BELS 32 SITEPROP SLICE_X53Y107 NUM_INPUTS 32 SITEPROP SLICE_X53Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y107 NUM_PINS 45 SITEPROP SLICE_X53Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y107 PROHIBIT 0 SITEPROP SLICE_X53Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y107 RPM_X 139 SITEPROP SLICE_X53Y107 RPM_Y 214 SITEPROP SLICE_X53Y107 SITE_PIPS SITEPROP SLICE_X53Y107 SITE_TYPE SLICEL SITEPROP SLICE_X53Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y108 CLASS site SITEPROP SLICE_X53Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y108 IS_BONDED 0 SITEPROP SLICE_X53Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y108 IS_PAD 0 SITEPROP SLICE_X53Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y108 IS_RESERVED 0 SITEPROP SLICE_X53Y108 IS_TEST 0 SITEPROP SLICE_X53Y108 IS_USED 0 SITEPROP SLICE_X53Y108 MANUAL_ROUTING SITEPROP SLICE_X53Y108 NAME SLICE_X53Y108 SITEPROP SLICE_X53Y108 NUM_ARCS 138 SITEPROP SLICE_X53Y108 NUM_BELS 32 SITEPROP SLICE_X53Y108 NUM_INPUTS 32 SITEPROP SLICE_X53Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y108 NUM_PINS 45 SITEPROP SLICE_X53Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y108 PROHIBIT 0 SITEPROP SLICE_X53Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y108 RPM_X 139 SITEPROP SLICE_X53Y108 RPM_Y 216 SITEPROP SLICE_X53Y108 SITE_PIPS SITEPROP SLICE_X53Y108 SITE_TYPE SLICEL SITEPROP SLICE_X53Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y109 CLASS site SITEPROP SLICE_X53Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y109 IS_BONDED 0 SITEPROP SLICE_X53Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y109 IS_PAD 0 SITEPROP SLICE_X53Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y109 IS_RESERVED 0 SITEPROP SLICE_X53Y109 IS_TEST 0 SITEPROP SLICE_X53Y109 IS_USED 0 SITEPROP SLICE_X53Y109 MANUAL_ROUTING SITEPROP SLICE_X53Y109 NAME SLICE_X53Y109 SITEPROP SLICE_X53Y109 NUM_ARCS 138 SITEPROP SLICE_X53Y109 NUM_BELS 32 SITEPROP SLICE_X53Y109 NUM_INPUTS 32 SITEPROP SLICE_X53Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y109 NUM_PINS 45 SITEPROP SLICE_X53Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y109 PROHIBIT 0 SITEPROP SLICE_X53Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y109 RPM_X 139 SITEPROP SLICE_X53Y109 RPM_Y 218 SITEPROP SLICE_X53Y109 SITE_PIPS SITEPROP SLICE_X53Y109 SITE_TYPE SLICEL SITEPROP SLICE_X53Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y110 CLASS site SITEPROP SLICE_X53Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y110 IS_BONDED 0 SITEPROP SLICE_X53Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y110 IS_PAD 0 SITEPROP SLICE_X53Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y110 IS_RESERVED 0 SITEPROP SLICE_X53Y110 IS_TEST 0 SITEPROP SLICE_X53Y110 IS_USED 0 SITEPROP SLICE_X53Y110 MANUAL_ROUTING SITEPROP SLICE_X53Y110 NAME SLICE_X53Y110 SITEPROP SLICE_X53Y110 NUM_ARCS 138 SITEPROP SLICE_X53Y110 NUM_BELS 32 SITEPROP SLICE_X53Y110 NUM_INPUTS 32 SITEPROP SLICE_X53Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y110 NUM_PINS 45 SITEPROP SLICE_X53Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y110 PROHIBIT 0 SITEPROP SLICE_X53Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y110 RPM_X 139 SITEPROP SLICE_X53Y110 RPM_Y 220 SITEPROP SLICE_X53Y110 SITE_PIPS SITEPROP SLICE_X53Y110 SITE_TYPE SLICEL SITEPROP SLICE_X53Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y111 CLASS site SITEPROP SLICE_X53Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y111 IS_BONDED 0 SITEPROP SLICE_X53Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y111 IS_PAD 0 SITEPROP SLICE_X53Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y111 IS_RESERVED 0 SITEPROP SLICE_X53Y111 IS_TEST 0 SITEPROP SLICE_X53Y111 IS_USED 0 SITEPROP SLICE_X53Y111 MANUAL_ROUTING SITEPROP SLICE_X53Y111 NAME SLICE_X53Y111 SITEPROP SLICE_X53Y111 NUM_ARCS 138 SITEPROP SLICE_X53Y111 NUM_BELS 32 SITEPROP SLICE_X53Y111 NUM_INPUTS 32 SITEPROP SLICE_X53Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y111 NUM_PINS 45 SITEPROP SLICE_X53Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y111 PROHIBIT 0 SITEPROP SLICE_X53Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y111 RPM_X 139 SITEPROP SLICE_X53Y111 RPM_Y 222 SITEPROP SLICE_X53Y111 SITE_PIPS SITEPROP SLICE_X53Y111 SITE_TYPE SLICEL SITEPROP SLICE_X53Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y112 CLASS site SITEPROP SLICE_X53Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y112 IS_BONDED 0 SITEPROP SLICE_X53Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y112 IS_PAD 0 SITEPROP SLICE_X53Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y112 IS_RESERVED 0 SITEPROP SLICE_X53Y112 IS_TEST 0 SITEPROP SLICE_X53Y112 IS_USED 0 SITEPROP SLICE_X53Y112 MANUAL_ROUTING SITEPROP SLICE_X53Y112 NAME SLICE_X53Y112 SITEPROP SLICE_X53Y112 NUM_ARCS 138 SITEPROP SLICE_X53Y112 NUM_BELS 32 SITEPROP SLICE_X53Y112 NUM_INPUTS 32 SITEPROP SLICE_X53Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y112 NUM_PINS 45 SITEPROP SLICE_X53Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y112 PROHIBIT 0 SITEPROP SLICE_X53Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y112 RPM_X 139 SITEPROP SLICE_X53Y112 RPM_Y 224 SITEPROP SLICE_X53Y112 SITE_PIPS SITEPROP SLICE_X53Y112 SITE_TYPE SLICEL SITEPROP SLICE_X53Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y113 CLASS site SITEPROP SLICE_X53Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y113 IS_BONDED 0 SITEPROP SLICE_X53Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y113 IS_PAD 0 SITEPROP SLICE_X53Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y113 IS_RESERVED 0 SITEPROP SLICE_X53Y113 IS_TEST 0 SITEPROP SLICE_X53Y113 IS_USED 0 SITEPROP SLICE_X53Y113 MANUAL_ROUTING SITEPROP SLICE_X53Y113 NAME SLICE_X53Y113 SITEPROP SLICE_X53Y113 NUM_ARCS 138 SITEPROP SLICE_X53Y113 NUM_BELS 32 SITEPROP SLICE_X53Y113 NUM_INPUTS 32 SITEPROP SLICE_X53Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y113 NUM_PINS 45 SITEPROP SLICE_X53Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y113 PROHIBIT 0 SITEPROP SLICE_X53Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y113 RPM_X 139 SITEPROP SLICE_X53Y113 RPM_Y 226 SITEPROP SLICE_X53Y113 SITE_PIPS SITEPROP SLICE_X53Y113 SITE_TYPE SLICEL SITEPROP SLICE_X53Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y114 CLASS site SITEPROP SLICE_X53Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y114 IS_BONDED 0 SITEPROP SLICE_X53Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y114 IS_PAD 0 SITEPROP SLICE_X53Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y114 IS_RESERVED 0 SITEPROP SLICE_X53Y114 IS_TEST 0 SITEPROP SLICE_X53Y114 IS_USED 0 SITEPROP SLICE_X53Y114 MANUAL_ROUTING SITEPROP SLICE_X53Y114 NAME SLICE_X53Y114 SITEPROP SLICE_X53Y114 NUM_ARCS 138 SITEPROP SLICE_X53Y114 NUM_BELS 32 SITEPROP SLICE_X53Y114 NUM_INPUTS 32 SITEPROP SLICE_X53Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y114 NUM_PINS 45 SITEPROP SLICE_X53Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y114 PROHIBIT 0 SITEPROP SLICE_X53Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y114 RPM_X 139 SITEPROP SLICE_X53Y114 RPM_Y 228 SITEPROP SLICE_X53Y114 SITE_PIPS SITEPROP SLICE_X53Y114 SITE_TYPE SLICEL SITEPROP SLICE_X53Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y115 CLASS site SITEPROP SLICE_X53Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y115 IS_BONDED 0 SITEPROP SLICE_X53Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y115 IS_PAD 0 SITEPROP SLICE_X53Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y115 IS_RESERVED 0 SITEPROP SLICE_X53Y115 IS_TEST 0 SITEPROP SLICE_X53Y115 IS_USED 0 SITEPROP SLICE_X53Y115 MANUAL_ROUTING SITEPROP SLICE_X53Y115 NAME SLICE_X53Y115 SITEPROP SLICE_X53Y115 NUM_ARCS 138 SITEPROP SLICE_X53Y115 NUM_BELS 32 SITEPROP SLICE_X53Y115 NUM_INPUTS 32 SITEPROP SLICE_X53Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y115 NUM_PINS 45 SITEPROP SLICE_X53Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y115 PROHIBIT 0 SITEPROP SLICE_X53Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y115 RPM_X 139 SITEPROP SLICE_X53Y115 RPM_Y 230 SITEPROP SLICE_X53Y115 SITE_PIPS SITEPROP SLICE_X53Y115 SITE_TYPE SLICEL SITEPROP SLICE_X53Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y116 CLASS site SITEPROP SLICE_X53Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y116 IS_BONDED 0 SITEPROP SLICE_X53Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y116 IS_PAD 0 SITEPROP SLICE_X53Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y116 IS_RESERVED 0 SITEPROP SLICE_X53Y116 IS_TEST 0 SITEPROP SLICE_X53Y116 IS_USED 0 SITEPROP SLICE_X53Y116 MANUAL_ROUTING SITEPROP SLICE_X53Y116 NAME SLICE_X53Y116 SITEPROP SLICE_X53Y116 NUM_ARCS 138 SITEPROP SLICE_X53Y116 NUM_BELS 32 SITEPROP SLICE_X53Y116 NUM_INPUTS 32 SITEPROP SLICE_X53Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y116 NUM_PINS 45 SITEPROP SLICE_X53Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y116 PROHIBIT 0 SITEPROP SLICE_X53Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y116 RPM_X 139 SITEPROP SLICE_X53Y116 RPM_Y 232 SITEPROP SLICE_X53Y116 SITE_PIPS SITEPROP SLICE_X53Y116 SITE_TYPE SLICEL SITEPROP SLICE_X53Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y117 CLASS site SITEPROP SLICE_X53Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y117 IS_BONDED 0 SITEPROP SLICE_X53Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y117 IS_PAD 0 SITEPROP SLICE_X53Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y117 IS_RESERVED 0 SITEPROP SLICE_X53Y117 IS_TEST 0 SITEPROP SLICE_X53Y117 IS_USED 0 SITEPROP SLICE_X53Y117 MANUAL_ROUTING SITEPROP SLICE_X53Y117 NAME SLICE_X53Y117 SITEPROP SLICE_X53Y117 NUM_ARCS 138 SITEPROP SLICE_X53Y117 NUM_BELS 32 SITEPROP SLICE_X53Y117 NUM_INPUTS 32 SITEPROP SLICE_X53Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y117 NUM_PINS 45 SITEPROP SLICE_X53Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y117 PROHIBIT 0 SITEPROP SLICE_X53Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y117 RPM_X 139 SITEPROP SLICE_X53Y117 RPM_Y 234 SITEPROP SLICE_X53Y117 SITE_PIPS SITEPROP SLICE_X53Y117 SITE_TYPE SLICEL SITEPROP SLICE_X53Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y118 CLASS site SITEPROP SLICE_X53Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y118 IS_BONDED 0 SITEPROP SLICE_X53Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y118 IS_PAD 0 SITEPROP SLICE_X53Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y118 IS_RESERVED 0 SITEPROP SLICE_X53Y118 IS_TEST 0 SITEPROP SLICE_X53Y118 IS_USED 0 SITEPROP SLICE_X53Y118 MANUAL_ROUTING SITEPROP SLICE_X53Y118 NAME SLICE_X53Y118 SITEPROP SLICE_X53Y118 NUM_ARCS 138 SITEPROP SLICE_X53Y118 NUM_BELS 32 SITEPROP SLICE_X53Y118 NUM_INPUTS 32 SITEPROP SLICE_X53Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y118 NUM_PINS 45 SITEPROP SLICE_X53Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y118 PROHIBIT 0 SITEPROP SLICE_X53Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y118 RPM_X 139 SITEPROP SLICE_X53Y118 RPM_Y 236 SITEPROP SLICE_X53Y118 SITE_PIPS SITEPROP SLICE_X53Y118 SITE_TYPE SLICEL SITEPROP SLICE_X53Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y119 CLASS site SITEPROP SLICE_X53Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y119 IS_BONDED 0 SITEPROP SLICE_X53Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y119 IS_PAD 0 SITEPROP SLICE_X53Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y119 IS_RESERVED 0 SITEPROP SLICE_X53Y119 IS_TEST 0 SITEPROP SLICE_X53Y119 IS_USED 0 SITEPROP SLICE_X53Y119 MANUAL_ROUTING SITEPROP SLICE_X53Y119 NAME SLICE_X53Y119 SITEPROP SLICE_X53Y119 NUM_ARCS 138 SITEPROP SLICE_X53Y119 NUM_BELS 32 SITEPROP SLICE_X53Y119 NUM_INPUTS 32 SITEPROP SLICE_X53Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y119 NUM_PINS 45 SITEPROP SLICE_X53Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y119 PROHIBIT 0 SITEPROP SLICE_X53Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y119 RPM_X 139 SITEPROP SLICE_X53Y119 RPM_Y 238 SITEPROP SLICE_X53Y119 SITE_PIPS SITEPROP SLICE_X53Y119 SITE_TYPE SLICEL SITEPROP SLICE_X53Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y120 CLASS site SITEPROP SLICE_X53Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y120 IS_BONDED 0 SITEPROP SLICE_X53Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y120 IS_PAD 0 SITEPROP SLICE_X53Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y120 IS_RESERVED 0 SITEPROP SLICE_X53Y120 IS_TEST 0 SITEPROP SLICE_X53Y120 IS_USED 0 SITEPROP SLICE_X53Y120 MANUAL_ROUTING SITEPROP SLICE_X53Y120 NAME SLICE_X53Y120 SITEPROP SLICE_X53Y120 NUM_ARCS 138 SITEPROP SLICE_X53Y120 NUM_BELS 32 SITEPROP SLICE_X53Y120 NUM_INPUTS 32 SITEPROP SLICE_X53Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y120 NUM_PINS 45 SITEPROP SLICE_X53Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y120 PROHIBIT 0 SITEPROP SLICE_X53Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y120 RPM_X 139 SITEPROP SLICE_X53Y120 RPM_Y 240 SITEPROP SLICE_X53Y120 SITE_PIPS SITEPROP SLICE_X53Y120 SITE_TYPE SLICEL SITEPROP SLICE_X53Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y121 CLASS site SITEPROP SLICE_X53Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y121 IS_BONDED 0 SITEPROP SLICE_X53Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y121 IS_PAD 0 SITEPROP SLICE_X53Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y121 IS_RESERVED 0 SITEPROP SLICE_X53Y121 IS_TEST 0 SITEPROP SLICE_X53Y121 IS_USED 0 SITEPROP SLICE_X53Y121 MANUAL_ROUTING SITEPROP SLICE_X53Y121 NAME SLICE_X53Y121 SITEPROP SLICE_X53Y121 NUM_ARCS 138 SITEPROP SLICE_X53Y121 NUM_BELS 32 SITEPROP SLICE_X53Y121 NUM_INPUTS 32 SITEPROP SLICE_X53Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y121 NUM_PINS 45 SITEPROP SLICE_X53Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y121 PROHIBIT 0 SITEPROP SLICE_X53Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y121 RPM_X 139 SITEPROP SLICE_X53Y121 RPM_Y 242 SITEPROP SLICE_X53Y121 SITE_PIPS SITEPROP SLICE_X53Y121 SITE_TYPE SLICEL SITEPROP SLICE_X53Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y122 CLASS site SITEPROP SLICE_X53Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y122 IS_BONDED 0 SITEPROP SLICE_X53Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y122 IS_PAD 0 SITEPROP SLICE_X53Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y122 IS_RESERVED 0 SITEPROP SLICE_X53Y122 IS_TEST 0 SITEPROP SLICE_X53Y122 IS_USED 0 SITEPROP SLICE_X53Y122 MANUAL_ROUTING SITEPROP SLICE_X53Y122 NAME SLICE_X53Y122 SITEPROP SLICE_X53Y122 NUM_ARCS 138 SITEPROP SLICE_X53Y122 NUM_BELS 32 SITEPROP SLICE_X53Y122 NUM_INPUTS 32 SITEPROP SLICE_X53Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y122 NUM_PINS 45 SITEPROP SLICE_X53Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y122 PROHIBIT 0 SITEPROP SLICE_X53Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y122 RPM_X 139 SITEPROP SLICE_X53Y122 RPM_Y 244 SITEPROP SLICE_X53Y122 SITE_PIPS SITEPROP SLICE_X53Y122 SITE_TYPE SLICEL SITEPROP SLICE_X53Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y123 CLASS site SITEPROP SLICE_X53Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y123 IS_BONDED 0 SITEPROP SLICE_X53Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y123 IS_PAD 0 SITEPROP SLICE_X53Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y123 IS_RESERVED 0 SITEPROP SLICE_X53Y123 IS_TEST 0 SITEPROP SLICE_X53Y123 IS_USED 0 SITEPROP SLICE_X53Y123 MANUAL_ROUTING SITEPROP SLICE_X53Y123 NAME SLICE_X53Y123 SITEPROP SLICE_X53Y123 NUM_ARCS 138 SITEPROP SLICE_X53Y123 NUM_BELS 32 SITEPROP SLICE_X53Y123 NUM_INPUTS 32 SITEPROP SLICE_X53Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y123 NUM_PINS 45 SITEPROP SLICE_X53Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y123 PROHIBIT 0 SITEPROP SLICE_X53Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y123 RPM_X 139 SITEPROP SLICE_X53Y123 RPM_Y 246 SITEPROP SLICE_X53Y123 SITE_PIPS SITEPROP SLICE_X53Y123 SITE_TYPE SLICEL SITEPROP SLICE_X53Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y124 CLASS site SITEPROP SLICE_X53Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y124 IS_BONDED 0 SITEPROP SLICE_X53Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y124 IS_PAD 0 SITEPROP SLICE_X53Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y124 IS_RESERVED 0 SITEPROP SLICE_X53Y124 IS_TEST 0 SITEPROP SLICE_X53Y124 IS_USED 0 SITEPROP SLICE_X53Y124 MANUAL_ROUTING SITEPROP SLICE_X53Y124 NAME SLICE_X53Y124 SITEPROP SLICE_X53Y124 NUM_ARCS 138 SITEPROP SLICE_X53Y124 NUM_BELS 32 SITEPROP SLICE_X53Y124 NUM_INPUTS 32 SITEPROP SLICE_X53Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y124 NUM_PINS 45 SITEPROP SLICE_X53Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y124 PROHIBIT 0 SITEPROP SLICE_X53Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y124 RPM_X 139 SITEPROP SLICE_X53Y124 RPM_Y 248 SITEPROP SLICE_X53Y124 SITE_PIPS SITEPROP SLICE_X53Y124 SITE_TYPE SLICEL SITEPROP SLICE_X53Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y125 CLASS site SITEPROP SLICE_X53Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y125 IS_BONDED 0 SITEPROP SLICE_X53Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y125 IS_PAD 0 SITEPROP SLICE_X53Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y125 IS_RESERVED 0 SITEPROP SLICE_X53Y125 IS_TEST 0 SITEPROP SLICE_X53Y125 IS_USED 0 SITEPROP SLICE_X53Y125 MANUAL_ROUTING SITEPROP SLICE_X53Y125 NAME SLICE_X53Y125 SITEPROP SLICE_X53Y125 NUM_ARCS 138 SITEPROP SLICE_X53Y125 NUM_BELS 32 SITEPROP SLICE_X53Y125 NUM_INPUTS 32 SITEPROP SLICE_X53Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y125 NUM_PINS 45 SITEPROP SLICE_X53Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y125 PROHIBIT 0 SITEPROP SLICE_X53Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y125 RPM_X 139 SITEPROP SLICE_X53Y125 RPM_Y 250 SITEPROP SLICE_X53Y125 SITE_PIPS SITEPROP SLICE_X53Y125 SITE_TYPE SLICEL SITEPROP SLICE_X53Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y126 CLASS site SITEPROP SLICE_X53Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y126 IS_BONDED 0 SITEPROP SLICE_X53Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y126 IS_PAD 0 SITEPROP SLICE_X53Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y126 IS_RESERVED 0 SITEPROP SLICE_X53Y126 IS_TEST 0 SITEPROP SLICE_X53Y126 IS_USED 0 SITEPROP SLICE_X53Y126 MANUAL_ROUTING SITEPROP SLICE_X53Y126 NAME SLICE_X53Y126 SITEPROP SLICE_X53Y126 NUM_ARCS 138 SITEPROP SLICE_X53Y126 NUM_BELS 32 SITEPROP SLICE_X53Y126 NUM_INPUTS 32 SITEPROP SLICE_X53Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y126 NUM_PINS 45 SITEPROP SLICE_X53Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y126 PROHIBIT 0 SITEPROP SLICE_X53Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y126 RPM_X 139 SITEPROP SLICE_X53Y126 RPM_Y 252 SITEPROP SLICE_X53Y126 SITE_PIPS SITEPROP SLICE_X53Y126 SITE_TYPE SLICEL SITEPROP SLICE_X53Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y127 CLASS site SITEPROP SLICE_X53Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y127 IS_BONDED 0 SITEPROP SLICE_X53Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y127 IS_PAD 0 SITEPROP SLICE_X53Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y127 IS_RESERVED 0 SITEPROP SLICE_X53Y127 IS_TEST 0 SITEPROP SLICE_X53Y127 IS_USED 0 SITEPROP SLICE_X53Y127 MANUAL_ROUTING SITEPROP SLICE_X53Y127 NAME SLICE_X53Y127 SITEPROP SLICE_X53Y127 NUM_ARCS 138 SITEPROP SLICE_X53Y127 NUM_BELS 32 SITEPROP SLICE_X53Y127 NUM_INPUTS 32 SITEPROP SLICE_X53Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y127 NUM_PINS 45 SITEPROP SLICE_X53Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y127 PROHIBIT 0 SITEPROP SLICE_X53Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y127 RPM_X 139 SITEPROP SLICE_X53Y127 RPM_Y 254 SITEPROP SLICE_X53Y127 SITE_PIPS SITEPROP SLICE_X53Y127 SITE_TYPE SLICEL SITEPROP SLICE_X53Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y128 CLASS site SITEPROP SLICE_X53Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y128 IS_BONDED 0 SITEPROP SLICE_X53Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y128 IS_PAD 0 SITEPROP SLICE_X53Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y128 IS_RESERVED 0 SITEPROP SLICE_X53Y128 IS_TEST 0 SITEPROP SLICE_X53Y128 IS_USED 0 SITEPROP SLICE_X53Y128 MANUAL_ROUTING SITEPROP SLICE_X53Y128 NAME SLICE_X53Y128 SITEPROP SLICE_X53Y128 NUM_ARCS 138 SITEPROP SLICE_X53Y128 NUM_BELS 32 SITEPROP SLICE_X53Y128 NUM_INPUTS 32 SITEPROP SLICE_X53Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y128 NUM_PINS 45 SITEPROP SLICE_X53Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y128 PROHIBIT 0 SITEPROP SLICE_X53Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y128 RPM_X 139 SITEPROP SLICE_X53Y128 RPM_Y 256 SITEPROP SLICE_X53Y128 SITE_PIPS SITEPROP SLICE_X53Y128 SITE_TYPE SLICEL SITEPROP SLICE_X53Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y129 CLASS site SITEPROP SLICE_X53Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y129 IS_BONDED 0 SITEPROP SLICE_X53Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y129 IS_PAD 0 SITEPROP SLICE_X53Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y129 IS_RESERVED 0 SITEPROP SLICE_X53Y129 IS_TEST 0 SITEPROP SLICE_X53Y129 IS_USED 0 SITEPROP SLICE_X53Y129 MANUAL_ROUTING SITEPROP SLICE_X53Y129 NAME SLICE_X53Y129 SITEPROP SLICE_X53Y129 NUM_ARCS 138 SITEPROP SLICE_X53Y129 NUM_BELS 32 SITEPROP SLICE_X53Y129 NUM_INPUTS 32 SITEPROP SLICE_X53Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y129 NUM_PINS 45 SITEPROP SLICE_X53Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y129 PROHIBIT 0 SITEPROP SLICE_X53Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y129 RPM_X 139 SITEPROP SLICE_X53Y129 RPM_Y 258 SITEPROP SLICE_X53Y129 SITE_PIPS SITEPROP SLICE_X53Y129 SITE_TYPE SLICEL SITEPROP SLICE_X53Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y130 CLASS site SITEPROP SLICE_X53Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y130 IS_BONDED 0 SITEPROP SLICE_X53Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y130 IS_PAD 0 SITEPROP SLICE_X53Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y130 IS_RESERVED 0 SITEPROP SLICE_X53Y130 IS_TEST 0 SITEPROP SLICE_X53Y130 IS_USED 0 SITEPROP SLICE_X53Y130 MANUAL_ROUTING SITEPROP SLICE_X53Y130 NAME SLICE_X53Y130 SITEPROP SLICE_X53Y130 NUM_ARCS 138 SITEPROP SLICE_X53Y130 NUM_BELS 32 SITEPROP SLICE_X53Y130 NUM_INPUTS 32 SITEPROP SLICE_X53Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y130 NUM_PINS 45 SITEPROP SLICE_X53Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y130 PROHIBIT 0 SITEPROP SLICE_X53Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y130 RPM_X 139 SITEPROP SLICE_X53Y130 RPM_Y 260 SITEPROP SLICE_X53Y130 SITE_PIPS SITEPROP SLICE_X53Y130 SITE_TYPE SLICEL SITEPROP SLICE_X53Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y131 CLASS site SITEPROP SLICE_X53Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y131 IS_BONDED 0 SITEPROP SLICE_X53Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y131 IS_PAD 0 SITEPROP SLICE_X53Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y131 IS_RESERVED 0 SITEPROP SLICE_X53Y131 IS_TEST 0 SITEPROP SLICE_X53Y131 IS_USED 0 SITEPROP SLICE_X53Y131 MANUAL_ROUTING SITEPROP SLICE_X53Y131 NAME SLICE_X53Y131 SITEPROP SLICE_X53Y131 NUM_ARCS 138 SITEPROP SLICE_X53Y131 NUM_BELS 32 SITEPROP SLICE_X53Y131 NUM_INPUTS 32 SITEPROP SLICE_X53Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y131 NUM_PINS 45 SITEPROP SLICE_X53Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y131 PROHIBIT 0 SITEPROP SLICE_X53Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y131 RPM_X 139 SITEPROP SLICE_X53Y131 RPM_Y 262 SITEPROP SLICE_X53Y131 SITE_PIPS SITEPROP SLICE_X53Y131 SITE_TYPE SLICEL SITEPROP SLICE_X53Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y132 CLASS site SITEPROP SLICE_X53Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y132 IS_BONDED 0 SITEPROP SLICE_X53Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y132 IS_PAD 0 SITEPROP SLICE_X53Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y132 IS_RESERVED 0 SITEPROP SLICE_X53Y132 IS_TEST 0 SITEPROP SLICE_X53Y132 IS_USED 0 SITEPROP SLICE_X53Y132 MANUAL_ROUTING SITEPROP SLICE_X53Y132 NAME SLICE_X53Y132 SITEPROP SLICE_X53Y132 NUM_ARCS 138 SITEPROP SLICE_X53Y132 NUM_BELS 32 SITEPROP SLICE_X53Y132 NUM_INPUTS 32 SITEPROP SLICE_X53Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y132 NUM_PINS 45 SITEPROP SLICE_X53Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y132 PROHIBIT 0 SITEPROP SLICE_X53Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y132 RPM_X 139 SITEPROP SLICE_X53Y132 RPM_Y 264 SITEPROP SLICE_X53Y132 SITE_PIPS SITEPROP SLICE_X53Y132 SITE_TYPE SLICEL SITEPROP SLICE_X53Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y133 CLASS site SITEPROP SLICE_X53Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y133 IS_BONDED 0 SITEPROP SLICE_X53Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y133 IS_PAD 0 SITEPROP SLICE_X53Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y133 IS_RESERVED 0 SITEPROP SLICE_X53Y133 IS_TEST 0 SITEPROP SLICE_X53Y133 IS_USED 0 SITEPROP SLICE_X53Y133 MANUAL_ROUTING SITEPROP SLICE_X53Y133 NAME SLICE_X53Y133 SITEPROP SLICE_X53Y133 NUM_ARCS 138 SITEPROP SLICE_X53Y133 NUM_BELS 32 SITEPROP SLICE_X53Y133 NUM_INPUTS 32 SITEPROP SLICE_X53Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y133 NUM_PINS 45 SITEPROP SLICE_X53Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y133 PROHIBIT 0 SITEPROP SLICE_X53Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y133 RPM_X 139 SITEPROP SLICE_X53Y133 RPM_Y 266 SITEPROP SLICE_X53Y133 SITE_PIPS SITEPROP SLICE_X53Y133 SITE_TYPE SLICEL SITEPROP SLICE_X53Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y134 CLASS site SITEPROP SLICE_X53Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y134 IS_BONDED 0 SITEPROP SLICE_X53Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y134 IS_PAD 0 SITEPROP SLICE_X53Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y134 IS_RESERVED 0 SITEPROP SLICE_X53Y134 IS_TEST 0 SITEPROP SLICE_X53Y134 IS_USED 0 SITEPROP SLICE_X53Y134 MANUAL_ROUTING SITEPROP SLICE_X53Y134 NAME SLICE_X53Y134 SITEPROP SLICE_X53Y134 NUM_ARCS 138 SITEPROP SLICE_X53Y134 NUM_BELS 32 SITEPROP SLICE_X53Y134 NUM_INPUTS 32 SITEPROP SLICE_X53Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y134 NUM_PINS 45 SITEPROP SLICE_X53Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y134 PROHIBIT 0 SITEPROP SLICE_X53Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y134 RPM_X 139 SITEPROP SLICE_X53Y134 RPM_Y 268 SITEPROP SLICE_X53Y134 SITE_PIPS SITEPROP SLICE_X53Y134 SITE_TYPE SLICEL SITEPROP SLICE_X53Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y135 CLASS site SITEPROP SLICE_X53Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y135 IS_BONDED 0 SITEPROP SLICE_X53Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y135 IS_PAD 0 SITEPROP SLICE_X53Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y135 IS_RESERVED 0 SITEPROP SLICE_X53Y135 IS_TEST 0 SITEPROP SLICE_X53Y135 IS_USED 0 SITEPROP SLICE_X53Y135 MANUAL_ROUTING SITEPROP SLICE_X53Y135 NAME SLICE_X53Y135 SITEPROP SLICE_X53Y135 NUM_ARCS 138 SITEPROP SLICE_X53Y135 NUM_BELS 32 SITEPROP SLICE_X53Y135 NUM_INPUTS 32 SITEPROP SLICE_X53Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y135 NUM_PINS 45 SITEPROP SLICE_X53Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y135 PROHIBIT 0 SITEPROP SLICE_X53Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y135 RPM_X 139 SITEPROP SLICE_X53Y135 RPM_Y 270 SITEPROP SLICE_X53Y135 SITE_PIPS SITEPROP SLICE_X53Y135 SITE_TYPE SLICEL SITEPROP SLICE_X53Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y136 CLASS site SITEPROP SLICE_X53Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y136 IS_BONDED 0 SITEPROP SLICE_X53Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y136 IS_PAD 0 SITEPROP SLICE_X53Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y136 IS_RESERVED 0 SITEPROP SLICE_X53Y136 IS_TEST 0 SITEPROP SLICE_X53Y136 IS_USED 0 SITEPROP SLICE_X53Y136 MANUAL_ROUTING SITEPROP SLICE_X53Y136 NAME SLICE_X53Y136 SITEPROP SLICE_X53Y136 NUM_ARCS 138 SITEPROP SLICE_X53Y136 NUM_BELS 32 SITEPROP SLICE_X53Y136 NUM_INPUTS 32 SITEPROP SLICE_X53Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y136 NUM_PINS 45 SITEPROP SLICE_X53Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y136 PROHIBIT 0 SITEPROP SLICE_X53Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y136 RPM_X 139 SITEPROP SLICE_X53Y136 RPM_Y 272 SITEPROP SLICE_X53Y136 SITE_PIPS SITEPROP SLICE_X53Y136 SITE_TYPE SLICEL SITEPROP SLICE_X53Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y137 CLASS site SITEPROP SLICE_X53Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y137 IS_BONDED 0 SITEPROP SLICE_X53Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y137 IS_PAD 0 SITEPROP SLICE_X53Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y137 IS_RESERVED 0 SITEPROP SLICE_X53Y137 IS_TEST 0 SITEPROP SLICE_X53Y137 IS_USED 0 SITEPROP SLICE_X53Y137 MANUAL_ROUTING SITEPROP SLICE_X53Y137 NAME SLICE_X53Y137 SITEPROP SLICE_X53Y137 NUM_ARCS 138 SITEPROP SLICE_X53Y137 NUM_BELS 32 SITEPROP SLICE_X53Y137 NUM_INPUTS 32 SITEPROP SLICE_X53Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y137 NUM_PINS 45 SITEPROP SLICE_X53Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y137 PROHIBIT 0 SITEPROP SLICE_X53Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y137 RPM_X 139 SITEPROP SLICE_X53Y137 RPM_Y 274 SITEPROP SLICE_X53Y137 SITE_PIPS SITEPROP SLICE_X53Y137 SITE_TYPE SLICEL SITEPROP SLICE_X53Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y138 CLASS site SITEPROP SLICE_X53Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y138 IS_BONDED 0 SITEPROP SLICE_X53Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y138 IS_PAD 0 SITEPROP SLICE_X53Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y138 IS_RESERVED 0 SITEPROP SLICE_X53Y138 IS_TEST 0 SITEPROP SLICE_X53Y138 IS_USED 0 SITEPROP SLICE_X53Y138 MANUAL_ROUTING SITEPROP SLICE_X53Y138 NAME SLICE_X53Y138 SITEPROP SLICE_X53Y138 NUM_ARCS 138 SITEPROP SLICE_X53Y138 NUM_BELS 32 SITEPROP SLICE_X53Y138 NUM_INPUTS 32 SITEPROP SLICE_X53Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y138 NUM_PINS 45 SITEPROP SLICE_X53Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y138 PROHIBIT 0 SITEPROP SLICE_X53Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y138 RPM_X 139 SITEPROP SLICE_X53Y138 RPM_Y 276 SITEPROP SLICE_X53Y138 SITE_PIPS SITEPROP SLICE_X53Y138 SITE_TYPE SLICEL SITEPROP SLICE_X53Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y139 CLASS site SITEPROP SLICE_X53Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y139 IS_BONDED 0 SITEPROP SLICE_X53Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y139 IS_PAD 0 SITEPROP SLICE_X53Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y139 IS_RESERVED 0 SITEPROP SLICE_X53Y139 IS_TEST 0 SITEPROP SLICE_X53Y139 IS_USED 0 SITEPROP SLICE_X53Y139 MANUAL_ROUTING SITEPROP SLICE_X53Y139 NAME SLICE_X53Y139 SITEPROP SLICE_X53Y139 NUM_ARCS 138 SITEPROP SLICE_X53Y139 NUM_BELS 32 SITEPROP SLICE_X53Y139 NUM_INPUTS 32 SITEPROP SLICE_X53Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y139 NUM_PINS 45 SITEPROP SLICE_X53Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y139 PROHIBIT 0 SITEPROP SLICE_X53Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y139 RPM_X 139 SITEPROP SLICE_X53Y139 RPM_Y 278 SITEPROP SLICE_X53Y139 SITE_PIPS SITEPROP SLICE_X53Y139 SITE_TYPE SLICEL SITEPROP SLICE_X53Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y140 CLASS site SITEPROP SLICE_X53Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y140 IS_BONDED 0 SITEPROP SLICE_X53Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y140 IS_PAD 0 SITEPROP SLICE_X53Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y140 IS_RESERVED 0 SITEPROP SLICE_X53Y140 IS_TEST 0 SITEPROP SLICE_X53Y140 IS_USED 0 SITEPROP SLICE_X53Y140 MANUAL_ROUTING SITEPROP SLICE_X53Y140 NAME SLICE_X53Y140 SITEPROP SLICE_X53Y140 NUM_ARCS 138 SITEPROP SLICE_X53Y140 NUM_BELS 32 SITEPROP SLICE_X53Y140 NUM_INPUTS 32 SITEPROP SLICE_X53Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y140 NUM_PINS 45 SITEPROP SLICE_X53Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y140 PROHIBIT 0 SITEPROP SLICE_X53Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y140 RPM_X 139 SITEPROP SLICE_X53Y140 RPM_Y 280 SITEPROP SLICE_X53Y140 SITE_PIPS SITEPROP SLICE_X53Y140 SITE_TYPE SLICEL SITEPROP SLICE_X53Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y141 CLASS site SITEPROP SLICE_X53Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y141 IS_BONDED 0 SITEPROP SLICE_X53Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y141 IS_PAD 0 SITEPROP SLICE_X53Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y141 IS_RESERVED 0 SITEPROP SLICE_X53Y141 IS_TEST 0 SITEPROP SLICE_X53Y141 IS_USED 0 SITEPROP SLICE_X53Y141 MANUAL_ROUTING SITEPROP SLICE_X53Y141 NAME SLICE_X53Y141 SITEPROP SLICE_X53Y141 NUM_ARCS 138 SITEPROP SLICE_X53Y141 NUM_BELS 32 SITEPROP SLICE_X53Y141 NUM_INPUTS 32 SITEPROP SLICE_X53Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y141 NUM_PINS 45 SITEPROP SLICE_X53Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y141 PROHIBIT 0 SITEPROP SLICE_X53Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y141 RPM_X 139 SITEPROP SLICE_X53Y141 RPM_Y 282 SITEPROP SLICE_X53Y141 SITE_PIPS SITEPROP SLICE_X53Y141 SITE_TYPE SLICEL SITEPROP SLICE_X53Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y142 CLASS site SITEPROP SLICE_X53Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y142 IS_BONDED 0 SITEPROP SLICE_X53Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y142 IS_PAD 0 SITEPROP SLICE_X53Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y142 IS_RESERVED 0 SITEPROP SLICE_X53Y142 IS_TEST 0 SITEPROP SLICE_X53Y142 IS_USED 0 SITEPROP SLICE_X53Y142 MANUAL_ROUTING SITEPROP SLICE_X53Y142 NAME SLICE_X53Y142 SITEPROP SLICE_X53Y142 NUM_ARCS 138 SITEPROP SLICE_X53Y142 NUM_BELS 32 SITEPROP SLICE_X53Y142 NUM_INPUTS 32 SITEPROP SLICE_X53Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y142 NUM_PINS 45 SITEPROP SLICE_X53Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y142 PROHIBIT 0 SITEPROP SLICE_X53Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y142 RPM_X 139 SITEPROP SLICE_X53Y142 RPM_Y 284 SITEPROP SLICE_X53Y142 SITE_PIPS SITEPROP SLICE_X53Y142 SITE_TYPE SLICEL SITEPROP SLICE_X53Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y143 CLASS site SITEPROP SLICE_X53Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y143 IS_BONDED 0 SITEPROP SLICE_X53Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y143 IS_PAD 0 SITEPROP SLICE_X53Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y143 IS_RESERVED 0 SITEPROP SLICE_X53Y143 IS_TEST 0 SITEPROP SLICE_X53Y143 IS_USED 0 SITEPROP SLICE_X53Y143 MANUAL_ROUTING SITEPROP SLICE_X53Y143 NAME SLICE_X53Y143 SITEPROP SLICE_X53Y143 NUM_ARCS 138 SITEPROP SLICE_X53Y143 NUM_BELS 32 SITEPROP SLICE_X53Y143 NUM_INPUTS 32 SITEPROP SLICE_X53Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y143 NUM_PINS 45 SITEPROP SLICE_X53Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y143 PROHIBIT 0 SITEPROP SLICE_X53Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y143 RPM_X 139 SITEPROP SLICE_X53Y143 RPM_Y 286 SITEPROP SLICE_X53Y143 SITE_PIPS SITEPROP SLICE_X53Y143 SITE_TYPE SLICEL SITEPROP SLICE_X53Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y144 CLASS site SITEPROP SLICE_X53Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y144 IS_BONDED 0 SITEPROP SLICE_X53Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y144 IS_PAD 0 SITEPROP SLICE_X53Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y144 IS_RESERVED 0 SITEPROP SLICE_X53Y144 IS_TEST 0 SITEPROP SLICE_X53Y144 IS_USED 0 SITEPROP SLICE_X53Y144 MANUAL_ROUTING SITEPROP SLICE_X53Y144 NAME SLICE_X53Y144 SITEPROP SLICE_X53Y144 NUM_ARCS 138 SITEPROP SLICE_X53Y144 NUM_BELS 32 SITEPROP SLICE_X53Y144 NUM_INPUTS 32 SITEPROP SLICE_X53Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y144 NUM_PINS 45 SITEPROP SLICE_X53Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y144 PROHIBIT 0 SITEPROP SLICE_X53Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y144 RPM_X 139 SITEPROP SLICE_X53Y144 RPM_Y 288 SITEPROP SLICE_X53Y144 SITE_PIPS SITEPROP SLICE_X53Y144 SITE_TYPE SLICEL SITEPROP SLICE_X53Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y145 CLASS site SITEPROP SLICE_X53Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y145 IS_BONDED 0 SITEPROP SLICE_X53Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y145 IS_PAD 0 SITEPROP SLICE_X53Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y145 IS_RESERVED 0 SITEPROP SLICE_X53Y145 IS_TEST 0 SITEPROP SLICE_X53Y145 IS_USED 0 SITEPROP SLICE_X53Y145 MANUAL_ROUTING SITEPROP SLICE_X53Y145 NAME SLICE_X53Y145 SITEPROP SLICE_X53Y145 NUM_ARCS 138 SITEPROP SLICE_X53Y145 NUM_BELS 32 SITEPROP SLICE_X53Y145 NUM_INPUTS 32 SITEPROP SLICE_X53Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y145 NUM_PINS 45 SITEPROP SLICE_X53Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y145 PROHIBIT 0 SITEPROP SLICE_X53Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y145 RPM_X 139 SITEPROP SLICE_X53Y145 RPM_Y 290 SITEPROP SLICE_X53Y145 SITE_PIPS SITEPROP SLICE_X53Y145 SITE_TYPE SLICEL SITEPROP SLICE_X53Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y146 CLASS site SITEPROP SLICE_X53Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y146 IS_BONDED 0 SITEPROP SLICE_X53Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y146 IS_PAD 0 SITEPROP SLICE_X53Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y146 IS_RESERVED 0 SITEPROP SLICE_X53Y146 IS_TEST 0 SITEPROP SLICE_X53Y146 IS_USED 0 SITEPROP SLICE_X53Y146 MANUAL_ROUTING SITEPROP SLICE_X53Y146 NAME SLICE_X53Y146 SITEPROP SLICE_X53Y146 NUM_ARCS 138 SITEPROP SLICE_X53Y146 NUM_BELS 32 SITEPROP SLICE_X53Y146 NUM_INPUTS 32 SITEPROP SLICE_X53Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y146 NUM_PINS 45 SITEPROP SLICE_X53Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y146 PROHIBIT 0 SITEPROP SLICE_X53Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y146 RPM_X 139 SITEPROP SLICE_X53Y146 RPM_Y 292 SITEPROP SLICE_X53Y146 SITE_PIPS SITEPROP SLICE_X53Y146 SITE_TYPE SLICEL SITEPROP SLICE_X53Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y147 CLASS site SITEPROP SLICE_X53Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y147 IS_BONDED 0 SITEPROP SLICE_X53Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y147 IS_PAD 0 SITEPROP SLICE_X53Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y147 IS_RESERVED 0 SITEPROP SLICE_X53Y147 IS_TEST 0 SITEPROP SLICE_X53Y147 IS_USED 0 SITEPROP SLICE_X53Y147 MANUAL_ROUTING SITEPROP SLICE_X53Y147 NAME SLICE_X53Y147 SITEPROP SLICE_X53Y147 NUM_ARCS 138 SITEPROP SLICE_X53Y147 NUM_BELS 32 SITEPROP SLICE_X53Y147 NUM_INPUTS 32 SITEPROP SLICE_X53Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y147 NUM_PINS 45 SITEPROP SLICE_X53Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y147 PROHIBIT 0 SITEPROP SLICE_X53Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y147 RPM_X 139 SITEPROP SLICE_X53Y147 RPM_Y 294 SITEPROP SLICE_X53Y147 SITE_PIPS SITEPROP SLICE_X53Y147 SITE_TYPE SLICEL SITEPROP SLICE_X53Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y148 CLASS site SITEPROP SLICE_X53Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y148 IS_BONDED 0 SITEPROP SLICE_X53Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y148 IS_PAD 0 SITEPROP SLICE_X53Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y148 IS_RESERVED 0 SITEPROP SLICE_X53Y148 IS_TEST 0 SITEPROP SLICE_X53Y148 IS_USED 0 SITEPROP SLICE_X53Y148 MANUAL_ROUTING SITEPROP SLICE_X53Y148 NAME SLICE_X53Y148 SITEPROP SLICE_X53Y148 NUM_ARCS 138 SITEPROP SLICE_X53Y148 NUM_BELS 32 SITEPROP SLICE_X53Y148 NUM_INPUTS 32 SITEPROP SLICE_X53Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y148 NUM_PINS 45 SITEPROP SLICE_X53Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y148 PROHIBIT 0 SITEPROP SLICE_X53Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y148 RPM_X 139 SITEPROP SLICE_X53Y148 RPM_Y 296 SITEPROP SLICE_X53Y148 SITE_PIPS SITEPROP SLICE_X53Y148 SITE_TYPE SLICEL SITEPROP SLICE_X53Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X53Y149 CLASS site SITEPROP SLICE_X53Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X53Y149 IS_BONDED 0 SITEPROP SLICE_X53Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X53Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y149 IS_PAD 0 SITEPROP SLICE_X53Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X53Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X53Y149 IS_RESERVED 0 SITEPROP SLICE_X53Y149 IS_TEST 0 SITEPROP SLICE_X53Y149 IS_USED 0 SITEPROP SLICE_X53Y149 MANUAL_ROUTING SITEPROP SLICE_X53Y149 NAME SLICE_X53Y149 SITEPROP SLICE_X53Y149 NUM_ARCS 138 SITEPROP SLICE_X53Y149 NUM_BELS 32 SITEPROP SLICE_X53Y149 NUM_INPUTS 32 SITEPROP SLICE_X53Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X53Y149 NUM_PINS 45 SITEPROP SLICE_X53Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X53Y149 PROHIBIT 0 SITEPROP SLICE_X53Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X53Y149 RPM_X 139 SITEPROP SLICE_X53Y149 RPM_Y 298 SITEPROP SLICE_X53Y149 SITE_PIPS SITEPROP SLICE_X53Y149 SITE_TYPE SLICEL SITEPROP SLICE_X54Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y0 CLASS site SITEPROP SLICE_X54Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y0 IS_BONDED 0 SITEPROP SLICE_X54Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y0 IS_PAD 0 SITEPROP SLICE_X54Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y0 IS_RESERVED 0 SITEPROP SLICE_X54Y0 IS_TEST 0 SITEPROP SLICE_X54Y0 IS_USED 0 SITEPROP SLICE_X54Y0 MANUAL_ROUTING SITEPROP SLICE_X54Y0 NAME SLICE_X54Y0 SITEPROP SLICE_X54Y0 NUM_ARCS 153 SITEPROP SLICE_X54Y0 NUM_BELS 32 SITEPROP SLICE_X54Y0 NUM_INPUTS 37 SITEPROP SLICE_X54Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y0 NUM_PINS 50 SITEPROP SLICE_X54Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y0 PROHIBIT 0 SITEPROP SLICE_X54Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y0 RPM_X 145 SITEPROP SLICE_X54Y0 RPM_Y 0 SITEPROP SLICE_X54Y0 SITE_PIPS SITEPROP SLICE_X54Y0 SITE_TYPE SLICEM SITEPROP SLICE_X54Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y1 CLASS site SITEPROP SLICE_X54Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y1 IS_BONDED 0 SITEPROP SLICE_X54Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y1 IS_PAD 0 SITEPROP SLICE_X54Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y1 IS_RESERVED 0 SITEPROP SLICE_X54Y1 IS_TEST 0 SITEPROP SLICE_X54Y1 IS_USED 0 SITEPROP SLICE_X54Y1 MANUAL_ROUTING SITEPROP SLICE_X54Y1 NAME SLICE_X54Y1 SITEPROP SLICE_X54Y1 NUM_ARCS 153 SITEPROP SLICE_X54Y1 NUM_BELS 32 SITEPROP SLICE_X54Y1 NUM_INPUTS 37 SITEPROP SLICE_X54Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y1 NUM_PINS 50 SITEPROP SLICE_X54Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y1 PROHIBIT 0 SITEPROP SLICE_X54Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y1 RPM_X 145 SITEPROP SLICE_X54Y1 RPM_Y 2 SITEPROP SLICE_X54Y1 SITE_PIPS SITEPROP SLICE_X54Y1 SITE_TYPE SLICEM SITEPROP SLICE_X54Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y2 CLASS site SITEPROP SLICE_X54Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y2 IS_BONDED 0 SITEPROP SLICE_X54Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y2 IS_PAD 0 SITEPROP SLICE_X54Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y2 IS_RESERVED 0 SITEPROP SLICE_X54Y2 IS_TEST 0 SITEPROP SLICE_X54Y2 IS_USED 0 SITEPROP SLICE_X54Y2 MANUAL_ROUTING SITEPROP SLICE_X54Y2 NAME SLICE_X54Y2 SITEPROP SLICE_X54Y2 NUM_ARCS 153 SITEPROP SLICE_X54Y2 NUM_BELS 32 SITEPROP SLICE_X54Y2 NUM_INPUTS 37 SITEPROP SLICE_X54Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y2 NUM_PINS 50 SITEPROP SLICE_X54Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y2 PROHIBIT 0 SITEPROP SLICE_X54Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y2 RPM_X 145 SITEPROP SLICE_X54Y2 RPM_Y 4 SITEPROP SLICE_X54Y2 SITE_PIPS SITEPROP SLICE_X54Y2 SITE_TYPE SLICEM SITEPROP SLICE_X54Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y3 CLASS site SITEPROP SLICE_X54Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y3 IS_BONDED 0 SITEPROP SLICE_X54Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y3 IS_PAD 0 SITEPROP SLICE_X54Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y3 IS_RESERVED 0 SITEPROP SLICE_X54Y3 IS_TEST 0 SITEPROP SLICE_X54Y3 IS_USED 0 SITEPROP SLICE_X54Y3 MANUAL_ROUTING SITEPROP SLICE_X54Y3 NAME SLICE_X54Y3 SITEPROP SLICE_X54Y3 NUM_ARCS 153 SITEPROP SLICE_X54Y3 NUM_BELS 32 SITEPROP SLICE_X54Y3 NUM_INPUTS 37 SITEPROP SLICE_X54Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y3 NUM_PINS 50 SITEPROP SLICE_X54Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y3 PROHIBIT 0 SITEPROP SLICE_X54Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y3 RPM_X 145 SITEPROP SLICE_X54Y3 RPM_Y 6 SITEPROP SLICE_X54Y3 SITE_PIPS SITEPROP SLICE_X54Y3 SITE_TYPE SLICEM SITEPROP SLICE_X54Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y4 CLASS site SITEPROP SLICE_X54Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y4 IS_BONDED 0 SITEPROP SLICE_X54Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y4 IS_PAD 0 SITEPROP SLICE_X54Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y4 IS_RESERVED 0 SITEPROP SLICE_X54Y4 IS_TEST 0 SITEPROP SLICE_X54Y4 IS_USED 0 SITEPROP SLICE_X54Y4 MANUAL_ROUTING SITEPROP SLICE_X54Y4 NAME SLICE_X54Y4 SITEPROP SLICE_X54Y4 NUM_ARCS 153 SITEPROP SLICE_X54Y4 NUM_BELS 32 SITEPROP SLICE_X54Y4 NUM_INPUTS 37 SITEPROP SLICE_X54Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y4 NUM_PINS 50 SITEPROP SLICE_X54Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y4 PROHIBIT 0 SITEPROP SLICE_X54Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y4 RPM_X 145 SITEPROP SLICE_X54Y4 RPM_Y 8 SITEPROP SLICE_X54Y4 SITE_PIPS SITEPROP SLICE_X54Y4 SITE_TYPE SLICEM SITEPROP SLICE_X54Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y5 CLASS site SITEPROP SLICE_X54Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y5 IS_BONDED 0 SITEPROP SLICE_X54Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y5 IS_PAD 0 SITEPROP SLICE_X54Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y5 IS_RESERVED 0 SITEPROP SLICE_X54Y5 IS_TEST 0 SITEPROP SLICE_X54Y5 IS_USED 0 SITEPROP SLICE_X54Y5 MANUAL_ROUTING SITEPROP SLICE_X54Y5 NAME SLICE_X54Y5 SITEPROP SLICE_X54Y5 NUM_ARCS 153 SITEPROP SLICE_X54Y5 NUM_BELS 32 SITEPROP SLICE_X54Y5 NUM_INPUTS 37 SITEPROP SLICE_X54Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y5 NUM_PINS 50 SITEPROP SLICE_X54Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y5 PROHIBIT 0 SITEPROP SLICE_X54Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y5 RPM_X 145 SITEPROP SLICE_X54Y5 RPM_Y 10 SITEPROP SLICE_X54Y5 SITE_PIPS SITEPROP SLICE_X54Y5 SITE_TYPE SLICEM SITEPROP SLICE_X54Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y6 CLASS site SITEPROP SLICE_X54Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y6 IS_BONDED 0 SITEPROP SLICE_X54Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y6 IS_PAD 0 SITEPROP SLICE_X54Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y6 IS_RESERVED 0 SITEPROP SLICE_X54Y6 IS_TEST 0 SITEPROP SLICE_X54Y6 IS_USED 0 SITEPROP SLICE_X54Y6 MANUAL_ROUTING SITEPROP SLICE_X54Y6 NAME SLICE_X54Y6 SITEPROP SLICE_X54Y6 NUM_ARCS 153 SITEPROP SLICE_X54Y6 NUM_BELS 32 SITEPROP SLICE_X54Y6 NUM_INPUTS 37 SITEPROP SLICE_X54Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y6 NUM_PINS 50 SITEPROP SLICE_X54Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y6 PROHIBIT 0 SITEPROP SLICE_X54Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y6 RPM_X 145 SITEPROP SLICE_X54Y6 RPM_Y 12 SITEPROP SLICE_X54Y6 SITE_PIPS SITEPROP SLICE_X54Y6 SITE_TYPE SLICEM SITEPROP SLICE_X54Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y7 CLASS site SITEPROP SLICE_X54Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y7 IS_BONDED 0 SITEPROP SLICE_X54Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y7 IS_PAD 0 SITEPROP SLICE_X54Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y7 IS_RESERVED 0 SITEPROP SLICE_X54Y7 IS_TEST 0 SITEPROP SLICE_X54Y7 IS_USED 0 SITEPROP SLICE_X54Y7 MANUAL_ROUTING SITEPROP SLICE_X54Y7 NAME SLICE_X54Y7 SITEPROP SLICE_X54Y7 NUM_ARCS 153 SITEPROP SLICE_X54Y7 NUM_BELS 32 SITEPROP SLICE_X54Y7 NUM_INPUTS 37 SITEPROP SLICE_X54Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y7 NUM_PINS 50 SITEPROP SLICE_X54Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y7 PROHIBIT 0 SITEPROP SLICE_X54Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y7 RPM_X 145 SITEPROP SLICE_X54Y7 RPM_Y 14 SITEPROP SLICE_X54Y7 SITE_PIPS SITEPROP SLICE_X54Y7 SITE_TYPE SLICEM SITEPROP SLICE_X54Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y8 CLASS site SITEPROP SLICE_X54Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y8 IS_BONDED 0 SITEPROP SLICE_X54Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y8 IS_PAD 0 SITEPROP SLICE_X54Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y8 IS_RESERVED 0 SITEPROP SLICE_X54Y8 IS_TEST 0 SITEPROP SLICE_X54Y8 IS_USED 0 SITEPROP SLICE_X54Y8 MANUAL_ROUTING SITEPROP SLICE_X54Y8 NAME SLICE_X54Y8 SITEPROP SLICE_X54Y8 NUM_ARCS 153 SITEPROP SLICE_X54Y8 NUM_BELS 32 SITEPROP SLICE_X54Y8 NUM_INPUTS 37 SITEPROP SLICE_X54Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y8 NUM_PINS 50 SITEPROP SLICE_X54Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y8 PROHIBIT 0 SITEPROP SLICE_X54Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y8 RPM_X 145 SITEPROP SLICE_X54Y8 RPM_Y 16 SITEPROP SLICE_X54Y8 SITE_PIPS SITEPROP SLICE_X54Y8 SITE_TYPE SLICEM SITEPROP SLICE_X54Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y9 CLASS site SITEPROP SLICE_X54Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y9 IS_BONDED 0 SITEPROP SLICE_X54Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y9 IS_PAD 0 SITEPROP SLICE_X54Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y9 IS_RESERVED 0 SITEPROP SLICE_X54Y9 IS_TEST 0 SITEPROP SLICE_X54Y9 IS_USED 0 SITEPROP SLICE_X54Y9 MANUAL_ROUTING SITEPROP SLICE_X54Y9 NAME SLICE_X54Y9 SITEPROP SLICE_X54Y9 NUM_ARCS 153 SITEPROP SLICE_X54Y9 NUM_BELS 32 SITEPROP SLICE_X54Y9 NUM_INPUTS 37 SITEPROP SLICE_X54Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y9 NUM_PINS 50 SITEPROP SLICE_X54Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y9 PROHIBIT 0 SITEPROP SLICE_X54Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y9 RPM_X 145 SITEPROP SLICE_X54Y9 RPM_Y 18 SITEPROP SLICE_X54Y9 SITE_PIPS SITEPROP SLICE_X54Y9 SITE_TYPE SLICEM SITEPROP SLICE_X54Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y10 CLASS site SITEPROP SLICE_X54Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y10 IS_BONDED 0 SITEPROP SLICE_X54Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y10 IS_PAD 0 SITEPROP SLICE_X54Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y10 IS_RESERVED 0 SITEPROP SLICE_X54Y10 IS_TEST 0 SITEPROP SLICE_X54Y10 IS_USED 0 SITEPROP SLICE_X54Y10 MANUAL_ROUTING SITEPROP SLICE_X54Y10 NAME SLICE_X54Y10 SITEPROP SLICE_X54Y10 NUM_ARCS 153 SITEPROP SLICE_X54Y10 NUM_BELS 32 SITEPROP SLICE_X54Y10 NUM_INPUTS 37 SITEPROP SLICE_X54Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y10 NUM_PINS 50 SITEPROP SLICE_X54Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y10 PROHIBIT 0 SITEPROP SLICE_X54Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y10 RPM_X 145 SITEPROP SLICE_X54Y10 RPM_Y 20 SITEPROP SLICE_X54Y10 SITE_PIPS SITEPROP SLICE_X54Y10 SITE_TYPE SLICEM SITEPROP SLICE_X54Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y11 CLASS site SITEPROP SLICE_X54Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y11 IS_BONDED 0 SITEPROP SLICE_X54Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y11 IS_PAD 0 SITEPROP SLICE_X54Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y11 IS_RESERVED 0 SITEPROP SLICE_X54Y11 IS_TEST 0 SITEPROP SLICE_X54Y11 IS_USED 0 SITEPROP SLICE_X54Y11 MANUAL_ROUTING SITEPROP SLICE_X54Y11 NAME SLICE_X54Y11 SITEPROP SLICE_X54Y11 NUM_ARCS 153 SITEPROP SLICE_X54Y11 NUM_BELS 32 SITEPROP SLICE_X54Y11 NUM_INPUTS 37 SITEPROP SLICE_X54Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y11 NUM_PINS 50 SITEPROP SLICE_X54Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y11 PROHIBIT 0 SITEPROP SLICE_X54Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y11 RPM_X 145 SITEPROP SLICE_X54Y11 RPM_Y 22 SITEPROP SLICE_X54Y11 SITE_PIPS SITEPROP SLICE_X54Y11 SITE_TYPE SLICEM SITEPROP SLICE_X54Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y12 CLASS site SITEPROP SLICE_X54Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y12 IS_BONDED 0 SITEPROP SLICE_X54Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y12 IS_PAD 0 SITEPROP SLICE_X54Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y12 IS_RESERVED 0 SITEPROP SLICE_X54Y12 IS_TEST 0 SITEPROP SLICE_X54Y12 IS_USED 0 SITEPROP SLICE_X54Y12 MANUAL_ROUTING SITEPROP SLICE_X54Y12 NAME SLICE_X54Y12 SITEPROP SLICE_X54Y12 NUM_ARCS 153 SITEPROP SLICE_X54Y12 NUM_BELS 32 SITEPROP SLICE_X54Y12 NUM_INPUTS 37 SITEPROP SLICE_X54Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y12 NUM_PINS 50 SITEPROP SLICE_X54Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y12 PROHIBIT 0 SITEPROP SLICE_X54Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y12 RPM_X 145 SITEPROP SLICE_X54Y12 RPM_Y 24 SITEPROP SLICE_X54Y12 SITE_PIPS SITEPROP SLICE_X54Y12 SITE_TYPE SLICEM SITEPROP SLICE_X54Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y13 CLASS site SITEPROP SLICE_X54Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y13 IS_BONDED 0 SITEPROP SLICE_X54Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y13 IS_PAD 0 SITEPROP SLICE_X54Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y13 IS_RESERVED 0 SITEPROP SLICE_X54Y13 IS_TEST 0 SITEPROP SLICE_X54Y13 IS_USED 0 SITEPROP SLICE_X54Y13 MANUAL_ROUTING SITEPROP SLICE_X54Y13 NAME SLICE_X54Y13 SITEPROP SLICE_X54Y13 NUM_ARCS 153 SITEPROP SLICE_X54Y13 NUM_BELS 32 SITEPROP SLICE_X54Y13 NUM_INPUTS 37 SITEPROP SLICE_X54Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y13 NUM_PINS 50 SITEPROP SLICE_X54Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y13 PROHIBIT 0 SITEPROP SLICE_X54Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y13 RPM_X 145 SITEPROP SLICE_X54Y13 RPM_Y 26 SITEPROP SLICE_X54Y13 SITE_PIPS SITEPROP SLICE_X54Y13 SITE_TYPE SLICEM SITEPROP SLICE_X54Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y14 CLASS site SITEPROP SLICE_X54Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y14 IS_BONDED 0 SITEPROP SLICE_X54Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y14 IS_PAD 0 SITEPROP SLICE_X54Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y14 IS_RESERVED 0 SITEPROP SLICE_X54Y14 IS_TEST 0 SITEPROP SLICE_X54Y14 IS_USED 0 SITEPROP SLICE_X54Y14 MANUAL_ROUTING SITEPROP SLICE_X54Y14 NAME SLICE_X54Y14 SITEPROP SLICE_X54Y14 NUM_ARCS 153 SITEPROP SLICE_X54Y14 NUM_BELS 32 SITEPROP SLICE_X54Y14 NUM_INPUTS 37 SITEPROP SLICE_X54Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y14 NUM_PINS 50 SITEPROP SLICE_X54Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y14 PROHIBIT 0 SITEPROP SLICE_X54Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y14 RPM_X 145 SITEPROP SLICE_X54Y14 RPM_Y 28 SITEPROP SLICE_X54Y14 SITE_PIPS SITEPROP SLICE_X54Y14 SITE_TYPE SLICEM SITEPROP SLICE_X54Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y15 CLASS site SITEPROP SLICE_X54Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y15 IS_BONDED 0 SITEPROP SLICE_X54Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y15 IS_PAD 0 SITEPROP SLICE_X54Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y15 IS_RESERVED 0 SITEPROP SLICE_X54Y15 IS_TEST 0 SITEPROP SLICE_X54Y15 IS_USED 0 SITEPROP SLICE_X54Y15 MANUAL_ROUTING SITEPROP SLICE_X54Y15 NAME SLICE_X54Y15 SITEPROP SLICE_X54Y15 NUM_ARCS 153 SITEPROP SLICE_X54Y15 NUM_BELS 32 SITEPROP SLICE_X54Y15 NUM_INPUTS 37 SITEPROP SLICE_X54Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y15 NUM_PINS 50 SITEPROP SLICE_X54Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y15 PROHIBIT 0 SITEPROP SLICE_X54Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y15 RPM_X 145 SITEPROP SLICE_X54Y15 RPM_Y 30 SITEPROP SLICE_X54Y15 SITE_PIPS SITEPROP SLICE_X54Y15 SITE_TYPE SLICEM SITEPROP SLICE_X54Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y16 CLASS site SITEPROP SLICE_X54Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y16 IS_BONDED 0 SITEPROP SLICE_X54Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y16 IS_PAD 0 SITEPROP SLICE_X54Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y16 IS_RESERVED 0 SITEPROP SLICE_X54Y16 IS_TEST 0 SITEPROP SLICE_X54Y16 IS_USED 0 SITEPROP SLICE_X54Y16 MANUAL_ROUTING SITEPROP SLICE_X54Y16 NAME SLICE_X54Y16 SITEPROP SLICE_X54Y16 NUM_ARCS 153 SITEPROP SLICE_X54Y16 NUM_BELS 32 SITEPROP SLICE_X54Y16 NUM_INPUTS 37 SITEPROP SLICE_X54Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y16 NUM_PINS 50 SITEPROP SLICE_X54Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y16 PROHIBIT 0 SITEPROP SLICE_X54Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y16 RPM_X 145 SITEPROP SLICE_X54Y16 RPM_Y 32 SITEPROP SLICE_X54Y16 SITE_PIPS SITEPROP SLICE_X54Y16 SITE_TYPE SLICEM SITEPROP SLICE_X54Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y17 CLASS site SITEPROP SLICE_X54Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y17 IS_BONDED 0 SITEPROP SLICE_X54Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y17 IS_PAD 0 SITEPROP SLICE_X54Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y17 IS_RESERVED 0 SITEPROP SLICE_X54Y17 IS_TEST 0 SITEPROP SLICE_X54Y17 IS_USED 0 SITEPROP SLICE_X54Y17 MANUAL_ROUTING SITEPROP SLICE_X54Y17 NAME SLICE_X54Y17 SITEPROP SLICE_X54Y17 NUM_ARCS 153 SITEPROP SLICE_X54Y17 NUM_BELS 32 SITEPROP SLICE_X54Y17 NUM_INPUTS 37 SITEPROP SLICE_X54Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y17 NUM_PINS 50 SITEPROP SLICE_X54Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y17 PROHIBIT 0 SITEPROP SLICE_X54Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y17 RPM_X 145 SITEPROP SLICE_X54Y17 RPM_Y 34 SITEPROP SLICE_X54Y17 SITE_PIPS SITEPROP SLICE_X54Y17 SITE_TYPE SLICEM SITEPROP SLICE_X54Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y18 CLASS site SITEPROP SLICE_X54Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y18 IS_BONDED 0 SITEPROP SLICE_X54Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y18 IS_PAD 0 SITEPROP SLICE_X54Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y18 IS_RESERVED 0 SITEPROP SLICE_X54Y18 IS_TEST 0 SITEPROP SLICE_X54Y18 IS_USED 0 SITEPROP SLICE_X54Y18 MANUAL_ROUTING SITEPROP SLICE_X54Y18 NAME SLICE_X54Y18 SITEPROP SLICE_X54Y18 NUM_ARCS 153 SITEPROP SLICE_X54Y18 NUM_BELS 32 SITEPROP SLICE_X54Y18 NUM_INPUTS 37 SITEPROP SLICE_X54Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y18 NUM_PINS 50 SITEPROP SLICE_X54Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y18 PROHIBIT 0 SITEPROP SLICE_X54Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y18 RPM_X 145 SITEPROP SLICE_X54Y18 RPM_Y 36 SITEPROP SLICE_X54Y18 SITE_PIPS SITEPROP SLICE_X54Y18 SITE_TYPE SLICEM SITEPROP SLICE_X54Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y19 CLASS site SITEPROP SLICE_X54Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y19 IS_BONDED 0 SITEPROP SLICE_X54Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y19 IS_PAD 0 SITEPROP SLICE_X54Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y19 IS_RESERVED 0 SITEPROP SLICE_X54Y19 IS_TEST 0 SITEPROP SLICE_X54Y19 IS_USED 0 SITEPROP SLICE_X54Y19 MANUAL_ROUTING SITEPROP SLICE_X54Y19 NAME SLICE_X54Y19 SITEPROP SLICE_X54Y19 NUM_ARCS 153 SITEPROP SLICE_X54Y19 NUM_BELS 32 SITEPROP SLICE_X54Y19 NUM_INPUTS 37 SITEPROP SLICE_X54Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y19 NUM_PINS 50 SITEPROP SLICE_X54Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y19 PROHIBIT 0 SITEPROP SLICE_X54Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y19 RPM_X 145 SITEPROP SLICE_X54Y19 RPM_Y 38 SITEPROP SLICE_X54Y19 SITE_PIPS SITEPROP SLICE_X54Y19 SITE_TYPE SLICEM SITEPROP SLICE_X54Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y20 CLASS site SITEPROP SLICE_X54Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y20 IS_BONDED 0 SITEPROP SLICE_X54Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y20 IS_PAD 0 SITEPROP SLICE_X54Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y20 IS_RESERVED 0 SITEPROP SLICE_X54Y20 IS_TEST 0 SITEPROP SLICE_X54Y20 IS_USED 0 SITEPROP SLICE_X54Y20 MANUAL_ROUTING SITEPROP SLICE_X54Y20 NAME SLICE_X54Y20 SITEPROP SLICE_X54Y20 NUM_ARCS 153 SITEPROP SLICE_X54Y20 NUM_BELS 32 SITEPROP SLICE_X54Y20 NUM_INPUTS 37 SITEPROP SLICE_X54Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y20 NUM_PINS 50 SITEPROP SLICE_X54Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y20 PROHIBIT 0 SITEPROP SLICE_X54Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y20 RPM_X 145 SITEPROP SLICE_X54Y20 RPM_Y 40 SITEPROP SLICE_X54Y20 SITE_PIPS SITEPROP SLICE_X54Y20 SITE_TYPE SLICEM SITEPROP SLICE_X54Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y21 CLASS site SITEPROP SLICE_X54Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y21 IS_BONDED 0 SITEPROP SLICE_X54Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y21 IS_PAD 0 SITEPROP SLICE_X54Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y21 IS_RESERVED 0 SITEPROP SLICE_X54Y21 IS_TEST 0 SITEPROP SLICE_X54Y21 IS_USED 0 SITEPROP SLICE_X54Y21 MANUAL_ROUTING SITEPROP SLICE_X54Y21 NAME SLICE_X54Y21 SITEPROP SLICE_X54Y21 NUM_ARCS 153 SITEPROP SLICE_X54Y21 NUM_BELS 32 SITEPROP SLICE_X54Y21 NUM_INPUTS 37 SITEPROP SLICE_X54Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y21 NUM_PINS 50 SITEPROP SLICE_X54Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y21 PROHIBIT 0 SITEPROP SLICE_X54Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y21 RPM_X 145 SITEPROP SLICE_X54Y21 RPM_Y 42 SITEPROP SLICE_X54Y21 SITE_PIPS SITEPROP SLICE_X54Y21 SITE_TYPE SLICEM SITEPROP SLICE_X54Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y22 CLASS site SITEPROP SLICE_X54Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y22 IS_BONDED 0 SITEPROP SLICE_X54Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y22 IS_PAD 0 SITEPROP SLICE_X54Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y22 IS_RESERVED 0 SITEPROP SLICE_X54Y22 IS_TEST 0 SITEPROP SLICE_X54Y22 IS_USED 0 SITEPROP SLICE_X54Y22 MANUAL_ROUTING SITEPROP SLICE_X54Y22 NAME SLICE_X54Y22 SITEPROP SLICE_X54Y22 NUM_ARCS 153 SITEPROP SLICE_X54Y22 NUM_BELS 32 SITEPROP SLICE_X54Y22 NUM_INPUTS 37 SITEPROP SLICE_X54Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y22 NUM_PINS 50 SITEPROP SLICE_X54Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y22 PROHIBIT 0 SITEPROP SLICE_X54Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y22 RPM_X 145 SITEPROP SLICE_X54Y22 RPM_Y 44 SITEPROP SLICE_X54Y22 SITE_PIPS SITEPROP SLICE_X54Y22 SITE_TYPE SLICEM SITEPROP SLICE_X54Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y23 CLASS site SITEPROP SLICE_X54Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y23 IS_BONDED 0 SITEPROP SLICE_X54Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y23 IS_PAD 0 SITEPROP SLICE_X54Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y23 IS_RESERVED 0 SITEPROP SLICE_X54Y23 IS_TEST 0 SITEPROP SLICE_X54Y23 IS_USED 0 SITEPROP SLICE_X54Y23 MANUAL_ROUTING SITEPROP SLICE_X54Y23 NAME SLICE_X54Y23 SITEPROP SLICE_X54Y23 NUM_ARCS 153 SITEPROP SLICE_X54Y23 NUM_BELS 32 SITEPROP SLICE_X54Y23 NUM_INPUTS 37 SITEPROP SLICE_X54Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y23 NUM_PINS 50 SITEPROP SLICE_X54Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y23 PROHIBIT 0 SITEPROP SLICE_X54Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y23 RPM_X 145 SITEPROP SLICE_X54Y23 RPM_Y 46 SITEPROP SLICE_X54Y23 SITE_PIPS SITEPROP SLICE_X54Y23 SITE_TYPE SLICEM SITEPROP SLICE_X54Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y24 CLASS site SITEPROP SLICE_X54Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y24 IS_BONDED 0 SITEPROP SLICE_X54Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y24 IS_PAD 0 SITEPROP SLICE_X54Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y24 IS_RESERVED 0 SITEPROP SLICE_X54Y24 IS_TEST 0 SITEPROP SLICE_X54Y24 IS_USED 0 SITEPROP SLICE_X54Y24 MANUAL_ROUTING SITEPROP SLICE_X54Y24 NAME SLICE_X54Y24 SITEPROP SLICE_X54Y24 NUM_ARCS 153 SITEPROP SLICE_X54Y24 NUM_BELS 32 SITEPROP SLICE_X54Y24 NUM_INPUTS 37 SITEPROP SLICE_X54Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y24 NUM_PINS 50 SITEPROP SLICE_X54Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y24 PROHIBIT 0 SITEPROP SLICE_X54Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y24 RPM_X 145 SITEPROP SLICE_X54Y24 RPM_Y 48 SITEPROP SLICE_X54Y24 SITE_PIPS SITEPROP SLICE_X54Y24 SITE_TYPE SLICEM SITEPROP SLICE_X54Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y25 CLASS site SITEPROP SLICE_X54Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y25 IS_BONDED 0 SITEPROP SLICE_X54Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y25 IS_PAD 0 SITEPROP SLICE_X54Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y25 IS_RESERVED 0 SITEPROP SLICE_X54Y25 IS_TEST 0 SITEPROP SLICE_X54Y25 IS_USED 0 SITEPROP SLICE_X54Y25 MANUAL_ROUTING SITEPROP SLICE_X54Y25 NAME SLICE_X54Y25 SITEPROP SLICE_X54Y25 NUM_ARCS 153 SITEPROP SLICE_X54Y25 NUM_BELS 32 SITEPROP SLICE_X54Y25 NUM_INPUTS 37 SITEPROP SLICE_X54Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y25 NUM_PINS 50 SITEPROP SLICE_X54Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y25 PROHIBIT 0 SITEPROP SLICE_X54Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y25 RPM_X 145 SITEPROP SLICE_X54Y25 RPM_Y 50 SITEPROP SLICE_X54Y25 SITE_PIPS SITEPROP SLICE_X54Y25 SITE_TYPE SLICEM SITEPROP SLICE_X54Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y26 CLASS site SITEPROP SLICE_X54Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y26 IS_BONDED 0 SITEPROP SLICE_X54Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y26 IS_PAD 0 SITEPROP SLICE_X54Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y26 IS_RESERVED 0 SITEPROP SLICE_X54Y26 IS_TEST 0 SITEPROP SLICE_X54Y26 IS_USED 0 SITEPROP SLICE_X54Y26 MANUAL_ROUTING SITEPROP SLICE_X54Y26 NAME SLICE_X54Y26 SITEPROP SLICE_X54Y26 NUM_ARCS 153 SITEPROP SLICE_X54Y26 NUM_BELS 32 SITEPROP SLICE_X54Y26 NUM_INPUTS 37 SITEPROP SLICE_X54Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y26 NUM_PINS 50 SITEPROP SLICE_X54Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y26 PROHIBIT 0 SITEPROP SLICE_X54Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y26 RPM_X 145 SITEPROP SLICE_X54Y26 RPM_Y 52 SITEPROP SLICE_X54Y26 SITE_PIPS SITEPROP SLICE_X54Y26 SITE_TYPE SLICEM SITEPROP SLICE_X54Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y27 CLASS site SITEPROP SLICE_X54Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y27 IS_BONDED 0 SITEPROP SLICE_X54Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y27 IS_PAD 0 SITEPROP SLICE_X54Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y27 IS_RESERVED 0 SITEPROP SLICE_X54Y27 IS_TEST 0 SITEPROP SLICE_X54Y27 IS_USED 0 SITEPROP SLICE_X54Y27 MANUAL_ROUTING SITEPROP SLICE_X54Y27 NAME SLICE_X54Y27 SITEPROP SLICE_X54Y27 NUM_ARCS 153 SITEPROP SLICE_X54Y27 NUM_BELS 32 SITEPROP SLICE_X54Y27 NUM_INPUTS 37 SITEPROP SLICE_X54Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y27 NUM_PINS 50 SITEPROP SLICE_X54Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y27 PROHIBIT 0 SITEPROP SLICE_X54Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y27 RPM_X 145 SITEPROP SLICE_X54Y27 RPM_Y 54 SITEPROP SLICE_X54Y27 SITE_PIPS SITEPROP SLICE_X54Y27 SITE_TYPE SLICEM SITEPROP SLICE_X54Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y28 CLASS site SITEPROP SLICE_X54Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y28 IS_BONDED 0 SITEPROP SLICE_X54Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y28 IS_PAD 0 SITEPROP SLICE_X54Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y28 IS_RESERVED 0 SITEPROP SLICE_X54Y28 IS_TEST 0 SITEPROP SLICE_X54Y28 IS_USED 0 SITEPROP SLICE_X54Y28 MANUAL_ROUTING SITEPROP SLICE_X54Y28 NAME SLICE_X54Y28 SITEPROP SLICE_X54Y28 NUM_ARCS 153 SITEPROP SLICE_X54Y28 NUM_BELS 32 SITEPROP SLICE_X54Y28 NUM_INPUTS 37 SITEPROP SLICE_X54Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y28 NUM_PINS 50 SITEPROP SLICE_X54Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y28 PROHIBIT 0 SITEPROP SLICE_X54Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y28 RPM_X 145 SITEPROP SLICE_X54Y28 RPM_Y 56 SITEPROP SLICE_X54Y28 SITE_PIPS SITEPROP SLICE_X54Y28 SITE_TYPE SLICEM SITEPROP SLICE_X54Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y29 CLASS site SITEPROP SLICE_X54Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y29 IS_BONDED 0 SITEPROP SLICE_X54Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y29 IS_PAD 0 SITEPROP SLICE_X54Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y29 IS_RESERVED 0 SITEPROP SLICE_X54Y29 IS_TEST 0 SITEPROP SLICE_X54Y29 IS_USED 0 SITEPROP SLICE_X54Y29 MANUAL_ROUTING SITEPROP SLICE_X54Y29 NAME SLICE_X54Y29 SITEPROP SLICE_X54Y29 NUM_ARCS 153 SITEPROP SLICE_X54Y29 NUM_BELS 32 SITEPROP SLICE_X54Y29 NUM_INPUTS 37 SITEPROP SLICE_X54Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y29 NUM_PINS 50 SITEPROP SLICE_X54Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y29 PROHIBIT 0 SITEPROP SLICE_X54Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y29 RPM_X 145 SITEPROP SLICE_X54Y29 RPM_Y 58 SITEPROP SLICE_X54Y29 SITE_PIPS SITEPROP SLICE_X54Y29 SITE_TYPE SLICEM SITEPROP SLICE_X54Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y30 CLASS site SITEPROP SLICE_X54Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y30 IS_BONDED 0 SITEPROP SLICE_X54Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y30 IS_PAD 0 SITEPROP SLICE_X54Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y30 IS_RESERVED 0 SITEPROP SLICE_X54Y30 IS_TEST 0 SITEPROP SLICE_X54Y30 IS_USED 0 SITEPROP SLICE_X54Y30 MANUAL_ROUTING SITEPROP SLICE_X54Y30 NAME SLICE_X54Y30 SITEPROP SLICE_X54Y30 NUM_ARCS 153 SITEPROP SLICE_X54Y30 NUM_BELS 32 SITEPROP SLICE_X54Y30 NUM_INPUTS 37 SITEPROP SLICE_X54Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y30 NUM_PINS 50 SITEPROP SLICE_X54Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y30 PROHIBIT 0 SITEPROP SLICE_X54Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y30 RPM_X 145 SITEPROP SLICE_X54Y30 RPM_Y 60 SITEPROP SLICE_X54Y30 SITE_PIPS SITEPROP SLICE_X54Y30 SITE_TYPE SLICEM SITEPROP SLICE_X54Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y31 CLASS site SITEPROP SLICE_X54Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y31 IS_BONDED 0 SITEPROP SLICE_X54Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y31 IS_PAD 0 SITEPROP SLICE_X54Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y31 IS_RESERVED 0 SITEPROP SLICE_X54Y31 IS_TEST 0 SITEPROP SLICE_X54Y31 IS_USED 0 SITEPROP SLICE_X54Y31 MANUAL_ROUTING SITEPROP SLICE_X54Y31 NAME SLICE_X54Y31 SITEPROP SLICE_X54Y31 NUM_ARCS 153 SITEPROP SLICE_X54Y31 NUM_BELS 32 SITEPROP SLICE_X54Y31 NUM_INPUTS 37 SITEPROP SLICE_X54Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y31 NUM_PINS 50 SITEPROP SLICE_X54Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y31 PROHIBIT 0 SITEPROP SLICE_X54Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y31 RPM_X 145 SITEPROP SLICE_X54Y31 RPM_Y 62 SITEPROP SLICE_X54Y31 SITE_PIPS SITEPROP SLICE_X54Y31 SITE_TYPE SLICEM SITEPROP SLICE_X54Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y32 CLASS site SITEPROP SLICE_X54Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y32 IS_BONDED 0 SITEPROP SLICE_X54Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y32 IS_PAD 0 SITEPROP SLICE_X54Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y32 IS_RESERVED 0 SITEPROP SLICE_X54Y32 IS_TEST 0 SITEPROP SLICE_X54Y32 IS_USED 0 SITEPROP SLICE_X54Y32 MANUAL_ROUTING SITEPROP SLICE_X54Y32 NAME SLICE_X54Y32 SITEPROP SLICE_X54Y32 NUM_ARCS 153 SITEPROP SLICE_X54Y32 NUM_BELS 32 SITEPROP SLICE_X54Y32 NUM_INPUTS 37 SITEPROP SLICE_X54Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y32 NUM_PINS 50 SITEPROP SLICE_X54Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y32 PROHIBIT 0 SITEPROP SLICE_X54Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y32 RPM_X 145 SITEPROP SLICE_X54Y32 RPM_Y 64 SITEPROP SLICE_X54Y32 SITE_PIPS SITEPROP SLICE_X54Y32 SITE_TYPE SLICEM SITEPROP SLICE_X54Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y33 CLASS site SITEPROP SLICE_X54Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y33 IS_BONDED 0 SITEPROP SLICE_X54Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y33 IS_PAD 0 SITEPROP SLICE_X54Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y33 IS_RESERVED 0 SITEPROP SLICE_X54Y33 IS_TEST 0 SITEPROP SLICE_X54Y33 IS_USED 0 SITEPROP SLICE_X54Y33 MANUAL_ROUTING SITEPROP SLICE_X54Y33 NAME SLICE_X54Y33 SITEPROP SLICE_X54Y33 NUM_ARCS 153 SITEPROP SLICE_X54Y33 NUM_BELS 32 SITEPROP SLICE_X54Y33 NUM_INPUTS 37 SITEPROP SLICE_X54Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y33 NUM_PINS 50 SITEPROP SLICE_X54Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y33 PROHIBIT 0 SITEPROP SLICE_X54Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y33 RPM_X 145 SITEPROP SLICE_X54Y33 RPM_Y 66 SITEPROP SLICE_X54Y33 SITE_PIPS SITEPROP SLICE_X54Y33 SITE_TYPE SLICEM SITEPROP SLICE_X54Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y34 CLASS site SITEPROP SLICE_X54Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y34 IS_BONDED 0 SITEPROP SLICE_X54Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y34 IS_PAD 0 SITEPROP SLICE_X54Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y34 IS_RESERVED 0 SITEPROP SLICE_X54Y34 IS_TEST 0 SITEPROP SLICE_X54Y34 IS_USED 0 SITEPROP SLICE_X54Y34 MANUAL_ROUTING SITEPROP SLICE_X54Y34 NAME SLICE_X54Y34 SITEPROP SLICE_X54Y34 NUM_ARCS 153 SITEPROP SLICE_X54Y34 NUM_BELS 32 SITEPROP SLICE_X54Y34 NUM_INPUTS 37 SITEPROP SLICE_X54Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y34 NUM_PINS 50 SITEPROP SLICE_X54Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y34 PROHIBIT 0 SITEPROP SLICE_X54Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y34 RPM_X 145 SITEPROP SLICE_X54Y34 RPM_Y 68 SITEPROP SLICE_X54Y34 SITE_PIPS SITEPROP SLICE_X54Y34 SITE_TYPE SLICEM SITEPROP SLICE_X54Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y35 CLASS site SITEPROP SLICE_X54Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y35 IS_BONDED 0 SITEPROP SLICE_X54Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y35 IS_PAD 0 SITEPROP SLICE_X54Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y35 IS_RESERVED 0 SITEPROP SLICE_X54Y35 IS_TEST 0 SITEPROP SLICE_X54Y35 IS_USED 0 SITEPROP SLICE_X54Y35 MANUAL_ROUTING SITEPROP SLICE_X54Y35 NAME SLICE_X54Y35 SITEPROP SLICE_X54Y35 NUM_ARCS 153 SITEPROP SLICE_X54Y35 NUM_BELS 32 SITEPROP SLICE_X54Y35 NUM_INPUTS 37 SITEPROP SLICE_X54Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y35 NUM_PINS 50 SITEPROP SLICE_X54Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y35 PROHIBIT 0 SITEPROP SLICE_X54Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y35 RPM_X 145 SITEPROP SLICE_X54Y35 RPM_Y 70 SITEPROP SLICE_X54Y35 SITE_PIPS SITEPROP SLICE_X54Y35 SITE_TYPE SLICEM SITEPROP SLICE_X54Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y36 CLASS site SITEPROP SLICE_X54Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y36 IS_BONDED 0 SITEPROP SLICE_X54Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y36 IS_PAD 0 SITEPROP SLICE_X54Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y36 IS_RESERVED 0 SITEPROP SLICE_X54Y36 IS_TEST 0 SITEPROP SLICE_X54Y36 IS_USED 0 SITEPROP SLICE_X54Y36 MANUAL_ROUTING SITEPROP SLICE_X54Y36 NAME SLICE_X54Y36 SITEPROP SLICE_X54Y36 NUM_ARCS 153 SITEPROP SLICE_X54Y36 NUM_BELS 32 SITEPROP SLICE_X54Y36 NUM_INPUTS 37 SITEPROP SLICE_X54Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y36 NUM_PINS 50 SITEPROP SLICE_X54Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y36 PROHIBIT 0 SITEPROP SLICE_X54Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y36 RPM_X 145 SITEPROP SLICE_X54Y36 RPM_Y 72 SITEPROP SLICE_X54Y36 SITE_PIPS SITEPROP SLICE_X54Y36 SITE_TYPE SLICEM SITEPROP SLICE_X54Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y37 CLASS site SITEPROP SLICE_X54Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y37 IS_BONDED 0 SITEPROP SLICE_X54Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y37 IS_PAD 0 SITEPROP SLICE_X54Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y37 IS_RESERVED 0 SITEPROP SLICE_X54Y37 IS_TEST 0 SITEPROP SLICE_X54Y37 IS_USED 0 SITEPROP SLICE_X54Y37 MANUAL_ROUTING SITEPROP SLICE_X54Y37 NAME SLICE_X54Y37 SITEPROP SLICE_X54Y37 NUM_ARCS 153 SITEPROP SLICE_X54Y37 NUM_BELS 32 SITEPROP SLICE_X54Y37 NUM_INPUTS 37 SITEPROP SLICE_X54Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y37 NUM_PINS 50 SITEPROP SLICE_X54Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y37 PROHIBIT 0 SITEPROP SLICE_X54Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y37 RPM_X 145 SITEPROP SLICE_X54Y37 RPM_Y 74 SITEPROP SLICE_X54Y37 SITE_PIPS SITEPROP SLICE_X54Y37 SITE_TYPE SLICEM SITEPROP SLICE_X54Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y38 CLASS site SITEPROP SLICE_X54Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y38 IS_BONDED 0 SITEPROP SLICE_X54Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y38 IS_PAD 0 SITEPROP SLICE_X54Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y38 IS_RESERVED 0 SITEPROP SLICE_X54Y38 IS_TEST 0 SITEPROP SLICE_X54Y38 IS_USED 0 SITEPROP SLICE_X54Y38 MANUAL_ROUTING SITEPROP SLICE_X54Y38 NAME SLICE_X54Y38 SITEPROP SLICE_X54Y38 NUM_ARCS 153 SITEPROP SLICE_X54Y38 NUM_BELS 32 SITEPROP SLICE_X54Y38 NUM_INPUTS 37 SITEPROP SLICE_X54Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y38 NUM_PINS 50 SITEPROP SLICE_X54Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y38 PROHIBIT 0 SITEPROP SLICE_X54Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y38 RPM_X 145 SITEPROP SLICE_X54Y38 RPM_Y 76 SITEPROP SLICE_X54Y38 SITE_PIPS SITEPROP SLICE_X54Y38 SITE_TYPE SLICEM SITEPROP SLICE_X54Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y39 CLASS site SITEPROP SLICE_X54Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y39 IS_BONDED 0 SITEPROP SLICE_X54Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y39 IS_PAD 0 SITEPROP SLICE_X54Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y39 IS_RESERVED 0 SITEPROP SLICE_X54Y39 IS_TEST 0 SITEPROP SLICE_X54Y39 IS_USED 0 SITEPROP SLICE_X54Y39 MANUAL_ROUTING SITEPROP SLICE_X54Y39 NAME SLICE_X54Y39 SITEPROP SLICE_X54Y39 NUM_ARCS 153 SITEPROP SLICE_X54Y39 NUM_BELS 32 SITEPROP SLICE_X54Y39 NUM_INPUTS 37 SITEPROP SLICE_X54Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y39 NUM_PINS 50 SITEPROP SLICE_X54Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y39 PROHIBIT 0 SITEPROP SLICE_X54Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y39 RPM_X 145 SITEPROP SLICE_X54Y39 RPM_Y 78 SITEPROP SLICE_X54Y39 SITE_PIPS SITEPROP SLICE_X54Y39 SITE_TYPE SLICEM SITEPROP SLICE_X54Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y40 CLASS site SITEPROP SLICE_X54Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y40 IS_BONDED 0 SITEPROP SLICE_X54Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y40 IS_PAD 0 SITEPROP SLICE_X54Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y40 IS_RESERVED 0 SITEPROP SLICE_X54Y40 IS_TEST 0 SITEPROP SLICE_X54Y40 IS_USED 0 SITEPROP SLICE_X54Y40 MANUAL_ROUTING SITEPROP SLICE_X54Y40 NAME SLICE_X54Y40 SITEPROP SLICE_X54Y40 NUM_ARCS 153 SITEPROP SLICE_X54Y40 NUM_BELS 32 SITEPROP SLICE_X54Y40 NUM_INPUTS 37 SITEPROP SLICE_X54Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y40 NUM_PINS 50 SITEPROP SLICE_X54Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y40 PROHIBIT 0 SITEPROP SLICE_X54Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y40 RPM_X 145 SITEPROP SLICE_X54Y40 RPM_Y 80 SITEPROP SLICE_X54Y40 SITE_PIPS SITEPROP SLICE_X54Y40 SITE_TYPE SLICEM SITEPROP SLICE_X54Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y41 CLASS site SITEPROP SLICE_X54Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y41 IS_BONDED 0 SITEPROP SLICE_X54Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y41 IS_PAD 0 SITEPROP SLICE_X54Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y41 IS_RESERVED 0 SITEPROP SLICE_X54Y41 IS_TEST 0 SITEPROP SLICE_X54Y41 IS_USED 0 SITEPROP SLICE_X54Y41 MANUAL_ROUTING SITEPROP SLICE_X54Y41 NAME SLICE_X54Y41 SITEPROP SLICE_X54Y41 NUM_ARCS 153 SITEPROP SLICE_X54Y41 NUM_BELS 32 SITEPROP SLICE_X54Y41 NUM_INPUTS 37 SITEPROP SLICE_X54Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y41 NUM_PINS 50 SITEPROP SLICE_X54Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y41 PROHIBIT 0 SITEPROP SLICE_X54Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y41 RPM_X 145 SITEPROP SLICE_X54Y41 RPM_Y 82 SITEPROP SLICE_X54Y41 SITE_PIPS SITEPROP SLICE_X54Y41 SITE_TYPE SLICEM SITEPROP SLICE_X54Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y42 CLASS site SITEPROP SLICE_X54Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y42 IS_BONDED 0 SITEPROP SLICE_X54Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y42 IS_PAD 0 SITEPROP SLICE_X54Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y42 IS_RESERVED 0 SITEPROP SLICE_X54Y42 IS_TEST 0 SITEPROP SLICE_X54Y42 IS_USED 0 SITEPROP SLICE_X54Y42 MANUAL_ROUTING SITEPROP SLICE_X54Y42 NAME SLICE_X54Y42 SITEPROP SLICE_X54Y42 NUM_ARCS 153 SITEPROP SLICE_X54Y42 NUM_BELS 32 SITEPROP SLICE_X54Y42 NUM_INPUTS 37 SITEPROP SLICE_X54Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y42 NUM_PINS 50 SITEPROP SLICE_X54Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y42 PROHIBIT 0 SITEPROP SLICE_X54Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y42 RPM_X 145 SITEPROP SLICE_X54Y42 RPM_Y 84 SITEPROP SLICE_X54Y42 SITE_PIPS SITEPROP SLICE_X54Y42 SITE_TYPE SLICEM SITEPROP SLICE_X54Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y43 CLASS site SITEPROP SLICE_X54Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y43 IS_BONDED 0 SITEPROP SLICE_X54Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y43 IS_PAD 0 SITEPROP SLICE_X54Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y43 IS_RESERVED 0 SITEPROP SLICE_X54Y43 IS_TEST 0 SITEPROP SLICE_X54Y43 IS_USED 0 SITEPROP SLICE_X54Y43 MANUAL_ROUTING SITEPROP SLICE_X54Y43 NAME SLICE_X54Y43 SITEPROP SLICE_X54Y43 NUM_ARCS 153 SITEPROP SLICE_X54Y43 NUM_BELS 32 SITEPROP SLICE_X54Y43 NUM_INPUTS 37 SITEPROP SLICE_X54Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y43 NUM_PINS 50 SITEPROP SLICE_X54Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y43 PROHIBIT 0 SITEPROP SLICE_X54Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y43 RPM_X 145 SITEPROP SLICE_X54Y43 RPM_Y 86 SITEPROP SLICE_X54Y43 SITE_PIPS SITEPROP SLICE_X54Y43 SITE_TYPE SLICEM SITEPROP SLICE_X54Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y44 CLASS site SITEPROP SLICE_X54Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y44 IS_BONDED 0 SITEPROP SLICE_X54Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y44 IS_PAD 0 SITEPROP SLICE_X54Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y44 IS_RESERVED 0 SITEPROP SLICE_X54Y44 IS_TEST 0 SITEPROP SLICE_X54Y44 IS_USED 0 SITEPROP SLICE_X54Y44 MANUAL_ROUTING SITEPROP SLICE_X54Y44 NAME SLICE_X54Y44 SITEPROP SLICE_X54Y44 NUM_ARCS 153 SITEPROP SLICE_X54Y44 NUM_BELS 32 SITEPROP SLICE_X54Y44 NUM_INPUTS 37 SITEPROP SLICE_X54Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y44 NUM_PINS 50 SITEPROP SLICE_X54Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y44 PROHIBIT 0 SITEPROP SLICE_X54Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y44 RPM_X 145 SITEPROP SLICE_X54Y44 RPM_Y 88 SITEPROP SLICE_X54Y44 SITE_PIPS SITEPROP SLICE_X54Y44 SITE_TYPE SLICEM SITEPROP SLICE_X54Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y45 CLASS site SITEPROP SLICE_X54Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y45 IS_BONDED 0 SITEPROP SLICE_X54Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y45 IS_PAD 0 SITEPROP SLICE_X54Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y45 IS_RESERVED 0 SITEPROP SLICE_X54Y45 IS_TEST 0 SITEPROP SLICE_X54Y45 IS_USED 0 SITEPROP SLICE_X54Y45 MANUAL_ROUTING SITEPROP SLICE_X54Y45 NAME SLICE_X54Y45 SITEPROP SLICE_X54Y45 NUM_ARCS 153 SITEPROP SLICE_X54Y45 NUM_BELS 32 SITEPROP SLICE_X54Y45 NUM_INPUTS 37 SITEPROP SLICE_X54Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y45 NUM_PINS 50 SITEPROP SLICE_X54Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y45 PROHIBIT 0 SITEPROP SLICE_X54Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y45 RPM_X 145 SITEPROP SLICE_X54Y45 RPM_Y 90 SITEPROP SLICE_X54Y45 SITE_PIPS SITEPROP SLICE_X54Y45 SITE_TYPE SLICEM SITEPROP SLICE_X54Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y46 CLASS site SITEPROP SLICE_X54Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y46 IS_BONDED 0 SITEPROP SLICE_X54Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y46 IS_PAD 0 SITEPROP SLICE_X54Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y46 IS_RESERVED 0 SITEPROP SLICE_X54Y46 IS_TEST 0 SITEPROP SLICE_X54Y46 IS_USED 0 SITEPROP SLICE_X54Y46 MANUAL_ROUTING SITEPROP SLICE_X54Y46 NAME SLICE_X54Y46 SITEPROP SLICE_X54Y46 NUM_ARCS 153 SITEPROP SLICE_X54Y46 NUM_BELS 32 SITEPROP SLICE_X54Y46 NUM_INPUTS 37 SITEPROP SLICE_X54Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y46 NUM_PINS 50 SITEPROP SLICE_X54Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y46 PROHIBIT 0 SITEPROP SLICE_X54Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y46 RPM_X 145 SITEPROP SLICE_X54Y46 RPM_Y 92 SITEPROP SLICE_X54Y46 SITE_PIPS SITEPROP SLICE_X54Y46 SITE_TYPE SLICEM SITEPROP SLICE_X54Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y47 CLASS site SITEPROP SLICE_X54Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y47 IS_BONDED 0 SITEPROP SLICE_X54Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y47 IS_PAD 0 SITEPROP SLICE_X54Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y47 IS_RESERVED 0 SITEPROP SLICE_X54Y47 IS_TEST 0 SITEPROP SLICE_X54Y47 IS_USED 0 SITEPROP SLICE_X54Y47 MANUAL_ROUTING SITEPROP SLICE_X54Y47 NAME SLICE_X54Y47 SITEPROP SLICE_X54Y47 NUM_ARCS 153 SITEPROP SLICE_X54Y47 NUM_BELS 32 SITEPROP SLICE_X54Y47 NUM_INPUTS 37 SITEPROP SLICE_X54Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y47 NUM_PINS 50 SITEPROP SLICE_X54Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y47 PROHIBIT 0 SITEPROP SLICE_X54Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y47 RPM_X 145 SITEPROP SLICE_X54Y47 RPM_Y 94 SITEPROP SLICE_X54Y47 SITE_PIPS SITEPROP SLICE_X54Y47 SITE_TYPE SLICEM SITEPROP SLICE_X54Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y48 CLASS site SITEPROP SLICE_X54Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y48 IS_BONDED 0 SITEPROP SLICE_X54Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y48 IS_PAD 0 SITEPROP SLICE_X54Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y48 IS_RESERVED 0 SITEPROP SLICE_X54Y48 IS_TEST 0 SITEPROP SLICE_X54Y48 IS_USED 0 SITEPROP SLICE_X54Y48 MANUAL_ROUTING SITEPROP SLICE_X54Y48 NAME SLICE_X54Y48 SITEPROP SLICE_X54Y48 NUM_ARCS 153 SITEPROP SLICE_X54Y48 NUM_BELS 32 SITEPROP SLICE_X54Y48 NUM_INPUTS 37 SITEPROP SLICE_X54Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y48 NUM_PINS 50 SITEPROP SLICE_X54Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y48 PROHIBIT 0 SITEPROP SLICE_X54Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y48 RPM_X 145 SITEPROP SLICE_X54Y48 RPM_Y 96 SITEPROP SLICE_X54Y48 SITE_PIPS SITEPROP SLICE_X54Y48 SITE_TYPE SLICEM SITEPROP SLICE_X54Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y49 CLASS site SITEPROP SLICE_X54Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X54Y49 IS_BONDED 0 SITEPROP SLICE_X54Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y49 IS_PAD 0 SITEPROP SLICE_X54Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y49 IS_RESERVED 0 SITEPROP SLICE_X54Y49 IS_TEST 0 SITEPROP SLICE_X54Y49 IS_USED 0 SITEPROP SLICE_X54Y49 MANUAL_ROUTING SITEPROP SLICE_X54Y49 NAME SLICE_X54Y49 SITEPROP SLICE_X54Y49 NUM_ARCS 153 SITEPROP SLICE_X54Y49 NUM_BELS 32 SITEPROP SLICE_X54Y49 NUM_INPUTS 37 SITEPROP SLICE_X54Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y49 NUM_PINS 50 SITEPROP SLICE_X54Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y49 PROHIBIT 0 SITEPROP SLICE_X54Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y49 RPM_X 145 SITEPROP SLICE_X54Y49 RPM_Y 98 SITEPROP SLICE_X54Y49 SITE_PIPS SITEPROP SLICE_X54Y49 SITE_TYPE SLICEM SITEPROP SLICE_X54Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y50 CLASS site SITEPROP SLICE_X54Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y50 IS_BONDED 0 SITEPROP SLICE_X54Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y50 IS_PAD 0 SITEPROP SLICE_X54Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y50 IS_RESERVED 0 SITEPROP SLICE_X54Y50 IS_TEST 0 SITEPROP SLICE_X54Y50 IS_USED 0 SITEPROP SLICE_X54Y50 MANUAL_ROUTING SITEPROP SLICE_X54Y50 NAME SLICE_X54Y50 SITEPROP SLICE_X54Y50 NUM_ARCS 153 SITEPROP SLICE_X54Y50 NUM_BELS 32 SITEPROP SLICE_X54Y50 NUM_INPUTS 37 SITEPROP SLICE_X54Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y50 NUM_PINS 50 SITEPROP SLICE_X54Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y50 PROHIBIT 0 SITEPROP SLICE_X54Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y50 RPM_X 145 SITEPROP SLICE_X54Y50 RPM_Y 100 SITEPROP SLICE_X54Y50 SITE_PIPS SITEPROP SLICE_X54Y50 SITE_TYPE SLICEM SITEPROP SLICE_X54Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y51 CLASS site SITEPROP SLICE_X54Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y51 IS_BONDED 0 SITEPROP SLICE_X54Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y51 IS_PAD 0 SITEPROP SLICE_X54Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y51 IS_RESERVED 0 SITEPROP SLICE_X54Y51 IS_TEST 0 SITEPROP SLICE_X54Y51 IS_USED 0 SITEPROP SLICE_X54Y51 MANUAL_ROUTING SITEPROP SLICE_X54Y51 NAME SLICE_X54Y51 SITEPROP SLICE_X54Y51 NUM_ARCS 153 SITEPROP SLICE_X54Y51 NUM_BELS 32 SITEPROP SLICE_X54Y51 NUM_INPUTS 37 SITEPROP SLICE_X54Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y51 NUM_PINS 50 SITEPROP SLICE_X54Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y51 PROHIBIT 0 SITEPROP SLICE_X54Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y51 RPM_X 145 SITEPROP SLICE_X54Y51 RPM_Y 102 SITEPROP SLICE_X54Y51 SITE_PIPS SITEPROP SLICE_X54Y51 SITE_TYPE SLICEM SITEPROP SLICE_X54Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y52 CLASS site SITEPROP SLICE_X54Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y52 IS_BONDED 0 SITEPROP SLICE_X54Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y52 IS_PAD 0 SITEPROP SLICE_X54Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y52 IS_RESERVED 0 SITEPROP SLICE_X54Y52 IS_TEST 0 SITEPROP SLICE_X54Y52 IS_USED 0 SITEPROP SLICE_X54Y52 MANUAL_ROUTING SITEPROP SLICE_X54Y52 NAME SLICE_X54Y52 SITEPROP SLICE_X54Y52 NUM_ARCS 153 SITEPROP SLICE_X54Y52 NUM_BELS 32 SITEPROP SLICE_X54Y52 NUM_INPUTS 37 SITEPROP SLICE_X54Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y52 NUM_PINS 50 SITEPROP SLICE_X54Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y52 PROHIBIT 0 SITEPROP SLICE_X54Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y52 RPM_X 145 SITEPROP SLICE_X54Y52 RPM_Y 104 SITEPROP SLICE_X54Y52 SITE_PIPS SITEPROP SLICE_X54Y52 SITE_TYPE SLICEM SITEPROP SLICE_X54Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y53 CLASS site SITEPROP SLICE_X54Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y53 IS_BONDED 0 SITEPROP SLICE_X54Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y53 IS_PAD 0 SITEPROP SLICE_X54Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y53 IS_RESERVED 0 SITEPROP SLICE_X54Y53 IS_TEST 0 SITEPROP SLICE_X54Y53 IS_USED 0 SITEPROP SLICE_X54Y53 MANUAL_ROUTING SITEPROP SLICE_X54Y53 NAME SLICE_X54Y53 SITEPROP SLICE_X54Y53 NUM_ARCS 153 SITEPROP SLICE_X54Y53 NUM_BELS 32 SITEPROP SLICE_X54Y53 NUM_INPUTS 37 SITEPROP SLICE_X54Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y53 NUM_PINS 50 SITEPROP SLICE_X54Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y53 PROHIBIT 0 SITEPROP SLICE_X54Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y53 RPM_X 145 SITEPROP SLICE_X54Y53 RPM_Y 106 SITEPROP SLICE_X54Y53 SITE_PIPS SITEPROP SLICE_X54Y53 SITE_TYPE SLICEM SITEPROP SLICE_X54Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y54 CLASS site SITEPROP SLICE_X54Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y54 IS_BONDED 0 SITEPROP SLICE_X54Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y54 IS_PAD 0 SITEPROP SLICE_X54Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y54 IS_RESERVED 0 SITEPROP SLICE_X54Y54 IS_TEST 0 SITEPROP SLICE_X54Y54 IS_USED 0 SITEPROP SLICE_X54Y54 MANUAL_ROUTING SITEPROP SLICE_X54Y54 NAME SLICE_X54Y54 SITEPROP SLICE_X54Y54 NUM_ARCS 153 SITEPROP SLICE_X54Y54 NUM_BELS 32 SITEPROP SLICE_X54Y54 NUM_INPUTS 37 SITEPROP SLICE_X54Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y54 NUM_PINS 50 SITEPROP SLICE_X54Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y54 PROHIBIT 0 SITEPROP SLICE_X54Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y54 RPM_X 145 SITEPROP SLICE_X54Y54 RPM_Y 108 SITEPROP SLICE_X54Y54 SITE_PIPS SITEPROP SLICE_X54Y54 SITE_TYPE SLICEM SITEPROP SLICE_X54Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y55 CLASS site SITEPROP SLICE_X54Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y55 IS_BONDED 0 SITEPROP SLICE_X54Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y55 IS_PAD 0 SITEPROP SLICE_X54Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y55 IS_RESERVED 0 SITEPROP SLICE_X54Y55 IS_TEST 0 SITEPROP SLICE_X54Y55 IS_USED 0 SITEPROP SLICE_X54Y55 MANUAL_ROUTING SITEPROP SLICE_X54Y55 NAME SLICE_X54Y55 SITEPROP SLICE_X54Y55 NUM_ARCS 153 SITEPROP SLICE_X54Y55 NUM_BELS 32 SITEPROP SLICE_X54Y55 NUM_INPUTS 37 SITEPROP SLICE_X54Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y55 NUM_PINS 50 SITEPROP SLICE_X54Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y55 PROHIBIT 0 SITEPROP SLICE_X54Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y55 RPM_X 145 SITEPROP SLICE_X54Y55 RPM_Y 110 SITEPROP SLICE_X54Y55 SITE_PIPS SITEPROP SLICE_X54Y55 SITE_TYPE SLICEM SITEPROP SLICE_X54Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y56 CLASS site SITEPROP SLICE_X54Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y56 IS_BONDED 0 SITEPROP SLICE_X54Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y56 IS_PAD 0 SITEPROP SLICE_X54Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y56 IS_RESERVED 0 SITEPROP SLICE_X54Y56 IS_TEST 0 SITEPROP SLICE_X54Y56 IS_USED 0 SITEPROP SLICE_X54Y56 MANUAL_ROUTING SITEPROP SLICE_X54Y56 NAME SLICE_X54Y56 SITEPROP SLICE_X54Y56 NUM_ARCS 153 SITEPROP SLICE_X54Y56 NUM_BELS 32 SITEPROP SLICE_X54Y56 NUM_INPUTS 37 SITEPROP SLICE_X54Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y56 NUM_PINS 50 SITEPROP SLICE_X54Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y56 PROHIBIT 0 SITEPROP SLICE_X54Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y56 RPM_X 145 SITEPROP SLICE_X54Y56 RPM_Y 112 SITEPROP SLICE_X54Y56 SITE_PIPS SITEPROP SLICE_X54Y56 SITE_TYPE SLICEM SITEPROP SLICE_X54Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y57 CLASS site SITEPROP SLICE_X54Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y57 IS_BONDED 0 SITEPROP SLICE_X54Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y57 IS_PAD 0 SITEPROP SLICE_X54Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y57 IS_RESERVED 0 SITEPROP SLICE_X54Y57 IS_TEST 0 SITEPROP SLICE_X54Y57 IS_USED 0 SITEPROP SLICE_X54Y57 MANUAL_ROUTING SITEPROP SLICE_X54Y57 NAME SLICE_X54Y57 SITEPROP SLICE_X54Y57 NUM_ARCS 153 SITEPROP SLICE_X54Y57 NUM_BELS 32 SITEPROP SLICE_X54Y57 NUM_INPUTS 37 SITEPROP SLICE_X54Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y57 NUM_PINS 50 SITEPROP SLICE_X54Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y57 PROHIBIT 0 SITEPROP SLICE_X54Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y57 RPM_X 145 SITEPROP SLICE_X54Y57 RPM_Y 114 SITEPROP SLICE_X54Y57 SITE_PIPS SITEPROP SLICE_X54Y57 SITE_TYPE SLICEM SITEPROP SLICE_X54Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y58 CLASS site SITEPROP SLICE_X54Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y58 IS_BONDED 0 SITEPROP SLICE_X54Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y58 IS_PAD 0 SITEPROP SLICE_X54Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y58 IS_RESERVED 0 SITEPROP SLICE_X54Y58 IS_TEST 0 SITEPROP SLICE_X54Y58 IS_USED 0 SITEPROP SLICE_X54Y58 MANUAL_ROUTING SITEPROP SLICE_X54Y58 NAME SLICE_X54Y58 SITEPROP SLICE_X54Y58 NUM_ARCS 153 SITEPROP SLICE_X54Y58 NUM_BELS 32 SITEPROP SLICE_X54Y58 NUM_INPUTS 37 SITEPROP SLICE_X54Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y58 NUM_PINS 50 SITEPROP SLICE_X54Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y58 PROHIBIT 0 SITEPROP SLICE_X54Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y58 RPM_X 145 SITEPROP SLICE_X54Y58 RPM_Y 116 SITEPROP SLICE_X54Y58 SITE_PIPS SITEPROP SLICE_X54Y58 SITE_TYPE SLICEM SITEPROP SLICE_X54Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y59 CLASS site SITEPROP SLICE_X54Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y59 IS_BONDED 0 SITEPROP SLICE_X54Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y59 IS_PAD 0 SITEPROP SLICE_X54Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y59 IS_RESERVED 0 SITEPROP SLICE_X54Y59 IS_TEST 0 SITEPROP SLICE_X54Y59 IS_USED 0 SITEPROP SLICE_X54Y59 MANUAL_ROUTING SITEPROP SLICE_X54Y59 NAME SLICE_X54Y59 SITEPROP SLICE_X54Y59 NUM_ARCS 153 SITEPROP SLICE_X54Y59 NUM_BELS 32 SITEPROP SLICE_X54Y59 NUM_INPUTS 37 SITEPROP SLICE_X54Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y59 NUM_PINS 50 SITEPROP SLICE_X54Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y59 PROHIBIT 0 SITEPROP SLICE_X54Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y59 RPM_X 145 SITEPROP SLICE_X54Y59 RPM_Y 118 SITEPROP SLICE_X54Y59 SITE_PIPS SITEPROP SLICE_X54Y59 SITE_TYPE SLICEM SITEPROP SLICE_X54Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y60 CLASS site SITEPROP SLICE_X54Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y60 IS_BONDED 0 SITEPROP SLICE_X54Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y60 IS_PAD 0 SITEPROP SLICE_X54Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y60 IS_RESERVED 0 SITEPROP SLICE_X54Y60 IS_TEST 0 SITEPROP SLICE_X54Y60 IS_USED 0 SITEPROP SLICE_X54Y60 MANUAL_ROUTING SITEPROP SLICE_X54Y60 NAME SLICE_X54Y60 SITEPROP SLICE_X54Y60 NUM_ARCS 153 SITEPROP SLICE_X54Y60 NUM_BELS 32 SITEPROP SLICE_X54Y60 NUM_INPUTS 37 SITEPROP SLICE_X54Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y60 NUM_PINS 50 SITEPROP SLICE_X54Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y60 PROHIBIT 0 SITEPROP SLICE_X54Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y60 RPM_X 145 SITEPROP SLICE_X54Y60 RPM_Y 120 SITEPROP SLICE_X54Y60 SITE_PIPS SITEPROP SLICE_X54Y60 SITE_TYPE SLICEM SITEPROP SLICE_X54Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y61 CLASS site SITEPROP SLICE_X54Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y61 IS_BONDED 0 SITEPROP SLICE_X54Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y61 IS_PAD 0 SITEPROP SLICE_X54Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y61 IS_RESERVED 0 SITEPROP SLICE_X54Y61 IS_TEST 0 SITEPROP SLICE_X54Y61 IS_USED 0 SITEPROP SLICE_X54Y61 MANUAL_ROUTING SITEPROP SLICE_X54Y61 NAME SLICE_X54Y61 SITEPROP SLICE_X54Y61 NUM_ARCS 153 SITEPROP SLICE_X54Y61 NUM_BELS 32 SITEPROP SLICE_X54Y61 NUM_INPUTS 37 SITEPROP SLICE_X54Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y61 NUM_PINS 50 SITEPROP SLICE_X54Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y61 PROHIBIT 0 SITEPROP SLICE_X54Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y61 RPM_X 145 SITEPROP SLICE_X54Y61 RPM_Y 122 SITEPROP SLICE_X54Y61 SITE_PIPS SITEPROP SLICE_X54Y61 SITE_TYPE SLICEM SITEPROP SLICE_X54Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y62 CLASS site SITEPROP SLICE_X54Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y62 IS_BONDED 0 SITEPROP SLICE_X54Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y62 IS_PAD 0 SITEPROP SLICE_X54Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y62 IS_RESERVED 0 SITEPROP SLICE_X54Y62 IS_TEST 0 SITEPROP SLICE_X54Y62 IS_USED 0 SITEPROP SLICE_X54Y62 MANUAL_ROUTING SITEPROP SLICE_X54Y62 NAME SLICE_X54Y62 SITEPROP SLICE_X54Y62 NUM_ARCS 153 SITEPROP SLICE_X54Y62 NUM_BELS 32 SITEPROP SLICE_X54Y62 NUM_INPUTS 37 SITEPROP SLICE_X54Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y62 NUM_PINS 50 SITEPROP SLICE_X54Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y62 PROHIBIT 0 SITEPROP SLICE_X54Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y62 RPM_X 145 SITEPROP SLICE_X54Y62 RPM_Y 124 SITEPROP SLICE_X54Y62 SITE_PIPS SITEPROP SLICE_X54Y62 SITE_TYPE SLICEM SITEPROP SLICE_X54Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y63 CLASS site SITEPROP SLICE_X54Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y63 IS_BONDED 0 SITEPROP SLICE_X54Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y63 IS_PAD 0 SITEPROP SLICE_X54Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y63 IS_RESERVED 0 SITEPROP SLICE_X54Y63 IS_TEST 0 SITEPROP SLICE_X54Y63 IS_USED 0 SITEPROP SLICE_X54Y63 MANUAL_ROUTING SITEPROP SLICE_X54Y63 NAME SLICE_X54Y63 SITEPROP SLICE_X54Y63 NUM_ARCS 153 SITEPROP SLICE_X54Y63 NUM_BELS 32 SITEPROP SLICE_X54Y63 NUM_INPUTS 37 SITEPROP SLICE_X54Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y63 NUM_PINS 50 SITEPROP SLICE_X54Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y63 PROHIBIT 0 SITEPROP SLICE_X54Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y63 RPM_X 145 SITEPROP SLICE_X54Y63 RPM_Y 126 SITEPROP SLICE_X54Y63 SITE_PIPS SITEPROP SLICE_X54Y63 SITE_TYPE SLICEM SITEPROP SLICE_X54Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y64 CLASS site SITEPROP SLICE_X54Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y64 IS_BONDED 0 SITEPROP SLICE_X54Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y64 IS_PAD 0 SITEPROP SLICE_X54Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y64 IS_RESERVED 0 SITEPROP SLICE_X54Y64 IS_TEST 0 SITEPROP SLICE_X54Y64 IS_USED 0 SITEPROP SLICE_X54Y64 MANUAL_ROUTING SITEPROP SLICE_X54Y64 NAME SLICE_X54Y64 SITEPROP SLICE_X54Y64 NUM_ARCS 153 SITEPROP SLICE_X54Y64 NUM_BELS 32 SITEPROP SLICE_X54Y64 NUM_INPUTS 37 SITEPROP SLICE_X54Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y64 NUM_PINS 50 SITEPROP SLICE_X54Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y64 PROHIBIT 0 SITEPROP SLICE_X54Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y64 RPM_X 145 SITEPROP SLICE_X54Y64 RPM_Y 128 SITEPROP SLICE_X54Y64 SITE_PIPS SITEPROP SLICE_X54Y64 SITE_TYPE SLICEM SITEPROP SLICE_X54Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y65 CLASS site SITEPROP SLICE_X54Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y65 IS_BONDED 0 SITEPROP SLICE_X54Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y65 IS_PAD 0 SITEPROP SLICE_X54Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y65 IS_RESERVED 0 SITEPROP SLICE_X54Y65 IS_TEST 0 SITEPROP SLICE_X54Y65 IS_USED 0 SITEPROP SLICE_X54Y65 MANUAL_ROUTING SITEPROP SLICE_X54Y65 NAME SLICE_X54Y65 SITEPROP SLICE_X54Y65 NUM_ARCS 153 SITEPROP SLICE_X54Y65 NUM_BELS 32 SITEPROP SLICE_X54Y65 NUM_INPUTS 37 SITEPROP SLICE_X54Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y65 NUM_PINS 50 SITEPROP SLICE_X54Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y65 PROHIBIT 0 SITEPROP SLICE_X54Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y65 RPM_X 145 SITEPROP SLICE_X54Y65 RPM_Y 130 SITEPROP SLICE_X54Y65 SITE_PIPS SITEPROP SLICE_X54Y65 SITE_TYPE SLICEM SITEPROP SLICE_X54Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y66 CLASS site SITEPROP SLICE_X54Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y66 IS_BONDED 0 SITEPROP SLICE_X54Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y66 IS_PAD 0 SITEPROP SLICE_X54Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y66 IS_RESERVED 0 SITEPROP SLICE_X54Y66 IS_TEST 0 SITEPROP SLICE_X54Y66 IS_USED 0 SITEPROP SLICE_X54Y66 MANUAL_ROUTING SITEPROP SLICE_X54Y66 NAME SLICE_X54Y66 SITEPROP SLICE_X54Y66 NUM_ARCS 153 SITEPROP SLICE_X54Y66 NUM_BELS 32 SITEPROP SLICE_X54Y66 NUM_INPUTS 37 SITEPROP SLICE_X54Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y66 NUM_PINS 50 SITEPROP SLICE_X54Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y66 PROHIBIT 0 SITEPROP SLICE_X54Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y66 RPM_X 145 SITEPROP SLICE_X54Y66 RPM_Y 132 SITEPROP SLICE_X54Y66 SITE_PIPS SITEPROP SLICE_X54Y66 SITE_TYPE SLICEM SITEPROP SLICE_X54Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y67 CLASS site SITEPROP SLICE_X54Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y67 IS_BONDED 0 SITEPROP SLICE_X54Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y67 IS_PAD 0 SITEPROP SLICE_X54Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y67 IS_RESERVED 0 SITEPROP SLICE_X54Y67 IS_TEST 0 SITEPROP SLICE_X54Y67 IS_USED 0 SITEPROP SLICE_X54Y67 MANUAL_ROUTING SITEPROP SLICE_X54Y67 NAME SLICE_X54Y67 SITEPROP SLICE_X54Y67 NUM_ARCS 153 SITEPROP SLICE_X54Y67 NUM_BELS 32 SITEPROP SLICE_X54Y67 NUM_INPUTS 37 SITEPROP SLICE_X54Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y67 NUM_PINS 50 SITEPROP SLICE_X54Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y67 PROHIBIT 0 SITEPROP SLICE_X54Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y67 RPM_X 145 SITEPROP SLICE_X54Y67 RPM_Y 134 SITEPROP SLICE_X54Y67 SITE_PIPS SITEPROP SLICE_X54Y67 SITE_TYPE SLICEM SITEPROP SLICE_X54Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y68 CLASS site SITEPROP SLICE_X54Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y68 IS_BONDED 0 SITEPROP SLICE_X54Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y68 IS_PAD 0 SITEPROP SLICE_X54Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y68 IS_RESERVED 0 SITEPROP SLICE_X54Y68 IS_TEST 0 SITEPROP SLICE_X54Y68 IS_USED 0 SITEPROP SLICE_X54Y68 MANUAL_ROUTING SITEPROP SLICE_X54Y68 NAME SLICE_X54Y68 SITEPROP SLICE_X54Y68 NUM_ARCS 153 SITEPROP SLICE_X54Y68 NUM_BELS 32 SITEPROP SLICE_X54Y68 NUM_INPUTS 37 SITEPROP SLICE_X54Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y68 NUM_PINS 50 SITEPROP SLICE_X54Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y68 PROHIBIT 0 SITEPROP SLICE_X54Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y68 RPM_X 145 SITEPROP SLICE_X54Y68 RPM_Y 136 SITEPROP SLICE_X54Y68 SITE_PIPS SITEPROP SLICE_X54Y68 SITE_TYPE SLICEM SITEPROP SLICE_X54Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y69 CLASS site SITEPROP SLICE_X54Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y69 IS_BONDED 0 SITEPROP SLICE_X54Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y69 IS_PAD 0 SITEPROP SLICE_X54Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y69 IS_RESERVED 0 SITEPROP SLICE_X54Y69 IS_TEST 0 SITEPROP SLICE_X54Y69 IS_USED 0 SITEPROP SLICE_X54Y69 MANUAL_ROUTING SITEPROP SLICE_X54Y69 NAME SLICE_X54Y69 SITEPROP SLICE_X54Y69 NUM_ARCS 153 SITEPROP SLICE_X54Y69 NUM_BELS 32 SITEPROP SLICE_X54Y69 NUM_INPUTS 37 SITEPROP SLICE_X54Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y69 NUM_PINS 50 SITEPROP SLICE_X54Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y69 PROHIBIT 0 SITEPROP SLICE_X54Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y69 RPM_X 145 SITEPROP SLICE_X54Y69 RPM_Y 138 SITEPROP SLICE_X54Y69 SITE_PIPS SITEPROP SLICE_X54Y69 SITE_TYPE SLICEM SITEPROP SLICE_X54Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y70 CLASS site SITEPROP SLICE_X54Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y70 IS_BONDED 0 SITEPROP SLICE_X54Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y70 IS_PAD 0 SITEPROP SLICE_X54Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y70 IS_RESERVED 0 SITEPROP SLICE_X54Y70 IS_TEST 0 SITEPROP SLICE_X54Y70 IS_USED 0 SITEPROP SLICE_X54Y70 MANUAL_ROUTING SITEPROP SLICE_X54Y70 NAME SLICE_X54Y70 SITEPROP SLICE_X54Y70 NUM_ARCS 153 SITEPROP SLICE_X54Y70 NUM_BELS 32 SITEPROP SLICE_X54Y70 NUM_INPUTS 37 SITEPROP SLICE_X54Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y70 NUM_PINS 50 SITEPROP SLICE_X54Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y70 PROHIBIT 0 SITEPROP SLICE_X54Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y70 RPM_X 145 SITEPROP SLICE_X54Y70 RPM_Y 140 SITEPROP SLICE_X54Y70 SITE_PIPS SITEPROP SLICE_X54Y70 SITE_TYPE SLICEM SITEPROP SLICE_X54Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y71 CLASS site SITEPROP SLICE_X54Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y71 IS_BONDED 0 SITEPROP SLICE_X54Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y71 IS_PAD 0 SITEPROP SLICE_X54Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y71 IS_RESERVED 0 SITEPROP SLICE_X54Y71 IS_TEST 0 SITEPROP SLICE_X54Y71 IS_USED 0 SITEPROP SLICE_X54Y71 MANUAL_ROUTING SITEPROP SLICE_X54Y71 NAME SLICE_X54Y71 SITEPROP SLICE_X54Y71 NUM_ARCS 153 SITEPROP SLICE_X54Y71 NUM_BELS 32 SITEPROP SLICE_X54Y71 NUM_INPUTS 37 SITEPROP SLICE_X54Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y71 NUM_PINS 50 SITEPROP SLICE_X54Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y71 PROHIBIT 0 SITEPROP SLICE_X54Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y71 RPM_X 145 SITEPROP SLICE_X54Y71 RPM_Y 142 SITEPROP SLICE_X54Y71 SITE_PIPS SITEPROP SLICE_X54Y71 SITE_TYPE SLICEM SITEPROP SLICE_X54Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y72 CLASS site SITEPROP SLICE_X54Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y72 IS_BONDED 0 SITEPROP SLICE_X54Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y72 IS_PAD 0 SITEPROP SLICE_X54Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y72 IS_RESERVED 0 SITEPROP SLICE_X54Y72 IS_TEST 0 SITEPROP SLICE_X54Y72 IS_USED 0 SITEPROP SLICE_X54Y72 MANUAL_ROUTING SITEPROP SLICE_X54Y72 NAME SLICE_X54Y72 SITEPROP SLICE_X54Y72 NUM_ARCS 153 SITEPROP SLICE_X54Y72 NUM_BELS 32 SITEPROP SLICE_X54Y72 NUM_INPUTS 37 SITEPROP SLICE_X54Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y72 NUM_PINS 50 SITEPROP SLICE_X54Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y72 PROHIBIT 0 SITEPROP SLICE_X54Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y72 RPM_X 145 SITEPROP SLICE_X54Y72 RPM_Y 144 SITEPROP SLICE_X54Y72 SITE_PIPS SITEPROP SLICE_X54Y72 SITE_TYPE SLICEM SITEPROP SLICE_X54Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y73 CLASS site SITEPROP SLICE_X54Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y73 IS_BONDED 0 SITEPROP SLICE_X54Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y73 IS_PAD 0 SITEPROP SLICE_X54Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y73 IS_RESERVED 0 SITEPROP SLICE_X54Y73 IS_TEST 0 SITEPROP SLICE_X54Y73 IS_USED 0 SITEPROP SLICE_X54Y73 MANUAL_ROUTING SITEPROP SLICE_X54Y73 NAME SLICE_X54Y73 SITEPROP SLICE_X54Y73 NUM_ARCS 153 SITEPROP SLICE_X54Y73 NUM_BELS 32 SITEPROP SLICE_X54Y73 NUM_INPUTS 37 SITEPROP SLICE_X54Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y73 NUM_PINS 50 SITEPROP SLICE_X54Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y73 PROHIBIT 0 SITEPROP SLICE_X54Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y73 RPM_X 145 SITEPROP SLICE_X54Y73 RPM_Y 146 SITEPROP SLICE_X54Y73 SITE_PIPS SITEPROP SLICE_X54Y73 SITE_TYPE SLICEM SITEPROP SLICE_X54Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y74 CLASS site SITEPROP SLICE_X54Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y74 IS_BONDED 0 SITEPROP SLICE_X54Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y74 IS_PAD 0 SITEPROP SLICE_X54Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y74 IS_RESERVED 0 SITEPROP SLICE_X54Y74 IS_TEST 0 SITEPROP SLICE_X54Y74 IS_USED 0 SITEPROP SLICE_X54Y74 MANUAL_ROUTING SITEPROP SLICE_X54Y74 NAME SLICE_X54Y74 SITEPROP SLICE_X54Y74 NUM_ARCS 153 SITEPROP SLICE_X54Y74 NUM_BELS 32 SITEPROP SLICE_X54Y74 NUM_INPUTS 37 SITEPROP SLICE_X54Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y74 NUM_PINS 50 SITEPROP SLICE_X54Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y74 PROHIBIT 0 SITEPROP SLICE_X54Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y74 RPM_X 145 SITEPROP SLICE_X54Y74 RPM_Y 148 SITEPROP SLICE_X54Y74 SITE_PIPS SITEPROP SLICE_X54Y74 SITE_TYPE SLICEM SITEPROP SLICE_X54Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y75 CLASS site SITEPROP SLICE_X54Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y75 IS_BONDED 0 SITEPROP SLICE_X54Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y75 IS_PAD 0 SITEPROP SLICE_X54Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y75 IS_RESERVED 0 SITEPROP SLICE_X54Y75 IS_TEST 0 SITEPROP SLICE_X54Y75 IS_USED 0 SITEPROP SLICE_X54Y75 MANUAL_ROUTING SITEPROP SLICE_X54Y75 NAME SLICE_X54Y75 SITEPROP SLICE_X54Y75 NUM_ARCS 153 SITEPROP SLICE_X54Y75 NUM_BELS 32 SITEPROP SLICE_X54Y75 NUM_INPUTS 37 SITEPROP SLICE_X54Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y75 NUM_PINS 50 SITEPROP SLICE_X54Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y75 PROHIBIT 0 SITEPROP SLICE_X54Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y75 RPM_X 145 SITEPROP SLICE_X54Y75 RPM_Y 150 SITEPROP SLICE_X54Y75 SITE_PIPS SITEPROP SLICE_X54Y75 SITE_TYPE SLICEM SITEPROP SLICE_X54Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y76 CLASS site SITEPROP SLICE_X54Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y76 IS_BONDED 0 SITEPROP SLICE_X54Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y76 IS_PAD 0 SITEPROP SLICE_X54Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y76 IS_RESERVED 0 SITEPROP SLICE_X54Y76 IS_TEST 0 SITEPROP SLICE_X54Y76 IS_USED 0 SITEPROP SLICE_X54Y76 MANUAL_ROUTING SITEPROP SLICE_X54Y76 NAME SLICE_X54Y76 SITEPROP SLICE_X54Y76 NUM_ARCS 153 SITEPROP SLICE_X54Y76 NUM_BELS 32 SITEPROP SLICE_X54Y76 NUM_INPUTS 37 SITEPROP SLICE_X54Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y76 NUM_PINS 50 SITEPROP SLICE_X54Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y76 PROHIBIT 0 SITEPROP SLICE_X54Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y76 RPM_X 145 SITEPROP SLICE_X54Y76 RPM_Y 152 SITEPROP SLICE_X54Y76 SITE_PIPS SITEPROP SLICE_X54Y76 SITE_TYPE SLICEM SITEPROP SLICE_X54Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y77 CLASS site SITEPROP SLICE_X54Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y77 IS_BONDED 0 SITEPROP SLICE_X54Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y77 IS_PAD 0 SITEPROP SLICE_X54Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y77 IS_RESERVED 0 SITEPROP SLICE_X54Y77 IS_TEST 0 SITEPROP SLICE_X54Y77 IS_USED 0 SITEPROP SLICE_X54Y77 MANUAL_ROUTING SITEPROP SLICE_X54Y77 NAME SLICE_X54Y77 SITEPROP SLICE_X54Y77 NUM_ARCS 153 SITEPROP SLICE_X54Y77 NUM_BELS 32 SITEPROP SLICE_X54Y77 NUM_INPUTS 37 SITEPROP SLICE_X54Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y77 NUM_PINS 50 SITEPROP SLICE_X54Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y77 PROHIBIT 0 SITEPROP SLICE_X54Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y77 RPM_X 145 SITEPROP SLICE_X54Y77 RPM_Y 154 SITEPROP SLICE_X54Y77 SITE_PIPS SITEPROP SLICE_X54Y77 SITE_TYPE SLICEM SITEPROP SLICE_X54Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y78 CLASS site SITEPROP SLICE_X54Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y78 IS_BONDED 0 SITEPROP SLICE_X54Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y78 IS_PAD 0 SITEPROP SLICE_X54Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y78 IS_RESERVED 0 SITEPROP SLICE_X54Y78 IS_TEST 0 SITEPROP SLICE_X54Y78 IS_USED 0 SITEPROP SLICE_X54Y78 MANUAL_ROUTING SITEPROP SLICE_X54Y78 NAME SLICE_X54Y78 SITEPROP SLICE_X54Y78 NUM_ARCS 153 SITEPROP SLICE_X54Y78 NUM_BELS 32 SITEPROP SLICE_X54Y78 NUM_INPUTS 37 SITEPROP SLICE_X54Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y78 NUM_PINS 50 SITEPROP SLICE_X54Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y78 PROHIBIT 0 SITEPROP SLICE_X54Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y78 RPM_X 145 SITEPROP SLICE_X54Y78 RPM_Y 156 SITEPROP SLICE_X54Y78 SITE_PIPS SITEPROP SLICE_X54Y78 SITE_TYPE SLICEM SITEPROP SLICE_X54Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y79 CLASS site SITEPROP SLICE_X54Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y79 IS_BONDED 0 SITEPROP SLICE_X54Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y79 IS_PAD 0 SITEPROP SLICE_X54Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y79 IS_RESERVED 0 SITEPROP SLICE_X54Y79 IS_TEST 0 SITEPROP SLICE_X54Y79 IS_USED 0 SITEPROP SLICE_X54Y79 MANUAL_ROUTING SITEPROP SLICE_X54Y79 NAME SLICE_X54Y79 SITEPROP SLICE_X54Y79 NUM_ARCS 153 SITEPROP SLICE_X54Y79 NUM_BELS 32 SITEPROP SLICE_X54Y79 NUM_INPUTS 37 SITEPROP SLICE_X54Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y79 NUM_PINS 50 SITEPROP SLICE_X54Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y79 PROHIBIT 0 SITEPROP SLICE_X54Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y79 RPM_X 145 SITEPROP SLICE_X54Y79 RPM_Y 158 SITEPROP SLICE_X54Y79 SITE_PIPS SITEPROP SLICE_X54Y79 SITE_TYPE SLICEM SITEPROP SLICE_X54Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y80 CLASS site SITEPROP SLICE_X54Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y80 IS_BONDED 0 SITEPROP SLICE_X54Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y80 IS_PAD 0 SITEPROP SLICE_X54Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y80 IS_RESERVED 0 SITEPROP SLICE_X54Y80 IS_TEST 0 SITEPROP SLICE_X54Y80 IS_USED 0 SITEPROP SLICE_X54Y80 MANUAL_ROUTING SITEPROP SLICE_X54Y80 NAME SLICE_X54Y80 SITEPROP SLICE_X54Y80 NUM_ARCS 153 SITEPROP SLICE_X54Y80 NUM_BELS 32 SITEPROP SLICE_X54Y80 NUM_INPUTS 37 SITEPROP SLICE_X54Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y80 NUM_PINS 50 SITEPROP SLICE_X54Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y80 PROHIBIT 0 SITEPROP SLICE_X54Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y80 RPM_X 145 SITEPROP SLICE_X54Y80 RPM_Y 160 SITEPROP SLICE_X54Y80 SITE_PIPS SITEPROP SLICE_X54Y80 SITE_TYPE SLICEM SITEPROP SLICE_X54Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y81 CLASS site SITEPROP SLICE_X54Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y81 IS_BONDED 0 SITEPROP SLICE_X54Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y81 IS_PAD 0 SITEPROP SLICE_X54Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y81 IS_RESERVED 0 SITEPROP SLICE_X54Y81 IS_TEST 0 SITEPROP SLICE_X54Y81 IS_USED 0 SITEPROP SLICE_X54Y81 MANUAL_ROUTING SITEPROP SLICE_X54Y81 NAME SLICE_X54Y81 SITEPROP SLICE_X54Y81 NUM_ARCS 153 SITEPROP SLICE_X54Y81 NUM_BELS 32 SITEPROP SLICE_X54Y81 NUM_INPUTS 37 SITEPROP SLICE_X54Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y81 NUM_PINS 50 SITEPROP SLICE_X54Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y81 PROHIBIT 0 SITEPROP SLICE_X54Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y81 RPM_X 145 SITEPROP SLICE_X54Y81 RPM_Y 162 SITEPROP SLICE_X54Y81 SITE_PIPS SITEPROP SLICE_X54Y81 SITE_TYPE SLICEM SITEPROP SLICE_X54Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y82 CLASS site SITEPROP SLICE_X54Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y82 IS_BONDED 0 SITEPROP SLICE_X54Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y82 IS_PAD 0 SITEPROP SLICE_X54Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y82 IS_RESERVED 0 SITEPROP SLICE_X54Y82 IS_TEST 0 SITEPROP SLICE_X54Y82 IS_USED 0 SITEPROP SLICE_X54Y82 MANUAL_ROUTING SITEPROP SLICE_X54Y82 NAME SLICE_X54Y82 SITEPROP SLICE_X54Y82 NUM_ARCS 153 SITEPROP SLICE_X54Y82 NUM_BELS 32 SITEPROP SLICE_X54Y82 NUM_INPUTS 37 SITEPROP SLICE_X54Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y82 NUM_PINS 50 SITEPROP SLICE_X54Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y82 PROHIBIT 0 SITEPROP SLICE_X54Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y82 RPM_X 145 SITEPROP SLICE_X54Y82 RPM_Y 164 SITEPROP SLICE_X54Y82 SITE_PIPS SITEPROP SLICE_X54Y82 SITE_TYPE SLICEM SITEPROP SLICE_X54Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y83 CLASS site SITEPROP SLICE_X54Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y83 IS_BONDED 0 SITEPROP SLICE_X54Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y83 IS_PAD 0 SITEPROP SLICE_X54Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y83 IS_RESERVED 0 SITEPROP SLICE_X54Y83 IS_TEST 0 SITEPROP SLICE_X54Y83 IS_USED 0 SITEPROP SLICE_X54Y83 MANUAL_ROUTING SITEPROP SLICE_X54Y83 NAME SLICE_X54Y83 SITEPROP SLICE_X54Y83 NUM_ARCS 153 SITEPROP SLICE_X54Y83 NUM_BELS 32 SITEPROP SLICE_X54Y83 NUM_INPUTS 37 SITEPROP SLICE_X54Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y83 NUM_PINS 50 SITEPROP SLICE_X54Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y83 PROHIBIT 0 SITEPROP SLICE_X54Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y83 RPM_X 145 SITEPROP SLICE_X54Y83 RPM_Y 166 SITEPROP SLICE_X54Y83 SITE_PIPS SITEPROP SLICE_X54Y83 SITE_TYPE SLICEM SITEPROP SLICE_X54Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y84 CLASS site SITEPROP SLICE_X54Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y84 IS_BONDED 0 SITEPROP SLICE_X54Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y84 IS_PAD 0 SITEPROP SLICE_X54Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y84 IS_RESERVED 0 SITEPROP SLICE_X54Y84 IS_TEST 0 SITEPROP SLICE_X54Y84 IS_USED 0 SITEPROP SLICE_X54Y84 MANUAL_ROUTING SITEPROP SLICE_X54Y84 NAME SLICE_X54Y84 SITEPROP SLICE_X54Y84 NUM_ARCS 153 SITEPROP SLICE_X54Y84 NUM_BELS 32 SITEPROP SLICE_X54Y84 NUM_INPUTS 37 SITEPROP SLICE_X54Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y84 NUM_PINS 50 SITEPROP SLICE_X54Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y84 PROHIBIT 0 SITEPROP SLICE_X54Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y84 RPM_X 145 SITEPROP SLICE_X54Y84 RPM_Y 168 SITEPROP SLICE_X54Y84 SITE_PIPS SITEPROP SLICE_X54Y84 SITE_TYPE SLICEM SITEPROP SLICE_X54Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y85 CLASS site SITEPROP SLICE_X54Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y85 IS_BONDED 0 SITEPROP SLICE_X54Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y85 IS_PAD 0 SITEPROP SLICE_X54Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y85 IS_RESERVED 0 SITEPROP SLICE_X54Y85 IS_TEST 0 SITEPROP SLICE_X54Y85 IS_USED 0 SITEPROP SLICE_X54Y85 MANUAL_ROUTING SITEPROP SLICE_X54Y85 NAME SLICE_X54Y85 SITEPROP SLICE_X54Y85 NUM_ARCS 153 SITEPROP SLICE_X54Y85 NUM_BELS 32 SITEPROP SLICE_X54Y85 NUM_INPUTS 37 SITEPROP SLICE_X54Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y85 NUM_PINS 50 SITEPROP SLICE_X54Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y85 PROHIBIT 0 SITEPROP SLICE_X54Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y85 RPM_X 145 SITEPROP SLICE_X54Y85 RPM_Y 170 SITEPROP SLICE_X54Y85 SITE_PIPS SITEPROP SLICE_X54Y85 SITE_TYPE SLICEM SITEPROP SLICE_X54Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y86 CLASS site SITEPROP SLICE_X54Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y86 IS_BONDED 0 SITEPROP SLICE_X54Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y86 IS_PAD 0 SITEPROP SLICE_X54Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y86 IS_RESERVED 0 SITEPROP SLICE_X54Y86 IS_TEST 0 SITEPROP SLICE_X54Y86 IS_USED 0 SITEPROP SLICE_X54Y86 MANUAL_ROUTING SITEPROP SLICE_X54Y86 NAME SLICE_X54Y86 SITEPROP SLICE_X54Y86 NUM_ARCS 153 SITEPROP SLICE_X54Y86 NUM_BELS 32 SITEPROP SLICE_X54Y86 NUM_INPUTS 37 SITEPROP SLICE_X54Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y86 NUM_PINS 50 SITEPROP SLICE_X54Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y86 PROHIBIT 0 SITEPROP SLICE_X54Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y86 RPM_X 145 SITEPROP SLICE_X54Y86 RPM_Y 172 SITEPROP SLICE_X54Y86 SITE_PIPS SITEPROP SLICE_X54Y86 SITE_TYPE SLICEM SITEPROP SLICE_X54Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y87 CLASS site SITEPROP SLICE_X54Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y87 IS_BONDED 0 SITEPROP SLICE_X54Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y87 IS_PAD 0 SITEPROP SLICE_X54Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y87 IS_RESERVED 0 SITEPROP SLICE_X54Y87 IS_TEST 0 SITEPROP SLICE_X54Y87 IS_USED 0 SITEPROP SLICE_X54Y87 MANUAL_ROUTING SITEPROP SLICE_X54Y87 NAME SLICE_X54Y87 SITEPROP SLICE_X54Y87 NUM_ARCS 153 SITEPROP SLICE_X54Y87 NUM_BELS 32 SITEPROP SLICE_X54Y87 NUM_INPUTS 37 SITEPROP SLICE_X54Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y87 NUM_PINS 50 SITEPROP SLICE_X54Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y87 PROHIBIT 0 SITEPROP SLICE_X54Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y87 RPM_X 145 SITEPROP SLICE_X54Y87 RPM_Y 174 SITEPROP SLICE_X54Y87 SITE_PIPS SITEPROP SLICE_X54Y87 SITE_TYPE SLICEM SITEPROP SLICE_X54Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y88 CLASS site SITEPROP SLICE_X54Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y88 IS_BONDED 0 SITEPROP SLICE_X54Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y88 IS_PAD 0 SITEPROP SLICE_X54Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y88 IS_RESERVED 0 SITEPROP SLICE_X54Y88 IS_TEST 0 SITEPROP SLICE_X54Y88 IS_USED 0 SITEPROP SLICE_X54Y88 MANUAL_ROUTING SITEPROP SLICE_X54Y88 NAME SLICE_X54Y88 SITEPROP SLICE_X54Y88 NUM_ARCS 153 SITEPROP SLICE_X54Y88 NUM_BELS 32 SITEPROP SLICE_X54Y88 NUM_INPUTS 37 SITEPROP SLICE_X54Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y88 NUM_PINS 50 SITEPROP SLICE_X54Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y88 PROHIBIT 0 SITEPROP SLICE_X54Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y88 RPM_X 145 SITEPROP SLICE_X54Y88 RPM_Y 176 SITEPROP SLICE_X54Y88 SITE_PIPS SITEPROP SLICE_X54Y88 SITE_TYPE SLICEM SITEPROP SLICE_X54Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y89 CLASS site SITEPROP SLICE_X54Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y89 IS_BONDED 0 SITEPROP SLICE_X54Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y89 IS_PAD 0 SITEPROP SLICE_X54Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y89 IS_RESERVED 0 SITEPROP SLICE_X54Y89 IS_TEST 0 SITEPROP SLICE_X54Y89 IS_USED 0 SITEPROP SLICE_X54Y89 MANUAL_ROUTING SITEPROP SLICE_X54Y89 NAME SLICE_X54Y89 SITEPROP SLICE_X54Y89 NUM_ARCS 153 SITEPROP SLICE_X54Y89 NUM_BELS 32 SITEPROP SLICE_X54Y89 NUM_INPUTS 37 SITEPROP SLICE_X54Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y89 NUM_PINS 50 SITEPROP SLICE_X54Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y89 PROHIBIT 0 SITEPROP SLICE_X54Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y89 RPM_X 145 SITEPROP SLICE_X54Y89 RPM_Y 178 SITEPROP SLICE_X54Y89 SITE_PIPS SITEPROP SLICE_X54Y89 SITE_TYPE SLICEM SITEPROP SLICE_X54Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y90 CLASS site SITEPROP SLICE_X54Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y90 IS_BONDED 0 SITEPROP SLICE_X54Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y90 IS_PAD 0 SITEPROP SLICE_X54Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y90 IS_RESERVED 0 SITEPROP SLICE_X54Y90 IS_TEST 0 SITEPROP SLICE_X54Y90 IS_USED 0 SITEPROP SLICE_X54Y90 MANUAL_ROUTING SITEPROP SLICE_X54Y90 NAME SLICE_X54Y90 SITEPROP SLICE_X54Y90 NUM_ARCS 153 SITEPROP SLICE_X54Y90 NUM_BELS 32 SITEPROP SLICE_X54Y90 NUM_INPUTS 37 SITEPROP SLICE_X54Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y90 NUM_PINS 50 SITEPROP SLICE_X54Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y90 PROHIBIT 0 SITEPROP SLICE_X54Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y90 RPM_X 145 SITEPROP SLICE_X54Y90 RPM_Y 180 SITEPROP SLICE_X54Y90 SITE_PIPS SITEPROP SLICE_X54Y90 SITE_TYPE SLICEM SITEPROP SLICE_X54Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y91 CLASS site SITEPROP SLICE_X54Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y91 IS_BONDED 0 SITEPROP SLICE_X54Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y91 IS_PAD 0 SITEPROP SLICE_X54Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y91 IS_RESERVED 0 SITEPROP SLICE_X54Y91 IS_TEST 0 SITEPROP SLICE_X54Y91 IS_USED 0 SITEPROP SLICE_X54Y91 MANUAL_ROUTING SITEPROP SLICE_X54Y91 NAME SLICE_X54Y91 SITEPROP SLICE_X54Y91 NUM_ARCS 153 SITEPROP SLICE_X54Y91 NUM_BELS 32 SITEPROP SLICE_X54Y91 NUM_INPUTS 37 SITEPROP SLICE_X54Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y91 NUM_PINS 50 SITEPROP SLICE_X54Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y91 PROHIBIT 0 SITEPROP SLICE_X54Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y91 RPM_X 145 SITEPROP SLICE_X54Y91 RPM_Y 182 SITEPROP SLICE_X54Y91 SITE_PIPS SITEPROP SLICE_X54Y91 SITE_TYPE SLICEM SITEPROP SLICE_X54Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y92 CLASS site SITEPROP SLICE_X54Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y92 IS_BONDED 0 SITEPROP SLICE_X54Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y92 IS_PAD 0 SITEPROP SLICE_X54Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y92 IS_RESERVED 0 SITEPROP SLICE_X54Y92 IS_TEST 0 SITEPROP SLICE_X54Y92 IS_USED 0 SITEPROP SLICE_X54Y92 MANUAL_ROUTING SITEPROP SLICE_X54Y92 NAME SLICE_X54Y92 SITEPROP SLICE_X54Y92 NUM_ARCS 153 SITEPROP SLICE_X54Y92 NUM_BELS 32 SITEPROP SLICE_X54Y92 NUM_INPUTS 37 SITEPROP SLICE_X54Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y92 NUM_PINS 50 SITEPROP SLICE_X54Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y92 PROHIBIT 0 SITEPROP SLICE_X54Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y92 RPM_X 145 SITEPROP SLICE_X54Y92 RPM_Y 184 SITEPROP SLICE_X54Y92 SITE_PIPS SITEPROP SLICE_X54Y92 SITE_TYPE SLICEM SITEPROP SLICE_X54Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y93 CLASS site SITEPROP SLICE_X54Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y93 IS_BONDED 0 SITEPROP SLICE_X54Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y93 IS_PAD 0 SITEPROP SLICE_X54Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y93 IS_RESERVED 0 SITEPROP SLICE_X54Y93 IS_TEST 0 SITEPROP SLICE_X54Y93 IS_USED 0 SITEPROP SLICE_X54Y93 MANUAL_ROUTING SITEPROP SLICE_X54Y93 NAME SLICE_X54Y93 SITEPROP SLICE_X54Y93 NUM_ARCS 153 SITEPROP SLICE_X54Y93 NUM_BELS 32 SITEPROP SLICE_X54Y93 NUM_INPUTS 37 SITEPROP SLICE_X54Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y93 NUM_PINS 50 SITEPROP SLICE_X54Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y93 PROHIBIT 0 SITEPROP SLICE_X54Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y93 RPM_X 145 SITEPROP SLICE_X54Y93 RPM_Y 186 SITEPROP SLICE_X54Y93 SITE_PIPS SITEPROP SLICE_X54Y93 SITE_TYPE SLICEM SITEPROP SLICE_X54Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y94 CLASS site SITEPROP SLICE_X54Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y94 IS_BONDED 0 SITEPROP SLICE_X54Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y94 IS_PAD 0 SITEPROP SLICE_X54Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y94 IS_RESERVED 0 SITEPROP SLICE_X54Y94 IS_TEST 0 SITEPROP SLICE_X54Y94 IS_USED 0 SITEPROP SLICE_X54Y94 MANUAL_ROUTING SITEPROP SLICE_X54Y94 NAME SLICE_X54Y94 SITEPROP SLICE_X54Y94 NUM_ARCS 153 SITEPROP SLICE_X54Y94 NUM_BELS 32 SITEPROP SLICE_X54Y94 NUM_INPUTS 37 SITEPROP SLICE_X54Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y94 NUM_PINS 50 SITEPROP SLICE_X54Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y94 PROHIBIT 0 SITEPROP SLICE_X54Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y94 RPM_X 145 SITEPROP SLICE_X54Y94 RPM_Y 188 SITEPROP SLICE_X54Y94 SITE_PIPS SITEPROP SLICE_X54Y94 SITE_TYPE SLICEM SITEPROP SLICE_X54Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y95 CLASS site SITEPROP SLICE_X54Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y95 IS_BONDED 0 SITEPROP SLICE_X54Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y95 IS_PAD 0 SITEPROP SLICE_X54Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y95 IS_RESERVED 0 SITEPROP SLICE_X54Y95 IS_TEST 0 SITEPROP SLICE_X54Y95 IS_USED 0 SITEPROP SLICE_X54Y95 MANUAL_ROUTING SITEPROP SLICE_X54Y95 NAME SLICE_X54Y95 SITEPROP SLICE_X54Y95 NUM_ARCS 153 SITEPROP SLICE_X54Y95 NUM_BELS 32 SITEPROP SLICE_X54Y95 NUM_INPUTS 37 SITEPROP SLICE_X54Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y95 NUM_PINS 50 SITEPROP SLICE_X54Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y95 PROHIBIT 0 SITEPROP SLICE_X54Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y95 RPM_X 145 SITEPROP SLICE_X54Y95 RPM_Y 190 SITEPROP SLICE_X54Y95 SITE_PIPS SITEPROP SLICE_X54Y95 SITE_TYPE SLICEM SITEPROP SLICE_X54Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y96 CLASS site SITEPROP SLICE_X54Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y96 IS_BONDED 0 SITEPROP SLICE_X54Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y96 IS_PAD 0 SITEPROP SLICE_X54Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y96 IS_RESERVED 0 SITEPROP SLICE_X54Y96 IS_TEST 0 SITEPROP SLICE_X54Y96 IS_USED 0 SITEPROP SLICE_X54Y96 MANUAL_ROUTING SITEPROP SLICE_X54Y96 NAME SLICE_X54Y96 SITEPROP SLICE_X54Y96 NUM_ARCS 153 SITEPROP SLICE_X54Y96 NUM_BELS 32 SITEPROP SLICE_X54Y96 NUM_INPUTS 37 SITEPROP SLICE_X54Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y96 NUM_PINS 50 SITEPROP SLICE_X54Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y96 PROHIBIT 0 SITEPROP SLICE_X54Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y96 RPM_X 145 SITEPROP SLICE_X54Y96 RPM_Y 192 SITEPROP SLICE_X54Y96 SITE_PIPS SITEPROP SLICE_X54Y96 SITE_TYPE SLICEM SITEPROP SLICE_X54Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y97 CLASS site SITEPROP SLICE_X54Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y97 IS_BONDED 0 SITEPROP SLICE_X54Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y97 IS_PAD 0 SITEPROP SLICE_X54Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y97 IS_RESERVED 0 SITEPROP SLICE_X54Y97 IS_TEST 0 SITEPROP SLICE_X54Y97 IS_USED 0 SITEPROP SLICE_X54Y97 MANUAL_ROUTING SITEPROP SLICE_X54Y97 NAME SLICE_X54Y97 SITEPROP SLICE_X54Y97 NUM_ARCS 153 SITEPROP SLICE_X54Y97 NUM_BELS 32 SITEPROP SLICE_X54Y97 NUM_INPUTS 37 SITEPROP SLICE_X54Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y97 NUM_PINS 50 SITEPROP SLICE_X54Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y97 PROHIBIT 0 SITEPROP SLICE_X54Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y97 RPM_X 145 SITEPROP SLICE_X54Y97 RPM_Y 194 SITEPROP SLICE_X54Y97 SITE_PIPS SITEPROP SLICE_X54Y97 SITE_TYPE SLICEM SITEPROP SLICE_X54Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y98 CLASS site SITEPROP SLICE_X54Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y98 IS_BONDED 0 SITEPROP SLICE_X54Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y98 IS_PAD 0 SITEPROP SLICE_X54Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y98 IS_RESERVED 0 SITEPROP SLICE_X54Y98 IS_TEST 0 SITEPROP SLICE_X54Y98 IS_USED 0 SITEPROP SLICE_X54Y98 MANUAL_ROUTING SITEPROP SLICE_X54Y98 NAME SLICE_X54Y98 SITEPROP SLICE_X54Y98 NUM_ARCS 153 SITEPROP SLICE_X54Y98 NUM_BELS 32 SITEPROP SLICE_X54Y98 NUM_INPUTS 37 SITEPROP SLICE_X54Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y98 NUM_PINS 50 SITEPROP SLICE_X54Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y98 PROHIBIT 0 SITEPROP SLICE_X54Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y98 RPM_X 145 SITEPROP SLICE_X54Y98 RPM_Y 196 SITEPROP SLICE_X54Y98 SITE_PIPS SITEPROP SLICE_X54Y98 SITE_TYPE SLICEM SITEPROP SLICE_X54Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y99 CLASS site SITEPROP SLICE_X54Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X54Y99 IS_BONDED 0 SITEPROP SLICE_X54Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y99 IS_PAD 0 SITEPROP SLICE_X54Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y99 IS_RESERVED 0 SITEPROP SLICE_X54Y99 IS_TEST 0 SITEPROP SLICE_X54Y99 IS_USED 0 SITEPROP SLICE_X54Y99 MANUAL_ROUTING SITEPROP SLICE_X54Y99 NAME SLICE_X54Y99 SITEPROP SLICE_X54Y99 NUM_ARCS 153 SITEPROP SLICE_X54Y99 NUM_BELS 32 SITEPROP SLICE_X54Y99 NUM_INPUTS 37 SITEPROP SLICE_X54Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y99 NUM_PINS 50 SITEPROP SLICE_X54Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y99 PROHIBIT 0 SITEPROP SLICE_X54Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y99 RPM_X 145 SITEPROP SLICE_X54Y99 RPM_Y 198 SITEPROP SLICE_X54Y99 SITE_PIPS SITEPROP SLICE_X54Y99 SITE_TYPE SLICEM SITEPROP SLICE_X54Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y100 CLASS site SITEPROP SLICE_X54Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y100 IS_BONDED 0 SITEPROP SLICE_X54Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y100 IS_PAD 0 SITEPROP SLICE_X54Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y100 IS_RESERVED 0 SITEPROP SLICE_X54Y100 IS_TEST 0 SITEPROP SLICE_X54Y100 IS_USED 0 SITEPROP SLICE_X54Y100 MANUAL_ROUTING SITEPROP SLICE_X54Y100 NAME SLICE_X54Y100 SITEPROP SLICE_X54Y100 NUM_ARCS 153 SITEPROP SLICE_X54Y100 NUM_BELS 32 SITEPROP SLICE_X54Y100 NUM_INPUTS 37 SITEPROP SLICE_X54Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y100 NUM_PINS 50 SITEPROP SLICE_X54Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y100 PROHIBIT 0 SITEPROP SLICE_X54Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y100 RPM_X 145 SITEPROP SLICE_X54Y100 RPM_Y 200 SITEPROP SLICE_X54Y100 SITE_PIPS SITEPROP SLICE_X54Y100 SITE_TYPE SLICEM SITEPROP SLICE_X54Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y101 CLASS site SITEPROP SLICE_X54Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y101 IS_BONDED 0 SITEPROP SLICE_X54Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y101 IS_PAD 0 SITEPROP SLICE_X54Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y101 IS_RESERVED 0 SITEPROP SLICE_X54Y101 IS_TEST 0 SITEPROP SLICE_X54Y101 IS_USED 0 SITEPROP SLICE_X54Y101 MANUAL_ROUTING SITEPROP SLICE_X54Y101 NAME SLICE_X54Y101 SITEPROP SLICE_X54Y101 NUM_ARCS 153 SITEPROP SLICE_X54Y101 NUM_BELS 32 SITEPROP SLICE_X54Y101 NUM_INPUTS 37 SITEPROP SLICE_X54Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y101 NUM_PINS 50 SITEPROP SLICE_X54Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y101 PROHIBIT 0 SITEPROP SLICE_X54Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y101 RPM_X 145 SITEPROP SLICE_X54Y101 RPM_Y 202 SITEPROP SLICE_X54Y101 SITE_PIPS SITEPROP SLICE_X54Y101 SITE_TYPE SLICEM SITEPROP SLICE_X54Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y102 CLASS site SITEPROP SLICE_X54Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y102 IS_BONDED 0 SITEPROP SLICE_X54Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y102 IS_PAD 0 SITEPROP SLICE_X54Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y102 IS_RESERVED 0 SITEPROP SLICE_X54Y102 IS_TEST 0 SITEPROP SLICE_X54Y102 IS_USED 0 SITEPROP SLICE_X54Y102 MANUAL_ROUTING SITEPROP SLICE_X54Y102 NAME SLICE_X54Y102 SITEPROP SLICE_X54Y102 NUM_ARCS 153 SITEPROP SLICE_X54Y102 NUM_BELS 32 SITEPROP SLICE_X54Y102 NUM_INPUTS 37 SITEPROP SLICE_X54Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y102 NUM_PINS 50 SITEPROP SLICE_X54Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y102 PROHIBIT 0 SITEPROP SLICE_X54Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y102 RPM_X 145 SITEPROP SLICE_X54Y102 RPM_Y 204 SITEPROP SLICE_X54Y102 SITE_PIPS SITEPROP SLICE_X54Y102 SITE_TYPE SLICEM SITEPROP SLICE_X54Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y103 CLASS site SITEPROP SLICE_X54Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y103 IS_BONDED 0 SITEPROP SLICE_X54Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y103 IS_PAD 0 SITEPROP SLICE_X54Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y103 IS_RESERVED 0 SITEPROP SLICE_X54Y103 IS_TEST 0 SITEPROP SLICE_X54Y103 IS_USED 0 SITEPROP SLICE_X54Y103 MANUAL_ROUTING SITEPROP SLICE_X54Y103 NAME SLICE_X54Y103 SITEPROP SLICE_X54Y103 NUM_ARCS 153 SITEPROP SLICE_X54Y103 NUM_BELS 32 SITEPROP SLICE_X54Y103 NUM_INPUTS 37 SITEPROP SLICE_X54Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y103 NUM_PINS 50 SITEPROP SLICE_X54Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y103 PROHIBIT 0 SITEPROP SLICE_X54Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y103 RPM_X 145 SITEPROP SLICE_X54Y103 RPM_Y 206 SITEPROP SLICE_X54Y103 SITE_PIPS SITEPROP SLICE_X54Y103 SITE_TYPE SLICEM SITEPROP SLICE_X54Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y104 CLASS site SITEPROP SLICE_X54Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y104 IS_BONDED 0 SITEPROP SLICE_X54Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y104 IS_PAD 0 SITEPROP SLICE_X54Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y104 IS_RESERVED 0 SITEPROP SLICE_X54Y104 IS_TEST 0 SITEPROP SLICE_X54Y104 IS_USED 0 SITEPROP SLICE_X54Y104 MANUAL_ROUTING SITEPROP SLICE_X54Y104 NAME SLICE_X54Y104 SITEPROP SLICE_X54Y104 NUM_ARCS 153 SITEPROP SLICE_X54Y104 NUM_BELS 32 SITEPROP SLICE_X54Y104 NUM_INPUTS 37 SITEPROP SLICE_X54Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y104 NUM_PINS 50 SITEPROP SLICE_X54Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y104 PROHIBIT 0 SITEPROP SLICE_X54Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y104 RPM_X 145 SITEPROP SLICE_X54Y104 RPM_Y 208 SITEPROP SLICE_X54Y104 SITE_PIPS SITEPROP SLICE_X54Y104 SITE_TYPE SLICEM SITEPROP SLICE_X54Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y105 CLASS site SITEPROP SLICE_X54Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y105 IS_BONDED 0 SITEPROP SLICE_X54Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y105 IS_PAD 0 SITEPROP SLICE_X54Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y105 IS_RESERVED 0 SITEPROP SLICE_X54Y105 IS_TEST 0 SITEPROP SLICE_X54Y105 IS_USED 0 SITEPROP SLICE_X54Y105 MANUAL_ROUTING SITEPROP SLICE_X54Y105 NAME SLICE_X54Y105 SITEPROP SLICE_X54Y105 NUM_ARCS 153 SITEPROP SLICE_X54Y105 NUM_BELS 32 SITEPROP SLICE_X54Y105 NUM_INPUTS 37 SITEPROP SLICE_X54Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y105 NUM_PINS 50 SITEPROP SLICE_X54Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y105 PROHIBIT 0 SITEPROP SLICE_X54Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y105 RPM_X 145 SITEPROP SLICE_X54Y105 RPM_Y 210 SITEPROP SLICE_X54Y105 SITE_PIPS SITEPROP SLICE_X54Y105 SITE_TYPE SLICEM SITEPROP SLICE_X54Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y106 CLASS site SITEPROP SLICE_X54Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y106 IS_BONDED 0 SITEPROP SLICE_X54Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y106 IS_PAD 0 SITEPROP SLICE_X54Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y106 IS_RESERVED 0 SITEPROP SLICE_X54Y106 IS_TEST 0 SITEPROP SLICE_X54Y106 IS_USED 0 SITEPROP SLICE_X54Y106 MANUAL_ROUTING SITEPROP SLICE_X54Y106 NAME SLICE_X54Y106 SITEPROP SLICE_X54Y106 NUM_ARCS 153 SITEPROP SLICE_X54Y106 NUM_BELS 32 SITEPROP SLICE_X54Y106 NUM_INPUTS 37 SITEPROP SLICE_X54Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y106 NUM_PINS 50 SITEPROP SLICE_X54Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y106 PROHIBIT 0 SITEPROP SLICE_X54Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y106 RPM_X 145 SITEPROP SLICE_X54Y106 RPM_Y 212 SITEPROP SLICE_X54Y106 SITE_PIPS SITEPROP SLICE_X54Y106 SITE_TYPE SLICEM SITEPROP SLICE_X54Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y107 CLASS site SITEPROP SLICE_X54Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y107 IS_BONDED 0 SITEPROP SLICE_X54Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y107 IS_PAD 0 SITEPROP SLICE_X54Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y107 IS_RESERVED 0 SITEPROP SLICE_X54Y107 IS_TEST 0 SITEPROP SLICE_X54Y107 IS_USED 0 SITEPROP SLICE_X54Y107 MANUAL_ROUTING SITEPROP SLICE_X54Y107 NAME SLICE_X54Y107 SITEPROP SLICE_X54Y107 NUM_ARCS 153 SITEPROP SLICE_X54Y107 NUM_BELS 32 SITEPROP SLICE_X54Y107 NUM_INPUTS 37 SITEPROP SLICE_X54Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y107 NUM_PINS 50 SITEPROP SLICE_X54Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y107 PROHIBIT 0 SITEPROP SLICE_X54Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y107 RPM_X 145 SITEPROP SLICE_X54Y107 RPM_Y 214 SITEPROP SLICE_X54Y107 SITE_PIPS SITEPROP SLICE_X54Y107 SITE_TYPE SLICEM SITEPROP SLICE_X54Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y108 CLASS site SITEPROP SLICE_X54Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y108 IS_BONDED 0 SITEPROP SLICE_X54Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y108 IS_PAD 0 SITEPROP SLICE_X54Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y108 IS_RESERVED 0 SITEPROP SLICE_X54Y108 IS_TEST 0 SITEPROP SLICE_X54Y108 IS_USED 0 SITEPROP SLICE_X54Y108 MANUAL_ROUTING SITEPROP SLICE_X54Y108 NAME SLICE_X54Y108 SITEPROP SLICE_X54Y108 NUM_ARCS 153 SITEPROP SLICE_X54Y108 NUM_BELS 32 SITEPROP SLICE_X54Y108 NUM_INPUTS 37 SITEPROP SLICE_X54Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y108 NUM_PINS 50 SITEPROP SLICE_X54Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y108 PROHIBIT 0 SITEPROP SLICE_X54Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y108 RPM_X 145 SITEPROP SLICE_X54Y108 RPM_Y 216 SITEPROP SLICE_X54Y108 SITE_PIPS SITEPROP SLICE_X54Y108 SITE_TYPE SLICEM SITEPROP SLICE_X54Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y109 CLASS site SITEPROP SLICE_X54Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y109 IS_BONDED 0 SITEPROP SLICE_X54Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y109 IS_PAD 0 SITEPROP SLICE_X54Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y109 IS_RESERVED 0 SITEPROP SLICE_X54Y109 IS_TEST 0 SITEPROP SLICE_X54Y109 IS_USED 0 SITEPROP SLICE_X54Y109 MANUAL_ROUTING SITEPROP SLICE_X54Y109 NAME SLICE_X54Y109 SITEPROP SLICE_X54Y109 NUM_ARCS 153 SITEPROP SLICE_X54Y109 NUM_BELS 32 SITEPROP SLICE_X54Y109 NUM_INPUTS 37 SITEPROP SLICE_X54Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y109 NUM_PINS 50 SITEPROP SLICE_X54Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y109 PROHIBIT 0 SITEPROP SLICE_X54Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y109 RPM_X 145 SITEPROP SLICE_X54Y109 RPM_Y 218 SITEPROP SLICE_X54Y109 SITE_PIPS SITEPROP SLICE_X54Y109 SITE_TYPE SLICEM SITEPROP SLICE_X54Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y110 CLASS site SITEPROP SLICE_X54Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y110 IS_BONDED 0 SITEPROP SLICE_X54Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y110 IS_PAD 0 SITEPROP SLICE_X54Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y110 IS_RESERVED 0 SITEPROP SLICE_X54Y110 IS_TEST 0 SITEPROP SLICE_X54Y110 IS_USED 0 SITEPROP SLICE_X54Y110 MANUAL_ROUTING SITEPROP SLICE_X54Y110 NAME SLICE_X54Y110 SITEPROP SLICE_X54Y110 NUM_ARCS 153 SITEPROP SLICE_X54Y110 NUM_BELS 32 SITEPROP SLICE_X54Y110 NUM_INPUTS 37 SITEPROP SLICE_X54Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y110 NUM_PINS 50 SITEPROP SLICE_X54Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y110 PROHIBIT 0 SITEPROP SLICE_X54Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y110 RPM_X 145 SITEPROP SLICE_X54Y110 RPM_Y 220 SITEPROP SLICE_X54Y110 SITE_PIPS SITEPROP SLICE_X54Y110 SITE_TYPE SLICEM SITEPROP SLICE_X54Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y111 CLASS site SITEPROP SLICE_X54Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y111 IS_BONDED 0 SITEPROP SLICE_X54Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y111 IS_PAD 0 SITEPROP SLICE_X54Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y111 IS_RESERVED 0 SITEPROP SLICE_X54Y111 IS_TEST 0 SITEPROP SLICE_X54Y111 IS_USED 0 SITEPROP SLICE_X54Y111 MANUAL_ROUTING SITEPROP SLICE_X54Y111 NAME SLICE_X54Y111 SITEPROP SLICE_X54Y111 NUM_ARCS 153 SITEPROP SLICE_X54Y111 NUM_BELS 32 SITEPROP SLICE_X54Y111 NUM_INPUTS 37 SITEPROP SLICE_X54Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y111 NUM_PINS 50 SITEPROP SLICE_X54Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y111 PROHIBIT 0 SITEPROP SLICE_X54Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y111 RPM_X 145 SITEPROP SLICE_X54Y111 RPM_Y 222 SITEPROP SLICE_X54Y111 SITE_PIPS SITEPROP SLICE_X54Y111 SITE_TYPE SLICEM SITEPROP SLICE_X54Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y112 CLASS site SITEPROP SLICE_X54Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y112 IS_BONDED 0 SITEPROP SLICE_X54Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y112 IS_PAD 0 SITEPROP SLICE_X54Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y112 IS_RESERVED 0 SITEPROP SLICE_X54Y112 IS_TEST 0 SITEPROP SLICE_X54Y112 IS_USED 0 SITEPROP SLICE_X54Y112 MANUAL_ROUTING SITEPROP SLICE_X54Y112 NAME SLICE_X54Y112 SITEPROP SLICE_X54Y112 NUM_ARCS 153 SITEPROP SLICE_X54Y112 NUM_BELS 32 SITEPROP SLICE_X54Y112 NUM_INPUTS 37 SITEPROP SLICE_X54Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y112 NUM_PINS 50 SITEPROP SLICE_X54Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y112 PROHIBIT 0 SITEPROP SLICE_X54Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y112 RPM_X 145 SITEPROP SLICE_X54Y112 RPM_Y 224 SITEPROP SLICE_X54Y112 SITE_PIPS SITEPROP SLICE_X54Y112 SITE_TYPE SLICEM SITEPROP SLICE_X54Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y113 CLASS site SITEPROP SLICE_X54Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y113 IS_BONDED 0 SITEPROP SLICE_X54Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y113 IS_PAD 0 SITEPROP SLICE_X54Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y113 IS_RESERVED 0 SITEPROP SLICE_X54Y113 IS_TEST 0 SITEPROP SLICE_X54Y113 IS_USED 0 SITEPROP SLICE_X54Y113 MANUAL_ROUTING SITEPROP SLICE_X54Y113 NAME SLICE_X54Y113 SITEPROP SLICE_X54Y113 NUM_ARCS 153 SITEPROP SLICE_X54Y113 NUM_BELS 32 SITEPROP SLICE_X54Y113 NUM_INPUTS 37 SITEPROP SLICE_X54Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y113 NUM_PINS 50 SITEPROP SLICE_X54Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y113 PROHIBIT 0 SITEPROP SLICE_X54Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y113 RPM_X 145 SITEPROP SLICE_X54Y113 RPM_Y 226 SITEPROP SLICE_X54Y113 SITE_PIPS SITEPROP SLICE_X54Y113 SITE_TYPE SLICEM SITEPROP SLICE_X54Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y114 CLASS site SITEPROP SLICE_X54Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y114 IS_BONDED 0 SITEPROP SLICE_X54Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y114 IS_PAD 0 SITEPROP SLICE_X54Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y114 IS_RESERVED 0 SITEPROP SLICE_X54Y114 IS_TEST 0 SITEPROP SLICE_X54Y114 IS_USED 0 SITEPROP SLICE_X54Y114 MANUAL_ROUTING SITEPROP SLICE_X54Y114 NAME SLICE_X54Y114 SITEPROP SLICE_X54Y114 NUM_ARCS 153 SITEPROP SLICE_X54Y114 NUM_BELS 32 SITEPROP SLICE_X54Y114 NUM_INPUTS 37 SITEPROP SLICE_X54Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y114 NUM_PINS 50 SITEPROP SLICE_X54Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y114 PROHIBIT 0 SITEPROP SLICE_X54Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y114 RPM_X 145 SITEPROP SLICE_X54Y114 RPM_Y 228 SITEPROP SLICE_X54Y114 SITE_PIPS SITEPROP SLICE_X54Y114 SITE_TYPE SLICEM SITEPROP SLICE_X54Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y115 CLASS site SITEPROP SLICE_X54Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y115 IS_BONDED 0 SITEPROP SLICE_X54Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y115 IS_PAD 0 SITEPROP SLICE_X54Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y115 IS_RESERVED 0 SITEPROP SLICE_X54Y115 IS_TEST 0 SITEPROP SLICE_X54Y115 IS_USED 0 SITEPROP SLICE_X54Y115 MANUAL_ROUTING SITEPROP SLICE_X54Y115 NAME SLICE_X54Y115 SITEPROP SLICE_X54Y115 NUM_ARCS 153 SITEPROP SLICE_X54Y115 NUM_BELS 32 SITEPROP SLICE_X54Y115 NUM_INPUTS 37 SITEPROP SLICE_X54Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y115 NUM_PINS 50 SITEPROP SLICE_X54Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y115 PROHIBIT 0 SITEPROP SLICE_X54Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y115 RPM_X 145 SITEPROP SLICE_X54Y115 RPM_Y 230 SITEPROP SLICE_X54Y115 SITE_PIPS SITEPROP SLICE_X54Y115 SITE_TYPE SLICEM SITEPROP SLICE_X54Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y116 CLASS site SITEPROP SLICE_X54Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y116 IS_BONDED 0 SITEPROP SLICE_X54Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y116 IS_PAD 0 SITEPROP SLICE_X54Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y116 IS_RESERVED 0 SITEPROP SLICE_X54Y116 IS_TEST 0 SITEPROP SLICE_X54Y116 IS_USED 0 SITEPROP SLICE_X54Y116 MANUAL_ROUTING SITEPROP SLICE_X54Y116 NAME SLICE_X54Y116 SITEPROP SLICE_X54Y116 NUM_ARCS 153 SITEPROP SLICE_X54Y116 NUM_BELS 32 SITEPROP SLICE_X54Y116 NUM_INPUTS 37 SITEPROP SLICE_X54Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y116 NUM_PINS 50 SITEPROP SLICE_X54Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y116 PROHIBIT 0 SITEPROP SLICE_X54Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y116 RPM_X 145 SITEPROP SLICE_X54Y116 RPM_Y 232 SITEPROP SLICE_X54Y116 SITE_PIPS SITEPROP SLICE_X54Y116 SITE_TYPE SLICEM SITEPROP SLICE_X54Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y117 CLASS site SITEPROP SLICE_X54Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y117 IS_BONDED 0 SITEPROP SLICE_X54Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y117 IS_PAD 0 SITEPROP SLICE_X54Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y117 IS_RESERVED 0 SITEPROP SLICE_X54Y117 IS_TEST 0 SITEPROP SLICE_X54Y117 IS_USED 0 SITEPROP SLICE_X54Y117 MANUAL_ROUTING SITEPROP SLICE_X54Y117 NAME SLICE_X54Y117 SITEPROP SLICE_X54Y117 NUM_ARCS 153 SITEPROP SLICE_X54Y117 NUM_BELS 32 SITEPROP SLICE_X54Y117 NUM_INPUTS 37 SITEPROP SLICE_X54Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y117 NUM_PINS 50 SITEPROP SLICE_X54Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y117 PROHIBIT 0 SITEPROP SLICE_X54Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y117 RPM_X 145 SITEPROP SLICE_X54Y117 RPM_Y 234 SITEPROP SLICE_X54Y117 SITE_PIPS SITEPROP SLICE_X54Y117 SITE_TYPE SLICEM SITEPROP SLICE_X54Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y118 CLASS site SITEPROP SLICE_X54Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y118 IS_BONDED 0 SITEPROP SLICE_X54Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y118 IS_PAD 0 SITEPROP SLICE_X54Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y118 IS_RESERVED 0 SITEPROP SLICE_X54Y118 IS_TEST 0 SITEPROP SLICE_X54Y118 IS_USED 0 SITEPROP SLICE_X54Y118 MANUAL_ROUTING SITEPROP SLICE_X54Y118 NAME SLICE_X54Y118 SITEPROP SLICE_X54Y118 NUM_ARCS 153 SITEPROP SLICE_X54Y118 NUM_BELS 32 SITEPROP SLICE_X54Y118 NUM_INPUTS 37 SITEPROP SLICE_X54Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y118 NUM_PINS 50 SITEPROP SLICE_X54Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y118 PROHIBIT 0 SITEPROP SLICE_X54Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y118 RPM_X 145 SITEPROP SLICE_X54Y118 RPM_Y 236 SITEPROP SLICE_X54Y118 SITE_PIPS SITEPROP SLICE_X54Y118 SITE_TYPE SLICEM SITEPROP SLICE_X54Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y119 CLASS site SITEPROP SLICE_X54Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y119 IS_BONDED 0 SITEPROP SLICE_X54Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y119 IS_PAD 0 SITEPROP SLICE_X54Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y119 IS_RESERVED 0 SITEPROP SLICE_X54Y119 IS_TEST 0 SITEPROP SLICE_X54Y119 IS_USED 0 SITEPROP SLICE_X54Y119 MANUAL_ROUTING SITEPROP SLICE_X54Y119 NAME SLICE_X54Y119 SITEPROP SLICE_X54Y119 NUM_ARCS 153 SITEPROP SLICE_X54Y119 NUM_BELS 32 SITEPROP SLICE_X54Y119 NUM_INPUTS 37 SITEPROP SLICE_X54Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y119 NUM_PINS 50 SITEPROP SLICE_X54Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y119 PROHIBIT 0 SITEPROP SLICE_X54Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y119 RPM_X 145 SITEPROP SLICE_X54Y119 RPM_Y 238 SITEPROP SLICE_X54Y119 SITE_PIPS SITEPROP SLICE_X54Y119 SITE_TYPE SLICEM SITEPROP SLICE_X54Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y120 CLASS site SITEPROP SLICE_X54Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y120 IS_BONDED 0 SITEPROP SLICE_X54Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y120 IS_PAD 0 SITEPROP SLICE_X54Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y120 IS_RESERVED 0 SITEPROP SLICE_X54Y120 IS_TEST 0 SITEPROP SLICE_X54Y120 IS_USED 0 SITEPROP SLICE_X54Y120 MANUAL_ROUTING SITEPROP SLICE_X54Y120 NAME SLICE_X54Y120 SITEPROP SLICE_X54Y120 NUM_ARCS 153 SITEPROP SLICE_X54Y120 NUM_BELS 32 SITEPROP SLICE_X54Y120 NUM_INPUTS 37 SITEPROP SLICE_X54Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y120 NUM_PINS 50 SITEPROP SLICE_X54Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y120 PROHIBIT 0 SITEPROP SLICE_X54Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y120 RPM_X 145 SITEPROP SLICE_X54Y120 RPM_Y 240 SITEPROP SLICE_X54Y120 SITE_PIPS SITEPROP SLICE_X54Y120 SITE_TYPE SLICEM SITEPROP SLICE_X54Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y121 CLASS site SITEPROP SLICE_X54Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y121 IS_BONDED 0 SITEPROP SLICE_X54Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y121 IS_PAD 0 SITEPROP SLICE_X54Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y121 IS_RESERVED 0 SITEPROP SLICE_X54Y121 IS_TEST 0 SITEPROP SLICE_X54Y121 IS_USED 0 SITEPROP SLICE_X54Y121 MANUAL_ROUTING SITEPROP SLICE_X54Y121 NAME SLICE_X54Y121 SITEPROP SLICE_X54Y121 NUM_ARCS 153 SITEPROP SLICE_X54Y121 NUM_BELS 32 SITEPROP SLICE_X54Y121 NUM_INPUTS 37 SITEPROP SLICE_X54Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y121 NUM_PINS 50 SITEPROP SLICE_X54Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y121 PROHIBIT 0 SITEPROP SLICE_X54Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y121 RPM_X 145 SITEPROP SLICE_X54Y121 RPM_Y 242 SITEPROP SLICE_X54Y121 SITE_PIPS SITEPROP SLICE_X54Y121 SITE_TYPE SLICEM SITEPROP SLICE_X54Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y122 CLASS site SITEPROP SLICE_X54Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y122 IS_BONDED 0 SITEPROP SLICE_X54Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y122 IS_PAD 0 SITEPROP SLICE_X54Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y122 IS_RESERVED 0 SITEPROP SLICE_X54Y122 IS_TEST 0 SITEPROP SLICE_X54Y122 IS_USED 0 SITEPROP SLICE_X54Y122 MANUAL_ROUTING SITEPROP SLICE_X54Y122 NAME SLICE_X54Y122 SITEPROP SLICE_X54Y122 NUM_ARCS 153 SITEPROP SLICE_X54Y122 NUM_BELS 32 SITEPROP SLICE_X54Y122 NUM_INPUTS 37 SITEPROP SLICE_X54Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y122 NUM_PINS 50 SITEPROP SLICE_X54Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y122 PROHIBIT 0 SITEPROP SLICE_X54Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y122 RPM_X 145 SITEPROP SLICE_X54Y122 RPM_Y 244 SITEPROP SLICE_X54Y122 SITE_PIPS SITEPROP SLICE_X54Y122 SITE_TYPE SLICEM SITEPROP SLICE_X54Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y123 CLASS site SITEPROP SLICE_X54Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y123 IS_BONDED 0 SITEPROP SLICE_X54Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y123 IS_PAD 0 SITEPROP SLICE_X54Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y123 IS_RESERVED 0 SITEPROP SLICE_X54Y123 IS_TEST 0 SITEPROP SLICE_X54Y123 IS_USED 0 SITEPROP SLICE_X54Y123 MANUAL_ROUTING SITEPROP SLICE_X54Y123 NAME SLICE_X54Y123 SITEPROP SLICE_X54Y123 NUM_ARCS 153 SITEPROP SLICE_X54Y123 NUM_BELS 32 SITEPROP SLICE_X54Y123 NUM_INPUTS 37 SITEPROP SLICE_X54Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y123 NUM_PINS 50 SITEPROP SLICE_X54Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y123 PROHIBIT 0 SITEPROP SLICE_X54Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y123 RPM_X 145 SITEPROP SLICE_X54Y123 RPM_Y 246 SITEPROP SLICE_X54Y123 SITE_PIPS SITEPROP SLICE_X54Y123 SITE_TYPE SLICEM SITEPROP SLICE_X54Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y124 CLASS site SITEPROP SLICE_X54Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y124 IS_BONDED 0 SITEPROP SLICE_X54Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y124 IS_PAD 0 SITEPROP SLICE_X54Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y124 IS_RESERVED 0 SITEPROP SLICE_X54Y124 IS_TEST 0 SITEPROP SLICE_X54Y124 IS_USED 0 SITEPROP SLICE_X54Y124 MANUAL_ROUTING SITEPROP SLICE_X54Y124 NAME SLICE_X54Y124 SITEPROP SLICE_X54Y124 NUM_ARCS 153 SITEPROP SLICE_X54Y124 NUM_BELS 32 SITEPROP SLICE_X54Y124 NUM_INPUTS 37 SITEPROP SLICE_X54Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y124 NUM_PINS 50 SITEPROP SLICE_X54Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y124 PROHIBIT 0 SITEPROP SLICE_X54Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y124 RPM_X 145 SITEPROP SLICE_X54Y124 RPM_Y 248 SITEPROP SLICE_X54Y124 SITE_PIPS SITEPROP SLICE_X54Y124 SITE_TYPE SLICEM SITEPROP SLICE_X54Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y125 CLASS site SITEPROP SLICE_X54Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y125 IS_BONDED 0 SITEPROP SLICE_X54Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y125 IS_PAD 0 SITEPROP SLICE_X54Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y125 IS_RESERVED 0 SITEPROP SLICE_X54Y125 IS_TEST 0 SITEPROP SLICE_X54Y125 IS_USED 0 SITEPROP SLICE_X54Y125 MANUAL_ROUTING SITEPROP SLICE_X54Y125 NAME SLICE_X54Y125 SITEPROP SLICE_X54Y125 NUM_ARCS 153 SITEPROP SLICE_X54Y125 NUM_BELS 32 SITEPROP SLICE_X54Y125 NUM_INPUTS 37 SITEPROP SLICE_X54Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y125 NUM_PINS 50 SITEPROP SLICE_X54Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y125 PROHIBIT 0 SITEPROP SLICE_X54Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y125 RPM_X 145 SITEPROP SLICE_X54Y125 RPM_Y 250 SITEPROP SLICE_X54Y125 SITE_PIPS SITEPROP SLICE_X54Y125 SITE_TYPE SLICEM SITEPROP SLICE_X54Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y126 CLASS site SITEPROP SLICE_X54Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y126 IS_BONDED 0 SITEPROP SLICE_X54Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y126 IS_PAD 0 SITEPROP SLICE_X54Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y126 IS_RESERVED 0 SITEPROP SLICE_X54Y126 IS_TEST 0 SITEPROP SLICE_X54Y126 IS_USED 0 SITEPROP SLICE_X54Y126 MANUAL_ROUTING SITEPROP SLICE_X54Y126 NAME SLICE_X54Y126 SITEPROP SLICE_X54Y126 NUM_ARCS 153 SITEPROP SLICE_X54Y126 NUM_BELS 32 SITEPROP SLICE_X54Y126 NUM_INPUTS 37 SITEPROP SLICE_X54Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y126 NUM_PINS 50 SITEPROP SLICE_X54Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y126 PROHIBIT 0 SITEPROP SLICE_X54Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y126 RPM_X 145 SITEPROP SLICE_X54Y126 RPM_Y 252 SITEPROP SLICE_X54Y126 SITE_PIPS SITEPROP SLICE_X54Y126 SITE_TYPE SLICEM SITEPROP SLICE_X54Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y127 CLASS site SITEPROP SLICE_X54Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y127 IS_BONDED 0 SITEPROP SLICE_X54Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y127 IS_PAD 0 SITEPROP SLICE_X54Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y127 IS_RESERVED 0 SITEPROP SLICE_X54Y127 IS_TEST 0 SITEPROP SLICE_X54Y127 IS_USED 0 SITEPROP SLICE_X54Y127 MANUAL_ROUTING SITEPROP SLICE_X54Y127 NAME SLICE_X54Y127 SITEPROP SLICE_X54Y127 NUM_ARCS 153 SITEPROP SLICE_X54Y127 NUM_BELS 32 SITEPROP SLICE_X54Y127 NUM_INPUTS 37 SITEPROP SLICE_X54Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y127 NUM_PINS 50 SITEPROP SLICE_X54Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y127 PROHIBIT 0 SITEPROP SLICE_X54Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y127 RPM_X 145 SITEPROP SLICE_X54Y127 RPM_Y 254 SITEPROP SLICE_X54Y127 SITE_PIPS SITEPROP SLICE_X54Y127 SITE_TYPE SLICEM SITEPROP SLICE_X54Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y128 CLASS site SITEPROP SLICE_X54Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y128 IS_BONDED 0 SITEPROP SLICE_X54Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y128 IS_PAD 0 SITEPROP SLICE_X54Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y128 IS_RESERVED 0 SITEPROP SLICE_X54Y128 IS_TEST 0 SITEPROP SLICE_X54Y128 IS_USED 0 SITEPROP SLICE_X54Y128 MANUAL_ROUTING SITEPROP SLICE_X54Y128 NAME SLICE_X54Y128 SITEPROP SLICE_X54Y128 NUM_ARCS 153 SITEPROP SLICE_X54Y128 NUM_BELS 32 SITEPROP SLICE_X54Y128 NUM_INPUTS 37 SITEPROP SLICE_X54Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y128 NUM_PINS 50 SITEPROP SLICE_X54Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y128 PROHIBIT 0 SITEPROP SLICE_X54Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y128 RPM_X 145 SITEPROP SLICE_X54Y128 RPM_Y 256 SITEPROP SLICE_X54Y128 SITE_PIPS SITEPROP SLICE_X54Y128 SITE_TYPE SLICEM SITEPROP SLICE_X54Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y129 CLASS site SITEPROP SLICE_X54Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y129 IS_BONDED 0 SITEPROP SLICE_X54Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y129 IS_PAD 0 SITEPROP SLICE_X54Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y129 IS_RESERVED 0 SITEPROP SLICE_X54Y129 IS_TEST 0 SITEPROP SLICE_X54Y129 IS_USED 0 SITEPROP SLICE_X54Y129 MANUAL_ROUTING SITEPROP SLICE_X54Y129 NAME SLICE_X54Y129 SITEPROP SLICE_X54Y129 NUM_ARCS 153 SITEPROP SLICE_X54Y129 NUM_BELS 32 SITEPROP SLICE_X54Y129 NUM_INPUTS 37 SITEPROP SLICE_X54Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y129 NUM_PINS 50 SITEPROP SLICE_X54Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y129 PROHIBIT 0 SITEPROP SLICE_X54Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y129 RPM_X 145 SITEPROP SLICE_X54Y129 RPM_Y 258 SITEPROP SLICE_X54Y129 SITE_PIPS SITEPROP SLICE_X54Y129 SITE_TYPE SLICEM SITEPROP SLICE_X54Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y130 CLASS site SITEPROP SLICE_X54Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y130 IS_BONDED 0 SITEPROP SLICE_X54Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y130 IS_PAD 0 SITEPROP SLICE_X54Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y130 IS_RESERVED 0 SITEPROP SLICE_X54Y130 IS_TEST 0 SITEPROP SLICE_X54Y130 IS_USED 0 SITEPROP SLICE_X54Y130 MANUAL_ROUTING SITEPROP SLICE_X54Y130 NAME SLICE_X54Y130 SITEPROP SLICE_X54Y130 NUM_ARCS 153 SITEPROP SLICE_X54Y130 NUM_BELS 32 SITEPROP SLICE_X54Y130 NUM_INPUTS 37 SITEPROP SLICE_X54Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y130 NUM_PINS 50 SITEPROP SLICE_X54Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y130 PROHIBIT 0 SITEPROP SLICE_X54Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y130 RPM_X 145 SITEPROP SLICE_X54Y130 RPM_Y 260 SITEPROP SLICE_X54Y130 SITE_PIPS SITEPROP SLICE_X54Y130 SITE_TYPE SLICEM SITEPROP SLICE_X54Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y131 CLASS site SITEPROP SLICE_X54Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y131 IS_BONDED 0 SITEPROP SLICE_X54Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y131 IS_PAD 0 SITEPROP SLICE_X54Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y131 IS_RESERVED 0 SITEPROP SLICE_X54Y131 IS_TEST 0 SITEPROP SLICE_X54Y131 IS_USED 0 SITEPROP SLICE_X54Y131 MANUAL_ROUTING SITEPROP SLICE_X54Y131 NAME SLICE_X54Y131 SITEPROP SLICE_X54Y131 NUM_ARCS 153 SITEPROP SLICE_X54Y131 NUM_BELS 32 SITEPROP SLICE_X54Y131 NUM_INPUTS 37 SITEPROP SLICE_X54Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y131 NUM_PINS 50 SITEPROP SLICE_X54Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y131 PROHIBIT 0 SITEPROP SLICE_X54Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y131 RPM_X 145 SITEPROP SLICE_X54Y131 RPM_Y 262 SITEPROP SLICE_X54Y131 SITE_PIPS SITEPROP SLICE_X54Y131 SITE_TYPE SLICEM SITEPROP SLICE_X54Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y132 CLASS site SITEPROP SLICE_X54Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y132 IS_BONDED 0 SITEPROP SLICE_X54Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y132 IS_PAD 0 SITEPROP SLICE_X54Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y132 IS_RESERVED 0 SITEPROP SLICE_X54Y132 IS_TEST 0 SITEPROP SLICE_X54Y132 IS_USED 0 SITEPROP SLICE_X54Y132 MANUAL_ROUTING SITEPROP SLICE_X54Y132 NAME SLICE_X54Y132 SITEPROP SLICE_X54Y132 NUM_ARCS 153 SITEPROP SLICE_X54Y132 NUM_BELS 32 SITEPROP SLICE_X54Y132 NUM_INPUTS 37 SITEPROP SLICE_X54Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y132 NUM_PINS 50 SITEPROP SLICE_X54Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y132 PROHIBIT 0 SITEPROP SLICE_X54Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y132 RPM_X 145 SITEPROP SLICE_X54Y132 RPM_Y 264 SITEPROP SLICE_X54Y132 SITE_PIPS SITEPROP SLICE_X54Y132 SITE_TYPE SLICEM SITEPROP SLICE_X54Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y133 CLASS site SITEPROP SLICE_X54Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y133 IS_BONDED 0 SITEPROP SLICE_X54Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y133 IS_PAD 0 SITEPROP SLICE_X54Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y133 IS_RESERVED 0 SITEPROP SLICE_X54Y133 IS_TEST 0 SITEPROP SLICE_X54Y133 IS_USED 0 SITEPROP SLICE_X54Y133 MANUAL_ROUTING SITEPROP SLICE_X54Y133 NAME SLICE_X54Y133 SITEPROP SLICE_X54Y133 NUM_ARCS 153 SITEPROP SLICE_X54Y133 NUM_BELS 32 SITEPROP SLICE_X54Y133 NUM_INPUTS 37 SITEPROP SLICE_X54Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y133 NUM_PINS 50 SITEPROP SLICE_X54Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y133 PROHIBIT 0 SITEPROP SLICE_X54Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y133 RPM_X 145 SITEPROP SLICE_X54Y133 RPM_Y 266 SITEPROP SLICE_X54Y133 SITE_PIPS SITEPROP SLICE_X54Y133 SITE_TYPE SLICEM SITEPROP SLICE_X54Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y134 CLASS site SITEPROP SLICE_X54Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y134 IS_BONDED 0 SITEPROP SLICE_X54Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y134 IS_PAD 0 SITEPROP SLICE_X54Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y134 IS_RESERVED 0 SITEPROP SLICE_X54Y134 IS_TEST 0 SITEPROP SLICE_X54Y134 IS_USED 0 SITEPROP SLICE_X54Y134 MANUAL_ROUTING SITEPROP SLICE_X54Y134 NAME SLICE_X54Y134 SITEPROP SLICE_X54Y134 NUM_ARCS 153 SITEPROP SLICE_X54Y134 NUM_BELS 32 SITEPROP SLICE_X54Y134 NUM_INPUTS 37 SITEPROP SLICE_X54Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y134 NUM_PINS 50 SITEPROP SLICE_X54Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y134 PROHIBIT 0 SITEPROP SLICE_X54Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y134 RPM_X 145 SITEPROP SLICE_X54Y134 RPM_Y 268 SITEPROP SLICE_X54Y134 SITE_PIPS SITEPROP SLICE_X54Y134 SITE_TYPE SLICEM SITEPROP SLICE_X54Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y135 CLASS site SITEPROP SLICE_X54Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y135 IS_BONDED 0 SITEPROP SLICE_X54Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y135 IS_PAD 0 SITEPROP SLICE_X54Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y135 IS_RESERVED 0 SITEPROP SLICE_X54Y135 IS_TEST 0 SITEPROP SLICE_X54Y135 IS_USED 0 SITEPROP SLICE_X54Y135 MANUAL_ROUTING SITEPROP SLICE_X54Y135 NAME SLICE_X54Y135 SITEPROP SLICE_X54Y135 NUM_ARCS 153 SITEPROP SLICE_X54Y135 NUM_BELS 32 SITEPROP SLICE_X54Y135 NUM_INPUTS 37 SITEPROP SLICE_X54Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y135 NUM_PINS 50 SITEPROP SLICE_X54Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y135 PROHIBIT 0 SITEPROP SLICE_X54Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y135 RPM_X 145 SITEPROP SLICE_X54Y135 RPM_Y 270 SITEPROP SLICE_X54Y135 SITE_PIPS SITEPROP SLICE_X54Y135 SITE_TYPE SLICEM SITEPROP SLICE_X54Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y136 CLASS site SITEPROP SLICE_X54Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y136 IS_BONDED 0 SITEPROP SLICE_X54Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y136 IS_PAD 0 SITEPROP SLICE_X54Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y136 IS_RESERVED 0 SITEPROP SLICE_X54Y136 IS_TEST 0 SITEPROP SLICE_X54Y136 IS_USED 0 SITEPROP SLICE_X54Y136 MANUAL_ROUTING SITEPROP SLICE_X54Y136 NAME SLICE_X54Y136 SITEPROP SLICE_X54Y136 NUM_ARCS 153 SITEPROP SLICE_X54Y136 NUM_BELS 32 SITEPROP SLICE_X54Y136 NUM_INPUTS 37 SITEPROP SLICE_X54Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y136 NUM_PINS 50 SITEPROP SLICE_X54Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y136 PROHIBIT 0 SITEPROP SLICE_X54Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y136 RPM_X 145 SITEPROP SLICE_X54Y136 RPM_Y 272 SITEPROP SLICE_X54Y136 SITE_PIPS SITEPROP SLICE_X54Y136 SITE_TYPE SLICEM SITEPROP SLICE_X54Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y137 CLASS site SITEPROP SLICE_X54Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y137 IS_BONDED 0 SITEPROP SLICE_X54Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y137 IS_PAD 0 SITEPROP SLICE_X54Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y137 IS_RESERVED 0 SITEPROP SLICE_X54Y137 IS_TEST 0 SITEPROP SLICE_X54Y137 IS_USED 0 SITEPROP SLICE_X54Y137 MANUAL_ROUTING SITEPROP SLICE_X54Y137 NAME SLICE_X54Y137 SITEPROP SLICE_X54Y137 NUM_ARCS 153 SITEPROP SLICE_X54Y137 NUM_BELS 32 SITEPROP SLICE_X54Y137 NUM_INPUTS 37 SITEPROP SLICE_X54Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y137 NUM_PINS 50 SITEPROP SLICE_X54Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y137 PROHIBIT 0 SITEPROP SLICE_X54Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y137 RPM_X 145 SITEPROP SLICE_X54Y137 RPM_Y 274 SITEPROP SLICE_X54Y137 SITE_PIPS SITEPROP SLICE_X54Y137 SITE_TYPE SLICEM SITEPROP SLICE_X54Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y138 CLASS site SITEPROP SLICE_X54Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y138 IS_BONDED 0 SITEPROP SLICE_X54Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y138 IS_PAD 0 SITEPROP SLICE_X54Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y138 IS_RESERVED 0 SITEPROP SLICE_X54Y138 IS_TEST 0 SITEPROP SLICE_X54Y138 IS_USED 0 SITEPROP SLICE_X54Y138 MANUAL_ROUTING SITEPROP SLICE_X54Y138 NAME SLICE_X54Y138 SITEPROP SLICE_X54Y138 NUM_ARCS 153 SITEPROP SLICE_X54Y138 NUM_BELS 32 SITEPROP SLICE_X54Y138 NUM_INPUTS 37 SITEPROP SLICE_X54Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y138 NUM_PINS 50 SITEPROP SLICE_X54Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y138 PROHIBIT 0 SITEPROP SLICE_X54Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y138 RPM_X 145 SITEPROP SLICE_X54Y138 RPM_Y 276 SITEPROP SLICE_X54Y138 SITE_PIPS SITEPROP SLICE_X54Y138 SITE_TYPE SLICEM SITEPROP SLICE_X54Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y139 CLASS site SITEPROP SLICE_X54Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y139 IS_BONDED 0 SITEPROP SLICE_X54Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y139 IS_PAD 0 SITEPROP SLICE_X54Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y139 IS_RESERVED 0 SITEPROP SLICE_X54Y139 IS_TEST 0 SITEPROP SLICE_X54Y139 IS_USED 0 SITEPROP SLICE_X54Y139 MANUAL_ROUTING SITEPROP SLICE_X54Y139 NAME SLICE_X54Y139 SITEPROP SLICE_X54Y139 NUM_ARCS 153 SITEPROP SLICE_X54Y139 NUM_BELS 32 SITEPROP SLICE_X54Y139 NUM_INPUTS 37 SITEPROP SLICE_X54Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y139 NUM_PINS 50 SITEPROP SLICE_X54Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y139 PROHIBIT 0 SITEPROP SLICE_X54Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y139 RPM_X 145 SITEPROP SLICE_X54Y139 RPM_Y 278 SITEPROP SLICE_X54Y139 SITE_PIPS SITEPROP SLICE_X54Y139 SITE_TYPE SLICEM SITEPROP SLICE_X54Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y140 CLASS site SITEPROP SLICE_X54Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y140 IS_BONDED 0 SITEPROP SLICE_X54Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y140 IS_PAD 0 SITEPROP SLICE_X54Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y140 IS_RESERVED 0 SITEPROP SLICE_X54Y140 IS_TEST 0 SITEPROP SLICE_X54Y140 IS_USED 0 SITEPROP SLICE_X54Y140 MANUAL_ROUTING SITEPROP SLICE_X54Y140 NAME SLICE_X54Y140 SITEPROP SLICE_X54Y140 NUM_ARCS 153 SITEPROP SLICE_X54Y140 NUM_BELS 32 SITEPROP SLICE_X54Y140 NUM_INPUTS 37 SITEPROP SLICE_X54Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y140 NUM_PINS 50 SITEPROP SLICE_X54Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y140 PROHIBIT 0 SITEPROP SLICE_X54Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y140 RPM_X 145 SITEPROP SLICE_X54Y140 RPM_Y 280 SITEPROP SLICE_X54Y140 SITE_PIPS SITEPROP SLICE_X54Y140 SITE_TYPE SLICEM SITEPROP SLICE_X54Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y141 CLASS site SITEPROP SLICE_X54Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y141 IS_BONDED 0 SITEPROP SLICE_X54Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y141 IS_PAD 0 SITEPROP SLICE_X54Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y141 IS_RESERVED 0 SITEPROP SLICE_X54Y141 IS_TEST 0 SITEPROP SLICE_X54Y141 IS_USED 0 SITEPROP SLICE_X54Y141 MANUAL_ROUTING SITEPROP SLICE_X54Y141 NAME SLICE_X54Y141 SITEPROP SLICE_X54Y141 NUM_ARCS 153 SITEPROP SLICE_X54Y141 NUM_BELS 32 SITEPROP SLICE_X54Y141 NUM_INPUTS 37 SITEPROP SLICE_X54Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y141 NUM_PINS 50 SITEPROP SLICE_X54Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y141 PROHIBIT 0 SITEPROP SLICE_X54Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y141 RPM_X 145 SITEPROP SLICE_X54Y141 RPM_Y 282 SITEPROP SLICE_X54Y141 SITE_PIPS SITEPROP SLICE_X54Y141 SITE_TYPE SLICEM SITEPROP SLICE_X54Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y142 CLASS site SITEPROP SLICE_X54Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y142 IS_BONDED 0 SITEPROP SLICE_X54Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y142 IS_PAD 0 SITEPROP SLICE_X54Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y142 IS_RESERVED 0 SITEPROP SLICE_X54Y142 IS_TEST 0 SITEPROP SLICE_X54Y142 IS_USED 0 SITEPROP SLICE_X54Y142 MANUAL_ROUTING SITEPROP SLICE_X54Y142 NAME SLICE_X54Y142 SITEPROP SLICE_X54Y142 NUM_ARCS 153 SITEPROP SLICE_X54Y142 NUM_BELS 32 SITEPROP SLICE_X54Y142 NUM_INPUTS 37 SITEPROP SLICE_X54Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y142 NUM_PINS 50 SITEPROP SLICE_X54Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y142 PROHIBIT 0 SITEPROP SLICE_X54Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y142 RPM_X 145 SITEPROP SLICE_X54Y142 RPM_Y 284 SITEPROP SLICE_X54Y142 SITE_PIPS SITEPROP SLICE_X54Y142 SITE_TYPE SLICEM SITEPROP SLICE_X54Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y143 CLASS site SITEPROP SLICE_X54Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y143 IS_BONDED 0 SITEPROP SLICE_X54Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y143 IS_PAD 0 SITEPROP SLICE_X54Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y143 IS_RESERVED 0 SITEPROP SLICE_X54Y143 IS_TEST 0 SITEPROP SLICE_X54Y143 IS_USED 0 SITEPROP SLICE_X54Y143 MANUAL_ROUTING SITEPROP SLICE_X54Y143 NAME SLICE_X54Y143 SITEPROP SLICE_X54Y143 NUM_ARCS 153 SITEPROP SLICE_X54Y143 NUM_BELS 32 SITEPROP SLICE_X54Y143 NUM_INPUTS 37 SITEPROP SLICE_X54Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y143 NUM_PINS 50 SITEPROP SLICE_X54Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y143 PROHIBIT 0 SITEPROP SLICE_X54Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y143 RPM_X 145 SITEPROP SLICE_X54Y143 RPM_Y 286 SITEPROP SLICE_X54Y143 SITE_PIPS SITEPROP SLICE_X54Y143 SITE_TYPE SLICEM SITEPROP SLICE_X54Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y144 CLASS site SITEPROP SLICE_X54Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y144 IS_BONDED 0 SITEPROP SLICE_X54Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y144 IS_PAD 0 SITEPROP SLICE_X54Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y144 IS_RESERVED 0 SITEPROP SLICE_X54Y144 IS_TEST 0 SITEPROP SLICE_X54Y144 IS_USED 0 SITEPROP SLICE_X54Y144 MANUAL_ROUTING SITEPROP SLICE_X54Y144 NAME SLICE_X54Y144 SITEPROP SLICE_X54Y144 NUM_ARCS 153 SITEPROP SLICE_X54Y144 NUM_BELS 32 SITEPROP SLICE_X54Y144 NUM_INPUTS 37 SITEPROP SLICE_X54Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y144 NUM_PINS 50 SITEPROP SLICE_X54Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y144 PROHIBIT 0 SITEPROP SLICE_X54Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y144 RPM_X 145 SITEPROP SLICE_X54Y144 RPM_Y 288 SITEPROP SLICE_X54Y144 SITE_PIPS SITEPROP SLICE_X54Y144 SITE_TYPE SLICEM SITEPROP SLICE_X54Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y145 CLASS site SITEPROP SLICE_X54Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y145 IS_BONDED 0 SITEPROP SLICE_X54Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y145 IS_PAD 0 SITEPROP SLICE_X54Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y145 IS_RESERVED 0 SITEPROP SLICE_X54Y145 IS_TEST 0 SITEPROP SLICE_X54Y145 IS_USED 0 SITEPROP SLICE_X54Y145 MANUAL_ROUTING SITEPROP SLICE_X54Y145 NAME SLICE_X54Y145 SITEPROP SLICE_X54Y145 NUM_ARCS 153 SITEPROP SLICE_X54Y145 NUM_BELS 32 SITEPROP SLICE_X54Y145 NUM_INPUTS 37 SITEPROP SLICE_X54Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y145 NUM_PINS 50 SITEPROP SLICE_X54Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y145 PROHIBIT 0 SITEPROP SLICE_X54Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y145 RPM_X 145 SITEPROP SLICE_X54Y145 RPM_Y 290 SITEPROP SLICE_X54Y145 SITE_PIPS SITEPROP SLICE_X54Y145 SITE_TYPE SLICEM SITEPROP SLICE_X54Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y146 CLASS site SITEPROP SLICE_X54Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y146 IS_BONDED 0 SITEPROP SLICE_X54Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y146 IS_PAD 0 SITEPROP SLICE_X54Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y146 IS_RESERVED 0 SITEPROP SLICE_X54Y146 IS_TEST 0 SITEPROP SLICE_X54Y146 IS_USED 0 SITEPROP SLICE_X54Y146 MANUAL_ROUTING SITEPROP SLICE_X54Y146 NAME SLICE_X54Y146 SITEPROP SLICE_X54Y146 NUM_ARCS 153 SITEPROP SLICE_X54Y146 NUM_BELS 32 SITEPROP SLICE_X54Y146 NUM_INPUTS 37 SITEPROP SLICE_X54Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y146 NUM_PINS 50 SITEPROP SLICE_X54Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y146 PROHIBIT 0 SITEPROP SLICE_X54Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y146 RPM_X 145 SITEPROP SLICE_X54Y146 RPM_Y 292 SITEPROP SLICE_X54Y146 SITE_PIPS SITEPROP SLICE_X54Y146 SITE_TYPE SLICEM SITEPROP SLICE_X54Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y147 CLASS site SITEPROP SLICE_X54Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y147 IS_BONDED 0 SITEPROP SLICE_X54Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y147 IS_PAD 0 SITEPROP SLICE_X54Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y147 IS_RESERVED 0 SITEPROP SLICE_X54Y147 IS_TEST 0 SITEPROP SLICE_X54Y147 IS_USED 0 SITEPROP SLICE_X54Y147 MANUAL_ROUTING SITEPROP SLICE_X54Y147 NAME SLICE_X54Y147 SITEPROP SLICE_X54Y147 NUM_ARCS 153 SITEPROP SLICE_X54Y147 NUM_BELS 32 SITEPROP SLICE_X54Y147 NUM_INPUTS 37 SITEPROP SLICE_X54Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y147 NUM_PINS 50 SITEPROP SLICE_X54Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y147 PROHIBIT 0 SITEPROP SLICE_X54Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y147 RPM_X 145 SITEPROP SLICE_X54Y147 RPM_Y 294 SITEPROP SLICE_X54Y147 SITE_PIPS SITEPROP SLICE_X54Y147 SITE_TYPE SLICEM SITEPROP SLICE_X54Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y148 CLASS site SITEPROP SLICE_X54Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y148 IS_BONDED 0 SITEPROP SLICE_X54Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y148 IS_PAD 0 SITEPROP SLICE_X54Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y148 IS_RESERVED 0 SITEPROP SLICE_X54Y148 IS_TEST 0 SITEPROP SLICE_X54Y148 IS_USED 0 SITEPROP SLICE_X54Y148 MANUAL_ROUTING SITEPROP SLICE_X54Y148 NAME SLICE_X54Y148 SITEPROP SLICE_X54Y148 NUM_ARCS 153 SITEPROP SLICE_X54Y148 NUM_BELS 32 SITEPROP SLICE_X54Y148 NUM_INPUTS 37 SITEPROP SLICE_X54Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y148 NUM_PINS 50 SITEPROP SLICE_X54Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y148 PROHIBIT 0 SITEPROP SLICE_X54Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y148 RPM_X 145 SITEPROP SLICE_X54Y148 RPM_Y 296 SITEPROP SLICE_X54Y148 SITE_PIPS SITEPROP SLICE_X54Y148 SITE_TYPE SLICEM SITEPROP SLICE_X54Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X54Y149 CLASS site SITEPROP SLICE_X54Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X54Y149 IS_BONDED 0 SITEPROP SLICE_X54Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X54Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y149 IS_PAD 0 SITEPROP SLICE_X54Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X54Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X54Y149 IS_RESERVED 0 SITEPROP SLICE_X54Y149 IS_TEST 0 SITEPROP SLICE_X54Y149 IS_USED 0 SITEPROP SLICE_X54Y149 MANUAL_ROUTING SITEPROP SLICE_X54Y149 NAME SLICE_X54Y149 SITEPROP SLICE_X54Y149 NUM_ARCS 153 SITEPROP SLICE_X54Y149 NUM_BELS 32 SITEPROP SLICE_X54Y149 NUM_INPUTS 37 SITEPROP SLICE_X54Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X54Y149 NUM_PINS 50 SITEPROP SLICE_X54Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X54Y149 PROHIBIT 0 SITEPROP SLICE_X54Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X54Y149 RPM_X 145 SITEPROP SLICE_X54Y149 RPM_Y 298 SITEPROP SLICE_X54Y149 SITE_PIPS SITEPROP SLICE_X54Y149 SITE_TYPE SLICEM SITEPROP SLICE_X55Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y0 CLASS site SITEPROP SLICE_X55Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y0 IS_BONDED 0 SITEPROP SLICE_X55Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y0 IS_PAD 0 SITEPROP SLICE_X55Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y0 IS_RESERVED 0 SITEPROP SLICE_X55Y0 IS_TEST 0 SITEPROP SLICE_X55Y0 IS_USED 0 SITEPROP SLICE_X55Y0 MANUAL_ROUTING SITEPROP SLICE_X55Y0 NAME SLICE_X55Y0 SITEPROP SLICE_X55Y0 NUM_ARCS 138 SITEPROP SLICE_X55Y0 NUM_BELS 32 SITEPROP SLICE_X55Y0 NUM_INPUTS 32 SITEPROP SLICE_X55Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y0 NUM_PINS 45 SITEPROP SLICE_X55Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y0 PROHIBIT 0 SITEPROP SLICE_X55Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y0 RPM_X 147 SITEPROP SLICE_X55Y0 RPM_Y 0 SITEPROP SLICE_X55Y0 SITE_PIPS SITEPROP SLICE_X55Y0 SITE_TYPE SLICEL SITEPROP SLICE_X55Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y1 CLASS site SITEPROP SLICE_X55Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y1 IS_BONDED 0 SITEPROP SLICE_X55Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y1 IS_PAD 0 SITEPROP SLICE_X55Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y1 IS_RESERVED 0 SITEPROP SLICE_X55Y1 IS_TEST 0 SITEPROP SLICE_X55Y1 IS_USED 0 SITEPROP SLICE_X55Y1 MANUAL_ROUTING SITEPROP SLICE_X55Y1 NAME SLICE_X55Y1 SITEPROP SLICE_X55Y1 NUM_ARCS 138 SITEPROP SLICE_X55Y1 NUM_BELS 32 SITEPROP SLICE_X55Y1 NUM_INPUTS 32 SITEPROP SLICE_X55Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y1 NUM_PINS 45 SITEPROP SLICE_X55Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y1 PROHIBIT 0 SITEPROP SLICE_X55Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y1 RPM_X 147 SITEPROP SLICE_X55Y1 RPM_Y 2 SITEPROP SLICE_X55Y1 SITE_PIPS SITEPROP SLICE_X55Y1 SITE_TYPE SLICEL SITEPROP SLICE_X55Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y2 CLASS site SITEPROP SLICE_X55Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y2 IS_BONDED 0 SITEPROP SLICE_X55Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y2 IS_PAD 0 SITEPROP SLICE_X55Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y2 IS_RESERVED 0 SITEPROP SLICE_X55Y2 IS_TEST 0 SITEPROP SLICE_X55Y2 IS_USED 0 SITEPROP SLICE_X55Y2 MANUAL_ROUTING SITEPROP SLICE_X55Y2 NAME SLICE_X55Y2 SITEPROP SLICE_X55Y2 NUM_ARCS 138 SITEPROP SLICE_X55Y2 NUM_BELS 32 SITEPROP SLICE_X55Y2 NUM_INPUTS 32 SITEPROP SLICE_X55Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y2 NUM_PINS 45 SITEPROP SLICE_X55Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y2 PROHIBIT 0 SITEPROP SLICE_X55Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y2 RPM_X 147 SITEPROP SLICE_X55Y2 RPM_Y 4 SITEPROP SLICE_X55Y2 SITE_PIPS SITEPROP SLICE_X55Y2 SITE_TYPE SLICEL SITEPROP SLICE_X55Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y3 CLASS site SITEPROP SLICE_X55Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y3 IS_BONDED 0 SITEPROP SLICE_X55Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y3 IS_PAD 0 SITEPROP SLICE_X55Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y3 IS_RESERVED 0 SITEPROP SLICE_X55Y3 IS_TEST 0 SITEPROP SLICE_X55Y3 IS_USED 0 SITEPROP SLICE_X55Y3 MANUAL_ROUTING SITEPROP SLICE_X55Y3 NAME SLICE_X55Y3 SITEPROP SLICE_X55Y3 NUM_ARCS 138 SITEPROP SLICE_X55Y3 NUM_BELS 32 SITEPROP SLICE_X55Y3 NUM_INPUTS 32 SITEPROP SLICE_X55Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y3 NUM_PINS 45 SITEPROP SLICE_X55Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y3 PROHIBIT 0 SITEPROP SLICE_X55Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y3 RPM_X 147 SITEPROP SLICE_X55Y3 RPM_Y 6 SITEPROP SLICE_X55Y3 SITE_PIPS SITEPROP SLICE_X55Y3 SITE_TYPE SLICEL SITEPROP SLICE_X55Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y4 CLASS site SITEPROP SLICE_X55Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y4 IS_BONDED 0 SITEPROP SLICE_X55Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y4 IS_PAD 0 SITEPROP SLICE_X55Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y4 IS_RESERVED 0 SITEPROP SLICE_X55Y4 IS_TEST 0 SITEPROP SLICE_X55Y4 IS_USED 0 SITEPROP SLICE_X55Y4 MANUAL_ROUTING SITEPROP SLICE_X55Y4 NAME SLICE_X55Y4 SITEPROP SLICE_X55Y4 NUM_ARCS 138 SITEPROP SLICE_X55Y4 NUM_BELS 32 SITEPROP SLICE_X55Y4 NUM_INPUTS 32 SITEPROP SLICE_X55Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y4 NUM_PINS 45 SITEPROP SLICE_X55Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y4 PROHIBIT 0 SITEPROP SLICE_X55Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y4 RPM_X 147 SITEPROP SLICE_X55Y4 RPM_Y 8 SITEPROP SLICE_X55Y4 SITE_PIPS SITEPROP SLICE_X55Y4 SITE_TYPE SLICEL SITEPROP SLICE_X55Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y5 CLASS site SITEPROP SLICE_X55Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y5 IS_BONDED 0 SITEPROP SLICE_X55Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y5 IS_PAD 0 SITEPROP SLICE_X55Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y5 IS_RESERVED 0 SITEPROP SLICE_X55Y5 IS_TEST 0 SITEPROP SLICE_X55Y5 IS_USED 0 SITEPROP SLICE_X55Y5 MANUAL_ROUTING SITEPROP SLICE_X55Y5 NAME SLICE_X55Y5 SITEPROP SLICE_X55Y5 NUM_ARCS 138 SITEPROP SLICE_X55Y5 NUM_BELS 32 SITEPROP SLICE_X55Y5 NUM_INPUTS 32 SITEPROP SLICE_X55Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y5 NUM_PINS 45 SITEPROP SLICE_X55Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y5 PROHIBIT 0 SITEPROP SLICE_X55Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y5 RPM_X 147 SITEPROP SLICE_X55Y5 RPM_Y 10 SITEPROP SLICE_X55Y5 SITE_PIPS SITEPROP SLICE_X55Y5 SITE_TYPE SLICEL SITEPROP SLICE_X55Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y6 CLASS site SITEPROP SLICE_X55Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y6 IS_BONDED 0 SITEPROP SLICE_X55Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y6 IS_PAD 0 SITEPROP SLICE_X55Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y6 IS_RESERVED 0 SITEPROP SLICE_X55Y6 IS_TEST 0 SITEPROP SLICE_X55Y6 IS_USED 0 SITEPROP SLICE_X55Y6 MANUAL_ROUTING SITEPROP SLICE_X55Y6 NAME SLICE_X55Y6 SITEPROP SLICE_X55Y6 NUM_ARCS 138 SITEPROP SLICE_X55Y6 NUM_BELS 32 SITEPROP SLICE_X55Y6 NUM_INPUTS 32 SITEPROP SLICE_X55Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y6 NUM_PINS 45 SITEPROP SLICE_X55Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y6 PROHIBIT 0 SITEPROP SLICE_X55Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y6 RPM_X 147 SITEPROP SLICE_X55Y6 RPM_Y 12 SITEPROP SLICE_X55Y6 SITE_PIPS SITEPROP SLICE_X55Y6 SITE_TYPE SLICEL SITEPROP SLICE_X55Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y7 CLASS site SITEPROP SLICE_X55Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y7 IS_BONDED 0 SITEPROP SLICE_X55Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y7 IS_PAD 0 SITEPROP SLICE_X55Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y7 IS_RESERVED 0 SITEPROP SLICE_X55Y7 IS_TEST 0 SITEPROP SLICE_X55Y7 IS_USED 0 SITEPROP SLICE_X55Y7 MANUAL_ROUTING SITEPROP SLICE_X55Y7 NAME SLICE_X55Y7 SITEPROP SLICE_X55Y7 NUM_ARCS 138 SITEPROP SLICE_X55Y7 NUM_BELS 32 SITEPROP SLICE_X55Y7 NUM_INPUTS 32 SITEPROP SLICE_X55Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y7 NUM_PINS 45 SITEPROP SLICE_X55Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y7 PROHIBIT 0 SITEPROP SLICE_X55Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y7 RPM_X 147 SITEPROP SLICE_X55Y7 RPM_Y 14 SITEPROP SLICE_X55Y7 SITE_PIPS SITEPROP SLICE_X55Y7 SITE_TYPE SLICEL SITEPROP SLICE_X55Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y8 CLASS site SITEPROP SLICE_X55Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y8 IS_BONDED 0 SITEPROP SLICE_X55Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y8 IS_PAD 0 SITEPROP SLICE_X55Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y8 IS_RESERVED 0 SITEPROP SLICE_X55Y8 IS_TEST 0 SITEPROP SLICE_X55Y8 IS_USED 0 SITEPROP SLICE_X55Y8 MANUAL_ROUTING SITEPROP SLICE_X55Y8 NAME SLICE_X55Y8 SITEPROP SLICE_X55Y8 NUM_ARCS 138 SITEPROP SLICE_X55Y8 NUM_BELS 32 SITEPROP SLICE_X55Y8 NUM_INPUTS 32 SITEPROP SLICE_X55Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y8 NUM_PINS 45 SITEPROP SLICE_X55Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y8 PROHIBIT 0 SITEPROP SLICE_X55Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y8 RPM_X 147 SITEPROP SLICE_X55Y8 RPM_Y 16 SITEPROP SLICE_X55Y8 SITE_PIPS SITEPROP SLICE_X55Y8 SITE_TYPE SLICEL SITEPROP SLICE_X55Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y9 CLASS site SITEPROP SLICE_X55Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y9 IS_BONDED 0 SITEPROP SLICE_X55Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y9 IS_PAD 0 SITEPROP SLICE_X55Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y9 IS_RESERVED 0 SITEPROP SLICE_X55Y9 IS_TEST 0 SITEPROP SLICE_X55Y9 IS_USED 0 SITEPROP SLICE_X55Y9 MANUAL_ROUTING SITEPROP SLICE_X55Y9 NAME SLICE_X55Y9 SITEPROP SLICE_X55Y9 NUM_ARCS 138 SITEPROP SLICE_X55Y9 NUM_BELS 32 SITEPROP SLICE_X55Y9 NUM_INPUTS 32 SITEPROP SLICE_X55Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y9 NUM_PINS 45 SITEPROP SLICE_X55Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y9 PROHIBIT 0 SITEPROP SLICE_X55Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y9 RPM_X 147 SITEPROP SLICE_X55Y9 RPM_Y 18 SITEPROP SLICE_X55Y9 SITE_PIPS SITEPROP SLICE_X55Y9 SITE_TYPE SLICEL SITEPROP SLICE_X55Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y10 CLASS site SITEPROP SLICE_X55Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y10 IS_BONDED 0 SITEPROP SLICE_X55Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y10 IS_PAD 0 SITEPROP SLICE_X55Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y10 IS_RESERVED 0 SITEPROP SLICE_X55Y10 IS_TEST 0 SITEPROP SLICE_X55Y10 IS_USED 0 SITEPROP SLICE_X55Y10 MANUAL_ROUTING SITEPROP SLICE_X55Y10 NAME SLICE_X55Y10 SITEPROP SLICE_X55Y10 NUM_ARCS 138 SITEPROP SLICE_X55Y10 NUM_BELS 32 SITEPROP SLICE_X55Y10 NUM_INPUTS 32 SITEPROP SLICE_X55Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y10 NUM_PINS 45 SITEPROP SLICE_X55Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y10 PROHIBIT 0 SITEPROP SLICE_X55Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y10 RPM_X 147 SITEPROP SLICE_X55Y10 RPM_Y 20 SITEPROP SLICE_X55Y10 SITE_PIPS SITEPROP SLICE_X55Y10 SITE_TYPE SLICEL SITEPROP SLICE_X55Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y11 CLASS site SITEPROP SLICE_X55Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y11 IS_BONDED 0 SITEPROP SLICE_X55Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y11 IS_PAD 0 SITEPROP SLICE_X55Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y11 IS_RESERVED 0 SITEPROP SLICE_X55Y11 IS_TEST 0 SITEPROP SLICE_X55Y11 IS_USED 0 SITEPROP SLICE_X55Y11 MANUAL_ROUTING SITEPROP SLICE_X55Y11 NAME SLICE_X55Y11 SITEPROP SLICE_X55Y11 NUM_ARCS 138 SITEPROP SLICE_X55Y11 NUM_BELS 32 SITEPROP SLICE_X55Y11 NUM_INPUTS 32 SITEPROP SLICE_X55Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y11 NUM_PINS 45 SITEPROP SLICE_X55Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y11 PROHIBIT 0 SITEPROP SLICE_X55Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y11 RPM_X 147 SITEPROP SLICE_X55Y11 RPM_Y 22 SITEPROP SLICE_X55Y11 SITE_PIPS SITEPROP SLICE_X55Y11 SITE_TYPE SLICEL SITEPROP SLICE_X55Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y12 CLASS site SITEPROP SLICE_X55Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y12 IS_BONDED 0 SITEPROP SLICE_X55Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y12 IS_PAD 0 SITEPROP SLICE_X55Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y12 IS_RESERVED 0 SITEPROP SLICE_X55Y12 IS_TEST 0 SITEPROP SLICE_X55Y12 IS_USED 0 SITEPROP SLICE_X55Y12 MANUAL_ROUTING SITEPROP SLICE_X55Y12 NAME SLICE_X55Y12 SITEPROP SLICE_X55Y12 NUM_ARCS 138 SITEPROP SLICE_X55Y12 NUM_BELS 32 SITEPROP SLICE_X55Y12 NUM_INPUTS 32 SITEPROP SLICE_X55Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y12 NUM_PINS 45 SITEPROP SLICE_X55Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y12 PROHIBIT 0 SITEPROP SLICE_X55Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y12 RPM_X 147 SITEPROP SLICE_X55Y12 RPM_Y 24 SITEPROP SLICE_X55Y12 SITE_PIPS SITEPROP SLICE_X55Y12 SITE_TYPE SLICEL SITEPROP SLICE_X55Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y13 CLASS site SITEPROP SLICE_X55Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y13 IS_BONDED 0 SITEPROP SLICE_X55Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y13 IS_PAD 0 SITEPROP SLICE_X55Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y13 IS_RESERVED 0 SITEPROP SLICE_X55Y13 IS_TEST 0 SITEPROP SLICE_X55Y13 IS_USED 0 SITEPROP SLICE_X55Y13 MANUAL_ROUTING SITEPROP SLICE_X55Y13 NAME SLICE_X55Y13 SITEPROP SLICE_X55Y13 NUM_ARCS 138 SITEPROP SLICE_X55Y13 NUM_BELS 32 SITEPROP SLICE_X55Y13 NUM_INPUTS 32 SITEPROP SLICE_X55Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y13 NUM_PINS 45 SITEPROP SLICE_X55Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y13 PROHIBIT 0 SITEPROP SLICE_X55Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y13 RPM_X 147 SITEPROP SLICE_X55Y13 RPM_Y 26 SITEPROP SLICE_X55Y13 SITE_PIPS SITEPROP SLICE_X55Y13 SITE_TYPE SLICEL SITEPROP SLICE_X55Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y14 CLASS site SITEPROP SLICE_X55Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y14 IS_BONDED 0 SITEPROP SLICE_X55Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y14 IS_PAD 0 SITEPROP SLICE_X55Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y14 IS_RESERVED 0 SITEPROP SLICE_X55Y14 IS_TEST 0 SITEPROP SLICE_X55Y14 IS_USED 0 SITEPROP SLICE_X55Y14 MANUAL_ROUTING SITEPROP SLICE_X55Y14 NAME SLICE_X55Y14 SITEPROP SLICE_X55Y14 NUM_ARCS 138 SITEPROP SLICE_X55Y14 NUM_BELS 32 SITEPROP SLICE_X55Y14 NUM_INPUTS 32 SITEPROP SLICE_X55Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y14 NUM_PINS 45 SITEPROP SLICE_X55Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y14 PROHIBIT 0 SITEPROP SLICE_X55Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y14 RPM_X 147 SITEPROP SLICE_X55Y14 RPM_Y 28 SITEPROP SLICE_X55Y14 SITE_PIPS SITEPROP SLICE_X55Y14 SITE_TYPE SLICEL SITEPROP SLICE_X55Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y15 CLASS site SITEPROP SLICE_X55Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y15 IS_BONDED 0 SITEPROP SLICE_X55Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y15 IS_PAD 0 SITEPROP SLICE_X55Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y15 IS_RESERVED 0 SITEPROP SLICE_X55Y15 IS_TEST 0 SITEPROP SLICE_X55Y15 IS_USED 0 SITEPROP SLICE_X55Y15 MANUAL_ROUTING SITEPROP SLICE_X55Y15 NAME SLICE_X55Y15 SITEPROP SLICE_X55Y15 NUM_ARCS 138 SITEPROP SLICE_X55Y15 NUM_BELS 32 SITEPROP SLICE_X55Y15 NUM_INPUTS 32 SITEPROP SLICE_X55Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y15 NUM_PINS 45 SITEPROP SLICE_X55Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y15 PROHIBIT 0 SITEPROP SLICE_X55Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y15 RPM_X 147 SITEPROP SLICE_X55Y15 RPM_Y 30 SITEPROP SLICE_X55Y15 SITE_PIPS SITEPROP SLICE_X55Y15 SITE_TYPE SLICEL SITEPROP SLICE_X55Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y16 CLASS site SITEPROP SLICE_X55Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y16 IS_BONDED 0 SITEPROP SLICE_X55Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y16 IS_PAD 0 SITEPROP SLICE_X55Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y16 IS_RESERVED 0 SITEPROP SLICE_X55Y16 IS_TEST 0 SITEPROP SLICE_X55Y16 IS_USED 0 SITEPROP SLICE_X55Y16 MANUAL_ROUTING SITEPROP SLICE_X55Y16 NAME SLICE_X55Y16 SITEPROP SLICE_X55Y16 NUM_ARCS 138 SITEPROP SLICE_X55Y16 NUM_BELS 32 SITEPROP SLICE_X55Y16 NUM_INPUTS 32 SITEPROP SLICE_X55Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y16 NUM_PINS 45 SITEPROP SLICE_X55Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y16 PROHIBIT 0 SITEPROP SLICE_X55Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y16 RPM_X 147 SITEPROP SLICE_X55Y16 RPM_Y 32 SITEPROP SLICE_X55Y16 SITE_PIPS SITEPROP SLICE_X55Y16 SITE_TYPE SLICEL SITEPROP SLICE_X55Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y17 CLASS site SITEPROP SLICE_X55Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y17 IS_BONDED 0 SITEPROP SLICE_X55Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y17 IS_PAD 0 SITEPROP SLICE_X55Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y17 IS_RESERVED 0 SITEPROP SLICE_X55Y17 IS_TEST 0 SITEPROP SLICE_X55Y17 IS_USED 0 SITEPROP SLICE_X55Y17 MANUAL_ROUTING SITEPROP SLICE_X55Y17 NAME SLICE_X55Y17 SITEPROP SLICE_X55Y17 NUM_ARCS 138 SITEPROP SLICE_X55Y17 NUM_BELS 32 SITEPROP SLICE_X55Y17 NUM_INPUTS 32 SITEPROP SLICE_X55Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y17 NUM_PINS 45 SITEPROP SLICE_X55Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y17 PROHIBIT 0 SITEPROP SLICE_X55Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y17 RPM_X 147 SITEPROP SLICE_X55Y17 RPM_Y 34 SITEPROP SLICE_X55Y17 SITE_PIPS SITEPROP SLICE_X55Y17 SITE_TYPE SLICEL SITEPROP SLICE_X55Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y18 CLASS site SITEPROP SLICE_X55Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y18 IS_BONDED 0 SITEPROP SLICE_X55Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y18 IS_PAD 0 SITEPROP SLICE_X55Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y18 IS_RESERVED 0 SITEPROP SLICE_X55Y18 IS_TEST 0 SITEPROP SLICE_X55Y18 IS_USED 0 SITEPROP SLICE_X55Y18 MANUAL_ROUTING SITEPROP SLICE_X55Y18 NAME SLICE_X55Y18 SITEPROP SLICE_X55Y18 NUM_ARCS 138 SITEPROP SLICE_X55Y18 NUM_BELS 32 SITEPROP SLICE_X55Y18 NUM_INPUTS 32 SITEPROP SLICE_X55Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y18 NUM_PINS 45 SITEPROP SLICE_X55Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y18 PROHIBIT 0 SITEPROP SLICE_X55Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y18 RPM_X 147 SITEPROP SLICE_X55Y18 RPM_Y 36 SITEPROP SLICE_X55Y18 SITE_PIPS SITEPROP SLICE_X55Y18 SITE_TYPE SLICEL SITEPROP SLICE_X55Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y19 CLASS site SITEPROP SLICE_X55Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y19 IS_BONDED 0 SITEPROP SLICE_X55Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y19 IS_PAD 0 SITEPROP SLICE_X55Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y19 IS_RESERVED 0 SITEPROP SLICE_X55Y19 IS_TEST 0 SITEPROP SLICE_X55Y19 IS_USED 0 SITEPROP SLICE_X55Y19 MANUAL_ROUTING SITEPROP SLICE_X55Y19 NAME SLICE_X55Y19 SITEPROP SLICE_X55Y19 NUM_ARCS 138 SITEPROP SLICE_X55Y19 NUM_BELS 32 SITEPROP SLICE_X55Y19 NUM_INPUTS 32 SITEPROP SLICE_X55Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y19 NUM_PINS 45 SITEPROP SLICE_X55Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y19 PROHIBIT 0 SITEPROP SLICE_X55Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y19 RPM_X 147 SITEPROP SLICE_X55Y19 RPM_Y 38 SITEPROP SLICE_X55Y19 SITE_PIPS SITEPROP SLICE_X55Y19 SITE_TYPE SLICEL SITEPROP SLICE_X55Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y20 CLASS site SITEPROP SLICE_X55Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y20 IS_BONDED 0 SITEPROP SLICE_X55Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y20 IS_PAD 0 SITEPROP SLICE_X55Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y20 IS_RESERVED 0 SITEPROP SLICE_X55Y20 IS_TEST 0 SITEPROP SLICE_X55Y20 IS_USED 0 SITEPROP SLICE_X55Y20 MANUAL_ROUTING SITEPROP SLICE_X55Y20 NAME SLICE_X55Y20 SITEPROP SLICE_X55Y20 NUM_ARCS 138 SITEPROP SLICE_X55Y20 NUM_BELS 32 SITEPROP SLICE_X55Y20 NUM_INPUTS 32 SITEPROP SLICE_X55Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y20 NUM_PINS 45 SITEPROP SLICE_X55Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y20 PROHIBIT 0 SITEPROP SLICE_X55Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y20 RPM_X 147 SITEPROP SLICE_X55Y20 RPM_Y 40 SITEPROP SLICE_X55Y20 SITE_PIPS SITEPROP SLICE_X55Y20 SITE_TYPE SLICEL SITEPROP SLICE_X55Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y21 CLASS site SITEPROP SLICE_X55Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y21 IS_BONDED 0 SITEPROP SLICE_X55Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y21 IS_PAD 0 SITEPROP SLICE_X55Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y21 IS_RESERVED 0 SITEPROP SLICE_X55Y21 IS_TEST 0 SITEPROP SLICE_X55Y21 IS_USED 0 SITEPROP SLICE_X55Y21 MANUAL_ROUTING SITEPROP SLICE_X55Y21 NAME SLICE_X55Y21 SITEPROP SLICE_X55Y21 NUM_ARCS 138 SITEPROP SLICE_X55Y21 NUM_BELS 32 SITEPROP SLICE_X55Y21 NUM_INPUTS 32 SITEPROP SLICE_X55Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y21 NUM_PINS 45 SITEPROP SLICE_X55Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y21 PROHIBIT 0 SITEPROP SLICE_X55Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y21 RPM_X 147 SITEPROP SLICE_X55Y21 RPM_Y 42 SITEPROP SLICE_X55Y21 SITE_PIPS SITEPROP SLICE_X55Y21 SITE_TYPE SLICEL SITEPROP SLICE_X55Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y22 CLASS site SITEPROP SLICE_X55Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y22 IS_BONDED 0 SITEPROP SLICE_X55Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y22 IS_PAD 0 SITEPROP SLICE_X55Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y22 IS_RESERVED 0 SITEPROP SLICE_X55Y22 IS_TEST 0 SITEPROP SLICE_X55Y22 IS_USED 0 SITEPROP SLICE_X55Y22 MANUAL_ROUTING SITEPROP SLICE_X55Y22 NAME SLICE_X55Y22 SITEPROP SLICE_X55Y22 NUM_ARCS 138 SITEPROP SLICE_X55Y22 NUM_BELS 32 SITEPROP SLICE_X55Y22 NUM_INPUTS 32 SITEPROP SLICE_X55Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y22 NUM_PINS 45 SITEPROP SLICE_X55Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y22 PROHIBIT 0 SITEPROP SLICE_X55Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y22 RPM_X 147 SITEPROP SLICE_X55Y22 RPM_Y 44 SITEPROP SLICE_X55Y22 SITE_PIPS SITEPROP SLICE_X55Y22 SITE_TYPE SLICEL SITEPROP SLICE_X55Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y23 CLASS site SITEPROP SLICE_X55Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y23 IS_BONDED 0 SITEPROP SLICE_X55Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y23 IS_PAD 0 SITEPROP SLICE_X55Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y23 IS_RESERVED 0 SITEPROP SLICE_X55Y23 IS_TEST 0 SITEPROP SLICE_X55Y23 IS_USED 0 SITEPROP SLICE_X55Y23 MANUAL_ROUTING SITEPROP SLICE_X55Y23 NAME SLICE_X55Y23 SITEPROP SLICE_X55Y23 NUM_ARCS 138 SITEPROP SLICE_X55Y23 NUM_BELS 32 SITEPROP SLICE_X55Y23 NUM_INPUTS 32 SITEPROP SLICE_X55Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y23 NUM_PINS 45 SITEPROP SLICE_X55Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y23 PROHIBIT 0 SITEPROP SLICE_X55Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y23 RPM_X 147 SITEPROP SLICE_X55Y23 RPM_Y 46 SITEPROP SLICE_X55Y23 SITE_PIPS SITEPROP SLICE_X55Y23 SITE_TYPE SLICEL SITEPROP SLICE_X55Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y24 CLASS site SITEPROP SLICE_X55Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y24 IS_BONDED 0 SITEPROP SLICE_X55Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y24 IS_PAD 0 SITEPROP SLICE_X55Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y24 IS_RESERVED 0 SITEPROP SLICE_X55Y24 IS_TEST 0 SITEPROP SLICE_X55Y24 IS_USED 0 SITEPROP SLICE_X55Y24 MANUAL_ROUTING SITEPROP SLICE_X55Y24 NAME SLICE_X55Y24 SITEPROP SLICE_X55Y24 NUM_ARCS 138 SITEPROP SLICE_X55Y24 NUM_BELS 32 SITEPROP SLICE_X55Y24 NUM_INPUTS 32 SITEPROP SLICE_X55Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y24 NUM_PINS 45 SITEPROP SLICE_X55Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y24 PROHIBIT 0 SITEPROP SLICE_X55Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y24 RPM_X 147 SITEPROP SLICE_X55Y24 RPM_Y 48 SITEPROP SLICE_X55Y24 SITE_PIPS SITEPROP SLICE_X55Y24 SITE_TYPE SLICEL SITEPROP SLICE_X55Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y25 CLASS site SITEPROP SLICE_X55Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y25 IS_BONDED 0 SITEPROP SLICE_X55Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y25 IS_PAD 0 SITEPROP SLICE_X55Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y25 IS_RESERVED 0 SITEPROP SLICE_X55Y25 IS_TEST 0 SITEPROP SLICE_X55Y25 IS_USED 0 SITEPROP SLICE_X55Y25 MANUAL_ROUTING SITEPROP SLICE_X55Y25 NAME SLICE_X55Y25 SITEPROP SLICE_X55Y25 NUM_ARCS 138 SITEPROP SLICE_X55Y25 NUM_BELS 32 SITEPROP SLICE_X55Y25 NUM_INPUTS 32 SITEPROP SLICE_X55Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y25 NUM_PINS 45 SITEPROP SLICE_X55Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y25 PROHIBIT 0 SITEPROP SLICE_X55Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y25 RPM_X 147 SITEPROP SLICE_X55Y25 RPM_Y 50 SITEPROP SLICE_X55Y25 SITE_PIPS SITEPROP SLICE_X55Y25 SITE_TYPE SLICEL SITEPROP SLICE_X55Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y26 CLASS site SITEPROP SLICE_X55Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y26 IS_BONDED 0 SITEPROP SLICE_X55Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y26 IS_PAD 0 SITEPROP SLICE_X55Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y26 IS_RESERVED 0 SITEPROP SLICE_X55Y26 IS_TEST 0 SITEPROP SLICE_X55Y26 IS_USED 0 SITEPROP SLICE_X55Y26 MANUAL_ROUTING SITEPROP SLICE_X55Y26 NAME SLICE_X55Y26 SITEPROP SLICE_X55Y26 NUM_ARCS 138 SITEPROP SLICE_X55Y26 NUM_BELS 32 SITEPROP SLICE_X55Y26 NUM_INPUTS 32 SITEPROP SLICE_X55Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y26 NUM_PINS 45 SITEPROP SLICE_X55Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y26 PROHIBIT 0 SITEPROP SLICE_X55Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y26 RPM_X 147 SITEPROP SLICE_X55Y26 RPM_Y 52 SITEPROP SLICE_X55Y26 SITE_PIPS SITEPROP SLICE_X55Y26 SITE_TYPE SLICEL SITEPROP SLICE_X55Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y27 CLASS site SITEPROP SLICE_X55Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y27 IS_BONDED 0 SITEPROP SLICE_X55Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y27 IS_PAD 0 SITEPROP SLICE_X55Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y27 IS_RESERVED 0 SITEPROP SLICE_X55Y27 IS_TEST 0 SITEPROP SLICE_X55Y27 IS_USED 0 SITEPROP SLICE_X55Y27 MANUAL_ROUTING SITEPROP SLICE_X55Y27 NAME SLICE_X55Y27 SITEPROP SLICE_X55Y27 NUM_ARCS 138 SITEPROP SLICE_X55Y27 NUM_BELS 32 SITEPROP SLICE_X55Y27 NUM_INPUTS 32 SITEPROP SLICE_X55Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y27 NUM_PINS 45 SITEPROP SLICE_X55Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y27 PROHIBIT 0 SITEPROP SLICE_X55Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y27 RPM_X 147 SITEPROP SLICE_X55Y27 RPM_Y 54 SITEPROP SLICE_X55Y27 SITE_PIPS SITEPROP SLICE_X55Y27 SITE_TYPE SLICEL SITEPROP SLICE_X55Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y28 CLASS site SITEPROP SLICE_X55Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y28 IS_BONDED 0 SITEPROP SLICE_X55Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y28 IS_PAD 0 SITEPROP SLICE_X55Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y28 IS_RESERVED 0 SITEPROP SLICE_X55Y28 IS_TEST 0 SITEPROP SLICE_X55Y28 IS_USED 0 SITEPROP SLICE_X55Y28 MANUAL_ROUTING SITEPROP SLICE_X55Y28 NAME SLICE_X55Y28 SITEPROP SLICE_X55Y28 NUM_ARCS 138 SITEPROP SLICE_X55Y28 NUM_BELS 32 SITEPROP SLICE_X55Y28 NUM_INPUTS 32 SITEPROP SLICE_X55Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y28 NUM_PINS 45 SITEPROP SLICE_X55Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y28 PROHIBIT 0 SITEPROP SLICE_X55Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y28 RPM_X 147 SITEPROP SLICE_X55Y28 RPM_Y 56 SITEPROP SLICE_X55Y28 SITE_PIPS SITEPROP SLICE_X55Y28 SITE_TYPE SLICEL SITEPROP SLICE_X55Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y29 CLASS site SITEPROP SLICE_X55Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y29 IS_BONDED 0 SITEPROP SLICE_X55Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y29 IS_PAD 0 SITEPROP SLICE_X55Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y29 IS_RESERVED 0 SITEPROP SLICE_X55Y29 IS_TEST 0 SITEPROP SLICE_X55Y29 IS_USED 0 SITEPROP SLICE_X55Y29 MANUAL_ROUTING SITEPROP SLICE_X55Y29 NAME SLICE_X55Y29 SITEPROP SLICE_X55Y29 NUM_ARCS 138 SITEPROP SLICE_X55Y29 NUM_BELS 32 SITEPROP SLICE_X55Y29 NUM_INPUTS 32 SITEPROP SLICE_X55Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y29 NUM_PINS 45 SITEPROP SLICE_X55Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y29 PROHIBIT 0 SITEPROP SLICE_X55Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y29 RPM_X 147 SITEPROP SLICE_X55Y29 RPM_Y 58 SITEPROP SLICE_X55Y29 SITE_PIPS SITEPROP SLICE_X55Y29 SITE_TYPE SLICEL SITEPROP SLICE_X55Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y30 CLASS site SITEPROP SLICE_X55Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y30 IS_BONDED 0 SITEPROP SLICE_X55Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y30 IS_PAD 0 SITEPROP SLICE_X55Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y30 IS_RESERVED 0 SITEPROP SLICE_X55Y30 IS_TEST 0 SITEPROP SLICE_X55Y30 IS_USED 0 SITEPROP SLICE_X55Y30 MANUAL_ROUTING SITEPROP SLICE_X55Y30 NAME SLICE_X55Y30 SITEPROP SLICE_X55Y30 NUM_ARCS 138 SITEPROP SLICE_X55Y30 NUM_BELS 32 SITEPROP SLICE_X55Y30 NUM_INPUTS 32 SITEPROP SLICE_X55Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y30 NUM_PINS 45 SITEPROP SLICE_X55Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y30 PROHIBIT 0 SITEPROP SLICE_X55Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y30 RPM_X 147 SITEPROP SLICE_X55Y30 RPM_Y 60 SITEPROP SLICE_X55Y30 SITE_PIPS SITEPROP SLICE_X55Y30 SITE_TYPE SLICEL SITEPROP SLICE_X55Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y31 CLASS site SITEPROP SLICE_X55Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y31 IS_BONDED 0 SITEPROP SLICE_X55Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y31 IS_PAD 0 SITEPROP SLICE_X55Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y31 IS_RESERVED 0 SITEPROP SLICE_X55Y31 IS_TEST 0 SITEPROP SLICE_X55Y31 IS_USED 0 SITEPROP SLICE_X55Y31 MANUAL_ROUTING SITEPROP SLICE_X55Y31 NAME SLICE_X55Y31 SITEPROP SLICE_X55Y31 NUM_ARCS 138 SITEPROP SLICE_X55Y31 NUM_BELS 32 SITEPROP SLICE_X55Y31 NUM_INPUTS 32 SITEPROP SLICE_X55Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y31 NUM_PINS 45 SITEPROP SLICE_X55Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y31 PROHIBIT 0 SITEPROP SLICE_X55Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y31 RPM_X 147 SITEPROP SLICE_X55Y31 RPM_Y 62 SITEPROP SLICE_X55Y31 SITE_PIPS SITEPROP SLICE_X55Y31 SITE_TYPE SLICEL SITEPROP SLICE_X55Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y32 CLASS site SITEPROP SLICE_X55Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y32 IS_BONDED 0 SITEPROP SLICE_X55Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y32 IS_PAD 0 SITEPROP SLICE_X55Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y32 IS_RESERVED 0 SITEPROP SLICE_X55Y32 IS_TEST 0 SITEPROP SLICE_X55Y32 IS_USED 0 SITEPROP SLICE_X55Y32 MANUAL_ROUTING SITEPROP SLICE_X55Y32 NAME SLICE_X55Y32 SITEPROP SLICE_X55Y32 NUM_ARCS 138 SITEPROP SLICE_X55Y32 NUM_BELS 32 SITEPROP SLICE_X55Y32 NUM_INPUTS 32 SITEPROP SLICE_X55Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y32 NUM_PINS 45 SITEPROP SLICE_X55Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y32 PROHIBIT 0 SITEPROP SLICE_X55Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y32 RPM_X 147 SITEPROP SLICE_X55Y32 RPM_Y 64 SITEPROP SLICE_X55Y32 SITE_PIPS SITEPROP SLICE_X55Y32 SITE_TYPE SLICEL SITEPROP SLICE_X55Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y33 CLASS site SITEPROP SLICE_X55Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y33 IS_BONDED 0 SITEPROP SLICE_X55Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y33 IS_PAD 0 SITEPROP SLICE_X55Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y33 IS_RESERVED 0 SITEPROP SLICE_X55Y33 IS_TEST 0 SITEPROP SLICE_X55Y33 IS_USED 0 SITEPROP SLICE_X55Y33 MANUAL_ROUTING SITEPROP SLICE_X55Y33 NAME SLICE_X55Y33 SITEPROP SLICE_X55Y33 NUM_ARCS 138 SITEPROP SLICE_X55Y33 NUM_BELS 32 SITEPROP SLICE_X55Y33 NUM_INPUTS 32 SITEPROP SLICE_X55Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y33 NUM_PINS 45 SITEPROP SLICE_X55Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y33 PROHIBIT 0 SITEPROP SLICE_X55Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y33 RPM_X 147 SITEPROP SLICE_X55Y33 RPM_Y 66 SITEPROP SLICE_X55Y33 SITE_PIPS SITEPROP SLICE_X55Y33 SITE_TYPE SLICEL SITEPROP SLICE_X55Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y34 CLASS site SITEPROP SLICE_X55Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y34 IS_BONDED 0 SITEPROP SLICE_X55Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y34 IS_PAD 0 SITEPROP SLICE_X55Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y34 IS_RESERVED 0 SITEPROP SLICE_X55Y34 IS_TEST 0 SITEPROP SLICE_X55Y34 IS_USED 0 SITEPROP SLICE_X55Y34 MANUAL_ROUTING SITEPROP SLICE_X55Y34 NAME SLICE_X55Y34 SITEPROP SLICE_X55Y34 NUM_ARCS 138 SITEPROP SLICE_X55Y34 NUM_BELS 32 SITEPROP SLICE_X55Y34 NUM_INPUTS 32 SITEPROP SLICE_X55Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y34 NUM_PINS 45 SITEPROP SLICE_X55Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y34 PROHIBIT 0 SITEPROP SLICE_X55Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y34 RPM_X 147 SITEPROP SLICE_X55Y34 RPM_Y 68 SITEPROP SLICE_X55Y34 SITE_PIPS SITEPROP SLICE_X55Y34 SITE_TYPE SLICEL SITEPROP SLICE_X55Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y35 CLASS site SITEPROP SLICE_X55Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y35 IS_BONDED 0 SITEPROP SLICE_X55Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y35 IS_PAD 0 SITEPROP SLICE_X55Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y35 IS_RESERVED 0 SITEPROP SLICE_X55Y35 IS_TEST 0 SITEPROP SLICE_X55Y35 IS_USED 0 SITEPROP SLICE_X55Y35 MANUAL_ROUTING SITEPROP SLICE_X55Y35 NAME SLICE_X55Y35 SITEPROP SLICE_X55Y35 NUM_ARCS 138 SITEPROP SLICE_X55Y35 NUM_BELS 32 SITEPROP SLICE_X55Y35 NUM_INPUTS 32 SITEPROP SLICE_X55Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y35 NUM_PINS 45 SITEPROP SLICE_X55Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y35 PROHIBIT 0 SITEPROP SLICE_X55Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y35 RPM_X 147 SITEPROP SLICE_X55Y35 RPM_Y 70 SITEPROP SLICE_X55Y35 SITE_PIPS SITEPROP SLICE_X55Y35 SITE_TYPE SLICEL SITEPROP SLICE_X55Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y36 CLASS site SITEPROP SLICE_X55Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y36 IS_BONDED 0 SITEPROP SLICE_X55Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y36 IS_PAD 0 SITEPROP SLICE_X55Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y36 IS_RESERVED 0 SITEPROP SLICE_X55Y36 IS_TEST 0 SITEPROP SLICE_X55Y36 IS_USED 0 SITEPROP SLICE_X55Y36 MANUAL_ROUTING SITEPROP SLICE_X55Y36 NAME SLICE_X55Y36 SITEPROP SLICE_X55Y36 NUM_ARCS 138 SITEPROP SLICE_X55Y36 NUM_BELS 32 SITEPROP SLICE_X55Y36 NUM_INPUTS 32 SITEPROP SLICE_X55Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y36 NUM_PINS 45 SITEPROP SLICE_X55Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y36 PROHIBIT 0 SITEPROP SLICE_X55Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y36 RPM_X 147 SITEPROP SLICE_X55Y36 RPM_Y 72 SITEPROP SLICE_X55Y36 SITE_PIPS SITEPROP SLICE_X55Y36 SITE_TYPE SLICEL SITEPROP SLICE_X55Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y37 CLASS site SITEPROP SLICE_X55Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y37 IS_BONDED 0 SITEPROP SLICE_X55Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y37 IS_PAD 0 SITEPROP SLICE_X55Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y37 IS_RESERVED 0 SITEPROP SLICE_X55Y37 IS_TEST 0 SITEPROP SLICE_X55Y37 IS_USED 0 SITEPROP SLICE_X55Y37 MANUAL_ROUTING SITEPROP SLICE_X55Y37 NAME SLICE_X55Y37 SITEPROP SLICE_X55Y37 NUM_ARCS 138 SITEPROP SLICE_X55Y37 NUM_BELS 32 SITEPROP SLICE_X55Y37 NUM_INPUTS 32 SITEPROP SLICE_X55Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y37 NUM_PINS 45 SITEPROP SLICE_X55Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y37 PROHIBIT 0 SITEPROP SLICE_X55Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y37 RPM_X 147 SITEPROP SLICE_X55Y37 RPM_Y 74 SITEPROP SLICE_X55Y37 SITE_PIPS SITEPROP SLICE_X55Y37 SITE_TYPE SLICEL SITEPROP SLICE_X55Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y38 CLASS site SITEPROP SLICE_X55Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y38 IS_BONDED 0 SITEPROP SLICE_X55Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y38 IS_PAD 0 SITEPROP SLICE_X55Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y38 IS_RESERVED 0 SITEPROP SLICE_X55Y38 IS_TEST 0 SITEPROP SLICE_X55Y38 IS_USED 0 SITEPROP SLICE_X55Y38 MANUAL_ROUTING SITEPROP SLICE_X55Y38 NAME SLICE_X55Y38 SITEPROP SLICE_X55Y38 NUM_ARCS 138 SITEPROP SLICE_X55Y38 NUM_BELS 32 SITEPROP SLICE_X55Y38 NUM_INPUTS 32 SITEPROP SLICE_X55Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y38 NUM_PINS 45 SITEPROP SLICE_X55Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y38 PROHIBIT 0 SITEPROP SLICE_X55Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y38 RPM_X 147 SITEPROP SLICE_X55Y38 RPM_Y 76 SITEPROP SLICE_X55Y38 SITE_PIPS SITEPROP SLICE_X55Y38 SITE_TYPE SLICEL SITEPROP SLICE_X55Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y39 CLASS site SITEPROP SLICE_X55Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y39 IS_BONDED 0 SITEPROP SLICE_X55Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y39 IS_PAD 0 SITEPROP SLICE_X55Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y39 IS_RESERVED 0 SITEPROP SLICE_X55Y39 IS_TEST 0 SITEPROP SLICE_X55Y39 IS_USED 0 SITEPROP SLICE_X55Y39 MANUAL_ROUTING SITEPROP SLICE_X55Y39 NAME SLICE_X55Y39 SITEPROP SLICE_X55Y39 NUM_ARCS 138 SITEPROP SLICE_X55Y39 NUM_BELS 32 SITEPROP SLICE_X55Y39 NUM_INPUTS 32 SITEPROP SLICE_X55Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y39 NUM_PINS 45 SITEPROP SLICE_X55Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y39 PROHIBIT 0 SITEPROP SLICE_X55Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y39 RPM_X 147 SITEPROP SLICE_X55Y39 RPM_Y 78 SITEPROP SLICE_X55Y39 SITE_PIPS SITEPROP SLICE_X55Y39 SITE_TYPE SLICEL SITEPROP SLICE_X55Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y40 CLASS site SITEPROP SLICE_X55Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y40 IS_BONDED 0 SITEPROP SLICE_X55Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y40 IS_PAD 0 SITEPROP SLICE_X55Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y40 IS_RESERVED 0 SITEPROP SLICE_X55Y40 IS_TEST 0 SITEPROP SLICE_X55Y40 IS_USED 0 SITEPROP SLICE_X55Y40 MANUAL_ROUTING SITEPROP SLICE_X55Y40 NAME SLICE_X55Y40 SITEPROP SLICE_X55Y40 NUM_ARCS 138 SITEPROP SLICE_X55Y40 NUM_BELS 32 SITEPROP SLICE_X55Y40 NUM_INPUTS 32 SITEPROP SLICE_X55Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y40 NUM_PINS 45 SITEPROP SLICE_X55Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y40 PROHIBIT 0 SITEPROP SLICE_X55Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y40 RPM_X 147 SITEPROP SLICE_X55Y40 RPM_Y 80 SITEPROP SLICE_X55Y40 SITE_PIPS SITEPROP SLICE_X55Y40 SITE_TYPE SLICEL SITEPROP SLICE_X55Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y41 CLASS site SITEPROP SLICE_X55Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y41 IS_BONDED 0 SITEPROP SLICE_X55Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y41 IS_PAD 0 SITEPROP SLICE_X55Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y41 IS_RESERVED 0 SITEPROP SLICE_X55Y41 IS_TEST 0 SITEPROP SLICE_X55Y41 IS_USED 0 SITEPROP SLICE_X55Y41 MANUAL_ROUTING SITEPROP SLICE_X55Y41 NAME SLICE_X55Y41 SITEPROP SLICE_X55Y41 NUM_ARCS 138 SITEPROP SLICE_X55Y41 NUM_BELS 32 SITEPROP SLICE_X55Y41 NUM_INPUTS 32 SITEPROP SLICE_X55Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y41 NUM_PINS 45 SITEPROP SLICE_X55Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y41 PROHIBIT 0 SITEPROP SLICE_X55Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y41 RPM_X 147 SITEPROP SLICE_X55Y41 RPM_Y 82 SITEPROP SLICE_X55Y41 SITE_PIPS SITEPROP SLICE_X55Y41 SITE_TYPE SLICEL SITEPROP SLICE_X55Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y42 CLASS site SITEPROP SLICE_X55Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y42 IS_BONDED 0 SITEPROP SLICE_X55Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y42 IS_PAD 0 SITEPROP SLICE_X55Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y42 IS_RESERVED 0 SITEPROP SLICE_X55Y42 IS_TEST 0 SITEPROP SLICE_X55Y42 IS_USED 0 SITEPROP SLICE_X55Y42 MANUAL_ROUTING SITEPROP SLICE_X55Y42 NAME SLICE_X55Y42 SITEPROP SLICE_X55Y42 NUM_ARCS 138 SITEPROP SLICE_X55Y42 NUM_BELS 32 SITEPROP SLICE_X55Y42 NUM_INPUTS 32 SITEPROP SLICE_X55Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y42 NUM_PINS 45 SITEPROP SLICE_X55Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y42 PROHIBIT 0 SITEPROP SLICE_X55Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y42 RPM_X 147 SITEPROP SLICE_X55Y42 RPM_Y 84 SITEPROP SLICE_X55Y42 SITE_PIPS SITEPROP SLICE_X55Y42 SITE_TYPE SLICEL SITEPROP SLICE_X55Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y43 CLASS site SITEPROP SLICE_X55Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y43 IS_BONDED 0 SITEPROP SLICE_X55Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y43 IS_PAD 0 SITEPROP SLICE_X55Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y43 IS_RESERVED 0 SITEPROP SLICE_X55Y43 IS_TEST 0 SITEPROP SLICE_X55Y43 IS_USED 0 SITEPROP SLICE_X55Y43 MANUAL_ROUTING SITEPROP SLICE_X55Y43 NAME SLICE_X55Y43 SITEPROP SLICE_X55Y43 NUM_ARCS 138 SITEPROP SLICE_X55Y43 NUM_BELS 32 SITEPROP SLICE_X55Y43 NUM_INPUTS 32 SITEPROP SLICE_X55Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y43 NUM_PINS 45 SITEPROP SLICE_X55Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y43 PROHIBIT 0 SITEPROP SLICE_X55Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y43 RPM_X 147 SITEPROP SLICE_X55Y43 RPM_Y 86 SITEPROP SLICE_X55Y43 SITE_PIPS SITEPROP SLICE_X55Y43 SITE_TYPE SLICEL SITEPROP SLICE_X55Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y44 CLASS site SITEPROP SLICE_X55Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y44 IS_BONDED 0 SITEPROP SLICE_X55Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y44 IS_PAD 0 SITEPROP SLICE_X55Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y44 IS_RESERVED 0 SITEPROP SLICE_X55Y44 IS_TEST 0 SITEPROP SLICE_X55Y44 IS_USED 0 SITEPROP SLICE_X55Y44 MANUAL_ROUTING SITEPROP SLICE_X55Y44 NAME SLICE_X55Y44 SITEPROP SLICE_X55Y44 NUM_ARCS 138 SITEPROP SLICE_X55Y44 NUM_BELS 32 SITEPROP SLICE_X55Y44 NUM_INPUTS 32 SITEPROP SLICE_X55Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y44 NUM_PINS 45 SITEPROP SLICE_X55Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y44 PROHIBIT 0 SITEPROP SLICE_X55Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y44 RPM_X 147 SITEPROP SLICE_X55Y44 RPM_Y 88 SITEPROP SLICE_X55Y44 SITE_PIPS SITEPROP SLICE_X55Y44 SITE_TYPE SLICEL SITEPROP SLICE_X55Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y45 CLASS site SITEPROP SLICE_X55Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y45 IS_BONDED 0 SITEPROP SLICE_X55Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y45 IS_PAD 0 SITEPROP SLICE_X55Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y45 IS_RESERVED 0 SITEPROP SLICE_X55Y45 IS_TEST 0 SITEPROP SLICE_X55Y45 IS_USED 0 SITEPROP SLICE_X55Y45 MANUAL_ROUTING SITEPROP SLICE_X55Y45 NAME SLICE_X55Y45 SITEPROP SLICE_X55Y45 NUM_ARCS 138 SITEPROP SLICE_X55Y45 NUM_BELS 32 SITEPROP SLICE_X55Y45 NUM_INPUTS 32 SITEPROP SLICE_X55Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y45 NUM_PINS 45 SITEPROP SLICE_X55Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y45 PROHIBIT 0 SITEPROP SLICE_X55Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y45 RPM_X 147 SITEPROP SLICE_X55Y45 RPM_Y 90 SITEPROP SLICE_X55Y45 SITE_PIPS SITEPROP SLICE_X55Y45 SITE_TYPE SLICEL SITEPROP SLICE_X55Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y46 CLASS site SITEPROP SLICE_X55Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y46 IS_BONDED 0 SITEPROP SLICE_X55Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y46 IS_PAD 0 SITEPROP SLICE_X55Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y46 IS_RESERVED 0 SITEPROP SLICE_X55Y46 IS_TEST 0 SITEPROP SLICE_X55Y46 IS_USED 0 SITEPROP SLICE_X55Y46 MANUAL_ROUTING SITEPROP SLICE_X55Y46 NAME SLICE_X55Y46 SITEPROP SLICE_X55Y46 NUM_ARCS 138 SITEPROP SLICE_X55Y46 NUM_BELS 32 SITEPROP SLICE_X55Y46 NUM_INPUTS 32 SITEPROP SLICE_X55Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y46 NUM_PINS 45 SITEPROP SLICE_X55Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y46 PROHIBIT 0 SITEPROP SLICE_X55Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y46 RPM_X 147 SITEPROP SLICE_X55Y46 RPM_Y 92 SITEPROP SLICE_X55Y46 SITE_PIPS SITEPROP SLICE_X55Y46 SITE_TYPE SLICEL SITEPROP SLICE_X55Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y47 CLASS site SITEPROP SLICE_X55Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y47 IS_BONDED 0 SITEPROP SLICE_X55Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y47 IS_PAD 0 SITEPROP SLICE_X55Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y47 IS_RESERVED 0 SITEPROP SLICE_X55Y47 IS_TEST 0 SITEPROP SLICE_X55Y47 IS_USED 0 SITEPROP SLICE_X55Y47 MANUAL_ROUTING SITEPROP SLICE_X55Y47 NAME SLICE_X55Y47 SITEPROP SLICE_X55Y47 NUM_ARCS 138 SITEPROP SLICE_X55Y47 NUM_BELS 32 SITEPROP SLICE_X55Y47 NUM_INPUTS 32 SITEPROP SLICE_X55Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y47 NUM_PINS 45 SITEPROP SLICE_X55Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y47 PROHIBIT 0 SITEPROP SLICE_X55Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y47 RPM_X 147 SITEPROP SLICE_X55Y47 RPM_Y 94 SITEPROP SLICE_X55Y47 SITE_PIPS SITEPROP SLICE_X55Y47 SITE_TYPE SLICEL SITEPROP SLICE_X55Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y48 CLASS site SITEPROP SLICE_X55Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y48 IS_BONDED 0 SITEPROP SLICE_X55Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y48 IS_PAD 0 SITEPROP SLICE_X55Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y48 IS_RESERVED 0 SITEPROP SLICE_X55Y48 IS_TEST 0 SITEPROP SLICE_X55Y48 IS_USED 0 SITEPROP SLICE_X55Y48 MANUAL_ROUTING SITEPROP SLICE_X55Y48 NAME SLICE_X55Y48 SITEPROP SLICE_X55Y48 NUM_ARCS 138 SITEPROP SLICE_X55Y48 NUM_BELS 32 SITEPROP SLICE_X55Y48 NUM_INPUTS 32 SITEPROP SLICE_X55Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y48 NUM_PINS 45 SITEPROP SLICE_X55Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y48 PROHIBIT 0 SITEPROP SLICE_X55Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y48 RPM_X 147 SITEPROP SLICE_X55Y48 RPM_Y 96 SITEPROP SLICE_X55Y48 SITE_PIPS SITEPROP SLICE_X55Y48 SITE_TYPE SLICEL SITEPROP SLICE_X55Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y49 CLASS site SITEPROP SLICE_X55Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X55Y49 IS_BONDED 0 SITEPROP SLICE_X55Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y49 IS_PAD 0 SITEPROP SLICE_X55Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y49 IS_RESERVED 0 SITEPROP SLICE_X55Y49 IS_TEST 0 SITEPROP SLICE_X55Y49 IS_USED 0 SITEPROP SLICE_X55Y49 MANUAL_ROUTING SITEPROP SLICE_X55Y49 NAME SLICE_X55Y49 SITEPROP SLICE_X55Y49 NUM_ARCS 138 SITEPROP SLICE_X55Y49 NUM_BELS 32 SITEPROP SLICE_X55Y49 NUM_INPUTS 32 SITEPROP SLICE_X55Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y49 NUM_PINS 45 SITEPROP SLICE_X55Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y49 PROHIBIT 0 SITEPROP SLICE_X55Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y49 RPM_X 147 SITEPROP SLICE_X55Y49 RPM_Y 98 SITEPROP SLICE_X55Y49 SITE_PIPS SITEPROP SLICE_X55Y49 SITE_TYPE SLICEL SITEPROP SLICE_X55Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y50 CLASS site SITEPROP SLICE_X55Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y50 IS_BONDED 0 SITEPROP SLICE_X55Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y50 IS_PAD 0 SITEPROP SLICE_X55Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y50 IS_RESERVED 0 SITEPROP SLICE_X55Y50 IS_TEST 0 SITEPROP SLICE_X55Y50 IS_USED 0 SITEPROP SLICE_X55Y50 MANUAL_ROUTING SITEPROP SLICE_X55Y50 NAME SLICE_X55Y50 SITEPROP SLICE_X55Y50 NUM_ARCS 138 SITEPROP SLICE_X55Y50 NUM_BELS 32 SITEPROP SLICE_X55Y50 NUM_INPUTS 32 SITEPROP SLICE_X55Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y50 NUM_PINS 45 SITEPROP SLICE_X55Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y50 PROHIBIT 0 SITEPROP SLICE_X55Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y50 RPM_X 147 SITEPROP SLICE_X55Y50 RPM_Y 100 SITEPROP SLICE_X55Y50 SITE_PIPS SITEPROP SLICE_X55Y50 SITE_TYPE SLICEL SITEPROP SLICE_X55Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y51 CLASS site SITEPROP SLICE_X55Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y51 IS_BONDED 0 SITEPROP SLICE_X55Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y51 IS_PAD 0 SITEPROP SLICE_X55Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y51 IS_RESERVED 0 SITEPROP SLICE_X55Y51 IS_TEST 0 SITEPROP SLICE_X55Y51 IS_USED 0 SITEPROP SLICE_X55Y51 MANUAL_ROUTING SITEPROP SLICE_X55Y51 NAME SLICE_X55Y51 SITEPROP SLICE_X55Y51 NUM_ARCS 138 SITEPROP SLICE_X55Y51 NUM_BELS 32 SITEPROP SLICE_X55Y51 NUM_INPUTS 32 SITEPROP SLICE_X55Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y51 NUM_PINS 45 SITEPROP SLICE_X55Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y51 PROHIBIT 0 SITEPROP SLICE_X55Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y51 RPM_X 147 SITEPROP SLICE_X55Y51 RPM_Y 102 SITEPROP SLICE_X55Y51 SITE_PIPS SITEPROP SLICE_X55Y51 SITE_TYPE SLICEL SITEPROP SLICE_X55Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y52 CLASS site SITEPROP SLICE_X55Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y52 IS_BONDED 0 SITEPROP SLICE_X55Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y52 IS_PAD 0 SITEPROP SLICE_X55Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y52 IS_RESERVED 0 SITEPROP SLICE_X55Y52 IS_TEST 0 SITEPROP SLICE_X55Y52 IS_USED 0 SITEPROP SLICE_X55Y52 MANUAL_ROUTING SITEPROP SLICE_X55Y52 NAME SLICE_X55Y52 SITEPROP SLICE_X55Y52 NUM_ARCS 138 SITEPROP SLICE_X55Y52 NUM_BELS 32 SITEPROP SLICE_X55Y52 NUM_INPUTS 32 SITEPROP SLICE_X55Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y52 NUM_PINS 45 SITEPROP SLICE_X55Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y52 PROHIBIT 0 SITEPROP SLICE_X55Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y52 RPM_X 147 SITEPROP SLICE_X55Y52 RPM_Y 104 SITEPROP SLICE_X55Y52 SITE_PIPS SITEPROP SLICE_X55Y52 SITE_TYPE SLICEL SITEPROP SLICE_X55Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y53 CLASS site SITEPROP SLICE_X55Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y53 IS_BONDED 0 SITEPROP SLICE_X55Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y53 IS_PAD 0 SITEPROP SLICE_X55Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y53 IS_RESERVED 0 SITEPROP SLICE_X55Y53 IS_TEST 0 SITEPROP SLICE_X55Y53 IS_USED 0 SITEPROP SLICE_X55Y53 MANUAL_ROUTING SITEPROP SLICE_X55Y53 NAME SLICE_X55Y53 SITEPROP SLICE_X55Y53 NUM_ARCS 138 SITEPROP SLICE_X55Y53 NUM_BELS 32 SITEPROP SLICE_X55Y53 NUM_INPUTS 32 SITEPROP SLICE_X55Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y53 NUM_PINS 45 SITEPROP SLICE_X55Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y53 PROHIBIT 0 SITEPROP SLICE_X55Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y53 RPM_X 147 SITEPROP SLICE_X55Y53 RPM_Y 106 SITEPROP SLICE_X55Y53 SITE_PIPS SITEPROP SLICE_X55Y53 SITE_TYPE SLICEL SITEPROP SLICE_X55Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y54 CLASS site SITEPROP SLICE_X55Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y54 IS_BONDED 0 SITEPROP SLICE_X55Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y54 IS_PAD 0 SITEPROP SLICE_X55Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y54 IS_RESERVED 0 SITEPROP SLICE_X55Y54 IS_TEST 0 SITEPROP SLICE_X55Y54 IS_USED 0 SITEPROP SLICE_X55Y54 MANUAL_ROUTING SITEPROP SLICE_X55Y54 NAME SLICE_X55Y54 SITEPROP SLICE_X55Y54 NUM_ARCS 138 SITEPROP SLICE_X55Y54 NUM_BELS 32 SITEPROP SLICE_X55Y54 NUM_INPUTS 32 SITEPROP SLICE_X55Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y54 NUM_PINS 45 SITEPROP SLICE_X55Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y54 PROHIBIT 0 SITEPROP SLICE_X55Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y54 RPM_X 147 SITEPROP SLICE_X55Y54 RPM_Y 108 SITEPROP SLICE_X55Y54 SITE_PIPS SITEPROP SLICE_X55Y54 SITE_TYPE SLICEL SITEPROP SLICE_X55Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y55 CLASS site SITEPROP SLICE_X55Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y55 IS_BONDED 0 SITEPROP SLICE_X55Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y55 IS_PAD 0 SITEPROP SLICE_X55Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y55 IS_RESERVED 0 SITEPROP SLICE_X55Y55 IS_TEST 0 SITEPROP SLICE_X55Y55 IS_USED 0 SITEPROP SLICE_X55Y55 MANUAL_ROUTING SITEPROP SLICE_X55Y55 NAME SLICE_X55Y55 SITEPROP SLICE_X55Y55 NUM_ARCS 138 SITEPROP SLICE_X55Y55 NUM_BELS 32 SITEPROP SLICE_X55Y55 NUM_INPUTS 32 SITEPROP SLICE_X55Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y55 NUM_PINS 45 SITEPROP SLICE_X55Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y55 PROHIBIT 0 SITEPROP SLICE_X55Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y55 RPM_X 147 SITEPROP SLICE_X55Y55 RPM_Y 110 SITEPROP SLICE_X55Y55 SITE_PIPS SITEPROP SLICE_X55Y55 SITE_TYPE SLICEL SITEPROP SLICE_X55Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y56 CLASS site SITEPROP SLICE_X55Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y56 IS_BONDED 0 SITEPROP SLICE_X55Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y56 IS_PAD 0 SITEPROP SLICE_X55Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y56 IS_RESERVED 0 SITEPROP SLICE_X55Y56 IS_TEST 0 SITEPROP SLICE_X55Y56 IS_USED 0 SITEPROP SLICE_X55Y56 MANUAL_ROUTING SITEPROP SLICE_X55Y56 NAME SLICE_X55Y56 SITEPROP SLICE_X55Y56 NUM_ARCS 138 SITEPROP SLICE_X55Y56 NUM_BELS 32 SITEPROP SLICE_X55Y56 NUM_INPUTS 32 SITEPROP SLICE_X55Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y56 NUM_PINS 45 SITEPROP SLICE_X55Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y56 PROHIBIT 0 SITEPROP SLICE_X55Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y56 RPM_X 147 SITEPROP SLICE_X55Y56 RPM_Y 112 SITEPROP SLICE_X55Y56 SITE_PIPS SITEPROP SLICE_X55Y56 SITE_TYPE SLICEL SITEPROP SLICE_X55Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y57 CLASS site SITEPROP SLICE_X55Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y57 IS_BONDED 0 SITEPROP SLICE_X55Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y57 IS_PAD 0 SITEPROP SLICE_X55Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y57 IS_RESERVED 0 SITEPROP SLICE_X55Y57 IS_TEST 0 SITEPROP SLICE_X55Y57 IS_USED 0 SITEPROP SLICE_X55Y57 MANUAL_ROUTING SITEPROP SLICE_X55Y57 NAME SLICE_X55Y57 SITEPROP SLICE_X55Y57 NUM_ARCS 138 SITEPROP SLICE_X55Y57 NUM_BELS 32 SITEPROP SLICE_X55Y57 NUM_INPUTS 32 SITEPROP SLICE_X55Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y57 NUM_PINS 45 SITEPROP SLICE_X55Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y57 PROHIBIT 0 SITEPROP SLICE_X55Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y57 RPM_X 147 SITEPROP SLICE_X55Y57 RPM_Y 114 SITEPROP SLICE_X55Y57 SITE_PIPS SITEPROP SLICE_X55Y57 SITE_TYPE SLICEL SITEPROP SLICE_X55Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y58 CLASS site SITEPROP SLICE_X55Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y58 IS_BONDED 0 SITEPROP SLICE_X55Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y58 IS_PAD 0 SITEPROP SLICE_X55Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y58 IS_RESERVED 0 SITEPROP SLICE_X55Y58 IS_TEST 0 SITEPROP SLICE_X55Y58 IS_USED 0 SITEPROP SLICE_X55Y58 MANUAL_ROUTING SITEPROP SLICE_X55Y58 NAME SLICE_X55Y58 SITEPROP SLICE_X55Y58 NUM_ARCS 138 SITEPROP SLICE_X55Y58 NUM_BELS 32 SITEPROP SLICE_X55Y58 NUM_INPUTS 32 SITEPROP SLICE_X55Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y58 NUM_PINS 45 SITEPROP SLICE_X55Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y58 PROHIBIT 0 SITEPROP SLICE_X55Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y58 RPM_X 147 SITEPROP SLICE_X55Y58 RPM_Y 116 SITEPROP SLICE_X55Y58 SITE_PIPS SITEPROP SLICE_X55Y58 SITE_TYPE SLICEL SITEPROP SLICE_X55Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y59 CLASS site SITEPROP SLICE_X55Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y59 IS_BONDED 0 SITEPROP SLICE_X55Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y59 IS_PAD 0 SITEPROP SLICE_X55Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y59 IS_RESERVED 0 SITEPROP SLICE_X55Y59 IS_TEST 0 SITEPROP SLICE_X55Y59 IS_USED 0 SITEPROP SLICE_X55Y59 MANUAL_ROUTING SITEPROP SLICE_X55Y59 NAME SLICE_X55Y59 SITEPROP SLICE_X55Y59 NUM_ARCS 138 SITEPROP SLICE_X55Y59 NUM_BELS 32 SITEPROP SLICE_X55Y59 NUM_INPUTS 32 SITEPROP SLICE_X55Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y59 NUM_PINS 45 SITEPROP SLICE_X55Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y59 PROHIBIT 0 SITEPROP SLICE_X55Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y59 RPM_X 147 SITEPROP SLICE_X55Y59 RPM_Y 118 SITEPROP SLICE_X55Y59 SITE_PIPS SITEPROP SLICE_X55Y59 SITE_TYPE SLICEL SITEPROP SLICE_X55Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y60 CLASS site SITEPROP SLICE_X55Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y60 IS_BONDED 0 SITEPROP SLICE_X55Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y60 IS_PAD 0 SITEPROP SLICE_X55Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y60 IS_RESERVED 0 SITEPROP SLICE_X55Y60 IS_TEST 0 SITEPROP SLICE_X55Y60 IS_USED 0 SITEPROP SLICE_X55Y60 MANUAL_ROUTING SITEPROP SLICE_X55Y60 NAME SLICE_X55Y60 SITEPROP SLICE_X55Y60 NUM_ARCS 138 SITEPROP SLICE_X55Y60 NUM_BELS 32 SITEPROP SLICE_X55Y60 NUM_INPUTS 32 SITEPROP SLICE_X55Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y60 NUM_PINS 45 SITEPROP SLICE_X55Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y60 PROHIBIT 0 SITEPROP SLICE_X55Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y60 RPM_X 147 SITEPROP SLICE_X55Y60 RPM_Y 120 SITEPROP SLICE_X55Y60 SITE_PIPS SITEPROP SLICE_X55Y60 SITE_TYPE SLICEL SITEPROP SLICE_X55Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y61 CLASS site SITEPROP SLICE_X55Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y61 IS_BONDED 0 SITEPROP SLICE_X55Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y61 IS_PAD 0 SITEPROP SLICE_X55Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y61 IS_RESERVED 0 SITEPROP SLICE_X55Y61 IS_TEST 0 SITEPROP SLICE_X55Y61 IS_USED 0 SITEPROP SLICE_X55Y61 MANUAL_ROUTING SITEPROP SLICE_X55Y61 NAME SLICE_X55Y61 SITEPROP SLICE_X55Y61 NUM_ARCS 138 SITEPROP SLICE_X55Y61 NUM_BELS 32 SITEPROP SLICE_X55Y61 NUM_INPUTS 32 SITEPROP SLICE_X55Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y61 NUM_PINS 45 SITEPROP SLICE_X55Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y61 PROHIBIT 0 SITEPROP SLICE_X55Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y61 RPM_X 147 SITEPROP SLICE_X55Y61 RPM_Y 122 SITEPROP SLICE_X55Y61 SITE_PIPS SITEPROP SLICE_X55Y61 SITE_TYPE SLICEL SITEPROP SLICE_X55Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y62 CLASS site SITEPROP SLICE_X55Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y62 IS_BONDED 0 SITEPROP SLICE_X55Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y62 IS_PAD 0 SITEPROP SLICE_X55Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y62 IS_RESERVED 0 SITEPROP SLICE_X55Y62 IS_TEST 0 SITEPROP SLICE_X55Y62 IS_USED 0 SITEPROP SLICE_X55Y62 MANUAL_ROUTING SITEPROP SLICE_X55Y62 NAME SLICE_X55Y62 SITEPROP SLICE_X55Y62 NUM_ARCS 138 SITEPROP SLICE_X55Y62 NUM_BELS 32 SITEPROP SLICE_X55Y62 NUM_INPUTS 32 SITEPROP SLICE_X55Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y62 NUM_PINS 45 SITEPROP SLICE_X55Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y62 PROHIBIT 0 SITEPROP SLICE_X55Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y62 RPM_X 147 SITEPROP SLICE_X55Y62 RPM_Y 124 SITEPROP SLICE_X55Y62 SITE_PIPS SITEPROP SLICE_X55Y62 SITE_TYPE SLICEL SITEPROP SLICE_X55Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y63 CLASS site SITEPROP SLICE_X55Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y63 IS_BONDED 0 SITEPROP SLICE_X55Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y63 IS_PAD 0 SITEPROP SLICE_X55Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y63 IS_RESERVED 0 SITEPROP SLICE_X55Y63 IS_TEST 0 SITEPROP SLICE_X55Y63 IS_USED 0 SITEPROP SLICE_X55Y63 MANUAL_ROUTING SITEPROP SLICE_X55Y63 NAME SLICE_X55Y63 SITEPROP SLICE_X55Y63 NUM_ARCS 138 SITEPROP SLICE_X55Y63 NUM_BELS 32 SITEPROP SLICE_X55Y63 NUM_INPUTS 32 SITEPROP SLICE_X55Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y63 NUM_PINS 45 SITEPROP SLICE_X55Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y63 PROHIBIT 0 SITEPROP SLICE_X55Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y63 RPM_X 147 SITEPROP SLICE_X55Y63 RPM_Y 126 SITEPROP SLICE_X55Y63 SITE_PIPS SITEPROP SLICE_X55Y63 SITE_TYPE SLICEL SITEPROP SLICE_X55Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y64 CLASS site SITEPROP SLICE_X55Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y64 IS_BONDED 0 SITEPROP SLICE_X55Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y64 IS_PAD 0 SITEPROP SLICE_X55Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y64 IS_RESERVED 0 SITEPROP SLICE_X55Y64 IS_TEST 0 SITEPROP SLICE_X55Y64 IS_USED 0 SITEPROP SLICE_X55Y64 MANUAL_ROUTING SITEPROP SLICE_X55Y64 NAME SLICE_X55Y64 SITEPROP SLICE_X55Y64 NUM_ARCS 138 SITEPROP SLICE_X55Y64 NUM_BELS 32 SITEPROP SLICE_X55Y64 NUM_INPUTS 32 SITEPROP SLICE_X55Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y64 NUM_PINS 45 SITEPROP SLICE_X55Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y64 PROHIBIT 0 SITEPROP SLICE_X55Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y64 RPM_X 147 SITEPROP SLICE_X55Y64 RPM_Y 128 SITEPROP SLICE_X55Y64 SITE_PIPS SITEPROP SLICE_X55Y64 SITE_TYPE SLICEL SITEPROP SLICE_X55Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y65 CLASS site SITEPROP SLICE_X55Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y65 IS_BONDED 0 SITEPROP SLICE_X55Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y65 IS_PAD 0 SITEPROP SLICE_X55Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y65 IS_RESERVED 0 SITEPROP SLICE_X55Y65 IS_TEST 0 SITEPROP SLICE_X55Y65 IS_USED 0 SITEPROP SLICE_X55Y65 MANUAL_ROUTING SITEPROP SLICE_X55Y65 NAME SLICE_X55Y65 SITEPROP SLICE_X55Y65 NUM_ARCS 138 SITEPROP SLICE_X55Y65 NUM_BELS 32 SITEPROP SLICE_X55Y65 NUM_INPUTS 32 SITEPROP SLICE_X55Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y65 NUM_PINS 45 SITEPROP SLICE_X55Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y65 PROHIBIT 0 SITEPROP SLICE_X55Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y65 RPM_X 147 SITEPROP SLICE_X55Y65 RPM_Y 130 SITEPROP SLICE_X55Y65 SITE_PIPS SITEPROP SLICE_X55Y65 SITE_TYPE SLICEL SITEPROP SLICE_X55Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y66 CLASS site SITEPROP SLICE_X55Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y66 IS_BONDED 0 SITEPROP SLICE_X55Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y66 IS_PAD 0 SITEPROP SLICE_X55Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y66 IS_RESERVED 0 SITEPROP SLICE_X55Y66 IS_TEST 0 SITEPROP SLICE_X55Y66 IS_USED 0 SITEPROP SLICE_X55Y66 MANUAL_ROUTING SITEPROP SLICE_X55Y66 NAME SLICE_X55Y66 SITEPROP SLICE_X55Y66 NUM_ARCS 138 SITEPROP SLICE_X55Y66 NUM_BELS 32 SITEPROP SLICE_X55Y66 NUM_INPUTS 32 SITEPROP SLICE_X55Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y66 NUM_PINS 45 SITEPROP SLICE_X55Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y66 PROHIBIT 0 SITEPROP SLICE_X55Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y66 RPM_X 147 SITEPROP SLICE_X55Y66 RPM_Y 132 SITEPROP SLICE_X55Y66 SITE_PIPS SITEPROP SLICE_X55Y66 SITE_TYPE SLICEL SITEPROP SLICE_X55Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y67 CLASS site SITEPROP SLICE_X55Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y67 IS_BONDED 0 SITEPROP SLICE_X55Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y67 IS_PAD 0 SITEPROP SLICE_X55Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y67 IS_RESERVED 0 SITEPROP SLICE_X55Y67 IS_TEST 0 SITEPROP SLICE_X55Y67 IS_USED 0 SITEPROP SLICE_X55Y67 MANUAL_ROUTING SITEPROP SLICE_X55Y67 NAME SLICE_X55Y67 SITEPROP SLICE_X55Y67 NUM_ARCS 138 SITEPROP SLICE_X55Y67 NUM_BELS 32 SITEPROP SLICE_X55Y67 NUM_INPUTS 32 SITEPROP SLICE_X55Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y67 NUM_PINS 45 SITEPROP SLICE_X55Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y67 PROHIBIT 0 SITEPROP SLICE_X55Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y67 RPM_X 147 SITEPROP SLICE_X55Y67 RPM_Y 134 SITEPROP SLICE_X55Y67 SITE_PIPS SITEPROP SLICE_X55Y67 SITE_TYPE SLICEL SITEPROP SLICE_X55Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y68 CLASS site SITEPROP SLICE_X55Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y68 IS_BONDED 0 SITEPROP SLICE_X55Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y68 IS_PAD 0 SITEPROP SLICE_X55Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y68 IS_RESERVED 0 SITEPROP SLICE_X55Y68 IS_TEST 0 SITEPROP SLICE_X55Y68 IS_USED 0 SITEPROP SLICE_X55Y68 MANUAL_ROUTING SITEPROP SLICE_X55Y68 NAME SLICE_X55Y68 SITEPROP SLICE_X55Y68 NUM_ARCS 138 SITEPROP SLICE_X55Y68 NUM_BELS 32 SITEPROP SLICE_X55Y68 NUM_INPUTS 32 SITEPROP SLICE_X55Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y68 NUM_PINS 45 SITEPROP SLICE_X55Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y68 PROHIBIT 0 SITEPROP SLICE_X55Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y68 RPM_X 147 SITEPROP SLICE_X55Y68 RPM_Y 136 SITEPROP SLICE_X55Y68 SITE_PIPS SITEPROP SLICE_X55Y68 SITE_TYPE SLICEL SITEPROP SLICE_X55Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y69 CLASS site SITEPROP SLICE_X55Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y69 IS_BONDED 0 SITEPROP SLICE_X55Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y69 IS_PAD 0 SITEPROP SLICE_X55Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y69 IS_RESERVED 0 SITEPROP SLICE_X55Y69 IS_TEST 0 SITEPROP SLICE_X55Y69 IS_USED 0 SITEPROP SLICE_X55Y69 MANUAL_ROUTING SITEPROP SLICE_X55Y69 NAME SLICE_X55Y69 SITEPROP SLICE_X55Y69 NUM_ARCS 138 SITEPROP SLICE_X55Y69 NUM_BELS 32 SITEPROP SLICE_X55Y69 NUM_INPUTS 32 SITEPROP SLICE_X55Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y69 NUM_PINS 45 SITEPROP SLICE_X55Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y69 PROHIBIT 0 SITEPROP SLICE_X55Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y69 RPM_X 147 SITEPROP SLICE_X55Y69 RPM_Y 138 SITEPROP SLICE_X55Y69 SITE_PIPS SITEPROP SLICE_X55Y69 SITE_TYPE SLICEL SITEPROP SLICE_X55Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y70 CLASS site SITEPROP SLICE_X55Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y70 IS_BONDED 0 SITEPROP SLICE_X55Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y70 IS_PAD 0 SITEPROP SLICE_X55Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y70 IS_RESERVED 0 SITEPROP SLICE_X55Y70 IS_TEST 0 SITEPROP SLICE_X55Y70 IS_USED 0 SITEPROP SLICE_X55Y70 MANUAL_ROUTING SITEPROP SLICE_X55Y70 NAME SLICE_X55Y70 SITEPROP SLICE_X55Y70 NUM_ARCS 138 SITEPROP SLICE_X55Y70 NUM_BELS 32 SITEPROP SLICE_X55Y70 NUM_INPUTS 32 SITEPROP SLICE_X55Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y70 NUM_PINS 45 SITEPROP SLICE_X55Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y70 PROHIBIT 0 SITEPROP SLICE_X55Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y70 RPM_X 147 SITEPROP SLICE_X55Y70 RPM_Y 140 SITEPROP SLICE_X55Y70 SITE_PIPS SITEPROP SLICE_X55Y70 SITE_TYPE SLICEL SITEPROP SLICE_X55Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y71 CLASS site SITEPROP SLICE_X55Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y71 IS_BONDED 0 SITEPROP SLICE_X55Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y71 IS_PAD 0 SITEPROP SLICE_X55Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y71 IS_RESERVED 0 SITEPROP SLICE_X55Y71 IS_TEST 0 SITEPROP SLICE_X55Y71 IS_USED 0 SITEPROP SLICE_X55Y71 MANUAL_ROUTING SITEPROP SLICE_X55Y71 NAME SLICE_X55Y71 SITEPROP SLICE_X55Y71 NUM_ARCS 138 SITEPROP SLICE_X55Y71 NUM_BELS 32 SITEPROP SLICE_X55Y71 NUM_INPUTS 32 SITEPROP SLICE_X55Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y71 NUM_PINS 45 SITEPROP SLICE_X55Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y71 PROHIBIT 0 SITEPROP SLICE_X55Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y71 RPM_X 147 SITEPROP SLICE_X55Y71 RPM_Y 142 SITEPROP SLICE_X55Y71 SITE_PIPS SITEPROP SLICE_X55Y71 SITE_TYPE SLICEL SITEPROP SLICE_X55Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y72 CLASS site SITEPROP SLICE_X55Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y72 IS_BONDED 0 SITEPROP SLICE_X55Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y72 IS_PAD 0 SITEPROP SLICE_X55Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y72 IS_RESERVED 0 SITEPROP SLICE_X55Y72 IS_TEST 0 SITEPROP SLICE_X55Y72 IS_USED 0 SITEPROP SLICE_X55Y72 MANUAL_ROUTING SITEPROP SLICE_X55Y72 NAME SLICE_X55Y72 SITEPROP SLICE_X55Y72 NUM_ARCS 138 SITEPROP SLICE_X55Y72 NUM_BELS 32 SITEPROP SLICE_X55Y72 NUM_INPUTS 32 SITEPROP SLICE_X55Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y72 NUM_PINS 45 SITEPROP SLICE_X55Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y72 PROHIBIT 0 SITEPROP SLICE_X55Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y72 RPM_X 147 SITEPROP SLICE_X55Y72 RPM_Y 144 SITEPROP SLICE_X55Y72 SITE_PIPS SITEPROP SLICE_X55Y72 SITE_TYPE SLICEL SITEPROP SLICE_X55Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y73 CLASS site SITEPROP SLICE_X55Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y73 IS_BONDED 0 SITEPROP SLICE_X55Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y73 IS_PAD 0 SITEPROP SLICE_X55Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y73 IS_RESERVED 0 SITEPROP SLICE_X55Y73 IS_TEST 0 SITEPROP SLICE_X55Y73 IS_USED 0 SITEPROP SLICE_X55Y73 MANUAL_ROUTING SITEPROP SLICE_X55Y73 NAME SLICE_X55Y73 SITEPROP SLICE_X55Y73 NUM_ARCS 138 SITEPROP SLICE_X55Y73 NUM_BELS 32 SITEPROP SLICE_X55Y73 NUM_INPUTS 32 SITEPROP SLICE_X55Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y73 NUM_PINS 45 SITEPROP SLICE_X55Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y73 PROHIBIT 0 SITEPROP SLICE_X55Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y73 RPM_X 147 SITEPROP SLICE_X55Y73 RPM_Y 146 SITEPROP SLICE_X55Y73 SITE_PIPS SITEPROP SLICE_X55Y73 SITE_TYPE SLICEL SITEPROP SLICE_X55Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y74 CLASS site SITEPROP SLICE_X55Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y74 IS_BONDED 0 SITEPROP SLICE_X55Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y74 IS_PAD 0 SITEPROP SLICE_X55Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y74 IS_RESERVED 0 SITEPROP SLICE_X55Y74 IS_TEST 0 SITEPROP SLICE_X55Y74 IS_USED 0 SITEPROP SLICE_X55Y74 MANUAL_ROUTING SITEPROP SLICE_X55Y74 NAME SLICE_X55Y74 SITEPROP SLICE_X55Y74 NUM_ARCS 138 SITEPROP SLICE_X55Y74 NUM_BELS 32 SITEPROP SLICE_X55Y74 NUM_INPUTS 32 SITEPROP SLICE_X55Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y74 NUM_PINS 45 SITEPROP SLICE_X55Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y74 PROHIBIT 0 SITEPROP SLICE_X55Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y74 RPM_X 147 SITEPROP SLICE_X55Y74 RPM_Y 148 SITEPROP SLICE_X55Y74 SITE_PIPS SITEPROP SLICE_X55Y74 SITE_TYPE SLICEL SITEPROP SLICE_X55Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y75 CLASS site SITEPROP SLICE_X55Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y75 IS_BONDED 0 SITEPROP SLICE_X55Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y75 IS_PAD 0 SITEPROP SLICE_X55Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y75 IS_RESERVED 0 SITEPROP SLICE_X55Y75 IS_TEST 0 SITEPROP SLICE_X55Y75 IS_USED 0 SITEPROP SLICE_X55Y75 MANUAL_ROUTING SITEPROP SLICE_X55Y75 NAME SLICE_X55Y75 SITEPROP SLICE_X55Y75 NUM_ARCS 138 SITEPROP SLICE_X55Y75 NUM_BELS 32 SITEPROP SLICE_X55Y75 NUM_INPUTS 32 SITEPROP SLICE_X55Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y75 NUM_PINS 45 SITEPROP SLICE_X55Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y75 PROHIBIT 0 SITEPROP SLICE_X55Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y75 RPM_X 147 SITEPROP SLICE_X55Y75 RPM_Y 150 SITEPROP SLICE_X55Y75 SITE_PIPS SITEPROP SLICE_X55Y75 SITE_TYPE SLICEL SITEPROP SLICE_X55Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y76 CLASS site SITEPROP SLICE_X55Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y76 IS_BONDED 0 SITEPROP SLICE_X55Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y76 IS_PAD 0 SITEPROP SLICE_X55Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y76 IS_RESERVED 0 SITEPROP SLICE_X55Y76 IS_TEST 0 SITEPROP SLICE_X55Y76 IS_USED 0 SITEPROP SLICE_X55Y76 MANUAL_ROUTING SITEPROP SLICE_X55Y76 NAME SLICE_X55Y76 SITEPROP SLICE_X55Y76 NUM_ARCS 138 SITEPROP SLICE_X55Y76 NUM_BELS 32 SITEPROP SLICE_X55Y76 NUM_INPUTS 32 SITEPROP SLICE_X55Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y76 NUM_PINS 45 SITEPROP SLICE_X55Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y76 PROHIBIT 0 SITEPROP SLICE_X55Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y76 RPM_X 147 SITEPROP SLICE_X55Y76 RPM_Y 152 SITEPROP SLICE_X55Y76 SITE_PIPS SITEPROP SLICE_X55Y76 SITE_TYPE SLICEL SITEPROP SLICE_X55Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y77 CLASS site SITEPROP SLICE_X55Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y77 IS_BONDED 0 SITEPROP SLICE_X55Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y77 IS_PAD 0 SITEPROP SLICE_X55Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y77 IS_RESERVED 0 SITEPROP SLICE_X55Y77 IS_TEST 0 SITEPROP SLICE_X55Y77 IS_USED 0 SITEPROP SLICE_X55Y77 MANUAL_ROUTING SITEPROP SLICE_X55Y77 NAME SLICE_X55Y77 SITEPROP SLICE_X55Y77 NUM_ARCS 138 SITEPROP SLICE_X55Y77 NUM_BELS 32 SITEPROP SLICE_X55Y77 NUM_INPUTS 32 SITEPROP SLICE_X55Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y77 NUM_PINS 45 SITEPROP SLICE_X55Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y77 PROHIBIT 0 SITEPROP SLICE_X55Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y77 RPM_X 147 SITEPROP SLICE_X55Y77 RPM_Y 154 SITEPROP SLICE_X55Y77 SITE_PIPS SITEPROP SLICE_X55Y77 SITE_TYPE SLICEL SITEPROP SLICE_X55Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y78 CLASS site SITEPROP SLICE_X55Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y78 IS_BONDED 0 SITEPROP SLICE_X55Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y78 IS_PAD 0 SITEPROP SLICE_X55Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y78 IS_RESERVED 0 SITEPROP SLICE_X55Y78 IS_TEST 0 SITEPROP SLICE_X55Y78 IS_USED 0 SITEPROP SLICE_X55Y78 MANUAL_ROUTING SITEPROP SLICE_X55Y78 NAME SLICE_X55Y78 SITEPROP SLICE_X55Y78 NUM_ARCS 138 SITEPROP SLICE_X55Y78 NUM_BELS 32 SITEPROP SLICE_X55Y78 NUM_INPUTS 32 SITEPROP SLICE_X55Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y78 NUM_PINS 45 SITEPROP SLICE_X55Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y78 PROHIBIT 0 SITEPROP SLICE_X55Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y78 RPM_X 147 SITEPROP SLICE_X55Y78 RPM_Y 156 SITEPROP SLICE_X55Y78 SITE_PIPS SITEPROP SLICE_X55Y78 SITE_TYPE SLICEL SITEPROP SLICE_X55Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y79 CLASS site SITEPROP SLICE_X55Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y79 IS_BONDED 0 SITEPROP SLICE_X55Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y79 IS_PAD 0 SITEPROP SLICE_X55Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y79 IS_RESERVED 0 SITEPROP SLICE_X55Y79 IS_TEST 0 SITEPROP SLICE_X55Y79 IS_USED 0 SITEPROP SLICE_X55Y79 MANUAL_ROUTING SITEPROP SLICE_X55Y79 NAME SLICE_X55Y79 SITEPROP SLICE_X55Y79 NUM_ARCS 138 SITEPROP SLICE_X55Y79 NUM_BELS 32 SITEPROP SLICE_X55Y79 NUM_INPUTS 32 SITEPROP SLICE_X55Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y79 NUM_PINS 45 SITEPROP SLICE_X55Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y79 PROHIBIT 0 SITEPROP SLICE_X55Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y79 RPM_X 147 SITEPROP SLICE_X55Y79 RPM_Y 158 SITEPROP SLICE_X55Y79 SITE_PIPS SITEPROP SLICE_X55Y79 SITE_TYPE SLICEL SITEPROP SLICE_X55Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y80 CLASS site SITEPROP SLICE_X55Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y80 IS_BONDED 0 SITEPROP SLICE_X55Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y80 IS_PAD 0 SITEPROP SLICE_X55Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y80 IS_RESERVED 0 SITEPROP SLICE_X55Y80 IS_TEST 0 SITEPROP SLICE_X55Y80 IS_USED 0 SITEPROP SLICE_X55Y80 MANUAL_ROUTING SITEPROP SLICE_X55Y80 NAME SLICE_X55Y80 SITEPROP SLICE_X55Y80 NUM_ARCS 138 SITEPROP SLICE_X55Y80 NUM_BELS 32 SITEPROP SLICE_X55Y80 NUM_INPUTS 32 SITEPROP SLICE_X55Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y80 NUM_PINS 45 SITEPROP SLICE_X55Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y80 PROHIBIT 0 SITEPROP SLICE_X55Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y80 RPM_X 147 SITEPROP SLICE_X55Y80 RPM_Y 160 SITEPROP SLICE_X55Y80 SITE_PIPS SITEPROP SLICE_X55Y80 SITE_TYPE SLICEL SITEPROP SLICE_X55Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y81 CLASS site SITEPROP SLICE_X55Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y81 IS_BONDED 0 SITEPROP SLICE_X55Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y81 IS_PAD 0 SITEPROP SLICE_X55Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y81 IS_RESERVED 0 SITEPROP SLICE_X55Y81 IS_TEST 0 SITEPROP SLICE_X55Y81 IS_USED 0 SITEPROP SLICE_X55Y81 MANUAL_ROUTING SITEPROP SLICE_X55Y81 NAME SLICE_X55Y81 SITEPROP SLICE_X55Y81 NUM_ARCS 138 SITEPROP SLICE_X55Y81 NUM_BELS 32 SITEPROP SLICE_X55Y81 NUM_INPUTS 32 SITEPROP SLICE_X55Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y81 NUM_PINS 45 SITEPROP SLICE_X55Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y81 PROHIBIT 0 SITEPROP SLICE_X55Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y81 RPM_X 147 SITEPROP SLICE_X55Y81 RPM_Y 162 SITEPROP SLICE_X55Y81 SITE_PIPS SITEPROP SLICE_X55Y81 SITE_TYPE SLICEL SITEPROP SLICE_X55Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y82 CLASS site SITEPROP SLICE_X55Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y82 IS_BONDED 0 SITEPROP SLICE_X55Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y82 IS_PAD 0 SITEPROP SLICE_X55Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y82 IS_RESERVED 0 SITEPROP SLICE_X55Y82 IS_TEST 0 SITEPROP SLICE_X55Y82 IS_USED 0 SITEPROP SLICE_X55Y82 MANUAL_ROUTING SITEPROP SLICE_X55Y82 NAME SLICE_X55Y82 SITEPROP SLICE_X55Y82 NUM_ARCS 138 SITEPROP SLICE_X55Y82 NUM_BELS 32 SITEPROP SLICE_X55Y82 NUM_INPUTS 32 SITEPROP SLICE_X55Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y82 NUM_PINS 45 SITEPROP SLICE_X55Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y82 PROHIBIT 0 SITEPROP SLICE_X55Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y82 RPM_X 147 SITEPROP SLICE_X55Y82 RPM_Y 164 SITEPROP SLICE_X55Y82 SITE_PIPS SITEPROP SLICE_X55Y82 SITE_TYPE SLICEL SITEPROP SLICE_X55Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y83 CLASS site SITEPROP SLICE_X55Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y83 IS_BONDED 0 SITEPROP SLICE_X55Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y83 IS_PAD 0 SITEPROP SLICE_X55Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y83 IS_RESERVED 0 SITEPROP SLICE_X55Y83 IS_TEST 0 SITEPROP SLICE_X55Y83 IS_USED 0 SITEPROP SLICE_X55Y83 MANUAL_ROUTING SITEPROP SLICE_X55Y83 NAME SLICE_X55Y83 SITEPROP SLICE_X55Y83 NUM_ARCS 138 SITEPROP SLICE_X55Y83 NUM_BELS 32 SITEPROP SLICE_X55Y83 NUM_INPUTS 32 SITEPROP SLICE_X55Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y83 NUM_PINS 45 SITEPROP SLICE_X55Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y83 PROHIBIT 0 SITEPROP SLICE_X55Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y83 RPM_X 147 SITEPROP SLICE_X55Y83 RPM_Y 166 SITEPROP SLICE_X55Y83 SITE_PIPS SITEPROP SLICE_X55Y83 SITE_TYPE SLICEL SITEPROP SLICE_X55Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y84 CLASS site SITEPROP SLICE_X55Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y84 IS_BONDED 0 SITEPROP SLICE_X55Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y84 IS_PAD 0 SITEPROP SLICE_X55Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y84 IS_RESERVED 0 SITEPROP SLICE_X55Y84 IS_TEST 0 SITEPROP SLICE_X55Y84 IS_USED 0 SITEPROP SLICE_X55Y84 MANUAL_ROUTING SITEPROP SLICE_X55Y84 NAME SLICE_X55Y84 SITEPROP SLICE_X55Y84 NUM_ARCS 138 SITEPROP SLICE_X55Y84 NUM_BELS 32 SITEPROP SLICE_X55Y84 NUM_INPUTS 32 SITEPROP SLICE_X55Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y84 NUM_PINS 45 SITEPROP SLICE_X55Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y84 PROHIBIT 0 SITEPROP SLICE_X55Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y84 RPM_X 147 SITEPROP SLICE_X55Y84 RPM_Y 168 SITEPROP SLICE_X55Y84 SITE_PIPS SITEPROP SLICE_X55Y84 SITE_TYPE SLICEL SITEPROP SLICE_X55Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y85 CLASS site SITEPROP SLICE_X55Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y85 IS_BONDED 0 SITEPROP SLICE_X55Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y85 IS_PAD 0 SITEPROP SLICE_X55Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y85 IS_RESERVED 0 SITEPROP SLICE_X55Y85 IS_TEST 0 SITEPROP SLICE_X55Y85 IS_USED 0 SITEPROP SLICE_X55Y85 MANUAL_ROUTING SITEPROP SLICE_X55Y85 NAME SLICE_X55Y85 SITEPROP SLICE_X55Y85 NUM_ARCS 138 SITEPROP SLICE_X55Y85 NUM_BELS 32 SITEPROP SLICE_X55Y85 NUM_INPUTS 32 SITEPROP SLICE_X55Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y85 NUM_PINS 45 SITEPROP SLICE_X55Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y85 PROHIBIT 0 SITEPROP SLICE_X55Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y85 RPM_X 147 SITEPROP SLICE_X55Y85 RPM_Y 170 SITEPROP SLICE_X55Y85 SITE_PIPS SITEPROP SLICE_X55Y85 SITE_TYPE SLICEL SITEPROP SLICE_X55Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y86 CLASS site SITEPROP SLICE_X55Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y86 IS_BONDED 0 SITEPROP SLICE_X55Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y86 IS_PAD 0 SITEPROP SLICE_X55Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y86 IS_RESERVED 0 SITEPROP SLICE_X55Y86 IS_TEST 0 SITEPROP SLICE_X55Y86 IS_USED 0 SITEPROP SLICE_X55Y86 MANUAL_ROUTING SITEPROP SLICE_X55Y86 NAME SLICE_X55Y86 SITEPROP SLICE_X55Y86 NUM_ARCS 138 SITEPROP SLICE_X55Y86 NUM_BELS 32 SITEPROP SLICE_X55Y86 NUM_INPUTS 32 SITEPROP SLICE_X55Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y86 NUM_PINS 45 SITEPROP SLICE_X55Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y86 PROHIBIT 0 SITEPROP SLICE_X55Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y86 RPM_X 147 SITEPROP SLICE_X55Y86 RPM_Y 172 SITEPROP SLICE_X55Y86 SITE_PIPS SITEPROP SLICE_X55Y86 SITE_TYPE SLICEL SITEPROP SLICE_X55Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y87 CLASS site SITEPROP SLICE_X55Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y87 IS_BONDED 0 SITEPROP SLICE_X55Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y87 IS_PAD 0 SITEPROP SLICE_X55Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y87 IS_RESERVED 0 SITEPROP SLICE_X55Y87 IS_TEST 0 SITEPROP SLICE_X55Y87 IS_USED 0 SITEPROP SLICE_X55Y87 MANUAL_ROUTING SITEPROP SLICE_X55Y87 NAME SLICE_X55Y87 SITEPROP SLICE_X55Y87 NUM_ARCS 138 SITEPROP SLICE_X55Y87 NUM_BELS 32 SITEPROP SLICE_X55Y87 NUM_INPUTS 32 SITEPROP SLICE_X55Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y87 NUM_PINS 45 SITEPROP SLICE_X55Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y87 PROHIBIT 0 SITEPROP SLICE_X55Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y87 RPM_X 147 SITEPROP SLICE_X55Y87 RPM_Y 174 SITEPROP SLICE_X55Y87 SITE_PIPS SITEPROP SLICE_X55Y87 SITE_TYPE SLICEL SITEPROP SLICE_X55Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y88 CLASS site SITEPROP SLICE_X55Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y88 IS_BONDED 0 SITEPROP SLICE_X55Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y88 IS_PAD 0 SITEPROP SLICE_X55Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y88 IS_RESERVED 0 SITEPROP SLICE_X55Y88 IS_TEST 0 SITEPROP SLICE_X55Y88 IS_USED 0 SITEPROP SLICE_X55Y88 MANUAL_ROUTING SITEPROP SLICE_X55Y88 NAME SLICE_X55Y88 SITEPROP SLICE_X55Y88 NUM_ARCS 138 SITEPROP SLICE_X55Y88 NUM_BELS 32 SITEPROP SLICE_X55Y88 NUM_INPUTS 32 SITEPROP SLICE_X55Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y88 NUM_PINS 45 SITEPROP SLICE_X55Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y88 PROHIBIT 0 SITEPROP SLICE_X55Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y88 RPM_X 147 SITEPROP SLICE_X55Y88 RPM_Y 176 SITEPROP SLICE_X55Y88 SITE_PIPS SITEPROP SLICE_X55Y88 SITE_TYPE SLICEL SITEPROP SLICE_X55Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y89 CLASS site SITEPROP SLICE_X55Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y89 IS_BONDED 0 SITEPROP SLICE_X55Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y89 IS_PAD 0 SITEPROP SLICE_X55Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y89 IS_RESERVED 0 SITEPROP SLICE_X55Y89 IS_TEST 0 SITEPROP SLICE_X55Y89 IS_USED 0 SITEPROP SLICE_X55Y89 MANUAL_ROUTING SITEPROP SLICE_X55Y89 NAME SLICE_X55Y89 SITEPROP SLICE_X55Y89 NUM_ARCS 138 SITEPROP SLICE_X55Y89 NUM_BELS 32 SITEPROP SLICE_X55Y89 NUM_INPUTS 32 SITEPROP SLICE_X55Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y89 NUM_PINS 45 SITEPROP SLICE_X55Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y89 PROHIBIT 0 SITEPROP SLICE_X55Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y89 RPM_X 147 SITEPROP SLICE_X55Y89 RPM_Y 178 SITEPROP SLICE_X55Y89 SITE_PIPS SITEPROP SLICE_X55Y89 SITE_TYPE SLICEL SITEPROP SLICE_X55Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y90 CLASS site SITEPROP SLICE_X55Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y90 IS_BONDED 0 SITEPROP SLICE_X55Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y90 IS_PAD 0 SITEPROP SLICE_X55Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y90 IS_RESERVED 0 SITEPROP SLICE_X55Y90 IS_TEST 0 SITEPROP SLICE_X55Y90 IS_USED 0 SITEPROP SLICE_X55Y90 MANUAL_ROUTING SITEPROP SLICE_X55Y90 NAME SLICE_X55Y90 SITEPROP SLICE_X55Y90 NUM_ARCS 138 SITEPROP SLICE_X55Y90 NUM_BELS 32 SITEPROP SLICE_X55Y90 NUM_INPUTS 32 SITEPROP SLICE_X55Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y90 NUM_PINS 45 SITEPROP SLICE_X55Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y90 PROHIBIT 0 SITEPROP SLICE_X55Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y90 RPM_X 147 SITEPROP SLICE_X55Y90 RPM_Y 180 SITEPROP SLICE_X55Y90 SITE_PIPS SITEPROP SLICE_X55Y90 SITE_TYPE SLICEL SITEPROP SLICE_X55Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y91 CLASS site SITEPROP SLICE_X55Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y91 IS_BONDED 0 SITEPROP SLICE_X55Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y91 IS_PAD 0 SITEPROP SLICE_X55Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y91 IS_RESERVED 0 SITEPROP SLICE_X55Y91 IS_TEST 0 SITEPROP SLICE_X55Y91 IS_USED 0 SITEPROP SLICE_X55Y91 MANUAL_ROUTING SITEPROP SLICE_X55Y91 NAME SLICE_X55Y91 SITEPROP SLICE_X55Y91 NUM_ARCS 138 SITEPROP SLICE_X55Y91 NUM_BELS 32 SITEPROP SLICE_X55Y91 NUM_INPUTS 32 SITEPROP SLICE_X55Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y91 NUM_PINS 45 SITEPROP SLICE_X55Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y91 PROHIBIT 0 SITEPROP SLICE_X55Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y91 RPM_X 147 SITEPROP SLICE_X55Y91 RPM_Y 182 SITEPROP SLICE_X55Y91 SITE_PIPS SITEPROP SLICE_X55Y91 SITE_TYPE SLICEL SITEPROP SLICE_X55Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y92 CLASS site SITEPROP SLICE_X55Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y92 IS_BONDED 0 SITEPROP SLICE_X55Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y92 IS_PAD 0 SITEPROP SLICE_X55Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y92 IS_RESERVED 0 SITEPROP SLICE_X55Y92 IS_TEST 0 SITEPROP SLICE_X55Y92 IS_USED 0 SITEPROP SLICE_X55Y92 MANUAL_ROUTING SITEPROP SLICE_X55Y92 NAME SLICE_X55Y92 SITEPROP SLICE_X55Y92 NUM_ARCS 138 SITEPROP SLICE_X55Y92 NUM_BELS 32 SITEPROP SLICE_X55Y92 NUM_INPUTS 32 SITEPROP SLICE_X55Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y92 NUM_PINS 45 SITEPROP SLICE_X55Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y92 PROHIBIT 0 SITEPROP SLICE_X55Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y92 RPM_X 147 SITEPROP SLICE_X55Y92 RPM_Y 184 SITEPROP SLICE_X55Y92 SITE_PIPS SITEPROP SLICE_X55Y92 SITE_TYPE SLICEL SITEPROP SLICE_X55Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y93 CLASS site SITEPROP SLICE_X55Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y93 IS_BONDED 0 SITEPROP SLICE_X55Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y93 IS_PAD 0 SITEPROP SLICE_X55Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y93 IS_RESERVED 0 SITEPROP SLICE_X55Y93 IS_TEST 0 SITEPROP SLICE_X55Y93 IS_USED 0 SITEPROP SLICE_X55Y93 MANUAL_ROUTING SITEPROP SLICE_X55Y93 NAME SLICE_X55Y93 SITEPROP SLICE_X55Y93 NUM_ARCS 138 SITEPROP SLICE_X55Y93 NUM_BELS 32 SITEPROP SLICE_X55Y93 NUM_INPUTS 32 SITEPROP SLICE_X55Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y93 NUM_PINS 45 SITEPROP SLICE_X55Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y93 PROHIBIT 0 SITEPROP SLICE_X55Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y93 RPM_X 147 SITEPROP SLICE_X55Y93 RPM_Y 186 SITEPROP SLICE_X55Y93 SITE_PIPS SITEPROP SLICE_X55Y93 SITE_TYPE SLICEL SITEPROP SLICE_X55Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y94 CLASS site SITEPROP SLICE_X55Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y94 IS_BONDED 0 SITEPROP SLICE_X55Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y94 IS_PAD 0 SITEPROP SLICE_X55Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y94 IS_RESERVED 0 SITEPROP SLICE_X55Y94 IS_TEST 0 SITEPROP SLICE_X55Y94 IS_USED 0 SITEPROP SLICE_X55Y94 MANUAL_ROUTING SITEPROP SLICE_X55Y94 NAME SLICE_X55Y94 SITEPROP SLICE_X55Y94 NUM_ARCS 138 SITEPROP SLICE_X55Y94 NUM_BELS 32 SITEPROP SLICE_X55Y94 NUM_INPUTS 32 SITEPROP SLICE_X55Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y94 NUM_PINS 45 SITEPROP SLICE_X55Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y94 PROHIBIT 0 SITEPROP SLICE_X55Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y94 RPM_X 147 SITEPROP SLICE_X55Y94 RPM_Y 188 SITEPROP SLICE_X55Y94 SITE_PIPS SITEPROP SLICE_X55Y94 SITE_TYPE SLICEL SITEPROP SLICE_X55Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y95 CLASS site SITEPROP SLICE_X55Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y95 IS_BONDED 0 SITEPROP SLICE_X55Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y95 IS_PAD 0 SITEPROP SLICE_X55Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y95 IS_RESERVED 0 SITEPROP SLICE_X55Y95 IS_TEST 0 SITEPROP SLICE_X55Y95 IS_USED 0 SITEPROP SLICE_X55Y95 MANUAL_ROUTING SITEPROP SLICE_X55Y95 NAME SLICE_X55Y95 SITEPROP SLICE_X55Y95 NUM_ARCS 138 SITEPROP SLICE_X55Y95 NUM_BELS 32 SITEPROP SLICE_X55Y95 NUM_INPUTS 32 SITEPROP SLICE_X55Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y95 NUM_PINS 45 SITEPROP SLICE_X55Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y95 PROHIBIT 0 SITEPROP SLICE_X55Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y95 RPM_X 147 SITEPROP SLICE_X55Y95 RPM_Y 190 SITEPROP SLICE_X55Y95 SITE_PIPS SITEPROP SLICE_X55Y95 SITE_TYPE SLICEL SITEPROP SLICE_X55Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y96 CLASS site SITEPROP SLICE_X55Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y96 IS_BONDED 0 SITEPROP SLICE_X55Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y96 IS_PAD 0 SITEPROP SLICE_X55Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y96 IS_RESERVED 0 SITEPROP SLICE_X55Y96 IS_TEST 0 SITEPROP SLICE_X55Y96 IS_USED 0 SITEPROP SLICE_X55Y96 MANUAL_ROUTING SITEPROP SLICE_X55Y96 NAME SLICE_X55Y96 SITEPROP SLICE_X55Y96 NUM_ARCS 138 SITEPROP SLICE_X55Y96 NUM_BELS 32 SITEPROP SLICE_X55Y96 NUM_INPUTS 32 SITEPROP SLICE_X55Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y96 NUM_PINS 45 SITEPROP SLICE_X55Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y96 PROHIBIT 0 SITEPROP SLICE_X55Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y96 RPM_X 147 SITEPROP SLICE_X55Y96 RPM_Y 192 SITEPROP SLICE_X55Y96 SITE_PIPS SITEPROP SLICE_X55Y96 SITE_TYPE SLICEL SITEPROP SLICE_X55Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y97 CLASS site SITEPROP SLICE_X55Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y97 IS_BONDED 0 SITEPROP SLICE_X55Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y97 IS_PAD 0 SITEPROP SLICE_X55Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y97 IS_RESERVED 0 SITEPROP SLICE_X55Y97 IS_TEST 0 SITEPROP SLICE_X55Y97 IS_USED 0 SITEPROP SLICE_X55Y97 MANUAL_ROUTING SITEPROP SLICE_X55Y97 NAME SLICE_X55Y97 SITEPROP SLICE_X55Y97 NUM_ARCS 138 SITEPROP SLICE_X55Y97 NUM_BELS 32 SITEPROP SLICE_X55Y97 NUM_INPUTS 32 SITEPROP SLICE_X55Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y97 NUM_PINS 45 SITEPROP SLICE_X55Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y97 PROHIBIT 0 SITEPROP SLICE_X55Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y97 RPM_X 147 SITEPROP SLICE_X55Y97 RPM_Y 194 SITEPROP SLICE_X55Y97 SITE_PIPS SITEPROP SLICE_X55Y97 SITE_TYPE SLICEL SITEPROP SLICE_X55Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y98 CLASS site SITEPROP SLICE_X55Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y98 IS_BONDED 0 SITEPROP SLICE_X55Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y98 IS_PAD 0 SITEPROP SLICE_X55Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y98 IS_RESERVED 0 SITEPROP SLICE_X55Y98 IS_TEST 0 SITEPROP SLICE_X55Y98 IS_USED 0 SITEPROP SLICE_X55Y98 MANUAL_ROUTING SITEPROP SLICE_X55Y98 NAME SLICE_X55Y98 SITEPROP SLICE_X55Y98 NUM_ARCS 138 SITEPROP SLICE_X55Y98 NUM_BELS 32 SITEPROP SLICE_X55Y98 NUM_INPUTS 32 SITEPROP SLICE_X55Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y98 NUM_PINS 45 SITEPROP SLICE_X55Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y98 PROHIBIT 0 SITEPROP SLICE_X55Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y98 RPM_X 147 SITEPROP SLICE_X55Y98 RPM_Y 196 SITEPROP SLICE_X55Y98 SITE_PIPS SITEPROP SLICE_X55Y98 SITE_TYPE SLICEL SITEPROP SLICE_X55Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y99 CLASS site SITEPROP SLICE_X55Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X55Y99 IS_BONDED 0 SITEPROP SLICE_X55Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y99 IS_PAD 0 SITEPROP SLICE_X55Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y99 IS_RESERVED 0 SITEPROP SLICE_X55Y99 IS_TEST 0 SITEPROP SLICE_X55Y99 IS_USED 0 SITEPROP SLICE_X55Y99 MANUAL_ROUTING SITEPROP SLICE_X55Y99 NAME SLICE_X55Y99 SITEPROP SLICE_X55Y99 NUM_ARCS 138 SITEPROP SLICE_X55Y99 NUM_BELS 32 SITEPROP SLICE_X55Y99 NUM_INPUTS 32 SITEPROP SLICE_X55Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y99 NUM_PINS 45 SITEPROP SLICE_X55Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y99 PROHIBIT 0 SITEPROP SLICE_X55Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y99 RPM_X 147 SITEPROP SLICE_X55Y99 RPM_Y 198 SITEPROP SLICE_X55Y99 SITE_PIPS SITEPROP SLICE_X55Y99 SITE_TYPE SLICEL SITEPROP SLICE_X55Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y100 CLASS site SITEPROP SLICE_X55Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y100 IS_BONDED 0 SITEPROP SLICE_X55Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y100 IS_PAD 0 SITEPROP SLICE_X55Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y100 IS_RESERVED 0 SITEPROP SLICE_X55Y100 IS_TEST 0 SITEPROP SLICE_X55Y100 IS_USED 0 SITEPROP SLICE_X55Y100 MANUAL_ROUTING SITEPROP SLICE_X55Y100 NAME SLICE_X55Y100 SITEPROP SLICE_X55Y100 NUM_ARCS 138 SITEPROP SLICE_X55Y100 NUM_BELS 32 SITEPROP SLICE_X55Y100 NUM_INPUTS 32 SITEPROP SLICE_X55Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y100 NUM_PINS 45 SITEPROP SLICE_X55Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y100 PROHIBIT 0 SITEPROP SLICE_X55Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y100 RPM_X 147 SITEPROP SLICE_X55Y100 RPM_Y 200 SITEPROP SLICE_X55Y100 SITE_PIPS SITEPROP SLICE_X55Y100 SITE_TYPE SLICEL SITEPROP SLICE_X55Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y101 CLASS site SITEPROP SLICE_X55Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y101 IS_BONDED 0 SITEPROP SLICE_X55Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y101 IS_PAD 0 SITEPROP SLICE_X55Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y101 IS_RESERVED 0 SITEPROP SLICE_X55Y101 IS_TEST 0 SITEPROP SLICE_X55Y101 IS_USED 0 SITEPROP SLICE_X55Y101 MANUAL_ROUTING SITEPROP SLICE_X55Y101 NAME SLICE_X55Y101 SITEPROP SLICE_X55Y101 NUM_ARCS 138 SITEPROP SLICE_X55Y101 NUM_BELS 32 SITEPROP SLICE_X55Y101 NUM_INPUTS 32 SITEPROP SLICE_X55Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y101 NUM_PINS 45 SITEPROP SLICE_X55Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y101 PROHIBIT 0 SITEPROP SLICE_X55Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y101 RPM_X 147 SITEPROP SLICE_X55Y101 RPM_Y 202 SITEPROP SLICE_X55Y101 SITE_PIPS SITEPROP SLICE_X55Y101 SITE_TYPE SLICEL SITEPROP SLICE_X55Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y102 CLASS site SITEPROP SLICE_X55Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y102 IS_BONDED 0 SITEPROP SLICE_X55Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y102 IS_PAD 0 SITEPROP SLICE_X55Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y102 IS_RESERVED 0 SITEPROP SLICE_X55Y102 IS_TEST 0 SITEPROP SLICE_X55Y102 IS_USED 0 SITEPROP SLICE_X55Y102 MANUAL_ROUTING SITEPROP SLICE_X55Y102 NAME SLICE_X55Y102 SITEPROP SLICE_X55Y102 NUM_ARCS 138 SITEPROP SLICE_X55Y102 NUM_BELS 32 SITEPROP SLICE_X55Y102 NUM_INPUTS 32 SITEPROP SLICE_X55Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y102 NUM_PINS 45 SITEPROP SLICE_X55Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y102 PROHIBIT 0 SITEPROP SLICE_X55Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y102 RPM_X 147 SITEPROP SLICE_X55Y102 RPM_Y 204 SITEPROP SLICE_X55Y102 SITE_PIPS SITEPROP SLICE_X55Y102 SITE_TYPE SLICEL SITEPROP SLICE_X55Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y103 CLASS site SITEPROP SLICE_X55Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y103 IS_BONDED 0 SITEPROP SLICE_X55Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y103 IS_PAD 0 SITEPROP SLICE_X55Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y103 IS_RESERVED 0 SITEPROP SLICE_X55Y103 IS_TEST 0 SITEPROP SLICE_X55Y103 IS_USED 0 SITEPROP SLICE_X55Y103 MANUAL_ROUTING SITEPROP SLICE_X55Y103 NAME SLICE_X55Y103 SITEPROP SLICE_X55Y103 NUM_ARCS 138 SITEPROP SLICE_X55Y103 NUM_BELS 32 SITEPROP SLICE_X55Y103 NUM_INPUTS 32 SITEPROP SLICE_X55Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y103 NUM_PINS 45 SITEPROP SLICE_X55Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y103 PROHIBIT 0 SITEPROP SLICE_X55Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y103 RPM_X 147 SITEPROP SLICE_X55Y103 RPM_Y 206 SITEPROP SLICE_X55Y103 SITE_PIPS SITEPROP SLICE_X55Y103 SITE_TYPE SLICEL SITEPROP SLICE_X55Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y104 CLASS site SITEPROP SLICE_X55Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y104 IS_BONDED 0 SITEPROP SLICE_X55Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y104 IS_PAD 0 SITEPROP SLICE_X55Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y104 IS_RESERVED 0 SITEPROP SLICE_X55Y104 IS_TEST 0 SITEPROP SLICE_X55Y104 IS_USED 0 SITEPROP SLICE_X55Y104 MANUAL_ROUTING SITEPROP SLICE_X55Y104 NAME SLICE_X55Y104 SITEPROP SLICE_X55Y104 NUM_ARCS 138 SITEPROP SLICE_X55Y104 NUM_BELS 32 SITEPROP SLICE_X55Y104 NUM_INPUTS 32 SITEPROP SLICE_X55Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y104 NUM_PINS 45 SITEPROP SLICE_X55Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y104 PROHIBIT 0 SITEPROP SLICE_X55Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y104 RPM_X 147 SITEPROP SLICE_X55Y104 RPM_Y 208 SITEPROP SLICE_X55Y104 SITE_PIPS SITEPROP SLICE_X55Y104 SITE_TYPE SLICEL SITEPROP SLICE_X55Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y105 CLASS site SITEPROP SLICE_X55Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y105 IS_BONDED 0 SITEPROP SLICE_X55Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y105 IS_PAD 0 SITEPROP SLICE_X55Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y105 IS_RESERVED 0 SITEPROP SLICE_X55Y105 IS_TEST 0 SITEPROP SLICE_X55Y105 IS_USED 0 SITEPROP SLICE_X55Y105 MANUAL_ROUTING SITEPROP SLICE_X55Y105 NAME SLICE_X55Y105 SITEPROP SLICE_X55Y105 NUM_ARCS 138 SITEPROP SLICE_X55Y105 NUM_BELS 32 SITEPROP SLICE_X55Y105 NUM_INPUTS 32 SITEPROP SLICE_X55Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y105 NUM_PINS 45 SITEPROP SLICE_X55Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y105 PROHIBIT 0 SITEPROP SLICE_X55Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y105 RPM_X 147 SITEPROP SLICE_X55Y105 RPM_Y 210 SITEPROP SLICE_X55Y105 SITE_PIPS SITEPROP SLICE_X55Y105 SITE_TYPE SLICEL SITEPROP SLICE_X55Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y106 CLASS site SITEPROP SLICE_X55Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y106 IS_BONDED 0 SITEPROP SLICE_X55Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y106 IS_PAD 0 SITEPROP SLICE_X55Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y106 IS_RESERVED 0 SITEPROP SLICE_X55Y106 IS_TEST 0 SITEPROP SLICE_X55Y106 IS_USED 0 SITEPROP SLICE_X55Y106 MANUAL_ROUTING SITEPROP SLICE_X55Y106 NAME SLICE_X55Y106 SITEPROP SLICE_X55Y106 NUM_ARCS 138 SITEPROP SLICE_X55Y106 NUM_BELS 32 SITEPROP SLICE_X55Y106 NUM_INPUTS 32 SITEPROP SLICE_X55Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y106 NUM_PINS 45 SITEPROP SLICE_X55Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y106 PROHIBIT 0 SITEPROP SLICE_X55Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y106 RPM_X 147 SITEPROP SLICE_X55Y106 RPM_Y 212 SITEPROP SLICE_X55Y106 SITE_PIPS SITEPROP SLICE_X55Y106 SITE_TYPE SLICEL SITEPROP SLICE_X55Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y107 CLASS site SITEPROP SLICE_X55Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y107 IS_BONDED 0 SITEPROP SLICE_X55Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y107 IS_PAD 0 SITEPROP SLICE_X55Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y107 IS_RESERVED 0 SITEPROP SLICE_X55Y107 IS_TEST 0 SITEPROP SLICE_X55Y107 IS_USED 0 SITEPROP SLICE_X55Y107 MANUAL_ROUTING SITEPROP SLICE_X55Y107 NAME SLICE_X55Y107 SITEPROP SLICE_X55Y107 NUM_ARCS 138 SITEPROP SLICE_X55Y107 NUM_BELS 32 SITEPROP SLICE_X55Y107 NUM_INPUTS 32 SITEPROP SLICE_X55Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y107 NUM_PINS 45 SITEPROP SLICE_X55Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y107 PROHIBIT 0 SITEPROP SLICE_X55Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y107 RPM_X 147 SITEPROP SLICE_X55Y107 RPM_Y 214 SITEPROP SLICE_X55Y107 SITE_PIPS SITEPROP SLICE_X55Y107 SITE_TYPE SLICEL SITEPROP SLICE_X55Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y108 CLASS site SITEPROP SLICE_X55Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y108 IS_BONDED 0 SITEPROP SLICE_X55Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y108 IS_PAD 0 SITEPROP SLICE_X55Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y108 IS_RESERVED 0 SITEPROP SLICE_X55Y108 IS_TEST 0 SITEPROP SLICE_X55Y108 IS_USED 0 SITEPROP SLICE_X55Y108 MANUAL_ROUTING SITEPROP SLICE_X55Y108 NAME SLICE_X55Y108 SITEPROP SLICE_X55Y108 NUM_ARCS 138 SITEPROP SLICE_X55Y108 NUM_BELS 32 SITEPROP SLICE_X55Y108 NUM_INPUTS 32 SITEPROP SLICE_X55Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y108 NUM_PINS 45 SITEPROP SLICE_X55Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y108 PROHIBIT 0 SITEPROP SLICE_X55Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y108 RPM_X 147 SITEPROP SLICE_X55Y108 RPM_Y 216 SITEPROP SLICE_X55Y108 SITE_PIPS SITEPROP SLICE_X55Y108 SITE_TYPE SLICEL SITEPROP SLICE_X55Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y109 CLASS site SITEPROP SLICE_X55Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y109 IS_BONDED 0 SITEPROP SLICE_X55Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y109 IS_PAD 0 SITEPROP SLICE_X55Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y109 IS_RESERVED 0 SITEPROP SLICE_X55Y109 IS_TEST 0 SITEPROP SLICE_X55Y109 IS_USED 0 SITEPROP SLICE_X55Y109 MANUAL_ROUTING SITEPROP SLICE_X55Y109 NAME SLICE_X55Y109 SITEPROP SLICE_X55Y109 NUM_ARCS 138 SITEPROP SLICE_X55Y109 NUM_BELS 32 SITEPROP SLICE_X55Y109 NUM_INPUTS 32 SITEPROP SLICE_X55Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y109 NUM_PINS 45 SITEPROP SLICE_X55Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y109 PROHIBIT 0 SITEPROP SLICE_X55Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y109 RPM_X 147 SITEPROP SLICE_X55Y109 RPM_Y 218 SITEPROP SLICE_X55Y109 SITE_PIPS SITEPROP SLICE_X55Y109 SITE_TYPE SLICEL SITEPROP SLICE_X55Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y110 CLASS site SITEPROP SLICE_X55Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y110 IS_BONDED 0 SITEPROP SLICE_X55Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y110 IS_PAD 0 SITEPROP SLICE_X55Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y110 IS_RESERVED 0 SITEPROP SLICE_X55Y110 IS_TEST 0 SITEPROP SLICE_X55Y110 IS_USED 0 SITEPROP SLICE_X55Y110 MANUAL_ROUTING SITEPROP SLICE_X55Y110 NAME SLICE_X55Y110 SITEPROP SLICE_X55Y110 NUM_ARCS 138 SITEPROP SLICE_X55Y110 NUM_BELS 32 SITEPROP SLICE_X55Y110 NUM_INPUTS 32 SITEPROP SLICE_X55Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y110 NUM_PINS 45 SITEPROP SLICE_X55Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y110 PROHIBIT 0 SITEPROP SLICE_X55Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y110 RPM_X 147 SITEPROP SLICE_X55Y110 RPM_Y 220 SITEPROP SLICE_X55Y110 SITE_PIPS SITEPROP SLICE_X55Y110 SITE_TYPE SLICEL SITEPROP SLICE_X55Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y111 CLASS site SITEPROP SLICE_X55Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y111 IS_BONDED 0 SITEPROP SLICE_X55Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y111 IS_PAD 0 SITEPROP SLICE_X55Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y111 IS_RESERVED 0 SITEPROP SLICE_X55Y111 IS_TEST 0 SITEPROP SLICE_X55Y111 IS_USED 0 SITEPROP SLICE_X55Y111 MANUAL_ROUTING SITEPROP SLICE_X55Y111 NAME SLICE_X55Y111 SITEPROP SLICE_X55Y111 NUM_ARCS 138 SITEPROP SLICE_X55Y111 NUM_BELS 32 SITEPROP SLICE_X55Y111 NUM_INPUTS 32 SITEPROP SLICE_X55Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y111 NUM_PINS 45 SITEPROP SLICE_X55Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y111 PROHIBIT 0 SITEPROP SLICE_X55Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y111 RPM_X 147 SITEPROP SLICE_X55Y111 RPM_Y 222 SITEPROP SLICE_X55Y111 SITE_PIPS SITEPROP SLICE_X55Y111 SITE_TYPE SLICEL SITEPROP SLICE_X55Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y112 CLASS site SITEPROP SLICE_X55Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y112 IS_BONDED 0 SITEPROP SLICE_X55Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y112 IS_PAD 0 SITEPROP SLICE_X55Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y112 IS_RESERVED 0 SITEPROP SLICE_X55Y112 IS_TEST 0 SITEPROP SLICE_X55Y112 IS_USED 0 SITEPROP SLICE_X55Y112 MANUAL_ROUTING SITEPROP SLICE_X55Y112 NAME SLICE_X55Y112 SITEPROP SLICE_X55Y112 NUM_ARCS 138 SITEPROP SLICE_X55Y112 NUM_BELS 32 SITEPROP SLICE_X55Y112 NUM_INPUTS 32 SITEPROP SLICE_X55Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y112 NUM_PINS 45 SITEPROP SLICE_X55Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y112 PROHIBIT 0 SITEPROP SLICE_X55Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y112 RPM_X 147 SITEPROP SLICE_X55Y112 RPM_Y 224 SITEPROP SLICE_X55Y112 SITE_PIPS SITEPROP SLICE_X55Y112 SITE_TYPE SLICEL SITEPROP SLICE_X55Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y113 CLASS site SITEPROP SLICE_X55Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y113 IS_BONDED 0 SITEPROP SLICE_X55Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y113 IS_PAD 0 SITEPROP SLICE_X55Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y113 IS_RESERVED 0 SITEPROP SLICE_X55Y113 IS_TEST 0 SITEPROP SLICE_X55Y113 IS_USED 0 SITEPROP SLICE_X55Y113 MANUAL_ROUTING SITEPROP SLICE_X55Y113 NAME SLICE_X55Y113 SITEPROP SLICE_X55Y113 NUM_ARCS 138 SITEPROP SLICE_X55Y113 NUM_BELS 32 SITEPROP SLICE_X55Y113 NUM_INPUTS 32 SITEPROP SLICE_X55Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y113 NUM_PINS 45 SITEPROP SLICE_X55Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y113 PROHIBIT 0 SITEPROP SLICE_X55Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y113 RPM_X 147 SITEPROP SLICE_X55Y113 RPM_Y 226 SITEPROP SLICE_X55Y113 SITE_PIPS SITEPROP SLICE_X55Y113 SITE_TYPE SLICEL SITEPROP SLICE_X55Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y114 CLASS site SITEPROP SLICE_X55Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y114 IS_BONDED 0 SITEPROP SLICE_X55Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y114 IS_PAD 0 SITEPROP SLICE_X55Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y114 IS_RESERVED 0 SITEPROP SLICE_X55Y114 IS_TEST 0 SITEPROP SLICE_X55Y114 IS_USED 0 SITEPROP SLICE_X55Y114 MANUAL_ROUTING SITEPROP SLICE_X55Y114 NAME SLICE_X55Y114 SITEPROP SLICE_X55Y114 NUM_ARCS 138 SITEPROP SLICE_X55Y114 NUM_BELS 32 SITEPROP SLICE_X55Y114 NUM_INPUTS 32 SITEPROP SLICE_X55Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y114 NUM_PINS 45 SITEPROP SLICE_X55Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y114 PROHIBIT 0 SITEPROP SLICE_X55Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y114 RPM_X 147 SITEPROP SLICE_X55Y114 RPM_Y 228 SITEPROP SLICE_X55Y114 SITE_PIPS SITEPROP SLICE_X55Y114 SITE_TYPE SLICEL SITEPROP SLICE_X55Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y115 CLASS site SITEPROP SLICE_X55Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y115 IS_BONDED 0 SITEPROP SLICE_X55Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y115 IS_PAD 0 SITEPROP SLICE_X55Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y115 IS_RESERVED 0 SITEPROP SLICE_X55Y115 IS_TEST 0 SITEPROP SLICE_X55Y115 IS_USED 0 SITEPROP SLICE_X55Y115 MANUAL_ROUTING SITEPROP SLICE_X55Y115 NAME SLICE_X55Y115 SITEPROP SLICE_X55Y115 NUM_ARCS 138 SITEPROP SLICE_X55Y115 NUM_BELS 32 SITEPROP SLICE_X55Y115 NUM_INPUTS 32 SITEPROP SLICE_X55Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y115 NUM_PINS 45 SITEPROP SLICE_X55Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y115 PROHIBIT 0 SITEPROP SLICE_X55Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y115 RPM_X 147 SITEPROP SLICE_X55Y115 RPM_Y 230 SITEPROP SLICE_X55Y115 SITE_PIPS SITEPROP SLICE_X55Y115 SITE_TYPE SLICEL SITEPROP SLICE_X55Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y116 CLASS site SITEPROP SLICE_X55Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y116 IS_BONDED 0 SITEPROP SLICE_X55Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y116 IS_PAD 0 SITEPROP SLICE_X55Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y116 IS_RESERVED 0 SITEPROP SLICE_X55Y116 IS_TEST 0 SITEPROP SLICE_X55Y116 IS_USED 0 SITEPROP SLICE_X55Y116 MANUAL_ROUTING SITEPROP SLICE_X55Y116 NAME SLICE_X55Y116 SITEPROP SLICE_X55Y116 NUM_ARCS 138 SITEPROP SLICE_X55Y116 NUM_BELS 32 SITEPROP SLICE_X55Y116 NUM_INPUTS 32 SITEPROP SLICE_X55Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y116 NUM_PINS 45 SITEPROP SLICE_X55Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y116 PROHIBIT 0 SITEPROP SLICE_X55Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y116 RPM_X 147 SITEPROP SLICE_X55Y116 RPM_Y 232 SITEPROP SLICE_X55Y116 SITE_PIPS SITEPROP SLICE_X55Y116 SITE_TYPE SLICEL SITEPROP SLICE_X55Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y117 CLASS site SITEPROP SLICE_X55Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y117 IS_BONDED 0 SITEPROP SLICE_X55Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y117 IS_PAD 0 SITEPROP SLICE_X55Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y117 IS_RESERVED 0 SITEPROP SLICE_X55Y117 IS_TEST 0 SITEPROP SLICE_X55Y117 IS_USED 0 SITEPROP SLICE_X55Y117 MANUAL_ROUTING SITEPROP SLICE_X55Y117 NAME SLICE_X55Y117 SITEPROP SLICE_X55Y117 NUM_ARCS 138 SITEPROP SLICE_X55Y117 NUM_BELS 32 SITEPROP SLICE_X55Y117 NUM_INPUTS 32 SITEPROP SLICE_X55Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y117 NUM_PINS 45 SITEPROP SLICE_X55Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y117 PROHIBIT 0 SITEPROP SLICE_X55Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y117 RPM_X 147 SITEPROP SLICE_X55Y117 RPM_Y 234 SITEPROP SLICE_X55Y117 SITE_PIPS SITEPROP SLICE_X55Y117 SITE_TYPE SLICEL SITEPROP SLICE_X55Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y118 CLASS site SITEPROP SLICE_X55Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y118 IS_BONDED 0 SITEPROP SLICE_X55Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y118 IS_PAD 0 SITEPROP SLICE_X55Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y118 IS_RESERVED 0 SITEPROP SLICE_X55Y118 IS_TEST 0 SITEPROP SLICE_X55Y118 IS_USED 0 SITEPROP SLICE_X55Y118 MANUAL_ROUTING SITEPROP SLICE_X55Y118 NAME SLICE_X55Y118 SITEPROP SLICE_X55Y118 NUM_ARCS 138 SITEPROP SLICE_X55Y118 NUM_BELS 32 SITEPROP SLICE_X55Y118 NUM_INPUTS 32 SITEPROP SLICE_X55Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y118 NUM_PINS 45 SITEPROP SLICE_X55Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y118 PROHIBIT 0 SITEPROP SLICE_X55Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y118 RPM_X 147 SITEPROP SLICE_X55Y118 RPM_Y 236 SITEPROP SLICE_X55Y118 SITE_PIPS SITEPROP SLICE_X55Y118 SITE_TYPE SLICEL SITEPROP SLICE_X55Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y119 CLASS site SITEPROP SLICE_X55Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y119 IS_BONDED 0 SITEPROP SLICE_X55Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y119 IS_PAD 0 SITEPROP SLICE_X55Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y119 IS_RESERVED 0 SITEPROP SLICE_X55Y119 IS_TEST 0 SITEPROP SLICE_X55Y119 IS_USED 0 SITEPROP SLICE_X55Y119 MANUAL_ROUTING SITEPROP SLICE_X55Y119 NAME SLICE_X55Y119 SITEPROP SLICE_X55Y119 NUM_ARCS 138 SITEPROP SLICE_X55Y119 NUM_BELS 32 SITEPROP SLICE_X55Y119 NUM_INPUTS 32 SITEPROP SLICE_X55Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y119 NUM_PINS 45 SITEPROP SLICE_X55Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y119 PROHIBIT 0 SITEPROP SLICE_X55Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y119 RPM_X 147 SITEPROP SLICE_X55Y119 RPM_Y 238 SITEPROP SLICE_X55Y119 SITE_PIPS SITEPROP SLICE_X55Y119 SITE_TYPE SLICEL SITEPROP SLICE_X55Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y120 CLASS site SITEPROP SLICE_X55Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y120 IS_BONDED 0 SITEPROP SLICE_X55Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y120 IS_PAD 0 SITEPROP SLICE_X55Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y120 IS_RESERVED 0 SITEPROP SLICE_X55Y120 IS_TEST 0 SITEPROP SLICE_X55Y120 IS_USED 0 SITEPROP SLICE_X55Y120 MANUAL_ROUTING SITEPROP SLICE_X55Y120 NAME SLICE_X55Y120 SITEPROP SLICE_X55Y120 NUM_ARCS 138 SITEPROP SLICE_X55Y120 NUM_BELS 32 SITEPROP SLICE_X55Y120 NUM_INPUTS 32 SITEPROP SLICE_X55Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y120 NUM_PINS 45 SITEPROP SLICE_X55Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y120 PROHIBIT 0 SITEPROP SLICE_X55Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y120 RPM_X 147 SITEPROP SLICE_X55Y120 RPM_Y 240 SITEPROP SLICE_X55Y120 SITE_PIPS SITEPROP SLICE_X55Y120 SITE_TYPE SLICEL SITEPROP SLICE_X55Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y121 CLASS site SITEPROP SLICE_X55Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y121 IS_BONDED 0 SITEPROP SLICE_X55Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y121 IS_PAD 0 SITEPROP SLICE_X55Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y121 IS_RESERVED 0 SITEPROP SLICE_X55Y121 IS_TEST 0 SITEPROP SLICE_X55Y121 IS_USED 0 SITEPROP SLICE_X55Y121 MANUAL_ROUTING SITEPROP SLICE_X55Y121 NAME SLICE_X55Y121 SITEPROP SLICE_X55Y121 NUM_ARCS 138 SITEPROP SLICE_X55Y121 NUM_BELS 32 SITEPROP SLICE_X55Y121 NUM_INPUTS 32 SITEPROP SLICE_X55Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y121 NUM_PINS 45 SITEPROP SLICE_X55Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y121 PROHIBIT 0 SITEPROP SLICE_X55Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y121 RPM_X 147 SITEPROP SLICE_X55Y121 RPM_Y 242 SITEPROP SLICE_X55Y121 SITE_PIPS SITEPROP SLICE_X55Y121 SITE_TYPE SLICEL SITEPROP SLICE_X55Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y122 CLASS site SITEPROP SLICE_X55Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y122 IS_BONDED 0 SITEPROP SLICE_X55Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y122 IS_PAD 0 SITEPROP SLICE_X55Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y122 IS_RESERVED 0 SITEPROP SLICE_X55Y122 IS_TEST 0 SITEPROP SLICE_X55Y122 IS_USED 0 SITEPROP SLICE_X55Y122 MANUAL_ROUTING SITEPROP SLICE_X55Y122 NAME SLICE_X55Y122 SITEPROP SLICE_X55Y122 NUM_ARCS 138 SITEPROP SLICE_X55Y122 NUM_BELS 32 SITEPROP SLICE_X55Y122 NUM_INPUTS 32 SITEPROP SLICE_X55Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y122 NUM_PINS 45 SITEPROP SLICE_X55Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y122 PROHIBIT 0 SITEPROP SLICE_X55Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y122 RPM_X 147 SITEPROP SLICE_X55Y122 RPM_Y 244 SITEPROP SLICE_X55Y122 SITE_PIPS SITEPROP SLICE_X55Y122 SITE_TYPE SLICEL SITEPROP SLICE_X55Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y123 CLASS site SITEPROP SLICE_X55Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y123 IS_BONDED 0 SITEPROP SLICE_X55Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y123 IS_PAD 0 SITEPROP SLICE_X55Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y123 IS_RESERVED 0 SITEPROP SLICE_X55Y123 IS_TEST 0 SITEPROP SLICE_X55Y123 IS_USED 0 SITEPROP SLICE_X55Y123 MANUAL_ROUTING SITEPROP SLICE_X55Y123 NAME SLICE_X55Y123 SITEPROP SLICE_X55Y123 NUM_ARCS 138 SITEPROP SLICE_X55Y123 NUM_BELS 32 SITEPROP SLICE_X55Y123 NUM_INPUTS 32 SITEPROP SLICE_X55Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y123 NUM_PINS 45 SITEPROP SLICE_X55Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y123 PROHIBIT 0 SITEPROP SLICE_X55Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y123 RPM_X 147 SITEPROP SLICE_X55Y123 RPM_Y 246 SITEPROP SLICE_X55Y123 SITE_PIPS SITEPROP SLICE_X55Y123 SITE_TYPE SLICEL SITEPROP SLICE_X55Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y124 CLASS site SITEPROP SLICE_X55Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y124 IS_BONDED 0 SITEPROP SLICE_X55Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y124 IS_PAD 0 SITEPROP SLICE_X55Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y124 IS_RESERVED 0 SITEPROP SLICE_X55Y124 IS_TEST 0 SITEPROP SLICE_X55Y124 IS_USED 0 SITEPROP SLICE_X55Y124 MANUAL_ROUTING SITEPROP SLICE_X55Y124 NAME SLICE_X55Y124 SITEPROP SLICE_X55Y124 NUM_ARCS 138 SITEPROP SLICE_X55Y124 NUM_BELS 32 SITEPROP SLICE_X55Y124 NUM_INPUTS 32 SITEPROP SLICE_X55Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y124 NUM_PINS 45 SITEPROP SLICE_X55Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y124 PROHIBIT 0 SITEPROP SLICE_X55Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y124 RPM_X 147 SITEPROP SLICE_X55Y124 RPM_Y 248 SITEPROP SLICE_X55Y124 SITE_PIPS SITEPROP SLICE_X55Y124 SITE_TYPE SLICEL SITEPROP SLICE_X55Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y125 CLASS site SITEPROP SLICE_X55Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y125 IS_BONDED 0 SITEPROP SLICE_X55Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y125 IS_PAD 0 SITEPROP SLICE_X55Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y125 IS_RESERVED 0 SITEPROP SLICE_X55Y125 IS_TEST 0 SITEPROP SLICE_X55Y125 IS_USED 0 SITEPROP SLICE_X55Y125 MANUAL_ROUTING SITEPROP SLICE_X55Y125 NAME SLICE_X55Y125 SITEPROP SLICE_X55Y125 NUM_ARCS 138 SITEPROP SLICE_X55Y125 NUM_BELS 32 SITEPROP SLICE_X55Y125 NUM_INPUTS 32 SITEPROP SLICE_X55Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y125 NUM_PINS 45 SITEPROP SLICE_X55Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y125 PROHIBIT 0 SITEPROP SLICE_X55Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y125 RPM_X 147 SITEPROP SLICE_X55Y125 RPM_Y 250 SITEPROP SLICE_X55Y125 SITE_PIPS SITEPROP SLICE_X55Y125 SITE_TYPE SLICEL SITEPROP SLICE_X55Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y126 CLASS site SITEPROP SLICE_X55Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y126 IS_BONDED 0 SITEPROP SLICE_X55Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y126 IS_PAD 0 SITEPROP SLICE_X55Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y126 IS_RESERVED 0 SITEPROP SLICE_X55Y126 IS_TEST 0 SITEPROP SLICE_X55Y126 IS_USED 0 SITEPROP SLICE_X55Y126 MANUAL_ROUTING SITEPROP SLICE_X55Y126 NAME SLICE_X55Y126 SITEPROP SLICE_X55Y126 NUM_ARCS 138 SITEPROP SLICE_X55Y126 NUM_BELS 32 SITEPROP SLICE_X55Y126 NUM_INPUTS 32 SITEPROP SLICE_X55Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y126 NUM_PINS 45 SITEPROP SLICE_X55Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y126 PROHIBIT 0 SITEPROP SLICE_X55Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y126 RPM_X 147 SITEPROP SLICE_X55Y126 RPM_Y 252 SITEPROP SLICE_X55Y126 SITE_PIPS SITEPROP SLICE_X55Y126 SITE_TYPE SLICEL SITEPROP SLICE_X55Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y127 CLASS site SITEPROP SLICE_X55Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y127 IS_BONDED 0 SITEPROP SLICE_X55Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y127 IS_PAD 0 SITEPROP SLICE_X55Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y127 IS_RESERVED 0 SITEPROP SLICE_X55Y127 IS_TEST 0 SITEPROP SLICE_X55Y127 IS_USED 0 SITEPROP SLICE_X55Y127 MANUAL_ROUTING SITEPROP SLICE_X55Y127 NAME SLICE_X55Y127 SITEPROP SLICE_X55Y127 NUM_ARCS 138 SITEPROP SLICE_X55Y127 NUM_BELS 32 SITEPROP SLICE_X55Y127 NUM_INPUTS 32 SITEPROP SLICE_X55Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y127 NUM_PINS 45 SITEPROP SLICE_X55Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y127 PROHIBIT 0 SITEPROP SLICE_X55Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y127 RPM_X 147 SITEPROP SLICE_X55Y127 RPM_Y 254 SITEPROP SLICE_X55Y127 SITE_PIPS SITEPROP SLICE_X55Y127 SITE_TYPE SLICEL SITEPROP SLICE_X55Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y128 CLASS site SITEPROP SLICE_X55Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y128 IS_BONDED 0 SITEPROP SLICE_X55Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y128 IS_PAD 0 SITEPROP SLICE_X55Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y128 IS_RESERVED 0 SITEPROP SLICE_X55Y128 IS_TEST 0 SITEPROP SLICE_X55Y128 IS_USED 0 SITEPROP SLICE_X55Y128 MANUAL_ROUTING SITEPROP SLICE_X55Y128 NAME SLICE_X55Y128 SITEPROP SLICE_X55Y128 NUM_ARCS 138 SITEPROP SLICE_X55Y128 NUM_BELS 32 SITEPROP SLICE_X55Y128 NUM_INPUTS 32 SITEPROP SLICE_X55Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y128 NUM_PINS 45 SITEPROP SLICE_X55Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y128 PROHIBIT 0 SITEPROP SLICE_X55Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y128 RPM_X 147 SITEPROP SLICE_X55Y128 RPM_Y 256 SITEPROP SLICE_X55Y128 SITE_PIPS SITEPROP SLICE_X55Y128 SITE_TYPE SLICEL SITEPROP SLICE_X55Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y129 CLASS site SITEPROP SLICE_X55Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y129 IS_BONDED 0 SITEPROP SLICE_X55Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y129 IS_PAD 0 SITEPROP SLICE_X55Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y129 IS_RESERVED 0 SITEPROP SLICE_X55Y129 IS_TEST 0 SITEPROP SLICE_X55Y129 IS_USED 0 SITEPROP SLICE_X55Y129 MANUAL_ROUTING SITEPROP SLICE_X55Y129 NAME SLICE_X55Y129 SITEPROP SLICE_X55Y129 NUM_ARCS 138 SITEPROP SLICE_X55Y129 NUM_BELS 32 SITEPROP SLICE_X55Y129 NUM_INPUTS 32 SITEPROP SLICE_X55Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y129 NUM_PINS 45 SITEPROP SLICE_X55Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y129 PROHIBIT 0 SITEPROP SLICE_X55Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y129 RPM_X 147 SITEPROP SLICE_X55Y129 RPM_Y 258 SITEPROP SLICE_X55Y129 SITE_PIPS SITEPROP SLICE_X55Y129 SITE_TYPE SLICEL SITEPROP SLICE_X55Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y130 CLASS site SITEPROP SLICE_X55Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y130 IS_BONDED 0 SITEPROP SLICE_X55Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y130 IS_PAD 0 SITEPROP SLICE_X55Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y130 IS_RESERVED 0 SITEPROP SLICE_X55Y130 IS_TEST 0 SITEPROP SLICE_X55Y130 IS_USED 0 SITEPROP SLICE_X55Y130 MANUAL_ROUTING SITEPROP SLICE_X55Y130 NAME SLICE_X55Y130 SITEPROP SLICE_X55Y130 NUM_ARCS 138 SITEPROP SLICE_X55Y130 NUM_BELS 32 SITEPROP SLICE_X55Y130 NUM_INPUTS 32 SITEPROP SLICE_X55Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y130 NUM_PINS 45 SITEPROP SLICE_X55Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y130 PROHIBIT 0 SITEPROP SLICE_X55Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y130 RPM_X 147 SITEPROP SLICE_X55Y130 RPM_Y 260 SITEPROP SLICE_X55Y130 SITE_PIPS SITEPROP SLICE_X55Y130 SITE_TYPE SLICEL SITEPROP SLICE_X55Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y131 CLASS site SITEPROP SLICE_X55Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y131 IS_BONDED 0 SITEPROP SLICE_X55Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y131 IS_PAD 0 SITEPROP SLICE_X55Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y131 IS_RESERVED 0 SITEPROP SLICE_X55Y131 IS_TEST 0 SITEPROP SLICE_X55Y131 IS_USED 0 SITEPROP SLICE_X55Y131 MANUAL_ROUTING SITEPROP SLICE_X55Y131 NAME SLICE_X55Y131 SITEPROP SLICE_X55Y131 NUM_ARCS 138 SITEPROP SLICE_X55Y131 NUM_BELS 32 SITEPROP SLICE_X55Y131 NUM_INPUTS 32 SITEPROP SLICE_X55Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y131 NUM_PINS 45 SITEPROP SLICE_X55Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y131 PROHIBIT 0 SITEPROP SLICE_X55Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y131 RPM_X 147 SITEPROP SLICE_X55Y131 RPM_Y 262 SITEPROP SLICE_X55Y131 SITE_PIPS SITEPROP SLICE_X55Y131 SITE_TYPE SLICEL SITEPROP SLICE_X55Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y132 CLASS site SITEPROP SLICE_X55Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y132 IS_BONDED 0 SITEPROP SLICE_X55Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y132 IS_PAD 0 SITEPROP SLICE_X55Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y132 IS_RESERVED 0 SITEPROP SLICE_X55Y132 IS_TEST 0 SITEPROP SLICE_X55Y132 IS_USED 0 SITEPROP SLICE_X55Y132 MANUAL_ROUTING SITEPROP SLICE_X55Y132 NAME SLICE_X55Y132 SITEPROP SLICE_X55Y132 NUM_ARCS 138 SITEPROP SLICE_X55Y132 NUM_BELS 32 SITEPROP SLICE_X55Y132 NUM_INPUTS 32 SITEPROP SLICE_X55Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y132 NUM_PINS 45 SITEPROP SLICE_X55Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y132 PROHIBIT 0 SITEPROP SLICE_X55Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y132 RPM_X 147 SITEPROP SLICE_X55Y132 RPM_Y 264 SITEPROP SLICE_X55Y132 SITE_PIPS SITEPROP SLICE_X55Y132 SITE_TYPE SLICEL SITEPROP SLICE_X55Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y133 CLASS site SITEPROP SLICE_X55Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y133 IS_BONDED 0 SITEPROP SLICE_X55Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y133 IS_PAD 0 SITEPROP SLICE_X55Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y133 IS_RESERVED 0 SITEPROP SLICE_X55Y133 IS_TEST 0 SITEPROP SLICE_X55Y133 IS_USED 0 SITEPROP SLICE_X55Y133 MANUAL_ROUTING SITEPROP SLICE_X55Y133 NAME SLICE_X55Y133 SITEPROP SLICE_X55Y133 NUM_ARCS 138 SITEPROP SLICE_X55Y133 NUM_BELS 32 SITEPROP SLICE_X55Y133 NUM_INPUTS 32 SITEPROP SLICE_X55Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y133 NUM_PINS 45 SITEPROP SLICE_X55Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y133 PROHIBIT 0 SITEPROP SLICE_X55Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y133 RPM_X 147 SITEPROP SLICE_X55Y133 RPM_Y 266 SITEPROP SLICE_X55Y133 SITE_PIPS SITEPROP SLICE_X55Y133 SITE_TYPE SLICEL SITEPROP SLICE_X55Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y134 CLASS site SITEPROP SLICE_X55Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y134 IS_BONDED 0 SITEPROP SLICE_X55Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y134 IS_PAD 0 SITEPROP SLICE_X55Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y134 IS_RESERVED 0 SITEPROP SLICE_X55Y134 IS_TEST 0 SITEPROP SLICE_X55Y134 IS_USED 0 SITEPROP SLICE_X55Y134 MANUAL_ROUTING SITEPROP SLICE_X55Y134 NAME SLICE_X55Y134 SITEPROP SLICE_X55Y134 NUM_ARCS 138 SITEPROP SLICE_X55Y134 NUM_BELS 32 SITEPROP SLICE_X55Y134 NUM_INPUTS 32 SITEPROP SLICE_X55Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y134 NUM_PINS 45 SITEPROP SLICE_X55Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y134 PROHIBIT 0 SITEPROP SLICE_X55Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y134 RPM_X 147 SITEPROP SLICE_X55Y134 RPM_Y 268 SITEPROP SLICE_X55Y134 SITE_PIPS SITEPROP SLICE_X55Y134 SITE_TYPE SLICEL SITEPROP SLICE_X55Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y135 CLASS site SITEPROP SLICE_X55Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y135 IS_BONDED 0 SITEPROP SLICE_X55Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y135 IS_PAD 0 SITEPROP SLICE_X55Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y135 IS_RESERVED 0 SITEPROP SLICE_X55Y135 IS_TEST 0 SITEPROP SLICE_X55Y135 IS_USED 0 SITEPROP SLICE_X55Y135 MANUAL_ROUTING SITEPROP SLICE_X55Y135 NAME SLICE_X55Y135 SITEPROP SLICE_X55Y135 NUM_ARCS 138 SITEPROP SLICE_X55Y135 NUM_BELS 32 SITEPROP SLICE_X55Y135 NUM_INPUTS 32 SITEPROP SLICE_X55Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y135 NUM_PINS 45 SITEPROP SLICE_X55Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y135 PROHIBIT 0 SITEPROP SLICE_X55Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y135 RPM_X 147 SITEPROP SLICE_X55Y135 RPM_Y 270 SITEPROP SLICE_X55Y135 SITE_PIPS SITEPROP SLICE_X55Y135 SITE_TYPE SLICEL SITEPROP SLICE_X55Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y136 CLASS site SITEPROP SLICE_X55Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y136 IS_BONDED 0 SITEPROP SLICE_X55Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y136 IS_PAD 0 SITEPROP SLICE_X55Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y136 IS_RESERVED 0 SITEPROP SLICE_X55Y136 IS_TEST 0 SITEPROP SLICE_X55Y136 IS_USED 0 SITEPROP SLICE_X55Y136 MANUAL_ROUTING SITEPROP SLICE_X55Y136 NAME SLICE_X55Y136 SITEPROP SLICE_X55Y136 NUM_ARCS 138 SITEPROP SLICE_X55Y136 NUM_BELS 32 SITEPROP SLICE_X55Y136 NUM_INPUTS 32 SITEPROP SLICE_X55Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y136 NUM_PINS 45 SITEPROP SLICE_X55Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y136 PROHIBIT 0 SITEPROP SLICE_X55Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y136 RPM_X 147 SITEPROP SLICE_X55Y136 RPM_Y 272 SITEPROP SLICE_X55Y136 SITE_PIPS SITEPROP SLICE_X55Y136 SITE_TYPE SLICEL SITEPROP SLICE_X55Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y137 CLASS site SITEPROP SLICE_X55Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y137 IS_BONDED 0 SITEPROP SLICE_X55Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y137 IS_PAD 0 SITEPROP SLICE_X55Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y137 IS_RESERVED 0 SITEPROP SLICE_X55Y137 IS_TEST 0 SITEPROP SLICE_X55Y137 IS_USED 0 SITEPROP SLICE_X55Y137 MANUAL_ROUTING SITEPROP SLICE_X55Y137 NAME SLICE_X55Y137 SITEPROP SLICE_X55Y137 NUM_ARCS 138 SITEPROP SLICE_X55Y137 NUM_BELS 32 SITEPROP SLICE_X55Y137 NUM_INPUTS 32 SITEPROP SLICE_X55Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y137 NUM_PINS 45 SITEPROP SLICE_X55Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y137 PROHIBIT 0 SITEPROP SLICE_X55Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y137 RPM_X 147 SITEPROP SLICE_X55Y137 RPM_Y 274 SITEPROP SLICE_X55Y137 SITE_PIPS SITEPROP SLICE_X55Y137 SITE_TYPE SLICEL SITEPROP SLICE_X55Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y138 CLASS site SITEPROP SLICE_X55Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y138 IS_BONDED 0 SITEPROP SLICE_X55Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y138 IS_PAD 0 SITEPROP SLICE_X55Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y138 IS_RESERVED 0 SITEPROP SLICE_X55Y138 IS_TEST 0 SITEPROP SLICE_X55Y138 IS_USED 0 SITEPROP SLICE_X55Y138 MANUAL_ROUTING SITEPROP SLICE_X55Y138 NAME SLICE_X55Y138 SITEPROP SLICE_X55Y138 NUM_ARCS 138 SITEPROP SLICE_X55Y138 NUM_BELS 32 SITEPROP SLICE_X55Y138 NUM_INPUTS 32 SITEPROP SLICE_X55Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y138 NUM_PINS 45 SITEPROP SLICE_X55Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y138 PROHIBIT 0 SITEPROP SLICE_X55Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y138 RPM_X 147 SITEPROP SLICE_X55Y138 RPM_Y 276 SITEPROP SLICE_X55Y138 SITE_PIPS SITEPROP SLICE_X55Y138 SITE_TYPE SLICEL SITEPROP SLICE_X55Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y139 CLASS site SITEPROP SLICE_X55Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y139 IS_BONDED 0 SITEPROP SLICE_X55Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y139 IS_PAD 0 SITEPROP SLICE_X55Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y139 IS_RESERVED 0 SITEPROP SLICE_X55Y139 IS_TEST 0 SITEPROP SLICE_X55Y139 IS_USED 0 SITEPROP SLICE_X55Y139 MANUAL_ROUTING SITEPROP SLICE_X55Y139 NAME SLICE_X55Y139 SITEPROP SLICE_X55Y139 NUM_ARCS 138 SITEPROP SLICE_X55Y139 NUM_BELS 32 SITEPROP SLICE_X55Y139 NUM_INPUTS 32 SITEPROP SLICE_X55Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y139 NUM_PINS 45 SITEPROP SLICE_X55Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y139 PROHIBIT 0 SITEPROP SLICE_X55Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y139 RPM_X 147 SITEPROP SLICE_X55Y139 RPM_Y 278 SITEPROP SLICE_X55Y139 SITE_PIPS SITEPROP SLICE_X55Y139 SITE_TYPE SLICEL SITEPROP SLICE_X55Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y140 CLASS site SITEPROP SLICE_X55Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y140 IS_BONDED 0 SITEPROP SLICE_X55Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y140 IS_PAD 0 SITEPROP SLICE_X55Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y140 IS_RESERVED 0 SITEPROP SLICE_X55Y140 IS_TEST 0 SITEPROP SLICE_X55Y140 IS_USED 0 SITEPROP SLICE_X55Y140 MANUAL_ROUTING SITEPROP SLICE_X55Y140 NAME SLICE_X55Y140 SITEPROP SLICE_X55Y140 NUM_ARCS 138 SITEPROP SLICE_X55Y140 NUM_BELS 32 SITEPROP SLICE_X55Y140 NUM_INPUTS 32 SITEPROP SLICE_X55Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y140 NUM_PINS 45 SITEPROP SLICE_X55Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y140 PROHIBIT 0 SITEPROP SLICE_X55Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y140 RPM_X 147 SITEPROP SLICE_X55Y140 RPM_Y 280 SITEPROP SLICE_X55Y140 SITE_PIPS SITEPROP SLICE_X55Y140 SITE_TYPE SLICEL SITEPROP SLICE_X55Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y141 CLASS site SITEPROP SLICE_X55Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y141 IS_BONDED 0 SITEPROP SLICE_X55Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y141 IS_PAD 0 SITEPROP SLICE_X55Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y141 IS_RESERVED 0 SITEPROP SLICE_X55Y141 IS_TEST 0 SITEPROP SLICE_X55Y141 IS_USED 0 SITEPROP SLICE_X55Y141 MANUAL_ROUTING SITEPROP SLICE_X55Y141 NAME SLICE_X55Y141 SITEPROP SLICE_X55Y141 NUM_ARCS 138 SITEPROP SLICE_X55Y141 NUM_BELS 32 SITEPROP SLICE_X55Y141 NUM_INPUTS 32 SITEPROP SLICE_X55Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y141 NUM_PINS 45 SITEPROP SLICE_X55Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y141 PROHIBIT 0 SITEPROP SLICE_X55Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y141 RPM_X 147 SITEPROP SLICE_X55Y141 RPM_Y 282 SITEPROP SLICE_X55Y141 SITE_PIPS SITEPROP SLICE_X55Y141 SITE_TYPE SLICEL SITEPROP SLICE_X55Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y142 CLASS site SITEPROP SLICE_X55Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y142 IS_BONDED 0 SITEPROP SLICE_X55Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y142 IS_PAD 0 SITEPROP SLICE_X55Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y142 IS_RESERVED 0 SITEPROP SLICE_X55Y142 IS_TEST 0 SITEPROP SLICE_X55Y142 IS_USED 0 SITEPROP SLICE_X55Y142 MANUAL_ROUTING SITEPROP SLICE_X55Y142 NAME SLICE_X55Y142 SITEPROP SLICE_X55Y142 NUM_ARCS 138 SITEPROP SLICE_X55Y142 NUM_BELS 32 SITEPROP SLICE_X55Y142 NUM_INPUTS 32 SITEPROP SLICE_X55Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y142 NUM_PINS 45 SITEPROP SLICE_X55Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y142 PROHIBIT 0 SITEPROP SLICE_X55Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y142 RPM_X 147 SITEPROP SLICE_X55Y142 RPM_Y 284 SITEPROP SLICE_X55Y142 SITE_PIPS SITEPROP SLICE_X55Y142 SITE_TYPE SLICEL SITEPROP SLICE_X55Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y143 CLASS site SITEPROP SLICE_X55Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y143 IS_BONDED 0 SITEPROP SLICE_X55Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y143 IS_PAD 0 SITEPROP SLICE_X55Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y143 IS_RESERVED 0 SITEPROP SLICE_X55Y143 IS_TEST 0 SITEPROP SLICE_X55Y143 IS_USED 0 SITEPROP SLICE_X55Y143 MANUAL_ROUTING SITEPROP SLICE_X55Y143 NAME SLICE_X55Y143 SITEPROP SLICE_X55Y143 NUM_ARCS 138 SITEPROP SLICE_X55Y143 NUM_BELS 32 SITEPROP SLICE_X55Y143 NUM_INPUTS 32 SITEPROP SLICE_X55Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y143 NUM_PINS 45 SITEPROP SLICE_X55Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y143 PROHIBIT 0 SITEPROP SLICE_X55Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y143 RPM_X 147 SITEPROP SLICE_X55Y143 RPM_Y 286 SITEPROP SLICE_X55Y143 SITE_PIPS SITEPROP SLICE_X55Y143 SITE_TYPE SLICEL SITEPROP SLICE_X55Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y144 CLASS site SITEPROP SLICE_X55Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y144 IS_BONDED 0 SITEPROP SLICE_X55Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y144 IS_PAD 0 SITEPROP SLICE_X55Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y144 IS_RESERVED 0 SITEPROP SLICE_X55Y144 IS_TEST 0 SITEPROP SLICE_X55Y144 IS_USED 0 SITEPROP SLICE_X55Y144 MANUAL_ROUTING SITEPROP SLICE_X55Y144 NAME SLICE_X55Y144 SITEPROP SLICE_X55Y144 NUM_ARCS 138 SITEPROP SLICE_X55Y144 NUM_BELS 32 SITEPROP SLICE_X55Y144 NUM_INPUTS 32 SITEPROP SLICE_X55Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y144 NUM_PINS 45 SITEPROP SLICE_X55Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y144 PROHIBIT 0 SITEPROP SLICE_X55Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y144 RPM_X 147 SITEPROP SLICE_X55Y144 RPM_Y 288 SITEPROP SLICE_X55Y144 SITE_PIPS SITEPROP SLICE_X55Y144 SITE_TYPE SLICEL SITEPROP SLICE_X55Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y145 CLASS site SITEPROP SLICE_X55Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y145 IS_BONDED 0 SITEPROP SLICE_X55Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y145 IS_PAD 0 SITEPROP SLICE_X55Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y145 IS_RESERVED 0 SITEPROP SLICE_X55Y145 IS_TEST 0 SITEPROP SLICE_X55Y145 IS_USED 0 SITEPROP SLICE_X55Y145 MANUAL_ROUTING SITEPROP SLICE_X55Y145 NAME SLICE_X55Y145 SITEPROP SLICE_X55Y145 NUM_ARCS 138 SITEPROP SLICE_X55Y145 NUM_BELS 32 SITEPROP SLICE_X55Y145 NUM_INPUTS 32 SITEPROP SLICE_X55Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y145 NUM_PINS 45 SITEPROP SLICE_X55Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y145 PROHIBIT 0 SITEPROP SLICE_X55Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y145 RPM_X 147 SITEPROP SLICE_X55Y145 RPM_Y 290 SITEPROP SLICE_X55Y145 SITE_PIPS SITEPROP SLICE_X55Y145 SITE_TYPE SLICEL SITEPROP SLICE_X55Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y146 CLASS site SITEPROP SLICE_X55Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y146 IS_BONDED 0 SITEPROP SLICE_X55Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y146 IS_PAD 0 SITEPROP SLICE_X55Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y146 IS_RESERVED 0 SITEPROP SLICE_X55Y146 IS_TEST 0 SITEPROP SLICE_X55Y146 IS_USED 0 SITEPROP SLICE_X55Y146 MANUAL_ROUTING SITEPROP SLICE_X55Y146 NAME SLICE_X55Y146 SITEPROP SLICE_X55Y146 NUM_ARCS 138 SITEPROP SLICE_X55Y146 NUM_BELS 32 SITEPROP SLICE_X55Y146 NUM_INPUTS 32 SITEPROP SLICE_X55Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y146 NUM_PINS 45 SITEPROP SLICE_X55Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y146 PROHIBIT 0 SITEPROP SLICE_X55Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y146 RPM_X 147 SITEPROP SLICE_X55Y146 RPM_Y 292 SITEPROP SLICE_X55Y146 SITE_PIPS SITEPROP SLICE_X55Y146 SITE_TYPE SLICEL SITEPROP SLICE_X55Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y147 CLASS site SITEPROP SLICE_X55Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y147 IS_BONDED 0 SITEPROP SLICE_X55Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y147 IS_PAD 0 SITEPROP SLICE_X55Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y147 IS_RESERVED 0 SITEPROP SLICE_X55Y147 IS_TEST 0 SITEPROP SLICE_X55Y147 IS_USED 0 SITEPROP SLICE_X55Y147 MANUAL_ROUTING SITEPROP SLICE_X55Y147 NAME SLICE_X55Y147 SITEPROP SLICE_X55Y147 NUM_ARCS 138 SITEPROP SLICE_X55Y147 NUM_BELS 32 SITEPROP SLICE_X55Y147 NUM_INPUTS 32 SITEPROP SLICE_X55Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y147 NUM_PINS 45 SITEPROP SLICE_X55Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y147 PROHIBIT 0 SITEPROP SLICE_X55Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y147 RPM_X 147 SITEPROP SLICE_X55Y147 RPM_Y 294 SITEPROP SLICE_X55Y147 SITE_PIPS SITEPROP SLICE_X55Y147 SITE_TYPE SLICEL SITEPROP SLICE_X55Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y148 CLASS site SITEPROP SLICE_X55Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y148 IS_BONDED 0 SITEPROP SLICE_X55Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y148 IS_PAD 0 SITEPROP SLICE_X55Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y148 IS_RESERVED 0 SITEPROP SLICE_X55Y148 IS_TEST 0 SITEPROP SLICE_X55Y148 IS_USED 0 SITEPROP SLICE_X55Y148 MANUAL_ROUTING SITEPROP SLICE_X55Y148 NAME SLICE_X55Y148 SITEPROP SLICE_X55Y148 NUM_ARCS 138 SITEPROP SLICE_X55Y148 NUM_BELS 32 SITEPROP SLICE_X55Y148 NUM_INPUTS 32 SITEPROP SLICE_X55Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y148 NUM_PINS 45 SITEPROP SLICE_X55Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y148 PROHIBIT 0 SITEPROP SLICE_X55Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y148 RPM_X 147 SITEPROP SLICE_X55Y148 RPM_Y 296 SITEPROP SLICE_X55Y148 SITE_PIPS SITEPROP SLICE_X55Y148 SITE_TYPE SLICEL SITEPROP SLICE_X55Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X55Y149 CLASS site SITEPROP SLICE_X55Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X55Y149 IS_BONDED 0 SITEPROP SLICE_X55Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X55Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y149 IS_PAD 0 SITEPROP SLICE_X55Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X55Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X55Y149 IS_RESERVED 0 SITEPROP SLICE_X55Y149 IS_TEST 0 SITEPROP SLICE_X55Y149 IS_USED 0 SITEPROP SLICE_X55Y149 MANUAL_ROUTING SITEPROP SLICE_X55Y149 NAME SLICE_X55Y149 SITEPROP SLICE_X55Y149 NUM_ARCS 138 SITEPROP SLICE_X55Y149 NUM_BELS 32 SITEPROP SLICE_X55Y149 NUM_INPUTS 32 SITEPROP SLICE_X55Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X55Y149 NUM_PINS 45 SITEPROP SLICE_X55Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X55Y149 PROHIBIT 0 SITEPROP SLICE_X55Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X55Y149 RPM_X 147 SITEPROP SLICE_X55Y149 RPM_Y 298 SITEPROP SLICE_X55Y149 SITE_PIPS SITEPROP SLICE_X55Y149 SITE_TYPE SLICEL SITEPROP SLICE_X56Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y0 CLASS site SITEPROP SLICE_X56Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y0 IS_BONDED 0 SITEPROP SLICE_X56Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y0 IS_PAD 0 SITEPROP SLICE_X56Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y0 IS_RESERVED 0 SITEPROP SLICE_X56Y0 IS_TEST 0 SITEPROP SLICE_X56Y0 IS_USED 0 SITEPROP SLICE_X56Y0 MANUAL_ROUTING SITEPROP SLICE_X56Y0 NAME SLICE_X56Y0 SITEPROP SLICE_X56Y0 NUM_ARCS 153 SITEPROP SLICE_X56Y0 NUM_BELS 32 SITEPROP SLICE_X56Y0 NUM_INPUTS 37 SITEPROP SLICE_X56Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y0 NUM_PINS 50 SITEPROP SLICE_X56Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y0 PROHIBIT 0 SITEPROP SLICE_X56Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y0 RPM_X 149 SITEPROP SLICE_X56Y0 RPM_Y 0 SITEPROP SLICE_X56Y0 SITE_PIPS SITEPROP SLICE_X56Y0 SITE_TYPE SLICEM SITEPROP SLICE_X56Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y1 CLASS site SITEPROP SLICE_X56Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y1 IS_BONDED 0 SITEPROP SLICE_X56Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y1 IS_PAD 0 SITEPROP SLICE_X56Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y1 IS_RESERVED 0 SITEPROP SLICE_X56Y1 IS_TEST 0 SITEPROP SLICE_X56Y1 IS_USED 0 SITEPROP SLICE_X56Y1 MANUAL_ROUTING SITEPROP SLICE_X56Y1 NAME SLICE_X56Y1 SITEPROP SLICE_X56Y1 NUM_ARCS 153 SITEPROP SLICE_X56Y1 NUM_BELS 32 SITEPROP SLICE_X56Y1 NUM_INPUTS 37 SITEPROP SLICE_X56Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y1 NUM_PINS 50 SITEPROP SLICE_X56Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y1 PROHIBIT 0 SITEPROP SLICE_X56Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y1 RPM_X 149 SITEPROP SLICE_X56Y1 RPM_Y 2 SITEPROP SLICE_X56Y1 SITE_PIPS SITEPROP SLICE_X56Y1 SITE_TYPE SLICEM SITEPROP SLICE_X56Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y2 CLASS site SITEPROP SLICE_X56Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y2 IS_BONDED 0 SITEPROP SLICE_X56Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y2 IS_PAD 0 SITEPROP SLICE_X56Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y2 IS_RESERVED 0 SITEPROP SLICE_X56Y2 IS_TEST 0 SITEPROP SLICE_X56Y2 IS_USED 0 SITEPROP SLICE_X56Y2 MANUAL_ROUTING SITEPROP SLICE_X56Y2 NAME SLICE_X56Y2 SITEPROP SLICE_X56Y2 NUM_ARCS 153 SITEPROP SLICE_X56Y2 NUM_BELS 32 SITEPROP SLICE_X56Y2 NUM_INPUTS 37 SITEPROP SLICE_X56Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y2 NUM_PINS 50 SITEPROP SLICE_X56Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y2 PROHIBIT 0 SITEPROP SLICE_X56Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y2 RPM_X 149 SITEPROP SLICE_X56Y2 RPM_Y 4 SITEPROP SLICE_X56Y2 SITE_PIPS SITEPROP SLICE_X56Y2 SITE_TYPE SLICEM SITEPROP SLICE_X56Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y3 CLASS site SITEPROP SLICE_X56Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y3 IS_BONDED 0 SITEPROP SLICE_X56Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y3 IS_PAD 0 SITEPROP SLICE_X56Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y3 IS_RESERVED 0 SITEPROP SLICE_X56Y3 IS_TEST 0 SITEPROP SLICE_X56Y3 IS_USED 0 SITEPROP SLICE_X56Y3 MANUAL_ROUTING SITEPROP SLICE_X56Y3 NAME SLICE_X56Y3 SITEPROP SLICE_X56Y3 NUM_ARCS 153 SITEPROP SLICE_X56Y3 NUM_BELS 32 SITEPROP SLICE_X56Y3 NUM_INPUTS 37 SITEPROP SLICE_X56Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y3 NUM_PINS 50 SITEPROP SLICE_X56Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y3 PROHIBIT 0 SITEPROP SLICE_X56Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y3 RPM_X 149 SITEPROP SLICE_X56Y3 RPM_Y 6 SITEPROP SLICE_X56Y3 SITE_PIPS SITEPROP SLICE_X56Y3 SITE_TYPE SLICEM SITEPROP SLICE_X56Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y4 CLASS site SITEPROP SLICE_X56Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y4 IS_BONDED 0 SITEPROP SLICE_X56Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y4 IS_PAD 0 SITEPROP SLICE_X56Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y4 IS_RESERVED 0 SITEPROP SLICE_X56Y4 IS_TEST 0 SITEPROP SLICE_X56Y4 IS_USED 0 SITEPROP SLICE_X56Y4 MANUAL_ROUTING SITEPROP SLICE_X56Y4 NAME SLICE_X56Y4 SITEPROP SLICE_X56Y4 NUM_ARCS 153 SITEPROP SLICE_X56Y4 NUM_BELS 32 SITEPROP SLICE_X56Y4 NUM_INPUTS 37 SITEPROP SLICE_X56Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y4 NUM_PINS 50 SITEPROP SLICE_X56Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y4 PROHIBIT 0 SITEPROP SLICE_X56Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y4 RPM_X 149 SITEPROP SLICE_X56Y4 RPM_Y 8 SITEPROP SLICE_X56Y4 SITE_PIPS SITEPROP SLICE_X56Y4 SITE_TYPE SLICEM SITEPROP SLICE_X56Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y5 CLASS site SITEPROP SLICE_X56Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y5 IS_BONDED 0 SITEPROP SLICE_X56Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y5 IS_PAD 0 SITEPROP SLICE_X56Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y5 IS_RESERVED 0 SITEPROP SLICE_X56Y5 IS_TEST 0 SITEPROP SLICE_X56Y5 IS_USED 0 SITEPROP SLICE_X56Y5 MANUAL_ROUTING SITEPROP SLICE_X56Y5 NAME SLICE_X56Y5 SITEPROP SLICE_X56Y5 NUM_ARCS 153 SITEPROP SLICE_X56Y5 NUM_BELS 32 SITEPROP SLICE_X56Y5 NUM_INPUTS 37 SITEPROP SLICE_X56Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y5 NUM_PINS 50 SITEPROP SLICE_X56Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y5 PROHIBIT 0 SITEPROP SLICE_X56Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y5 RPM_X 149 SITEPROP SLICE_X56Y5 RPM_Y 10 SITEPROP SLICE_X56Y5 SITE_PIPS SITEPROP SLICE_X56Y5 SITE_TYPE SLICEM SITEPROP SLICE_X56Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y6 CLASS site SITEPROP SLICE_X56Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y6 IS_BONDED 0 SITEPROP SLICE_X56Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y6 IS_PAD 0 SITEPROP SLICE_X56Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y6 IS_RESERVED 0 SITEPROP SLICE_X56Y6 IS_TEST 0 SITEPROP SLICE_X56Y6 IS_USED 0 SITEPROP SLICE_X56Y6 MANUAL_ROUTING SITEPROP SLICE_X56Y6 NAME SLICE_X56Y6 SITEPROP SLICE_X56Y6 NUM_ARCS 153 SITEPROP SLICE_X56Y6 NUM_BELS 32 SITEPROP SLICE_X56Y6 NUM_INPUTS 37 SITEPROP SLICE_X56Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y6 NUM_PINS 50 SITEPROP SLICE_X56Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y6 PROHIBIT 0 SITEPROP SLICE_X56Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y6 RPM_X 149 SITEPROP SLICE_X56Y6 RPM_Y 12 SITEPROP SLICE_X56Y6 SITE_PIPS SITEPROP SLICE_X56Y6 SITE_TYPE SLICEM SITEPROP SLICE_X56Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y7 CLASS site SITEPROP SLICE_X56Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y7 IS_BONDED 0 SITEPROP SLICE_X56Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y7 IS_PAD 0 SITEPROP SLICE_X56Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y7 IS_RESERVED 0 SITEPROP SLICE_X56Y7 IS_TEST 0 SITEPROP SLICE_X56Y7 IS_USED 0 SITEPROP SLICE_X56Y7 MANUAL_ROUTING SITEPROP SLICE_X56Y7 NAME SLICE_X56Y7 SITEPROP SLICE_X56Y7 NUM_ARCS 153 SITEPROP SLICE_X56Y7 NUM_BELS 32 SITEPROP SLICE_X56Y7 NUM_INPUTS 37 SITEPROP SLICE_X56Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y7 NUM_PINS 50 SITEPROP SLICE_X56Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y7 PROHIBIT 0 SITEPROP SLICE_X56Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y7 RPM_X 149 SITEPROP SLICE_X56Y7 RPM_Y 14 SITEPROP SLICE_X56Y7 SITE_PIPS SITEPROP SLICE_X56Y7 SITE_TYPE SLICEM SITEPROP SLICE_X56Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y8 CLASS site SITEPROP SLICE_X56Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y8 IS_BONDED 0 SITEPROP SLICE_X56Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y8 IS_PAD 0 SITEPROP SLICE_X56Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y8 IS_RESERVED 0 SITEPROP SLICE_X56Y8 IS_TEST 0 SITEPROP SLICE_X56Y8 IS_USED 0 SITEPROP SLICE_X56Y8 MANUAL_ROUTING SITEPROP SLICE_X56Y8 NAME SLICE_X56Y8 SITEPROP SLICE_X56Y8 NUM_ARCS 153 SITEPROP SLICE_X56Y8 NUM_BELS 32 SITEPROP SLICE_X56Y8 NUM_INPUTS 37 SITEPROP SLICE_X56Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y8 NUM_PINS 50 SITEPROP SLICE_X56Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y8 PROHIBIT 0 SITEPROP SLICE_X56Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y8 RPM_X 149 SITEPROP SLICE_X56Y8 RPM_Y 16 SITEPROP SLICE_X56Y8 SITE_PIPS SITEPROP SLICE_X56Y8 SITE_TYPE SLICEM SITEPROP SLICE_X56Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y9 CLASS site SITEPROP SLICE_X56Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y9 IS_BONDED 0 SITEPROP SLICE_X56Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y9 IS_PAD 0 SITEPROP SLICE_X56Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y9 IS_RESERVED 0 SITEPROP SLICE_X56Y9 IS_TEST 0 SITEPROP SLICE_X56Y9 IS_USED 0 SITEPROP SLICE_X56Y9 MANUAL_ROUTING SITEPROP SLICE_X56Y9 NAME SLICE_X56Y9 SITEPROP SLICE_X56Y9 NUM_ARCS 153 SITEPROP SLICE_X56Y9 NUM_BELS 32 SITEPROP SLICE_X56Y9 NUM_INPUTS 37 SITEPROP SLICE_X56Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y9 NUM_PINS 50 SITEPROP SLICE_X56Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y9 PROHIBIT 0 SITEPROP SLICE_X56Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y9 RPM_X 149 SITEPROP SLICE_X56Y9 RPM_Y 18 SITEPROP SLICE_X56Y9 SITE_PIPS SITEPROP SLICE_X56Y9 SITE_TYPE SLICEM SITEPROP SLICE_X56Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y10 CLASS site SITEPROP SLICE_X56Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y10 IS_BONDED 0 SITEPROP SLICE_X56Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y10 IS_PAD 0 SITEPROP SLICE_X56Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y10 IS_RESERVED 0 SITEPROP SLICE_X56Y10 IS_TEST 0 SITEPROP SLICE_X56Y10 IS_USED 0 SITEPROP SLICE_X56Y10 MANUAL_ROUTING SITEPROP SLICE_X56Y10 NAME SLICE_X56Y10 SITEPROP SLICE_X56Y10 NUM_ARCS 153 SITEPROP SLICE_X56Y10 NUM_BELS 32 SITEPROP SLICE_X56Y10 NUM_INPUTS 37 SITEPROP SLICE_X56Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y10 NUM_PINS 50 SITEPROP SLICE_X56Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y10 PROHIBIT 0 SITEPROP SLICE_X56Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y10 RPM_X 149 SITEPROP SLICE_X56Y10 RPM_Y 20 SITEPROP SLICE_X56Y10 SITE_PIPS SITEPROP SLICE_X56Y10 SITE_TYPE SLICEM SITEPROP SLICE_X56Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y11 CLASS site SITEPROP SLICE_X56Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y11 IS_BONDED 0 SITEPROP SLICE_X56Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y11 IS_PAD 0 SITEPROP SLICE_X56Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y11 IS_RESERVED 0 SITEPROP SLICE_X56Y11 IS_TEST 0 SITEPROP SLICE_X56Y11 IS_USED 0 SITEPROP SLICE_X56Y11 MANUAL_ROUTING SITEPROP SLICE_X56Y11 NAME SLICE_X56Y11 SITEPROP SLICE_X56Y11 NUM_ARCS 153 SITEPROP SLICE_X56Y11 NUM_BELS 32 SITEPROP SLICE_X56Y11 NUM_INPUTS 37 SITEPROP SLICE_X56Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y11 NUM_PINS 50 SITEPROP SLICE_X56Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y11 PROHIBIT 0 SITEPROP SLICE_X56Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y11 RPM_X 149 SITEPROP SLICE_X56Y11 RPM_Y 22 SITEPROP SLICE_X56Y11 SITE_PIPS SITEPROP SLICE_X56Y11 SITE_TYPE SLICEM SITEPROP SLICE_X56Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y12 CLASS site SITEPROP SLICE_X56Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y12 IS_BONDED 0 SITEPROP SLICE_X56Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y12 IS_PAD 0 SITEPROP SLICE_X56Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y12 IS_RESERVED 0 SITEPROP SLICE_X56Y12 IS_TEST 0 SITEPROP SLICE_X56Y12 IS_USED 0 SITEPROP SLICE_X56Y12 MANUAL_ROUTING SITEPROP SLICE_X56Y12 NAME SLICE_X56Y12 SITEPROP SLICE_X56Y12 NUM_ARCS 153 SITEPROP SLICE_X56Y12 NUM_BELS 32 SITEPROP SLICE_X56Y12 NUM_INPUTS 37 SITEPROP SLICE_X56Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y12 NUM_PINS 50 SITEPROP SLICE_X56Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y12 PROHIBIT 0 SITEPROP SLICE_X56Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y12 RPM_X 149 SITEPROP SLICE_X56Y12 RPM_Y 24 SITEPROP SLICE_X56Y12 SITE_PIPS SITEPROP SLICE_X56Y12 SITE_TYPE SLICEM SITEPROP SLICE_X56Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y13 CLASS site SITEPROP SLICE_X56Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y13 IS_BONDED 0 SITEPROP SLICE_X56Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y13 IS_PAD 0 SITEPROP SLICE_X56Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y13 IS_RESERVED 0 SITEPROP SLICE_X56Y13 IS_TEST 0 SITEPROP SLICE_X56Y13 IS_USED 0 SITEPROP SLICE_X56Y13 MANUAL_ROUTING SITEPROP SLICE_X56Y13 NAME SLICE_X56Y13 SITEPROP SLICE_X56Y13 NUM_ARCS 153 SITEPROP SLICE_X56Y13 NUM_BELS 32 SITEPROP SLICE_X56Y13 NUM_INPUTS 37 SITEPROP SLICE_X56Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y13 NUM_PINS 50 SITEPROP SLICE_X56Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y13 PROHIBIT 0 SITEPROP SLICE_X56Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y13 RPM_X 149 SITEPROP SLICE_X56Y13 RPM_Y 26 SITEPROP SLICE_X56Y13 SITE_PIPS SITEPROP SLICE_X56Y13 SITE_TYPE SLICEM SITEPROP SLICE_X56Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y14 CLASS site SITEPROP SLICE_X56Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y14 IS_BONDED 0 SITEPROP SLICE_X56Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y14 IS_PAD 0 SITEPROP SLICE_X56Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y14 IS_RESERVED 0 SITEPROP SLICE_X56Y14 IS_TEST 0 SITEPROP SLICE_X56Y14 IS_USED 0 SITEPROP SLICE_X56Y14 MANUAL_ROUTING SITEPROP SLICE_X56Y14 NAME SLICE_X56Y14 SITEPROP SLICE_X56Y14 NUM_ARCS 153 SITEPROP SLICE_X56Y14 NUM_BELS 32 SITEPROP SLICE_X56Y14 NUM_INPUTS 37 SITEPROP SLICE_X56Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y14 NUM_PINS 50 SITEPROP SLICE_X56Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y14 PROHIBIT 0 SITEPROP SLICE_X56Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y14 RPM_X 149 SITEPROP SLICE_X56Y14 RPM_Y 28 SITEPROP SLICE_X56Y14 SITE_PIPS SITEPROP SLICE_X56Y14 SITE_TYPE SLICEM SITEPROP SLICE_X56Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y15 CLASS site SITEPROP SLICE_X56Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y15 IS_BONDED 0 SITEPROP SLICE_X56Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y15 IS_PAD 0 SITEPROP SLICE_X56Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y15 IS_RESERVED 0 SITEPROP SLICE_X56Y15 IS_TEST 0 SITEPROP SLICE_X56Y15 IS_USED 0 SITEPROP SLICE_X56Y15 MANUAL_ROUTING SITEPROP SLICE_X56Y15 NAME SLICE_X56Y15 SITEPROP SLICE_X56Y15 NUM_ARCS 153 SITEPROP SLICE_X56Y15 NUM_BELS 32 SITEPROP SLICE_X56Y15 NUM_INPUTS 37 SITEPROP SLICE_X56Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y15 NUM_PINS 50 SITEPROP SLICE_X56Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y15 PROHIBIT 0 SITEPROP SLICE_X56Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y15 RPM_X 149 SITEPROP SLICE_X56Y15 RPM_Y 30 SITEPROP SLICE_X56Y15 SITE_PIPS SITEPROP SLICE_X56Y15 SITE_TYPE SLICEM SITEPROP SLICE_X56Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y16 CLASS site SITEPROP SLICE_X56Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y16 IS_BONDED 0 SITEPROP SLICE_X56Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y16 IS_PAD 0 SITEPROP SLICE_X56Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y16 IS_RESERVED 0 SITEPROP SLICE_X56Y16 IS_TEST 0 SITEPROP SLICE_X56Y16 IS_USED 0 SITEPROP SLICE_X56Y16 MANUAL_ROUTING SITEPROP SLICE_X56Y16 NAME SLICE_X56Y16 SITEPROP SLICE_X56Y16 NUM_ARCS 153 SITEPROP SLICE_X56Y16 NUM_BELS 32 SITEPROP SLICE_X56Y16 NUM_INPUTS 37 SITEPROP SLICE_X56Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y16 NUM_PINS 50 SITEPROP SLICE_X56Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y16 PROHIBIT 0 SITEPROP SLICE_X56Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y16 RPM_X 149 SITEPROP SLICE_X56Y16 RPM_Y 32 SITEPROP SLICE_X56Y16 SITE_PIPS SITEPROP SLICE_X56Y16 SITE_TYPE SLICEM SITEPROP SLICE_X56Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y17 CLASS site SITEPROP SLICE_X56Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y17 IS_BONDED 0 SITEPROP SLICE_X56Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y17 IS_PAD 0 SITEPROP SLICE_X56Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y17 IS_RESERVED 0 SITEPROP SLICE_X56Y17 IS_TEST 0 SITEPROP SLICE_X56Y17 IS_USED 0 SITEPROP SLICE_X56Y17 MANUAL_ROUTING SITEPROP SLICE_X56Y17 NAME SLICE_X56Y17 SITEPROP SLICE_X56Y17 NUM_ARCS 153 SITEPROP SLICE_X56Y17 NUM_BELS 32 SITEPROP SLICE_X56Y17 NUM_INPUTS 37 SITEPROP SLICE_X56Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y17 NUM_PINS 50 SITEPROP SLICE_X56Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y17 PROHIBIT 0 SITEPROP SLICE_X56Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y17 RPM_X 149 SITEPROP SLICE_X56Y17 RPM_Y 34 SITEPROP SLICE_X56Y17 SITE_PIPS SITEPROP SLICE_X56Y17 SITE_TYPE SLICEM SITEPROP SLICE_X56Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y18 CLASS site SITEPROP SLICE_X56Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y18 IS_BONDED 0 SITEPROP SLICE_X56Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y18 IS_PAD 0 SITEPROP SLICE_X56Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y18 IS_RESERVED 0 SITEPROP SLICE_X56Y18 IS_TEST 0 SITEPROP SLICE_X56Y18 IS_USED 0 SITEPROP SLICE_X56Y18 MANUAL_ROUTING SITEPROP SLICE_X56Y18 NAME SLICE_X56Y18 SITEPROP SLICE_X56Y18 NUM_ARCS 153 SITEPROP SLICE_X56Y18 NUM_BELS 32 SITEPROP SLICE_X56Y18 NUM_INPUTS 37 SITEPROP SLICE_X56Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y18 NUM_PINS 50 SITEPROP SLICE_X56Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y18 PROHIBIT 0 SITEPROP SLICE_X56Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y18 RPM_X 149 SITEPROP SLICE_X56Y18 RPM_Y 36 SITEPROP SLICE_X56Y18 SITE_PIPS SITEPROP SLICE_X56Y18 SITE_TYPE SLICEM SITEPROP SLICE_X56Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y19 CLASS site SITEPROP SLICE_X56Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y19 IS_BONDED 0 SITEPROP SLICE_X56Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y19 IS_PAD 0 SITEPROP SLICE_X56Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y19 IS_RESERVED 0 SITEPROP SLICE_X56Y19 IS_TEST 0 SITEPROP SLICE_X56Y19 IS_USED 0 SITEPROP SLICE_X56Y19 MANUAL_ROUTING SITEPROP SLICE_X56Y19 NAME SLICE_X56Y19 SITEPROP SLICE_X56Y19 NUM_ARCS 153 SITEPROP SLICE_X56Y19 NUM_BELS 32 SITEPROP SLICE_X56Y19 NUM_INPUTS 37 SITEPROP SLICE_X56Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y19 NUM_PINS 50 SITEPROP SLICE_X56Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y19 PROHIBIT 0 SITEPROP SLICE_X56Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y19 RPM_X 149 SITEPROP SLICE_X56Y19 RPM_Y 38 SITEPROP SLICE_X56Y19 SITE_PIPS SITEPROP SLICE_X56Y19 SITE_TYPE SLICEM SITEPROP SLICE_X56Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y20 CLASS site SITEPROP SLICE_X56Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y20 IS_BONDED 0 SITEPROP SLICE_X56Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y20 IS_PAD 0 SITEPROP SLICE_X56Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y20 IS_RESERVED 0 SITEPROP SLICE_X56Y20 IS_TEST 0 SITEPROP SLICE_X56Y20 IS_USED 0 SITEPROP SLICE_X56Y20 MANUAL_ROUTING SITEPROP SLICE_X56Y20 NAME SLICE_X56Y20 SITEPROP SLICE_X56Y20 NUM_ARCS 153 SITEPROP SLICE_X56Y20 NUM_BELS 32 SITEPROP SLICE_X56Y20 NUM_INPUTS 37 SITEPROP SLICE_X56Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y20 NUM_PINS 50 SITEPROP SLICE_X56Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y20 PROHIBIT 0 SITEPROP SLICE_X56Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y20 RPM_X 149 SITEPROP SLICE_X56Y20 RPM_Y 40 SITEPROP SLICE_X56Y20 SITE_PIPS SITEPROP SLICE_X56Y20 SITE_TYPE SLICEM SITEPROP SLICE_X56Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y21 CLASS site SITEPROP SLICE_X56Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y21 IS_BONDED 0 SITEPROP SLICE_X56Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y21 IS_PAD 0 SITEPROP SLICE_X56Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y21 IS_RESERVED 0 SITEPROP SLICE_X56Y21 IS_TEST 0 SITEPROP SLICE_X56Y21 IS_USED 0 SITEPROP SLICE_X56Y21 MANUAL_ROUTING SITEPROP SLICE_X56Y21 NAME SLICE_X56Y21 SITEPROP SLICE_X56Y21 NUM_ARCS 153 SITEPROP SLICE_X56Y21 NUM_BELS 32 SITEPROP SLICE_X56Y21 NUM_INPUTS 37 SITEPROP SLICE_X56Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y21 NUM_PINS 50 SITEPROP SLICE_X56Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y21 PROHIBIT 0 SITEPROP SLICE_X56Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y21 RPM_X 149 SITEPROP SLICE_X56Y21 RPM_Y 42 SITEPROP SLICE_X56Y21 SITE_PIPS SITEPROP SLICE_X56Y21 SITE_TYPE SLICEM SITEPROP SLICE_X56Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y22 CLASS site SITEPROP SLICE_X56Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y22 IS_BONDED 0 SITEPROP SLICE_X56Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y22 IS_PAD 0 SITEPROP SLICE_X56Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y22 IS_RESERVED 0 SITEPROP SLICE_X56Y22 IS_TEST 0 SITEPROP SLICE_X56Y22 IS_USED 0 SITEPROP SLICE_X56Y22 MANUAL_ROUTING SITEPROP SLICE_X56Y22 NAME SLICE_X56Y22 SITEPROP SLICE_X56Y22 NUM_ARCS 153 SITEPROP SLICE_X56Y22 NUM_BELS 32 SITEPROP SLICE_X56Y22 NUM_INPUTS 37 SITEPROP SLICE_X56Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y22 NUM_PINS 50 SITEPROP SLICE_X56Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y22 PROHIBIT 0 SITEPROP SLICE_X56Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y22 RPM_X 149 SITEPROP SLICE_X56Y22 RPM_Y 44 SITEPROP SLICE_X56Y22 SITE_PIPS SITEPROP SLICE_X56Y22 SITE_TYPE SLICEM SITEPROP SLICE_X56Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y23 CLASS site SITEPROP SLICE_X56Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y23 IS_BONDED 0 SITEPROP SLICE_X56Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y23 IS_PAD 0 SITEPROP SLICE_X56Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y23 IS_RESERVED 0 SITEPROP SLICE_X56Y23 IS_TEST 0 SITEPROP SLICE_X56Y23 IS_USED 0 SITEPROP SLICE_X56Y23 MANUAL_ROUTING SITEPROP SLICE_X56Y23 NAME SLICE_X56Y23 SITEPROP SLICE_X56Y23 NUM_ARCS 153 SITEPROP SLICE_X56Y23 NUM_BELS 32 SITEPROP SLICE_X56Y23 NUM_INPUTS 37 SITEPROP SLICE_X56Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y23 NUM_PINS 50 SITEPROP SLICE_X56Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y23 PROHIBIT 0 SITEPROP SLICE_X56Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y23 RPM_X 149 SITEPROP SLICE_X56Y23 RPM_Y 46 SITEPROP SLICE_X56Y23 SITE_PIPS SITEPROP SLICE_X56Y23 SITE_TYPE SLICEM SITEPROP SLICE_X56Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y24 CLASS site SITEPROP SLICE_X56Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y24 IS_BONDED 0 SITEPROP SLICE_X56Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y24 IS_PAD 0 SITEPROP SLICE_X56Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y24 IS_RESERVED 0 SITEPROP SLICE_X56Y24 IS_TEST 0 SITEPROP SLICE_X56Y24 IS_USED 0 SITEPROP SLICE_X56Y24 MANUAL_ROUTING SITEPROP SLICE_X56Y24 NAME SLICE_X56Y24 SITEPROP SLICE_X56Y24 NUM_ARCS 153 SITEPROP SLICE_X56Y24 NUM_BELS 32 SITEPROP SLICE_X56Y24 NUM_INPUTS 37 SITEPROP SLICE_X56Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y24 NUM_PINS 50 SITEPROP SLICE_X56Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y24 PROHIBIT 0 SITEPROP SLICE_X56Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y24 RPM_X 149 SITEPROP SLICE_X56Y24 RPM_Y 48 SITEPROP SLICE_X56Y24 SITE_PIPS SITEPROP SLICE_X56Y24 SITE_TYPE SLICEM SITEPROP SLICE_X56Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y25 CLASS site SITEPROP SLICE_X56Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y25 IS_BONDED 0 SITEPROP SLICE_X56Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y25 IS_PAD 0 SITEPROP SLICE_X56Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y25 IS_RESERVED 0 SITEPROP SLICE_X56Y25 IS_TEST 0 SITEPROP SLICE_X56Y25 IS_USED 0 SITEPROP SLICE_X56Y25 MANUAL_ROUTING SITEPROP SLICE_X56Y25 NAME SLICE_X56Y25 SITEPROP SLICE_X56Y25 NUM_ARCS 153 SITEPROP SLICE_X56Y25 NUM_BELS 32 SITEPROP SLICE_X56Y25 NUM_INPUTS 37 SITEPROP SLICE_X56Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y25 NUM_PINS 50 SITEPROP SLICE_X56Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y25 PROHIBIT 0 SITEPROP SLICE_X56Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y25 RPM_X 149 SITEPROP SLICE_X56Y25 RPM_Y 50 SITEPROP SLICE_X56Y25 SITE_PIPS SITEPROP SLICE_X56Y25 SITE_TYPE SLICEM SITEPROP SLICE_X56Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y26 CLASS site SITEPROP SLICE_X56Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y26 IS_BONDED 0 SITEPROP SLICE_X56Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y26 IS_PAD 0 SITEPROP SLICE_X56Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y26 IS_RESERVED 0 SITEPROP SLICE_X56Y26 IS_TEST 0 SITEPROP SLICE_X56Y26 IS_USED 0 SITEPROP SLICE_X56Y26 MANUAL_ROUTING SITEPROP SLICE_X56Y26 NAME SLICE_X56Y26 SITEPROP SLICE_X56Y26 NUM_ARCS 153 SITEPROP SLICE_X56Y26 NUM_BELS 32 SITEPROP SLICE_X56Y26 NUM_INPUTS 37 SITEPROP SLICE_X56Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y26 NUM_PINS 50 SITEPROP SLICE_X56Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y26 PROHIBIT 0 SITEPROP SLICE_X56Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y26 RPM_X 149 SITEPROP SLICE_X56Y26 RPM_Y 52 SITEPROP SLICE_X56Y26 SITE_PIPS SITEPROP SLICE_X56Y26 SITE_TYPE SLICEM SITEPROP SLICE_X56Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y27 CLASS site SITEPROP SLICE_X56Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y27 IS_BONDED 0 SITEPROP SLICE_X56Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y27 IS_PAD 0 SITEPROP SLICE_X56Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y27 IS_RESERVED 0 SITEPROP SLICE_X56Y27 IS_TEST 0 SITEPROP SLICE_X56Y27 IS_USED 0 SITEPROP SLICE_X56Y27 MANUAL_ROUTING SITEPROP SLICE_X56Y27 NAME SLICE_X56Y27 SITEPROP SLICE_X56Y27 NUM_ARCS 153 SITEPROP SLICE_X56Y27 NUM_BELS 32 SITEPROP SLICE_X56Y27 NUM_INPUTS 37 SITEPROP SLICE_X56Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y27 NUM_PINS 50 SITEPROP SLICE_X56Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y27 PROHIBIT 0 SITEPROP SLICE_X56Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y27 RPM_X 149 SITEPROP SLICE_X56Y27 RPM_Y 54 SITEPROP SLICE_X56Y27 SITE_PIPS SITEPROP SLICE_X56Y27 SITE_TYPE SLICEM SITEPROP SLICE_X56Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y28 CLASS site SITEPROP SLICE_X56Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y28 IS_BONDED 0 SITEPROP SLICE_X56Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y28 IS_PAD 0 SITEPROP SLICE_X56Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y28 IS_RESERVED 0 SITEPROP SLICE_X56Y28 IS_TEST 0 SITEPROP SLICE_X56Y28 IS_USED 0 SITEPROP SLICE_X56Y28 MANUAL_ROUTING SITEPROP SLICE_X56Y28 NAME SLICE_X56Y28 SITEPROP SLICE_X56Y28 NUM_ARCS 153 SITEPROP SLICE_X56Y28 NUM_BELS 32 SITEPROP SLICE_X56Y28 NUM_INPUTS 37 SITEPROP SLICE_X56Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y28 NUM_PINS 50 SITEPROP SLICE_X56Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y28 PROHIBIT 0 SITEPROP SLICE_X56Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y28 RPM_X 149 SITEPROP SLICE_X56Y28 RPM_Y 56 SITEPROP SLICE_X56Y28 SITE_PIPS SITEPROP SLICE_X56Y28 SITE_TYPE SLICEM SITEPROP SLICE_X56Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y29 CLASS site SITEPROP SLICE_X56Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y29 IS_BONDED 0 SITEPROP SLICE_X56Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y29 IS_PAD 0 SITEPROP SLICE_X56Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y29 IS_RESERVED 0 SITEPROP SLICE_X56Y29 IS_TEST 0 SITEPROP SLICE_X56Y29 IS_USED 0 SITEPROP SLICE_X56Y29 MANUAL_ROUTING SITEPROP SLICE_X56Y29 NAME SLICE_X56Y29 SITEPROP SLICE_X56Y29 NUM_ARCS 153 SITEPROP SLICE_X56Y29 NUM_BELS 32 SITEPROP SLICE_X56Y29 NUM_INPUTS 37 SITEPROP SLICE_X56Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y29 NUM_PINS 50 SITEPROP SLICE_X56Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y29 PROHIBIT 0 SITEPROP SLICE_X56Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y29 RPM_X 149 SITEPROP SLICE_X56Y29 RPM_Y 58 SITEPROP SLICE_X56Y29 SITE_PIPS SITEPROP SLICE_X56Y29 SITE_TYPE SLICEM SITEPROP SLICE_X56Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y30 CLASS site SITEPROP SLICE_X56Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y30 IS_BONDED 0 SITEPROP SLICE_X56Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y30 IS_PAD 0 SITEPROP SLICE_X56Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y30 IS_RESERVED 0 SITEPROP SLICE_X56Y30 IS_TEST 0 SITEPROP SLICE_X56Y30 IS_USED 0 SITEPROP SLICE_X56Y30 MANUAL_ROUTING SITEPROP SLICE_X56Y30 NAME SLICE_X56Y30 SITEPROP SLICE_X56Y30 NUM_ARCS 153 SITEPROP SLICE_X56Y30 NUM_BELS 32 SITEPROP SLICE_X56Y30 NUM_INPUTS 37 SITEPROP SLICE_X56Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y30 NUM_PINS 50 SITEPROP SLICE_X56Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y30 PROHIBIT 0 SITEPROP SLICE_X56Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y30 RPM_X 149 SITEPROP SLICE_X56Y30 RPM_Y 60 SITEPROP SLICE_X56Y30 SITE_PIPS SITEPROP SLICE_X56Y30 SITE_TYPE SLICEM SITEPROP SLICE_X56Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y31 CLASS site SITEPROP SLICE_X56Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y31 IS_BONDED 0 SITEPROP SLICE_X56Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y31 IS_PAD 0 SITEPROP SLICE_X56Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y31 IS_RESERVED 0 SITEPROP SLICE_X56Y31 IS_TEST 0 SITEPROP SLICE_X56Y31 IS_USED 0 SITEPROP SLICE_X56Y31 MANUAL_ROUTING SITEPROP SLICE_X56Y31 NAME SLICE_X56Y31 SITEPROP SLICE_X56Y31 NUM_ARCS 153 SITEPROP SLICE_X56Y31 NUM_BELS 32 SITEPROP SLICE_X56Y31 NUM_INPUTS 37 SITEPROP SLICE_X56Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y31 NUM_PINS 50 SITEPROP SLICE_X56Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y31 PROHIBIT 0 SITEPROP SLICE_X56Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y31 RPM_X 149 SITEPROP SLICE_X56Y31 RPM_Y 62 SITEPROP SLICE_X56Y31 SITE_PIPS SITEPROP SLICE_X56Y31 SITE_TYPE SLICEM SITEPROP SLICE_X56Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y32 CLASS site SITEPROP SLICE_X56Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y32 IS_BONDED 0 SITEPROP SLICE_X56Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y32 IS_PAD 0 SITEPROP SLICE_X56Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y32 IS_RESERVED 0 SITEPROP SLICE_X56Y32 IS_TEST 0 SITEPROP SLICE_X56Y32 IS_USED 0 SITEPROP SLICE_X56Y32 MANUAL_ROUTING SITEPROP SLICE_X56Y32 NAME SLICE_X56Y32 SITEPROP SLICE_X56Y32 NUM_ARCS 153 SITEPROP SLICE_X56Y32 NUM_BELS 32 SITEPROP SLICE_X56Y32 NUM_INPUTS 37 SITEPROP SLICE_X56Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y32 NUM_PINS 50 SITEPROP SLICE_X56Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y32 PROHIBIT 0 SITEPROP SLICE_X56Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y32 RPM_X 149 SITEPROP SLICE_X56Y32 RPM_Y 64 SITEPROP SLICE_X56Y32 SITE_PIPS SITEPROP SLICE_X56Y32 SITE_TYPE SLICEM SITEPROP SLICE_X56Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y33 CLASS site SITEPROP SLICE_X56Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y33 IS_BONDED 0 SITEPROP SLICE_X56Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y33 IS_PAD 0 SITEPROP SLICE_X56Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y33 IS_RESERVED 0 SITEPROP SLICE_X56Y33 IS_TEST 0 SITEPROP SLICE_X56Y33 IS_USED 0 SITEPROP SLICE_X56Y33 MANUAL_ROUTING SITEPROP SLICE_X56Y33 NAME SLICE_X56Y33 SITEPROP SLICE_X56Y33 NUM_ARCS 153 SITEPROP SLICE_X56Y33 NUM_BELS 32 SITEPROP SLICE_X56Y33 NUM_INPUTS 37 SITEPROP SLICE_X56Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y33 NUM_PINS 50 SITEPROP SLICE_X56Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y33 PROHIBIT 0 SITEPROP SLICE_X56Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y33 RPM_X 149 SITEPROP SLICE_X56Y33 RPM_Y 66 SITEPROP SLICE_X56Y33 SITE_PIPS SITEPROP SLICE_X56Y33 SITE_TYPE SLICEM SITEPROP SLICE_X56Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y34 CLASS site SITEPROP SLICE_X56Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y34 IS_BONDED 0 SITEPROP SLICE_X56Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y34 IS_PAD 0 SITEPROP SLICE_X56Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y34 IS_RESERVED 0 SITEPROP SLICE_X56Y34 IS_TEST 0 SITEPROP SLICE_X56Y34 IS_USED 0 SITEPROP SLICE_X56Y34 MANUAL_ROUTING SITEPROP SLICE_X56Y34 NAME SLICE_X56Y34 SITEPROP SLICE_X56Y34 NUM_ARCS 153 SITEPROP SLICE_X56Y34 NUM_BELS 32 SITEPROP SLICE_X56Y34 NUM_INPUTS 37 SITEPROP SLICE_X56Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y34 NUM_PINS 50 SITEPROP SLICE_X56Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y34 PROHIBIT 0 SITEPROP SLICE_X56Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y34 RPM_X 149 SITEPROP SLICE_X56Y34 RPM_Y 68 SITEPROP SLICE_X56Y34 SITE_PIPS SITEPROP SLICE_X56Y34 SITE_TYPE SLICEM SITEPROP SLICE_X56Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y35 CLASS site SITEPROP SLICE_X56Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y35 IS_BONDED 0 SITEPROP SLICE_X56Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y35 IS_PAD 0 SITEPROP SLICE_X56Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y35 IS_RESERVED 0 SITEPROP SLICE_X56Y35 IS_TEST 0 SITEPROP SLICE_X56Y35 IS_USED 0 SITEPROP SLICE_X56Y35 MANUAL_ROUTING SITEPROP SLICE_X56Y35 NAME SLICE_X56Y35 SITEPROP SLICE_X56Y35 NUM_ARCS 153 SITEPROP SLICE_X56Y35 NUM_BELS 32 SITEPROP SLICE_X56Y35 NUM_INPUTS 37 SITEPROP SLICE_X56Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y35 NUM_PINS 50 SITEPROP SLICE_X56Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y35 PROHIBIT 0 SITEPROP SLICE_X56Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y35 RPM_X 149 SITEPROP SLICE_X56Y35 RPM_Y 70 SITEPROP SLICE_X56Y35 SITE_PIPS SITEPROP SLICE_X56Y35 SITE_TYPE SLICEM SITEPROP SLICE_X56Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y36 CLASS site SITEPROP SLICE_X56Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y36 IS_BONDED 0 SITEPROP SLICE_X56Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y36 IS_PAD 0 SITEPROP SLICE_X56Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y36 IS_RESERVED 0 SITEPROP SLICE_X56Y36 IS_TEST 0 SITEPROP SLICE_X56Y36 IS_USED 0 SITEPROP SLICE_X56Y36 MANUAL_ROUTING SITEPROP SLICE_X56Y36 NAME SLICE_X56Y36 SITEPROP SLICE_X56Y36 NUM_ARCS 153 SITEPROP SLICE_X56Y36 NUM_BELS 32 SITEPROP SLICE_X56Y36 NUM_INPUTS 37 SITEPROP SLICE_X56Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y36 NUM_PINS 50 SITEPROP SLICE_X56Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y36 PROHIBIT 0 SITEPROP SLICE_X56Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y36 RPM_X 149 SITEPROP SLICE_X56Y36 RPM_Y 72 SITEPROP SLICE_X56Y36 SITE_PIPS SITEPROP SLICE_X56Y36 SITE_TYPE SLICEM SITEPROP SLICE_X56Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y37 CLASS site SITEPROP SLICE_X56Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y37 IS_BONDED 0 SITEPROP SLICE_X56Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y37 IS_PAD 0 SITEPROP SLICE_X56Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y37 IS_RESERVED 0 SITEPROP SLICE_X56Y37 IS_TEST 0 SITEPROP SLICE_X56Y37 IS_USED 0 SITEPROP SLICE_X56Y37 MANUAL_ROUTING SITEPROP SLICE_X56Y37 NAME SLICE_X56Y37 SITEPROP SLICE_X56Y37 NUM_ARCS 153 SITEPROP SLICE_X56Y37 NUM_BELS 32 SITEPROP SLICE_X56Y37 NUM_INPUTS 37 SITEPROP SLICE_X56Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y37 NUM_PINS 50 SITEPROP SLICE_X56Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y37 PROHIBIT 0 SITEPROP SLICE_X56Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y37 RPM_X 149 SITEPROP SLICE_X56Y37 RPM_Y 74 SITEPROP SLICE_X56Y37 SITE_PIPS SITEPROP SLICE_X56Y37 SITE_TYPE SLICEM SITEPROP SLICE_X56Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y38 CLASS site SITEPROP SLICE_X56Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y38 IS_BONDED 0 SITEPROP SLICE_X56Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y38 IS_PAD 0 SITEPROP SLICE_X56Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y38 IS_RESERVED 0 SITEPROP SLICE_X56Y38 IS_TEST 0 SITEPROP SLICE_X56Y38 IS_USED 0 SITEPROP SLICE_X56Y38 MANUAL_ROUTING SITEPROP SLICE_X56Y38 NAME SLICE_X56Y38 SITEPROP SLICE_X56Y38 NUM_ARCS 153 SITEPROP SLICE_X56Y38 NUM_BELS 32 SITEPROP SLICE_X56Y38 NUM_INPUTS 37 SITEPROP SLICE_X56Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y38 NUM_PINS 50 SITEPROP SLICE_X56Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y38 PROHIBIT 0 SITEPROP SLICE_X56Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y38 RPM_X 149 SITEPROP SLICE_X56Y38 RPM_Y 76 SITEPROP SLICE_X56Y38 SITE_PIPS SITEPROP SLICE_X56Y38 SITE_TYPE SLICEM SITEPROP SLICE_X56Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y39 CLASS site SITEPROP SLICE_X56Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y39 IS_BONDED 0 SITEPROP SLICE_X56Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y39 IS_PAD 0 SITEPROP SLICE_X56Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y39 IS_RESERVED 0 SITEPROP SLICE_X56Y39 IS_TEST 0 SITEPROP SLICE_X56Y39 IS_USED 0 SITEPROP SLICE_X56Y39 MANUAL_ROUTING SITEPROP SLICE_X56Y39 NAME SLICE_X56Y39 SITEPROP SLICE_X56Y39 NUM_ARCS 153 SITEPROP SLICE_X56Y39 NUM_BELS 32 SITEPROP SLICE_X56Y39 NUM_INPUTS 37 SITEPROP SLICE_X56Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y39 NUM_PINS 50 SITEPROP SLICE_X56Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y39 PROHIBIT 0 SITEPROP SLICE_X56Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y39 RPM_X 149 SITEPROP SLICE_X56Y39 RPM_Y 78 SITEPROP SLICE_X56Y39 SITE_PIPS SITEPROP SLICE_X56Y39 SITE_TYPE SLICEM SITEPROP SLICE_X56Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y40 CLASS site SITEPROP SLICE_X56Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y40 IS_BONDED 0 SITEPROP SLICE_X56Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y40 IS_PAD 0 SITEPROP SLICE_X56Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y40 IS_RESERVED 0 SITEPROP SLICE_X56Y40 IS_TEST 0 SITEPROP SLICE_X56Y40 IS_USED 0 SITEPROP SLICE_X56Y40 MANUAL_ROUTING SITEPROP SLICE_X56Y40 NAME SLICE_X56Y40 SITEPROP SLICE_X56Y40 NUM_ARCS 153 SITEPROP SLICE_X56Y40 NUM_BELS 32 SITEPROP SLICE_X56Y40 NUM_INPUTS 37 SITEPROP SLICE_X56Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y40 NUM_PINS 50 SITEPROP SLICE_X56Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y40 PROHIBIT 0 SITEPROP SLICE_X56Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y40 RPM_X 149 SITEPROP SLICE_X56Y40 RPM_Y 80 SITEPROP SLICE_X56Y40 SITE_PIPS SITEPROP SLICE_X56Y40 SITE_TYPE SLICEM SITEPROP SLICE_X56Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y41 CLASS site SITEPROP SLICE_X56Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y41 IS_BONDED 0 SITEPROP SLICE_X56Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y41 IS_PAD 0 SITEPROP SLICE_X56Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y41 IS_RESERVED 0 SITEPROP SLICE_X56Y41 IS_TEST 0 SITEPROP SLICE_X56Y41 IS_USED 0 SITEPROP SLICE_X56Y41 MANUAL_ROUTING SITEPROP SLICE_X56Y41 NAME SLICE_X56Y41 SITEPROP SLICE_X56Y41 NUM_ARCS 153 SITEPROP SLICE_X56Y41 NUM_BELS 32 SITEPROP SLICE_X56Y41 NUM_INPUTS 37 SITEPROP SLICE_X56Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y41 NUM_PINS 50 SITEPROP SLICE_X56Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y41 PROHIBIT 0 SITEPROP SLICE_X56Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y41 RPM_X 149 SITEPROP SLICE_X56Y41 RPM_Y 82 SITEPROP SLICE_X56Y41 SITE_PIPS SITEPROP SLICE_X56Y41 SITE_TYPE SLICEM SITEPROP SLICE_X56Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y42 CLASS site SITEPROP SLICE_X56Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y42 IS_BONDED 0 SITEPROP SLICE_X56Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y42 IS_PAD 0 SITEPROP SLICE_X56Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y42 IS_RESERVED 0 SITEPROP SLICE_X56Y42 IS_TEST 0 SITEPROP SLICE_X56Y42 IS_USED 0 SITEPROP SLICE_X56Y42 MANUAL_ROUTING SITEPROP SLICE_X56Y42 NAME SLICE_X56Y42 SITEPROP SLICE_X56Y42 NUM_ARCS 153 SITEPROP SLICE_X56Y42 NUM_BELS 32 SITEPROP SLICE_X56Y42 NUM_INPUTS 37 SITEPROP SLICE_X56Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y42 NUM_PINS 50 SITEPROP SLICE_X56Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y42 PROHIBIT 0 SITEPROP SLICE_X56Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y42 RPM_X 149 SITEPROP SLICE_X56Y42 RPM_Y 84 SITEPROP SLICE_X56Y42 SITE_PIPS SITEPROP SLICE_X56Y42 SITE_TYPE SLICEM SITEPROP SLICE_X56Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y43 CLASS site SITEPROP SLICE_X56Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y43 IS_BONDED 0 SITEPROP SLICE_X56Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y43 IS_PAD 0 SITEPROP SLICE_X56Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y43 IS_RESERVED 0 SITEPROP SLICE_X56Y43 IS_TEST 0 SITEPROP SLICE_X56Y43 IS_USED 0 SITEPROP SLICE_X56Y43 MANUAL_ROUTING SITEPROP SLICE_X56Y43 NAME SLICE_X56Y43 SITEPROP SLICE_X56Y43 NUM_ARCS 153 SITEPROP SLICE_X56Y43 NUM_BELS 32 SITEPROP SLICE_X56Y43 NUM_INPUTS 37 SITEPROP SLICE_X56Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y43 NUM_PINS 50 SITEPROP SLICE_X56Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y43 PROHIBIT 0 SITEPROP SLICE_X56Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y43 RPM_X 149 SITEPROP SLICE_X56Y43 RPM_Y 86 SITEPROP SLICE_X56Y43 SITE_PIPS SITEPROP SLICE_X56Y43 SITE_TYPE SLICEM SITEPROP SLICE_X56Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y44 CLASS site SITEPROP SLICE_X56Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y44 IS_BONDED 0 SITEPROP SLICE_X56Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y44 IS_PAD 0 SITEPROP SLICE_X56Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y44 IS_RESERVED 0 SITEPROP SLICE_X56Y44 IS_TEST 0 SITEPROP SLICE_X56Y44 IS_USED 0 SITEPROP SLICE_X56Y44 MANUAL_ROUTING SITEPROP SLICE_X56Y44 NAME SLICE_X56Y44 SITEPROP SLICE_X56Y44 NUM_ARCS 153 SITEPROP SLICE_X56Y44 NUM_BELS 32 SITEPROP SLICE_X56Y44 NUM_INPUTS 37 SITEPROP SLICE_X56Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y44 NUM_PINS 50 SITEPROP SLICE_X56Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y44 PROHIBIT 0 SITEPROP SLICE_X56Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y44 RPM_X 149 SITEPROP SLICE_X56Y44 RPM_Y 88 SITEPROP SLICE_X56Y44 SITE_PIPS SITEPROP SLICE_X56Y44 SITE_TYPE SLICEM SITEPROP SLICE_X56Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y45 CLASS site SITEPROP SLICE_X56Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y45 IS_BONDED 0 SITEPROP SLICE_X56Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y45 IS_PAD 0 SITEPROP SLICE_X56Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y45 IS_RESERVED 0 SITEPROP SLICE_X56Y45 IS_TEST 0 SITEPROP SLICE_X56Y45 IS_USED 0 SITEPROP SLICE_X56Y45 MANUAL_ROUTING SITEPROP SLICE_X56Y45 NAME SLICE_X56Y45 SITEPROP SLICE_X56Y45 NUM_ARCS 153 SITEPROP SLICE_X56Y45 NUM_BELS 32 SITEPROP SLICE_X56Y45 NUM_INPUTS 37 SITEPROP SLICE_X56Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y45 NUM_PINS 50 SITEPROP SLICE_X56Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y45 PROHIBIT 0 SITEPROP SLICE_X56Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y45 RPM_X 149 SITEPROP SLICE_X56Y45 RPM_Y 90 SITEPROP SLICE_X56Y45 SITE_PIPS SITEPROP SLICE_X56Y45 SITE_TYPE SLICEM SITEPROP SLICE_X56Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y46 CLASS site SITEPROP SLICE_X56Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y46 IS_BONDED 0 SITEPROP SLICE_X56Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y46 IS_PAD 0 SITEPROP SLICE_X56Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y46 IS_RESERVED 0 SITEPROP SLICE_X56Y46 IS_TEST 0 SITEPROP SLICE_X56Y46 IS_USED 0 SITEPROP SLICE_X56Y46 MANUAL_ROUTING SITEPROP SLICE_X56Y46 NAME SLICE_X56Y46 SITEPROP SLICE_X56Y46 NUM_ARCS 153 SITEPROP SLICE_X56Y46 NUM_BELS 32 SITEPROP SLICE_X56Y46 NUM_INPUTS 37 SITEPROP SLICE_X56Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y46 NUM_PINS 50 SITEPROP SLICE_X56Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y46 PROHIBIT 0 SITEPROP SLICE_X56Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y46 RPM_X 149 SITEPROP SLICE_X56Y46 RPM_Y 92 SITEPROP SLICE_X56Y46 SITE_PIPS SITEPROP SLICE_X56Y46 SITE_TYPE SLICEM SITEPROP SLICE_X56Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y47 CLASS site SITEPROP SLICE_X56Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y47 IS_BONDED 0 SITEPROP SLICE_X56Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y47 IS_PAD 0 SITEPROP SLICE_X56Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y47 IS_RESERVED 0 SITEPROP SLICE_X56Y47 IS_TEST 0 SITEPROP SLICE_X56Y47 IS_USED 0 SITEPROP SLICE_X56Y47 MANUAL_ROUTING SITEPROP SLICE_X56Y47 NAME SLICE_X56Y47 SITEPROP SLICE_X56Y47 NUM_ARCS 153 SITEPROP SLICE_X56Y47 NUM_BELS 32 SITEPROP SLICE_X56Y47 NUM_INPUTS 37 SITEPROP SLICE_X56Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y47 NUM_PINS 50 SITEPROP SLICE_X56Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y47 PROHIBIT 0 SITEPROP SLICE_X56Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y47 RPM_X 149 SITEPROP SLICE_X56Y47 RPM_Y 94 SITEPROP SLICE_X56Y47 SITE_PIPS SITEPROP SLICE_X56Y47 SITE_TYPE SLICEM SITEPROP SLICE_X56Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y48 CLASS site SITEPROP SLICE_X56Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y48 IS_BONDED 0 SITEPROP SLICE_X56Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y48 IS_PAD 0 SITEPROP SLICE_X56Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y48 IS_RESERVED 0 SITEPROP SLICE_X56Y48 IS_TEST 0 SITEPROP SLICE_X56Y48 IS_USED 0 SITEPROP SLICE_X56Y48 MANUAL_ROUTING SITEPROP SLICE_X56Y48 NAME SLICE_X56Y48 SITEPROP SLICE_X56Y48 NUM_ARCS 153 SITEPROP SLICE_X56Y48 NUM_BELS 32 SITEPROP SLICE_X56Y48 NUM_INPUTS 37 SITEPROP SLICE_X56Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y48 NUM_PINS 50 SITEPROP SLICE_X56Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y48 PROHIBIT 0 SITEPROP SLICE_X56Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y48 RPM_X 149 SITEPROP SLICE_X56Y48 RPM_Y 96 SITEPROP SLICE_X56Y48 SITE_PIPS SITEPROP SLICE_X56Y48 SITE_TYPE SLICEM SITEPROP SLICE_X56Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y49 CLASS site SITEPROP SLICE_X56Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X56Y49 IS_BONDED 0 SITEPROP SLICE_X56Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y49 IS_PAD 0 SITEPROP SLICE_X56Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y49 IS_RESERVED 0 SITEPROP SLICE_X56Y49 IS_TEST 0 SITEPROP SLICE_X56Y49 IS_USED 0 SITEPROP SLICE_X56Y49 MANUAL_ROUTING SITEPROP SLICE_X56Y49 NAME SLICE_X56Y49 SITEPROP SLICE_X56Y49 NUM_ARCS 153 SITEPROP SLICE_X56Y49 NUM_BELS 32 SITEPROP SLICE_X56Y49 NUM_INPUTS 37 SITEPROP SLICE_X56Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y49 NUM_PINS 50 SITEPROP SLICE_X56Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y49 PROHIBIT 0 SITEPROP SLICE_X56Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y49 RPM_X 149 SITEPROP SLICE_X56Y49 RPM_Y 98 SITEPROP SLICE_X56Y49 SITE_PIPS SITEPROP SLICE_X56Y49 SITE_TYPE SLICEM SITEPROP SLICE_X56Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y50 CLASS site SITEPROP SLICE_X56Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y50 IS_BONDED 0 SITEPROP SLICE_X56Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y50 IS_PAD 0 SITEPROP SLICE_X56Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y50 IS_RESERVED 0 SITEPROP SLICE_X56Y50 IS_TEST 0 SITEPROP SLICE_X56Y50 IS_USED 0 SITEPROP SLICE_X56Y50 MANUAL_ROUTING SITEPROP SLICE_X56Y50 NAME SLICE_X56Y50 SITEPROP SLICE_X56Y50 NUM_ARCS 153 SITEPROP SLICE_X56Y50 NUM_BELS 32 SITEPROP SLICE_X56Y50 NUM_INPUTS 37 SITEPROP SLICE_X56Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y50 NUM_PINS 50 SITEPROP SLICE_X56Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y50 PROHIBIT 0 SITEPROP SLICE_X56Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y50 RPM_X 149 SITEPROP SLICE_X56Y50 RPM_Y 100 SITEPROP SLICE_X56Y50 SITE_PIPS SITEPROP SLICE_X56Y50 SITE_TYPE SLICEM SITEPROP SLICE_X56Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y51 CLASS site SITEPROP SLICE_X56Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y51 IS_BONDED 0 SITEPROP SLICE_X56Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y51 IS_PAD 0 SITEPROP SLICE_X56Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y51 IS_RESERVED 0 SITEPROP SLICE_X56Y51 IS_TEST 0 SITEPROP SLICE_X56Y51 IS_USED 0 SITEPROP SLICE_X56Y51 MANUAL_ROUTING SITEPROP SLICE_X56Y51 NAME SLICE_X56Y51 SITEPROP SLICE_X56Y51 NUM_ARCS 153 SITEPROP SLICE_X56Y51 NUM_BELS 32 SITEPROP SLICE_X56Y51 NUM_INPUTS 37 SITEPROP SLICE_X56Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y51 NUM_PINS 50 SITEPROP SLICE_X56Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y51 PROHIBIT 0 SITEPROP SLICE_X56Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y51 RPM_X 149 SITEPROP SLICE_X56Y51 RPM_Y 102 SITEPROP SLICE_X56Y51 SITE_PIPS SITEPROP SLICE_X56Y51 SITE_TYPE SLICEM SITEPROP SLICE_X56Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y52 CLASS site SITEPROP SLICE_X56Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y52 IS_BONDED 0 SITEPROP SLICE_X56Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y52 IS_PAD 0 SITEPROP SLICE_X56Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y52 IS_RESERVED 0 SITEPROP SLICE_X56Y52 IS_TEST 0 SITEPROP SLICE_X56Y52 IS_USED 0 SITEPROP SLICE_X56Y52 MANUAL_ROUTING SITEPROP SLICE_X56Y52 NAME SLICE_X56Y52 SITEPROP SLICE_X56Y52 NUM_ARCS 153 SITEPROP SLICE_X56Y52 NUM_BELS 32 SITEPROP SLICE_X56Y52 NUM_INPUTS 37 SITEPROP SLICE_X56Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y52 NUM_PINS 50 SITEPROP SLICE_X56Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y52 PROHIBIT 0 SITEPROP SLICE_X56Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y52 RPM_X 149 SITEPROP SLICE_X56Y52 RPM_Y 104 SITEPROP SLICE_X56Y52 SITE_PIPS SITEPROP SLICE_X56Y52 SITE_TYPE SLICEM SITEPROP SLICE_X56Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y53 CLASS site SITEPROP SLICE_X56Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y53 IS_BONDED 0 SITEPROP SLICE_X56Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y53 IS_PAD 0 SITEPROP SLICE_X56Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y53 IS_RESERVED 0 SITEPROP SLICE_X56Y53 IS_TEST 0 SITEPROP SLICE_X56Y53 IS_USED 0 SITEPROP SLICE_X56Y53 MANUAL_ROUTING SITEPROP SLICE_X56Y53 NAME SLICE_X56Y53 SITEPROP SLICE_X56Y53 NUM_ARCS 153 SITEPROP SLICE_X56Y53 NUM_BELS 32 SITEPROP SLICE_X56Y53 NUM_INPUTS 37 SITEPROP SLICE_X56Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y53 NUM_PINS 50 SITEPROP SLICE_X56Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y53 PROHIBIT 0 SITEPROP SLICE_X56Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y53 RPM_X 149 SITEPROP SLICE_X56Y53 RPM_Y 106 SITEPROP SLICE_X56Y53 SITE_PIPS SITEPROP SLICE_X56Y53 SITE_TYPE SLICEM SITEPROP SLICE_X56Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y54 CLASS site SITEPROP SLICE_X56Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y54 IS_BONDED 0 SITEPROP SLICE_X56Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y54 IS_PAD 0 SITEPROP SLICE_X56Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y54 IS_RESERVED 0 SITEPROP SLICE_X56Y54 IS_TEST 0 SITEPROP SLICE_X56Y54 IS_USED 0 SITEPROP SLICE_X56Y54 MANUAL_ROUTING SITEPROP SLICE_X56Y54 NAME SLICE_X56Y54 SITEPROP SLICE_X56Y54 NUM_ARCS 153 SITEPROP SLICE_X56Y54 NUM_BELS 32 SITEPROP SLICE_X56Y54 NUM_INPUTS 37 SITEPROP SLICE_X56Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y54 NUM_PINS 50 SITEPROP SLICE_X56Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y54 PROHIBIT 0 SITEPROP SLICE_X56Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y54 RPM_X 149 SITEPROP SLICE_X56Y54 RPM_Y 108 SITEPROP SLICE_X56Y54 SITE_PIPS SITEPROP SLICE_X56Y54 SITE_TYPE SLICEM SITEPROP SLICE_X56Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y55 CLASS site SITEPROP SLICE_X56Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y55 IS_BONDED 0 SITEPROP SLICE_X56Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y55 IS_PAD 0 SITEPROP SLICE_X56Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y55 IS_RESERVED 0 SITEPROP SLICE_X56Y55 IS_TEST 0 SITEPROP SLICE_X56Y55 IS_USED 0 SITEPROP SLICE_X56Y55 MANUAL_ROUTING SITEPROP SLICE_X56Y55 NAME SLICE_X56Y55 SITEPROP SLICE_X56Y55 NUM_ARCS 153 SITEPROP SLICE_X56Y55 NUM_BELS 32 SITEPROP SLICE_X56Y55 NUM_INPUTS 37 SITEPROP SLICE_X56Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y55 NUM_PINS 50 SITEPROP SLICE_X56Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y55 PROHIBIT 0 SITEPROP SLICE_X56Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y55 RPM_X 149 SITEPROP SLICE_X56Y55 RPM_Y 110 SITEPROP SLICE_X56Y55 SITE_PIPS SITEPROP SLICE_X56Y55 SITE_TYPE SLICEM SITEPROP SLICE_X56Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y56 CLASS site SITEPROP SLICE_X56Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y56 IS_BONDED 0 SITEPROP SLICE_X56Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y56 IS_PAD 0 SITEPROP SLICE_X56Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y56 IS_RESERVED 0 SITEPROP SLICE_X56Y56 IS_TEST 0 SITEPROP SLICE_X56Y56 IS_USED 0 SITEPROP SLICE_X56Y56 MANUAL_ROUTING SITEPROP SLICE_X56Y56 NAME SLICE_X56Y56 SITEPROP SLICE_X56Y56 NUM_ARCS 153 SITEPROP SLICE_X56Y56 NUM_BELS 32 SITEPROP SLICE_X56Y56 NUM_INPUTS 37 SITEPROP SLICE_X56Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y56 NUM_PINS 50 SITEPROP SLICE_X56Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y56 PROHIBIT 0 SITEPROP SLICE_X56Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y56 RPM_X 149 SITEPROP SLICE_X56Y56 RPM_Y 112 SITEPROP SLICE_X56Y56 SITE_PIPS SITEPROP SLICE_X56Y56 SITE_TYPE SLICEM SITEPROP SLICE_X56Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y57 CLASS site SITEPROP SLICE_X56Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y57 IS_BONDED 0 SITEPROP SLICE_X56Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y57 IS_PAD 0 SITEPROP SLICE_X56Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y57 IS_RESERVED 0 SITEPROP SLICE_X56Y57 IS_TEST 0 SITEPROP SLICE_X56Y57 IS_USED 0 SITEPROP SLICE_X56Y57 MANUAL_ROUTING SITEPROP SLICE_X56Y57 NAME SLICE_X56Y57 SITEPROP SLICE_X56Y57 NUM_ARCS 153 SITEPROP SLICE_X56Y57 NUM_BELS 32 SITEPROP SLICE_X56Y57 NUM_INPUTS 37 SITEPROP SLICE_X56Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y57 NUM_PINS 50 SITEPROP SLICE_X56Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y57 PROHIBIT 0 SITEPROP SLICE_X56Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y57 RPM_X 149 SITEPROP SLICE_X56Y57 RPM_Y 114 SITEPROP SLICE_X56Y57 SITE_PIPS SITEPROP SLICE_X56Y57 SITE_TYPE SLICEM SITEPROP SLICE_X56Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y58 CLASS site SITEPROP SLICE_X56Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y58 IS_BONDED 0 SITEPROP SLICE_X56Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y58 IS_PAD 0 SITEPROP SLICE_X56Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y58 IS_RESERVED 0 SITEPROP SLICE_X56Y58 IS_TEST 0 SITEPROP SLICE_X56Y58 IS_USED 0 SITEPROP SLICE_X56Y58 MANUAL_ROUTING SITEPROP SLICE_X56Y58 NAME SLICE_X56Y58 SITEPROP SLICE_X56Y58 NUM_ARCS 153 SITEPROP SLICE_X56Y58 NUM_BELS 32 SITEPROP SLICE_X56Y58 NUM_INPUTS 37 SITEPROP SLICE_X56Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y58 NUM_PINS 50 SITEPROP SLICE_X56Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y58 PROHIBIT 0 SITEPROP SLICE_X56Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y58 RPM_X 149 SITEPROP SLICE_X56Y58 RPM_Y 116 SITEPROP SLICE_X56Y58 SITE_PIPS SITEPROP SLICE_X56Y58 SITE_TYPE SLICEM SITEPROP SLICE_X56Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y59 CLASS site SITEPROP SLICE_X56Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y59 IS_BONDED 0 SITEPROP SLICE_X56Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y59 IS_PAD 0 SITEPROP SLICE_X56Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y59 IS_RESERVED 0 SITEPROP SLICE_X56Y59 IS_TEST 0 SITEPROP SLICE_X56Y59 IS_USED 0 SITEPROP SLICE_X56Y59 MANUAL_ROUTING SITEPROP SLICE_X56Y59 NAME SLICE_X56Y59 SITEPROP SLICE_X56Y59 NUM_ARCS 153 SITEPROP SLICE_X56Y59 NUM_BELS 32 SITEPROP SLICE_X56Y59 NUM_INPUTS 37 SITEPROP SLICE_X56Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y59 NUM_PINS 50 SITEPROP SLICE_X56Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y59 PROHIBIT 0 SITEPROP SLICE_X56Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y59 RPM_X 149 SITEPROP SLICE_X56Y59 RPM_Y 118 SITEPROP SLICE_X56Y59 SITE_PIPS SITEPROP SLICE_X56Y59 SITE_TYPE SLICEM SITEPROP SLICE_X56Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y60 CLASS site SITEPROP SLICE_X56Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y60 IS_BONDED 0 SITEPROP SLICE_X56Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y60 IS_PAD 0 SITEPROP SLICE_X56Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y60 IS_RESERVED 0 SITEPROP SLICE_X56Y60 IS_TEST 0 SITEPROP SLICE_X56Y60 IS_USED 0 SITEPROP SLICE_X56Y60 MANUAL_ROUTING SITEPROP SLICE_X56Y60 NAME SLICE_X56Y60 SITEPROP SLICE_X56Y60 NUM_ARCS 153 SITEPROP SLICE_X56Y60 NUM_BELS 32 SITEPROP SLICE_X56Y60 NUM_INPUTS 37 SITEPROP SLICE_X56Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y60 NUM_PINS 50 SITEPROP SLICE_X56Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y60 PROHIBIT 0 SITEPROP SLICE_X56Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y60 RPM_X 149 SITEPROP SLICE_X56Y60 RPM_Y 120 SITEPROP SLICE_X56Y60 SITE_PIPS SITEPROP SLICE_X56Y60 SITE_TYPE SLICEM SITEPROP SLICE_X56Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y61 CLASS site SITEPROP SLICE_X56Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y61 IS_BONDED 0 SITEPROP SLICE_X56Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y61 IS_PAD 0 SITEPROP SLICE_X56Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y61 IS_RESERVED 0 SITEPROP SLICE_X56Y61 IS_TEST 0 SITEPROP SLICE_X56Y61 IS_USED 0 SITEPROP SLICE_X56Y61 MANUAL_ROUTING SITEPROP SLICE_X56Y61 NAME SLICE_X56Y61 SITEPROP SLICE_X56Y61 NUM_ARCS 153 SITEPROP SLICE_X56Y61 NUM_BELS 32 SITEPROP SLICE_X56Y61 NUM_INPUTS 37 SITEPROP SLICE_X56Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y61 NUM_PINS 50 SITEPROP SLICE_X56Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y61 PROHIBIT 0 SITEPROP SLICE_X56Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y61 RPM_X 149 SITEPROP SLICE_X56Y61 RPM_Y 122 SITEPROP SLICE_X56Y61 SITE_PIPS SITEPROP SLICE_X56Y61 SITE_TYPE SLICEM SITEPROP SLICE_X56Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y62 CLASS site SITEPROP SLICE_X56Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y62 IS_BONDED 0 SITEPROP SLICE_X56Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y62 IS_PAD 0 SITEPROP SLICE_X56Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y62 IS_RESERVED 0 SITEPROP SLICE_X56Y62 IS_TEST 0 SITEPROP SLICE_X56Y62 IS_USED 0 SITEPROP SLICE_X56Y62 MANUAL_ROUTING SITEPROP SLICE_X56Y62 NAME SLICE_X56Y62 SITEPROP SLICE_X56Y62 NUM_ARCS 153 SITEPROP SLICE_X56Y62 NUM_BELS 32 SITEPROP SLICE_X56Y62 NUM_INPUTS 37 SITEPROP SLICE_X56Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y62 NUM_PINS 50 SITEPROP SLICE_X56Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y62 PROHIBIT 0 SITEPROP SLICE_X56Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y62 RPM_X 149 SITEPROP SLICE_X56Y62 RPM_Y 124 SITEPROP SLICE_X56Y62 SITE_PIPS SITEPROP SLICE_X56Y62 SITE_TYPE SLICEM SITEPROP SLICE_X56Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y63 CLASS site SITEPROP SLICE_X56Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y63 IS_BONDED 0 SITEPROP SLICE_X56Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y63 IS_PAD 0 SITEPROP SLICE_X56Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y63 IS_RESERVED 0 SITEPROP SLICE_X56Y63 IS_TEST 0 SITEPROP SLICE_X56Y63 IS_USED 0 SITEPROP SLICE_X56Y63 MANUAL_ROUTING SITEPROP SLICE_X56Y63 NAME SLICE_X56Y63 SITEPROP SLICE_X56Y63 NUM_ARCS 153 SITEPROP SLICE_X56Y63 NUM_BELS 32 SITEPROP SLICE_X56Y63 NUM_INPUTS 37 SITEPROP SLICE_X56Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y63 NUM_PINS 50 SITEPROP SLICE_X56Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y63 PROHIBIT 0 SITEPROP SLICE_X56Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y63 RPM_X 149 SITEPROP SLICE_X56Y63 RPM_Y 126 SITEPROP SLICE_X56Y63 SITE_PIPS SITEPROP SLICE_X56Y63 SITE_TYPE SLICEM SITEPROP SLICE_X56Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y64 CLASS site SITEPROP SLICE_X56Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y64 IS_BONDED 0 SITEPROP SLICE_X56Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y64 IS_PAD 0 SITEPROP SLICE_X56Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y64 IS_RESERVED 0 SITEPROP SLICE_X56Y64 IS_TEST 0 SITEPROP SLICE_X56Y64 IS_USED 0 SITEPROP SLICE_X56Y64 MANUAL_ROUTING SITEPROP SLICE_X56Y64 NAME SLICE_X56Y64 SITEPROP SLICE_X56Y64 NUM_ARCS 153 SITEPROP SLICE_X56Y64 NUM_BELS 32 SITEPROP SLICE_X56Y64 NUM_INPUTS 37 SITEPROP SLICE_X56Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y64 NUM_PINS 50 SITEPROP SLICE_X56Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y64 PROHIBIT 0 SITEPROP SLICE_X56Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y64 RPM_X 149 SITEPROP SLICE_X56Y64 RPM_Y 128 SITEPROP SLICE_X56Y64 SITE_PIPS SITEPROP SLICE_X56Y64 SITE_TYPE SLICEM SITEPROP SLICE_X56Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y65 CLASS site SITEPROP SLICE_X56Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y65 IS_BONDED 0 SITEPROP SLICE_X56Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y65 IS_PAD 0 SITEPROP SLICE_X56Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y65 IS_RESERVED 0 SITEPROP SLICE_X56Y65 IS_TEST 0 SITEPROP SLICE_X56Y65 IS_USED 0 SITEPROP SLICE_X56Y65 MANUAL_ROUTING SITEPROP SLICE_X56Y65 NAME SLICE_X56Y65 SITEPROP SLICE_X56Y65 NUM_ARCS 153 SITEPROP SLICE_X56Y65 NUM_BELS 32 SITEPROP SLICE_X56Y65 NUM_INPUTS 37 SITEPROP SLICE_X56Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y65 NUM_PINS 50 SITEPROP SLICE_X56Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y65 PROHIBIT 0 SITEPROP SLICE_X56Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y65 RPM_X 149 SITEPROP SLICE_X56Y65 RPM_Y 130 SITEPROP SLICE_X56Y65 SITE_PIPS SITEPROP SLICE_X56Y65 SITE_TYPE SLICEM SITEPROP SLICE_X56Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y66 CLASS site SITEPROP SLICE_X56Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y66 IS_BONDED 0 SITEPROP SLICE_X56Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y66 IS_PAD 0 SITEPROP SLICE_X56Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y66 IS_RESERVED 0 SITEPROP SLICE_X56Y66 IS_TEST 0 SITEPROP SLICE_X56Y66 IS_USED 0 SITEPROP SLICE_X56Y66 MANUAL_ROUTING SITEPROP SLICE_X56Y66 NAME SLICE_X56Y66 SITEPROP SLICE_X56Y66 NUM_ARCS 153 SITEPROP SLICE_X56Y66 NUM_BELS 32 SITEPROP SLICE_X56Y66 NUM_INPUTS 37 SITEPROP SLICE_X56Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y66 NUM_PINS 50 SITEPROP SLICE_X56Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y66 PROHIBIT 0 SITEPROP SLICE_X56Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y66 RPM_X 149 SITEPROP SLICE_X56Y66 RPM_Y 132 SITEPROP SLICE_X56Y66 SITE_PIPS SITEPROP SLICE_X56Y66 SITE_TYPE SLICEM SITEPROP SLICE_X56Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y67 CLASS site SITEPROP SLICE_X56Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y67 IS_BONDED 0 SITEPROP SLICE_X56Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y67 IS_PAD 0 SITEPROP SLICE_X56Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y67 IS_RESERVED 0 SITEPROP SLICE_X56Y67 IS_TEST 0 SITEPROP SLICE_X56Y67 IS_USED 0 SITEPROP SLICE_X56Y67 MANUAL_ROUTING SITEPROP SLICE_X56Y67 NAME SLICE_X56Y67 SITEPROP SLICE_X56Y67 NUM_ARCS 153 SITEPROP SLICE_X56Y67 NUM_BELS 32 SITEPROP SLICE_X56Y67 NUM_INPUTS 37 SITEPROP SLICE_X56Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y67 NUM_PINS 50 SITEPROP SLICE_X56Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y67 PROHIBIT 0 SITEPROP SLICE_X56Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y67 RPM_X 149 SITEPROP SLICE_X56Y67 RPM_Y 134 SITEPROP SLICE_X56Y67 SITE_PIPS SITEPROP SLICE_X56Y67 SITE_TYPE SLICEM SITEPROP SLICE_X56Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y68 CLASS site SITEPROP SLICE_X56Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y68 IS_BONDED 0 SITEPROP SLICE_X56Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y68 IS_PAD 0 SITEPROP SLICE_X56Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y68 IS_RESERVED 0 SITEPROP SLICE_X56Y68 IS_TEST 0 SITEPROP SLICE_X56Y68 IS_USED 0 SITEPROP SLICE_X56Y68 MANUAL_ROUTING SITEPROP SLICE_X56Y68 NAME SLICE_X56Y68 SITEPROP SLICE_X56Y68 NUM_ARCS 153 SITEPROP SLICE_X56Y68 NUM_BELS 32 SITEPROP SLICE_X56Y68 NUM_INPUTS 37 SITEPROP SLICE_X56Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y68 NUM_PINS 50 SITEPROP SLICE_X56Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y68 PROHIBIT 0 SITEPROP SLICE_X56Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y68 RPM_X 149 SITEPROP SLICE_X56Y68 RPM_Y 136 SITEPROP SLICE_X56Y68 SITE_PIPS SITEPROP SLICE_X56Y68 SITE_TYPE SLICEM SITEPROP SLICE_X56Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y69 CLASS site SITEPROP SLICE_X56Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y69 IS_BONDED 0 SITEPROP SLICE_X56Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y69 IS_PAD 0 SITEPROP SLICE_X56Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y69 IS_RESERVED 0 SITEPROP SLICE_X56Y69 IS_TEST 0 SITEPROP SLICE_X56Y69 IS_USED 0 SITEPROP SLICE_X56Y69 MANUAL_ROUTING SITEPROP SLICE_X56Y69 NAME SLICE_X56Y69 SITEPROP SLICE_X56Y69 NUM_ARCS 153 SITEPROP SLICE_X56Y69 NUM_BELS 32 SITEPROP SLICE_X56Y69 NUM_INPUTS 37 SITEPROP SLICE_X56Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y69 NUM_PINS 50 SITEPROP SLICE_X56Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y69 PROHIBIT 0 SITEPROP SLICE_X56Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y69 RPM_X 149 SITEPROP SLICE_X56Y69 RPM_Y 138 SITEPROP SLICE_X56Y69 SITE_PIPS SITEPROP SLICE_X56Y69 SITE_TYPE SLICEM SITEPROP SLICE_X56Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y70 CLASS site SITEPROP SLICE_X56Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y70 IS_BONDED 0 SITEPROP SLICE_X56Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y70 IS_PAD 0 SITEPROP SLICE_X56Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y70 IS_RESERVED 0 SITEPROP SLICE_X56Y70 IS_TEST 0 SITEPROP SLICE_X56Y70 IS_USED 0 SITEPROP SLICE_X56Y70 MANUAL_ROUTING SITEPROP SLICE_X56Y70 NAME SLICE_X56Y70 SITEPROP SLICE_X56Y70 NUM_ARCS 153 SITEPROP SLICE_X56Y70 NUM_BELS 32 SITEPROP SLICE_X56Y70 NUM_INPUTS 37 SITEPROP SLICE_X56Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y70 NUM_PINS 50 SITEPROP SLICE_X56Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y70 PROHIBIT 0 SITEPROP SLICE_X56Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y70 RPM_X 149 SITEPROP SLICE_X56Y70 RPM_Y 140 SITEPROP SLICE_X56Y70 SITE_PIPS SITEPROP SLICE_X56Y70 SITE_TYPE SLICEM SITEPROP SLICE_X56Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y71 CLASS site SITEPROP SLICE_X56Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y71 IS_BONDED 0 SITEPROP SLICE_X56Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y71 IS_PAD 0 SITEPROP SLICE_X56Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y71 IS_RESERVED 0 SITEPROP SLICE_X56Y71 IS_TEST 0 SITEPROP SLICE_X56Y71 IS_USED 0 SITEPROP SLICE_X56Y71 MANUAL_ROUTING SITEPROP SLICE_X56Y71 NAME SLICE_X56Y71 SITEPROP SLICE_X56Y71 NUM_ARCS 153 SITEPROP SLICE_X56Y71 NUM_BELS 32 SITEPROP SLICE_X56Y71 NUM_INPUTS 37 SITEPROP SLICE_X56Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y71 NUM_PINS 50 SITEPROP SLICE_X56Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y71 PROHIBIT 0 SITEPROP SLICE_X56Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y71 RPM_X 149 SITEPROP SLICE_X56Y71 RPM_Y 142 SITEPROP SLICE_X56Y71 SITE_PIPS SITEPROP SLICE_X56Y71 SITE_TYPE SLICEM SITEPROP SLICE_X56Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y72 CLASS site SITEPROP SLICE_X56Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y72 IS_BONDED 0 SITEPROP SLICE_X56Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y72 IS_PAD 0 SITEPROP SLICE_X56Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y72 IS_RESERVED 0 SITEPROP SLICE_X56Y72 IS_TEST 0 SITEPROP SLICE_X56Y72 IS_USED 0 SITEPROP SLICE_X56Y72 MANUAL_ROUTING SITEPROP SLICE_X56Y72 NAME SLICE_X56Y72 SITEPROP SLICE_X56Y72 NUM_ARCS 153 SITEPROP SLICE_X56Y72 NUM_BELS 32 SITEPROP SLICE_X56Y72 NUM_INPUTS 37 SITEPROP SLICE_X56Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y72 NUM_PINS 50 SITEPROP SLICE_X56Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y72 PROHIBIT 0 SITEPROP SLICE_X56Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y72 RPM_X 149 SITEPROP SLICE_X56Y72 RPM_Y 144 SITEPROP SLICE_X56Y72 SITE_PIPS SITEPROP SLICE_X56Y72 SITE_TYPE SLICEM SITEPROP SLICE_X56Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y73 CLASS site SITEPROP SLICE_X56Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y73 IS_BONDED 0 SITEPROP SLICE_X56Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y73 IS_PAD 0 SITEPROP SLICE_X56Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y73 IS_RESERVED 0 SITEPROP SLICE_X56Y73 IS_TEST 0 SITEPROP SLICE_X56Y73 IS_USED 0 SITEPROP SLICE_X56Y73 MANUAL_ROUTING SITEPROP SLICE_X56Y73 NAME SLICE_X56Y73 SITEPROP SLICE_X56Y73 NUM_ARCS 153 SITEPROP SLICE_X56Y73 NUM_BELS 32 SITEPROP SLICE_X56Y73 NUM_INPUTS 37 SITEPROP SLICE_X56Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y73 NUM_PINS 50 SITEPROP SLICE_X56Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y73 PROHIBIT 0 SITEPROP SLICE_X56Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y73 RPM_X 149 SITEPROP SLICE_X56Y73 RPM_Y 146 SITEPROP SLICE_X56Y73 SITE_PIPS SITEPROP SLICE_X56Y73 SITE_TYPE SLICEM SITEPROP SLICE_X56Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y74 CLASS site SITEPROP SLICE_X56Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y74 IS_BONDED 0 SITEPROP SLICE_X56Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y74 IS_PAD 0 SITEPROP SLICE_X56Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y74 IS_RESERVED 0 SITEPROP SLICE_X56Y74 IS_TEST 0 SITEPROP SLICE_X56Y74 IS_USED 0 SITEPROP SLICE_X56Y74 MANUAL_ROUTING SITEPROP SLICE_X56Y74 NAME SLICE_X56Y74 SITEPROP SLICE_X56Y74 NUM_ARCS 153 SITEPROP SLICE_X56Y74 NUM_BELS 32 SITEPROP SLICE_X56Y74 NUM_INPUTS 37 SITEPROP SLICE_X56Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y74 NUM_PINS 50 SITEPROP SLICE_X56Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y74 PROHIBIT 0 SITEPROP SLICE_X56Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y74 RPM_X 149 SITEPROP SLICE_X56Y74 RPM_Y 148 SITEPROP SLICE_X56Y74 SITE_PIPS SITEPROP SLICE_X56Y74 SITE_TYPE SLICEM SITEPROP SLICE_X56Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y75 CLASS site SITEPROP SLICE_X56Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y75 IS_BONDED 0 SITEPROP SLICE_X56Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y75 IS_PAD 0 SITEPROP SLICE_X56Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y75 IS_RESERVED 0 SITEPROP SLICE_X56Y75 IS_TEST 0 SITEPROP SLICE_X56Y75 IS_USED 0 SITEPROP SLICE_X56Y75 MANUAL_ROUTING SITEPROP SLICE_X56Y75 NAME SLICE_X56Y75 SITEPROP SLICE_X56Y75 NUM_ARCS 153 SITEPROP SLICE_X56Y75 NUM_BELS 32 SITEPROP SLICE_X56Y75 NUM_INPUTS 37 SITEPROP SLICE_X56Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y75 NUM_PINS 50 SITEPROP SLICE_X56Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y75 PROHIBIT 0 SITEPROP SLICE_X56Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y75 RPM_X 149 SITEPROP SLICE_X56Y75 RPM_Y 150 SITEPROP SLICE_X56Y75 SITE_PIPS SITEPROP SLICE_X56Y75 SITE_TYPE SLICEM SITEPROP SLICE_X56Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y76 CLASS site SITEPROP SLICE_X56Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y76 IS_BONDED 0 SITEPROP SLICE_X56Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y76 IS_PAD 0 SITEPROP SLICE_X56Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y76 IS_RESERVED 0 SITEPROP SLICE_X56Y76 IS_TEST 0 SITEPROP SLICE_X56Y76 IS_USED 0 SITEPROP SLICE_X56Y76 MANUAL_ROUTING SITEPROP SLICE_X56Y76 NAME SLICE_X56Y76 SITEPROP SLICE_X56Y76 NUM_ARCS 153 SITEPROP SLICE_X56Y76 NUM_BELS 32 SITEPROP SLICE_X56Y76 NUM_INPUTS 37 SITEPROP SLICE_X56Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y76 NUM_PINS 50 SITEPROP SLICE_X56Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y76 PROHIBIT 0 SITEPROP SLICE_X56Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y76 RPM_X 149 SITEPROP SLICE_X56Y76 RPM_Y 152 SITEPROP SLICE_X56Y76 SITE_PIPS SITEPROP SLICE_X56Y76 SITE_TYPE SLICEM SITEPROP SLICE_X56Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y77 CLASS site SITEPROP SLICE_X56Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y77 IS_BONDED 0 SITEPROP SLICE_X56Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y77 IS_PAD 0 SITEPROP SLICE_X56Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y77 IS_RESERVED 0 SITEPROP SLICE_X56Y77 IS_TEST 0 SITEPROP SLICE_X56Y77 IS_USED 0 SITEPROP SLICE_X56Y77 MANUAL_ROUTING SITEPROP SLICE_X56Y77 NAME SLICE_X56Y77 SITEPROP SLICE_X56Y77 NUM_ARCS 153 SITEPROP SLICE_X56Y77 NUM_BELS 32 SITEPROP SLICE_X56Y77 NUM_INPUTS 37 SITEPROP SLICE_X56Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y77 NUM_PINS 50 SITEPROP SLICE_X56Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y77 PROHIBIT 0 SITEPROP SLICE_X56Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y77 RPM_X 149 SITEPROP SLICE_X56Y77 RPM_Y 154 SITEPROP SLICE_X56Y77 SITE_PIPS SITEPROP SLICE_X56Y77 SITE_TYPE SLICEM SITEPROP SLICE_X56Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y78 CLASS site SITEPROP SLICE_X56Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y78 IS_BONDED 0 SITEPROP SLICE_X56Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y78 IS_PAD 0 SITEPROP SLICE_X56Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y78 IS_RESERVED 0 SITEPROP SLICE_X56Y78 IS_TEST 0 SITEPROP SLICE_X56Y78 IS_USED 0 SITEPROP SLICE_X56Y78 MANUAL_ROUTING SITEPROP SLICE_X56Y78 NAME SLICE_X56Y78 SITEPROP SLICE_X56Y78 NUM_ARCS 153 SITEPROP SLICE_X56Y78 NUM_BELS 32 SITEPROP SLICE_X56Y78 NUM_INPUTS 37 SITEPROP SLICE_X56Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y78 NUM_PINS 50 SITEPROP SLICE_X56Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y78 PROHIBIT 0 SITEPROP SLICE_X56Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y78 RPM_X 149 SITEPROP SLICE_X56Y78 RPM_Y 156 SITEPROP SLICE_X56Y78 SITE_PIPS SITEPROP SLICE_X56Y78 SITE_TYPE SLICEM SITEPROP SLICE_X56Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y79 CLASS site SITEPROP SLICE_X56Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y79 IS_BONDED 0 SITEPROP SLICE_X56Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y79 IS_PAD 0 SITEPROP SLICE_X56Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y79 IS_RESERVED 0 SITEPROP SLICE_X56Y79 IS_TEST 0 SITEPROP SLICE_X56Y79 IS_USED 0 SITEPROP SLICE_X56Y79 MANUAL_ROUTING SITEPROP SLICE_X56Y79 NAME SLICE_X56Y79 SITEPROP SLICE_X56Y79 NUM_ARCS 153 SITEPROP SLICE_X56Y79 NUM_BELS 32 SITEPROP SLICE_X56Y79 NUM_INPUTS 37 SITEPROP SLICE_X56Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y79 NUM_PINS 50 SITEPROP SLICE_X56Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y79 PROHIBIT 0 SITEPROP SLICE_X56Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y79 RPM_X 149 SITEPROP SLICE_X56Y79 RPM_Y 158 SITEPROP SLICE_X56Y79 SITE_PIPS SITEPROP SLICE_X56Y79 SITE_TYPE SLICEM SITEPROP SLICE_X56Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y80 CLASS site SITEPROP SLICE_X56Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y80 IS_BONDED 0 SITEPROP SLICE_X56Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y80 IS_PAD 0 SITEPROP SLICE_X56Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y80 IS_RESERVED 0 SITEPROP SLICE_X56Y80 IS_TEST 0 SITEPROP SLICE_X56Y80 IS_USED 0 SITEPROP SLICE_X56Y80 MANUAL_ROUTING SITEPROP SLICE_X56Y80 NAME SLICE_X56Y80 SITEPROP SLICE_X56Y80 NUM_ARCS 153 SITEPROP SLICE_X56Y80 NUM_BELS 32 SITEPROP SLICE_X56Y80 NUM_INPUTS 37 SITEPROP SLICE_X56Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y80 NUM_PINS 50 SITEPROP SLICE_X56Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y80 PROHIBIT 0 SITEPROP SLICE_X56Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y80 RPM_X 149 SITEPROP SLICE_X56Y80 RPM_Y 160 SITEPROP SLICE_X56Y80 SITE_PIPS SITEPROP SLICE_X56Y80 SITE_TYPE SLICEM SITEPROP SLICE_X56Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y81 CLASS site SITEPROP SLICE_X56Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y81 IS_BONDED 0 SITEPROP SLICE_X56Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y81 IS_PAD 0 SITEPROP SLICE_X56Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y81 IS_RESERVED 0 SITEPROP SLICE_X56Y81 IS_TEST 0 SITEPROP SLICE_X56Y81 IS_USED 0 SITEPROP SLICE_X56Y81 MANUAL_ROUTING SITEPROP SLICE_X56Y81 NAME SLICE_X56Y81 SITEPROP SLICE_X56Y81 NUM_ARCS 153 SITEPROP SLICE_X56Y81 NUM_BELS 32 SITEPROP SLICE_X56Y81 NUM_INPUTS 37 SITEPROP SLICE_X56Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y81 NUM_PINS 50 SITEPROP SLICE_X56Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y81 PROHIBIT 0 SITEPROP SLICE_X56Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y81 RPM_X 149 SITEPROP SLICE_X56Y81 RPM_Y 162 SITEPROP SLICE_X56Y81 SITE_PIPS SITEPROP SLICE_X56Y81 SITE_TYPE SLICEM SITEPROP SLICE_X56Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y82 CLASS site SITEPROP SLICE_X56Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y82 IS_BONDED 0 SITEPROP SLICE_X56Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y82 IS_PAD 0 SITEPROP SLICE_X56Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y82 IS_RESERVED 0 SITEPROP SLICE_X56Y82 IS_TEST 0 SITEPROP SLICE_X56Y82 IS_USED 0 SITEPROP SLICE_X56Y82 MANUAL_ROUTING SITEPROP SLICE_X56Y82 NAME SLICE_X56Y82 SITEPROP SLICE_X56Y82 NUM_ARCS 153 SITEPROP SLICE_X56Y82 NUM_BELS 32 SITEPROP SLICE_X56Y82 NUM_INPUTS 37 SITEPROP SLICE_X56Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y82 NUM_PINS 50 SITEPROP SLICE_X56Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y82 PROHIBIT 0 SITEPROP SLICE_X56Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y82 RPM_X 149 SITEPROP SLICE_X56Y82 RPM_Y 164 SITEPROP SLICE_X56Y82 SITE_PIPS SITEPROP SLICE_X56Y82 SITE_TYPE SLICEM SITEPROP SLICE_X56Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y83 CLASS site SITEPROP SLICE_X56Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y83 IS_BONDED 0 SITEPROP SLICE_X56Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y83 IS_PAD 0 SITEPROP SLICE_X56Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y83 IS_RESERVED 0 SITEPROP SLICE_X56Y83 IS_TEST 0 SITEPROP SLICE_X56Y83 IS_USED 0 SITEPROP SLICE_X56Y83 MANUAL_ROUTING SITEPROP SLICE_X56Y83 NAME SLICE_X56Y83 SITEPROP SLICE_X56Y83 NUM_ARCS 153 SITEPROP SLICE_X56Y83 NUM_BELS 32 SITEPROP SLICE_X56Y83 NUM_INPUTS 37 SITEPROP SLICE_X56Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y83 NUM_PINS 50 SITEPROP SLICE_X56Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y83 PROHIBIT 0 SITEPROP SLICE_X56Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y83 RPM_X 149 SITEPROP SLICE_X56Y83 RPM_Y 166 SITEPROP SLICE_X56Y83 SITE_PIPS SITEPROP SLICE_X56Y83 SITE_TYPE SLICEM SITEPROP SLICE_X56Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y84 CLASS site SITEPROP SLICE_X56Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y84 IS_BONDED 0 SITEPROP SLICE_X56Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y84 IS_PAD 0 SITEPROP SLICE_X56Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y84 IS_RESERVED 0 SITEPROP SLICE_X56Y84 IS_TEST 0 SITEPROP SLICE_X56Y84 IS_USED 0 SITEPROP SLICE_X56Y84 MANUAL_ROUTING SITEPROP SLICE_X56Y84 NAME SLICE_X56Y84 SITEPROP SLICE_X56Y84 NUM_ARCS 153 SITEPROP SLICE_X56Y84 NUM_BELS 32 SITEPROP SLICE_X56Y84 NUM_INPUTS 37 SITEPROP SLICE_X56Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y84 NUM_PINS 50 SITEPROP SLICE_X56Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y84 PROHIBIT 0 SITEPROP SLICE_X56Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y84 RPM_X 149 SITEPROP SLICE_X56Y84 RPM_Y 168 SITEPROP SLICE_X56Y84 SITE_PIPS SITEPROP SLICE_X56Y84 SITE_TYPE SLICEM SITEPROP SLICE_X56Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y85 CLASS site SITEPROP SLICE_X56Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y85 IS_BONDED 0 SITEPROP SLICE_X56Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y85 IS_PAD 0 SITEPROP SLICE_X56Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y85 IS_RESERVED 0 SITEPROP SLICE_X56Y85 IS_TEST 0 SITEPROP SLICE_X56Y85 IS_USED 0 SITEPROP SLICE_X56Y85 MANUAL_ROUTING SITEPROP SLICE_X56Y85 NAME SLICE_X56Y85 SITEPROP SLICE_X56Y85 NUM_ARCS 153 SITEPROP SLICE_X56Y85 NUM_BELS 32 SITEPROP SLICE_X56Y85 NUM_INPUTS 37 SITEPROP SLICE_X56Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y85 NUM_PINS 50 SITEPROP SLICE_X56Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y85 PROHIBIT 0 SITEPROP SLICE_X56Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y85 RPM_X 149 SITEPROP SLICE_X56Y85 RPM_Y 170 SITEPROP SLICE_X56Y85 SITE_PIPS SITEPROP SLICE_X56Y85 SITE_TYPE SLICEM SITEPROP SLICE_X56Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y86 CLASS site SITEPROP SLICE_X56Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y86 IS_BONDED 0 SITEPROP SLICE_X56Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y86 IS_PAD 0 SITEPROP SLICE_X56Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y86 IS_RESERVED 0 SITEPROP SLICE_X56Y86 IS_TEST 0 SITEPROP SLICE_X56Y86 IS_USED 0 SITEPROP SLICE_X56Y86 MANUAL_ROUTING SITEPROP SLICE_X56Y86 NAME SLICE_X56Y86 SITEPROP SLICE_X56Y86 NUM_ARCS 153 SITEPROP SLICE_X56Y86 NUM_BELS 32 SITEPROP SLICE_X56Y86 NUM_INPUTS 37 SITEPROP SLICE_X56Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y86 NUM_PINS 50 SITEPROP SLICE_X56Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y86 PROHIBIT 0 SITEPROP SLICE_X56Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y86 RPM_X 149 SITEPROP SLICE_X56Y86 RPM_Y 172 SITEPROP SLICE_X56Y86 SITE_PIPS SITEPROP SLICE_X56Y86 SITE_TYPE SLICEM SITEPROP SLICE_X56Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y87 CLASS site SITEPROP SLICE_X56Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y87 IS_BONDED 0 SITEPROP SLICE_X56Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y87 IS_PAD 0 SITEPROP SLICE_X56Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y87 IS_RESERVED 0 SITEPROP SLICE_X56Y87 IS_TEST 0 SITEPROP SLICE_X56Y87 IS_USED 0 SITEPROP SLICE_X56Y87 MANUAL_ROUTING SITEPROP SLICE_X56Y87 NAME SLICE_X56Y87 SITEPROP SLICE_X56Y87 NUM_ARCS 153 SITEPROP SLICE_X56Y87 NUM_BELS 32 SITEPROP SLICE_X56Y87 NUM_INPUTS 37 SITEPROP SLICE_X56Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y87 NUM_PINS 50 SITEPROP SLICE_X56Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y87 PROHIBIT 0 SITEPROP SLICE_X56Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y87 RPM_X 149 SITEPROP SLICE_X56Y87 RPM_Y 174 SITEPROP SLICE_X56Y87 SITE_PIPS SITEPROP SLICE_X56Y87 SITE_TYPE SLICEM SITEPROP SLICE_X56Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y88 CLASS site SITEPROP SLICE_X56Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y88 IS_BONDED 0 SITEPROP SLICE_X56Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y88 IS_PAD 0 SITEPROP SLICE_X56Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y88 IS_RESERVED 0 SITEPROP SLICE_X56Y88 IS_TEST 0 SITEPROP SLICE_X56Y88 IS_USED 0 SITEPROP SLICE_X56Y88 MANUAL_ROUTING SITEPROP SLICE_X56Y88 NAME SLICE_X56Y88 SITEPROP SLICE_X56Y88 NUM_ARCS 153 SITEPROP SLICE_X56Y88 NUM_BELS 32 SITEPROP SLICE_X56Y88 NUM_INPUTS 37 SITEPROP SLICE_X56Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y88 NUM_PINS 50 SITEPROP SLICE_X56Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y88 PROHIBIT 0 SITEPROP SLICE_X56Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y88 RPM_X 149 SITEPROP SLICE_X56Y88 RPM_Y 176 SITEPROP SLICE_X56Y88 SITE_PIPS SITEPROP SLICE_X56Y88 SITE_TYPE SLICEM SITEPROP SLICE_X56Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y89 CLASS site SITEPROP SLICE_X56Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y89 IS_BONDED 0 SITEPROP SLICE_X56Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y89 IS_PAD 0 SITEPROP SLICE_X56Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y89 IS_RESERVED 0 SITEPROP SLICE_X56Y89 IS_TEST 0 SITEPROP SLICE_X56Y89 IS_USED 0 SITEPROP SLICE_X56Y89 MANUAL_ROUTING SITEPROP SLICE_X56Y89 NAME SLICE_X56Y89 SITEPROP SLICE_X56Y89 NUM_ARCS 153 SITEPROP SLICE_X56Y89 NUM_BELS 32 SITEPROP SLICE_X56Y89 NUM_INPUTS 37 SITEPROP SLICE_X56Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y89 NUM_PINS 50 SITEPROP SLICE_X56Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y89 PROHIBIT 0 SITEPROP SLICE_X56Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y89 RPM_X 149 SITEPROP SLICE_X56Y89 RPM_Y 178 SITEPROP SLICE_X56Y89 SITE_PIPS SITEPROP SLICE_X56Y89 SITE_TYPE SLICEM SITEPROP SLICE_X56Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y90 CLASS site SITEPROP SLICE_X56Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y90 IS_BONDED 0 SITEPROP SLICE_X56Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y90 IS_PAD 0 SITEPROP SLICE_X56Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y90 IS_RESERVED 0 SITEPROP SLICE_X56Y90 IS_TEST 0 SITEPROP SLICE_X56Y90 IS_USED 0 SITEPROP SLICE_X56Y90 MANUAL_ROUTING SITEPROP SLICE_X56Y90 NAME SLICE_X56Y90 SITEPROP SLICE_X56Y90 NUM_ARCS 153 SITEPROP SLICE_X56Y90 NUM_BELS 32 SITEPROP SLICE_X56Y90 NUM_INPUTS 37 SITEPROP SLICE_X56Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y90 NUM_PINS 50 SITEPROP SLICE_X56Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y90 PROHIBIT 0 SITEPROP SLICE_X56Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y90 RPM_X 149 SITEPROP SLICE_X56Y90 RPM_Y 180 SITEPROP SLICE_X56Y90 SITE_PIPS SITEPROP SLICE_X56Y90 SITE_TYPE SLICEM SITEPROP SLICE_X56Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y91 CLASS site SITEPROP SLICE_X56Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y91 IS_BONDED 0 SITEPROP SLICE_X56Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y91 IS_PAD 0 SITEPROP SLICE_X56Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y91 IS_RESERVED 0 SITEPROP SLICE_X56Y91 IS_TEST 0 SITEPROP SLICE_X56Y91 IS_USED 0 SITEPROP SLICE_X56Y91 MANUAL_ROUTING SITEPROP SLICE_X56Y91 NAME SLICE_X56Y91 SITEPROP SLICE_X56Y91 NUM_ARCS 153 SITEPROP SLICE_X56Y91 NUM_BELS 32 SITEPROP SLICE_X56Y91 NUM_INPUTS 37 SITEPROP SLICE_X56Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y91 NUM_PINS 50 SITEPROP SLICE_X56Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y91 PROHIBIT 0 SITEPROP SLICE_X56Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y91 RPM_X 149 SITEPROP SLICE_X56Y91 RPM_Y 182 SITEPROP SLICE_X56Y91 SITE_PIPS SITEPROP SLICE_X56Y91 SITE_TYPE SLICEM SITEPROP SLICE_X56Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y92 CLASS site SITEPROP SLICE_X56Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y92 IS_BONDED 0 SITEPROP SLICE_X56Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y92 IS_PAD 0 SITEPROP SLICE_X56Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y92 IS_RESERVED 0 SITEPROP SLICE_X56Y92 IS_TEST 0 SITEPROP SLICE_X56Y92 IS_USED 0 SITEPROP SLICE_X56Y92 MANUAL_ROUTING SITEPROP SLICE_X56Y92 NAME SLICE_X56Y92 SITEPROP SLICE_X56Y92 NUM_ARCS 153 SITEPROP SLICE_X56Y92 NUM_BELS 32 SITEPROP SLICE_X56Y92 NUM_INPUTS 37 SITEPROP SLICE_X56Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y92 NUM_PINS 50 SITEPROP SLICE_X56Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y92 PROHIBIT 0 SITEPROP SLICE_X56Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y92 RPM_X 149 SITEPROP SLICE_X56Y92 RPM_Y 184 SITEPROP SLICE_X56Y92 SITE_PIPS SITEPROP SLICE_X56Y92 SITE_TYPE SLICEM SITEPROP SLICE_X56Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y93 CLASS site SITEPROP SLICE_X56Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y93 IS_BONDED 0 SITEPROP SLICE_X56Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y93 IS_PAD 0 SITEPROP SLICE_X56Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y93 IS_RESERVED 0 SITEPROP SLICE_X56Y93 IS_TEST 0 SITEPROP SLICE_X56Y93 IS_USED 0 SITEPROP SLICE_X56Y93 MANUAL_ROUTING SITEPROP SLICE_X56Y93 NAME SLICE_X56Y93 SITEPROP SLICE_X56Y93 NUM_ARCS 153 SITEPROP SLICE_X56Y93 NUM_BELS 32 SITEPROP SLICE_X56Y93 NUM_INPUTS 37 SITEPROP SLICE_X56Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y93 NUM_PINS 50 SITEPROP SLICE_X56Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y93 PROHIBIT 0 SITEPROP SLICE_X56Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y93 RPM_X 149 SITEPROP SLICE_X56Y93 RPM_Y 186 SITEPROP SLICE_X56Y93 SITE_PIPS SITEPROP SLICE_X56Y93 SITE_TYPE SLICEM SITEPROP SLICE_X56Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y94 CLASS site SITEPROP SLICE_X56Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y94 IS_BONDED 0 SITEPROP SLICE_X56Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y94 IS_PAD 0 SITEPROP SLICE_X56Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y94 IS_RESERVED 0 SITEPROP SLICE_X56Y94 IS_TEST 0 SITEPROP SLICE_X56Y94 IS_USED 0 SITEPROP SLICE_X56Y94 MANUAL_ROUTING SITEPROP SLICE_X56Y94 NAME SLICE_X56Y94 SITEPROP SLICE_X56Y94 NUM_ARCS 153 SITEPROP SLICE_X56Y94 NUM_BELS 32 SITEPROP SLICE_X56Y94 NUM_INPUTS 37 SITEPROP SLICE_X56Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y94 NUM_PINS 50 SITEPROP SLICE_X56Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y94 PROHIBIT 0 SITEPROP SLICE_X56Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y94 RPM_X 149 SITEPROP SLICE_X56Y94 RPM_Y 188 SITEPROP SLICE_X56Y94 SITE_PIPS SITEPROP SLICE_X56Y94 SITE_TYPE SLICEM SITEPROP SLICE_X56Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y95 CLASS site SITEPROP SLICE_X56Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y95 IS_BONDED 0 SITEPROP SLICE_X56Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y95 IS_PAD 0 SITEPROP SLICE_X56Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y95 IS_RESERVED 0 SITEPROP SLICE_X56Y95 IS_TEST 0 SITEPROP SLICE_X56Y95 IS_USED 0 SITEPROP SLICE_X56Y95 MANUAL_ROUTING SITEPROP SLICE_X56Y95 NAME SLICE_X56Y95 SITEPROP SLICE_X56Y95 NUM_ARCS 153 SITEPROP SLICE_X56Y95 NUM_BELS 32 SITEPROP SLICE_X56Y95 NUM_INPUTS 37 SITEPROP SLICE_X56Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y95 NUM_PINS 50 SITEPROP SLICE_X56Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y95 PROHIBIT 0 SITEPROP SLICE_X56Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y95 RPM_X 149 SITEPROP SLICE_X56Y95 RPM_Y 190 SITEPROP SLICE_X56Y95 SITE_PIPS SITEPROP SLICE_X56Y95 SITE_TYPE SLICEM SITEPROP SLICE_X56Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y96 CLASS site SITEPROP SLICE_X56Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y96 IS_BONDED 0 SITEPROP SLICE_X56Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y96 IS_PAD 0 SITEPROP SLICE_X56Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y96 IS_RESERVED 0 SITEPROP SLICE_X56Y96 IS_TEST 0 SITEPROP SLICE_X56Y96 IS_USED 0 SITEPROP SLICE_X56Y96 MANUAL_ROUTING SITEPROP SLICE_X56Y96 NAME SLICE_X56Y96 SITEPROP SLICE_X56Y96 NUM_ARCS 153 SITEPROP SLICE_X56Y96 NUM_BELS 32 SITEPROP SLICE_X56Y96 NUM_INPUTS 37 SITEPROP SLICE_X56Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y96 NUM_PINS 50 SITEPROP SLICE_X56Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y96 PROHIBIT 0 SITEPROP SLICE_X56Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y96 RPM_X 149 SITEPROP SLICE_X56Y96 RPM_Y 192 SITEPROP SLICE_X56Y96 SITE_PIPS SITEPROP SLICE_X56Y96 SITE_TYPE SLICEM SITEPROP SLICE_X56Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y97 CLASS site SITEPROP SLICE_X56Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y97 IS_BONDED 0 SITEPROP SLICE_X56Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y97 IS_PAD 0 SITEPROP SLICE_X56Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y97 IS_RESERVED 0 SITEPROP SLICE_X56Y97 IS_TEST 0 SITEPROP SLICE_X56Y97 IS_USED 0 SITEPROP SLICE_X56Y97 MANUAL_ROUTING SITEPROP SLICE_X56Y97 NAME SLICE_X56Y97 SITEPROP SLICE_X56Y97 NUM_ARCS 153 SITEPROP SLICE_X56Y97 NUM_BELS 32 SITEPROP SLICE_X56Y97 NUM_INPUTS 37 SITEPROP SLICE_X56Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y97 NUM_PINS 50 SITEPROP SLICE_X56Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y97 PROHIBIT 0 SITEPROP SLICE_X56Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y97 RPM_X 149 SITEPROP SLICE_X56Y97 RPM_Y 194 SITEPROP SLICE_X56Y97 SITE_PIPS SITEPROP SLICE_X56Y97 SITE_TYPE SLICEM SITEPROP SLICE_X56Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y98 CLASS site SITEPROP SLICE_X56Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y98 IS_BONDED 0 SITEPROP SLICE_X56Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y98 IS_PAD 0 SITEPROP SLICE_X56Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y98 IS_RESERVED 0 SITEPROP SLICE_X56Y98 IS_TEST 0 SITEPROP SLICE_X56Y98 IS_USED 0 SITEPROP SLICE_X56Y98 MANUAL_ROUTING SITEPROP SLICE_X56Y98 NAME SLICE_X56Y98 SITEPROP SLICE_X56Y98 NUM_ARCS 153 SITEPROP SLICE_X56Y98 NUM_BELS 32 SITEPROP SLICE_X56Y98 NUM_INPUTS 37 SITEPROP SLICE_X56Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y98 NUM_PINS 50 SITEPROP SLICE_X56Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y98 PROHIBIT 0 SITEPROP SLICE_X56Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y98 RPM_X 149 SITEPROP SLICE_X56Y98 RPM_Y 196 SITEPROP SLICE_X56Y98 SITE_PIPS SITEPROP SLICE_X56Y98 SITE_TYPE SLICEM SITEPROP SLICE_X56Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y99 CLASS site SITEPROP SLICE_X56Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X56Y99 IS_BONDED 0 SITEPROP SLICE_X56Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y99 IS_PAD 0 SITEPROP SLICE_X56Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y99 IS_RESERVED 0 SITEPROP SLICE_X56Y99 IS_TEST 0 SITEPROP SLICE_X56Y99 IS_USED 0 SITEPROP SLICE_X56Y99 MANUAL_ROUTING SITEPROP SLICE_X56Y99 NAME SLICE_X56Y99 SITEPROP SLICE_X56Y99 NUM_ARCS 153 SITEPROP SLICE_X56Y99 NUM_BELS 32 SITEPROP SLICE_X56Y99 NUM_INPUTS 37 SITEPROP SLICE_X56Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y99 NUM_PINS 50 SITEPROP SLICE_X56Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y99 PROHIBIT 0 SITEPROP SLICE_X56Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y99 RPM_X 149 SITEPROP SLICE_X56Y99 RPM_Y 198 SITEPROP SLICE_X56Y99 SITE_PIPS SITEPROP SLICE_X56Y99 SITE_TYPE SLICEM SITEPROP SLICE_X56Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y100 CLASS site SITEPROP SLICE_X56Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y100 IS_BONDED 0 SITEPROP SLICE_X56Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y100 IS_PAD 0 SITEPROP SLICE_X56Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y100 IS_RESERVED 0 SITEPROP SLICE_X56Y100 IS_TEST 0 SITEPROP SLICE_X56Y100 IS_USED 0 SITEPROP SLICE_X56Y100 MANUAL_ROUTING SITEPROP SLICE_X56Y100 NAME SLICE_X56Y100 SITEPROP SLICE_X56Y100 NUM_ARCS 153 SITEPROP SLICE_X56Y100 NUM_BELS 32 SITEPROP SLICE_X56Y100 NUM_INPUTS 37 SITEPROP SLICE_X56Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y100 NUM_PINS 50 SITEPROP SLICE_X56Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y100 PROHIBIT 0 SITEPROP SLICE_X56Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y100 RPM_X 149 SITEPROP SLICE_X56Y100 RPM_Y 200 SITEPROP SLICE_X56Y100 SITE_PIPS SITEPROP SLICE_X56Y100 SITE_TYPE SLICEM SITEPROP SLICE_X56Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y101 CLASS site SITEPROP SLICE_X56Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y101 IS_BONDED 0 SITEPROP SLICE_X56Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y101 IS_PAD 0 SITEPROP SLICE_X56Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y101 IS_RESERVED 0 SITEPROP SLICE_X56Y101 IS_TEST 0 SITEPROP SLICE_X56Y101 IS_USED 0 SITEPROP SLICE_X56Y101 MANUAL_ROUTING SITEPROP SLICE_X56Y101 NAME SLICE_X56Y101 SITEPROP SLICE_X56Y101 NUM_ARCS 153 SITEPROP SLICE_X56Y101 NUM_BELS 32 SITEPROP SLICE_X56Y101 NUM_INPUTS 37 SITEPROP SLICE_X56Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y101 NUM_PINS 50 SITEPROP SLICE_X56Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y101 PROHIBIT 0 SITEPROP SLICE_X56Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y101 RPM_X 149 SITEPROP SLICE_X56Y101 RPM_Y 202 SITEPROP SLICE_X56Y101 SITE_PIPS SITEPROP SLICE_X56Y101 SITE_TYPE SLICEM SITEPROP SLICE_X56Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y102 CLASS site SITEPROP SLICE_X56Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y102 IS_BONDED 0 SITEPROP SLICE_X56Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y102 IS_PAD 0 SITEPROP SLICE_X56Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y102 IS_RESERVED 0 SITEPROP SLICE_X56Y102 IS_TEST 0 SITEPROP SLICE_X56Y102 IS_USED 0 SITEPROP SLICE_X56Y102 MANUAL_ROUTING SITEPROP SLICE_X56Y102 NAME SLICE_X56Y102 SITEPROP SLICE_X56Y102 NUM_ARCS 153 SITEPROP SLICE_X56Y102 NUM_BELS 32 SITEPROP SLICE_X56Y102 NUM_INPUTS 37 SITEPROP SLICE_X56Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y102 NUM_PINS 50 SITEPROP SLICE_X56Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y102 PROHIBIT 0 SITEPROP SLICE_X56Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y102 RPM_X 149 SITEPROP SLICE_X56Y102 RPM_Y 204 SITEPROP SLICE_X56Y102 SITE_PIPS SITEPROP SLICE_X56Y102 SITE_TYPE SLICEM SITEPROP SLICE_X56Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y103 CLASS site SITEPROP SLICE_X56Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y103 IS_BONDED 0 SITEPROP SLICE_X56Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y103 IS_PAD 0 SITEPROP SLICE_X56Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y103 IS_RESERVED 0 SITEPROP SLICE_X56Y103 IS_TEST 0 SITEPROP SLICE_X56Y103 IS_USED 0 SITEPROP SLICE_X56Y103 MANUAL_ROUTING SITEPROP SLICE_X56Y103 NAME SLICE_X56Y103 SITEPROP SLICE_X56Y103 NUM_ARCS 153 SITEPROP SLICE_X56Y103 NUM_BELS 32 SITEPROP SLICE_X56Y103 NUM_INPUTS 37 SITEPROP SLICE_X56Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y103 NUM_PINS 50 SITEPROP SLICE_X56Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y103 PROHIBIT 0 SITEPROP SLICE_X56Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y103 RPM_X 149 SITEPROP SLICE_X56Y103 RPM_Y 206 SITEPROP SLICE_X56Y103 SITE_PIPS SITEPROP SLICE_X56Y103 SITE_TYPE SLICEM SITEPROP SLICE_X56Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y104 CLASS site SITEPROP SLICE_X56Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y104 IS_BONDED 0 SITEPROP SLICE_X56Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y104 IS_PAD 0 SITEPROP SLICE_X56Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y104 IS_RESERVED 0 SITEPROP SLICE_X56Y104 IS_TEST 0 SITEPROP SLICE_X56Y104 IS_USED 0 SITEPROP SLICE_X56Y104 MANUAL_ROUTING SITEPROP SLICE_X56Y104 NAME SLICE_X56Y104 SITEPROP SLICE_X56Y104 NUM_ARCS 153 SITEPROP SLICE_X56Y104 NUM_BELS 32 SITEPROP SLICE_X56Y104 NUM_INPUTS 37 SITEPROP SLICE_X56Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y104 NUM_PINS 50 SITEPROP SLICE_X56Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y104 PROHIBIT 0 SITEPROP SLICE_X56Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y104 RPM_X 149 SITEPROP SLICE_X56Y104 RPM_Y 208 SITEPROP SLICE_X56Y104 SITE_PIPS SITEPROP SLICE_X56Y104 SITE_TYPE SLICEM SITEPROP SLICE_X56Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y105 CLASS site SITEPROP SLICE_X56Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y105 IS_BONDED 0 SITEPROP SLICE_X56Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y105 IS_PAD 0 SITEPROP SLICE_X56Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y105 IS_RESERVED 0 SITEPROP SLICE_X56Y105 IS_TEST 0 SITEPROP SLICE_X56Y105 IS_USED 0 SITEPROP SLICE_X56Y105 MANUAL_ROUTING SITEPROP SLICE_X56Y105 NAME SLICE_X56Y105 SITEPROP SLICE_X56Y105 NUM_ARCS 153 SITEPROP SLICE_X56Y105 NUM_BELS 32 SITEPROP SLICE_X56Y105 NUM_INPUTS 37 SITEPROP SLICE_X56Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y105 NUM_PINS 50 SITEPROP SLICE_X56Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y105 PROHIBIT 0 SITEPROP SLICE_X56Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y105 RPM_X 149 SITEPROP SLICE_X56Y105 RPM_Y 210 SITEPROP SLICE_X56Y105 SITE_PIPS SITEPROP SLICE_X56Y105 SITE_TYPE SLICEM SITEPROP SLICE_X56Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y106 CLASS site SITEPROP SLICE_X56Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y106 IS_BONDED 0 SITEPROP SLICE_X56Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y106 IS_PAD 0 SITEPROP SLICE_X56Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y106 IS_RESERVED 0 SITEPROP SLICE_X56Y106 IS_TEST 0 SITEPROP SLICE_X56Y106 IS_USED 0 SITEPROP SLICE_X56Y106 MANUAL_ROUTING SITEPROP SLICE_X56Y106 NAME SLICE_X56Y106 SITEPROP SLICE_X56Y106 NUM_ARCS 153 SITEPROP SLICE_X56Y106 NUM_BELS 32 SITEPROP SLICE_X56Y106 NUM_INPUTS 37 SITEPROP SLICE_X56Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y106 NUM_PINS 50 SITEPROP SLICE_X56Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y106 PROHIBIT 0 SITEPROP SLICE_X56Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y106 RPM_X 149 SITEPROP SLICE_X56Y106 RPM_Y 212 SITEPROP SLICE_X56Y106 SITE_PIPS SITEPROP SLICE_X56Y106 SITE_TYPE SLICEM SITEPROP SLICE_X56Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y107 CLASS site SITEPROP SLICE_X56Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y107 IS_BONDED 0 SITEPROP SLICE_X56Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y107 IS_PAD 0 SITEPROP SLICE_X56Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y107 IS_RESERVED 0 SITEPROP SLICE_X56Y107 IS_TEST 0 SITEPROP SLICE_X56Y107 IS_USED 0 SITEPROP SLICE_X56Y107 MANUAL_ROUTING SITEPROP SLICE_X56Y107 NAME SLICE_X56Y107 SITEPROP SLICE_X56Y107 NUM_ARCS 153 SITEPROP SLICE_X56Y107 NUM_BELS 32 SITEPROP SLICE_X56Y107 NUM_INPUTS 37 SITEPROP SLICE_X56Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y107 NUM_PINS 50 SITEPROP SLICE_X56Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y107 PROHIBIT 0 SITEPROP SLICE_X56Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y107 RPM_X 149 SITEPROP SLICE_X56Y107 RPM_Y 214 SITEPROP SLICE_X56Y107 SITE_PIPS SITEPROP SLICE_X56Y107 SITE_TYPE SLICEM SITEPROP SLICE_X56Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y108 CLASS site SITEPROP SLICE_X56Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y108 IS_BONDED 0 SITEPROP SLICE_X56Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y108 IS_PAD 0 SITEPROP SLICE_X56Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y108 IS_RESERVED 0 SITEPROP SLICE_X56Y108 IS_TEST 0 SITEPROP SLICE_X56Y108 IS_USED 0 SITEPROP SLICE_X56Y108 MANUAL_ROUTING SITEPROP SLICE_X56Y108 NAME SLICE_X56Y108 SITEPROP SLICE_X56Y108 NUM_ARCS 153 SITEPROP SLICE_X56Y108 NUM_BELS 32 SITEPROP SLICE_X56Y108 NUM_INPUTS 37 SITEPROP SLICE_X56Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y108 NUM_PINS 50 SITEPROP SLICE_X56Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y108 PROHIBIT 0 SITEPROP SLICE_X56Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y108 RPM_X 149 SITEPROP SLICE_X56Y108 RPM_Y 216 SITEPROP SLICE_X56Y108 SITE_PIPS SITEPROP SLICE_X56Y108 SITE_TYPE SLICEM SITEPROP SLICE_X56Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y109 CLASS site SITEPROP SLICE_X56Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y109 IS_BONDED 0 SITEPROP SLICE_X56Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y109 IS_PAD 0 SITEPROP SLICE_X56Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y109 IS_RESERVED 0 SITEPROP SLICE_X56Y109 IS_TEST 0 SITEPROP SLICE_X56Y109 IS_USED 0 SITEPROP SLICE_X56Y109 MANUAL_ROUTING SITEPROP SLICE_X56Y109 NAME SLICE_X56Y109 SITEPROP SLICE_X56Y109 NUM_ARCS 153 SITEPROP SLICE_X56Y109 NUM_BELS 32 SITEPROP SLICE_X56Y109 NUM_INPUTS 37 SITEPROP SLICE_X56Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y109 NUM_PINS 50 SITEPROP SLICE_X56Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y109 PROHIBIT 0 SITEPROP SLICE_X56Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y109 RPM_X 149 SITEPROP SLICE_X56Y109 RPM_Y 218 SITEPROP SLICE_X56Y109 SITE_PIPS SITEPROP SLICE_X56Y109 SITE_TYPE SLICEM SITEPROP SLICE_X56Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y110 CLASS site SITEPROP SLICE_X56Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y110 IS_BONDED 0 SITEPROP SLICE_X56Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y110 IS_PAD 0 SITEPROP SLICE_X56Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y110 IS_RESERVED 0 SITEPROP SLICE_X56Y110 IS_TEST 0 SITEPROP SLICE_X56Y110 IS_USED 0 SITEPROP SLICE_X56Y110 MANUAL_ROUTING SITEPROP SLICE_X56Y110 NAME SLICE_X56Y110 SITEPROP SLICE_X56Y110 NUM_ARCS 153 SITEPROP SLICE_X56Y110 NUM_BELS 32 SITEPROP SLICE_X56Y110 NUM_INPUTS 37 SITEPROP SLICE_X56Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y110 NUM_PINS 50 SITEPROP SLICE_X56Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y110 PROHIBIT 0 SITEPROP SLICE_X56Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y110 RPM_X 149 SITEPROP SLICE_X56Y110 RPM_Y 220 SITEPROP SLICE_X56Y110 SITE_PIPS SITEPROP SLICE_X56Y110 SITE_TYPE SLICEM SITEPROP SLICE_X56Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y111 CLASS site SITEPROP SLICE_X56Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y111 IS_BONDED 0 SITEPROP SLICE_X56Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y111 IS_PAD 0 SITEPROP SLICE_X56Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y111 IS_RESERVED 0 SITEPROP SLICE_X56Y111 IS_TEST 0 SITEPROP SLICE_X56Y111 IS_USED 0 SITEPROP SLICE_X56Y111 MANUAL_ROUTING SITEPROP SLICE_X56Y111 NAME SLICE_X56Y111 SITEPROP SLICE_X56Y111 NUM_ARCS 153 SITEPROP SLICE_X56Y111 NUM_BELS 32 SITEPROP SLICE_X56Y111 NUM_INPUTS 37 SITEPROP SLICE_X56Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y111 NUM_PINS 50 SITEPROP SLICE_X56Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y111 PROHIBIT 0 SITEPROP SLICE_X56Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y111 RPM_X 149 SITEPROP SLICE_X56Y111 RPM_Y 222 SITEPROP SLICE_X56Y111 SITE_PIPS SITEPROP SLICE_X56Y111 SITE_TYPE SLICEM SITEPROP SLICE_X56Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y112 CLASS site SITEPROP SLICE_X56Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y112 IS_BONDED 0 SITEPROP SLICE_X56Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y112 IS_PAD 0 SITEPROP SLICE_X56Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y112 IS_RESERVED 0 SITEPROP SLICE_X56Y112 IS_TEST 0 SITEPROP SLICE_X56Y112 IS_USED 0 SITEPROP SLICE_X56Y112 MANUAL_ROUTING SITEPROP SLICE_X56Y112 NAME SLICE_X56Y112 SITEPROP SLICE_X56Y112 NUM_ARCS 153 SITEPROP SLICE_X56Y112 NUM_BELS 32 SITEPROP SLICE_X56Y112 NUM_INPUTS 37 SITEPROP SLICE_X56Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y112 NUM_PINS 50 SITEPROP SLICE_X56Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y112 PROHIBIT 0 SITEPROP SLICE_X56Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y112 RPM_X 149 SITEPROP SLICE_X56Y112 RPM_Y 224 SITEPROP SLICE_X56Y112 SITE_PIPS SITEPROP SLICE_X56Y112 SITE_TYPE SLICEM SITEPROP SLICE_X56Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y113 CLASS site SITEPROP SLICE_X56Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y113 IS_BONDED 0 SITEPROP SLICE_X56Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y113 IS_PAD 0 SITEPROP SLICE_X56Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y113 IS_RESERVED 0 SITEPROP SLICE_X56Y113 IS_TEST 0 SITEPROP SLICE_X56Y113 IS_USED 0 SITEPROP SLICE_X56Y113 MANUAL_ROUTING SITEPROP SLICE_X56Y113 NAME SLICE_X56Y113 SITEPROP SLICE_X56Y113 NUM_ARCS 153 SITEPROP SLICE_X56Y113 NUM_BELS 32 SITEPROP SLICE_X56Y113 NUM_INPUTS 37 SITEPROP SLICE_X56Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y113 NUM_PINS 50 SITEPROP SLICE_X56Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y113 PROHIBIT 0 SITEPROP SLICE_X56Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y113 RPM_X 149 SITEPROP SLICE_X56Y113 RPM_Y 226 SITEPROP SLICE_X56Y113 SITE_PIPS SITEPROP SLICE_X56Y113 SITE_TYPE SLICEM SITEPROP SLICE_X56Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y114 CLASS site SITEPROP SLICE_X56Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y114 IS_BONDED 0 SITEPROP SLICE_X56Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y114 IS_PAD 0 SITEPROP SLICE_X56Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y114 IS_RESERVED 0 SITEPROP SLICE_X56Y114 IS_TEST 0 SITEPROP SLICE_X56Y114 IS_USED 0 SITEPROP SLICE_X56Y114 MANUAL_ROUTING SITEPROP SLICE_X56Y114 NAME SLICE_X56Y114 SITEPROP SLICE_X56Y114 NUM_ARCS 153 SITEPROP SLICE_X56Y114 NUM_BELS 32 SITEPROP SLICE_X56Y114 NUM_INPUTS 37 SITEPROP SLICE_X56Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y114 NUM_PINS 50 SITEPROP SLICE_X56Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y114 PROHIBIT 0 SITEPROP SLICE_X56Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y114 RPM_X 149 SITEPROP SLICE_X56Y114 RPM_Y 228 SITEPROP SLICE_X56Y114 SITE_PIPS SITEPROP SLICE_X56Y114 SITE_TYPE SLICEM SITEPROP SLICE_X56Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y115 CLASS site SITEPROP SLICE_X56Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y115 IS_BONDED 0 SITEPROP SLICE_X56Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y115 IS_PAD 0 SITEPROP SLICE_X56Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y115 IS_RESERVED 0 SITEPROP SLICE_X56Y115 IS_TEST 0 SITEPROP SLICE_X56Y115 IS_USED 0 SITEPROP SLICE_X56Y115 MANUAL_ROUTING SITEPROP SLICE_X56Y115 NAME SLICE_X56Y115 SITEPROP SLICE_X56Y115 NUM_ARCS 153 SITEPROP SLICE_X56Y115 NUM_BELS 32 SITEPROP SLICE_X56Y115 NUM_INPUTS 37 SITEPROP SLICE_X56Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y115 NUM_PINS 50 SITEPROP SLICE_X56Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y115 PROHIBIT 0 SITEPROP SLICE_X56Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y115 RPM_X 149 SITEPROP SLICE_X56Y115 RPM_Y 230 SITEPROP SLICE_X56Y115 SITE_PIPS SITEPROP SLICE_X56Y115 SITE_TYPE SLICEM SITEPROP SLICE_X56Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y116 CLASS site SITEPROP SLICE_X56Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y116 IS_BONDED 0 SITEPROP SLICE_X56Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y116 IS_PAD 0 SITEPROP SLICE_X56Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y116 IS_RESERVED 0 SITEPROP SLICE_X56Y116 IS_TEST 0 SITEPROP SLICE_X56Y116 IS_USED 0 SITEPROP SLICE_X56Y116 MANUAL_ROUTING SITEPROP SLICE_X56Y116 NAME SLICE_X56Y116 SITEPROP SLICE_X56Y116 NUM_ARCS 153 SITEPROP SLICE_X56Y116 NUM_BELS 32 SITEPROP SLICE_X56Y116 NUM_INPUTS 37 SITEPROP SLICE_X56Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y116 NUM_PINS 50 SITEPROP SLICE_X56Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y116 PROHIBIT 0 SITEPROP SLICE_X56Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y116 RPM_X 149 SITEPROP SLICE_X56Y116 RPM_Y 232 SITEPROP SLICE_X56Y116 SITE_PIPS SITEPROP SLICE_X56Y116 SITE_TYPE SLICEM SITEPROP SLICE_X56Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y117 CLASS site SITEPROP SLICE_X56Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y117 IS_BONDED 0 SITEPROP SLICE_X56Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y117 IS_PAD 0 SITEPROP SLICE_X56Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y117 IS_RESERVED 0 SITEPROP SLICE_X56Y117 IS_TEST 0 SITEPROP SLICE_X56Y117 IS_USED 0 SITEPROP SLICE_X56Y117 MANUAL_ROUTING SITEPROP SLICE_X56Y117 NAME SLICE_X56Y117 SITEPROP SLICE_X56Y117 NUM_ARCS 153 SITEPROP SLICE_X56Y117 NUM_BELS 32 SITEPROP SLICE_X56Y117 NUM_INPUTS 37 SITEPROP SLICE_X56Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y117 NUM_PINS 50 SITEPROP SLICE_X56Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y117 PROHIBIT 0 SITEPROP SLICE_X56Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y117 RPM_X 149 SITEPROP SLICE_X56Y117 RPM_Y 234 SITEPROP SLICE_X56Y117 SITE_PIPS SITEPROP SLICE_X56Y117 SITE_TYPE SLICEM SITEPROP SLICE_X56Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y118 CLASS site SITEPROP SLICE_X56Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y118 IS_BONDED 0 SITEPROP SLICE_X56Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y118 IS_PAD 0 SITEPROP SLICE_X56Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y118 IS_RESERVED 0 SITEPROP SLICE_X56Y118 IS_TEST 0 SITEPROP SLICE_X56Y118 IS_USED 0 SITEPROP SLICE_X56Y118 MANUAL_ROUTING SITEPROP SLICE_X56Y118 NAME SLICE_X56Y118 SITEPROP SLICE_X56Y118 NUM_ARCS 153 SITEPROP SLICE_X56Y118 NUM_BELS 32 SITEPROP SLICE_X56Y118 NUM_INPUTS 37 SITEPROP SLICE_X56Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y118 NUM_PINS 50 SITEPROP SLICE_X56Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y118 PROHIBIT 0 SITEPROP SLICE_X56Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y118 RPM_X 149 SITEPROP SLICE_X56Y118 RPM_Y 236 SITEPROP SLICE_X56Y118 SITE_PIPS SITEPROP SLICE_X56Y118 SITE_TYPE SLICEM SITEPROP SLICE_X56Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y119 CLASS site SITEPROP SLICE_X56Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y119 IS_BONDED 0 SITEPROP SLICE_X56Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y119 IS_PAD 0 SITEPROP SLICE_X56Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y119 IS_RESERVED 0 SITEPROP SLICE_X56Y119 IS_TEST 0 SITEPROP SLICE_X56Y119 IS_USED 0 SITEPROP SLICE_X56Y119 MANUAL_ROUTING SITEPROP SLICE_X56Y119 NAME SLICE_X56Y119 SITEPROP SLICE_X56Y119 NUM_ARCS 153 SITEPROP SLICE_X56Y119 NUM_BELS 32 SITEPROP SLICE_X56Y119 NUM_INPUTS 37 SITEPROP SLICE_X56Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y119 NUM_PINS 50 SITEPROP SLICE_X56Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y119 PROHIBIT 0 SITEPROP SLICE_X56Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y119 RPM_X 149 SITEPROP SLICE_X56Y119 RPM_Y 238 SITEPROP SLICE_X56Y119 SITE_PIPS SITEPROP SLICE_X56Y119 SITE_TYPE SLICEM SITEPROP SLICE_X56Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y120 CLASS site SITEPROP SLICE_X56Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y120 IS_BONDED 0 SITEPROP SLICE_X56Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y120 IS_PAD 0 SITEPROP SLICE_X56Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y120 IS_RESERVED 0 SITEPROP SLICE_X56Y120 IS_TEST 0 SITEPROP SLICE_X56Y120 IS_USED 0 SITEPROP SLICE_X56Y120 MANUAL_ROUTING SITEPROP SLICE_X56Y120 NAME SLICE_X56Y120 SITEPROP SLICE_X56Y120 NUM_ARCS 153 SITEPROP SLICE_X56Y120 NUM_BELS 32 SITEPROP SLICE_X56Y120 NUM_INPUTS 37 SITEPROP SLICE_X56Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y120 NUM_PINS 50 SITEPROP SLICE_X56Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y120 PROHIBIT 0 SITEPROP SLICE_X56Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y120 RPM_X 149 SITEPROP SLICE_X56Y120 RPM_Y 240 SITEPROP SLICE_X56Y120 SITE_PIPS SITEPROP SLICE_X56Y120 SITE_TYPE SLICEM SITEPROP SLICE_X56Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y121 CLASS site SITEPROP SLICE_X56Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y121 IS_BONDED 0 SITEPROP SLICE_X56Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y121 IS_PAD 0 SITEPROP SLICE_X56Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y121 IS_RESERVED 0 SITEPROP SLICE_X56Y121 IS_TEST 0 SITEPROP SLICE_X56Y121 IS_USED 0 SITEPROP SLICE_X56Y121 MANUAL_ROUTING SITEPROP SLICE_X56Y121 NAME SLICE_X56Y121 SITEPROP SLICE_X56Y121 NUM_ARCS 153 SITEPROP SLICE_X56Y121 NUM_BELS 32 SITEPROP SLICE_X56Y121 NUM_INPUTS 37 SITEPROP SLICE_X56Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y121 NUM_PINS 50 SITEPROP SLICE_X56Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y121 PROHIBIT 0 SITEPROP SLICE_X56Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y121 RPM_X 149 SITEPROP SLICE_X56Y121 RPM_Y 242 SITEPROP SLICE_X56Y121 SITE_PIPS SITEPROP SLICE_X56Y121 SITE_TYPE SLICEM SITEPROP SLICE_X56Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y122 CLASS site SITEPROP SLICE_X56Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y122 IS_BONDED 0 SITEPROP SLICE_X56Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y122 IS_PAD 0 SITEPROP SLICE_X56Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y122 IS_RESERVED 0 SITEPROP SLICE_X56Y122 IS_TEST 0 SITEPROP SLICE_X56Y122 IS_USED 0 SITEPROP SLICE_X56Y122 MANUAL_ROUTING SITEPROP SLICE_X56Y122 NAME SLICE_X56Y122 SITEPROP SLICE_X56Y122 NUM_ARCS 153 SITEPROP SLICE_X56Y122 NUM_BELS 32 SITEPROP SLICE_X56Y122 NUM_INPUTS 37 SITEPROP SLICE_X56Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y122 NUM_PINS 50 SITEPROP SLICE_X56Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y122 PROHIBIT 0 SITEPROP SLICE_X56Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y122 RPM_X 149 SITEPROP SLICE_X56Y122 RPM_Y 244 SITEPROP SLICE_X56Y122 SITE_PIPS SITEPROP SLICE_X56Y122 SITE_TYPE SLICEM SITEPROP SLICE_X56Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y123 CLASS site SITEPROP SLICE_X56Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y123 IS_BONDED 0 SITEPROP SLICE_X56Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y123 IS_PAD 0 SITEPROP SLICE_X56Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y123 IS_RESERVED 0 SITEPROP SLICE_X56Y123 IS_TEST 0 SITEPROP SLICE_X56Y123 IS_USED 0 SITEPROP SLICE_X56Y123 MANUAL_ROUTING SITEPROP SLICE_X56Y123 NAME SLICE_X56Y123 SITEPROP SLICE_X56Y123 NUM_ARCS 153 SITEPROP SLICE_X56Y123 NUM_BELS 32 SITEPROP SLICE_X56Y123 NUM_INPUTS 37 SITEPROP SLICE_X56Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y123 NUM_PINS 50 SITEPROP SLICE_X56Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y123 PROHIBIT 0 SITEPROP SLICE_X56Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y123 RPM_X 149 SITEPROP SLICE_X56Y123 RPM_Y 246 SITEPROP SLICE_X56Y123 SITE_PIPS SITEPROP SLICE_X56Y123 SITE_TYPE SLICEM SITEPROP SLICE_X56Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y124 CLASS site SITEPROP SLICE_X56Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y124 IS_BONDED 0 SITEPROP SLICE_X56Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y124 IS_PAD 0 SITEPROP SLICE_X56Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y124 IS_RESERVED 0 SITEPROP SLICE_X56Y124 IS_TEST 0 SITEPROP SLICE_X56Y124 IS_USED 0 SITEPROP SLICE_X56Y124 MANUAL_ROUTING SITEPROP SLICE_X56Y124 NAME SLICE_X56Y124 SITEPROP SLICE_X56Y124 NUM_ARCS 153 SITEPROP SLICE_X56Y124 NUM_BELS 32 SITEPROP SLICE_X56Y124 NUM_INPUTS 37 SITEPROP SLICE_X56Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y124 NUM_PINS 50 SITEPROP SLICE_X56Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y124 PROHIBIT 0 SITEPROP SLICE_X56Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y124 RPM_X 149 SITEPROP SLICE_X56Y124 RPM_Y 248 SITEPROP SLICE_X56Y124 SITE_PIPS SITEPROP SLICE_X56Y124 SITE_TYPE SLICEM SITEPROP SLICE_X56Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y125 CLASS site SITEPROP SLICE_X56Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y125 IS_BONDED 0 SITEPROP SLICE_X56Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y125 IS_PAD 0 SITEPROP SLICE_X56Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y125 IS_RESERVED 0 SITEPROP SLICE_X56Y125 IS_TEST 0 SITEPROP SLICE_X56Y125 IS_USED 0 SITEPROP SLICE_X56Y125 MANUAL_ROUTING SITEPROP SLICE_X56Y125 NAME SLICE_X56Y125 SITEPROP SLICE_X56Y125 NUM_ARCS 153 SITEPROP SLICE_X56Y125 NUM_BELS 32 SITEPROP SLICE_X56Y125 NUM_INPUTS 37 SITEPROP SLICE_X56Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y125 NUM_PINS 50 SITEPROP SLICE_X56Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y125 PROHIBIT 0 SITEPROP SLICE_X56Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y125 RPM_X 149 SITEPROP SLICE_X56Y125 RPM_Y 250 SITEPROP SLICE_X56Y125 SITE_PIPS SITEPROP SLICE_X56Y125 SITE_TYPE SLICEM SITEPROP SLICE_X56Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y126 CLASS site SITEPROP SLICE_X56Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y126 IS_BONDED 0 SITEPROP SLICE_X56Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y126 IS_PAD 0 SITEPROP SLICE_X56Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y126 IS_RESERVED 0 SITEPROP SLICE_X56Y126 IS_TEST 0 SITEPROP SLICE_X56Y126 IS_USED 0 SITEPROP SLICE_X56Y126 MANUAL_ROUTING SITEPROP SLICE_X56Y126 NAME SLICE_X56Y126 SITEPROP SLICE_X56Y126 NUM_ARCS 153 SITEPROP SLICE_X56Y126 NUM_BELS 32 SITEPROP SLICE_X56Y126 NUM_INPUTS 37 SITEPROP SLICE_X56Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y126 NUM_PINS 50 SITEPROP SLICE_X56Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y126 PROHIBIT 0 SITEPROP SLICE_X56Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y126 RPM_X 149 SITEPROP SLICE_X56Y126 RPM_Y 252 SITEPROP SLICE_X56Y126 SITE_PIPS SITEPROP SLICE_X56Y126 SITE_TYPE SLICEM SITEPROP SLICE_X56Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y127 CLASS site SITEPROP SLICE_X56Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y127 IS_BONDED 0 SITEPROP SLICE_X56Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y127 IS_PAD 0 SITEPROP SLICE_X56Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y127 IS_RESERVED 0 SITEPROP SLICE_X56Y127 IS_TEST 0 SITEPROP SLICE_X56Y127 IS_USED 0 SITEPROP SLICE_X56Y127 MANUAL_ROUTING SITEPROP SLICE_X56Y127 NAME SLICE_X56Y127 SITEPROP SLICE_X56Y127 NUM_ARCS 153 SITEPROP SLICE_X56Y127 NUM_BELS 32 SITEPROP SLICE_X56Y127 NUM_INPUTS 37 SITEPROP SLICE_X56Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y127 NUM_PINS 50 SITEPROP SLICE_X56Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y127 PROHIBIT 0 SITEPROP SLICE_X56Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y127 RPM_X 149 SITEPROP SLICE_X56Y127 RPM_Y 254 SITEPROP SLICE_X56Y127 SITE_PIPS SITEPROP SLICE_X56Y127 SITE_TYPE SLICEM SITEPROP SLICE_X56Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y128 CLASS site SITEPROP SLICE_X56Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y128 IS_BONDED 0 SITEPROP SLICE_X56Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y128 IS_PAD 0 SITEPROP SLICE_X56Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y128 IS_RESERVED 0 SITEPROP SLICE_X56Y128 IS_TEST 0 SITEPROP SLICE_X56Y128 IS_USED 0 SITEPROP SLICE_X56Y128 MANUAL_ROUTING SITEPROP SLICE_X56Y128 NAME SLICE_X56Y128 SITEPROP SLICE_X56Y128 NUM_ARCS 153 SITEPROP SLICE_X56Y128 NUM_BELS 32 SITEPROP SLICE_X56Y128 NUM_INPUTS 37 SITEPROP SLICE_X56Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y128 NUM_PINS 50 SITEPROP SLICE_X56Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y128 PROHIBIT 0 SITEPROP SLICE_X56Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y128 RPM_X 149 SITEPROP SLICE_X56Y128 RPM_Y 256 SITEPROP SLICE_X56Y128 SITE_PIPS SITEPROP SLICE_X56Y128 SITE_TYPE SLICEM SITEPROP SLICE_X56Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y129 CLASS site SITEPROP SLICE_X56Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y129 IS_BONDED 0 SITEPROP SLICE_X56Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y129 IS_PAD 0 SITEPROP SLICE_X56Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y129 IS_RESERVED 0 SITEPROP SLICE_X56Y129 IS_TEST 0 SITEPROP SLICE_X56Y129 IS_USED 0 SITEPROP SLICE_X56Y129 MANUAL_ROUTING SITEPROP SLICE_X56Y129 NAME SLICE_X56Y129 SITEPROP SLICE_X56Y129 NUM_ARCS 153 SITEPROP SLICE_X56Y129 NUM_BELS 32 SITEPROP SLICE_X56Y129 NUM_INPUTS 37 SITEPROP SLICE_X56Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y129 NUM_PINS 50 SITEPROP SLICE_X56Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y129 PROHIBIT 0 SITEPROP SLICE_X56Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y129 RPM_X 149 SITEPROP SLICE_X56Y129 RPM_Y 258 SITEPROP SLICE_X56Y129 SITE_PIPS SITEPROP SLICE_X56Y129 SITE_TYPE SLICEM SITEPROP SLICE_X56Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y130 CLASS site SITEPROP SLICE_X56Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y130 IS_BONDED 0 SITEPROP SLICE_X56Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y130 IS_PAD 0 SITEPROP SLICE_X56Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y130 IS_RESERVED 0 SITEPROP SLICE_X56Y130 IS_TEST 0 SITEPROP SLICE_X56Y130 IS_USED 0 SITEPROP SLICE_X56Y130 MANUAL_ROUTING SITEPROP SLICE_X56Y130 NAME SLICE_X56Y130 SITEPROP SLICE_X56Y130 NUM_ARCS 153 SITEPROP SLICE_X56Y130 NUM_BELS 32 SITEPROP SLICE_X56Y130 NUM_INPUTS 37 SITEPROP SLICE_X56Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y130 NUM_PINS 50 SITEPROP SLICE_X56Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y130 PROHIBIT 0 SITEPROP SLICE_X56Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y130 RPM_X 149 SITEPROP SLICE_X56Y130 RPM_Y 260 SITEPROP SLICE_X56Y130 SITE_PIPS SITEPROP SLICE_X56Y130 SITE_TYPE SLICEM SITEPROP SLICE_X56Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y131 CLASS site SITEPROP SLICE_X56Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y131 IS_BONDED 0 SITEPROP SLICE_X56Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y131 IS_PAD 0 SITEPROP SLICE_X56Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y131 IS_RESERVED 0 SITEPROP SLICE_X56Y131 IS_TEST 0 SITEPROP SLICE_X56Y131 IS_USED 0 SITEPROP SLICE_X56Y131 MANUAL_ROUTING SITEPROP SLICE_X56Y131 NAME SLICE_X56Y131 SITEPROP SLICE_X56Y131 NUM_ARCS 153 SITEPROP SLICE_X56Y131 NUM_BELS 32 SITEPROP SLICE_X56Y131 NUM_INPUTS 37 SITEPROP SLICE_X56Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y131 NUM_PINS 50 SITEPROP SLICE_X56Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y131 PROHIBIT 0 SITEPROP SLICE_X56Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y131 RPM_X 149 SITEPROP SLICE_X56Y131 RPM_Y 262 SITEPROP SLICE_X56Y131 SITE_PIPS SITEPROP SLICE_X56Y131 SITE_TYPE SLICEM SITEPROP SLICE_X56Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y132 CLASS site SITEPROP SLICE_X56Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y132 IS_BONDED 0 SITEPROP SLICE_X56Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y132 IS_PAD 0 SITEPROP SLICE_X56Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y132 IS_RESERVED 0 SITEPROP SLICE_X56Y132 IS_TEST 0 SITEPROP SLICE_X56Y132 IS_USED 0 SITEPROP SLICE_X56Y132 MANUAL_ROUTING SITEPROP SLICE_X56Y132 NAME SLICE_X56Y132 SITEPROP SLICE_X56Y132 NUM_ARCS 153 SITEPROP SLICE_X56Y132 NUM_BELS 32 SITEPROP SLICE_X56Y132 NUM_INPUTS 37 SITEPROP SLICE_X56Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y132 NUM_PINS 50 SITEPROP SLICE_X56Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y132 PROHIBIT 0 SITEPROP SLICE_X56Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y132 RPM_X 149 SITEPROP SLICE_X56Y132 RPM_Y 264 SITEPROP SLICE_X56Y132 SITE_PIPS SITEPROP SLICE_X56Y132 SITE_TYPE SLICEM SITEPROP SLICE_X56Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y133 CLASS site SITEPROP SLICE_X56Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y133 IS_BONDED 0 SITEPROP SLICE_X56Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y133 IS_PAD 0 SITEPROP SLICE_X56Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y133 IS_RESERVED 0 SITEPROP SLICE_X56Y133 IS_TEST 0 SITEPROP SLICE_X56Y133 IS_USED 0 SITEPROP SLICE_X56Y133 MANUAL_ROUTING SITEPROP SLICE_X56Y133 NAME SLICE_X56Y133 SITEPROP SLICE_X56Y133 NUM_ARCS 153 SITEPROP SLICE_X56Y133 NUM_BELS 32 SITEPROP SLICE_X56Y133 NUM_INPUTS 37 SITEPROP SLICE_X56Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y133 NUM_PINS 50 SITEPROP SLICE_X56Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y133 PROHIBIT 0 SITEPROP SLICE_X56Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y133 RPM_X 149 SITEPROP SLICE_X56Y133 RPM_Y 266 SITEPROP SLICE_X56Y133 SITE_PIPS SITEPROP SLICE_X56Y133 SITE_TYPE SLICEM SITEPROP SLICE_X56Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y134 CLASS site SITEPROP SLICE_X56Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y134 IS_BONDED 0 SITEPROP SLICE_X56Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y134 IS_PAD 0 SITEPROP SLICE_X56Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y134 IS_RESERVED 0 SITEPROP SLICE_X56Y134 IS_TEST 0 SITEPROP SLICE_X56Y134 IS_USED 0 SITEPROP SLICE_X56Y134 MANUAL_ROUTING SITEPROP SLICE_X56Y134 NAME SLICE_X56Y134 SITEPROP SLICE_X56Y134 NUM_ARCS 153 SITEPROP SLICE_X56Y134 NUM_BELS 32 SITEPROP SLICE_X56Y134 NUM_INPUTS 37 SITEPROP SLICE_X56Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y134 NUM_PINS 50 SITEPROP SLICE_X56Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y134 PROHIBIT 0 SITEPROP SLICE_X56Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y134 RPM_X 149 SITEPROP SLICE_X56Y134 RPM_Y 268 SITEPROP SLICE_X56Y134 SITE_PIPS SITEPROP SLICE_X56Y134 SITE_TYPE SLICEM SITEPROP SLICE_X56Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y135 CLASS site SITEPROP SLICE_X56Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y135 IS_BONDED 0 SITEPROP SLICE_X56Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y135 IS_PAD 0 SITEPROP SLICE_X56Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y135 IS_RESERVED 0 SITEPROP SLICE_X56Y135 IS_TEST 0 SITEPROP SLICE_X56Y135 IS_USED 0 SITEPROP SLICE_X56Y135 MANUAL_ROUTING SITEPROP SLICE_X56Y135 NAME SLICE_X56Y135 SITEPROP SLICE_X56Y135 NUM_ARCS 153 SITEPROP SLICE_X56Y135 NUM_BELS 32 SITEPROP SLICE_X56Y135 NUM_INPUTS 37 SITEPROP SLICE_X56Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y135 NUM_PINS 50 SITEPROP SLICE_X56Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y135 PROHIBIT 0 SITEPROP SLICE_X56Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y135 RPM_X 149 SITEPROP SLICE_X56Y135 RPM_Y 270 SITEPROP SLICE_X56Y135 SITE_PIPS SITEPROP SLICE_X56Y135 SITE_TYPE SLICEM SITEPROP SLICE_X56Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y136 CLASS site SITEPROP SLICE_X56Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y136 IS_BONDED 0 SITEPROP SLICE_X56Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y136 IS_PAD 0 SITEPROP SLICE_X56Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y136 IS_RESERVED 0 SITEPROP SLICE_X56Y136 IS_TEST 0 SITEPROP SLICE_X56Y136 IS_USED 0 SITEPROP SLICE_X56Y136 MANUAL_ROUTING SITEPROP SLICE_X56Y136 NAME SLICE_X56Y136 SITEPROP SLICE_X56Y136 NUM_ARCS 153 SITEPROP SLICE_X56Y136 NUM_BELS 32 SITEPROP SLICE_X56Y136 NUM_INPUTS 37 SITEPROP SLICE_X56Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y136 NUM_PINS 50 SITEPROP SLICE_X56Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y136 PROHIBIT 0 SITEPROP SLICE_X56Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y136 RPM_X 149 SITEPROP SLICE_X56Y136 RPM_Y 272 SITEPROP SLICE_X56Y136 SITE_PIPS SITEPROP SLICE_X56Y136 SITE_TYPE SLICEM SITEPROP SLICE_X56Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y137 CLASS site SITEPROP SLICE_X56Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y137 IS_BONDED 0 SITEPROP SLICE_X56Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y137 IS_PAD 0 SITEPROP SLICE_X56Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y137 IS_RESERVED 0 SITEPROP SLICE_X56Y137 IS_TEST 0 SITEPROP SLICE_X56Y137 IS_USED 0 SITEPROP SLICE_X56Y137 MANUAL_ROUTING SITEPROP SLICE_X56Y137 NAME SLICE_X56Y137 SITEPROP SLICE_X56Y137 NUM_ARCS 153 SITEPROP SLICE_X56Y137 NUM_BELS 32 SITEPROP SLICE_X56Y137 NUM_INPUTS 37 SITEPROP SLICE_X56Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y137 NUM_PINS 50 SITEPROP SLICE_X56Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y137 PROHIBIT 0 SITEPROP SLICE_X56Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y137 RPM_X 149 SITEPROP SLICE_X56Y137 RPM_Y 274 SITEPROP SLICE_X56Y137 SITE_PIPS SITEPROP SLICE_X56Y137 SITE_TYPE SLICEM SITEPROP SLICE_X56Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y138 CLASS site SITEPROP SLICE_X56Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y138 IS_BONDED 0 SITEPROP SLICE_X56Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y138 IS_PAD 0 SITEPROP SLICE_X56Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y138 IS_RESERVED 0 SITEPROP SLICE_X56Y138 IS_TEST 0 SITEPROP SLICE_X56Y138 IS_USED 0 SITEPROP SLICE_X56Y138 MANUAL_ROUTING SITEPROP SLICE_X56Y138 NAME SLICE_X56Y138 SITEPROP SLICE_X56Y138 NUM_ARCS 153 SITEPROP SLICE_X56Y138 NUM_BELS 32 SITEPROP SLICE_X56Y138 NUM_INPUTS 37 SITEPROP SLICE_X56Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y138 NUM_PINS 50 SITEPROP SLICE_X56Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y138 PROHIBIT 0 SITEPROP SLICE_X56Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y138 RPM_X 149 SITEPROP SLICE_X56Y138 RPM_Y 276 SITEPROP SLICE_X56Y138 SITE_PIPS SITEPROP SLICE_X56Y138 SITE_TYPE SLICEM SITEPROP SLICE_X56Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y139 CLASS site SITEPROP SLICE_X56Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y139 IS_BONDED 0 SITEPROP SLICE_X56Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y139 IS_PAD 0 SITEPROP SLICE_X56Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y139 IS_RESERVED 0 SITEPROP SLICE_X56Y139 IS_TEST 0 SITEPROP SLICE_X56Y139 IS_USED 0 SITEPROP SLICE_X56Y139 MANUAL_ROUTING SITEPROP SLICE_X56Y139 NAME SLICE_X56Y139 SITEPROP SLICE_X56Y139 NUM_ARCS 153 SITEPROP SLICE_X56Y139 NUM_BELS 32 SITEPROP SLICE_X56Y139 NUM_INPUTS 37 SITEPROP SLICE_X56Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y139 NUM_PINS 50 SITEPROP SLICE_X56Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y139 PROHIBIT 0 SITEPROP SLICE_X56Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y139 RPM_X 149 SITEPROP SLICE_X56Y139 RPM_Y 278 SITEPROP SLICE_X56Y139 SITE_PIPS SITEPROP SLICE_X56Y139 SITE_TYPE SLICEM SITEPROP SLICE_X56Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y140 CLASS site SITEPROP SLICE_X56Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y140 IS_BONDED 0 SITEPROP SLICE_X56Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y140 IS_PAD 0 SITEPROP SLICE_X56Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y140 IS_RESERVED 0 SITEPROP SLICE_X56Y140 IS_TEST 0 SITEPROP SLICE_X56Y140 IS_USED 0 SITEPROP SLICE_X56Y140 MANUAL_ROUTING SITEPROP SLICE_X56Y140 NAME SLICE_X56Y140 SITEPROP SLICE_X56Y140 NUM_ARCS 153 SITEPROP SLICE_X56Y140 NUM_BELS 32 SITEPROP SLICE_X56Y140 NUM_INPUTS 37 SITEPROP SLICE_X56Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y140 NUM_PINS 50 SITEPROP SLICE_X56Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y140 PROHIBIT 0 SITEPROP SLICE_X56Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y140 RPM_X 149 SITEPROP SLICE_X56Y140 RPM_Y 280 SITEPROP SLICE_X56Y140 SITE_PIPS SITEPROP SLICE_X56Y140 SITE_TYPE SLICEM SITEPROP SLICE_X56Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y141 CLASS site SITEPROP SLICE_X56Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y141 IS_BONDED 0 SITEPROP SLICE_X56Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y141 IS_PAD 0 SITEPROP SLICE_X56Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y141 IS_RESERVED 0 SITEPROP SLICE_X56Y141 IS_TEST 0 SITEPROP SLICE_X56Y141 IS_USED 0 SITEPROP SLICE_X56Y141 MANUAL_ROUTING SITEPROP SLICE_X56Y141 NAME SLICE_X56Y141 SITEPROP SLICE_X56Y141 NUM_ARCS 153 SITEPROP SLICE_X56Y141 NUM_BELS 32 SITEPROP SLICE_X56Y141 NUM_INPUTS 37 SITEPROP SLICE_X56Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y141 NUM_PINS 50 SITEPROP SLICE_X56Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y141 PROHIBIT 0 SITEPROP SLICE_X56Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y141 RPM_X 149 SITEPROP SLICE_X56Y141 RPM_Y 282 SITEPROP SLICE_X56Y141 SITE_PIPS SITEPROP SLICE_X56Y141 SITE_TYPE SLICEM SITEPROP SLICE_X56Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y142 CLASS site SITEPROP SLICE_X56Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y142 IS_BONDED 0 SITEPROP SLICE_X56Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y142 IS_PAD 0 SITEPROP SLICE_X56Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y142 IS_RESERVED 0 SITEPROP SLICE_X56Y142 IS_TEST 0 SITEPROP SLICE_X56Y142 IS_USED 0 SITEPROP SLICE_X56Y142 MANUAL_ROUTING SITEPROP SLICE_X56Y142 NAME SLICE_X56Y142 SITEPROP SLICE_X56Y142 NUM_ARCS 153 SITEPROP SLICE_X56Y142 NUM_BELS 32 SITEPROP SLICE_X56Y142 NUM_INPUTS 37 SITEPROP SLICE_X56Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y142 NUM_PINS 50 SITEPROP SLICE_X56Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y142 PROHIBIT 0 SITEPROP SLICE_X56Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y142 RPM_X 149 SITEPROP SLICE_X56Y142 RPM_Y 284 SITEPROP SLICE_X56Y142 SITE_PIPS SITEPROP SLICE_X56Y142 SITE_TYPE SLICEM SITEPROP SLICE_X56Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y143 CLASS site SITEPROP SLICE_X56Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y143 IS_BONDED 0 SITEPROP SLICE_X56Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y143 IS_PAD 0 SITEPROP SLICE_X56Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y143 IS_RESERVED 0 SITEPROP SLICE_X56Y143 IS_TEST 0 SITEPROP SLICE_X56Y143 IS_USED 0 SITEPROP SLICE_X56Y143 MANUAL_ROUTING SITEPROP SLICE_X56Y143 NAME SLICE_X56Y143 SITEPROP SLICE_X56Y143 NUM_ARCS 153 SITEPROP SLICE_X56Y143 NUM_BELS 32 SITEPROP SLICE_X56Y143 NUM_INPUTS 37 SITEPROP SLICE_X56Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y143 NUM_PINS 50 SITEPROP SLICE_X56Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y143 PROHIBIT 0 SITEPROP SLICE_X56Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y143 RPM_X 149 SITEPROP SLICE_X56Y143 RPM_Y 286 SITEPROP SLICE_X56Y143 SITE_PIPS SITEPROP SLICE_X56Y143 SITE_TYPE SLICEM SITEPROP SLICE_X56Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y144 CLASS site SITEPROP SLICE_X56Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y144 IS_BONDED 0 SITEPROP SLICE_X56Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y144 IS_PAD 0 SITEPROP SLICE_X56Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y144 IS_RESERVED 0 SITEPROP SLICE_X56Y144 IS_TEST 0 SITEPROP SLICE_X56Y144 IS_USED 0 SITEPROP SLICE_X56Y144 MANUAL_ROUTING SITEPROP SLICE_X56Y144 NAME SLICE_X56Y144 SITEPROP SLICE_X56Y144 NUM_ARCS 153 SITEPROP SLICE_X56Y144 NUM_BELS 32 SITEPROP SLICE_X56Y144 NUM_INPUTS 37 SITEPROP SLICE_X56Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y144 NUM_PINS 50 SITEPROP SLICE_X56Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y144 PROHIBIT 0 SITEPROP SLICE_X56Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y144 RPM_X 149 SITEPROP SLICE_X56Y144 RPM_Y 288 SITEPROP SLICE_X56Y144 SITE_PIPS SITEPROP SLICE_X56Y144 SITE_TYPE SLICEM SITEPROP SLICE_X56Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y145 CLASS site SITEPROP SLICE_X56Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y145 IS_BONDED 0 SITEPROP SLICE_X56Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y145 IS_PAD 0 SITEPROP SLICE_X56Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y145 IS_RESERVED 0 SITEPROP SLICE_X56Y145 IS_TEST 0 SITEPROP SLICE_X56Y145 IS_USED 0 SITEPROP SLICE_X56Y145 MANUAL_ROUTING SITEPROP SLICE_X56Y145 NAME SLICE_X56Y145 SITEPROP SLICE_X56Y145 NUM_ARCS 153 SITEPROP SLICE_X56Y145 NUM_BELS 32 SITEPROP SLICE_X56Y145 NUM_INPUTS 37 SITEPROP SLICE_X56Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y145 NUM_PINS 50 SITEPROP SLICE_X56Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y145 PROHIBIT 0 SITEPROP SLICE_X56Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y145 RPM_X 149 SITEPROP SLICE_X56Y145 RPM_Y 290 SITEPROP SLICE_X56Y145 SITE_PIPS SITEPROP SLICE_X56Y145 SITE_TYPE SLICEM SITEPROP SLICE_X56Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y146 CLASS site SITEPROP SLICE_X56Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y146 IS_BONDED 0 SITEPROP SLICE_X56Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y146 IS_PAD 0 SITEPROP SLICE_X56Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y146 IS_RESERVED 0 SITEPROP SLICE_X56Y146 IS_TEST 0 SITEPROP SLICE_X56Y146 IS_USED 0 SITEPROP SLICE_X56Y146 MANUAL_ROUTING SITEPROP SLICE_X56Y146 NAME SLICE_X56Y146 SITEPROP SLICE_X56Y146 NUM_ARCS 153 SITEPROP SLICE_X56Y146 NUM_BELS 32 SITEPROP SLICE_X56Y146 NUM_INPUTS 37 SITEPROP SLICE_X56Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y146 NUM_PINS 50 SITEPROP SLICE_X56Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y146 PROHIBIT 0 SITEPROP SLICE_X56Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y146 RPM_X 149 SITEPROP SLICE_X56Y146 RPM_Y 292 SITEPROP SLICE_X56Y146 SITE_PIPS SITEPROP SLICE_X56Y146 SITE_TYPE SLICEM SITEPROP SLICE_X56Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y147 CLASS site SITEPROP SLICE_X56Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y147 IS_BONDED 0 SITEPROP SLICE_X56Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y147 IS_PAD 0 SITEPROP SLICE_X56Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y147 IS_RESERVED 0 SITEPROP SLICE_X56Y147 IS_TEST 0 SITEPROP SLICE_X56Y147 IS_USED 0 SITEPROP SLICE_X56Y147 MANUAL_ROUTING SITEPROP SLICE_X56Y147 NAME SLICE_X56Y147 SITEPROP SLICE_X56Y147 NUM_ARCS 153 SITEPROP SLICE_X56Y147 NUM_BELS 32 SITEPROP SLICE_X56Y147 NUM_INPUTS 37 SITEPROP SLICE_X56Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y147 NUM_PINS 50 SITEPROP SLICE_X56Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y147 PROHIBIT 0 SITEPROP SLICE_X56Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y147 RPM_X 149 SITEPROP SLICE_X56Y147 RPM_Y 294 SITEPROP SLICE_X56Y147 SITE_PIPS SITEPROP SLICE_X56Y147 SITE_TYPE SLICEM SITEPROP SLICE_X56Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y148 CLASS site SITEPROP SLICE_X56Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y148 IS_BONDED 0 SITEPROP SLICE_X56Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y148 IS_PAD 0 SITEPROP SLICE_X56Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y148 IS_RESERVED 0 SITEPROP SLICE_X56Y148 IS_TEST 0 SITEPROP SLICE_X56Y148 IS_USED 0 SITEPROP SLICE_X56Y148 MANUAL_ROUTING SITEPROP SLICE_X56Y148 NAME SLICE_X56Y148 SITEPROP SLICE_X56Y148 NUM_ARCS 153 SITEPROP SLICE_X56Y148 NUM_BELS 32 SITEPROP SLICE_X56Y148 NUM_INPUTS 37 SITEPROP SLICE_X56Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y148 NUM_PINS 50 SITEPROP SLICE_X56Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y148 PROHIBIT 0 SITEPROP SLICE_X56Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y148 RPM_X 149 SITEPROP SLICE_X56Y148 RPM_Y 296 SITEPROP SLICE_X56Y148 SITE_PIPS SITEPROP SLICE_X56Y148 SITE_TYPE SLICEM SITEPROP SLICE_X56Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X56Y149 CLASS site SITEPROP SLICE_X56Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X56Y149 IS_BONDED 0 SITEPROP SLICE_X56Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X56Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y149 IS_PAD 0 SITEPROP SLICE_X56Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X56Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X56Y149 IS_RESERVED 0 SITEPROP SLICE_X56Y149 IS_TEST 0 SITEPROP SLICE_X56Y149 IS_USED 0 SITEPROP SLICE_X56Y149 MANUAL_ROUTING SITEPROP SLICE_X56Y149 NAME SLICE_X56Y149 SITEPROP SLICE_X56Y149 NUM_ARCS 153 SITEPROP SLICE_X56Y149 NUM_BELS 32 SITEPROP SLICE_X56Y149 NUM_INPUTS 37 SITEPROP SLICE_X56Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X56Y149 NUM_PINS 50 SITEPROP SLICE_X56Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X56Y149 PROHIBIT 0 SITEPROP SLICE_X56Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X56Y149 RPM_X 149 SITEPROP SLICE_X56Y149 RPM_Y 298 SITEPROP SLICE_X56Y149 SITE_PIPS SITEPROP SLICE_X56Y149 SITE_TYPE SLICEM SITEPROP SLICE_X57Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y0 CLASS site SITEPROP SLICE_X57Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y0 IS_BONDED 0 SITEPROP SLICE_X57Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y0 IS_PAD 0 SITEPROP SLICE_X57Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y0 IS_RESERVED 0 SITEPROP SLICE_X57Y0 IS_TEST 0 SITEPROP SLICE_X57Y0 IS_USED 0 SITEPROP SLICE_X57Y0 MANUAL_ROUTING SITEPROP SLICE_X57Y0 NAME SLICE_X57Y0 SITEPROP SLICE_X57Y0 NUM_ARCS 138 SITEPROP SLICE_X57Y0 NUM_BELS 32 SITEPROP SLICE_X57Y0 NUM_INPUTS 32 SITEPROP SLICE_X57Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y0 NUM_PINS 45 SITEPROP SLICE_X57Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y0 PROHIBIT 0 SITEPROP SLICE_X57Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y0 RPM_X 151 SITEPROP SLICE_X57Y0 RPM_Y 0 SITEPROP SLICE_X57Y0 SITE_PIPS SITEPROP SLICE_X57Y0 SITE_TYPE SLICEL SITEPROP SLICE_X57Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y1 CLASS site SITEPROP SLICE_X57Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y1 IS_BONDED 0 SITEPROP SLICE_X57Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y1 IS_PAD 0 SITEPROP SLICE_X57Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y1 IS_RESERVED 0 SITEPROP SLICE_X57Y1 IS_TEST 0 SITEPROP SLICE_X57Y1 IS_USED 0 SITEPROP SLICE_X57Y1 MANUAL_ROUTING SITEPROP SLICE_X57Y1 NAME SLICE_X57Y1 SITEPROP SLICE_X57Y1 NUM_ARCS 138 SITEPROP SLICE_X57Y1 NUM_BELS 32 SITEPROP SLICE_X57Y1 NUM_INPUTS 32 SITEPROP SLICE_X57Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y1 NUM_PINS 45 SITEPROP SLICE_X57Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y1 PROHIBIT 0 SITEPROP SLICE_X57Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y1 RPM_X 151 SITEPROP SLICE_X57Y1 RPM_Y 2 SITEPROP SLICE_X57Y1 SITE_PIPS SITEPROP SLICE_X57Y1 SITE_TYPE SLICEL SITEPROP SLICE_X57Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y2 CLASS site SITEPROP SLICE_X57Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y2 IS_BONDED 0 SITEPROP SLICE_X57Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y2 IS_PAD 0 SITEPROP SLICE_X57Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y2 IS_RESERVED 0 SITEPROP SLICE_X57Y2 IS_TEST 0 SITEPROP SLICE_X57Y2 IS_USED 0 SITEPROP SLICE_X57Y2 MANUAL_ROUTING SITEPROP SLICE_X57Y2 NAME SLICE_X57Y2 SITEPROP SLICE_X57Y2 NUM_ARCS 138 SITEPROP SLICE_X57Y2 NUM_BELS 32 SITEPROP SLICE_X57Y2 NUM_INPUTS 32 SITEPROP SLICE_X57Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y2 NUM_PINS 45 SITEPROP SLICE_X57Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y2 PROHIBIT 0 SITEPROP SLICE_X57Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y2 RPM_X 151 SITEPROP SLICE_X57Y2 RPM_Y 4 SITEPROP SLICE_X57Y2 SITE_PIPS SITEPROP SLICE_X57Y2 SITE_TYPE SLICEL SITEPROP SLICE_X57Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y3 CLASS site SITEPROP SLICE_X57Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y3 IS_BONDED 0 SITEPROP SLICE_X57Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y3 IS_PAD 0 SITEPROP SLICE_X57Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y3 IS_RESERVED 0 SITEPROP SLICE_X57Y3 IS_TEST 0 SITEPROP SLICE_X57Y3 IS_USED 0 SITEPROP SLICE_X57Y3 MANUAL_ROUTING SITEPROP SLICE_X57Y3 NAME SLICE_X57Y3 SITEPROP SLICE_X57Y3 NUM_ARCS 138 SITEPROP SLICE_X57Y3 NUM_BELS 32 SITEPROP SLICE_X57Y3 NUM_INPUTS 32 SITEPROP SLICE_X57Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y3 NUM_PINS 45 SITEPROP SLICE_X57Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y3 PROHIBIT 0 SITEPROP SLICE_X57Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y3 RPM_X 151 SITEPROP SLICE_X57Y3 RPM_Y 6 SITEPROP SLICE_X57Y3 SITE_PIPS SITEPROP SLICE_X57Y3 SITE_TYPE SLICEL SITEPROP SLICE_X57Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y4 CLASS site SITEPROP SLICE_X57Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y4 IS_BONDED 0 SITEPROP SLICE_X57Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y4 IS_PAD 0 SITEPROP SLICE_X57Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y4 IS_RESERVED 0 SITEPROP SLICE_X57Y4 IS_TEST 0 SITEPROP SLICE_X57Y4 IS_USED 0 SITEPROP SLICE_X57Y4 MANUAL_ROUTING SITEPROP SLICE_X57Y4 NAME SLICE_X57Y4 SITEPROP SLICE_X57Y4 NUM_ARCS 138 SITEPROP SLICE_X57Y4 NUM_BELS 32 SITEPROP SLICE_X57Y4 NUM_INPUTS 32 SITEPROP SLICE_X57Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y4 NUM_PINS 45 SITEPROP SLICE_X57Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y4 PROHIBIT 0 SITEPROP SLICE_X57Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y4 RPM_X 151 SITEPROP SLICE_X57Y4 RPM_Y 8 SITEPROP SLICE_X57Y4 SITE_PIPS SITEPROP SLICE_X57Y4 SITE_TYPE SLICEL SITEPROP SLICE_X57Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y5 CLASS site SITEPROP SLICE_X57Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y5 IS_BONDED 0 SITEPROP SLICE_X57Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y5 IS_PAD 0 SITEPROP SLICE_X57Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y5 IS_RESERVED 0 SITEPROP SLICE_X57Y5 IS_TEST 0 SITEPROP SLICE_X57Y5 IS_USED 0 SITEPROP SLICE_X57Y5 MANUAL_ROUTING SITEPROP SLICE_X57Y5 NAME SLICE_X57Y5 SITEPROP SLICE_X57Y5 NUM_ARCS 138 SITEPROP SLICE_X57Y5 NUM_BELS 32 SITEPROP SLICE_X57Y5 NUM_INPUTS 32 SITEPROP SLICE_X57Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y5 NUM_PINS 45 SITEPROP SLICE_X57Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y5 PROHIBIT 0 SITEPROP SLICE_X57Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y5 RPM_X 151 SITEPROP SLICE_X57Y5 RPM_Y 10 SITEPROP SLICE_X57Y5 SITE_PIPS SITEPROP SLICE_X57Y5 SITE_TYPE SLICEL SITEPROP SLICE_X57Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y6 CLASS site SITEPROP SLICE_X57Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y6 IS_BONDED 0 SITEPROP SLICE_X57Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y6 IS_PAD 0 SITEPROP SLICE_X57Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y6 IS_RESERVED 0 SITEPROP SLICE_X57Y6 IS_TEST 0 SITEPROP SLICE_X57Y6 IS_USED 0 SITEPROP SLICE_X57Y6 MANUAL_ROUTING SITEPROP SLICE_X57Y6 NAME SLICE_X57Y6 SITEPROP SLICE_X57Y6 NUM_ARCS 138 SITEPROP SLICE_X57Y6 NUM_BELS 32 SITEPROP SLICE_X57Y6 NUM_INPUTS 32 SITEPROP SLICE_X57Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y6 NUM_PINS 45 SITEPROP SLICE_X57Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y6 PROHIBIT 0 SITEPROP SLICE_X57Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y6 RPM_X 151 SITEPROP SLICE_X57Y6 RPM_Y 12 SITEPROP SLICE_X57Y6 SITE_PIPS SITEPROP SLICE_X57Y6 SITE_TYPE SLICEL SITEPROP SLICE_X57Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y7 CLASS site SITEPROP SLICE_X57Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y7 IS_BONDED 0 SITEPROP SLICE_X57Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y7 IS_PAD 0 SITEPROP SLICE_X57Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y7 IS_RESERVED 0 SITEPROP SLICE_X57Y7 IS_TEST 0 SITEPROP SLICE_X57Y7 IS_USED 0 SITEPROP SLICE_X57Y7 MANUAL_ROUTING SITEPROP SLICE_X57Y7 NAME SLICE_X57Y7 SITEPROP SLICE_X57Y7 NUM_ARCS 138 SITEPROP SLICE_X57Y7 NUM_BELS 32 SITEPROP SLICE_X57Y7 NUM_INPUTS 32 SITEPROP SLICE_X57Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y7 NUM_PINS 45 SITEPROP SLICE_X57Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y7 PROHIBIT 0 SITEPROP SLICE_X57Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y7 RPM_X 151 SITEPROP SLICE_X57Y7 RPM_Y 14 SITEPROP SLICE_X57Y7 SITE_PIPS SITEPROP SLICE_X57Y7 SITE_TYPE SLICEL SITEPROP SLICE_X57Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y8 CLASS site SITEPROP SLICE_X57Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y8 IS_BONDED 0 SITEPROP SLICE_X57Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y8 IS_PAD 0 SITEPROP SLICE_X57Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y8 IS_RESERVED 0 SITEPROP SLICE_X57Y8 IS_TEST 0 SITEPROP SLICE_X57Y8 IS_USED 0 SITEPROP SLICE_X57Y8 MANUAL_ROUTING SITEPROP SLICE_X57Y8 NAME SLICE_X57Y8 SITEPROP SLICE_X57Y8 NUM_ARCS 138 SITEPROP SLICE_X57Y8 NUM_BELS 32 SITEPROP SLICE_X57Y8 NUM_INPUTS 32 SITEPROP SLICE_X57Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y8 NUM_PINS 45 SITEPROP SLICE_X57Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y8 PROHIBIT 0 SITEPROP SLICE_X57Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y8 RPM_X 151 SITEPROP SLICE_X57Y8 RPM_Y 16 SITEPROP SLICE_X57Y8 SITE_PIPS SITEPROP SLICE_X57Y8 SITE_TYPE SLICEL SITEPROP SLICE_X57Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y9 CLASS site SITEPROP SLICE_X57Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y9 IS_BONDED 0 SITEPROP SLICE_X57Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y9 IS_PAD 0 SITEPROP SLICE_X57Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y9 IS_RESERVED 0 SITEPROP SLICE_X57Y9 IS_TEST 0 SITEPROP SLICE_X57Y9 IS_USED 0 SITEPROP SLICE_X57Y9 MANUAL_ROUTING SITEPROP SLICE_X57Y9 NAME SLICE_X57Y9 SITEPROP SLICE_X57Y9 NUM_ARCS 138 SITEPROP SLICE_X57Y9 NUM_BELS 32 SITEPROP SLICE_X57Y9 NUM_INPUTS 32 SITEPROP SLICE_X57Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y9 NUM_PINS 45 SITEPROP SLICE_X57Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y9 PROHIBIT 0 SITEPROP SLICE_X57Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y9 RPM_X 151 SITEPROP SLICE_X57Y9 RPM_Y 18 SITEPROP SLICE_X57Y9 SITE_PIPS SITEPROP SLICE_X57Y9 SITE_TYPE SLICEL SITEPROP SLICE_X57Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y10 CLASS site SITEPROP SLICE_X57Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y10 IS_BONDED 0 SITEPROP SLICE_X57Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y10 IS_PAD 0 SITEPROP SLICE_X57Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y10 IS_RESERVED 0 SITEPROP SLICE_X57Y10 IS_TEST 0 SITEPROP SLICE_X57Y10 IS_USED 0 SITEPROP SLICE_X57Y10 MANUAL_ROUTING SITEPROP SLICE_X57Y10 NAME SLICE_X57Y10 SITEPROP SLICE_X57Y10 NUM_ARCS 138 SITEPROP SLICE_X57Y10 NUM_BELS 32 SITEPROP SLICE_X57Y10 NUM_INPUTS 32 SITEPROP SLICE_X57Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y10 NUM_PINS 45 SITEPROP SLICE_X57Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y10 PROHIBIT 0 SITEPROP SLICE_X57Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y10 RPM_X 151 SITEPROP SLICE_X57Y10 RPM_Y 20 SITEPROP SLICE_X57Y10 SITE_PIPS SITEPROP SLICE_X57Y10 SITE_TYPE SLICEL SITEPROP SLICE_X57Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y11 CLASS site SITEPROP SLICE_X57Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y11 IS_BONDED 0 SITEPROP SLICE_X57Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y11 IS_PAD 0 SITEPROP SLICE_X57Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y11 IS_RESERVED 0 SITEPROP SLICE_X57Y11 IS_TEST 0 SITEPROP SLICE_X57Y11 IS_USED 0 SITEPROP SLICE_X57Y11 MANUAL_ROUTING SITEPROP SLICE_X57Y11 NAME SLICE_X57Y11 SITEPROP SLICE_X57Y11 NUM_ARCS 138 SITEPROP SLICE_X57Y11 NUM_BELS 32 SITEPROP SLICE_X57Y11 NUM_INPUTS 32 SITEPROP SLICE_X57Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y11 NUM_PINS 45 SITEPROP SLICE_X57Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y11 PROHIBIT 0 SITEPROP SLICE_X57Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y11 RPM_X 151 SITEPROP SLICE_X57Y11 RPM_Y 22 SITEPROP SLICE_X57Y11 SITE_PIPS SITEPROP SLICE_X57Y11 SITE_TYPE SLICEL SITEPROP SLICE_X57Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y12 CLASS site SITEPROP SLICE_X57Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y12 IS_BONDED 0 SITEPROP SLICE_X57Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y12 IS_PAD 0 SITEPROP SLICE_X57Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y12 IS_RESERVED 0 SITEPROP SLICE_X57Y12 IS_TEST 0 SITEPROP SLICE_X57Y12 IS_USED 0 SITEPROP SLICE_X57Y12 MANUAL_ROUTING SITEPROP SLICE_X57Y12 NAME SLICE_X57Y12 SITEPROP SLICE_X57Y12 NUM_ARCS 138 SITEPROP SLICE_X57Y12 NUM_BELS 32 SITEPROP SLICE_X57Y12 NUM_INPUTS 32 SITEPROP SLICE_X57Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y12 NUM_PINS 45 SITEPROP SLICE_X57Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y12 PROHIBIT 0 SITEPROP SLICE_X57Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y12 RPM_X 151 SITEPROP SLICE_X57Y12 RPM_Y 24 SITEPROP SLICE_X57Y12 SITE_PIPS SITEPROP SLICE_X57Y12 SITE_TYPE SLICEL SITEPROP SLICE_X57Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y13 CLASS site SITEPROP SLICE_X57Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y13 IS_BONDED 0 SITEPROP SLICE_X57Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y13 IS_PAD 0 SITEPROP SLICE_X57Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y13 IS_RESERVED 0 SITEPROP SLICE_X57Y13 IS_TEST 0 SITEPROP SLICE_X57Y13 IS_USED 0 SITEPROP SLICE_X57Y13 MANUAL_ROUTING SITEPROP SLICE_X57Y13 NAME SLICE_X57Y13 SITEPROP SLICE_X57Y13 NUM_ARCS 138 SITEPROP SLICE_X57Y13 NUM_BELS 32 SITEPROP SLICE_X57Y13 NUM_INPUTS 32 SITEPROP SLICE_X57Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y13 NUM_PINS 45 SITEPROP SLICE_X57Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y13 PROHIBIT 0 SITEPROP SLICE_X57Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y13 RPM_X 151 SITEPROP SLICE_X57Y13 RPM_Y 26 SITEPROP SLICE_X57Y13 SITE_PIPS SITEPROP SLICE_X57Y13 SITE_TYPE SLICEL SITEPROP SLICE_X57Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y14 CLASS site SITEPROP SLICE_X57Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y14 IS_BONDED 0 SITEPROP SLICE_X57Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y14 IS_PAD 0 SITEPROP SLICE_X57Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y14 IS_RESERVED 0 SITEPROP SLICE_X57Y14 IS_TEST 0 SITEPROP SLICE_X57Y14 IS_USED 0 SITEPROP SLICE_X57Y14 MANUAL_ROUTING SITEPROP SLICE_X57Y14 NAME SLICE_X57Y14 SITEPROP SLICE_X57Y14 NUM_ARCS 138 SITEPROP SLICE_X57Y14 NUM_BELS 32 SITEPROP SLICE_X57Y14 NUM_INPUTS 32 SITEPROP SLICE_X57Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y14 NUM_PINS 45 SITEPROP SLICE_X57Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y14 PROHIBIT 0 SITEPROP SLICE_X57Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y14 RPM_X 151 SITEPROP SLICE_X57Y14 RPM_Y 28 SITEPROP SLICE_X57Y14 SITE_PIPS SITEPROP SLICE_X57Y14 SITE_TYPE SLICEL SITEPROP SLICE_X57Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y15 CLASS site SITEPROP SLICE_X57Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y15 IS_BONDED 0 SITEPROP SLICE_X57Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y15 IS_PAD 0 SITEPROP SLICE_X57Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y15 IS_RESERVED 0 SITEPROP SLICE_X57Y15 IS_TEST 0 SITEPROP SLICE_X57Y15 IS_USED 0 SITEPROP SLICE_X57Y15 MANUAL_ROUTING SITEPROP SLICE_X57Y15 NAME SLICE_X57Y15 SITEPROP SLICE_X57Y15 NUM_ARCS 138 SITEPROP SLICE_X57Y15 NUM_BELS 32 SITEPROP SLICE_X57Y15 NUM_INPUTS 32 SITEPROP SLICE_X57Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y15 NUM_PINS 45 SITEPROP SLICE_X57Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y15 PROHIBIT 0 SITEPROP SLICE_X57Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y15 RPM_X 151 SITEPROP SLICE_X57Y15 RPM_Y 30 SITEPROP SLICE_X57Y15 SITE_PIPS SITEPROP SLICE_X57Y15 SITE_TYPE SLICEL SITEPROP SLICE_X57Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y16 CLASS site SITEPROP SLICE_X57Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y16 IS_BONDED 0 SITEPROP SLICE_X57Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y16 IS_PAD 0 SITEPROP SLICE_X57Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y16 IS_RESERVED 0 SITEPROP SLICE_X57Y16 IS_TEST 0 SITEPROP SLICE_X57Y16 IS_USED 0 SITEPROP SLICE_X57Y16 MANUAL_ROUTING SITEPROP SLICE_X57Y16 NAME SLICE_X57Y16 SITEPROP SLICE_X57Y16 NUM_ARCS 138 SITEPROP SLICE_X57Y16 NUM_BELS 32 SITEPROP SLICE_X57Y16 NUM_INPUTS 32 SITEPROP SLICE_X57Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y16 NUM_PINS 45 SITEPROP SLICE_X57Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y16 PROHIBIT 0 SITEPROP SLICE_X57Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y16 RPM_X 151 SITEPROP SLICE_X57Y16 RPM_Y 32 SITEPROP SLICE_X57Y16 SITE_PIPS SITEPROP SLICE_X57Y16 SITE_TYPE SLICEL SITEPROP SLICE_X57Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y17 CLASS site SITEPROP SLICE_X57Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y17 IS_BONDED 0 SITEPROP SLICE_X57Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y17 IS_PAD 0 SITEPROP SLICE_X57Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y17 IS_RESERVED 0 SITEPROP SLICE_X57Y17 IS_TEST 0 SITEPROP SLICE_X57Y17 IS_USED 0 SITEPROP SLICE_X57Y17 MANUAL_ROUTING SITEPROP SLICE_X57Y17 NAME SLICE_X57Y17 SITEPROP SLICE_X57Y17 NUM_ARCS 138 SITEPROP SLICE_X57Y17 NUM_BELS 32 SITEPROP SLICE_X57Y17 NUM_INPUTS 32 SITEPROP SLICE_X57Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y17 NUM_PINS 45 SITEPROP SLICE_X57Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y17 PROHIBIT 0 SITEPROP SLICE_X57Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y17 RPM_X 151 SITEPROP SLICE_X57Y17 RPM_Y 34 SITEPROP SLICE_X57Y17 SITE_PIPS SITEPROP SLICE_X57Y17 SITE_TYPE SLICEL SITEPROP SLICE_X57Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y18 CLASS site SITEPROP SLICE_X57Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y18 IS_BONDED 0 SITEPROP SLICE_X57Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y18 IS_PAD 0 SITEPROP SLICE_X57Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y18 IS_RESERVED 0 SITEPROP SLICE_X57Y18 IS_TEST 0 SITEPROP SLICE_X57Y18 IS_USED 0 SITEPROP SLICE_X57Y18 MANUAL_ROUTING SITEPROP SLICE_X57Y18 NAME SLICE_X57Y18 SITEPROP SLICE_X57Y18 NUM_ARCS 138 SITEPROP SLICE_X57Y18 NUM_BELS 32 SITEPROP SLICE_X57Y18 NUM_INPUTS 32 SITEPROP SLICE_X57Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y18 NUM_PINS 45 SITEPROP SLICE_X57Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y18 PROHIBIT 0 SITEPROP SLICE_X57Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y18 RPM_X 151 SITEPROP SLICE_X57Y18 RPM_Y 36 SITEPROP SLICE_X57Y18 SITE_PIPS SITEPROP SLICE_X57Y18 SITE_TYPE SLICEL SITEPROP SLICE_X57Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y19 CLASS site SITEPROP SLICE_X57Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y19 IS_BONDED 0 SITEPROP SLICE_X57Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y19 IS_PAD 0 SITEPROP SLICE_X57Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y19 IS_RESERVED 0 SITEPROP SLICE_X57Y19 IS_TEST 0 SITEPROP SLICE_X57Y19 IS_USED 0 SITEPROP SLICE_X57Y19 MANUAL_ROUTING SITEPROP SLICE_X57Y19 NAME SLICE_X57Y19 SITEPROP SLICE_X57Y19 NUM_ARCS 138 SITEPROP SLICE_X57Y19 NUM_BELS 32 SITEPROP SLICE_X57Y19 NUM_INPUTS 32 SITEPROP SLICE_X57Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y19 NUM_PINS 45 SITEPROP SLICE_X57Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y19 PROHIBIT 0 SITEPROP SLICE_X57Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y19 RPM_X 151 SITEPROP SLICE_X57Y19 RPM_Y 38 SITEPROP SLICE_X57Y19 SITE_PIPS SITEPROP SLICE_X57Y19 SITE_TYPE SLICEL SITEPROP SLICE_X57Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y20 CLASS site SITEPROP SLICE_X57Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y20 IS_BONDED 0 SITEPROP SLICE_X57Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y20 IS_PAD 0 SITEPROP SLICE_X57Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y20 IS_RESERVED 0 SITEPROP SLICE_X57Y20 IS_TEST 0 SITEPROP SLICE_X57Y20 IS_USED 0 SITEPROP SLICE_X57Y20 MANUAL_ROUTING SITEPROP SLICE_X57Y20 NAME SLICE_X57Y20 SITEPROP SLICE_X57Y20 NUM_ARCS 138 SITEPROP SLICE_X57Y20 NUM_BELS 32 SITEPROP SLICE_X57Y20 NUM_INPUTS 32 SITEPROP SLICE_X57Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y20 NUM_PINS 45 SITEPROP SLICE_X57Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y20 PROHIBIT 0 SITEPROP SLICE_X57Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y20 RPM_X 151 SITEPROP SLICE_X57Y20 RPM_Y 40 SITEPROP SLICE_X57Y20 SITE_PIPS SITEPROP SLICE_X57Y20 SITE_TYPE SLICEL SITEPROP SLICE_X57Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y21 CLASS site SITEPROP SLICE_X57Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y21 IS_BONDED 0 SITEPROP SLICE_X57Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y21 IS_PAD 0 SITEPROP SLICE_X57Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y21 IS_RESERVED 0 SITEPROP SLICE_X57Y21 IS_TEST 0 SITEPROP SLICE_X57Y21 IS_USED 0 SITEPROP SLICE_X57Y21 MANUAL_ROUTING SITEPROP SLICE_X57Y21 NAME SLICE_X57Y21 SITEPROP SLICE_X57Y21 NUM_ARCS 138 SITEPROP SLICE_X57Y21 NUM_BELS 32 SITEPROP SLICE_X57Y21 NUM_INPUTS 32 SITEPROP SLICE_X57Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y21 NUM_PINS 45 SITEPROP SLICE_X57Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y21 PROHIBIT 0 SITEPROP SLICE_X57Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y21 RPM_X 151 SITEPROP SLICE_X57Y21 RPM_Y 42 SITEPROP SLICE_X57Y21 SITE_PIPS SITEPROP SLICE_X57Y21 SITE_TYPE SLICEL SITEPROP SLICE_X57Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y22 CLASS site SITEPROP SLICE_X57Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y22 IS_BONDED 0 SITEPROP SLICE_X57Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y22 IS_PAD 0 SITEPROP SLICE_X57Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y22 IS_RESERVED 0 SITEPROP SLICE_X57Y22 IS_TEST 0 SITEPROP SLICE_X57Y22 IS_USED 0 SITEPROP SLICE_X57Y22 MANUAL_ROUTING SITEPROP SLICE_X57Y22 NAME SLICE_X57Y22 SITEPROP SLICE_X57Y22 NUM_ARCS 138 SITEPROP SLICE_X57Y22 NUM_BELS 32 SITEPROP SLICE_X57Y22 NUM_INPUTS 32 SITEPROP SLICE_X57Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y22 NUM_PINS 45 SITEPROP SLICE_X57Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y22 PROHIBIT 0 SITEPROP SLICE_X57Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y22 RPM_X 151 SITEPROP SLICE_X57Y22 RPM_Y 44 SITEPROP SLICE_X57Y22 SITE_PIPS SITEPROP SLICE_X57Y22 SITE_TYPE SLICEL SITEPROP SLICE_X57Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y23 CLASS site SITEPROP SLICE_X57Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y23 IS_BONDED 0 SITEPROP SLICE_X57Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y23 IS_PAD 0 SITEPROP SLICE_X57Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y23 IS_RESERVED 0 SITEPROP SLICE_X57Y23 IS_TEST 0 SITEPROP SLICE_X57Y23 IS_USED 0 SITEPROP SLICE_X57Y23 MANUAL_ROUTING SITEPROP SLICE_X57Y23 NAME SLICE_X57Y23 SITEPROP SLICE_X57Y23 NUM_ARCS 138 SITEPROP SLICE_X57Y23 NUM_BELS 32 SITEPROP SLICE_X57Y23 NUM_INPUTS 32 SITEPROP SLICE_X57Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y23 NUM_PINS 45 SITEPROP SLICE_X57Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y23 PROHIBIT 0 SITEPROP SLICE_X57Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y23 RPM_X 151 SITEPROP SLICE_X57Y23 RPM_Y 46 SITEPROP SLICE_X57Y23 SITE_PIPS SITEPROP SLICE_X57Y23 SITE_TYPE SLICEL SITEPROP SLICE_X57Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y24 CLASS site SITEPROP SLICE_X57Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y24 IS_BONDED 0 SITEPROP SLICE_X57Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y24 IS_PAD 0 SITEPROP SLICE_X57Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y24 IS_RESERVED 0 SITEPROP SLICE_X57Y24 IS_TEST 0 SITEPROP SLICE_X57Y24 IS_USED 0 SITEPROP SLICE_X57Y24 MANUAL_ROUTING SITEPROP SLICE_X57Y24 NAME SLICE_X57Y24 SITEPROP SLICE_X57Y24 NUM_ARCS 138 SITEPROP SLICE_X57Y24 NUM_BELS 32 SITEPROP SLICE_X57Y24 NUM_INPUTS 32 SITEPROP SLICE_X57Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y24 NUM_PINS 45 SITEPROP SLICE_X57Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y24 PROHIBIT 0 SITEPROP SLICE_X57Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y24 RPM_X 151 SITEPROP SLICE_X57Y24 RPM_Y 48 SITEPROP SLICE_X57Y24 SITE_PIPS SITEPROP SLICE_X57Y24 SITE_TYPE SLICEL SITEPROP SLICE_X57Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y25 CLASS site SITEPROP SLICE_X57Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y25 IS_BONDED 0 SITEPROP SLICE_X57Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y25 IS_PAD 0 SITEPROP SLICE_X57Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y25 IS_RESERVED 0 SITEPROP SLICE_X57Y25 IS_TEST 0 SITEPROP SLICE_X57Y25 IS_USED 0 SITEPROP SLICE_X57Y25 MANUAL_ROUTING SITEPROP SLICE_X57Y25 NAME SLICE_X57Y25 SITEPROP SLICE_X57Y25 NUM_ARCS 138 SITEPROP SLICE_X57Y25 NUM_BELS 32 SITEPROP SLICE_X57Y25 NUM_INPUTS 32 SITEPROP SLICE_X57Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y25 NUM_PINS 45 SITEPROP SLICE_X57Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y25 PROHIBIT 0 SITEPROP SLICE_X57Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y25 RPM_X 151 SITEPROP SLICE_X57Y25 RPM_Y 50 SITEPROP SLICE_X57Y25 SITE_PIPS SITEPROP SLICE_X57Y25 SITE_TYPE SLICEL SITEPROP SLICE_X57Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y26 CLASS site SITEPROP SLICE_X57Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y26 IS_BONDED 0 SITEPROP SLICE_X57Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y26 IS_PAD 0 SITEPROP SLICE_X57Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y26 IS_RESERVED 0 SITEPROP SLICE_X57Y26 IS_TEST 0 SITEPROP SLICE_X57Y26 IS_USED 0 SITEPROP SLICE_X57Y26 MANUAL_ROUTING SITEPROP SLICE_X57Y26 NAME SLICE_X57Y26 SITEPROP SLICE_X57Y26 NUM_ARCS 138 SITEPROP SLICE_X57Y26 NUM_BELS 32 SITEPROP SLICE_X57Y26 NUM_INPUTS 32 SITEPROP SLICE_X57Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y26 NUM_PINS 45 SITEPROP SLICE_X57Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y26 PROHIBIT 0 SITEPROP SLICE_X57Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y26 RPM_X 151 SITEPROP SLICE_X57Y26 RPM_Y 52 SITEPROP SLICE_X57Y26 SITE_PIPS SITEPROP SLICE_X57Y26 SITE_TYPE SLICEL SITEPROP SLICE_X57Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y27 CLASS site SITEPROP SLICE_X57Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y27 IS_BONDED 0 SITEPROP SLICE_X57Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y27 IS_PAD 0 SITEPROP SLICE_X57Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y27 IS_RESERVED 0 SITEPROP SLICE_X57Y27 IS_TEST 0 SITEPROP SLICE_X57Y27 IS_USED 0 SITEPROP SLICE_X57Y27 MANUAL_ROUTING SITEPROP SLICE_X57Y27 NAME SLICE_X57Y27 SITEPROP SLICE_X57Y27 NUM_ARCS 138 SITEPROP SLICE_X57Y27 NUM_BELS 32 SITEPROP SLICE_X57Y27 NUM_INPUTS 32 SITEPROP SLICE_X57Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y27 NUM_PINS 45 SITEPROP SLICE_X57Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y27 PROHIBIT 0 SITEPROP SLICE_X57Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y27 RPM_X 151 SITEPROP SLICE_X57Y27 RPM_Y 54 SITEPROP SLICE_X57Y27 SITE_PIPS SITEPROP SLICE_X57Y27 SITE_TYPE SLICEL SITEPROP SLICE_X57Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y28 CLASS site SITEPROP SLICE_X57Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y28 IS_BONDED 0 SITEPROP SLICE_X57Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y28 IS_PAD 0 SITEPROP SLICE_X57Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y28 IS_RESERVED 0 SITEPROP SLICE_X57Y28 IS_TEST 0 SITEPROP SLICE_X57Y28 IS_USED 0 SITEPROP SLICE_X57Y28 MANUAL_ROUTING SITEPROP SLICE_X57Y28 NAME SLICE_X57Y28 SITEPROP SLICE_X57Y28 NUM_ARCS 138 SITEPROP SLICE_X57Y28 NUM_BELS 32 SITEPROP SLICE_X57Y28 NUM_INPUTS 32 SITEPROP SLICE_X57Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y28 NUM_PINS 45 SITEPROP SLICE_X57Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y28 PROHIBIT 0 SITEPROP SLICE_X57Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y28 RPM_X 151 SITEPROP SLICE_X57Y28 RPM_Y 56 SITEPROP SLICE_X57Y28 SITE_PIPS SITEPROP SLICE_X57Y28 SITE_TYPE SLICEL SITEPROP SLICE_X57Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y29 CLASS site SITEPROP SLICE_X57Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y29 IS_BONDED 0 SITEPROP SLICE_X57Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y29 IS_PAD 0 SITEPROP SLICE_X57Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y29 IS_RESERVED 0 SITEPROP SLICE_X57Y29 IS_TEST 0 SITEPROP SLICE_X57Y29 IS_USED 0 SITEPROP SLICE_X57Y29 MANUAL_ROUTING SITEPROP SLICE_X57Y29 NAME SLICE_X57Y29 SITEPROP SLICE_X57Y29 NUM_ARCS 138 SITEPROP SLICE_X57Y29 NUM_BELS 32 SITEPROP SLICE_X57Y29 NUM_INPUTS 32 SITEPROP SLICE_X57Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y29 NUM_PINS 45 SITEPROP SLICE_X57Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y29 PROHIBIT 0 SITEPROP SLICE_X57Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y29 RPM_X 151 SITEPROP SLICE_X57Y29 RPM_Y 58 SITEPROP SLICE_X57Y29 SITE_PIPS SITEPROP SLICE_X57Y29 SITE_TYPE SLICEL SITEPROP SLICE_X57Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y30 CLASS site SITEPROP SLICE_X57Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y30 IS_BONDED 0 SITEPROP SLICE_X57Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y30 IS_PAD 0 SITEPROP SLICE_X57Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y30 IS_RESERVED 0 SITEPROP SLICE_X57Y30 IS_TEST 0 SITEPROP SLICE_X57Y30 IS_USED 0 SITEPROP SLICE_X57Y30 MANUAL_ROUTING SITEPROP SLICE_X57Y30 NAME SLICE_X57Y30 SITEPROP SLICE_X57Y30 NUM_ARCS 138 SITEPROP SLICE_X57Y30 NUM_BELS 32 SITEPROP SLICE_X57Y30 NUM_INPUTS 32 SITEPROP SLICE_X57Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y30 NUM_PINS 45 SITEPROP SLICE_X57Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y30 PROHIBIT 0 SITEPROP SLICE_X57Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y30 RPM_X 151 SITEPROP SLICE_X57Y30 RPM_Y 60 SITEPROP SLICE_X57Y30 SITE_PIPS SITEPROP SLICE_X57Y30 SITE_TYPE SLICEL SITEPROP SLICE_X57Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y31 CLASS site SITEPROP SLICE_X57Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y31 IS_BONDED 0 SITEPROP SLICE_X57Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y31 IS_PAD 0 SITEPROP SLICE_X57Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y31 IS_RESERVED 0 SITEPROP SLICE_X57Y31 IS_TEST 0 SITEPROP SLICE_X57Y31 IS_USED 0 SITEPROP SLICE_X57Y31 MANUAL_ROUTING SITEPROP SLICE_X57Y31 NAME SLICE_X57Y31 SITEPROP SLICE_X57Y31 NUM_ARCS 138 SITEPROP SLICE_X57Y31 NUM_BELS 32 SITEPROP SLICE_X57Y31 NUM_INPUTS 32 SITEPROP SLICE_X57Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y31 NUM_PINS 45 SITEPROP SLICE_X57Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y31 PROHIBIT 0 SITEPROP SLICE_X57Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y31 RPM_X 151 SITEPROP SLICE_X57Y31 RPM_Y 62 SITEPROP SLICE_X57Y31 SITE_PIPS SITEPROP SLICE_X57Y31 SITE_TYPE SLICEL SITEPROP SLICE_X57Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y32 CLASS site SITEPROP SLICE_X57Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y32 IS_BONDED 0 SITEPROP SLICE_X57Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y32 IS_PAD 0 SITEPROP SLICE_X57Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y32 IS_RESERVED 0 SITEPROP SLICE_X57Y32 IS_TEST 0 SITEPROP SLICE_X57Y32 IS_USED 0 SITEPROP SLICE_X57Y32 MANUAL_ROUTING SITEPROP SLICE_X57Y32 NAME SLICE_X57Y32 SITEPROP SLICE_X57Y32 NUM_ARCS 138 SITEPROP SLICE_X57Y32 NUM_BELS 32 SITEPROP SLICE_X57Y32 NUM_INPUTS 32 SITEPROP SLICE_X57Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y32 NUM_PINS 45 SITEPROP SLICE_X57Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y32 PROHIBIT 0 SITEPROP SLICE_X57Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y32 RPM_X 151 SITEPROP SLICE_X57Y32 RPM_Y 64 SITEPROP SLICE_X57Y32 SITE_PIPS SITEPROP SLICE_X57Y32 SITE_TYPE SLICEL SITEPROP SLICE_X57Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y33 CLASS site SITEPROP SLICE_X57Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y33 IS_BONDED 0 SITEPROP SLICE_X57Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y33 IS_PAD 0 SITEPROP SLICE_X57Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y33 IS_RESERVED 0 SITEPROP SLICE_X57Y33 IS_TEST 0 SITEPROP SLICE_X57Y33 IS_USED 0 SITEPROP SLICE_X57Y33 MANUAL_ROUTING SITEPROP SLICE_X57Y33 NAME SLICE_X57Y33 SITEPROP SLICE_X57Y33 NUM_ARCS 138 SITEPROP SLICE_X57Y33 NUM_BELS 32 SITEPROP SLICE_X57Y33 NUM_INPUTS 32 SITEPROP SLICE_X57Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y33 NUM_PINS 45 SITEPROP SLICE_X57Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y33 PROHIBIT 0 SITEPROP SLICE_X57Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y33 RPM_X 151 SITEPROP SLICE_X57Y33 RPM_Y 66 SITEPROP SLICE_X57Y33 SITE_PIPS SITEPROP SLICE_X57Y33 SITE_TYPE SLICEL SITEPROP SLICE_X57Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y34 CLASS site SITEPROP SLICE_X57Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y34 IS_BONDED 0 SITEPROP SLICE_X57Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y34 IS_PAD 0 SITEPROP SLICE_X57Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y34 IS_RESERVED 0 SITEPROP SLICE_X57Y34 IS_TEST 0 SITEPROP SLICE_X57Y34 IS_USED 0 SITEPROP SLICE_X57Y34 MANUAL_ROUTING SITEPROP SLICE_X57Y34 NAME SLICE_X57Y34 SITEPROP SLICE_X57Y34 NUM_ARCS 138 SITEPROP SLICE_X57Y34 NUM_BELS 32 SITEPROP SLICE_X57Y34 NUM_INPUTS 32 SITEPROP SLICE_X57Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y34 NUM_PINS 45 SITEPROP SLICE_X57Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y34 PROHIBIT 0 SITEPROP SLICE_X57Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y34 RPM_X 151 SITEPROP SLICE_X57Y34 RPM_Y 68 SITEPROP SLICE_X57Y34 SITE_PIPS SITEPROP SLICE_X57Y34 SITE_TYPE SLICEL SITEPROP SLICE_X57Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y35 CLASS site SITEPROP SLICE_X57Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y35 IS_BONDED 0 SITEPROP SLICE_X57Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y35 IS_PAD 0 SITEPROP SLICE_X57Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y35 IS_RESERVED 0 SITEPROP SLICE_X57Y35 IS_TEST 0 SITEPROP SLICE_X57Y35 IS_USED 0 SITEPROP SLICE_X57Y35 MANUAL_ROUTING SITEPROP SLICE_X57Y35 NAME SLICE_X57Y35 SITEPROP SLICE_X57Y35 NUM_ARCS 138 SITEPROP SLICE_X57Y35 NUM_BELS 32 SITEPROP SLICE_X57Y35 NUM_INPUTS 32 SITEPROP SLICE_X57Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y35 NUM_PINS 45 SITEPROP SLICE_X57Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y35 PROHIBIT 0 SITEPROP SLICE_X57Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y35 RPM_X 151 SITEPROP SLICE_X57Y35 RPM_Y 70 SITEPROP SLICE_X57Y35 SITE_PIPS SITEPROP SLICE_X57Y35 SITE_TYPE SLICEL SITEPROP SLICE_X57Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y36 CLASS site SITEPROP SLICE_X57Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y36 IS_BONDED 0 SITEPROP SLICE_X57Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y36 IS_PAD 0 SITEPROP SLICE_X57Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y36 IS_RESERVED 0 SITEPROP SLICE_X57Y36 IS_TEST 0 SITEPROP SLICE_X57Y36 IS_USED 0 SITEPROP SLICE_X57Y36 MANUAL_ROUTING SITEPROP SLICE_X57Y36 NAME SLICE_X57Y36 SITEPROP SLICE_X57Y36 NUM_ARCS 138 SITEPROP SLICE_X57Y36 NUM_BELS 32 SITEPROP SLICE_X57Y36 NUM_INPUTS 32 SITEPROP SLICE_X57Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y36 NUM_PINS 45 SITEPROP SLICE_X57Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y36 PROHIBIT 0 SITEPROP SLICE_X57Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y36 RPM_X 151 SITEPROP SLICE_X57Y36 RPM_Y 72 SITEPROP SLICE_X57Y36 SITE_PIPS SITEPROP SLICE_X57Y36 SITE_TYPE SLICEL SITEPROP SLICE_X57Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y37 CLASS site SITEPROP SLICE_X57Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y37 IS_BONDED 0 SITEPROP SLICE_X57Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y37 IS_PAD 0 SITEPROP SLICE_X57Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y37 IS_RESERVED 0 SITEPROP SLICE_X57Y37 IS_TEST 0 SITEPROP SLICE_X57Y37 IS_USED 0 SITEPROP SLICE_X57Y37 MANUAL_ROUTING SITEPROP SLICE_X57Y37 NAME SLICE_X57Y37 SITEPROP SLICE_X57Y37 NUM_ARCS 138 SITEPROP SLICE_X57Y37 NUM_BELS 32 SITEPROP SLICE_X57Y37 NUM_INPUTS 32 SITEPROP SLICE_X57Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y37 NUM_PINS 45 SITEPROP SLICE_X57Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y37 PROHIBIT 0 SITEPROP SLICE_X57Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y37 RPM_X 151 SITEPROP SLICE_X57Y37 RPM_Y 74 SITEPROP SLICE_X57Y37 SITE_PIPS SITEPROP SLICE_X57Y37 SITE_TYPE SLICEL SITEPROP SLICE_X57Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y38 CLASS site SITEPROP SLICE_X57Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y38 IS_BONDED 0 SITEPROP SLICE_X57Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y38 IS_PAD 0 SITEPROP SLICE_X57Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y38 IS_RESERVED 0 SITEPROP SLICE_X57Y38 IS_TEST 0 SITEPROP SLICE_X57Y38 IS_USED 0 SITEPROP SLICE_X57Y38 MANUAL_ROUTING SITEPROP SLICE_X57Y38 NAME SLICE_X57Y38 SITEPROP SLICE_X57Y38 NUM_ARCS 138 SITEPROP SLICE_X57Y38 NUM_BELS 32 SITEPROP SLICE_X57Y38 NUM_INPUTS 32 SITEPROP SLICE_X57Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y38 NUM_PINS 45 SITEPROP SLICE_X57Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y38 PROHIBIT 0 SITEPROP SLICE_X57Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y38 RPM_X 151 SITEPROP SLICE_X57Y38 RPM_Y 76 SITEPROP SLICE_X57Y38 SITE_PIPS SITEPROP SLICE_X57Y38 SITE_TYPE SLICEL SITEPROP SLICE_X57Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y39 CLASS site SITEPROP SLICE_X57Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y39 IS_BONDED 0 SITEPROP SLICE_X57Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y39 IS_PAD 0 SITEPROP SLICE_X57Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y39 IS_RESERVED 0 SITEPROP SLICE_X57Y39 IS_TEST 0 SITEPROP SLICE_X57Y39 IS_USED 0 SITEPROP SLICE_X57Y39 MANUAL_ROUTING SITEPROP SLICE_X57Y39 NAME SLICE_X57Y39 SITEPROP SLICE_X57Y39 NUM_ARCS 138 SITEPROP SLICE_X57Y39 NUM_BELS 32 SITEPROP SLICE_X57Y39 NUM_INPUTS 32 SITEPROP SLICE_X57Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y39 NUM_PINS 45 SITEPROP SLICE_X57Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y39 PROHIBIT 0 SITEPROP SLICE_X57Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y39 RPM_X 151 SITEPROP SLICE_X57Y39 RPM_Y 78 SITEPROP SLICE_X57Y39 SITE_PIPS SITEPROP SLICE_X57Y39 SITE_TYPE SLICEL SITEPROP SLICE_X57Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y40 CLASS site SITEPROP SLICE_X57Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y40 IS_BONDED 0 SITEPROP SLICE_X57Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y40 IS_PAD 0 SITEPROP SLICE_X57Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y40 IS_RESERVED 0 SITEPROP SLICE_X57Y40 IS_TEST 0 SITEPROP SLICE_X57Y40 IS_USED 0 SITEPROP SLICE_X57Y40 MANUAL_ROUTING SITEPROP SLICE_X57Y40 NAME SLICE_X57Y40 SITEPROP SLICE_X57Y40 NUM_ARCS 138 SITEPROP SLICE_X57Y40 NUM_BELS 32 SITEPROP SLICE_X57Y40 NUM_INPUTS 32 SITEPROP SLICE_X57Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y40 NUM_PINS 45 SITEPROP SLICE_X57Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y40 PROHIBIT 0 SITEPROP SLICE_X57Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y40 RPM_X 151 SITEPROP SLICE_X57Y40 RPM_Y 80 SITEPROP SLICE_X57Y40 SITE_PIPS SITEPROP SLICE_X57Y40 SITE_TYPE SLICEL SITEPROP SLICE_X57Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y41 CLASS site SITEPROP SLICE_X57Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y41 IS_BONDED 0 SITEPROP SLICE_X57Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y41 IS_PAD 0 SITEPROP SLICE_X57Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y41 IS_RESERVED 0 SITEPROP SLICE_X57Y41 IS_TEST 0 SITEPROP SLICE_X57Y41 IS_USED 0 SITEPROP SLICE_X57Y41 MANUAL_ROUTING SITEPROP SLICE_X57Y41 NAME SLICE_X57Y41 SITEPROP SLICE_X57Y41 NUM_ARCS 138 SITEPROP SLICE_X57Y41 NUM_BELS 32 SITEPROP SLICE_X57Y41 NUM_INPUTS 32 SITEPROP SLICE_X57Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y41 NUM_PINS 45 SITEPROP SLICE_X57Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y41 PROHIBIT 0 SITEPROP SLICE_X57Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y41 RPM_X 151 SITEPROP SLICE_X57Y41 RPM_Y 82 SITEPROP SLICE_X57Y41 SITE_PIPS SITEPROP SLICE_X57Y41 SITE_TYPE SLICEL SITEPROP SLICE_X57Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y42 CLASS site SITEPROP SLICE_X57Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y42 IS_BONDED 0 SITEPROP SLICE_X57Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y42 IS_PAD 0 SITEPROP SLICE_X57Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y42 IS_RESERVED 0 SITEPROP SLICE_X57Y42 IS_TEST 0 SITEPROP SLICE_X57Y42 IS_USED 0 SITEPROP SLICE_X57Y42 MANUAL_ROUTING SITEPROP SLICE_X57Y42 NAME SLICE_X57Y42 SITEPROP SLICE_X57Y42 NUM_ARCS 138 SITEPROP SLICE_X57Y42 NUM_BELS 32 SITEPROP SLICE_X57Y42 NUM_INPUTS 32 SITEPROP SLICE_X57Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y42 NUM_PINS 45 SITEPROP SLICE_X57Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y42 PROHIBIT 0 SITEPROP SLICE_X57Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y42 RPM_X 151 SITEPROP SLICE_X57Y42 RPM_Y 84 SITEPROP SLICE_X57Y42 SITE_PIPS SITEPROP SLICE_X57Y42 SITE_TYPE SLICEL SITEPROP SLICE_X57Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y43 CLASS site SITEPROP SLICE_X57Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y43 IS_BONDED 0 SITEPROP SLICE_X57Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y43 IS_PAD 0 SITEPROP SLICE_X57Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y43 IS_RESERVED 0 SITEPROP SLICE_X57Y43 IS_TEST 0 SITEPROP SLICE_X57Y43 IS_USED 0 SITEPROP SLICE_X57Y43 MANUAL_ROUTING SITEPROP SLICE_X57Y43 NAME SLICE_X57Y43 SITEPROP SLICE_X57Y43 NUM_ARCS 138 SITEPROP SLICE_X57Y43 NUM_BELS 32 SITEPROP SLICE_X57Y43 NUM_INPUTS 32 SITEPROP SLICE_X57Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y43 NUM_PINS 45 SITEPROP SLICE_X57Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y43 PROHIBIT 0 SITEPROP SLICE_X57Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y43 RPM_X 151 SITEPROP SLICE_X57Y43 RPM_Y 86 SITEPROP SLICE_X57Y43 SITE_PIPS SITEPROP SLICE_X57Y43 SITE_TYPE SLICEL SITEPROP SLICE_X57Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y44 CLASS site SITEPROP SLICE_X57Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y44 IS_BONDED 0 SITEPROP SLICE_X57Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y44 IS_PAD 0 SITEPROP SLICE_X57Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y44 IS_RESERVED 0 SITEPROP SLICE_X57Y44 IS_TEST 0 SITEPROP SLICE_X57Y44 IS_USED 0 SITEPROP SLICE_X57Y44 MANUAL_ROUTING SITEPROP SLICE_X57Y44 NAME SLICE_X57Y44 SITEPROP SLICE_X57Y44 NUM_ARCS 138 SITEPROP SLICE_X57Y44 NUM_BELS 32 SITEPROP SLICE_X57Y44 NUM_INPUTS 32 SITEPROP SLICE_X57Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y44 NUM_PINS 45 SITEPROP SLICE_X57Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y44 PROHIBIT 0 SITEPROP SLICE_X57Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y44 RPM_X 151 SITEPROP SLICE_X57Y44 RPM_Y 88 SITEPROP SLICE_X57Y44 SITE_PIPS SITEPROP SLICE_X57Y44 SITE_TYPE SLICEL SITEPROP SLICE_X57Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y45 CLASS site SITEPROP SLICE_X57Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y45 IS_BONDED 0 SITEPROP SLICE_X57Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y45 IS_PAD 0 SITEPROP SLICE_X57Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y45 IS_RESERVED 0 SITEPROP SLICE_X57Y45 IS_TEST 0 SITEPROP SLICE_X57Y45 IS_USED 0 SITEPROP SLICE_X57Y45 MANUAL_ROUTING SITEPROP SLICE_X57Y45 NAME SLICE_X57Y45 SITEPROP SLICE_X57Y45 NUM_ARCS 138 SITEPROP SLICE_X57Y45 NUM_BELS 32 SITEPROP SLICE_X57Y45 NUM_INPUTS 32 SITEPROP SLICE_X57Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y45 NUM_PINS 45 SITEPROP SLICE_X57Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y45 PROHIBIT 0 SITEPROP SLICE_X57Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y45 RPM_X 151 SITEPROP SLICE_X57Y45 RPM_Y 90 SITEPROP SLICE_X57Y45 SITE_PIPS SITEPROP SLICE_X57Y45 SITE_TYPE SLICEL SITEPROP SLICE_X57Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y46 CLASS site SITEPROP SLICE_X57Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y46 IS_BONDED 0 SITEPROP SLICE_X57Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y46 IS_PAD 0 SITEPROP SLICE_X57Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y46 IS_RESERVED 0 SITEPROP SLICE_X57Y46 IS_TEST 0 SITEPROP SLICE_X57Y46 IS_USED 0 SITEPROP SLICE_X57Y46 MANUAL_ROUTING SITEPROP SLICE_X57Y46 NAME SLICE_X57Y46 SITEPROP SLICE_X57Y46 NUM_ARCS 138 SITEPROP SLICE_X57Y46 NUM_BELS 32 SITEPROP SLICE_X57Y46 NUM_INPUTS 32 SITEPROP SLICE_X57Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y46 NUM_PINS 45 SITEPROP SLICE_X57Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y46 PROHIBIT 0 SITEPROP SLICE_X57Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y46 RPM_X 151 SITEPROP SLICE_X57Y46 RPM_Y 92 SITEPROP SLICE_X57Y46 SITE_PIPS SITEPROP SLICE_X57Y46 SITE_TYPE SLICEL SITEPROP SLICE_X57Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y47 CLASS site SITEPROP SLICE_X57Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y47 IS_BONDED 0 SITEPROP SLICE_X57Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y47 IS_PAD 0 SITEPROP SLICE_X57Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y47 IS_RESERVED 0 SITEPROP SLICE_X57Y47 IS_TEST 0 SITEPROP SLICE_X57Y47 IS_USED 0 SITEPROP SLICE_X57Y47 MANUAL_ROUTING SITEPROP SLICE_X57Y47 NAME SLICE_X57Y47 SITEPROP SLICE_X57Y47 NUM_ARCS 138 SITEPROP SLICE_X57Y47 NUM_BELS 32 SITEPROP SLICE_X57Y47 NUM_INPUTS 32 SITEPROP SLICE_X57Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y47 NUM_PINS 45 SITEPROP SLICE_X57Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y47 PROHIBIT 0 SITEPROP SLICE_X57Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y47 RPM_X 151 SITEPROP SLICE_X57Y47 RPM_Y 94 SITEPROP SLICE_X57Y47 SITE_PIPS SITEPROP SLICE_X57Y47 SITE_TYPE SLICEL SITEPROP SLICE_X57Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y48 CLASS site SITEPROP SLICE_X57Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y48 IS_BONDED 0 SITEPROP SLICE_X57Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y48 IS_PAD 0 SITEPROP SLICE_X57Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y48 IS_RESERVED 0 SITEPROP SLICE_X57Y48 IS_TEST 0 SITEPROP SLICE_X57Y48 IS_USED 0 SITEPROP SLICE_X57Y48 MANUAL_ROUTING SITEPROP SLICE_X57Y48 NAME SLICE_X57Y48 SITEPROP SLICE_X57Y48 NUM_ARCS 138 SITEPROP SLICE_X57Y48 NUM_BELS 32 SITEPROP SLICE_X57Y48 NUM_INPUTS 32 SITEPROP SLICE_X57Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y48 NUM_PINS 45 SITEPROP SLICE_X57Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y48 PROHIBIT 0 SITEPROP SLICE_X57Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y48 RPM_X 151 SITEPROP SLICE_X57Y48 RPM_Y 96 SITEPROP SLICE_X57Y48 SITE_PIPS SITEPROP SLICE_X57Y48 SITE_TYPE SLICEL SITEPROP SLICE_X57Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y49 CLASS site SITEPROP SLICE_X57Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X57Y49 IS_BONDED 0 SITEPROP SLICE_X57Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y49 IS_PAD 0 SITEPROP SLICE_X57Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y49 IS_RESERVED 0 SITEPROP SLICE_X57Y49 IS_TEST 0 SITEPROP SLICE_X57Y49 IS_USED 0 SITEPROP SLICE_X57Y49 MANUAL_ROUTING SITEPROP SLICE_X57Y49 NAME SLICE_X57Y49 SITEPROP SLICE_X57Y49 NUM_ARCS 138 SITEPROP SLICE_X57Y49 NUM_BELS 32 SITEPROP SLICE_X57Y49 NUM_INPUTS 32 SITEPROP SLICE_X57Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y49 NUM_PINS 45 SITEPROP SLICE_X57Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y49 PROHIBIT 0 SITEPROP SLICE_X57Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y49 RPM_X 151 SITEPROP SLICE_X57Y49 RPM_Y 98 SITEPROP SLICE_X57Y49 SITE_PIPS SITEPROP SLICE_X57Y49 SITE_TYPE SLICEL SITEPROP SLICE_X57Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y50 CLASS site SITEPROP SLICE_X57Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y50 IS_BONDED 0 SITEPROP SLICE_X57Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y50 IS_PAD 0 SITEPROP SLICE_X57Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y50 IS_RESERVED 0 SITEPROP SLICE_X57Y50 IS_TEST 0 SITEPROP SLICE_X57Y50 IS_USED 0 SITEPROP SLICE_X57Y50 MANUAL_ROUTING SITEPROP SLICE_X57Y50 NAME SLICE_X57Y50 SITEPROP SLICE_X57Y50 NUM_ARCS 138 SITEPROP SLICE_X57Y50 NUM_BELS 32 SITEPROP SLICE_X57Y50 NUM_INPUTS 32 SITEPROP SLICE_X57Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y50 NUM_PINS 45 SITEPROP SLICE_X57Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y50 PROHIBIT 0 SITEPROP SLICE_X57Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y50 RPM_X 151 SITEPROP SLICE_X57Y50 RPM_Y 100 SITEPROP SLICE_X57Y50 SITE_PIPS SITEPROP SLICE_X57Y50 SITE_TYPE SLICEL SITEPROP SLICE_X57Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y51 CLASS site SITEPROP SLICE_X57Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y51 IS_BONDED 0 SITEPROP SLICE_X57Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y51 IS_PAD 0 SITEPROP SLICE_X57Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y51 IS_RESERVED 0 SITEPROP SLICE_X57Y51 IS_TEST 0 SITEPROP SLICE_X57Y51 IS_USED 0 SITEPROP SLICE_X57Y51 MANUAL_ROUTING SITEPROP SLICE_X57Y51 NAME SLICE_X57Y51 SITEPROP SLICE_X57Y51 NUM_ARCS 138 SITEPROP SLICE_X57Y51 NUM_BELS 32 SITEPROP SLICE_X57Y51 NUM_INPUTS 32 SITEPROP SLICE_X57Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y51 NUM_PINS 45 SITEPROP SLICE_X57Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y51 PROHIBIT 0 SITEPROP SLICE_X57Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y51 RPM_X 151 SITEPROP SLICE_X57Y51 RPM_Y 102 SITEPROP SLICE_X57Y51 SITE_PIPS SITEPROP SLICE_X57Y51 SITE_TYPE SLICEL SITEPROP SLICE_X57Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y52 CLASS site SITEPROP SLICE_X57Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y52 IS_BONDED 0 SITEPROP SLICE_X57Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y52 IS_PAD 0 SITEPROP SLICE_X57Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y52 IS_RESERVED 0 SITEPROP SLICE_X57Y52 IS_TEST 0 SITEPROP SLICE_X57Y52 IS_USED 0 SITEPROP SLICE_X57Y52 MANUAL_ROUTING SITEPROP SLICE_X57Y52 NAME SLICE_X57Y52 SITEPROP SLICE_X57Y52 NUM_ARCS 138 SITEPROP SLICE_X57Y52 NUM_BELS 32 SITEPROP SLICE_X57Y52 NUM_INPUTS 32 SITEPROP SLICE_X57Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y52 NUM_PINS 45 SITEPROP SLICE_X57Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y52 PROHIBIT 0 SITEPROP SLICE_X57Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y52 RPM_X 151 SITEPROP SLICE_X57Y52 RPM_Y 104 SITEPROP SLICE_X57Y52 SITE_PIPS SITEPROP SLICE_X57Y52 SITE_TYPE SLICEL SITEPROP SLICE_X57Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y53 CLASS site SITEPROP SLICE_X57Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y53 IS_BONDED 0 SITEPROP SLICE_X57Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y53 IS_PAD 0 SITEPROP SLICE_X57Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y53 IS_RESERVED 0 SITEPROP SLICE_X57Y53 IS_TEST 0 SITEPROP SLICE_X57Y53 IS_USED 0 SITEPROP SLICE_X57Y53 MANUAL_ROUTING SITEPROP SLICE_X57Y53 NAME SLICE_X57Y53 SITEPROP SLICE_X57Y53 NUM_ARCS 138 SITEPROP SLICE_X57Y53 NUM_BELS 32 SITEPROP SLICE_X57Y53 NUM_INPUTS 32 SITEPROP SLICE_X57Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y53 NUM_PINS 45 SITEPROP SLICE_X57Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y53 PROHIBIT 0 SITEPROP SLICE_X57Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y53 RPM_X 151 SITEPROP SLICE_X57Y53 RPM_Y 106 SITEPROP SLICE_X57Y53 SITE_PIPS SITEPROP SLICE_X57Y53 SITE_TYPE SLICEL SITEPROP SLICE_X57Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y54 CLASS site SITEPROP SLICE_X57Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y54 IS_BONDED 0 SITEPROP SLICE_X57Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y54 IS_PAD 0 SITEPROP SLICE_X57Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y54 IS_RESERVED 0 SITEPROP SLICE_X57Y54 IS_TEST 0 SITEPROP SLICE_X57Y54 IS_USED 0 SITEPROP SLICE_X57Y54 MANUAL_ROUTING SITEPROP SLICE_X57Y54 NAME SLICE_X57Y54 SITEPROP SLICE_X57Y54 NUM_ARCS 138 SITEPROP SLICE_X57Y54 NUM_BELS 32 SITEPROP SLICE_X57Y54 NUM_INPUTS 32 SITEPROP SLICE_X57Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y54 NUM_PINS 45 SITEPROP SLICE_X57Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y54 PROHIBIT 0 SITEPROP SLICE_X57Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y54 RPM_X 151 SITEPROP SLICE_X57Y54 RPM_Y 108 SITEPROP SLICE_X57Y54 SITE_PIPS SITEPROP SLICE_X57Y54 SITE_TYPE SLICEL SITEPROP SLICE_X57Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y55 CLASS site SITEPROP SLICE_X57Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y55 IS_BONDED 0 SITEPROP SLICE_X57Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y55 IS_PAD 0 SITEPROP SLICE_X57Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y55 IS_RESERVED 0 SITEPROP SLICE_X57Y55 IS_TEST 0 SITEPROP SLICE_X57Y55 IS_USED 0 SITEPROP SLICE_X57Y55 MANUAL_ROUTING SITEPROP SLICE_X57Y55 NAME SLICE_X57Y55 SITEPROP SLICE_X57Y55 NUM_ARCS 138 SITEPROP SLICE_X57Y55 NUM_BELS 32 SITEPROP SLICE_X57Y55 NUM_INPUTS 32 SITEPROP SLICE_X57Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y55 NUM_PINS 45 SITEPROP SLICE_X57Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y55 PROHIBIT 0 SITEPROP SLICE_X57Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y55 RPM_X 151 SITEPROP SLICE_X57Y55 RPM_Y 110 SITEPROP SLICE_X57Y55 SITE_PIPS SITEPROP SLICE_X57Y55 SITE_TYPE SLICEL SITEPROP SLICE_X57Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y56 CLASS site SITEPROP SLICE_X57Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y56 IS_BONDED 0 SITEPROP SLICE_X57Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y56 IS_PAD 0 SITEPROP SLICE_X57Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y56 IS_RESERVED 0 SITEPROP SLICE_X57Y56 IS_TEST 0 SITEPROP SLICE_X57Y56 IS_USED 0 SITEPROP SLICE_X57Y56 MANUAL_ROUTING SITEPROP SLICE_X57Y56 NAME SLICE_X57Y56 SITEPROP SLICE_X57Y56 NUM_ARCS 138 SITEPROP SLICE_X57Y56 NUM_BELS 32 SITEPROP SLICE_X57Y56 NUM_INPUTS 32 SITEPROP SLICE_X57Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y56 NUM_PINS 45 SITEPROP SLICE_X57Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y56 PROHIBIT 0 SITEPROP SLICE_X57Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y56 RPM_X 151 SITEPROP SLICE_X57Y56 RPM_Y 112 SITEPROP SLICE_X57Y56 SITE_PIPS SITEPROP SLICE_X57Y56 SITE_TYPE SLICEL SITEPROP SLICE_X57Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y57 CLASS site SITEPROP SLICE_X57Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y57 IS_BONDED 0 SITEPROP SLICE_X57Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y57 IS_PAD 0 SITEPROP SLICE_X57Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y57 IS_RESERVED 0 SITEPROP SLICE_X57Y57 IS_TEST 0 SITEPROP SLICE_X57Y57 IS_USED 0 SITEPROP SLICE_X57Y57 MANUAL_ROUTING SITEPROP SLICE_X57Y57 NAME SLICE_X57Y57 SITEPROP SLICE_X57Y57 NUM_ARCS 138 SITEPROP SLICE_X57Y57 NUM_BELS 32 SITEPROP SLICE_X57Y57 NUM_INPUTS 32 SITEPROP SLICE_X57Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y57 NUM_PINS 45 SITEPROP SLICE_X57Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y57 PROHIBIT 0 SITEPROP SLICE_X57Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y57 RPM_X 151 SITEPROP SLICE_X57Y57 RPM_Y 114 SITEPROP SLICE_X57Y57 SITE_PIPS SITEPROP SLICE_X57Y57 SITE_TYPE SLICEL SITEPROP SLICE_X57Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y58 CLASS site SITEPROP SLICE_X57Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y58 IS_BONDED 0 SITEPROP SLICE_X57Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y58 IS_PAD 0 SITEPROP SLICE_X57Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y58 IS_RESERVED 0 SITEPROP SLICE_X57Y58 IS_TEST 0 SITEPROP SLICE_X57Y58 IS_USED 0 SITEPROP SLICE_X57Y58 MANUAL_ROUTING SITEPROP SLICE_X57Y58 NAME SLICE_X57Y58 SITEPROP SLICE_X57Y58 NUM_ARCS 138 SITEPROP SLICE_X57Y58 NUM_BELS 32 SITEPROP SLICE_X57Y58 NUM_INPUTS 32 SITEPROP SLICE_X57Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y58 NUM_PINS 45 SITEPROP SLICE_X57Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y58 PROHIBIT 0 SITEPROP SLICE_X57Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y58 RPM_X 151 SITEPROP SLICE_X57Y58 RPM_Y 116 SITEPROP SLICE_X57Y58 SITE_PIPS SITEPROP SLICE_X57Y58 SITE_TYPE SLICEL SITEPROP SLICE_X57Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y59 CLASS site SITEPROP SLICE_X57Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y59 IS_BONDED 0 SITEPROP SLICE_X57Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y59 IS_PAD 0 SITEPROP SLICE_X57Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y59 IS_RESERVED 0 SITEPROP SLICE_X57Y59 IS_TEST 0 SITEPROP SLICE_X57Y59 IS_USED 0 SITEPROP SLICE_X57Y59 MANUAL_ROUTING SITEPROP SLICE_X57Y59 NAME SLICE_X57Y59 SITEPROP SLICE_X57Y59 NUM_ARCS 138 SITEPROP SLICE_X57Y59 NUM_BELS 32 SITEPROP SLICE_X57Y59 NUM_INPUTS 32 SITEPROP SLICE_X57Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y59 NUM_PINS 45 SITEPROP SLICE_X57Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y59 PROHIBIT 0 SITEPROP SLICE_X57Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y59 RPM_X 151 SITEPROP SLICE_X57Y59 RPM_Y 118 SITEPROP SLICE_X57Y59 SITE_PIPS SITEPROP SLICE_X57Y59 SITE_TYPE SLICEL SITEPROP SLICE_X57Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y60 CLASS site SITEPROP SLICE_X57Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y60 IS_BONDED 0 SITEPROP SLICE_X57Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y60 IS_PAD 0 SITEPROP SLICE_X57Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y60 IS_RESERVED 0 SITEPROP SLICE_X57Y60 IS_TEST 0 SITEPROP SLICE_X57Y60 IS_USED 0 SITEPROP SLICE_X57Y60 MANUAL_ROUTING SITEPROP SLICE_X57Y60 NAME SLICE_X57Y60 SITEPROP SLICE_X57Y60 NUM_ARCS 138 SITEPROP SLICE_X57Y60 NUM_BELS 32 SITEPROP SLICE_X57Y60 NUM_INPUTS 32 SITEPROP SLICE_X57Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y60 NUM_PINS 45 SITEPROP SLICE_X57Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y60 PROHIBIT 0 SITEPROP SLICE_X57Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y60 RPM_X 151 SITEPROP SLICE_X57Y60 RPM_Y 120 SITEPROP SLICE_X57Y60 SITE_PIPS SITEPROP SLICE_X57Y60 SITE_TYPE SLICEL SITEPROP SLICE_X57Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y61 CLASS site SITEPROP SLICE_X57Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y61 IS_BONDED 0 SITEPROP SLICE_X57Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y61 IS_PAD 0 SITEPROP SLICE_X57Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y61 IS_RESERVED 0 SITEPROP SLICE_X57Y61 IS_TEST 0 SITEPROP SLICE_X57Y61 IS_USED 0 SITEPROP SLICE_X57Y61 MANUAL_ROUTING SITEPROP SLICE_X57Y61 NAME SLICE_X57Y61 SITEPROP SLICE_X57Y61 NUM_ARCS 138 SITEPROP SLICE_X57Y61 NUM_BELS 32 SITEPROP SLICE_X57Y61 NUM_INPUTS 32 SITEPROP SLICE_X57Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y61 NUM_PINS 45 SITEPROP SLICE_X57Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y61 PROHIBIT 0 SITEPROP SLICE_X57Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y61 RPM_X 151 SITEPROP SLICE_X57Y61 RPM_Y 122 SITEPROP SLICE_X57Y61 SITE_PIPS SITEPROP SLICE_X57Y61 SITE_TYPE SLICEL SITEPROP SLICE_X57Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y62 CLASS site SITEPROP SLICE_X57Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y62 IS_BONDED 0 SITEPROP SLICE_X57Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y62 IS_PAD 0 SITEPROP SLICE_X57Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y62 IS_RESERVED 0 SITEPROP SLICE_X57Y62 IS_TEST 0 SITEPROP SLICE_X57Y62 IS_USED 0 SITEPROP SLICE_X57Y62 MANUAL_ROUTING SITEPROP SLICE_X57Y62 NAME SLICE_X57Y62 SITEPROP SLICE_X57Y62 NUM_ARCS 138 SITEPROP SLICE_X57Y62 NUM_BELS 32 SITEPROP SLICE_X57Y62 NUM_INPUTS 32 SITEPROP SLICE_X57Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y62 NUM_PINS 45 SITEPROP SLICE_X57Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y62 PROHIBIT 0 SITEPROP SLICE_X57Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y62 RPM_X 151 SITEPROP SLICE_X57Y62 RPM_Y 124 SITEPROP SLICE_X57Y62 SITE_PIPS SITEPROP SLICE_X57Y62 SITE_TYPE SLICEL SITEPROP SLICE_X57Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y63 CLASS site SITEPROP SLICE_X57Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y63 IS_BONDED 0 SITEPROP SLICE_X57Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y63 IS_PAD 0 SITEPROP SLICE_X57Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y63 IS_RESERVED 0 SITEPROP SLICE_X57Y63 IS_TEST 0 SITEPROP SLICE_X57Y63 IS_USED 0 SITEPROP SLICE_X57Y63 MANUAL_ROUTING SITEPROP SLICE_X57Y63 NAME SLICE_X57Y63 SITEPROP SLICE_X57Y63 NUM_ARCS 138 SITEPROP SLICE_X57Y63 NUM_BELS 32 SITEPROP SLICE_X57Y63 NUM_INPUTS 32 SITEPROP SLICE_X57Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y63 NUM_PINS 45 SITEPROP SLICE_X57Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y63 PROHIBIT 0 SITEPROP SLICE_X57Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y63 RPM_X 151 SITEPROP SLICE_X57Y63 RPM_Y 126 SITEPROP SLICE_X57Y63 SITE_PIPS SITEPROP SLICE_X57Y63 SITE_TYPE SLICEL SITEPROP SLICE_X57Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y64 CLASS site SITEPROP SLICE_X57Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y64 IS_BONDED 0 SITEPROP SLICE_X57Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y64 IS_PAD 0 SITEPROP SLICE_X57Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y64 IS_RESERVED 0 SITEPROP SLICE_X57Y64 IS_TEST 0 SITEPROP SLICE_X57Y64 IS_USED 0 SITEPROP SLICE_X57Y64 MANUAL_ROUTING SITEPROP SLICE_X57Y64 NAME SLICE_X57Y64 SITEPROP SLICE_X57Y64 NUM_ARCS 138 SITEPROP SLICE_X57Y64 NUM_BELS 32 SITEPROP SLICE_X57Y64 NUM_INPUTS 32 SITEPROP SLICE_X57Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y64 NUM_PINS 45 SITEPROP SLICE_X57Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y64 PROHIBIT 0 SITEPROP SLICE_X57Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y64 RPM_X 151 SITEPROP SLICE_X57Y64 RPM_Y 128 SITEPROP SLICE_X57Y64 SITE_PIPS SITEPROP SLICE_X57Y64 SITE_TYPE SLICEL SITEPROP SLICE_X57Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y65 CLASS site SITEPROP SLICE_X57Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y65 IS_BONDED 0 SITEPROP SLICE_X57Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y65 IS_PAD 0 SITEPROP SLICE_X57Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y65 IS_RESERVED 0 SITEPROP SLICE_X57Y65 IS_TEST 0 SITEPROP SLICE_X57Y65 IS_USED 0 SITEPROP SLICE_X57Y65 MANUAL_ROUTING SITEPROP SLICE_X57Y65 NAME SLICE_X57Y65 SITEPROP SLICE_X57Y65 NUM_ARCS 138 SITEPROP SLICE_X57Y65 NUM_BELS 32 SITEPROP SLICE_X57Y65 NUM_INPUTS 32 SITEPROP SLICE_X57Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y65 NUM_PINS 45 SITEPROP SLICE_X57Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y65 PROHIBIT 0 SITEPROP SLICE_X57Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y65 RPM_X 151 SITEPROP SLICE_X57Y65 RPM_Y 130 SITEPROP SLICE_X57Y65 SITE_PIPS SITEPROP SLICE_X57Y65 SITE_TYPE SLICEL SITEPROP SLICE_X57Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y66 CLASS site SITEPROP SLICE_X57Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y66 IS_BONDED 0 SITEPROP SLICE_X57Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y66 IS_PAD 0 SITEPROP SLICE_X57Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y66 IS_RESERVED 0 SITEPROP SLICE_X57Y66 IS_TEST 0 SITEPROP SLICE_X57Y66 IS_USED 0 SITEPROP SLICE_X57Y66 MANUAL_ROUTING SITEPROP SLICE_X57Y66 NAME SLICE_X57Y66 SITEPROP SLICE_X57Y66 NUM_ARCS 138 SITEPROP SLICE_X57Y66 NUM_BELS 32 SITEPROP SLICE_X57Y66 NUM_INPUTS 32 SITEPROP SLICE_X57Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y66 NUM_PINS 45 SITEPROP SLICE_X57Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y66 PROHIBIT 0 SITEPROP SLICE_X57Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y66 RPM_X 151 SITEPROP SLICE_X57Y66 RPM_Y 132 SITEPROP SLICE_X57Y66 SITE_PIPS SITEPROP SLICE_X57Y66 SITE_TYPE SLICEL SITEPROP SLICE_X57Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y67 CLASS site SITEPROP SLICE_X57Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y67 IS_BONDED 0 SITEPROP SLICE_X57Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y67 IS_PAD 0 SITEPROP SLICE_X57Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y67 IS_RESERVED 0 SITEPROP SLICE_X57Y67 IS_TEST 0 SITEPROP SLICE_X57Y67 IS_USED 0 SITEPROP SLICE_X57Y67 MANUAL_ROUTING SITEPROP SLICE_X57Y67 NAME SLICE_X57Y67 SITEPROP SLICE_X57Y67 NUM_ARCS 138 SITEPROP SLICE_X57Y67 NUM_BELS 32 SITEPROP SLICE_X57Y67 NUM_INPUTS 32 SITEPROP SLICE_X57Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y67 NUM_PINS 45 SITEPROP SLICE_X57Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y67 PROHIBIT 0 SITEPROP SLICE_X57Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y67 RPM_X 151 SITEPROP SLICE_X57Y67 RPM_Y 134 SITEPROP SLICE_X57Y67 SITE_PIPS SITEPROP SLICE_X57Y67 SITE_TYPE SLICEL SITEPROP SLICE_X57Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y68 CLASS site SITEPROP SLICE_X57Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y68 IS_BONDED 0 SITEPROP SLICE_X57Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y68 IS_PAD 0 SITEPROP SLICE_X57Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y68 IS_RESERVED 0 SITEPROP SLICE_X57Y68 IS_TEST 0 SITEPROP SLICE_X57Y68 IS_USED 0 SITEPROP SLICE_X57Y68 MANUAL_ROUTING SITEPROP SLICE_X57Y68 NAME SLICE_X57Y68 SITEPROP SLICE_X57Y68 NUM_ARCS 138 SITEPROP SLICE_X57Y68 NUM_BELS 32 SITEPROP SLICE_X57Y68 NUM_INPUTS 32 SITEPROP SLICE_X57Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y68 NUM_PINS 45 SITEPROP SLICE_X57Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y68 PROHIBIT 0 SITEPROP SLICE_X57Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y68 RPM_X 151 SITEPROP SLICE_X57Y68 RPM_Y 136 SITEPROP SLICE_X57Y68 SITE_PIPS SITEPROP SLICE_X57Y68 SITE_TYPE SLICEL SITEPROP SLICE_X57Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y69 CLASS site SITEPROP SLICE_X57Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y69 IS_BONDED 0 SITEPROP SLICE_X57Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y69 IS_PAD 0 SITEPROP SLICE_X57Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y69 IS_RESERVED 0 SITEPROP SLICE_X57Y69 IS_TEST 0 SITEPROP SLICE_X57Y69 IS_USED 0 SITEPROP SLICE_X57Y69 MANUAL_ROUTING SITEPROP SLICE_X57Y69 NAME SLICE_X57Y69 SITEPROP SLICE_X57Y69 NUM_ARCS 138 SITEPROP SLICE_X57Y69 NUM_BELS 32 SITEPROP SLICE_X57Y69 NUM_INPUTS 32 SITEPROP SLICE_X57Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y69 NUM_PINS 45 SITEPROP SLICE_X57Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y69 PROHIBIT 0 SITEPROP SLICE_X57Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y69 RPM_X 151 SITEPROP SLICE_X57Y69 RPM_Y 138 SITEPROP SLICE_X57Y69 SITE_PIPS SITEPROP SLICE_X57Y69 SITE_TYPE SLICEL SITEPROP SLICE_X57Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y70 CLASS site SITEPROP SLICE_X57Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y70 IS_BONDED 0 SITEPROP SLICE_X57Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y70 IS_PAD 0 SITEPROP SLICE_X57Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y70 IS_RESERVED 0 SITEPROP SLICE_X57Y70 IS_TEST 0 SITEPROP SLICE_X57Y70 IS_USED 0 SITEPROP SLICE_X57Y70 MANUAL_ROUTING SITEPROP SLICE_X57Y70 NAME SLICE_X57Y70 SITEPROP SLICE_X57Y70 NUM_ARCS 138 SITEPROP SLICE_X57Y70 NUM_BELS 32 SITEPROP SLICE_X57Y70 NUM_INPUTS 32 SITEPROP SLICE_X57Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y70 NUM_PINS 45 SITEPROP SLICE_X57Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y70 PROHIBIT 0 SITEPROP SLICE_X57Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y70 RPM_X 151 SITEPROP SLICE_X57Y70 RPM_Y 140 SITEPROP SLICE_X57Y70 SITE_PIPS SITEPROP SLICE_X57Y70 SITE_TYPE SLICEL SITEPROP SLICE_X57Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y71 CLASS site SITEPROP SLICE_X57Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y71 IS_BONDED 0 SITEPROP SLICE_X57Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y71 IS_PAD 0 SITEPROP SLICE_X57Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y71 IS_RESERVED 0 SITEPROP SLICE_X57Y71 IS_TEST 0 SITEPROP SLICE_X57Y71 IS_USED 0 SITEPROP SLICE_X57Y71 MANUAL_ROUTING SITEPROP SLICE_X57Y71 NAME SLICE_X57Y71 SITEPROP SLICE_X57Y71 NUM_ARCS 138 SITEPROP SLICE_X57Y71 NUM_BELS 32 SITEPROP SLICE_X57Y71 NUM_INPUTS 32 SITEPROP SLICE_X57Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y71 NUM_PINS 45 SITEPROP SLICE_X57Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y71 PROHIBIT 0 SITEPROP SLICE_X57Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y71 RPM_X 151 SITEPROP SLICE_X57Y71 RPM_Y 142 SITEPROP SLICE_X57Y71 SITE_PIPS SITEPROP SLICE_X57Y71 SITE_TYPE SLICEL SITEPROP SLICE_X57Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y72 CLASS site SITEPROP SLICE_X57Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y72 IS_BONDED 0 SITEPROP SLICE_X57Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y72 IS_PAD 0 SITEPROP SLICE_X57Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y72 IS_RESERVED 0 SITEPROP SLICE_X57Y72 IS_TEST 0 SITEPROP SLICE_X57Y72 IS_USED 0 SITEPROP SLICE_X57Y72 MANUAL_ROUTING SITEPROP SLICE_X57Y72 NAME SLICE_X57Y72 SITEPROP SLICE_X57Y72 NUM_ARCS 138 SITEPROP SLICE_X57Y72 NUM_BELS 32 SITEPROP SLICE_X57Y72 NUM_INPUTS 32 SITEPROP SLICE_X57Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y72 NUM_PINS 45 SITEPROP SLICE_X57Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y72 PROHIBIT 0 SITEPROP SLICE_X57Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y72 RPM_X 151 SITEPROP SLICE_X57Y72 RPM_Y 144 SITEPROP SLICE_X57Y72 SITE_PIPS SITEPROP SLICE_X57Y72 SITE_TYPE SLICEL SITEPROP SLICE_X57Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y73 CLASS site SITEPROP SLICE_X57Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y73 IS_BONDED 0 SITEPROP SLICE_X57Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y73 IS_PAD 0 SITEPROP SLICE_X57Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y73 IS_RESERVED 0 SITEPROP SLICE_X57Y73 IS_TEST 0 SITEPROP SLICE_X57Y73 IS_USED 0 SITEPROP SLICE_X57Y73 MANUAL_ROUTING SITEPROP SLICE_X57Y73 NAME SLICE_X57Y73 SITEPROP SLICE_X57Y73 NUM_ARCS 138 SITEPROP SLICE_X57Y73 NUM_BELS 32 SITEPROP SLICE_X57Y73 NUM_INPUTS 32 SITEPROP SLICE_X57Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y73 NUM_PINS 45 SITEPROP SLICE_X57Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y73 PROHIBIT 0 SITEPROP SLICE_X57Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y73 RPM_X 151 SITEPROP SLICE_X57Y73 RPM_Y 146 SITEPROP SLICE_X57Y73 SITE_PIPS SITEPROP SLICE_X57Y73 SITE_TYPE SLICEL SITEPROP SLICE_X57Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y74 CLASS site SITEPROP SLICE_X57Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y74 IS_BONDED 0 SITEPROP SLICE_X57Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y74 IS_PAD 0 SITEPROP SLICE_X57Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y74 IS_RESERVED 0 SITEPROP SLICE_X57Y74 IS_TEST 0 SITEPROP SLICE_X57Y74 IS_USED 0 SITEPROP SLICE_X57Y74 MANUAL_ROUTING SITEPROP SLICE_X57Y74 NAME SLICE_X57Y74 SITEPROP SLICE_X57Y74 NUM_ARCS 138 SITEPROP SLICE_X57Y74 NUM_BELS 32 SITEPROP SLICE_X57Y74 NUM_INPUTS 32 SITEPROP SLICE_X57Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y74 NUM_PINS 45 SITEPROP SLICE_X57Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y74 PROHIBIT 0 SITEPROP SLICE_X57Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y74 RPM_X 151 SITEPROP SLICE_X57Y74 RPM_Y 148 SITEPROP SLICE_X57Y74 SITE_PIPS SITEPROP SLICE_X57Y74 SITE_TYPE SLICEL SITEPROP SLICE_X57Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y75 CLASS site SITEPROP SLICE_X57Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y75 IS_BONDED 0 SITEPROP SLICE_X57Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y75 IS_PAD 0 SITEPROP SLICE_X57Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y75 IS_RESERVED 0 SITEPROP SLICE_X57Y75 IS_TEST 0 SITEPROP SLICE_X57Y75 IS_USED 0 SITEPROP SLICE_X57Y75 MANUAL_ROUTING SITEPROP SLICE_X57Y75 NAME SLICE_X57Y75 SITEPROP SLICE_X57Y75 NUM_ARCS 138 SITEPROP SLICE_X57Y75 NUM_BELS 32 SITEPROP SLICE_X57Y75 NUM_INPUTS 32 SITEPROP SLICE_X57Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y75 NUM_PINS 45 SITEPROP SLICE_X57Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y75 PROHIBIT 0 SITEPROP SLICE_X57Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y75 RPM_X 151 SITEPROP SLICE_X57Y75 RPM_Y 150 SITEPROP SLICE_X57Y75 SITE_PIPS SITEPROP SLICE_X57Y75 SITE_TYPE SLICEL SITEPROP SLICE_X57Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y76 CLASS site SITEPROP SLICE_X57Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y76 IS_BONDED 0 SITEPROP SLICE_X57Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y76 IS_PAD 0 SITEPROP SLICE_X57Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y76 IS_RESERVED 0 SITEPROP SLICE_X57Y76 IS_TEST 0 SITEPROP SLICE_X57Y76 IS_USED 0 SITEPROP SLICE_X57Y76 MANUAL_ROUTING SITEPROP SLICE_X57Y76 NAME SLICE_X57Y76 SITEPROP SLICE_X57Y76 NUM_ARCS 138 SITEPROP SLICE_X57Y76 NUM_BELS 32 SITEPROP SLICE_X57Y76 NUM_INPUTS 32 SITEPROP SLICE_X57Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y76 NUM_PINS 45 SITEPROP SLICE_X57Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y76 PROHIBIT 0 SITEPROP SLICE_X57Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y76 RPM_X 151 SITEPROP SLICE_X57Y76 RPM_Y 152 SITEPROP SLICE_X57Y76 SITE_PIPS SITEPROP SLICE_X57Y76 SITE_TYPE SLICEL SITEPROP SLICE_X57Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y77 CLASS site SITEPROP SLICE_X57Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y77 IS_BONDED 0 SITEPROP SLICE_X57Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y77 IS_PAD 0 SITEPROP SLICE_X57Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y77 IS_RESERVED 0 SITEPROP SLICE_X57Y77 IS_TEST 0 SITEPROP SLICE_X57Y77 IS_USED 0 SITEPROP SLICE_X57Y77 MANUAL_ROUTING SITEPROP SLICE_X57Y77 NAME SLICE_X57Y77 SITEPROP SLICE_X57Y77 NUM_ARCS 138 SITEPROP SLICE_X57Y77 NUM_BELS 32 SITEPROP SLICE_X57Y77 NUM_INPUTS 32 SITEPROP SLICE_X57Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y77 NUM_PINS 45 SITEPROP SLICE_X57Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y77 PROHIBIT 0 SITEPROP SLICE_X57Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y77 RPM_X 151 SITEPROP SLICE_X57Y77 RPM_Y 154 SITEPROP SLICE_X57Y77 SITE_PIPS SITEPROP SLICE_X57Y77 SITE_TYPE SLICEL SITEPROP SLICE_X57Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y78 CLASS site SITEPROP SLICE_X57Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y78 IS_BONDED 0 SITEPROP SLICE_X57Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y78 IS_PAD 0 SITEPROP SLICE_X57Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y78 IS_RESERVED 0 SITEPROP SLICE_X57Y78 IS_TEST 0 SITEPROP SLICE_X57Y78 IS_USED 0 SITEPROP SLICE_X57Y78 MANUAL_ROUTING SITEPROP SLICE_X57Y78 NAME SLICE_X57Y78 SITEPROP SLICE_X57Y78 NUM_ARCS 138 SITEPROP SLICE_X57Y78 NUM_BELS 32 SITEPROP SLICE_X57Y78 NUM_INPUTS 32 SITEPROP SLICE_X57Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y78 NUM_PINS 45 SITEPROP SLICE_X57Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y78 PROHIBIT 0 SITEPROP SLICE_X57Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y78 RPM_X 151 SITEPROP SLICE_X57Y78 RPM_Y 156 SITEPROP SLICE_X57Y78 SITE_PIPS SITEPROP SLICE_X57Y78 SITE_TYPE SLICEL SITEPROP SLICE_X57Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y79 CLASS site SITEPROP SLICE_X57Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y79 IS_BONDED 0 SITEPROP SLICE_X57Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y79 IS_PAD 0 SITEPROP SLICE_X57Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y79 IS_RESERVED 0 SITEPROP SLICE_X57Y79 IS_TEST 0 SITEPROP SLICE_X57Y79 IS_USED 0 SITEPROP SLICE_X57Y79 MANUAL_ROUTING SITEPROP SLICE_X57Y79 NAME SLICE_X57Y79 SITEPROP SLICE_X57Y79 NUM_ARCS 138 SITEPROP SLICE_X57Y79 NUM_BELS 32 SITEPROP SLICE_X57Y79 NUM_INPUTS 32 SITEPROP SLICE_X57Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y79 NUM_PINS 45 SITEPROP SLICE_X57Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y79 PROHIBIT 0 SITEPROP SLICE_X57Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y79 RPM_X 151 SITEPROP SLICE_X57Y79 RPM_Y 158 SITEPROP SLICE_X57Y79 SITE_PIPS SITEPROP SLICE_X57Y79 SITE_TYPE SLICEL SITEPROP SLICE_X57Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y80 CLASS site SITEPROP SLICE_X57Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y80 IS_BONDED 0 SITEPROP SLICE_X57Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y80 IS_PAD 0 SITEPROP SLICE_X57Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y80 IS_RESERVED 0 SITEPROP SLICE_X57Y80 IS_TEST 0 SITEPROP SLICE_X57Y80 IS_USED 0 SITEPROP SLICE_X57Y80 MANUAL_ROUTING SITEPROP SLICE_X57Y80 NAME SLICE_X57Y80 SITEPROP SLICE_X57Y80 NUM_ARCS 138 SITEPROP SLICE_X57Y80 NUM_BELS 32 SITEPROP SLICE_X57Y80 NUM_INPUTS 32 SITEPROP SLICE_X57Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y80 NUM_PINS 45 SITEPROP SLICE_X57Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y80 PROHIBIT 0 SITEPROP SLICE_X57Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y80 RPM_X 151 SITEPROP SLICE_X57Y80 RPM_Y 160 SITEPROP SLICE_X57Y80 SITE_PIPS SITEPROP SLICE_X57Y80 SITE_TYPE SLICEL SITEPROP SLICE_X57Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y81 CLASS site SITEPROP SLICE_X57Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y81 IS_BONDED 0 SITEPROP SLICE_X57Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y81 IS_PAD 0 SITEPROP SLICE_X57Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y81 IS_RESERVED 0 SITEPROP SLICE_X57Y81 IS_TEST 0 SITEPROP SLICE_X57Y81 IS_USED 0 SITEPROP SLICE_X57Y81 MANUAL_ROUTING SITEPROP SLICE_X57Y81 NAME SLICE_X57Y81 SITEPROP SLICE_X57Y81 NUM_ARCS 138 SITEPROP SLICE_X57Y81 NUM_BELS 32 SITEPROP SLICE_X57Y81 NUM_INPUTS 32 SITEPROP SLICE_X57Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y81 NUM_PINS 45 SITEPROP SLICE_X57Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y81 PROHIBIT 0 SITEPROP SLICE_X57Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y81 RPM_X 151 SITEPROP SLICE_X57Y81 RPM_Y 162 SITEPROP SLICE_X57Y81 SITE_PIPS SITEPROP SLICE_X57Y81 SITE_TYPE SLICEL SITEPROP SLICE_X57Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y82 CLASS site SITEPROP SLICE_X57Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y82 IS_BONDED 0 SITEPROP SLICE_X57Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y82 IS_PAD 0 SITEPROP SLICE_X57Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y82 IS_RESERVED 0 SITEPROP SLICE_X57Y82 IS_TEST 0 SITEPROP SLICE_X57Y82 IS_USED 0 SITEPROP SLICE_X57Y82 MANUAL_ROUTING SITEPROP SLICE_X57Y82 NAME SLICE_X57Y82 SITEPROP SLICE_X57Y82 NUM_ARCS 138 SITEPROP SLICE_X57Y82 NUM_BELS 32 SITEPROP SLICE_X57Y82 NUM_INPUTS 32 SITEPROP SLICE_X57Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y82 NUM_PINS 45 SITEPROP SLICE_X57Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y82 PROHIBIT 0 SITEPROP SLICE_X57Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y82 RPM_X 151 SITEPROP SLICE_X57Y82 RPM_Y 164 SITEPROP SLICE_X57Y82 SITE_PIPS SITEPROP SLICE_X57Y82 SITE_TYPE SLICEL SITEPROP SLICE_X57Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y83 CLASS site SITEPROP SLICE_X57Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y83 IS_BONDED 0 SITEPROP SLICE_X57Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y83 IS_PAD 0 SITEPROP SLICE_X57Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y83 IS_RESERVED 0 SITEPROP SLICE_X57Y83 IS_TEST 0 SITEPROP SLICE_X57Y83 IS_USED 0 SITEPROP SLICE_X57Y83 MANUAL_ROUTING SITEPROP SLICE_X57Y83 NAME SLICE_X57Y83 SITEPROP SLICE_X57Y83 NUM_ARCS 138 SITEPROP SLICE_X57Y83 NUM_BELS 32 SITEPROP SLICE_X57Y83 NUM_INPUTS 32 SITEPROP SLICE_X57Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y83 NUM_PINS 45 SITEPROP SLICE_X57Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y83 PROHIBIT 0 SITEPROP SLICE_X57Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y83 RPM_X 151 SITEPROP SLICE_X57Y83 RPM_Y 166 SITEPROP SLICE_X57Y83 SITE_PIPS SITEPROP SLICE_X57Y83 SITE_TYPE SLICEL SITEPROP SLICE_X57Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y84 CLASS site SITEPROP SLICE_X57Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y84 IS_BONDED 0 SITEPROP SLICE_X57Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y84 IS_PAD 0 SITEPROP SLICE_X57Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y84 IS_RESERVED 0 SITEPROP SLICE_X57Y84 IS_TEST 0 SITEPROP SLICE_X57Y84 IS_USED 0 SITEPROP SLICE_X57Y84 MANUAL_ROUTING SITEPROP SLICE_X57Y84 NAME SLICE_X57Y84 SITEPROP SLICE_X57Y84 NUM_ARCS 138 SITEPROP SLICE_X57Y84 NUM_BELS 32 SITEPROP SLICE_X57Y84 NUM_INPUTS 32 SITEPROP SLICE_X57Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y84 NUM_PINS 45 SITEPROP SLICE_X57Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y84 PROHIBIT 0 SITEPROP SLICE_X57Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y84 RPM_X 151 SITEPROP SLICE_X57Y84 RPM_Y 168 SITEPROP SLICE_X57Y84 SITE_PIPS SITEPROP SLICE_X57Y84 SITE_TYPE SLICEL SITEPROP SLICE_X57Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y85 CLASS site SITEPROP SLICE_X57Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y85 IS_BONDED 0 SITEPROP SLICE_X57Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y85 IS_PAD 0 SITEPROP SLICE_X57Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y85 IS_RESERVED 0 SITEPROP SLICE_X57Y85 IS_TEST 0 SITEPROP SLICE_X57Y85 IS_USED 0 SITEPROP SLICE_X57Y85 MANUAL_ROUTING SITEPROP SLICE_X57Y85 NAME SLICE_X57Y85 SITEPROP SLICE_X57Y85 NUM_ARCS 138 SITEPROP SLICE_X57Y85 NUM_BELS 32 SITEPROP SLICE_X57Y85 NUM_INPUTS 32 SITEPROP SLICE_X57Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y85 NUM_PINS 45 SITEPROP SLICE_X57Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y85 PROHIBIT 0 SITEPROP SLICE_X57Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y85 RPM_X 151 SITEPROP SLICE_X57Y85 RPM_Y 170 SITEPROP SLICE_X57Y85 SITE_PIPS SITEPROP SLICE_X57Y85 SITE_TYPE SLICEL SITEPROP SLICE_X57Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y86 CLASS site SITEPROP SLICE_X57Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y86 IS_BONDED 0 SITEPROP SLICE_X57Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y86 IS_PAD 0 SITEPROP SLICE_X57Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y86 IS_RESERVED 0 SITEPROP SLICE_X57Y86 IS_TEST 0 SITEPROP SLICE_X57Y86 IS_USED 0 SITEPROP SLICE_X57Y86 MANUAL_ROUTING SITEPROP SLICE_X57Y86 NAME SLICE_X57Y86 SITEPROP SLICE_X57Y86 NUM_ARCS 138 SITEPROP SLICE_X57Y86 NUM_BELS 32 SITEPROP SLICE_X57Y86 NUM_INPUTS 32 SITEPROP SLICE_X57Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y86 NUM_PINS 45 SITEPROP SLICE_X57Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y86 PROHIBIT 0 SITEPROP SLICE_X57Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y86 RPM_X 151 SITEPROP SLICE_X57Y86 RPM_Y 172 SITEPROP SLICE_X57Y86 SITE_PIPS SITEPROP SLICE_X57Y86 SITE_TYPE SLICEL SITEPROP SLICE_X57Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y87 CLASS site SITEPROP SLICE_X57Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y87 IS_BONDED 0 SITEPROP SLICE_X57Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y87 IS_PAD 0 SITEPROP SLICE_X57Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y87 IS_RESERVED 0 SITEPROP SLICE_X57Y87 IS_TEST 0 SITEPROP SLICE_X57Y87 IS_USED 0 SITEPROP SLICE_X57Y87 MANUAL_ROUTING SITEPROP SLICE_X57Y87 NAME SLICE_X57Y87 SITEPROP SLICE_X57Y87 NUM_ARCS 138 SITEPROP SLICE_X57Y87 NUM_BELS 32 SITEPROP SLICE_X57Y87 NUM_INPUTS 32 SITEPROP SLICE_X57Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y87 NUM_PINS 45 SITEPROP SLICE_X57Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y87 PROHIBIT 0 SITEPROP SLICE_X57Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y87 RPM_X 151 SITEPROP SLICE_X57Y87 RPM_Y 174 SITEPROP SLICE_X57Y87 SITE_PIPS SITEPROP SLICE_X57Y87 SITE_TYPE SLICEL SITEPROP SLICE_X57Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y88 CLASS site SITEPROP SLICE_X57Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y88 IS_BONDED 0 SITEPROP SLICE_X57Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y88 IS_PAD 0 SITEPROP SLICE_X57Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y88 IS_RESERVED 0 SITEPROP SLICE_X57Y88 IS_TEST 0 SITEPROP SLICE_X57Y88 IS_USED 0 SITEPROP SLICE_X57Y88 MANUAL_ROUTING SITEPROP SLICE_X57Y88 NAME SLICE_X57Y88 SITEPROP SLICE_X57Y88 NUM_ARCS 138 SITEPROP SLICE_X57Y88 NUM_BELS 32 SITEPROP SLICE_X57Y88 NUM_INPUTS 32 SITEPROP SLICE_X57Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y88 NUM_PINS 45 SITEPROP SLICE_X57Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y88 PROHIBIT 0 SITEPROP SLICE_X57Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y88 RPM_X 151 SITEPROP SLICE_X57Y88 RPM_Y 176 SITEPROP SLICE_X57Y88 SITE_PIPS SITEPROP SLICE_X57Y88 SITE_TYPE SLICEL SITEPROP SLICE_X57Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y89 CLASS site SITEPROP SLICE_X57Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y89 IS_BONDED 0 SITEPROP SLICE_X57Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y89 IS_PAD 0 SITEPROP SLICE_X57Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y89 IS_RESERVED 0 SITEPROP SLICE_X57Y89 IS_TEST 0 SITEPROP SLICE_X57Y89 IS_USED 0 SITEPROP SLICE_X57Y89 MANUAL_ROUTING SITEPROP SLICE_X57Y89 NAME SLICE_X57Y89 SITEPROP SLICE_X57Y89 NUM_ARCS 138 SITEPROP SLICE_X57Y89 NUM_BELS 32 SITEPROP SLICE_X57Y89 NUM_INPUTS 32 SITEPROP SLICE_X57Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y89 NUM_PINS 45 SITEPROP SLICE_X57Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y89 PROHIBIT 0 SITEPROP SLICE_X57Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y89 RPM_X 151 SITEPROP SLICE_X57Y89 RPM_Y 178 SITEPROP SLICE_X57Y89 SITE_PIPS SITEPROP SLICE_X57Y89 SITE_TYPE SLICEL SITEPROP SLICE_X57Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y90 CLASS site SITEPROP SLICE_X57Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y90 IS_BONDED 0 SITEPROP SLICE_X57Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y90 IS_PAD 0 SITEPROP SLICE_X57Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y90 IS_RESERVED 0 SITEPROP SLICE_X57Y90 IS_TEST 0 SITEPROP SLICE_X57Y90 IS_USED 0 SITEPROP SLICE_X57Y90 MANUAL_ROUTING SITEPROP SLICE_X57Y90 NAME SLICE_X57Y90 SITEPROP SLICE_X57Y90 NUM_ARCS 138 SITEPROP SLICE_X57Y90 NUM_BELS 32 SITEPROP SLICE_X57Y90 NUM_INPUTS 32 SITEPROP SLICE_X57Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y90 NUM_PINS 45 SITEPROP SLICE_X57Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y90 PROHIBIT 0 SITEPROP SLICE_X57Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y90 RPM_X 151 SITEPROP SLICE_X57Y90 RPM_Y 180 SITEPROP SLICE_X57Y90 SITE_PIPS SITEPROP SLICE_X57Y90 SITE_TYPE SLICEL SITEPROP SLICE_X57Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y91 CLASS site SITEPROP SLICE_X57Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y91 IS_BONDED 0 SITEPROP SLICE_X57Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y91 IS_PAD 0 SITEPROP SLICE_X57Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y91 IS_RESERVED 0 SITEPROP SLICE_X57Y91 IS_TEST 0 SITEPROP SLICE_X57Y91 IS_USED 0 SITEPROP SLICE_X57Y91 MANUAL_ROUTING SITEPROP SLICE_X57Y91 NAME SLICE_X57Y91 SITEPROP SLICE_X57Y91 NUM_ARCS 138 SITEPROP SLICE_X57Y91 NUM_BELS 32 SITEPROP SLICE_X57Y91 NUM_INPUTS 32 SITEPROP SLICE_X57Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y91 NUM_PINS 45 SITEPROP SLICE_X57Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y91 PROHIBIT 0 SITEPROP SLICE_X57Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y91 RPM_X 151 SITEPROP SLICE_X57Y91 RPM_Y 182 SITEPROP SLICE_X57Y91 SITE_PIPS SITEPROP SLICE_X57Y91 SITE_TYPE SLICEL SITEPROP SLICE_X57Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y92 CLASS site SITEPROP SLICE_X57Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y92 IS_BONDED 0 SITEPROP SLICE_X57Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y92 IS_PAD 0 SITEPROP SLICE_X57Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y92 IS_RESERVED 0 SITEPROP SLICE_X57Y92 IS_TEST 0 SITEPROP SLICE_X57Y92 IS_USED 0 SITEPROP SLICE_X57Y92 MANUAL_ROUTING SITEPROP SLICE_X57Y92 NAME SLICE_X57Y92 SITEPROP SLICE_X57Y92 NUM_ARCS 138 SITEPROP SLICE_X57Y92 NUM_BELS 32 SITEPROP SLICE_X57Y92 NUM_INPUTS 32 SITEPROP SLICE_X57Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y92 NUM_PINS 45 SITEPROP SLICE_X57Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y92 PROHIBIT 0 SITEPROP SLICE_X57Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y92 RPM_X 151 SITEPROP SLICE_X57Y92 RPM_Y 184 SITEPROP SLICE_X57Y92 SITE_PIPS SITEPROP SLICE_X57Y92 SITE_TYPE SLICEL SITEPROP SLICE_X57Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y93 CLASS site SITEPROP SLICE_X57Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y93 IS_BONDED 0 SITEPROP SLICE_X57Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y93 IS_PAD 0 SITEPROP SLICE_X57Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y93 IS_RESERVED 0 SITEPROP SLICE_X57Y93 IS_TEST 0 SITEPROP SLICE_X57Y93 IS_USED 0 SITEPROP SLICE_X57Y93 MANUAL_ROUTING SITEPROP SLICE_X57Y93 NAME SLICE_X57Y93 SITEPROP SLICE_X57Y93 NUM_ARCS 138 SITEPROP SLICE_X57Y93 NUM_BELS 32 SITEPROP SLICE_X57Y93 NUM_INPUTS 32 SITEPROP SLICE_X57Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y93 NUM_PINS 45 SITEPROP SLICE_X57Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y93 PROHIBIT 0 SITEPROP SLICE_X57Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y93 RPM_X 151 SITEPROP SLICE_X57Y93 RPM_Y 186 SITEPROP SLICE_X57Y93 SITE_PIPS SITEPROP SLICE_X57Y93 SITE_TYPE SLICEL SITEPROP SLICE_X57Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y94 CLASS site SITEPROP SLICE_X57Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y94 IS_BONDED 0 SITEPROP SLICE_X57Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y94 IS_PAD 0 SITEPROP SLICE_X57Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y94 IS_RESERVED 0 SITEPROP SLICE_X57Y94 IS_TEST 0 SITEPROP SLICE_X57Y94 IS_USED 0 SITEPROP SLICE_X57Y94 MANUAL_ROUTING SITEPROP SLICE_X57Y94 NAME SLICE_X57Y94 SITEPROP SLICE_X57Y94 NUM_ARCS 138 SITEPROP SLICE_X57Y94 NUM_BELS 32 SITEPROP SLICE_X57Y94 NUM_INPUTS 32 SITEPROP SLICE_X57Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y94 NUM_PINS 45 SITEPROP SLICE_X57Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y94 PROHIBIT 0 SITEPROP SLICE_X57Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y94 RPM_X 151 SITEPROP SLICE_X57Y94 RPM_Y 188 SITEPROP SLICE_X57Y94 SITE_PIPS SITEPROP SLICE_X57Y94 SITE_TYPE SLICEL SITEPROP SLICE_X57Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y95 CLASS site SITEPROP SLICE_X57Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y95 IS_BONDED 0 SITEPROP SLICE_X57Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y95 IS_PAD 0 SITEPROP SLICE_X57Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y95 IS_RESERVED 0 SITEPROP SLICE_X57Y95 IS_TEST 0 SITEPROP SLICE_X57Y95 IS_USED 0 SITEPROP SLICE_X57Y95 MANUAL_ROUTING SITEPROP SLICE_X57Y95 NAME SLICE_X57Y95 SITEPROP SLICE_X57Y95 NUM_ARCS 138 SITEPROP SLICE_X57Y95 NUM_BELS 32 SITEPROP SLICE_X57Y95 NUM_INPUTS 32 SITEPROP SLICE_X57Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y95 NUM_PINS 45 SITEPROP SLICE_X57Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y95 PROHIBIT 0 SITEPROP SLICE_X57Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y95 RPM_X 151 SITEPROP SLICE_X57Y95 RPM_Y 190 SITEPROP SLICE_X57Y95 SITE_PIPS SITEPROP SLICE_X57Y95 SITE_TYPE SLICEL SITEPROP SLICE_X57Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y96 CLASS site SITEPROP SLICE_X57Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y96 IS_BONDED 0 SITEPROP SLICE_X57Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y96 IS_PAD 0 SITEPROP SLICE_X57Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y96 IS_RESERVED 0 SITEPROP SLICE_X57Y96 IS_TEST 0 SITEPROP SLICE_X57Y96 IS_USED 0 SITEPROP SLICE_X57Y96 MANUAL_ROUTING SITEPROP SLICE_X57Y96 NAME SLICE_X57Y96 SITEPROP SLICE_X57Y96 NUM_ARCS 138 SITEPROP SLICE_X57Y96 NUM_BELS 32 SITEPROP SLICE_X57Y96 NUM_INPUTS 32 SITEPROP SLICE_X57Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y96 NUM_PINS 45 SITEPROP SLICE_X57Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y96 PROHIBIT 0 SITEPROP SLICE_X57Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y96 RPM_X 151 SITEPROP SLICE_X57Y96 RPM_Y 192 SITEPROP SLICE_X57Y96 SITE_PIPS SITEPROP SLICE_X57Y96 SITE_TYPE SLICEL SITEPROP SLICE_X57Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y97 CLASS site SITEPROP SLICE_X57Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y97 IS_BONDED 0 SITEPROP SLICE_X57Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y97 IS_PAD 0 SITEPROP SLICE_X57Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y97 IS_RESERVED 0 SITEPROP SLICE_X57Y97 IS_TEST 0 SITEPROP SLICE_X57Y97 IS_USED 0 SITEPROP SLICE_X57Y97 MANUAL_ROUTING SITEPROP SLICE_X57Y97 NAME SLICE_X57Y97 SITEPROP SLICE_X57Y97 NUM_ARCS 138 SITEPROP SLICE_X57Y97 NUM_BELS 32 SITEPROP SLICE_X57Y97 NUM_INPUTS 32 SITEPROP SLICE_X57Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y97 NUM_PINS 45 SITEPROP SLICE_X57Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y97 PROHIBIT 0 SITEPROP SLICE_X57Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y97 RPM_X 151 SITEPROP SLICE_X57Y97 RPM_Y 194 SITEPROP SLICE_X57Y97 SITE_PIPS SITEPROP SLICE_X57Y97 SITE_TYPE SLICEL SITEPROP SLICE_X57Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y98 CLASS site SITEPROP SLICE_X57Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y98 IS_BONDED 0 SITEPROP SLICE_X57Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y98 IS_PAD 0 SITEPROP SLICE_X57Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y98 IS_RESERVED 0 SITEPROP SLICE_X57Y98 IS_TEST 0 SITEPROP SLICE_X57Y98 IS_USED 0 SITEPROP SLICE_X57Y98 MANUAL_ROUTING SITEPROP SLICE_X57Y98 NAME SLICE_X57Y98 SITEPROP SLICE_X57Y98 NUM_ARCS 138 SITEPROP SLICE_X57Y98 NUM_BELS 32 SITEPROP SLICE_X57Y98 NUM_INPUTS 32 SITEPROP SLICE_X57Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y98 NUM_PINS 45 SITEPROP SLICE_X57Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y98 PROHIBIT 0 SITEPROP SLICE_X57Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y98 RPM_X 151 SITEPROP SLICE_X57Y98 RPM_Y 196 SITEPROP SLICE_X57Y98 SITE_PIPS SITEPROP SLICE_X57Y98 SITE_TYPE SLICEL SITEPROP SLICE_X57Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y99 CLASS site SITEPROP SLICE_X57Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X57Y99 IS_BONDED 0 SITEPROP SLICE_X57Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y99 IS_PAD 0 SITEPROP SLICE_X57Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y99 IS_RESERVED 0 SITEPROP SLICE_X57Y99 IS_TEST 0 SITEPROP SLICE_X57Y99 IS_USED 0 SITEPROP SLICE_X57Y99 MANUAL_ROUTING SITEPROP SLICE_X57Y99 NAME SLICE_X57Y99 SITEPROP SLICE_X57Y99 NUM_ARCS 138 SITEPROP SLICE_X57Y99 NUM_BELS 32 SITEPROP SLICE_X57Y99 NUM_INPUTS 32 SITEPROP SLICE_X57Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y99 NUM_PINS 45 SITEPROP SLICE_X57Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y99 PROHIBIT 0 SITEPROP SLICE_X57Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y99 RPM_X 151 SITEPROP SLICE_X57Y99 RPM_Y 198 SITEPROP SLICE_X57Y99 SITE_PIPS SITEPROP SLICE_X57Y99 SITE_TYPE SLICEL SITEPROP SLICE_X57Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y100 CLASS site SITEPROP SLICE_X57Y100 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y100 IS_BONDED 0 SITEPROP SLICE_X57Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y100 IS_PAD 0 SITEPROP SLICE_X57Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y100 IS_RESERVED 0 SITEPROP SLICE_X57Y100 IS_TEST 0 SITEPROP SLICE_X57Y100 IS_USED 0 SITEPROP SLICE_X57Y100 MANUAL_ROUTING SITEPROP SLICE_X57Y100 NAME SLICE_X57Y100 SITEPROP SLICE_X57Y100 NUM_ARCS 138 SITEPROP SLICE_X57Y100 NUM_BELS 32 SITEPROP SLICE_X57Y100 NUM_INPUTS 32 SITEPROP SLICE_X57Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y100 NUM_PINS 45 SITEPROP SLICE_X57Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y100 PROHIBIT 0 SITEPROP SLICE_X57Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y100 RPM_X 151 SITEPROP SLICE_X57Y100 RPM_Y 200 SITEPROP SLICE_X57Y100 SITE_PIPS SITEPROP SLICE_X57Y100 SITE_TYPE SLICEL SITEPROP SLICE_X57Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y101 CLASS site SITEPROP SLICE_X57Y101 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y101 IS_BONDED 0 SITEPROP SLICE_X57Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y101 IS_PAD 0 SITEPROP SLICE_X57Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y101 IS_RESERVED 0 SITEPROP SLICE_X57Y101 IS_TEST 0 SITEPROP SLICE_X57Y101 IS_USED 0 SITEPROP SLICE_X57Y101 MANUAL_ROUTING SITEPROP SLICE_X57Y101 NAME SLICE_X57Y101 SITEPROP SLICE_X57Y101 NUM_ARCS 138 SITEPROP SLICE_X57Y101 NUM_BELS 32 SITEPROP SLICE_X57Y101 NUM_INPUTS 32 SITEPROP SLICE_X57Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y101 NUM_PINS 45 SITEPROP SLICE_X57Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y101 PROHIBIT 0 SITEPROP SLICE_X57Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y101 RPM_X 151 SITEPROP SLICE_X57Y101 RPM_Y 202 SITEPROP SLICE_X57Y101 SITE_PIPS SITEPROP SLICE_X57Y101 SITE_TYPE SLICEL SITEPROP SLICE_X57Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y102 CLASS site SITEPROP SLICE_X57Y102 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y102 IS_BONDED 0 SITEPROP SLICE_X57Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y102 IS_PAD 0 SITEPROP SLICE_X57Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y102 IS_RESERVED 0 SITEPROP SLICE_X57Y102 IS_TEST 0 SITEPROP SLICE_X57Y102 IS_USED 0 SITEPROP SLICE_X57Y102 MANUAL_ROUTING SITEPROP SLICE_X57Y102 NAME SLICE_X57Y102 SITEPROP SLICE_X57Y102 NUM_ARCS 138 SITEPROP SLICE_X57Y102 NUM_BELS 32 SITEPROP SLICE_X57Y102 NUM_INPUTS 32 SITEPROP SLICE_X57Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y102 NUM_PINS 45 SITEPROP SLICE_X57Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y102 PROHIBIT 0 SITEPROP SLICE_X57Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y102 RPM_X 151 SITEPROP SLICE_X57Y102 RPM_Y 204 SITEPROP SLICE_X57Y102 SITE_PIPS SITEPROP SLICE_X57Y102 SITE_TYPE SLICEL SITEPROP SLICE_X57Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y103 CLASS site SITEPROP SLICE_X57Y103 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y103 IS_BONDED 0 SITEPROP SLICE_X57Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y103 IS_PAD 0 SITEPROP SLICE_X57Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y103 IS_RESERVED 0 SITEPROP SLICE_X57Y103 IS_TEST 0 SITEPROP SLICE_X57Y103 IS_USED 0 SITEPROP SLICE_X57Y103 MANUAL_ROUTING SITEPROP SLICE_X57Y103 NAME SLICE_X57Y103 SITEPROP SLICE_X57Y103 NUM_ARCS 138 SITEPROP SLICE_X57Y103 NUM_BELS 32 SITEPROP SLICE_X57Y103 NUM_INPUTS 32 SITEPROP SLICE_X57Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y103 NUM_PINS 45 SITEPROP SLICE_X57Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y103 PROHIBIT 0 SITEPROP SLICE_X57Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y103 RPM_X 151 SITEPROP SLICE_X57Y103 RPM_Y 206 SITEPROP SLICE_X57Y103 SITE_PIPS SITEPROP SLICE_X57Y103 SITE_TYPE SLICEL SITEPROP SLICE_X57Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y104 CLASS site SITEPROP SLICE_X57Y104 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y104 IS_BONDED 0 SITEPROP SLICE_X57Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y104 IS_PAD 0 SITEPROP SLICE_X57Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y104 IS_RESERVED 0 SITEPROP SLICE_X57Y104 IS_TEST 0 SITEPROP SLICE_X57Y104 IS_USED 0 SITEPROP SLICE_X57Y104 MANUAL_ROUTING SITEPROP SLICE_X57Y104 NAME SLICE_X57Y104 SITEPROP SLICE_X57Y104 NUM_ARCS 138 SITEPROP SLICE_X57Y104 NUM_BELS 32 SITEPROP SLICE_X57Y104 NUM_INPUTS 32 SITEPROP SLICE_X57Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y104 NUM_PINS 45 SITEPROP SLICE_X57Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y104 PROHIBIT 0 SITEPROP SLICE_X57Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y104 RPM_X 151 SITEPROP SLICE_X57Y104 RPM_Y 208 SITEPROP SLICE_X57Y104 SITE_PIPS SITEPROP SLICE_X57Y104 SITE_TYPE SLICEL SITEPROP SLICE_X57Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y105 CLASS site SITEPROP SLICE_X57Y105 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y105 IS_BONDED 0 SITEPROP SLICE_X57Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y105 IS_PAD 0 SITEPROP SLICE_X57Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y105 IS_RESERVED 0 SITEPROP SLICE_X57Y105 IS_TEST 0 SITEPROP SLICE_X57Y105 IS_USED 0 SITEPROP SLICE_X57Y105 MANUAL_ROUTING SITEPROP SLICE_X57Y105 NAME SLICE_X57Y105 SITEPROP SLICE_X57Y105 NUM_ARCS 138 SITEPROP SLICE_X57Y105 NUM_BELS 32 SITEPROP SLICE_X57Y105 NUM_INPUTS 32 SITEPROP SLICE_X57Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y105 NUM_PINS 45 SITEPROP SLICE_X57Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y105 PROHIBIT 0 SITEPROP SLICE_X57Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y105 RPM_X 151 SITEPROP SLICE_X57Y105 RPM_Y 210 SITEPROP SLICE_X57Y105 SITE_PIPS SITEPROP SLICE_X57Y105 SITE_TYPE SLICEL SITEPROP SLICE_X57Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y106 CLASS site SITEPROP SLICE_X57Y106 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y106 IS_BONDED 0 SITEPROP SLICE_X57Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y106 IS_PAD 0 SITEPROP SLICE_X57Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y106 IS_RESERVED 0 SITEPROP SLICE_X57Y106 IS_TEST 0 SITEPROP SLICE_X57Y106 IS_USED 0 SITEPROP SLICE_X57Y106 MANUAL_ROUTING SITEPROP SLICE_X57Y106 NAME SLICE_X57Y106 SITEPROP SLICE_X57Y106 NUM_ARCS 138 SITEPROP SLICE_X57Y106 NUM_BELS 32 SITEPROP SLICE_X57Y106 NUM_INPUTS 32 SITEPROP SLICE_X57Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y106 NUM_PINS 45 SITEPROP SLICE_X57Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y106 PROHIBIT 0 SITEPROP SLICE_X57Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y106 RPM_X 151 SITEPROP SLICE_X57Y106 RPM_Y 212 SITEPROP SLICE_X57Y106 SITE_PIPS SITEPROP SLICE_X57Y106 SITE_TYPE SLICEL SITEPROP SLICE_X57Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y107 CLASS site SITEPROP SLICE_X57Y107 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y107 IS_BONDED 0 SITEPROP SLICE_X57Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y107 IS_PAD 0 SITEPROP SLICE_X57Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y107 IS_RESERVED 0 SITEPROP SLICE_X57Y107 IS_TEST 0 SITEPROP SLICE_X57Y107 IS_USED 0 SITEPROP SLICE_X57Y107 MANUAL_ROUTING SITEPROP SLICE_X57Y107 NAME SLICE_X57Y107 SITEPROP SLICE_X57Y107 NUM_ARCS 138 SITEPROP SLICE_X57Y107 NUM_BELS 32 SITEPROP SLICE_X57Y107 NUM_INPUTS 32 SITEPROP SLICE_X57Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y107 NUM_PINS 45 SITEPROP SLICE_X57Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y107 PROHIBIT 0 SITEPROP SLICE_X57Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y107 RPM_X 151 SITEPROP SLICE_X57Y107 RPM_Y 214 SITEPROP SLICE_X57Y107 SITE_PIPS SITEPROP SLICE_X57Y107 SITE_TYPE SLICEL SITEPROP SLICE_X57Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y108 CLASS site SITEPROP SLICE_X57Y108 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y108 IS_BONDED 0 SITEPROP SLICE_X57Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y108 IS_PAD 0 SITEPROP SLICE_X57Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y108 IS_RESERVED 0 SITEPROP SLICE_X57Y108 IS_TEST 0 SITEPROP SLICE_X57Y108 IS_USED 0 SITEPROP SLICE_X57Y108 MANUAL_ROUTING SITEPROP SLICE_X57Y108 NAME SLICE_X57Y108 SITEPROP SLICE_X57Y108 NUM_ARCS 138 SITEPROP SLICE_X57Y108 NUM_BELS 32 SITEPROP SLICE_X57Y108 NUM_INPUTS 32 SITEPROP SLICE_X57Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y108 NUM_PINS 45 SITEPROP SLICE_X57Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y108 PROHIBIT 0 SITEPROP SLICE_X57Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y108 RPM_X 151 SITEPROP SLICE_X57Y108 RPM_Y 216 SITEPROP SLICE_X57Y108 SITE_PIPS SITEPROP SLICE_X57Y108 SITE_TYPE SLICEL SITEPROP SLICE_X57Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y109 CLASS site SITEPROP SLICE_X57Y109 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y109 IS_BONDED 0 SITEPROP SLICE_X57Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y109 IS_PAD 0 SITEPROP SLICE_X57Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y109 IS_RESERVED 0 SITEPROP SLICE_X57Y109 IS_TEST 0 SITEPROP SLICE_X57Y109 IS_USED 0 SITEPROP SLICE_X57Y109 MANUAL_ROUTING SITEPROP SLICE_X57Y109 NAME SLICE_X57Y109 SITEPROP SLICE_X57Y109 NUM_ARCS 138 SITEPROP SLICE_X57Y109 NUM_BELS 32 SITEPROP SLICE_X57Y109 NUM_INPUTS 32 SITEPROP SLICE_X57Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y109 NUM_PINS 45 SITEPROP SLICE_X57Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y109 PROHIBIT 0 SITEPROP SLICE_X57Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y109 RPM_X 151 SITEPROP SLICE_X57Y109 RPM_Y 218 SITEPROP SLICE_X57Y109 SITE_PIPS SITEPROP SLICE_X57Y109 SITE_TYPE SLICEL SITEPROP SLICE_X57Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y110 CLASS site SITEPROP SLICE_X57Y110 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y110 IS_BONDED 0 SITEPROP SLICE_X57Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y110 IS_PAD 0 SITEPROP SLICE_X57Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y110 IS_RESERVED 0 SITEPROP SLICE_X57Y110 IS_TEST 0 SITEPROP SLICE_X57Y110 IS_USED 0 SITEPROP SLICE_X57Y110 MANUAL_ROUTING SITEPROP SLICE_X57Y110 NAME SLICE_X57Y110 SITEPROP SLICE_X57Y110 NUM_ARCS 138 SITEPROP SLICE_X57Y110 NUM_BELS 32 SITEPROP SLICE_X57Y110 NUM_INPUTS 32 SITEPROP SLICE_X57Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y110 NUM_PINS 45 SITEPROP SLICE_X57Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y110 PROHIBIT 0 SITEPROP SLICE_X57Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y110 RPM_X 151 SITEPROP SLICE_X57Y110 RPM_Y 220 SITEPROP SLICE_X57Y110 SITE_PIPS SITEPROP SLICE_X57Y110 SITE_TYPE SLICEL SITEPROP SLICE_X57Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y111 CLASS site SITEPROP SLICE_X57Y111 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y111 IS_BONDED 0 SITEPROP SLICE_X57Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y111 IS_PAD 0 SITEPROP SLICE_X57Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y111 IS_RESERVED 0 SITEPROP SLICE_X57Y111 IS_TEST 0 SITEPROP SLICE_X57Y111 IS_USED 0 SITEPROP SLICE_X57Y111 MANUAL_ROUTING SITEPROP SLICE_X57Y111 NAME SLICE_X57Y111 SITEPROP SLICE_X57Y111 NUM_ARCS 138 SITEPROP SLICE_X57Y111 NUM_BELS 32 SITEPROP SLICE_X57Y111 NUM_INPUTS 32 SITEPROP SLICE_X57Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y111 NUM_PINS 45 SITEPROP SLICE_X57Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y111 PROHIBIT 0 SITEPROP SLICE_X57Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y111 RPM_X 151 SITEPROP SLICE_X57Y111 RPM_Y 222 SITEPROP SLICE_X57Y111 SITE_PIPS SITEPROP SLICE_X57Y111 SITE_TYPE SLICEL SITEPROP SLICE_X57Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y112 CLASS site SITEPROP SLICE_X57Y112 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y112 IS_BONDED 0 SITEPROP SLICE_X57Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y112 IS_PAD 0 SITEPROP SLICE_X57Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y112 IS_RESERVED 0 SITEPROP SLICE_X57Y112 IS_TEST 0 SITEPROP SLICE_X57Y112 IS_USED 0 SITEPROP SLICE_X57Y112 MANUAL_ROUTING SITEPROP SLICE_X57Y112 NAME SLICE_X57Y112 SITEPROP SLICE_X57Y112 NUM_ARCS 138 SITEPROP SLICE_X57Y112 NUM_BELS 32 SITEPROP SLICE_X57Y112 NUM_INPUTS 32 SITEPROP SLICE_X57Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y112 NUM_PINS 45 SITEPROP SLICE_X57Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y112 PROHIBIT 0 SITEPROP SLICE_X57Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y112 RPM_X 151 SITEPROP SLICE_X57Y112 RPM_Y 224 SITEPROP SLICE_X57Y112 SITE_PIPS SITEPROP SLICE_X57Y112 SITE_TYPE SLICEL SITEPROP SLICE_X57Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y113 CLASS site SITEPROP SLICE_X57Y113 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y113 IS_BONDED 0 SITEPROP SLICE_X57Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y113 IS_PAD 0 SITEPROP SLICE_X57Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y113 IS_RESERVED 0 SITEPROP SLICE_X57Y113 IS_TEST 0 SITEPROP SLICE_X57Y113 IS_USED 0 SITEPROP SLICE_X57Y113 MANUAL_ROUTING SITEPROP SLICE_X57Y113 NAME SLICE_X57Y113 SITEPROP SLICE_X57Y113 NUM_ARCS 138 SITEPROP SLICE_X57Y113 NUM_BELS 32 SITEPROP SLICE_X57Y113 NUM_INPUTS 32 SITEPROP SLICE_X57Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y113 NUM_PINS 45 SITEPROP SLICE_X57Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y113 PROHIBIT 0 SITEPROP SLICE_X57Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y113 RPM_X 151 SITEPROP SLICE_X57Y113 RPM_Y 226 SITEPROP SLICE_X57Y113 SITE_PIPS SITEPROP SLICE_X57Y113 SITE_TYPE SLICEL SITEPROP SLICE_X57Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y114 CLASS site SITEPROP SLICE_X57Y114 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y114 IS_BONDED 0 SITEPROP SLICE_X57Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y114 IS_PAD 0 SITEPROP SLICE_X57Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y114 IS_RESERVED 0 SITEPROP SLICE_X57Y114 IS_TEST 0 SITEPROP SLICE_X57Y114 IS_USED 0 SITEPROP SLICE_X57Y114 MANUAL_ROUTING SITEPROP SLICE_X57Y114 NAME SLICE_X57Y114 SITEPROP SLICE_X57Y114 NUM_ARCS 138 SITEPROP SLICE_X57Y114 NUM_BELS 32 SITEPROP SLICE_X57Y114 NUM_INPUTS 32 SITEPROP SLICE_X57Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y114 NUM_PINS 45 SITEPROP SLICE_X57Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y114 PROHIBIT 0 SITEPROP SLICE_X57Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y114 RPM_X 151 SITEPROP SLICE_X57Y114 RPM_Y 228 SITEPROP SLICE_X57Y114 SITE_PIPS SITEPROP SLICE_X57Y114 SITE_TYPE SLICEL SITEPROP SLICE_X57Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y115 CLASS site SITEPROP SLICE_X57Y115 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y115 IS_BONDED 0 SITEPROP SLICE_X57Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y115 IS_PAD 0 SITEPROP SLICE_X57Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y115 IS_RESERVED 0 SITEPROP SLICE_X57Y115 IS_TEST 0 SITEPROP SLICE_X57Y115 IS_USED 0 SITEPROP SLICE_X57Y115 MANUAL_ROUTING SITEPROP SLICE_X57Y115 NAME SLICE_X57Y115 SITEPROP SLICE_X57Y115 NUM_ARCS 138 SITEPROP SLICE_X57Y115 NUM_BELS 32 SITEPROP SLICE_X57Y115 NUM_INPUTS 32 SITEPROP SLICE_X57Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y115 NUM_PINS 45 SITEPROP SLICE_X57Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y115 PROHIBIT 0 SITEPROP SLICE_X57Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y115 RPM_X 151 SITEPROP SLICE_X57Y115 RPM_Y 230 SITEPROP SLICE_X57Y115 SITE_PIPS SITEPROP SLICE_X57Y115 SITE_TYPE SLICEL SITEPROP SLICE_X57Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y116 CLASS site SITEPROP SLICE_X57Y116 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y116 IS_BONDED 0 SITEPROP SLICE_X57Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y116 IS_PAD 0 SITEPROP SLICE_X57Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y116 IS_RESERVED 0 SITEPROP SLICE_X57Y116 IS_TEST 0 SITEPROP SLICE_X57Y116 IS_USED 0 SITEPROP SLICE_X57Y116 MANUAL_ROUTING SITEPROP SLICE_X57Y116 NAME SLICE_X57Y116 SITEPROP SLICE_X57Y116 NUM_ARCS 138 SITEPROP SLICE_X57Y116 NUM_BELS 32 SITEPROP SLICE_X57Y116 NUM_INPUTS 32 SITEPROP SLICE_X57Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y116 NUM_PINS 45 SITEPROP SLICE_X57Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y116 PROHIBIT 0 SITEPROP SLICE_X57Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y116 RPM_X 151 SITEPROP SLICE_X57Y116 RPM_Y 232 SITEPROP SLICE_X57Y116 SITE_PIPS SITEPROP SLICE_X57Y116 SITE_TYPE SLICEL SITEPROP SLICE_X57Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y117 CLASS site SITEPROP SLICE_X57Y117 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y117 IS_BONDED 0 SITEPROP SLICE_X57Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y117 IS_PAD 0 SITEPROP SLICE_X57Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y117 IS_RESERVED 0 SITEPROP SLICE_X57Y117 IS_TEST 0 SITEPROP SLICE_X57Y117 IS_USED 0 SITEPROP SLICE_X57Y117 MANUAL_ROUTING SITEPROP SLICE_X57Y117 NAME SLICE_X57Y117 SITEPROP SLICE_X57Y117 NUM_ARCS 138 SITEPROP SLICE_X57Y117 NUM_BELS 32 SITEPROP SLICE_X57Y117 NUM_INPUTS 32 SITEPROP SLICE_X57Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y117 NUM_PINS 45 SITEPROP SLICE_X57Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y117 PROHIBIT 0 SITEPROP SLICE_X57Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y117 RPM_X 151 SITEPROP SLICE_X57Y117 RPM_Y 234 SITEPROP SLICE_X57Y117 SITE_PIPS SITEPROP SLICE_X57Y117 SITE_TYPE SLICEL SITEPROP SLICE_X57Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y118 CLASS site SITEPROP SLICE_X57Y118 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y118 IS_BONDED 0 SITEPROP SLICE_X57Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y118 IS_PAD 0 SITEPROP SLICE_X57Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y118 IS_RESERVED 0 SITEPROP SLICE_X57Y118 IS_TEST 0 SITEPROP SLICE_X57Y118 IS_USED 0 SITEPROP SLICE_X57Y118 MANUAL_ROUTING SITEPROP SLICE_X57Y118 NAME SLICE_X57Y118 SITEPROP SLICE_X57Y118 NUM_ARCS 138 SITEPROP SLICE_X57Y118 NUM_BELS 32 SITEPROP SLICE_X57Y118 NUM_INPUTS 32 SITEPROP SLICE_X57Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y118 NUM_PINS 45 SITEPROP SLICE_X57Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y118 PROHIBIT 0 SITEPROP SLICE_X57Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y118 RPM_X 151 SITEPROP SLICE_X57Y118 RPM_Y 236 SITEPROP SLICE_X57Y118 SITE_PIPS SITEPROP SLICE_X57Y118 SITE_TYPE SLICEL SITEPROP SLICE_X57Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y119 CLASS site SITEPROP SLICE_X57Y119 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y119 IS_BONDED 0 SITEPROP SLICE_X57Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y119 IS_PAD 0 SITEPROP SLICE_X57Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y119 IS_RESERVED 0 SITEPROP SLICE_X57Y119 IS_TEST 0 SITEPROP SLICE_X57Y119 IS_USED 0 SITEPROP SLICE_X57Y119 MANUAL_ROUTING SITEPROP SLICE_X57Y119 NAME SLICE_X57Y119 SITEPROP SLICE_X57Y119 NUM_ARCS 138 SITEPROP SLICE_X57Y119 NUM_BELS 32 SITEPROP SLICE_X57Y119 NUM_INPUTS 32 SITEPROP SLICE_X57Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y119 NUM_PINS 45 SITEPROP SLICE_X57Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y119 PROHIBIT 0 SITEPROP SLICE_X57Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y119 RPM_X 151 SITEPROP SLICE_X57Y119 RPM_Y 238 SITEPROP SLICE_X57Y119 SITE_PIPS SITEPROP SLICE_X57Y119 SITE_TYPE SLICEL SITEPROP SLICE_X57Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y120 CLASS site SITEPROP SLICE_X57Y120 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y120 IS_BONDED 0 SITEPROP SLICE_X57Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y120 IS_PAD 0 SITEPROP SLICE_X57Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y120 IS_RESERVED 0 SITEPROP SLICE_X57Y120 IS_TEST 0 SITEPROP SLICE_X57Y120 IS_USED 0 SITEPROP SLICE_X57Y120 MANUAL_ROUTING SITEPROP SLICE_X57Y120 NAME SLICE_X57Y120 SITEPROP SLICE_X57Y120 NUM_ARCS 138 SITEPROP SLICE_X57Y120 NUM_BELS 32 SITEPROP SLICE_X57Y120 NUM_INPUTS 32 SITEPROP SLICE_X57Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y120 NUM_PINS 45 SITEPROP SLICE_X57Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y120 PROHIBIT 0 SITEPROP SLICE_X57Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y120 RPM_X 151 SITEPROP SLICE_X57Y120 RPM_Y 240 SITEPROP SLICE_X57Y120 SITE_PIPS SITEPROP SLICE_X57Y120 SITE_TYPE SLICEL SITEPROP SLICE_X57Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y121 CLASS site SITEPROP SLICE_X57Y121 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y121 IS_BONDED 0 SITEPROP SLICE_X57Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y121 IS_PAD 0 SITEPROP SLICE_X57Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y121 IS_RESERVED 0 SITEPROP SLICE_X57Y121 IS_TEST 0 SITEPROP SLICE_X57Y121 IS_USED 0 SITEPROP SLICE_X57Y121 MANUAL_ROUTING SITEPROP SLICE_X57Y121 NAME SLICE_X57Y121 SITEPROP SLICE_X57Y121 NUM_ARCS 138 SITEPROP SLICE_X57Y121 NUM_BELS 32 SITEPROP SLICE_X57Y121 NUM_INPUTS 32 SITEPROP SLICE_X57Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y121 NUM_PINS 45 SITEPROP SLICE_X57Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y121 PROHIBIT 0 SITEPROP SLICE_X57Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y121 RPM_X 151 SITEPROP SLICE_X57Y121 RPM_Y 242 SITEPROP SLICE_X57Y121 SITE_PIPS SITEPROP SLICE_X57Y121 SITE_TYPE SLICEL SITEPROP SLICE_X57Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y122 CLASS site SITEPROP SLICE_X57Y122 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y122 IS_BONDED 0 SITEPROP SLICE_X57Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y122 IS_PAD 0 SITEPROP SLICE_X57Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y122 IS_RESERVED 0 SITEPROP SLICE_X57Y122 IS_TEST 0 SITEPROP SLICE_X57Y122 IS_USED 0 SITEPROP SLICE_X57Y122 MANUAL_ROUTING SITEPROP SLICE_X57Y122 NAME SLICE_X57Y122 SITEPROP SLICE_X57Y122 NUM_ARCS 138 SITEPROP SLICE_X57Y122 NUM_BELS 32 SITEPROP SLICE_X57Y122 NUM_INPUTS 32 SITEPROP SLICE_X57Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y122 NUM_PINS 45 SITEPROP SLICE_X57Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y122 PROHIBIT 0 SITEPROP SLICE_X57Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y122 RPM_X 151 SITEPROP SLICE_X57Y122 RPM_Y 244 SITEPROP SLICE_X57Y122 SITE_PIPS SITEPROP SLICE_X57Y122 SITE_TYPE SLICEL SITEPROP SLICE_X57Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y123 CLASS site SITEPROP SLICE_X57Y123 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y123 IS_BONDED 0 SITEPROP SLICE_X57Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y123 IS_PAD 0 SITEPROP SLICE_X57Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y123 IS_RESERVED 0 SITEPROP SLICE_X57Y123 IS_TEST 0 SITEPROP SLICE_X57Y123 IS_USED 0 SITEPROP SLICE_X57Y123 MANUAL_ROUTING SITEPROP SLICE_X57Y123 NAME SLICE_X57Y123 SITEPROP SLICE_X57Y123 NUM_ARCS 138 SITEPROP SLICE_X57Y123 NUM_BELS 32 SITEPROP SLICE_X57Y123 NUM_INPUTS 32 SITEPROP SLICE_X57Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y123 NUM_PINS 45 SITEPROP SLICE_X57Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y123 PROHIBIT 0 SITEPROP SLICE_X57Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y123 RPM_X 151 SITEPROP SLICE_X57Y123 RPM_Y 246 SITEPROP SLICE_X57Y123 SITE_PIPS SITEPROP SLICE_X57Y123 SITE_TYPE SLICEL SITEPROP SLICE_X57Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y124 CLASS site SITEPROP SLICE_X57Y124 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y124 IS_BONDED 0 SITEPROP SLICE_X57Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y124 IS_PAD 0 SITEPROP SLICE_X57Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y124 IS_RESERVED 0 SITEPROP SLICE_X57Y124 IS_TEST 0 SITEPROP SLICE_X57Y124 IS_USED 0 SITEPROP SLICE_X57Y124 MANUAL_ROUTING SITEPROP SLICE_X57Y124 NAME SLICE_X57Y124 SITEPROP SLICE_X57Y124 NUM_ARCS 138 SITEPROP SLICE_X57Y124 NUM_BELS 32 SITEPROP SLICE_X57Y124 NUM_INPUTS 32 SITEPROP SLICE_X57Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y124 NUM_PINS 45 SITEPROP SLICE_X57Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y124 PROHIBIT 0 SITEPROP SLICE_X57Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y124 RPM_X 151 SITEPROP SLICE_X57Y124 RPM_Y 248 SITEPROP SLICE_X57Y124 SITE_PIPS SITEPROP SLICE_X57Y124 SITE_TYPE SLICEL SITEPROP SLICE_X57Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y125 CLASS site SITEPROP SLICE_X57Y125 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y125 IS_BONDED 0 SITEPROP SLICE_X57Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y125 IS_PAD 0 SITEPROP SLICE_X57Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y125 IS_RESERVED 0 SITEPROP SLICE_X57Y125 IS_TEST 0 SITEPROP SLICE_X57Y125 IS_USED 0 SITEPROP SLICE_X57Y125 MANUAL_ROUTING SITEPROP SLICE_X57Y125 NAME SLICE_X57Y125 SITEPROP SLICE_X57Y125 NUM_ARCS 138 SITEPROP SLICE_X57Y125 NUM_BELS 32 SITEPROP SLICE_X57Y125 NUM_INPUTS 32 SITEPROP SLICE_X57Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y125 NUM_PINS 45 SITEPROP SLICE_X57Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y125 PROHIBIT 0 SITEPROP SLICE_X57Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y125 RPM_X 151 SITEPROP SLICE_X57Y125 RPM_Y 250 SITEPROP SLICE_X57Y125 SITE_PIPS SITEPROP SLICE_X57Y125 SITE_TYPE SLICEL SITEPROP SLICE_X57Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y126 CLASS site SITEPROP SLICE_X57Y126 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y126 IS_BONDED 0 SITEPROP SLICE_X57Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y126 IS_PAD 0 SITEPROP SLICE_X57Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y126 IS_RESERVED 0 SITEPROP SLICE_X57Y126 IS_TEST 0 SITEPROP SLICE_X57Y126 IS_USED 0 SITEPROP SLICE_X57Y126 MANUAL_ROUTING SITEPROP SLICE_X57Y126 NAME SLICE_X57Y126 SITEPROP SLICE_X57Y126 NUM_ARCS 138 SITEPROP SLICE_X57Y126 NUM_BELS 32 SITEPROP SLICE_X57Y126 NUM_INPUTS 32 SITEPROP SLICE_X57Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y126 NUM_PINS 45 SITEPROP SLICE_X57Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y126 PROHIBIT 0 SITEPROP SLICE_X57Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y126 RPM_X 151 SITEPROP SLICE_X57Y126 RPM_Y 252 SITEPROP SLICE_X57Y126 SITE_PIPS SITEPROP SLICE_X57Y126 SITE_TYPE SLICEL SITEPROP SLICE_X57Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y127 CLASS site SITEPROP SLICE_X57Y127 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y127 IS_BONDED 0 SITEPROP SLICE_X57Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y127 IS_PAD 0 SITEPROP SLICE_X57Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y127 IS_RESERVED 0 SITEPROP SLICE_X57Y127 IS_TEST 0 SITEPROP SLICE_X57Y127 IS_USED 0 SITEPROP SLICE_X57Y127 MANUAL_ROUTING SITEPROP SLICE_X57Y127 NAME SLICE_X57Y127 SITEPROP SLICE_X57Y127 NUM_ARCS 138 SITEPROP SLICE_X57Y127 NUM_BELS 32 SITEPROP SLICE_X57Y127 NUM_INPUTS 32 SITEPROP SLICE_X57Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y127 NUM_PINS 45 SITEPROP SLICE_X57Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y127 PROHIBIT 0 SITEPROP SLICE_X57Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y127 RPM_X 151 SITEPROP SLICE_X57Y127 RPM_Y 254 SITEPROP SLICE_X57Y127 SITE_PIPS SITEPROP SLICE_X57Y127 SITE_TYPE SLICEL SITEPROP SLICE_X57Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y128 CLASS site SITEPROP SLICE_X57Y128 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y128 IS_BONDED 0 SITEPROP SLICE_X57Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y128 IS_PAD 0 SITEPROP SLICE_X57Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y128 IS_RESERVED 0 SITEPROP SLICE_X57Y128 IS_TEST 0 SITEPROP SLICE_X57Y128 IS_USED 0 SITEPROP SLICE_X57Y128 MANUAL_ROUTING SITEPROP SLICE_X57Y128 NAME SLICE_X57Y128 SITEPROP SLICE_X57Y128 NUM_ARCS 138 SITEPROP SLICE_X57Y128 NUM_BELS 32 SITEPROP SLICE_X57Y128 NUM_INPUTS 32 SITEPROP SLICE_X57Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y128 NUM_PINS 45 SITEPROP SLICE_X57Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y128 PROHIBIT 0 SITEPROP SLICE_X57Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y128 RPM_X 151 SITEPROP SLICE_X57Y128 RPM_Y 256 SITEPROP SLICE_X57Y128 SITE_PIPS SITEPROP SLICE_X57Y128 SITE_TYPE SLICEL SITEPROP SLICE_X57Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y129 CLASS site SITEPROP SLICE_X57Y129 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y129 IS_BONDED 0 SITEPROP SLICE_X57Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y129 IS_PAD 0 SITEPROP SLICE_X57Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y129 IS_RESERVED 0 SITEPROP SLICE_X57Y129 IS_TEST 0 SITEPROP SLICE_X57Y129 IS_USED 0 SITEPROP SLICE_X57Y129 MANUAL_ROUTING SITEPROP SLICE_X57Y129 NAME SLICE_X57Y129 SITEPROP SLICE_X57Y129 NUM_ARCS 138 SITEPROP SLICE_X57Y129 NUM_BELS 32 SITEPROP SLICE_X57Y129 NUM_INPUTS 32 SITEPROP SLICE_X57Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y129 NUM_PINS 45 SITEPROP SLICE_X57Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y129 PROHIBIT 0 SITEPROP SLICE_X57Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y129 RPM_X 151 SITEPROP SLICE_X57Y129 RPM_Y 258 SITEPROP SLICE_X57Y129 SITE_PIPS SITEPROP SLICE_X57Y129 SITE_TYPE SLICEL SITEPROP SLICE_X57Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y130 CLASS site SITEPROP SLICE_X57Y130 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y130 IS_BONDED 0 SITEPROP SLICE_X57Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y130 IS_PAD 0 SITEPROP SLICE_X57Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y130 IS_RESERVED 0 SITEPROP SLICE_X57Y130 IS_TEST 0 SITEPROP SLICE_X57Y130 IS_USED 0 SITEPROP SLICE_X57Y130 MANUAL_ROUTING SITEPROP SLICE_X57Y130 NAME SLICE_X57Y130 SITEPROP SLICE_X57Y130 NUM_ARCS 138 SITEPROP SLICE_X57Y130 NUM_BELS 32 SITEPROP SLICE_X57Y130 NUM_INPUTS 32 SITEPROP SLICE_X57Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y130 NUM_PINS 45 SITEPROP SLICE_X57Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y130 PROHIBIT 0 SITEPROP SLICE_X57Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y130 RPM_X 151 SITEPROP SLICE_X57Y130 RPM_Y 260 SITEPROP SLICE_X57Y130 SITE_PIPS SITEPROP SLICE_X57Y130 SITE_TYPE SLICEL SITEPROP SLICE_X57Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y131 CLASS site SITEPROP SLICE_X57Y131 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y131 IS_BONDED 0 SITEPROP SLICE_X57Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y131 IS_PAD 0 SITEPROP SLICE_X57Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y131 IS_RESERVED 0 SITEPROP SLICE_X57Y131 IS_TEST 0 SITEPROP SLICE_X57Y131 IS_USED 0 SITEPROP SLICE_X57Y131 MANUAL_ROUTING SITEPROP SLICE_X57Y131 NAME SLICE_X57Y131 SITEPROP SLICE_X57Y131 NUM_ARCS 138 SITEPROP SLICE_X57Y131 NUM_BELS 32 SITEPROP SLICE_X57Y131 NUM_INPUTS 32 SITEPROP SLICE_X57Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y131 NUM_PINS 45 SITEPROP SLICE_X57Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y131 PROHIBIT 0 SITEPROP SLICE_X57Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y131 RPM_X 151 SITEPROP SLICE_X57Y131 RPM_Y 262 SITEPROP SLICE_X57Y131 SITE_PIPS SITEPROP SLICE_X57Y131 SITE_TYPE SLICEL SITEPROP SLICE_X57Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y132 CLASS site SITEPROP SLICE_X57Y132 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y132 IS_BONDED 0 SITEPROP SLICE_X57Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y132 IS_PAD 0 SITEPROP SLICE_X57Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y132 IS_RESERVED 0 SITEPROP SLICE_X57Y132 IS_TEST 0 SITEPROP SLICE_X57Y132 IS_USED 0 SITEPROP SLICE_X57Y132 MANUAL_ROUTING SITEPROP SLICE_X57Y132 NAME SLICE_X57Y132 SITEPROP SLICE_X57Y132 NUM_ARCS 138 SITEPROP SLICE_X57Y132 NUM_BELS 32 SITEPROP SLICE_X57Y132 NUM_INPUTS 32 SITEPROP SLICE_X57Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y132 NUM_PINS 45 SITEPROP SLICE_X57Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y132 PROHIBIT 0 SITEPROP SLICE_X57Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y132 RPM_X 151 SITEPROP SLICE_X57Y132 RPM_Y 264 SITEPROP SLICE_X57Y132 SITE_PIPS SITEPROP SLICE_X57Y132 SITE_TYPE SLICEL SITEPROP SLICE_X57Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y133 CLASS site SITEPROP SLICE_X57Y133 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y133 IS_BONDED 0 SITEPROP SLICE_X57Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y133 IS_PAD 0 SITEPROP SLICE_X57Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y133 IS_RESERVED 0 SITEPROP SLICE_X57Y133 IS_TEST 0 SITEPROP SLICE_X57Y133 IS_USED 0 SITEPROP SLICE_X57Y133 MANUAL_ROUTING SITEPROP SLICE_X57Y133 NAME SLICE_X57Y133 SITEPROP SLICE_X57Y133 NUM_ARCS 138 SITEPROP SLICE_X57Y133 NUM_BELS 32 SITEPROP SLICE_X57Y133 NUM_INPUTS 32 SITEPROP SLICE_X57Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y133 NUM_PINS 45 SITEPROP SLICE_X57Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y133 PROHIBIT 0 SITEPROP SLICE_X57Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y133 RPM_X 151 SITEPROP SLICE_X57Y133 RPM_Y 266 SITEPROP SLICE_X57Y133 SITE_PIPS SITEPROP SLICE_X57Y133 SITE_TYPE SLICEL SITEPROP SLICE_X57Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y134 CLASS site SITEPROP SLICE_X57Y134 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y134 IS_BONDED 0 SITEPROP SLICE_X57Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y134 IS_PAD 0 SITEPROP SLICE_X57Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y134 IS_RESERVED 0 SITEPROP SLICE_X57Y134 IS_TEST 0 SITEPROP SLICE_X57Y134 IS_USED 0 SITEPROP SLICE_X57Y134 MANUAL_ROUTING SITEPROP SLICE_X57Y134 NAME SLICE_X57Y134 SITEPROP SLICE_X57Y134 NUM_ARCS 138 SITEPROP SLICE_X57Y134 NUM_BELS 32 SITEPROP SLICE_X57Y134 NUM_INPUTS 32 SITEPROP SLICE_X57Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y134 NUM_PINS 45 SITEPROP SLICE_X57Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y134 PROHIBIT 0 SITEPROP SLICE_X57Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y134 RPM_X 151 SITEPROP SLICE_X57Y134 RPM_Y 268 SITEPROP SLICE_X57Y134 SITE_PIPS SITEPROP SLICE_X57Y134 SITE_TYPE SLICEL SITEPROP SLICE_X57Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y135 CLASS site SITEPROP SLICE_X57Y135 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y135 IS_BONDED 0 SITEPROP SLICE_X57Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y135 IS_PAD 0 SITEPROP SLICE_X57Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y135 IS_RESERVED 0 SITEPROP SLICE_X57Y135 IS_TEST 0 SITEPROP SLICE_X57Y135 IS_USED 0 SITEPROP SLICE_X57Y135 MANUAL_ROUTING SITEPROP SLICE_X57Y135 NAME SLICE_X57Y135 SITEPROP SLICE_X57Y135 NUM_ARCS 138 SITEPROP SLICE_X57Y135 NUM_BELS 32 SITEPROP SLICE_X57Y135 NUM_INPUTS 32 SITEPROP SLICE_X57Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y135 NUM_PINS 45 SITEPROP SLICE_X57Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y135 PROHIBIT 0 SITEPROP SLICE_X57Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y135 RPM_X 151 SITEPROP SLICE_X57Y135 RPM_Y 270 SITEPROP SLICE_X57Y135 SITE_PIPS SITEPROP SLICE_X57Y135 SITE_TYPE SLICEL SITEPROP SLICE_X57Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y136 CLASS site SITEPROP SLICE_X57Y136 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y136 IS_BONDED 0 SITEPROP SLICE_X57Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y136 IS_PAD 0 SITEPROP SLICE_X57Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y136 IS_RESERVED 0 SITEPROP SLICE_X57Y136 IS_TEST 0 SITEPROP SLICE_X57Y136 IS_USED 0 SITEPROP SLICE_X57Y136 MANUAL_ROUTING SITEPROP SLICE_X57Y136 NAME SLICE_X57Y136 SITEPROP SLICE_X57Y136 NUM_ARCS 138 SITEPROP SLICE_X57Y136 NUM_BELS 32 SITEPROP SLICE_X57Y136 NUM_INPUTS 32 SITEPROP SLICE_X57Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y136 NUM_PINS 45 SITEPROP SLICE_X57Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y136 PROHIBIT 0 SITEPROP SLICE_X57Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y136 RPM_X 151 SITEPROP SLICE_X57Y136 RPM_Y 272 SITEPROP SLICE_X57Y136 SITE_PIPS SITEPROP SLICE_X57Y136 SITE_TYPE SLICEL SITEPROP SLICE_X57Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y137 CLASS site SITEPROP SLICE_X57Y137 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y137 IS_BONDED 0 SITEPROP SLICE_X57Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y137 IS_PAD 0 SITEPROP SLICE_X57Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y137 IS_RESERVED 0 SITEPROP SLICE_X57Y137 IS_TEST 0 SITEPROP SLICE_X57Y137 IS_USED 0 SITEPROP SLICE_X57Y137 MANUAL_ROUTING SITEPROP SLICE_X57Y137 NAME SLICE_X57Y137 SITEPROP SLICE_X57Y137 NUM_ARCS 138 SITEPROP SLICE_X57Y137 NUM_BELS 32 SITEPROP SLICE_X57Y137 NUM_INPUTS 32 SITEPROP SLICE_X57Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y137 NUM_PINS 45 SITEPROP SLICE_X57Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y137 PROHIBIT 0 SITEPROP SLICE_X57Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y137 RPM_X 151 SITEPROP SLICE_X57Y137 RPM_Y 274 SITEPROP SLICE_X57Y137 SITE_PIPS SITEPROP SLICE_X57Y137 SITE_TYPE SLICEL SITEPROP SLICE_X57Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y138 CLASS site SITEPROP SLICE_X57Y138 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y138 IS_BONDED 0 SITEPROP SLICE_X57Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y138 IS_PAD 0 SITEPROP SLICE_X57Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y138 IS_RESERVED 0 SITEPROP SLICE_X57Y138 IS_TEST 0 SITEPROP SLICE_X57Y138 IS_USED 0 SITEPROP SLICE_X57Y138 MANUAL_ROUTING SITEPROP SLICE_X57Y138 NAME SLICE_X57Y138 SITEPROP SLICE_X57Y138 NUM_ARCS 138 SITEPROP SLICE_X57Y138 NUM_BELS 32 SITEPROP SLICE_X57Y138 NUM_INPUTS 32 SITEPROP SLICE_X57Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y138 NUM_PINS 45 SITEPROP SLICE_X57Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y138 PROHIBIT 0 SITEPROP SLICE_X57Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y138 RPM_X 151 SITEPROP SLICE_X57Y138 RPM_Y 276 SITEPROP SLICE_X57Y138 SITE_PIPS SITEPROP SLICE_X57Y138 SITE_TYPE SLICEL SITEPROP SLICE_X57Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y139 CLASS site SITEPROP SLICE_X57Y139 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y139 IS_BONDED 0 SITEPROP SLICE_X57Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y139 IS_PAD 0 SITEPROP SLICE_X57Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y139 IS_RESERVED 0 SITEPROP SLICE_X57Y139 IS_TEST 0 SITEPROP SLICE_X57Y139 IS_USED 0 SITEPROP SLICE_X57Y139 MANUAL_ROUTING SITEPROP SLICE_X57Y139 NAME SLICE_X57Y139 SITEPROP SLICE_X57Y139 NUM_ARCS 138 SITEPROP SLICE_X57Y139 NUM_BELS 32 SITEPROP SLICE_X57Y139 NUM_INPUTS 32 SITEPROP SLICE_X57Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y139 NUM_PINS 45 SITEPROP SLICE_X57Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y139 PROHIBIT 0 SITEPROP SLICE_X57Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y139 RPM_X 151 SITEPROP SLICE_X57Y139 RPM_Y 278 SITEPROP SLICE_X57Y139 SITE_PIPS SITEPROP SLICE_X57Y139 SITE_TYPE SLICEL SITEPROP SLICE_X57Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y140 CLASS site SITEPROP SLICE_X57Y140 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y140 IS_BONDED 0 SITEPROP SLICE_X57Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y140 IS_PAD 0 SITEPROP SLICE_X57Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y140 IS_RESERVED 0 SITEPROP SLICE_X57Y140 IS_TEST 0 SITEPROP SLICE_X57Y140 IS_USED 0 SITEPROP SLICE_X57Y140 MANUAL_ROUTING SITEPROP SLICE_X57Y140 NAME SLICE_X57Y140 SITEPROP SLICE_X57Y140 NUM_ARCS 138 SITEPROP SLICE_X57Y140 NUM_BELS 32 SITEPROP SLICE_X57Y140 NUM_INPUTS 32 SITEPROP SLICE_X57Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y140 NUM_PINS 45 SITEPROP SLICE_X57Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y140 PROHIBIT 0 SITEPROP SLICE_X57Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y140 RPM_X 151 SITEPROP SLICE_X57Y140 RPM_Y 280 SITEPROP SLICE_X57Y140 SITE_PIPS SITEPROP SLICE_X57Y140 SITE_TYPE SLICEL SITEPROP SLICE_X57Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y141 CLASS site SITEPROP SLICE_X57Y141 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y141 IS_BONDED 0 SITEPROP SLICE_X57Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y141 IS_PAD 0 SITEPROP SLICE_X57Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y141 IS_RESERVED 0 SITEPROP SLICE_X57Y141 IS_TEST 0 SITEPROP SLICE_X57Y141 IS_USED 0 SITEPROP SLICE_X57Y141 MANUAL_ROUTING SITEPROP SLICE_X57Y141 NAME SLICE_X57Y141 SITEPROP SLICE_X57Y141 NUM_ARCS 138 SITEPROP SLICE_X57Y141 NUM_BELS 32 SITEPROP SLICE_X57Y141 NUM_INPUTS 32 SITEPROP SLICE_X57Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y141 NUM_PINS 45 SITEPROP SLICE_X57Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y141 PROHIBIT 0 SITEPROP SLICE_X57Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y141 RPM_X 151 SITEPROP SLICE_X57Y141 RPM_Y 282 SITEPROP SLICE_X57Y141 SITE_PIPS SITEPROP SLICE_X57Y141 SITE_TYPE SLICEL SITEPROP SLICE_X57Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y142 CLASS site SITEPROP SLICE_X57Y142 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y142 IS_BONDED 0 SITEPROP SLICE_X57Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y142 IS_PAD 0 SITEPROP SLICE_X57Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y142 IS_RESERVED 0 SITEPROP SLICE_X57Y142 IS_TEST 0 SITEPROP SLICE_X57Y142 IS_USED 0 SITEPROP SLICE_X57Y142 MANUAL_ROUTING SITEPROP SLICE_X57Y142 NAME SLICE_X57Y142 SITEPROP SLICE_X57Y142 NUM_ARCS 138 SITEPROP SLICE_X57Y142 NUM_BELS 32 SITEPROP SLICE_X57Y142 NUM_INPUTS 32 SITEPROP SLICE_X57Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y142 NUM_PINS 45 SITEPROP SLICE_X57Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y142 PROHIBIT 0 SITEPROP SLICE_X57Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y142 RPM_X 151 SITEPROP SLICE_X57Y142 RPM_Y 284 SITEPROP SLICE_X57Y142 SITE_PIPS SITEPROP SLICE_X57Y142 SITE_TYPE SLICEL SITEPROP SLICE_X57Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y143 CLASS site SITEPROP SLICE_X57Y143 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y143 IS_BONDED 0 SITEPROP SLICE_X57Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y143 IS_PAD 0 SITEPROP SLICE_X57Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y143 IS_RESERVED 0 SITEPROP SLICE_X57Y143 IS_TEST 0 SITEPROP SLICE_X57Y143 IS_USED 0 SITEPROP SLICE_X57Y143 MANUAL_ROUTING SITEPROP SLICE_X57Y143 NAME SLICE_X57Y143 SITEPROP SLICE_X57Y143 NUM_ARCS 138 SITEPROP SLICE_X57Y143 NUM_BELS 32 SITEPROP SLICE_X57Y143 NUM_INPUTS 32 SITEPROP SLICE_X57Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y143 NUM_PINS 45 SITEPROP SLICE_X57Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y143 PROHIBIT 0 SITEPROP SLICE_X57Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y143 RPM_X 151 SITEPROP SLICE_X57Y143 RPM_Y 286 SITEPROP SLICE_X57Y143 SITE_PIPS SITEPROP SLICE_X57Y143 SITE_TYPE SLICEL SITEPROP SLICE_X57Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y144 CLASS site SITEPROP SLICE_X57Y144 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y144 IS_BONDED 0 SITEPROP SLICE_X57Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y144 IS_PAD 0 SITEPROP SLICE_X57Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y144 IS_RESERVED 0 SITEPROP SLICE_X57Y144 IS_TEST 0 SITEPROP SLICE_X57Y144 IS_USED 0 SITEPROP SLICE_X57Y144 MANUAL_ROUTING SITEPROP SLICE_X57Y144 NAME SLICE_X57Y144 SITEPROP SLICE_X57Y144 NUM_ARCS 138 SITEPROP SLICE_X57Y144 NUM_BELS 32 SITEPROP SLICE_X57Y144 NUM_INPUTS 32 SITEPROP SLICE_X57Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y144 NUM_PINS 45 SITEPROP SLICE_X57Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y144 PROHIBIT 0 SITEPROP SLICE_X57Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y144 RPM_X 151 SITEPROP SLICE_X57Y144 RPM_Y 288 SITEPROP SLICE_X57Y144 SITE_PIPS SITEPROP SLICE_X57Y144 SITE_TYPE SLICEL SITEPROP SLICE_X57Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y145 CLASS site SITEPROP SLICE_X57Y145 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y145 IS_BONDED 0 SITEPROP SLICE_X57Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y145 IS_PAD 0 SITEPROP SLICE_X57Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y145 IS_RESERVED 0 SITEPROP SLICE_X57Y145 IS_TEST 0 SITEPROP SLICE_X57Y145 IS_USED 0 SITEPROP SLICE_X57Y145 MANUAL_ROUTING SITEPROP SLICE_X57Y145 NAME SLICE_X57Y145 SITEPROP SLICE_X57Y145 NUM_ARCS 138 SITEPROP SLICE_X57Y145 NUM_BELS 32 SITEPROP SLICE_X57Y145 NUM_INPUTS 32 SITEPROP SLICE_X57Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y145 NUM_PINS 45 SITEPROP SLICE_X57Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y145 PROHIBIT 0 SITEPROP SLICE_X57Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y145 RPM_X 151 SITEPROP SLICE_X57Y145 RPM_Y 290 SITEPROP SLICE_X57Y145 SITE_PIPS SITEPROP SLICE_X57Y145 SITE_TYPE SLICEL SITEPROP SLICE_X57Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y146 CLASS site SITEPROP SLICE_X57Y146 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y146 IS_BONDED 0 SITEPROP SLICE_X57Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y146 IS_PAD 0 SITEPROP SLICE_X57Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y146 IS_RESERVED 0 SITEPROP SLICE_X57Y146 IS_TEST 0 SITEPROP SLICE_X57Y146 IS_USED 0 SITEPROP SLICE_X57Y146 MANUAL_ROUTING SITEPROP SLICE_X57Y146 NAME SLICE_X57Y146 SITEPROP SLICE_X57Y146 NUM_ARCS 138 SITEPROP SLICE_X57Y146 NUM_BELS 32 SITEPROP SLICE_X57Y146 NUM_INPUTS 32 SITEPROP SLICE_X57Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y146 NUM_PINS 45 SITEPROP SLICE_X57Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y146 PROHIBIT 0 SITEPROP SLICE_X57Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y146 RPM_X 151 SITEPROP SLICE_X57Y146 RPM_Y 292 SITEPROP SLICE_X57Y146 SITE_PIPS SITEPROP SLICE_X57Y146 SITE_TYPE SLICEL SITEPROP SLICE_X57Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y147 CLASS site SITEPROP SLICE_X57Y147 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y147 IS_BONDED 0 SITEPROP SLICE_X57Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y147 IS_PAD 0 SITEPROP SLICE_X57Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y147 IS_RESERVED 0 SITEPROP SLICE_X57Y147 IS_TEST 0 SITEPROP SLICE_X57Y147 IS_USED 0 SITEPROP SLICE_X57Y147 MANUAL_ROUTING SITEPROP SLICE_X57Y147 NAME SLICE_X57Y147 SITEPROP SLICE_X57Y147 NUM_ARCS 138 SITEPROP SLICE_X57Y147 NUM_BELS 32 SITEPROP SLICE_X57Y147 NUM_INPUTS 32 SITEPROP SLICE_X57Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y147 NUM_PINS 45 SITEPROP SLICE_X57Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y147 PROHIBIT 0 SITEPROP SLICE_X57Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y147 RPM_X 151 SITEPROP SLICE_X57Y147 RPM_Y 294 SITEPROP SLICE_X57Y147 SITE_PIPS SITEPROP SLICE_X57Y147 SITE_TYPE SLICEL SITEPROP SLICE_X57Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y148 CLASS site SITEPROP SLICE_X57Y148 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y148 IS_BONDED 0 SITEPROP SLICE_X57Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y148 IS_PAD 0 SITEPROP SLICE_X57Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y148 IS_RESERVED 0 SITEPROP SLICE_X57Y148 IS_TEST 0 SITEPROP SLICE_X57Y148 IS_USED 0 SITEPROP SLICE_X57Y148 MANUAL_ROUTING SITEPROP SLICE_X57Y148 NAME SLICE_X57Y148 SITEPROP SLICE_X57Y148 NUM_ARCS 138 SITEPROP SLICE_X57Y148 NUM_BELS 32 SITEPROP SLICE_X57Y148 NUM_INPUTS 32 SITEPROP SLICE_X57Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y148 NUM_PINS 45 SITEPROP SLICE_X57Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y148 PROHIBIT 0 SITEPROP SLICE_X57Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y148 RPM_X 151 SITEPROP SLICE_X57Y148 RPM_Y 296 SITEPROP SLICE_X57Y148 SITE_PIPS SITEPROP SLICE_X57Y148 SITE_TYPE SLICEL SITEPROP SLICE_X57Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X57Y149 CLASS site SITEPROP SLICE_X57Y149 CLOCK_REGION X1Y2 SITEPROP SLICE_X57Y149 IS_BONDED 0 SITEPROP SLICE_X57Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X57Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y149 IS_PAD 0 SITEPROP SLICE_X57Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X57Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X57Y149 IS_RESERVED 0 SITEPROP SLICE_X57Y149 IS_TEST 0 SITEPROP SLICE_X57Y149 IS_USED 0 SITEPROP SLICE_X57Y149 MANUAL_ROUTING SITEPROP SLICE_X57Y149 NAME SLICE_X57Y149 SITEPROP SLICE_X57Y149 NUM_ARCS 138 SITEPROP SLICE_X57Y149 NUM_BELS 32 SITEPROP SLICE_X57Y149 NUM_INPUTS 32 SITEPROP SLICE_X57Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X57Y149 NUM_PINS 45 SITEPROP SLICE_X57Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X57Y149 PROHIBIT 0 SITEPROP SLICE_X57Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X57Y149 RPM_X 151 SITEPROP SLICE_X57Y149 RPM_Y 298 SITEPROP SLICE_X57Y149 SITE_PIPS SITEPROP SLICE_X57Y149 SITE_TYPE SLICEL SITEPROP SLICE_X58Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y0 CLASS site SITEPROP SLICE_X58Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y0 IS_BONDED 0 SITEPROP SLICE_X58Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y0 IS_PAD 0 SITEPROP SLICE_X58Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y0 IS_RESERVED 0 SITEPROP SLICE_X58Y0 IS_TEST 0 SITEPROP SLICE_X58Y0 IS_USED 0 SITEPROP SLICE_X58Y0 MANUAL_ROUTING SITEPROP SLICE_X58Y0 NAME SLICE_X58Y0 SITEPROP SLICE_X58Y0 NUM_ARCS 138 SITEPROP SLICE_X58Y0 NUM_BELS 32 SITEPROP SLICE_X58Y0 NUM_INPUTS 32 SITEPROP SLICE_X58Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y0 NUM_PINS 45 SITEPROP SLICE_X58Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y0 PROHIBIT 0 SITEPROP SLICE_X58Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y0 RPM_X 157 SITEPROP SLICE_X58Y0 RPM_Y 0 SITEPROP SLICE_X58Y0 SITE_PIPS SITEPROP SLICE_X58Y0 SITE_TYPE SLICEL SITEPROP SLICE_X58Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y1 CLASS site SITEPROP SLICE_X58Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y1 IS_BONDED 0 SITEPROP SLICE_X58Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y1 IS_PAD 0 SITEPROP SLICE_X58Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y1 IS_RESERVED 0 SITEPROP SLICE_X58Y1 IS_TEST 0 SITEPROP SLICE_X58Y1 IS_USED 0 SITEPROP SLICE_X58Y1 MANUAL_ROUTING SITEPROP SLICE_X58Y1 NAME SLICE_X58Y1 SITEPROP SLICE_X58Y1 NUM_ARCS 138 SITEPROP SLICE_X58Y1 NUM_BELS 32 SITEPROP SLICE_X58Y1 NUM_INPUTS 32 SITEPROP SLICE_X58Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y1 NUM_PINS 45 SITEPROP SLICE_X58Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y1 PROHIBIT 0 SITEPROP SLICE_X58Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y1 RPM_X 157 SITEPROP SLICE_X58Y1 RPM_Y 2 SITEPROP SLICE_X58Y1 SITE_PIPS SITEPROP SLICE_X58Y1 SITE_TYPE SLICEL SITEPROP SLICE_X58Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y2 CLASS site SITEPROP SLICE_X58Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y2 IS_BONDED 0 SITEPROP SLICE_X58Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y2 IS_PAD 0 SITEPROP SLICE_X58Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y2 IS_RESERVED 0 SITEPROP SLICE_X58Y2 IS_TEST 0 SITEPROP SLICE_X58Y2 IS_USED 0 SITEPROP SLICE_X58Y2 MANUAL_ROUTING SITEPROP SLICE_X58Y2 NAME SLICE_X58Y2 SITEPROP SLICE_X58Y2 NUM_ARCS 138 SITEPROP SLICE_X58Y2 NUM_BELS 32 SITEPROP SLICE_X58Y2 NUM_INPUTS 32 SITEPROP SLICE_X58Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y2 NUM_PINS 45 SITEPROP SLICE_X58Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y2 PROHIBIT 0 SITEPROP SLICE_X58Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y2 RPM_X 157 SITEPROP SLICE_X58Y2 RPM_Y 4 SITEPROP SLICE_X58Y2 SITE_PIPS SITEPROP SLICE_X58Y2 SITE_TYPE SLICEL SITEPROP SLICE_X58Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y3 CLASS site SITEPROP SLICE_X58Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y3 IS_BONDED 0 SITEPROP SLICE_X58Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y3 IS_PAD 0 SITEPROP SLICE_X58Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y3 IS_RESERVED 0 SITEPROP SLICE_X58Y3 IS_TEST 0 SITEPROP SLICE_X58Y3 IS_USED 0 SITEPROP SLICE_X58Y3 MANUAL_ROUTING SITEPROP SLICE_X58Y3 NAME SLICE_X58Y3 SITEPROP SLICE_X58Y3 NUM_ARCS 138 SITEPROP SLICE_X58Y3 NUM_BELS 32 SITEPROP SLICE_X58Y3 NUM_INPUTS 32 SITEPROP SLICE_X58Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y3 NUM_PINS 45 SITEPROP SLICE_X58Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y3 PROHIBIT 0 SITEPROP SLICE_X58Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y3 RPM_X 157 SITEPROP SLICE_X58Y3 RPM_Y 6 SITEPROP SLICE_X58Y3 SITE_PIPS SITEPROP SLICE_X58Y3 SITE_TYPE SLICEL SITEPROP SLICE_X58Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y4 CLASS site SITEPROP SLICE_X58Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y4 IS_BONDED 0 SITEPROP SLICE_X58Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y4 IS_PAD 0 SITEPROP SLICE_X58Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y4 IS_RESERVED 0 SITEPROP SLICE_X58Y4 IS_TEST 0 SITEPROP SLICE_X58Y4 IS_USED 0 SITEPROP SLICE_X58Y4 MANUAL_ROUTING SITEPROP SLICE_X58Y4 NAME SLICE_X58Y4 SITEPROP SLICE_X58Y4 NUM_ARCS 138 SITEPROP SLICE_X58Y4 NUM_BELS 32 SITEPROP SLICE_X58Y4 NUM_INPUTS 32 SITEPROP SLICE_X58Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y4 NUM_PINS 45 SITEPROP SLICE_X58Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y4 PROHIBIT 0 SITEPROP SLICE_X58Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y4 RPM_X 157 SITEPROP SLICE_X58Y4 RPM_Y 8 SITEPROP SLICE_X58Y4 SITE_PIPS SITEPROP SLICE_X58Y4 SITE_TYPE SLICEL SITEPROP SLICE_X58Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y5 CLASS site SITEPROP SLICE_X58Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y5 IS_BONDED 0 SITEPROP SLICE_X58Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y5 IS_PAD 0 SITEPROP SLICE_X58Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y5 IS_RESERVED 0 SITEPROP SLICE_X58Y5 IS_TEST 0 SITEPROP SLICE_X58Y5 IS_USED 0 SITEPROP SLICE_X58Y5 MANUAL_ROUTING SITEPROP SLICE_X58Y5 NAME SLICE_X58Y5 SITEPROP SLICE_X58Y5 NUM_ARCS 138 SITEPROP SLICE_X58Y5 NUM_BELS 32 SITEPROP SLICE_X58Y5 NUM_INPUTS 32 SITEPROP SLICE_X58Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y5 NUM_PINS 45 SITEPROP SLICE_X58Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y5 PROHIBIT 0 SITEPROP SLICE_X58Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y5 RPM_X 157 SITEPROP SLICE_X58Y5 RPM_Y 10 SITEPROP SLICE_X58Y5 SITE_PIPS SITEPROP SLICE_X58Y5 SITE_TYPE SLICEL SITEPROP SLICE_X58Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y6 CLASS site SITEPROP SLICE_X58Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y6 IS_BONDED 0 SITEPROP SLICE_X58Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y6 IS_PAD 0 SITEPROP SLICE_X58Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y6 IS_RESERVED 0 SITEPROP SLICE_X58Y6 IS_TEST 0 SITEPROP SLICE_X58Y6 IS_USED 0 SITEPROP SLICE_X58Y6 MANUAL_ROUTING SITEPROP SLICE_X58Y6 NAME SLICE_X58Y6 SITEPROP SLICE_X58Y6 NUM_ARCS 138 SITEPROP SLICE_X58Y6 NUM_BELS 32 SITEPROP SLICE_X58Y6 NUM_INPUTS 32 SITEPROP SLICE_X58Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y6 NUM_PINS 45 SITEPROP SLICE_X58Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y6 PROHIBIT 0 SITEPROP SLICE_X58Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y6 RPM_X 157 SITEPROP SLICE_X58Y6 RPM_Y 12 SITEPROP SLICE_X58Y6 SITE_PIPS SITEPROP SLICE_X58Y6 SITE_TYPE SLICEL SITEPROP SLICE_X58Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y7 CLASS site SITEPROP SLICE_X58Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y7 IS_BONDED 0 SITEPROP SLICE_X58Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y7 IS_PAD 0 SITEPROP SLICE_X58Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y7 IS_RESERVED 0 SITEPROP SLICE_X58Y7 IS_TEST 0 SITEPROP SLICE_X58Y7 IS_USED 0 SITEPROP SLICE_X58Y7 MANUAL_ROUTING SITEPROP SLICE_X58Y7 NAME SLICE_X58Y7 SITEPROP SLICE_X58Y7 NUM_ARCS 138 SITEPROP SLICE_X58Y7 NUM_BELS 32 SITEPROP SLICE_X58Y7 NUM_INPUTS 32 SITEPROP SLICE_X58Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y7 NUM_PINS 45 SITEPROP SLICE_X58Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y7 PROHIBIT 0 SITEPROP SLICE_X58Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y7 RPM_X 157 SITEPROP SLICE_X58Y7 RPM_Y 14 SITEPROP SLICE_X58Y7 SITE_PIPS SITEPROP SLICE_X58Y7 SITE_TYPE SLICEL SITEPROP SLICE_X58Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y8 CLASS site SITEPROP SLICE_X58Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y8 IS_BONDED 0 SITEPROP SLICE_X58Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y8 IS_PAD 0 SITEPROP SLICE_X58Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y8 IS_RESERVED 0 SITEPROP SLICE_X58Y8 IS_TEST 0 SITEPROP SLICE_X58Y8 IS_USED 0 SITEPROP SLICE_X58Y8 MANUAL_ROUTING SITEPROP SLICE_X58Y8 NAME SLICE_X58Y8 SITEPROP SLICE_X58Y8 NUM_ARCS 138 SITEPROP SLICE_X58Y8 NUM_BELS 32 SITEPROP SLICE_X58Y8 NUM_INPUTS 32 SITEPROP SLICE_X58Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y8 NUM_PINS 45 SITEPROP SLICE_X58Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y8 PROHIBIT 0 SITEPROP SLICE_X58Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y8 RPM_X 157 SITEPROP SLICE_X58Y8 RPM_Y 16 SITEPROP SLICE_X58Y8 SITE_PIPS SITEPROP SLICE_X58Y8 SITE_TYPE SLICEL SITEPROP SLICE_X58Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y9 CLASS site SITEPROP SLICE_X58Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y9 IS_BONDED 0 SITEPROP SLICE_X58Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y9 IS_PAD 0 SITEPROP SLICE_X58Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y9 IS_RESERVED 0 SITEPROP SLICE_X58Y9 IS_TEST 0 SITEPROP SLICE_X58Y9 IS_USED 0 SITEPROP SLICE_X58Y9 MANUAL_ROUTING SITEPROP SLICE_X58Y9 NAME SLICE_X58Y9 SITEPROP SLICE_X58Y9 NUM_ARCS 138 SITEPROP SLICE_X58Y9 NUM_BELS 32 SITEPROP SLICE_X58Y9 NUM_INPUTS 32 SITEPROP SLICE_X58Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y9 NUM_PINS 45 SITEPROP SLICE_X58Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y9 PROHIBIT 0 SITEPROP SLICE_X58Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y9 RPM_X 157 SITEPROP SLICE_X58Y9 RPM_Y 18 SITEPROP SLICE_X58Y9 SITE_PIPS SITEPROP SLICE_X58Y9 SITE_TYPE SLICEL SITEPROP SLICE_X58Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y10 CLASS site SITEPROP SLICE_X58Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y10 IS_BONDED 0 SITEPROP SLICE_X58Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y10 IS_PAD 0 SITEPROP SLICE_X58Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y10 IS_RESERVED 0 SITEPROP SLICE_X58Y10 IS_TEST 0 SITEPROP SLICE_X58Y10 IS_USED 0 SITEPROP SLICE_X58Y10 MANUAL_ROUTING SITEPROP SLICE_X58Y10 NAME SLICE_X58Y10 SITEPROP SLICE_X58Y10 NUM_ARCS 138 SITEPROP SLICE_X58Y10 NUM_BELS 32 SITEPROP SLICE_X58Y10 NUM_INPUTS 32 SITEPROP SLICE_X58Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y10 NUM_PINS 45 SITEPROP SLICE_X58Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y10 PROHIBIT 0 SITEPROP SLICE_X58Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y10 RPM_X 157 SITEPROP SLICE_X58Y10 RPM_Y 20 SITEPROP SLICE_X58Y10 SITE_PIPS SITEPROP SLICE_X58Y10 SITE_TYPE SLICEL SITEPROP SLICE_X58Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y11 CLASS site SITEPROP SLICE_X58Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y11 IS_BONDED 0 SITEPROP SLICE_X58Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y11 IS_PAD 0 SITEPROP SLICE_X58Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y11 IS_RESERVED 0 SITEPROP SLICE_X58Y11 IS_TEST 0 SITEPROP SLICE_X58Y11 IS_USED 0 SITEPROP SLICE_X58Y11 MANUAL_ROUTING SITEPROP SLICE_X58Y11 NAME SLICE_X58Y11 SITEPROP SLICE_X58Y11 NUM_ARCS 138 SITEPROP SLICE_X58Y11 NUM_BELS 32 SITEPROP SLICE_X58Y11 NUM_INPUTS 32 SITEPROP SLICE_X58Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y11 NUM_PINS 45 SITEPROP SLICE_X58Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y11 PROHIBIT 0 SITEPROP SLICE_X58Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y11 RPM_X 157 SITEPROP SLICE_X58Y11 RPM_Y 22 SITEPROP SLICE_X58Y11 SITE_PIPS SITEPROP SLICE_X58Y11 SITE_TYPE SLICEL SITEPROP SLICE_X58Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y12 CLASS site SITEPROP SLICE_X58Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y12 IS_BONDED 0 SITEPROP SLICE_X58Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y12 IS_PAD 0 SITEPROP SLICE_X58Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y12 IS_RESERVED 0 SITEPROP SLICE_X58Y12 IS_TEST 0 SITEPROP SLICE_X58Y12 IS_USED 0 SITEPROP SLICE_X58Y12 MANUAL_ROUTING SITEPROP SLICE_X58Y12 NAME SLICE_X58Y12 SITEPROP SLICE_X58Y12 NUM_ARCS 138 SITEPROP SLICE_X58Y12 NUM_BELS 32 SITEPROP SLICE_X58Y12 NUM_INPUTS 32 SITEPROP SLICE_X58Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y12 NUM_PINS 45 SITEPROP SLICE_X58Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y12 PROHIBIT 0 SITEPROP SLICE_X58Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y12 RPM_X 157 SITEPROP SLICE_X58Y12 RPM_Y 24 SITEPROP SLICE_X58Y12 SITE_PIPS SITEPROP SLICE_X58Y12 SITE_TYPE SLICEL SITEPROP SLICE_X58Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y13 CLASS site SITEPROP SLICE_X58Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y13 IS_BONDED 0 SITEPROP SLICE_X58Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y13 IS_PAD 0 SITEPROP SLICE_X58Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y13 IS_RESERVED 0 SITEPROP SLICE_X58Y13 IS_TEST 0 SITEPROP SLICE_X58Y13 IS_USED 0 SITEPROP SLICE_X58Y13 MANUAL_ROUTING SITEPROP SLICE_X58Y13 NAME SLICE_X58Y13 SITEPROP SLICE_X58Y13 NUM_ARCS 138 SITEPROP SLICE_X58Y13 NUM_BELS 32 SITEPROP SLICE_X58Y13 NUM_INPUTS 32 SITEPROP SLICE_X58Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y13 NUM_PINS 45 SITEPROP SLICE_X58Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y13 PROHIBIT 0 SITEPROP SLICE_X58Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y13 RPM_X 157 SITEPROP SLICE_X58Y13 RPM_Y 26 SITEPROP SLICE_X58Y13 SITE_PIPS SITEPROP SLICE_X58Y13 SITE_TYPE SLICEL SITEPROP SLICE_X58Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y14 CLASS site SITEPROP SLICE_X58Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y14 IS_BONDED 0 SITEPROP SLICE_X58Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y14 IS_PAD 0 SITEPROP SLICE_X58Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y14 IS_RESERVED 0 SITEPROP SLICE_X58Y14 IS_TEST 0 SITEPROP SLICE_X58Y14 IS_USED 0 SITEPROP SLICE_X58Y14 MANUAL_ROUTING SITEPROP SLICE_X58Y14 NAME SLICE_X58Y14 SITEPROP SLICE_X58Y14 NUM_ARCS 138 SITEPROP SLICE_X58Y14 NUM_BELS 32 SITEPROP SLICE_X58Y14 NUM_INPUTS 32 SITEPROP SLICE_X58Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y14 NUM_PINS 45 SITEPROP SLICE_X58Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y14 PROHIBIT 0 SITEPROP SLICE_X58Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y14 RPM_X 157 SITEPROP SLICE_X58Y14 RPM_Y 28 SITEPROP SLICE_X58Y14 SITE_PIPS SITEPROP SLICE_X58Y14 SITE_TYPE SLICEL SITEPROP SLICE_X58Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y15 CLASS site SITEPROP SLICE_X58Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y15 IS_BONDED 0 SITEPROP SLICE_X58Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y15 IS_PAD 0 SITEPROP SLICE_X58Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y15 IS_RESERVED 0 SITEPROP SLICE_X58Y15 IS_TEST 0 SITEPROP SLICE_X58Y15 IS_USED 0 SITEPROP SLICE_X58Y15 MANUAL_ROUTING SITEPROP SLICE_X58Y15 NAME SLICE_X58Y15 SITEPROP SLICE_X58Y15 NUM_ARCS 138 SITEPROP SLICE_X58Y15 NUM_BELS 32 SITEPROP SLICE_X58Y15 NUM_INPUTS 32 SITEPROP SLICE_X58Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y15 NUM_PINS 45 SITEPROP SLICE_X58Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y15 PROHIBIT 0 SITEPROP SLICE_X58Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y15 RPM_X 157 SITEPROP SLICE_X58Y15 RPM_Y 30 SITEPROP SLICE_X58Y15 SITE_PIPS SITEPROP SLICE_X58Y15 SITE_TYPE SLICEL SITEPROP SLICE_X58Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y16 CLASS site SITEPROP SLICE_X58Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y16 IS_BONDED 0 SITEPROP SLICE_X58Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y16 IS_PAD 0 SITEPROP SLICE_X58Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y16 IS_RESERVED 0 SITEPROP SLICE_X58Y16 IS_TEST 0 SITEPROP SLICE_X58Y16 IS_USED 0 SITEPROP SLICE_X58Y16 MANUAL_ROUTING SITEPROP SLICE_X58Y16 NAME SLICE_X58Y16 SITEPROP SLICE_X58Y16 NUM_ARCS 138 SITEPROP SLICE_X58Y16 NUM_BELS 32 SITEPROP SLICE_X58Y16 NUM_INPUTS 32 SITEPROP SLICE_X58Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y16 NUM_PINS 45 SITEPROP SLICE_X58Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y16 PROHIBIT 0 SITEPROP SLICE_X58Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y16 RPM_X 157 SITEPROP SLICE_X58Y16 RPM_Y 32 SITEPROP SLICE_X58Y16 SITE_PIPS SITEPROP SLICE_X58Y16 SITE_TYPE SLICEL SITEPROP SLICE_X58Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y17 CLASS site SITEPROP SLICE_X58Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y17 IS_BONDED 0 SITEPROP SLICE_X58Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y17 IS_PAD 0 SITEPROP SLICE_X58Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y17 IS_RESERVED 0 SITEPROP SLICE_X58Y17 IS_TEST 0 SITEPROP SLICE_X58Y17 IS_USED 0 SITEPROP SLICE_X58Y17 MANUAL_ROUTING SITEPROP SLICE_X58Y17 NAME SLICE_X58Y17 SITEPROP SLICE_X58Y17 NUM_ARCS 138 SITEPROP SLICE_X58Y17 NUM_BELS 32 SITEPROP SLICE_X58Y17 NUM_INPUTS 32 SITEPROP SLICE_X58Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y17 NUM_PINS 45 SITEPROP SLICE_X58Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y17 PROHIBIT 0 SITEPROP SLICE_X58Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y17 RPM_X 157 SITEPROP SLICE_X58Y17 RPM_Y 34 SITEPROP SLICE_X58Y17 SITE_PIPS SITEPROP SLICE_X58Y17 SITE_TYPE SLICEL SITEPROP SLICE_X58Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y18 CLASS site SITEPROP SLICE_X58Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y18 IS_BONDED 0 SITEPROP SLICE_X58Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y18 IS_PAD 0 SITEPROP SLICE_X58Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y18 IS_RESERVED 0 SITEPROP SLICE_X58Y18 IS_TEST 0 SITEPROP SLICE_X58Y18 IS_USED 0 SITEPROP SLICE_X58Y18 MANUAL_ROUTING SITEPROP SLICE_X58Y18 NAME SLICE_X58Y18 SITEPROP SLICE_X58Y18 NUM_ARCS 138 SITEPROP SLICE_X58Y18 NUM_BELS 32 SITEPROP SLICE_X58Y18 NUM_INPUTS 32 SITEPROP SLICE_X58Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y18 NUM_PINS 45 SITEPROP SLICE_X58Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y18 PROHIBIT 0 SITEPROP SLICE_X58Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y18 RPM_X 157 SITEPROP SLICE_X58Y18 RPM_Y 36 SITEPROP SLICE_X58Y18 SITE_PIPS SITEPROP SLICE_X58Y18 SITE_TYPE SLICEL SITEPROP SLICE_X58Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y19 CLASS site SITEPROP SLICE_X58Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y19 IS_BONDED 0 SITEPROP SLICE_X58Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y19 IS_PAD 0 SITEPROP SLICE_X58Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y19 IS_RESERVED 0 SITEPROP SLICE_X58Y19 IS_TEST 0 SITEPROP SLICE_X58Y19 IS_USED 0 SITEPROP SLICE_X58Y19 MANUAL_ROUTING SITEPROP SLICE_X58Y19 NAME SLICE_X58Y19 SITEPROP SLICE_X58Y19 NUM_ARCS 138 SITEPROP SLICE_X58Y19 NUM_BELS 32 SITEPROP SLICE_X58Y19 NUM_INPUTS 32 SITEPROP SLICE_X58Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y19 NUM_PINS 45 SITEPROP SLICE_X58Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y19 PROHIBIT 0 SITEPROP SLICE_X58Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y19 RPM_X 157 SITEPROP SLICE_X58Y19 RPM_Y 38 SITEPROP SLICE_X58Y19 SITE_PIPS SITEPROP SLICE_X58Y19 SITE_TYPE SLICEL SITEPROP SLICE_X58Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y20 CLASS site SITEPROP SLICE_X58Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y20 IS_BONDED 0 SITEPROP SLICE_X58Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y20 IS_PAD 0 SITEPROP SLICE_X58Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y20 IS_RESERVED 0 SITEPROP SLICE_X58Y20 IS_TEST 0 SITEPROP SLICE_X58Y20 IS_USED 0 SITEPROP SLICE_X58Y20 MANUAL_ROUTING SITEPROP SLICE_X58Y20 NAME SLICE_X58Y20 SITEPROP SLICE_X58Y20 NUM_ARCS 138 SITEPROP SLICE_X58Y20 NUM_BELS 32 SITEPROP SLICE_X58Y20 NUM_INPUTS 32 SITEPROP SLICE_X58Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y20 NUM_PINS 45 SITEPROP SLICE_X58Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y20 PROHIBIT 0 SITEPROP SLICE_X58Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y20 RPM_X 157 SITEPROP SLICE_X58Y20 RPM_Y 40 SITEPROP SLICE_X58Y20 SITE_PIPS SITEPROP SLICE_X58Y20 SITE_TYPE SLICEL SITEPROP SLICE_X58Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y21 CLASS site SITEPROP SLICE_X58Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y21 IS_BONDED 0 SITEPROP SLICE_X58Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y21 IS_PAD 0 SITEPROP SLICE_X58Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y21 IS_RESERVED 0 SITEPROP SLICE_X58Y21 IS_TEST 0 SITEPROP SLICE_X58Y21 IS_USED 0 SITEPROP SLICE_X58Y21 MANUAL_ROUTING SITEPROP SLICE_X58Y21 NAME SLICE_X58Y21 SITEPROP SLICE_X58Y21 NUM_ARCS 138 SITEPROP SLICE_X58Y21 NUM_BELS 32 SITEPROP SLICE_X58Y21 NUM_INPUTS 32 SITEPROP SLICE_X58Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y21 NUM_PINS 45 SITEPROP SLICE_X58Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y21 PROHIBIT 0 SITEPROP SLICE_X58Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y21 RPM_X 157 SITEPROP SLICE_X58Y21 RPM_Y 42 SITEPROP SLICE_X58Y21 SITE_PIPS SITEPROP SLICE_X58Y21 SITE_TYPE SLICEL SITEPROP SLICE_X58Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y22 CLASS site SITEPROP SLICE_X58Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y22 IS_BONDED 0 SITEPROP SLICE_X58Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y22 IS_PAD 0 SITEPROP SLICE_X58Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y22 IS_RESERVED 0 SITEPROP SLICE_X58Y22 IS_TEST 0 SITEPROP SLICE_X58Y22 IS_USED 0 SITEPROP SLICE_X58Y22 MANUAL_ROUTING SITEPROP SLICE_X58Y22 NAME SLICE_X58Y22 SITEPROP SLICE_X58Y22 NUM_ARCS 138 SITEPROP SLICE_X58Y22 NUM_BELS 32 SITEPROP SLICE_X58Y22 NUM_INPUTS 32 SITEPROP SLICE_X58Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y22 NUM_PINS 45 SITEPROP SLICE_X58Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y22 PROHIBIT 0 SITEPROP SLICE_X58Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y22 RPM_X 157 SITEPROP SLICE_X58Y22 RPM_Y 44 SITEPROP SLICE_X58Y22 SITE_PIPS SITEPROP SLICE_X58Y22 SITE_TYPE SLICEL SITEPROP SLICE_X58Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y23 CLASS site SITEPROP SLICE_X58Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y23 IS_BONDED 0 SITEPROP SLICE_X58Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y23 IS_PAD 0 SITEPROP SLICE_X58Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y23 IS_RESERVED 0 SITEPROP SLICE_X58Y23 IS_TEST 0 SITEPROP SLICE_X58Y23 IS_USED 0 SITEPROP SLICE_X58Y23 MANUAL_ROUTING SITEPROP SLICE_X58Y23 NAME SLICE_X58Y23 SITEPROP SLICE_X58Y23 NUM_ARCS 138 SITEPROP SLICE_X58Y23 NUM_BELS 32 SITEPROP SLICE_X58Y23 NUM_INPUTS 32 SITEPROP SLICE_X58Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y23 NUM_PINS 45 SITEPROP SLICE_X58Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y23 PROHIBIT 0 SITEPROP SLICE_X58Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y23 RPM_X 157 SITEPROP SLICE_X58Y23 RPM_Y 46 SITEPROP SLICE_X58Y23 SITE_PIPS SITEPROP SLICE_X58Y23 SITE_TYPE SLICEL SITEPROP SLICE_X58Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y24 CLASS site SITEPROP SLICE_X58Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y24 IS_BONDED 0 SITEPROP SLICE_X58Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y24 IS_PAD 0 SITEPROP SLICE_X58Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y24 IS_RESERVED 0 SITEPROP SLICE_X58Y24 IS_TEST 0 SITEPROP SLICE_X58Y24 IS_USED 0 SITEPROP SLICE_X58Y24 MANUAL_ROUTING SITEPROP SLICE_X58Y24 NAME SLICE_X58Y24 SITEPROP SLICE_X58Y24 NUM_ARCS 138 SITEPROP SLICE_X58Y24 NUM_BELS 32 SITEPROP SLICE_X58Y24 NUM_INPUTS 32 SITEPROP SLICE_X58Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y24 NUM_PINS 45 SITEPROP SLICE_X58Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y24 PROHIBIT 0 SITEPROP SLICE_X58Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y24 RPM_X 157 SITEPROP SLICE_X58Y24 RPM_Y 48 SITEPROP SLICE_X58Y24 SITE_PIPS SITEPROP SLICE_X58Y24 SITE_TYPE SLICEL SITEPROP SLICE_X58Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y25 CLASS site SITEPROP SLICE_X58Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y25 IS_BONDED 0 SITEPROP SLICE_X58Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y25 IS_PAD 0 SITEPROP SLICE_X58Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y25 IS_RESERVED 0 SITEPROP SLICE_X58Y25 IS_TEST 0 SITEPROP SLICE_X58Y25 IS_USED 0 SITEPROP SLICE_X58Y25 MANUAL_ROUTING SITEPROP SLICE_X58Y25 NAME SLICE_X58Y25 SITEPROP SLICE_X58Y25 NUM_ARCS 138 SITEPROP SLICE_X58Y25 NUM_BELS 32 SITEPROP SLICE_X58Y25 NUM_INPUTS 32 SITEPROP SLICE_X58Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y25 NUM_PINS 45 SITEPROP SLICE_X58Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y25 PROHIBIT 0 SITEPROP SLICE_X58Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y25 RPM_X 157 SITEPROP SLICE_X58Y25 RPM_Y 50 SITEPROP SLICE_X58Y25 SITE_PIPS SITEPROP SLICE_X58Y25 SITE_TYPE SLICEL SITEPROP SLICE_X58Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y26 CLASS site SITEPROP SLICE_X58Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y26 IS_BONDED 0 SITEPROP SLICE_X58Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y26 IS_PAD 0 SITEPROP SLICE_X58Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y26 IS_RESERVED 0 SITEPROP SLICE_X58Y26 IS_TEST 0 SITEPROP SLICE_X58Y26 IS_USED 0 SITEPROP SLICE_X58Y26 MANUAL_ROUTING SITEPROP SLICE_X58Y26 NAME SLICE_X58Y26 SITEPROP SLICE_X58Y26 NUM_ARCS 138 SITEPROP SLICE_X58Y26 NUM_BELS 32 SITEPROP SLICE_X58Y26 NUM_INPUTS 32 SITEPROP SLICE_X58Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y26 NUM_PINS 45 SITEPROP SLICE_X58Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y26 PROHIBIT 0 SITEPROP SLICE_X58Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y26 RPM_X 157 SITEPROP SLICE_X58Y26 RPM_Y 52 SITEPROP SLICE_X58Y26 SITE_PIPS SITEPROP SLICE_X58Y26 SITE_TYPE SLICEL SITEPROP SLICE_X58Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y27 CLASS site SITEPROP SLICE_X58Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y27 IS_BONDED 0 SITEPROP SLICE_X58Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y27 IS_PAD 0 SITEPROP SLICE_X58Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y27 IS_RESERVED 0 SITEPROP SLICE_X58Y27 IS_TEST 0 SITEPROP SLICE_X58Y27 IS_USED 0 SITEPROP SLICE_X58Y27 MANUAL_ROUTING SITEPROP SLICE_X58Y27 NAME SLICE_X58Y27 SITEPROP SLICE_X58Y27 NUM_ARCS 138 SITEPROP SLICE_X58Y27 NUM_BELS 32 SITEPROP SLICE_X58Y27 NUM_INPUTS 32 SITEPROP SLICE_X58Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y27 NUM_PINS 45 SITEPROP SLICE_X58Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y27 PROHIBIT 0 SITEPROP SLICE_X58Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y27 RPM_X 157 SITEPROP SLICE_X58Y27 RPM_Y 54 SITEPROP SLICE_X58Y27 SITE_PIPS SITEPROP SLICE_X58Y27 SITE_TYPE SLICEL SITEPROP SLICE_X58Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y28 CLASS site SITEPROP SLICE_X58Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y28 IS_BONDED 0 SITEPROP SLICE_X58Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y28 IS_PAD 0 SITEPROP SLICE_X58Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y28 IS_RESERVED 0 SITEPROP SLICE_X58Y28 IS_TEST 0 SITEPROP SLICE_X58Y28 IS_USED 0 SITEPROP SLICE_X58Y28 MANUAL_ROUTING SITEPROP SLICE_X58Y28 NAME SLICE_X58Y28 SITEPROP SLICE_X58Y28 NUM_ARCS 138 SITEPROP SLICE_X58Y28 NUM_BELS 32 SITEPROP SLICE_X58Y28 NUM_INPUTS 32 SITEPROP SLICE_X58Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y28 NUM_PINS 45 SITEPROP SLICE_X58Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y28 PROHIBIT 0 SITEPROP SLICE_X58Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y28 RPM_X 157 SITEPROP SLICE_X58Y28 RPM_Y 56 SITEPROP SLICE_X58Y28 SITE_PIPS SITEPROP SLICE_X58Y28 SITE_TYPE SLICEL SITEPROP SLICE_X58Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y29 CLASS site SITEPROP SLICE_X58Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y29 IS_BONDED 0 SITEPROP SLICE_X58Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y29 IS_PAD 0 SITEPROP SLICE_X58Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y29 IS_RESERVED 0 SITEPROP SLICE_X58Y29 IS_TEST 0 SITEPROP SLICE_X58Y29 IS_USED 0 SITEPROP SLICE_X58Y29 MANUAL_ROUTING SITEPROP SLICE_X58Y29 NAME SLICE_X58Y29 SITEPROP SLICE_X58Y29 NUM_ARCS 138 SITEPROP SLICE_X58Y29 NUM_BELS 32 SITEPROP SLICE_X58Y29 NUM_INPUTS 32 SITEPROP SLICE_X58Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y29 NUM_PINS 45 SITEPROP SLICE_X58Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y29 PROHIBIT 0 SITEPROP SLICE_X58Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y29 RPM_X 157 SITEPROP SLICE_X58Y29 RPM_Y 58 SITEPROP SLICE_X58Y29 SITE_PIPS SITEPROP SLICE_X58Y29 SITE_TYPE SLICEL SITEPROP SLICE_X58Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y30 CLASS site SITEPROP SLICE_X58Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y30 IS_BONDED 0 SITEPROP SLICE_X58Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y30 IS_PAD 0 SITEPROP SLICE_X58Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y30 IS_RESERVED 0 SITEPROP SLICE_X58Y30 IS_TEST 0 SITEPROP SLICE_X58Y30 IS_USED 0 SITEPROP SLICE_X58Y30 MANUAL_ROUTING SITEPROP SLICE_X58Y30 NAME SLICE_X58Y30 SITEPROP SLICE_X58Y30 NUM_ARCS 138 SITEPROP SLICE_X58Y30 NUM_BELS 32 SITEPROP SLICE_X58Y30 NUM_INPUTS 32 SITEPROP SLICE_X58Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y30 NUM_PINS 45 SITEPROP SLICE_X58Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y30 PROHIBIT 0 SITEPROP SLICE_X58Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y30 RPM_X 157 SITEPROP SLICE_X58Y30 RPM_Y 60 SITEPROP SLICE_X58Y30 SITE_PIPS SITEPROP SLICE_X58Y30 SITE_TYPE SLICEL SITEPROP SLICE_X58Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y31 CLASS site SITEPROP SLICE_X58Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y31 IS_BONDED 0 SITEPROP SLICE_X58Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y31 IS_PAD 0 SITEPROP SLICE_X58Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y31 IS_RESERVED 0 SITEPROP SLICE_X58Y31 IS_TEST 0 SITEPROP SLICE_X58Y31 IS_USED 0 SITEPROP SLICE_X58Y31 MANUAL_ROUTING SITEPROP SLICE_X58Y31 NAME SLICE_X58Y31 SITEPROP SLICE_X58Y31 NUM_ARCS 138 SITEPROP SLICE_X58Y31 NUM_BELS 32 SITEPROP SLICE_X58Y31 NUM_INPUTS 32 SITEPROP SLICE_X58Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y31 NUM_PINS 45 SITEPROP SLICE_X58Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y31 PROHIBIT 0 SITEPROP SLICE_X58Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y31 RPM_X 157 SITEPROP SLICE_X58Y31 RPM_Y 62 SITEPROP SLICE_X58Y31 SITE_PIPS SITEPROP SLICE_X58Y31 SITE_TYPE SLICEL SITEPROP SLICE_X58Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y32 CLASS site SITEPROP SLICE_X58Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y32 IS_BONDED 0 SITEPROP SLICE_X58Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y32 IS_PAD 0 SITEPROP SLICE_X58Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y32 IS_RESERVED 0 SITEPROP SLICE_X58Y32 IS_TEST 0 SITEPROP SLICE_X58Y32 IS_USED 0 SITEPROP SLICE_X58Y32 MANUAL_ROUTING SITEPROP SLICE_X58Y32 NAME SLICE_X58Y32 SITEPROP SLICE_X58Y32 NUM_ARCS 138 SITEPROP SLICE_X58Y32 NUM_BELS 32 SITEPROP SLICE_X58Y32 NUM_INPUTS 32 SITEPROP SLICE_X58Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y32 NUM_PINS 45 SITEPROP SLICE_X58Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y32 PROHIBIT 0 SITEPROP SLICE_X58Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y32 RPM_X 157 SITEPROP SLICE_X58Y32 RPM_Y 64 SITEPROP SLICE_X58Y32 SITE_PIPS SITEPROP SLICE_X58Y32 SITE_TYPE SLICEL SITEPROP SLICE_X58Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y33 CLASS site SITEPROP SLICE_X58Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y33 IS_BONDED 0 SITEPROP SLICE_X58Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y33 IS_PAD 0 SITEPROP SLICE_X58Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y33 IS_RESERVED 0 SITEPROP SLICE_X58Y33 IS_TEST 0 SITEPROP SLICE_X58Y33 IS_USED 0 SITEPROP SLICE_X58Y33 MANUAL_ROUTING SITEPROP SLICE_X58Y33 NAME SLICE_X58Y33 SITEPROP SLICE_X58Y33 NUM_ARCS 138 SITEPROP SLICE_X58Y33 NUM_BELS 32 SITEPROP SLICE_X58Y33 NUM_INPUTS 32 SITEPROP SLICE_X58Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y33 NUM_PINS 45 SITEPROP SLICE_X58Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y33 PROHIBIT 0 SITEPROP SLICE_X58Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y33 RPM_X 157 SITEPROP SLICE_X58Y33 RPM_Y 66 SITEPROP SLICE_X58Y33 SITE_PIPS SITEPROP SLICE_X58Y33 SITE_TYPE SLICEL SITEPROP SLICE_X58Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y34 CLASS site SITEPROP SLICE_X58Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y34 IS_BONDED 0 SITEPROP SLICE_X58Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y34 IS_PAD 0 SITEPROP SLICE_X58Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y34 IS_RESERVED 0 SITEPROP SLICE_X58Y34 IS_TEST 0 SITEPROP SLICE_X58Y34 IS_USED 0 SITEPROP SLICE_X58Y34 MANUAL_ROUTING SITEPROP SLICE_X58Y34 NAME SLICE_X58Y34 SITEPROP SLICE_X58Y34 NUM_ARCS 138 SITEPROP SLICE_X58Y34 NUM_BELS 32 SITEPROP SLICE_X58Y34 NUM_INPUTS 32 SITEPROP SLICE_X58Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y34 NUM_PINS 45 SITEPROP SLICE_X58Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y34 PROHIBIT 0 SITEPROP SLICE_X58Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y34 RPM_X 157 SITEPROP SLICE_X58Y34 RPM_Y 68 SITEPROP SLICE_X58Y34 SITE_PIPS SITEPROP SLICE_X58Y34 SITE_TYPE SLICEL SITEPROP SLICE_X58Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y35 CLASS site SITEPROP SLICE_X58Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y35 IS_BONDED 0 SITEPROP SLICE_X58Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y35 IS_PAD 0 SITEPROP SLICE_X58Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y35 IS_RESERVED 0 SITEPROP SLICE_X58Y35 IS_TEST 0 SITEPROP SLICE_X58Y35 IS_USED 0 SITEPROP SLICE_X58Y35 MANUAL_ROUTING SITEPROP SLICE_X58Y35 NAME SLICE_X58Y35 SITEPROP SLICE_X58Y35 NUM_ARCS 138 SITEPROP SLICE_X58Y35 NUM_BELS 32 SITEPROP SLICE_X58Y35 NUM_INPUTS 32 SITEPROP SLICE_X58Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y35 NUM_PINS 45 SITEPROP SLICE_X58Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y35 PROHIBIT 0 SITEPROP SLICE_X58Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y35 RPM_X 157 SITEPROP SLICE_X58Y35 RPM_Y 70 SITEPROP SLICE_X58Y35 SITE_PIPS SITEPROP SLICE_X58Y35 SITE_TYPE SLICEL SITEPROP SLICE_X58Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y36 CLASS site SITEPROP SLICE_X58Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y36 IS_BONDED 0 SITEPROP SLICE_X58Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y36 IS_PAD 0 SITEPROP SLICE_X58Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y36 IS_RESERVED 0 SITEPROP SLICE_X58Y36 IS_TEST 0 SITEPROP SLICE_X58Y36 IS_USED 0 SITEPROP SLICE_X58Y36 MANUAL_ROUTING SITEPROP SLICE_X58Y36 NAME SLICE_X58Y36 SITEPROP SLICE_X58Y36 NUM_ARCS 138 SITEPROP SLICE_X58Y36 NUM_BELS 32 SITEPROP SLICE_X58Y36 NUM_INPUTS 32 SITEPROP SLICE_X58Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y36 NUM_PINS 45 SITEPROP SLICE_X58Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y36 PROHIBIT 0 SITEPROP SLICE_X58Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y36 RPM_X 157 SITEPROP SLICE_X58Y36 RPM_Y 72 SITEPROP SLICE_X58Y36 SITE_PIPS SITEPROP SLICE_X58Y36 SITE_TYPE SLICEL SITEPROP SLICE_X58Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y37 CLASS site SITEPROP SLICE_X58Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y37 IS_BONDED 0 SITEPROP SLICE_X58Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y37 IS_PAD 0 SITEPROP SLICE_X58Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y37 IS_RESERVED 0 SITEPROP SLICE_X58Y37 IS_TEST 0 SITEPROP SLICE_X58Y37 IS_USED 0 SITEPROP SLICE_X58Y37 MANUAL_ROUTING SITEPROP SLICE_X58Y37 NAME SLICE_X58Y37 SITEPROP SLICE_X58Y37 NUM_ARCS 138 SITEPROP SLICE_X58Y37 NUM_BELS 32 SITEPROP SLICE_X58Y37 NUM_INPUTS 32 SITEPROP SLICE_X58Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y37 NUM_PINS 45 SITEPROP SLICE_X58Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y37 PROHIBIT 0 SITEPROP SLICE_X58Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y37 RPM_X 157 SITEPROP SLICE_X58Y37 RPM_Y 74 SITEPROP SLICE_X58Y37 SITE_PIPS SITEPROP SLICE_X58Y37 SITE_TYPE SLICEL SITEPROP SLICE_X58Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y38 CLASS site SITEPROP SLICE_X58Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y38 IS_BONDED 0 SITEPROP SLICE_X58Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y38 IS_PAD 0 SITEPROP SLICE_X58Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y38 IS_RESERVED 0 SITEPROP SLICE_X58Y38 IS_TEST 0 SITEPROP SLICE_X58Y38 IS_USED 0 SITEPROP SLICE_X58Y38 MANUAL_ROUTING SITEPROP SLICE_X58Y38 NAME SLICE_X58Y38 SITEPROP SLICE_X58Y38 NUM_ARCS 138 SITEPROP SLICE_X58Y38 NUM_BELS 32 SITEPROP SLICE_X58Y38 NUM_INPUTS 32 SITEPROP SLICE_X58Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y38 NUM_PINS 45 SITEPROP SLICE_X58Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y38 PROHIBIT 0 SITEPROP SLICE_X58Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y38 RPM_X 157 SITEPROP SLICE_X58Y38 RPM_Y 76 SITEPROP SLICE_X58Y38 SITE_PIPS SITEPROP SLICE_X58Y38 SITE_TYPE SLICEL SITEPROP SLICE_X58Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y39 CLASS site SITEPROP SLICE_X58Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y39 IS_BONDED 0 SITEPROP SLICE_X58Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y39 IS_PAD 0 SITEPROP SLICE_X58Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y39 IS_RESERVED 0 SITEPROP SLICE_X58Y39 IS_TEST 0 SITEPROP SLICE_X58Y39 IS_USED 0 SITEPROP SLICE_X58Y39 MANUAL_ROUTING SITEPROP SLICE_X58Y39 NAME SLICE_X58Y39 SITEPROP SLICE_X58Y39 NUM_ARCS 138 SITEPROP SLICE_X58Y39 NUM_BELS 32 SITEPROP SLICE_X58Y39 NUM_INPUTS 32 SITEPROP SLICE_X58Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y39 NUM_PINS 45 SITEPROP SLICE_X58Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y39 PROHIBIT 0 SITEPROP SLICE_X58Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y39 RPM_X 157 SITEPROP SLICE_X58Y39 RPM_Y 78 SITEPROP SLICE_X58Y39 SITE_PIPS SITEPROP SLICE_X58Y39 SITE_TYPE SLICEL SITEPROP SLICE_X58Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y40 CLASS site SITEPROP SLICE_X58Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y40 IS_BONDED 0 SITEPROP SLICE_X58Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y40 IS_PAD 0 SITEPROP SLICE_X58Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y40 IS_RESERVED 0 SITEPROP SLICE_X58Y40 IS_TEST 0 SITEPROP SLICE_X58Y40 IS_USED 0 SITEPROP SLICE_X58Y40 MANUAL_ROUTING SITEPROP SLICE_X58Y40 NAME SLICE_X58Y40 SITEPROP SLICE_X58Y40 NUM_ARCS 138 SITEPROP SLICE_X58Y40 NUM_BELS 32 SITEPROP SLICE_X58Y40 NUM_INPUTS 32 SITEPROP SLICE_X58Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y40 NUM_PINS 45 SITEPROP SLICE_X58Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y40 PROHIBIT 0 SITEPROP SLICE_X58Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y40 RPM_X 157 SITEPROP SLICE_X58Y40 RPM_Y 80 SITEPROP SLICE_X58Y40 SITE_PIPS SITEPROP SLICE_X58Y40 SITE_TYPE SLICEL SITEPROP SLICE_X58Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y41 CLASS site SITEPROP SLICE_X58Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y41 IS_BONDED 0 SITEPROP SLICE_X58Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y41 IS_PAD 0 SITEPROP SLICE_X58Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y41 IS_RESERVED 0 SITEPROP SLICE_X58Y41 IS_TEST 0 SITEPROP SLICE_X58Y41 IS_USED 0 SITEPROP SLICE_X58Y41 MANUAL_ROUTING SITEPROP SLICE_X58Y41 NAME SLICE_X58Y41 SITEPROP SLICE_X58Y41 NUM_ARCS 138 SITEPROP SLICE_X58Y41 NUM_BELS 32 SITEPROP SLICE_X58Y41 NUM_INPUTS 32 SITEPROP SLICE_X58Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y41 NUM_PINS 45 SITEPROP SLICE_X58Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y41 PROHIBIT 0 SITEPROP SLICE_X58Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y41 RPM_X 157 SITEPROP SLICE_X58Y41 RPM_Y 82 SITEPROP SLICE_X58Y41 SITE_PIPS SITEPROP SLICE_X58Y41 SITE_TYPE SLICEL SITEPROP SLICE_X58Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y42 CLASS site SITEPROP SLICE_X58Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y42 IS_BONDED 0 SITEPROP SLICE_X58Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y42 IS_PAD 0 SITEPROP SLICE_X58Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y42 IS_RESERVED 0 SITEPROP SLICE_X58Y42 IS_TEST 0 SITEPROP SLICE_X58Y42 IS_USED 0 SITEPROP SLICE_X58Y42 MANUAL_ROUTING SITEPROP SLICE_X58Y42 NAME SLICE_X58Y42 SITEPROP SLICE_X58Y42 NUM_ARCS 138 SITEPROP SLICE_X58Y42 NUM_BELS 32 SITEPROP SLICE_X58Y42 NUM_INPUTS 32 SITEPROP SLICE_X58Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y42 NUM_PINS 45 SITEPROP SLICE_X58Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y42 PROHIBIT 0 SITEPROP SLICE_X58Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y42 RPM_X 157 SITEPROP SLICE_X58Y42 RPM_Y 84 SITEPROP SLICE_X58Y42 SITE_PIPS SITEPROP SLICE_X58Y42 SITE_TYPE SLICEL SITEPROP SLICE_X58Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y43 CLASS site SITEPROP SLICE_X58Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y43 IS_BONDED 0 SITEPROP SLICE_X58Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y43 IS_PAD 0 SITEPROP SLICE_X58Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y43 IS_RESERVED 0 SITEPROP SLICE_X58Y43 IS_TEST 0 SITEPROP SLICE_X58Y43 IS_USED 0 SITEPROP SLICE_X58Y43 MANUAL_ROUTING SITEPROP SLICE_X58Y43 NAME SLICE_X58Y43 SITEPROP SLICE_X58Y43 NUM_ARCS 138 SITEPROP SLICE_X58Y43 NUM_BELS 32 SITEPROP SLICE_X58Y43 NUM_INPUTS 32 SITEPROP SLICE_X58Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y43 NUM_PINS 45 SITEPROP SLICE_X58Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y43 PROHIBIT 0 SITEPROP SLICE_X58Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y43 RPM_X 157 SITEPROP SLICE_X58Y43 RPM_Y 86 SITEPROP SLICE_X58Y43 SITE_PIPS SITEPROP SLICE_X58Y43 SITE_TYPE SLICEL SITEPROP SLICE_X58Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y44 CLASS site SITEPROP SLICE_X58Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y44 IS_BONDED 0 SITEPROP SLICE_X58Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y44 IS_PAD 0 SITEPROP SLICE_X58Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y44 IS_RESERVED 0 SITEPROP SLICE_X58Y44 IS_TEST 0 SITEPROP SLICE_X58Y44 IS_USED 0 SITEPROP SLICE_X58Y44 MANUAL_ROUTING SITEPROP SLICE_X58Y44 NAME SLICE_X58Y44 SITEPROP SLICE_X58Y44 NUM_ARCS 138 SITEPROP SLICE_X58Y44 NUM_BELS 32 SITEPROP SLICE_X58Y44 NUM_INPUTS 32 SITEPROP SLICE_X58Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y44 NUM_PINS 45 SITEPROP SLICE_X58Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y44 PROHIBIT 0 SITEPROP SLICE_X58Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y44 RPM_X 157 SITEPROP SLICE_X58Y44 RPM_Y 88 SITEPROP SLICE_X58Y44 SITE_PIPS SITEPROP SLICE_X58Y44 SITE_TYPE SLICEL SITEPROP SLICE_X58Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y45 CLASS site SITEPROP SLICE_X58Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y45 IS_BONDED 0 SITEPROP SLICE_X58Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y45 IS_PAD 0 SITEPROP SLICE_X58Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y45 IS_RESERVED 0 SITEPROP SLICE_X58Y45 IS_TEST 0 SITEPROP SLICE_X58Y45 IS_USED 0 SITEPROP SLICE_X58Y45 MANUAL_ROUTING SITEPROP SLICE_X58Y45 NAME SLICE_X58Y45 SITEPROP SLICE_X58Y45 NUM_ARCS 138 SITEPROP SLICE_X58Y45 NUM_BELS 32 SITEPROP SLICE_X58Y45 NUM_INPUTS 32 SITEPROP SLICE_X58Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y45 NUM_PINS 45 SITEPROP SLICE_X58Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y45 PROHIBIT 0 SITEPROP SLICE_X58Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y45 RPM_X 157 SITEPROP SLICE_X58Y45 RPM_Y 90 SITEPROP SLICE_X58Y45 SITE_PIPS SITEPROP SLICE_X58Y45 SITE_TYPE SLICEL SITEPROP SLICE_X58Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y46 CLASS site SITEPROP SLICE_X58Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y46 IS_BONDED 0 SITEPROP SLICE_X58Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y46 IS_PAD 0 SITEPROP SLICE_X58Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y46 IS_RESERVED 0 SITEPROP SLICE_X58Y46 IS_TEST 0 SITEPROP SLICE_X58Y46 IS_USED 0 SITEPROP SLICE_X58Y46 MANUAL_ROUTING SITEPROP SLICE_X58Y46 NAME SLICE_X58Y46 SITEPROP SLICE_X58Y46 NUM_ARCS 138 SITEPROP SLICE_X58Y46 NUM_BELS 32 SITEPROP SLICE_X58Y46 NUM_INPUTS 32 SITEPROP SLICE_X58Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y46 NUM_PINS 45 SITEPROP SLICE_X58Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y46 PROHIBIT 0 SITEPROP SLICE_X58Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y46 RPM_X 157 SITEPROP SLICE_X58Y46 RPM_Y 92 SITEPROP SLICE_X58Y46 SITE_PIPS SITEPROP SLICE_X58Y46 SITE_TYPE SLICEL SITEPROP SLICE_X58Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y47 CLASS site SITEPROP SLICE_X58Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y47 IS_BONDED 0 SITEPROP SLICE_X58Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y47 IS_PAD 0 SITEPROP SLICE_X58Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y47 IS_RESERVED 0 SITEPROP SLICE_X58Y47 IS_TEST 0 SITEPROP SLICE_X58Y47 IS_USED 0 SITEPROP SLICE_X58Y47 MANUAL_ROUTING SITEPROP SLICE_X58Y47 NAME SLICE_X58Y47 SITEPROP SLICE_X58Y47 NUM_ARCS 138 SITEPROP SLICE_X58Y47 NUM_BELS 32 SITEPROP SLICE_X58Y47 NUM_INPUTS 32 SITEPROP SLICE_X58Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y47 NUM_PINS 45 SITEPROP SLICE_X58Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y47 PROHIBIT 0 SITEPROP SLICE_X58Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y47 RPM_X 157 SITEPROP SLICE_X58Y47 RPM_Y 94 SITEPROP SLICE_X58Y47 SITE_PIPS SITEPROP SLICE_X58Y47 SITE_TYPE SLICEL SITEPROP SLICE_X58Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y48 CLASS site SITEPROP SLICE_X58Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y48 IS_BONDED 0 SITEPROP SLICE_X58Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y48 IS_PAD 0 SITEPROP SLICE_X58Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y48 IS_RESERVED 0 SITEPROP SLICE_X58Y48 IS_TEST 0 SITEPROP SLICE_X58Y48 IS_USED 0 SITEPROP SLICE_X58Y48 MANUAL_ROUTING SITEPROP SLICE_X58Y48 NAME SLICE_X58Y48 SITEPROP SLICE_X58Y48 NUM_ARCS 138 SITEPROP SLICE_X58Y48 NUM_BELS 32 SITEPROP SLICE_X58Y48 NUM_INPUTS 32 SITEPROP SLICE_X58Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y48 NUM_PINS 45 SITEPROP SLICE_X58Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y48 PROHIBIT 0 SITEPROP SLICE_X58Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y48 RPM_X 157 SITEPROP SLICE_X58Y48 RPM_Y 96 SITEPROP SLICE_X58Y48 SITE_PIPS SITEPROP SLICE_X58Y48 SITE_TYPE SLICEL SITEPROP SLICE_X58Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y49 CLASS site SITEPROP SLICE_X58Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X58Y49 IS_BONDED 0 SITEPROP SLICE_X58Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y49 IS_PAD 0 SITEPROP SLICE_X58Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y49 IS_RESERVED 0 SITEPROP SLICE_X58Y49 IS_TEST 0 SITEPROP SLICE_X58Y49 IS_USED 0 SITEPROP SLICE_X58Y49 MANUAL_ROUTING SITEPROP SLICE_X58Y49 NAME SLICE_X58Y49 SITEPROP SLICE_X58Y49 NUM_ARCS 138 SITEPROP SLICE_X58Y49 NUM_BELS 32 SITEPROP SLICE_X58Y49 NUM_INPUTS 32 SITEPROP SLICE_X58Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y49 NUM_PINS 45 SITEPROP SLICE_X58Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y49 PROHIBIT 0 SITEPROP SLICE_X58Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y49 RPM_X 157 SITEPROP SLICE_X58Y49 RPM_Y 98 SITEPROP SLICE_X58Y49 SITE_PIPS SITEPROP SLICE_X58Y49 SITE_TYPE SLICEL SITEPROP SLICE_X58Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y50 CLASS site SITEPROP SLICE_X58Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y50 IS_BONDED 0 SITEPROP SLICE_X58Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y50 IS_PAD 0 SITEPROP SLICE_X58Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y50 IS_RESERVED 0 SITEPROP SLICE_X58Y50 IS_TEST 0 SITEPROP SLICE_X58Y50 IS_USED 0 SITEPROP SLICE_X58Y50 MANUAL_ROUTING SITEPROP SLICE_X58Y50 NAME SLICE_X58Y50 SITEPROP SLICE_X58Y50 NUM_ARCS 138 SITEPROP SLICE_X58Y50 NUM_BELS 32 SITEPROP SLICE_X58Y50 NUM_INPUTS 32 SITEPROP SLICE_X58Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y50 NUM_PINS 45 SITEPROP SLICE_X58Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y50 PROHIBIT 0 SITEPROP SLICE_X58Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y50 RPM_X 157 SITEPROP SLICE_X58Y50 RPM_Y 100 SITEPROP SLICE_X58Y50 SITE_PIPS SITEPROP SLICE_X58Y50 SITE_TYPE SLICEL SITEPROP SLICE_X58Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y51 CLASS site SITEPROP SLICE_X58Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y51 IS_BONDED 0 SITEPROP SLICE_X58Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y51 IS_PAD 0 SITEPROP SLICE_X58Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y51 IS_RESERVED 0 SITEPROP SLICE_X58Y51 IS_TEST 0 SITEPROP SLICE_X58Y51 IS_USED 0 SITEPROP SLICE_X58Y51 MANUAL_ROUTING SITEPROP SLICE_X58Y51 NAME SLICE_X58Y51 SITEPROP SLICE_X58Y51 NUM_ARCS 138 SITEPROP SLICE_X58Y51 NUM_BELS 32 SITEPROP SLICE_X58Y51 NUM_INPUTS 32 SITEPROP SLICE_X58Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y51 NUM_PINS 45 SITEPROP SLICE_X58Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y51 PROHIBIT 0 SITEPROP SLICE_X58Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y51 RPM_X 157 SITEPROP SLICE_X58Y51 RPM_Y 102 SITEPROP SLICE_X58Y51 SITE_PIPS SITEPROP SLICE_X58Y51 SITE_TYPE SLICEL SITEPROP SLICE_X58Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y52 CLASS site SITEPROP SLICE_X58Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y52 IS_BONDED 0 SITEPROP SLICE_X58Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y52 IS_PAD 0 SITEPROP SLICE_X58Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y52 IS_RESERVED 0 SITEPROP SLICE_X58Y52 IS_TEST 0 SITEPROP SLICE_X58Y52 IS_USED 0 SITEPROP SLICE_X58Y52 MANUAL_ROUTING SITEPROP SLICE_X58Y52 NAME SLICE_X58Y52 SITEPROP SLICE_X58Y52 NUM_ARCS 138 SITEPROP SLICE_X58Y52 NUM_BELS 32 SITEPROP SLICE_X58Y52 NUM_INPUTS 32 SITEPROP SLICE_X58Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y52 NUM_PINS 45 SITEPROP SLICE_X58Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y52 PROHIBIT 0 SITEPROP SLICE_X58Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y52 RPM_X 157 SITEPROP SLICE_X58Y52 RPM_Y 104 SITEPROP SLICE_X58Y52 SITE_PIPS SITEPROP SLICE_X58Y52 SITE_TYPE SLICEL SITEPROP SLICE_X58Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y53 CLASS site SITEPROP SLICE_X58Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y53 IS_BONDED 0 SITEPROP SLICE_X58Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y53 IS_PAD 0 SITEPROP SLICE_X58Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y53 IS_RESERVED 0 SITEPROP SLICE_X58Y53 IS_TEST 0 SITEPROP SLICE_X58Y53 IS_USED 0 SITEPROP SLICE_X58Y53 MANUAL_ROUTING SITEPROP SLICE_X58Y53 NAME SLICE_X58Y53 SITEPROP SLICE_X58Y53 NUM_ARCS 138 SITEPROP SLICE_X58Y53 NUM_BELS 32 SITEPROP SLICE_X58Y53 NUM_INPUTS 32 SITEPROP SLICE_X58Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y53 NUM_PINS 45 SITEPROP SLICE_X58Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y53 PROHIBIT 0 SITEPROP SLICE_X58Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y53 RPM_X 157 SITEPROP SLICE_X58Y53 RPM_Y 106 SITEPROP SLICE_X58Y53 SITE_PIPS SITEPROP SLICE_X58Y53 SITE_TYPE SLICEL SITEPROP SLICE_X58Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y54 CLASS site SITEPROP SLICE_X58Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y54 IS_BONDED 0 SITEPROP SLICE_X58Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y54 IS_PAD 0 SITEPROP SLICE_X58Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y54 IS_RESERVED 0 SITEPROP SLICE_X58Y54 IS_TEST 0 SITEPROP SLICE_X58Y54 IS_USED 0 SITEPROP SLICE_X58Y54 MANUAL_ROUTING SITEPROP SLICE_X58Y54 NAME SLICE_X58Y54 SITEPROP SLICE_X58Y54 NUM_ARCS 138 SITEPROP SLICE_X58Y54 NUM_BELS 32 SITEPROP SLICE_X58Y54 NUM_INPUTS 32 SITEPROP SLICE_X58Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y54 NUM_PINS 45 SITEPROP SLICE_X58Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y54 PROHIBIT 0 SITEPROP SLICE_X58Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y54 RPM_X 157 SITEPROP SLICE_X58Y54 RPM_Y 108 SITEPROP SLICE_X58Y54 SITE_PIPS SITEPROP SLICE_X58Y54 SITE_TYPE SLICEL SITEPROP SLICE_X58Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y55 CLASS site SITEPROP SLICE_X58Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y55 IS_BONDED 0 SITEPROP SLICE_X58Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y55 IS_PAD 0 SITEPROP SLICE_X58Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y55 IS_RESERVED 0 SITEPROP SLICE_X58Y55 IS_TEST 0 SITEPROP SLICE_X58Y55 IS_USED 0 SITEPROP SLICE_X58Y55 MANUAL_ROUTING SITEPROP SLICE_X58Y55 NAME SLICE_X58Y55 SITEPROP SLICE_X58Y55 NUM_ARCS 138 SITEPROP SLICE_X58Y55 NUM_BELS 32 SITEPROP SLICE_X58Y55 NUM_INPUTS 32 SITEPROP SLICE_X58Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y55 NUM_PINS 45 SITEPROP SLICE_X58Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y55 PROHIBIT 0 SITEPROP SLICE_X58Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y55 RPM_X 157 SITEPROP SLICE_X58Y55 RPM_Y 110 SITEPROP SLICE_X58Y55 SITE_PIPS SITEPROP SLICE_X58Y55 SITE_TYPE SLICEL SITEPROP SLICE_X58Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y56 CLASS site SITEPROP SLICE_X58Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y56 IS_BONDED 0 SITEPROP SLICE_X58Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y56 IS_PAD 0 SITEPROP SLICE_X58Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y56 IS_RESERVED 0 SITEPROP SLICE_X58Y56 IS_TEST 0 SITEPROP SLICE_X58Y56 IS_USED 0 SITEPROP SLICE_X58Y56 MANUAL_ROUTING SITEPROP SLICE_X58Y56 NAME SLICE_X58Y56 SITEPROP SLICE_X58Y56 NUM_ARCS 138 SITEPROP SLICE_X58Y56 NUM_BELS 32 SITEPROP SLICE_X58Y56 NUM_INPUTS 32 SITEPROP SLICE_X58Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y56 NUM_PINS 45 SITEPROP SLICE_X58Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y56 PROHIBIT 0 SITEPROP SLICE_X58Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y56 RPM_X 157 SITEPROP SLICE_X58Y56 RPM_Y 112 SITEPROP SLICE_X58Y56 SITE_PIPS SITEPROP SLICE_X58Y56 SITE_TYPE SLICEL SITEPROP SLICE_X58Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y57 CLASS site SITEPROP SLICE_X58Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y57 IS_BONDED 0 SITEPROP SLICE_X58Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y57 IS_PAD 0 SITEPROP SLICE_X58Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y57 IS_RESERVED 0 SITEPROP SLICE_X58Y57 IS_TEST 0 SITEPROP SLICE_X58Y57 IS_USED 0 SITEPROP SLICE_X58Y57 MANUAL_ROUTING SITEPROP SLICE_X58Y57 NAME SLICE_X58Y57 SITEPROP SLICE_X58Y57 NUM_ARCS 138 SITEPROP SLICE_X58Y57 NUM_BELS 32 SITEPROP SLICE_X58Y57 NUM_INPUTS 32 SITEPROP SLICE_X58Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y57 NUM_PINS 45 SITEPROP SLICE_X58Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y57 PROHIBIT 0 SITEPROP SLICE_X58Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y57 RPM_X 157 SITEPROP SLICE_X58Y57 RPM_Y 114 SITEPROP SLICE_X58Y57 SITE_PIPS SITEPROP SLICE_X58Y57 SITE_TYPE SLICEL SITEPROP SLICE_X58Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y58 CLASS site SITEPROP SLICE_X58Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y58 IS_BONDED 0 SITEPROP SLICE_X58Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y58 IS_PAD 0 SITEPROP SLICE_X58Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y58 IS_RESERVED 0 SITEPROP SLICE_X58Y58 IS_TEST 0 SITEPROP SLICE_X58Y58 IS_USED 0 SITEPROP SLICE_X58Y58 MANUAL_ROUTING SITEPROP SLICE_X58Y58 NAME SLICE_X58Y58 SITEPROP SLICE_X58Y58 NUM_ARCS 138 SITEPROP SLICE_X58Y58 NUM_BELS 32 SITEPROP SLICE_X58Y58 NUM_INPUTS 32 SITEPROP SLICE_X58Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y58 NUM_PINS 45 SITEPROP SLICE_X58Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y58 PROHIBIT 0 SITEPROP SLICE_X58Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y58 RPM_X 157 SITEPROP SLICE_X58Y58 RPM_Y 116 SITEPROP SLICE_X58Y58 SITE_PIPS SITEPROP SLICE_X58Y58 SITE_TYPE SLICEL SITEPROP SLICE_X58Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y59 CLASS site SITEPROP SLICE_X58Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y59 IS_BONDED 0 SITEPROP SLICE_X58Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y59 IS_PAD 0 SITEPROP SLICE_X58Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y59 IS_RESERVED 0 SITEPROP SLICE_X58Y59 IS_TEST 0 SITEPROP SLICE_X58Y59 IS_USED 0 SITEPROP SLICE_X58Y59 MANUAL_ROUTING SITEPROP SLICE_X58Y59 NAME SLICE_X58Y59 SITEPROP SLICE_X58Y59 NUM_ARCS 138 SITEPROP SLICE_X58Y59 NUM_BELS 32 SITEPROP SLICE_X58Y59 NUM_INPUTS 32 SITEPROP SLICE_X58Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y59 NUM_PINS 45 SITEPROP SLICE_X58Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y59 PROHIBIT 0 SITEPROP SLICE_X58Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y59 RPM_X 157 SITEPROP SLICE_X58Y59 RPM_Y 118 SITEPROP SLICE_X58Y59 SITE_PIPS SITEPROP SLICE_X58Y59 SITE_TYPE SLICEL SITEPROP SLICE_X58Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y60 CLASS site SITEPROP SLICE_X58Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y60 IS_BONDED 0 SITEPROP SLICE_X58Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y60 IS_PAD 0 SITEPROP SLICE_X58Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y60 IS_RESERVED 0 SITEPROP SLICE_X58Y60 IS_TEST 0 SITEPROP SLICE_X58Y60 IS_USED 0 SITEPROP SLICE_X58Y60 MANUAL_ROUTING SITEPROP SLICE_X58Y60 NAME SLICE_X58Y60 SITEPROP SLICE_X58Y60 NUM_ARCS 138 SITEPROP SLICE_X58Y60 NUM_BELS 32 SITEPROP SLICE_X58Y60 NUM_INPUTS 32 SITEPROP SLICE_X58Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y60 NUM_PINS 45 SITEPROP SLICE_X58Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y60 PROHIBIT 0 SITEPROP SLICE_X58Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y60 RPM_X 157 SITEPROP SLICE_X58Y60 RPM_Y 120 SITEPROP SLICE_X58Y60 SITE_PIPS SITEPROP SLICE_X58Y60 SITE_TYPE SLICEL SITEPROP SLICE_X58Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y61 CLASS site SITEPROP SLICE_X58Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y61 IS_BONDED 0 SITEPROP SLICE_X58Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y61 IS_PAD 0 SITEPROP SLICE_X58Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y61 IS_RESERVED 0 SITEPROP SLICE_X58Y61 IS_TEST 0 SITEPROP SLICE_X58Y61 IS_USED 0 SITEPROP SLICE_X58Y61 MANUAL_ROUTING SITEPROP SLICE_X58Y61 NAME SLICE_X58Y61 SITEPROP SLICE_X58Y61 NUM_ARCS 138 SITEPROP SLICE_X58Y61 NUM_BELS 32 SITEPROP SLICE_X58Y61 NUM_INPUTS 32 SITEPROP SLICE_X58Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y61 NUM_PINS 45 SITEPROP SLICE_X58Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y61 PROHIBIT 0 SITEPROP SLICE_X58Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y61 RPM_X 157 SITEPROP SLICE_X58Y61 RPM_Y 122 SITEPROP SLICE_X58Y61 SITE_PIPS SITEPROP SLICE_X58Y61 SITE_TYPE SLICEL SITEPROP SLICE_X58Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y62 CLASS site SITEPROP SLICE_X58Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y62 IS_BONDED 0 SITEPROP SLICE_X58Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y62 IS_PAD 0 SITEPROP SLICE_X58Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y62 IS_RESERVED 0 SITEPROP SLICE_X58Y62 IS_TEST 0 SITEPROP SLICE_X58Y62 IS_USED 0 SITEPROP SLICE_X58Y62 MANUAL_ROUTING SITEPROP SLICE_X58Y62 NAME SLICE_X58Y62 SITEPROP SLICE_X58Y62 NUM_ARCS 138 SITEPROP SLICE_X58Y62 NUM_BELS 32 SITEPROP SLICE_X58Y62 NUM_INPUTS 32 SITEPROP SLICE_X58Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y62 NUM_PINS 45 SITEPROP SLICE_X58Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y62 PROHIBIT 0 SITEPROP SLICE_X58Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y62 RPM_X 157 SITEPROP SLICE_X58Y62 RPM_Y 124 SITEPROP SLICE_X58Y62 SITE_PIPS SITEPROP SLICE_X58Y62 SITE_TYPE SLICEL SITEPROP SLICE_X58Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y63 CLASS site SITEPROP SLICE_X58Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y63 IS_BONDED 0 SITEPROP SLICE_X58Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y63 IS_PAD 0 SITEPROP SLICE_X58Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y63 IS_RESERVED 0 SITEPROP SLICE_X58Y63 IS_TEST 0 SITEPROP SLICE_X58Y63 IS_USED 0 SITEPROP SLICE_X58Y63 MANUAL_ROUTING SITEPROP SLICE_X58Y63 NAME SLICE_X58Y63 SITEPROP SLICE_X58Y63 NUM_ARCS 138 SITEPROP SLICE_X58Y63 NUM_BELS 32 SITEPROP SLICE_X58Y63 NUM_INPUTS 32 SITEPROP SLICE_X58Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y63 NUM_PINS 45 SITEPROP SLICE_X58Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y63 PROHIBIT 0 SITEPROP SLICE_X58Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y63 RPM_X 157 SITEPROP SLICE_X58Y63 RPM_Y 126 SITEPROP SLICE_X58Y63 SITE_PIPS SITEPROP SLICE_X58Y63 SITE_TYPE SLICEL SITEPROP SLICE_X58Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y64 CLASS site SITEPROP SLICE_X58Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y64 IS_BONDED 0 SITEPROP SLICE_X58Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y64 IS_PAD 0 SITEPROP SLICE_X58Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y64 IS_RESERVED 0 SITEPROP SLICE_X58Y64 IS_TEST 0 SITEPROP SLICE_X58Y64 IS_USED 0 SITEPROP SLICE_X58Y64 MANUAL_ROUTING SITEPROP SLICE_X58Y64 NAME SLICE_X58Y64 SITEPROP SLICE_X58Y64 NUM_ARCS 138 SITEPROP SLICE_X58Y64 NUM_BELS 32 SITEPROP SLICE_X58Y64 NUM_INPUTS 32 SITEPROP SLICE_X58Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y64 NUM_PINS 45 SITEPROP SLICE_X58Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y64 PROHIBIT 0 SITEPROP SLICE_X58Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y64 RPM_X 157 SITEPROP SLICE_X58Y64 RPM_Y 128 SITEPROP SLICE_X58Y64 SITE_PIPS SITEPROP SLICE_X58Y64 SITE_TYPE SLICEL SITEPROP SLICE_X58Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y65 CLASS site SITEPROP SLICE_X58Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y65 IS_BONDED 0 SITEPROP SLICE_X58Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y65 IS_PAD 0 SITEPROP SLICE_X58Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y65 IS_RESERVED 0 SITEPROP SLICE_X58Y65 IS_TEST 0 SITEPROP SLICE_X58Y65 IS_USED 0 SITEPROP SLICE_X58Y65 MANUAL_ROUTING SITEPROP SLICE_X58Y65 NAME SLICE_X58Y65 SITEPROP SLICE_X58Y65 NUM_ARCS 138 SITEPROP SLICE_X58Y65 NUM_BELS 32 SITEPROP SLICE_X58Y65 NUM_INPUTS 32 SITEPROP SLICE_X58Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y65 NUM_PINS 45 SITEPROP SLICE_X58Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y65 PROHIBIT 0 SITEPROP SLICE_X58Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y65 RPM_X 157 SITEPROP SLICE_X58Y65 RPM_Y 130 SITEPROP SLICE_X58Y65 SITE_PIPS SITEPROP SLICE_X58Y65 SITE_TYPE SLICEL SITEPROP SLICE_X58Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y66 CLASS site SITEPROP SLICE_X58Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y66 IS_BONDED 0 SITEPROP SLICE_X58Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y66 IS_PAD 0 SITEPROP SLICE_X58Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y66 IS_RESERVED 0 SITEPROP SLICE_X58Y66 IS_TEST 0 SITEPROP SLICE_X58Y66 IS_USED 0 SITEPROP SLICE_X58Y66 MANUAL_ROUTING SITEPROP SLICE_X58Y66 NAME SLICE_X58Y66 SITEPROP SLICE_X58Y66 NUM_ARCS 138 SITEPROP SLICE_X58Y66 NUM_BELS 32 SITEPROP SLICE_X58Y66 NUM_INPUTS 32 SITEPROP SLICE_X58Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y66 NUM_PINS 45 SITEPROP SLICE_X58Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y66 PROHIBIT 0 SITEPROP SLICE_X58Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y66 RPM_X 157 SITEPROP SLICE_X58Y66 RPM_Y 132 SITEPROP SLICE_X58Y66 SITE_PIPS SITEPROP SLICE_X58Y66 SITE_TYPE SLICEL SITEPROP SLICE_X58Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y67 CLASS site SITEPROP SLICE_X58Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y67 IS_BONDED 0 SITEPROP SLICE_X58Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y67 IS_PAD 0 SITEPROP SLICE_X58Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y67 IS_RESERVED 0 SITEPROP SLICE_X58Y67 IS_TEST 0 SITEPROP SLICE_X58Y67 IS_USED 0 SITEPROP SLICE_X58Y67 MANUAL_ROUTING SITEPROP SLICE_X58Y67 NAME SLICE_X58Y67 SITEPROP SLICE_X58Y67 NUM_ARCS 138 SITEPROP SLICE_X58Y67 NUM_BELS 32 SITEPROP SLICE_X58Y67 NUM_INPUTS 32 SITEPROP SLICE_X58Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y67 NUM_PINS 45 SITEPROP SLICE_X58Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y67 PROHIBIT 0 SITEPROP SLICE_X58Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y67 RPM_X 157 SITEPROP SLICE_X58Y67 RPM_Y 134 SITEPROP SLICE_X58Y67 SITE_PIPS SITEPROP SLICE_X58Y67 SITE_TYPE SLICEL SITEPROP SLICE_X58Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y68 CLASS site SITEPROP SLICE_X58Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y68 IS_BONDED 0 SITEPROP SLICE_X58Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y68 IS_PAD 0 SITEPROP SLICE_X58Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y68 IS_RESERVED 0 SITEPROP SLICE_X58Y68 IS_TEST 0 SITEPROP SLICE_X58Y68 IS_USED 0 SITEPROP SLICE_X58Y68 MANUAL_ROUTING SITEPROP SLICE_X58Y68 NAME SLICE_X58Y68 SITEPROP SLICE_X58Y68 NUM_ARCS 138 SITEPROP SLICE_X58Y68 NUM_BELS 32 SITEPROP SLICE_X58Y68 NUM_INPUTS 32 SITEPROP SLICE_X58Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y68 NUM_PINS 45 SITEPROP SLICE_X58Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y68 PROHIBIT 0 SITEPROP SLICE_X58Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y68 RPM_X 157 SITEPROP SLICE_X58Y68 RPM_Y 136 SITEPROP SLICE_X58Y68 SITE_PIPS SITEPROP SLICE_X58Y68 SITE_TYPE SLICEL SITEPROP SLICE_X58Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y69 CLASS site SITEPROP SLICE_X58Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y69 IS_BONDED 0 SITEPROP SLICE_X58Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y69 IS_PAD 0 SITEPROP SLICE_X58Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y69 IS_RESERVED 0 SITEPROP SLICE_X58Y69 IS_TEST 0 SITEPROP SLICE_X58Y69 IS_USED 0 SITEPROP SLICE_X58Y69 MANUAL_ROUTING SITEPROP SLICE_X58Y69 NAME SLICE_X58Y69 SITEPROP SLICE_X58Y69 NUM_ARCS 138 SITEPROP SLICE_X58Y69 NUM_BELS 32 SITEPROP SLICE_X58Y69 NUM_INPUTS 32 SITEPROP SLICE_X58Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y69 NUM_PINS 45 SITEPROP SLICE_X58Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y69 PROHIBIT 0 SITEPROP SLICE_X58Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y69 RPM_X 157 SITEPROP SLICE_X58Y69 RPM_Y 138 SITEPROP SLICE_X58Y69 SITE_PIPS SITEPROP SLICE_X58Y69 SITE_TYPE SLICEL SITEPROP SLICE_X58Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y70 CLASS site SITEPROP SLICE_X58Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y70 IS_BONDED 0 SITEPROP SLICE_X58Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y70 IS_PAD 0 SITEPROP SLICE_X58Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y70 IS_RESERVED 0 SITEPROP SLICE_X58Y70 IS_TEST 0 SITEPROP SLICE_X58Y70 IS_USED 0 SITEPROP SLICE_X58Y70 MANUAL_ROUTING SITEPROP SLICE_X58Y70 NAME SLICE_X58Y70 SITEPROP SLICE_X58Y70 NUM_ARCS 138 SITEPROP SLICE_X58Y70 NUM_BELS 32 SITEPROP SLICE_X58Y70 NUM_INPUTS 32 SITEPROP SLICE_X58Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y70 NUM_PINS 45 SITEPROP SLICE_X58Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y70 PROHIBIT 0 SITEPROP SLICE_X58Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y70 RPM_X 157 SITEPROP SLICE_X58Y70 RPM_Y 140 SITEPROP SLICE_X58Y70 SITE_PIPS SITEPROP SLICE_X58Y70 SITE_TYPE SLICEL SITEPROP SLICE_X58Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y71 CLASS site SITEPROP SLICE_X58Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y71 IS_BONDED 0 SITEPROP SLICE_X58Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y71 IS_PAD 0 SITEPROP SLICE_X58Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y71 IS_RESERVED 0 SITEPROP SLICE_X58Y71 IS_TEST 0 SITEPROP SLICE_X58Y71 IS_USED 0 SITEPROP SLICE_X58Y71 MANUAL_ROUTING SITEPROP SLICE_X58Y71 NAME SLICE_X58Y71 SITEPROP SLICE_X58Y71 NUM_ARCS 138 SITEPROP SLICE_X58Y71 NUM_BELS 32 SITEPROP SLICE_X58Y71 NUM_INPUTS 32 SITEPROP SLICE_X58Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y71 NUM_PINS 45 SITEPROP SLICE_X58Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y71 PROHIBIT 0 SITEPROP SLICE_X58Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y71 RPM_X 157 SITEPROP SLICE_X58Y71 RPM_Y 142 SITEPROP SLICE_X58Y71 SITE_PIPS SITEPROP SLICE_X58Y71 SITE_TYPE SLICEL SITEPROP SLICE_X58Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y72 CLASS site SITEPROP SLICE_X58Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y72 IS_BONDED 0 SITEPROP SLICE_X58Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y72 IS_PAD 0 SITEPROP SLICE_X58Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y72 IS_RESERVED 0 SITEPROP SLICE_X58Y72 IS_TEST 0 SITEPROP SLICE_X58Y72 IS_USED 0 SITEPROP SLICE_X58Y72 MANUAL_ROUTING SITEPROP SLICE_X58Y72 NAME SLICE_X58Y72 SITEPROP SLICE_X58Y72 NUM_ARCS 138 SITEPROP SLICE_X58Y72 NUM_BELS 32 SITEPROP SLICE_X58Y72 NUM_INPUTS 32 SITEPROP SLICE_X58Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y72 NUM_PINS 45 SITEPROP SLICE_X58Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y72 PROHIBIT 0 SITEPROP SLICE_X58Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y72 RPM_X 157 SITEPROP SLICE_X58Y72 RPM_Y 144 SITEPROP SLICE_X58Y72 SITE_PIPS SITEPROP SLICE_X58Y72 SITE_TYPE SLICEL SITEPROP SLICE_X58Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y73 CLASS site SITEPROP SLICE_X58Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y73 IS_BONDED 0 SITEPROP SLICE_X58Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y73 IS_PAD 0 SITEPROP SLICE_X58Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y73 IS_RESERVED 0 SITEPROP SLICE_X58Y73 IS_TEST 0 SITEPROP SLICE_X58Y73 IS_USED 0 SITEPROP SLICE_X58Y73 MANUAL_ROUTING SITEPROP SLICE_X58Y73 NAME SLICE_X58Y73 SITEPROP SLICE_X58Y73 NUM_ARCS 138 SITEPROP SLICE_X58Y73 NUM_BELS 32 SITEPROP SLICE_X58Y73 NUM_INPUTS 32 SITEPROP SLICE_X58Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y73 NUM_PINS 45 SITEPROP SLICE_X58Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y73 PROHIBIT 0 SITEPROP SLICE_X58Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y73 RPM_X 157 SITEPROP SLICE_X58Y73 RPM_Y 146 SITEPROP SLICE_X58Y73 SITE_PIPS SITEPROP SLICE_X58Y73 SITE_TYPE SLICEL SITEPROP SLICE_X58Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y74 CLASS site SITEPROP SLICE_X58Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y74 IS_BONDED 0 SITEPROP SLICE_X58Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y74 IS_PAD 0 SITEPROP SLICE_X58Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y74 IS_RESERVED 0 SITEPROP SLICE_X58Y74 IS_TEST 0 SITEPROP SLICE_X58Y74 IS_USED 0 SITEPROP SLICE_X58Y74 MANUAL_ROUTING SITEPROP SLICE_X58Y74 NAME SLICE_X58Y74 SITEPROP SLICE_X58Y74 NUM_ARCS 138 SITEPROP SLICE_X58Y74 NUM_BELS 32 SITEPROP SLICE_X58Y74 NUM_INPUTS 32 SITEPROP SLICE_X58Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y74 NUM_PINS 45 SITEPROP SLICE_X58Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y74 PROHIBIT 0 SITEPROP SLICE_X58Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y74 RPM_X 157 SITEPROP SLICE_X58Y74 RPM_Y 148 SITEPROP SLICE_X58Y74 SITE_PIPS SITEPROP SLICE_X58Y74 SITE_TYPE SLICEL SITEPROP SLICE_X58Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y75 CLASS site SITEPROP SLICE_X58Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y75 IS_BONDED 0 SITEPROP SLICE_X58Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y75 IS_PAD 0 SITEPROP SLICE_X58Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y75 IS_RESERVED 0 SITEPROP SLICE_X58Y75 IS_TEST 0 SITEPROP SLICE_X58Y75 IS_USED 0 SITEPROP SLICE_X58Y75 MANUAL_ROUTING SITEPROP SLICE_X58Y75 NAME SLICE_X58Y75 SITEPROP SLICE_X58Y75 NUM_ARCS 138 SITEPROP SLICE_X58Y75 NUM_BELS 32 SITEPROP SLICE_X58Y75 NUM_INPUTS 32 SITEPROP SLICE_X58Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y75 NUM_PINS 45 SITEPROP SLICE_X58Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y75 PROHIBIT 0 SITEPROP SLICE_X58Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y75 RPM_X 157 SITEPROP SLICE_X58Y75 RPM_Y 150 SITEPROP SLICE_X58Y75 SITE_PIPS SITEPROP SLICE_X58Y75 SITE_TYPE SLICEL SITEPROP SLICE_X58Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y76 CLASS site SITEPROP SLICE_X58Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y76 IS_BONDED 0 SITEPROP SLICE_X58Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y76 IS_PAD 0 SITEPROP SLICE_X58Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y76 IS_RESERVED 0 SITEPROP SLICE_X58Y76 IS_TEST 0 SITEPROP SLICE_X58Y76 IS_USED 0 SITEPROP SLICE_X58Y76 MANUAL_ROUTING SITEPROP SLICE_X58Y76 NAME SLICE_X58Y76 SITEPROP SLICE_X58Y76 NUM_ARCS 138 SITEPROP SLICE_X58Y76 NUM_BELS 32 SITEPROP SLICE_X58Y76 NUM_INPUTS 32 SITEPROP SLICE_X58Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y76 NUM_PINS 45 SITEPROP SLICE_X58Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y76 PROHIBIT 0 SITEPROP SLICE_X58Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y76 RPM_X 157 SITEPROP SLICE_X58Y76 RPM_Y 152 SITEPROP SLICE_X58Y76 SITE_PIPS SITEPROP SLICE_X58Y76 SITE_TYPE SLICEL SITEPROP SLICE_X58Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y77 CLASS site SITEPROP SLICE_X58Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y77 IS_BONDED 0 SITEPROP SLICE_X58Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y77 IS_PAD 0 SITEPROP SLICE_X58Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y77 IS_RESERVED 0 SITEPROP SLICE_X58Y77 IS_TEST 0 SITEPROP SLICE_X58Y77 IS_USED 0 SITEPROP SLICE_X58Y77 MANUAL_ROUTING SITEPROP SLICE_X58Y77 NAME SLICE_X58Y77 SITEPROP SLICE_X58Y77 NUM_ARCS 138 SITEPROP SLICE_X58Y77 NUM_BELS 32 SITEPROP SLICE_X58Y77 NUM_INPUTS 32 SITEPROP SLICE_X58Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y77 NUM_PINS 45 SITEPROP SLICE_X58Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y77 PROHIBIT 0 SITEPROP SLICE_X58Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y77 RPM_X 157 SITEPROP SLICE_X58Y77 RPM_Y 154 SITEPROP SLICE_X58Y77 SITE_PIPS SITEPROP SLICE_X58Y77 SITE_TYPE SLICEL SITEPROP SLICE_X58Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y78 CLASS site SITEPROP SLICE_X58Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y78 IS_BONDED 0 SITEPROP SLICE_X58Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y78 IS_PAD 0 SITEPROP SLICE_X58Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y78 IS_RESERVED 0 SITEPROP SLICE_X58Y78 IS_TEST 0 SITEPROP SLICE_X58Y78 IS_USED 0 SITEPROP SLICE_X58Y78 MANUAL_ROUTING SITEPROP SLICE_X58Y78 NAME SLICE_X58Y78 SITEPROP SLICE_X58Y78 NUM_ARCS 138 SITEPROP SLICE_X58Y78 NUM_BELS 32 SITEPROP SLICE_X58Y78 NUM_INPUTS 32 SITEPROP SLICE_X58Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y78 NUM_PINS 45 SITEPROP SLICE_X58Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y78 PROHIBIT 0 SITEPROP SLICE_X58Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y78 RPM_X 157 SITEPROP SLICE_X58Y78 RPM_Y 156 SITEPROP SLICE_X58Y78 SITE_PIPS SITEPROP SLICE_X58Y78 SITE_TYPE SLICEL SITEPROP SLICE_X58Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y79 CLASS site SITEPROP SLICE_X58Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y79 IS_BONDED 0 SITEPROP SLICE_X58Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y79 IS_PAD 0 SITEPROP SLICE_X58Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y79 IS_RESERVED 0 SITEPROP SLICE_X58Y79 IS_TEST 0 SITEPROP SLICE_X58Y79 IS_USED 0 SITEPROP SLICE_X58Y79 MANUAL_ROUTING SITEPROP SLICE_X58Y79 NAME SLICE_X58Y79 SITEPROP SLICE_X58Y79 NUM_ARCS 138 SITEPROP SLICE_X58Y79 NUM_BELS 32 SITEPROP SLICE_X58Y79 NUM_INPUTS 32 SITEPROP SLICE_X58Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y79 NUM_PINS 45 SITEPROP SLICE_X58Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y79 PROHIBIT 0 SITEPROP SLICE_X58Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y79 RPM_X 157 SITEPROP SLICE_X58Y79 RPM_Y 158 SITEPROP SLICE_X58Y79 SITE_PIPS SITEPROP SLICE_X58Y79 SITE_TYPE SLICEL SITEPROP SLICE_X58Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y80 CLASS site SITEPROP SLICE_X58Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y80 IS_BONDED 0 SITEPROP SLICE_X58Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y80 IS_PAD 0 SITEPROP SLICE_X58Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y80 IS_RESERVED 0 SITEPROP SLICE_X58Y80 IS_TEST 0 SITEPROP SLICE_X58Y80 IS_USED 0 SITEPROP SLICE_X58Y80 MANUAL_ROUTING SITEPROP SLICE_X58Y80 NAME SLICE_X58Y80 SITEPROP SLICE_X58Y80 NUM_ARCS 138 SITEPROP SLICE_X58Y80 NUM_BELS 32 SITEPROP SLICE_X58Y80 NUM_INPUTS 32 SITEPROP SLICE_X58Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y80 NUM_PINS 45 SITEPROP SLICE_X58Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y80 PROHIBIT 0 SITEPROP SLICE_X58Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y80 RPM_X 157 SITEPROP SLICE_X58Y80 RPM_Y 160 SITEPROP SLICE_X58Y80 SITE_PIPS SITEPROP SLICE_X58Y80 SITE_TYPE SLICEL SITEPROP SLICE_X58Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y81 CLASS site SITEPROP SLICE_X58Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y81 IS_BONDED 0 SITEPROP SLICE_X58Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y81 IS_PAD 0 SITEPROP SLICE_X58Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y81 IS_RESERVED 0 SITEPROP SLICE_X58Y81 IS_TEST 0 SITEPROP SLICE_X58Y81 IS_USED 0 SITEPROP SLICE_X58Y81 MANUAL_ROUTING SITEPROP SLICE_X58Y81 NAME SLICE_X58Y81 SITEPROP SLICE_X58Y81 NUM_ARCS 138 SITEPROP SLICE_X58Y81 NUM_BELS 32 SITEPROP SLICE_X58Y81 NUM_INPUTS 32 SITEPROP SLICE_X58Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y81 NUM_PINS 45 SITEPROP SLICE_X58Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y81 PROHIBIT 0 SITEPROP SLICE_X58Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y81 RPM_X 157 SITEPROP SLICE_X58Y81 RPM_Y 162 SITEPROP SLICE_X58Y81 SITE_PIPS SITEPROP SLICE_X58Y81 SITE_TYPE SLICEL SITEPROP SLICE_X58Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y82 CLASS site SITEPROP SLICE_X58Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y82 IS_BONDED 0 SITEPROP SLICE_X58Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y82 IS_PAD 0 SITEPROP SLICE_X58Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y82 IS_RESERVED 0 SITEPROP SLICE_X58Y82 IS_TEST 0 SITEPROP SLICE_X58Y82 IS_USED 0 SITEPROP SLICE_X58Y82 MANUAL_ROUTING SITEPROP SLICE_X58Y82 NAME SLICE_X58Y82 SITEPROP SLICE_X58Y82 NUM_ARCS 138 SITEPROP SLICE_X58Y82 NUM_BELS 32 SITEPROP SLICE_X58Y82 NUM_INPUTS 32 SITEPROP SLICE_X58Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y82 NUM_PINS 45 SITEPROP SLICE_X58Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y82 PROHIBIT 0 SITEPROP SLICE_X58Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y82 RPM_X 157 SITEPROP SLICE_X58Y82 RPM_Y 164 SITEPROP SLICE_X58Y82 SITE_PIPS SITEPROP SLICE_X58Y82 SITE_TYPE SLICEL SITEPROP SLICE_X58Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y83 CLASS site SITEPROP SLICE_X58Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y83 IS_BONDED 0 SITEPROP SLICE_X58Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y83 IS_PAD 0 SITEPROP SLICE_X58Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y83 IS_RESERVED 0 SITEPROP SLICE_X58Y83 IS_TEST 0 SITEPROP SLICE_X58Y83 IS_USED 0 SITEPROP SLICE_X58Y83 MANUAL_ROUTING SITEPROP SLICE_X58Y83 NAME SLICE_X58Y83 SITEPROP SLICE_X58Y83 NUM_ARCS 138 SITEPROP SLICE_X58Y83 NUM_BELS 32 SITEPROP SLICE_X58Y83 NUM_INPUTS 32 SITEPROP SLICE_X58Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y83 NUM_PINS 45 SITEPROP SLICE_X58Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y83 PROHIBIT 0 SITEPROP SLICE_X58Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y83 RPM_X 157 SITEPROP SLICE_X58Y83 RPM_Y 166 SITEPROP SLICE_X58Y83 SITE_PIPS SITEPROP SLICE_X58Y83 SITE_TYPE SLICEL SITEPROP SLICE_X58Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y84 CLASS site SITEPROP SLICE_X58Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y84 IS_BONDED 0 SITEPROP SLICE_X58Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y84 IS_PAD 0 SITEPROP SLICE_X58Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y84 IS_RESERVED 0 SITEPROP SLICE_X58Y84 IS_TEST 0 SITEPROP SLICE_X58Y84 IS_USED 0 SITEPROP SLICE_X58Y84 MANUAL_ROUTING SITEPROP SLICE_X58Y84 NAME SLICE_X58Y84 SITEPROP SLICE_X58Y84 NUM_ARCS 138 SITEPROP SLICE_X58Y84 NUM_BELS 32 SITEPROP SLICE_X58Y84 NUM_INPUTS 32 SITEPROP SLICE_X58Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y84 NUM_PINS 45 SITEPROP SLICE_X58Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y84 PROHIBIT 0 SITEPROP SLICE_X58Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y84 RPM_X 157 SITEPROP SLICE_X58Y84 RPM_Y 168 SITEPROP SLICE_X58Y84 SITE_PIPS SITEPROP SLICE_X58Y84 SITE_TYPE SLICEL SITEPROP SLICE_X58Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y85 CLASS site SITEPROP SLICE_X58Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y85 IS_BONDED 0 SITEPROP SLICE_X58Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y85 IS_PAD 0 SITEPROP SLICE_X58Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y85 IS_RESERVED 0 SITEPROP SLICE_X58Y85 IS_TEST 0 SITEPROP SLICE_X58Y85 IS_USED 0 SITEPROP SLICE_X58Y85 MANUAL_ROUTING SITEPROP SLICE_X58Y85 NAME SLICE_X58Y85 SITEPROP SLICE_X58Y85 NUM_ARCS 138 SITEPROP SLICE_X58Y85 NUM_BELS 32 SITEPROP SLICE_X58Y85 NUM_INPUTS 32 SITEPROP SLICE_X58Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y85 NUM_PINS 45 SITEPROP SLICE_X58Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y85 PROHIBIT 0 SITEPROP SLICE_X58Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y85 RPM_X 157 SITEPROP SLICE_X58Y85 RPM_Y 170 SITEPROP SLICE_X58Y85 SITE_PIPS SITEPROP SLICE_X58Y85 SITE_TYPE SLICEL SITEPROP SLICE_X58Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y86 CLASS site SITEPROP SLICE_X58Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y86 IS_BONDED 0 SITEPROP SLICE_X58Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y86 IS_PAD 0 SITEPROP SLICE_X58Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y86 IS_RESERVED 0 SITEPROP SLICE_X58Y86 IS_TEST 0 SITEPROP SLICE_X58Y86 IS_USED 0 SITEPROP SLICE_X58Y86 MANUAL_ROUTING SITEPROP SLICE_X58Y86 NAME SLICE_X58Y86 SITEPROP SLICE_X58Y86 NUM_ARCS 138 SITEPROP SLICE_X58Y86 NUM_BELS 32 SITEPROP SLICE_X58Y86 NUM_INPUTS 32 SITEPROP SLICE_X58Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y86 NUM_PINS 45 SITEPROP SLICE_X58Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y86 PROHIBIT 0 SITEPROP SLICE_X58Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y86 RPM_X 157 SITEPROP SLICE_X58Y86 RPM_Y 172 SITEPROP SLICE_X58Y86 SITE_PIPS SITEPROP SLICE_X58Y86 SITE_TYPE SLICEL SITEPROP SLICE_X58Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y87 CLASS site SITEPROP SLICE_X58Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y87 IS_BONDED 0 SITEPROP SLICE_X58Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y87 IS_PAD 0 SITEPROP SLICE_X58Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y87 IS_RESERVED 0 SITEPROP SLICE_X58Y87 IS_TEST 0 SITEPROP SLICE_X58Y87 IS_USED 0 SITEPROP SLICE_X58Y87 MANUAL_ROUTING SITEPROP SLICE_X58Y87 NAME SLICE_X58Y87 SITEPROP SLICE_X58Y87 NUM_ARCS 138 SITEPROP SLICE_X58Y87 NUM_BELS 32 SITEPROP SLICE_X58Y87 NUM_INPUTS 32 SITEPROP SLICE_X58Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y87 NUM_PINS 45 SITEPROP SLICE_X58Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y87 PROHIBIT 0 SITEPROP SLICE_X58Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y87 RPM_X 157 SITEPROP SLICE_X58Y87 RPM_Y 174 SITEPROP SLICE_X58Y87 SITE_PIPS SITEPROP SLICE_X58Y87 SITE_TYPE SLICEL SITEPROP SLICE_X58Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y88 CLASS site SITEPROP SLICE_X58Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y88 IS_BONDED 0 SITEPROP SLICE_X58Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y88 IS_PAD 0 SITEPROP SLICE_X58Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y88 IS_RESERVED 0 SITEPROP SLICE_X58Y88 IS_TEST 0 SITEPROP SLICE_X58Y88 IS_USED 0 SITEPROP SLICE_X58Y88 MANUAL_ROUTING SITEPROP SLICE_X58Y88 NAME SLICE_X58Y88 SITEPROP SLICE_X58Y88 NUM_ARCS 138 SITEPROP SLICE_X58Y88 NUM_BELS 32 SITEPROP SLICE_X58Y88 NUM_INPUTS 32 SITEPROP SLICE_X58Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y88 NUM_PINS 45 SITEPROP SLICE_X58Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y88 PROHIBIT 0 SITEPROP SLICE_X58Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y88 RPM_X 157 SITEPROP SLICE_X58Y88 RPM_Y 176 SITEPROP SLICE_X58Y88 SITE_PIPS SITEPROP SLICE_X58Y88 SITE_TYPE SLICEL SITEPROP SLICE_X58Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y89 CLASS site SITEPROP SLICE_X58Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y89 IS_BONDED 0 SITEPROP SLICE_X58Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y89 IS_PAD 0 SITEPROP SLICE_X58Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y89 IS_RESERVED 0 SITEPROP SLICE_X58Y89 IS_TEST 0 SITEPROP SLICE_X58Y89 IS_USED 0 SITEPROP SLICE_X58Y89 MANUAL_ROUTING SITEPROP SLICE_X58Y89 NAME SLICE_X58Y89 SITEPROP SLICE_X58Y89 NUM_ARCS 138 SITEPROP SLICE_X58Y89 NUM_BELS 32 SITEPROP SLICE_X58Y89 NUM_INPUTS 32 SITEPROP SLICE_X58Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y89 NUM_PINS 45 SITEPROP SLICE_X58Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y89 PROHIBIT 0 SITEPROP SLICE_X58Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y89 RPM_X 157 SITEPROP SLICE_X58Y89 RPM_Y 178 SITEPROP SLICE_X58Y89 SITE_PIPS SITEPROP SLICE_X58Y89 SITE_TYPE SLICEL SITEPROP SLICE_X58Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y90 CLASS site SITEPROP SLICE_X58Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y90 IS_BONDED 0 SITEPROP SLICE_X58Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y90 IS_PAD 0 SITEPROP SLICE_X58Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y90 IS_RESERVED 0 SITEPROP SLICE_X58Y90 IS_TEST 0 SITEPROP SLICE_X58Y90 IS_USED 0 SITEPROP SLICE_X58Y90 MANUAL_ROUTING SITEPROP SLICE_X58Y90 NAME SLICE_X58Y90 SITEPROP SLICE_X58Y90 NUM_ARCS 138 SITEPROP SLICE_X58Y90 NUM_BELS 32 SITEPROP SLICE_X58Y90 NUM_INPUTS 32 SITEPROP SLICE_X58Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y90 NUM_PINS 45 SITEPROP SLICE_X58Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y90 PROHIBIT 0 SITEPROP SLICE_X58Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y90 RPM_X 157 SITEPROP SLICE_X58Y90 RPM_Y 180 SITEPROP SLICE_X58Y90 SITE_PIPS SITEPROP SLICE_X58Y90 SITE_TYPE SLICEL SITEPROP SLICE_X58Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y91 CLASS site SITEPROP SLICE_X58Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y91 IS_BONDED 0 SITEPROP SLICE_X58Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y91 IS_PAD 0 SITEPROP SLICE_X58Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y91 IS_RESERVED 0 SITEPROP SLICE_X58Y91 IS_TEST 0 SITEPROP SLICE_X58Y91 IS_USED 0 SITEPROP SLICE_X58Y91 MANUAL_ROUTING SITEPROP SLICE_X58Y91 NAME SLICE_X58Y91 SITEPROP SLICE_X58Y91 NUM_ARCS 138 SITEPROP SLICE_X58Y91 NUM_BELS 32 SITEPROP SLICE_X58Y91 NUM_INPUTS 32 SITEPROP SLICE_X58Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y91 NUM_PINS 45 SITEPROP SLICE_X58Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y91 PROHIBIT 0 SITEPROP SLICE_X58Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y91 RPM_X 157 SITEPROP SLICE_X58Y91 RPM_Y 182 SITEPROP SLICE_X58Y91 SITE_PIPS SITEPROP SLICE_X58Y91 SITE_TYPE SLICEL SITEPROP SLICE_X58Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y92 CLASS site SITEPROP SLICE_X58Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y92 IS_BONDED 0 SITEPROP SLICE_X58Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y92 IS_PAD 0 SITEPROP SLICE_X58Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y92 IS_RESERVED 0 SITEPROP SLICE_X58Y92 IS_TEST 0 SITEPROP SLICE_X58Y92 IS_USED 0 SITEPROP SLICE_X58Y92 MANUAL_ROUTING SITEPROP SLICE_X58Y92 NAME SLICE_X58Y92 SITEPROP SLICE_X58Y92 NUM_ARCS 138 SITEPROP SLICE_X58Y92 NUM_BELS 32 SITEPROP SLICE_X58Y92 NUM_INPUTS 32 SITEPROP SLICE_X58Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y92 NUM_PINS 45 SITEPROP SLICE_X58Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y92 PROHIBIT 0 SITEPROP SLICE_X58Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y92 RPM_X 157 SITEPROP SLICE_X58Y92 RPM_Y 184 SITEPROP SLICE_X58Y92 SITE_PIPS SITEPROP SLICE_X58Y92 SITE_TYPE SLICEL SITEPROP SLICE_X58Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y93 CLASS site SITEPROP SLICE_X58Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y93 IS_BONDED 0 SITEPROP SLICE_X58Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y93 IS_PAD 0 SITEPROP SLICE_X58Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y93 IS_RESERVED 0 SITEPROP SLICE_X58Y93 IS_TEST 0 SITEPROP SLICE_X58Y93 IS_USED 0 SITEPROP SLICE_X58Y93 MANUAL_ROUTING SITEPROP SLICE_X58Y93 NAME SLICE_X58Y93 SITEPROP SLICE_X58Y93 NUM_ARCS 138 SITEPROP SLICE_X58Y93 NUM_BELS 32 SITEPROP SLICE_X58Y93 NUM_INPUTS 32 SITEPROP SLICE_X58Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y93 NUM_PINS 45 SITEPROP SLICE_X58Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y93 PROHIBIT 0 SITEPROP SLICE_X58Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y93 RPM_X 157 SITEPROP SLICE_X58Y93 RPM_Y 186 SITEPROP SLICE_X58Y93 SITE_PIPS SITEPROP SLICE_X58Y93 SITE_TYPE SLICEL SITEPROP SLICE_X58Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y94 CLASS site SITEPROP SLICE_X58Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y94 IS_BONDED 0 SITEPROP SLICE_X58Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y94 IS_PAD 0 SITEPROP SLICE_X58Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y94 IS_RESERVED 0 SITEPROP SLICE_X58Y94 IS_TEST 0 SITEPROP SLICE_X58Y94 IS_USED 0 SITEPROP SLICE_X58Y94 MANUAL_ROUTING SITEPROP SLICE_X58Y94 NAME SLICE_X58Y94 SITEPROP SLICE_X58Y94 NUM_ARCS 138 SITEPROP SLICE_X58Y94 NUM_BELS 32 SITEPROP SLICE_X58Y94 NUM_INPUTS 32 SITEPROP SLICE_X58Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y94 NUM_PINS 45 SITEPROP SLICE_X58Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y94 PROHIBIT 0 SITEPROP SLICE_X58Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y94 RPM_X 157 SITEPROP SLICE_X58Y94 RPM_Y 188 SITEPROP SLICE_X58Y94 SITE_PIPS SITEPROP SLICE_X58Y94 SITE_TYPE SLICEL SITEPROP SLICE_X58Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y95 CLASS site SITEPROP SLICE_X58Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y95 IS_BONDED 0 SITEPROP SLICE_X58Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y95 IS_PAD 0 SITEPROP SLICE_X58Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y95 IS_RESERVED 0 SITEPROP SLICE_X58Y95 IS_TEST 0 SITEPROP SLICE_X58Y95 IS_USED 0 SITEPROP SLICE_X58Y95 MANUAL_ROUTING SITEPROP SLICE_X58Y95 NAME SLICE_X58Y95 SITEPROP SLICE_X58Y95 NUM_ARCS 138 SITEPROP SLICE_X58Y95 NUM_BELS 32 SITEPROP SLICE_X58Y95 NUM_INPUTS 32 SITEPROP SLICE_X58Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y95 NUM_PINS 45 SITEPROP SLICE_X58Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y95 PROHIBIT 0 SITEPROP SLICE_X58Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y95 RPM_X 157 SITEPROP SLICE_X58Y95 RPM_Y 190 SITEPROP SLICE_X58Y95 SITE_PIPS SITEPROP SLICE_X58Y95 SITE_TYPE SLICEL SITEPROP SLICE_X58Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y96 CLASS site SITEPROP SLICE_X58Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y96 IS_BONDED 0 SITEPROP SLICE_X58Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y96 IS_PAD 0 SITEPROP SLICE_X58Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y96 IS_RESERVED 0 SITEPROP SLICE_X58Y96 IS_TEST 0 SITEPROP SLICE_X58Y96 IS_USED 0 SITEPROP SLICE_X58Y96 MANUAL_ROUTING SITEPROP SLICE_X58Y96 NAME SLICE_X58Y96 SITEPROP SLICE_X58Y96 NUM_ARCS 138 SITEPROP SLICE_X58Y96 NUM_BELS 32 SITEPROP SLICE_X58Y96 NUM_INPUTS 32 SITEPROP SLICE_X58Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y96 NUM_PINS 45 SITEPROP SLICE_X58Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y96 PROHIBIT 0 SITEPROP SLICE_X58Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y96 RPM_X 157 SITEPROP SLICE_X58Y96 RPM_Y 192 SITEPROP SLICE_X58Y96 SITE_PIPS SITEPROP SLICE_X58Y96 SITE_TYPE SLICEL SITEPROP SLICE_X58Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y97 CLASS site SITEPROP SLICE_X58Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y97 IS_BONDED 0 SITEPROP SLICE_X58Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y97 IS_PAD 0 SITEPROP SLICE_X58Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y97 IS_RESERVED 0 SITEPROP SLICE_X58Y97 IS_TEST 0 SITEPROP SLICE_X58Y97 IS_USED 0 SITEPROP SLICE_X58Y97 MANUAL_ROUTING SITEPROP SLICE_X58Y97 NAME SLICE_X58Y97 SITEPROP SLICE_X58Y97 NUM_ARCS 138 SITEPROP SLICE_X58Y97 NUM_BELS 32 SITEPROP SLICE_X58Y97 NUM_INPUTS 32 SITEPROP SLICE_X58Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y97 NUM_PINS 45 SITEPROP SLICE_X58Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y97 PROHIBIT 0 SITEPROP SLICE_X58Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y97 RPM_X 157 SITEPROP SLICE_X58Y97 RPM_Y 194 SITEPROP SLICE_X58Y97 SITE_PIPS SITEPROP SLICE_X58Y97 SITE_TYPE SLICEL SITEPROP SLICE_X58Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y98 CLASS site SITEPROP SLICE_X58Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y98 IS_BONDED 0 SITEPROP SLICE_X58Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y98 IS_PAD 0 SITEPROP SLICE_X58Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y98 IS_RESERVED 0 SITEPROP SLICE_X58Y98 IS_TEST 0 SITEPROP SLICE_X58Y98 IS_USED 0 SITEPROP SLICE_X58Y98 MANUAL_ROUTING SITEPROP SLICE_X58Y98 NAME SLICE_X58Y98 SITEPROP SLICE_X58Y98 NUM_ARCS 138 SITEPROP SLICE_X58Y98 NUM_BELS 32 SITEPROP SLICE_X58Y98 NUM_INPUTS 32 SITEPROP SLICE_X58Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y98 NUM_PINS 45 SITEPROP SLICE_X58Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y98 PROHIBIT 0 SITEPROP SLICE_X58Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y98 RPM_X 157 SITEPROP SLICE_X58Y98 RPM_Y 196 SITEPROP SLICE_X58Y98 SITE_PIPS SITEPROP SLICE_X58Y98 SITE_TYPE SLICEL SITEPROP SLICE_X58Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X58Y99 CLASS site SITEPROP SLICE_X58Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X58Y99 IS_BONDED 0 SITEPROP SLICE_X58Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X58Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y99 IS_PAD 0 SITEPROP SLICE_X58Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X58Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X58Y99 IS_RESERVED 0 SITEPROP SLICE_X58Y99 IS_TEST 0 SITEPROP SLICE_X58Y99 IS_USED 0 SITEPROP SLICE_X58Y99 MANUAL_ROUTING SITEPROP SLICE_X58Y99 NAME SLICE_X58Y99 SITEPROP SLICE_X58Y99 NUM_ARCS 138 SITEPROP SLICE_X58Y99 NUM_BELS 32 SITEPROP SLICE_X58Y99 NUM_INPUTS 32 SITEPROP SLICE_X58Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X58Y99 NUM_PINS 45 SITEPROP SLICE_X58Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X58Y99 PROHIBIT 0 SITEPROP SLICE_X58Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X58Y99 RPM_X 157 SITEPROP SLICE_X58Y99 RPM_Y 198 SITEPROP SLICE_X58Y99 SITE_PIPS SITEPROP SLICE_X58Y99 SITE_TYPE SLICEL SITEPROP SLICE_X59Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y0 CLASS site SITEPROP SLICE_X59Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y0 IS_BONDED 0 SITEPROP SLICE_X59Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y0 IS_PAD 0 SITEPROP SLICE_X59Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y0 IS_RESERVED 0 SITEPROP SLICE_X59Y0 IS_TEST 0 SITEPROP SLICE_X59Y0 IS_USED 0 SITEPROP SLICE_X59Y0 MANUAL_ROUTING SITEPROP SLICE_X59Y0 NAME SLICE_X59Y0 SITEPROP SLICE_X59Y0 NUM_ARCS 138 SITEPROP SLICE_X59Y0 NUM_BELS 32 SITEPROP SLICE_X59Y0 NUM_INPUTS 32 SITEPROP SLICE_X59Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y0 NUM_PINS 45 SITEPROP SLICE_X59Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y0 PROHIBIT 0 SITEPROP SLICE_X59Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y0 RPM_X 159 SITEPROP SLICE_X59Y0 RPM_Y 0 SITEPROP SLICE_X59Y0 SITE_PIPS SITEPROP SLICE_X59Y0 SITE_TYPE SLICEL SITEPROP SLICE_X59Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y1 CLASS site SITEPROP SLICE_X59Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y1 IS_BONDED 0 SITEPROP SLICE_X59Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y1 IS_PAD 0 SITEPROP SLICE_X59Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y1 IS_RESERVED 0 SITEPROP SLICE_X59Y1 IS_TEST 0 SITEPROP SLICE_X59Y1 IS_USED 0 SITEPROP SLICE_X59Y1 MANUAL_ROUTING SITEPROP SLICE_X59Y1 NAME SLICE_X59Y1 SITEPROP SLICE_X59Y1 NUM_ARCS 138 SITEPROP SLICE_X59Y1 NUM_BELS 32 SITEPROP SLICE_X59Y1 NUM_INPUTS 32 SITEPROP SLICE_X59Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y1 NUM_PINS 45 SITEPROP SLICE_X59Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y1 PROHIBIT 0 SITEPROP SLICE_X59Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y1 RPM_X 159 SITEPROP SLICE_X59Y1 RPM_Y 2 SITEPROP SLICE_X59Y1 SITE_PIPS SITEPROP SLICE_X59Y1 SITE_TYPE SLICEL SITEPROP SLICE_X59Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y2 CLASS site SITEPROP SLICE_X59Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y2 IS_BONDED 0 SITEPROP SLICE_X59Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y2 IS_PAD 0 SITEPROP SLICE_X59Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y2 IS_RESERVED 0 SITEPROP SLICE_X59Y2 IS_TEST 0 SITEPROP SLICE_X59Y2 IS_USED 0 SITEPROP SLICE_X59Y2 MANUAL_ROUTING SITEPROP SLICE_X59Y2 NAME SLICE_X59Y2 SITEPROP SLICE_X59Y2 NUM_ARCS 138 SITEPROP SLICE_X59Y2 NUM_BELS 32 SITEPROP SLICE_X59Y2 NUM_INPUTS 32 SITEPROP SLICE_X59Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y2 NUM_PINS 45 SITEPROP SLICE_X59Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y2 PROHIBIT 0 SITEPROP SLICE_X59Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y2 RPM_X 159 SITEPROP SLICE_X59Y2 RPM_Y 4 SITEPROP SLICE_X59Y2 SITE_PIPS SITEPROP SLICE_X59Y2 SITE_TYPE SLICEL SITEPROP SLICE_X59Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y3 CLASS site SITEPROP SLICE_X59Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y3 IS_BONDED 0 SITEPROP SLICE_X59Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y3 IS_PAD 0 SITEPROP SLICE_X59Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y3 IS_RESERVED 0 SITEPROP SLICE_X59Y3 IS_TEST 0 SITEPROP SLICE_X59Y3 IS_USED 0 SITEPROP SLICE_X59Y3 MANUAL_ROUTING SITEPROP SLICE_X59Y3 NAME SLICE_X59Y3 SITEPROP SLICE_X59Y3 NUM_ARCS 138 SITEPROP SLICE_X59Y3 NUM_BELS 32 SITEPROP SLICE_X59Y3 NUM_INPUTS 32 SITEPROP SLICE_X59Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y3 NUM_PINS 45 SITEPROP SLICE_X59Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y3 PROHIBIT 0 SITEPROP SLICE_X59Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y3 RPM_X 159 SITEPROP SLICE_X59Y3 RPM_Y 6 SITEPROP SLICE_X59Y3 SITE_PIPS SITEPROP SLICE_X59Y3 SITE_TYPE SLICEL SITEPROP SLICE_X59Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y4 CLASS site SITEPROP SLICE_X59Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y4 IS_BONDED 0 SITEPROP SLICE_X59Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y4 IS_PAD 0 SITEPROP SLICE_X59Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y4 IS_RESERVED 0 SITEPROP SLICE_X59Y4 IS_TEST 0 SITEPROP SLICE_X59Y4 IS_USED 0 SITEPROP SLICE_X59Y4 MANUAL_ROUTING SITEPROP SLICE_X59Y4 NAME SLICE_X59Y4 SITEPROP SLICE_X59Y4 NUM_ARCS 138 SITEPROP SLICE_X59Y4 NUM_BELS 32 SITEPROP SLICE_X59Y4 NUM_INPUTS 32 SITEPROP SLICE_X59Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y4 NUM_PINS 45 SITEPROP SLICE_X59Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y4 PROHIBIT 0 SITEPROP SLICE_X59Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y4 RPM_X 159 SITEPROP SLICE_X59Y4 RPM_Y 8 SITEPROP SLICE_X59Y4 SITE_PIPS SITEPROP SLICE_X59Y4 SITE_TYPE SLICEL SITEPROP SLICE_X59Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y5 CLASS site SITEPROP SLICE_X59Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y5 IS_BONDED 0 SITEPROP SLICE_X59Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y5 IS_PAD 0 SITEPROP SLICE_X59Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y5 IS_RESERVED 0 SITEPROP SLICE_X59Y5 IS_TEST 0 SITEPROP SLICE_X59Y5 IS_USED 0 SITEPROP SLICE_X59Y5 MANUAL_ROUTING SITEPROP SLICE_X59Y5 NAME SLICE_X59Y5 SITEPROP SLICE_X59Y5 NUM_ARCS 138 SITEPROP SLICE_X59Y5 NUM_BELS 32 SITEPROP SLICE_X59Y5 NUM_INPUTS 32 SITEPROP SLICE_X59Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y5 NUM_PINS 45 SITEPROP SLICE_X59Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y5 PROHIBIT 0 SITEPROP SLICE_X59Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y5 RPM_X 159 SITEPROP SLICE_X59Y5 RPM_Y 10 SITEPROP SLICE_X59Y5 SITE_PIPS SITEPROP SLICE_X59Y5 SITE_TYPE SLICEL SITEPROP SLICE_X59Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y6 CLASS site SITEPROP SLICE_X59Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y6 IS_BONDED 0 SITEPROP SLICE_X59Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y6 IS_PAD 0 SITEPROP SLICE_X59Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y6 IS_RESERVED 0 SITEPROP SLICE_X59Y6 IS_TEST 0 SITEPROP SLICE_X59Y6 IS_USED 0 SITEPROP SLICE_X59Y6 MANUAL_ROUTING SITEPROP SLICE_X59Y6 NAME SLICE_X59Y6 SITEPROP SLICE_X59Y6 NUM_ARCS 138 SITEPROP SLICE_X59Y6 NUM_BELS 32 SITEPROP SLICE_X59Y6 NUM_INPUTS 32 SITEPROP SLICE_X59Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y6 NUM_PINS 45 SITEPROP SLICE_X59Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y6 PROHIBIT 0 SITEPROP SLICE_X59Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y6 RPM_X 159 SITEPROP SLICE_X59Y6 RPM_Y 12 SITEPROP SLICE_X59Y6 SITE_PIPS SITEPROP SLICE_X59Y6 SITE_TYPE SLICEL SITEPROP SLICE_X59Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y7 CLASS site SITEPROP SLICE_X59Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y7 IS_BONDED 0 SITEPROP SLICE_X59Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y7 IS_PAD 0 SITEPROP SLICE_X59Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y7 IS_RESERVED 0 SITEPROP SLICE_X59Y7 IS_TEST 0 SITEPROP SLICE_X59Y7 IS_USED 0 SITEPROP SLICE_X59Y7 MANUAL_ROUTING SITEPROP SLICE_X59Y7 NAME SLICE_X59Y7 SITEPROP SLICE_X59Y7 NUM_ARCS 138 SITEPROP SLICE_X59Y7 NUM_BELS 32 SITEPROP SLICE_X59Y7 NUM_INPUTS 32 SITEPROP SLICE_X59Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y7 NUM_PINS 45 SITEPROP SLICE_X59Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y7 PROHIBIT 0 SITEPROP SLICE_X59Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y7 RPM_X 159 SITEPROP SLICE_X59Y7 RPM_Y 14 SITEPROP SLICE_X59Y7 SITE_PIPS SITEPROP SLICE_X59Y7 SITE_TYPE SLICEL SITEPROP SLICE_X59Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y8 CLASS site SITEPROP SLICE_X59Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y8 IS_BONDED 0 SITEPROP SLICE_X59Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y8 IS_PAD 0 SITEPROP SLICE_X59Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y8 IS_RESERVED 0 SITEPROP SLICE_X59Y8 IS_TEST 0 SITEPROP SLICE_X59Y8 IS_USED 0 SITEPROP SLICE_X59Y8 MANUAL_ROUTING SITEPROP SLICE_X59Y8 NAME SLICE_X59Y8 SITEPROP SLICE_X59Y8 NUM_ARCS 138 SITEPROP SLICE_X59Y8 NUM_BELS 32 SITEPROP SLICE_X59Y8 NUM_INPUTS 32 SITEPROP SLICE_X59Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y8 NUM_PINS 45 SITEPROP SLICE_X59Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y8 PROHIBIT 0 SITEPROP SLICE_X59Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y8 RPM_X 159 SITEPROP SLICE_X59Y8 RPM_Y 16 SITEPROP SLICE_X59Y8 SITE_PIPS SITEPROP SLICE_X59Y8 SITE_TYPE SLICEL SITEPROP SLICE_X59Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y9 CLASS site SITEPROP SLICE_X59Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y9 IS_BONDED 0 SITEPROP SLICE_X59Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y9 IS_PAD 0 SITEPROP SLICE_X59Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y9 IS_RESERVED 0 SITEPROP SLICE_X59Y9 IS_TEST 0 SITEPROP SLICE_X59Y9 IS_USED 0 SITEPROP SLICE_X59Y9 MANUAL_ROUTING SITEPROP SLICE_X59Y9 NAME SLICE_X59Y9 SITEPROP SLICE_X59Y9 NUM_ARCS 138 SITEPROP SLICE_X59Y9 NUM_BELS 32 SITEPROP SLICE_X59Y9 NUM_INPUTS 32 SITEPROP SLICE_X59Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y9 NUM_PINS 45 SITEPROP SLICE_X59Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y9 PROHIBIT 0 SITEPROP SLICE_X59Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y9 RPM_X 159 SITEPROP SLICE_X59Y9 RPM_Y 18 SITEPROP SLICE_X59Y9 SITE_PIPS SITEPROP SLICE_X59Y9 SITE_TYPE SLICEL SITEPROP SLICE_X59Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y10 CLASS site SITEPROP SLICE_X59Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y10 IS_BONDED 0 SITEPROP SLICE_X59Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y10 IS_PAD 0 SITEPROP SLICE_X59Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y10 IS_RESERVED 0 SITEPROP SLICE_X59Y10 IS_TEST 0 SITEPROP SLICE_X59Y10 IS_USED 0 SITEPROP SLICE_X59Y10 MANUAL_ROUTING SITEPROP SLICE_X59Y10 NAME SLICE_X59Y10 SITEPROP SLICE_X59Y10 NUM_ARCS 138 SITEPROP SLICE_X59Y10 NUM_BELS 32 SITEPROP SLICE_X59Y10 NUM_INPUTS 32 SITEPROP SLICE_X59Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y10 NUM_PINS 45 SITEPROP SLICE_X59Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y10 PROHIBIT 0 SITEPROP SLICE_X59Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y10 RPM_X 159 SITEPROP SLICE_X59Y10 RPM_Y 20 SITEPROP SLICE_X59Y10 SITE_PIPS SITEPROP SLICE_X59Y10 SITE_TYPE SLICEL SITEPROP SLICE_X59Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y11 CLASS site SITEPROP SLICE_X59Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y11 IS_BONDED 0 SITEPROP SLICE_X59Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y11 IS_PAD 0 SITEPROP SLICE_X59Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y11 IS_RESERVED 0 SITEPROP SLICE_X59Y11 IS_TEST 0 SITEPROP SLICE_X59Y11 IS_USED 0 SITEPROP SLICE_X59Y11 MANUAL_ROUTING SITEPROP SLICE_X59Y11 NAME SLICE_X59Y11 SITEPROP SLICE_X59Y11 NUM_ARCS 138 SITEPROP SLICE_X59Y11 NUM_BELS 32 SITEPROP SLICE_X59Y11 NUM_INPUTS 32 SITEPROP SLICE_X59Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y11 NUM_PINS 45 SITEPROP SLICE_X59Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y11 PROHIBIT 0 SITEPROP SLICE_X59Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y11 RPM_X 159 SITEPROP SLICE_X59Y11 RPM_Y 22 SITEPROP SLICE_X59Y11 SITE_PIPS SITEPROP SLICE_X59Y11 SITE_TYPE SLICEL SITEPROP SLICE_X59Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y12 CLASS site SITEPROP SLICE_X59Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y12 IS_BONDED 0 SITEPROP SLICE_X59Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y12 IS_PAD 0 SITEPROP SLICE_X59Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y12 IS_RESERVED 0 SITEPROP SLICE_X59Y12 IS_TEST 0 SITEPROP SLICE_X59Y12 IS_USED 0 SITEPROP SLICE_X59Y12 MANUAL_ROUTING SITEPROP SLICE_X59Y12 NAME SLICE_X59Y12 SITEPROP SLICE_X59Y12 NUM_ARCS 138 SITEPROP SLICE_X59Y12 NUM_BELS 32 SITEPROP SLICE_X59Y12 NUM_INPUTS 32 SITEPROP SLICE_X59Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y12 NUM_PINS 45 SITEPROP SLICE_X59Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y12 PROHIBIT 0 SITEPROP SLICE_X59Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y12 RPM_X 159 SITEPROP SLICE_X59Y12 RPM_Y 24 SITEPROP SLICE_X59Y12 SITE_PIPS SITEPROP SLICE_X59Y12 SITE_TYPE SLICEL SITEPROP SLICE_X59Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y13 CLASS site SITEPROP SLICE_X59Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y13 IS_BONDED 0 SITEPROP SLICE_X59Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y13 IS_PAD 0 SITEPROP SLICE_X59Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y13 IS_RESERVED 0 SITEPROP SLICE_X59Y13 IS_TEST 0 SITEPROP SLICE_X59Y13 IS_USED 0 SITEPROP SLICE_X59Y13 MANUAL_ROUTING SITEPROP SLICE_X59Y13 NAME SLICE_X59Y13 SITEPROP SLICE_X59Y13 NUM_ARCS 138 SITEPROP SLICE_X59Y13 NUM_BELS 32 SITEPROP SLICE_X59Y13 NUM_INPUTS 32 SITEPROP SLICE_X59Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y13 NUM_PINS 45 SITEPROP SLICE_X59Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y13 PROHIBIT 0 SITEPROP SLICE_X59Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y13 RPM_X 159 SITEPROP SLICE_X59Y13 RPM_Y 26 SITEPROP SLICE_X59Y13 SITE_PIPS SITEPROP SLICE_X59Y13 SITE_TYPE SLICEL SITEPROP SLICE_X59Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y14 CLASS site SITEPROP SLICE_X59Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y14 IS_BONDED 0 SITEPROP SLICE_X59Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y14 IS_PAD 0 SITEPROP SLICE_X59Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y14 IS_RESERVED 0 SITEPROP SLICE_X59Y14 IS_TEST 0 SITEPROP SLICE_X59Y14 IS_USED 0 SITEPROP SLICE_X59Y14 MANUAL_ROUTING SITEPROP SLICE_X59Y14 NAME SLICE_X59Y14 SITEPROP SLICE_X59Y14 NUM_ARCS 138 SITEPROP SLICE_X59Y14 NUM_BELS 32 SITEPROP SLICE_X59Y14 NUM_INPUTS 32 SITEPROP SLICE_X59Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y14 NUM_PINS 45 SITEPROP SLICE_X59Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y14 PROHIBIT 0 SITEPROP SLICE_X59Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y14 RPM_X 159 SITEPROP SLICE_X59Y14 RPM_Y 28 SITEPROP SLICE_X59Y14 SITE_PIPS SITEPROP SLICE_X59Y14 SITE_TYPE SLICEL SITEPROP SLICE_X59Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y15 CLASS site SITEPROP SLICE_X59Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y15 IS_BONDED 0 SITEPROP SLICE_X59Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y15 IS_PAD 0 SITEPROP SLICE_X59Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y15 IS_RESERVED 0 SITEPROP SLICE_X59Y15 IS_TEST 0 SITEPROP SLICE_X59Y15 IS_USED 0 SITEPROP SLICE_X59Y15 MANUAL_ROUTING SITEPROP SLICE_X59Y15 NAME SLICE_X59Y15 SITEPROP SLICE_X59Y15 NUM_ARCS 138 SITEPROP SLICE_X59Y15 NUM_BELS 32 SITEPROP SLICE_X59Y15 NUM_INPUTS 32 SITEPROP SLICE_X59Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y15 NUM_PINS 45 SITEPROP SLICE_X59Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y15 PROHIBIT 0 SITEPROP SLICE_X59Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y15 RPM_X 159 SITEPROP SLICE_X59Y15 RPM_Y 30 SITEPROP SLICE_X59Y15 SITE_PIPS SITEPROP SLICE_X59Y15 SITE_TYPE SLICEL SITEPROP SLICE_X59Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y16 CLASS site SITEPROP SLICE_X59Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y16 IS_BONDED 0 SITEPROP SLICE_X59Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y16 IS_PAD 0 SITEPROP SLICE_X59Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y16 IS_RESERVED 0 SITEPROP SLICE_X59Y16 IS_TEST 0 SITEPROP SLICE_X59Y16 IS_USED 0 SITEPROP SLICE_X59Y16 MANUAL_ROUTING SITEPROP SLICE_X59Y16 NAME SLICE_X59Y16 SITEPROP SLICE_X59Y16 NUM_ARCS 138 SITEPROP SLICE_X59Y16 NUM_BELS 32 SITEPROP SLICE_X59Y16 NUM_INPUTS 32 SITEPROP SLICE_X59Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y16 NUM_PINS 45 SITEPROP SLICE_X59Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y16 PROHIBIT 0 SITEPROP SLICE_X59Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y16 RPM_X 159 SITEPROP SLICE_X59Y16 RPM_Y 32 SITEPROP SLICE_X59Y16 SITE_PIPS SITEPROP SLICE_X59Y16 SITE_TYPE SLICEL SITEPROP SLICE_X59Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y17 CLASS site SITEPROP SLICE_X59Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y17 IS_BONDED 0 SITEPROP SLICE_X59Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y17 IS_PAD 0 SITEPROP SLICE_X59Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y17 IS_RESERVED 0 SITEPROP SLICE_X59Y17 IS_TEST 0 SITEPROP SLICE_X59Y17 IS_USED 0 SITEPROP SLICE_X59Y17 MANUAL_ROUTING SITEPROP SLICE_X59Y17 NAME SLICE_X59Y17 SITEPROP SLICE_X59Y17 NUM_ARCS 138 SITEPROP SLICE_X59Y17 NUM_BELS 32 SITEPROP SLICE_X59Y17 NUM_INPUTS 32 SITEPROP SLICE_X59Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y17 NUM_PINS 45 SITEPROP SLICE_X59Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y17 PROHIBIT 0 SITEPROP SLICE_X59Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y17 RPM_X 159 SITEPROP SLICE_X59Y17 RPM_Y 34 SITEPROP SLICE_X59Y17 SITE_PIPS SITEPROP SLICE_X59Y17 SITE_TYPE SLICEL SITEPROP SLICE_X59Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y18 CLASS site SITEPROP SLICE_X59Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y18 IS_BONDED 0 SITEPROP SLICE_X59Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y18 IS_PAD 0 SITEPROP SLICE_X59Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y18 IS_RESERVED 0 SITEPROP SLICE_X59Y18 IS_TEST 0 SITEPROP SLICE_X59Y18 IS_USED 0 SITEPROP SLICE_X59Y18 MANUAL_ROUTING SITEPROP SLICE_X59Y18 NAME SLICE_X59Y18 SITEPROP SLICE_X59Y18 NUM_ARCS 138 SITEPROP SLICE_X59Y18 NUM_BELS 32 SITEPROP SLICE_X59Y18 NUM_INPUTS 32 SITEPROP SLICE_X59Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y18 NUM_PINS 45 SITEPROP SLICE_X59Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y18 PROHIBIT 0 SITEPROP SLICE_X59Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y18 RPM_X 159 SITEPROP SLICE_X59Y18 RPM_Y 36 SITEPROP SLICE_X59Y18 SITE_PIPS SITEPROP SLICE_X59Y18 SITE_TYPE SLICEL SITEPROP SLICE_X59Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y19 CLASS site SITEPROP SLICE_X59Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y19 IS_BONDED 0 SITEPROP SLICE_X59Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y19 IS_PAD 0 SITEPROP SLICE_X59Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y19 IS_RESERVED 0 SITEPROP SLICE_X59Y19 IS_TEST 0 SITEPROP SLICE_X59Y19 IS_USED 0 SITEPROP SLICE_X59Y19 MANUAL_ROUTING SITEPROP SLICE_X59Y19 NAME SLICE_X59Y19 SITEPROP SLICE_X59Y19 NUM_ARCS 138 SITEPROP SLICE_X59Y19 NUM_BELS 32 SITEPROP SLICE_X59Y19 NUM_INPUTS 32 SITEPROP SLICE_X59Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y19 NUM_PINS 45 SITEPROP SLICE_X59Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y19 PROHIBIT 0 SITEPROP SLICE_X59Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y19 RPM_X 159 SITEPROP SLICE_X59Y19 RPM_Y 38 SITEPROP SLICE_X59Y19 SITE_PIPS SITEPROP SLICE_X59Y19 SITE_TYPE SLICEL SITEPROP SLICE_X59Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y20 CLASS site SITEPROP SLICE_X59Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y20 IS_BONDED 0 SITEPROP SLICE_X59Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y20 IS_PAD 0 SITEPROP SLICE_X59Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y20 IS_RESERVED 0 SITEPROP SLICE_X59Y20 IS_TEST 0 SITEPROP SLICE_X59Y20 IS_USED 0 SITEPROP SLICE_X59Y20 MANUAL_ROUTING SITEPROP SLICE_X59Y20 NAME SLICE_X59Y20 SITEPROP SLICE_X59Y20 NUM_ARCS 138 SITEPROP SLICE_X59Y20 NUM_BELS 32 SITEPROP SLICE_X59Y20 NUM_INPUTS 32 SITEPROP SLICE_X59Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y20 NUM_PINS 45 SITEPROP SLICE_X59Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y20 PROHIBIT 0 SITEPROP SLICE_X59Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y20 RPM_X 159 SITEPROP SLICE_X59Y20 RPM_Y 40 SITEPROP SLICE_X59Y20 SITE_PIPS SITEPROP SLICE_X59Y20 SITE_TYPE SLICEL SITEPROP SLICE_X59Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y21 CLASS site SITEPROP SLICE_X59Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y21 IS_BONDED 0 SITEPROP SLICE_X59Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y21 IS_PAD 0 SITEPROP SLICE_X59Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y21 IS_RESERVED 0 SITEPROP SLICE_X59Y21 IS_TEST 0 SITEPROP SLICE_X59Y21 IS_USED 0 SITEPROP SLICE_X59Y21 MANUAL_ROUTING SITEPROP SLICE_X59Y21 NAME SLICE_X59Y21 SITEPROP SLICE_X59Y21 NUM_ARCS 138 SITEPROP SLICE_X59Y21 NUM_BELS 32 SITEPROP SLICE_X59Y21 NUM_INPUTS 32 SITEPROP SLICE_X59Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y21 NUM_PINS 45 SITEPROP SLICE_X59Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y21 PROHIBIT 0 SITEPROP SLICE_X59Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y21 RPM_X 159 SITEPROP SLICE_X59Y21 RPM_Y 42 SITEPROP SLICE_X59Y21 SITE_PIPS SITEPROP SLICE_X59Y21 SITE_TYPE SLICEL SITEPROP SLICE_X59Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y22 CLASS site SITEPROP SLICE_X59Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y22 IS_BONDED 0 SITEPROP SLICE_X59Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y22 IS_PAD 0 SITEPROP SLICE_X59Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y22 IS_RESERVED 0 SITEPROP SLICE_X59Y22 IS_TEST 0 SITEPROP SLICE_X59Y22 IS_USED 0 SITEPROP SLICE_X59Y22 MANUAL_ROUTING SITEPROP SLICE_X59Y22 NAME SLICE_X59Y22 SITEPROP SLICE_X59Y22 NUM_ARCS 138 SITEPROP SLICE_X59Y22 NUM_BELS 32 SITEPROP SLICE_X59Y22 NUM_INPUTS 32 SITEPROP SLICE_X59Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y22 NUM_PINS 45 SITEPROP SLICE_X59Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y22 PROHIBIT 0 SITEPROP SLICE_X59Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y22 RPM_X 159 SITEPROP SLICE_X59Y22 RPM_Y 44 SITEPROP SLICE_X59Y22 SITE_PIPS SITEPROP SLICE_X59Y22 SITE_TYPE SLICEL SITEPROP SLICE_X59Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y23 CLASS site SITEPROP SLICE_X59Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y23 IS_BONDED 0 SITEPROP SLICE_X59Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y23 IS_PAD 0 SITEPROP SLICE_X59Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y23 IS_RESERVED 0 SITEPROP SLICE_X59Y23 IS_TEST 0 SITEPROP SLICE_X59Y23 IS_USED 0 SITEPROP SLICE_X59Y23 MANUAL_ROUTING SITEPROP SLICE_X59Y23 NAME SLICE_X59Y23 SITEPROP SLICE_X59Y23 NUM_ARCS 138 SITEPROP SLICE_X59Y23 NUM_BELS 32 SITEPROP SLICE_X59Y23 NUM_INPUTS 32 SITEPROP SLICE_X59Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y23 NUM_PINS 45 SITEPROP SLICE_X59Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y23 PROHIBIT 0 SITEPROP SLICE_X59Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y23 RPM_X 159 SITEPROP SLICE_X59Y23 RPM_Y 46 SITEPROP SLICE_X59Y23 SITE_PIPS SITEPROP SLICE_X59Y23 SITE_TYPE SLICEL SITEPROP SLICE_X59Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y24 CLASS site SITEPROP SLICE_X59Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y24 IS_BONDED 0 SITEPROP SLICE_X59Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y24 IS_PAD 0 SITEPROP SLICE_X59Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y24 IS_RESERVED 0 SITEPROP SLICE_X59Y24 IS_TEST 0 SITEPROP SLICE_X59Y24 IS_USED 0 SITEPROP SLICE_X59Y24 MANUAL_ROUTING SITEPROP SLICE_X59Y24 NAME SLICE_X59Y24 SITEPROP SLICE_X59Y24 NUM_ARCS 138 SITEPROP SLICE_X59Y24 NUM_BELS 32 SITEPROP SLICE_X59Y24 NUM_INPUTS 32 SITEPROP SLICE_X59Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y24 NUM_PINS 45 SITEPROP SLICE_X59Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y24 PROHIBIT 0 SITEPROP SLICE_X59Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y24 RPM_X 159 SITEPROP SLICE_X59Y24 RPM_Y 48 SITEPROP SLICE_X59Y24 SITE_PIPS SITEPROP SLICE_X59Y24 SITE_TYPE SLICEL SITEPROP SLICE_X59Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y25 CLASS site SITEPROP SLICE_X59Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y25 IS_BONDED 0 SITEPROP SLICE_X59Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y25 IS_PAD 0 SITEPROP SLICE_X59Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y25 IS_RESERVED 0 SITEPROP SLICE_X59Y25 IS_TEST 0 SITEPROP SLICE_X59Y25 IS_USED 0 SITEPROP SLICE_X59Y25 MANUAL_ROUTING SITEPROP SLICE_X59Y25 NAME SLICE_X59Y25 SITEPROP SLICE_X59Y25 NUM_ARCS 138 SITEPROP SLICE_X59Y25 NUM_BELS 32 SITEPROP SLICE_X59Y25 NUM_INPUTS 32 SITEPROP SLICE_X59Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y25 NUM_PINS 45 SITEPROP SLICE_X59Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y25 PROHIBIT 0 SITEPROP SLICE_X59Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y25 RPM_X 159 SITEPROP SLICE_X59Y25 RPM_Y 50 SITEPROP SLICE_X59Y25 SITE_PIPS SITEPROP SLICE_X59Y25 SITE_TYPE SLICEL SITEPROP SLICE_X59Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y26 CLASS site SITEPROP SLICE_X59Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y26 IS_BONDED 0 SITEPROP SLICE_X59Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y26 IS_PAD 0 SITEPROP SLICE_X59Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y26 IS_RESERVED 0 SITEPROP SLICE_X59Y26 IS_TEST 0 SITEPROP SLICE_X59Y26 IS_USED 0 SITEPROP SLICE_X59Y26 MANUAL_ROUTING SITEPROP SLICE_X59Y26 NAME SLICE_X59Y26 SITEPROP SLICE_X59Y26 NUM_ARCS 138 SITEPROP SLICE_X59Y26 NUM_BELS 32 SITEPROP SLICE_X59Y26 NUM_INPUTS 32 SITEPROP SLICE_X59Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y26 NUM_PINS 45 SITEPROP SLICE_X59Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y26 PROHIBIT 0 SITEPROP SLICE_X59Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y26 RPM_X 159 SITEPROP SLICE_X59Y26 RPM_Y 52 SITEPROP SLICE_X59Y26 SITE_PIPS SITEPROP SLICE_X59Y26 SITE_TYPE SLICEL SITEPROP SLICE_X59Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y27 CLASS site SITEPROP SLICE_X59Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y27 IS_BONDED 0 SITEPROP SLICE_X59Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y27 IS_PAD 0 SITEPROP SLICE_X59Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y27 IS_RESERVED 0 SITEPROP SLICE_X59Y27 IS_TEST 0 SITEPROP SLICE_X59Y27 IS_USED 0 SITEPROP SLICE_X59Y27 MANUAL_ROUTING SITEPROP SLICE_X59Y27 NAME SLICE_X59Y27 SITEPROP SLICE_X59Y27 NUM_ARCS 138 SITEPROP SLICE_X59Y27 NUM_BELS 32 SITEPROP SLICE_X59Y27 NUM_INPUTS 32 SITEPROP SLICE_X59Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y27 NUM_PINS 45 SITEPROP SLICE_X59Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y27 PROHIBIT 0 SITEPROP SLICE_X59Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y27 RPM_X 159 SITEPROP SLICE_X59Y27 RPM_Y 54 SITEPROP SLICE_X59Y27 SITE_PIPS SITEPROP SLICE_X59Y27 SITE_TYPE SLICEL SITEPROP SLICE_X59Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y28 CLASS site SITEPROP SLICE_X59Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y28 IS_BONDED 0 SITEPROP SLICE_X59Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y28 IS_PAD 0 SITEPROP SLICE_X59Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y28 IS_RESERVED 0 SITEPROP SLICE_X59Y28 IS_TEST 0 SITEPROP SLICE_X59Y28 IS_USED 0 SITEPROP SLICE_X59Y28 MANUAL_ROUTING SITEPROP SLICE_X59Y28 NAME SLICE_X59Y28 SITEPROP SLICE_X59Y28 NUM_ARCS 138 SITEPROP SLICE_X59Y28 NUM_BELS 32 SITEPROP SLICE_X59Y28 NUM_INPUTS 32 SITEPROP SLICE_X59Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y28 NUM_PINS 45 SITEPROP SLICE_X59Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y28 PROHIBIT 0 SITEPROP SLICE_X59Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y28 RPM_X 159 SITEPROP SLICE_X59Y28 RPM_Y 56 SITEPROP SLICE_X59Y28 SITE_PIPS SITEPROP SLICE_X59Y28 SITE_TYPE SLICEL SITEPROP SLICE_X59Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y29 CLASS site SITEPROP SLICE_X59Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y29 IS_BONDED 0 SITEPROP SLICE_X59Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y29 IS_PAD 0 SITEPROP SLICE_X59Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y29 IS_RESERVED 0 SITEPROP SLICE_X59Y29 IS_TEST 0 SITEPROP SLICE_X59Y29 IS_USED 0 SITEPROP SLICE_X59Y29 MANUAL_ROUTING SITEPROP SLICE_X59Y29 NAME SLICE_X59Y29 SITEPROP SLICE_X59Y29 NUM_ARCS 138 SITEPROP SLICE_X59Y29 NUM_BELS 32 SITEPROP SLICE_X59Y29 NUM_INPUTS 32 SITEPROP SLICE_X59Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y29 NUM_PINS 45 SITEPROP SLICE_X59Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y29 PROHIBIT 0 SITEPROP SLICE_X59Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y29 RPM_X 159 SITEPROP SLICE_X59Y29 RPM_Y 58 SITEPROP SLICE_X59Y29 SITE_PIPS SITEPROP SLICE_X59Y29 SITE_TYPE SLICEL SITEPROP SLICE_X59Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y30 CLASS site SITEPROP SLICE_X59Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y30 IS_BONDED 0 SITEPROP SLICE_X59Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y30 IS_PAD 0 SITEPROP SLICE_X59Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y30 IS_RESERVED 0 SITEPROP SLICE_X59Y30 IS_TEST 0 SITEPROP SLICE_X59Y30 IS_USED 0 SITEPROP SLICE_X59Y30 MANUAL_ROUTING SITEPROP SLICE_X59Y30 NAME SLICE_X59Y30 SITEPROP SLICE_X59Y30 NUM_ARCS 138 SITEPROP SLICE_X59Y30 NUM_BELS 32 SITEPROP SLICE_X59Y30 NUM_INPUTS 32 SITEPROP SLICE_X59Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y30 NUM_PINS 45 SITEPROP SLICE_X59Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y30 PROHIBIT 0 SITEPROP SLICE_X59Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y30 RPM_X 159 SITEPROP SLICE_X59Y30 RPM_Y 60 SITEPROP SLICE_X59Y30 SITE_PIPS SITEPROP SLICE_X59Y30 SITE_TYPE SLICEL SITEPROP SLICE_X59Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y31 CLASS site SITEPROP SLICE_X59Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y31 IS_BONDED 0 SITEPROP SLICE_X59Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y31 IS_PAD 0 SITEPROP SLICE_X59Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y31 IS_RESERVED 0 SITEPROP SLICE_X59Y31 IS_TEST 0 SITEPROP SLICE_X59Y31 IS_USED 0 SITEPROP SLICE_X59Y31 MANUAL_ROUTING SITEPROP SLICE_X59Y31 NAME SLICE_X59Y31 SITEPROP SLICE_X59Y31 NUM_ARCS 138 SITEPROP SLICE_X59Y31 NUM_BELS 32 SITEPROP SLICE_X59Y31 NUM_INPUTS 32 SITEPROP SLICE_X59Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y31 NUM_PINS 45 SITEPROP SLICE_X59Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y31 PROHIBIT 0 SITEPROP SLICE_X59Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y31 RPM_X 159 SITEPROP SLICE_X59Y31 RPM_Y 62 SITEPROP SLICE_X59Y31 SITE_PIPS SITEPROP SLICE_X59Y31 SITE_TYPE SLICEL SITEPROP SLICE_X59Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y32 CLASS site SITEPROP SLICE_X59Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y32 IS_BONDED 0 SITEPROP SLICE_X59Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y32 IS_PAD 0 SITEPROP SLICE_X59Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y32 IS_RESERVED 0 SITEPROP SLICE_X59Y32 IS_TEST 0 SITEPROP SLICE_X59Y32 IS_USED 0 SITEPROP SLICE_X59Y32 MANUAL_ROUTING SITEPROP SLICE_X59Y32 NAME SLICE_X59Y32 SITEPROP SLICE_X59Y32 NUM_ARCS 138 SITEPROP SLICE_X59Y32 NUM_BELS 32 SITEPROP SLICE_X59Y32 NUM_INPUTS 32 SITEPROP SLICE_X59Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y32 NUM_PINS 45 SITEPROP SLICE_X59Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y32 PROHIBIT 0 SITEPROP SLICE_X59Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y32 RPM_X 159 SITEPROP SLICE_X59Y32 RPM_Y 64 SITEPROP SLICE_X59Y32 SITE_PIPS SITEPROP SLICE_X59Y32 SITE_TYPE SLICEL SITEPROP SLICE_X59Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y33 CLASS site SITEPROP SLICE_X59Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y33 IS_BONDED 0 SITEPROP SLICE_X59Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y33 IS_PAD 0 SITEPROP SLICE_X59Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y33 IS_RESERVED 0 SITEPROP SLICE_X59Y33 IS_TEST 0 SITEPROP SLICE_X59Y33 IS_USED 0 SITEPROP SLICE_X59Y33 MANUAL_ROUTING SITEPROP SLICE_X59Y33 NAME SLICE_X59Y33 SITEPROP SLICE_X59Y33 NUM_ARCS 138 SITEPROP SLICE_X59Y33 NUM_BELS 32 SITEPROP SLICE_X59Y33 NUM_INPUTS 32 SITEPROP SLICE_X59Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y33 NUM_PINS 45 SITEPROP SLICE_X59Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y33 PROHIBIT 0 SITEPROP SLICE_X59Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y33 RPM_X 159 SITEPROP SLICE_X59Y33 RPM_Y 66 SITEPROP SLICE_X59Y33 SITE_PIPS SITEPROP SLICE_X59Y33 SITE_TYPE SLICEL SITEPROP SLICE_X59Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y34 CLASS site SITEPROP SLICE_X59Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y34 IS_BONDED 0 SITEPROP SLICE_X59Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y34 IS_PAD 0 SITEPROP SLICE_X59Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y34 IS_RESERVED 0 SITEPROP SLICE_X59Y34 IS_TEST 0 SITEPROP SLICE_X59Y34 IS_USED 0 SITEPROP SLICE_X59Y34 MANUAL_ROUTING SITEPROP SLICE_X59Y34 NAME SLICE_X59Y34 SITEPROP SLICE_X59Y34 NUM_ARCS 138 SITEPROP SLICE_X59Y34 NUM_BELS 32 SITEPROP SLICE_X59Y34 NUM_INPUTS 32 SITEPROP SLICE_X59Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y34 NUM_PINS 45 SITEPROP SLICE_X59Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y34 PROHIBIT 0 SITEPROP SLICE_X59Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y34 RPM_X 159 SITEPROP SLICE_X59Y34 RPM_Y 68 SITEPROP SLICE_X59Y34 SITE_PIPS SITEPROP SLICE_X59Y34 SITE_TYPE SLICEL SITEPROP SLICE_X59Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y35 CLASS site SITEPROP SLICE_X59Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y35 IS_BONDED 0 SITEPROP SLICE_X59Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y35 IS_PAD 0 SITEPROP SLICE_X59Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y35 IS_RESERVED 0 SITEPROP SLICE_X59Y35 IS_TEST 0 SITEPROP SLICE_X59Y35 IS_USED 0 SITEPROP SLICE_X59Y35 MANUAL_ROUTING SITEPROP SLICE_X59Y35 NAME SLICE_X59Y35 SITEPROP SLICE_X59Y35 NUM_ARCS 138 SITEPROP SLICE_X59Y35 NUM_BELS 32 SITEPROP SLICE_X59Y35 NUM_INPUTS 32 SITEPROP SLICE_X59Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y35 NUM_PINS 45 SITEPROP SLICE_X59Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y35 PROHIBIT 0 SITEPROP SLICE_X59Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y35 RPM_X 159 SITEPROP SLICE_X59Y35 RPM_Y 70 SITEPROP SLICE_X59Y35 SITE_PIPS SITEPROP SLICE_X59Y35 SITE_TYPE SLICEL SITEPROP SLICE_X59Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y36 CLASS site SITEPROP SLICE_X59Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y36 IS_BONDED 0 SITEPROP SLICE_X59Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y36 IS_PAD 0 SITEPROP SLICE_X59Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y36 IS_RESERVED 0 SITEPROP SLICE_X59Y36 IS_TEST 0 SITEPROP SLICE_X59Y36 IS_USED 0 SITEPROP SLICE_X59Y36 MANUAL_ROUTING SITEPROP SLICE_X59Y36 NAME SLICE_X59Y36 SITEPROP SLICE_X59Y36 NUM_ARCS 138 SITEPROP SLICE_X59Y36 NUM_BELS 32 SITEPROP SLICE_X59Y36 NUM_INPUTS 32 SITEPROP SLICE_X59Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y36 NUM_PINS 45 SITEPROP SLICE_X59Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y36 PROHIBIT 0 SITEPROP SLICE_X59Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y36 RPM_X 159 SITEPROP SLICE_X59Y36 RPM_Y 72 SITEPROP SLICE_X59Y36 SITE_PIPS SITEPROP SLICE_X59Y36 SITE_TYPE SLICEL SITEPROP SLICE_X59Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y37 CLASS site SITEPROP SLICE_X59Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y37 IS_BONDED 0 SITEPROP SLICE_X59Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y37 IS_PAD 0 SITEPROP SLICE_X59Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y37 IS_RESERVED 0 SITEPROP SLICE_X59Y37 IS_TEST 0 SITEPROP SLICE_X59Y37 IS_USED 0 SITEPROP SLICE_X59Y37 MANUAL_ROUTING SITEPROP SLICE_X59Y37 NAME SLICE_X59Y37 SITEPROP SLICE_X59Y37 NUM_ARCS 138 SITEPROP SLICE_X59Y37 NUM_BELS 32 SITEPROP SLICE_X59Y37 NUM_INPUTS 32 SITEPROP SLICE_X59Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y37 NUM_PINS 45 SITEPROP SLICE_X59Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y37 PROHIBIT 0 SITEPROP SLICE_X59Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y37 RPM_X 159 SITEPROP SLICE_X59Y37 RPM_Y 74 SITEPROP SLICE_X59Y37 SITE_PIPS SITEPROP SLICE_X59Y37 SITE_TYPE SLICEL SITEPROP SLICE_X59Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y38 CLASS site SITEPROP SLICE_X59Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y38 IS_BONDED 0 SITEPROP SLICE_X59Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y38 IS_PAD 0 SITEPROP SLICE_X59Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y38 IS_RESERVED 0 SITEPROP SLICE_X59Y38 IS_TEST 0 SITEPROP SLICE_X59Y38 IS_USED 0 SITEPROP SLICE_X59Y38 MANUAL_ROUTING SITEPROP SLICE_X59Y38 NAME SLICE_X59Y38 SITEPROP SLICE_X59Y38 NUM_ARCS 138 SITEPROP SLICE_X59Y38 NUM_BELS 32 SITEPROP SLICE_X59Y38 NUM_INPUTS 32 SITEPROP SLICE_X59Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y38 NUM_PINS 45 SITEPROP SLICE_X59Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y38 PROHIBIT 0 SITEPROP SLICE_X59Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y38 RPM_X 159 SITEPROP SLICE_X59Y38 RPM_Y 76 SITEPROP SLICE_X59Y38 SITE_PIPS SITEPROP SLICE_X59Y38 SITE_TYPE SLICEL SITEPROP SLICE_X59Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y39 CLASS site SITEPROP SLICE_X59Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y39 IS_BONDED 0 SITEPROP SLICE_X59Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y39 IS_PAD 0 SITEPROP SLICE_X59Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y39 IS_RESERVED 0 SITEPROP SLICE_X59Y39 IS_TEST 0 SITEPROP SLICE_X59Y39 IS_USED 0 SITEPROP SLICE_X59Y39 MANUAL_ROUTING SITEPROP SLICE_X59Y39 NAME SLICE_X59Y39 SITEPROP SLICE_X59Y39 NUM_ARCS 138 SITEPROP SLICE_X59Y39 NUM_BELS 32 SITEPROP SLICE_X59Y39 NUM_INPUTS 32 SITEPROP SLICE_X59Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y39 NUM_PINS 45 SITEPROP SLICE_X59Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y39 PROHIBIT 0 SITEPROP SLICE_X59Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y39 RPM_X 159 SITEPROP SLICE_X59Y39 RPM_Y 78 SITEPROP SLICE_X59Y39 SITE_PIPS SITEPROP SLICE_X59Y39 SITE_TYPE SLICEL SITEPROP SLICE_X59Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y40 CLASS site SITEPROP SLICE_X59Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y40 IS_BONDED 0 SITEPROP SLICE_X59Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y40 IS_PAD 0 SITEPROP SLICE_X59Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y40 IS_RESERVED 0 SITEPROP SLICE_X59Y40 IS_TEST 0 SITEPROP SLICE_X59Y40 IS_USED 0 SITEPROP SLICE_X59Y40 MANUAL_ROUTING SITEPROP SLICE_X59Y40 NAME SLICE_X59Y40 SITEPROP SLICE_X59Y40 NUM_ARCS 138 SITEPROP SLICE_X59Y40 NUM_BELS 32 SITEPROP SLICE_X59Y40 NUM_INPUTS 32 SITEPROP SLICE_X59Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y40 NUM_PINS 45 SITEPROP SLICE_X59Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y40 PROHIBIT 0 SITEPROP SLICE_X59Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y40 RPM_X 159 SITEPROP SLICE_X59Y40 RPM_Y 80 SITEPROP SLICE_X59Y40 SITE_PIPS SITEPROP SLICE_X59Y40 SITE_TYPE SLICEL SITEPROP SLICE_X59Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y41 CLASS site SITEPROP SLICE_X59Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y41 IS_BONDED 0 SITEPROP SLICE_X59Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y41 IS_PAD 0 SITEPROP SLICE_X59Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y41 IS_RESERVED 0 SITEPROP SLICE_X59Y41 IS_TEST 0 SITEPROP SLICE_X59Y41 IS_USED 0 SITEPROP SLICE_X59Y41 MANUAL_ROUTING SITEPROP SLICE_X59Y41 NAME SLICE_X59Y41 SITEPROP SLICE_X59Y41 NUM_ARCS 138 SITEPROP SLICE_X59Y41 NUM_BELS 32 SITEPROP SLICE_X59Y41 NUM_INPUTS 32 SITEPROP SLICE_X59Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y41 NUM_PINS 45 SITEPROP SLICE_X59Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y41 PROHIBIT 0 SITEPROP SLICE_X59Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y41 RPM_X 159 SITEPROP SLICE_X59Y41 RPM_Y 82 SITEPROP SLICE_X59Y41 SITE_PIPS SITEPROP SLICE_X59Y41 SITE_TYPE SLICEL SITEPROP SLICE_X59Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y42 CLASS site SITEPROP SLICE_X59Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y42 IS_BONDED 0 SITEPROP SLICE_X59Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y42 IS_PAD 0 SITEPROP SLICE_X59Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y42 IS_RESERVED 0 SITEPROP SLICE_X59Y42 IS_TEST 0 SITEPROP SLICE_X59Y42 IS_USED 0 SITEPROP SLICE_X59Y42 MANUAL_ROUTING SITEPROP SLICE_X59Y42 NAME SLICE_X59Y42 SITEPROP SLICE_X59Y42 NUM_ARCS 138 SITEPROP SLICE_X59Y42 NUM_BELS 32 SITEPROP SLICE_X59Y42 NUM_INPUTS 32 SITEPROP SLICE_X59Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y42 NUM_PINS 45 SITEPROP SLICE_X59Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y42 PROHIBIT 0 SITEPROP SLICE_X59Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y42 RPM_X 159 SITEPROP SLICE_X59Y42 RPM_Y 84 SITEPROP SLICE_X59Y42 SITE_PIPS SITEPROP SLICE_X59Y42 SITE_TYPE SLICEL SITEPROP SLICE_X59Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y43 CLASS site SITEPROP SLICE_X59Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y43 IS_BONDED 0 SITEPROP SLICE_X59Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y43 IS_PAD 0 SITEPROP SLICE_X59Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y43 IS_RESERVED 0 SITEPROP SLICE_X59Y43 IS_TEST 0 SITEPROP SLICE_X59Y43 IS_USED 0 SITEPROP SLICE_X59Y43 MANUAL_ROUTING SITEPROP SLICE_X59Y43 NAME SLICE_X59Y43 SITEPROP SLICE_X59Y43 NUM_ARCS 138 SITEPROP SLICE_X59Y43 NUM_BELS 32 SITEPROP SLICE_X59Y43 NUM_INPUTS 32 SITEPROP SLICE_X59Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y43 NUM_PINS 45 SITEPROP SLICE_X59Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y43 PROHIBIT 0 SITEPROP SLICE_X59Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y43 RPM_X 159 SITEPROP SLICE_X59Y43 RPM_Y 86 SITEPROP SLICE_X59Y43 SITE_PIPS SITEPROP SLICE_X59Y43 SITE_TYPE SLICEL SITEPROP SLICE_X59Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y44 CLASS site SITEPROP SLICE_X59Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y44 IS_BONDED 0 SITEPROP SLICE_X59Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y44 IS_PAD 0 SITEPROP SLICE_X59Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y44 IS_RESERVED 0 SITEPROP SLICE_X59Y44 IS_TEST 0 SITEPROP SLICE_X59Y44 IS_USED 0 SITEPROP SLICE_X59Y44 MANUAL_ROUTING SITEPROP SLICE_X59Y44 NAME SLICE_X59Y44 SITEPROP SLICE_X59Y44 NUM_ARCS 138 SITEPROP SLICE_X59Y44 NUM_BELS 32 SITEPROP SLICE_X59Y44 NUM_INPUTS 32 SITEPROP SLICE_X59Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y44 NUM_PINS 45 SITEPROP SLICE_X59Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y44 PROHIBIT 0 SITEPROP SLICE_X59Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y44 RPM_X 159 SITEPROP SLICE_X59Y44 RPM_Y 88 SITEPROP SLICE_X59Y44 SITE_PIPS SITEPROP SLICE_X59Y44 SITE_TYPE SLICEL SITEPROP SLICE_X59Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y45 CLASS site SITEPROP SLICE_X59Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y45 IS_BONDED 0 SITEPROP SLICE_X59Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y45 IS_PAD 0 SITEPROP SLICE_X59Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y45 IS_RESERVED 0 SITEPROP SLICE_X59Y45 IS_TEST 0 SITEPROP SLICE_X59Y45 IS_USED 0 SITEPROP SLICE_X59Y45 MANUAL_ROUTING SITEPROP SLICE_X59Y45 NAME SLICE_X59Y45 SITEPROP SLICE_X59Y45 NUM_ARCS 138 SITEPROP SLICE_X59Y45 NUM_BELS 32 SITEPROP SLICE_X59Y45 NUM_INPUTS 32 SITEPROP SLICE_X59Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y45 NUM_PINS 45 SITEPROP SLICE_X59Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y45 PROHIBIT 0 SITEPROP SLICE_X59Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y45 RPM_X 159 SITEPROP SLICE_X59Y45 RPM_Y 90 SITEPROP SLICE_X59Y45 SITE_PIPS SITEPROP SLICE_X59Y45 SITE_TYPE SLICEL SITEPROP SLICE_X59Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y46 CLASS site SITEPROP SLICE_X59Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y46 IS_BONDED 0 SITEPROP SLICE_X59Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y46 IS_PAD 0 SITEPROP SLICE_X59Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y46 IS_RESERVED 0 SITEPROP SLICE_X59Y46 IS_TEST 0 SITEPROP SLICE_X59Y46 IS_USED 0 SITEPROP SLICE_X59Y46 MANUAL_ROUTING SITEPROP SLICE_X59Y46 NAME SLICE_X59Y46 SITEPROP SLICE_X59Y46 NUM_ARCS 138 SITEPROP SLICE_X59Y46 NUM_BELS 32 SITEPROP SLICE_X59Y46 NUM_INPUTS 32 SITEPROP SLICE_X59Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y46 NUM_PINS 45 SITEPROP SLICE_X59Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y46 PROHIBIT 0 SITEPROP SLICE_X59Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y46 RPM_X 159 SITEPROP SLICE_X59Y46 RPM_Y 92 SITEPROP SLICE_X59Y46 SITE_PIPS SITEPROP SLICE_X59Y46 SITE_TYPE SLICEL SITEPROP SLICE_X59Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y47 CLASS site SITEPROP SLICE_X59Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y47 IS_BONDED 0 SITEPROP SLICE_X59Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y47 IS_PAD 0 SITEPROP SLICE_X59Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y47 IS_RESERVED 0 SITEPROP SLICE_X59Y47 IS_TEST 0 SITEPROP SLICE_X59Y47 IS_USED 0 SITEPROP SLICE_X59Y47 MANUAL_ROUTING SITEPROP SLICE_X59Y47 NAME SLICE_X59Y47 SITEPROP SLICE_X59Y47 NUM_ARCS 138 SITEPROP SLICE_X59Y47 NUM_BELS 32 SITEPROP SLICE_X59Y47 NUM_INPUTS 32 SITEPROP SLICE_X59Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y47 NUM_PINS 45 SITEPROP SLICE_X59Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y47 PROHIBIT 0 SITEPROP SLICE_X59Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y47 RPM_X 159 SITEPROP SLICE_X59Y47 RPM_Y 94 SITEPROP SLICE_X59Y47 SITE_PIPS SITEPROP SLICE_X59Y47 SITE_TYPE SLICEL SITEPROP SLICE_X59Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y48 CLASS site SITEPROP SLICE_X59Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y48 IS_BONDED 0 SITEPROP SLICE_X59Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y48 IS_PAD 0 SITEPROP SLICE_X59Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y48 IS_RESERVED 0 SITEPROP SLICE_X59Y48 IS_TEST 0 SITEPROP SLICE_X59Y48 IS_USED 0 SITEPROP SLICE_X59Y48 MANUAL_ROUTING SITEPROP SLICE_X59Y48 NAME SLICE_X59Y48 SITEPROP SLICE_X59Y48 NUM_ARCS 138 SITEPROP SLICE_X59Y48 NUM_BELS 32 SITEPROP SLICE_X59Y48 NUM_INPUTS 32 SITEPROP SLICE_X59Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y48 NUM_PINS 45 SITEPROP SLICE_X59Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y48 PROHIBIT 0 SITEPROP SLICE_X59Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y48 RPM_X 159 SITEPROP SLICE_X59Y48 RPM_Y 96 SITEPROP SLICE_X59Y48 SITE_PIPS SITEPROP SLICE_X59Y48 SITE_TYPE SLICEL SITEPROP SLICE_X59Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y49 CLASS site SITEPROP SLICE_X59Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X59Y49 IS_BONDED 0 SITEPROP SLICE_X59Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y49 IS_PAD 0 SITEPROP SLICE_X59Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y49 IS_RESERVED 0 SITEPROP SLICE_X59Y49 IS_TEST 0 SITEPROP SLICE_X59Y49 IS_USED 0 SITEPROP SLICE_X59Y49 MANUAL_ROUTING SITEPROP SLICE_X59Y49 NAME SLICE_X59Y49 SITEPROP SLICE_X59Y49 NUM_ARCS 138 SITEPROP SLICE_X59Y49 NUM_BELS 32 SITEPROP SLICE_X59Y49 NUM_INPUTS 32 SITEPROP SLICE_X59Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y49 NUM_PINS 45 SITEPROP SLICE_X59Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y49 PROHIBIT 0 SITEPROP SLICE_X59Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y49 RPM_X 159 SITEPROP SLICE_X59Y49 RPM_Y 98 SITEPROP SLICE_X59Y49 SITE_PIPS SITEPROP SLICE_X59Y49 SITE_TYPE SLICEL SITEPROP SLICE_X59Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y50 CLASS site SITEPROP SLICE_X59Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y50 IS_BONDED 0 SITEPROP SLICE_X59Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y50 IS_PAD 0 SITEPROP SLICE_X59Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y50 IS_RESERVED 0 SITEPROP SLICE_X59Y50 IS_TEST 0 SITEPROP SLICE_X59Y50 IS_USED 0 SITEPROP SLICE_X59Y50 MANUAL_ROUTING SITEPROP SLICE_X59Y50 NAME SLICE_X59Y50 SITEPROP SLICE_X59Y50 NUM_ARCS 138 SITEPROP SLICE_X59Y50 NUM_BELS 32 SITEPROP SLICE_X59Y50 NUM_INPUTS 32 SITEPROP SLICE_X59Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y50 NUM_PINS 45 SITEPROP SLICE_X59Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y50 PROHIBIT 0 SITEPROP SLICE_X59Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y50 RPM_X 159 SITEPROP SLICE_X59Y50 RPM_Y 100 SITEPROP SLICE_X59Y50 SITE_PIPS SITEPROP SLICE_X59Y50 SITE_TYPE SLICEL SITEPROP SLICE_X59Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y51 CLASS site SITEPROP SLICE_X59Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y51 IS_BONDED 0 SITEPROP SLICE_X59Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y51 IS_PAD 0 SITEPROP SLICE_X59Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y51 IS_RESERVED 0 SITEPROP SLICE_X59Y51 IS_TEST 0 SITEPROP SLICE_X59Y51 IS_USED 0 SITEPROP SLICE_X59Y51 MANUAL_ROUTING SITEPROP SLICE_X59Y51 NAME SLICE_X59Y51 SITEPROP SLICE_X59Y51 NUM_ARCS 138 SITEPROP SLICE_X59Y51 NUM_BELS 32 SITEPROP SLICE_X59Y51 NUM_INPUTS 32 SITEPROP SLICE_X59Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y51 NUM_PINS 45 SITEPROP SLICE_X59Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y51 PROHIBIT 0 SITEPROP SLICE_X59Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y51 RPM_X 159 SITEPROP SLICE_X59Y51 RPM_Y 102 SITEPROP SLICE_X59Y51 SITE_PIPS SITEPROP SLICE_X59Y51 SITE_TYPE SLICEL SITEPROP SLICE_X59Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y52 CLASS site SITEPROP SLICE_X59Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y52 IS_BONDED 0 SITEPROP SLICE_X59Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y52 IS_PAD 0 SITEPROP SLICE_X59Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y52 IS_RESERVED 0 SITEPROP SLICE_X59Y52 IS_TEST 0 SITEPROP SLICE_X59Y52 IS_USED 0 SITEPROP SLICE_X59Y52 MANUAL_ROUTING SITEPROP SLICE_X59Y52 NAME SLICE_X59Y52 SITEPROP SLICE_X59Y52 NUM_ARCS 138 SITEPROP SLICE_X59Y52 NUM_BELS 32 SITEPROP SLICE_X59Y52 NUM_INPUTS 32 SITEPROP SLICE_X59Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y52 NUM_PINS 45 SITEPROP SLICE_X59Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y52 PROHIBIT 0 SITEPROP SLICE_X59Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y52 RPM_X 159 SITEPROP SLICE_X59Y52 RPM_Y 104 SITEPROP SLICE_X59Y52 SITE_PIPS SITEPROP SLICE_X59Y52 SITE_TYPE SLICEL SITEPROP SLICE_X59Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y53 CLASS site SITEPROP SLICE_X59Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y53 IS_BONDED 0 SITEPROP SLICE_X59Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y53 IS_PAD 0 SITEPROP SLICE_X59Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y53 IS_RESERVED 0 SITEPROP SLICE_X59Y53 IS_TEST 0 SITEPROP SLICE_X59Y53 IS_USED 0 SITEPROP SLICE_X59Y53 MANUAL_ROUTING SITEPROP SLICE_X59Y53 NAME SLICE_X59Y53 SITEPROP SLICE_X59Y53 NUM_ARCS 138 SITEPROP SLICE_X59Y53 NUM_BELS 32 SITEPROP SLICE_X59Y53 NUM_INPUTS 32 SITEPROP SLICE_X59Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y53 NUM_PINS 45 SITEPROP SLICE_X59Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y53 PROHIBIT 0 SITEPROP SLICE_X59Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y53 RPM_X 159 SITEPROP SLICE_X59Y53 RPM_Y 106 SITEPROP SLICE_X59Y53 SITE_PIPS SITEPROP SLICE_X59Y53 SITE_TYPE SLICEL SITEPROP SLICE_X59Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y54 CLASS site SITEPROP SLICE_X59Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y54 IS_BONDED 0 SITEPROP SLICE_X59Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y54 IS_PAD 0 SITEPROP SLICE_X59Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y54 IS_RESERVED 0 SITEPROP SLICE_X59Y54 IS_TEST 0 SITEPROP SLICE_X59Y54 IS_USED 0 SITEPROP SLICE_X59Y54 MANUAL_ROUTING SITEPROP SLICE_X59Y54 NAME SLICE_X59Y54 SITEPROP SLICE_X59Y54 NUM_ARCS 138 SITEPROP SLICE_X59Y54 NUM_BELS 32 SITEPROP SLICE_X59Y54 NUM_INPUTS 32 SITEPROP SLICE_X59Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y54 NUM_PINS 45 SITEPROP SLICE_X59Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y54 PROHIBIT 0 SITEPROP SLICE_X59Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y54 RPM_X 159 SITEPROP SLICE_X59Y54 RPM_Y 108 SITEPROP SLICE_X59Y54 SITE_PIPS SITEPROP SLICE_X59Y54 SITE_TYPE SLICEL SITEPROP SLICE_X59Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y55 CLASS site SITEPROP SLICE_X59Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y55 IS_BONDED 0 SITEPROP SLICE_X59Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y55 IS_PAD 0 SITEPROP SLICE_X59Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y55 IS_RESERVED 0 SITEPROP SLICE_X59Y55 IS_TEST 0 SITEPROP SLICE_X59Y55 IS_USED 0 SITEPROP SLICE_X59Y55 MANUAL_ROUTING SITEPROP SLICE_X59Y55 NAME SLICE_X59Y55 SITEPROP SLICE_X59Y55 NUM_ARCS 138 SITEPROP SLICE_X59Y55 NUM_BELS 32 SITEPROP SLICE_X59Y55 NUM_INPUTS 32 SITEPROP SLICE_X59Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y55 NUM_PINS 45 SITEPROP SLICE_X59Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y55 PROHIBIT 0 SITEPROP SLICE_X59Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y55 RPM_X 159 SITEPROP SLICE_X59Y55 RPM_Y 110 SITEPROP SLICE_X59Y55 SITE_PIPS SITEPROP SLICE_X59Y55 SITE_TYPE SLICEL SITEPROP SLICE_X59Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y56 CLASS site SITEPROP SLICE_X59Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y56 IS_BONDED 0 SITEPROP SLICE_X59Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y56 IS_PAD 0 SITEPROP SLICE_X59Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y56 IS_RESERVED 0 SITEPROP SLICE_X59Y56 IS_TEST 0 SITEPROP SLICE_X59Y56 IS_USED 0 SITEPROP SLICE_X59Y56 MANUAL_ROUTING SITEPROP SLICE_X59Y56 NAME SLICE_X59Y56 SITEPROP SLICE_X59Y56 NUM_ARCS 138 SITEPROP SLICE_X59Y56 NUM_BELS 32 SITEPROP SLICE_X59Y56 NUM_INPUTS 32 SITEPROP SLICE_X59Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y56 NUM_PINS 45 SITEPROP SLICE_X59Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y56 PROHIBIT 0 SITEPROP SLICE_X59Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y56 RPM_X 159 SITEPROP SLICE_X59Y56 RPM_Y 112 SITEPROP SLICE_X59Y56 SITE_PIPS SITEPROP SLICE_X59Y56 SITE_TYPE SLICEL SITEPROP SLICE_X59Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y57 CLASS site SITEPROP SLICE_X59Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y57 IS_BONDED 0 SITEPROP SLICE_X59Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y57 IS_PAD 0 SITEPROP SLICE_X59Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y57 IS_RESERVED 0 SITEPROP SLICE_X59Y57 IS_TEST 0 SITEPROP SLICE_X59Y57 IS_USED 0 SITEPROP SLICE_X59Y57 MANUAL_ROUTING SITEPROP SLICE_X59Y57 NAME SLICE_X59Y57 SITEPROP SLICE_X59Y57 NUM_ARCS 138 SITEPROP SLICE_X59Y57 NUM_BELS 32 SITEPROP SLICE_X59Y57 NUM_INPUTS 32 SITEPROP SLICE_X59Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y57 NUM_PINS 45 SITEPROP SLICE_X59Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y57 PROHIBIT 0 SITEPROP SLICE_X59Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y57 RPM_X 159 SITEPROP SLICE_X59Y57 RPM_Y 114 SITEPROP SLICE_X59Y57 SITE_PIPS SITEPROP SLICE_X59Y57 SITE_TYPE SLICEL SITEPROP SLICE_X59Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y58 CLASS site SITEPROP SLICE_X59Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y58 IS_BONDED 0 SITEPROP SLICE_X59Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y58 IS_PAD 0 SITEPROP SLICE_X59Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y58 IS_RESERVED 0 SITEPROP SLICE_X59Y58 IS_TEST 0 SITEPROP SLICE_X59Y58 IS_USED 0 SITEPROP SLICE_X59Y58 MANUAL_ROUTING SITEPROP SLICE_X59Y58 NAME SLICE_X59Y58 SITEPROP SLICE_X59Y58 NUM_ARCS 138 SITEPROP SLICE_X59Y58 NUM_BELS 32 SITEPROP SLICE_X59Y58 NUM_INPUTS 32 SITEPROP SLICE_X59Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y58 NUM_PINS 45 SITEPROP SLICE_X59Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y58 PROHIBIT 0 SITEPROP SLICE_X59Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y58 RPM_X 159 SITEPROP SLICE_X59Y58 RPM_Y 116 SITEPROP SLICE_X59Y58 SITE_PIPS SITEPROP SLICE_X59Y58 SITE_TYPE SLICEL SITEPROP SLICE_X59Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y59 CLASS site SITEPROP SLICE_X59Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y59 IS_BONDED 0 SITEPROP SLICE_X59Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y59 IS_PAD 0 SITEPROP SLICE_X59Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y59 IS_RESERVED 0 SITEPROP SLICE_X59Y59 IS_TEST 0 SITEPROP SLICE_X59Y59 IS_USED 0 SITEPROP SLICE_X59Y59 MANUAL_ROUTING SITEPROP SLICE_X59Y59 NAME SLICE_X59Y59 SITEPROP SLICE_X59Y59 NUM_ARCS 138 SITEPROP SLICE_X59Y59 NUM_BELS 32 SITEPROP SLICE_X59Y59 NUM_INPUTS 32 SITEPROP SLICE_X59Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y59 NUM_PINS 45 SITEPROP SLICE_X59Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y59 PROHIBIT 0 SITEPROP SLICE_X59Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y59 RPM_X 159 SITEPROP SLICE_X59Y59 RPM_Y 118 SITEPROP SLICE_X59Y59 SITE_PIPS SITEPROP SLICE_X59Y59 SITE_TYPE SLICEL SITEPROP SLICE_X59Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y60 CLASS site SITEPROP SLICE_X59Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y60 IS_BONDED 0 SITEPROP SLICE_X59Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y60 IS_PAD 0 SITEPROP SLICE_X59Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y60 IS_RESERVED 0 SITEPROP SLICE_X59Y60 IS_TEST 0 SITEPROP SLICE_X59Y60 IS_USED 0 SITEPROP SLICE_X59Y60 MANUAL_ROUTING SITEPROP SLICE_X59Y60 NAME SLICE_X59Y60 SITEPROP SLICE_X59Y60 NUM_ARCS 138 SITEPROP SLICE_X59Y60 NUM_BELS 32 SITEPROP SLICE_X59Y60 NUM_INPUTS 32 SITEPROP SLICE_X59Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y60 NUM_PINS 45 SITEPROP SLICE_X59Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y60 PROHIBIT 0 SITEPROP SLICE_X59Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y60 RPM_X 159 SITEPROP SLICE_X59Y60 RPM_Y 120 SITEPROP SLICE_X59Y60 SITE_PIPS SITEPROP SLICE_X59Y60 SITE_TYPE SLICEL SITEPROP SLICE_X59Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y61 CLASS site SITEPROP SLICE_X59Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y61 IS_BONDED 0 SITEPROP SLICE_X59Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y61 IS_PAD 0 SITEPROP SLICE_X59Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y61 IS_RESERVED 0 SITEPROP SLICE_X59Y61 IS_TEST 0 SITEPROP SLICE_X59Y61 IS_USED 0 SITEPROP SLICE_X59Y61 MANUAL_ROUTING SITEPROP SLICE_X59Y61 NAME SLICE_X59Y61 SITEPROP SLICE_X59Y61 NUM_ARCS 138 SITEPROP SLICE_X59Y61 NUM_BELS 32 SITEPROP SLICE_X59Y61 NUM_INPUTS 32 SITEPROP SLICE_X59Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y61 NUM_PINS 45 SITEPROP SLICE_X59Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y61 PROHIBIT 0 SITEPROP SLICE_X59Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y61 RPM_X 159 SITEPROP SLICE_X59Y61 RPM_Y 122 SITEPROP SLICE_X59Y61 SITE_PIPS SITEPROP SLICE_X59Y61 SITE_TYPE SLICEL SITEPROP SLICE_X59Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y62 CLASS site SITEPROP SLICE_X59Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y62 IS_BONDED 0 SITEPROP SLICE_X59Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y62 IS_PAD 0 SITEPROP SLICE_X59Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y62 IS_RESERVED 0 SITEPROP SLICE_X59Y62 IS_TEST 0 SITEPROP SLICE_X59Y62 IS_USED 0 SITEPROP SLICE_X59Y62 MANUAL_ROUTING SITEPROP SLICE_X59Y62 NAME SLICE_X59Y62 SITEPROP SLICE_X59Y62 NUM_ARCS 138 SITEPROP SLICE_X59Y62 NUM_BELS 32 SITEPROP SLICE_X59Y62 NUM_INPUTS 32 SITEPROP SLICE_X59Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y62 NUM_PINS 45 SITEPROP SLICE_X59Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y62 PROHIBIT 0 SITEPROP SLICE_X59Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y62 RPM_X 159 SITEPROP SLICE_X59Y62 RPM_Y 124 SITEPROP SLICE_X59Y62 SITE_PIPS SITEPROP SLICE_X59Y62 SITE_TYPE SLICEL SITEPROP SLICE_X59Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y63 CLASS site SITEPROP SLICE_X59Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y63 IS_BONDED 0 SITEPROP SLICE_X59Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y63 IS_PAD 0 SITEPROP SLICE_X59Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y63 IS_RESERVED 0 SITEPROP SLICE_X59Y63 IS_TEST 0 SITEPROP SLICE_X59Y63 IS_USED 0 SITEPROP SLICE_X59Y63 MANUAL_ROUTING SITEPROP SLICE_X59Y63 NAME SLICE_X59Y63 SITEPROP SLICE_X59Y63 NUM_ARCS 138 SITEPROP SLICE_X59Y63 NUM_BELS 32 SITEPROP SLICE_X59Y63 NUM_INPUTS 32 SITEPROP SLICE_X59Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y63 NUM_PINS 45 SITEPROP SLICE_X59Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y63 PROHIBIT 0 SITEPROP SLICE_X59Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y63 RPM_X 159 SITEPROP SLICE_X59Y63 RPM_Y 126 SITEPROP SLICE_X59Y63 SITE_PIPS SITEPROP SLICE_X59Y63 SITE_TYPE SLICEL SITEPROP SLICE_X59Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y64 CLASS site SITEPROP SLICE_X59Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y64 IS_BONDED 0 SITEPROP SLICE_X59Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y64 IS_PAD 0 SITEPROP SLICE_X59Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y64 IS_RESERVED 0 SITEPROP SLICE_X59Y64 IS_TEST 0 SITEPROP SLICE_X59Y64 IS_USED 0 SITEPROP SLICE_X59Y64 MANUAL_ROUTING SITEPROP SLICE_X59Y64 NAME SLICE_X59Y64 SITEPROP SLICE_X59Y64 NUM_ARCS 138 SITEPROP SLICE_X59Y64 NUM_BELS 32 SITEPROP SLICE_X59Y64 NUM_INPUTS 32 SITEPROP SLICE_X59Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y64 NUM_PINS 45 SITEPROP SLICE_X59Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y64 PROHIBIT 0 SITEPROP SLICE_X59Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y64 RPM_X 159 SITEPROP SLICE_X59Y64 RPM_Y 128 SITEPROP SLICE_X59Y64 SITE_PIPS SITEPROP SLICE_X59Y64 SITE_TYPE SLICEL SITEPROP SLICE_X59Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y65 CLASS site SITEPROP SLICE_X59Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y65 IS_BONDED 0 SITEPROP SLICE_X59Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y65 IS_PAD 0 SITEPROP SLICE_X59Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y65 IS_RESERVED 0 SITEPROP SLICE_X59Y65 IS_TEST 0 SITEPROP SLICE_X59Y65 IS_USED 0 SITEPROP SLICE_X59Y65 MANUAL_ROUTING SITEPROP SLICE_X59Y65 NAME SLICE_X59Y65 SITEPROP SLICE_X59Y65 NUM_ARCS 138 SITEPROP SLICE_X59Y65 NUM_BELS 32 SITEPROP SLICE_X59Y65 NUM_INPUTS 32 SITEPROP SLICE_X59Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y65 NUM_PINS 45 SITEPROP SLICE_X59Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y65 PROHIBIT 0 SITEPROP SLICE_X59Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y65 RPM_X 159 SITEPROP SLICE_X59Y65 RPM_Y 130 SITEPROP SLICE_X59Y65 SITE_PIPS SITEPROP SLICE_X59Y65 SITE_TYPE SLICEL SITEPROP SLICE_X59Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y66 CLASS site SITEPROP SLICE_X59Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y66 IS_BONDED 0 SITEPROP SLICE_X59Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y66 IS_PAD 0 SITEPROP SLICE_X59Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y66 IS_RESERVED 0 SITEPROP SLICE_X59Y66 IS_TEST 0 SITEPROP SLICE_X59Y66 IS_USED 0 SITEPROP SLICE_X59Y66 MANUAL_ROUTING SITEPROP SLICE_X59Y66 NAME SLICE_X59Y66 SITEPROP SLICE_X59Y66 NUM_ARCS 138 SITEPROP SLICE_X59Y66 NUM_BELS 32 SITEPROP SLICE_X59Y66 NUM_INPUTS 32 SITEPROP SLICE_X59Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y66 NUM_PINS 45 SITEPROP SLICE_X59Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y66 PROHIBIT 0 SITEPROP SLICE_X59Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y66 RPM_X 159 SITEPROP SLICE_X59Y66 RPM_Y 132 SITEPROP SLICE_X59Y66 SITE_PIPS SITEPROP SLICE_X59Y66 SITE_TYPE SLICEL SITEPROP SLICE_X59Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y67 CLASS site SITEPROP SLICE_X59Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y67 IS_BONDED 0 SITEPROP SLICE_X59Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y67 IS_PAD 0 SITEPROP SLICE_X59Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y67 IS_RESERVED 0 SITEPROP SLICE_X59Y67 IS_TEST 0 SITEPROP SLICE_X59Y67 IS_USED 0 SITEPROP SLICE_X59Y67 MANUAL_ROUTING SITEPROP SLICE_X59Y67 NAME SLICE_X59Y67 SITEPROP SLICE_X59Y67 NUM_ARCS 138 SITEPROP SLICE_X59Y67 NUM_BELS 32 SITEPROP SLICE_X59Y67 NUM_INPUTS 32 SITEPROP SLICE_X59Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y67 NUM_PINS 45 SITEPROP SLICE_X59Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y67 PROHIBIT 0 SITEPROP SLICE_X59Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y67 RPM_X 159 SITEPROP SLICE_X59Y67 RPM_Y 134 SITEPROP SLICE_X59Y67 SITE_PIPS SITEPROP SLICE_X59Y67 SITE_TYPE SLICEL SITEPROP SLICE_X59Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y68 CLASS site SITEPROP SLICE_X59Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y68 IS_BONDED 0 SITEPROP SLICE_X59Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y68 IS_PAD 0 SITEPROP SLICE_X59Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y68 IS_RESERVED 0 SITEPROP SLICE_X59Y68 IS_TEST 0 SITEPROP SLICE_X59Y68 IS_USED 0 SITEPROP SLICE_X59Y68 MANUAL_ROUTING SITEPROP SLICE_X59Y68 NAME SLICE_X59Y68 SITEPROP SLICE_X59Y68 NUM_ARCS 138 SITEPROP SLICE_X59Y68 NUM_BELS 32 SITEPROP SLICE_X59Y68 NUM_INPUTS 32 SITEPROP SLICE_X59Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y68 NUM_PINS 45 SITEPROP SLICE_X59Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y68 PROHIBIT 0 SITEPROP SLICE_X59Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y68 RPM_X 159 SITEPROP SLICE_X59Y68 RPM_Y 136 SITEPROP SLICE_X59Y68 SITE_PIPS SITEPROP SLICE_X59Y68 SITE_TYPE SLICEL SITEPROP SLICE_X59Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y69 CLASS site SITEPROP SLICE_X59Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y69 IS_BONDED 0 SITEPROP SLICE_X59Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y69 IS_PAD 0 SITEPROP SLICE_X59Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y69 IS_RESERVED 0 SITEPROP SLICE_X59Y69 IS_TEST 0 SITEPROP SLICE_X59Y69 IS_USED 0 SITEPROP SLICE_X59Y69 MANUAL_ROUTING SITEPROP SLICE_X59Y69 NAME SLICE_X59Y69 SITEPROP SLICE_X59Y69 NUM_ARCS 138 SITEPROP SLICE_X59Y69 NUM_BELS 32 SITEPROP SLICE_X59Y69 NUM_INPUTS 32 SITEPROP SLICE_X59Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y69 NUM_PINS 45 SITEPROP SLICE_X59Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y69 PROHIBIT 0 SITEPROP SLICE_X59Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y69 RPM_X 159 SITEPROP SLICE_X59Y69 RPM_Y 138 SITEPROP SLICE_X59Y69 SITE_PIPS SITEPROP SLICE_X59Y69 SITE_TYPE SLICEL SITEPROP SLICE_X59Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y70 CLASS site SITEPROP SLICE_X59Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y70 IS_BONDED 0 SITEPROP SLICE_X59Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y70 IS_PAD 0 SITEPROP SLICE_X59Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y70 IS_RESERVED 0 SITEPROP SLICE_X59Y70 IS_TEST 0 SITEPROP SLICE_X59Y70 IS_USED 0 SITEPROP SLICE_X59Y70 MANUAL_ROUTING SITEPROP SLICE_X59Y70 NAME SLICE_X59Y70 SITEPROP SLICE_X59Y70 NUM_ARCS 138 SITEPROP SLICE_X59Y70 NUM_BELS 32 SITEPROP SLICE_X59Y70 NUM_INPUTS 32 SITEPROP SLICE_X59Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y70 NUM_PINS 45 SITEPROP SLICE_X59Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y70 PROHIBIT 0 SITEPROP SLICE_X59Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y70 RPM_X 159 SITEPROP SLICE_X59Y70 RPM_Y 140 SITEPROP SLICE_X59Y70 SITE_PIPS SITEPROP SLICE_X59Y70 SITE_TYPE SLICEL SITEPROP SLICE_X59Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y71 CLASS site SITEPROP SLICE_X59Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y71 IS_BONDED 0 SITEPROP SLICE_X59Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y71 IS_PAD 0 SITEPROP SLICE_X59Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y71 IS_RESERVED 0 SITEPROP SLICE_X59Y71 IS_TEST 0 SITEPROP SLICE_X59Y71 IS_USED 0 SITEPROP SLICE_X59Y71 MANUAL_ROUTING SITEPROP SLICE_X59Y71 NAME SLICE_X59Y71 SITEPROP SLICE_X59Y71 NUM_ARCS 138 SITEPROP SLICE_X59Y71 NUM_BELS 32 SITEPROP SLICE_X59Y71 NUM_INPUTS 32 SITEPROP SLICE_X59Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y71 NUM_PINS 45 SITEPROP SLICE_X59Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y71 PROHIBIT 0 SITEPROP SLICE_X59Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y71 RPM_X 159 SITEPROP SLICE_X59Y71 RPM_Y 142 SITEPROP SLICE_X59Y71 SITE_PIPS SITEPROP SLICE_X59Y71 SITE_TYPE SLICEL SITEPROP SLICE_X59Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y72 CLASS site SITEPROP SLICE_X59Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y72 IS_BONDED 0 SITEPROP SLICE_X59Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y72 IS_PAD 0 SITEPROP SLICE_X59Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y72 IS_RESERVED 0 SITEPROP SLICE_X59Y72 IS_TEST 0 SITEPROP SLICE_X59Y72 IS_USED 0 SITEPROP SLICE_X59Y72 MANUAL_ROUTING SITEPROP SLICE_X59Y72 NAME SLICE_X59Y72 SITEPROP SLICE_X59Y72 NUM_ARCS 138 SITEPROP SLICE_X59Y72 NUM_BELS 32 SITEPROP SLICE_X59Y72 NUM_INPUTS 32 SITEPROP SLICE_X59Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y72 NUM_PINS 45 SITEPROP SLICE_X59Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y72 PROHIBIT 0 SITEPROP SLICE_X59Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y72 RPM_X 159 SITEPROP SLICE_X59Y72 RPM_Y 144 SITEPROP SLICE_X59Y72 SITE_PIPS SITEPROP SLICE_X59Y72 SITE_TYPE SLICEL SITEPROP SLICE_X59Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y73 CLASS site SITEPROP SLICE_X59Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y73 IS_BONDED 0 SITEPROP SLICE_X59Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y73 IS_PAD 0 SITEPROP SLICE_X59Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y73 IS_RESERVED 0 SITEPROP SLICE_X59Y73 IS_TEST 0 SITEPROP SLICE_X59Y73 IS_USED 0 SITEPROP SLICE_X59Y73 MANUAL_ROUTING SITEPROP SLICE_X59Y73 NAME SLICE_X59Y73 SITEPROP SLICE_X59Y73 NUM_ARCS 138 SITEPROP SLICE_X59Y73 NUM_BELS 32 SITEPROP SLICE_X59Y73 NUM_INPUTS 32 SITEPROP SLICE_X59Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y73 NUM_PINS 45 SITEPROP SLICE_X59Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y73 PROHIBIT 0 SITEPROP SLICE_X59Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y73 RPM_X 159 SITEPROP SLICE_X59Y73 RPM_Y 146 SITEPROP SLICE_X59Y73 SITE_PIPS SITEPROP SLICE_X59Y73 SITE_TYPE SLICEL SITEPROP SLICE_X59Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y74 CLASS site SITEPROP SLICE_X59Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y74 IS_BONDED 0 SITEPROP SLICE_X59Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y74 IS_PAD 0 SITEPROP SLICE_X59Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y74 IS_RESERVED 0 SITEPROP SLICE_X59Y74 IS_TEST 0 SITEPROP SLICE_X59Y74 IS_USED 0 SITEPROP SLICE_X59Y74 MANUAL_ROUTING SITEPROP SLICE_X59Y74 NAME SLICE_X59Y74 SITEPROP SLICE_X59Y74 NUM_ARCS 138 SITEPROP SLICE_X59Y74 NUM_BELS 32 SITEPROP SLICE_X59Y74 NUM_INPUTS 32 SITEPROP SLICE_X59Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y74 NUM_PINS 45 SITEPROP SLICE_X59Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y74 PROHIBIT 0 SITEPROP SLICE_X59Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y74 RPM_X 159 SITEPROP SLICE_X59Y74 RPM_Y 148 SITEPROP SLICE_X59Y74 SITE_PIPS SITEPROP SLICE_X59Y74 SITE_TYPE SLICEL SITEPROP SLICE_X59Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y75 CLASS site SITEPROP SLICE_X59Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y75 IS_BONDED 0 SITEPROP SLICE_X59Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y75 IS_PAD 0 SITEPROP SLICE_X59Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y75 IS_RESERVED 0 SITEPROP SLICE_X59Y75 IS_TEST 0 SITEPROP SLICE_X59Y75 IS_USED 0 SITEPROP SLICE_X59Y75 MANUAL_ROUTING SITEPROP SLICE_X59Y75 NAME SLICE_X59Y75 SITEPROP SLICE_X59Y75 NUM_ARCS 138 SITEPROP SLICE_X59Y75 NUM_BELS 32 SITEPROP SLICE_X59Y75 NUM_INPUTS 32 SITEPROP SLICE_X59Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y75 NUM_PINS 45 SITEPROP SLICE_X59Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y75 PROHIBIT 0 SITEPROP SLICE_X59Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y75 RPM_X 159 SITEPROP SLICE_X59Y75 RPM_Y 150 SITEPROP SLICE_X59Y75 SITE_PIPS SITEPROP SLICE_X59Y75 SITE_TYPE SLICEL SITEPROP SLICE_X59Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y76 CLASS site SITEPROP SLICE_X59Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y76 IS_BONDED 0 SITEPROP SLICE_X59Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y76 IS_PAD 0 SITEPROP SLICE_X59Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y76 IS_RESERVED 0 SITEPROP SLICE_X59Y76 IS_TEST 0 SITEPROP SLICE_X59Y76 IS_USED 0 SITEPROP SLICE_X59Y76 MANUAL_ROUTING SITEPROP SLICE_X59Y76 NAME SLICE_X59Y76 SITEPROP SLICE_X59Y76 NUM_ARCS 138 SITEPROP SLICE_X59Y76 NUM_BELS 32 SITEPROP SLICE_X59Y76 NUM_INPUTS 32 SITEPROP SLICE_X59Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y76 NUM_PINS 45 SITEPROP SLICE_X59Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y76 PROHIBIT 0 SITEPROP SLICE_X59Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y76 RPM_X 159 SITEPROP SLICE_X59Y76 RPM_Y 152 SITEPROP SLICE_X59Y76 SITE_PIPS SITEPROP SLICE_X59Y76 SITE_TYPE SLICEL SITEPROP SLICE_X59Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y77 CLASS site SITEPROP SLICE_X59Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y77 IS_BONDED 0 SITEPROP SLICE_X59Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y77 IS_PAD 0 SITEPROP SLICE_X59Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y77 IS_RESERVED 0 SITEPROP SLICE_X59Y77 IS_TEST 0 SITEPROP SLICE_X59Y77 IS_USED 0 SITEPROP SLICE_X59Y77 MANUAL_ROUTING SITEPROP SLICE_X59Y77 NAME SLICE_X59Y77 SITEPROP SLICE_X59Y77 NUM_ARCS 138 SITEPROP SLICE_X59Y77 NUM_BELS 32 SITEPROP SLICE_X59Y77 NUM_INPUTS 32 SITEPROP SLICE_X59Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y77 NUM_PINS 45 SITEPROP SLICE_X59Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y77 PROHIBIT 0 SITEPROP SLICE_X59Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y77 RPM_X 159 SITEPROP SLICE_X59Y77 RPM_Y 154 SITEPROP SLICE_X59Y77 SITE_PIPS SITEPROP SLICE_X59Y77 SITE_TYPE SLICEL SITEPROP SLICE_X59Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y78 CLASS site SITEPROP SLICE_X59Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y78 IS_BONDED 0 SITEPROP SLICE_X59Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y78 IS_PAD 0 SITEPROP SLICE_X59Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y78 IS_RESERVED 0 SITEPROP SLICE_X59Y78 IS_TEST 0 SITEPROP SLICE_X59Y78 IS_USED 0 SITEPROP SLICE_X59Y78 MANUAL_ROUTING SITEPROP SLICE_X59Y78 NAME SLICE_X59Y78 SITEPROP SLICE_X59Y78 NUM_ARCS 138 SITEPROP SLICE_X59Y78 NUM_BELS 32 SITEPROP SLICE_X59Y78 NUM_INPUTS 32 SITEPROP SLICE_X59Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y78 NUM_PINS 45 SITEPROP SLICE_X59Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y78 PROHIBIT 0 SITEPROP SLICE_X59Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y78 RPM_X 159 SITEPROP SLICE_X59Y78 RPM_Y 156 SITEPROP SLICE_X59Y78 SITE_PIPS SITEPROP SLICE_X59Y78 SITE_TYPE SLICEL SITEPROP SLICE_X59Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y79 CLASS site SITEPROP SLICE_X59Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y79 IS_BONDED 0 SITEPROP SLICE_X59Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y79 IS_PAD 0 SITEPROP SLICE_X59Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y79 IS_RESERVED 0 SITEPROP SLICE_X59Y79 IS_TEST 0 SITEPROP SLICE_X59Y79 IS_USED 0 SITEPROP SLICE_X59Y79 MANUAL_ROUTING SITEPROP SLICE_X59Y79 NAME SLICE_X59Y79 SITEPROP SLICE_X59Y79 NUM_ARCS 138 SITEPROP SLICE_X59Y79 NUM_BELS 32 SITEPROP SLICE_X59Y79 NUM_INPUTS 32 SITEPROP SLICE_X59Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y79 NUM_PINS 45 SITEPROP SLICE_X59Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y79 PROHIBIT 0 SITEPROP SLICE_X59Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y79 RPM_X 159 SITEPROP SLICE_X59Y79 RPM_Y 158 SITEPROP SLICE_X59Y79 SITE_PIPS SITEPROP SLICE_X59Y79 SITE_TYPE SLICEL SITEPROP SLICE_X59Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y80 CLASS site SITEPROP SLICE_X59Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y80 IS_BONDED 0 SITEPROP SLICE_X59Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y80 IS_PAD 0 SITEPROP SLICE_X59Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y80 IS_RESERVED 0 SITEPROP SLICE_X59Y80 IS_TEST 0 SITEPROP SLICE_X59Y80 IS_USED 0 SITEPROP SLICE_X59Y80 MANUAL_ROUTING SITEPROP SLICE_X59Y80 NAME SLICE_X59Y80 SITEPROP SLICE_X59Y80 NUM_ARCS 138 SITEPROP SLICE_X59Y80 NUM_BELS 32 SITEPROP SLICE_X59Y80 NUM_INPUTS 32 SITEPROP SLICE_X59Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y80 NUM_PINS 45 SITEPROP SLICE_X59Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y80 PROHIBIT 0 SITEPROP SLICE_X59Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y80 RPM_X 159 SITEPROP SLICE_X59Y80 RPM_Y 160 SITEPROP SLICE_X59Y80 SITE_PIPS SITEPROP SLICE_X59Y80 SITE_TYPE SLICEL SITEPROP SLICE_X59Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y81 CLASS site SITEPROP SLICE_X59Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y81 IS_BONDED 0 SITEPROP SLICE_X59Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y81 IS_PAD 0 SITEPROP SLICE_X59Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y81 IS_RESERVED 0 SITEPROP SLICE_X59Y81 IS_TEST 0 SITEPROP SLICE_X59Y81 IS_USED 0 SITEPROP SLICE_X59Y81 MANUAL_ROUTING SITEPROP SLICE_X59Y81 NAME SLICE_X59Y81 SITEPROP SLICE_X59Y81 NUM_ARCS 138 SITEPROP SLICE_X59Y81 NUM_BELS 32 SITEPROP SLICE_X59Y81 NUM_INPUTS 32 SITEPROP SLICE_X59Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y81 NUM_PINS 45 SITEPROP SLICE_X59Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y81 PROHIBIT 0 SITEPROP SLICE_X59Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y81 RPM_X 159 SITEPROP SLICE_X59Y81 RPM_Y 162 SITEPROP SLICE_X59Y81 SITE_PIPS SITEPROP SLICE_X59Y81 SITE_TYPE SLICEL SITEPROP SLICE_X59Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y82 CLASS site SITEPROP SLICE_X59Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y82 IS_BONDED 0 SITEPROP SLICE_X59Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y82 IS_PAD 0 SITEPROP SLICE_X59Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y82 IS_RESERVED 0 SITEPROP SLICE_X59Y82 IS_TEST 0 SITEPROP SLICE_X59Y82 IS_USED 0 SITEPROP SLICE_X59Y82 MANUAL_ROUTING SITEPROP SLICE_X59Y82 NAME SLICE_X59Y82 SITEPROP SLICE_X59Y82 NUM_ARCS 138 SITEPROP SLICE_X59Y82 NUM_BELS 32 SITEPROP SLICE_X59Y82 NUM_INPUTS 32 SITEPROP SLICE_X59Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y82 NUM_PINS 45 SITEPROP SLICE_X59Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y82 PROHIBIT 0 SITEPROP SLICE_X59Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y82 RPM_X 159 SITEPROP SLICE_X59Y82 RPM_Y 164 SITEPROP SLICE_X59Y82 SITE_PIPS SITEPROP SLICE_X59Y82 SITE_TYPE SLICEL SITEPROP SLICE_X59Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y83 CLASS site SITEPROP SLICE_X59Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y83 IS_BONDED 0 SITEPROP SLICE_X59Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y83 IS_PAD 0 SITEPROP SLICE_X59Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y83 IS_RESERVED 0 SITEPROP SLICE_X59Y83 IS_TEST 0 SITEPROP SLICE_X59Y83 IS_USED 0 SITEPROP SLICE_X59Y83 MANUAL_ROUTING SITEPROP SLICE_X59Y83 NAME SLICE_X59Y83 SITEPROP SLICE_X59Y83 NUM_ARCS 138 SITEPROP SLICE_X59Y83 NUM_BELS 32 SITEPROP SLICE_X59Y83 NUM_INPUTS 32 SITEPROP SLICE_X59Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y83 NUM_PINS 45 SITEPROP SLICE_X59Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y83 PROHIBIT 0 SITEPROP SLICE_X59Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y83 RPM_X 159 SITEPROP SLICE_X59Y83 RPM_Y 166 SITEPROP SLICE_X59Y83 SITE_PIPS SITEPROP SLICE_X59Y83 SITE_TYPE SLICEL SITEPROP SLICE_X59Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y84 CLASS site SITEPROP SLICE_X59Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y84 IS_BONDED 0 SITEPROP SLICE_X59Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y84 IS_PAD 0 SITEPROP SLICE_X59Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y84 IS_RESERVED 0 SITEPROP SLICE_X59Y84 IS_TEST 0 SITEPROP SLICE_X59Y84 IS_USED 0 SITEPROP SLICE_X59Y84 MANUAL_ROUTING SITEPROP SLICE_X59Y84 NAME SLICE_X59Y84 SITEPROP SLICE_X59Y84 NUM_ARCS 138 SITEPROP SLICE_X59Y84 NUM_BELS 32 SITEPROP SLICE_X59Y84 NUM_INPUTS 32 SITEPROP SLICE_X59Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y84 NUM_PINS 45 SITEPROP SLICE_X59Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y84 PROHIBIT 0 SITEPROP SLICE_X59Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y84 RPM_X 159 SITEPROP SLICE_X59Y84 RPM_Y 168 SITEPROP SLICE_X59Y84 SITE_PIPS SITEPROP SLICE_X59Y84 SITE_TYPE SLICEL SITEPROP SLICE_X59Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y85 CLASS site SITEPROP SLICE_X59Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y85 IS_BONDED 0 SITEPROP SLICE_X59Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y85 IS_PAD 0 SITEPROP SLICE_X59Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y85 IS_RESERVED 0 SITEPROP SLICE_X59Y85 IS_TEST 0 SITEPROP SLICE_X59Y85 IS_USED 0 SITEPROP SLICE_X59Y85 MANUAL_ROUTING SITEPROP SLICE_X59Y85 NAME SLICE_X59Y85 SITEPROP SLICE_X59Y85 NUM_ARCS 138 SITEPROP SLICE_X59Y85 NUM_BELS 32 SITEPROP SLICE_X59Y85 NUM_INPUTS 32 SITEPROP SLICE_X59Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y85 NUM_PINS 45 SITEPROP SLICE_X59Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y85 PROHIBIT 0 SITEPROP SLICE_X59Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y85 RPM_X 159 SITEPROP SLICE_X59Y85 RPM_Y 170 SITEPROP SLICE_X59Y85 SITE_PIPS SITEPROP SLICE_X59Y85 SITE_TYPE SLICEL SITEPROP SLICE_X59Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y86 CLASS site SITEPROP SLICE_X59Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y86 IS_BONDED 0 SITEPROP SLICE_X59Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y86 IS_PAD 0 SITEPROP SLICE_X59Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y86 IS_RESERVED 0 SITEPROP SLICE_X59Y86 IS_TEST 0 SITEPROP SLICE_X59Y86 IS_USED 0 SITEPROP SLICE_X59Y86 MANUAL_ROUTING SITEPROP SLICE_X59Y86 NAME SLICE_X59Y86 SITEPROP SLICE_X59Y86 NUM_ARCS 138 SITEPROP SLICE_X59Y86 NUM_BELS 32 SITEPROP SLICE_X59Y86 NUM_INPUTS 32 SITEPROP SLICE_X59Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y86 NUM_PINS 45 SITEPROP SLICE_X59Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y86 PROHIBIT 0 SITEPROP SLICE_X59Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y86 RPM_X 159 SITEPROP SLICE_X59Y86 RPM_Y 172 SITEPROP SLICE_X59Y86 SITE_PIPS SITEPROP SLICE_X59Y86 SITE_TYPE SLICEL SITEPROP SLICE_X59Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y87 CLASS site SITEPROP SLICE_X59Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y87 IS_BONDED 0 SITEPROP SLICE_X59Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y87 IS_PAD 0 SITEPROP SLICE_X59Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y87 IS_RESERVED 0 SITEPROP SLICE_X59Y87 IS_TEST 0 SITEPROP SLICE_X59Y87 IS_USED 0 SITEPROP SLICE_X59Y87 MANUAL_ROUTING SITEPROP SLICE_X59Y87 NAME SLICE_X59Y87 SITEPROP SLICE_X59Y87 NUM_ARCS 138 SITEPROP SLICE_X59Y87 NUM_BELS 32 SITEPROP SLICE_X59Y87 NUM_INPUTS 32 SITEPROP SLICE_X59Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y87 NUM_PINS 45 SITEPROP SLICE_X59Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y87 PROHIBIT 0 SITEPROP SLICE_X59Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y87 RPM_X 159 SITEPROP SLICE_X59Y87 RPM_Y 174 SITEPROP SLICE_X59Y87 SITE_PIPS SITEPROP SLICE_X59Y87 SITE_TYPE SLICEL SITEPROP SLICE_X59Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y88 CLASS site SITEPROP SLICE_X59Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y88 IS_BONDED 0 SITEPROP SLICE_X59Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y88 IS_PAD 0 SITEPROP SLICE_X59Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y88 IS_RESERVED 0 SITEPROP SLICE_X59Y88 IS_TEST 0 SITEPROP SLICE_X59Y88 IS_USED 0 SITEPROP SLICE_X59Y88 MANUAL_ROUTING SITEPROP SLICE_X59Y88 NAME SLICE_X59Y88 SITEPROP SLICE_X59Y88 NUM_ARCS 138 SITEPROP SLICE_X59Y88 NUM_BELS 32 SITEPROP SLICE_X59Y88 NUM_INPUTS 32 SITEPROP SLICE_X59Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y88 NUM_PINS 45 SITEPROP SLICE_X59Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y88 PROHIBIT 0 SITEPROP SLICE_X59Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y88 RPM_X 159 SITEPROP SLICE_X59Y88 RPM_Y 176 SITEPROP SLICE_X59Y88 SITE_PIPS SITEPROP SLICE_X59Y88 SITE_TYPE SLICEL SITEPROP SLICE_X59Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y89 CLASS site SITEPROP SLICE_X59Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y89 IS_BONDED 0 SITEPROP SLICE_X59Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y89 IS_PAD 0 SITEPROP SLICE_X59Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y89 IS_RESERVED 0 SITEPROP SLICE_X59Y89 IS_TEST 0 SITEPROP SLICE_X59Y89 IS_USED 0 SITEPROP SLICE_X59Y89 MANUAL_ROUTING SITEPROP SLICE_X59Y89 NAME SLICE_X59Y89 SITEPROP SLICE_X59Y89 NUM_ARCS 138 SITEPROP SLICE_X59Y89 NUM_BELS 32 SITEPROP SLICE_X59Y89 NUM_INPUTS 32 SITEPROP SLICE_X59Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y89 NUM_PINS 45 SITEPROP SLICE_X59Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y89 PROHIBIT 0 SITEPROP SLICE_X59Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y89 RPM_X 159 SITEPROP SLICE_X59Y89 RPM_Y 178 SITEPROP SLICE_X59Y89 SITE_PIPS SITEPROP SLICE_X59Y89 SITE_TYPE SLICEL SITEPROP SLICE_X59Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y90 CLASS site SITEPROP SLICE_X59Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y90 IS_BONDED 0 SITEPROP SLICE_X59Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y90 IS_PAD 0 SITEPROP SLICE_X59Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y90 IS_RESERVED 0 SITEPROP SLICE_X59Y90 IS_TEST 0 SITEPROP SLICE_X59Y90 IS_USED 0 SITEPROP SLICE_X59Y90 MANUAL_ROUTING SITEPROP SLICE_X59Y90 NAME SLICE_X59Y90 SITEPROP SLICE_X59Y90 NUM_ARCS 138 SITEPROP SLICE_X59Y90 NUM_BELS 32 SITEPROP SLICE_X59Y90 NUM_INPUTS 32 SITEPROP SLICE_X59Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y90 NUM_PINS 45 SITEPROP SLICE_X59Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y90 PROHIBIT 0 SITEPROP SLICE_X59Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y90 RPM_X 159 SITEPROP SLICE_X59Y90 RPM_Y 180 SITEPROP SLICE_X59Y90 SITE_PIPS SITEPROP SLICE_X59Y90 SITE_TYPE SLICEL SITEPROP SLICE_X59Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y91 CLASS site SITEPROP SLICE_X59Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y91 IS_BONDED 0 SITEPROP SLICE_X59Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y91 IS_PAD 0 SITEPROP SLICE_X59Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y91 IS_RESERVED 0 SITEPROP SLICE_X59Y91 IS_TEST 0 SITEPROP SLICE_X59Y91 IS_USED 0 SITEPROP SLICE_X59Y91 MANUAL_ROUTING SITEPROP SLICE_X59Y91 NAME SLICE_X59Y91 SITEPROP SLICE_X59Y91 NUM_ARCS 138 SITEPROP SLICE_X59Y91 NUM_BELS 32 SITEPROP SLICE_X59Y91 NUM_INPUTS 32 SITEPROP SLICE_X59Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y91 NUM_PINS 45 SITEPROP SLICE_X59Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y91 PROHIBIT 0 SITEPROP SLICE_X59Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y91 RPM_X 159 SITEPROP SLICE_X59Y91 RPM_Y 182 SITEPROP SLICE_X59Y91 SITE_PIPS SITEPROP SLICE_X59Y91 SITE_TYPE SLICEL SITEPROP SLICE_X59Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y92 CLASS site SITEPROP SLICE_X59Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y92 IS_BONDED 0 SITEPROP SLICE_X59Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y92 IS_PAD 0 SITEPROP SLICE_X59Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y92 IS_RESERVED 0 SITEPROP SLICE_X59Y92 IS_TEST 0 SITEPROP SLICE_X59Y92 IS_USED 0 SITEPROP SLICE_X59Y92 MANUAL_ROUTING SITEPROP SLICE_X59Y92 NAME SLICE_X59Y92 SITEPROP SLICE_X59Y92 NUM_ARCS 138 SITEPROP SLICE_X59Y92 NUM_BELS 32 SITEPROP SLICE_X59Y92 NUM_INPUTS 32 SITEPROP SLICE_X59Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y92 NUM_PINS 45 SITEPROP SLICE_X59Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y92 PROHIBIT 0 SITEPROP SLICE_X59Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y92 RPM_X 159 SITEPROP SLICE_X59Y92 RPM_Y 184 SITEPROP SLICE_X59Y92 SITE_PIPS SITEPROP SLICE_X59Y92 SITE_TYPE SLICEL SITEPROP SLICE_X59Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y93 CLASS site SITEPROP SLICE_X59Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y93 IS_BONDED 0 SITEPROP SLICE_X59Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y93 IS_PAD 0 SITEPROP SLICE_X59Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y93 IS_RESERVED 0 SITEPROP SLICE_X59Y93 IS_TEST 0 SITEPROP SLICE_X59Y93 IS_USED 0 SITEPROP SLICE_X59Y93 MANUAL_ROUTING SITEPROP SLICE_X59Y93 NAME SLICE_X59Y93 SITEPROP SLICE_X59Y93 NUM_ARCS 138 SITEPROP SLICE_X59Y93 NUM_BELS 32 SITEPROP SLICE_X59Y93 NUM_INPUTS 32 SITEPROP SLICE_X59Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y93 NUM_PINS 45 SITEPROP SLICE_X59Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y93 PROHIBIT 0 SITEPROP SLICE_X59Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y93 RPM_X 159 SITEPROP SLICE_X59Y93 RPM_Y 186 SITEPROP SLICE_X59Y93 SITE_PIPS SITEPROP SLICE_X59Y93 SITE_TYPE SLICEL SITEPROP SLICE_X59Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y94 CLASS site SITEPROP SLICE_X59Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y94 IS_BONDED 0 SITEPROP SLICE_X59Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y94 IS_PAD 0 SITEPROP SLICE_X59Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y94 IS_RESERVED 0 SITEPROP SLICE_X59Y94 IS_TEST 0 SITEPROP SLICE_X59Y94 IS_USED 0 SITEPROP SLICE_X59Y94 MANUAL_ROUTING SITEPROP SLICE_X59Y94 NAME SLICE_X59Y94 SITEPROP SLICE_X59Y94 NUM_ARCS 138 SITEPROP SLICE_X59Y94 NUM_BELS 32 SITEPROP SLICE_X59Y94 NUM_INPUTS 32 SITEPROP SLICE_X59Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y94 NUM_PINS 45 SITEPROP SLICE_X59Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y94 PROHIBIT 0 SITEPROP SLICE_X59Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y94 RPM_X 159 SITEPROP SLICE_X59Y94 RPM_Y 188 SITEPROP SLICE_X59Y94 SITE_PIPS SITEPROP SLICE_X59Y94 SITE_TYPE SLICEL SITEPROP SLICE_X59Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y95 CLASS site SITEPROP SLICE_X59Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y95 IS_BONDED 0 SITEPROP SLICE_X59Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y95 IS_PAD 0 SITEPROP SLICE_X59Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y95 IS_RESERVED 0 SITEPROP SLICE_X59Y95 IS_TEST 0 SITEPROP SLICE_X59Y95 IS_USED 0 SITEPROP SLICE_X59Y95 MANUAL_ROUTING SITEPROP SLICE_X59Y95 NAME SLICE_X59Y95 SITEPROP SLICE_X59Y95 NUM_ARCS 138 SITEPROP SLICE_X59Y95 NUM_BELS 32 SITEPROP SLICE_X59Y95 NUM_INPUTS 32 SITEPROP SLICE_X59Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y95 NUM_PINS 45 SITEPROP SLICE_X59Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y95 PROHIBIT 0 SITEPROP SLICE_X59Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y95 RPM_X 159 SITEPROP SLICE_X59Y95 RPM_Y 190 SITEPROP SLICE_X59Y95 SITE_PIPS SITEPROP SLICE_X59Y95 SITE_TYPE SLICEL SITEPROP SLICE_X59Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y96 CLASS site SITEPROP SLICE_X59Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y96 IS_BONDED 0 SITEPROP SLICE_X59Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y96 IS_PAD 0 SITEPROP SLICE_X59Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y96 IS_RESERVED 0 SITEPROP SLICE_X59Y96 IS_TEST 0 SITEPROP SLICE_X59Y96 IS_USED 0 SITEPROP SLICE_X59Y96 MANUAL_ROUTING SITEPROP SLICE_X59Y96 NAME SLICE_X59Y96 SITEPROP SLICE_X59Y96 NUM_ARCS 138 SITEPROP SLICE_X59Y96 NUM_BELS 32 SITEPROP SLICE_X59Y96 NUM_INPUTS 32 SITEPROP SLICE_X59Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y96 NUM_PINS 45 SITEPROP SLICE_X59Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y96 PROHIBIT 0 SITEPROP SLICE_X59Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y96 RPM_X 159 SITEPROP SLICE_X59Y96 RPM_Y 192 SITEPROP SLICE_X59Y96 SITE_PIPS SITEPROP SLICE_X59Y96 SITE_TYPE SLICEL SITEPROP SLICE_X59Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y97 CLASS site SITEPROP SLICE_X59Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y97 IS_BONDED 0 SITEPROP SLICE_X59Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y97 IS_PAD 0 SITEPROP SLICE_X59Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y97 IS_RESERVED 0 SITEPROP SLICE_X59Y97 IS_TEST 0 SITEPROP SLICE_X59Y97 IS_USED 0 SITEPROP SLICE_X59Y97 MANUAL_ROUTING SITEPROP SLICE_X59Y97 NAME SLICE_X59Y97 SITEPROP SLICE_X59Y97 NUM_ARCS 138 SITEPROP SLICE_X59Y97 NUM_BELS 32 SITEPROP SLICE_X59Y97 NUM_INPUTS 32 SITEPROP SLICE_X59Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y97 NUM_PINS 45 SITEPROP SLICE_X59Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y97 PROHIBIT 0 SITEPROP SLICE_X59Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y97 RPM_X 159 SITEPROP SLICE_X59Y97 RPM_Y 194 SITEPROP SLICE_X59Y97 SITE_PIPS SITEPROP SLICE_X59Y97 SITE_TYPE SLICEL SITEPROP SLICE_X59Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y98 CLASS site SITEPROP SLICE_X59Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y98 IS_BONDED 0 SITEPROP SLICE_X59Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y98 IS_PAD 0 SITEPROP SLICE_X59Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y98 IS_RESERVED 0 SITEPROP SLICE_X59Y98 IS_TEST 0 SITEPROP SLICE_X59Y98 IS_USED 0 SITEPROP SLICE_X59Y98 MANUAL_ROUTING SITEPROP SLICE_X59Y98 NAME SLICE_X59Y98 SITEPROP SLICE_X59Y98 NUM_ARCS 138 SITEPROP SLICE_X59Y98 NUM_BELS 32 SITEPROP SLICE_X59Y98 NUM_INPUTS 32 SITEPROP SLICE_X59Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y98 NUM_PINS 45 SITEPROP SLICE_X59Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y98 PROHIBIT 0 SITEPROP SLICE_X59Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y98 RPM_X 159 SITEPROP SLICE_X59Y98 RPM_Y 196 SITEPROP SLICE_X59Y98 SITE_PIPS SITEPROP SLICE_X59Y98 SITE_TYPE SLICEL SITEPROP SLICE_X59Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X59Y99 CLASS site SITEPROP SLICE_X59Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X59Y99 IS_BONDED 0 SITEPROP SLICE_X59Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X59Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y99 IS_PAD 0 SITEPROP SLICE_X59Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X59Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X59Y99 IS_RESERVED 0 SITEPROP SLICE_X59Y99 IS_TEST 0 SITEPROP SLICE_X59Y99 IS_USED 0 SITEPROP SLICE_X59Y99 MANUAL_ROUTING SITEPROP SLICE_X59Y99 NAME SLICE_X59Y99 SITEPROP SLICE_X59Y99 NUM_ARCS 138 SITEPROP SLICE_X59Y99 NUM_BELS 32 SITEPROP SLICE_X59Y99 NUM_INPUTS 32 SITEPROP SLICE_X59Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X59Y99 NUM_PINS 45 SITEPROP SLICE_X59Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X59Y99 PROHIBIT 0 SITEPROP SLICE_X59Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X59Y99 RPM_X 159 SITEPROP SLICE_X59Y99 RPM_Y 198 SITEPROP SLICE_X59Y99 SITE_PIPS SITEPROP SLICE_X59Y99 SITE_TYPE SLICEL SITEPROP SLICE_X5Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y0 CLASS site SITEPROP SLICE_X5Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y0 IS_BONDED 0 SITEPROP SLICE_X5Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y0 IS_PAD 0 SITEPROP SLICE_X5Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y0 IS_RESERVED 0 SITEPROP SLICE_X5Y0 IS_TEST 0 SITEPROP SLICE_X5Y0 IS_USED 0 SITEPROP SLICE_X5Y0 MANUAL_ROUTING SITEPROP SLICE_X5Y0 NAME SLICE_X5Y0 SITEPROP SLICE_X5Y0 NUM_ARCS 138 SITEPROP SLICE_X5Y0 NUM_BELS 32 SITEPROP SLICE_X5Y0 NUM_INPUTS 32 SITEPROP SLICE_X5Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y0 NUM_PINS 45 SITEPROP SLICE_X5Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y0 PROHIBIT 0 SITEPROP SLICE_X5Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y0 RPM_X 27 SITEPROP SLICE_X5Y0 RPM_Y 0 SITEPROP SLICE_X5Y0 SITE_PIPS SITEPROP SLICE_X5Y0 SITE_TYPE SLICEL SITEPROP SLICE_X5Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y1 CLASS site SITEPROP SLICE_X5Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y1 IS_BONDED 0 SITEPROP SLICE_X5Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y1 IS_PAD 0 SITEPROP SLICE_X5Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y1 IS_RESERVED 0 SITEPROP SLICE_X5Y1 IS_TEST 0 SITEPROP SLICE_X5Y1 IS_USED 0 SITEPROP SLICE_X5Y1 MANUAL_ROUTING SITEPROP SLICE_X5Y1 NAME SLICE_X5Y1 SITEPROP SLICE_X5Y1 NUM_ARCS 138 SITEPROP SLICE_X5Y1 NUM_BELS 32 SITEPROP SLICE_X5Y1 NUM_INPUTS 32 SITEPROP SLICE_X5Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y1 NUM_PINS 45 SITEPROP SLICE_X5Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y1 PROHIBIT 0 SITEPROP SLICE_X5Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y1 RPM_X 27 SITEPROP SLICE_X5Y1 RPM_Y 2 SITEPROP SLICE_X5Y1 SITE_PIPS SITEPROP SLICE_X5Y1 SITE_TYPE SLICEL SITEPROP SLICE_X5Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y2 CLASS site SITEPROP SLICE_X5Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y2 IS_BONDED 0 SITEPROP SLICE_X5Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y2 IS_PAD 0 SITEPROP SLICE_X5Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y2 IS_RESERVED 0 SITEPROP SLICE_X5Y2 IS_TEST 0 SITEPROP SLICE_X5Y2 IS_USED 0 SITEPROP SLICE_X5Y2 MANUAL_ROUTING SITEPROP SLICE_X5Y2 NAME SLICE_X5Y2 SITEPROP SLICE_X5Y2 NUM_ARCS 138 SITEPROP SLICE_X5Y2 NUM_BELS 32 SITEPROP SLICE_X5Y2 NUM_INPUTS 32 SITEPROP SLICE_X5Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y2 NUM_PINS 45 SITEPROP SLICE_X5Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y2 PROHIBIT 0 SITEPROP SLICE_X5Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y2 RPM_X 27 SITEPROP SLICE_X5Y2 RPM_Y 4 SITEPROP SLICE_X5Y2 SITE_PIPS SITEPROP SLICE_X5Y2 SITE_TYPE SLICEL SITEPROP SLICE_X5Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y3 CLASS site SITEPROP SLICE_X5Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y3 IS_BONDED 0 SITEPROP SLICE_X5Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y3 IS_PAD 0 SITEPROP SLICE_X5Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y3 IS_RESERVED 0 SITEPROP SLICE_X5Y3 IS_TEST 0 SITEPROP SLICE_X5Y3 IS_USED 0 SITEPROP SLICE_X5Y3 MANUAL_ROUTING SITEPROP SLICE_X5Y3 NAME SLICE_X5Y3 SITEPROP SLICE_X5Y3 NUM_ARCS 138 SITEPROP SLICE_X5Y3 NUM_BELS 32 SITEPROP SLICE_X5Y3 NUM_INPUTS 32 SITEPROP SLICE_X5Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y3 NUM_PINS 45 SITEPROP SLICE_X5Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y3 PROHIBIT 0 SITEPROP SLICE_X5Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y3 RPM_X 27 SITEPROP SLICE_X5Y3 RPM_Y 6 SITEPROP SLICE_X5Y3 SITE_PIPS SITEPROP SLICE_X5Y3 SITE_TYPE SLICEL SITEPROP SLICE_X5Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y4 CLASS site SITEPROP SLICE_X5Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y4 IS_BONDED 0 SITEPROP SLICE_X5Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y4 IS_PAD 0 SITEPROP SLICE_X5Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y4 IS_RESERVED 0 SITEPROP SLICE_X5Y4 IS_TEST 0 SITEPROP SLICE_X5Y4 IS_USED 0 SITEPROP SLICE_X5Y4 MANUAL_ROUTING SITEPROP SLICE_X5Y4 NAME SLICE_X5Y4 SITEPROP SLICE_X5Y4 NUM_ARCS 138 SITEPROP SLICE_X5Y4 NUM_BELS 32 SITEPROP SLICE_X5Y4 NUM_INPUTS 32 SITEPROP SLICE_X5Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y4 NUM_PINS 45 SITEPROP SLICE_X5Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y4 PROHIBIT 0 SITEPROP SLICE_X5Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y4 RPM_X 27 SITEPROP SLICE_X5Y4 RPM_Y 8 SITEPROP SLICE_X5Y4 SITE_PIPS SITEPROP SLICE_X5Y4 SITE_TYPE SLICEL SITEPROP SLICE_X5Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y5 CLASS site SITEPROP SLICE_X5Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y5 IS_BONDED 0 SITEPROP SLICE_X5Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y5 IS_PAD 0 SITEPROP SLICE_X5Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y5 IS_RESERVED 0 SITEPROP SLICE_X5Y5 IS_TEST 0 SITEPROP SLICE_X5Y5 IS_USED 0 SITEPROP SLICE_X5Y5 MANUAL_ROUTING SITEPROP SLICE_X5Y5 NAME SLICE_X5Y5 SITEPROP SLICE_X5Y5 NUM_ARCS 138 SITEPROP SLICE_X5Y5 NUM_BELS 32 SITEPROP SLICE_X5Y5 NUM_INPUTS 32 SITEPROP SLICE_X5Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y5 NUM_PINS 45 SITEPROP SLICE_X5Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y5 PROHIBIT 0 SITEPROP SLICE_X5Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y5 RPM_X 27 SITEPROP SLICE_X5Y5 RPM_Y 10 SITEPROP SLICE_X5Y5 SITE_PIPS SITEPROP SLICE_X5Y5 SITE_TYPE SLICEL SITEPROP SLICE_X5Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y6 CLASS site SITEPROP SLICE_X5Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y6 IS_BONDED 0 SITEPROP SLICE_X5Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y6 IS_PAD 0 SITEPROP SLICE_X5Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y6 IS_RESERVED 0 SITEPROP SLICE_X5Y6 IS_TEST 0 SITEPROP SLICE_X5Y6 IS_USED 0 SITEPROP SLICE_X5Y6 MANUAL_ROUTING SITEPROP SLICE_X5Y6 NAME SLICE_X5Y6 SITEPROP SLICE_X5Y6 NUM_ARCS 138 SITEPROP SLICE_X5Y6 NUM_BELS 32 SITEPROP SLICE_X5Y6 NUM_INPUTS 32 SITEPROP SLICE_X5Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y6 NUM_PINS 45 SITEPROP SLICE_X5Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y6 PROHIBIT 0 SITEPROP SLICE_X5Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y6 RPM_X 27 SITEPROP SLICE_X5Y6 RPM_Y 12 SITEPROP SLICE_X5Y6 SITE_PIPS SITEPROP SLICE_X5Y6 SITE_TYPE SLICEL SITEPROP SLICE_X5Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y7 CLASS site SITEPROP SLICE_X5Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y7 IS_BONDED 0 SITEPROP SLICE_X5Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y7 IS_PAD 0 SITEPROP SLICE_X5Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y7 IS_RESERVED 0 SITEPROP SLICE_X5Y7 IS_TEST 0 SITEPROP SLICE_X5Y7 IS_USED 0 SITEPROP SLICE_X5Y7 MANUAL_ROUTING SITEPROP SLICE_X5Y7 NAME SLICE_X5Y7 SITEPROP SLICE_X5Y7 NUM_ARCS 138 SITEPROP SLICE_X5Y7 NUM_BELS 32 SITEPROP SLICE_X5Y7 NUM_INPUTS 32 SITEPROP SLICE_X5Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y7 NUM_PINS 45 SITEPROP SLICE_X5Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y7 PROHIBIT 0 SITEPROP SLICE_X5Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y7 RPM_X 27 SITEPROP SLICE_X5Y7 RPM_Y 14 SITEPROP SLICE_X5Y7 SITE_PIPS SITEPROP SLICE_X5Y7 SITE_TYPE SLICEL SITEPROP SLICE_X5Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y8 CLASS site SITEPROP SLICE_X5Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y8 IS_BONDED 0 SITEPROP SLICE_X5Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y8 IS_PAD 0 SITEPROP SLICE_X5Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y8 IS_RESERVED 0 SITEPROP SLICE_X5Y8 IS_TEST 0 SITEPROP SLICE_X5Y8 IS_USED 0 SITEPROP SLICE_X5Y8 MANUAL_ROUTING SITEPROP SLICE_X5Y8 NAME SLICE_X5Y8 SITEPROP SLICE_X5Y8 NUM_ARCS 138 SITEPROP SLICE_X5Y8 NUM_BELS 32 SITEPROP SLICE_X5Y8 NUM_INPUTS 32 SITEPROP SLICE_X5Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y8 NUM_PINS 45 SITEPROP SLICE_X5Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y8 PROHIBIT 0 SITEPROP SLICE_X5Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y8 RPM_X 27 SITEPROP SLICE_X5Y8 RPM_Y 16 SITEPROP SLICE_X5Y8 SITE_PIPS SITEPROP SLICE_X5Y8 SITE_TYPE SLICEL SITEPROP SLICE_X5Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y9 CLASS site SITEPROP SLICE_X5Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y9 IS_BONDED 0 SITEPROP SLICE_X5Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y9 IS_PAD 0 SITEPROP SLICE_X5Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y9 IS_RESERVED 0 SITEPROP SLICE_X5Y9 IS_TEST 0 SITEPROP SLICE_X5Y9 IS_USED 0 SITEPROP SLICE_X5Y9 MANUAL_ROUTING SITEPROP SLICE_X5Y9 NAME SLICE_X5Y9 SITEPROP SLICE_X5Y9 NUM_ARCS 138 SITEPROP SLICE_X5Y9 NUM_BELS 32 SITEPROP SLICE_X5Y9 NUM_INPUTS 32 SITEPROP SLICE_X5Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y9 NUM_PINS 45 SITEPROP SLICE_X5Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y9 PROHIBIT 0 SITEPROP SLICE_X5Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y9 RPM_X 27 SITEPROP SLICE_X5Y9 RPM_Y 18 SITEPROP SLICE_X5Y9 SITE_PIPS SITEPROP SLICE_X5Y9 SITE_TYPE SLICEL SITEPROP SLICE_X5Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y10 CLASS site SITEPROP SLICE_X5Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y10 IS_BONDED 0 SITEPROP SLICE_X5Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y10 IS_PAD 0 SITEPROP SLICE_X5Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y10 IS_RESERVED 0 SITEPROP SLICE_X5Y10 IS_TEST 0 SITEPROP SLICE_X5Y10 IS_USED 0 SITEPROP SLICE_X5Y10 MANUAL_ROUTING SITEPROP SLICE_X5Y10 NAME SLICE_X5Y10 SITEPROP SLICE_X5Y10 NUM_ARCS 138 SITEPROP SLICE_X5Y10 NUM_BELS 32 SITEPROP SLICE_X5Y10 NUM_INPUTS 32 SITEPROP SLICE_X5Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y10 NUM_PINS 45 SITEPROP SLICE_X5Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y10 PROHIBIT 0 SITEPROP SLICE_X5Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y10 RPM_X 27 SITEPROP SLICE_X5Y10 RPM_Y 20 SITEPROP SLICE_X5Y10 SITE_PIPS SITEPROP SLICE_X5Y10 SITE_TYPE SLICEL SITEPROP SLICE_X5Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y11 CLASS site SITEPROP SLICE_X5Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y11 IS_BONDED 0 SITEPROP SLICE_X5Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y11 IS_PAD 0 SITEPROP SLICE_X5Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y11 IS_RESERVED 0 SITEPROP SLICE_X5Y11 IS_TEST 0 SITEPROP SLICE_X5Y11 IS_USED 0 SITEPROP SLICE_X5Y11 MANUAL_ROUTING SITEPROP SLICE_X5Y11 NAME SLICE_X5Y11 SITEPROP SLICE_X5Y11 NUM_ARCS 138 SITEPROP SLICE_X5Y11 NUM_BELS 32 SITEPROP SLICE_X5Y11 NUM_INPUTS 32 SITEPROP SLICE_X5Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y11 NUM_PINS 45 SITEPROP SLICE_X5Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y11 PROHIBIT 0 SITEPROP SLICE_X5Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y11 RPM_X 27 SITEPROP SLICE_X5Y11 RPM_Y 22 SITEPROP SLICE_X5Y11 SITE_PIPS SITEPROP SLICE_X5Y11 SITE_TYPE SLICEL SITEPROP SLICE_X5Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y12 CLASS site SITEPROP SLICE_X5Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y12 IS_BONDED 0 SITEPROP SLICE_X5Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y12 IS_PAD 0 SITEPROP SLICE_X5Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y12 IS_RESERVED 0 SITEPROP SLICE_X5Y12 IS_TEST 0 SITEPROP SLICE_X5Y12 IS_USED 0 SITEPROP SLICE_X5Y12 MANUAL_ROUTING SITEPROP SLICE_X5Y12 NAME SLICE_X5Y12 SITEPROP SLICE_X5Y12 NUM_ARCS 138 SITEPROP SLICE_X5Y12 NUM_BELS 32 SITEPROP SLICE_X5Y12 NUM_INPUTS 32 SITEPROP SLICE_X5Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y12 NUM_PINS 45 SITEPROP SLICE_X5Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y12 PROHIBIT 0 SITEPROP SLICE_X5Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y12 RPM_X 27 SITEPROP SLICE_X5Y12 RPM_Y 24 SITEPROP SLICE_X5Y12 SITE_PIPS SITEPROP SLICE_X5Y12 SITE_TYPE SLICEL SITEPROP SLICE_X5Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y13 CLASS site SITEPROP SLICE_X5Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y13 IS_BONDED 0 SITEPROP SLICE_X5Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y13 IS_PAD 0 SITEPROP SLICE_X5Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y13 IS_RESERVED 0 SITEPROP SLICE_X5Y13 IS_TEST 0 SITEPROP SLICE_X5Y13 IS_USED 0 SITEPROP SLICE_X5Y13 MANUAL_ROUTING SITEPROP SLICE_X5Y13 NAME SLICE_X5Y13 SITEPROP SLICE_X5Y13 NUM_ARCS 138 SITEPROP SLICE_X5Y13 NUM_BELS 32 SITEPROP SLICE_X5Y13 NUM_INPUTS 32 SITEPROP SLICE_X5Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y13 NUM_PINS 45 SITEPROP SLICE_X5Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y13 PROHIBIT 0 SITEPROP SLICE_X5Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y13 RPM_X 27 SITEPROP SLICE_X5Y13 RPM_Y 26 SITEPROP SLICE_X5Y13 SITE_PIPS SITEPROP SLICE_X5Y13 SITE_TYPE SLICEL SITEPROP SLICE_X5Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y14 CLASS site SITEPROP SLICE_X5Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y14 IS_BONDED 0 SITEPROP SLICE_X5Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y14 IS_PAD 0 SITEPROP SLICE_X5Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y14 IS_RESERVED 0 SITEPROP SLICE_X5Y14 IS_TEST 0 SITEPROP SLICE_X5Y14 IS_USED 0 SITEPROP SLICE_X5Y14 MANUAL_ROUTING SITEPROP SLICE_X5Y14 NAME SLICE_X5Y14 SITEPROP SLICE_X5Y14 NUM_ARCS 138 SITEPROP SLICE_X5Y14 NUM_BELS 32 SITEPROP SLICE_X5Y14 NUM_INPUTS 32 SITEPROP SLICE_X5Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y14 NUM_PINS 45 SITEPROP SLICE_X5Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y14 PROHIBIT 0 SITEPROP SLICE_X5Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y14 RPM_X 27 SITEPROP SLICE_X5Y14 RPM_Y 28 SITEPROP SLICE_X5Y14 SITE_PIPS SITEPROP SLICE_X5Y14 SITE_TYPE SLICEL SITEPROP SLICE_X5Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y15 CLASS site SITEPROP SLICE_X5Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y15 IS_BONDED 0 SITEPROP SLICE_X5Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y15 IS_PAD 0 SITEPROP SLICE_X5Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y15 IS_RESERVED 0 SITEPROP SLICE_X5Y15 IS_TEST 0 SITEPROP SLICE_X5Y15 IS_USED 0 SITEPROP SLICE_X5Y15 MANUAL_ROUTING SITEPROP SLICE_X5Y15 NAME SLICE_X5Y15 SITEPROP SLICE_X5Y15 NUM_ARCS 138 SITEPROP SLICE_X5Y15 NUM_BELS 32 SITEPROP SLICE_X5Y15 NUM_INPUTS 32 SITEPROP SLICE_X5Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y15 NUM_PINS 45 SITEPROP SLICE_X5Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y15 PROHIBIT 0 SITEPROP SLICE_X5Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y15 RPM_X 27 SITEPROP SLICE_X5Y15 RPM_Y 30 SITEPROP SLICE_X5Y15 SITE_PIPS SITEPROP SLICE_X5Y15 SITE_TYPE SLICEL SITEPROP SLICE_X5Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y16 CLASS site SITEPROP SLICE_X5Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y16 IS_BONDED 0 SITEPROP SLICE_X5Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y16 IS_PAD 0 SITEPROP SLICE_X5Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y16 IS_RESERVED 0 SITEPROP SLICE_X5Y16 IS_TEST 0 SITEPROP SLICE_X5Y16 IS_USED 0 SITEPROP SLICE_X5Y16 MANUAL_ROUTING SITEPROP SLICE_X5Y16 NAME SLICE_X5Y16 SITEPROP SLICE_X5Y16 NUM_ARCS 138 SITEPROP SLICE_X5Y16 NUM_BELS 32 SITEPROP SLICE_X5Y16 NUM_INPUTS 32 SITEPROP SLICE_X5Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y16 NUM_PINS 45 SITEPROP SLICE_X5Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y16 PROHIBIT 0 SITEPROP SLICE_X5Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y16 RPM_X 27 SITEPROP SLICE_X5Y16 RPM_Y 32 SITEPROP SLICE_X5Y16 SITE_PIPS SITEPROP SLICE_X5Y16 SITE_TYPE SLICEL SITEPROP SLICE_X5Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y17 CLASS site SITEPROP SLICE_X5Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y17 IS_BONDED 0 SITEPROP SLICE_X5Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y17 IS_PAD 0 SITEPROP SLICE_X5Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y17 IS_RESERVED 0 SITEPROP SLICE_X5Y17 IS_TEST 0 SITEPROP SLICE_X5Y17 IS_USED 0 SITEPROP SLICE_X5Y17 MANUAL_ROUTING SITEPROP SLICE_X5Y17 NAME SLICE_X5Y17 SITEPROP SLICE_X5Y17 NUM_ARCS 138 SITEPROP SLICE_X5Y17 NUM_BELS 32 SITEPROP SLICE_X5Y17 NUM_INPUTS 32 SITEPROP SLICE_X5Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y17 NUM_PINS 45 SITEPROP SLICE_X5Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y17 PROHIBIT 0 SITEPROP SLICE_X5Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y17 RPM_X 27 SITEPROP SLICE_X5Y17 RPM_Y 34 SITEPROP SLICE_X5Y17 SITE_PIPS SITEPROP SLICE_X5Y17 SITE_TYPE SLICEL SITEPROP SLICE_X5Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y18 CLASS site SITEPROP SLICE_X5Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y18 IS_BONDED 0 SITEPROP SLICE_X5Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y18 IS_PAD 0 SITEPROP SLICE_X5Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y18 IS_RESERVED 0 SITEPROP SLICE_X5Y18 IS_TEST 0 SITEPROP SLICE_X5Y18 IS_USED 0 SITEPROP SLICE_X5Y18 MANUAL_ROUTING SITEPROP SLICE_X5Y18 NAME SLICE_X5Y18 SITEPROP SLICE_X5Y18 NUM_ARCS 138 SITEPROP SLICE_X5Y18 NUM_BELS 32 SITEPROP SLICE_X5Y18 NUM_INPUTS 32 SITEPROP SLICE_X5Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y18 NUM_PINS 45 SITEPROP SLICE_X5Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y18 PROHIBIT 0 SITEPROP SLICE_X5Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y18 RPM_X 27 SITEPROP SLICE_X5Y18 RPM_Y 36 SITEPROP SLICE_X5Y18 SITE_PIPS SITEPROP SLICE_X5Y18 SITE_TYPE SLICEL SITEPROP SLICE_X5Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y19 CLASS site SITEPROP SLICE_X5Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y19 IS_BONDED 0 SITEPROP SLICE_X5Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y19 IS_PAD 0 SITEPROP SLICE_X5Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y19 IS_RESERVED 0 SITEPROP SLICE_X5Y19 IS_TEST 0 SITEPROP SLICE_X5Y19 IS_USED 0 SITEPROP SLICE_X5Y19 MANUAL_ROUTING SITEPROP SLICE_X5Y19 NAME SLICE_X5Y19 SITEPROP SLICE_X5Y19 NUM_ARCS 138 SITEPROP SLICE_X5Y19 NUM_BELS 32 SITEPROP SLICE_X5Y19 NUM_INPUTS 32 SITEPROP SLICE_X5Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y19 NUM_PINS 45 SITEPROP SLICE_X5Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y19 PROHIBIT 0 SITEPROP SLICE_X5Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y19 RPM_X 27 SITEPROP SLICE_X5Y19 RPM_Y 38 SITEPROP SLICE_X5Y19 SITE_PIPS SITEPROP SLICE_X5Y19 SITE_TYPE SLICEL SITEPROP SLICE_X5Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y20 CLASS site SITEPROP SLICE_X5Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y20 IS_BONDED 0 SITEPROP SLICE_X5Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y20 IS_PAD 0 SITEPROP SLICE_X5Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y20 IS_RESERVED 0 SITEPROP SLICE_X5Y20 IS_TEST 0 SITEPROP SLICE_X5Y20 IS_USED 0 SITEPROP SLICE_X5Y20 MANUAL_ROUTING SITEPROP SLICE_X5Y20 NAME SLICE_X5Y20 SITEPROP SLICE_X5Y20 NUM_ARCS 138 SITEPROP SLICE_X5Y20 NUM_BELS 32 SITEPROP SLICE_X5Y20 NUM_INPUTS 32 SITEPROP SLICE_X5Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y20 NUM_PINS 45 SITEPROP SLICE_X5Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y20 PROHIBIT 0 SITEPROP SLICE_X5Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y20 RPM_X 27 SITEPROP SLICE_X5Y20 RPM_Y 40 SITEPROP SLICE_X5Y20 SITE_PIPS SITEPROP SLICE_X5Y20 SITE_TYPE SLICEL SITEPROP SLICE_X5Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y21 CLASS site SITEPROP SLICE_X5Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y21 IS_BONDED 0 SITEPROP SLICE_X5Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y21 IS_PAD 0 SITEPROP SLICE_X5Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y21 IS_RESERVED 0 SITEPROP SLICE_X5Y21 IS_TEST 0 SITEPROP SLICE_X5Y21 IS_USED 0 SITEPROP SLICE_X5Y21 MANUAL_ROUTING SITEPROP SLICE_X5Y21 NAME SLICE_X5Y21 SITEPROP SLICE_X5Y21 NUM_ARCS 138 SITEPROP SLICE_X5Y21 NUM_BELS 32 SITEPROP SLICE_X5Y21 NUM_INPUTS 32 SITEPROP SLICE_X5Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y21 NUM_PINS 45 SITEPROP SLICE_X5Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y21 PROHIBIT 0 SITEPROP SLICE_X5Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y21 RPM_X 27 SITEPROP SLICE_X5Y21 RPM_Y 42 SITEPROP SLICE_X5Y21 SITE_PIPS SITEPROP SLICE_X5Y21 SITE_TYPE SLICEL SITEPROP SLICE_X5Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y22 CLASS site SITEPROP SLICE_X5Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y22 IS_BONDED 0 SITEPROP SLICE_X5Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y22 IS_PAD 0 SITEPROP SLICE_X5Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y22 IS_RESERVED 0 SITEPROP SLICE_X5Y22 IS_TEST 0 SITEPROP SLICE_X5Y22 IS_USED 0 SITEPROP SLICE_X5Y22 MANUAL_ROUTING SITEPROP SLICE_X5Y22 NAME SLICE_X5Y22 SITEPROP SLICE_X5Y22 NUM_ARCS 138 SITEPROP SLICE_X5Y22 NUM_BELS 32 SITEPROP SLICE_X5Y22 NUM_INPUTS 32 SITEPROP SLICE_X5Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y22 NUM_PINS 45 SITEPROP SLICE_X5Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y22 PROHIBIT 0 SITEPROP SLICE_X5Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y22 RPM_X 27 SITEPROP SLICE_X5Y22 RPM_Y 44 SITEPROP SLICE_X5Y22 SITE_PIPS SITEPROP SLICE_X5Y22 SITE_TYPE SLICEL SITEPROP SLICE_X5Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y23 CLASS site SITEPROP SLICE_X5Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y23 IS_BONDED 0 SITEPROP SLICE_X5Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y23 IS_PAD 0 SITEPROP SLICE_X5Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y23 IS_RESERVED 0 SITEPROP SLICE_X5Y23 IS_TEST 0 SITEPROP SLICE_X5Y23 IS_USED 0 SITEPROP SLICE_X5Y23 MANUAL_ROUTING SITEPROP SLICE_X5Y23 NAME SLICE_X5Y23 SITEPROP SLICE_X5Y23 NUM_ARCS 138 SITEPROP SLICE_X5Y23 NUM_BELS 32 SITEPROP SLICE_X5Y23 NUM_INPUTS 32 SITEPROP SLICE_X5Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y23 NUM_PINS 45 SITEPROP SLICE_X5Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y23 PROHIBIT 0 SITEPROP SLICE_X5Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y23 RPM_X 27 SITEPROP SLICE_X5Y23 RPM_Y 46 SITEPROP SLICE_X5Y23 SITE_PIPS SITEPROP SLICE_X5Y23 SITE_TYPE SLICEL SITEPROP SLICE_X5Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y24 CLASS site SITEPROP SLICE_X5Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y24 IS_BONDED 0 SITEPROP SLICE_X5Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y24 IS_PAD 0 SITEPROP SLICE_X5Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y24 IS_RESERVED 0 SITEPROP SLICE_X5Y24 IS_TEST 0 SITEPROP SLICE_X5Y24 IS_USED 0 SITEPROP SLICE_X5Y24 MANUAL_ROUTING SITEPROP SLICE_X5Y24 NAME SLICE_X5Y24 SITEPROP SLICE_X5Y24 NUM_ARCS 138 SITEPROP SLICE_X5Y24 NUM_BELS 32 SITEPROP SLICE_X5Y24 NUM_INPUTS 32 SITEPROP SLICE_X5Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y24 NUM_PINS 45 SITEPROP SLICE_X5Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y24 PROHIBIT 0 SITEPROP SLICE_X5Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y24 RPM_X 27 SITEPROP SLICE_X5Y24 RPM_Y 48 SITEPROP SLICE_X5Y24 SITE_PIPS SITEPROP SLICE_X5Y24 SITE_TYPE SLICEL SITEPROP SLICE_X5Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y25 CLASS site SITEPROP SLICE_X5Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y25 IS_BONDED 0 SITEPROP SLICE_X5Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y25 IS_PAD 0 SITEPROP SLICE_X5Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y25 IS_RESERVED 0 SITEPROP SLICE_X5Y25 IS_TEST 0 SITEPROP SLICE_X5Y25 IS_USED 0 SITEPROP SLICE_X5Y25 MANUAL_ROUTING SITEPROP SLICE_X5Y25 NAME SLICE_X5Y25 SITEPROP SLICE_X5Y25 NUM_ARCS 138 SITEPROP SLICE_X5Y25 NUM_BELS 32 SITEPROP SLICE_X5Y25 NUM_INPUTS 32 SITEPROP SLICE_X5Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y25 NUM_PINS 45 SITEPROP SLICE_X5Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y25 PROHIBIT 0 SITEPROP SLICE_X5Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y25 RPM_X 27 SITEPROP SLICE_X5Y25 RPM_Y 50 SITEPROP SLICE_X5Y25 SITE_PIPS SITEPROP SLICE_X5Y25 SITE_TYPE SLICEL SITEPROP SLICE_X5Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y26 CLASS site SITEPROP SLICE_X5Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y26 IS_BONDED 0 SITEPROP SLICE_X5Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y26 IS_PAD 0 SITEPROP SLICE_X5Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y26 IS_RESERVED 0 SITEPROP SLICE_X5Y26 IS_TEST 0 SITEPROP SLICE_X5Y26 IS_USED 0 SITEPROP SLICE_X5Y26 MANUAL_ROUTING SITEPROP SLICE_X5Y26 NAME SLICE_X5Y26 SITEPROP SLICE_X5Y26 NUM_ARCS 138 SITEPROP SLICE_X5Y26 NUM_BELS 32 SITEPROP SLICE_X5Y26 NUM_INPUTS 32 SITEPROP SLICE_X5Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y26 NUM_PINS 45 SITEPROP SLICE_X5Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y26 PROHIBIT 0 SITEPROP SLICE_X5Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y26 RPM_X 27 SITEPROP SLICE_X5Y26 RPM_Y 52 SITEPROP SLICE_X5Y26 SITE_PIPS SITEPROP SLICE_X5Y26 SITE_TYPE SLICEL SITEPROP SLICE_X5Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y27 CLASS site SITEPROP SLICE_X5Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y27 IS_BONDED 0 SITEPROP SLICE_X5Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y27 IS_PAD 0 SITEPROP SLICE_X5Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y27 IS_RESERVED 0 SITEPROP SLICE_X5Y27 IS_TEST 0 SITEPROP SLICE_X5Y27 IS_USED 0 SITEPROP SLICE_X5Y27 MANUAL_ROUTING SITEPROP SLICE_X5Y27 NAME SLICE_X5Y27 SITEPROP SLICE_X5Y27 NUM_ARCS 138 SITEPROP SLICE_X5Y27 NUM_BELS 32 SITEPROP SLICE_X5Y27 NUM_INPUTS 32 SITEPROP SLICE_X5Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y27 NUM_PINS 45 SITEPROP SLICE_X5Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y27 PROHIBIT 0 SITEPROP SLICE_X5Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y27 RPM_X 27 SITEPROP SLICE_X5Y27 RPM_Y 54 SITEPROP SLICE_X5Y27 SITE_PIPS SITEPROP SLICE_X5Y27 SITE_TYPE SLICEL SITEPROP SLICE_X5Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y28 CLASS site SITEPROP SLICE_X5Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y28 IS_BONDED 0 SITEPROP SLICE_X5Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y28 IS_PAD 0 SITEPROP SLICE_X5Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y28 IS_RESERVED 0 SITEPROP SLICE_X5Y28 IS_TEST 0 SITEPROP SLICE_X5Y28 IS_USED 0 SITEPROP SLICE_X5Y28 MANUAL_ROUTING SITEPROP SLICE_X5Y28 NAME SLICE_X5Y28 SITEPROP SLICE_X5Y28 NUM_ARCS 138 SITEPROP SLICE_X5Y28 NUM_BELS 32 SITEPROP SLICE_X5Y28 NUM_INPUTS 32 SITEPROP SLICE_X5Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y28 NUM_PINS 45 SITEPROP SLICE_X5Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y28 PROHIBIT 0 SITEPROP SLICE_X5Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y28 RPM_X 27 SITEPROP SLICE_X5Y28 RPM_Y 56 SITEPROP SLICE_X5Y28 SITE_PIPS SITEPROP SLICE_X5Y28 SITE_TYPE SLICEL SITEPROP SLICE_X5Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y29 CLASS site SITEPROP SLICE_X5Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y29 IS_BONDED 0 SITEPROP SLICE_X5Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y29 IS_PAD 0 SITEPROP SLICE_X5Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y29 IS_RESERVED 0 SITEPROP SLICE_X5Y29 IS_TEST 0 SITEPROP SLICE_X5Y29 IS_USED 0 SITEPROP SLICE_X5Y29 MANUAL_ROUTING SITEPROP SLICE_X5Y29 NAME SLICE_X5Y29 SITEPROP SLICE_X5Y29 NUM_ARCS 138 SITEPROP SLICE_X5Y29 NUM_BELS 32 SITEPROP SLICE_X5Y29 NUM_INPUTS 32 SITEPROP SLICE_X5Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y29 NUM_PINS 45 SITEPROP SLICE_X5Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y29 PROHIBIT 0 SITEPROP SLICE_X5Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y29 RPM_X 27 SITEPROP SLICE_X5Y29 RPM_Y 58 SITEPROP SLICE_X5Y29 SITE_PIPS SITEPROP SLICE_X5Y29 SITE_TYPE SLICEL SITEPROP SLICE_X5Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y30 CLASS site SITEPROP SLICE_X5Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y30 IS_BONDED 0 SITEPROP SLICE_X5Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y30 IS_PAD 0 SITEPROP SLICE_X5Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y30 IS_RESERVED 0 SITEPROP SLICE_X5Y30 IS_TEST 0 SITEPROP SLICE_X5Y30 IS_USED 0 SITEPROP SLICE_X5Y30 MANUAL_ROUTING SITEPROP SLICE_X5Y30 NAME SLICE_X5Y30 SITEPROP SLICE_X5Y30 NUM_ARCS 138 SITEPROP SLICE_X5Y30 NUM_BELS 32 SITEPROP SLICE_X5Y30 NUM_INPUTS 32 SITEPROP SLICE_X5Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y30 NUM_PINS 45 SITEPROP SLICE_X5Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y30 PROHIBIT 0 SITEPROP SLICE_X5Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y30 RPM_X 27 SITEPROP SLICE_X5Y30 RPM_Y 60 SITEPROP SLICE_X5Y30 SITE_PIPS SITEPROP SLICE_X5Y30 SITE_TYPE SLICEL SITEPROP SLICE_X5Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y31 CLASS site SITEPROP SLICE_X5Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y31 IS_BONDED 0 SITEPROP SLICE_X5Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y31 IS_PAD 0 SITEPROP SLICE_X5Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y31 IS_RESERVED 0 SITEPROP SLICE_X5Y31 IS_TEST 0 SITEPROP SLICE_X5Y31 IS_USED 0 SITEPROP SLICE_X5Y31 MANUAL_ROUTING SITEPROP SLICE_X5Y31 NAME SLICE_X5Y31 SITEPROP SLICE_X5Y31 NUM_ARCS 138 SITEPROP SLICE_X5Y31 NUM_BELS 32 SITEPROP SLICE_X5Y31 NUM_INPUTS 32 SITEPROP SLICE_X5Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y31 NUM_PINS 45 SITEPROP SLICE_X5Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y31 PROHIBIT 0 SITEPROP SLICE_X5Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y31 RPM_X 27 SITEPROP SLICE_X5Y31 RPM_Y 62 SITEPROP SLICE_X5Y31 SITE_PIPS SITEPROP SLICE_X5Y31 SITE_TYPE SLICEL SITEPROP SLICE_X5Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y32 CLASS site SITEPROP SLICE_X5Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y32 IS_BONDED 0 SITEPROP SLICE_X5Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y32 IS_PAD 0 SITEPROP SLICE_X5Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y32 IS_RESERVED 0 SITEPROP SLICE_X5Y32 IS_TEST 0 SITEPROP SLICE_X5Y32 IS_USED 0 SITEPROP SLICE_X5Y32 MANUAL_ROUTING SITEPROP SLICE_X5Y32 NAME SLICE_X5Y32 SITEPROP SLICE_X5Y32 NUM_ARCS 138 SITEPROP SLICE_X5Y32 NUM_BELS 32 SITEPROP SLICE_X5Y32 NUM_INPUTS 32 SITEPROP SLICE_X5Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y32 NUM_PINS 45 SITEPROP SLICE_X5Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y32 PROHIBIT 0 SITEPROP SLICE_X5Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y32 RPM_X 27 SITEPROP SLICE_X5Y32 RPM_Y 64 SITEPROP SLICE_X5Y32 SITE_PIPS SITEPROP SLICE_X5Y32 SITE_TYPE SLICEL SITEPROP SLICE_X5Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y33 CLASS site SITEPROP SLICE_X5Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y33 IS_BONDED 0 SITEPROP SLICE_X5Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y33 IS_PAD 0 SITEPROP SLICE_X5Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y33 IS_RESERVED 0 SITEPROP SLICE_X5Y33 IS_TEST 0 SITEPROP SLICE_X5Y33 IS_USED 0 SITEPROP SLICE_X5Y33 MANUAL_ROUTING SITEPROP SLICE_X5Y33 NAME SLICE_X5Y33 SITEPROP SLICE_X5Y33 NUM_ARCS 138 SITEPROP SLICE_X5Y33 NUM_BELS 32 SITEPROP SLICE_X5Y33 NUM_INPUTS 32 SITEPROP SLICE_X5Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y33 NUM_PINS 45 SITEPROP SLICE_X5Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y33 PROHIBIT 0 SITEPROP SLICE_X5Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y33 RPM_X 27 SITEPROP SLICE_X5Y33 RPM_Y 66 SITEPROP SLICE_X5Y33 SITE_PIPS SITEPROP SLICE_X5Y33 SITE_TYPE SLICEL SITEPROP SLICE_X5Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y34 CLASS site SITEPROP SLICE_X5Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y34 IS_BONDED 0 SITEPROP SLICE_X5Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y34 IS_PAD 0 SITEPROP SLICE_X5Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y34 IS_RESERVED 0 SITEPROP SLICE_X5Y34 IS_TEST 0 SITEPROP SLICE_X5Y34 IS_USED 0 SITEPROP SLICE_X5Y34 MANUAL_ROUTING SITEPROP SLICE_X5Y34 NAME SLICE_X5Y34 SITEPROP SLICE_X5Y34 NUM_ARCS 138 SITEPROP SLICE_X5Y34 NUM_BELS 32 SITEPROP SLICE_X5Y34 NUM_INPUTS 32 SITEPROP SLICE_X5Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y34 NUM_PINS 45 SITEPROP SLICE_X5Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y34 PROHIBIT 0 SITEPROP SLICE_X5Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y34 RPM_X 27 SITEPROP SLICE_X5Y34 RPM_Y 68 SITEPROP SLICE_X5Y34 SITE_PIPS SITEPROP SLICE_X5Y34 SITE_TYPE SLICEL SITEPROP SLICE_X5Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y35 CLASS site SITEPROP SLICE_X5Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y35 IS_BONDED 0 SITEPROP SLICE_X5Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y35 IS_PAD 0 SITEPROP SLICE_X5Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y35 IS_RESERVED 0 SITEPROP SLICE_X5Y35 IS_TEST 0 SITEPROP SLICE_X5Y35 IS_USED 0 SITEPROP SLICE_X5Y35 MANUAL_ROUTING SITEPROP SLICE_X5Y35 NAME SLICE_X5Y35 SITEPROP SLICE_X5Y35 NUM_ARCS 138 SITEPROP SLICE_X5Y35 NUM_BELS 32 SITEPROP SLICE_X5Y35 NUM_INPUTS 32 SITEPROP SLICE_X5Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y35 NUM_PINS 45 SITEPROP SLICE_X5Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y35 PROHIBIT 0 SITEPROP SLICE_X5Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y35 RPM_X 27 SITEPROP SLICE_X5Y35 RPM_Y 70 SITEPROP SLICE_X5Y35 SITE_PIPS SITEPROP SLICE_X5Y35 SITE_TYPE SLICEL SITEPROP SLICE_X5Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y36 CLASS site SITEPROP SLICE_X5Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y36 IS_BONDED 0 SITEPROP SLICE_X5Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y36 IS_PAD 0 SITEPROP SLICE_X5Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y36 IS_RESERVED 0 SITEPROP SLICE_X5Y36 IS_TEST 0 SITEPROP SLICE_X5Y36 IS_USED 0 SITEPROP SLICE_X5Y36 MANUAL_ROUTING SITEPROP SLICE_X5Y36 NAME SLICE_X5Y36 SITEPROP SLICE_X5Y36 NUM_ARCS 138 SITEPROP SLICE_X5Y36 NUM_BELS 32 SITEPROP SLICE_X5Y36 NUM_INPUTS 32 SITEPROP SLICE_X5Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y36 NUM_PINS 45 SITEPROP SLICE_X5Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y36 PROHIBIT 0 SITEPROP SLICE_X5Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y36 RPM_X 27 SITEPROP SLICE_X5Y36 RPM_Y 72 SITEPROP SLICE_X5Y36 SITE_PIPS SITEPROP SLICE_X5Y36 SITE_TYPE SLICEL SITEPROP SLICE_X5Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y37 CLASS site SITEPROP SLICE_X5Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y37 IS_BONDED 0 SITEPROP SLICE_X5Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y37 IS_PAD 0 SITEPROP SLICE_X5Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y37 IS_RESERVED 0 SITEPROP SLICE_X5Y37 IS_TEST 0 SITEPROP SLICE_X5Y37 IS_USED 0 SITEPROP SLICE_X5Y37 MANUAL_ROUTING SITEPROP SLICE_X5Y37 NAME SLICE_X5Y37 SITEPROP SLICE_X5Y37 NUM_ARCS 138 SITEPROP SLICE_X5Y37 NUM_BELS 32 SITEPROP SLICE_X5Y37 NUM_INPUTS 32 SITEPROP SLICE_X5Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y37 NUM_PINS 45 SITEPROP SLICE_X5Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y37 PROHIBIT 0 SITEPROP SLICE_X5Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y37 RPM_X 27 SITEPROP SLICE_X5Y37 RPM_Y 74 SITEPROP SLICE_X5Y37 SITE_PIPS SITEPROP SLICE_X5Y37 SITE_TYPE SLICEL SITEPROP SLICE_X5Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y38 CLASS site SITEPROP SLICE_X5Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y38 IS_BONDED 0 SITEPROP SLICE_X5Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y38 IS_PAD 0 SITEPROP SLICE_X5Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y38 IS_RESERVED 0 SITEPROP SLICE_X5Y38 IS_TEST 0 SITEPROP SLICE_X5Y38 IS_USED 0 SITEPROP SLICE_X5Y38 MANUAL_ROUTING SITEPROP SLICE_X5Y38 NAME SLICE_X5Y38 SITEPROP SLICE_X5Y38 NUM_ARCS 138 SITEPROP SLICE_X5Y38 NUM_BELS 32 SITEPROP SLICE_X5Y38 NUM_INPUTS 32 SITEPROP SLICE_X5Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y38 NUM_PINS 45 SITEPROP SLICE_X5Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y38 PROHIBIT 0 SITEPROP SLICE_X5Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y38 RPM_X 27 SITEPROP SLICE_X5Y38 RPM_Y 76 SITEPROP SLICE_X5Y38 SITE_PIPS SITEPROP SLICE_X5Y38 SITE_TYPE SLICEL SITEPROP SLICE_X5Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y39 CLASS site SITEPROP SLICE_X5Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y39 IS_BONDED 0 SITEPROP SLICE_X5Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y39 IS_PAD 0 SITEPROP SLICE_X5Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y39 IS_RESERVED 0 SITEPROP SLICE_X5Y39 IS_TEST 0 SITEPROP SLICE_X5Y39 IS_USED 0 SITEPROP SLICE_X5Y39 MANUAL_ROUTING SITEPROP SLICE_X5Y39 NAME SLICE_X5Y39 SITEPROP SLICE_X5Y39 NUM_ARCS 138 SITEPROP SLICE_X5Y39 NUM_BELS 32 SITEPROP SLICE_X5Y39 NUM_INPUTS 32 SITEPROP SLICE_X5Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y39 NUM_PINS 45 SITEPROP SLICE_X5Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y39 PROHIBIT 0 SITEPROP SLICE_X5Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y39 RPM_X 27 SITEPROP SLICE_X5Y39 RPM_Y 78 SITEPROP SLICE_X5Y39 SITE_PIPS SITEPROP SLICE_X5Y39 SITE_TYPE SLICEL SITEPROP SLICE_X5Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y40 CLASS site SITEPROP SLICE_X5Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y40 IS_BONDED 0 SITEPROP SLICE_X5Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y40 IS_PAD 0 SITEPROP SLICE_X5Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y40 IS_RESERVED 0 SITEPROP SLICE_X5Y40 IS_TEST 0 SITEPROP SLICE_X5Y40 IS_USED 0 SITEPROP SLICE_X5Y40 MANUAL_ROUTING SITEPROP SLICE_X5Y40 NAME SLICE_X5Y40 SITEPROP SLICE_X5Y40 NUM_ARCS 138 SITEPROP SLICE_X5Y40 NUM_BELS 32 SITEPROP SLICE_X5Y40 NUM_INPUTS 32 SITEPROP SLICE_X5Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y40 NUM_PINS 45 SITEPROP SLICE_X5Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y40 PROHIBIT 0 SITEPROP SLICE_X5Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y40 RPM_X 27 SITEPROP SLICE_X5Y40 RPM_Y 80 SITEPROP SLICE_X5Y40 SITE_PIPS SITEPROP SLICE_X5Y40 SITE_TYPE SLICEL SITEPROP SLICE_X5Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y41 CLASS site SITEPROP SLICE_X5Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y41 IS_BONDED 0 SITEPROP SLICE_X5Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y41 IS_PAD 0 SITEPROP SLICE_X5Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y41 IS_RESERVED 0 SITEPROP SLICE_X5Y41 IS_TEST 0 SITEPROP SLICE_X5Y41 IS_USED 0 SITEPROP SLICE_X5Y41 MANUAL_ROUTING SITEPROP SLICE_X5Y41 NAME SLICE_X5Y41 SITEPROP SLICE_X5Y41 NUM_ARCS 138 SITEPROP SLICE_X5Y41 NUM_BELS 32 SITEPROP SLICE_X5Y41 NUM_INPUTS 32 SITEPROP SLICE_X5Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y41 NUM_PINS 45 SITEPROP SLICE_X5Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y41 PROHIBIT 0 SITEPROP SLICE_X5Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y41 RPM_X 27 SITEPROP SLICE_X5Y41 RPM_Y 82 SITEPROP SLICE_X5Y41 SITE_PIPS SITEPROP SLICE_X5Y41 SITE_TYPE SLICEL SITEPROP SLICE_X5Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y42 CLASS site SITEPROP SLICE_X5Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y42 IS_BONDED 0 SITEPROP SLICE_X5Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y42 IS_PAD 0 SITEPROP SLICE_X5Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y42 IS_RESERVED 0 SITEPROP SLICE_X5Y42 IS_TEST 0 SITEPROP SLICE_X5Y42 IS_USED 0 SITEPROP SLICE_X5Y42 MANUAL_ROUTING SITEPROP SLICE_X5Y42 NAME SLICE_X5Y42 SITEPROP SLICE_X5Y42 NUM_ARCS 138 SITEPROP SLICE_X5Y42 NUM_BELS 32 SITEPROP SLICE_X5Y42 NUM_INPUTS 32 SITEPROP SLICE_X5Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y42 NUM_PINS 45 SITEPROP SLICE_X5Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y42 PROHIBIT 0 SITEPROP SLICE_X5Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y42 RPM_X 27 SITEPROP SLICE_X5Y42 RPM_Y 84 SITEPROP SLICE_X5Y42 SITE_PIPS SITEPROP SLICE_X5Y42 SITE_TYPE SLICEL SITEPROP SLICE_X5Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y43 CLASS site SITEPROP SLICE_X5Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y43 IS_BONDED 0 SITEPROP SLICE_X5Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y43 IS_PAD 0 SITEPROP SLICE_X5Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y43 IS_RESERVED 0 SITEPROP SLICE_X5Y43 IS_TEST 0 SITEPROP SLICE_X5Y43 IS_USED 0 SITEPROP SLICE_X5Y43 MANUAL_ROUTING SITEPROP SLICE_X5Y43 NAME SLICE_X5Y43 SITEPROP SLICE_X5Y43 NUM_ARCS 138 SITEPROP SLICE_X5Y43 NUM_BELS 32 SITEPROP SLICE_X5Y43 NUM_INPUTS 32 SITEPROP SLICE_X5Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y43 NUM_PINS 45 SITEPROP SLICE_X5Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y43 PROHIBIT 0 SITEPROP SLICE_X5Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y43 RPM_X 27 SITEPROP SLICE_X5Y43 RPM_Y 86 SITEPROP SLICE_X5Y43 SITE_PIPS SITEPROP SLICE_X5Y43 SITE_TYPE SLICEL SITEPROP SLICE_X5Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y44 CLASS site SITEPROP SLICE_X5Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y44 IS_BONDED 0 SITEPROP SLICE_X5Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y44 IS_PAD 0 SITEPROP SLICE_X5Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y44 IS_RESERVED 0 SITEPROP SLICE_X5Y44 IS_TEST 0 SITEPROP SLICE_X5Y44 IS_USED 0 SITEPROP SLICE_X5Y44 MANUAL_ROUTING SITEPROP SLICE_X5Y44 NAME SLICE_X5Y44 SITEPROP SLICE_X5Y44 NUM_ARCS 138 SITEPROP SLICE_X5Y44 NUM_BELS 32 SITEPROP SLICE_X5Y44 NUM_INPUTS 32 SITEPROP SLICE_X5Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y44 NUM_PINS 45 SITEPROP SLICE_X5Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y44 PROHIBIT 0 SITEPROP SLICE_X5Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y44 RPM_X 27 SITEPROP SLICE_X5Y44 RPM_Y 88 SITEPROP SLICE_X5Y44 SITE_PIPS SITEPROP SLICE_X5Y44 SITE_TYPE SLICEL SITEPROP SLICE_X5Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y45 CLASS site SITEPROP SLICE_X5Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y45 IS_BONDED 0 SITEPROP SLICE_X5Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y45 IS_PAD 0 SITEPROP SLICE_X5Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y45 IS_RESERVED 0 SITEPROP SLICE_X5Y45 IS_TEST 0 SITEPROP SLICE_X5Y45 IS_USED 0 SITEPROP SLICE_X5Y45 MANUAL_ROUTING SITEPROP SLICE_X5Y45 NAME SLICE_X5Y45 SITEPROP SLICE_X5Y45 NUM_ARCS 138 SITEPROP SLICE_X5Y45 NUM_BELS 32 SITEPROP SLICE_X5Y45 NUM_INPUTS 32 SITEPROP SLICE_X5Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y45 NUM_PINS 45 SITEPROP SLICE_X5Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y45 PROHIBIT 0 SITEPROP SLICE_X5Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y45 RPM_X 27 SITEPROP SLICE_X5Y45 RPM_Y 90 SITEPROP SLICE_X5Y45 SITE_PIPS SITEPROP SLICE_X5Y45 SITE_TYPE SLICEL SITEPROP SLICE_X5Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y46 CLASS site SITEPROP SLICE_X5Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y46 IS_BONDED 0 SITEPROP SLICE_X5Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y46 IS_PAD 0 SITEPROP SLICE_X5Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y46 IS_RESERVED 0 SITEPROP SLICE_X5Y46 IS_TEST 0 SITEPROP SLICE_X5Y46 IS_USED 0 SITEPROP SLICE_X5Y46 MANUAL_ROUTING SITEPROP SLICE_X5Y46 NAME SLICE_X5Y46 SITEPROP SLICE_X5Y46 NUM_ARCS 138 SITEPROP SLICE_X5Y46 NUM_BELS 32 SITEPROP SLICE_X5Y46 NUM_INPUTS 32 SITEPROP SLICE_X5Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y46 NUM_PINS 45 SITEPROP SLICE_X5Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y46 PROHIBIT 0 SITEPROP SLICE_X5Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y46 RPM_X 27 SITEPROP SLICE_X5Y46 RPM_Y 92 SITEPROP SLICE_X5Y46 SITE_PIPS SITEPROP SLICE_X5Y46 SITE_TYPE SLICEL SITEPROP SLICE_X5Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y47 CLASS site SITEPROP SLICE_X5Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y47 IS_BONDED 0 SITEPROP SLICE_X5Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y47 IS_PAD 0 SITEPROP SLICE_X5Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y47 IS_RESERVED 0 SITEPROP SLICE_X5Y47 IS_TEST 0 SITEPROP SLICE_X5Y47 IS_USED 0 SITEPROP SLICE_X5Y47 MANUAL_ROUTING SITEPROP SLICE_X5Y47 NAME SLICE_X5Y47 SITEPROP SLICE_X5Y47 NUM_ARCS 138 SITEPROP SLICE_X5Y47 NUM_BELS 32 SITEPROP SLICE_X5Y47 NUM_INPUTS 32 SITEPROP SLICE_X5Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y47 NUM_PINS 45 SITEPROP SLICE_X5Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y47 PROHIBIT 0 SITEPROP SLICE_X5Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y47 RPM_X 27 SITEPROP SLICE_X5Y47 RPM_Y 94 SITEPROP SLICE_X5Y47 SITE_PIPS SITEPROP SLICE_X5Y47 SITE_TYPE SLICEL SITEPROP SLICE_X5Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y48 CLASS site SITEPROP SLICE_X5Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y48 IS_BONDED 0 SITEPROP SLICE_X5Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y48 IS_PAD 0 SITEPROP SLICE_X5Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y48 IS_RESERVED 0 SITEPROP SLICE_X5Y48 IS_TEST 0 SITEPROP SLICE_X5Y48 IS_USED 0 SITEPROP SLICE_X5Y48 MANUAL_ROUTING SITEPROP SLICE_X5Y48 NAME SLICE_X5Y48 SITEPROP SLICE_X5Y48 NUM_ARCS 138 SITEPROP SLICE_X5Y48 NUM_BELS 32 SITEPROP SLICE_X5Y48 NUM_INPUTS 32 SITEPROP SLICE_X5Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y48 NUM_PINS 45 SITEPROP SLICE_X5Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y48 PROHIBIT 0 SITEPROP SLICE_X5Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y48 RPM_X 27 SITEPROP SLICE_X5Y48 RPM_Y 96 SITEPROP SLICE_X5Y48 SITE_PIPS SITEPROP SLICE_X5Y48 SITE_TYPE SLICEL SITEPROP SLICE_X5Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y49 CLASS site SITEPROP SLICE_X5Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X5Y49 IS_BONDED 0 SITEPROP SLICE_X5Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y49 IS_PAD 0 SITEPROP SLICE_X5Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y49 IS_RESERVED 0 SITEPROP SLICE_X5Y49 IS_TEST 0 SITEPROP SLICE_X5Y49 IS_USED 0 SITEPROP SLICE_X5Y49 MANUAL_ROUTING SITEPROP SLICE_X5Y49 NAME SLICE_X5Y49 SITEPROP SLICE_X5Y49 NUM_ARCS 138 SITEPROP SLICE_X5Y49 NUM_BELS 32 SITEPROP SLICE_X5Y49 NUM_INPUTS 32 SITEPROP SLICE_X5Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y49 NUM_PINS 45 SITEPROP SLICE_X5Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y49 PROHIBIT 0 SITEPROP SLICE_X5Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y49 RPM_X 27 SITEPROP SLICE_X5Y49 RPM_Y 98 SITEPROP SLICE_X5Y49 SITE_PIPS SITEPROP SLICE_X5Y49 SITE_TYPE SLICEL SITEPROP SLICE_X5Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y50 CLASS site SITEPROP SLICE_X5Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y50 IS_BONDED 0 SITEPROP SLICE_X5Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y50 IS_PAD 0 SITEPROP SLICE_X5Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y50 IS_RESERVED 0 SITEPROP SLICE_X5Y50 IS_TEST 0 SITEPROP SLICE_X5Y50 IS_USED 0 SITEPROP SLICE_X5Y50 MANUAL_ROUTING SITEPROP SLICE_X5Y50 NAME SLICE_X5Y50 SITEPROP SLICE_X5Y50 NUM_ARCS 138 SITEPROP SLICE_X5Y50 NUM_BELS 32 SITEPROP SLICE_X5Y50 NUM_INPUTS 32 SITEPROP SLICE_X5Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y50 NUM_PINS 45 SITEPROP SLICE_X5Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y50 PROHIBIT 0 SITEPROP SLICE_X5Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y50 RPM_X 27 SITEPROP SLICE_X5Y50 RPM_Y 100 SITEPROP SLICE_X5Y50 SITE_PIPS SITEPROP SLICE_X5Y50 SITE_TYPE SLICEL SITEPROP SLICE_X5Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y51 CLASS site SITEPROP SLICE_X5Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y51 IS_BONDED 0 SITEPROP SLICE_X5Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y51 IS_PAD 0 SITEPROP SLICE_X5Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y51 IS_RESERVED 0 SITEPROP SLICE_X5Y51 IS_TEST 0 SITEPROP SLICE_X5Y51 IS_USED 0 SITEPROP SLICE_X5Y51 MANUAL_ROUTING SITEPROP SLICE_X5Y51 NAME SLICE_X5Y51 SITEPROP SLICE_X5Y51 NUM_ARCS 138 SITEPROP SLICE_X5Y51 NUM_BELS 32 SITEPROP SLICE_X5Y51 NUM_INPUTS 32 SITEPROP SLICE_X5Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y51 NUM_PINS 45 SITEPROP SLICE_X5Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y51 PROHIBIT 0 SITEPROP SLICE_X5Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y51 RPM_X 27 SITEPROP SLICE_X5Y51 RPM_Y 102 SITEPROP SLICE_X5Y51 SITE_PIPS SITEPROP SLICE_X5Y51 SITE_TYPE SLICEL SITEPROP SLICE_X5Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y52 CLASS site SITEPROP SLICE_X5Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y52 IS_BONDED 0 SITEPROP SLICE_X5Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y52 IS_PAD 0 SITEPROP SLICE_X5Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y52 IS_RESERVED 0 SITEPROP SLICE_X5Y52 IS_TEST 0 SITEPROP SLICE_X5Y52 IS_USED 0 SITEPROP SLICE_X5Y52 MANUAL_ROUTING SITEPROP SLICE_X5Y52 NAME SLICE_X5Y52 SITEPROP SLICE_X5Y52 NUM_ARCS 138 SITEPROP SLICE_X5Y52 NUM_BELS 32 SITEPROP SLICE_X5Y52 NUM_INPUTS 32 SITEPROP SLICE_X5Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y52 NUM_PINS 45 SITEPROP SLICE_X5Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y52 PROHIBIT 0 SITEPROP SLICE_X5Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y52 RPM_X 27 SITEPROP SLICE_X5Y52 RPM_Y 104 SITEPROP SLICE_X5Y52 SITE_PIPS SITEPROP SLICE_X5Y52 SITE_TYPE SLICEL SITEPROP SLICE_X5Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y53 CLASS site SITEPROP SLICE_X5Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y53 IS_BONDED 0 SITEPROP SLICE_X5Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y53 IS_PAD 0 SITEPROP SLICE_X5Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y53 IS_RESERVED 0 SITEPROP SLICE_X5Y53 IS_TEST 0 SITEPROP SLICE_X5Y53 IS_USED 0 SITEPROP SLICE_X5Y53 MANUAL_ROUTING SITEPROP SLICE_X5Y53 NAME SLICE_X5Y53 SITEPROP SLICE_X5Y53 NUM_ARCS 138 SITEPROP SLICE_X5Y53 NUM_BELS 32 SITEPROP SLICE_X5Y53 NUM_INPUTS 32 SITEPROP SLICE_X5Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y53 NUM_PINS 45 SITEPROP SLICE_X5Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y53 PROHIBIT 0 SITEPROP SLICE_X5Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y53 RPM_X 27 SITEPROP SLICE_X5Y53 RPM_Y 106 SITEPROP SLICE_X5Y53 SITE_PIPS SITEPROP SLICE_X5Y53 SITE_TYPE SLICEL SITEPROP SLICE_X5Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y54 CLASS site SITEPROP SLICE_X5Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y54 IS_BONDED 0 SITEPROP SLICE_X5Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y54 IS_PAD 0 SITEPROP SLICE_X5Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y54 IS_RESERVED 0 SITEPROP SLICE_X5Y54 IS_TEST 0 SITEPROP SLICE_X5Y54 IS_USED 0 SITEPROP SLICE_X5Y54 MANUAL_ROUTING SITEPROP SLICE_X5Y54 NAME SLICE_X5Y54 SITEPROP SLICE_X5Y54 NUM_ARCS 138 SITEPROP SLICE_X5Y54 NUM_BELS 32 SITEPROP SLICE_X5Y54 NUM_INPUTS 32 SITEPROP SLICE_X5Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y54 NUM_PINS 45 SITEPROP SLICE_X5Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y54 PROHIBIT 0 SITEPROP SLICE_X5Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y54 RPM_X 27 SITEPROP SLICE_X5Y54 RPM_Y 108 SITEPROP SLICE_X5Y54 SITE_PIPS SITEPROP SLICE_X5Y54 SITE_TYPE SLICEL SITEPROP SLICE_X5Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y55 CLASS site SITEPROP SLICE_X5Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y55 IS_BONDED 0 SITEPROP SLICE_X5Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y55 IS_PAD 0 SITEPROP SLICE_X5Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y55 IS_RESERVED 0 SITEPROP SLICE_X5Y55 IS_TEST 0 SITEPROP SLICE_X5Y55 IS_USED 0 SITEPROP SLICE_X5Y55 MANUAL_ROUTING SITEPROP SLICE_X5Y55 NAME SLICE_X5Y55 SITEPROP SLICE_X5Y55 NUM_ARCS 138 SITEPROP SLICE_X5Y55 NUM_BELS 32 SITEPROP SLICE_X5Y55 NUM_INPUTS 32 SITEPROP SLICE_X5Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y55 NUM_PINS 45 SITEPROP SLICE_X5Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y55 PROHIBIT 0 SITEPROP SLICE_X5Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y55 RPM_X 27 SITEPROP SLICE_X5Y55 RPM_Y 110 SITEPROP SLICE_X5Y55 SITE_PIPS SITEPROP SLICE_X5Y55 SITE_TYPE SLICEL SITEPROP SLICE_X5Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y56 CLASS site SITEPROP SLICE_X5Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y56 IS_BONDED 0 SITEPROP SLICE_X5Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y56 IS_PAD 0 SITEPROP SLICE_X5Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y56 IS_RESERVED 0 SITEPROP SLICE_X5Y56 IS_TEST 0 SITEPROP SLICE_X5Y56 IS_USED 0 SITEPROP SLICE_X5Y56 MANUAL_ROUTING SITEPROP SLICE_X5Y56 NAME SLICE_X5Y56 SITEPROP SLICE_X5Y56 NUM_ARCS 138 SITEPROP SLICE_X5Y56 NUM_BELS 32 SITEPROP SLICE_X5Y56 NUM_INPUTS 32 SITEPROP SLICE_X5Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y56 NUM_PINS 45 SITEPROP SLICE_X5Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y56 PROHIBIT 0 SITEPROP SLICE_X5Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y56 RPM_X 27 SITEPROP SLICE_X5Y56 RPM_Y 112 SITEPROP SLICE_X5Y56 SITE_PIPS SITEPROP SLICE_X5Y56 SITE_TYPE SLICEL SITEPROP SLICE_X5Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y57 CLASS site SITEPROP SLICE_X5Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y57 IS_BONDED 0 SITEPROP SLICE_X5Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y57 IS_PAD 0 SITEPROP SLICE_X5Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y57 IS_RESERVED 0 SITEPROP SLICE_X5Y57 IS_TEST 0 SITEPROP SLICE_X5Y57 IS_USED 0 SITEPROP SLICE_X5Y57 MANUAL_ROUTING SITEPROP SLICE_X5Y57 NAME SLICE_X5Y57 SITEPROP SLICE_X5Y57 NUM_ARCS 138 SITEPROP SLICE_X5Y57 NUM_BELS 32 SITEPROP SLICE_X5Y57 NUM_INPUTS 32 SITEPROP SLICE_X5Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y57 NUM_PINS 45 SITEPROP SLICE_X5Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y57 PROHIBIT 0 SITEPROP SLICE_X5Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y57 RPM_X 27 SITEPROP SLICE_X5Y57 RPM_Y 114 SITEPROP SLICE_X5Y57 SITE_PIPS SITEPROP SLICE_X5Y57 SITE_TYPE SLICEL SITEPROP SLICE_X5Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y58 CLASS site SITEPROP SLICE_X5Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y58 IS_BONDED 0 SITEPROP SLICE_X5Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y58 IS_PAD 0 SITEPROP SLICE_X5Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y58 IS_RESERVED 0 SITEPROP SLICE_X5Y58 IS_TEST 0 SITEPROP SLICE_X5Y58 IS_USED 0 SITEPROP SLICE_X5Y58 MANUAL_ROUTING SITEPROP SLICE_X5Y58 NAME SLICE_X5Y58 SITEPROP SLICE_X5Y58 NUM_ARCS 138 SITEPROP SLICE_X5Y58 NUM_BELS 32 SITEPROP SLICE_X5Y58 NUM_INPUTS 32 SITEPROP SLICE_X5Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y58 NUM_PINS 45 SITEPROP SLICE_X5Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y58 PROHIBIT 0 SITEPROP SLICE_X5Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y58 RPM_X 27 SITEPROP SLICE_X5Y58 RPM_Y 116 SITEPROP SLICE_X5Y58 SITE_PIPS SITEPROP SLICE_X5Y58 SITE_TYPE SLICEL SITEPROP SLICE_X5Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y59 CLASS site SITEPROP SLICE_X5Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y59 IS_BONDED 0 SITEPROP SLICE_X5Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y59 IS_PAD 0 SITEPROP SLICE_X5Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y59 IS_RESERVED 0 SITEPROP SLICE_X5Y59 IS_TEST 0 SITEPROP SLICE_X5Y59 IS_USED 0 SITEPROP SLICE_X5Y59 MANUAL_ROUTING SITEPROP SLICE_X5Y59 NAME SLICE_X5Y59 SITEPROP SLICE_X5Y59 NUM_ARCS 138 SITEPROP SLICE_X5Y59 NUM_BELS 32 SITEPROP SLICE_X5Y59 NUM_INPUTS 32 SITEPROP SLICE_X5Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y59 NUM_PINS 45 SITEPROP SLICE_X5Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y59 PROHIBIT 0 SITEPROP SLICE_X5Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y59 RPM_X 27 SITEPROP SLICE_X5Y59 RPM_Y 118 SITEPROP SLICE_X5Y59 SITE_PIPS SITEPROP SLICE_X5Y59 SITE_TYPE SLICEL SITEPROP SLICE_X5Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y60 CLASS site SITEPROP SLICE_X5Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y60 IS_BONDED 0 SITEPROP SLICE_X5Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y60 IS_PAD 0 SITEPROP SLICE_X5Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y60 IS_RESERVED 0 SITEPROP SLICE_X5Y60 IS_TEST 0 SITEPROP SLICE_X5Y60 IS_USED 0 SITEPROP SLICE_X5Y60 MANUAL_ROUTING SITEPROP SLICE_X5Y60 NAME SLICE_X5Y60 SITEPROP SLICE_X5Y60 NUM_ARCS 138 SITEPROP SLICE_X5Y60 NUM_BELS 32 SITEPROP SLICE_X5Y60 NUM_INPUTS 32 SITEPROP SLICE_X5Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y60 NUM_PINS 45 SITEPROP SLICE_X5Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y60 PROHIBIT 0 SITEPROP SLICE_X5Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y60 RPM_X 27 SITEPROP SLICE_X5Y60 RPM_Y 120 SITEPROP SLICE_X5Y60 SITE_PIPS SITEPROP SLICE_X5Y60 SITE_TYPE SLICEL SITEPROP SLICE_X5Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y61 CLASS site SITEPROP SLICE_X5Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y61 IS_BONDED 0 SITEPROP SLICE_X5Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y61 IS_PAD 0 SITEPROP SLICE_X5Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y61 IS_RESERVED 0 SITEPROP SLICE_X5Y61 IS_TEST 0 SITEPROP SLICE_X5Y61 IS_USED 0 SITEPROP SLICE_X5Y61 MANUAL_ROUTING SITEPROP SLICE_X5Y61 NAME SLICE_X5Y61 SITEPROP SLICE_X5Y61 NUM_ARCS 138 SITEPROP SLICE_X5Y61 NUM_BELS 32 SITEPROP SLICE_X5Y61 NUM_INPUTS 32 SITEPROP SLICE_X5Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y61 NUM_PINS 45 SITEPROP SLICE_X5Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y61 PROHIBIT 0 SITEPROP SLICE_X5Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y61 RPM_X 27 SITEPROP SLICE_X5Y61 RPM_Y 122 SITEPROP SLICE_X5Y61 SITE_PIPS SITEPROP SLICE_X5Y61 SITE_TYPE SLICEL SITEPROP SLICE_X5Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y62 CLASS site SITEPROP SLICE_X5Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y62 IS_BONDED 0 SITEPROP SLICE_X5Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y62 IS_PAD 0 SITEPROP SLICE_X5Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y62 IS_RESERVED 0 SITEPROP SLICE_X5Y62 IS_TEST 0 SITEPROP SLICE_X5Y62 IS_USED 0 SITEPROP SLICE_X5Y62 MANUAL_ROUTING SITEPROP SLICE_X5Y62 NAME SLICE_X5Y62 SITEPROP SLICE_X5Y62 NUM_ARCS 138 SITEPROP SLICE_X5Y62 NUM_BELS 32 SITEPROP SLICE_X5Y62 NUM_INPUTS 32 SITEPROP SLICE_X5Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y62 NUM_PINS 45 SITEPROP SLICE_X5Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y62 PROHIBIT 0 SITEPROP SLICE_X5Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y62 RPM_X 27 SITEPROP SLICE_X5Y62 RPM_Y 124 SITEPROP SLICE_X5Y62 SITE_PIPS SITEPROP SLICE_X5Y62 SITE_TYPE SLICEL SITEPROP SLICE_X5Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y63 CLASS site SITEPROP SLICE_X5Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y63 IS_BONDED 0 SITEPROP SLICE_X5Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y63 IS_PAD 0 SITEPROP SLICE_X5Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y63 IS_RESERVED 0 SITEPROP SLICE_X5Y63 IS_TEST 0 SITEPROP SLICE_X5Y63 IS_USED 0 SITEPROP SLICE_X5Y63 MANUAL_ROUTING SITEPROP SLICE_X5Y63 NAME SLICE_X5Y63 SITEPROP SLICE_X5Y63 NUM_ARCS 138 SITEPROP SLICE_X5Y63 NUM_BELS 32 SITEPROP SLICE_X5Y63 NUM_INPUTS 32 SITEPROP SLICE_X5Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y63 NUM_PINS 45 SITEPROP SLICE_X5Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y63 PROHIBIT 0 SITEPROP SLICE_X5Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y63 RPM_X 27 SITEPROP SLICE_X5Y63 RPM_Y 126 SITEPROP SLICE_X5Y63 SITE_PIPS SITEPROP SLICE_X5Y63 SITE_TYPE SLICEL SITEPROP SLICE_X5Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y64 CLASS site SITEPROP SLICE_X5Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y64 IS_BONDED 0 SITEPROP SLICE_X5Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y64 IS_PAD 0 SITEPROP SLICE_X5Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y64 IS_RESERVED 0 SITEPROP SLICE_X5Y64 IS_TEST 0 SITEPROP SLICE_X5Y64 IS_USED 0 SITEPROP SLICE_X5Y64 MANUAL_ROUTING SITEPROP SLICE_X5Y64 NAME SLICE_X5Y64 SITEPROP SLICE_X5Y64 NUM_ARCS 138 SITEPROP SLICE_X5Y64 NUM_BELS 32 SITEPROP SLICE_X5Y64 NUM_INPUTS 32 SITEPROP SLICE_X5Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y64 NUM_PINS 45 SITEPROP SLICE_X5Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y64 PROHIBIT 0 SITEPROP SLICE_X5Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y64 RPM_X 27 SITEPROP SLICE_X5Y64 RPM_Y 128 SITEPROP SLICE_X5Y64 SITE_PIPS SITEPROP SLICE_X5Y64 SITE_TYPE SLICEL SITEPROP SLICE_X5Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y65 CLASS site SITEPROP SLICE_X5Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y65 IS_BONDED 0 SITEPROP SLICE_X5Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y65 IS_PAD 0 SITEPROP SLICE_X5Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y65 IS_RESERVED 0 SITEPROP SLICE_X5Y65 IS_TEST 0 SITEPROP SLICE_X5Y65 IS_USED 0 SITEPROP SLICE_X5Y65 MANUAL_ROUTING SITEPROP SLICE_X5Y65 NAME SLICE_X5Y65 SITEPROP SLICE_X5Y65 NUM_ARCS 138 SITEPROP SLICE_X5Y65 NUM_BELS 32 SITEPROP SLICE_X5Y65 NUM_INPUTS 32 SITEPROP SLICE_X5Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y65 NUM_PINS 45 SITEPROP SLICE_X5Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y65 PROHIBIT 0 SITEPROP SLICE_X5Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y65 RPM_X 27 SITEPROP SLICE_X5Y65 RPM_Y 130 SITEPROP SLICE_X5Y65 SITE_PIPS SITEPROP SLICE_X5Y65 SITE_TYPE SLICEL SITEPROP SLICE_X5Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y66 CLASS site SITEPROP SLICE_X5Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y66 IS_BONDED 0 SITEPROP SLICE_X5Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y66 IS_PAD 0 SITEPROP SLICE_X5Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y66 IS_RESERVED 0 SITEPROP SLICE_X5Y66 IS_TEST 0 SITEPROP SLICE_X5Y66 IS_USED 0 SITEPROP SLICE_X5Y66 MANUAL_ROUTING SITEPROP SLICE_X5Y66 NAME SLICE_X5Y66 SITEPROP SLICE_X5Y66 NUM_ARCS 138 SITEPROP SLICE_X5Y66 NUM_BELS 32 SITEPROP SLICE_X5Y66 NUM_INPUTS 32 SITEPROP SLICE_X5Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y66 NUM_PINS 45 SITEPROP SLICE_X5Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y66 PROHIBIT 0 SITEPROP SLICE_X5Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y66 RPM_X 27 SITEPROP SLICE_X5Y66 RPM_Y 132 SITEPROP SLICE_X5Y66 SITE_PIPS SITEPROP SLICE_X5Y66 SITE_TYPE SLICEL SITEPROP SLICE_X5Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y67 CLASS site SITEPROP SLICE_X5Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y67 IS_BONDED 0 SITEPROP SLICE_X5Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y67 IS_PAD 0 SITEPROP SLICE_X5Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y67 IS_RESERVED 0 SITEPROP SLICE_X5Y67 IS_TEST 0 SITEPROP SLICE_X5Y67 IS_USED 0 SITEPROP SLICE_X5Y67 MANUAL_ROUTING SITEPROP SLICE_X5Y67 NAME SLICE_X5Y67 SITEPROP SLICE_X5Y67 NUM_ARCS 138 SITEPROP SLICE_X5Y67 NUM_BELS 32 SITEPROP SLICE_X5Y67 NUM_INPUTS 32 SITEPROP SLICE_X5Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y67 NUM_PINS 45 SITEPROP SLICE_X5Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y67 PROHIBIT 0 SITEPROP SLICE_X5Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y67 RPM_X 27 SITEPROP SLICE_X5Y67 RPM_Y 134 SITEPROP SLICE_X5Y67 SITE_PIPS SITEPROP SLICE_X5Y67 SITE_TYPE SLICEL SITEPROP SLICE_X5Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y68 CLASS site SITEPROP SLICE_X5Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y68 IS_BONDED 0 SITEPROP SLICE_X5Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y68 IS_PAD 0 SITEPROP SLICE_X5Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y68 IS_RESERVED 0 SITEPROP SLICE_X5Y68 IS_TEST 0 SITEPROP SLICE_X5Y68 IS_USED 0 SITEPROP SLICE_X5Y68 MANUAL_ROUTING SITEPROP SLICE_X5Y68 NAME SLICE_X5Y68 SITEPROP SLICE_X5Y68 NUM_ARCS 138 SITEPROP SLICE_X5Y68 NUM_BELS 32 SITEPROP SLICE_X5Y68 NUM_INPUTS 32 SITEPROP SLICE_X5Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y68 NUM_PINS 45 SITEPROP SLICE_X5Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y68 PROHIBIT 0 SITEPROP SLICE_X5Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y68 RPM_X 27 SITEPROP SLICE_X5Y68 RPM_Y 136 SITEPROP SLICE_X5Y68 SITE_PIPS SITEPROP SLICE_X5Y68 SITE_TYPE SLICEL SITEPROP SLICE_X5Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y69 CLASS site SITEPROP SLICE_X5Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y69 IS_BONDED 0 SITEPROP SLICE_X5Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y69 IS_PAD 0 SITEPROP SLICE_X5Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y69 IS_RESERVED 0 SITEPROP SLICE_X5Y69 IS_TEST 0 SITEPROP SLICE_X5Y69 IS_USED 0 SITEPROP SLICE_X5Y69 MANUAL_ROUTING SITEPROP SLICE_X5Y69 NAME SLICE_X5Y69 SITEPROP SLICE_X5Y69 NUM_ARCS 138 SITEPROP SLICE_X5Y69 NUM_BELS 32 SITEPROP SLICE_X5Y69 NUM_INPUTS 32 SITEPROP SLICE_X5Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y69 NUM_PINS 45 SITEPROP SLICE_X5Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y69 PROHIBIT 0 SITEPROP SLICE_X5Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y69 RPM_X 27 SITEPROP SLICE_X5Y69 RPM_Y 138 SITEPROP SLICE_X5Y69 SITE_PIPS SITEPROP SLICE_X5Y69 SITE_TYPE SLICEL SITEPROP SLICE_X5Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y70 CLASS site SITEPROP SLICE_X5Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y70 IS_BONDED 0 SITEPROP SLICE_X5Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y70 IS_PAD 0 SITEPROP SLICE_X5Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y70 IS_RESERVED 0 SITEPROP SLICE_X5Y70 IS_TEST 0 SITEPROP SLICE_X5Y70 IS_USED 0 SITEPROP SLICE_X5Y70 MANUAL_ROUTING SITEPROP SLICE_X5Y70 NAME SLICE_X5Y70 SITEPROP SLICE_X5Y70 NUM_ARCS 138 SITEPROP SLICE_X5Y70 NUM_BELS 32 SITEPROP SLICE_X5Y70 NUM_INPUTS 32 SITEPROP SLICE_X5Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y70 NUM_PINS 45 SITEPROP SLICE_X5Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y70 PROHIBIT 0 SITEPROP SLICE_X5Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y70 RPM_X 27 SITEPROP SLICE_X5Y70 RPM_Y 140 SITEPROP SLICE_X5Y70 SITE_PIPS SITEPROP SLICE_X5Y70 SITE_TYPE SLICEL SITEPROP SLICE_X5Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y71 CLASS site SITEPROP SLICE_X5Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y71 IS_BONDED 0 SITEPROP SLICE_X5Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y71 IS_PAD 0 SITEPROP SLICE_X5Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y71 IS_RESERVED 0 SITEPROP SLICE_X5Y71 IS_TEST 0 SITEPROP SLICE_X5Y71 IS_USED 0 SITEPROP SLICE_X5Y71 MANUAL_ROUTING SITEPROP SLICE_X5Y71 NAME SLICE_X5Y71 SITEPROP SLICE_X5Y71 NUM_ARCS 138 SITEPROP SLICE_X5Y71 NUM_BELS 32 SITEPROP SLICE_X5Y71 NUM_INPUTS 32 SITEPROP SLICE_X5Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y71 NUM_PINS 45 SITEPROP SLICE_X5Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y71 PROHIBIT 0 SITEPROP SLICE_X5Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y71 RPM_X 27 SITEPROP SLICE_X5Y71 RPM_Y 142 SITEPROP SLICE_X5Y71 SITE_PIPS SITEPROP SLICE_X5Y71 SITE_TYPE SLICEL SITEPROP SLICE_X5Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y72 CLASS site SITEPROP SLICE_X5Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y72 IS_BONDED 0 SITEPROP SLICE_X5Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y72 IS_PAD 0 SITEPROP SLICE_X5Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y72 IS_RESERVED 0 SITEPROP SLICE_X5Y72 IS_TEST 0 SITEPROP SLICE_X5Y72 IS_USED 0 SITEPROP SLICE_X5Y72 MANUAL_ROUTING SITEPROP SLICE_X5Y72 NAME SLICE_X5Y72 SITEPROP SLICE_X5Y72 NUM_ARCS 138 SITEPROP SLICE_X5Y72 NUM_BELS 32 SITEPROP SLICE_X5Y72 NUM_INPUTS 32 SITEPROP SLICE_X5Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y72 NUM_PINS 45 SITEPROP SLICE_X5Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y72 PROHIBIT 0 SITEPROP SLICE_X5Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y72 RPM_X 27 SITEPROP SLICE_X5Y72 RPM_Y 144 SITEPROP SLICE_X5Y72 SITE_PIPS SITEPROP SLICE_X5Y72 SITE_TYPE SLICEL SITEPROP SLICE_X5Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y73 CLASS site SITEPROP SLICE_X5Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y73 IS_BONDED 0 SITEPROP SLICE_X5Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y73 IS_PAD 0 SITEPROP SLICE_X5Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y73 IS_RESERVED 0 SITEPROP SLICE_X5Y73 IS_TEST 0 SITEPROP SLICE_X5Y73 IS_USED 0 SITEPROP SLICE_X5Y73 MANUAL_ROUTING SITEPROP SLICE_X5Y73 NAME SLICE_X5Y73 SITEPROP SLICE_X5Y73 NUM_ARCS 138 SITEPROP SLICE_X5Y73 NUM_BELS 32 SITEPROP SLICE_X5Y73 NUM_INPUTS 32 SITEPROP SLICE_X5Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y73 NUM_PINS 45 SITEPROP SLICE_X5Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y73 PROHIBIT 0 SITEPROP SLICE_X5Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y73 RPM_X 27 SITEPROP SLICE_X5Y73 RPM_Y 146 SITEPROP SLICE_X5Y73 SITE_PIPS SITEPROP SLICE_X5Y73 SITE_TYPE SLICEL SITEPROP SLICE_X5Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y74 CLASS site SITEPROP SLICE_X5Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y74 IS_BONDED 0 SITEPROP SLICE_X5Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y74 IS_PAD 0 SITEPROP SLICE_X5Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y74 IS_RESERVED 0 SITEPROP SLICE_X5Y74 IS_TEST 0 SITEPROP SLICE_X5Y74 IS_USED 0 SITEPROP SLICE_X5Y74 MANUAL_ROUTING SITEPROP SLICE_X5Y74 NAME SLICE_X5Y74 SITEPROP SLICE_X5Y74 NUM_ARCS 138 SITEPROP SLICE_X5Y74 NUM_BELS 32 SITEPROP SLICE_X5Y74 NUM_INPUTS 32 SITEPROP SLICE_X5Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y74 NUM_PINS 45 SITEPROP SLICE_X5Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y74 PROHIBIT 0 SITEPROP SLICE_X5Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y74 RPM_X 27 SITEPROP SLICE_X5Y74 RPM_Y 148 SITEPROP SLICE_X5Y74 SITE_PIPS SITEPROP SLICE_X5Y74 SITE_TYPE SLICEL SITEPROP SLICE_X5Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y75 CLASS site SITEPROP SLICE_X5Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y75 IS_BONDED 0 SITEPROP SLICE_X5Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y75 IS_PAD 0 SITEPROP SLICE_X5Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y75 IS_RESERVED 0 SITEPROP SLICE_X5Y75 IS_TEST 0 SITEPROP SLICE_X5Y75 IS_USED 0 SITEPROP SLICE_X5Y75 MANUAL_ROUTING SITEPROP SLICE_X5Y75 NAME SLICE_X5Y75 SITEPROP SLICE_X5Y75 NUM_ARCS 138 SITEPROP SLICE_X5Y75 NUM_BELS 32 SITEPROP SLICE_X5Y75 NUM_INPUTS 32 SITEPROP SLICE_X5Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y75 NUM_PINS 45 SITEPROP SLICE_X5Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y75 PROHIBIT 0 SITEPROP SLICE_X5Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y75 RPM_X 27 SITEPROP SLICE_X5Y75 RPM_Y 150 SITEPROP SLICE_X5Y75 SITE_PIPS SITEPROP SLICE_X5Y75 SITE_TYPE SLICEL SITEPROP SLICE_X5Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y76 CLASS site SITEPROP SLICE_X5Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y76 IS_BONDED 0 SITEPROP SLICE_X5Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y76 IS_PAD 0 SITEPROP SLICE_X5Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y76 IS_RESERVED 0 SITEPROP SLICE_X5Y76 IS_TEST 0 SITEPROP SLICE_X5Y76 IS_USED 0 SITEPROP SLICE_X5Y76 MANUAL_ROUTING SITEPROP SLICE_X5Y76 NAME SLICE_X5Y76 SITEPROP SLICE_X5Y76 NUM_ARCS 138 SITEPROP SLICE_X5Y76 NUM_BELS 32 SITEPROP SLICE_X5Y76 NUM_INPUTS 32 SITEPROP SLICE_X5Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y76 NUM_PINS 45 SITEPROP SLICE_X5Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y76 PROHIBIT 0 SITEPROP SLICE_X5Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y76 RPM_X 27 SITEPROP SLICE_X5Y76 RPM_Y 152 SITEPROP SLICE_X5Y76 SITE_PIPS SITEPROP SLICE_X5Y76 SITE_TYPE SLICEL SITEPROP SLICE_X5Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y77 CLASS site SITEPROP SLICE_X5Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y77 IS_BONDED 0 SITEPROP SLICE_X5Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y77 IS_PAD 0 SITEPROP SLICE_X5Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y77 IS_RESERVED 0 SITEPROP SLICE_X5Y77 IS_TEST 0 SITEPROP SLICE_X5Y77 IS_USED 0 SITEPROP SLICE_X5Y77 MANUAL_ROUTING SITEPROP SLICE_X5Y77 NAME SLICE_X5Y77 SITEPROP SLICE_X5Y77 NUM_ARCS 138 SITEPROP SLICE_X5Y77 NUM_BELS 32 SITEPROP SLICE_X5Y77 NUM_INPUTS 32 SITEPROP SLICE_X5Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y77 NUM_PINS 45 SITEPROP SLICE_X5Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y77 PROHIBIT 0 SITEPROP SLICE_X5Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y77 RPM_X 27 SITEPROP SLICE_X5Y77 RPM_Y 154 SITEPROP SLICE_X5Y77 SITE_PIPS SITEPROP SLICE_X5Y77 SITE_TYPE SLICEL SITEPROP SLICE_X5Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y78 CLASS site SITEPROP SLICE_X5Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y78 IS_BONDED 0 SITEPROP SLICE_X5Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y78 IS_PAD 0 SITEPROP SLICE_X5Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y78 IS_RESERVED 0 SITEPROP SLICE_X5Y78 IS_TEST 0 SITEPROP SLICE_X5Y78 IS_USED 0 SITEPROP SLICE_X5Y78 MANUAL_ROUTING SITEPROP SLICE_X5Y78 NAME SLICE_X5Y78 SITEPROP SLICE_X5Y78 NUM_ARCS 138 SITEPROP SLICE_X5Y78 NUM_BELS 32 SITEPROP SLICE_X5Y78 NUM_INPUTS 32 SITEPROP SLICE_X5Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y78 NUM_PINS 45 SITEPROP SLICE_X5Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y78 PROHIBIT 0 SITEPROP SLICE_X5Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y78 RPM_X 27 SITEPROP SLICE_X5Y78 RPM_Y 156 SITEPROP SLICE_X5Y78 SITE_PIPS SITEPROP SLICE_X5Y78 SITE_TYPE SLICEL SITEPROP SLICE_X5Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y79 CLASS site SITEPROP SLICE_X5Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y79 IS_BONDED 0 SITEPROP SLICE_X5Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y79 IS_PAD 0 SITEPROP SLICE_X5Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y79 IS_RESERVED 0 SITEPROP SLICE_X5Y79 IS_TEST 0 SITEPROP SLICE_X5Y79 IS_USED 0 SITEPROP SLICE_X5Y79 MANUAL_ROUTING SITEPROP SLICE_X5Y79 NAME SLICE_X5Y79 SITEPROP SLICE_X5Y79 NUM_ARCS 138 SITEPROP SLICE_X5Y79 NUM_BELS 32 SITEPROP SLICE_X5Y79 NUM_INPUTS 32 SITEPROP SLICE_X5Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y79 NUM_PINS 45 SITEPROP SLICE_X5Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y79 PROHIBIT 0 SITEPROP SLICE_X5Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y79 RPM_X 27 SITEPROP SLICE_X5Y79 RPM_Y 158 SITEPROP SLICE_X5Y79 SITE_PIPS SITEPROP SLICE_X5Y79 SITE_TYPE SLICEL SITEPROP SLICE_X5Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y80 CLASS site SITEPROP SLICE_X5Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y80 IS_BONDED 0 SITEPROP SLICE_X5Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y80 IS_PAD 0 SITEPROP SLICE_X5Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y80 IS_RESERVED 0 SITEPROP SLICE_X5Y80 IS_TEST 0 SITEPROP SLICE_X5Y80 IS_USED 0 SITEPROP SLICE_X5Y80 MANUAL_ROUTING SITEPROP SLICE_X5Y80 NAME SLICE_X5Y80 SITEPROP SLICE_X5Y80 NUM_ARCS 138 SITEPROP SLICE_X5Y80 NUM_BELS 32 SITEPROP SLICE_X5Y80 NUM_INPUTS 32 SITEPROP SLICE_X5Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y80 NUM_PINS 45 SITEPROP SLICE_X5Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y80 PROHIBIT 0 SITEPROP SLICE_X5Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y80 RPM_X 27 SITEPROP SLICE_X5Y80 RPM_Y 160 SITEPROP SLICE_X5Y80 SITE_PIPS SITEPROP SLICE_X5Y80 SITE_TYPE SLICEL SITEPROP SLICE_X5Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y81 CLASS site SITEPROP SLICE_X5Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y81 IS_BONDED 0 SITEPROP SLICE_X5Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y81 IS_PAD 0 SITEPROP SLICE_X5Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y81 IS_RESERVED 0 SITEPROP SLICE_X5Y81 IS_TEST 0 SITEPROP SLICE_X5Y81 IS_USED 0 SITEPROP SLICE_X5Y81 MANUAL_ROUTING SITEPROP SLICE_X5Y81 NAME SLICE_X5Y81 SITEPROP SLICE_X5Y81 NUM_ARCS 138 SITEPROP SLICE_X5Y81 NUM_BELS 32 SITEPROP SLICE_X5Y81 NUM_INPUTS 32 SITEPROP SLICE_X5Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y81 NUM_PINS 45 SITEPROP SLICE_X5Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y81 PROHIBIT 0 SITEPROP SLICE_X5Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y81 RPM_X 27 SITEPROP SLICE_X5Y81 RPM_Y 162 SITEPROP SLICE_X5Y81 SITE_PIPS SITEPROP SLICE_X5Y81 SITE_TYPE SLICEL SITEPROP SLICE_X5Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y82 CLASS site SITEPROP SLICE_X5Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y82 IS_BONDED 0 SITEPROP SLICE_X5Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y82 IS_PAD 0 SITEPROP SLICE_X5Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y82 IS_RESERVED 0 SITEPROP SLICE_X5Y82 IS_TEST 0 SITEPROP SLICE_X5Y82 IS_USED 0 SITEPROP SLICE_X5Y82 MANUAL_ROUTING SITEPROP SLICE_X5Y82 NAME SLICE_X5Y82 SITEPROP SLICE_X5Y82 NUM_ARCS 138 SITEPROP SLICE_X5Y82 NUM_BELS 32 SITEPROP SLICE_X5Y82 NUM_INPUTS 32 SITEPROP SLICE_X5Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y82 NUM_PINS 45 SITEPROP SLICE_X5Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y82 PROHIBIT 0 SITEPROP SLICE_X5Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y82 RPM_X 27 SITEPROP SLICE_X5Y82 RPM_Y 164 SITEPROP SLICE_X5Y82 SITE_PIPS SITEPROP SLICE_X5Y82 SITE_TYPE SLICEL SITEPROP SLICE_X5Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y83 CLASS site SITEPROP SLICE_X5Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y83 IS_BONDED 0 SITEPROP SLICE_X5Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y83 IS_PAD 0 SITEPROP SLICE_X5Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y83 IS_RESERVED 0 SITEPROP SLICE_X5Y83 IS_TEST 0 SITEPROP SLICE_X5Y83 IS_USED 0 SITEPROP SLICE_X5Y83 MANUAL_ROUTING SITEPROP SLICE_X5Y83 NAME SLICE_X5Y83 SITEPROP SLICE_X5Y83 NUM_ARCS 138 SITEPROP SLICE_X5Y83 NUM_BELS 32 SITEPROP SLICE_X5Y83 NUM_INPUTS 32 SITEPROP SLICE_X5Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y83 NUM_PINS 45 SITEPROP SLICE_X5Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y83 PROHIBIT 0 SITEPROP SLICE_X5Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y83 RPM_X 27 SITEPROP SLICE_X5Y83 RPM_Y 166 SITEPROP SLICE_X5Y83 SITE_PIPS SITEPROP SLICE_X5Y83 SITE_TYPE SLICEL SITEPROP SLICE_X5Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y84 CLASS site SITEPROP SLICE_X5Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y84 IS_BONDED 0 SITEPROP SLICE_X5Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y84 IS_PAD 0 SITEPROP SLICE_X5Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y84 IS_RESERVED 0 SITEPROP SLICE_X5Y84 IS_TEST 0 SITEPROP SLICE_X5Y84 IS_USED 0 SITEPROP SLICE_X5Y84 MANUAL_ROUTING SITEPROP SLICE_X5Y84 NAME SLICE_X5Y84 SITEPROP SLICE_X5Y84 NUM_ARCS 138 SITEPROP SLICE_X5Y84 NUM_BELS 32 SITEPROP SLICE_X5Y84 NUM_INPUTS 32 SITEPROP SLICE_X5Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y84 NUM_PINS 45 SITEPROP SLICE_X5Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y84 PROHIBIT 0 SITEPROP SLICE_X5Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y84 RPM_X 27 SITEPROP SLICE_X5Y84 RPM_Y 168 SITEPROP SLICE_X5Y84 SITE_PIPS SITEPROP SLICE_X5Y84 SITE_TYPE SLICEL SITEPROP SLICE_X5Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y85 CLASS site SITEPROP SLICE_X5Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y85 IS_BONDED 0 SITEPROP SLICE_X5Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y85 IS_PAD 0 SITEPROP SLICE_X5Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y85 IS_RESERVED 0 SITEPROP SLICE_X5Y85 IS_TEST 0 SITEPROP SLICE_X5Y85 IS_USED 0 SITEPROP SLICE_X5Y85 MANUAL_ROUTING SITEPROP SLICE_X5Y85 NAME SLICE_X5Y85 SITEPROP SLICE_X5Y85 NUM_ARCS 138 SITEPROP SLICE_X5Y85 NUM_BELS 32 SITEPROP SLICE_X5Y85 NUM_INPUTS 32 SITEPROP SLICE_X5Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y85 NUM_PINS 45 SITEPROP SLICE_X5Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y85 PROHIBIT 0 SITEPROP SLICE_X5Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y85 RPM_X 27 SITEPROP SLICE_X5Y85 RPM_Y 170 SITEPROP SLICE_X5Y85 SITE_PIPS SITEPROP SLICE_X5Y85 SITE_TYPE SLICEL SITEPROP SLICE_X5Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y86 CLASS site SITEPROP SLICE_X5Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y86 IS_BONDED 0 SITEPROP SLICE_X5Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y86 IS_PAD 0 SITEPROP SLICE_X5Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y86 IS_RESERVED 0 SITEPROP SLICE_X5Y86 IS_TEST 0 SITEPROP SLICE_X5Y86 IS_USED 0 SITEPROP SLICE_X5Y86 MANUAL_ROUTING SITEPROP SLICE_X5Y86 NAME SLICE_X5Y86 SITEPROP SLICE_X5Y86 NUM_ARCS 138 SITEPROP SLICE_X5Y86 NUM_BELS 32 SITEPROP SLICE_X5Y86 NUM_INPUTS 32 SITEPROP SLICE_X5Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y86 NUM_PINS 45 SITEPROP SLICE_X5Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y86 PROHIBIT 0 SITEPROP SLICE_X5Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y86 RPM_X 27 SITEPROP SLICE_X5Y86 RPM_Y 172 SITEPROP SLICE_X5Y86 SITE_PIPS SITEPROP SLICE_X5Y86 SITE_TYPE SLICEL SITEPROP SLICE_X5Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y87 CLASS site SITEPROP SLICE_X5Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y87 IS_BONDED 0 SITEPROP SLICE_X5Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y87 IS_PAD 0 SITEPROP SLICE_X5Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y87 IS_RESERVED 0 SITEPROP SLICE_X5Y87 IS_TEST 0 SITEPROP SLICE_X5Y87 IS_USED 0 SITEPROP SLICE_X5Y87 MANUAL_ROUTING SITEPROP SLICE_X5Y87 NAME SLICE_X5Y87 SITEPROP SLICE_X5Y87 NUM_ARCS 138 SITEPROP SLICE_X5Y87 NUM_BELS 32 SITEPROP SLICE_X5Y87 NUM_INPUTS 32 SITEPROP SLICE_X5Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y87 NUM_PINS 45 SITEPROP SLICE_X5Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y87 PROHIBIT 0 SITEPROP SLICE_X5Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y87 RPM_X 27 SITEPROP SLICE_X5Y87 RPM_Y 174 SITEPROP SLICE_X5Y87 SITE_PIPS SITEPROP SLICE_X5Y87 SITE_TYPE SLICEL SITEPROP SLICE_X5Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y88 CLASS site SITEPROP SLICE_X5Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y88 IS_BONDED 0 SITEPROP SLICE_X5Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y88 IS_PAD 0 SITEPROP SLICE_X5Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y88 IS_RESERVED 0 SITEPROP SLICE_X5Y88 IS_TEST 0 SITEPROP SLICE_X5Y88 IS_USED 0 SITEPROP SLICE_X5Y88 MANUAL_ROUTING SITEPROP SLICE_X5Y88 NAME SLICE_X5Y88 SITEPROP SLICE_X5Y88 NUM_ARCS 138 SITEPROP SLICE_X5Y88 NUM_BELS 32 SITEPROP SLICE_X5Y88 NUM_INPUTS 32 SITEPROP SLICE_X5Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y88 NUM_PINS 45 SITEPROP SLICE_X5Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y88 PROHIBIT 0 SITEPROP SLICE_X5Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y88 RPM_X 27 SITEPROP SLICE_X5Y88 RPM_Y 176 SITEPROP SLICE_X5Y88 SITE_PIPS SITEPROP SLICE_X5Y88 SITE_TYPE SLICEL SITEPROP SLICE_X5Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y89 CLASS site SITEPROP SLICE_X5Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y89 IS_BONDED 0 SITEPROP SLICE_X5Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y89 IS_PAD 0 SITEPROP SLICE_X5Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y89 IS_RESERVED 0 SITEPROP SLICE_X5Y89 IS_TEST 0 SITEPROP SLICE_X5Y89 IS_USED 0 SITEPROP SLICE_X5Y89 MANUAL_ROUTING SITEPROP SLICE_X5Y89 NAME SLICE_X5Y89 SITEPROP SLICE_X5Y89 NUM_ARCS 138 SITEPROP SLICE_X5Y89 NUM_BELS 32 SITEPROP SLICE_X5Y89 NUM_INPUTS 32 SITEPROP SLICE_X5Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y89 NUM_PINS 45 SITEPROP SLICE_X5Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y89 PROHIBIT 0 SITEPROP SLICE_X5Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y89 RPM_X 27 SITEPROP SLICE_X5Y89 RPM_Y 178 SITEPROP SLICE_X5Y89 SITE_PIPS SITEPROP SLICE_X5Y89 SITE_TYPE SLICEL SITEPROP SLICE_X5Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y90 CLASS site SITEPROP SLICE_X5Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y90 IS_BONDED 0 SITEPROP SLICE_X5Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y90 IS_PAD 0 SITEPROP SLICE_X5Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y90 IS_RESERVED 0 SITEPROP SLICE_X5Y90 IS_TEST 0 SITEPROP SLICE_X5Y90 IS_USED 0 SITEPROP SLICE_X5Y90 MANUAL_ROUTING SITEPROP SLICE_X5Y90 NAME SLICE_X5Y90 SITEPROP SLICE_X5Y90 NUM_ARCS 138 SITEPROP SLICE_X5Y90 NUM_BELS 32 SITEPROP SLICE_X5Y90 NUM_INPUTS 32 SITEPROP SLICE_X5Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y90 NUM_PINS 45 SITEPROP SLICE_X5Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y90 PROHIBIT 0 SITEPROP SLICE_X5Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y90 RPM_X 27 SITEPROP SLICE_X5Y90 RPM_Y 180 SITEPROP SLICE_X5Y90 SITE_PIPS SITEPROP SLICE_X5Y90 SITE_TYPE SLICEL SITEPROP SLICE_X5Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y91 CLASS site SITEPROP SLICE_X5Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y91 IS_BONDED 0 SITEPROP SLICE_X5Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y91 IS_PAD 0 SITEPROP SLICE_X5Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y91 IS_RESERVED 0 SITEPROP SLICE_X5Y91 IS_TEST 0 SITEPROP SLICE_X5Y91 IS_USED 0 SITEPROP SLICE_X5Y91 MANUAL_ROUTING SITEPROP SLICE_X5Y91 NAME SLICE_X5Y91 SITEPROP SLICE_X5Y91 NUM_ARCS 138 SITEPROP SLICE_X5Y91 NUM_BELS 32 SITEPROP SLICE_X5Y91 NUM_INPUTS 32 SITEPROP SLICE_X5Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y91 NUM_PINS 45 SITEPROP SLICE_X5Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y91 PROHIBIT 0 SITEPROP SLICE_X5Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y91 RPM_X 27 SITEPROP SLICE_X5Y91 RPM_Y 182 SITEPROP SLICE_X5Y91 SITE_PIPS SITEPROP SLICE_X5Y91 SITE_TYPE SLICEL SITEPROP SLICE_X5Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y92 CLASS site SITEPROP SLICE_X5Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y92 IS_BONDED 0 SITEPROP SLICE_X5Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y92 IS_PAD 0 SITEPROP SLICE_X5Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y92 IS_RESERVED 0 SITEPROP SLICE_X5Y92 IS_TEST 0 SITEPROP SLICE_X5Y92 IS_USED 0 SITEPROP SLICE_X5Y92 MANUAL_ROUTING SITEPROP SLICE_X5Y92 NAME SLICE_X5Y92 SITEPROP SLICE_X5Y92 NUM_ARCS 138 SITEPROP SLICE_X5Y92 NUM_BELS 32 SITEPROP SLICE_X5Y92 NUM_INPUTS 32 SITEPROP SLICE_X5Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y92 NUM_PINS 45 SITEPROP SLICE_X5Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y92 PROHIBIT 0 SITEPROP SLICE_X5Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y92 RPM_X 27 SITEPROP SLICE_X5Y92 RPM_Y 184 SITEPROP SLICE_X5Y92 SITE_PIPS SITEPROP SLICE_X5Y92 SITE_TYPE SLICEL SITEPROP SLICE_X5Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y93 CLASS site SITEPROP SLICE_X5Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y93 IS_BONDED 0 SITEPROP SLICE_X5Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y93 IS_PAD 0 SITEPROP SLICE_X5Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y93 IS_RESERVED 0 SITEPROP SLICE_X5Y93 IS_TEST 0 SITEPROP SLICE_X5Y93 IS_USED 0 SITEPROP SLICE_X5Y93 MANUAL_ROUTING SITEPROP SLICE_X5Y93 NAME SLICE_X5Y93 SITEPROP SLICE_X5Y93 NUM_ARCS 138 SITEPROP SLICE_X5Y93 NUM_BELS 32 SITEPROP SLICE_X5Y93 NUM_INPUTS 32 SITEPROP SLICE_X5Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y93 NUM_PINS 45 SITEPROP SLICE_X5Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y93 PROHIBIT 0 SITEPROP SLICE_X5Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y93 RPM_X 27 SITEPROP SLICE_X5Y93 RPM_Y 186 SITEPROP SLICE_X5Y93 SITE_PIPS SITEPROP SLICE_X5Y93 SITE_TYPE SLICEL SITEPROP SLICE_X5Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y94 CLASS site SITEPROP SLICE_X5Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y94 IS_BONDED 0 SITEPROP SLICE_X5Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y94 IS_PAD 0 SITEPROP SLICE_X5Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y94 IS_RESERVED 0 SITEPROP SLICE_X5Y94 IS_TEST 0 SITEPROP SLICE_X5Y94 IS_USED 0 SITEPROP SLICE_X5Y94 MANUAL_ROUTING SITEPROP SLICE_X5Y94 NAME SLICE_X5Y94 SITEPROP SLICE_X5Y94 NUM_ARCS 138 SITEPROP SLICE_X5Y94 NUM_BELS 32 SITEPROP SLICE_X5Y94 NUM_INPUTS 32 SITEPROP SLICE_X5Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y94 NUM_PINS 45 SITEPROP SLICE_X5Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y94 PROHIBIT 0 SITEPROP SLICE_X5Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y94 RPM_X 27 SITEPROP SLICE_X5Y94 RPM_Y 188 SITEPROP SLICE_X5Y94 SITE_PIPS SITEPROP SLICE_X5Y94 SITE_TYPE SLICEL SITEPROP SLICE_X5Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y95 CLASS site SITEPROP SLICE_X5Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y95 IS_BONDED 0 SITEPROP SLICE_X5Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y95 IS_PAD 0 SITEPROP SLICE_X5Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y95 IS_RESERVED 0 SITEPROP SLICE_X5Y95 IS_TEST 0 SITEPROP SLICE_X5Y95 IS_USED 0 SITEPROP SLICE_X5Y95 MANUAL_ROUTING SITEPROP SLICE_X5Y95 NAME SLICE_X5Y95 SITEPROP SLICE_X5Y95 NUM_ARCS 138 SITEPROP SLICE_X5Y95 NUM_BELS 32 SITEPROP SLICE_X5Y95 NUM_INPUTS 32 SITEPROP SLICE_X5Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y95 NUM_PINS 45 SITEPROP SLICE_X5Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y95 PROHIBIT 0 SITEPROP SLICE_X5Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y95 RPM_X 27 SITEPROP SLICE_X5Y95 RPM_Y 190 SITEPROP SLICE_X5Y95 SITE_PIPS SITEPROP SLICE_X5Y95 SITE_TYPE SLICEL SITEPROP SLICE_X5Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y96 CLASS site SITEPROP SLICE_X5Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y96 IS_BONDED 0 SITEPROP SLICE_X5Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y96 IS_PAD 0 SITEPROP SLICE_X5Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y96 IS_RESERVED 0 SITEPROP SLICE_X5Y96 IS_TEST 0 SITEPROP SLICE_X5Y96 IS_USED 0 SITEPROP SLICE_X5Y96 MANUAL_ROUTING SITEPROP SLICE_X5Y96 NAME SLICE_X5Y96 SITEPROP SLICE_X5Y96 NUM_ARCS 138 SITEPROP SLICE_X5Y96 NUM_BELS 32 SITEPROP SLICE_X5Y96 NUM_INPUTS 32 SITEPROP SLICE_X5Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y96 NUM_PINS 45 SITEPROP SLICE_X5Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y96 PROHIBIT 0 SITEPROP SLICE_X5Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y96 RPM_X 27 SITEPROP SLICE_X5Y96 RPM_Y 192 SITEPROP SLICE_X5Y96 SITE_PIPS SITEPROP SLICE_X5Y96 SITE_TYPE SLICEL SITEPROP SLICE_X5Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y97 CLASS site SITEPROP SLICE_X5Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y97 IS_BONDED 0 SITEPROP SLICE_X5Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y97 IS_PAD 0 SITEPROP SLICE_X5Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y97 IS_RESERVED 0 SITEPROP SLICE_X5Y97 IS_TEST 0 SITEPROP SLICE_X5Y97 IS_USED 0 SITEPROP SLICE_X5Y97 MANUAL_ROUTING SITEPROP SLICE_X5Y97 NAME SLICE_X5Y97 SITEPROP SLICE_X5Y97 NUM_ARCS 138 SITEPROP SLICE_X5Y97 NUM_BELS 32 SITEPROP SLICE_X5Y97 NUM_INPUTS 32 SITEPROP SLICE_X5Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y97 NUM_PINS 45 SITEPROP SLICE_X5Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y97 PROHIBIT 0 SITEPROP SLICE_X5Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y97 RPM_X 27 SITEPROP SLICE_X5Y97 RPM_Y 194 SITEPROP SLICE_X5Y97 SITE_PIPS SITEPROP SLICE_X5Y97 SITE_TYPE SLICEL SITEPROP SLICE_X5Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y98 CLASS site SITEPROP SLICE_X5Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y98 IS_BONDED 0 SITEPROP SLICE_X5Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y98 IS_PAD 0 SITEPROP SLICE_X5Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y98 IS_RESERVED 0 SITEPROP SLICE_X5Y98 IS_TEST 0 SITEPROP SLICE_X5Y98 IS_USED 0 SITEPROP SLICE_X5Y98 MANUAL_ROUTING SITEPROP SLICE_X5Y98 NAME SLICE_X5Y98 SITEPROP SLICE_X5Y98 NUM_ARCS 138 SITEPROP SLICE_X5Y98 NUM_BELS 32 SITEPROP SLICE_X5Y98 NUM_INPUTS 32 SITEPROP SLICE_X5Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y98 NUM_PINS 45 SITEPROP SLICE_X5Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y98 PROHIBIT 0 SITEPROP SLICE_X5Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y98 RPM_X 27 SITEPROP SLICE_X5Y98 RPM_Y 196 SITEPROP SLICE_X5Y98 SITE_PIPS SITEPROP SLICE_X5Y98 SITE_TYPE SLICEL SITEPROP SLICE_X5Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y99 CLASS site SITEPROP SLICE_X5Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X5Y99 IS_BONDED 0 SITEPROP SLICE_X5Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y99 IS_PAD 0 SITEPROP SLICE_X5Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y99 IS_RESERVED 0 SITEPROP SLICE_X5Y99 IS_TEST 0 SITEPROP SLICE_X5Y99 IS_USED 0 SITEPROP SLICE_X5Y99 MANUAL_ROUTING SITEPROP SLICE_X5Y99 NAME SLICE_X5Y99 SITEPROP SLICE_X5Y99 NUM_ARCS 138 SITEPROP SLICE_X5Y99 NUM_BELS 32 SITEPROP SLICE_X5Y99 NUM_INPUTS 32 SITEPROP SLICE_X5Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y99 NUM_PINS 45 SITEPROP SLICE_X5Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y99 PROHIBIT 0 SITEPROP SLICE_X5Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y99 RPM_X 27 SITEPROP SLICE_X5Y99 RPM_Y 198 SITEPROP SLICE_X5Y99 SITE_PIPS SITEPROP SLICE_X5Y99 SITE_TYPE SLICEL SITEPROP SLICE_X5Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y100 CLASS site SITEPROP SLICE_X5Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y100 IS_BONDED 0 SITEPROP SLICE_X5Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y100 IS_PAD 0 SITEPROP SLICE_X5Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y100 IS_RESERVED 0 SITEPROP SLICE_X5Y100 IS_TEST 0 SITEPROP SLICE_X5Y100 IS_USED 0 SITEPROP SLICE_X5Y100 MANUAL_ROUTING SITEPROP SLICE_X5Y100 NAME SLICE_X5Y100 SITEPROP SLICE_X5Y100 NUM_ARCS 138 SITEPROP SLICE_X5Y100 NUM_BELS 32 SITEPROP SLICE_X5Y100 NUM_INPUTS 32 SITEPROP SLICE_X5Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y100 NUM_PINS 45 SITEPROP SLICE_X5Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y100 PROHIBIT 0 SITEPROP SLICE_X5Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y100 RPM_X 27 SITEPROP SLICE_X5Y100 RPM_Y 200 SITEPROP SLICE_X5Y100 SITE_PIPS SITEPROP SLICE_X5Y100 SITE_TYPE SLICEL SITEPROP SLICE_X5Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y101 CLASS site SITEPROP SLICE_X5Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y101 IS_BONDED 0 SITEPROP SLICE_X5Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y101 IS_PAD 0 SITEPROP SLICE_X5Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y101 IS_RESERVED 0 SITEPROP SLICE_X5Y101 IS_TEST 0 SITEPROP SLICE_X5Y101 IS_USED 0 SITEPROP SLICE_X5Y101 MANUAL_ROUTING SITEPROP SLICE_X5Y101 NAME SLICE_X5Y101 SITEPROP SLICE_X5Y101 NUM_ARCS 138 SITEPROP SLICE_X5Y101 NUM_BELS 32 SITEPROP SLICE_X5Y101 NUM_INPUTS 32 SITEPROP SLICE_X5Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y101 NUM_PINS 45 SITEPROP SLICE_X5Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y101 PROHIBIT 0 SITEPROP SLICE_X5Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y101 RPM_X 27 SITEPROP SLICE_X5Y101 RPM_Y 202 SITEPROP SLICE_X5Y101 SITE_PIPS SITEPROP SLICE_X5Y101 SITE_TYPE SLICEL SITEPROP SLICE_X5Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y102 CLASS site SITEPROP SLICE_X5Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y102 IS_BONDED 0 SITEPROP SLICE_X5Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y102 IS_PAD 0 SITEPROP SLICE_X5Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y102 IS_RESERVED 0 SITEPROP SLICE_X5Y102 IS_TEST 0 SITEPROP SLICE_X5Y102 IS_USED 0 SITEPROP SLICE_X5Y102 MANUAL_ROUTING SITEPROP SLICE_X5Y102 NAME SLICE_X5Y102 SITEPROP SLICE_X5Y102 NUM_ARCS 138 SITEPROP SLICE_X5Y102 NUM_BELS 32 SITEPROP SLICE_X5Y102 NUM_INPUTS 32 SITEPROP SLICE_X5Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y102 NUM_PINS 45 SITEPROP SLICE_X5Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y102 PROHIBIT 0 SITEPROP SLICE_X5Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y102 RPM_X 27 SITEPROP SLICE_X5Y102 RPM_Y 204 SITEPROP SLICE_X5Y102 SITE_PIPS SITEPROP SLICE_X5Y102 SITE_TYPE SLICEL SITEPROP SLICE_X5Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y103 CLASS site SITEPROP SLICE_X5Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y103 IS_BONDED 0 SITEPROP SLICE_X5Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y103 IS_PAD 0 SITEPROP SLICE_X5Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y103 IS_RESERVED 0 SITEPROP SLICE_X5Y103 IS_TEST 0 SITEPROP SLICE_X5Y103 IS_USED 0 SITEPROP SLICE_X5Y103 MANUAL_ROUTING SITEPROP SLICE_X5Y103 NAME SLICE_X5Y103 SITEPROP SLICE_X5Y103 NUM_ARCS 138 SITEPROP SLICE_X5Y103 NUM_BELS 32 SITEPROP SLICE_X5Y103 NUM_INPUTS 32 SITEPROP SLICE_X5Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y103 NUM_PINS 45 SITEPROP SLICE_X5Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y103 PROHIBIT 0 SITEPROP SLICE_X5Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y103 RPM_X 27 SITEPROP SLICE_X5Y103 RPM_Y 206 SITEPROP SLICE_X5Y103 SITE_PIPS SITEPROP SLICE_X5Y103 SITE_TYPE SLICEL SITEPROP SLICE_X5Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y104 CLASS site SITEPROP SLICE_X5Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y104 IS_BONDED 0 SITEPROP SLICE_X5Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y104 IS_PAD 0 SITEPROP SLICE_X5Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y104 IS_RESERVED 0 SITEPROP SLICE_X5Y104 IS_TEST 0 SITEPROP SLICE_X5Y104 IS_USED 0 SITEPROP SLICE_X5Y104 MANUAL_ROUTING SITEPROP SLICE_X5Y104 NAME SLICE_X5Y104 SITEPROP SLICE_X5Y104 NUM_ARCS 138 SITEPROP SLICE_X5Y104 NUM_BELS 32 SITEPROP SLICE_X5Y104 NUM_INPUTS 32 SITEPROP SLICE_X5Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y104 NUM_PINS 45 SITEPROP SLICE_X5Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y104 PROHIBIT 0 SITEPROP SLICE_X5Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y104 RPM_X 27 SITEPROP SLICE_X5Y104 RPM_Y 208 SITEPROP SLICE_X5Y104 SITE_PIPS SITEPROP SLICE_X5Y104 SITE_TYPE SLICEL SITEPROP SLICE_X5Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y105 CLASS site SITEPROP SLICE_X5Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y105 IS_BONDED 0 SITEPROP SLICE_X5Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y105 IS_PAD 0 SITEPROP SLICE_X5Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y105 IS_RESERVED 0 SITEPROP SLICE_X5Y105 IS_TEST 0 SITEPROP SLICE_X5Y105 IS_USED 0 SITEPROP SLICE_X5Y105 MANUAL_ROUTING SITEPROP SLICE_X5Y105 NAME SLICE_X5Y105 SITEPROP SLICE_X5Y105 NUM_ARCS 138 SITEPROP SLICE_X5Y105 NUM_BELS 32 SITEPROP SLICE_X5Y105 NUM_INPUTS 32 SITEPROP SLICE_X5Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y105 NUM_PINS 45 SITEPROP SLICE_X5Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y105 PROHIBIT 0 SITEPROP SLICE_X5Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y105 RPM_X 27 SITEPROP SLICE_X5Y105 RPM_Y 210 SITEPROP SLICE_X5Y105 SITE_PIPS SITEPROP SLICE_X5Y105 SITE_TYPE SLICEL SITEPROP SLICE_X5Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y106 CLASS site SITEPROP SLICE_X5Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y106 IS_BONDED 0 SITEPROP SLICE_X5Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y106 IS_PAD 0 SITEPROP SLICE_X5Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y106 IS_RESERVED 0 SITEPROP SLICE_X5Y106 IS_TEST 0 SITEPROP SLICE_X5Y106 IS_USED 0 SITEPROP SLICE_X5Y106 MANUAL_ROUTING SITEPROP SLICE_X5Y106 NAME SLICE_X5Y106 SITEPROP SLICE_X5Y106 NUM_ARCS 138 SITEPROP SLICE_X5Y106 NUM_BELS 32 SITEPROP SLICE_X5Y106 NUM_INPUTS 32 SITEPROP SLICE_X5Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y106 NUM_PINS 45 SITEPROP SLICE_X5Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y106 PROHIBIT 0 SITEPROP SLICE_X5Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y106 RPM_X 27 SITEPROP SLICE_X5Y106 RPM_Y 212 SITEPROP SLICE_X5Y106 SITE_PIPS SITEPROP SLICE_X5Y106 SITE_TYPE SLICEL SITEPROP SLICE_X5Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y107 CLASS site SITEPROP SLICE_X5Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y107 IS_BONDED 0 SITEPROP SLICE_X5Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y107 IS_PAD 0 SITEPROP SLICE_X5Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y107 IS_RESERVED 0 SITEPROP SLICE_X5Y107 IS_TEST 0 SITEPROP SLICE_X5Y107 IS_USED 0 SITEPROP SLICE_X5Y107 MANUAL_ROUTING SITEPROP SLICE_X5Y107 NAME SLICE_X5Y107 SITEPROP SLICE_X5Y107 NUM_ARCS 138 SITEPROP SLICE_X5Y107 NUM_BELS 32 SITEPROP SLICE_X5Y107 NUM_INPUTS 32 SITEPROP SLICE_X5Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y107 NUM_PINS 45 SITEPROP SLICE_X5Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y107 PROHIBIT 0 SITEPROP SLICE_X5Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y107 RPM_X 27 SITEPROP SLICE_X5Y107 RPM_Y 214 SITEPROP SLICE_X5Y107 SITE_PIPS SITEPROP SLICE_X5Y107 SITE_TYPE SLICEL SITEPROP SLICE_X5Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y108 CLASS site SITEPROP SLICE_X5Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y108 IS_BONDED 0 SITEPROP SLICE_X5Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y108 IS_PAD 0 SITEPROP SLICE_X5Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y108 IS_RESERVED 0 SITEPROP SLICE_X5Y108 IS_TEST 0 SITEPROP SLICE_X5Y108 IS_USED 0 SITEPROP SLICE_X5Y108 MANUAL_ROUTING SITEPROP SLICE_X5Y108 NAME SLICE_X5Y108 SITEPROP SLICE_X5Y108 NUM_ARCS 138 SITEPROP SLICE_X5Y108 NUM_BELS 32 SITEPROP SLICE_X5Y108 NUM_INPUTS 32 SITEPROP SLICE_X5Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y108 NUM_PINS 45 SITEPROP SLICE_X5Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y108 PROHIBIT 0 SITEPROP SLICE_X5Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y108 RPM_X 27 SITEPROP SLICE_X5Y108 RPM_Y 216 SITEPROP SLICE_X5Y108 SITE_PIPS SITEPROP SLICE_X5Y108 SITE_TYPE SLICEL SITEPROP SLICE_X5Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y109 CLASS site SITEPROP SLICE_X5Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y109 IS_BONDED 0 SITEPROP SLICE_X5Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y109 IS_PAD 0 SITEPROP SLICE_X5Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y109 IS_RESERVED 0 SITEPROP SLICE_X5Y109 IS_TEST 0 SITEPROP SLICE_X5Y109 IS_USED 0 SITEPROP SLICE_X5Y109 MANUAL_ROUTING SITEPROP SLICE_X5Y109 NAME SLICE_X5Y109 SITEPROP SLICE_X5Y109 NUM_ARCS 138 SITEPROP SLICE_X5Y109 NUM_BELS 32 SITEPROP SLICE_X5Y109 NUM_INPUTS 32 SITEPROP SLICE_X5Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y109 NUM_PINS 45 SITEPROP SLICE_X5Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y109 PROHIBIT 0 SITEPROP SLICE_X5Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y109 RPM_X 27 SITEPROP SLICE_X5Y109 RPM_Y 218 SITEPROP SLICE_X5Y109 SITE_PIPS SITEPROP SLICE_X5Y109 SITE_TYPE SLICEL SITEPROP SLICE_X5Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y110 CLASS site SITEPROP SLICE_X5Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y110 IS_BONDED 0 SITEPROP SLICE_X5Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y110 IS_PAD 0 SITEPROP SLICE_X5Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y110 IS_RESERVED 0 SITEPROP SLICE_X5Y110 IS_TEST 0 SITEPROP SLICE_X5Y110 IS_USED 0 SITEPROP SLICE_X5Y110 MANUAL_ROUTING SITEPROP SLICE_X5Y110 NAME SLICE_X5Y110 SITEPROP SLICE_X5Y110 NUM_ARCS 138 SITEPROP SLICE_X5Y110 NUM_BELS 32 SITEPROP SLICE_X5Y110 NUM_INPUTS 32 SITEPROP SLICE_X5Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y110 NUM_PINS 45 SITEPROP SLICE_X5Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y110 PROHIBIT 0 SITEPROP SLICE_X5Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y110 RPM_X 27 SITEPROP SLICE_X5Y110 RPM_Y 220 SITEPROP SLICE_X5Y110 SITE_PIPS SITEPROP SLICE_X5Y110 SITE_TYPE SLICEL SITEPROP SLICE_X5Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y111 CLASS site SITEPROP SLICE_X5Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y111 IS_BONDED 0 SITEPROP SLICE_X5Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y111 IS_PAD 0 SITEPROP SLICE_X5Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y111 IS_RESERVED 0 SITEPROP SLICE_X5Y111 IS_TEST 0 SITEPROP SLICE_X5Y111 IS_USED 0 SITEPROP SLICE_X5Y111 MANUAL_ROUTING SITEPROP SLICE_X5Y111 NAME SLICE_X5Y111 SITEPROP SLICE_X5Y111 NUM_ARCS 138 SITEPROP SLICE_X5Y111 NUM_BELS 32 SITEPROP SLICE_X5Y111 NUM_INPUTS 32 SITEPROP SLICE_X5Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y111 NUM_PINS 45 SITEPROP SLICE_X5Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y111 PROHIBIT 0 SITEPROP SLICE_X5Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y111 RPM_X 27 SITEPROP SLICE_X5Y111 RPM_Y 222 SITEPROP SLICE_X5Y111 SITE_PIPS SITEPROP SLICE_X5Y111 SITE_TYPE SLICEL SITEPROP SLICE_X5Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y112 CLASS site SITEPROP SLICE_X5Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y112 IS_BONDED 0 SITEPROP SLICE_X5Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y112 IS_PAD 0 SITEPROP SLICE_X5Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y112 IS_RESERVED 0 SITEPROP SLICE_X5Y112 IS_TEST 0 SITEPROP SLICE_X5Y112 IS_USED 0 SITEPROP SLICE_X5Y112 MANUAL_ROUTING SITEPROP SLICE_X5Y112 NAME SLICE_X5Y112 SITEPROP SLICE_X5Y112 NUM_ARCS 138 SITEPROP SLICE_X5Y112 NUM_BELS 32 SITEPROP SLICE_X5Y112 NUM_INPUTS 32 SITEPROP SLICE_X5Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y112 NUM_PINS 45 SITEPROP SLICE_X5Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y112 PROHIBIT 0 SITEPROP SLICE_X5Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y112 RPM_X 27 SITEPROP SLICE_X5Y112 RPM_Y 224 SITEPROP SLICE_X5Y112 SITE_PIPS SITEPROP SLICE_X5Y112 SITE_TYPE SLICEL SITEPROP SLICE_X5Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y113 CLASS site SITEPROP SLICE_X5Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y113 IS_BONDED 0 SITEPROP SLICE_X5Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y113 IS_PAD 0 SITEPROP SLICE_X5Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y113 IS_RESERVED 0 SITEPROP SLICE_X5Y113 IS_TEST 0 SITEPROP SLICE_X5Y113 IS_USED 0 SITEPROP SLICE_X5Y113 MANUAL_ROUTING SITEPROP SLICE_X5Y113 NAME SLICE_X5Y113 SITEPROP SLICE_X5Y113 NUM_ARCS 138 SITEPROP SLICE_X5Y113 NUM_BELS 32 SITEPROP SLICE_X5Y113 NUM_INPUTS 32 SITEPROP SLICE_X5Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y113 NUM_PINS 45 SITEPROP SLICE_X5Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y113 PROHIBIT 0 SITEPROP SLICE_X5Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y113 RPM_X 27 SITEPROP SLICE_X5Y113 RPM_Y 226 SITEPROP SLICE_X5Y113 SITE_PIPS SITEPROP SLICE_X5Y113 SITE_TYPE SLICEL SITEPROP SLICE_X5Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y114 CLASS site SITEPROP SLICE_X5Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y114 IS_BONDED 0 SITEPROP SLICE_X5Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y114 IS_PAD 0 SITEPROP SLICE_X5Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y114 IS_RESERVED 0 SITEPROP SLICE_X5Y114 IS_TEST 0 SITEPROP SLICE_X5Y114 IS_USED 0 SITEPROP SLICE_X5Y114 MANUAL_ROUTING SITEPROP SLICE_X5Y114 NAME SLICE_X5Y114 SITEPROP SLICE_X5Y114 NUM_ARCS 138 SITEPROP SLICE_X5Y114 NUM_BELS 32 SITEPROP SLICE_X5Y114 NUM_INPUTS 32 SITEPROP SLICE_X5Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y114 NUM_PINS 45 SITEPROP SLICE_X5Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y114 PROHIBIT 0 SITEPROP SLICE_X5Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y114 RPM_X 27 SITEPROP SLICE_X5Y114 RPM_Y 228 SITEPROP SLICE_X5Y114 SITE_PIPS SITEPROP SLICE_X5Y114 SITE_TYPE SLICEL SITEPROP SLICE_X5Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y115 CLASS site SITEPROP SLICE_X5Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y115 IS_BONDED 0 SITEPROP SLICE_X5Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y115 IS_PAD 0 SITEPROP SLICE_X5Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y115 IS_RESERVED 0 SITEPROP SLICE_X5Y115 IS_TEST 0 SITEPROP SLICE_X5Y115 IS_USED 0 SITEPROP SLICE_X5Y115 MANUAL_ROUTING SITEPROP SLICE_X5Y115 NAME SLICE_X5Y115 SITEPROP SLICE_X5Y115 NUM_ARCS 138 SITEPROP SLICE_X5Y115 NUM_BELS 32 SITEPROP SLICE_X5Y115 NUM_INPUTS 32 SITEPROP SLICE_X5Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y115 NUM_PINS 45 SITEPROP SLICE_X5Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y115 PROHIBIT 0 SITEPROP SLICE_X5Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y115 RPM_X 27 SITEPROP SLICE_X5Y115 RPM_Y 230 SITEPROP SLICE_X5Y115 SITE_PIPS SITEPROP SLICE_X5Y115 SITE_TYPE SLICEL SITEPROP SLICE_X5Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y116 CLASS site SITEPROP SLICE_X5Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y116 IS_BONDED 0 SITEPROP SLICE_X5Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y116 IS_PAD 0 SITEPROP SLICE_X5Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y116 IS_RESERVED 0 SITEPROP SLICE_X5Y116 IS_TEST 0 SITEPROP SLICE_X5Y116 IS_USED 0 SITEPROP SLICE_X5Y116 MANUAL_ROUTING SITEPROP SLICE_X5Y116 NAME SLICE_X5Y116 SITEPROP SLICE_X5Y116 NUM_ARCS 138 SITEPROP SLICE_X5Y116 NUM_BELS 32 SITEPROP SLICE_X5Y116 NUM_INPUTS 32 SITEPROP SLICE_X5Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y116 NUM_PINS 45 SITEPROP SLICE_X5Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y116 PROHIBIT 0 SITEPROP SLICE_X5Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y116 RPM_X 27 SITEPROP SLICE_X5Y116 RPM_Y 232 SITEPROP SLICE_X5Y116 SITE_PIPS SITEPROP SLICE_X5Y116 SITE_TYPE SLICEL SITEPROP SLICE_X5Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y117 CLASS site SITEPROP SLICE_X5Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y117 IS_BONDED 0 SITEPROP SLICE_X5Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y117 IS_PAD 0 SITEPROP SLICE_X5Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y117 IS_RESERVED 0 SITEPROP SLICE_X5Y117 IS_TEST 0 SITEPROP SLICE_X5Y117 IS_USED 0 SITEPROP SLICE_X5Y117 MANUAL_ROUTING SITEPROP SLICE_X5Y117 NAME SLICE_X5Y117 SITEPROP SLICE_X5Y117 NUM_ARCS 138 SITEPROP SLICE_X5Y117 NUM_BELS 32 SITEPROP SLICE_X5Y117 NUM_INPUTS 32 SITEPROP SLICE_X5Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y117 NUM_PINS 45 SITEPROP SLICE_X5Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y117 PROHIBIT 0 SITEPROP SLICE_X5Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y117 RPM_X 27 SITEPROP SLICE_X5Y117 RPM_Y 234 SITEPROP SLICE_X5Y117 SITE_PIPS SITEPROP SLICE_X5Y117 SITE_TYPE SLICEL SITEPROP SLICE_X5Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y118 CLASS site SITEPROP SLICE_X5Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y118 IS_BONDED 0 SITEPROP SLICE_X5Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y118 IS_PAD 0 SITEPROP SLICE_X5Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y118 IS_RESERVED 0 SITEPROP SLICE_X5Y118 IS_TEST 0 SITEPROP SLICE_X5Y118 IS_USED 0 SITEPROP SLICE_X5Y118 MANUAL_ROUTING SITEPROP SLICE_X5Y118 NAME SLICE_X5Y118 SITEPROP SLICE_X5Y118 NUM_ARCS 138 SITEPROP SLICE_X5Y118 NUM_BELS 32 SITEPROP SLICE_X5Y118 NUM_INPUTS 32 SITEPROP SLICE_X5Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y118 NUM_PINS 45 SITEPROP SLICE_X5Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y118 PROHIBIT 0 SITEPROP SLICE_X5Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y118 RPM_X 27 SITEPROP SLICE_X5Y118 RPM_Y 236 SITEPROP SLICE_X5Y118 SITE_PIPS SITEPROP SLICE_X5Y118 SITE_TYPE SLICEL SITEPROP SLICE_X5Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y119 CLASS site SITEPROP SLICE_X5Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y119 IS_BONDED 0 SITEPROP SLICE_X5Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y119 IS_PAD 0 SITEPROP SLICE_X5Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y119 IS_RESERVED 0 SITEPROP SLICE_X5Y119 IS_TEST 0 SITEPROP SLICE_X5Y119 IS_USED 0 SITEPROP SLICE_X5Y119 MANUAL_ROUTING SITEPROP SLICE_X5Y119 NAME SLICE_X5Y119 SITEPROP SLICE_X5Y119 NUM_ARCS 138 SITEPROP SLICE_X5Y119 NUM_BELS 32 SITEPROP SLICE_X5Y119 NUM_INPUTS 32 SITEPROP SLICE_X5Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y119 NUM_PINS 45 SITEPROP SLICE_X5Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y119 PROHIBIT 0 SITEPROP SLICE_X5Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y119 RPM_X 27 SITEPROP SLICE_X5Y119 RPM_Y 238 SITEPROP SLICE_X5Y119 SITE_PIPS SITEPROP SLICE_X5Y119 SITE_TYPE SLICEL SITEPROP SLICE_X5Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y120 CLASS site SITEPROP SLICE_X5Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y120 IS_BONDED 0 SITEPROP SLICE_X5Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y120 IS_PAD 0 SITEPROP SLICE_X5Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y120 IS_RESERVED 0 SITEPROP SLICE_X5Y120 IS_TEST 0 SITEPROP SLICE_X5Y120 IS_USED 0 SITEPROP SLICE_X5Y120 MANUAL_ROUTING SITEPROP SLICE_X5Y120 NAME SLICE_X5Y120 SITEPROP SLICE_X5Y120 NUM_ARCS 138 SITEPROP SLICE_X5Y120 NUM_BELS 32 SITEPROP SLICE_X5Y120 NUM_INPUTS 32 SITEPROP SLICE_X5Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y120 NUM_PINS 45 SITEPROP SLICE_X5Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y120 PROHIBIT 0 SITEPROP SLICE_X5Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y120 RPM_X 27 SITEPROP SLICE_X5Y120 RPM_Y 240 SITEPROP SLICE_X5Y120 SITE_PIPS SITEPROP SLICE_X5Y120 SITE_TYPE SLICEL SITEPROP SLICE_X5Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y121 CLASS site SITEPROP SLICE_X5Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y121 IS_BONDED 0 SITEPROP SLICE_X5Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y121 IS_PAD 0 SITEPROP SLICE_X5Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y121 IS_RESERVED 0 SITEPROP SLICE_X5Y121 IS_TEST 0 SITEPROP SLICE_X5Y121 IS_USED 0 SITEPROP SLICE_X5Y121 MANUAL_ROUTING SITEPROP SLICE_X5Y121 NAME SLICE_X5Y121 SITEPROP SLICE_X5Y121 NUM_ARCS 138 SITEPROP SLICE_X5Y121 NUM_BELS 32 SITEPROP SLICE_X5Y121 NUM_INPUTS 32 SITEPROP SLICE_X5Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y121 NUM_PINS 45 SITEPROP SLICE_X5Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y121 PROHIBIT 0 SITEPROP SLICE_X5Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y121 RPM_X 27 SITEPROP SLICE_X5Y121 RPM_Y 242 SITEPROP SLICE_X5Y121 SITE_PIPS SITEPROP SLICE_X5Y121 SITE_TYPE SLICEL SITEPROP SLICE_X5Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y122 CLASS site SITEPROP SLICE_X5Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y122 IS_BONDED 0 SITEPROP SLICE_X5Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y122 IS_PAD 0 SITEPROP SLICE_X5Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y122 IS_RESERVED 0 SITEPROP SLICE_X5Y122 IS_TEST 0 SITEPROP SLICE_X5Y122 IS_USED 0 SITEPROP SLICE_X5Y122 MANUAL_ROUTING SITEPROP SLICE_X5Y122 NAME SLICE_X5Y122 SITEPROP SLICE_X5Y122 NUM_ARCS 138 SITEPROP SLICE_X5Y122 NUM_BELS 32 SITEPROP SLICE_X5Y122 NUM_INPUTS 32 SITEPROP SLICE_X5Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y122 NUM_PINS 45 SITEPROP SLICE_X5Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y122 PROHIBIT 0 SITEPROP SLICE_X5Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y122 RPM_X 27 SITEPROP SLICE_X5Y122 RPM_Y 244 SITEPROP SLICE_X5Y122 SITE_PIPS SITEPROP SLICE_X5Y122 SITE_TYPE SLICEL SITEPROP SLICE_X5Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y123 CLASS site SITEPROP SLICE_X5Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y123 IS_BONDED 0 SITEPROP SLICE_X5Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y123 IS_PAD 0 SITEPROP SLICE_X5Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y123 IS_RESERVED 0 SITEPROP SLICE_X5Y123 IS_TEST 0 SITEPROP SLICE_X5Y123 IS_USED 0 SITEPROP SLICE_X5Y123 MANUAL_ROUTING SITEPROP SLICE_X5Y123 NAME SLICE_X5Y123 SITEPROP SLICE_X5Y123 NUM_ARCS 138 SITEPROP SLICE_X5Y123 NUM_BELS 32 SITEPROP SLICE_X5Y123 NUM_INPUTS 32 SITEPROP SLICE_X5Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y123 NUM_PINS 45 SITEPROP SLICE_X5Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y123 PROHIBIT 0 SITEPROP SLICE_X5Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y123 RPM_X 27 SITEPROP SLICE_X5Y123 RPM_Y 246 SITEPROP SLICE_X5Y123 SITE_PIPS SITEPROP SLICE_X5Y123 SITE_TYPE SLICEL SITEPROP SLICE_X5Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y124 CLASS site SITEPROP SLICE_X5Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y124 IS_BONDED 0 SITEPROP SLICE_X5Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y124 IS_PAD 0 SITEPROP SLICE_X5Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y124 IS_RESERVED 0 SITEPROP SLICE_X5Y124 IS_TEST 0 SITEPROP SLICE_X5Y124 IS_USED 0 SITEPROP SLICE_X5Y124 MANUAL_ROUTING SITEPROP SLICE_X5Y124 NAME SLICE_X5Y124 SITEPROP SLICE_X5Y124 NUM_ARCS 138 SITEPROP SLICE_X5Y124 NUM_BELS 32 SITEPROP SLICE_X5Y124 NUM_INPUTS 32 SITEPROP SLICE_X5Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y124 NUM_PINS 45 SITEPROP SLICE_X5Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y124 PROHIBIT 0 SITEPROP SLICE_X5Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y124 RPM_X 27 SITEPROP SLICE_X5Y124 RPM_Y 248 SITEPROP SLICE_X5Y124 SITE_PIPS SITEPROP SLICE_X5Y124 SITE_TYPE SLICEL SITEPROP SLICE_X5Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y125 CLASS site SITEPROP SLICE_X5Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y125 IS_BONDED 0 SITEPROP SLICE_X5Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y125 IS_PAD 0 SITEPROP SLICE_X5Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y125 IS_RESERVED 0 SITEPROP SLICE_X5Y125 IS_TEST 0 SITEPROP SLICE_X5Y125 IS_USED 0 SITEPROP SLICE_X5Y125 MANUAL_ROUTING SITEPROP SLICE_X5Y125 NAME SLICE_X5Y125 SITEPROP SLICE_X5Y125 NUM_ARCS 138 SITEPROP SLICE_X5Y125 NUM_BELS 32 SITEPROP SLICE_X5Y125 NUM_INPUTS 32 SITEPROP SLICE_X5Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y125 NUM_PINS 45 SITEPROP SLICE_X5Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y125 PROHIBIT 0 SITEPROP SLICE_X5Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y125 RPM_X 27 SITEPROP SLICE_X5Y125 RPM_Y 250 SITEPROP SLICE_X5Y125 SITE_PIPS SITEPROP SLICE_X5Y125 SITE_TYPE SLICEL SITEPROP SLICE_X5Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y126 CLASS site SITEPROP SLICE_X5Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y126 IS_BONDED 0 SITEPROP SLICE_X5Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y126 IS_PAD 0 SITEPROP SLICE_X5Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y126 IS_RESERVED 0 SITEPROP SLICE_X5Y126 IS_TEST 0 SITEPROP SLICE_X5Y126 IS_USED 0 SITEPROP SLICE_X5Y126 MANUAL_ROUTING SITEPROP SLICE_X5Y126 NAME SLICE_X5Y126 SITEPROP SLICE_X5Y126 NUM_ARCS 138 SITEPROP SLICE_X5Y126 NUM_BELS 32 SITEPROP SLICE_X5Y126 NUM_INPUTS 32 SITEPROP SLICE_X5Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y126 NUM_PINS 45 SITEPROP SLICE_X5Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y126 PROHIBIT 0 SITEPROP SLICE_X5Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y126 RPM_X 27 SITEPROP SLICE_X5Y126 RPM_Y 252 SITEPROP SLICE_X5Y126 SITE_PIPS SITEPROP SLICE_X5Y126 SITE_TYPE SLICEL SITEPROP SLICE_X5Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y127 CLASS site SITEPROP SLICE_X5Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y127 IS_BONDED 0 SITEPROP SLICE_X5Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y127 IS_PAD 0 SITEPROP SLICE_X5Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y127 IS_RESERVED 0 SITEPROP SLICE_X5Y127 IS_TEST 0 SITEPROP SLICE_X5Y127 IS_USED 0 SITEPROP SLICE_X5Y127 MANUAL_ROUTING SITEPROP SLICE_X5Y127 NAME SLICE_X5Y127 SITEPROP SLICE_X5Y127 NUM_ARCS 138 SITEPROP SLICE_X5Y127 NUM_BELS 32 SITEPROP SLICE_X5Y127 NUM_INPUTS 32 SITEPROP SLICE_X5Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y127 NUM_PINS 45 SITEPROP SLICE_X5Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y127 PROHIBIT 0 SITEPROP SLICE_X5Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y127 RPM_X 27 SITEPROP SLICE_X5Y127 RPM_Y 254 SITEPROP SLICE_X5Y127 SITE_PIPS SITEPROP SLICE_X5Y127 SITE_TYPE SLICEL SITEPROP SLICE_X5Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y128 CLASS site SITEPROP SLICE_X5Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y128 IS_BONDED 0 SITEPROP SLICE_X5Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y128 IS_PAD 0 SITEPROP SLICE_X5Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y128 IS_RESERVED 0 SITEPROP SLICE_X5Y128 IS_TEST 0 SITEPROP SLICE_X5Y128 IS_USED 0 SITEPROP SLICE_X5Y128 MANUAL_ROUTING SITEPROP SLICE_X5Y128 NAME SLICE_X5Y128 SITEPROP SLICE_X5Y128 NUM_ARCS 138 SITEPROP SLICE_X5Y128 NUM_BELS 32 SITEPROP SLICE_X5Y128 NUM_INPUTS 32 SITEPROP SLICE_X5Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y128 NUM_PINS 45 SITEPROP SLICE_X5Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y128 PROHIBIT 0 SITEPROP SLICE_X5Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y128 RPM_X 27 SITEPROP SLICE_X5Y128 RPM_Y 256 SITEPROP SLICE_X5Y128 SITE_PIPS SITEPROP SLICE_X5Y128 SITE_TYPE SLICEL SITEPROP SLICE_X5Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y129 CLASS site SITEPROP SLICE_X5Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y129 IS_BONDED 0 SITEPROP SLICE_X5Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y129 IS_PAD 0 SITEPROP SLICE_X5Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y129 IS_RESERVED 0 SITEPROP SLICE_X5Y129 IS_TEST 0 SITEPROP SLICE_X5Y129 IS_USED 0 SITEPROP SLICE_X5Y129 MANUAL_ROUTING SITEPROP SLICE_X5Y129 NAME SLICE_X5Y129 SITEPROP SLICE_X5Y129 NUM_ARCS 138 SITEPROP SLICE_X5Y129 NUM_BELS 32 SITEPROP SLICE_X5Y129 NUM_INPUTS 32 SITEPROP SLICE_X5Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y129 NUM_PINS 45 SITEPROP SLICE_X5Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y129 PROHIBIT 0 SITEPROP SLICE_X5Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y129 RPM_X 27 SITEPROP SLICE_X5Y129 RPM_Y 258 SITEPROP SLICE_X5Y129 SITE_PIPS SITEPROP SLICE_X5Y129 SITE_TYPE SLICEL SITEPROP SLICE_X5Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y130 CLASS site SITEPROP SLICE_X5Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y130 IS_BONDED 0 SITEPROP SLICE_X5Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y130 IS_PAD 0 SITEPROP SLICE_X5Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y130 IS_RESERVED 0 SITEPROP SLICE_X5Y130 IS_TEST 0 SITEPROP SLICE_X5Y130 IS_USED 0 SITEPROP SLICE_X5Y130 MANUAL_ROUTING SITEPROP SLICE_X5Y130 NAME SLICE_X5Y130 SITEPROP SLICE_X5Y130 NUM_ARCS 138 SITEPROP SLICE_X5Y130 NUM_BELS 32 SITEPROP SLICE_X5Y130 NUM_INPUTS 32 SITEPROP SLICE_X5Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y130 NUM_PINS 45 SITEPROP SLICE_X5Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y130 PROHIBIT 0 SITEPROP SLICE_X5Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y130 RPM_X 27 SITEPROP SLICE_X5Y130 RPM_Y 260 SITEPROP SLICE_X5Y130 SITE_PIPS SITEPROP SLICE_X5Y130 SITE_TYPE SLICEL SITEPROP SLICE_X5Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y131 CLASS site SITEPROP SLICE_X5Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y131 IS_BONDED 0 SITEPROP SLICE_X5Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y131 IS_PAD 0 SITEPROP SLICE_X5Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y131 IS_RESERVED 0 SITEPROP SLICE_X5Y131 IS_TEST 0 SITEPROP SLICE_X5Y131 IS_USED 0 SITEPROP SLICE_X5Y131 MANUAL_ROUTING SITEPROP SLICE_X5Y131 NAME SLICE_X5Y131 SITEPROP SLICE_X5Y131 NUM_ARCS 138 SITEPROP SLICE_X5Y131 NUM_BELS 32 SITEPROP SLICE_X5Y131 NUM_INPUTS 32 SITEPROP SLICE_X5Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y131 NUM_PINS 45 SITEPROP SLICE_X5Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y131 PROHIBIT 0 SITEPROP SLICE_X5Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y131 RPM_X 27 SITEPROP SLICE_X5Y131 RPM_Y 262 SITEPROP SLICE_X5Y131 SITE_PIPS SITEPROP SLICE_X5Y131 SITE_TYPE SLICEL SITEPROP SLICE_X5Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y132 CLASS site SITEPROP SLICE_X5Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y132 IS_BONDED 0 SITEPROP SLICE_X5Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y132 IS_PAD 0 SITEPROP SLICE_X5Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y132 IS_RESERVED 0 SITEPROP SLICE_X5Y132 IS_TEST 0 SITEPROP SLICE_X5Y132 IS_USED 0 SITEPROP SLICE_X5Y132 MANUAL_ROUTING SITEPROP SLICE_X5Y132 NAME SLICE_X5Y132 SITEPROP SLICE_X5Y132 NUM_ARCS 138 SITEPROP SLICE_X5Y132 NUM_BELS 32 SITEPROP SLICE_X5Y132 NUM_INPUTS 32 SITEPROP SLICE_X5Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y132 NUM_PINS 45 SITEPROP SLICE_X5Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y132 PROHIBIT 0 SITEPROP SLICE_X5Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y132 RPM_X 27 SITEPROP SLICE_X5Y132 RPM_Y 264 SITEPROP SLICE_X5Y132 SITE_PIPS SITEPROP SLICE_X5Y132 SITE_TYPE SLICEL SITEPROP SLICE_X5Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y133 CLASS site SITEPROP SLICE_X5Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y133 IS_BONDED 0 SITEPROP SLICE_X5Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y133 IS_PAD 0 SITEPROP SLICE_X5Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y133 IS_RESERVED 0 SITEPROP SLICE_X5Y133 IS_TEST 0 SITEPROP SLICE_X5Y133 IS_USED 0 SITEPROP SLICE_X5Y133 MANUAL_ROUTING SITEPROP SLICE_X5Y133 NAME SLICE_X5Y133 SITEPROP SLICE_X5Y133 NUM_ARCS 138 SITEPROP SLICE_X5Y133 NUM_BELS 32 SITEPROP SLICE_X5Y133 NUM_INPUTS 32 SITEPROP SLICE_X5Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y133 NUM_PINS 45 SITEPROP SLICE_X5Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y133 PROHIBIT 0 SITEPROP SLICE_X5Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y133 RPM_X 27 SITEPROP SLICE_X5Y133 RPM_Y 266 SITEPROP SLICE_X5Y133 SITE_PIPS SITEPROP SLICE_X5Y133 SITE_TYPE SLICEL SITEPROP SLICE_X5Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y134 CLASS site SITEPROP SLICE_X5Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y134 IS_BONDED 0 SITEPROP SLICE_X5Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y134 IS_PAD 0 SITEPROP SLICE_X5Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y134 IS_RESERVED 0 SITEPROP SLICE_X5Y134 IS_TEST 0 SITEPROP SLICE_X5Y134 IS_USED 0 SITEPROP SLICE_X5Y134 MANUAL_ROUTING SITEPROP SLICE_X5Y134 NAME SLICE_X5Y134 SITEPROP SLICE_X5Y134 NUM_ARCS 138 SITEPROP SLICE_X5Y134 NUM_BELS 32 SITEPROP SLICE_X5Y134 NUM_INPUTS 32 SITEPROP SLICE_X5Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y134 NUM_PINS 45 SITEPROP SLICE_X5Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y134 PROHIBIT 0 SITEPROP SLICE_X5Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y134 RPM_X 27 SITEPROP SLICE_X5Y134 RPM_Y 268 SITEPROP SLICE_X5Y134 SITE_PIPS SITEPROP SLICE_X5Y134 SITE_TYPE SLICEL SITEPROP SLICE_X5Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y135 CLASS site SITEPROP SLICE_X5Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y135 IS_BONDED 0 SITEPROP SLICE_X5Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y135 IS_PAD 0 SITEPROP SLICE_X5Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y135 IS_RESERVED 0 SITEPROP SLICE_X5Y135 IS_TEST 0 SITEPROP SLICE_X5Y135 IS_USED 0 SITEPROP SLICE_X5Y135 MANUAL_ROUTING SITEPROP SLICE_X5Y135 NAME SLICE_X5Y135 SITEPROP SLICE_X5Y135 NUM_ARCS 138 SITEPROP SLICE_X5Y135 NUM_BELS 32 SITEPROP SLICE_X5Y135 NUM_INPUTS 32 SITEPROP SLICE_X5Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y135 NUM_PINS 45 SITEPROP SLICE_X5Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y135 PROHIBIT 0 SITEPROP SLICE_X5Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y135 RPM_X 27 SITEPROP SLICE_X5Y135 RPM_Y 270 SITEPROP SLICE_X5Y135 SITE_PIPS SITEPROP SLICE_X5Y135 SITE_TYPE SLICEL SITEPROP SLICE_X5Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y136 CLASS site SITEPROP SLICE_X5Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y136 IS_BONDED 0 SITEPROP SLICE_X5Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y136 IS_PAD 0 SITEPROP SLICE_X5Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y136 IS_RESERVED 0 SITEPROP SLICE_X5Y136 IS_TEST 0 SITEPROP SLICE_X5Y136 IS_USED 0 SITEPROP SLICE_X5Y136 MANUAL_ROUTING SITEPROP SLICE_X5Y136 NAME SLICE_X5Y136 SITEPROP SLICE_X5Y136 NUM_ARCS 138 SITEPROP SLICE_X5Y136 NUM_BELS 32 SITEPROP SLICE_X5Y136 NUM_INPUTS 32 SITEPROP SLICE_X5Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y136 NUM_PINS 45 SITEPROP SLICE_X5Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y136 PROHIBIT 0 SITEPROP SLICE_X5Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y136 RPM_X 27 SITEPROP SLICE_X5Y136 RPM_Y 272 SITEPROP SLICE_X5Y136 SITE_PIPS SITEPROP SLICE_X5Y136 SITE_TYPE SLICEL SITEPROP SLICE_X5Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y137 CLASS site SITEPROP SLICE_X5Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y137 IS_BONDED 0 SITEPROP SLICE_X5Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y137 IS_PAD 0 SITEPROP SLICE_X5Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y137 IS_RESERVED 0 SITEPROP SLICE_X5Y137 IS_TEST 0 SITEPROP SLICE_X5Y137 IS_USED 0 SITEPROP SLICE_X5Y137 MANUAL_ROUTING SITEPROP SLICE_X5Y137 NAME SLICE_X5Y137 SITEPROP SLICE_X5Y137 NUM_ARCS 138 SITEPROP SLICE_X5Y137 NUM_BELS 32 SITEPROP SLICE_X5Y137 NUM_INPUTS 32 SITEPROP SLICE_X5Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y137 NUM_PINS 45 SITEPROP SLICE_X5Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y137 PROHIBIT 0 SITEPROP SLICE_X5Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y137 RPM_X 27 SITEPROP SLICE_X5Y137 RPM_Y 274 SITEPROP SLICE_X5Y137 SITE_PIPS SITEPROP SLICE_X5Y137 SITE_TYPE SLICEL SITEPROP SLICE_X5Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y138 CLASS site SITEPROP SLICE_X5Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y138 IS_BONDED 0 SITEPROP SLICE_X5Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y138 IS_PAD 0 SITEPROP SLICE_X5Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y138 IS_RESERVED 0 SITEPROP SLICE_X5Y138 IS_TEST 0 SITEPROP SLICE_X5Y138 IS_USED 0 SITEPROP SLICE_X5Y138 MANUAL_ROUTING SITEPROP SLICE_X5Y138 NAME SLICE_X5Y138 SITEPROP SLICE_X5Y138 NUM_ARCS 138 SITEPROP SLICE_X5Y138 NUM_BELS 32 SITEPROP SLICE_X5Y138 NUM_INPUTS 32 SITEPROP SLICE_X5Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y138 NUM_PINS 45 SITEPROP SLICE_X5Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y138 PROHIBIT 0 SITEPROP SLICE_X5Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y138 RPM_X 27 SITEPROP SLICE_X5Y138 RPM_Y 276 SITEPROP SLICE_X5Y138 SITE_PIPS SITEPROP SLICE_X5Y138 SITE_TYPE SLICEL SITEPROP SLICE_X5Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y139 CLASS site SITEPROP SLICE_X5Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y139 IS_BONDED 0 SITEPROP SLICE_X5Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y139 IS_PAD 0 SITEPROP SLICE_X5Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y139 IS_RESERVED 0 SITEPROP SLICE_X5Y139 IS_TEST 0 SITEPROP SLICE_X5Y139 IS_USED 0 SITEPROP SLICE_X5Y139 MANUAL_ROUTING SITEPROP SLICE_X5Y139 NAME SLICE_X5Y139 SITEPROP SLICE_X5Y139 NUM_ARCS 138 SITEPROP SLICE_X5Y139 NUM_BELS 32 SITEPROP SLICE_X5Y139 NUM_INPUTS 32 SITEPROP SLICE_X5Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y139 NUM_PINS 45 SITEPROP SLICE_X5Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y139 PROHIBIT 0 SITEPROP SLICE_X5Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y139 RPM_X 27 SITEPROP SLICE_X5Y139 RPM_Y 278 SITEPROP SLICE_X5Y139 SITE_PIPS SITEPROP SLICE_X5Y139 SITE_TYPE SLICEL SITEPROP SLICE_X5Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y140 CLASS site SITEPROP SLICE_X5Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y140 IS_BONDED 0 SITEPROP SLICE_X5Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y140 IS_PAD 0 SITEPROP SLICE_X5Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y140 IS_RESERVED 0 SITEPROP SLICE_X5Y140 IS_TEST 0 SITEPROP SLICE_X5Y140 IS_USED 0 SITEPROP SLICE_X5Y140 MANUAL_ROUTING SITEPROP SLICE_X5Y140 NAME SLICE_X5Y140 SITEPROP SLICE_X5Y140 NUM_ARCS 138 SITEPROP SLICE_X5Y140 NUM_BELS 32 SITEPROP SLICE_X5Y140 NUM_INPUTS 32 SITEPROP SLICE_X5Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y140 NUM_PINS 45 SITEPROP SLICE_X5Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y140 PROHIBIT 0 SITEPROP SLICE_X5Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y140 RPM_X 27 SITEPROP SLICE_X5Y140 RPM_Y 280 SITEPROP SLICE_X5Y140 SITE_PIPS SITEPROP SLICE_X5Y140 SITE_TYPE SLICEL SITEPROP SLICE_X5Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y141 CLASS site SITEPROP SLICE_X5Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y141 IS_BONDED 0 SITEPROP SLICE_X5Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y141 IS_PAD 0 SITEPROP SLICE_X5Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y141 IS_RESERVED 0 SITEPROP SLICE_X5Y141 IS_TEST 0 SITEPROP SLICE_X5Y141 IS_USED 0 SITEPROP SLICE_X5Y141 MANUAL_ROUTING SITEPROP SLICE_X5Y141 NAME SLICE_X5Y141 SITEPROP SLICE_X5Y141 NUM_ARCS 138 SITEPROP SLICE_X5Y141 NUM_BELS 32 SITEPROP SLICE_X5Y141 NUM_INPUTS 32 SITEPROP SLICE_X5Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y141 NUM_PINS 45 SITEPROP SLICE_X5Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y141 PROHIBIT 0 SITEPROP SLICE_X5Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y141 RPM_X 27 SITEPROP SLICE_X5Y141 RPM_Y 282 SITEPROP SLICE_X5Y141 SITE_PIPS SITEPROP SLICE_X5Y141 SITE_TYPE SLICEL SITEPROP SLICE_X5Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y142 CLASS site SITEPROP SLICE_X5Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y142 IS_BONDED 0 SITEPROP SLICE_X5Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y142 IS_PAD 0 SITEPROP SLICE_X5Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y142 IS_RESERVED 0 SITEPROP SLICE_X5Y142 IS_TEST 0 SITEPROP SLICE_X5Y142 IS_USED 0 SITEPROP SLICE_X5Y142 MANUAL_ROUTING SITEPROP SLICE_X5Y142 NAME SLICE_X5Y142 SITEPROP SLICE_X5Y142 NUM_ARCS 138 SITEPROP SLICE_X5Y142 NUM_BELS 32 SITEPROP SLICE_X5Y142 NUM_INPUTS 32 SITEPROP SLICE_X5Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y142 NUM_PINS 45 SITEPROP SLICE_X5Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y142 PROHIBIT 0 SITEPROP SLICE_X5Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y142 RPM_X 27 SITEPROP SLICE_X5Y142 RPM_Y 284 SITEPROP SLICE_X5Y142 SITE_PIPS SITEPROP SLICE_X5Y142 SITE_TYPE SLICEL SITEPROP SLICE_X5Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y143 CLASS site SITEPROP SLICE_X5Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y143 IS_BONDED 0 SITEPROP SLICE_X5Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y143 IS_PAD 0 SITEPROP SLICE_X5Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y143 IS_RESERVED 0 SITEPROP SLICE_X5Y143 IS_TEST 0 SITEPROP SLICE_X5Y143 IS_USED 0 SITEPROP SLICE_X5Y143 MANUAL_ROUTING SITEPROP SLICE_X5Y143 NAME SLICE_X5Y143 SITEPROP SLICE_X5Y143 NUM_ARCS 138 SITEPROP SLICE_X5Y143 NUM_BELS 32 SITEPROP SLICE_X5Y143 NUM_INPUTS 32 SITEPROP SLICE_X5Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y143 NUM_PINS 45 SITEPROP SLICE_X5Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y143 PROHIBIT 0 SITEPROP SLICE_X5Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y143 RPM_X 27 SITEPROP SLICE_X5Y143 RPM_Y 286 SITEPROP SLICE_X5Y143 SITE_PIPS SITEPROP SLICE_X5Y143 SITE_TYPE SLICEL SITEPROP SLICE_X5Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y144 CLASS site SITEPROP SLICE_X5Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y144 IS_BONDED 0 SITEPROP SLICE_X5Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y144 IS_PAD 0 SITEPROP SLICE_X5Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y144 IS_RESERVED 0 SITEPROP SLICE_X5Y144 IS_TEST 0 SITEPROP SLICE_X5Y144 IS_USED 0 SITEPROP SLICE_X5Y144 MANUAL_ROUTING SITEPROP SLICE_X5Y144 NAME SLICE_X5Y144 SITEPROP SLICE_X5Y144 NUM_ARCS 138 SITEPROP SLICE_X5Y144 NUM_BELS 32 SITEPROP SLICE_X5Y144 NUM_INPUTS 32 SITEPROP SLICE_X5Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y144 NUM_PINS 45 SITEPROP SLICE_X5Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y144 PROHIBIT 0 SITEPROP SLICE_X5Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y144 RPM_X 27 SITEPROP SLICE_X5Y144 RPM_Y 288 SITEPROP SLICE_X5Y144 SITE_PIPS SITEPROP SLICE_X5Y144 SITE_TYPE SLICEL SITEPROP SLICE_X5Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y145 CLASS site SITEPROP SLICE_X5Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y145 IS_BONDED 0 SITEPROP SLICE_X5Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y145 IS_PAD 0 SITEPROP SLICE_X5Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y145 IS_RESERVED 0 SITEPROP SLICE_X5Y145 IS_TEST 0 SITEPROP SLICE_X5Y145 IS_USED 0 SITEPROP SLICE_X5Y145 MANUAL_ROUTING SITEPROP SLICE_X5Y145 NAME SLICE_X5Y145 SITEPROP SLICE_X5Y145 NUM_ARCS 138 SITEPROP SLICE_X5Y145 NUM_BELS 32 SITEPROP SLICE_X5Y145 NUM_INPUTS 32 SITEPROP SLICE_X5Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y145 NUM_PINS 45 SITEPROP SLICE_X5Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y145 PROHIBIT 0 SITEPROP SLICE_X5Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y145 RPM_X 27 SITEPROP SLICE_X5Y145 RPM_Y 290 SITEPROP SLICE_X5Y145 SITE_PIPS SITEPROP SLICE_X5Y145 SITE_TYPE SLICEL SITEPROP SLICE_X5Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y146 CLASS site SITEPROP SLICE_X5Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y146 IS_BONDED 0 SITEPROP SLICE_X5Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y146 IS_PAD 0 SITEPROP SLICE_X5Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y146 IS_RESERVED 0 SITEPROP SLICE_X5Y146 IS_TEST 0 SITEPROP SLICE_X5Y146 IS_USED 0 SITEPROP SLICE_X5Y146 MANUAL_ROUTING SITEPROP SLICE_X5Y146 NAME SLICE_X5Y146 SITEPROP SLICE_X5Y146 NUM_ARCS 138 SITEPROP SLICE_X5Y146 NUM_BELS 32 SITEPROP SLICE_X5Y146 NUM_INPUTS 32 SITEPROP SLICE_X5Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y146 NUM_PINS 45 SITEPROP SLICE_X5Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y146 PROHIBIT 0 SITEPROP SLICE_X5Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y146 RPM_X 27 SITEPROP SLICE_X5Y146 RPM_Y 292 SITEPROP SLICE_X5Y146 SITE_PIPS SITEPROP SLICE_X5Y146 SITE_TYPE SLICEL SITEPROP SLICE_X5Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y147 CLASS site SITEPROP SLICE_X5Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y147 IS_BONDED 0 SITEPROP SLICE_X5Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y147 IS_PAD 0 SITEPROP SLICE_X5Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y147 IS_RESERVED 0 SITEPROP SLICE_X5Y147 IS_TEST 0 SITEPROP SLICE_X5Y147 IS_USED 0 SITEPROP SLICE_X5Y147 MANUAL_ROUTING SITEPROP SLICE_X5Y147 NAME SLICE_X5Y147 SITEPROP SLICE_X5Y147 NUM_ARCS 138 SITEPROP SLICE_X5Y147 NUM_BELS 32 SITEPROP SLICE_X5Y147 NUM_INPUTS 32 SITEPROP SLICE_X5Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y147 NUM_PINS 45 SITEPROP SLICE_X5Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y147 PROHIBIT 0 SITEPROP SLICE_X5Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y147 RPM_X 27 SITEPROP SLICE_X5Y147 RPM_Y 294 SITEPROP SLICE_X5Y147 SITE_PIPS SITEPROP SLICE_X5Y147 SITE_TYPE SLICEL SITEPROP SLICE_X5Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y148 CLASS site SITEPROP SLICE_X5Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y148 IS_BONDED 0 SITEPROP SLICE_X5Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y148 IS_PAD 0 SITEPROP SLICE_X5Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y148 IS_RESERVED 0 SITEPROP SLICE_X5Y148 IS_TEST 0 SITEPROP SLICE_X5Y148 IS_USED 0 SITEPROP SLICE_X5Y148 MANUAL_ROUTING SITEPROP SLICE_X5Y148 NAME SLICE_X5Y148 SITEPROP SLICE_X5Y148 NUM_ARCS 138 SITEPROP SLICE_X5Y148 NUM_BELS 32 SITEPROP SLICE_X5Y148 NUM_INPUTS 32 SITEPROP SLICE_X5Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y148 NUM_PINS 45 SITEPROP SLICE_X5Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y148 PROHIBIT 0 SITEPROP SLICE_X5Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y148 RPM_X 27 SITEPROP SLICE_X5Y148 RPM_Y 296 SITEPROP SLICE_X5Y148 SITE_PIPS SITEPROP SLICE_X5Y148 SITE_TYPE SLICEL SITEPROP SLICE_X5Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X5Y149 CLASS site SITEPROP SLICE_X5Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X5Y149 IS_BONDED 0 SITEPROP SLICE_X5Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X5Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y149 IS_PAD 0 SITEPROP SLICE_X5Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X5Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X5Y149 IS_RESERVED 0 SITEPROP SLICE_X5Y149 IS_TEST 0 SITEPROP SLICE_X5Y149 IS_USED 0 SITEPROP SLICE_X5Y149 MANUAL_ROUTING SITEPROP SLICE_X5Y149 NAME SLICE_X5Y149 SITEPROP SLICE_X5Y149 NUM_ARCS 138 SITEPROP SLICE_X5Y149 NUM_BELS 32 SITEPROP SLICE_X5Y149 NUM_INPUTS 32 SITEPROP SLICE_X5Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X5Y149 NUM_PINS 45 SITEPROP SLICE_X5Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X5Y149 PROHIBIT 0 SITEPROP SLICE_X5Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X5Y149 RPM_X 27 SITEPROP SLICE_X5Y149 RPM_Y 298 SITEPROP SLICE_X5Y149 SITE_PIPS SITEPROP SLICE_X5Y149 SITE_TYPE SLICEL SITEPROP SLICE_X60Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y0 CLASS site SITEPROP SLICE_X60Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y0 IS_BONDED 0 SITEPROP SLICE_X60Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y0 IS_PAD 0 SITEPROP SLICE_X60Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y0 IS_RESERVED 0 SITEPROP SLICE_X60Y0 IS_TEST 0 SITEPROP SLICE_X60Y0 IS_USED 0 SITEPROP SLICE_X60Y0 MANUAL_ROUTING SITEPROP SLICE_X60Y0 NAME SLICE_X60Y0 SITEPROP SLICE_X60Y0 NUM_ARCS 153 SITEPROP SLICE_X60Y0 NUM_BELS 32 SITEPROP SLICE_X60Y0 NUM_INPUTS 37 SITEPROP SLICE_X60Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y0 NUM_PINS 50 SITEPROP SLICE_X60Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y0 PROHIBIT 0 SITEPROP SLICE_X60Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y0 RPM_X 161 SITEPROP SLICE_X60Y0 RPM_Y 0 SITEPROP SLICE_X60Y0 SITE_PIPS SITEPROP SLICE_X60Y0 SITE_TYPE SLICEM SITEPROP SLICE_X60Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y1 CLASS site SITEPROP SLICE_X60Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y1 IS_BONDED 0 SITEPROP SLICE_X60Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y1 IS_PAD 0 SITEPROP SLICE_X60Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y1 IS_RESERVED 0 SITEPROP SLICE_X60Y1 IS_TEST 0 SITEPROP SLICE_X60Y1 IS_USED 0 SITEPROP SLICE_X60Y1 MANUAL_ROUTING SITEPROP SLICE_X60Y1 NAME SLICE_X60Y1 SITEPROP SLICE_X60Y1 NUM_ARCS 153 SITEPROP SLICE_X60Y1 NUM_BELS 32 SITEPROP SLICE_X60Y1 NUM_INPUTS 37 SITEPROP SLICE_X60Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y1 NUM_PINS 50 SITEPROP SLICE_X60Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y1 PROHIBIT 0 SITEPROP SLICE_X60Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y1 RPM_X 161 SITEPROP SLICE_X60Y1 RPM_Y 2 SITEPROP SLICE_X60Y1 SITE_PIPS SITEPROP SLICE_X60Y1 SITE_TYPE SLICEM SITEPROP SLICE_X60Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y2 CLASS site SITEPROP SLICE_X60Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y2 IS_BONDED 0 SITEPROP SLICE_X60Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y2 IS_PAD 0 SITEPROP SLICE_X60Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y2 IS_RESERVED 0 SITEPROP SLICE_X60Y2 IS_TEST 0 SITEPROP SLICE_X60Y2 IS_USED 0 SITEPROP SLICE_X60Y2 MANUAL_ROUTING SITEPROP SLICE_X60Y2 NAME SLICE_X60Y2 SITEPROP SLICE_X60Y2 NUM_ARCS 153 SITEPROP SLICE_X60Y2 NUM_BELS 32 SITEPROP SLICE_X60Y2 NUM_INPUTS 37 SITEPROP SLICE_X60Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y2 NUM_PINS 50 SITEPROP SLICE_X60Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y2 PROHIBIT 0 SITEPROP SLICE_X60Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y2 RPM_X 161 SITEPROP SLICE_X60Y2 RPM_Y 4 SITEPROP SLICE_X60Y2 SITE_PIPS SITEPROP SLICE_X60Y2 SITE_TYPE SLICEM SITEPROP SLICE_X60Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y3 CLASS site SITEPROP SLICE_X60Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y3 IS_BONDED 0 SITEPROP SLICE_X60Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y3 IS_PAD 0 SITEPROP SLICE_X60Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y3 IS_RESERVED 0 SITEPROP SLICE_X60Y3 IS_TEST 0 SITEPROP SLICE_X60Y3 IS_USED 0 SITEPROP SLICE_X60Y3 MANUAL_ROUTING SITEPROP SLICE_X60Y3 NAME SLICE_X60Y3 SITEPROP SLICE_X60Y3 NUM_ARCS 153 SITEPROP SLICE_X60Y3 NUM_BELS 32 SITEPROP SLICE_X60Y3 NUM_INPUTS 37 SITEPROP SLICE_X60Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y3 NUM_PINS 50 SITEPROP SLICE_X60Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y3 PROHIBIT 0 SITEPROP SLICE_X60Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y3 RPM_X 161 SITEPROP SLICE_X60Y3 RPM_Y 6 SITEPROP SLICE_X60Y3 SITE_PIPS SITEPROP SLICE_X60Y3 SITE_TYPE SLICEM SITEPROP SLICE_X60Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y4 CLASS site SITEPROP SLICE_X60Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y4 IS_BONDED 0 SITEPROP SLICE_X60Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y4 IS_PAD 0 SITEPROP SLICE_X60Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y4 IS_RESERVED 0 SITEPROP SLICE_X60Y4 IS_TEST 0 SITEPROP SLICE_X60Y4 IS_USED 0 SITEPROP SLICE_X60Y4 MANUAL_ROUTING SITEPROP SLICE_X60Y4 NAME SLICE_X60Y4 SITEPROP SLICE_X60Y4 NUM_ARCS 153 SITEPROP SLICE_X60Y4 NUM_BELS 32 SITEPROP SLICE_X60Y4 NUM_INPUTS 37 SITEPROP SLICE_X60Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y4 NUM_PINS 50 SITEPROP SLICE_X60Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y4 PROHIBIT 0 SITEPROP SLICE_X60Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y4 RPM_X 161 SITEPROP SLICE_X60Y4 RPM_Y 8 SITEPROP SLICE_X60Y4 SITE_PIPS SITEPROP SLICE_X60Y4 SITE_TYPE SLICEM SITEPROP SLICE_X60Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y5 CLASS site SITEPROP SLICE_X60Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y5 IS_BONDED 0 SITEPROP SLICE_X60Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y5 IS_PAD 0 SITEPROP SLICE_X60Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y5 IS_RESERVED 0 SITEPROP SLICE_X60Y5 IS_TEST 0 SITEPROP SLICE_X60Y5 IS_USED 0 SITEPROP SLICE_X60Y5 MANUAL_ROUTING SITEPROP SLICE_X60Y5 NAME SLICE_X60Y5 SITEPROP SLICE_X60Y5 NUM_ARCS 153 SITEPROP SLICE_X60Y5 NUM_BELS 32 SITEPROP SLICE_X60Y5 NUM_INPUTS 37 SITEPROP SLICE_X60Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y5 NUM_PINS 50 SITEPROP SLICE_X60Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y5 PROHIBIT 0 SITEPROP SLICE_X60Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y5 RPM_X 161 SITEPROP SLICE_X60Y5 RPM_Y 10 SITEPROP SLICE_X60Y5 SITE_PIPS SITEPROP SLICE_X60Y5 SITE_TYPE SLICEM SITEPROP SLICE_X60Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y6 CLASS site SITEPROP SLICE_X60Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y6 IS_BONDED 0 SITEPROP SLICE_X60Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y6 IS_PAD 0 SITEPROP SLICE_X60Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y6 IS_RESERVED 0 SITEPROP SLICE_X60Y6 IS_TEST 0 SITEPROP SLICE_X60Y6 IS_USED 0 SITEPROP SLICE_X60Y6 MANUAL_ROUTING SITEPROP SLICE_X60Y6 NAME SLICE_X60Y6 SITEPROP SLICE_X60Y6 NUM_ARCS 153 SITEPROP SLICE_X60Y6 NUM_BELS 32 SITEPROP SLICE_X60Y6 NUM_INPUTS 37 SITEPROP SLICE_X60Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y6 NUM_PINS 50 SITEPROP SLICE_X60Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y6 PROHIBIT 0 SITEPROP SLICE_X60Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y6 RPM_X 161 SITEPROP SLICE_X60Y6 RPM_Y 12 SITEPROP SLICE_X60Y6 SITE_PIPS SITEPROP SLICE_X60Y6 SITE_TYPE SLICEM SITEPROP SLICE_X60Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y7 CLASS site SITEPROP SLICE_X60Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y7 IS_BONDED 0 SITEPROP SLICE_X60Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y7 IS_PAD 0 SITEPROP SLICE_X60Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y7 IS_RESERVED 0 SITEPROP SLICE_X60Y7 IS_TEST 0 SITEPROP SLICE_X60Y7 IS_USED 0 SITEPROP SLICE_X60Y7 MANUAL_ROUTING SITEPROP SLICE_X60Y7 NAME SLICE_X60Y7 SITEPROP SLICE_X60Y7 NUM_ARCS 153 SITEPROP SLICE_X60Y7 NUM_BELS 32 SITEPROP SLICE_X60Y7 NUM_INPUTS 37 SITEPROP SLICE_X60Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y7 NUM_PINS 50 SITEPROP SLICE_X60Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y7 PROHIBIT 0 SITEPROP SLICE_X60Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y7 RPM_X 161 SITEPROP SLICE_X60Y7 RPM_Y 14 SITEPROP SLICE_X60Y7 SITE_PIPS SITEPROP SLICE_X60Y7 SITE_TYPE SLICEM SITEPROP SLICE_X60Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y8 CLASS site SITEPROP SLICE_X60Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y8 IS_BONDED 0 SITEPROP SLICE_X60Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y8 IS_PAD 0 SITEPROP SLICE_X60Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y8 IS_RESERVED 0 SITEPROP SLICE_X60Y8 IS_TEST 0 SITEPROP SLICE_X60Y8 IS_USED 0 SITEPROP SLICE_X60Y8 MANUAL_ROUTING SITEPROP SLICE_X60Y8 NAME SLICE_X60Y8 SITEPROP SLICE_X60Y8 NUM_ARCS 153 SITEPROP SLICE_X60Y8 NUM_BELS 32 SITEPROP SLICE_X60Y8 NUM_INPUTS 37 SITEPROP SLICE_X60Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y8 NUM_PINS 50 SITEPROP SLICE_X60Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y8 PROHIBIT 0 SITEPROP SLICE_X60Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y8 RPM_X 161 SITEPROP SLICE_X60Y8 RPM_Y 16 SITEPROP SLICE_X60Y8 SITE_PIPS SITEPROP SLICE_X60Y8 SITE_TYPE SLICEM SITEPROP SLICE_X60Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y9 CLASS site SITEPROP SLICE_X60Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y9 IS_BONDED 0 SITEPROP SLICE_X60Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y9 IS_PAD 0 SITEPROP SLICE_X60Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y9 IS_RESERVED 0 SITEPROP SLICE_X60Y9 IS_TEST 0 SITEPROP SLICE_X60Y9 IS_USED 0 SITEPROP SLICE_X60Y9 MANUAL_ROUTING SITEPROP SLICE_X60Y9 NAME SLICE_X60Y9 SITEPROP SLICE_X60Y9 NUM_ARCS 153 SITEPROP SLICE_X60Y9 NUM_BELS 32 SITEPROP SLICE_X60Y9 NUM_INPUTS 37 SITEPROP SLICE_X60Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y9 NUM_PINS 50 SITEPROP SLICE_X60Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y9 PROHIBIT 0 SITEPROP SLICE_X60Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y9 RPM_X 161 SITEPROP SLICE_X60Y9 RPM_Y 18 SITEPROP SLICE_X60Y9 SITE_PIPS SITEPROP SLICE_X60Y9 SITE_TYPE SLICEM SITEPROP SLICE_X60Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y10 CLASS site SITEPROP SLICE_X60Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y10 IS_BONDED 0 SITEPROP SLICE_X60Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y10 IS_PAD 0 SITEPROP SLICE_X60Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y10 IS_RESERVED 0 SITEPROP SLICE_X60Y10 IS_TEST 0 SITEPROP SLICE_X60Y10 IS_USED 0 SITEPROP SLICE_X60Y10 MANUAL_ROUTING SITEPROP SLICE_X60Y10 NAME SLICE_X60Y10 SITEPROP SLICE_X60Y10 NUM_ARCS 153 SITEPROP SLICE_X60Y10 NUM_BELS 32 SITEPROP SLICE_X60Y10 NUM_INPUTS 37 SITEPROP SLICE_X60Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y10 NUM_PINS 50 SITEPROP SLICE_X60Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y10 PROHIBIT 0 SITEPROP SLICE_X60Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y10 RPM_X 161 SITEPROP SLICE_X60Y10 RPM_Y 20 SITEPROP SLICE_X60Y10 SITE_PIPS SITEPROP SLICE_X60Y10 SITE_TYPE SLICEM SITEPROP SLICE_X60Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y11 CLASS site SITEPROP SLICE_X60Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y11 IS_BONDED 0 SITEPROP SLICE_X60Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y11 IS_PAD 0 SITEPROP SLICE_X60Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y11 IS_RESERVED 0 SITEPROP SLICE_X60Y11 IS_TEST 0 SITEPROP SLICE_X60Y11 IS_USED 0 SITEPROP SLICE_X60Y11 MANUAL_ROUTING SITEPROP SLICE_X60Y11 NAME SLICE_X60Y11 SITEPROP SLICE_X60Y11 NUM_ARCS 153 SITEPROP SLICE_X60Y11 NUM_BELS 32 SITEPROP SLICE_X60Y11 NUM_INPUTS 37 SITEPROP SLICE_X60Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y11 NUM_PINS 50 SITEPROP SLICE_X60Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y11 PROHIBIT 0 SITEPROP SLICE_X60Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y11 RPM_X 161 SITEPROP SLICE_X60Y11 RPM_Y 22 SITEPROP SLICE_X60Y11 SITE_PIPS SITEPROP SLICE_X60Y11 SITE_TYPE SLICEM SITEPROP SLICE_X60Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y12 CLASS site SITEPROP SLICE_X60Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y12 IS_BONDED 0 SITEPROP SLICE_X60Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y12 IS_PAD 0 SITEPROP SLICE_X60Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y12 IS_RESERVED 0 SITEPROP SLICE_X60Y12 IS_TEST 0 SITEPROP SLICE_X60Y12 IS_USED 0 SITEPROP SLICE_X60Y12 MANUAL_ROUTING SITEPROP SLICE_X60Y12 NAME SLICE_X60Y12 SITEPROP SLICE_X60Y12 NUM_ARCS 153 SITEPROP SLICE_X60Y12 NUM_BELS 32 SITEPROP SLICE_X60Y12 NUM_INPUTS 37 SITEPROP SLICE_X60Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y12 NUM_PINS 50 SITEPROP SLICE_X60Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y12 PROHIBIT 0 SITEPROP SLICE_X60Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y12 RPM_X 161 SITEPROP SLICE_X60Y12 RPM_Y 24 SITEPROP SLICE_X60Y12 SITE_PIPS SITEPROP SLICE_X60Y12 SITE_TYPE SLICEM SITEPROP SLICE_X60Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y13 CLASS site SITEPROP SLICE_X60Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y13 IS_BONDED 0 SITEPROP SLICE_X60Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y13 IS_PAD 0 SITEPROP SLICE_X60Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y13 IS_RESERVED 0 SITEPROP SLICE_X60Y13 IS_TEST 0 SITEPROP SLICE_X60Y13 IS_USED 0 SITEPROP SLICE_X60Y13 MANUAL_ROUTING SITEPROP SLICE_X60Y13 NAME SLICE_X60Y13 SITEPROP SLICE_X60Y13 NUM_ARCS 153 SITEPROP SLICE_X60Y13 NUM_BELS 32 SITEPROP SLICE_X60Y13 NUM_INPUTS 37 SITEPROP SLICE_X60Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y13 NUM_PINS 50 SITEPROP SLICE_X60Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y13 PROHIBIT 0 SITEPROP SLICE_X60Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y13 RPM_X 161 SITEPROP SLICE_X60Y13 RPM_Y 26 SITEPROP SLICE_X60Y13 SITE_PIPS SITEPROP SLICE_X60Y13 SITE_TYPE SLICEM SITEPROP SLICE_X60Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y14 CLASS site SITEPROP SLICE_X60Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y14 IS_BONDED 0 SITEPROP SLICE_X60Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y14 IS_PAD 0 SITEPROP SLICE_X60Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y14 IS_RESERVED 0 SITEPROP SLICE_X60Y14 IS_TEST 0 SITEPROP SLICE_X60Y14 IS_USED 0 SITEPROP SLICE_X60Y14 MANUAL_ROUTING SITEPROP SLICE_X60Y14 NAME SLICE_X60Y14 SITEPROP SLICE_X60Y14 NUM_ARCS 153 SITEPROP SLICE_X60Y14 NUM_BELS 32 SITEPROP SLICE_X60Y14 NUM_INPUTS 37 SITEPROP SLICE_X60Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y14 NUM_PINS 50 SITEPROP SLICE_X60Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y14 PROHIBIT 0 SITEPROP SLICE_X60Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y14 RPM_X 161 SITEPROP SLICE_X60Y14 RPM_Y 28 SITEPROP SLICE_X60Y14 SITE_PIPS SITEPROP SLICE_X60Y14 SITE_TYPE SLICEM SITEPROP SLICE_X60Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y15 CLASS site SITEPROP SLICE_X60Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y15 IS_BONDED 0 SITEPROP SLICE_X60Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y15 IS_PAD 0 SITEPROP SLICE_X60Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y15 IS_RESERVED 0 SITEPROP SLICE_X60Y15 IS_TEST 0 SITEPROP SLICE_X60Y15 IS_USED 0 SITEPROP SLICE_X60Y15 MANUAL_ROUTING SITEPROP SLICE_X60Y15 NAME SLICE_X60Y15 SITEPROP SLICE_X60Y15 NUM_ARCS 153 SITEPROP SLICE_X60Y15 NUM_BELS 32 SITEPROP SLICE_X60Y15 NUM_INPUTS 37 SITEPROP SLICE_X60Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y15 NUM_PINS 50 SITEPROP SLICE_X60Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y15 PROHIBIT 0 SITEPROP SLICE_X60Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y15 RPM_X 161 SITEPROP SLICE_X60Y15 RPM_Y 30 SITEPROP SLICE_X60Y15 SITE_PIPS SITEPROP SLICE_X60Y15 SITE_TYPE SLICEM SITEPROP SLICE_X60Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y16 CLASS site SITEPROP SLICE_X60Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y16 IS_BONDED 0 SITEPROP SLICE_X60Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y16 IS_PAD 0 SITEPROP SLICE_X60Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y16 IS_RESERVED 0 SITEPROP SLICE_X60Y16 IS_TEST 0 SITEPROP SLICE_X60Y16 IS_USED 0 SITEPROP SLICE_X60Y16 MANUAL_ROUTING SITEPROP SLICE_X60Y16 NAME SLICE_X60Y16 SITEPROP SLICE_X60Y16 NUM_ARCS 153 SITEPROP SLICE_X60Y16 NUM_BELS 32 SITEPROP SLICE_X60Y16 NUM_INPUTS 37 SITEPROP SLICE_X60Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y16 NUM_PINS 50 SITEPROP SLICE_X60Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y16 PROHIBIT 0 SITEPROP SLICE_X60Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y16 RPM_X 161 SITEPROP SLICE_X60Y16 RPM_Y 32 SITEPROP SLICE_X60Y16 SITE_PIPS SITEPROP SLICE_X60Y16 SITE_TYPE SLICEM SITEPROP SLICE_X60Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y17 CLASS site SITEPROP SLICE_X60Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y17 IS_BONDED 0 SITEPROP SLICE_X60Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y17 IS_PAD 0 SITEPROP SLICE_X60Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y17 IS_RESERVED 0 SITEPROP SLICE_X60Y17 IS_TEST 0 SITEPROP SLICE_X60Y17 IS_USED 0 SITEPROP SLICE_X60Y17 MANUAL_ROUTING SITEPROP SLICE_X60Y17 NAME SLICE_X60Y17 SITEPROP SLICE_X60Y17 NUM_ARCS 153 SITEPROP SLICE_X60Y17 NUM_BELS 32 SITEPROP SLICE_X60Y17 NUM_INPUTS 37 SITEPROP SLICE_X60Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y17 NUM_PINS 50 SITEPROP SLICE_X60Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y17 PROHIBIT 0 SITEPROP SLICE_X60Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y17 RPM_X 161 SITEPROP SLICE_X60Y17 RPM_Y 34 SITEPROP SLICE_X60Y17 SITE_PIPS SITEPROP SLICE_X60Y17 SITE_TYPE SLICEM SITEPROP SLICE_X60Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y18 CLASS site SITEPROP SLICE_X60Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y18 IS_BONDED 0 SITEPROP SLICE_X60Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y18 IS_PAD 0 SITEPROP SLICE_X60Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y18 IS_RESERVED 0 SITEPROP SLICE_X60Y18 IS_TEST 0 SITEPROP SLICE_X60Y18 IS_USED 0 SITEPROP SLICE_X60Y18 MANUAL_ROUTING SITEPROP SLICE_X60Y18 NAME SLICE_X60Y18 SITEPROP SLICE_X60Y18 NUM_ARCS 153 SITEPROP SLICE_X60Y18 NUM_BELS 32 SITEPROP SLICE_X60Y18 NUM_INPUTS 37 SITEPROP SLICE_X60Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y18 NUM_PINS 50 SITEPROP SLICE_X60Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y18 PROHIBIT 0 SITEPROP SLICE_X60Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y18 RPM_X 161 SITEPROP SLICE_X60Y18 RPM_Y 36 SITEPROP SLICE_X60Y18 SITE_PIPS SITEPROP SLICE_X60Y18 SITE_TYPE SLICEM SITEPROP SLICE_X60Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y19 CLASS site SITEPROP SLICE_X60Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y19 IS_BONDED 0 SITEPROP SLICE_X60Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y19 IS_PAD 0 SITEPROP SLICE_X60Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y19 IS_RESERVED 0 SITEPROP SLICE_X60Y19 IS_TEST 0 SITEPROP SLICE_X60Y19 IS_USED 0 SITEPROP SLICE_X60Y19 MANUAL_ROUTING SITEPROP SLICE_X60Y19 NAME SLICE_X60Y19 SITEPROP SLICE_X60Y19 NUM_ARCS 153 SITEPROP SLICE_X60Y19 NUM_BELS 32 SITEPROP SLICE_X60Y19 NUM_INPUTS 37 SITEPROP SLICE_X60Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y19 NUM_PINS 50 SITEPROP SLICE_X60Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y19 PROHIBIT 0 SITEPROP SLICE_X60Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y19 RPM_X 161 SITEPROP SLICE_X60Y19 RPM_Y 38 SITEPROP SLICE_X60Y19 SITE_PIPS SITEPROP SLICE_X60Y19 SITE_TYPE SLICEM SITEPROP SLICE_X60Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y20 CLASS site SITEPROP SLICE_X60Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y20 IS_BONDED 0 SITEPROP SLICE_X60Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y20 IS_PAD 0 SITEPROP SLICE_X60Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y20 IS_RESERVED 0 SITEPROP SLICE_X60Y20 IS_TEST 0 SITEPROP SLICE_X60Y20 IS_USED 0 SITEPROP SLICE_X60Y20 MANUAL_ROUTING SITEPROP SLICE_X60Y20 NAME SLICE_X60Y20 SITEPROP SLICE_X60Y20 NUM_ARCS 153 SITEPROP SLICE_X60Y20 NUM_BELS 32 SITEPROP SLICE_X60Y20 NUM_INPUTS 37 SITEPROP SLICE_X60Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y20 NUM_PINS 50 SITEPROP SLICE_X60Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y20 PROHIBIT 0 SITEPROP SLICE_X60Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y20 RPM_X 161 SITEPROP SLICE_X60Y20 RPM_Y 40 SITEPROP SLICE_X60Y20 SITE_PIPS SITEPROP SLICE_X60Y20 SITE_TYPE SLICEM SITEPROP SLICE_X60Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y21 CLASS site SITEPROP SLICE_X60Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y21 IS_BONDED 0 SITEPROP SLICE_X60Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y21 IS_PAD 0 SITEPROP SLICE_X60Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y21 IS_RESERVED 0 SITEPROP SLICE_X60Y21 IS_TEST 0 SITEPROP SLICE_X60Y21 IS_USED 0 SITEPROP SLICE_X60Y21 MANUAL_ROUTING SITEPROP SLICE_X60Y21 NAME SLICE_X60Y21 SITEPROP SLICE_X60Y21 NUM_ARCS 153 SITEPROP SLICE_X60Y21 NUM_BELS 32 SITEPROP SLICE_X60Y21 NUM_INPUTS 37 SITEPROP SLICE_X60Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y21 NUM_PINS 50 SITEPROP SLICE_X60Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y21 PROHIBIT 0 SITEPROP SLICE_X60Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y21 RPM_X 161 SITEPROP SLICE_X60Y21 RPM_Y 42 SITEPROP SLICE_X60Y21 SITE_PIPS SITEPROP SLICE_X60Y21 SITE_TYPE SLICEM SITEPROP SLICE_X60Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y22 CLASS site SITEPROP SLICE_X60Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y22 IS_BONDED 0 SITEPROP SLICE_X60Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y22 IS_PAD 0 SITEPROP SLICE_X60Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y22 IS_RESERVED 0 SITEPROP SLICE_X60Y22 IS_TEST 0 SITEPROP SLICE_X60Y22 IS_USED 0 SITEPROP SLICE_X60Y22 MANUAL_ROUTING SITEPROP SLICE_X60Y22 NAME SLICE_X60Y22 SITEPROP SLICE_X60Y22 NUM_ARCS 153 SITEPROP SLICE_X60Y22 NUM_BELS 32 SITEPROP SLICE_X60Y22 NUM_INPUTS 37 SITEPROP SLICE_X60Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y22 NUM_PINS 50 SITEPROP SLICE_X60Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y22 PROHIBIT 0 SITEPROP SLICE_X60Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y22 RPM_X 161 SITEPROP SLICE_X60Y22 RPM_Y 44 SITEPROP SLICE_X60Y22 SITE_PIPS SITEPROP SLICE_X60Y22 SITE_TYPE SLICEM SITEPROP SLICE_X60Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y23 CLASS site SITEPROP SLICE_X60Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y23 IS_BONDED 0 SITEPROP SLICE_X60Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y23 IS_PAD 0 SITEPROP SLICE_X60Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y23 IS_RESERVED 0 SITEPROP SLICE_X60Y23 IS_TEST 0 SITEPROP SLICE_X60Y23 IS_USED 0 SITEPROP SLICE_X60Y23 MANUAL_ROUTING SITEPROP SLICE_X60Y23 NAME SLICE_X60Y23 SITEPROP SLICE_X60Y23 NUM_ARCS 153 SITEPROP SLICE_X60Y23 NUM_BELS 32 SITEPROP SLICE_X60Y23 NUM_INPUTS 37 SITEPROP SLICE_X60Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y23 NUM_PINS 50 SITEPROP SLICE_X60Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y23 PROHIBIT 0 SITEPROP SLICE_X60Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y23 RPM_X 161 SITEPROP SLICE_X60Y23 RPM_Y 46 SITEPROP SLICE_X60Y23 SITE_PIPS SITEPROP SLICE_X60Y23 SITE_TYPE SLICEM SITEPROP SLICE_X60Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y24 CLASS site SITEPROP SLICE_X60Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y24 IS_BONDED 0 SITEPROP SLICE_X60Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y24 IS_PAD 0 SITEPROP SLICE_X60Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y24 IS_RESERVED 0 SITEPROP SLICE_X60Y24 IS_TEST 0 SITEPROP SLICE_X60Y24 IS_USED 0 SITEPROP SLICE_X60Y24 MANUAL_ROUTING SITEPROP SLICE_X60Y24 NAME SLICE_X60Y24 SITEPROP SLICE_X60Y24 NUM_ARCS 153 SITEPROP SLICE_X60Y24 NUM_BELS 32 SITEPROP SLICE_X60Y24 NUM_INPUTS 37 SITEPROP SLICE_X60Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y24 NUM_PINS 50 SITEPROP SLICE_X60Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y24 PROHIBIT 0 SITEPROP SLICE_X60Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y24 RPM_X 161 SITEPROP SLICE_X60Y24 RPM_Y 48 SITEPROP SLICE_X60Y24 SITE_PIPS SITEPROP SLICE_X60Y24 SITE_TYPE SLICEM SITEPROP SLICE_X60Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y25 CLASS site SITEPROP SLICE_X60Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y25 IS_BONDED 0 SITEPROP SLICE_X60Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y25 IS_PAD 0 SITEPROP SLICE_X60Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y25 IS_RESERVED 0 SITEPROP SLICE_X60Y25 IS_TEST 0 SITEPROP SLICE_X60Y25 IS_USED 0 SITEPROP SLICE_X60Y25 MANUAL_ROUTING SITEPROP SLICE_X60Y25 NAME SLICE_X60Y25 SITEPROP SLICE_X60Y25 NUM_ARCS 153 SITEPROP SLICE_X60Y25 NUM_BELS 32 SITEPROP SLICE_X60Y25 NUM_INPUTS 37 SITEPROP SLICE_X60Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y25 NUM_PINS 50 SITEPROP SLICE_X60Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y25 PROHIBIT 0 SITEPROP SLICE_X60Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y25 RPM_X 161 SITEPROP SLICE_X60Y25 RPM_Y 50 SITEPROP SLICE_X60Y25 SITE_PIPS SITEPROP SLICE_X60Y25 SITE_TYPE SLICEM SITEPROP SLICE_X60Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y26 CLASS site SITEPROP SLICE_X60Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y26 IS_BONDED 0 SITEPROP SLICE_X60Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y26 IS_PAD 0 SITEPROP SLICE_X60Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y26 IS_RESERVED 0 SITEPROP SLICE_X60Y26 IS_TEST 0 SITEPROP SLICE_X60Y26 IS_USED 0 SITEPROP SLICE_X60Y26 MANUAL_ROUTING SITEPROP SLICE_X60Y26 NAME SLICE_X60Y26 SITEPROP SLICE_X60Y26 NUM_ARCS 153 SITEPROP SLICE_X60Y26 NUM_BELS 32 SITEPROP SLICE_X60Y26 NUM_INPUTS 37 SITEPROP SLICE_X60Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y26 NUM_PINS 50 SITEPROP SLICE_X60Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y26 PROHIBIT 0 SITEPROP SLICE_X60Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y26 RPM_X 161 SITEPROP SLICE_X60Y26 RPM_Y 52 SITEPROP SLICE_X60Y26 SITE_PIPS SITEPROP SLICE_X60Y26 SITE_TYPE SLICEM SITEPROP SLICE_X60Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y27 CLASS site SITEPROP SLICE_X60Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y27 IS_BONDED 0 SITEPROP SLICE_X60Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y27 IS_PAD 0 SITEPROP SLICE_X60Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y27 IS_RESERVED 0 SITEPROP SLICE_X60Y27 IS_TEST 0 SITEPROP SLICE_X60Y27 IS_USED 0 SITEPROP SLICE_X60Y27 MANUAL_ROUTING SITEPROP SLICE_X60Y27 NAME SLICE_X60Y27 SITEPROP SLICE_X60Y27 NUM_ARCS 153 SITEPROP SLICE_X60Y27 NUM_BELS 32 SITEPROP SLICE_X60Y27 NUM_INPUTS 37 SITEPROP SLICE_X60Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y27 NUM_PINS 50 SITEPROP SLICE_X60Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y27 PROHIBIT 0 SITEPROP SLICE_X60Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y27 RPM_X 161 SITEPROP SLICE_X60Y27 RPM_Y 54 SITEPROP SLICE_X60Y27 SITE_PIPS SITEPROP SLICE_X60Y27 SITE_TYPE SLICEM SITEPROP SLICE_X60Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y28 CLASS site SITEPROP SLICE_X60Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y28 IS_BONDED 0 SITEPROP SLICE_X60Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y28 IS_PAD 0 SITEPROP SLICE_X60Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y28 IS_RESERVED 0 SITEPROP SLICE_X60Y28 IS_TEST 0 SITEPROP SLICE_X60Y28 IS_USED 0 SITEPROP SLICE_X60Y28 MANUAL_ROUTING SITEPROP SLICE_X60Y28 NAME SLICE_X60Y28 SITEPROP SLICE_X60Y28 NUM_ARCS 153 SITEPROP SLICE_X60Y28 NUM_BELS 32 SITEPROP SLICE_X60Y28 NUM_INPUTS 37 SITEPROP SLICE_X60Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y28 NUM_PINS 50 SITEPROP SLICE_X60Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y28 PROHIBIT 0 SITEPROP SLICE_X60Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y28 RPM_X 161 SITEPROP SLICE_X60Y28 RPM_Y 56 SITEPROP SLICE_X60Y28 SITE_PIPS SITEPROP SLICE_X60Y28 SITE_TYPE SLICEM SITEPROP SLICE_X60Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y29 CLASS site SITEPROP SLICE_X60Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y29 IS_BONDED 0 SITEPROP SLICE_X60Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y29 IS_PAD 0 SITEPROP SLICE_X60Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y29 IS_RESERVED 0 SITEPROP SLICE_X60Y29 IS_TEST 0 SITEPROP SLICE_X60Y29 IS_USED 0 SITEPROP SLICE_X60Y29 MANUAL_ROUTING SITEPROP SLICE_X60Y29 NAME SLICE_X60Y29 SITEPROP SLICE_X60Y29 NUM_ARCS 153 SITEPROP SLICE_X60Y29 NUM_BELS 32 SITEPROP SLICE_X60Y29 NUM_INPUTS 37 SITEPROP SLICE_X60Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y29 NUM_PINS 50 SITEPROP SLICE_X60Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y29 PROHIBIT 0 SITEPROP SLICE_X60Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y29 RPM_X 161 SITEPROP SLICE_X60Y29 RPM_Y 58 SITEPROP SLICE_X60Y29 SITE_PIPS SITEPROP SLICE_X60Y29 SITE_TYPE SLICEM SITEPROP SLICE_X60Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y30 CLASS site SITEPROP SLICE_X60Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y30 IS_BONDED 0 SITEPROP SLICE_X60Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y30 IS_PAD 0 SITEPROP SLICE_X60Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y30 IS_RESERVED 0 SITEPROP SLICE_X60Y30 IS_TEST 0 SITEPROP SLICE_X60Y30 IS_USED 0 SITEPROP SLICE_X60Y30 MANUAL_ROUTING SITEPROP SLICE_X60Y30 NAME SLICE_X60Y30 SITEPROP SLICE_X60Y30 NUM_ARCS 153 SITEPROP SLICE_X60Y30 NUM_BELS 32 SITEPROP SLICE_X60Y30 NUM_INPUTS 37 SITEPROP SLICE_X60Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y30 NUM_PINS 50 SITEPROP SLICE_X60Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y30 PROHIBIT 0 SITEPROP SLICE_X60Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y30 RPM_X 161 SITEPROP SLICE_X60Y30 RPM_Y 60 SITEPROP SLICE_X60Y30 SITE_PIPS SITEPROP SLICE_X60Y30 SITE_TYPE SLICEM SITEPROP SLICE_X60Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y31 CLASS site SITEPROP SLICE_X60Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y31 IS_BONDED 0 SITEPROP SLICE_X60Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y31 IS_PAD 0 SITEPROP SLICE_X60Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y31 IS_RESERVED 0 SITEPROP SLICE_X60Y31 IS_TEST 0 SITEPROP SLICE_X60Y31 IS_USED 0 SITEPROP SLICE_X60Y31 MANUAL_ROUTING SITEPROP SLICE_X60Y31 NAME SLICE_X60Y31 SITEPROP SLICE_X60Y31 NUM_ARCS 153 SITEPROP SLICE_X60Y31 NUM_BELS 32 SITEPROP SLICE_X60Y31 NUM_INPUTS 37 SITEPROP SLICE_X60Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y31 NUM_PINS 50 SITEPROP SLICE_X60Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y31 PROHIBIT 0 SITEPROP SLICE_X60Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y31 RPM_X 161 SITEPROP SLICE_X60Y31 RPM_Y 62 SITEPROP SLICE_X60Y31 SITE_PIPS SITEPROP SLICE_X60Y31 SITE_TYPE SLICEM SITEPROP SLICE_X60Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y32 CLASS site SITEPROP SLICE_X60Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y32 IS_BONDED 0 SITEPROP SLICE_X60Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y32 IS_PAD 0 SITEPROP SLICE_X60Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y32 IS_RESERVED 0 SITEPROP SLICE_X60Y32 IS_TEST 0 SITEPROP SLICE_X60Y32 IS_USED 0 SITEPROP SLICE_X60Y32 MANUAL_ROUTING SITEPROP SLICE_X60Y32 NAME SLICE_X60Y32 SITEPROP SLICE_X60Y32 NUM_ARCS 153 SITEPROP SLICE_X60Y32 NUM_BELS 32 SITEPROP SLICE_X60Y32 NUM_INPUTS 37 SITEPROP SLICE_X60Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y32 NUM_PINS 50 SITEPROP SLICE_X60Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y32 PROHIBIT 0 SITEPROP SLICE_X60Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y32 RPM_X 161 SITEPROP SLICE_X60Y32 RPM_Y 64 SITEPROP SLICE_X60Y32 SITE_PIPS SITEPROP SLICE_X60Y32 SITE_TYPE SLICEM SITEPROP SLICE_X60Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y33 CLASS site SITEPROP SLICE_X60Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y33 IS_BONDED 0 SITEPROP SLICE_X60Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y33 IS_PAD 0 SITEPROP SLICE_X60Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y33 IS_RESERVED 0 SITEPROP SLICE_X60Y33 IS_TEST 0 SITEPROP SLICE_X60Y33 IS_USED 0 SITEPROP SLICE_X60Y33 MANUAL_ROUTING SITEPROP SLICE_X60Y33 NAME SLICE_X60Y33 SITEPROP SLICE_X60Y33 NUM_ARCS 153 SITEPROP SLICE_X60Y33 NUM_BELS 32 SITEPROP SLICE_X60Y33 NUM_INPUTS 37 SITEPROP SLICE_X60Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y33 NUM_PINS 50 SITEPROP SLICE_X60Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y33 PROHIBIT 0 SITEPROP SLICE_X60Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y33 RPM_X 161 SITEPROP SLICE_X60Y33 RPM_Y 66 SITEPROP SLICE_X60Y33 SITE_PIPS SITEPROP SLICE_X60Y33 SITE_TYPE SLICEM SITEPROP SLICE_X60Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y34 CLASS site SITEPROP SLICE_X60Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y34 IS_BONDED 0 SITEPROP SLICE_X60Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y34 IS_PAD 0 SITEPROP SLICE_X60Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y34 IS_RESERVED 0 SITEPROP SLICE_X60Y34 IS_TEST 0 SITEPROP SLICE_X60Y34 IS_USED 0 SITEPROP SLICE_X60Y34 MANUAL_ROUTING SITEPROP SLICE_X60Y34 NAME SLICE_X60Y34 SITEPROP SLICE_X60Y34 NUM_ARCS 153 SITEPROP SLICE_X60Y34 NUM_BELS 32 SITEPROP SLICE_X60Y34 NUM_INPUTS 37 SITEPROP SLICE_X60Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y34 NUM_PINS 50 SITEPROP SLICE_X60Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y34 PROHIBIT 0 SITEPROP SLICE_X60Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y34 RPM_X 161 SITEPROP SLICE_X60Y34 RPM_Y 68 SITEPROP SLICE_X60Y34 SITE_PIPS SITEPROP SLICE_X60Y34 SITE_TYPE SLICEM SITEPROP SLICE_X60Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y35 CLASS site SITEPROP SLICE_X60Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y35 IS_BONDED 0 SITEPROP SLICE_X60Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y35 IS_PAD 0 SITEPROP SLICE_X60Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y35 IS_RESERVED 0 SITEPROP SLICE_X60Y35 IS_TEST 0 SITEPROP SLICE_X60Y35 IS_USED 0 SITEPROP SLICE_X60Y35 MANUAL_ROUTING SITEPROP SLICE_X60Y35 NAME SLICE_X60Y35 SITEPROP SLICE_X60Y35 NUM_ARCS 153 SITEPROP SLICE_X60Y35 NUM_BELS 32 SITEPROP SLICE_X60Y35 NUM_INPUTS 37 SITEPROP SLICE_X60Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y35 NUM_PINS 50 SITEPROP SLICE_X60Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y35 PROHIBIT 0 SITEPROP SLICE_X60Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y35 RPM_X 161 SITEPROP SLICE_X60Y35 RPM_Y 70 SITEPROP SLICE_X60Y35 SITE_PIPS SITEPROP SLICE_X60Y35 SITE_TYPE SLICEM SITEPROP SLICE_X60Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y36 CLASS site SITEPROP SLICE_X60Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y36 IS_BONDED 0 SITEPROP SLICE_X60Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y36 IS_PAD 0 SITEPROP SLICE_X60Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y36 IS_RESERVED 0 SITEPROP SLICE_X60Y36 IS_TEST 0 SITEPROP SLICE_X60Y36 IS_USED 0 SITEPROP SLICE_X60Y36 MANUAL_ROUTING SITEPROP SLICE_X60Y36 NAME SLICE_X60Y36 SITEPROP SLICE_X60Y36 NUM_ARCS 153 SITEPROP SLICE_X60Y36 NUM_BELS 32 SITEPROP SLICE_X60Y36 NUM_INPUTS 37 SITEPROP SLICE_X60Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y36 NUM_PINS 50 SITEPROP SLICE_X60Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y36 PROHIBIT 0 SITEPROP SLICE_X60Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y36 RPM_X 161 SITEPROP SLICE_X60Y36 RPM_Y 72 SITEPROP SLICE_X60Y36 SITE_PIPS SITEPROP SLICE_X60Y36 SITE_TYPE SLICEM SITEPROP SLICE_X60Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y37 CLASS site SITEPROP SLICE_X60Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y37 IS_BONDED 0 SITEPROP SLICE_X60Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y37 IS_PAD 0 SITEPROP SLICE_X60Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y37 IS_RESERVED 0 SITEPROP SLICE_X60Y37 IS_TEST 0 SITEPROP SLICE_X60Y37 IS_USED 0 SITEPROP SLICE_X60Y37 MANUAL_ROUTING SITEPROP SLICE_X60Y37 NAME SLICE_X60Y37 SITEPROP SLICE_X60Y37 NUM_ARCS 153 SITEPROP SLICE_X60Y37 NUM_BELS 32 SITEPROP SLICE_X60Y37 NUM_INPUTS 37 SITEPROP SLICE_X60Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y37 NUM_PINS 50 SITEPROP SLICE_X60Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y37 PROHIBIT 0 SITEPROP SLICE_X60Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y37 RPM_X 161 SITEPROP SLICE_X60Y37 RPM_Y 74 SITEPROP SLICE_X60Y37 SITE_PIPS SITEPROP SLICE_X60Y37 SITE_TYPE SLICEM SITEPROP SLICE_X60Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y38 CLASS site SITEPROP SLICE_X60Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y38 IS_BONDED 0 SITEPROP SLICE_X60Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y38 IS_PAD 0 SITEPROP SLICE_X60Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y38 IS_RESERVED 0 SITEPROP SLICE_X60Y38 IS_TEST 0 SITEPROP SLICE_X60Y38 IS_USED 0 SITEPROP SLICE_X60Y38 MANUAL_ROUTING SITEPROP SLICE_X60Y38 NAME SLICE_X60Y38 SITEPROP SLICE_X60Y38 NUM_ARCS 153 SITEPROP SLICE_X60Y38 NUM_BELS 32 SITEPROP SLICE_X60Y38 NUM_INPUTS 37 SITEPROP SLICE_X60Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y38 NUM_PINS 50 SITEPROP SLICE_X60Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y38 PROHIBIT 0 SITEPROP SLICE_X60Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y38 RPM_X 161 SITEPROP SLICE_X60Y38 RPM_Y 76 SITEPROP SLICE_X60Y38 SITE_PIPS SITEPROP SLICE_X60Y38 SITE_TYPE SLICEM SITEPROP SLICE_X60Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y39 CLASS site SITEPROP SLICE_X60Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y39 IS_BONDED 0 SITEPROP SLICE_X60Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y39 IS_PAD 0 SITEPROP SLICE_X60Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y39 IS_RESERVED 0 SITEPROP SLICE_X60Y39 IS_TEST 0 SITEPROP SLICE_X60Y39 IS_USED 0 SITEPROP SLICE_X60Y39 MANUAL_ROUTING SITEPROP SLICE_X60Y39 NAME SLICE_X60Y39 SITEPROP SLICE_X60Y39 NUM_ARCS 153 SITEPROP SLICE_X60Y39 NUM_BELS 32 SITEPROP SLICE_X60Y39 NUM_INPUTS 37 SITEPROP SLICE_X60Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y39 NUM_PINS 50 SITEPROP SLICE_X60Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y39 PROHIBIT 0 SITEPROP SLICE_X60Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y39 RPM_X 161 SITEPROP SLICE_X60Y39 RPM_Y 78 SITEPROP SLICE_X60Y39 SITE_PIPS SITEPROP SLICE_X60Y39 SITE_TYPE SLICEM SITEPROP SLICE_X60Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y40 CLASS site SITEPROP SLICE_X60Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y40 IS_BONDED 0 SITEPROP SLICE_X60Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y40 IS_PAD 0 SITEPROP SLICE_X60Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y40 IS_RESERVED 0 SITEPROP SLICE_X60Y40 IS_TEST 0 SITEPROP SLICE_X60Y40 IS_USED 0 SITEPROP SLICE_X60Y40 MANUAL_ROUTING SITEPROP SLICE_X60Y40 NAME SLICE_X60Y40 SITEPROP SLICE_X60Y40 NUM_ARCS 153 SITEPROP SLICE_X60Y40 NUM_BELS 32 SITEPROP SLICE_X60Y40 NUM_INPUTS 37 SITEPROP SLICE_X60Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y40 NUM_PINS 50 SITEPROP SLICE_X60Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y40 PROHIBIT 0 SITEPROP SLICE_X60Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y40 RPM_X 161 SITEPROP SLICE_X60Y40 RPM_Y 80 SITEPROP SLICE_X60Y40 SITE_PIPS SITEPROP SLICE_X60Y40 SITE_TYPE SLICEM SITEPROP SLICE_X60Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y41 CLASS site SITEPROP SLICE_X60Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y41 IS_BONDED 0 SITEPROP SLICE_X60Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y41 IS_PAD 0 SITEPROP SLICE_X60Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y41 IS_RESERVED 0 SITEPROP SLICE_X60Y41 IS_TEST 0 SITEPROP SLICE_X60Y41 IS_USED 0 SITEPROP SLICE_X60Y41 MANUAL_ROUTING SITEPROP SLICE_X60Y41 NAME SLICE_X60Y41 SITEPROP SLICE_X60Y41 NUM_ARCS 153 SITEPROP SLICE_X60Y41 NUM_BELS 32 SITEPROP SLICE_X60Y41 NUM_INPUTS 37 SITEPROP SLICE_X60Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y41 NUM_PINS 50 SITEPROP SLICE_X60Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y41 PROHIBIT 0 SITEPROP SLICE_X60Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y41 RPM_X 161 SITEPROP SLICE_X60Y41 RPM_Y 82 SITEPROP SLICE_X60Y41 SITE_PIPS SITEPROP SLICE_X60Y41 SITE_TYPE SLICEM SITEPROP SLICE_X60Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y42 CLASS site SITEPROP SLICE_X60Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y42 IS_BONDED 0 SITEPROP SLICE_X60Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y42 IS_PAD 0 SITEPROP SLICE_X60Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y42 IS_RESERVED 0 SITEPROP SLICE_X60Y42 IS_TEST 0 SITEPROP SLICE_X60Y42 IS_USED 0 SITEPROP SLICE_X60Y42 MANUAL_ROUTING SITEPROP SLICE_X60Y42 NAME SLICE_X60Y42 SITEPROP SLICE_X60Y42 NUM_ARCS 153 SITEPROP SLICE_X60Y42 NUM_BELS 32 SITEPROP SLICE_X60Y42 NUM_INPUTS 37 SITEPROP SLICE_X60Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y42 NUM_PINS 50 SITEPROP SLICE_X60Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y42 PROHIBIT 0 SITEPROP SLICE_X60Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y42 RPM_X 161 SITEPROP SLICE_X60Y42 RPM_Y 84 SITEPROP SLICE_X60Y42 SITE_PIPS SITEPROP SLICE_X60Y42 SITE_TYPE SLICEM SITEPROP SLICE_X60Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y43 CLASS site SITEPROP SLICE_X60Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y43 IS_BONDED 0 SITEPROP SLICE_X60Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y43 IS_PAD 0 SITEPROP SLICE_X60Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y43 IS_RESERVED 0 SITEPROP SLICE_X60Y43 IS_TEST 0 SITEPROP SLICE_X60Y43 IS_USED 0 SITEPROP SLICE_X60Y43 MANUAL_ROUTING SITEPROP SLICE_X60Y43 NAME SLICE_X60Y43 SITEPROP SLICE_X60Y43 NUM_ARCS 153 SITEPROP SLICE_X60Y43 NUM_BELS 32 SITEPROP SLICE_X60Y43 NUM_INPUTS 37 SITEPROP SLICE_X60Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y43 NUM_PINS 50 SITEPROP SLICE_X60Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y43 PROHIBIT 0 SITEPROP SLICE_X60Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y43 RPM_X 161 SITEPROP SLICE_X60Y43 RPM_Y 86 SITEPROP SLICE_X60Y43 SITE_PIPS SITEPROP SLICE_X60Y43 SITE_TYPE SLICEM SITEPROP SLICE_X60Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y44 CLASS site SITEPROP SLICE_X60Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y44 IS_BONDED 0 SITEPROP SLICE_X60Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y44 IS_PAD 0 SITEPROP SLICE_X60Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y44 IS_RESERVED 0 SITEPROP SLICE_X60Y44 IS_TEST 0 SITEPROP SLICE_X60Y44 IS_USED 0 SITEPROP SLICE_X60Y44 MANUAL_ROUTING SITEPROP SLICE_X60Y44 NAME SLICE_X60Y44 SITEPROP SLICE_X60Y44 NUM_ARCS 153 SITEPROP SLICE_X60Y44 NUM_BELS 32 SITEPROP SLICE_X60Y44 NUM_INPUTS 37 SITEPROP SLICE_X60Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y44 NUM_PINS 50 SITEPROP SLICE_X60Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y44 PROHIBIT 0 SITEPROP SLICE_X60Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y44 RPM_X 161 SITEPROP SLICE_X60Y44 RPM_Y 88 SITEPROP SLICE_X60Y44 SITE_PIPS SITEPROP SLICE_X60Y44 SITE_TYPE SLICEM SITEPROP SLICE_X60Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y45 CLASS site SITEPROP SLICE_X60Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y45 IS_BONDED 0 SITEPROP SLICE_X60Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y45 IS_PAD 0 SITEPROP SLICE_X60Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y45 IS_RESERVED 0 SITEPROP SLICE_X60Y45 IS_TEST 0 SITEPROP SLICE_X60Y45 IS_USED 0 SITEPROP SLICE_X60Y45 MANUAL_ROUTING SITEPROP SLICE_X60Y45 NAME SLICE_X60Y45 SITEPROP SLICE_X60Y45 NUM_ARCS 153 SITEPROP SLICE_X60Y45 NUM_BELS 32 SITEPROP SLICE_X60Y45 NUM_INPUTS 37 SITEPROP SLICE_X60Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y45 NUM_PINS 50 SITEPROP SLICE_X60Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y45 PROHIBIT 0 SITEPROP SLICE_X60Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y45 RPM_X 161 SITEPROP SLICE_X60Y45 RPM_Y 90 SITEPROP SLICE_X60Y45 SITE_PIPS SITEPROP SLICE_X60Y45 SITE_TYPE SLICEM SITEPROP SLICE_X60Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y46 CLASS site SITEPROP SLICE_X60Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y46 IS_BONDED 0 SITEPROP SLICE_X60Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y46 IS_PAD 0 SITEPROP SLICE_X60Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y46 IS_RESERVED 0 SITEPROP SLICE_X60Y46 IS_TEST 0 SITEPROP SLICE_X60Y46 IS_USED 0 SITEPROP SLICE_X60Y46 MANUAL_ROUTING SITEPROP SLICE_X60Y46 NAME SLICE_X60Y46 SITEPROP SLICE_X60Y46 NUM_ARCS 153 SITEPROP SLICE_X60Y46 NUM_BELS 32 SITEPROP SLICE_X60Y46 NUM_INPUTS 37 SITEPROP SLICE_X60Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y46 NUM_PINS 50 SITEPROP SLICE_X60Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y46 PROHIBIT 0 SITEPROP SLICE_X60Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y46 RPM_X 161 SITEPROP SLICE_X60Y46 RPM_Y 92 SITEPROP SLICE_X60Y46 SITE_PIPS SITEPROP SLICE_X60Y46 SITE_TYPE SLICEM SITEPROP SLICE_X60Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y47 CLASS site SITEPROP SLICE_X60Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y47 IS_BONDED 0 SITEPROP SLICE_X60Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y47 IS_PAD 0 SITEPROP SLICE_X60Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y47 IS_RESERVED 0 SITEPROP SLICE_X60Y47 IS_TEST 0 SITEPROP SLICE_X60Y47 IS_USED 0 SITEPROP SLICE_X60Y47 MANUAL_ROUTING SITEPROP SLICE_X60Y47 NAME SLICE_X60Y47 SITEPROP SLICE_X60Y47 NUM_ARCS 153 SITEPROP SLICE_X60Y47 NUM_BELS 32 SITEPROP SLICE_X60Y47 NUM_INPUTS 37 SITEPROP SLICE_X60Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y47 NUM_PINS 50 SITEPROP SLICE_X60Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y47 PROHIBIT 0 SITEPROP SLICE_X60Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y47 RPM_X 161 SITEPROP SLICE_X60Y47 RPM_Y 94 SITEPROP SLICE_X60Y47 SITE_PIPS SITEPROP SLICE_X60Y47 SITE_TYPE SLICEM SITEPROP SLICE_X60Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y48 CLASS site SITEPROP SLICE_X60Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y48 IS_BONDED 0 SITEPROP SLICE_X60Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y48 IS_PAD 0 SITEPROP SLICE_X60Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y48 IS_RESERVED 0 SITEPROP SLICE_X60Y48 IS_TEST 0 SITEPROP SLICE_X60Y48 IS_USED 0 SITEPROP SLICE_X60Y48 MANUAL_ROUTING SITEPROP SLICE_X60Y48 NAME SLICE_X60Y48 SITEPROP SLICE_X60Y48 NUM_ARCS 153 SITEPROP SLICE_X60Y48 NUM_BELS 32 SITEPROP SLICE_X60Y48 NUM_INPUTS 37 SITEPROP SLICE_X60Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y48 NUM_PINS 50 SITEPROP SLICE_X60Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y48 PROHIBIT 0 SITEPROP SLICE_X60Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y48 RPM_X 161 SITEPROP SLICE_X60Y48 RPM_Y 96 SITEPROP SLICE_X60Y48 SITE_PIPS SITEPROP SLICE_X60Y48 SITE_TYPE SLICEM SITEPROP SLICE_X60Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y49 CLASS site SITEPROP SLICE_X60Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X60Y49 IS_BONDED 0 SITEPROP SLICE_X60Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y49 IS_PAD 0 SITEPROP SLICE_X60Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y49 IS_RESERVED 0 SITEPROP SLICE_X60Y49 IS_TEST 0 SITEPROP SLICE_X60Y49 IS_USED 0 SITEPROP SLICE_X60Y49 MANUAL_ROUTING SITEPROP SLICE_X60Y49 NAME SLICE_X60Y49 SITEPROP SLICE_X60Y49 NUM_ARCS 153 SITEPROP SLICE_X60Y49 NUM_BELS 32 SITEPROP SLICE_X60Y49 NUM_INPUTS 37 SITEPROP SLICE_X60Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y49 NUM_PINS 50 SITEPROP SLICE_X60Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y49 PROHIBIT 0 SITEPROP SLICE_X60Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y49 RPM_X 161 SITEPROP SLICE_X60Y49 RPM_Y 98 SITEPROP SLICE_X60Y49 SITE_PIPS SITEPROP SLICE_X60Y49 SITE_TYPE SLICEM SITEPROP SLICE_X60Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y50 CLASS site SITEPROP SLICE_X60Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y50 IS_BONDED 0 SITEPROP SLICE_X60Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y50 IS_PAD 0 SITEPROP SLICE_X60Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y50 IS_RESERVED 0 SITEPROP SLICE_X60Y50 IS_TEST 0 SITEPROP SLICE_X60Y50 IS_USED 0 SITEPROP SLICE_X60Y50 MANUAL_ROUTING SITEPROP SLICE_X60Y50 NAME SLICE_X60Y50 SITEPROP SLICE_X60Y50 NUM_ARCS 153 SITEPROP SLICE_X60Y50 NUM_BELS 32 SITEPROP SLICE_X60Y50 NUM_INPUTS 37 SITEPROP SLICE_X60Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y50 NUM_PINS 50 SITEPROP SLICE_X60Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y50 PROHIBIT 0 SITEPROP SLICE_X60Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y50 RPM_X 161 SITEPROP SLICE_X60Y50 RPM_Y 100 SITEPROP SLICE_X60Y50 SITE_PIPS SITEPROP SLICE_X60Y50 SITE_TYPE SLICEM SITEPROP SLICE_X60Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y51 CLASS site SITEPROP SLICE_X60Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y51 IS_BONDED 0 SITEPROP SLICE_X60Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y51 IS_PAD 0 SITEPROP SLICE_X60Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y51 IS_RESERVED 0 SITEPROP SLICE_X60Y51 IS_TEST 0 SITEPROP SLICE_X60Y51 IS_USED 0 SITEPROP SLICE_X60Y51 MANUAL_ROUTING SITEPROP SLICE_X60Y51 NAME SLICE_X60Y51 SITEPROP SLICE_X60Y51 NUM_ARCS 153 SITEPROP SLICE_X60Y51 NUM_BELS 32 SITEPROP SLICE_X60Y51 NUM_INPUTS 37 SITEPROP SLICE_X60Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y51 NUM_PINS 50 SITEPROP SLICE_X60Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y51 PROHIBIT 0 SITEPROP SLICE_X60Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y51 RPM_X 161 SITEPROP SLICE_X60Y51 RPM_Y 102 SITEPROP SLICE_X60Y51 SITE_PIPS SITEPROP SLICE_X60Y51 SITE_TYPE SLICEM SITEPROP SLICE_X60Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y52 CLASS site SITEPROP SLICE_X60Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y52 IS_BONDED 0 SITEPROP SLICE_X60Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y52 IS_PAD 0 SITEPROP SLICE_X60Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y52 IS_RESERVED 0 SITEPROP SLICE_X60Y52 IS_TEST 0 SITEPROP SLICE_X60Y52 IS_USED 0 SITEPROP SLICE_X60Y52 MANUAL_ROUTING SITEPROP SLICE_X60Y52 NAME SLICE_X60Y52 SITEPROP SLICE_X60Y52 NUM_ARCS 153 SITEPROP SLICE_X60Y52 NUM_BELS 32 SITEPROP SLICE_X60Y52 NUM_INPUTS 37 SITEPROP SLICE_X60Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y52 NUM_PINS 50 SITEPROP SLICE_X60Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y52 PROHIBIT 0 SITEPROP SLICE_X60Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y52 RPM_X 161 SITEPROP SLICE_X60Y52 RPM_Y 104 SITEPROP SLICE_X60Y52 SITE_PIPS SITEPROP SLICE_X60Y52 SITE_TYPE SLICEM SITEPROP SLICE_X60Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y53 CLASS site SITEPROP SLICE_X60Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y53 IS_BONDED 0 SITEPROP SLICE_X60Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y53 IS_PAD 0 SITEPROP SLICE_X60Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y53 IS_RESERVED 0 SITEPROP SLICE_X60Y53 IS_TEST 0 SITEPROP SLICE_X60Y53 IS_USED 0 SITEPROP SLICE_X60Y53 MANUAL_ROUTING SITEPROP SLICE_X60Y53 NAME SLICE_X60Y53 SITEPROP SLICE_X60Y53 NUM_ARCS 153 SITEPROP SLICE_X60Y53 NUM_BELS 32 SITEPROP SLICE_X60Y53 NUM_INPUTS 37 SITEPROP SLICE_X60Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y53 NUM_PINS 50 SITEPROP SLICE_X60Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y53 PROHIBIT 0 SITEPROP SLICE_X60Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y53 RPM_X 161 SITEPROP SLICE_X60Y53 RPM_Y 106 SITEPROP SLICE_X60Y53 SITE_PIPS SITEPROP SLICE_X60Y53 SITE_TYPE SLICEM SITEPROP SLICE_X60Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y54 CLASS site SITEPROP SLICE_X60Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y54 IS_BONDED 0 SITEPROP SLICE_X60Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y54 IS_PAD 0 SITEPROP SLICE_X60Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y54 IS_RESERVED 0 SITEPROP SLICE_X60Y54 IS_TEST 0 SITEPROP SLICE_X60Y54 IS_USED 0 SITEPROP SLICE_X60Y54 MANUAL_ROUTING SITEPROP SLICE_X60Y54 NAME SLICE_X60Y54 SITEPROP SLICE_X60Y54 NUM_ARCS 153 SITEPROP SLICE_X60Y54 NUM_BELS 32 SITEPROP SLICE_X60Y54 NUM_INPUTS 37 SITEPROP SLICE_X60Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y54 NUM_PINS 50 SITEPROP SLICE_X60Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y54 PROHIBIT 0 SITEPROP SLICE_X60Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y54 RPM_X 161 SITEPROP SLICE_X60Y54 RPM_Y 108 SITEPROP SLICE_X60Y54 SITE_PIPS SITEPROP SLICE_X60Y54 SITE_TYPE SLICEM SITEPROP SLICE_X60Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y55 CLASS site SITEPROP SLICE_X60Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y55 IS_BONDED 0 SITEPROP SLICE_X60Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y55 IS_PAD 0 SITEPROP SLICE_X60Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y55 IS_RESERVED 0 SITEPROP SLICE_X60Y55 IS_TEST 0 SITEPROP SLICE_X60Y55 IS_USED 0 SITEPROP SLICE_X60Y55 MANUAL_ROUTING SITEPROP SLICE_X60Y55 NAME SLICE_X60Y55 SITEPROP SLICE_X60Y55 NUM_ARCS 153 SITEPROP SLICE_X60Y55 NUM_BELS 32 SITEPROP SLICE_X60Y55 NUM_INPUTS 37 SITEPROP SLICE_X60Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y55 NUM_PINS 50 SITEPROP SLICE_X60Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y55 PROHIBIT 0 SITEPROP SLICE_X60Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y55 RPM_X 161 SITEPROP SLICE_X60Y55 RPM_Y 110 SITEPROP SLICE_X60Y55 SITE_PIPS SITEPROP SLICE_X60Y55 SITE_TYPE SLICEM SITEPROP SLICE_X60Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y56 CLASS site SITEPROP SLICE_X60Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y56 IS_BONDED 0 SITEPROP SLICE_X60Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y56 IS_PAD 0 SITEPROP SLICE_X60Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y56 IS_RESERVED 0 SITEPROP SLICE_X60Y56 IS_TEST 0 SITEPROP SLICE_X60Y56 IS_USED 0 SITEPROP SLICE_X60Y56 MANUAL_ROUTING SITEPROP SLICE_X60Y56 NAME SLICE_X60Y56 SITEPROP SLICE_X60Y56 NUM_ARCS 153 SITEPROP SLICE_X60Y56 NUM_BELS 32 SITEPROP SLICE_X60Y56 NUM_INPUTS 37 SITEPROP SLICE_X60Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y56 NUM_PINS 50 SITEPROP SLICE_X60Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y56 PROHIBIT 0 SITEPROP SLICE_X60Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y56 RPM_X 161 SITEPROP SLICE_X60Y56 RPM_Y 112 SITEPROP SLICE_X60Y56 SITE_PIPS SITEPROP SLICE_X60Y56 SITE_TYPE SLICEM SITEPROP SLICE_X60Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y57 CLASS site SITEPROP SLICE_X60Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y57 IS_BONDED 0 SITEPROP SLICE_X60Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y57 IS_PAD 0 SITEPROP SLICE_X60Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y57 IS_RESERVED 0 SITEPROP SLICE_X60Y57 IS_TEST 0 SITEPROP SLICE_X60Y57 IS_USED 0 SITEPROP SLICE_X60Y57 MANUAL_ROUTING SITEPROP SLICE_X60Y57 NAME SLICE_X60Y57 SITEPROP SLICE_X60Y57 NUM_ARCS 153 SITEPROP SLICE_X60Y57 NUM_BELS 32 SITEPROP SLICE_X60Y57 NUM_INPUTS 37 SITEPROP SLICE_X60Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y57 NUM_PINS 50 SITEPROP SLICE_X60Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y57 PROHIBIT 0 SITEPROP SLICE_X60Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y57 RPM_X 161 SITEPROP SLICE_X60Y57 RPM_Y 114 SITEPROP SLICE_X60Y57 SITE_PIPS SITEPROP SLICE_X60Y57 SITE_TYPE SLICEM SITEPROP SLICE_X60Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y58 CLASS site SITEPROP SLICE_X60Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y58 IS_BONDED 0 SITEPROP SLICE_X60Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y58 IS_PAD 0 SITEPROP SLICE_X60Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y58 IS_RESERVED 0 SITEPROP SLICE_X60Y58 IS_TEST 0 SITEPROP SLICE_X60Y58 IS_USED 0 SITEPROP SLICE_X60Y58 MANUAL_ROUTING SITEPROP SLICE_X60Y58 NAME SLICE_X60Y58 SITEPROP SLICE_X60Y58 NUM_ARCS 153 SITEPROP SLICE_X60Y58 NUM_BELS 32 SITEPROP SLICE_X60Y58 NUM_INPUTS 37 SITEPROP SLICE_X60Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y58 NUM_PINS 50 SITEPROP SLICE_X60Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y58 PROHIBIT 0 SITEPROP SLICE_X60Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y58 RPM_X 161 SITEPROP SLICE_X60Y58 RPM_Y 116 SITEPROP SLICE_X60Y58 SITE_PIPS SITEPROP SLICE_X60Y58 SITE_TYPE SLICEM SITEPROP SLICE_X60Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y59 CLASS site SITEPROP SLICE_X60Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y59 IS_BONDED 0 SITEPROP SLICE_X60Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y59 IS_PAD 0 SITEPROP SLICE_X60Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y59 IS_RESERVED 0 SITEPROP SLICE_X60Y59 IS_TEST 0 SITEPROP SLICE_X60Y59 IS_USED 0 SITEPROP SLICE_X60Y59 MANUAL_ROUTING SITEPROP SLICE_X60Y59 NAME SLICE_X60Y59 SITEPROP SLICE_X60Y59 NUM_ARCS 153 SITEPROP SLICE_X60Y59 NUM_BELS 32 SITEPROP SLICE_X60Y59 NUM_INPUTS 37 SITEPROP SLICE_X60Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y59 NUM_PINS 50 SITEPROP SLICE_X60Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y59 PROHIBIT 0 SITEPROP SLICE_X60Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y59 RPM_X 161 SITEPROP SLICE_X60Y59 RPM_Y 118 SITEPROP SLICE_X60Y59 SITE_PIPS SITEPROP SLICE_X60Y59 SITE_TYPE SLICEM SITEPROP SLICE_X60Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y60 CLASS site SITEPROP SLICE_X60Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y60 IS_BONDED 0 SITEPROP SLICE_X60Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y60 IS_PAD 0 SITEPROP SLICE_X60Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y60 IS_RESERVED 0 SITEPROP SLICE_X60Y60 IS_TEST 0 SITEPROP SLICE_X60Y60 IS_USED 0 SITEPROP SLICE_X60Y60 MANUAL_ROUTING SITEPROP SLICE_X60Y60 NAME SLICE_X60Y60 SITEPROP SLICE_X60Y60 NUM_ARCS 153 SITEPROP SLICE_X60Y60 NUM_BELS 32 SITEPROP SLICE_X60Y60 NUM_INPUTS 37 SITEPROP SLICE_X60Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y60 NUM_PINS 50 SITEPROP SLICE_X60Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y60 PROHIBIT 0 SITEPROP SLICE_X60Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y60 RPM_X 161 SITEPROP SLICE_X60Y60 RPM_Y 120 SITEPROP SLICE_X60Y60 SITE_PIPS SITEPROP SLICE_X60Y60 SITE_TYPE SLICEM SITEPROP SLICE_X60Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y61 CLASS site SITEPROP SLICE_X60Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y61 IS_BONDED 0 SITEPROP SLICE_X60Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y61 IS_PAD 0 SITEPROP SLICE_X60Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y61 IS_RESERVED 0 SITEPROP SLICE_X60Y61 IS_TEST 0 SITEPROP SLICE_X60Y61 IS_USED 0 SITEPROP SLICE_X60Y61 MANUAL_ROUTING SITEPROP SLICE_X60Y61 NAME SLICE_X60Y61 SITEPROP SLICE_X60Y61 NUM_ARCS 153 SITEPROP SLICE_X60Y61 NUM_BELS 32 SITEPROP SLICE_X60Y61 NUM_INPUTS 37 SITEPROP SLICE_X60Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y61 NUM_PINS 50 SITEPROP SLICE_X60Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y61 PROHIBIT 0 SITEPROP SLICE_X60Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y61 RPM_X 161 SITEPROP SLICE_X60Y61 RPM_Y 122 SITEPROP SLICE_X60Y61 SITE_PIPS SITEPROP SLICE_X60Y61 SITE_TYPE SLICEM SITEPROP SLICE_X60Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y62 CLASS site SITEPROP SLICE_X60Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y62 IS_BONDED 0 SITEPROP SLICE_X60Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y62 IS_PAD 0 SITEPROP SLICE_X60Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y62 IS_RESERVED 0 SITEPROP SLICE_X60Y62 IS_TEST 0 SITEPROP SLICE_X60Y62 IS_USED 0 SITEPROP SLICE_X60Y62 MANUAL_ROUTING SITEPROP SLICE_X60Y62 NAME SLICE_X60Y62 SITEPROP SLICE_X60Y62 NUM_ARCS 153 SITEPROP SLICE_X60Y62 NUM_BELS 32 SITEPROP SLICE_X60Y62 NUM_INPUTS 37 SITEPROP SLICE_X60Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y62 NUM_PINS 50 SITEPROP SLICE_X60Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y62 PROHIBIT 0 SITEPROP SLICE_X60Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y62 RPM_X 161 SITEPROP SLICE_X60Y62 RPM_Y 124 SITEPROP SLICE_X60Y62 SITE_PIPS SITEPROP SLICE_X60Y62 SITE_TYPE SLICEM SITEPROP SLICE_X60Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y63 CLASS site SITEPROP SLICE_X60Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y63 IS_BONDED 0 SITEPROP SLICE_X60Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y63 IS_PAD 0 SITEPROP SLICE_X60Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y63 IS_RESERVED 0 SITEPROP SLICE_X60Y63 IS_TEST 0 SITEPROP SLICE_X60Y63 IS_USED 0 SITEPROP SLICE_X60Y63 MANUAL_ROUTING SITEPROP SLICE_X60Y63 NAME SLICE_X60Y63 SITEPROP SLICE_X60Y63 NUM_ARCS 153 SITEPROP SLICE_X60Y63 NUM_BELS 32 SITEPROP SLICE_X60Y63 NUM_INPUTS 37 SITEPROP SLICE_X60Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y63 NUM_PINS 50 SITEPROP SLICE_X60Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y63 PROHIBIT 0 SITEPROP SLICE_X60Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y63 RPM_X 161 SITEPROP SLICE_X60Y63 RPM_Y 126 SITEPROP SLICE_X60Y63 SITE_PIPS SITEPROP SLICE_X60Y63 SITE_TYPE SLICEM SITEPROP SLICE_X60Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y64 CLASS site SITEPROP SLICE_X60Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y64 IS_BONDED 0 SITEPROP SLICE_X60Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y64 IS_PAD 0 SITEPROP SLICE_X60Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y64 IS_RESERVED 0 SITEPROP SLICE_X60Y64 IS_TEST 0 SITEPROP SLICE_X60Y64 IS_USED 0 SITEPROP SLICE_X60Y64 MANUAL_ROUTING SITEPROP SLICE_X60Y64 NAME SLICE_X60Y64 SITEPROP SLICE_X60Y64 NUM_ARCS 153 SITEPROP SLICE_X60Y64 NUM_BELS 32 SITEPROP SLICE_X60Y64 NUM_INPUTS 37 SITEPROP SLICE_X60Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y64 NUM_PINS 50 SITEPROP SLICE_X60Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y64 PROHIBIT 0 SITEPROP SLICE_X60Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y64 RPM_X 161 SITEPROP SLICE_X60Y64 RPM_Y 128 SITEPROP SLICE_X60Y64 SITE_PIPS SITEPROP SLICE_X60Y64 SITE_TYPE SLICEM SITEPROP SLICE_X60Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y65 CLASS site SITEPROP SLICE_X60Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y65 IS_BONDED 0 SITEPROP SLICE_X60Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y65 IS_PAD 0 SITEPROP SLICE_X60Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y65 IS_RESERVED 0 SITEPROP SLICE_X60Y65 IS_TEST 0 SITEPROP SLICE_X60Y65 IS_USED 0 SITEPROP SLICE_X60Y65 MANUAL_ROUTING SITEPROP SLICE_X60Y65 NAME SLICE_X60Y65 SITEPROP SLICE_X60Y65 NUM_ARCS 153 SITEPROP SLICE_X60Y65 NUM_BELS 32 SITEPROP SLICE_X60Y65 NUM_INPUTS 37 SITEPROP SLICE_X60Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y65 NUM_PINS 50 SITEPROP SLICE_X60Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y65 PROHIBIT 0 SITEPROP SLICE_X60Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y65 RPM_X 161 SITEPROP SLICE_X60Y65 RPM_Y 130 SITEPROP SLICE_X60Y65 SITE_PIPS SITEPROP SLICE_X60Y65 SITE_TYPE SLICEM SITEPROP SLICE_X60Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y66 CLASS site SITEPROP SLICE_X60Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y66 IS_BONDED 0 SITEPROP SLICE_X60Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y66 IS_PAD 0 SITEPROP SLICE_X60Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y66 IS_RESERVED 0 SITEPROP SLICE_X60Y66 IS_TEST 0 SITEPROP SLICE_X60Y66 IS_USED 0 SITEPROP SLICE_X60Y66 MANUAL_ROUTING SITEPROP SLICE_X60Y66 NAME SLICE_X60Y66 SITEPROP SLICE_X60Y66 NUM_ARCS 153 SITEPROP SLICE_X60Y66 NUM_BELS 32 SITEPROP SLICE_X60Y66 NUM_INPUTS 37 SITEPROP SLICE_X60Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y66 NUM_PINS 50 SITEPROP SLICE_X60Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y66 PROHIBIT 0 SITEPROP SLICE_X60Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y66 RPM_X 161 SITEPROP SLICE_X60Y66 RPM_Y 132 SITEPROP SLICE_X60Y66 SITE_PIPS SITEPROP SLICE_X60Y66 SITE_TYPE SLICEM SITEPROP SLICE_X60Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y67 CLASS site SITEPROP SLICE_X60Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y67 IS_BONDED 0 SITEPROP SLICE_X60Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y67 IS_PAD 0 SITEPROP SLICE_X60Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y67 IS_RESERVED 0 SITEPROP SLICE_X60Y67 IS_TEST 0 SITEPROP SLICE_X60Y67 IS_USED 0 SITEPROP SLICE_X60Y67 MANUAL_ROUTING SITEPROP SLICE_X60Y67 NAME SLICE_X60Y67 SITEPROP SLICE_X60Y67 NUM_ARCS 153 SITEPROP SLICE_X60Y67 NUM_BELS 32 SITEPROP SLICE_X60Y67 NUM_INPUTS 37 SITEPROP SLICE_X60Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y67 NUM_PINS 50 SITEPROP SLICE_X60Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y67 PROHIBIT 0 SITEPROP SLICE_X60Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y67 RPM_X 161 SITEPROP SLICE_X60Y67 RPM_Y 134 SITEPROP SLICE_X60Y67 SITE_PIPS SITEPROP SLICE_X60Y67 SITE_TYPE SLICEM SITEPROP SLICE_X60Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y68 CLASS site SITEPROP SLICE_X60Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y68 IS_BONDED 0 SITEPROP SLICE_X60Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y68 IS_PAD 0 SITEPROP SLICE_X60Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y68 IS_RESERVED 0 SITEPROP SLICE_X60Y68 IS_TEST 0 SITEPROP SLICE_X60Y68 IS_USED 0 SITEPROP SLICE_X60Y68 MANUAL_ROUTING SITEPROP SLICE_X60Y68 NAME SLICE_X60Y68 SITEPROP SLICE_X60Y68 NUM_ARCS 153 SITEPROP SLICE_X60Y68 NUM_BELS 32 SITEPROP SLICE_X60Y68 NUM_INPUTS 37 SITEPROP SLICE_X60Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y68 NUM_PINS 50 SITEPROP SLICE_X60Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y68 PROHIBIT 0 SITEPROP SLICE_X60Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y68 RPM_X 161 SITEPROP SLICE_X60Y68 RPM_Y 136 SITEPROP SLICE_X60Y68 SITE_PIPS SITEPROP SLICE_X60Y68 SITE_TYPE SLICEM SITEPROP SLICE_X60Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y69 CLASS site SITEPROP SLICE_X60Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y69 IS_BONDED 0 SITEPROP SLICE_X60Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y69 IS_PAD 0 SITEPROP SLICE_X60Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y69 IS_RESERVED 0 SITEPROP SLICE_X60Y69 IS_TEST 0 SITEPROP SLICE_X60Y69 IS_USED 0 SITEPROP SLICE_X60Y69 MANUAL_ROUTING SITEPROP SLICE_X60Y69 NAME SLICE_X60Y69 SITEPROP SLICE_X60Y69 NUM_ARCS 153 SITEPROP SLICE_X60Y69 NUM_BELS 32 SITEPROP SLICE_X60Y69 NUM_INPUTS 37 SITEPROP SLICE_X60Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y69 NUM_PINS 50 SITEPROP SLICE_X60Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y69 PROHIBIT 0 SITEPROP SLICE_X60Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y69 RPM_X 161 SITEPROP SLICE_X60Y69 RPM_Y 138 SITEPROP SLICE_X60Y69 SITE_PIPS SITEPROP SLICE_X60Y69 SITE_TYPE SLICEM SITEPROP SLICE_X60Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y70 CLASS site SITEPROP SLICE_X60Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y70 IS_BONDED 0 SITEPROP SLICE_X60Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y70 IS_PAD 0 SITEPROP SLICE_X60Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y70 IS_RESERVED 0 SITEPROP SLICE_X60Y70 IS_TEST 0 SITEPROP SLICE_X60Y70 IS_USED 0 SITEPROP SLICE_X60Y70 MANUAL_ROUTING SITEPROP SLICE_X60Y70 NAME SLICE_X60Y70 SITEPROP SLICE_X60Y70 NUM_ARCS 153 SITEPROP SLICE_X60Y70 NUM_BELS 32 SITEPROP SLICE_X60Y70 NUM_INPUTS 37 SITEPROP SLICE_X60Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y70 NUM_PINS 50 SITEPROP SLICE_X60Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y70 PROHIBIT 0 SITEPROP SLICE_X60Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y70 RPM_X 161 SITEPROP SLICE_X60Y70 RPM_Y 140 SITEPROP SLICE_X60Y70 SITE_PIPS SITEPROP SLICE_X60Y70 SITE_TYPE SLICEM SITEPROP SLICE_X60Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y71 CLASS site SITEPROP SLICE_X60Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y71 IS_BONDED 0 SITEPROP SLICE_X60Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y71 IS_PAD 0 SITEPROP SLICE_X60Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y71 IS_RESERVED 0 SITEPROP SLICE_X60Y71 IS_TEST 0 SITEPROP SLICE_X60Y71 IS_USED 0 SITEPROP SLICE_X60Y71 MANUAL_ROUTING SITEPROP SLICE_X60Y71 NAME SLICE_X60Y71 SITEPROP SLICE_X60Y71 NUM_ARCS 153 SITEPROP SLICE_X60Y71 NUM_BELS 32 SITEPROP SLICE_X60Y71 NUM_INPUTS 37 SITEPROP SLICE_X60Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y71 NUM_PINS 50 SITEPROP SLICE_X60Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y71 PROHIBIT 0 SITEPROP SLICE_X60Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y71 RPM_X 161 SITEPROP SLICE_X60Y71 RPM_Y 142 SITEPROP SLICE_X60Y71 SITE_PIPS SITEPROP SLICE_X60Y71 SITE_TYPE SLICEM SITEPROP SLICE_X60Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y72 CLASS site SITEPROP SLICE_X60Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y72 IS_BONDED 0 SITEPROP SLICE_X60Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y72 IS_PAD 0 SITEPROP SLICE_X60Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y72 IS_RESERVED 0 SITEPROP SLICE_X60Y72 IS_TEST 0 SITEPROP SLICE_X60Y72 IS_USED 0 SITEPROP SLICE_X60Y72 MANUAL_ROUTING SITEPROP SLICE_X60Y72 NAME SLICE_X60Y72 SITEPROP SLICE_X60Y72 NUM_ARCS 153 SITEPROP SLICE_X60Y72 NUM_BELS 32 SITEPROP SLICE_X60Y72 NUM_INPUTS 37 SITEPROP SLICE_X60Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y72 NUM_PINS 50 SITEPROP SLICE_X60Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y72 PROHIBIT 0 SITEPROP SLICE_X60Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y72 RPM_X 161 SITEPROP SLICE_X60Y72 RPM_Y 144 SITEPROP SLICE_X60Y72 SITE_PIPS SITEPROP SLICE_X60Y72 SITE_TYPE SLICEM SITEPROP SLICE_X60Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y73 CLASS site SITEPROP SLICE_X60Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y73 IS_BONDED 0 SITEPROP SLICE_X60Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y73 IS_PAD 0 SITEPROP SLICE_X60Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y73 IS_RESERVED 0 SITEPROP SLICE_X60Y73 IS_TEST 0 SITEPROP SLICE_X60Y73 IS_USED 0 SITEPROP SLICE_X60Y73 MANUAL_ROUTING SITEPROP SLICE_X60Y73 NAME SLICE_X60Y73 SITEPROP SLICE_X60Y73 NUM_ARCS 153 SITEPROP SLICE_X60Y73 NUM_BELS 32 SITEPROP SLICE_X60Y73 NUM_INPUTS 37 SITEPROP SLICE_X60Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y73 NUM_PINS 50 SITEPROP SLICE_X60Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y73 PROHIBIT 0 SITEPROP SLICE_X60Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y73 RPM_X 161 SITEPROP SLICE_X60Y73 RPM_Y 146 SITEPROP SLICE_X60Y73 SITE_PIPS SITEPROP SLICE_X60Y73 SITE_TYPE SLICEM SITEPROP SLICE_X60Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y74 CLASS site SITEPROP SLICE_X60Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y74 IS_BONDED 0 SITEPROP SLICE_X60Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y74 IS_PAD 0 SITEPROP SLICE_X60Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y74 IS_RESERVED 0 SITEPROP SLICE_X60Y74 IS_TEST 0 SITEPROP SLICE_X60Y74 IS_USED 0 SITEPROP SLICE_X60Y74 MANUAL_ROUTING SITEPROP SLICE_X60Y74 NAME SLICE_X60Y74 SITEPROP SLICE_X60Y74 NUM_ARCS 153 SITEPROP SLICE_X60Y74 NUM_BELS 32 SITEPROP SLICE_X60Y74 NUM_INPUTS 37 SITEPROP SLICE_X60Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y74 NUM_PINS 50 SITEPROP SLICE_X60Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y74 PROHIBIT 0 SITEPROP SLICE_X60Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y74 RPM_X 161 SITEPROP SLICE_X60Y74 RPM_Y 148 SITEPROP SLICE_X60Y74 SITE_PIPS SITEPROP SLICE_X60Y74 SITE_TYPE SLICEM SITEPROP SLICE_X60Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y75 CLASS site SITEPROP SLICE_X60Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y75 IS_BONDED 0 SITEPROP SLICE_X60Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y75 IS_PAD 0 SITEPROP SLICE_X60Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y75 IS_RESERVED 0 SITEPROP SLICE_X60Y75 IS_TEST 0 SITEPROP SLICE_X60Y75 IS_USED 0 SITEPROP SLICE_X60Y75 MANUAL_ROUTING SITEPROP SLICE_X60Y75 NAME SLICE_X60Y75 SITEPROP SLICE_X60Y75 NUM_ARCS 153 SITEPROP SLICE_X60Y75 NUM_BELS 32 SITEPROP SLICE_X60Y75 NUM_INPUTS 37 SITEPROP SLICE_X60Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y75 NUM_PINS 50 SITEPROP SLICE_X60Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y75 PROHIBIT 0 SITEPROP SLICE_X60Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y75 RPM_X 161 SITEPROP SLICE_X60Y75 RPM_Y 150 SITEPROP SLICE_X60Y75 SITE_PIPS SITEPROP SLICE_X60Y75 SITE_TYPE SLICEM SITEPROP SLICE_X60Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y76 CLASS site SITEPROP SLICE_X60Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y76 IS_BONDED 0 SITEPROP SLICE_X60Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y76 IS_PAD 0 SITEPROP SLICE_X60Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y76 IS_RESERVED 0 SITEPROP SLICE_X60Y76 IS_TEST 0 SITEPROP SLICE_X60Y76 IS_USED 0 SITEPROP SLICE_X60Y76 MANUAL_ROUTING SITEPROP SLICE_X60Y76 NAME SLICE_X60Y76 SITEPROP SLICE_X60Y76 NUM_ARCS 153 SITEPROP SLICE_X60Y76 NUM_BELS 32 SITEPROP SLICE_X60Y76 NUM_INPUTS 37 SITEPROP SLICE_X60Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y76 NUM_PINS 50 SITEPROP SLICE_X60Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y76 PROHIBIT 0 SITEPROP SLICE_X60Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y76 RPM_X 161 SITEPROP SLICE_X60Y76 RPM_Y 152 SITEPROP SLICE_X60Y76 SITE_PIPS SITEPROP SLICE_X60Y76 SITE_TYPE SLICEM SITEPROP SLICE_X60Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y77 CLASS site SITEPROP SLICE_X60Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y77 IS_BONDED 0 SITEPROP SLICE_X60Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y77 IS_PAD 0 SITEPROP SLICE_X60Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y77 IS_RESERVED 0 SITEPROP SLICE_X60Y77 IS_TEST 0 SITEPROP SLICE_X60Y77 IS_USED 0 SITEPROP SLICE_X60Y77 MANUAL_ROUTING SITEPROP SLICE_X60Y77 NAME SLICE_X60Y77 SITEPROP SLICE_X60Y77 NUM_ARCS 153 SITEPROP SLICE_X60Y77 NUM_BELS 32 SITEPROP SLICE_X60Y77 NUM_INPUTS 37 SITEPROP SLICE_X60Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y77 NUM_PINS 50 SITEPROP SLICE_X60Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y77 PROHIBIT 0 SITEPROP SLICE_X60Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y77 RPM_X 161 SITEPROP SLICE_X60Y77 RPM_Y 154 SITEPROP SLICE_X60Y77 SITE_PIPS SITEPROP SLICE_X60Y77 SITE_TYPE SLICEM SITEPROP SLICE_X60Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y78 CLASS site SITEPROP SLICE_X60Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y78 IS_BONDED 0 SITEPROP SLICE_X60Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y78 IS_PAD 0 SITEPROP SLICE_X60Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y78 IS_RESERVED 0 SITEPROP SLICE_X60Y78 IS_TEST 0 SITEPROP SLICE_X60Y78 IS_USED 0 SITEPROP SLICE_X60Y78 MANUAL_ROUTING SITEPROP SLICE_X60Y78 NAME SLICE_X60Y78 SITEPROP SLICE_X60Y78 NUM_ARCS 153 SITEPROP SLICE_X60Y78 NUM_BELS 32 SITEPROP SLICE_X60Y78 NUM_INPUTS 37 SITEPROP SLICE_X60Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y78 NUM_PINS 50 SITEPROP SLICE_X60Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y78 PROHIBIT 0 SITEPROP SLICE_X60Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y78 RPM_X 161 SITEPROP SLICE_X60Y78 RPM_Y 156 SITEPROP SLICE_X60Y78 SITE_PIPS SITEPROP SLICE_X60Y78 SITE_TYPE SLICEM SITEPROP SLICE_X60Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y79 CLASS site SITEPROP SLICE_X60Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y79 IS_BONDED 0 SITEPROP SLICE_X60Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y79 IS_PAD 0 SITEPROP SLICE_X60Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y79 IS_RESERVED 0 SITEPROP SLICE_X60Y79 IS_TEST 0 SITEPROP SLICE_X60Y79 IS_USED 0 SITEPROP SLICE_X60Y79 MANUAL_ROUTING SITEPROP SLICE_X60Y79 NAME SLICE_X60Y79 SITEPROP SLICE_X60Y79 NUM_ARCS 153 SITEPROP SLICE_X60Y79 NUM_BELS 32 SITEPROP SLICE_X60Y79 NUM_INPUTS 37 SITEPROP SLICE_X60Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y79 NUM_PINS 50 SITEPROP SLICE_X60Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y79 PROHIBIT 0 SITEPROP SLICE_X60Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y79 RPM_X 161 SITEPROP SLICE_X60Y79 RPM_Y 158 SITEPROP SLICE_X60Y79 SITE_PIPS SITEPROP SLICE_X60Y79 SITE_TYPE SLICEM SITEPROP SLICE_X60Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y80 CLASS site SITEPROP SLICE_X60Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y80 IS_BONDED 0 SITEPROP SLICE_X60Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y80 IS_PAD 0 SITEPROP SLICE_X60Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y80 IS_RESERVED 0 SITEPROP SLICE_X60Y80 IS_TEST 0 SITEPROP SLICE_X60Y80 IS_USED 0 SITEPROP SLICE_X60Y80 MANUAL_ROUTING SITEPROP SLICE_X60Y80 NAME SLICE_X60Y80 SITEPROP SLICE_X60Y80 NUM_ARCS 153 SITEPROP SLICE_X60Y80 NUM_BELS 32 SITEPROP SLICE_X60Y80 NUM_INPUTS 37 SITEPROP SLICE_X60Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y80 NUM_PINS 50 SITEPROP SLICE_X60Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y80 PROHIBIT 0 SITEPROP SLICE_X60Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y80 RPM_X 161 SITEPROP SLICE_X60Y80 RPM_Y 160 SITEPROP SLICE_X60Y80 SITE_PIPS SITEPROP SLICE_X60Y80 SITE_TYPE SLICEM SITEPROP SLICE_X60Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y81 CLASS site SITEPROP SLICE_X60Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y81 IS_BONDED 0 SITEPROP SLICE_X60Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y81 IS_PAD 0 SITEPROP SLICE_X60Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y81 IS_RESERVED 0 SITEPROP SLICE_X60Y81 IS_TEST 0 SITEPROP SLICE_X60Y81 IS_USED 0 SITEPROP SLICE_X60Y81 MANUAL_ROUTING SITEPROP SLICE_X60Y81 NAME SLICE_X60Y81 SITEPROP SLICE_X60Y81 NUM_ARCS 153 SITEPROP SLICE_X60Y81 NUM_BELS 32 SITEPROP SLICE_X60Y81 NUM_INPUTS 37 SITEPROP SLICE_X60Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y81 NUM_PINS 50 SITEPROP SLICE_X60Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y81 PROHIBIT 0 SITEPROP SLICE_X60Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y81 RPM_X 161 SITEPROP SLICE_X60Y81 RPM_Y 162 SITEPROP SLICE_X60Y81 SITE_PIPS SITEPROP SLICE_X60Y81 SITE_TYPE SLICEM SITEPROP SLICE_X60Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y82 CLASS site SITEPROP SLICE_X60Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y82 IS_BONDED 0 SITEPROP SLICE_X60Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y82 IS_PAD 0 SITEPROP SLICE_X60Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y82 IS_RESERVED 0 SITEPROP SLICE_X60Y82 IS_TEST 0 SITEPROP SLICE_X60Y82 IS_USED 0 SITEPROP SLICE_X60Y82 MANUAL_ROUTING SITEPROP SLICE_X60Y82 NAME SLICE_X60Y82 SITEPROP SLICE_X60Y82 NUM_ARCS 153 SITEPROP SLICE_X60Y82 NUM_BELS 32 SITEPROP SLICE_X60Y82 NUM_INPUTS 37 SITEPROP SLICE_X60Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y82 NUM_PINS 50 SITEPROP SLICE_X60Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y82 PROHIBIT 0 SITEPROP SLICE_X60Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y82 RPM_X 161 SITEPROP SLICE_X60Y82 RPM_Y 164 SITEPROP SLICE_X60Y82 SITE_PIPS SITEPROP SLICE_X60Y82 SITE_TYPE SLICEM SITEPROP SLICE_X60Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y83 CLASS site SITEPROP SLICE_X60Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y83 IS_BONDED 0 SITEPROP SLICE_X60Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y83 IS_PAD 0 SITEPROP SLICE_X60Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y83 IS_RESERVED 0 SITEPROP SLICE_X60Y83 IS_TEST 0 SITEPROP SLICE_X60Y83 IS_USED 0 SITEPROP SLICE_X60Y83 MANUAL_ROUTING SITEPROP SLICE_X60Y83 NAME SLICE_X60Y83 SITEPROP SLICE_X60Y83 NUM_ARCS 153 SITEPROP SLICE_X60Y83 NUM_BELS 32 SITEPROP SLICE_X60Y83 NUM_INPUTS 37 SITEPROP SLICE_X60Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y83 NUM_PINS 50 SITEPROP SLICE_X60Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y83 PROHIBIT 0 SITEPROP SLICE_X60Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y83 RPM_X 161 SITEPROP SLICE_X60Y83 RPM_Y 166 SITEPROP SLICE_X60Y83 SITE_PIPS SITEPROP SLICE_X60Y83 SITE_TYPE SLICEM SITEPROP SLICE_X60Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y84 CLASS site SITEPROP SLICE_X60Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y84 IS_BONDED 0 SITEPROP SLICE_X60Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y84 IS_PAD 0 SITEPROP SLICE_X60Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y84 IS_RESERVED 0 SITEPROP SLICE_X60Y84 IS_TEST 0 SITEPROP SLICE_X60Y84 IS_USED 0 SITEPROP SLICE_X60Y84 MANUAL_ROUTING SITEPROP SLICE_X60Y84 NAME SLICE_X60Y84 SITEPROP SLICE_X60Y84 NUM_ARCS 153 SITEPROP SLICE_X60Y84 NUM_BELS 32 SITEPROP SLICE_X60Y84 NUM_INPUTS 37 SITEPROP SLICE_X60Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y84 NUM_PINS 50 SITEPROP SLICE_X60Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y84 PROHIBIT 0 SITEPROP SLICE_X60Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y84 RPM_X 161 SITEPROP SLICE_X60Y84 RPM_Y 168 SITEPROP SLICE_X60Y84 SITE_PIPS SITEPROP SLICE_X60Y84 SITE_TYPE SLICEM SITEPROP SLICE_X60Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y85 CLASS site SITEPROP SLICE_X60Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y85 IS_BONDED 0 SITEPROP SLICE_X60Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y85 IS_PAD 0 SITEPROP SLICE_X60Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y85 IS_RESERVED 0 SITEPROP SLICE_X60Y85 IS_TEST 0 SITEPROP SLICE_X60Y85 IS_USED 0 SITEPROP SLICE_X60Y85 MANUAL_ROUTING SITEPROP SLICE_X60Y85 NAME SLICE_X60Y85 SITEPROP SLICE_X60Y85 NUM_ARCS 153 SITEPROP SLICE_X60Y85 NUM_BELS 32 SITEPROP SLICE_X60Y85 NUM_INPUTS 37 SITEPROP SLICE_X60Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y85 NUM_PINS 50 SITEPROP SLICE_X60Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y85 PROHIBIT 0 SITEPROP SLICE_X60Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y85 RPM_X 161 SITEPROP SLICE_X60Y85 RPM_Y 170 SITEPROP SLICE_X60Y85 SITE_PIPS SITEPROP SLICE_X60Y85 SITE_TYPE SLICEM SITEPROP SLICE_X60Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y86 CLASS site SITEPROP SLICE_X60Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y86 IS_BONDED 0 SITEPROP SLICE_X60Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y86 IS_PAD 0 SITEPROP SLICE_X60Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y86 IS_RESERVED 0 SITEPROP SLICE_X60Y86 IS_TEST 0 SITEPROP SLICE_X60Y86 IS_USED 0 SITEPROP SLICE_X60Y86 MANUAL_ROUTING SITEPROP SLICE_X60Y86 NAME SLICE_X60Y86 SITEPROP SLICE_X60Y86 NUM_ARCS 153 SITEPROP SLICE_X60Y86 NUM_BELS 32 SITEPROP SLICE_X60Y86 NUM_INPUTS 37 SITEPROP SLICE_X60Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y86 NUM_PINS 50 SITEPROP SLICE_X60Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y86 PROHIBIT 0 SITEPROP SLICE_X60Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y86 RPM_X 161 SITEPROP SLICE_X60Y86 RPM_Y 172 SITEPROP SLICE_X60Y86 SITE_PIPS SITEPROP SLICE_X60Y86 SITE_TYPE SLICEM SITEPROP SLICE_X60Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y87 CLASS site SITEPROP SLICE_X60Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y87 IS_BONDED 0 SITEPROP SLICE_X60Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y87 IS_PAD 0 SITEPROP SLICE_X60Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y87 IS_RESERVED 0 SITEPROP SLICE_X60Y87 IS_TEST 0 SITEPROP SLICE_X60Y87 IS_USED 0 SITEPROP SLICE_X60Y87 MANUAL_ROUTING SITEPROP SLICE_X60Y87 NAME SLICE_X60Y87 SITEPROP SLICE_X60Y87 NUM_ARCS 153 SITEPROP SLICE_X60Y87 NUM_BELS 32 SITEPROP SLICE_X60Y87 NUM_INPUTS 37 SITEPROP SLICE_X60Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y87 NUM_PINS 50 SITEPROP SLICE_X60Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y87 PROHIBIT 0 SITEPROP SLICE_X60Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y87 RPM_X 161 SITEPROP SLICE_X60Y87 RPM_Y 174 SITEPROP SLICE_X60Y87 SITE_PIPS SITEPROP SLICE_X60Y87 SITE_TYPE SLICEM SITEPROP SLICE_X60Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y88 CLASS site SITEPROP SLICE_X60Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y88 IS_BONDED 0 SITEPROP SLICE_X60Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y88 IS_PAD 0 SITEPROP SLICE_X60Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y88 IS_RESERVED 0 SITEPROP SLICE_X60Y88 IS_TEST 0 SITEPROP SLICE_X60Y88 IS_USED 0 SITEPROP SLICE_X60Y88 MANUAL_ROUTING SITEPROP SLICE_X60Y88 NAME SLICE_X60Y88 SITEPROP SLICE_X60Y88 NUM_ARCS 153 SITEPROP SLICE_X60Y88 NUM_BELS 32 SITEPROP SLICE_X60Y88 NUM_INPUTS 37 SITEPROP SLICE_X60Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y88 NUM_PINS 50 SITEPROP SLICE_X60Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y88 PROHIBIT 0 SITEPROP SLICE_X60Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y88 RPM_X 161 SITEPROP SLICE_X60Y88 RPM_Y 176 SITEPROP SLICE_X60Y88 SITE_PIPS SITEPROP SLICE_X60Y88 SITE_TYPE SLICEM SITEPROP SLICE_X60Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y89 CLASS site SITEPROP SLICE_X60Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y89 IS_BONDED 0 SITEPROP SLICE_X60Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y89 IS_PAD 0 SITEPROP SLICE_X60Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y89 IS_RESERVED 0 SITEPROP SLICE_X60Y89 IS_TEST 0 SITEPROP SLICE_X60Y89 IS_USED 0 SITEPROP SLICE_X60Y89 MANUAL_ROUTING SITEPROP SLICE_X60Y89 NAME SLICE_X60Y89 SITEPROP SLICE_X60Y89 NUM_ARCS 153 SITEPROP SLICE_X60Y89 NUM_BELS 32 SITEPROP SLICE_X60Y89 NUM_INPUTS 37 SITEPROP SLICE_X60Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y89 NUM_PINS 50 SITEPROP SLICE_X60Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y89 PROHIBIT 0 SITEPROP SLICE_X60Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y89 RPM_X 161 SITEPROP SLICE_X60Y89 RPM_Y 178 SITEPROP SLICE_X60Y89 SITE_PIPS SITEPROP SLICE_X60Y89 SITE_TYPE SLICEM SITEPROP SLICE_X60Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y90 CLASS site SITEPROP SLICE_X60Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y90 IS_BONDED 0 SITEPROP SLICE_X60Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y90 IS_PAD 0 SITEPROP SLICE_X60Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y90 IS_RESERVED 0 SITEPROP SLICE_X60Y90 IS_TEST 0 SITEPROP SLICE_X60Y90 IS_USED 0 SITEPROP SLICE_X60Y90 MANUAL_ROUTING SITEPROP SLICE_X60Y90 NAME SLICE_X60Y90 SITEPROP SLICE_X60Y90 NUM_ARCS 153 SITEPROP SLICE_X60Y90 NUM_BELS 32 SITEPROP SLICE_X60Y90 NUM_INPUTS 37 SITEPROP SLICE_X60Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y90 NUM_PINS 50 SITEPROP SLICE_X60Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y90 PROHIBIT 0 SITEPROP SLICE_X60Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y90 RPM_X 161 SITEPROP SLICE_X60Y90 RPM_Y 180 SITEPROP SLICE_X60Y90 SITE_PIPS SITEPROP SLICE_X60Y90 SITE_TYPE SLICEM SITEPROP SLICE_X60Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y91 CLASS site SITEPROP SLICE_X60Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y91 IS_BONDED 0 SITEPROP SLICE_X60Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y91 IS_PAD 0 SITEPROP SLICE_X60Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y91 IS_RESERVED 0 SITEPROP SLICE_X60Y91 IS_TEST 0 SITEPROP SLICE_X60Y91 IS_USED 0 SITEPROP SLICE_X60Y91 MANUAL_ROUTING SITEPROP SLICE_X60Y91 NAME SLICE_X60Y91 SITEPROP SLICE_X60Y91 NUM_ARCS 153 SITEPROP SLICE_X60Y91 NUM_BELS 32 SITEPROP SLICE_X60Y91 NUM_INPUTS 37 SITEPROP SLICE_X60Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y91 NUM_PINS 50 SITEPROP SLICE_X60Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y91 PROHIBIT 0 SITEPROP SLICE_X60Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y91 RPM_X 161 SITEPROP SLICE_X60Y91 RPM_Y 182 SITEPROP SLICE_X60Y91 SITE_PIPS SITEPROP SLICE_X60Y91 SITE_TYPE SLICEM SITEPROP SLICE_X60Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y92 CLASS site SITEPROP SLICE_X60Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y92 IS_BONDED 0 SITEPROP SLICE_X60Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y92 IS_PAD 0 SITEPROP SLICE_X60Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y92 IS_RESERVED 0 SITEPROP SLICE_X60Y92 IS_TEST 0 SITEPROP SLICE_X60Y92 IS_USED 0 SITEPROP SLICE_X60Y92 MANUAL_ROUTING SITEPROP SLICE_X60Y92 NAME SLICE_X60Y92 SITEPROP SLICE_X60Y92 NUM_ARCS 153 SITEPROP SLICE_X60Y92 NUM_BELS 32 SITEPROP SLICE_X60Y92 NUM_INPUTS 37 SITEPROP SLICE_X60Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y92 NUM_PINS 50 SITEPROP SLICE_X60Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y92 PROHIBIT 0 SITEPROP SLICE_X60Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y92 RPM_X 161 SITEPROP SLICE_X60Y92 RPM_Y 184 SITEPROP SLICE_X60Y92 SITE_PIPS SITEPROP SLICE_X60Y92 SITE_TYPE SLICEM SITEPROP SLICE_X60Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y93 CLASS site SITEPROP SLICE_X60Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y93 IS_BONDED 0 SITEPROP SLICE_X60Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y93 IS_PAD 0 SITEPROP SLICE_X60Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y93 IS_RESERVED 0 SITEPROP SLICE_X60Y93 IS_TEST 0 SITEPROP SLICE_X60Y93 IS_USED 0 SITEPROP SLICE_X60Y93 MANUAL_ROUTING SITEPROP SLICE_X60Y93 NAME SLICE_X60Y93 SITEPROP SLICE_X60Y93 NUM_ARCS 153 SITEPROP SLICE_X60Y93 NUM_BELS 32 SITEPROP SLICE_X60Y93 NUM_INPUTS 37 SITEPROP SLICE_X60Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y93 NUM_PINS 50 SITEPROP SLICE_X60Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y93 PROHIBIT 0 SITEPROP SLICE_X60Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y93 RPM_X 161 SITEPROP SLICE_X60Y93 RPM_Y 186 SITEPROP SLICE_X60Y93 SITE_PIPS SITEPROP SLICE_X60Y93 SITE_TYPE SLICEM SITEPROP SLICE_X60Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y94 CLASS site SITEPROP SLICE_X60Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y94 IS_BONDED 0 SITEPROP SLICE_X60Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y94 IS_PAD 0 SITEPROP SLICE_X60Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y94 IS_RESERVED 0 SITEPROP SLICE_X60Y94 IS_TEST 0 SITEPROP SLICE_X60Y94 IS_USED 0 SITEPROP SLICE_X60Y94 MANUAL_ROUTING SITEPROP SLICE_X60Y94 NAME SLICE_X60Y94 SITEPROP SLICE_X60Y94 NUM_ARCS 153 SITEPROP SLICE_X60Y94 NUM_BELS 32 SITEPROP SLICE_X60Y94 NUM_INPUTS 37 SITEPROP SLICE_X60Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y94 NUM_PINS 50 SITEPROP SLICE_X60Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y94 PROHIBIT 0 SITEPROP SLICE_X60Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y94 RPM_X 161 SITEPROP SLICE_X60Y94 RPM_Y 188 SITEPROP SLICE_X60Y94 SITE_PIPS SITEPROP SLICE_X60Y94 SITE_TYPE SLICEM SITEPROP SLICE_X60Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y95 CLASS site SITEPROP SLICE_X60Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y95 IS_BONDED 0 SITEPROP SLICE_X60Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y95 IS_PAD 0 SITEPROP SLICE_X60Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y95 IS_RESERVED 0 SITEPROP SLICE_X60Y95 IS_TEST 0 SITEPROP SLICE_X60Y95 IS_USED 0 SITEPROP SLICE_X60Y95 MANUAL_ROUTING SITEPROP SLICE_X60Y95 NAME SLICE_X60Y95 SITEPROP SLICE_X60Y95 NUM_ARCS 153 SITEPROP SLICE_X60Y95 NUM_BELS 32 SITEPROP SLICE_X60Y95 NUM_INPUTS 37 SITEPROP SLICE_X60Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y95 NUM_PINS 50 SITEPROP SLICE_X60Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y95 PROHIBIT 0 SITEPROP SLICE_X60Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y95 RPM_X 161 SITEPROP SLICE_X60Y95 RPM_Y 190 SITEPROP SLICE_X60Y95 SITE_PIPS SITEPROP SLICE_X60Y95 SITE_TYPE SLICEM SITEPROP SLICE_X60Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y96 CLASS site SITEPROP SLICE_X60Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y96 IS_BONDED 0 SITEPROP SLICE_X60Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y96 IS_PAD 0 SITEPROP SLICE_X60Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y96 IS_RESERVED 0 SITEPROP SLICE_X60Y96 IS_TEST 0 SITEPROP SLICE_X60Y96 IS_USED 0 SITEPROP SLICE_X60Y96 MANUAL_ROUTING SITEPROP SLICE_X60Y96 NAME SLICE_X60Y96 SITEPROP SLICE_X60Y96 NUM_ARCS 153 SITEPROP SLICE_X60Y96 NUM_BELS 32 SITEPROP SLICE_X60Y96 NUM_INPUTS 37 SITEPROP SLICE_X60Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y96 NUM_PINS 50 SITEPROP SLICE_X60Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y96 PROHIBIT 0 SITEPROP SLICE_X60Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y96 RPM_X 161 SITEPROP SLICE_X60Y96 RPM_Y 192 SITEPROP SLICE_X60Y96 SITE_PIPS SITEPROP SLICE_X60Y96 SITE_TYPE SLICEM SITEPROP SLICE_X60Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y97 CLASS site SITEPROP SLICE_X60Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y97 IS_BONDED 0 SITEPROP SLICE_X60Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y97 IS_PAD 0 SITEPROP SLICE_X60Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y97 IS_RESERVED 0 SITEPROP SLICE_X60Y97 IS_TEST 0 SITEPROP SLICE_X60Y97 IS_USED 0 SITEPROP SLICE_X60Y97 MANUAL_ROUTING SITEPROP SLICE_X60Y97 NAME SLICE_X60Y97 SITEPROP SLICE_X60Y97 NUM_ARCS 153 SITEPROP SLICE_X60Y97 NUM_BELS 32 SITEPROP SLICE_X60Y97 NUM_INPUTS 37 SITEPROP SLICE_X60Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y97 NUM_PINS 50 SITEPROP SLICE_X60Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y97 PROHIBIT 0 SITEPROP SLICE_X60Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y97 RPM_X 161 SITEPROP SLICE_X60Y97 RPM_Y 194 SITEPROP SLICE_X60Y97 SITE_PIPS SITEPROP SLICE_X60Y97 SITE_TYPE SLICEM SITEPROP SLICE_X60Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y98 CLASS site SITEPROP SLICE_X60Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y98 IS_BONDED 0 SITEPROP SLICE_X60Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y98 IS_PAD 0 SITEPROP SLICE_X60Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y98 IS_RESERVED 0 SITEPROP SLICE_X60Y98 IS_TEST 0 SITEPROP SLICE_X60Y98 IS_USED 0 SITEPROP SLICE_X60Y98 MANUAL_ROUTING SITEPROP SLICE_X60Y98 NAME SLICE_X60Y98 SITEPROP SLICE_X60Y98 NUM_ARCS 153 SITEPROP SLICE_X60Y98 NUM_BELS 32 SITEPROP SLICE_X60Y98 NUM_INPUTS 37 SITEPROP SLICE_X60Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y98 NUM_PINS 50 SITEPROP SLICE_X60Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y98 PROHIBIT 0 SITEPROP SLICE_X60Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y98 RPM_X 161 SITEPROP SLICE_X60Y98 RPM_Y 196 SITEPROP SLICE_X60Y98 SITE_PIPS SITEPROP SLICE_X60Y98 SITE_TYPE SLICEM SITEPROP SLICE_X60Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X60Y99 CLASS site SITEPROP SLICE_X60Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X60Y99 IS_BONDED 0 SITEPROP SLICE_X60Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X60Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y99 IS_PAD 0 SITEPROP SLICE_X60Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X60Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X60Y99 IS_RESERVED 0 SITEPROP SLICE_X60Y99 IS_TEST 0 SITEPROP SLICE_X60Y99 IS_USED 0 SITEPROP SLICE_X60Y99 MANUAL_ROUTING SITEPROP SLICE_X60Y99 NAME SLICE_X60Y99 SITEPROP SLICE_X60Y99 NUM_ARCS 153 SITEPROP SLICE_X60Y99 NUM_BELS 32 SITEPROP SLICE_X60Y99 NUM_INPUTS 37 SITEPROP SLICE_X60Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X60Y99 NUM_PINS 50 SITEPROP SLICE_X60Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X60Y99 PROHIBIT 0 SITEPROP SLICE_X60Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X60Y99 RPM_X 161 SITEPROP SLICE_X60Y99 RPM_Y 198 SITEPROP SLICE_X60Y99 SITE_PIPS SITEPROP SLICE_X60Y99 SITE_TYPE SLICEM SITEPROP SLICE_X61Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y0 CLASS site SITEPROP SLICE_X61Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y0 IS_BONDED 0 SITEPROP SLICE_X61Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y0 IS_PAD 0 SITEPROP SLICE_X61Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y0 IS_RESERVED 0 SITEPROP SLICE_X61Y0 IS_TEST 0 SITEPROP SLICE_X61Y0 IS_USED 0 SITEPROP SLICE_X61Y0 MANUAL_ROUTING SITEPROP SLICE_X61Y0 NAME SLICE_X61Y0 SITEPROP SLICE_X61Y0 NUM_ARCS 138 SITEPROP SLICE_X61Y0 NUM_BELS 32 SITEPROP SLICE_X61Y0 NUM_INPUTS 32 SITEPROP SLICE_X61Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y0 NUM_PINS 45 SITEPROP SLICE_X61Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y0 PROHIBIT 0 SITEPROP SLICE_X61Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y0 RPM_X 163 SITEPROP SLICE_X61Y0 RPM_Y 0 SITEPROP SLICE_X61Y0 SITE_PIPS SITEPROP SLICE_X61Y0 SITE_TYPE SLICEL SITEPROP SLICE_X61Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y1 CLASS site SITEPROP SLICE_X61Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y1 IS_BONDED 0 SITEPROP SLICE_X61Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y1 IS_PAD 0 SITEPROP SLICE_X61Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y1 IS_RESERVED 0 SITEPROP SLICE_X61Y1 IS_TEST 0 SITEPROP SLICE_X61Y1 IS_USED 0 SITEPROP SLICE_X61Y1 MANUAL_ROUTING SITEPROP SLICE_X61Y1 NAME SLICE_X61Y1 SITEPROP SLICE_X61Y1 NUM_ARCS 138 SITEPROP SLICE_X61Y1 NUM_BELS 32 SITEPROP SLICE_X61Y1 NUM_INPUTS 32 SITEPROP SLICE_X61Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y1 NUM_PINS 45 SITEPROP SLICE_X61Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y1 PROHIBIT 0 SITEPROP SLICE_X61Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y1 RPM_X 163 SITEPROP SLICE_X61Y1 RPM_Y 2 SITEPROP SLICE_X61Y1 SITE_PIPS SITEPROP SLICE_X61Y1 SITE_TYPE SLICEL SITEPROP SLICE_X61Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y2 CLASS site SITEPROP SLICE_X61Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y2 IS_BONDED 0 SITEPROP SLICE_X61Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y2 IS_PAD 0 SITEPROP SLICE_X61Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y2 IS_RESERVED 0 SITEPROP SLICE_X61Y2 IS_TEST 0 SITEPROP SLICE_X61Y2 IS_USED 0 SITEPROP SLICE_X61Y2 MANUAL_ROUTING SITEPROP SLICE_X61Y2 NAME SLICE_X61Y2 SITEPROP SLICE_X61Y2 NUM_ARCS 138 SITEPROP SLICE_X61Y2 NUM_BELS 32 SITEPROP SLICE_X61Y2 NUM_INPUTS 32 SITEPROP SLICE_X61Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y2 NUM_PINS 45 SITEPROP SLICE_X61Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y2 PROHIBIT 0 SITEPROP SLICE_X61Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y2 RPM_X 163 SITEPROP SLICE_X61Y2 RPM_Y 4 SITEPROP SLICE_X61Y2 SITE_PIPS SITEPROP SLICE_X61Y2 SITE_TYPE SLICEL SITEPROP SLICE_X61Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y3 CLASS site SITEPROP SLICE_X61Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y3 IS_BONDED 0 SITEPROP SLICE_X61Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y3 IS_PAD 0 SITEPROP SLICE_X61Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y3 IS_RESERVED 0 SITEPROP SLICE_X61Y3 IS_TEST 0 SITEPROP SLICE_X61Y3 IS_USED 0 SITEPROP SLICE_X61Y3 MANUAL_ROUTING SITEPROP SLICE_X61Y3 NAME SLICE_X61Y3 SITEPROP SLICE_X61Y3 NUM_ARCS 138 SITEPROP SLICE_X61Y3 NUM_BELS 32 SITEPROP SLICE_X61Y3 NUM_INPUTS 32 SITEPROP SLICE_X61Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y3 NUM_PINS 45 SITEPROP SLICE_X61Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y3 PROHIBIT 0 SITEPROP SLICE_X61Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y3 RPM_X 163 SITEPROP SLICE_X61Y3 RPM_Y 6 SITEPROP SLICE_X61Y3 SITE_PIPS SITEPROP SLICE_X61Y3 SITE_TYPE SLICEL SITEPROP SLICE_X61Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y4 CLASS site SITEPROP SLICE_X61Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y4 IS_BONDED 0 SITEPROP SLICE_X61Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y4 IS_PAD 0 SITEPROP SLICE_X61Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y4 IS_RESERVED 0 SITEPROP SLICE_X61Y4 IS_TEST 0 SITEPROP SLICE_X61Y4 IS_USED 0 SITEPROP SLICE_X61Y4 MANUAL_ROUTING SITEPROP SLICE_X61Y4 NAME SLICE_X61Y4 SITEPROP SLICE_X61Y4 NUM_ARCS 138 SITEPROP SLICE_X61Y4 NUM_BELS 32 SITEPROP SLICE_X61Y4 NUM_INPUTS 32 SITEPROP SLICE_X61Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y4 NUM_PINS 45 SITEPROP SLICE_X61Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y4 PROHIBIT 0 SITEPROP SLICE_X61Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y4 RPM_X 163 SITEPROP SLICE_X61Y4 RPM_Y 8 SITEPROP SLICE_X61Y4 SITE_PIPS SITEPROP SLICE_X61Y4 SITE_TYPE SLICEL SITEPROP SLICE_X61Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y5 CLASS site SITEPROP SLICE_X61Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y5 IS_BONDED 0 SITEPROP SLICE_X61Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y5 IS_PAD 0 SITEPROP SLICE_X61Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y5 IS_RESERVED 0 SITEPROP SLICE_X61Y5 IS_TEST 0 SITEPROP SLICE_X61Y5 IS_USED 0 SITEPROP SLICE_X61Y5 MANUAL_ROUTING SITEPROP SLICE_X61Y5 NAME SLICE_X61Y5 SITEPROP SLICE_X61Y5 NUM_ARCS 138 SITEPROP SLICE_X61Y5 NUM_BELS 32 SITEPROP SLICE_X61Y5 NUM_INPUTS 32 SITEPROP SLICE_X61Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y5 NUM_PINS 45 SITEPROP SLICE_X61Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y5 PROHIBIT 0 SITEPROP SLICE_X61Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y5 RPM_X 163 SITEPROP SLICE_X61Y5 RPM_Y 10 SITEPROP SLICE_X61Y5 SITE_PIPS SITEPROP SLICE_X61Y5 SITE_TYPE SLICEL SITEPROP SLICE_X61Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y6 CLASS site SITEPROP SLICE_X61Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y6 IS_BONDED 0 SITEPROP SLICE_X61Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y6 IS_PAD 0 SITEPROP SLICE_X61Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y6 IS_RESERVED 0 SITEPROP SLICE_X61Y6 IS_TEST 0 SITEPROP SLICE_X61Y6 IS_USED 0 SITEPROP SLICE_X61Y6 MANUAL_ROUTING SITEPROP SLICE_X61Y6 NAME SLICE_X61Y6 SITEPROP SLICE_X61Y6 NUM_ARCS 138 SITEPROP SLICE_X61Y6 NUM_BELS 32 SITEPROP SLICE_X61Y6 NUM_INPUTS 32 SITEPROP SLICE_X61Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y6 NUM_PINS 45 SITEPROP SLICE_X61Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y6 PROHIBIT 0 SITEPROP SLICE_X61Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y6 RPM_X 163 SITEPROP SLICE_X61Y6 RPM_Y 12 SITEPROP SLICE_X61Y6 SITE_PIPS SITEPROP SLICE_X61Y6 SITE_TYPE SLICEL SITEPROP SLICE_X61Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y7 CLASS site SITEPROP SLICE_X61Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y7 IS_BONDED 0 SITEPROP SLICE_X61Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y7 IS_PAD 0 SITEPROP SLICE_X61Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y7 IS_RESERVED 0 SITEPROP SLICE_X61Y7 IS_TEST 0 SITEPROP SLICE_X61Y7 IS_USED 0 SITEPROP SLICE_X61Y7 MANUAL_ROUTING SITEPROP SLICE_X61Y7 NAME SLICE_X61Y7 SITEPROP SLICE_X61Y7 NUM_ARCS 138 SITEPROP SLICE_X61Y7 NUM_BELS 32 SITEPROP SLICE_X61Y7 NUM_INPUTS 32 SITEPROP SLICE_X61Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y7 NUM_PINS 45 SITEPROP SLICE_X61Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y7 PROHIBIT 0 SITEPROP SLICE_X61Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y7 RPM_X 163 SITEPROP SLICE_X61Y7 RPM_Y 14 SITEPROP SLICE_X61Y7 SITE_PIPS SITEPROP SLICE_X61Y7 SITE_TYPE SLICEL SITEPROP SLICE_X61Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y8 CLASS site SITEPROP SLICE_X61Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y8 IS_BONDED 0 SITEPROP SLICE_X61Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y8 IS_PAD 0 SITEPROP SLICE_X61Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y8 IS_RESERVED 0 SITEPROP SLICE_X61Y8 IS_TEST 0 SITEPROP SLICE_X61Y8 IS_USED 0 SITEPROP SLICE_X61Y8 MANUAL_ROUTING SITEPROP SLICE_X61Y8 NAME SLICE_X61Y8 SITEPROP SLICE_X61Y8 NUM_ARCS 138 SITEPROP SLICE_X61Y8 NUM_BELS 32 SITEPROP SLICE_X61Y8 NUM_INPUTS 32 SITEPROP SLICE_X61Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y8 NUM_PINS 45 SITEPROP SLICE_X61Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y8 PROHIBIT 0 SITEPROP SLICE_X61Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y8 RPM_X 163 SITEPROP SLICE_X61Y8 RPM_Y 16 SITEPROP SLICE_X61Y8 SITE_PIPS SITEPROP SLICE_X61Y8 SITE_TYPE SLICEL SITEPROP SLICE_X61Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y9 CLASS site SITEPROP SLICE_X61Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y9 IS_BONDED 0 SITEPROP SLICE_X61Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y9 IS_PAD 0 SITEPROP SLICE_X61Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y9 IS_RESERVED 0 SITEPROP SLICE_X61Y9 IS_TEST 0 SITEPROP SLICE_X61Y9 IS_USED 0 SITEPROP SLICE_X61Y9 MANUAL_ROUTING SITEPROP SLICE_X61Y9 NAME SLICE_X61Y9 SITEPROP SLICE_X61Y9 NUM_ARCS 138 SITEPROP SLICE_X61Y9 NUM_BELS 32 SITEPROP SLICE_X61Y9 NUM_INPUTS 32 SITEPROP SLICE_X61Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y9 NUM_PINS 45 SITEPROP SLICE_X61Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y9 PROHIBIT 0 SITEPROP SLICE_X61Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y9 RPM_X 163 SITEPROP SLICE_X61Y9 RPM_Y 18 SITEPROP SLICE_X61Y9 SITE_PIPS SITEPROP SLICE_X61Y9 SITE_TYPE SLICEL SITEPROP SLICE_X61Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y10 CLASS site SITEPROP SLICE_X61Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y10 IS_BONDED 0 SITEPROP SLICE_X61Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y10 IS_PAD 0 SITEPROP SLICE_X61Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y10 IS_RESERVED 0 SITEPROP SLICE_X61Y10 IS_TEST 0 SITEPROP SLICE_X61Y10 IS_USED 0 SITEPROP SLICE_X61Y10 MANUAL_ROUTING SITEPROP SLICE_X61Y10 NAME SLICE_X61Y10 SITEPROP SLICE_X61Y10 NUM_ARCS 138 SITEPROP SLICE_X61Y10 NUM_BELS 32 SITEPROP SLICE_X61Y10 NUM_INPUTS 32 SITEPROP SLICE_X61Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y10 NUM_PINS 45 SITEPROP SLICE_X61Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y10 PROHIBIT 0 SITEPROP SLICE_X61Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y10 RPM_X 163 SITEPROP SLICE_X61Y10 RPM_Y 20 SITEPROP SLICE_X61Y10 SITE_PIPS SITEPROP SLICE_X61Y10 SITE_TYPE SLICEL SITEPROP SLICE_X61Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y11 CLASS site SITEPROP SLICE_X61Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y11 IS_BONDED 0 SITEPROP SLICE_X61Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y11 IS_PAD 0 SITEPROP SLICE_X61Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y11 IS_RESERVED 0 SITEPROP SLICE_X61Y11 IS_TEST 0 SITEPROP SLICE_X61Y11 IS_USED 0 SITEPROP SLICE_X61Y11 MANUAL_ROUTING SITEPROP SLICE_X61Y11 NAME SLICE_X61Y11 SITEPROP SLICE_X61Y11 NUM_ARCS 138 SITEPROP SLICE_X61Y11 NUM_BELS 32 SITEPROP SLICE_X61Y11 NUM_INPUTS 32 SITEPROP SLICE_X61Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y11 NUM_PINS 45 SITEPROP SLICE_X61Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y11 PROHIBIT 0 SITEPROP SLICE_X61Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y11 RPM_X 163 SITEPROP SLICE_X61Y11 RPM_Y 22 SITEPROP SLICE_X61Y11 SITE_PIPS SITEPROP SLICE_X61Y11 SITE_TYPE SLICEL SITEPROP SLICE_X61Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y12 CLASS site SITEPROP SLICE_X61Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y12 IS_BONDED 0 SITEPROP SLICE_X61Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y12 IS_PAD 0 SITEPROP SLICE_X61Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y12 IS_RESERVED 0 SITEPROP SLICE_X61Y12 IS_TEST 0 SITEPROP SLICE_X61Y12 IS_USED 0 SITEPROP SLICE_X61Y12 MANUAL_ROUTING SITEPROP SLICE_X61Y12 NAME SLICE_X61Y12 SITEPROP SLICE_X61Y12 NUM_ARCS 138 SITEPROP SLICE_X61Y12 NUM_BELS 32 SITEPROP SLICE_X61Y12 NUM_INPUTS 32 SITEPROP SLICE_X61Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y12 NUM_PINS 45 SITEPROP SLICE_X61Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y12 PROHIBIT 0 SITEPROP SLICE_X61Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y12 RPM_X 163 SITEPROP SLICE_X61Y12 RPM_Y 24 SITEPROP SLICE_X61Y12 SITE_PIPS SITEPROP SLICE_X61Y12 SITE_TYPE SLICEL SITEPROP SLICE_X61Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y13 CLASS site SITEPROP SLICE_X61Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y13 IS_BONDED 0 SITEPROP SLICE_X61Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y13 IS_PAD 0 SITEPROP SLICE_X61Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y13 IS_RESERVED 0 SITEPROP SLICE_X61Y13 IS_TEST 0 SITEPROP SLICE_X61Y13 IS_USED 0 SITEPROP SLICE_X61Y13 MANUAL_ROUTING SITEPROP SLICE_X61Y13 NAME SLICE_X61Y13 SITEPROP SLICE_X61Y13 NUM_ARCS 138 SITEPROP SLICE_X61Y13 NUM_BELS 32 SITEPROP SLICE_X61Y13 NUM_INPUTS 32 SITEPROP SLICE_X61Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y13 NUM_PINS 45 SITEPROP SLICE_X61Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y13 PROHIBIT 0 SITEPROP SLICE_X61Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y13 RPM_X 163 SITEPROP SLICE_X61Y13 RPM_Y 26 SITEPROP SLICE_X61Y13 SITE_PIPS SITEPROP SLICE_X61Y13 SITE_TYPE SLICEL SITEPROP SLICE_X61Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y14 CLASS site SITEPROP SLICE_X61Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y14 IS_BONDED 0 SITEPROP SLICE_X61Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y14 IS_PAD 0 SITEPROP SLICE_X61Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y14 IS_RESERVED 0 SITEPROP SLICE_X61Y14 IS_TEST 0 SITEPROP SLICE_X61Y14 IS_USED 0 SITEPROP SLICE_X61Y14 MANUAL_ROUTING SITEPROP SLICE_X61Y14 NAME SLICE_X61Y14 SITEPROP SLICE_X61Y14 NUM_ARCS 138 SITEPROP SLICE_X61Y14 NUM_BELS 32 SITEPROP SLICE_X61Y14 NUM_INPUTS 32 SITEPROP SLICE_X61Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y14 NUM_PINS 45 SITEPROP SLICE_X61Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y14 PROHIBIT 0 SITEPROP SLICE_X61Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y14 RPM_X 163 SITEPROP SLICE_X61Y14 RPM_Y 28 SITEPROP SLICE_X61Y14 SITE_PIPS SITEPROP SLICE_X61Y14 SITE_TYPE SLICEL SITEPROP SLICE_X61Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y15 CLASS site SITEPROP SLICE_X61Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y15 IS_BONDED 0 SITEPROP SLICE_X61Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y15 IS_PAD 0 SITEPROP SLICE_X61Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y15 IS_RESERVED 0 SITEPROP SLICE_X61Y15 IS_TEST 0 SITEPROP SLICE_X61Y15 IS_USED 0 SITEPROP SLICE_X61Y15 MANUAL_ROUTING SITEPROP SLICE_X61Y15 NAME SLICE_X61Y15 SITEPROP SLICE_X61Y15 NUM_ARCS 138 SITEPROP SLICE_X61Y15 NUM_BELS 32 SITEPROP SLICE_X61Y15 NUM_INPUTS 32 SITEPROP SLICE_X61Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y15 NUM_PINS 45 SITEPROP SLICE_X61Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y15 PROHIBIT 0 SITEPROP SLICE_X61Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y15 RPM_X 163 SITEPROP SLICE_X61Y15 RPM_Y 30 SITEPROP SLICE_X61Y15 SITE_PIPS SITEPROP SLICE_X61Y15 SITE_TYPE SLICEL SITEPROP SLICE_X61Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y16 CLASS site SITEPROP SLICE_X61Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y16 IS_BONDED 0 SITEPROP SLICE_X61Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y16 IS_PAD 0 SITEPROP SLICE_X61Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y16 IS_RESERVED 0 SITEPROP SLICE_X61Y16 IS_TEST 0 SITEPROP SLICE_X61Y16 IS_USED 0 SITEPROP SLICE_X61Y16 MANUAL_ROUTING SITEPROP SLICE_X61Y16 NAME SLICE_X61Y16 SITEPROP SLICE_X61Y16 NUM_ARCS 138 SITEPROP SLICE_X61Y16 NUM_BELS 32 SITEPROP SLICE_X61Y16 NUM_INPUTS 32 SITEPROP SLICE_X61Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y16 NUM_PINS 45 SITEPROP SLICE_X61Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y16 PROHIBIT 0 SITEPROP SLICE_X61Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y16 RPM_X 163 SITEPROP SLICE_X61Y16 RPM_Y 32 SITEPROP SLICE_X61Y16 SITE_PIPS SITEPROP SLICE_X61Y16 SITE_TYPE SLICEL SITEPROP SLICE_X61Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y17 CLASS site SITEPROP SLICE_X61Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y17 IS_BONDED 0 SITEPROP SLICE_X61Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y17 IS_PAD 0 SITEPROP SLICE_X61Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y17 IS_RESERVED 0 SITEPROP SLICE_X61Y17 IS_TEST 0 SITEPROP SLICE_X61Y17 IS_USED 0 SITEPROP SLICE_X61Y17 MANUAL_ROUTING SITEPROP SLICE_X61Y17 NAME SLICE_X61Y17 SITEPROP SLICE_X61Y17 NUM_ARCS 138 SITEPROP SLICE_X61Y17 NUM_BELS 32 SITEPROP SLICE_X61Y17 NUM_INPUTS 32 SITEPROP SLICE_X61Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y17 NUM_PINS 45 SITEPROP SLICE_X61Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y17 PROHIBIT 0 SITEPROP SLICE_X61Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y17 RPM_X 163 SITEPROP SLICE_X61Y17 RPM_Y 34 SITEPROP SLICE_X61Y17 SITE_PIPS SITEPROP SLICE_X61Y17 SITE_TYPE SLICEL SITEPROP SLICE_X61Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y18 CLASS site SITEPROP SLICE_X61Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y18 IS_BONDED 0 SITEPROP SLICE_X61Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y18 IS_PAD 0 SITEPROP SLICE_X61Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y18 IS_RESERVED 0 SITEPROP SLICE_X61Y18 IS_TEST 0 SITEPROP SLICE_X61Y18 IS_USED 0 SITEPROP SLICE_X61Y18 MANUAL_ROUTING SITEPROP SLICE_X61Y18 NAME SLICE_X61Y18 SITEPROP SLICE_X61Y18 NUM_ARCS 138 SITEPROP SLICE_X61Y18 NUM_BELS 32 SITEPROP SLICE_X61Y18 NUM_INPUTS 32 SITEPROP SLICE_X61Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y18 NUM_PINS 45 SITEPROP SLICE_X61Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y18 PROHIBIT 0 SITEPROP SLICE_X61Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y18 RPM_X 163 SITEPROP SLICE_X61Y18 RPM_Y 36 SITEPROP SLICE_X61Y18 SITE_PIPS SITEPROP SLICE_X61Y18 SITE_TYPE SLICEL SITEPROP SLICE_X61Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y19 CLASS site SITEPROP SLICE_X61Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y19 IS_BONDED 0 SITEPROP SLICE_X61Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y19 IS_PAD 0 SITEPROP SLICE_X61Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y19 IS_RESERVED 0 SITEPROP SLICE_X61Y19 IS_TEST 0 SITEPROP SLICE_X61Y19 IS_USED 0 SITEPROP SLICE_X61Y19 MANUAL_ROUTING SITEPROP SLICE_X61Y19 NAME SLICE_X61Y19 SITEPROP SLICE_X61Y19 NUM_ARCS 138 SITEPROP SLICE_X61Y19 NUM_BELS 32 SITEPROP SLICE_X61Y19 NUM_INPUTS 32 SITEPROP SLICE_X61Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y19 NUM_PINS 45 SITEPROP SLICE_X61Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y19 PROHIBIT 0 SITEPROP SLICE_X61Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y19 RPM_X 163 SITEPROP SLICE_X61Y19 RPM_Y 38 SITEPROP SLICE_X61Y19 SITE_PIPS SITEPROP SLICE_X61Y19 SITE_TYPE SLICEL SITEPROP SLICE_X61Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y20 CLASS site SITEPROP SLICE_X61Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y20 IS_BONDED 0 SITEPROP SLICE_X61Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y20 IS_PAD 0 SITEPROP SLICE_X61Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y20 IS_RESERVED 0 SITEPROP SLICE_X61Y20 IS_TEST 0 SITEPROP SLICE_X61Y20 IS_USED 0 SITEPROP SLICE_X61Y20 MANUAL_ROUTING SITEPROP SLICE_X61Y20 NAME SLICE_X61Y20 SITEPROP SLICE_X61Y20 NUM_ARCS 138 SITEPROP SLICE_X61Y20 NUM_BELS 32 SITEPROP SLICE_X61Y20 NUM_INPUTS 32 SITEPROP SLICE_X61Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y20 NUM_PINS 45 SITEPROP SLICE_X61Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y20 PROHIBIT 0 SITEPROP SLICE_X61Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y20 RPM_X 163 SITEPROP SLICE_X61Y20 RPM_Y 40 SITEPROP SLICE_X61Y20 SITE_PIPS SITEPROP SLICE_X61Y20 SITE_TYPE SLICEL SITEPROP SLICE_X61Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y21 CLASS site SITEPROP SLICE_X61Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y21 IS_BONDED 0 SITEPROP SLICE_X61Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y21 IS_PAD 0 SITEPROP SLICE_X61Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y21 IS_RESERVED 0 SITEPROP SLICE_X61Y21 IS_TEST 0 SITEPROP SLICE_X61Y21 IS_USED 0 SITEPROP SLICE_X61Y21 MANUAL_ROUTING SITEPROP SLICE_X61Y21 NAME SLICE_X61Y21 SITEPROP SLICE_X61Y21 NUM_ARCS 138 SITEPROP SLICE_X61Y21 NUM_BELS 32 SITEPROP SLICE_X61Y21 NUM_INPUTS 32 SITEPROP SLICE_X61Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y21 NUM_PINS 45 SITEPROP SLICE_X61Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y21 PROHIBIT 0 SITEPROP SLICE_X61Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y21 RPM_X 163 SITEPROP SLICE_X61Y21 RPM_Y 42 SITEPROP SLICE_X61Y21 SITE_PIPS SITEPROP SLICE_X61Y21 SITE_TYPE SLICEL SITEPROP SLICE_X61Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y22 CLASS site SITEPROP SLICE_X61Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y22 IS_BONDED 0 SITEPROP SLICE_X61Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y22 IS_PAD 0 SITEPROP SLICE_X61Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y22 IS_RESERVED 0 SITEPROP SLICE_X61Y22 IS_TEST 0 SITEPROP SLICE_X61Y22 IS_USED 0 SITEPROP SLICE_X61Y22 MANUAL_ROUTING SITEPROP SLICE_X61Y22 NAME SLICE_X61Y22 SITEPROP SLICE_X61Y22 NUM_ARCS 138 SITEPROP SLICE_X61Y22 NUM_BELS 32 SITEPROP SLICE_X61Y22 NUM_INPUTS 32 SITEPROP SLICE_X61Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y22 NUM_PINS 45 SITEPROP SLICE_X61Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y22 PROHIBIT 0 SITEPROP SLICE_X61Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y22 RPM_X 163 SITEPROP SLICE_X61Y22 RPM_Y 44 SITEPROP SLICE_X61Y22 SITE_PIPS SITEPROP SLICE_X61Y22 SITE_TYPE SLICEL SITEPROP SLICE_X61Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y23 CLASS site SITEPROP SLICE_X61Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y23 IS_BONDED 0 SITEPROP SLICE_X61Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y23 IS_PAD 0 SITEPROP SLICE_X61Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y23 IS_RESERVED 0 SITEPROP SLICE_X61Y23 IS_TEST 0 SITEPROP SLICE_X61Y23 IS_USED 0 SITEPROP SLICE_X61Y23 MANUAL_ROUTING SITEPROP SLICE_X61Y23 NAME SLICE_X61Y23 SITEPROP SLICE_X61Y23 NUM_ARCS 138 SITEPROP SLICE_X61Y23 NUM_BELS 32 SITEPROP SLICE_X61Y23 NUM_INPUTS 32 SITEPROP SLICE_X61Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y23 NUM_PINS 45 SITEPROP SLICE_X61Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y23 PROHIBIT 0 SITEPROP SLICE_X61Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y23 RPM_X 163 SITEPROP SLICE_X61Y23 RPM_Y 46 SITEPROP SLICE_X61Y23 SITE_PIPS SITEPROP SLICE_X61Y23 SITE_TYPE SLICEL SITEPROP SLICE_X61Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y24 CLASS site SITEPROP SLICE_X61Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y24 IS_BONDED 0 SITEPROP SLICE_X61Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y24 IS_PAD 0 SITEPROP SLICE_X61Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y24 IS_RESERVED 0 SITEPROP SLICE_X61Y24 IS_TEST 0 SITEPROP SLICE_X61Y24 IS_USED 0 SITEPROP SLICE_X61Y24 MANUAL_ROUTING SITEPROP SLICE_X61Y24 NAME SLICE_X61Y24 SITEPROP SLICE_X61Y24 NUM_ARCS 138 SITEPROP SLICE_X61Y24 NUM_BELS 32 SITEPROP SLICE_X61Y24 NUM_INPUTS 32 SITEPROP SLICE_X61Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y24 NUM_PINS 45 SITEPROP SLICE_X61Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y24 PROHIBIT 0 SITEPROP SLICE_X61Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y24 RPM_X 163 SITEPROP SLICE_X61Y24 RPM_Y 48 SITEPROP SLICE_X61Y24 SITE_PIPS SITEPROP SLICE_X61Y24 SITE_TYPE SLICEL SITEPROP SLICE_X61Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y25 CLASS site SITEPROP SLICE_X61Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y25 IS_BONDED 0 SITEPROP SLICE_X61Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y25 IS_PAD 0 SITEPROP SLICE_X61Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y25 IS_RESERVED 0 SITEPROP SLICE_X61Y25 IS_TEST 0 SITEPROP SLICE_X61Y25 IS_USED 0 SITEPROP SLICE_X61Y25 MANUAL_ROUTING SITEPROP SLICE_X61Y25 NAME SLICE_X61Y25 SITEPROP SLICE_X61Y25 NUM_ARCS 138 SITEPROP SLICE_X61Y25 NUM_BELS 32 SITEPROP SLICE_X61Y25 NUM_INPUTS 32 SITEPROP SLICE_X61Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y25 NUM_PINS 45 SITEPROP SLICE_X61Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y25 PROHIBIT 0 SITEPROP SLICE_X61Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y25 RPM_X 163 SITEPROP SLICE_X61Y25 RPM_Y 50 SITEPROP SLICE_X61Y25 SITE_PIPS SITEPROP SLICE_X61Y25 SITE_TYPE SLICEL SITEPROP SLICE_X61Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y26 CLASS site SITEPROP SLICE_X61Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y26 IS_BONDED 0 SITEPROP SLICE_X61Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y26 IS_PAD 0 SITEPROP SLICE_X61Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y26 IS_RESERVED 0 SITEPROP SLICE_X61Y26 IS_TEST 0 SITEPROP SLICE_X61Y26 IS_USED 0 SITEPROP SLICE_X61Y26 MANUAL_ROUTING SITEPROP SLICE_X61Y26 NAME SLICE_X61Y26 SITEPROP SLICE_X61Y26 NUM_ARCS 138 SITEPROP SLICE_X61Y26 NUM_BELS 32 SITEPROP SLICE_X61Y26 NUM_INPUTS 32 SITEPROP SLICE_X61Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y26 NUM_PINS 45 SITEPROP SLICE_X61Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y26 PROHIBIT 0 SITEPROP SLICE_X61Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y26 RPM_X 163 SITEPROP SLICE_X61Y26 RPM_Y 52 SITEPROP SLICE_X61Y26 SITE_PIPS SITEPROP SLICE_X61Y26 SITE_TYPE SLICEL SITEPROP SLICE_X61Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y27 CLASS site SITEPROP SLICE_X61Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y27 IS_BONDED 0 SITEPROP SLICE_X61Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y27 IS_PAD 0 SITEPROP SLICE_X61Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y27 IS_RESERVED 0 SITEPROP SLICE_X61Y27 IS_TEST 0 SITEPROP SLICE_X61Y27 IS_USED 0 SITEPROP SLICE_X61Y27 MANUAL_ROUTING SITEPROP SLICE_X61Y27 NAME SLICE_X61Y27 SITEPROP SLICE_X61Y27 NUM_ARCS 138 SITEPROP SLICE_X61Y27 NUM_BELS 32 SITEPROP SLICE_X61Y27 NUM_INPUTS 32 SITEPROP SLICE_X61Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y27 NUM_PINS 45 SITEPROP SLICE_X61Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y27 PROHIBIT 0 SITEPROP SLICE_X61Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y27 RPM_X 163 SITEPROP SLICE_X61Y27 RPM_Y 54 SITEPROP SLICE_X61Y27 SITE_PIPS SITEPROP SLICE_X61Y27 SITE_TYPE SLICEL SITEPROP SLICE_X61Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y28 CLASS site SITEPROP SLICE_X61Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y28 IS_BONDED 0 SITEPROP SLICE_X61Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y28 IS_PAD 0 SITEPROP SLICE_X61Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y28 IS_RESERVED 0 SITEPROP SLICE_X61Y28 IS_TEST 0 SITEPROP SLICE_X61Y28 IS_USED 0 SITEPROP SLICE_X61Y28 MANUAL_ROUTING SITEPROP SLICE_X61Y28 NAME SLICE_X61Y28 SITEPROP SLICE_X61Y28 NUM_ARCS 138 SITEPROP SLICE_X61Y28 NUM_BELS 32 SITEPROP SLICE_X61Y28 NUM_INPUTS 32 SITEPROP SLICE_X61Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y28 NUM_PINS 45 SITEPROP SLICE_X61Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y28 PROHIBIT 0 SITEPROP SLICE_X61Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y28 RPM_X 163 SITEPROP SLICE_X61Y28 RPM_Y 56 SITEPROP SLICE_X61Y28 SITE_PIPS SITEPROP SLICE_X61Y28 SITE_TYPE SLICEL SITEPROP SLICE_X61Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y29 CLASS site SITEPROP SLICE_X61Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y29 IS_BONDED 0 SITEPROP SLICE_X61Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y29 IS_PAD 0 SITEPROP SLICE_X61Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y29 IS_RESERVED 0 SITEPROP SLICE_X61Y29 IS_TEST 0 SITEPROP SLICE_X61Y29 IS_USED 0 SITEPROP SLICE_X61Y29 MANUAL_ROUTING SITEPROP SLICE_X61Y29 NAME SLICE_X61Y29 SITEPROP SLICE_X61Y29 NUM_ARCS 138 SITEPROP SLICE_X61Y29 NUM_BELS 32 SITEPROP SLICE_X61Y29 NUM_INPUTS 32 SITEPROP SLICE_X61Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y29 NUM_PINS 45 SITEPROP SLICE_X61Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y29 PROHIBIT 0 SITEPROP SLICE_X61Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y29 RPM_X 163 SITEPROP SLICE_X61Y29 RPM_Y 58 SITEPROP SLICE_X61Y29 SITE_PIPS SITEPROP SLICE_X61Y29 SITE_TYPE SLICEL SITEPROP SLICE_X61Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y30 CLASS site SITEPROP SLICE_X61Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y30 IS_BONDED 0 SITEPROP SLICE_X61Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y30 IS_PAD 0 SITEPROP SLICE_X61Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y30 IS_RESERVED 0 SITEPROP SLICE_X61Y30 IS_TEST 0 SITEPROP SLICE_X61Y30 IS_USED 0 SITEPROP SLICE_X61Y30 MANUAL_ROUTING SITEPROP SLICE_X61Y30 NAME SLICE_X61Y30 SITEPROP SLICE_X61Y30 NUM_ARCS 138 SITEPROP SLICE_X61Y30 NUM_BELS 32 SITEPROP SLICE_X61Y30 NUM_INPUTS 32 SITEPROP SLICE_X61Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y30 NUM_PINS 45 SITEPROP SLICE_X61Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y30 PROHIBIT 0 SITEPROP SLICE_X61Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y30 RPM_X 163 SITEPROP SLICE_X61Y30 RPM_Y 60 SITEPROP SLICE_X61Y30 SITE_PIPS SITEPROP SLICE_X61Y30 SITE_TYPE SLICEL SITEPROP SLICE_X61Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y31 CLASS site SITEPROP SLICE_X61Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y31 IS_BONDED 0 SITEPROP SLICE_X61Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y31 IS_PAD 0 SITEPROP SLICE_X61Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y31 IS_RESERVED 0 SITEPROP SLICE_X61Y31 IS_TEST 0 SITEPROP SLICE_X61Y31 IS_USED 0 SITEPROP SLICE_X61Y31 MANUAL_ROUTING SITEPROP SLICE_X61Y31 NAME SLICE_X61Y31 SITEPROP SLICE_X61Y31 NUM_ARCS 138 SITEPROP SLICE_X61Y31 NUM_BELS 32 SITEPROP SLICE_X61Y31 NUM_INPUTS 32 SITEPROP SLICE_X61Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y31 NUM_PINS 45 SITEPROP SLICE_X61Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y31 PROHIBIT 0 SITEPROP SLICE_X61Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y31 RPM_X 163 SITEPROP SLICE_X61Y31 RPM_Y 62 SITEPROP SLICE_X61Y31 SITE_PIPS SITEPROP SLICE_X61Y31 SITE_TYPE SLICEL SITEPROP SLICE_X61Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y32 CLASS site SITEPROP SLICE_X61Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y32 IS_BONDED 0 SITEPROP SLICE_X61Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y32 IS_PAD 0 SITEPROP SLICE_X61Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y32 IS_RESERVED 0 SITEPROP SLICE_X61Y32 IS_TEST 0 SITEPROP SLICE_X61Y32 IS_USED 0 SITEPROP SLICE_X61Y32 MANUAL_ROUTING SITEPROP SLICE_X61Y32 NAME SLICE_X61Y32 SITEPROP SLICE_X61Y32 NUM_ARCS 138 SITEPROP SLICE_X61Y32 NUM_BELS 32 SITEPROP SLICE_X61Y32 NUM_INPUTS 32 SITEPROP SLICE_X61Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y32 NUM_PINS 45 SITEPROP SLICE_X61Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y32 PROHIBIT 0 SITEPROP SLICE_X61Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y32 RPM_X 163 SITEPROP SLICE_X61Y32 RPM_Y 64 SITEPROP SLICE_X61Y32 SITE_PIPS SITEPROP SLICE_X61Y32 SITE_TYPE SLICEL SITEPROP SLICE_X61Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y33 CLASS site SITEPROP SLICE_X61Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y33 IS_BONDED 0 SITEPROP SLICE_X61Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y33 IS_PAD 0 SITEPROP SLICE_X61Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y33 IS_RESERVED 0 SITEPROP SLICE_X61Y33 IS_TEST 0 SITEPROP SLICE_X61Y33 IS_USED 0 SITEPROP SLICE_X61Y33 MANUAL_ROUTING SITEPROP SLICE_X61Y33 NAME SLICE_X61Y33 SITEPROP SLICE_X61Y33 NUM_ARCS 138 SITEPROP SLICE_X61Y33 NUM_BELS 32 SITEPROP SLICE_X61Y33 NUM_INPUTS 32 SITEPROP SLICE_X61Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y33 NUM_PINS 45 SITEPROP SLICE_X61Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y33 PROHIBIT 0 SITEPROP SLICE_X61Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y33 RPM_X 163 SITEPROP SLICE_X61Y33 RPM_Y 66 SITEPROP SLICE_X61Y33 SITE_PIPS SITEPROP SLICE_X61Y33 SITE_TYPE SLICEL SITEPROP SLICE_X61Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y34 CLASS site SITEPROP SLICE_X61Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y34 IS_BONDED 0 SITEPROP SLICE_X61Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y34 IS_PAD 0 SITEPROP SLICE_X61Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y34 IS_RESERVED 0 SITEPROP SLICE_X61Y34 IS_TEST 0 SITEPROP SLICE_X61Y34 IS_USED 0 SITEPROP SLICE_X61Y34 MANUAL_ROUTING SITEPROP SLICE_X61Y34 NAME SLICE_X61Y34 SITEPROP SLICE_X61Y34 NUM_ARCS 138 SITEPROP SLICE_X61Y34 NUM_BELS 32 SITEPROP SLICE_X61Y34 NUM_INPUTS 32 SITEPROP SLICE_X61Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y34 NUM_PINS 45 SITEPROP SLICE_X61Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y34 PROHIBIT 0 SITEPROP SLICE_X61Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y34 RPM_X 163 SITEPROP SLICE_X61Y34 RPM_Y 68 SITEPROP SLICE_X61Y34 SITE_PIPS SITEPROP SLICE_X61Y34 SITE_TYPE SLICEL SITEPROP SLICE_X61Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y35 CLASS site SITEPROP SLICE_X61Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y35 IS_BONDED 0 SITEPROP SLICE_X61Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y35 IS_PAD 0 SITEPROP SLICE_X61Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y35 IS_RESERVED 0 SITEPROP SLICE_X61Y35 IS_TEST 0 SITEPROP SLICE_X61Y35 IS_USED 0 SITEPROP SLICE_X61Y35 MANUAL_ROUTING SITEPROP SLICE_X61Y35 NAME SLICE_X61Y35 SITEPROP SLICE_X61Y35 NUM_ARCS 138 SITEPROP SLICE_X61Y35 NUM_BELS 32 SITEPROP SLICE_X61Y35 NUM_INPUTS 32 SITEPROP SLICE_X61Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y35 NUM_PINS 45 SITEPROP SLICE_X61Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y35 PROHIBIT 0 SITEPROP SLICE_X61Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y35 RPM_X 163 SITEPROP SLICE_X61Y35 RPM_Y 70 SITEPROP SLICE_X61Y35 SITE_PIPS SITEPROP SLICE_X61Y35 SITE_TYPE SLICEL SITEPROP SLICE_X61Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y36 CLASS site SITEPROP SLICE_X61Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y36 IS_BONDED 0 SITEPROP SLICE_X61Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y36 IS_PAD 0 SITEPROP SLICE_X61Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y36 IS_RESERVED 0 SITEPROP SLICE_X61Y36 IS_TEST 0 SITEPROP SLICE_X61Y36 IS_USED 0 SITEPROP SLICE_X61Y36 MANUAL_ROUTING SITEPROP SLICE_X61Y36 NAME SLICE_X61Y36 SITEPROP SLICE_X61Y36 NUM_ARCS 138 SITEPROP SLICE_X61Y36 NUM_BELS 32 SITEPROP SLICE_X61Y36 NUM_INPUTS 32 SITEPROP SLICE_X61Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y36 NUM_PINS 45 SITEPROP SLICE_X61Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y36 PROHIBIT 0 SITEPROP SLICE_X61Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y36 RPM_X 163 SITEPROP SLICE_X61Y36 RPM_Y 72 SITEPROP SLICE_X61Y36 SITE_PIPS SITEPROP SLICE_X61Y36 SITE_TYPE SLICEL SITEPROP SLICE_X61Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y37 CLASS site SITEPROP SLICE_X61Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y37 IS_BONDED 0 SITEPROP SLICE_X61Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y37 IS_PAD 0 SITEPROP SLICE_X61Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y37 IS_RESERVED 0 SITEPROP SLICE_X61Y37 IS_TEST 0 SITEPROP SLICE_X61Y37 IS_USED 0 SITEPROP SLICE_X61Y37 MANUAL_ROUTING SITEPROP SLICE_X61Y37 NAME SLICE_X61Y37 SITEPROP SLICE_X61Y37 NUM_ARCS 138 SITEPROP SLICE_X61Y37 NUM_BELS 32 SITEPROP SLICE_X61Y37 NUM_INPUTS 32 SITEPROP SLICE_X61Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y37 NUM_PINS 45 SITEPROP SLICE_X61Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y37 PROHIBIT 0 SITEPROP SLICE_X61Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y37 RPM_X 163 SITEPROP SLICE_X61Y37 RPM_Y 74 SITEPROP SLICE_X61Y37 SITE_PIPS SITEPROP SLICE_X61Y37 SITE_TYPE SLICEL SITEPROP SLICE_X61Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y38 CLASS site SITEPROP SLICE_X61Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y38 IS_BONDED 0 SITEPROP SLICE_X61Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y38 IS_PAD 0 SITEPROP SLICE_X61Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y38 IS_RESERVED 0 SITEPROP SLICE_X61Y38 IS_TEST 0 SITEPROP SLICE_X61Y38 IS_USED 0 SITEPROP SLICE_X61Y38 MANUAL_ROUTING SITEPROP SLICE_X61Y38 NAME SLICE_X61Y38 SITEPROP SLICE_X61Y38 NUM_ARCS 138 SITEPROP SLICE_X61Y38 NUM_BELS 32 SITEPROP SLICE_X61Y38 NUM_INPUTS 32 SITEPROP SLICE_X61Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y38 NUM_PINS 45 SITEPROP SLICE_X61Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y38 PROHIBIT 0 SITEPROP SLICE_X61Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y38 RPM_X 163 SITEPROP SLICE_X61Y38 RPM_Y 76 SITEPROP SLICE_X61Y38 SITE_PIPS SITEPROP SLICE_X61Y38 SITE_TYPE SLICEL SITEPROP SLICE_X61Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y39 CLASS site SITEPROP SLICE_X61Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y39 IS_BONDED 0 SITEPROP SLICE_X61Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y39 IS_PAD 0 SITEPROP SLICE_X61Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y39 IS_RESERVED 0 SITEPROP SLICE_X61Y39 IS_TEST 0 SITEPROP SLICE_X61Y39 IS_USED 0 SITEPROP SLICE_X61Y39 MANUAL_ROUTING SITEPROP SLICE_X61Y39 NAME SLICE_X61Y39 SITEPROP SLICE_X61Y39 NUM_ARCS 138 SITEPROP SLICE_X61Y39 NUM_BELS 32 SITEPROP SLICE_X61Y39 NUM_INPUTS 32 SITEPROP SLICE_X61Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y39 NUM_PINS 45 SITEPROP SLICE_X61Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y39 PROHIBIT 0 SITEPROP SLICE_X61Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y39 RPM_X 163 SITEPROP SLICE_X61Y39 RPM_Y 78 SITEPROP SLICE_X61Y39 SITE_PIPS SITEPROP SLICE_X61Y39 SITE_TYPE SLICEL SITEPROP SLICE_X61Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y40 CLASS site SITEPROP SLICE_X61Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y40 IS_BONDED 0 SITEPROP SLICE_X61Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y40 IS_PAD 0 SITEPROP SLICE_X61Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y40 IS_RESERVED 0 SITEPROP SLICE_X61Y40 IS_TEST 0 SITEPROP SLICE_X61Y40 IS_USED 0 SITEPROP SLICE_X61Y40 MANUAL_ROUTING SITEPROP SLICE_X61Y40 NAME SLICE_X61Y40 SITEPROP SLICE_X61Y40 NUM_ARCS 138 SITEPROP SLICE_X61Y40 NUM_BELS 32 SITEPROP SLICE_X61Y40 NUM_INPUTS 32 SITEPROP SLICE_X61Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y40 NUM_PINS 45 SITEPROP SLICE_X61Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y40 PROHIBIT 0 SITEPROP SLICE_X61Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y40 RPM_X 163 SITEPROP SLICE_X61Y40 RPM_Y 80 SITEPROP SLICE_X61Y40 SITE_PIPS SITEPROP SLICE_X61Y40 SITE_TYPE SLICEL SITEPROP SLICE_X61Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y41 CLASS site SITEPROP SLICE_X61Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y41 IS_BONDED 0 SITEPROP SLICE_X61Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y41 IS_PAD 0 SITEPROP SLICE_X61Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y41 IS_RESERVED 0 SITEPROP SLICE_X61Y41 IS_TEST 0 SITEPROP SLICE_X61Y41 IS_USED 0 SITEPROP SLICE_X61Y41 MANUAL_ROUTING SITEPROP SLICE_X61Y41 NAME SLICE_X61Y41 SITEPROP SLICE_X61Y41 NUM_ARCS 138 SITEPROP SLICE_X61Y41 NUM_BELS 32 SITEPROP SLICE_X61Y41 NUM_INPUTS 32 SITEPROP SLICE_X61Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y41 NUM_PINS 45 SITEPROP SLICE_X61Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y41 PROHIBIT 0 SITEPROP SLICE_X61Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y41 RPM_X 163 SITEPROP SLICE_X61Y41 RPM_Y 82 SITEPROP SLICE_X61Y41 SITE_PIPS SITEPROP SLICE_X61Y41 SITE_TYPE SLICEL SITEPROP SLICE_X61Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y42 CLASS site SITEPROP SLICE_X61Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y42 IS_BONDED 0 SITEPROP SLICE_X61Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y42 IS_PAD 0 SITEPROP SLICE_X61Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y42 IS_RESERVED 0 SITEPROP SLICE_X61Y42 IS_TEST 0 SITEPROP SLICE_X61Y42 IS_USED 0 SITEPROP SLICE_X61Y42 MANUAL_ROUTING SITEPROP SLICE_X61Y42 NAME SLICE_X61Y42 SITEPROP SLICE_X61Y42 NUM_ARCS 138 SITEPROP SLICE_X61Y42 NUM_BELS 32 SITEPROP SLICE_X61Y42 NUM_INPUTS 32 SITEPROP SLICE_X61Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y42 NUM_PINS 45 SITEPROP SLICE_X61Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y42 PROHIBIT 0 SITEPROP SLICE_X61Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y42 RPM_X 163 SITEPROP SLICE_X61Y42 RPM_Y 84 SITEPROP SLICE_X61Y42 SITE_PIPS SITEPROP SLICE_X61Y42 SITE_TYPE SLICEL SITEPROP SLICE_X61Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y43 CLASS site SITEPROP SLICE_X61Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y43 IS_BONDED 0 SITEPROP SLICE_X61Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y43 IS_PAD 0 SITEPROP SLICE_X61Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y43 IS_RESERVED 0 SITEPROP SLICE_X61Y43 IS_TEST 0 SITEPROP SLICE_X61Y43 IS_USED 0 SITEPROP SLICE_X61Y43 MANUAL_ROUTING SITEPROP SLICE_X61Y43 NAME SLICE_X61Y43 SITEPROP SLICE_X61Y43 NUM_ARCS 138 SITEPROP SLICE_X61Y43 NUM_BELS 32 SITEPROP SLICE_X61Y43 NUM_INPUTS 32 SITEPROP SLICE_X61Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y43 NUM_PINS 45 SITEPROP SLICE_X61Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y43 PROHIBIT 0 SITEPROP SLICE_X61Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y43 RPM_X 163 SITEPROP SLICE_X61Y43 RPM_Y 86 SITEPROP SLICE_X61Y43 SITE_PIPS SITEPROP SLICE_X61Y43 SITE_TYPE SLICEL SITEPROP SLICE_X61Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y44 CLASS site SITEPROP SLICE_X61Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y44 IS_BONDED 0 SITEPROP SLICE_X61Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y44 IS_PAD 0 SITEPROP SLICE_X61Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y44 IS_RESERVED 0 SITEPROP SLICE_X61Y44 IS_TEST 0 SITEPROP SLICE_X61Y44 IS_USED 0 SITEPROP SLICE_X61Y44 MANUAL_ROUTING SITEPROP SLICE_X61Y44 NAME SLICE_X61Y44 SITEPROP SLICE_X61Y44 NUM_ARCS 138 SITEPROP SLICE_X61Y44 NUM_BELS 32 SITEPROP SLICE_X61Y44 NUM_INPUTS 32 SITEPROP SLICE_X61Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y44 NUM_PINS 45 SITEPROP SLICE_X61Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y44 PROHIBIT 0 SITEPROP SLICE_X61Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y44 RPM_X 163 SITEPROP SLICE_X61Y44 RPM_Y 88 SITEPROP SLICE_X61Y44 SITE_PIPS SITEPROP SLICE_X61Y44 SITE_TYPE SLICEL SITEPROP SLICE_X61Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y45 CLASS site SITEPROP SLICE_X61Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y45 IS_BONDED 0 SITEPROP SLICE_X61Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y45 IS_PAD 0 SITEPROP SLICE_X61Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y45 IS_RESERVED 0 SITEPROP SLICE_X61Y45 IS_TEST 0 SITEPROP SLICE_X61Y45 IS_USED 0 SITEPROP SLICE_X61Y45 MANUAL_ROUTING SITEPROP SLICE_X61Y45 NAME SLICE_X61Y45 SITEPROP SLICE_X61Y45 NUM_ARCS 138 SITEPROP SLICE_X61Y45 NUM_BELS 32 SITEPROP SLICE_X61Y45 NUM_INPUTS 32 SITEPROP SLICE_X61Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y45 NUM_PINS 45 SITEPROP SLICE_X61Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y45 PROHIBIT 0 SITEPROP SLICE_X61Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y45 RPM_X 163 SITEPROP SLICE_X61Y45 RPM_Y 90 SITEPROP SLICE_X61Y45 SITE_PIPS SITEPROP SLICE_X61Y45 SITE_TYPE SLICEL SITEPROP SLICE_X61Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y46 CLASS site SITEPROP SLICE_X61Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y46 IS_BONDED 0 SITEPROP SLICE_X61Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y46 IS_PAD 0 SITEPROP SLICE_X61Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y46 IS_RESERVED 0 SITEPROP SLICE_X61Y46 IS_TEST 0 SITEPROP SLICE_X61Y46 IS_USED 0 SITEPROP SLICE_X61Y46 MANUAL_ROUTING SITEPROP SLICE_X61Y46 NAME SLICE_X61Y46 SITEPROP SLICE_X61Y46 NUM_ARCS 138 SITEPROP SLICE_X61Y46 NUM_BELS 32 SITEPROP SLICE_X61Y46 NUM_INPUTS 32 SITEPROP SLICE_X61Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y46 NUM_PINS 45 SITEPROP SLICE_X61Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y46 PROHIBIT 0 SITEPROP SLICE_X61Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y46 RPM_X 163 SITEPROP SLICE_X61Y46 RPM_Y 92 SITEPROP SLICE_X61Y46 SITE_PIPS SITEPROP SLICE_X61Y46 SITE_TYPE SLICEL SITEPROP SLICE_X61Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y47 CLASS site SITEPROP SLICE_X61Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y47 IS_BONDED 0 SITEPROP SLICE_X61Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y47 IS_PAD 0 SITEPROP SLICE_X61Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y47 IS_RESERVED 0 SITEPROP SLICE_X61Y47 IS_TEST 0 SITEPROP SLICE_X61Y47 IS_USED 0 SITEPROP SLICE_X61Y47 MANUAL_ROUTING SITEPROP SLICE_X61Y47 NAME SLICE_X61Y47 SITEPROP SLICE_X61Y47 NUM_ARCS 138 SITEPROP SLICE_X61Y47 NUM_BELS 32 SITEPROP SLICE_X61Y47 NUM_INPUTS 32 SITEPROP SLICE_X61Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y47 NUM_PINS 45 SITEPROP SLICE_X61Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y47 PROHIBIT 0 SITEPROP SLICE_X61Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y47 RPM_X 163 SITEPROP SLICE_X61Y47 RPM_Y 94 SITEPROP SLICE_X61Y47 SITE_PIPS SITEPROP SLICE_X61Y47 SITE_TYPE SLICEL SITEPROP SLICE_X61Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y48 CLASS site SITEPROP SLICE_X61Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y48 IS_BONDED 0 SITEPROP SLICE_X61Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y48 IS_PAD 0 SITEPROP SLICE_X61Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y48 IS_RESERVED 0 SITEPROP SLICE_X61Y48 IS_TEST 0 SITEPROP SLICE_X61Y48 IS_USED 0 SITEPROP SLICE_X61Y48 MANUAL_ROUTING SITEPROP SLICE_X61Y48 NAME SLICE_X61Y48 SITEPROP SLICE_X61Y48 NUM_ARCS 138 SITEPROP SLICE_X61Y48 NUM_BELS 32 SITEPROP SLICE_X61Y48 NUM_INPUTS 32 SITEPROP SLICE_X61Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y48 NUM_PINS 45 SITEPROP SLICE_X61Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y48 PROHIBIT 0 SITEPROP SLICE_X61Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y48 RPM_X 163 SITEPROP SLICE_X61Y48 RPM_Y 96 SITEPROP SLICE_X61Y48 SITE_PIPS SITEPROP SLICE_X61Y48 SITE_TYPE SLICEL SITEPROP SLICE_X61Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y49 CLASS site SITEPROP SLICE_X61Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X61Y49 IS_BONDED 0 SITEPROP SLICE_X61Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y49 IS_PAD 0 SITEPROP SLICE_X61Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y49 IS_RESERVED 0 SITEPROP SLICE_X61Y49 IS_TEST 0 SITEPROP SLICE_X61Y49 IS_USED 0 SITEPROP SLICE_X61Y49 MANUAL_ROUTING SITEPROP SLICE_X61Y49 NAME SLICE_X61Y49 SITEPROP SLICE_X61Y49 NUM_ARCS 138 SITEPROP SLICE_X61Y49 NUM_BELS 32 SITEPROP SLICE_X61Y49 NUM_INPUTS 32 SITEPROP SLICE_X61Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y49 NUM_PINS 45 SITEPROP SLICE_X61Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y49 PROHIBIT 0 SITEPROP SLICE_X61Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y49 RPM_X 163 SITEPROP SLICE_X61Y49 RPM_Y 98 SITEPROP SLICE_X61Y49 SITE_PIPS SITEPROP SLICE_X61Y49 SITE_TYPE SLICEL SITEPROP SLICE_X61Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y50 CLASS site SITEPROP SLICE_X61Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y50 IS_BONDED 0 SITEPROP SLICE_X61Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y50 IS_PAD 0 SITEPROP SLICE_X61Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y50 IS_RESERVED 0 SITEPROP SLICE_X61Y50 IS_TEST 0 SITEPROP SLICE_X61Y50 IS_USED 0 SITEPROP SLICE_X61Y50 MANUAL_ROUTING SITEPROP SLICE_X61Y50 NAME SLICE_X61Y50 SITEPROP SLICE_X61Y50 NUM_ARCS 138 SITEPROP SLICE_X61Y50 NUM_BELS 32 SITEPROP SLICE_X61Y50 NUM_INPUTS 32 SITEPROP SLICE_X61Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y50 NUM_PINS 45 SITEPROP SLICE_X61Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y50 PROHIBIT 0 SITEPROP SLICE_X61Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y50 RPM_X 163 SITEPROP SLICE_X61Y50 RPM_Y 100 SITEPROP SLICE_X61Y50 SITE_PIPS SITEPROP SLICE_X61Y50 SITE_TYPE SLICEL SITEPROP SLICE_X61Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y51 CLASS site SITEPROP SLICE_X61Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y51 IS_BONDED 0 SITEPROP SLICE_X61Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y51 IS_PAD 0 SITEPROP SLICE_X61Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y51 IS_RESERVED 0 SITEPROP SLICE_X61Y51 IS_TEST 0 SITEPROP SLICE_X61Y51 IS_USED 0 SITEPROP SLICE_X61Y51 MANUAL_ROUTING SITEPROP SLICE_X61Y51 NAME SLICE_X61Y51 SITEPROP SLICE_X61Y51 NUM_ARCS 138 SITEPROP SLICE_X61Y51 NUM_BELS 32 SITEPROP SLICE_X61Y51 NUM_INPUTS 32 SITEPROP SLICE_X61Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y51 NUM_PINS 45 SITEPROP SLICE_X61Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y51 PROHIBIT 0 SITEPROP SLICE_X61Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y51 RPM_X 163 SITEPROP SLICE_X61Y51 RPM_Y 102 SITEPROP SLICE_X61Y51 SITE_PIPS SITEPROP SLICE_X61Y51 SITE_TYPE SLICEL SITEPROP SLICE_X61Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y52 CLASS site SITEPROP SLICE_X61Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y52 IS_BONDED 0 SITEPROP SLICE_X61Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y52 IS_PAD 0 SITEPROP SLICE_X61Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y52 IS_RESERVED 0 SITEPROP SLICE_X61Y52 IS_TEST 0 SITEPROP SLICE_X61Y52 IS_USED 0 SITEPROP SLICE_X61Y52 MANUAL_ROUTING SITEPROP SLICE_X61Y52 NAME SLICE_X61Y52 SITEPROP SLICE_X61Y52 NUM_ARCS 138 SITEPROP SLICE_X61Y52 NUM_BELS 32 SITEPROP SLICE_X61Y52 NUM_INPUTS 32 SITEPROP SLICE_X61Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y52 NUM_PINS 45 SITEPROP SLICE_X61Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y52 PROHIBIT 0 SITEPROP SLICE_X61Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y52 RPM_X 163 SITEPROP SLICE_X61Y52 RPM_Y 104 SITEPROP SLICE_X61Y52 SITE_PIPS SITEPROP SLICE_X61Y52 SITE_TYPE SLICEL SITEPROP SLICE_X61Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y53 CLASS site SITEPROP SLICE_X61Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y53 IS_BONDED 0 SITEPROP SLICE_X61Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y53 IS_PAD 0 SITEPROP SLICE_X61Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y53 IS_RESERVED 0 SITEPROP SLICE_X61Y53 IS_TEST 0 SITEPROP SLICE_X61Y53 IS_USED 0 SITEPROP SLICE_X61Y53 MANUAL_ROUTING SITEPROP SLICE_X61Y53 NAME SLICE_X61Y53 SITEPROP SLICE_X61Y53 NUM_ARCS 138 SITEPROP SLICE_X61Y53 NUM_BELS 32 SITEPROP SLICE_X61Y53 NUM_INPUTS 32 SITEPROP SLICE_X61Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y53 NUM_PINS 45 SITEPROP SLICE_X61Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y53 PROHIBIT 0 SITEPROP SLICE_X61Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y53 RPM_X 163 SITEPROP SLICE_X61Y53 RPM_Y 106 SITEPROP SLICE_X61Y53 SITE_PIPS SITEPROP SLICE_X61Y53 SITE_TYPE SLICEL SITEPROP SLICE_X61Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y54 CLASS site SITEPROP SLICE_X61Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y54 IS_BONDED 0 SITEPROP SLICE_X61Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y54 IS_PAD 0 SITEPROP SLICE_X61Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y54 IS_RESERVED 0 SITEPROP SLICE_X61Y54 IS_TEST 0 SITEPROP SLICE_X61Y54 IS_USED 0 SITEPROP SLICE_X61Y54 MANUAL_ROUTING SITEPROP SLICE_X61Y54 NAME SLICE_X61Y54 SITEPROP SLICE_X61Y54 NUM_ARCS 138 SITEPROP SLICE_X61Y54 NUM_BELS 32 SITEPROP SLICE_X61Y54 NUM_INPUTS 32 SITEPROP SLICE_X61Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y54 NUM_PINS 45 SITEPROP SLICE_X61Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y54 PROHIBIT 0 SITEPROP SLICE_X61Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y54 RPM_X 163 SITEPROP SLICE_X61Y54 RPM_Y 108 SITEPROP SLICE_X61Y54 SITE_PIPS SITEPROP SLICE_X61Y54 SITE_TYPE SLICEL SITEPROP SLICE_X61Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y55 CLASS site SITEPROP SLICE_X61Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y55 IS_BONDED 0 SITEPROP SLICE_X61Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y55 IS_PAD 0 SITEPROP SLICE_X61Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y55 IS_RESERVED 0 SITEPROP SLICE_X61Y55 IS_TEST 0 SITEPROP SLICE_X61Y55 IS_USED 0 SITEPROP SLICE_X61Y55 MANUAL_ROUTING SITEPROP SLICE_X61Y55 NAME SLICE_X61Y55 SITEPROP SLICE_X61Y55 NUM_ARCS 138 SITEPROP SLICE_X61Y55 NUM_BELS 32 SITEPROP SLICE_X61Y55 NUM_INPUTS 32 SITEPROP SLICE_X61Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y55 NUM_PINS 45 SITEPROP SLICE_X61Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y55 PROHIBIT 0 SITEPROP SLICE_X61Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y55 RPM_X 163 SITEPROP SLICE_X61Y55 RPM_Y 110 SITEPROP SLICE_X61Y55 SITE_PIPS SITEPROP SLICE_X61Y55 SITE_TYPE SLICEL SITEPROP SLICE_X61Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y56 CLASS site SITEPROP SLICE_X61Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y56 IS_BONDED 0 SITEPROP SLICE_X61Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y56 IS_PAD 0 SITEPROP SLICE_X61Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y56 IS_RESERVED 0 SITEPROP SLICE_X61Y56 IS_TEST 0 SITEPROP SLICE_X61Y56 IS_USED 0 SITEPROP SLICE_X61Y56 MANUAL_ROUTING SITEPROP SLICE_X61Y56 NAME SLICE_X61Y56 SITEPROP SLICE_X61Y56 NUM_ARCS 138 SITEPROP SLICE_X61Y56 NUM_BELS 32 SITEPROP SLICE_X61Y56 NUM_INPUTS 32 SITEPROP SLICE_X61Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y56 NUM_PINS 45 SITEPROP SLICE_X61Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y56 PROHIBIT 0 SITEPROP SLICE_X61Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y56 RPM_X 163 SITEPROP SLICE_X61Y56 RPM_Y 112 SITEPROP SLICE_X61Y56 SITE_PIPS SITEPROP SLICE_X61Y56 SITE_TYPE SLICEL SITEPROP SLICE_X61Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y57 CLASS site SITEPROP SLICE_X61Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y57 IS_BONDED 0 SITEPROP SLICE_X61Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y57 IS_PAD 0 SITEPROP SLICE_X61Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y57 IS_RESERVED 0 SITEPROP SLICE_X61Y57 IS_TEST 0 SITEPROP SLICE_X61Y57 IS_USED 0 SITEPROP SLICE_X61Y57 MANUAL_ROUTING SITEPROP SLICE_X61Y57 NAME SLICE_X61Y57 SITEPROP SLICE_X61Y57 NUM_ARCS 138 SITEPROP SLICE_X61Y57 NUM_BELS 32 SITEPROP SLICE_X61Y57 NUM_INPUTS 32 SITEPROP SLICE_X61Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y57 NUM_PINS 45 SITEPROP SLICE_X61Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y57 PROHIBIT 0 SITEPROP SLICE_X61Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y57 RPM_X 163 SITEPROP SLICE_X61Y57 RPM_Y 114 SITEPROP SLICE_X61Y57 SITE_PIPS SITEPROP SLICE_X61Y57 SITE_TYPE SLICEL SITEPROP SLICE_X61Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y58 CLASS site SITEPROP SLICE_X61Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y58 IS_BONDED 0 SITEPROP SLICE_X61Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y58 IS_PAD 0 SITEPROP SLICE_X61Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y58 IS_RESERVED 0 SITEPROP SLICE_X61Y58 IS_TEST 0 SITEPROP SLICE_X61Y58 IS_USED 0 SITEPROP SLICE_X61Y58 MANUAL_ROUTING SITEPROP SLICE_X61Y58 NAME SLICE_X61Y58 SITEPROP SLICE_X61Y58 NUM_ARCS 138 SITEPROP SLICE_X61Y58 NUM_BELS 32 SITEPROP SLICE_X61Y58 NUM_INPUTS 32 SITEPROP SLICE_X61Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y58 NUM_PINS 45 SITEPROP SLICE_X61Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y58 PROHIBIT 0 SITEPROP SLICE_X61Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y58 RPM_X 163 SITEPROP SLICE_X61Y58 RPM_Y 116 SITEPROP SLICE_X61Y58 SITE_PIPS SITEPROP SLICE_X61Y58 SITE_TYPE SLICEL SITEPROP SLICE_X61Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y59 CLASS site SITEPROP SLICE_X61Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y59 IS_BONDED 0 SITEPROP SLICE_X61Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y59 IS_PAD 0 SITEPROP SLICE_X61Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y59 IS_RESERVED 0 SITEPROP SLICE_X61Y59 IS_TEST 0 SITEPROP SLICE_X61Y59 IS_USED 0 SITEPROP SLICE_X61Y59 MANUAL_ROUTING SITEPROP SLICE_X61Y59 NAME SLICE_X61Y59 SITEPROP SLICE_X61Y59 NUM_ARCS 138 SITEPROP SLICE_X61Y59 NUM_BELS 32 SITEPROP SLICE_X61Y59 NUM_INPUTS 32 SITEPROP SLICE_X61Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y59 NUM_PINS 45 SITEPROP SLICE_X61Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y59 PROHIBIT 0 SITEPROP SLICE_X61Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y59 RPM_X 163 SITEPROP SLICE_X61Y59 RPM_Y 118 SITEPROP SLICE_X61Y59 SITE_PIPS SITEPROP SLICE_X61Y59 SITE_TYPE SLICEL SITEPROP SLICE_X61Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y60 CLASS site SITEPROP SLICE_X61Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y60 IS_BONDED 0 SITEPROP SLICE_X61Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y60 IS_PAD 0 SITEPROP SLICE_X61Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y60 IS_RESERVED 0 SITEPROP SLICE_X61Y60 IS_TEST 0 SITEPROP SLICE_X61Y60 IS_USED 0 SITEPROP SLICE_X61Y60 MANUAL_ROUTING SITEPROP SLICE_X61Y60 NAME SLICE_X61Y60 SITEPROP SLICE_X61Y60 NUM_ARCS 138 SITEPROP SLICE_X61Y60 NUM_BELS 32 SITEPROP SLICE_X61Y60 NUM_INPUTS 32 SITEPROP SLICE_X61Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y60 NUM_PINS 45 SITEPROP SLICE_X61Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y60 PROHIBIT 0 SITEPROP SLICE_X61Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y60 RPM_X 163 SITEPROP SLICE_X61Y60 RPM_Y 120 SITEPROP SLICE_X61Y60 SITE_PIPS SITEPROP SLICE_X61Y60 SITE_TYPE SLICEL SITEPROP SLICE_X61Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y61 CLASS site SITEPROP SLICE_X61Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y61 IS_BONDED 0 SITEPROP SLICE_X61Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y61 IS_PAD 0 SITEPROP SLICE_X61Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y61 IS_RESERVED 0 SITEPROP SLICE_X61Y61 IS_TEST 0 SITEPROP SLICE_X61Y61 IS_USED 0 SITEPROP SLICE_X61Y61 MANUAL_ROUTING SITEPROP SLICE_X61Y61 NAME SLICE_X61Y61 SITEPROP SLICE_X61Y61 NUM_ARCS 138 SITEPROP SLICE_X61Y61 NUM_BELS 32 SITEPROP SLICE_X61Y61 NUM_INPUTS 32 SITEPROP SLICE_X61Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y61 NUM_PINS 45 SITEPROP SLICE_X61Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y61 PROHIBIT 0 SITEPROP SLICE_X61Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y61 RPM_X 163 SITEPROP SLICE_X61Y61 RPM_Y 122 SITEPROP SLICE_X61Y61 SITE_PIPS SITEPROP SLICE_X61Y61 SITE_TYPE SLICEL SITEPROP SLICE_X61Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y62 CLASS site SITEPROP SLICE_X61Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y62 IS_BONDED 0 SITEPROP SLICE_X61Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y62 IS_PAD 0 SITEPROP SLICE_X61Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y62 IS_RESERVED 0 SITEPROP SLICE_X61Y62 IS_TEST 0 SITEPROP SLICE_X61Y62 IS_USED 0 SITEPROP SLICE_X61Y62 MANUAL_ROUTING SITEPROP SLICE_X61Y62 NAME SLICE_X61Y62 SITEPROP SLICE_X61Y62 NUM_ARCS 138 SITEPROP SLICE_X61Y62 NUM_BELS 32 SITEPROP SLICE_X61Y62 NUM_INPUTS 32 SITEPROP SLICE_X61Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y62 NUM_PINS 45 SITEPROP SLICE_X61Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y62 PROHIBIT 0 SITEPROP SLICE_X61Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y62 RPM_X 163 SITEPROP SLICE_X61Y62 RPM_Y 124 SITEPROP SLICE_X61Y62 SITE_PIPS SITEPROP SLICE_X61Y62 SITE_TYPE SLICEL SITEPROP SLICE_X61Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y63 CLASS site SITEPROP SLICE_X61Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y63 IS_BONDED 0 SITEPROP SLICE_X61Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y63 IS_PAD 0 SITEPROP SLICE_X61Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y63 IS_RESERVED 0 SITEPROP SLICE_X61Y63 IS_TEST 0 SITEPROP SLICE_X61Y63 IS_USED 0 SITEPROP SLICE_X61Y63 MANUAL_ROUTING SITEPROP SLICE_X61Y63 NAME SLICE_X61Y63 SITEPROP SLICE_X61Y63 NUM_ARCS 138 SITEPROP SLICE_X61Y63 NUM_BELS 32 SITEPROP SLICE_X61Y63 NUM_INPUTS 32 SITEPROP SLICE_X61Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y63 NUM_PINS 45 SITEPROP SLICE_X61Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y63 PROHIBIT 0 SITEPROP SLICE_X61Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y63 RPM_X 163 SITEPROP SLICE_X61Y63 RPM_Y 126 SITEPROP SLICE_X61Y63 SITE_PIPS SITEPROP SLICE_X61Y63 SITE_TYPE SLICEL SITEPROP SLICE_X61Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y64 CLASS site SITEPROP SLICE_X61Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y64 IS_BONDED 0 SITEPROP SLICE_X61Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y64 IS_PAD 0 SITEPROP SLICE_X61Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y64 IS_RESERVED 0 SITEPROP SLICE_X61Y64 IS_TEST 0 SITEPROP SLICE_X61Y64 IS_USED 0 SITEPROP SLICE_X61Y64 MANUAL_ROUTING SITEPROP SLICE_X61Y64 NAME SLICE_X61Y64 SITEPROP SLICE_X61Y64 NUM_ARCS 138 SITEPROP SLICE_X61Y64 NUM_BELS 32 SITEPROP SLICE_X61Y64 NUM_INPUTS 32 SITEPROP SLICE_X61Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y64 NUM_PINS 45 SITEPROP SLICE_X61Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y64 PROHIBIT 0 SITEPROP SLICE_X61Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y64 RPM_X 163 SITEPROP SLICE_X61Y64 RPM_Y 128 SITEPROP SLICE_X61Y64 SITE_PIPS SITEPROP SLICE_X61Y64 SITE_TYPE SLICEL SITEPROP SLICE_X61Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y65 CLASS site SITEPROP SLICE_X61Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y65 IS_BONDED 0 SITEPROP SLICE_X61Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y65 IS_PAD 0 SITEPROP SLICE_X61Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y65 IS_RESERVED 0 SITEPROP SLICE_X61Y65 IS_TEST 0 SITEPROP SLICE_X61Y65 IS_USED 0 SITEPROP SLICE_X61Y65 MANUAL_ROUTING SITEPROP SLICE_X61Y65 NAME SLICE_X61Y65 SITEPROP SLICE_X61Y65 NUM_ARCS 138 SITEPROP SLICE_X61Y65 NUM_BELS 32 SITEPROP SLICE_X61Y65 NUM_INPUTS 32 SITEPROP SLICE_X61Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y65 NUM_PINS 45 SITEPROP SLICE_X61Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y65 PROHIBIT 0 SITEPROP SLICE_X61Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y65 RPM_X 163 SITEPROP SLICE_X61Y65 RPM_Y 130 SITEPROP SLICE_X61Y65 SITE_PIPS SITEPROP SLICE_X61Y65 SITE_TYPE SLICEL SITEPROP SLICE_X61Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y66 CLASS site SITEPROP SLICE_X61Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y66 IS_BONDED 0 SITEPROP SLICE_X61Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y66 IS_PAD 0 SITEPROP SLICE_X61Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y66 IS_RESERVED 0 SITEPROP SLICE_X61Y66 IS_TEST 0 SITEPROP SLICE_X61Y66 IS_USED 0 SITEPROP SLICE_X61Y66 MANUAL_ROUTING SITEPROP SLICE_X61Y66 NAME SLICE_X61Y66 SITEPROP SLICE_X61Y66 NUM_ARCS 138 SITEPROP SLICE_X61Y66 NUM_BELS 32 SITEPROP SLICE_X61Y66 NUM_INPUTS 32 SITEPROP SLICE_X61Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y66 NUM_PINS 45 SITEPROP SLICE_X61Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y66 PROHIBIT 0 SITEPROP SLICE_X61Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y66 RPM_X 163 SITEPROP SLICE_X61Y66 RPM_Y 132 SITEPROP SLICE_X61Y66 SITE_PIPS SITEPROP SLICE_X61Y66 SITE_TYPE SLICEL SITEPROP SLICE_X61Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y67 CLASS site SITEPROP SLICE_X61Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y67 IS_BONDED 0 SITEPROP SLICE_X61Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y67 IS_PAD 0 SITEPROP SLICE_X61Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y67 IS_RESERVED 0 SITEPROP SLICE_X61Y67 IS_TEST 0 SITEPROP SLICE_X61Y67 IS_USED 0 SITEPROP SLICE_X61Y67 MANUAL_ROUTING SITEPROP SLICE_X61Y67 NAME SLICE_X61Y67 SITEPROP SLICE_X61Y67 NUM_ARCS 138 SITEPROP SLICE_X61Y67 NUM_BELS 32 SITEPROP SLICE_X61Y67 NUM_INPUTS 32 SITEPROP SLICE_X61Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y67 NUM_PINS 45 SITEPROP SLICE_X61Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y67 PROHIBIT 0 SITEPROP SLICE_X61Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y67 RPM_X 163 SITEPROP SLICE_X61Y67 RPM_Y 134 SITEPROP SLICE_X61Y67 SITE_PIPS SITEPROP SLICE_X61Y67 SITE_TYPE SLICEL SITEPROP SLICE_X61Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y68 CLASS site SITEPROP SLICE_X61Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y68 IS_BONDED 0 SITEPROP SLICE_X61Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y68 IS_PAD 0 SITEPROP SLICE_X61Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y68 IS_RESERVED 0 SITEPROP SLICE_X61Y68 IS_TEST 0 SITEPROP SLICE_X61Y68 IS_USED 0 SITEPROP SLICE_X61Y68 MANUAL_ROUTING SITEPROP SLICE_X61Y68 NAME SLICE_X61Y68 SITEPROP SLICE_X61Y68 NUM_ARCS 138 SITEPROP SLICE_X61Y68 NUM_BELS 32 SITEPROP SLICE_X61Y68 NUM_INPUTS 32 SITEPROP SLICE_X61Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y68 NUM_PINS 45 SITEPROP SLICE_X61Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y68 PROHIBIT 0 SITEPROP SLICE_X61Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y68 RPM_X 163 SITEPROP SLICE_X61Y68 RPM_Y 136 SITEPROP SLICE_X61Y68 SITE_PIPS SITEPROP SLICE_X61Y68 SITE_TYPE SLICEL SITEPROP SLICE_X61Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y69 CLASS site SITEPROP SLICE_X61Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y69 IS_BONDED 0 SITEPROP SLICE_X61Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y69 IS_PAD 0 SITEPROP SLICE_X61Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y69 IS_RESERVED 0 SITEPROP SLICE_X61Y69 IS_TEST 0 SITEPROP SLICE_X61Y69 IS_USED 0 SITEPROP SLICE_X61Y69 MANUAL_ROUTING SITEPROP SLICE_X61Y69 NAME SLICE_X61Y69 SITEPROP SLICE_X61Y69 NUM_ARCS 138 SITEPROP SLICE_X61Y69 NUM_BELS 32 SITEPROP SLICE_X61Y69 NUM_INPUTS 32 SITEPROP SLICE_X61Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y69 NUM_PINS 45 SITEPROP SLICE_X61Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y69 PROHIBIT 0 SITEPROP SLICE_X61Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y69 RPM_X 163 SITEPROP SLICE_X61Y69 RPM_Y 138 SITEPROP SLICE_X61Y69 SITE_PIPS SITEPROP SLICE_X61Y69 SITE_TYPE SLICEL SITEPROP SLICE_X61Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y70 CLASS site SITEPROP SLICE_X61Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y70 IS_BONDED 0 SITEPROP SLICE_X61Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y70 IS_PAD 0 SITEPROP SLICE_X61Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y70 IS_RESERVED 0 SITEPROP SLICE_X61Y70 IS_TEST 0 SITEPROP SLICE_X61Y70 IS_USED 0 SITEPROP SLICE_X61Y70 MANUAL_ROUTING SITEPROP SLICE_X61Y70 NAME SLICE_X61Y70 SITEPROP SLICE_X61Y70 NUM_ARCS 138 SITEPROP SLICE_X61Y70 NUM_BELS 32 SITEPROP SLICE_X61Y70 NUM_INPUTS 32 SITEPROP SLICE_X61Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y70 NUM_PINS 45 SITEPROP SLICE_X61Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y70 PROHIBIT 0 SITEPROP SLICE_X61Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y70 RPM_X 163 SITEPROP SLICE_X61Y70 RPM_Y 140 SITEPROP SLICE_X61Y70 SITE_PIPS SITEPROP SLICE_X61Y70 SITE_TYPE SLICEL SITEPROP SLICE_X61Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y71 CLASS site SITEPROP SLICE_X61Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y71 IS_BONDED 0 SITEPROP SLICE_X61Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y71 IS_PAD 0 SITEPROP SLICE_X61Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y71 IS_RESERVED 0 SITEPROP SLICE_X61Y71 IS_TEST 0 SITEPROP SLICE_X61Y71 IS_USED 0 SITEPROP SLICE_X61Y71 MANUAL_ROUTING SITEPROP SLICE_X61Y71 NAME SLICE_X61Y71 SITEPROP SLICE_X61Y71 NUM_ARCS 138 SITEPROP SLICE_X61Y71 NUM_BELS 32 SITEPROP SLICE_X61Y71 NUM_INPUTS 32 SITEPROP SLICE_X61Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y71 NUM_PINS 45 SITEPROP SLICE_X61Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y71 PROHIBIT 0 SITEPROP SLICE_X61Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y71 RPM_X 163 SITEPROP SLICE_X61Y71 RPM_Y 142 SITEPROP SLICE_X61Y71 SITE_PIPS SITEPROP SLICE_X61Y71 SITE_TYPE SLICEL SITEPROP SLICE_X61Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y72 CLASS site SITEPROP SLICE_X61Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y72 IS_BONDED 0 SITEPROP SLICE_X61Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y72 IS_PAD 0 SITEPROP SLICE_X61Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y72 IS_RESERVED 0 SITEPROP SLICE_X61Y72 IS_TEST 0 SITEPROP SLICE_X61Y72 IS_USED 0 SITEPROP SLICE_X61Y72 MANUAL_ROUTING SITEPROP SLICE_X61Y72 NAME SLICE_X61Y72 SITEPROP SLICE_X61Y72 NUM_ARCS 138 SITEPROP SLICE_X61Y72 NUM_BELS 32 SITEPROP SLICE_X61Y72 NUM_INPUTS 32 SITEPROP SLICE_X61Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y72 NUM_PINS 45 SITEPROP SLICE_X61Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y72 PROHIBIT 0 SITEPROP SLICE_X61Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y72 RPM_X 163 SITEPROP SLICE_X61Y72 RPM_Y 144 SITEPROP SLICE_X61Y72 SITE_PIPS SITEPROP SLICE_X61Y72 SITE_TYPE SLICEL SITEPROP SLICE_X61Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y73 CLASS site SITEPROP SLICE_X61Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y73 IS_BONDED 0 SITEPROP SLICE_X61Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y73 IS_PAD 0 SITEPROP SLICE_X61Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y73 IS_RESERVED 0 SITEPROP SLICE_X61Y73 IS_TEST 0 SITEPROP SLICE_X61Y73 IS_USED 0 SITEPROP SLICE_X61Y73 MANUAL_ROUTING SITEPROP SLICE_X61Y73 NAME SLICE_X61Y73 SITEPROP SLICE_X61Y73 NUM_ARCS 138 SITEPROP SLICE_X61Y73 NUM_BELS 32 SITEPROP SLICE_X61Y73 NUM_INPUTS 32 SITEPROP SLICE_X61Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y73 NUM_PINS 45 SITEPROP SLICE_X61Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y73 PROHIBIT 0 SITEPROP SLICE_X61Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y73 RPM_X 163 SITEPROP SLICE_X61Y73 RPM_Y 146 SITEPROP SLICE_X61Y73 SITE_PIPS SITEPROP SLICE_X61Y73 SITE_TYPE SLICEL SITEPROP SLICE_X61Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y74 CLASS site SITEPROP SLICE_X61Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y74 IS_BONDED 0 SITEPROP SLICE_X61Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y74 IS_PAD 0 SITEPROP SLICE_X61Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y74 IS_RESERVED 0 SITEPROP SLICE_X61Y74 IS_TEST 0 SITEPROP SLICE_X61Y74 IS_USED 0 SITEPROP SLICE_X61Y74 MANUAL_ROUTING SITEPROP SLICE_X61Y74 NAME SLICE_X61Y74 SITEPROP SLICE_X61Y74 NUM_ARCS 138 SITEPROP SLICE_X61Y74 NUM_BELS 32 SITEPROP SLICE_X61Y74 NUM_INPUTS 32 SITEPROP SLICE_X61Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y74 NUM_PINS 45 SITEPROP SLICE_X61Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y74 PROHIBIT 0 SITEPROP SLICE_X61Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y74 RPM_X 163 SITEPROP SLICE_X61Y74 RPM_Y 148 SITEPROP SLICE_X61Y74 SITE_PIPS SITEPROP SLICE_X61Y74 SITE_TYPE SLICEL SITEPROP SLICE_X61Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y75 CLASS site SITEPROP SLICE_X61Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y75 IS_BONDED 0 SITEPROP SLICE_X61Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y75 IS_PAD 0 SITEPROP SLICE_X61Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y75 IS_RESERVED 0 SITEPROP SLICE_X61Y75 IS_TEST 0 SITEPROP SLICE_X61Y75 IS_USED 0 SITEPROP SLICE_X61Y75 MANUAL_ROUTING SITEPROP SLICE_X61Y75 NAME SLICE_X61Y75 SITEPROP SLICE_X61Y75 NUM_ARCS 138 SITEPROP SLICE_X61Y75 NUM_BELS 32 SITEPROP SLICE_X61Y75 NUM_INPUTS 32 SITEPROP SLICE_X61Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y75 NUM_PINS 45 SITEPROP SLICE_X61Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y75 PROHIBIT 0 SITEPROP SLICE_X61Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y75 RPM_X 163 SITEPROP SLICE_X61Y75 RPM_Y 150 SITEPROP SLICE_X61Y75 SITE_PIPS SITEPROP SLICE_X61Y75 SITE_TYPE SLICEL SITEPROP SLICE_X61Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y76 CLASS site SITEPROP SLICE_X61Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y76 IS_BONDED 0 SITEPROP SLICE_X61Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y76 IS_PAD 0 SITEPROP SLICE_X61Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y76 IS_RESERVED 0 SITEPROP SLICE_X61Y76 IS_TEST 0 SITEPROP SLICE_X61Y76 IS_USED 0 SITEPROP SLICE_X61Y76 MANUAL_ROUTING SITEPROP SLICE_X61Y76 NAME SLICE_X61Y76 SITEPROP SLICE_X61Y76 NUM_ARCS 138 SITEPROP SLICE_X61Y76 NUM_BELS 32 SITEPROP SLICE_X61Y76 NUM_INPUTS 32 SITEPROP SLICE_X61Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y76 NUM_PINS 45 SITEPROP SLICE_X61Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y76 PROHIBIT 0 SITEPROP SLICE_X61Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y76 RPM_X 163 SITEPROP SLICE_X61Y76 RPM_Y 152 SITEPROP SLICE_X61Y76 SITE_PIPS SITEPROP SLICE_X61Y76 SITE_TYPE SLICEL SITEPROP SLICE_X61Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y77 CLASS site SITEPROP SLICE_X61Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y77 IS_BONDED 0 SITEPROP SLICE_X61Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y77 IS_PAD 0 SITEPROP SLICE_X61Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y77 IS_RESERVED 0 SITEPROP SLICE_X61Y77 IS_TEST 0 SITEPROP SLICE_X61Y77 IS_USED 0 SITEPROP SLICE_X61Y77 MANUAL_ROUTING SITEPROP SLICE_X61Y77 NAME SLICE_X61Y77 SITEPROP SLICE_X61Y77 NUM_ARCS 138 SITEPROP SLICE_X61Y77 NUM_BELS 32 SITEPROP SLICE_X61Y77 NUM_INPUTS 32 SITEPROP SLICE_X61Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y77 NUM_PINS 45 SITEPROP SLICE_X61Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y77 PROHIBIT 0 SITEPROP SLICE_X61Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y77 RPM_X 163 SITEPROP SLICE_X61Y77 RPM_Y 154 SITEPROP SLICE_X61Y77 SITE_PIPS SITEPROP SLICE_X61Y77 SITE_TYPE SLICEL SITEPROP SLICE_X61Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y78 CLASS site SITEPROP SLICE_X61Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y78 IS_BONDED 0 SITEPROP SLICE_X61Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y78 IS_PAD 0 SITEPROP SLICE_X61Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y78 IS_RESERVED 0 SITEPROP SLICE_X61Y78 IS_TEST 0 SITEPROP SLICE_X61Y78 IS_USED 0 SITEPROP SLICE_X61Y78 MANUAL_ROUTING SITEPROP SLICE_X61Y78 NAME SLICE_X61Y78 SITEPROP SLICE_X61Y78 NUM_ARCS 138 SITEPROP SLICE_X61Y78 NUM_BELS 32 SITEPROP SLICE_X61Y78 NUM_INPUTS 32 SITEPROP SLICE_X61Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y78 NUM_PINS 45 SITEPROP SLICE_X61Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y78 PROHIBIT 0 SITEPROP SLICE_X61Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y78 RPM_X 163 SITEPROP SLICE_X61Y78 RPM_Y 156 SITEPROP SLICE_X61Y78 SITE_PIPS SITEPROP SLICE_X61Y78 SITE_TYPE SLICEL SITEPROP SLICE_X61Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y79 CLASS site SITEPROP SLICE_X61Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y79 IS_BONDED 0 SITEPROP SLICE_X61Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y79 IS_PAD 0 SITEPROP SLICE_X61Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y79 IS_RESERVED 0 SITEPROP SLICE_X61Y79 IS_TEST 0 SITEPROP SLICE_X61Y79 IS_USED 0 SITEPROP SLICE_X61Y79 MANUAL_ROUTING SITEPROP SLICE_X61Y79 NAME SLICE_X61Y79 SITEPROP SLICE_X61Y79 NUM_ARCS 138 SITEPROP SLICE_X61Y79 NUM_BELS 32 SITEPROP SLICE_X61Y79 NUM_INPUTS 32 SITEPROP SLICE_X61Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y79 NUM_PINS 45 SITEPROP SLICE_X61Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y79 PROHIBIT 0 SITEPROP SLICE_X61Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y79 RPM_X 163 SITEPROP SLICE_X61Y79 RPM_Y 158 SITEPROP SLICE_X61Y79 SITE_PIPS SITEPROP SLICE_X61Y79 SITE_TYPE SLICEL SITEPROP SLICE_X61Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y80 CLASS site SITEPROP SLICE_X61Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y80 IS_BONDED 0 SITEPROP SLICE_X61Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y80 IS_PAD 0 SITEPROP SLICE_X61Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y80 IS_RESERVED 0 SITEPROP SLICE_X61Y80 IS_TEST 0 SITEPROP SLICE_X61Y80 IS_USED 0 SITEPROP SLICE_X61Y80 MANUAL_ROUTING SITEPROP SLICE_X61Y80 NAME SLICE_X61Y80 SITEPROP SLICE_X61Y80 NUM_ARCS 138 SITEPROP SLICE_X61Y80 NUM_BELS 32 SITEPROP SLICE_X61Y80 NUM_INPUTS 32 SITEPROP SLICE_X61Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y80 NUM_PINS 45 SITEPROP SLICE_X61Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y80 PROHIBIT 0 SITEPROP SLICE_X61Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y80 RPM_X 163 SITEPROP SLICE_X61Y80 RPM_Y 160 SITEPROP SLICE_X61Y80 SITE_PIPS SITEPROP SLICE_X61Y80 SITE_TYPE SLICEL SITEPROP SLICE_X61Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y81 CLASS site SITEPROP SLICE_X61Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y81 IS_BONDED 0 SITEPROP SLICE_X61Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y81 IS_PAD 0 SITEPROP SLICE_X61Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y81 IS_RESERVED 0 SITEPROP SLICE_X61Y81 IS_TEST 0 SITEPROP SLICE_X61Y81 IS_USED 0 SITEPROP SLICE_X61Y81 MANUAL_ROUTING SITEPROP SLICE_X61Y81 NAME SLICE_X61Y81 SITEPROP SLICE_X61Y81 NUM_ARCS 138 SITEPROP SLICE_X61Y81 NUM_BELS 32 SITEPROP SLICE_X61Y81 NUM_INPUTS 32 SITEPROP SLICE_X61Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y81 NUM_PINS 45 SITEPROP SLICE_X61Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y81 PROHIBIT 0 SITEPROP SLICE_X61Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y81 RPM_X 163 SITEPROP SLICE_X61Y81 RPM_Y 162 SITEPROP SLICE_X61Y81 SITE_PIPS SITEPROP SLICE_X61Y81 SITE_TYPE SLICEL SITEPROP SLICE_X61Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y82 CLASS site SITEPROP SLICE_X61Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y82 IS_BONDED 0 SITEPROP SLICE_X61Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y82 IS_PAD 0 SITEPROP SLICE_X61Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y82 IS_RESERVED 0 SITEPROP SLICE_X61Y82 IS_TEST 0 SITEPROP SLICE_X61Y82 IS_USED 0 SITEPROP SLICE_X61Y82 MANUAL_ROUTING SITEPROP SLICE_X61Y82 NAME SLICE_X61Y82 SITEPROP SLICE_X61Y82 NUM_ARCS 138 SITEPROP SLICE_X61Y82 NUM_BELS 32 SITEPROP SLICE_X61Y82 NUM_INPUTS 32 SITEPROP SLICE_X61Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y82 NUM_PINS 45 SITEPROP SLICE_X61Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y82 PROHIBIT 0 SITEPROP SLICE_X61Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y82 RPM_X 163 SITEPROP SLICE_X61Y82 RPM_Y 164 SITEPROP SLICE_X61Y82 SITE_PIPS SITEPROP SLICE_X61Y82 SITE_TYPE SLICEL SITEPROP SLICE_X61Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y83 CLASS site SITEPROP SLICE_X61Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y83 IS_BONDED 0 SITEPROP SLICE_X61Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y83 IS_PAD 0 SITEPROP SLICE_X61Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y83 IS_RESERVED 0 SITEPROP SLICE_X61Y83 IS_TEST 0 SITEPROP SLICE_X61Y83 IS_USED 0 SITEPROP SLICE_X61Y83 MANUAL_ROUTING SITEPROP SLICE_X61Y83 NAME SLICE_X61Y83 SITEPROP SLICE_X61Y83 NUM_ARCS 138 SITEPROP SLICE_X61Y83 NUM_BELS 32 SITEPROP SLICE_X61Y83 NUM_INPUTS 32 SITEPROP SLICE_X61Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y83 NUM_PINS 45 SITEPROP SLICE_X61Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y83 PROHIBIT 0 SITEPROP SLICE_X61Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y83 RPM_X 163 SITEPROP SLICE_X61Y83 RPM_Y 166 SITEPROP SLICE_X61Y83 SITE_PIPS SITEPROP SLICE_X61Y83 SITE_TYPE SLICEL SITEPROP SLICE_X61Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y84 CLASS site SITEPROP SLICE_X61Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y84 IS_BONDED 0 SITEPROP SLICE_X61Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y84 IS_PAD 0 SITEPROP SLICE_X61Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y84 IS_RESERVED 0 SITEPROP SLICE_X61Y84 IS_TEST 0 SITEPROP SLICE_X61Y84 IS_USED 0 SITEPROP SLICE_X61Y84 MANUAL_ROUTING SITEPROP SLICE_X61Y84 NAME SLICE_X61Y84 SITEPROP SLICE_X61Y84 NUM_ARCS 138 SITEPROP SLICE_X61Y84 NUM_BELS 32 SITEPROP SLICE_X61Y84 NUM_INPUTS 32 SITEPROP SLICE_X61Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y84 NUM_PINS 45 SITEPROP SLICE_X61Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y84 PROHIBIT 0 SITEPROP SLICE_X61Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y84 RPM_X 163 SITEPROP SLICE_X61Y84 RPM_Y 168 SITEPROP SLICE_X61Y84 SITE_PIPS SITEPROP SLICE_X61Y84 SITE_TYPE SLICEL SITEPROP SLICE_X61Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y85 CLASS site SITEPROP SLICE_X61Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y85 IS_BONDED 0 SITEPROP SLICE_X61Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y85 IS_PAD 0 SITEPROP SLICE_X61Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y85 IS_RESERVED 0 SITEPROP SLICE_X61Y85 IS_TEST 0 SITEPROP SLICE_X61Y85 IS_USED 0 SITEPROP SLICE_X61Y85 MANUAL_ROUTING SITEPROP SLICE_X61Y85 NAME SLICE_X61Y85 SITEPROP SLICE_X61Y85 NUM_ARCS 138 SITEPROP SLICE_X61Y85 NUM_BELS 32 SITEPROP SLICE_X61Y85 NUM_INPUTS 32 SITEPROP SLICE_X61Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y85 NUM_PINS 45 SITEPROP SLICE_X61Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y85 PROHIBIT 0 SITEPROP SLICE_X61Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y85 RPM_X 163 SITEPROP SLICE_X61Y85 RPM_Y 170 SITEPROP SLICE_X61Y85 SITE_PIPS SITEPROP SLICE_X61Y85 SITE_TYPE SLICEL SITEPROP SLICE_X61Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y86 CLASS site SITEPROP SLICE_X61Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y86 IS_BONDED 0 SITEPROP SLICE_X61Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y86 IS_PAD 0 SITEPROP SLICE_X61Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y86 IS_RESERVED 0 SITEPROP SLICE_X61Y86 IS_TEST 0 SITEPROP SLICE_X61Y86 IS_USED 0 SITEPROP SLICE_X61Y86 MANUAL_ROUTING SITEPROP SLICE_X61Y86 NAME SLICE_X61Y86 SITEPROP SLICE_X61Y86 NUM_ARCS 138 SITEPROP SLICE_X61Y86 NUM_BELS 32 SITEPROP SLICE_X61Y86 NUM_INPUTS 32 SITEPROP SLICE_X61Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y86 NUM_PINS 45 SITEPROP SLICE_X61Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y86 PROHIBIT 0 SITEPROP SLICE_X61Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y86 RPM_X 163 SITEPROP SLICE_X61Y86 RPM_Y 172 SITEPROP SLICE_X61Y86 SITE_PIPS SITEPROP SLICE_X61Y86 SITE_TYPE SLICEL SITEPROP SLICE_X61Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y87 CLASS site SITEPROP SLICE_X61Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y87 IS_BONDED 0 SITEPROP SLICE_X61Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y87 IS_PAD 0 SITEPROP SLICE_X61Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y87 IS_RESERVED 0 SITEPROP SLICE_X61Y87 IS_TEST 0 SITEPROP SLICE_X61Y87 IS_USED 0 SITEPROP SLICE_X61Y87 MANUAL_ROUTING SITEPROP SLICE_X61Y87 NAME SLICE_X61Y87 SITEPROP SLICE_X61Y87 NUM_ARCS 138 SITEPROP SLICE_X61Y87 NUM_BELS 32 SITEPROP SLICE_X61Y87 NUM_INPUTS 32 SITEPROP SLICE_X61Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y87 NUM_PINS 45 SITEPROP SLICE_X61Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y87 PROHIBIT 0 SITEPROP SLICE_X61Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y87 RPM_X 163 SITEPROP SLICE_X61Y87 RPM_Y 174 SITEPROP SLICE_X61Y87 SITE_PIPS SITEPROP SLICE_X61Y87 SITE_TYPE SLICEL SITEPROP SLICE_X61Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y88 CLASS site SITEPROP SLICE_X61Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y88 IS_BONDED 0 SITEPROP SLICE_X61Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y88 IS_PAD 0 SITEPROP SLICE_X61Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y88 IS_RESERVED 0 SITEPROP SLICE_X61Y88 IS_TEST 0 SITEPROP SLICE_X61Y88 IS_USED 0 SITEPROP SLICE_X61Y88 MANUAL_ROUTING SITEPROP SLICE_X61Y88 NAME SLICE_X61Y88 SITEPROP SLICE_X61Y88 NUM_ARCS 138 SITEPROP SLICE_X61Y88 NUM_BELS 32 SITEPROP SLICE_X61Y88 NUM_INPUTS 32 SITEPROP SLICE_X61Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y88 NUM_PINS 45 SITEPROP SLICE_X61Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y88 PROHIBIT 0 SITEPROP SLICE_X61Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y88 RPM_X 163 SITEPROP SLICE_X61Y88 RPM_Y 176 SITEPROP SLICE_X61Y88 SITE_PIPS SITEPROP SLICE_X61Y88 SITE_TYPE SLICEL SITEPROP SLICE_X61Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y89 CLASS site SITEPROP SLICE_X61Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y89 IS_BONDED 0 SITEPROP SLICE_X61Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y89 IS_PAD 0 SITEPROP SLICE_X61Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y89 IS_RESERVED 0 SITEPROP SLICE_X61Y89 IS_TEST 0 SITEPROP SLICE_X61Y89 IS_USED 0 SITEPROP SLICE_X61Y89 MANUAL_ROUTING SITEPROP SLICE_X61Y89 NAME SLICE_X61Y89 SITEPROP SLICE_X61Y89 NUM_ARCS 138 SITEPROP SLICE_X61Y89 NUM_BELS 32 SITEPROP SLICE_X61Y89 NUM_INPUTS 32 SITEPROP SLICE_X61Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y89 NUM_PINS 45 SITEPROP SLICE_X61Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y89 PROHIBIT 0 SITEPROP SLICE_X61Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y89 RPM_X 163 SITEPROP SLICE_X61Y89 RPM_Y 178 SITEPROP SLICE_X61Y89 SITE_PIPS SITEPROP SLICE_X61Y89 SITE_TYPE SLICEL SITEPROP SLICE_X61Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y90 CLASS site SITEPROP SLICE_X61Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y90 IS_BONDED 0 SITEPROP SLICE_X61Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y90 IS_PAD 0 SITEPROP SLICE_X61Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y90 IS_RESERVED 0 SITEPROP SLICE_X61Y90 IS_TEST 0 SITEPROP SLICE_X61Y90 IS_USED 0 SITEPROP SLICE_X61Y90 MANUAL_ROUTING SITEPROP SLICE_X61Y90 NAME SLICE_X61Y90 SITEPROP SLICE_X61Y90 NUM_ARCS 138 SITEPROP SLICE_X61Y90 NUM_BELS 32 SITEPROP SLICE_X61Y90 NUM_INPUTS 32 SITEPROP SLICE_X61Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y90 NUM_PINS 45 SITEPROP SLICE_X61Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y90 PROHIBIT 0 SITEPROP SLICE_X61Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y90 RPM_X 163 SITEPROP SLICE_X61Y90 RPM_Y 180 SITEPROP SLICE_X61Y90 SITE_PIPS SITEPROP SLICE_X61Y90 SITE_TYPE SLICEL SITEPROP SLICE_X61Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y91 CLASS site SITEPROP SLICE_X61Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y91 IS_BONDED 0 SITEPROP SLICE_X61Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y91 IS_PAD 0 SITEPROP SLICE_X61Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y91 IS_RESERVED 0 SITEPROP SLICE_X61Y91 IS_TEST 0 SITEPROP SLICE_X61Y91 IS_USED 0 SITEPROP SLICE_X61Y91 MANUAL_ROUTING SITEPROP SLICE_X61Y91 NAME SLICE_X61Y91 SITEPROP SLICE_X61Y91 NUM_ARCS 138 SITEPROP SLICE_X61Y91 NUM_BELS 32 SITEPROP SLICE_X61Y91 NUM_INPUTS 32 SITEPROP SLICE_X61Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y91 NUM_PINS 45 SITEPROP SLICE_X61Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y91 PROHIBIT 0 SITEPROP SLICE_X61Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y91 RPM_X 163 SITEPROP SLICE_X61Y91 RPM_Y 182 SITEPROP SLICE_X61Y91 SITE_PIPS SITEPROP SLICE_X61Y91 SITE_TYPE SLICEL SITEPROP SLICE_X61Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y92 CLASS site SITEPROP SLICE_X61Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y92 IS_BONDED 0 SITEPROP SLICE_X61Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y92 IS_PAD 0 SITEPROP SLICE_X61Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y92 IS_RESERVED 0 SITEPROP SLICE_X61Y92 IS_TEST 0 SITEPROP SLICE_X61Y92 IS_USED 0 SITEPROP SLICE_X61Y92 MANUAL_ROUTING SITEPROP SLICE_X61Y92 NAME SLICE_X61Y92 SITEPROP SLICE_X61Y92 NUM_ARCS 138 SITEPROP SLICE_X61Y92 NUM_BELS 32 SITEPROP SLICE_X61Y92 NUM_INPUTS 32 SITEPROP SLICE_X61Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y92 NUM_PINS 45 SITEPROP SLICE_X61Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y92 PROHIBIT 0 SITEPROP SLICE_X61Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y92 RPM_X 163 SITEPROP SLICE_X61Y92 RPM_Y 184 SITEPROP SLICE_X61Y92 SITE_PIPS SITEPROP SLICE_X61Y92 SITE_TYPE SLICEL SITEPROP SLICE_X61Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y93 CLASS site SITEPROP SLICE_X61Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y93 IS_BONDED 0 SITEPROP SLICE_X61Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y93 IS_PAD 0 SITEPROP SLICE_X61Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y93 IS_RESERVED 0 SITEPROP SLICE_X61Y93 IS_TEST 0 SITEPROP SLICE_X61Y93 IS_USED 0 SITEPROP SLICE_X61Y93 MANUAL_ROUTING SITEPROP SLICE_X61Y93 NAME SLICE_X61Y93 SITEPROP SLICE_X61Y93 NUM_ARCS 138 SITEPROP SLICE_X61Y93 NUM_BELS 32 SITEPROP SLICE_X61Y93 NUM_INPUTS 32 SITEPROP SLICE_X61Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y93 NUM_PINS 45 SITEPROP SLICE_X61Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y93 PROHIBIT 0 SITEPROP SLICE_X61Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y93 RPM_X 163 SITEPROP SLICE_X61Y93 RPM_Y 186 SITEPROP SLICE_X61Y93 SITE_PIPS SITEPROP SLICE_X61Y93 SITE_TYPE SLICEL SITEPROP SLICE_X61Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y94 CLASS site SITEPROP SLICE_X61Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y94 IS_BONDED 0 SITEPROP SLICE_X61Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y94 IS_PAD 0 SITEPROP SLICE_X61Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y94 IS_RESERVED 0 SITEPROP SLICE_X61Y94 IS_TEST 0 SITEPROP SLICE_X61Y94 IS_USED 0 SITEPROP SLICE_X61Y94 MANUAL_ROUTING SITEPROP SLICE_X61Y94 NAME SLICE_X61Y94 SITEPROP SLICE_X61Y94 NUM_ARCS 138 SITEPROP SLICE_X61Y94 NUM_BELS 32 SITEPROP SLICE_X61Y94 NUM_INPUTS 32 SITEPROP SLICE_X61Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y94 NUM_PINS 45 SITEPROP SLICE_X61Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y94 PROHIBIT 0 SITEPROP SLICE_X61Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y94 RPM_X 163 SITEPROP SLICE_X61Y94 RPM_Y 188 SITEPROP SLICE_X61Y94 SITE_PIPS SITEPROP SLICE_X61Y94 SITE_TYPE SLICEL SITEPROP SLICE_X61Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y95 CLASS site SITEPROP SLICE_X61Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y95 IS_BONDED 0 SITEPROP SLICE_X61Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y95 IS_PAD 0 SITEPROP SLICE_X61Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y95 IS_RESERVED 0 SITEPROP SLICE_X61Y95 IS_TEST 0 SITEPROP SLICE_X61Y95 IS_USED 0 SITEPROP SLICE_X61Y95 MANUAL_ROUTING SITEPROP SLICE_X61Y95 NAME SLICE_X61Y95 SITEPROP SLICE_X61Y95 NUM_ARCS 138 SITEPROP SLICE_X61Y95 NUM_BELS 32 SITEPROP SLICE_X61Y95 NUM_INPUTS 32 SITEPROP SLICE_X61Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y95 NUM_PINS 45 SITEPROP SLICE_X61Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y95 PROHIBIT 0 SITEPROP SLICE_X61Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y95 RPM_X 163 SITEPROP SLICE_X61Y95 RPM_Y 190 SITEPROP SLICE_X61Y95 SITE_PIPS SITEPROP SLICE_X61Y95 SITE_TYPE SLICEL SITEPROP SLICE_X61Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y96 CLASS site SITEPROP SLICE_X61Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y96 IS_BONDED 0 SITEPROP SLICE_X61Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y96 IS_PAD 0 SITEPROP SLICE_X61Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y96 IS_RESERVED 0 SITEPROP SLICE_X61Y96 IS_TEST 0 SITEPROP SLICE_X61Y96 IS_USED 0 SITEPROP SLICE_X61Y96 MANUAL_ROUTING SITEPROP SLICE_X61Y96 NAME SLICE_X61Y96 SITEPROP SLICE_X61Y96 NUM_ARCS 138 SITEPROP SLICE_X61Y96 NUM_BELS 32 SITEPROP SLICE_X61Y96 NUM_INPUTS 32 SITEPROP SLICE_X61Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y96 NUM_PINS 45 SITEPROP SLICE_X61Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y96 PROHIBIT 0 SITEPROP SLICE_X61Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y96 RPM_X 163 SITEPROP SLICE_X61Y96 RPM_Y 192 SITEPROP SLICE_X61Y96 SITE_PIPS SITEPROP SLICE_X61Y96 SITE_TYPE SLICEL SITEPROP SLICE_X61Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y97 CLASS site SITEPROP SLICE_X61Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y97 IS_BONDED 0 SITEPROP SLICE_X61Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y97 IS_PAD 0 SITEPROP SLICE_X61Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y97 IS_RESERVED 0 SITEPROP SLICE_X61Y97 IS_TEST 0 SITEPROP SLICE_X61Y97 IS_USED 0 SITEPROP SLICE_X61Y97 MANUAL_ROUTING SITEPROP SLICE_X61Y97 NAME SLICE_X61Y97 SITEPROP SLICE_X61Y97 NUM_ARCS 138 SITEPROP SLICE_X61Y97 NUM_BELS 32 SITEPROP SLICE_X61Y97 NUM_INPUTS 32 SITEPROP SLICE_X61Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y97 NUM_PINS 45 SITEPROP SLICE_X61Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y97 PROHIBIT 0 SITEPROP SLICE_X61Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y97 RPM_X 163 SITEPROP SLICE_X61Y97 RPM_Y 194 SITEPROP SLICE_X61Y97 SITE_PIPS SITEPROP SLICE_X61Y97 SITE_TYPE SLICEL SITEPROP SLICE_X61Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y98 CLASS site SITEPROP SLICE_X61Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y98 IS_BONDED 0 SITEPROP SLICE_X61Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y98 IS_PAD 0 SITEPROP SLICE_X61Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y98 IS_RESERVED 0 SITEPROP SLICE_X61Y98 IS_TEST 0 SITEPROP SLICE_X61Y98 IS_USED 0 SITEPROP SLICE_X61Y98 MANUAL_ROUTING SITEPROP SLICE_X61Y98 NAME SLICE_X61Y98 SITEPROP SLICE_X61Y98 NUM_ARCS 138 SITEPROP SLICE_X61Y98 NUM_BELS 32 SITEPROP SLICE_X61Y98 NUM_INPUTS 32 SITEPROP SLICE_X61Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y98 NUM_PINS 45 SITEPROP SLICE_X61Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y98 PROHIBIT 0 SITEPROP SLICE_X61Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y98 RPM_X 163 SITEPROP SLICE_X61Y98 RPM_Y 196 SITEPROP SLICE_X61Y98 SITE_PIPS SITEPROP SLICE_X61Y98 SITE_TYPE SLICEL SITEPROP SLICE_X61Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X61Y99 CLASS site SITEPROP SLICE_X61Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X61Y99 IS_BONDED 0 SITEPROP SLICE_X61Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X61Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y99 IS_PAD 0 SITEPROP SLICE_X61Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X61Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X61Y99 IS_RESERVED 0 SITEPROP SLICE_X61Y99 IS_TEST 0 SITEPROP SLICE_X61Y99 IS_USED 0 SITEPROP SLICE_X61Y99 MANUAL_ROUTING SITEPROP SLICE_X61Y99 NAME SLICE_X61Y99 SITEPROP SLICE_X61Y99 NUM_ARCS 138 SITEPROP SLICE_X61Y99 NUM_BELS 32 SITEPROP SLICE_X61Y99 NUM_INPUTS 32 SITEPROP SLICE_X61Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X61Y99 NUM_PINS 45 SITEPROP SLICE_X61Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X61Y99 PROHIBIT 0 SITEPROP SLICE_X61Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X61Y99 RPM_X 163 SITEPROP SLICE_X61Y99 RPM_Y 198 SITEPROP SLICE_X61Y99 SITE_PIPS SITEPROP SLICE_X61Y99 SITE_TYPE SLICEL SITEPROP SLICE_X62Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y0 CLASS site SITEPROP SLICE_X62Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y0 IS_BONDED 0 SITEPROP SLICE_X62Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y0 IS_PAD 0 SITEPROP SLICE_X62Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y0 IS_RESERVED 0 SITEPROP SLICE_X62Y0 IS_TEST 0 SITEPROP SLICE_X62Y0 IS_USED 0 SITEPROP SLICE_X62Y0 MANUAL_ROUTING SITEPROP SLICE_X62Y0 NAME SLICE_X62Y0 SITEPROP SLICE_X62Y0 NUM_ARCS 138 SITEPROP SLICE_X62Y0 NUM_BELS 32 SITEPROP SLICE_X62Y0 NUM_INPUTS 32 SITEPROP SLICE_X62Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y0 NUM_PINS 45 SITEPROP SLICE_X62Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y0 PROHIBIT 0 SITEPROP SLICE_X62Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y0 RPM_X 165 SITEPROP SLICE_X62Y0 RPM_Y 0 SITEPROP SLICE_X62Y0 SITE_PIPS SITEPROP SLICE_X62Y0 SITE_TYPE SLICEL SITEPROP SLICE_X62Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y1 CLASS site SITEPROP SLICE_X62Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y1 IS_BONDED 0 SITEPROP SLICE_X62Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y1 IS_PAD 0 SITEPROP SLICE_X62Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y1 IS_RESERVED 0 SITEPROP SLICE_X62Y1 IS_TEST 0 SITEPROP SLICE_X62Y1 IS_USED 0 SITEPROP SLICE_X62Y1 MANUAL_ROUTING SITEPROP SLICE_X62Y1 NAME SLICE_X62Y1 SITEPROP SLICE_X62Y1 NUM_ARCS 138 SITEPROP SLICE_X62Y1 NUM_BELS 32 SITEPROP SLICE_X62Y1 NUM_INPUTS 32 SITEPROP SLICE_X62Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y1 NUM_PINS 45 SITEPROP SLICE_X62Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y1 PROHIBIT 0 SITEPROP SLICE_X62Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y1 RPM_X 165 SITEPROP SLICE_X62Y1 RPM_Y 2 SITEPROP SLICE_X62Y1 SITE_PIPS SITEPROP SLICE_X62Y1 SITE_TYPE SLICEL SITEPROP SLICE_X62Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y2 CLASS site SITEPROP SLICE_X62Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y2 IS_BONDED 0 SITEPROP SLICE_X62Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y2 IS_PAD 0 SITEPROP SLICE_X62Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y2 IS_RESERVED 0 SITEPROP SLICE_X62Y2 IS_TEST 0 SITEPROP SLICE_X62Y2 IS_USED 0 SITEPROP SLICE_X62Y2 MANUAL_ROUTING SITEPROP SLICE_X62Y2 NAME SLICE_X62Y2 SITEPROP SLICE_X62Y2 NUM_ARCS 138 SITEPROP SLICE_X62Y2 NUM_BELS 32 SITEPROP SLICE_X62Y2 NUM_INPUTS 32 SITEPROP SLICE_X62Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y2 NUM_PINS 45 SITEPROP SLICE_X62Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y2 PROHIBIT 0 SITEPROP SLICE_X62Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y2 RPM_X 165 SITEPROP SLICE_X62Y2 RPM_Y 4 SITEPROP SLICE_X62Y2 SITE_PIPS SITEPROP SLICE_X62Y2 SITE_TYPE SLICEL SITEPROP SLICE_X62Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y3 CLASS site SITEPROP SLICE_X62Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y3 IS_BONDED 0 SITEPROP SLICE_X62Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y3 IS_PAD 0 SITEPROP SLICE_X62Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y3 IS_RESERVED 0 SITEPROP SLICE_X62Y3 IS_TEST 0 SITEPROP SLICE_X62Y3 IS_USED 0 SITEPROP SLICE_X62Y3 MANUAL_ROUTING SITEPROP SLICE_X62Y3 NAME SLICE_X62Y3 SITEPROP SLICE_X62Y3 NUM_ARCS 138 SITEPROP SLICE_X62Y3 NUM_BELS 32 SITEPROP SLICE_X62Y3 NUM_INPUTS 32 SITEPROP SLICE_X62Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y3 NUM_PINS 45 SITEPROP SLICE_X62Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y3 PROHIBIT 0 SITEPROP SLICE_X62Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y3 RPM_X 165 SITEPROP SLICE_X62Y3 RPM_Y 6 SITEPROP SLICE_X62Y3 SITE_PIPS SITEPROP SLICE_X62Y3 SITE_TYPE SLICEL SITEPROP SLICE_X62Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y4 CLASS site SITEPROP SLICE_X62Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y4 IS_BONDED 0 SITEPROP SLICE_X62Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y4 IS_PAD 0 SITEPROP SLICE_X62Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y4 IS_RESERVED 0 SITEPROP SLICE_X62Y4 IS_TEST 0 SITEPROP SLICE_X62Y4 IS_USED 0 SITEPROP SLICE_X62Y4 MANUAL_ROUTING SITEPROP SLICE_X62Y4 NAME SLICE_X62Y4 SITEPROP SLICE_X62Y4 NUM_ARCS 138 SITEPROP SLICE_X62Y4 NUM_BELS 32 SITEPROP SLICE_X62Y4 NUM_INPUTS 32 SITEPROP SLICE_X62Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y4 NUM_PINS 45 SITEPROP SLICE_X62Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y4 PROHIBIT 0 SITEPROP SLICE_X62Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y4 RPM_X 165 SITEPROP SLICE_X62Y4 RPM_Y 8 SITEPROP SLICE_X62Y4 SITE_PIPS SITEPROP SLICE_X62Y4 SITE_TYPE SLICEL SITEPROP SLICE_X62Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y5 CLASS site SITEPROP SLICE_X62Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y5 IS_BONDED 0 SITEPROP SLICE_X62Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y5 IS_PAD 0 SITEPROP SLICE_X62Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y5 IS_RESERVED 0 SITEPROP SLICE_X62Y5 IS_TEST 0 SITEPROP SLICE_X62Y5 IS_USED 0 SITEPROP SLICE_X62Y5 MANUAL_ROUTING SITEPROP SLICE_X62Y5 NAME SLICE_X62Y5 SITEPROP SLICE_X62Y5 NUM_ARCS 138 SITEPROP SLICE_X62Y5 NUM_BELS 32 SITEPROP SLICE_X62Y5 NUM_INPUTS 32 SITEPROP SLICE_X62Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y5 NUM_PINS 45 SITEPROP SLICE_X62Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y5 PROHIBIT 0 SITEPROP SLICE_X62Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y5 RPM_X 165 SITEPROP SLICE_X62Y5 RPM_Y 10 SITEPROP SLICE_X62Y5 SITE_PIPS SITEPROP SLICE_X62Y5 SITE_TYPE SLICEL SITEPROP SLICE_X62Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y6 CLASS site SITEPROP SLICE_X62Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y6 IS_BONDED 0 SITEPROP SLICE_X62Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y6 IS_PAD 0 SITEPROP SLICE_X62Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y6 IS_RESERVED 0 SITEPROP SLICE_X62Y6 IS_TEST 0 SITEPROP SLICE_X62Y6 IS_USED 0 SITEPROP SLICE_X62Y6 MANUAL_ROUTING SITEPROP SLICE_X62Y6 NAME SLICE_X62Y6 SITEPROP SLICE_X62Y6 NUM_ARCS 138 SITEPROP SLICE_X62Y6 NUM_BELS 32 SITEPROP SLICE_X62Y6 NUM_INPUTS 32 SITEPROP SLICE_X62Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y6 NUM_PINS 45 SITEPROP SLICE_X62Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y6 PROHIBIT 0 SITEPROP SLICE_X62Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y6 RPM_X 165 SITEPROP SLICE_X62Y6 RPM_Y 12 SITEPROP SLICE_X62Y6 SITE_PIPS SITEPROP SLICE_X62Y6 SITE_TYPE SLICEL SITEPROP SLICE_X62Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y7 CLASS site SITEPROP SLICE_X62Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y7 IS_BONDED 0 SITEPROP SLICE_X62Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y7 IS_PAD 0 SITEPROP SLICE_X62Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y7 IS_RESERVED 0 SITEPROP SLICE_X62Y7 IS_TEST 0 SITEPROP SLICE_X62Y7 IS_USED 0 SITEPROP SLICE_X62Y7 MANUAL_ROUTING SITEPROP SLICE_X62Y7 NAME SLICE_X62Y7 SITEPROP SLICE_X62Y7 NUM_ARCS 138 SITEPROP SLICE_X62Y7 NUM_BELS 32 SITEPROP SLICE_X62Y7 NUM_INPUTS 32 SITEPROP SLICE_X62Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y7 NUM_PINS 45 SITEPROP SLICE_X62Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y7 PROHIBIT 0 SITEPROP SLICE_X62Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y7 RPM_X 165 SITEPROP SLICE_X62Y7 RPM_Y 14 SITEPROP SLICE_X62Y7 SITE_PIPS SITEPROP SLICE_X62Y7 SITE_TYPE SLICEL SITEPROP SLICE_X62Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y8 CLASS site SITEPROP SLICE_X62Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y8 IS_BONDED 0 SITEPROP SLICE_X62Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y8 IS_PAD 0 SITEPROP SLICE_X62Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y8 IS_RESERVED 0 SITEPROP SLICE_X62Y8 IS_TEST 0 SITEPROP SLICE_X62Y8 IS_USED 0 SITEPROP SLICE_X62Y8 MANUAL_ROUTING SITEPROP SLICE_X62Y8 NAME SLICE_X62Y8 SITEPROP SLICE_X62Y8 NUM_ARCS 138 SITEPROP SLICE_X62Y8 NUM_BELS 32 SITEPROP SLICE_X62Y8 NUM_INPUTS 32 SITEPROP SLICE_X62Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y8 NUM_PINS 45 SITEPROP SLICE_X62Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y8 PROHIBIT 0 SITEPROP SLICE_X62Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y8 RPM_X 165 SITEPROP SLICE_X62Y8 RPM_Y 16 SITEPROP SLICE_X62Y8 SITE_PIPS SITEPROP SLICE_X62Y8 SITE_TYPE SLICEL SITEPROP SLICE_X62Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y9 CLASS site SITEPROP SLICE_X62Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y9 IS_BONDED 0 SITEPROP SLICE_X62Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y9 IS_PAD 0 SITEPROP SLICE_X62Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y9 IS_RESERVED 0 SITEPROP SLICE_X62Y9 IS_TEST 0 SITEPROP SLICE_X62Y9 IS_USED 0 SITEPROP SLICE_X62Y9 MANUAL_ROUTING SITEPROP SLICE_X62Y9 NAME SLICE_X62Y9 SITEPROP SLICE_X62Y9 NUM_ARCS 138 SITEPROP SLICE_X62Y9 NUM_BELS 32 SITEPROP SLICE_X62Y9 NUM_INPUTS 32 SITEPROP SLICE_X62Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y9 NUM_PINS 45 SITEPROP SLICE_X62Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y9 PROHIBIT 0 SITEPROP SLICE_X62Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y9 RPM_X 165 SITEPROP SLICE_X62Y9 RPM_Y 18 SITEPROP SLICE_X62Y9 SITE_PIPS SITEPROP SLICE_X62Y9 SITE_TYPE SLICEL SITEPROP SLICE_X62Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y10 CLASS site SITEPROP SLICE_X62Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y10 IS_BONDED 0 SITEPROP SLICE_X62Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y10 IS_PAD 0 SITEPROP SLICE_X62Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y10 IS_RESERVED 0 SITEPROP SLICE_X62Y10 IS_TEST 0 SITEPROP SLICE_X62Y10 IS_USED 0 SITEPROP SLICE_X62Y10 MANUAL_ROUTING SITEPROP SLICE_X62Y10 NAME SLICE_X62Y10 SITEPROP SLICE_X62Y10 NUM_ARCS 138 SITEPROP SLICE_X62Y10 NUM_BELS 32 SITEPROP SLICE_X62Y10 NUM_INPUTS 32 SITEPROP SLICE_X62Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y10 NUM_PINS 45 SITEPROP SLICE_X62Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y10 PROHIBIT 0 SITEPROP SLICE_X62Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y10 RPM_X 165 SITEPROP SLICE_X62Y10 RPM_Y 20 SITEPROP SLICE_X62Y10 SITE_PIPS SITEPROP SLICE_X62Y10 SITE_TYPE SLICEL SITEPROP SLICE_X62Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y11 CLASS site SITEPROP SLICE_X62Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y11 IS_BONDED 0 SITEPROP SLICE_X62Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y11 IS_PAD 0 SITEPROP SLICE_X62Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y11 IS_RESERVED 0 SITEPROP SLICE_X62Y11 IS_TEST 0 SITEPROP SLICE_X62Y11 IS_USED 0 SITEPROP SLICE_X62Y11 MANUAL_ROUTING SITEPROP SLICE_X62Y11 NAME SLICE_X62Y11 SITEPROP SLICE_X62Y11 NUM_ARCS 138 SITEPROP SLICE_X62Y11 NUM_BELS 32 SITEPROP SLICE_X62Y11 NUM_INPUTS 32 SITEPROP SLICE_X62Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y11 NUM_PINS 45 SITEPROP SLICE_X62Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y11 PROHIBIT 0 SITEPROP SLICE_X62Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y11 RPM_X 165 SITEPROP SLICE_X62Y11 RPM_Y 22 SITEPROP SLICE_X62Y11 SITE_PIPS SITEPROP SLICE_X62Y11 SITE_TYPE SLICEL SITEPROP SLICE_X62Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y12 CLASS site SITEPROP SLICE_X62Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y12 IS_BONDED 0 SITEPROP SLICE_X62Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y12 IS_PAD 0 SITEPROP SLICE_X62Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y12 IS_RESERVED 0 SITEPROP SLICE_X62Y12 IS_TEST 0 SITEPROP SLICE_X62Y12 IS_USED 0 SITEPROP SLICE_X62Y12 MANUAL_ROUTING SITEPROP SLICE_X62Y12 NAME SLICE_X62Y12 SITEPROP SLICE_X62Y12 NUM_ARCS 138 SITEPROP SLICE_X62Y12 NUM_BELS 32 SITEPROP SLICE_X62Y12 NUM_INPUTS 32 SITEPROP SLICE_X62Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y12 NUM_PINS 45 SITEPROP SLICE_X62Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y12 PROHIBIT 0 SITEPROP SLICE_X62Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y12 RPM_X 165 SITEPROP SLICE_X62Y12 RPM_Y 24 SITEPROP SLICE_X62Y12 SITE_PIPS SITEPROP SLICE_X62Y12 SITE_TYPE SLICEL SITEPROP SLICE_X62Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y13 CLASS site SITEPROP SLICE_X62Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y13 IS_BONDED 0 SITEPROP SLICE_X62Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y13 IS_PAD 0 SITEPROP SLICE_X62Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y13 IS_RESERVED 0 SITEPROP SLICE_X62Y13 IS_TEST 0 SITEPROP SLICE_X62Y13 IS_USED 0 SITEPROP SLICE_X62Y13 MANUAL_ROUTING SITEPROP SLICE_X62Y13 NAME SLICE_X62Y13 SITEPROP SLICE_X62Y13 NUM_ARCS 138 SITEPROP SLICE_X62Y13 NUM_BELS 32 SITEPROP SLICE_X62Y13 NUM_INPUTS 32 SITEPROP SLICE_X62Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y13 NUM_PINS 45 SITEPROP SLICE_X62Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y13 PROHIBIT 0 SITEPROP SLICE_X62Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y13 RPM_X 165 SITEPROP SLICE_X62Y13 RPM_Y 26 SITEPROP SLICE_X62Y13 SITE_PIPS SITEPROP SLICE_X62Y13 SITE_TYPE SLICEL SITEPROP SLICE_X62Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y14 CLASS site SITEPROP SLICE_X62Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y14 IS_BONDED 0 SITEPROP SLICE_X62Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y14 IS_PAD 0 SITEPROP SLICE_X62Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y14 IS_RESERVED 0 SITEPROP SLICE_X62Y14 IS_TEST 0 SITEPROP SLICE_X62Y14 IS_USED 0 SITEPROP SLICE_X62Y14 MANUAL_ROUTING SITEPROP SLICE_X62Y14 NAME SLICE_X62Y14 SITEPROP SLICE_X62Y14 NUM_ARCS 138 SITEPROP SLICE_X62Y14 NUM_BELS 32 SITEPROP SLICE_X62Y14 NUM_INPUTS 32 SITEPROP SLICE_X62Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y14 NUM_PINS 45 SITEPROP SLICE_X62Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y14 PROHIBIT 0 SITEPROP SLICE_X62Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y14 RPM_X 165 SITEPROP SLICE_X62Y14 RPM_Y 28 SITEPROP SLICE_X62Y14 SITE_PIPS SITEPROP SLICE_X62Y14 SITE_TYPE SLICEL SITEPROP SLICE_X62Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y15 CLASS site SITEPROP SLICE_X62Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y15 IS_BONDED 0 SITEPROP SLICE_X62Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y15 IS_PAD 0 SITEPROP SLICE_X62Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y15 IS_RESERVED 0 SITEPROP SLICE_X62Y15 IS_TEST 0 SITEPROP SLICE_X62Y15 IS_USED 0 SITEPROP SLICE_X62Y15 MANUAL_ROUTING SITEPROP SLICE_X62Y15 NAME SLICE_X62Y15 SITEPROP SLICE_X62Y15 NUM_ARCS 138 SITEPROP SLICE_X62Y15 NUM_BELS 32 SITEPROP SLICE_X62Y15 NUM_INPUTS 32 SITEPROP SLICE_X62Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y15 NUM_PINS 45 SITEPROP SLICE_X62Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y15 PROHIBIT 0 SITEPROP SLICE_X62Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y15 RPM_X 165 SITEPROP SLICE_X62Y15 RPM_Y 30 SITEPROP SLICE_X62Y15 SITE_PIPS SITEPROP SLICE_X62Y15 SITE_TYPE SLICEL SITEPROP SLICE_X62Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y16 CLASS site SITEPROP SLICE_X62Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y16 IS_BONDED 0 SITEPROP SLICE_X62Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y16 IS_PAD 0 SITEPROP SLICE_X62Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y16 IS_RESERVED 0 SITEPROP SLICE_X62Y16 IS_TEST 0 SITEPROP SLICE_X62Y16 IS_USED 0 SITEPROP SLICE_X62Y16 MANUAL_ROUTING SITEPROP SLICE_X62Y16 NAME SLICE_X62Y16 SITEPROP SLICE_X62Y16 NUM_ARCS 138 SITEPROP SLICE_X62Y16 NUM_BELS 32 SITEPROP SLICE_X62Y16 NUM_INPUTS 32 SITEPROP SLICE_X62Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y16 NUM_PINS 45 SITEPROP SLICE_X62Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y16 PROHIBIT 0 SITEPROP SLICE_X62Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y16 RPM_X 165 SITEPROP SLICE_X62Y16 RPM_Y 32 SITEPROP SLICE_X62Y16 SITE_PIPS SITEPROP SLICE_X62Y16 SITE_TYPE SLICEL SITEPROP SLICE_X62Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y17 CLASS site SITEPROP SLICE_X62Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y17 IS_BONDED 0 SITEPROP SLICE_X62Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y17 IS_PAD 0 SITEPROP SLICE_X62Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y17 IS_RESERVED 0 SITEPROP SLICE_X62Y17 IS_TEST 0 SITEPROP SLICE_X62Y17 IS_USED 0 SITEPROP SLICE_X62Y17 MANUAL_ROUTING SITEPROP SLICE_X62Y17 NAME SLICE_X62Y17 SITEPROP SLICE_X62Y17 NUM_ARCS 138 SITEPROP SLICE_X62Y17 NUM_BELS 32 SITEPROP SLICE_X62Y17 NUM_INPUTS 32 SITEPROP SLICE_X62Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y17 NUM_PINS 45 SITEPROP SLICE_X62Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y17 PROHIBIT 0 SITEPROP SLICE_X62Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y17 RPM_X 165 SITEPROP SLICE_X62Y17 RPM_Y 34 SITEPROP SLICE_X62Y17 SITE_PIPS SITEPROP SLICE_X62Y17 SITE_TYPE SLICEL SITEPROP SLICE_X62Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y18 CLASS site SITEPROP SLICE_X62Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y18 IS_BONDED 0 SITEPROP SLICE_X62Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y18 IS_PAD 0 SITEPROP SLICE_X62Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y18 IS_RESERVED 0 SITEPROP SLICE_X62Y18 IS_TEST 0 SITEPROP SLICE_X62Y18 IS_USED 0 SITEPROP SLICE_X62Y18 MANUAL_ROUTING SITEPROP SLICE_X62Y18 NAME SLICE_X62Y18 SITEPROP SLICE_X62Y18 NUM_ARCS 138 SITEPROP SLICE_X62Y18 NUM_BELS 32 SITEPROP SLICE_X62Y18 NUM_INPUTS 32 SITEPROP SLICE_X62Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y18 NUM_PINS 45 SITEPROP SLICE_X62Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y18 PROHIBIT 0 SITEPROP SLICE_X62Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y18 RPM_X 165 SITEPROP SLICE_X62Y18 RPM_Y 36 SITEPROP SLICE_X62Y18 SITE_PIPS SITEPROP SLICE_X62Y18 SITE_TYPE SLICEL SITEPROP SLICE_X62Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y19 CLASS site SITEPROP SLICE_X62Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y19 IS_BONDED 0 SITEPROP SLICE_X62Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y19 IS_PAD 0 SITEPROP SLICE_X62Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y19 IS_RESERVED 0 SITEPROP SLICE_X62Y19 IS_TEST 0 SITEPROP SLICE_X62Y19 IS_USED 0 SITEPROP SLICE_X62Y19 MANUAL_ROUTING SITEPROP SLICE_X62Y19 NAME SLICE_X62Y19 SITEPROP SLICE_X62Y19 NUM_ARCS 138 SITEPROP SLICE_X62Y19 NUM_BELS 32 SITEPROP SLICE_X62Y19 NUM_INPUTS 32 SITEPROP SLICE_X62Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y19 NUM_PINS 45 SITEPROP SLICE_X62Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y19 PROHIBIT 0 SITEPROP SLICE_X62Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y19 RPM_X 165 SITEPROP SLICE_X62Y19 RPM_Y 38 SITEPROP SLICE_X62Y19 SITE_PIPS SITEPROP SLICE_X62Y19 SITE_TYPE SLICEL SITEPROP SLICE_X62Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y20 CLASS site SITEPROP SLICE_X62Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y20 IS_BONDED 0 SITEPROP SLICE_X62Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y20 IS_PAD 0 SITEPROP SLICE_X62Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y20 IS_RESERVED 0 SITEPROP SLICE_X62Y20 IS_TEST 0 SITEPROP SLICE_X62Y20 IS_USED 0 SITEPROP SLICE_X62Y20 MANUAL_ROUTING SITEPROP SLICE_X62Y20 NAME SLICE_X62Y20 SITEPROP SLICE_X62Y20 NUM_ARCS 138 SITEPROP SLICE_X62Y20 NUM_BELS 32 SITEPROP SLICE_X62Y20 NUM_INPUTS 32 SITEPROP SLICE_X62Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y20 NUM_PINS 45 SITEPROP SLICE_X62Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y20 PROHIBIT 0 SITEPROP SLICE_X62Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y20 RPM_X 165 SITEPROP SLICE_X62Y20 RPM_Y 40 SITEPROP SLICE_X62Y20 SITE_PIPS SITEPROP SLICE_X62Y20 SITE_TYPE SLICEL SITEPROP SLICE_X62Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y21 CLASS site SITEPROP SLICE_X62Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y21 IS_BONDED 0 SITEPROP SLICE_X62Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y21 IS_PAD 0 SITEPROP SLICE_X62Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y21 IS_RESERVED 0 SITEPROP SLICE_X62Y21 IS_TEST 0 SITEPROP SLICE_X62Y21 IS_USED 0 SITEPROP SLICE_X62Y21 MANUAL_ROUTING SITEPROP SLICE_X62Y21 NAME SLICE_X62Y21 SITEPROP SLICE_X62Y21 NUM_ARCS 138 SITEPROP SLICE_X62Y21 NUM_BELS 32 SITEPROP SLICE_X62Y21 NUM_INPUTS 32 SITEPROP SLICE_X62Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y21 NUM_PINS 45 SITEPROP SLICE_X62Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y21 PROHIBIT 0 SITEPROP SLICE_X62Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y21 RPM_X 165 SITEPROP SLICE_X62Y21 RPM_Y 42 SITEPROP SLICE_X62Y21 SITE_PIPS SITEPROP SLICE_X62Y21 SITE_TYPE SLICEL SITEPROP SLICE_X62Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y22 CLASS site SITEPROP SLICE_X62Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y22 IS_BONDED 0 SITEPROP SLICE_X62Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y22 IS_PAD 0 SITEPROP SLICE_X62Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y22 IS_RESERVED 0 SITEPROP SLICE_X62Y22 IS_TEST 0 SITEPROP SLICE_X62Y22 IS_USED 0 SITEPROP SLICE_X62Y22 MANUAL_ROUTING SITEPROP SLICE_X62Y22 NAME SLICE_X62Y22 SITEPROP SLICE_X62Y22 NUM_ARCS 138 SITEPROP SLICE_X62Y22 NUM_BELS 32 SITEPROP SLICE_X62Y22 NUM_INPUTS 32 SITEPROP SLICE_X62Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y22 NUM_PINS 45 SITEPROP SLICE_X62Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y22 PROHIBIT 0 SITEPROP SLICE_X62Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y22 RPM_X 165 SITEPROP SLICE_X62Y22 RPM_Y 44 SITEPROP SLICE_X62Y22 SITE_PIPS SITEPROP SLICE_X62Y22 SITE_TYPE SLICEL SITEPROP SLICE_X62Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y23 CLASS site SITEPROP SLICE_X62Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y23 IS_BONDED 0 SITEPROP SLICE_X62Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y23 IS_PAD 0 SITEPROP SLICE_X62Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y23 IS_RESERVED 0 SITEPROP SLICE_X62Y23 IS_TEST 0 SITEPROP SLICE_X62Y23 IS_USED 0 SITEPROP SLICE_X62Y23 MANUAL_ROUTING SITEPROP SLICE_X62Y23 NAME SLICE_X62Y23 SITEPROP SLICE_X62Y23 NUM_ARCS 138 SITEPROP SLICE_X62Y23 NUM_BELS 32 SITEPROP SLICE_X62Y23 NUM_INPUTS 32 SITEPROP SLICE_X62Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y23 NUM_PINS 45 SITEPROP SLICE_X62Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y23 PROHIBIT 0 SITEPROP SLICE_X62Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y23 RPM_X 165 SITEPROP SLICE_X62Y23 RPM_Y 46 SITEPROP SLICE_X62Y23 SITE_PIPS SITEPROP SLICE_X62Y23 SITE_TYPE SLICEL SITEPROP SLICE_X62Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y24 CLASS site SITEPROP SLICE_X62Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y24 IS_BONDED 0 SITEPROP SLICE_X62Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y24 IS_PAD 0 SITEPROP SLICE_X62Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y24 IS_RESERVED 0 SITEPROP SLICE_X62Y24 IS_TEST 0 SITEPROP SLICE_X62Y24 IS_USED 0 SITEPROP SLICE_X62Y24 MANUAL_ROUTING SITEPROP SLICE_X62Y24 NAME SLICE_X62Y24 SITEPROP SLICE_X62Y24 NUM_ARCS 138 SITEPROP SLICE_X62Y24 NUM_BELS 32 SITEPROP SLICE_X62Y24 NUM_INPUTS 32 SITEPROP SLICE_X62Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y24 NUM_PINS 45 SITEPROP SLICE_X62Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y24 PROHIBIT 0 SITEPROP SLICE_X62Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y24 RPM_X 165 SITEPROP SLICE_X62Y24 RPM_Y 48 SITEPROP SLICE_X62Y24 SITE_PIPS SITEPROP SLICE_X62Y24 SITE_TYPE SLICEL SITEPROP SLICE_X62Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y25 CLASS site SITEPROP SLICE_X62Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y25 IS_BONDED 0 SITEPROP SLICE_X62Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y25 IS_PAD 0 SITEPROP SLICE_X62Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y25 IS_RESERVED 0 SITEPROP SLICE_X62Y25 IS_TEST 0 SITEPROP SLICE_X62Y25 IS_USED 0 SITEPROP SLICE_X62Y25 MANUAL_ROUTING SITEPROP SLICE_X62Y25 NAME SLICE_X62Y25 SITEPROP SLICE_X62Y25 NUM_ARCS 138 SITEPROP SLICE_X62Y25 NUM_BELS 32 SITEPROP SLICE_X62Y25 NUM_INPUTS 32 SITEPROP SLICE_X62Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y25 NUM_PINS 45 SITEPROP SLICE_X62Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y25 PROHIBIT 0 SITEPROP SLICE_X62Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y25 RPM_X 165 SITEPROP SLICE_X62Y25 RPM_Y 50 SITEPROP SLICE_X62Y25 SITE_PIPS SITEPROP SLICE_X62Y25 SITE_TYPE SLICEL SITEPROP SLICE_X62Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y26 CLASS site SITEPROP SLICE_X62Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y26 IS_BONDED 0 SITEPROP SLICE_X62Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y26 IS_PAD 0 SITEPROP SLICE_X62Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y26 IS_RESERVED 0 SITEPROP SLICE_X62Y26 IS_TEST 0 SITEPROP SLICE_X62Y26 IS_USED 0 SITEPROP SLICE_X62Y26 MANUAL_ROUTING SITEPROP SLICE_X62Y26 NAME SLICE_X62Y26 SITEPROP SLICE_X62Y26 NUM_ARCS 138 SITEPROP SLICE_X62Y26 NUM_BELS 32 SITEPROP SLICE_X62Y26 NUM_INPUTS 32 SITEPROP SLICE_X62Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y26 NUM_PINS 45 SITEPROP SLICE_X62Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y26 PROHIBIT 0 SITEPROP SLICE_X62Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y26 RPM_X 165 SITEPROP SLICE_X62Y26 RPM_Y 52 SITEPROP SLICE_X62Y26 SITE_PIPS SITEPROP SLICE_X62Y26 SITE_TYPE SLICEL SITEPROP SLICE_X62Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y27 CLASS site SITEPROP SLICE_X62Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y27 IS_BONDED 0 SITEPROP SLICE_X62Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y27 IS_PAD 0 SITEPROP SLICE_X62Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y27 IS_RESERVED 0 SITEPROP SLICE_X62Y27 IS_TEST 0 SITEPROP SLICE_X62Y27 IS_USED 0 SITEPROP SLICE_X62Y27 MANUAL_ROUTING SITEPROP SLICE_X62Y27 NAME SLICE_X62Y27 SITEPROP SLICE_X62Y27 NUM_ARCS 138 SITEPROP SLICE_X62Y27 NUM_BELS 32 SITEPROP SLICE_X62Y27 NUM_INPUTS 32 SITEPROP SLICE_X62Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y27 NUM_PINS 45 SITEPROP SLICE_X62Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y27 PROHIBIT 0 SITEPROP SLICE_X62Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y27 RPM_X 165 SITEPROP SLICE_X62Y27 RPM_Y 54 SITEPROP SLICE_X62Y27 SITE_PIPS SITEPROP SLICE_X62Y27 SITE_TYPE SLICEL SITEPROP SLICE_X62Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y28 CLASS site SITEPROP SLICE_X62Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y28 IS_BONDED 0 SITEPROP SLICE_X62Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y28 IS_PAD 0 SITEPROP SLICE_X62Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y28 IS_RESERVED 0 SITEPROP SLICE_X62Y28 IS_TEST 0 SITEPROP SLICE_X62Y28 IS_USED 0 SITEPROP SLICE_X62Y28 MANUAL_ROUTING SITEPROP SLICE_X62Y28 NAME SLICE_X62Y28 SITEPROP SLICE_X62Y28 NUM_ARCS 138 SITEPROP SLICE_X62Y28 NUM_BELS 32 SITEPROP SLICE_X62Y28 NUM_INPUTS 32 SITEPROP SLICE_X62Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y28 NUM_PINS 45 SITEPROP SLICE_X62Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y28 PROHIBIT 0 SITEPROP SLICE_X62Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y28 RPM_X 165 SITEPROP SLICE_X62Y28 RPM_Y 56 SITEPROP SLICE_X62Y28 SITE_PIPS SITEPROP SLICE_X62Y28 SITE_TYPE SLICEL SITEPROP SLICE_X62Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y29 CLASS site SITEPROP SLICE_X62Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y29 IS_BONDED 0 SITEPROP SLICE_X62Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y29 IS_PAD 0 SITEPROP SLICE_X62Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y29 IS_RESERVED 0 SITEPROP SLICE_X62Y29 IS_TEST 0 SITEPROP SLICE_X62Y29 IS_USED 0 SITEPROP SLICE_X62Y29 MANUAL_ROUTING SITEPROP SLICE_X62Y29 NAME SLICE_X62Y29 SITEPROP SLICE_X62Y29 NUM_ARCS 138 SITEPROP SLICE_X62Y29 NUM_BELS 32 SITEPROP SLICE_X62Y29 NUM_INPUTS 32 SITEPROP SLICE_X62Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y29 NUM_PINS 45 SITEPROP SLICE_X62Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y29 PROHIBIT 0 SITEPROP SLICE_X62Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y29 RPM_X 165 SITEPROP SLICE_X62Y29 RPM_Y 58 SITEPROP SLICE_X62Y29 SITE_PIPS SITEPROP SLICE_X62Y29 SITE_TYPE SLICEL SITEPROP SLICE_X62Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y30 CLASS site SITEPROP SLICE_X62Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y30 IS_BONDED 0 SITEPROP SLICE_X62Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y30 IS_PAD 0 SITEPROP SLICE_X62Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y30 IS_RESERVED 0 SITEPROP SLICE_X62Y30 IS_TEST 0 SITEPROP SLICE_X62Y30 IS_USED 0 SITEPROP SLICE_X62Y30 MANUAL_ROUTING SITEPROP SLICE_X62Y30 NAME SLICE_X62Y30 SITEPROP SLICE_X62Y30 NUM_ARCS 138 SITEPROP SLICE_X62Y30 NUM_BELS 32 SITEPROP SLICE_X62Y30 NUM_INPUTS 32 SITEPROP SLICE_X62Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y30 NUM_PINS 45 SITEPROP SLICE_X62Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y30 PROHIBIT 0 SITEPROP SLICE_X62Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y30 RPM_X 165 SITEPROP SLICE_X62Y30 RPM_Y 60 SITEPROP SLICE_X62Y30 SITE_PIPS SITEPROP SLICE_X62Y30 SITE_TYPE SLICEL SITEPROP SLICE_X62Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y31 CLASS site SITEPROP SLICE_X62Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y31 IS_BONDED 0 SITEPROP SLICE_X62Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y31 IS_PAD 0 SITEPROP SLICE_X62Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y31 IS_RESERVED 0 SITEPROP SLICE_X62Y31 IS_TEST 0 SITEPROP SLICE_X62Y31 IS_USED 0 SITEPROP SLICE_X62Y31 MANUAL_ROUTING SITEPROP SLICE_X62Y31 NAME SLICE_X62Y31 SITEPROP SLICE_X62Y31 NUM_ARCS 138 SITEPROP SLICE_X62Y31 NUM_BELS 32 SITEPROP SLICE_X62Y31 NUM_INPUTS 32 SITEPROP SLICE_X62Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y31 NUM_PINS 45 SITEPROP SLICE_X62Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y31 PROHIBIT 0 SITEPROP SLICE_X62Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y31 RPM_X 165 SITEPROP SLICE_X62Y31 RPM_Y 62 SITEPROP SLICE_X62Y31 SITE_PIPS SITEPROP SLICE_X62Y31 SITE_TYPE SLICEL SITEPROP SLICE_X62Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y32 CLASS site SITEPROP SLICE_X62Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y32 IS_BONDED 0 SITEPROP SLICE_X62Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y32 IS_PAD 0 SITEPROP SLICE_X62Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y32 IS_RESERVED 0 SITEPROP SLICE_X62Y32 IS_TEST 0 SITEPROP SLICE_X62Y32 IS_USED 0 SITEPROP SLICE_X62Y32 MANUAL_ROUTING SITEPROP SLICE_X62Y32 NAME SLICE_X62Y32 SITEPROP SLICE_X62Y32 NUM_ARCS 138 SITEPROP SLICE_X62Y32 NUM_BELS 32 SITEPROP SLICE_X62Y32 NUM_INPUTS 32 SITEPROP SLICE_X62Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y32 NUM_PINS 45 SITEPROP SLICE_X62Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y32 PROHIBIT 0 SITEPROP SLICE_X62Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y32 RPM_X 165 SITEPROP SLICE_X62Y32 RPM_Y 64 SITEPROP SLICE_X62Y32 SITE_PIPS SITEPROP SLICE_X62Y32 SITE_TYPE SLICEL SITEPROP SLICE_X62Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y33 CLASS site SITEPROP SLICE_X62Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y33 IS_BONDED 0 SITEPROP SLICE_X62Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y33 IS_PAD 0 SITEPROP SLICE_X62Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y33 IS_RESERVED 0 SITEPROP SLICE_X62Y33 IS_TEST 0 SITEPROP SLICE_X62Y33 IS_USED 0 SITEPROP SLICE_X62Y33 MANUAL_ROUTING SITEPROP SLICE_X62Y33 NAME SLICE_X62Y33 SITEPROP SLICE_X62Y33 NUM_ARCS 138 SITEPROP SLICE_X62Y33 NUM_BELS 32 SITEPROP SLICE_X62Y33 NUM_INPUTS 32 SITEPROP SLICE_X62Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y33 NUM_PINS 45 SITEPROP SLICE_X62Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y33 PROHIBIT 0 SITEPROP SLICE_X62Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y33 RPM_X 165 SITEPROP SLICE_X62Y33 RPM_Y 66 SITEPROP SLICE_X62Y33 SITE_PIPS SITEPROP SLICE_X62Y33 SITE_TYPE SLICEL SITEPROP SLICE_X62Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y34 CLASS site SITEPROP SLICE_X62Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y34 IS_BONDED 0 SITEPROP SLICE_X62Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y34 IS_PAD 0 SITEPROP SLICE_X62Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y34 IS_RESERVED 0 SITEPROP SLICE_X62Y34 IS_TEST 0 SITEPROP SLICE_X62Y34 IS_USED 0 SITEPROP SLICE_X62Y34 MANUAL_ROUTING SITEPROP SLICE_X62Y34 NAME SLICE_X62Y34 SITEPROP SLICE_X62Y34 NUM_ARCS 138 SITEPROP SLICE_X62Y34 NUM_BELS 32 SITEPROP SLICE_X62Y34 NUM_INPUTS 32 SITEPROP SLICE_X62Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y34 NUM_PINS 45 SITEPROP SLICE_X62Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y34 PROHIBIT 0 SITEPROP SLICE_X62Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y34 RPM_X 165 SITEPROP SLICE_X62Y34 RPM_Y 68 SITEPROP SLICE_X62Y34 SITE_PIPS SITEPROP SLICE_X62Y34 SITE_TYPE SLICEL SITEPROP SLICE_X62Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y35 CLASS site SITEPROP SLICE_X62Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y35 IS_BONDED 0 SITEPROP SLICE_X62Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y35 IS_PAD 0 SITEPROP SLICE_X62Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y35 IS_RESERVED 0 SITEPROP SLICE_X62Y35 IS_TEST 0 SITEPROP SLICE_X62Y35 IS_USED 0 SITEPROP SLICE_X62Y35 MANUAL_ROUTING SITEPROP SLICE_X62Y35 NAME SLICE_X62Y35 SITEPROP SLICE_X62Y35 NUM_ARCS 138 SITEPROP SLICE_X62Y35 NUM_BELS 32 SITEPROP SLICE_X62Y35 NUM_INPUTS 32 SITEPROP SLICE_X62Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y35 NUM_PINS 45 SITEPROP SLICE_X62Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y35 PROHIBIT 0 SITEPROP SLICE_X62Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y35 RPM_X 165 SITEPROP SLICE_X62Y35 RPM_Y 70 SITEPROP SLICE_X62Y35 SITE_PIPS SITEPROP SLICE_X62Y35 SITE_TYPE SLICEL SITEPROP SLICE_X62Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y36 CLASS site SITEPROP SLICE_X62Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y36 IS_BONDED 0 SITEPROP SLICE_X62Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y36 IS_PAD 0 SITEPROP SLICE_X62Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y36 IS_RESERVED 0 SITEPROP SLICE_X62Y36 IS_TEST 0 SITEPROP SLICE_X62Y36 IS_USED 0 SITEPROP SLICE_X62Y36 MANUAL_ROUTING SITEPROP SLICE_X62Y36 NAME SLICE_X62Y36 SITEPROP SLICE_X62Y36 NUM_ARCS 138 SITEPROP SLICE_X62Y36 NUM_BELS 32 SITEPROP SLICE_X62Y36 NUM_INPUTS 32 SITEPROP SLICE_X62Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y36 NUM_PINS 45 SITEPROP SLICE_X62Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y36 PROHIBIT 0 SITEPROP SLICE_X62Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y36 RPM_X 165 SITEPROP SLICE_X62Y36 RPM_Y 72 SITEPROP SLICE_X62Y36 SITE_PIPS SITEPROP SLICE_X62Y36 SITE_TYPE SLICEL SITEPROP SLICE_X62Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y37 CLASS site SITEPROP SLICE_X62Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y37 IS_BONDED 0 SITEPROP SLICE_X62Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y37 IS_PAD 0 SITEPROP SLICE_X62Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y37 IS_RESERVED 0 SITEPROP SLICE_X62Y37 IS_TEST 0 SITEPROP SLICE_X62Y37 IS_USED 0 SITEPROP SLICE_X62Y37 MANUAL_ROUTING SITEPROP SLICE_X62Y37 NAME SLICE_X62Y37 SITEPROP SLICE_X62Y37 NUM_ARCS 138 SITEPROP SLICE_X62Y37 NUM_BELS 32 SITEPROP SLICE_X62Y37 NUM_INPUTS 32 SITEPROP SLICE_X62Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y37 NUM_PINS 45 SITEPROP SLICE_X62Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y37 PROHIBIT 0 SITEPROP SLICE_X62Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y37 RPM_X 165 SITEPROP SLICE_X62Y37 RPM_Y 74 SITEPROP SLICE_X62Y37 SITE_PIPS SITEPROP SLICE_X62Y37 SITE_TYPE SLICEL SITEPROP SLICE_X62Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y38 CLASS site SITEPROP SLICE_X62Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y38 IS_BONDED 0 SITEPROP SLICE_X62Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y38 IS_PAD 0 SITEPROP SLICE_X62Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y38 IS_RESERVED 0 SITEPROP SLICE_X62Y38 IS_TEST 0 SITEPROP SLICE_X62Y38 IS_USED 0 SITEPROP SLICE_X62Y38 MANUAL_ROUTING SITEPROP SLICE_X62Y38 NAME SLICE_X62Y38 SITEPROP SLICE_X62Y38 NUM_ARCS 138 SITEPROP SLICE_X62Y38 NUM_BELS 32 SITEPROP SLICE_X62Y38 NUM_INPUTS 32 SITEPROP SLICE_X62Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y38 NUM_PINS 45 SITEPROP SLICE_X62Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y38 PROHIBIT 0 SITEPROP SLICE_X62Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y38 RPM_X 165 SITEPROP SLICE_X62Y38 RPM_Y 76 SITEPROP SLICE_X62Y38 SITE_PIPS SITEPROP SLICE_X62Y38 SITE_TYPE SLICEL SITEPROP SLICE_X62Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y39 CLASS site SITEPROP SLICE_X62Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y39 IS_BONDED 0 SITEPROP SLICE_X62Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y39 IS_PAD 0 SITEPROP SLICE_X62Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y39 IS_RESERVED 0 SITEPROP SLICE_X62Y39 IS_TEST 0 SITEPROP SLICE_X62Y39 IS_USED 0 SITEPROP SLICE_X62Y39 MANUAL_ROUTING SITEPROP SLICE_X62Y39 NAME SLICE_X62Y39 SITEPROP SLICE_X62Y39 NUM_ARCS 138 SITEPROP SLICE_X62Y39 NUM_BELS 32 SITEPROP SLICE_X62Y39 NUM_INPUTS 32 SITEPROP SLICE_X62Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y39 NUM_PINS 45 SITEPROP SLICE_X62Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y39 PROHIBIT 0 SITEPROP SLICE_X62Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y39 RPM_X 165 SITEPROP SLICE_X62Y39 RPM_Y 78 SITEPROP SLICE_X62Y39 SITE_PIPS SITEPROP SLICE_X62Y39 SITE_TYPE SLICEL SITEPROP SLICE_X62Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y40 CLASS site SITEPROP SLICE_X62Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y40 IS_BONDED 0 SITEPROP SLICE_X62Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y40 IS_PAD 0 SITEPROP SLICE_X62Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y40 IS_RESERVED 0 SITEPROP SLICE_X62Y40 IS_TEST 0 SITEPROP SLICE_X62Y40 IS_USED 0 SITEPROP SLICE_X62Y40 MANUAL_ROUTING SITEPROP SLICE_X62Y40 NAME SLICE_X62Y40 SITEPROP SLICE_X62Y40 NUM_ARCS 138 SITEPROP SLICE_X62Y40 NUM_BELS 32 SITEPROP SLICE_X62Y40 NUM_INPUTS 32 SITEPROP SLICE_X62Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y40 NUM_PINS 45 SITEPROP SLICE_X62Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y40 PROHIBIT 0 SITEPROP SLICE_X62Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y40 RPM_X 165 SITEPROP SLICE_X62Y40 RPM_Y 80 SITEPROP SLICE_X62Y40 SITE_PIPS SITEPROP SLICE_X62Y40 SITE_TYPE SLICEL SITEPROP SLICE_X62Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y41 CLASS site SITEPROP SLICE_X62Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y41 IS_BONDED 0 SITEPROP SLICE_X62Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y41 IS_PAD 0 SITEPROP SLICE_X62Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y41 IS_RESERVED 0 SITEPROP SLICE_X62Y41 IS_TEST 0 SITEPROP SLICE_X62Y41 IS_USED 0 SITEPROP SLICE_X62Y41 MANUAL_ROUTING SITEPROP SLICE_X62Y41 NAME SLICE_X62Y41 SITEPROP SLICE_X62Y41 NUM_ARCS 138 SITEPROP SLICE_X62Y41 NUM_BELS 32 SITEPROP SLICE_X62Y41 NUM_INPUTS 32 SITEPROP SLICE_X62Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y41 NUM_PINS 45 SITEPROP SLICE_X62Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y41 PROHIBIT 0 SITEPROP SLICE_X62Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y41 RPM_X 165 SITEPROP SLICE_X62Y41 RPM_Y 82 SITEPROP SLICE_X62Y41 SITE_PIPS SITEPROP SLICE_X62Y41 SITE_TYPE SLICEL SITEPROP SLICE_X62Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y42 CLASS site SITEPROP SLICE_X62Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y42 IS_BONDED 0 SITEPROP SLICE_X62Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y42 IS_PAD 0 SITEPROP SLICE_X62Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y42 IS_RESERVED 0 SITEPROP SLICE_X62Y42 IS_TEST 0 SITEPROP SLICE_X62Y42 IS_USED 0 SITEPROP SLICE_X62Y42 MANUAL_ROUTING SITEPROP SLICE_X62Y42 NAME SLICE_X62Y42 SITEPROP SLICE_X62Y42 NUM_ARCS 138 SITEPROP SLICE_X62Y42 NUM_BELS 32 SITEPROP SLICE_X62Y42 NUM_INPUTS 32 SITEPROP SLICE_X62Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y42 NUM_PINS 45 SITEPROP SLICE_X62Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y42 PROHIBIT 0 SITEPROP SLICE_X62Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y42 RPM_X 165 SITEPROP SLICE_X62Y42 RPM_Y 84 SITEPROP SLICE_X62Y42 SITE_PIPS SITEPROP SLICE_X62Y42 SITE_TYPE SLICEL SITEPROP SLICE_X62Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y43 CLASS site SITEPROP SLICE_X62Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y43 IS_BONDED 0 SITEPROP SLICE_X62Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y43 IS_PAD 0 SITEPROP SLICE_X62Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y43 IS_RESERVED 0 SITEPROP SLICE_X62Y43 IS_TEST 0 SITEPROP SLICE_X62Y43 IS_USED 0 SITEPROP SLICE_X62Y43 MANUAL_ROUTING SITEPROP SLICE_X62Y43 NAME SLICE_X62Y43 SITEPROP SLICE_X62Y43 NUM_ARCS 138 SITEPROP SLICE_X62Y43 NUM_BELS 32 SITEPROP SLICE_X62Y43 NUM_INPUTS 32 SITEPROP SLICE_X62Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y43 NUM_PINS 45 SITEPROP SLICE_X62Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y43 PROHIBIT 0 SITEPROP SLICE_X62Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y43 RPM_X 165 SITEPROP SLICE_X62Y43 RPM_Y 86 SITEPROP SLICE_X62Y43 SITE_PIPS SITEPROP SLICE_X62Y43 SITE_TYPE SLICEL SITEPROP SLICE_X62Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y44 CLASS site SITEPROP SLICE_X62Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y44 IS_BONDED 0 SITEPROP SLICE_X62Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y44 IS_PAD 0 SITEPROP SLICE_X62Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y44 IS_RESERVED 0 SITEPROP SLICE_X62Y44 IS_TEST 0 SITEPROP SLICE_X62Y44 IS_USED 0 SITEPROP SLICE_X62Y44 MANUAL_ROUTING SITEPROP SLICE_X62Y44 NAME SLICE_X62Y44 SITEPROP SLICE_X62Y44 NUM_ARCS 138 SITEPROP SLICE_X62Y44 NUM_BELS 32 SITEPROP SLICE_X62Y44 NUM_INPUTS 32 SITEPROP SLICE_X62Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y44 NUM_PINS 45 SITEPROP SLICE_X62Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y44 PROHIBIT 0 SITEPROP SLICE_X62Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y44 RPM_X 165 SITEPROP SLICE_X62Y44 RPM_Y 88 SITEPROP SLICE_X62Y44 SITE_PIPS SITEPROP SLICE_X62Y44 SITE_TYPE SLICEL SITEPROP SLICE_X62Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y45 CLASS site SITEPROP SLICE_X62Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y45 IS_BONDED 0 SITEPROP SLICE_X62Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y45 IS_PAD 0 SITEPROP SLICE_X62Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y45 IS_RESERVED 0 SITEPROP SLICE_X62Y45 IS_TEST 0 SITEPROP SLICE_X62Y45 IS_USED 0 SITEPROP SLICE_X62Y45 MANUAL_ROUTING SITEPROP SLICE_X62Y45 NAME SLICE_X62Y45 SITEPROP SLICE_X62Y45 NUM_ARCS 138 SITEPROP SLICE_X62Y45 NUM_BELS 32 SITEPROP SLICE_X62Y45 NUM_INPUTS 32 SITEPROP SLICE_X62Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y45 NUM_PINS 45 SITEPROP SLICE_X62Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y45 PROHIBIT 0 SITEPROP SLICE_X62Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y45 RPM_X 165 SITEPROP SLICE_X62Y45 RPM_Y 90 SITEPROP SLICE_X62Y45 SITE_PIPS SITEPROP SLICE_X62Y45 SITE_TYPE SLICEL SITEPROP SLICE_X62Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y46 CLASS site SITEPROP SLICE_X62Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y46 IS_BONDED 0 SITEPROP SLICE_X62Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y46 IS_PAD 0 SITEPROP SLICE_X62Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y46 IS_RESERVED 0 SITEPROP SLICE_X62Y46 IS_TEST 0 SITEPROP SLICE_X62Y46 IS_USED 0 SITEPROP SLICE_X62Y46 MANUAL_ROUTING SITEPROP SLICE_X62Y46 NAME SLICE_X62Y46 SITEPROP SLICE_X62Y46 NUM_ARCS 138 SITEPROP SLICE_X62Y46 NUM_BELS 32 SITEPROP SLICE_X62Y46 NUM_INPUTS 32 SITEPROP SLICE_X62Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y46 NUM_PINS 45 SITEPROP SLICE_X62Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y46 PROHIBIT 0 SITEPROP SLICE_X62Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y46 RPM_X 165 SITEPROP SLICE_X62Y46 RPM_Y 92 SITEPROP SLICE_X62Y46 SITE_PIPS SITEPROP SLICE_X62Y46 SITE_TYPE SLICEL SITEPROP SLICE_X62Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y47 CLASS site SITEPROP SLICE_X62Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y47 IS_BONDED 0 SITEPROP SLICE_X62Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y47 IS_PAD 0 SITEPROP SLICE_X62Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y47 IS_RESERVED 0 SITEPROP SLICE_X62Y47 IS_TEST 0 SITEPROP SLICE_X62Y47 IS_USED 0 SITEPROP SLICE_X62Y47 MANUAL_ROUTING SITEPROP SLICE_X62Y47 NAME SLICE_X62Y47 SITEPROP SLICE_X62Y47 NUM_ARCS 138 SITEPROP SLICE_X62Y47 NUM_BELS 32 SITEPROP SLICE_X62Y47 NUM_INPUTS 32 SITEPROP SLICE_X62Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y47 NUM_PINS 45 SITEPROP SLICE_X62Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y47 PROHIBIT 0 SITEPROP SLICE_X62Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y47 RPM_X 165 SITEPROP SLICE_X62Y47 RPM_Y 94 SITEPROP SLICE_X62Y47 SITE_PIPS SITEPROP SLICE_X62Y47 SITE_TYPE SLICEL SITEPROP SLICE_X62Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y48 CLASS site SITEPROP SLICE_X62Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y48 IS_BONDED 0 SITEPROP SLICE_X62Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y48 IS_PAD 0 SITEPROP SLICE_X62Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y48 IS_RESERVED 0 SITEPROP SLICE_X62Y48 IS_TEST 0 SITEPROP SLICE_X62Y48 IS_USED 0 SITEPROP SLICE_X62Y48 MANUAL_ROUTING SITEPROP SLICE_X62Y48 NAME SLICE_X62Y48 SITEPROP SLICE_X62Y48 NUM_ARCS 138 SITEPROP SLICE_X62Y48 NUM_BELS 32 SITEPROP SLICE_X62Y48 NUM_INPUTS 32 SITEPROP SLICE_X62Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y48 NUM_PINS 45 SITEPROP SLICE_X62Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y48 PROHIBIT 0 SITEPROP SLICE_X62Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y48 RPM_X 165 SITEPROP SLICE_X62Y48 RPM_Y 96 SITEPROP SLICE_X62Y48 SITE_PIPS SITEPROP SLICE_X62Y48 SITE_TYPE SLICEL SITEPROP SLICE_X62Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y49 CLASS site SITEPROP SLICE_X62Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X62Y49 IS_BONDED 0 SITEPROP SLICE_X62Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y49 IS_PAD 0 SITEPROP SLICE_X62Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y49 IS_RESERVED 0 SITEPROP SLICE_X62Y49 IS_TEST 0 SITEPROP SLICE_X62Y49 IS_USED 0 SITEPROP SLICE_X62Y49 MANUAL_ROUTING SITEPROP SLICE_X62Y49 NAME SLICE_X62Y49 SITEPROP SLICE_X62Y49 NUM_ARCS 138 SITEPROP SLICE_X62Y49 NUM_BELS 32 SITEPROP SLICE_X62Y49 NUM_INPUTS 32 SITEPROP SLICE_X62Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y49 NUM_PINS 45 SITEPROP SLICE_X62Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y49 PROHIBIT 0 SITEPROP SLICE_X62Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y49 RPM_X 165 SITEPROP SLICE_X62Y49 RPM_Y 98 SITEPROP SLICE_X62Y49 SITE_PIPS SITEPROP SLICE_X62Y49 SITE_TYPE SLICEL SITEPROP SLICE_X62Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y50 CLASS site SITEPROP SLICE_X62Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y50 IS_BONDED 0 SITEPROP SLICE_X62Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y50 IS_PAD 0 SITEPROP SLICE_X62Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y50 IS_RESERVED 0 SITEPROP SLICE_X62Y50 IS_TEST 0 SITEPROP SLICE_X62Y50 IS_USED 0 SITEPROP SLICE_X62Y50 MANUAL_ROUTING SITEPROP SLICE_X62Y50 NAME SLICE_X62Y50 SITEPROP SLICE_X62Y50 NUM_ARCS 138 SITEPROP SLICE_X62Y50 NUM_BELS 32 SITEPROP SLICE_X62Y50 NUM_INPUTS 32 SITEPROP SLICE_X62Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y50 NUM_PINS 45 SITEPROP SLICE_X62Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y50 PROHIBIT 0 SITEPROP SLICE_X62Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y50 RPM_X 165 SITEPROP SLICE_X62Y50 RPM_Y 100 SITEPROP SLICE_X62Y50 SITE_PIPS SITEPROP SLICE_X62Y50 SITE_TYPE SLICEL SITEPROP SLICE_X62Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y51 CLASS site SITEPROP SLICE_X62Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y51 IS_BONDED 0 SITEPROP SLICE_X62Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y51 IS_PAD 0 SITEPROP SLICE_X62Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y51 IS_RESERVED 0 SITEPROP SLICE_X62Y51 IS_TEST 0 SITEPROP SLICE_X62Y51 IS_USED 0 SITEPROP SLICE_X62Y51 MANUAL_ROUTING SITEPROP SLICE_X62Y51 NAME SLICE_X62Y51 SITEPROP SLICE_X62Y51 NUM_ARCS 138 SITEPROP SLICE_X62Y51 NUM_BELS 32 SITEPROP SLICE_X62Y51 NUM_INPUTS 32 SITEPROP SLICE_X62Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y51 NUM_PINS 45 SITEPROP SLICE_X62Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y51 PROHIBIT 0 SITEPROP SLICE_X62Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y51 RPM_X 165 SITEPROP SLICE_X62Y51 RPM_Y 102 SITEPROP SLICE_X62Y51 SITE_PIPS SITEPROP SLICE_X62Y51 SITE_TYPE SLICEL SITEPROP SLICE_X62Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y52 CLASS site SITEPROP SLICE_X62Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y52 IS_BONDED 0 SITEPROP SLICE_X62Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y52 IS_PAD 0 SITEPROP SLICE_X62Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y52 IS_RESERVED 0 SITEPROP SLICE_X62Y52 IS_TEST 0 SITEPROP SLICE_X62Y52 IS_USED 0 SITEPROP SLICE_X62Y52 MANUAL_ROUTING SITEPROP SLICE_X62Y52 NAME SLICE_X62Y52 SITEPROP SLICE_X62Y52 NUM_ARCS 138 SITEPROP SLICE_X62Y52 NUM_BELS 32 SITEPROP SLICE_X62Y52 NUM_INPUTS 32 SITEPROP SLICE_X62Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y52 NUM_PINS 45 SITEPROP SLICE_X62Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y52 PROHIBIT 0 SITEPROP SLICE_X62Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y52 RPM_X 165 SITEPROP SLICE_X62Y52 RPM_Y 104 SITEPROP SLICE_X62Y52 SITE_PIPS SITEPROP SLICE_X62Y52 SITE_TYPE SLICEL SITEPROP SLICE_X62Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y53 CLASS site SITEPROP SLICE_X62Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y53 IS_BONDED 0 SITEPROP SLICE_X62Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y53 IS_PAD 0 SITEPROP SLICE_X62Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y53 IS_RESERVED 0 SITEPROP SLICE_X62Y53 IS_TEST 0 SITEPROP SLICE_X62Y53 IS_USED 0 SITEPROP SLICE_X62Y53 MANUAL_ROUTING SITEPROP SLICE_X62Y53 NAME SLICE_X62Y53 SITEPROP SLICE_X62Y53 NUM_ARCS 138 SITEPROP SLICE_X62Y53 NUM_BELS 32 SITEPROP SLICE_X62Y53 NUM_INPUTS 32 SITEPROP SLICE_X62Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y53 NUM_PINS 45 SITEPROP SLICE_X62Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y53 PROHIBIT 0 SITEPROP SLICE_X62Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y53 RPM_X 165 SITEPROP SLICE_X62Y53 RPM_Y 106 SITEPROP SLICE_X62Y53 SITE_PIPS SITEPROP SLICE_X62Y53 SITE_TYPE SLICEL SITEPROP SLICE_X62Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y54 CLASS site SITEPROP SLICE_X62Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y54 IS_BONDED 0 SITEPROP SLICE_X62Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y54 IS_PAD 0 SITEPROP SLICE_X62Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y54 IS_RESERVED 0 SITEPROP SLICE_X62Y54 IS_TEST 0 SITEPROP SLICE_X62Y54 IS_USED 0 SITEPROP SLICE_X62Y54 MANUAL_ROUTING SITEPROP SLICE_X62Y54 NAME SLICE_X62Y54 SITEPROP SLICE_X62Y54 NUM_ARCS 138 SITEPROP SLICE_X62Y54 NUM_BELS 32 SITEPROP SLICE_X62Y54 NUM_INPUTS 32 SITEPROP SLICE_X62Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y54 NUM_PINS 45 SITEPROP SLICE_X62Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y54 PROHIBIT 0 SITEPROP SLICE_X62Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y54 RPM_X 165 SITEPROP SLICE_X62Y54 RPM_Y 108 SITEPROP SLICE_X62Y54 SITE_PIPS SITEPROP SLICE_X62Y54 SITE_TYPE SLICEL SITEPROP SLICE_X62Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y55 CLASS site SITEPROP SLICE_X62Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y55 IS_BONDED 0 SITEPROP SLICE_X62Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y55 IS_PAD 0 SITEPROP SLICE_X62Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y55 IS_RESERVED 0 SITEPROP SLICE_X62Y55 IS_TEST 0 SITEPROP SLICE_X62Y55 IS_USED 0 SITEPROP SLICE_X62Y55 MANUAL_ROUTING SITEPROP SLICE_X62Y55 NAME SLICE_X62Y55 SITEPROP SLICE_X62Y55 NUM_ARCS 138 SITEPROP SLICE_X62Y55 NUM_BELS 32 SITEPROP SLICE_X62Y55 NUM_INPUTS 32 SITEPROP SLICE_X62Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y55 NUM_PINS 45 SITEPROP SLICE_X62Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y55 PROHIBIT 0 SITEPROP SLICE_X62Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y55 RPM_X 165 SITEPROP SLICE_X62Y55 RPM_Y 110 SITEPROP SLICE_X62Y55 SITE_PIPS SITEPROP SLICE_X62Y55 SITE_TYPE SLICEL SITEPROP SLICE_X62Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y56 CLASS site SITEPROP SLICE_X62Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y56 IS_BONDED 0 SITEPROP SLICE_X62Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y56 IS_PAD 0 SITEPROP SLICE_X62Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y56 IS_RESERVED 0 SITEPROP SLICE_X62Y56 IS_TEST 0 SITEPROP SLICE_X62Y56 IS_USED 0 SITEPROP SLICE_X62Y56 MANUAL_ROUTING SITEPROP SLICE_X62Y56 NAME SLICE_X62Y56 SITEPROP SLICE_X62Y56 NUM_ARCS 138 SITEPROP SLICE_X62Y56 NUM_BELS 32 SITEPROP SLICE_X62Y56 NUM_INPUTS 32 SITEPROP SLICE_X62Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y56 NUM_PINS 45 SITEPROP SLICE_X62Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y56 PROHIBIT 0 SITEPROP SLICE_X62Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y56 RPM_X 165 SITEPROP SLICE_X62Y56 RPM_Y 112 SITEPROP SLICE_X62Y56 SITE_PIPS SITEPROP SLICE_X62Y56 SITE_TYPE SLICEL SITEPROP SLICE_X62Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y57 CLASS site SITEPROP SLICE_X62Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y57 IS_BONDED 0 SITEPROP SLICE_X62Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y57 IS_PAD 0 SITEPROP SLICE_X62Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y57 IS_RESERVED 0 SITEPROP SLICE_X62Y57 IS_TEST 0 SITEPROP SLICE_X62Y57 IS_USED 0 SITEPROP SLICE_X62Y57 MANUAL_ROUTING SITEPROP SLICE_X62Y57 NAME SLICE_X62Y57 SITEPROP SLICE_X62Y57 NUM_ARCS 138 SITEPROP SLICE_X62Y57 NUM_BELS 32 SITEPROP SLICE_X62Y57 NUM_INPUTS 32 SITEPROP SLICE_X62Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y57 NUM_PINS 45 SITEPROP SLICE_X62Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y57 PROHIBIT 0 SITEPROP SLICE_X62Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y57 RPM_X 165 SITEPROP SLICE_X62Y57 RPM_Y 114 SITEPROP SLICE_X62Y57 SITE_PIPS SITEPROP SLICE_X62Y57 SITE_TYPE SLICEL SITEPROP SLICE_X62Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y58 CLASS site SITEPROP SLICE_X62Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y58 IS_BONDED 0 SITEPROP SLICE_X62Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y58 IS_PAD 0 SITEPROP SLICE_X62Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y58 IS_RESERVED 0 SITEPROP SLICE_X62Y58 IS_TEST 0 SITEPROP SLICE_X62Y58 IS_USED 0 SITEPROP SLICE_X62Y58 MANUAL_ROUTING SITEPROP SLICE_X62Y58 NAME SLICE_X62Y58 SITEPROP SLICE_X62Y58 NUM_ARCS 138 SITEPROP SLICE_X62Y58 NUM_BELS 32 SITEPROP SLICE_X62Y58 NUM_INPUTS 32 SITEPROP SLICE_X62Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y58 NUM_PINS 45 SITEPROP SLICE_X62Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y58 PROHIBIT 0 SITEPROP SLICE_X62Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y58 RPM_X 165 SITEPROP SLICE_X62Y58 RPM_Y 116 SITEPROP SLICE_X62Y58 SITE_PIPS SITEPROP SLICE_X62Y58 SITE_TYPE SLICEL SITEPROP SLICE_X62Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y59 CLASS site SITEPROP SLICE_X62Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y59 IS_BONDED 0 SITEPROP SLICE_X62Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y59 IS_PAD 0 SITEPROP SLICE_X62Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y59 IS_RESERVED 0 SITEPROP SLICE_X62Y59 IS_TEST 0 SITEPROP SLICE_X62Y59 IS_USED 0 SITEPROP SLICE_X62Y59 MANUAL_ROUTING SITEPROP SLICE_X62Y59 NAME SLICE_X62Y59 SITEPROP SLICE_X62Y59 NUM_ARCS 138 SITEPROP SLICE_X62Y59 NUM_BELS 32 SITEPROP SLICE_X62Y59 NUM_INPUTS 32 SITEPROP SLICE_X62Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y59 NUM_PINS 45 SITEPROP SLICE_X62Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y59 PROHIBIT 0 SITEPROP SLICE_X62Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y59 RPM_X 165 SITEPROP SLICE_X62Y59 RPM_Y 118 SITEPROP SLICE_X62Y59 SITE_PIPS SITEPROP SLICE_X62Y59 SITE_TYPE SLICEL SITEPROP SLICE_X62Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y60 CLASS site SITEPROP SLICE_X62Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y60 IS_BONDED 0 SITEPROP SLICE_X62Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y60 IS_PAD 0 SITEPROP SLICE_X62Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y60 IS_RESERVED 0 SITEPROP SLICE_X62Y60 IS_TEST 0 SITEPROP SLICE_X62Y60 IS_USED 0 SITEPROP SLICE_X62Y60 MANUAL_ROUTING SITEPROP SLICE_X62Y60 NAME SLICE_X62Y60 SITEPROP SLICE_X62Y60 NUM_ARCS 138 SITEPROP SLICE_X62Y60 NUM_BELS 32 SITEPROP SLICE_X62Y60 NUM_INPUTS 32 SITEPROP SLICE_X62Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y60 NUM_PINS 45 SITEPROP SLICE_X62Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y60 PROHIBIT 0 SITEPROP SLICE_X62Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y60 RPM_X 165 SITEPROP SLICE_X62Y60 RPM_Y 120 SITEPROP SLICE_X62Y60 SITE_PIPS SITEPROP SLICE_X62Y60 SITE_TYPE SLICEL SITEPROP SLICE_X62Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y61 CLASS site SITEPROP SLICE_X62Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y61 IS_BONDED 0 SITEPROP SLICE_X62Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y61 IS_PAD 0 SITEPROP SLICE_X62Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y61 IS_RESERVED 0 SITEPROP SLICE_X62Y61 IS_TEST 0 SITEPROP SLICE_X62Y61 IS_USED 0 SITEPROP SLICE_X62Y61 MANUAL_ROUTING SITEPROP SLICE_X62Y61 NAME SLICE_X62Y61 SITEPROP SLICE_X62Y61 NUM_ARCS 138 SITEPROP SLICE_X62Y61 NUM_BELS 32 SITEPROP SLICE_X62Y61 NUM_INPUTS 32 SITEPROP SLICE_X62Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y61 NUM_PINS 45 SITEPROP SLICE_X62Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y61 PROHIBIT 0 SITEPROP SLICE_X62Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y61 RPM_X 165 SITEPROP SLICE_X62Y61 RPM_Y 122 SITEPROP SLICE_X62Y61 SITE_PIPS SITEPROP SLICE_X62Y61 SITE_TYPE SLICEL SITEPROP SLICE_X62Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y62 CLASS site SITEPROP SLICE_X62Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y62 IS_BONDED 0 SITEPROP SLICE_X62Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y62 IS_PAD 0 SITEPROP SLICE_X62Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y62 IS_RESERVED 0 SITEPROP SLICE_X62Y62 IS_TEST 0 SITEPROP SLICE_X62Y62 IS_USED 0 SITEPROP SLICE_X62Y62 MANUAL_ROUTING SITEPROP SLICE_X62Y62 NAME SLICE_X62Y62 SITEPROP SLICE_X62Y62 NUM_ARCS 138 SITEPROP SLICE_X62Y62 NUM_BELS 32 SITEPROP SLICE_X62Y62 NUM_INPUTS 32 SITEPROP SLICE_X62Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y62 NUM_PINS 45 SITEPROP SLICE_X62Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y62 PROHIBIT 0 SITEPROP SLICE_X62Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y62 RPM_X 165 SITEPROP SLICE_X62Y62 RPM_Y 124 SITEPROP SLICE_X62Y62 SITE_PIPS SITEPROP SLICE_X62Y62 SITE_TYPE SLICEL SITEPROP SLICE_X62Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y63 CLASS site SITEPROP SLICE_X62Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y63 IS_BONDED 0 SITEPROP SLICE_X62Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y63 IS_PAD 0 SITEPROP SLICE_X62Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y63 IS_RESERVED 0 SITEPROP SLICE_X62Y63 IS_TEST 0 SITEPROP SLICE_X62Y63 IS_USED 0 SITEPROP SLICE_X62Y63 MANUAL_ROUTING SITEPROP SLICE_X62Y63 NAME SLICE_X62Y63 SITEPROP SLICE_X62Y63 NUM_ARCS 138 SITEPROP SLICE_X62Y63 NUM_BELS 32 SITEPROP SLICE_X62Y63 NUM_INPUTS 32 SITEPROP SLICE_X62Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y63 NUM_PINS 45 SITEPROP SLICE_X62Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y63 PROHIBIT 0 SITEPROP SLICE_X62Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y63 RPM_X 165 SITEPROP SLICE_X62Y63 RPM_Y 126 SITEPROP SLICE_X62Y63 SITE_PIPS SITEPROP SLICE_X62Y63 SITE_TYPE SLICEL SITEPROP SLICE_X62Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y64 CLASS site SITEPROP SLICE_X62Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y64 IS_BONDED 0 SITEPROP SLICE_X62Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y64 IS_PAD 0 SITEPROP SLICE_X62Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y64 IS_RESERVED 0 SITEPROP SLICE_X62Y64 IS_TEST 0 SITEPROP SLICE_X62Y64 IS_USED 0 SITEPROP SLICE_X62Y64 MANUAL_ROUTING SITEPROP SLICE_X62Y64 NAME SLICE_X62Y64 SITEPROP SLICE_X62Y64 NUM_ARCS 138 SITEPROP SLICE_X62Y64 NUM_BELS 32 SITEPROP SLICE_X62Y64 NUM_INPUTS 32 SITEPROP SLICE_X62Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y64 NUM_PINS 45 SITEPROP SLICE_X62Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y64 PROHIBIT 0 SITEPROP SLICE_X62Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y64 RPM_X 165 SITEPROP SLICE_X62Y64 RPM_Y 128 SITEPROP SLICE_X62Y64 SITE_PIPS SITEPROP SLICE_X62Y64 SITE_TYPE SLICEL SITEPROP SLICE_X62Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y65 CLASS site SITEPROP SLICE_X62Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y65 IS_BONDED 0 SITEPROP SLICE_X62Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y65 IS_PAD 0 SITEPROP SLICE_X62Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y65 IS_RESERVED 0 SITEPROP SLICE_X62Y65 IS_TEST 0 SITEPROP SLICE_X62Y65 IS_USED 0 SITEPROP SLICE_X62Y65 MANUAL_ROUTING SITEPROP SLICE_X62Y65 NAME SLICE_X62Y65 SITEPROP SLICE_X62Y65 NUM_ARCS 138 SITEPROP SLICE_X62Y65 NUM_BELS 32 SITEPROP SLICE_X62Y65 NUM_INPUTS 32 SITEPROP SLICE_X62Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y65 NUM_PINS 45 SITEPROP SLICE_X62Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y65 PROHIBIT 0 SITEPROP SLICE_X62Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y65 RPM_X 165 SITEPROP SLICE_X62Y65 RPM_Y 130 SITEPROP SLICE_X62Y65 SITE_PIPS SITEPROP SLICE_X62Y65 SITE_TYPE SLICEL SITEPROP SLICE_X62Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y66 CLASS site SITEPROP SLICE_X62Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y66 IS_BONDED 0 SITEPROP SLICE_X62Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y66 IS_PAD 0 SITEPROP SLICE_X62Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y66 IS_RESERVED 0 SITEPROP SLICE_X62Y66 IS_TEST 0 SITEPROP SLICE_X62Y66 IS_USED 0 SITEPROP SLICE_X62Y66 MANUAL_ROUTING SITEPROP SLICE_X62Y66 NAME SLICE_X62Y66 SITEPROP SLICE_X62Y66 NUM_ARCS 138 SITEPROP SLICE_X62Y66 NUM_BELS 32 SITEPROP SLICE_X62Y66 NUM_INPUTS 32 SITEPROP SLICE_X62Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y66 NUM_PINS 45 SITEPROP SLICE_X62Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y66 PROHIBIT 0 SITEPROP SLICE_X62Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y66 RPM_X 165 SITEPROP SLICE_X62Y66 RPM_Y 132 SITEPROP SLICE_X62Y66 SITE_PIPS SITEPROP SLICE_X62Y66 SITE_TYPE SLICEL SITEPROP SLICE_X62Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y67 CLASS site SITEPROP SLICE_X62Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y67 IS_BONDED 0 SITEPROP SLICE_X62Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y67 IS_PAD 0 SITEPROP SLICE_X62Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y67 IS_RESERVED 0 SITEPROP SLICE_X62Y67 IS_TEST 0 SITEPROP SLICE_X62Y67 IS_USED 0 SITEPROP SLICE_X62Y67 MANUAL_ROUTING SITEPROP SLICE_X62Y67 NAME SLICE_X62Y67 SITEPROP SLICE_X62Y67 NUM_ARCS 138 SITEPROP SLICE_X62Y67 NUM_BELS 32 SITEPROP SLICE_X62Y67 NUM_INPUTS 32 SITEPROP SLICE_X62Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y67 NUM_PINS 45 SITEPROP SLICE_X62Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y67 PROHIBIT 0 SITEPROP SLICE_X62Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y67 RPM_X 165 SITEPROP SLICE_X62Y67 RPM_Y 134 SITEPROP SLICE_X62Y67 SITE_PIPS SITEPROP SLICE_X62Y67 SITE_TYPE SLICEL SITEPROP SLICE_X62Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y68 CLASS site SITEPROP SLICE_X62Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y68 IS_BONDED 0 SITEPROP SLICE_X62Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y68 IS_PAD 0 SITEPROP SLICE_X62Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y68 IS_RESERVED 0 SITEPROP SLICE_X62Y68 IS_TEST 0 SITEPROP SLICE_X62Y68 IS_USED 0 SITEPROP SLICE_X62Y68 MANUAL_ROUTING SITEPROP SLICE_X62Y68 NAME SLICE_X62Y68 SITEPROP SLICE_X62Y68 NUM_ARCS 138 SITEPROP SLICE_X62Y68 NUM_BELS 32 SITEPROP SLICE_X62Y68 NUM_INPUTS 32 SITEPROP SLICE_X62Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y68 NUM_PINS 45 SITEPROP SLICE_X62Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y68 PROHIBIT 0 SITEPROP SLICE_X62Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y68 RPM_X 165 SITEPROP SLICE_X62Y68 RPM_Y 136 SITEPROP SLICE_X62Y68 SITE_PIPS SITEPROP SLICE_X62Y68 SITE_TYPE SLICEL SITEPROP SLICE_X62Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y69 CLASS site SITEPROP SLICE_X62Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y69 IS_BONDED 0 SITEPROP SLICE_X62Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y69 IS_PAD 0 SITEPROP SLICE_X62Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y69 IS_RESERVED 0 SITEPROP SLICE_X62Y69 IS_TEST 0 SITEPROP SLICE_X62Y69 IS_USED 0 SITEPROP SLICE_X62Y69 MANUAL_ROUTING SITEPROP SLICE_X62Y69 NAME SLICE_X62Y69 SITEPROP SLICE_X62Y69 NUM_ARCS 138 SITEPROP SLICE_X62Y69 NUM_BELS 32 SITEPROP SLICE_X62Y69 NUM_INPUTS 32 SITEPROP SLICE_X62Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y69 NUM_PINS 45 SITEPROP SLICE_X62Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y69 PROHIBIT 0 SITEPROP SLICE_X62Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y69 RPM_X 165 SITEPROP SLICE_X62Y69 RPM_Y 138 SITEPROP SLICE_X62Y69 SITE_PIPS SITEPROP SLICE_X62Y69 SITE_TYPE SLICEL SITEPROP SLICE_X62Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y70 CLASS site SITEPROP SLICE_X62Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y70 IS_BONDED 0 SITEPROP SLICE_X62Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y70 IS_PAD 0 SITEPROP SLICE_X62Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y70 IS_RESERVED 0 SITEPROP SLICE_X62Y70 IS_TEST 0 SITEPROP SLICE_X62Y70 IS_USED 0 SITEPROP SLICE_X62Y70 MANUAL_ROUTING SITEPROP SLICE_X62Y70 NAME SLICE_X62Y70 SITEPROP SLICE_X62Y70 NUM_ARCS 138 SITEPROP SLICE_X62Y70 NUM_BELS 32 SITEPROP SLICE_X62Y70 NUM_INPUTS 32 SITEPROP SLICE_X62Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y70 NUM_PINS 45 SITEPROP SLICE_X62Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y70 PROHIBIT 0 SITEPROP SLICE_X62Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y70 RPM_X 165 SITEPROP SLICE_X62Y70 RPM_Y 140 SITEPROP SLICE_X62Y70 SITE_PIPS SITEPROP SLICE_X62Y70 SITE_TYPE SLICEL SITEPROP SLICE_X62Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y71 CLASS site SITEPROP SLICE_X62Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y71 IS_BONDED 0 SITEPROP SLICE_X62Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y71 IS_PAD 0 SITEPROP SLICE_X62Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y71 IS_RESERVED 0 SITEPROP SLICE_X62Y71 IS_TEST 0 SITEPROP SLICE_X62Y71 IS_USED 0 SITEPROP SLICE_X62Y71 MANUAL_ROUTING SITEPROP SLICE_X62Y71 NAME SLICE_X62Y71 SITEPROP SLICE_X62Y71 NUM_ARCS 138 SITEPROP SLICE_X62Y71 NUM_BELS 32 SITEPROP SLICE_X62Y71 NUM_INPUTS 32 SITEPROP SLICE_X62Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y71 NUM_PINS 45 SITEPROP SLICE_X62Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y71 PROHIBIT 0 SITEPROP SLICE_X62Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y71 RPM_X 165 SITEPROP SLICE_X62Y71 RPM_Y 142 SITEPROP SLICE_X62Y71 SITE_PIPS SITEPROP SLICE_X62Y71 SITE_TYPE SLICEL SITEPROP SLICE_X62Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y72 CLASS site SITEPROP SLICE_X62Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y72 IS_BONDED 0 SITEPROP SLICE_X62Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y72 IS_PAD 0 SITEPROP SLICE_X62Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y72 IS_RESERVED 0 SITEPROP SLICE_X62Y72 IS_TEST 0 SITEPROP SLICE_X62Y72 IS_USED 0 SITEPROP SLICE_X62Y72 MANUAL_ROUTING SITEPROP SLICE_X62Y72 NAME SLICE_X62Y72 SITEPROP SLICE_X62Y72 NUM_ARCS 138 SITEPROP SLICE_X62Y72 NUM_BELS 32 SITEPROP SLICE_X62Y72 NUM_INPUTS 32 SITEPROP SLICE_X62Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y72 NUM_PINS 45 SITEPROP SLICE_X62Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y72 PROHIBIT 0 SITEPROP SLICE_X62Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y72 RPM_X 165 SITEPROP SLICE_X62Y72 RPM_Y 144 SITEPROP SLICE_X62Y72 SITE_PIPS SITEPROP SLICE_X62Y72 SITE_TYPE SLICEL SITEPROP SLICE_X62Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y73 CLASS site SITEPROP SLICE_X62Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y73 IS_BONDED 0 SITEPROP SLICE_X62Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y73 IS_PAD 0 SITEPROP SLICE_X62Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y73 IS_RESERVED 0 SITEPROP SLICE_X62Y73 IS_TEST 0 SITEPROP SLICE_X62Y73 IS_USED 0 SITEPROP SLICE_X62Y73 MANUAL_ROUTING SITEPROP SLICE_X62Y73 NAME SLICE_X62Y73 SITEPROP SLICE_X62Y73 NUM_ARCS 138 SITEPROP SLICE_X62Y73 NUM_BELS 32 SITEPROP SLICE_X62Y73 NUM_INPUTS 32 SITEPROP SLICE_X62Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y73 NUM_PINS 45 SITEPROP SLICE_X62Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y73 PROHIBIT 0 SITEPROP SLICE_X62Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y73 RPM_X 165 SITEPROP SLICE_X62Y73 RPM_Y 146 SITEPROP SLICE_X62Y73 SITE_PIPS SITEPROP SLICE_X62Y73 SITE_TYPE SLICEL SITEPROP SLICE_X62Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y74 CLASS site SITEPROP SLICE_X62Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y74 IS_BONDED 0 SITEPROP SLICE_X62Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y74 IS_PAD 0 SITEPROP SLICE_X62Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y74 IS_RESERVED 0 SITEPROP SLICE_X62Y74 IS_TEST 0 SITEPROP SLICE_X62Y74 IS_USED 0 SITEPROP SLICE_X62Y74 MANUAL_ROUTING SITEPROP SLICE_X62Y74 NAME SLICE_X62Y74 SITEPROP SLICE_X62Y74 NUM_ARCS 138 SITEPROP SLICE_X62Y74 NUM_BELS 32 SITEPROP SLICE_X62Y74 NUM_INPUTS 32 SITEPROP SLICE_X62Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y74 NUM_PINS 45 SITEPROP SLICE_X62Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y74 PROHIBIT 0 SITEPROP SLICE_X62Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y74 RPM_X 165 SITEPROP SLICE_X62Y74 RPM_Y 148 SITEPROP SLICE_X62Y74 SITE_PIPS SITEPROP SLICE_X62Y74 SITE_TYPE SLICEL SITEPROP SLICE_X62Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y75 CLASS site SITEPROP SLICE_X62Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y75 IS_BONDED 0 SITEPROP SLICE_X62Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y75 IS_PAD 0 SITEPROP SLICE_X62Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y75 IS_RESERVED 0 SITEPROP SLICE_X62Y75 IS_TEST 0 SITEPROP SLICE_X62Y75 IS_USED 0 SITEPROP SLICE_X62Y75 MANUAL_ROUTING SITEPROP SLICE_X62Y75 NAME SLICE_X62Y75 SITEPROP SLICE_X62Y75 NUM_ARCS 138 SITEPROP SLICE_X62Y75 NUM_BELS 32 SITEPROP SLICE_X62Y75 NUM_INPUTS 32 SITEPROP SLICE_X62Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y75 NUM_PINS 45 SITEPROP SLICE_X62Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y75 PROHIBIT 0 SITEPROP SLICE_X62Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y75 RPM_X 165 SITEPROP SLICE_X62Y75 RPM_Y 150 SITEPROP SLICE_X62Y75 SITE_PIPS SITEPROP SLICE_X62Y75 SITE_TYPE SLICEL SITEPROP SLICE_X62Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y76 CLASS site SITEPROP SLICE_X62Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y76 IS_BONDED 0 SITEPROP SLICE_X62Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y76 IS_PAD 0 SITEPROP SLICE_X62Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y76 IS_RESERVED 0 SITEPROP SLICE_X62Y76 IS_TEST 0 SITEPROP SLICE_X62Y76 IS_USED 0 SITEPROP SLICE_X62Y76 MANUAL_ROUTING SITEPROP SLICE_X62Y76 NAME SLICE_X62Y76 SITEPROP SLICE_X62Y76 NUM_ARCS 138 SITEPROP SLICE_X62Y76 NUM_BELS 32 SITEPROP SLICE_X62Y76 NUM_INPUTS 32 SITEPROP SLICE_X62Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y76 NUM_PINS 45 SITEPROP SLICE_X62Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y76 PROHIBIT 0 SITEPROP SLICE_X62Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y76 RPM_X 165 SITEPROP SLICE_X62Y76 RPM_Y 152 SITEPROP SLICE_X62Y76 SITE_PIPS SITEPROP SLICE_X62Y76 SITE_TYPE SLICEL SITEPROP SLICE_X62Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y77 CLASS site SITEPROP SLICE_X62Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y77 IS_BONDED 0 SITEPROP SLICE_X62Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y77 IS_PAD 0 SITEPROP SLICE_X62Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y77 IS_RESERVED 0 SITEPROP SLICE_X62Y77 IS_TEST 0 SITEPROP SLICE_X62Y77 IS_USED 0 SITEPROP SLICE_X62Y77 MANUAL_ROUTING SITEPROP SLICE_X62Y77 NAME SLICE_X62Y77 SITEPROP SLICE_X62Y77 NUM_ARCS 138 SITEPROP SLICE_X62Y77 NUM_BELS 32 SITEPROP SLICE_X62Y77 NUM_INPUTS 32 SITEPROP SLICE_X62Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y77 NUM_PINS 45 SITEPROP SLICE_X62Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y77 PROHIBIT 0 SITEPROP SLICE_X62Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y77 RPM_X 165 SITEPROP SLICE_X62Y77 RPM_Y 154 SITEPROP SLICE_X62Y77 SITE_PIPS SITEPROP SLICE_X62Y77 SITE_TYPE SLICEL SITEPROP SLICE_X62Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y78 CLASS site SITEPROP SLICE_X62Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y78 IS_BONDED 0 SITEPROP SLICE_X62Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y78 IS_PAD 0 SITEPROP SLICE_X62Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y78 IS_RESERVED 0 SITEPROP SLICE_X62Y78 IS_TEST 0 SITEPROP SLICE_X62Y78 IS_USED 0 SITEPROP SLICE_X62Y78 MANUAL_ROUTING SITEPROP SLICE_X62Y78 NAME SLICE_X62Y78 SITEPROP SLICE_X62Y78 NUM_ARCS 138 SITEPROP SLICE_X62Y78 NUM_BELS 32 SITEPROP SLICE_X62Y78 NUM_INPUTS 32 SITEPROP SLICE_X62Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y78 NUM_PINS 45 SITEPROP SLICE_X62Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y78 PROHIBIT 0 SITEPROP SLICE_X62Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y78 RPM_X 165 SITEPROP SLICE_X62Y78 RPM_Y 156 SITEPROP SLICE_X62Y78 SITE_PIPS SITEPROP SLICE_X62Y78 SITE_TYPE SLICEL SITEPROP SLICE_X62Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y79 CLASS site SITEPROP SLICE_X62Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y79 IS_BONDED 0 SITEPROP SLICE_X62Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y79 IS_PAD 0 SITEPROP SLICE_X62Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y79 IS_RESERVED 0 SITEPROP SLICE_X62Y79 IS_TEST 0 SITEPROP SLICE_X62Y79 IS_USED 0 SITEPROP SLICE_X62Y79 MANUAL_ROUTING SITEPROP SLICE_X62Y79 NAME SLICE_X62Y79 SITEPROP SLICE_X62Y79 NUM_ARCS 138 SITEPROP SLICE_X62Y79 NUM_BELS 32 SITEPROP SLICE_X62Y79 NUM_INPUTS 32 SITEPROP SLICE_X62Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y79 NUM_PINS 45 SITEPROP SLICE_X62Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y79 PROHIBIT 0 SITEPROP SLICE_X62Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y79 RPM_X 165 SITEPROP SLICE_X62Y79 RPM_Y 158 SITEPROP SLICE_X62Y79 SITE_PIPS SITEPROP SLICE_X62Y79 SITE_TYPE SLICEL SITEPROP SLICE_X62Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y80 CLASS site SITEPROP SLICE_X62Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y80 IS_BONDED 0 SITEPROP SLICE_X62Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y80 IS_PAD 0 SITEPROP SLICE_X62Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y80 IS_RESERVED 0 SITEPROP SLICE_X62Y80 IS_TEST 0 SITEPROP SLICE_X62Y80 IS_USED 0 SITEPROP SLICE_X62Y80 MANUAL_ROUTING SITEPROP SLICE_X62Y80 NAME SLICE_X62Y80 SITEPROP SLICE_X62Y80 NUM_ARCS 138 SITEPROP SLICE_X62Y80 NUM_BELS 32 SITEPROP SLICE_X62Y80 NUM_INPUTS 32 SITEPROP SLICE_X62Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y80 NUM_PINS 45 SITEPROP SLICE_X62Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y80 PROHIBIT 0 SITEPROP SLICE_X62Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y80 RPM_X 165 SITEPROP SLICE_X62Y80 RPM_Y 160 SITEPROP SLICE_X62Y80 SITE_PIPS SITEPROP SLICE_X62Y80 SITE_TYPE SLICEL SITEPROP SLICE_X62Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y81 CLASS site SITEPROP SLICE_X62Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y81 IS_BONDED 0 SITEPROP SLICE_X62Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y81 IS_PAD 0 SITEPROP SLICE_X62Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y81 IS_RESERVED 0 SITEPROP SLICE_X62Y81 IS_TEST 0 SITEPROP SLICE_X62Y81 IS_USED 0 SITEPROP SLICE_X62Y81 MANUAL_ROUTING SITEPROP SLICE_X62Y81 NAME SLICE_X62Y81 SITEPROP SLICE_X62Y81 NUM_ARCS 138 SITEPROP SLICE_X62Y81 NUM_BELS 32 SITEPROP SLICE_X62Y81 NUM_INPUTS 32 SITEPROP SLICE_X62Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y81 NUM_PINS 45 SITEPROP SLICE_X62Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y81 PROHIBIT 0 SITEPROP SLICE_X62Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y81 RPM_X 165 SITEPROP SLICE_X62Y81 RPM_Y 162 SITEPROP SLICE_X62Y81 SITE_PIPS SITEPROP SLICE_X62Y81 SITE_TYPE SLICEL SITEPROP SLICE_X62Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y82 CLASS site SITEPROP SLICE_X62Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y82 IS_BONDED 0 SITEPROP SLICE_X62Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y82 IS_PAD 0 SITEPROP SLICE_X62Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y82 IS_RESERVED 0 SITEPROP SLICE_X62Y82 IS_TEST 0 SITEPROP SLICE_X62Y82 IS_USED 0 SITEPROP SLICE_X62Y82 MANUAL_ROUTING SITEPROP SLICE_X62Y82 NAME SLICE_X62Y82 SITEPROP SLICE_X62Y82 NUM_ARCS 138 SITEPROP SLICE_X62Y82 NUM_BELS 32 SITEPROP SLICE_X62Y82 NUM_INPUTS 32 SITEPROP SLICE_X62Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y82 NUM_PINS 45 SITEPROP SLICE_X62Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y82 PROHIBIT 0 SITEPROP SLICE_X62Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y82 RPM_X 165 SITEPROP SLICE_X62Y82 RPM_Y 164 SITEPROP SLICE_X62Y82 SITE_PIPS SITEPROP SLICE_X62Y82 SITE_TYPE SLICEL SITEPROP SLICE_X62Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y83 CLASS site SITEPROP SLICE_X62Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y83 IS_BONDED 0 SITEPROP SLICE_X62Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y83 IS_PAD 0 SITEPROP SLICE_X62Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y83 IS_RESERVED 0 SITEPROP SLICE_X62Y83 IS_TEST 0 SITEPROP SLICE_X62Y83 IS_USED 0 SITEPROP SLICE_X62Y83 MANUAL_ROUTING SITEPROP SLICE_X62Y83 NAME SLICE_X62Y83 SITEPROP SLICE_X62Y83 NUM_ARCS 138 SITEPROP SLICE_X62Y83 NUM_BELS 32 SITEPROP SLICE_X62Y83 NUM_INPUTS 32 SITEPROP SLICE_X62Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y83 NUM_PINS 45 SITEPROP SLICE_X62Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y83 PROHIBIT 0 SITEPROP SLICE_X62Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y83 RPM_X 165 SITEPROP SLICE_X62Y83 RPM_Y 166 SITEPROP SLICE_X62Y83 SITE_PIPS SITEPROP SLICE_X62Y83 SITE_TYPE SLICEL SITEPROP SLICE_X62Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y84 CLASS site SITEPROP SLICE_X62Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y84 IS_BONDED 0 SITEPROP SLICE_X62Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y84 IS_PAD 0 SITEPROP SLICE_X62Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y84 IS_RESERVED 0 SITEPROP SLICE_X62Y84 IS_TEST 0 SITEPROP SLICE_X62Y84 IS_USED 0 SITEPROP SLICE_X62Y84 MANUAL_ROUTING SITEPROP SLICE_X62Y84 NAME SLICE_X62Y84 SITEPROP SLICE_X62Y84 NUM_ARCS 138 SITEPROP SLICE_X62Y84 NUM_BELS 32 SITEPROP SLICE_X62Y84 NUM_INPUTS 32 SITEPROP SLICE_X62Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y84 NUM_PINS 45 SITEPROP SLICE_X62Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y84 PROHIBIT 0 SITEPROP SLICE_X62Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y84 RPM_X 165 SITEPROP SLICE_X62Y84 RPM_Y 168 SITEPROP SLICE_X62Y84 SITE_PIPS SITEPROP SLICE_X62Y84 SITE_TYPE SLICEL SITEPROP SLICE_X62Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y85 CLASS site SITEPROP SLICE_X62Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y85 IS_BONDED 0 SITEPROP SLICE_X62Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y85 IS_PAD 0 SITEPROP SLICE_X62Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y85 IS_RESERVED 0 SITEPROP SLICE_X62Y85 IS_TEST 0 SITEPROP SLICE_X62Y85 IS_USED 0 SITEPROP SLICE_X62Y85 MANUAL_ROUTING SITEPROP SLICE_X62Y85 NAME SLICE_X62Y85 SITEPROP SLICE_X62Y85 NUM_ARCS 138 SITEPROP SLICE_X62Y85 NUM_BELS 32 SITEPROP SLICE_X62Y85 NUM_INPUTS 32 SITEPROP SLICE_X62Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y85 NUM_PINS 45 SITEPROP SLICE_X62Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y85 PROHIBIT 0 SITEPROP SLICE_X62Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y85 RPM_X 165 SITEPROP SLICE_X62Y85 RPM_Y 170 SITEPROP SLICE_X62Y85 SITE_PIPS SITEPROP SLICE_X62Y85 SITE_TYPE SLICEL SITEPROP SLICE_X62Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y86 CLASS site SITEPROP SLICE_X62Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y86 IS_BONDED 0 SITEPROP SLICE_X62Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y86 IS_PAD 0 SITEPROP SLICE_X62Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y86 IS_RESERVED 0 SITEPROP SLICE_X62Y86 IS_TEST 0 SITEPROP SLICE_X62Y86 IS_USED 0 SITEPROP SLICE_X62Y86 MANUAL_ROUTING SITEPROP SLICE_X62Y86 NAME SLICE_X62Y86 SITEPROP SLICE_X62Y86 NUM_ARCS 138 SITEPROP SLICE_X62Y86 NUM_BELS 32 SITEPROP SLICE_X62Y86 NUM_INPUTS 32 SITEPROP SLICE_X62Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y86 NUM_PINS 45 SITEPROP SLICE_X62Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y86 PROHIBIT 0 SITEPROP SLICE_X62Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y86 RPM_X 165 SITEPROP SLICE_X62Y86 RPM_Y 172 SITEPROP SLICE_X62Y86 SITE_PIPS SITEPROP SLICE_X62Y86 SITE_TYPE SLICEL SITEPROP SLICE_X62Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y87 CLASS site SITEPROP SLICE_X62Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y87 IS_BONDED 0 SITEPROP SLICE_X62Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y87 IS_PAD 0 SITEPROP SLICE_X62Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y87 IS_RESERVED 0 SITEPROP SLICE_X62Y87 IS_TEST 0 SITEPROP SLICE_X62Y87 IS_USED 0 SITEPROP SLICE_X62Y87 MANUAL_ROUTING SITEPROP SLICE_X62Y87 NAME SLICE_X62Y87 SITEPROP SLICE_X62Y87 NUM_ARCS 138 SITEPROP SLICE_X62Y87 NUM_BELS 32 SITEPROP SLICE_X62Y87 NUM_INPUTS 32 SITEPROP SLICE_X62Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y87 NUM_PINS 45 SITEPROP SLICE_X62Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y87 PROHIBIT 0 SITEPROP SLICE_X62Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y87 RPM_X 165 SITEPROP SLICE_X62Y87 RPM_Y 174 SITEPROP SLICE_X62Y87 SITE_PIPS SITEPROP SLICE_X62Y87 SITE_TYPE SLICEL SITEPROP SLICE_X62Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y88 CLASS site SITEPROP SLICE_X62Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y88 IS_BONDED 0 SITEPROP SLICE_X62Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y88 IS_PAD 0 SITEPROP SLICE_X62Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y88 IS_RESERVED 0 SITEPROP SLICE_X62Y88 IS_TEST 0 SITEPROP SLICE_X62Y88 IS_USED 0 SITEPROP SLICE_X62Y88 MANUAL_ROUTING SITEPROP SLICE_X62Y88 NAME SLICE_X62Y88 SITEPROP SLICE_X62Y88 NUM_ARCS 138 SITEPROP SLICE_X62Y88 NUM_BELS 32 SITEPROP SLICE_X62Y88 NUM_INPUTS 32 SITEPROP SLICE_X62Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y88 NUM_PINS 45 SITEPROP SLICE_X62Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y88 PROHIBIT 0 SITEPROP SLICE_X62Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y88 RPM_X 165 SITEPROP SLICE_X62Y88 RPM_Y 176 SITEPROP SLICE_X62Y88 SITE_PIPS SITEPROP SLICE_X62Y88 SITE_TYPE SLICEL SITEPROP SLICE_X62Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y89 CLASS site SITEPROP SLICE_X62Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y89 IS_BONDED 0 SITEPROP SLICE_X62Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y89 IS_PAD 0 SITEPROP SLICE_X62Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y89 IS_RESERVED 0 SITEPROP SLICE_X62Y89 IS_TEST 0 SITEPROP SLICE_X62Y89 IS_USED 0 SITEPROP SLICE_X62Y89 MANUAL_ROUTING SITEPROP SLICE_X62Y89 NAME SLICE_X62Y89 SITEPROP SLICE_X62Y89 NUM_ARCS 138 SITEPROP SLICE_X62Y89 NUM_BELS 32 SITEPROP SLICE_X62Y89 NUM_INPUTS 32 SITEPROP SLICE_X62Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y89 NUM_PINS 45 SITEPROP SLICE_X62Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y89 PROHIBIT 0 SITEPROP SLICE_X62Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y89 RPM_X 165 SITEPROP SLICE_X62Y89 RPM_Y 178 SITEPROP SLICE_X62Y89 SITE_PIPS SITEPROP SLICE_X62Y89 SITE_TYPE SLICEL SITEPROP SLICE_X62Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y90 CLASS site SITEPROP SLICE_X62Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y90 IS_BONDED 0 SITEPROP SLICE_X62Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y90 IS_PAD 0 SITEPROP SLICE_X62Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y90 IS_RESERVED 0 SITEPROP SLICE_X62Y90 IS_TEST 0 SITEPROP SLICE_X62Y90 IS_USED 0 SITEPROP SLICE_X62Y90 MANUAL_ROUTING SITEPROP SLICE_X62Y90 NAME SLICE_X62Y90 SITEPROP SLICE_X62Y90 NUM_ARCS 138 SITEPROP SLICE_X62Y90 NUM_BELS 32 SITEPROP SLICE_X62Y90 NUM_INPUTS 32 SITEPROP SLICE_X62Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y90 NUM_PINS 45 SITEPROP SLICE_X62Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y90 PROHIBIT 0 SITEPROP SLICE_X62Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y90 RPM_X 165 SITEPROP SLICE_X62Y90 RPM_Y 180 SITEPROP SLICE_X62Y90 SITE_PIPS SITEPROP SLICE_X62Y90 SITE_TYPE SLICEL SITEPROP SLICE_X62Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y91 CLASS site SITEPROP SLICE_X62Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y91 IS_BONDED 0 SITEPROP SLICE_X62Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y91 IS_PAD 0 SITEPROP SLICE_X62Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y91 IS_RESERVED 0 SITEPROP SLICE_X62Y91 IS_TEST 0 SITEPROP SLICE_X62Y91 IS_USED 0 SITEPROP SLICE_X62Y91 MANUAL_ROUTING SITEPROP SLICE_X62Y91 NAME SLICE_X62Y91 SITEPROP SLICE_X62Y91 NUM_ARCS 138 SITEPROP SLICE_X62Y91 NUM_BELS 32 SITEPROP SLICE_X62Y91 NUM_INPUTS 32 SITEPROP SLICE_X62Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y91 NUM_PINS 45 SITEPROP SLICE_X62Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y91 PROHIBIT 0 SITEPROP SLICE_X62Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y91 RPM_X 165 SITEPROP SLICE_X62Y91 RPM_Y 182 SITEPROP SLICE_X62Y91 SITE_PIPS SITEPROP SLICE_X62Y91 SITE_TYPE SLICEL SITEPROP SLICE_X62Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y92 CLASS site SITEPROP SLICE_X62Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y92 IS_BONDED 0 SITEPROP SLICE_X62Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y92 IS_PAD 0 SITEPROP SLICE_X62Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y92 IS_RESERVED 0 SITEPROP SLICE_X62Y92 IS_TEST 0 SITEPROP SLICE_X62Y92 IS_USED 0 SITEPROP SLICE_X62Y92 MANUAL_ROUTING SITEPROP SLICE_X62Y92 NAME SLICE_X62Y92 SITEPROP SLICE_X62Y92 NUM_ARCS 138 SITEPROP SLICE_X62Y92 NUM_BELS 32 SITEPROP SLICE_X62Y92 NUM_INPUTS 32 SITEPROP SLICE_X62Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y92 NUM_PINS 45 SITEPROP SLICE_X62Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y92 PROHIBIT 0 SITEPROP SLICE_X62Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y92 RPM_X 165 SITEPROP SLICE_X62Y92 RPM_Y 184 SITEPROP SLICE_X62Y92 SITE_PIPS SITEPROP SLICE_X62Y92 SITE_TYPE SLICEL SITEPROP SLICE_X62Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y93 CLASS site SITEPROP SLICE_X62Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y93 IS_BONDED 0 SITEPROP SLICE_X62Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y93 IS_PAD 0 SITEPROP SLICE_X62Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y93 IS_RESERVED 0 SITEPROP SLICE_X62Y93 IS_TEST 0 SITEPROP SLICE_X62Y93 IS_USED 0 SITEPROP SLICE_X62Y93 MANUAL_ROUTING SITEPROP SLICE_X62Y93 NAME SLICE_X62Y93 SITEPROP SLICE_X62Y93 NUM_ARCS 138 SITEPROP SLICE_X62Y93 NUM_BELS 32 SITEPROP SLICE_X62Y93 NUM_INPUTS 32 SITEPROP SLICE_X62Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y93 NUM_PINS 45 SITEPROP SLICE_X62Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y93 PROHIBIT 0 SITEPROP SLICE_X62Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y93 RPM_X 165 SITEPROP SLICE_X62Y93 RPM_Y 186 SITEPROP SLICE_X62Y93 SITE_PIPS SITEPROP SLICE_X62Y93 SITE_TYPE SLICEL SITEPROP SLICE_X62Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y94 CLASS site SITEPROP SLICE_X62Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y94 IS_BONDED 0 SITEPROP SLICE_X62Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y94 IS_PAD 0 SITEPROP SLICE_X62Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y94 IS_RESERVED 0 SITEPROP SLICE_X62Y94 IS_TEST 0 SITEPROP SLICE_X62Y94 IS_USED 0 SITEPROP SLICE_X62Y94 MANUAL_ROUTING SITEPROP SLICE_X62Y94 NAME SLICE_X62Y94 SITEPROP SLICE_X62Y94 NUM_ARCS 138 SITEPROP SLICE_X62Y94 NUM_BELS 32 SITEPROP SLICE_X62Y94 NUM_INPUTS 32 SITEPROP SLICE_X62Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y94 NUM_PINS 45 SITEPROP SLICE_X62Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y94 PROHIBIT 0 SITEPROP SLICE_X62Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y94 RPM_X 165 SITEPROP SLICE_X62Y94 RPM_Y 188 SITEPROP SLICE_X62Y94 SITE_PIPS SITEPROP SLICE_X62Y94 SITE_TYPE SLICEL SITEPROP SLICE_X62Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y95 CLASS site SITEPROP SLICE_X62Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y95 IS_BONDED 0 SITEPROP SLICE_X62Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y95 IS_PAD 0 SITEPROP SLICE_X62Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y95 IS_RESERVED 0 SITEPROP SLICE_X62Y95 IS_TEST 0 SITEPROP SLICE_X62Y95 IS_USED 0 SITEPROP SLICE_X62Y95 MANUAL_ROUTING SITEPROP SLICE_X62Y95 NAME SLICE_X62Y95 SITEPROP SLICE_X62Y95 NUM_ARCS 138 SITEPROP SLICE_X62Y95 NUM_BELS 32 SITEPROP SLICE_X62Y95 NUM_INPUTS 32 SITEPROP SLICE_X62Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y95 NUM_PINS 45 SITEPROP SLICE_X62Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y95 PROHIBIT 0 SITEPROP SLICE_X62Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y95 RPM_X 165 SITEPROP SLICE_X62Y95 RPM_Y 190 SITEPROP SLICE_X62Y95 SITE_PIPS SITEPROP SLICE_X62Y95 SITE_TYPE SLICEL SITEPROP SLICE_X62Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y96 CLASS site SITEPROP SLICE_X62Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y96 IS_BONDED 0 SITEPROP SLICE_X62Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y96 IS_PAD 0 SITEPROP SLICE_X62Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y96 IS_RESERVED 0 SITEPROP SLICE_X62Y96 IS_TEST 0 SITEPROP SLICE_X62Y96 IS_USED 0 SITEPROP SLICE_X62Y96 MANUAL_ROUTING SITEPROP SLICE_X62Y96 NAME SLICE_X62Y96 SITEPROP SLICE_X62Y96 NUM_ARCS 138 SITEPROP SLICE_X62Y96 NUM_BELS 32 SITEPROP SLICE_X62Y96 NUM_INPUTS 32 SITEPROP SLICE_X62Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y96 NUM_PINS 45 SITEPROP SLICE_X62Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y96 PROHIBIT 0 SITEPROP SLICE_X62Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y96 RPM_X 165 SITEPROP SLICE_X62Y96 RPM_Y 192 SITEPROP SLICE_X62Y96 SITE_PIPS SITEPROP SLICE_X62Y96 SITE_TYPE SLICEL SITEPROP SLICE_X62Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y97 CLASS site SITEPROP SLICE_X62Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y97 IS_BONDED 0 SITEPROP SLICE_X62Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y97 IS_PAD 0 SITEPROP SLICE_X62Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y97 IS_RESERVED 0 SITEPROP SLICE_X62Y97 IS_TEST 0 SITEPROP SLICE_X62Y97 IS_USED 0 SITEPROP SLICE_X62Y97 MANUAL_ROUTING SITEPROP SLICE_X62Y97 NAME SLICE_X62Y97 SITEPROP SLICE_X62Y97 NUM_ARCS 138 SITEPROP SLICE_X62Y97 NUM_BELS 32 SITEPROP SLICE_X62Y97 NUM_INPUTS 32 SITEPROP SLICE_X62Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y97 NUM_PINS 45 SITEPROP SLICE_X62Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y97 PROHIBIT 0 SITEPROP SLICE_X62Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y97 RPM_X 165 SITEPROP SLICE_X62Y97 RPM_Y 194 SITEPROP SLICE_X62Y97 SITE_PIPS SITEPROP SLICE_X62Y97 SITE_TYPE SLICEL SITEPROP SLICE_X62Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y98 CLASS site SITEPROP SLICE_X62Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y98 IS_BONDED 0 SITEPROP SLICE_X62Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y98 IS_PAD 0 SITEPROP SLICE_X62Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y98 IS_RESERVED 0 SITEPROP SLICE_X62Y98 IS_TEST 0 SITEPROP SLICE_X62Y98 IS_USED 0 SITEPROP SLICE_X62Y98 MANUAL_ROUTING SITEPROP SLICE_X62Y98 NAME SLICE_X62Y98 SITEPROP SLICE_X62Y98 NUM_ARCS 138 SITEPROP SLICE_X62Y98 NUM_BELS 32 SITEPROP SLICE_X62Y98 NUM_INPUTS 32 SITEPROP SLICE_X62Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y98 NUM_PINS 45 SITEPROP SLICE_X62Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y98 PROHIBIT 0 SITEPROP SLICE_X62Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y98 RPM_X 165 SITEPROP SLICE_X62Y98 RPM_Y 196 SITEPROP SLICE_X62Y98 SITE_PIPS SITEPROP SLICE_X62Y98 SITE_TYPE SLICEL SITEPROP SLICE_X62Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X62Y99 CLASS site SITEPROP SLICE_X62Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X62Y99 IS_BONDED 0 SITEPROP SLICE_X62Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X62Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y99 IS_PAD 0 SITEPROP SLICE_X62Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X62Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X62Y99 IS_RESERVED 0 SITEPROP SLICE_X62Y99 IS_TEST 0 SITEPROP SLICE_X62Y99 IS_USED 0 SITEPROP SLICE_X62Y99 MANUAL_ROUTING SITEPROP SLICE_X62Y99 NAME SLICE_X62Y99 SITEPROP SLICE_X62Y99 NUM_ARCS 138 SITEPROP SLICE_X62Y99 NUM_BELS 32 SITEPROP SLICE_X62Y99 NUM_INPUTS 32 SITEPROP SLICE_X62Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X62Y99 NUM_PINS 45 SITEPROP SLICE_X62Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X62Y99 PROHIBIT 0 SITEPROP SLICE_X62Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X62Y99 RPM_X 165 SITEPROP SLICE_X62Y99 RPM_Y 198 SITEPROP SLICE_X62Y99 SITE_PIPS SITEPROP SLICE_X62Y99 SITE_TYPE SLICEL SITEPROP SLICE_X63Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y0 CLASS site SITEPROP SLICE_X63Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y0 IS_BONDED 0 SITEPROP SLICE_X63Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y0 IS_PAD 0 SITEPROP SLICE_X63Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y0 IS_RESERVED 0 SITEPROP SLICE_X63Y0 IS_TEST 0 SITEPROP SLICE_X63Y0 IS_USED 0 SITEPROP SLICE_X63Y0 MANUAL_ROUTING SITEPROP SLICE_X63Y0 NAME SLICE_X63Y0 SITEPROP SLICE_X63Y0 NUM_ARCS 138 SITEPROP SLICE_X63Y0 NUM_BELS 32 SITEPROP SLICE_X63Y0 NUM_INPUTS 32 SITEPROP SLICE_X63Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y0 NUM_PINS 45 SITEPROP SLICE_X63Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y0 PROHIBIT 0 SITEPROP SLICE_X63Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y0 RPM_X 167 SITEPROP SLICE_X63Y0 RPM_Y 0 SITEPROP SLICE_X63Y0 SITE_PIPS SITEPROP SLICE_X63Y0 SITE_TYPE SLICEL SITEPROP SLICE_X63Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y1 CLASS site SITEPROP SLICE_X63Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y1 IS_BONDED 0 SITEPROP SLICE_X63Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y1 IS_PAD 0 SITEPROP SLICE_X63Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y1 IS_RESERVED 0 SITEPROP SLICE_X63Y1 IS_TEST 0 SITEPROP SLICE_X63Y1 IS_USED 0 SITEPROP SLICE_X63Y1 MANUAL_ROUTING SITEPROP SLICE_X63Y1 NAME SLICE_X63Y1 SITEPROP SLICE_X63Y1 NUM_ARCS 138 SITEPROP SLICE_X63Y1 NUM_BELS 32 SITEPROP SLICE_X63Y1 NUM_INPUTS 32 SITEPROP SLICE_X63Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y1 NUM_PINS 45 SITEPROP SLICE_X63Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y1 PROHIBIT 0 SITEPROP SLICE_X63Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y1 RPM_X 167 SITEPROP SLICE_X63Y1 RPM_Y 2 SITEPROP SLICE_X63Y1 SITE_PIPS SITEPROP SLICE_X63Y1 SITE_TYPE SLICEL SITEPROP SLICE_X63Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y2 CLASS site SITEPROP SLICE_X63Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y2 IS_BONDED 0 SITEPROP SLICE_X63Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y2 IS_PAD 0 SITEPROP SLICE_X63Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y2 IS_RESERVED 0 SITEPROP SLICE_X63Y2 IS_TEST 0 SITEPROP SLICE_X63Y2 IS_USED 0 SITEPROP SLICE_X63Y2 MANUAL_ROUTING SITEPROP SLICE_X63Y2 NAME SLICE_X63Y2 SITEPROP SLICE_X63Y2 NUM_ARCS 138 SITEPROP SLICE_X63Y2 NUM_BELS 32 SITEPROP SLICE_X63Y2 NUM_INPUTS 32 SITEPROP SLICE_X63Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y2 NUM_PINS 45 SITEPROP SLICE_X63Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y2 PROHIBIT 0 SITEPROP SLICE_X63Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y2 RPM_X 167 SITEPROP SLICE_X63Y2 RPM_Y 4 SITEPROP SLICE_X63Y2 SITE_PIPS SITEPROP SLICE_X63Y2 SITE_TYPE SLICEL SITEPROP SLICE_X63Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y3 CLASS site SITEPROP SLICE_X63Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y3 IS_BONDED 0 SITEPROP SLICE_X63Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y3 IS_PAD 0 SITEPROP SLICE_X63Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y3 IS_RESERVED 0 SITEPROP SLICE_X63Y3 IS_TEST 0 SITEPROP SLICE_X63Y3 IS_USED 0 SITEPROP SLICE_X63Y3 MANUAL_ROUTING SITEPROP SLICE_X63Y3 NAME SLICE_X63Y3 SITEPROP SLICE_X63Y3 NUM_ARCS 138 SITEPROP SLICE_X63Y3 NUM_BELS 32 SITEPROP SLICE_X63Y3 NUM_INPUTS 32 SITEPROP SLICE_X63Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y3 NUM_PINS 45 SITEPROP SLICE_X63Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y3 PROHIBIT 0 SITEPROP SLICE_X63Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y3 RPM_X 167 SITEPROP SLICE_X63Y3 RPM_Y 6 SITEPROP SLICE_X63Y3 SITE_PIPS SITEPROP SLICE_X63Y3 SITE_TYPE SLICEL SITEPROP SLICE_X63Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y4 CLASS site SITEPROP SLICE_X63Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y4 IS_BONDED 0 SITEPROP SLICE_X63Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y4 IS_PAD 0 SITEPROP SLICE_X63Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y4 IS_RESERVED 0 SITEPROP SLICE_X63Y4 IS_TEST 0 SITEPROP SLICE_X63Y4 IS_USED 0 SITEPROP SLICE_X63Y4 MANUAL_ROUTING SITEPROP SLICE_X63Y4 NAME SLICE_X63Y4 SITEPROP SLICE_X63Y4 NUM_ARCS 138 SITEPROP SLICE_X63Y4 NUM_BELS 32 SITEPROP SLICE_X63Y4 NUM_INPUTS 32 SITEPROP SLICE_X63Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y4 NUM_PINS 45 SITEPROP SLICE_X63Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y4 PROHIBIT 0 SITEPROP SLICE_X63Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y4 RPM_X 167 SITEPROP SLICE_X63Y4 RPM_Y 8 SITEPROP SLICE_X63Y4 SITE_PIPS SITEPROP SLICE_X63Y4 SITE_TYPE SLICEL SITEPROP SLICE_X63Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y5 CLASS site SITEPROP SLICE_X63Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y5 IS_BONDED 0 SITEPROP SLICE_X63Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y5 IS_PAD 0 SITEPROP SLICE_X63Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y5 IS_RESERVED 0 SITEPROP SLICE_X63Y5 IS_TEST 0 SITEPROP SLICE_X63Y5 IS_USED 0 SITEPROP SLICE_X63Y5 MANUAL_ROUTING SITEPROP SLICE_X63Y5 NAME SLICE_X63Y5 SITEPROP SLICE_X63Y5 NUM_ARCS 138 SITEPROP SLICE_X63Y5 NUM_BELS 32 SITEPROP SLICE_X63Y5 NUM_INPUTS 32 SITEPROP SLICE_X63Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y5 NUM_PINS 45 SITEPROP SLICE_X63Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y5 PROHIBIT 0 SITEPROP SLICE_X63Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y5 RPM_X 167 SITEPROP SLICE_X63Y5 RPM_Y 10 SITEPROP SLICE_X63Y5 SITE_PIPS SITEPROP SLICE_X63Y5 SITE_TYPE SLICEL SITEPROP SLICE_X63Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y6 CLASS site SITEPROP SLICE_X63Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y6 IS_BONDED 0 SITEPROP SLICE_X63Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y6 IS_PAD 0 SITEPROP SLICE_X63Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y6 IS_RESERVED 0 SITEPROP SLICE_X63Y6 IS_TEST 0 SITEPROP SLICE_X63Y6 IS_USED 0 SITEPROP SLICE_X63Y6 MANUAL_ROUTING SITEPROP SLICE_X63Y6 NAME SLICE_X63Y6 SITEPROP SLICE_X63Y6 NUM_ARCS 138 SITEPROP SLICE_X63Y6 NUM_BELS 32 SITEPROP SLICE_X63Y6 NUM_INPUTS 32 SITEPROP SLICE_X63Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y6 NUM_PINS 45 SITEPROP SLICE_X63Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y6 PROHIBIT 0 SITEPROP SLICE_X63Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y6 RPM_X 167 SITEPROP SLICE_X63Y6 RPM_Y 12 SITEPROP SLICE_X63Y6 SITE_PIPS SITEPROP SLICE_X63Y6 SITE_TYPE SLICEL SITEPROP SLICE_X63Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y7 CLASS site SITEPROP SLICE_X63Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y7 IS_BONDED 0 SITEPROP SLICE_X63Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y7 IS_PAD 0 SITEPROP SLICE_X63Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y7 IS_RESERVED 0 SITEPROP SLICE_X63Y7 IS_TEST 0 SITEPROP SLICE_X63Y7 IS_USED 0 SITEPROP SLICE_X63Y7 MANUAL_ROUTING SITEPROP SLICE_X63Y7 NAME SLICE_X63Y7 SITEPROP SLICE_X63Y7 NUM_ARCS 138 SITEPROP SLICE_X63Y7 NUM_BELS 32 SITEPROP SLICE_X63Y7 NUM_INPUTS 32 SITEPROP SLICE_X63Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y7 NUM_PINS 45 SITEPROP SLICE_X63Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y7 PROHIBIT 0 SITEPROP SLICE_X63Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y7 RPM_X 167 SITEPROP SLICE_X63Y7 RPM_Y 14 SITEPROP SLICE_X63Y7 SITE_PIPS SITEPROP SLICE_X63Y7 SITE_TYPE SLICEL SITEPROP SLICE_X63Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y8 CLASS site SITEPROP SLICE_X63Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y8 IS_BONDED 0 SITEPROP SLICE_X63Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y8 IS_PAD 0 SITEPROP SLICE_X63Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y8 IS_RESERVED 0 SITEPROP SLICE_X63Y8 IS_TEST 0 SITEPROP SLICE_X63Y8 IS_USED 0 SITEPROP SLICE_X63Y8 MANUAL_ROUTING SITEPROP SLICE_X63Y8 NAME SLICE_X63Y8 SITEPROP SLICE_X63Y8 NUM_ARCS 138 SITEPROP SLICE_X63Y8 NUM_BELS 32 SITEPROP SLICE_X63Y8 NUM_INPUTS 32 SITEPROP SLICE_X63Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y8 NUM_PINS 45 SITEPROP SLICE_X63Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y8 PROHIBIT 0 SITEPROP SLICE_X63Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y8 RPM_X 167 SITEPROP SLICE_X63Y8 RPM_Y 16 SITEPROP SLICE_X63Y8 SITE_PIPS SITEPROP SLICE_X63Y8 SITE_TYPE SLICEL SITEPROP SLICE_X63Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y9 CLASS site SITEPROP SLICE_X63Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y9 IS_BONDED 0 SITEPROP SLICE_X63Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y9 IS_PAD 0 SITEPROP SLICE_X63Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y9 IS_RESERVED 0 SITEPROP SLICE_X63Y9 IS_TEST 0 SITEPROP SLICE_X63Y9 IS_USED 0 SITEPROP SLICE_X63Y9 MANUAL_ROUTING SITEPROP SLICE_X63Y9 NAME SLICE_X63Y9 SITEPROP SLICE_X63Y9 NUM_ARCS 138 SITEPROP SLICE_X63Y9 NUM_BELS 32 SITEPROP SLICE_X63Y9 NUM_INPUTS 32 SITEPROP SLICE_X63Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y9 NUM_PINS 45 SITEPROP SLICE_X63Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y9 PROHIBIT 0 SITEPROP SLICE_X63Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y9 RPM_X 167 SITEPROP SLICE_X63Y9 RPM_Y 18 SITEPROP SLICE_X63Y9 SITE_PIPS SITEPROP SLICE_X63Y9 SITE_TYPE SLICEL SITEPROP SLICE_X63Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y10 CLASS site SITEPROP SLICE_X63Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y10 IS_BONDED 0 SITEPROP SLICE_X63Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y10 IS_PAD 0 SITEPROP SLICE_X63Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y10 IS_RESERVED 0 SITEPROP SLICE_X63Y10 IS_TEST 0 SITEPROP SLICE_X63Y10 IS_USED 0 SITEPROP SLICE_X63Y10 MANUAL_ROUTING SITEPROP SLICE_X63Y10 NAME SLICE_X63Y10 SITEPROP SLICE_X63Y10 NUM_ARCS 138 SITEPROP SLICE_X63Y10 NUM_BELS 32 SITEPROP SLICE_X63Y10 NUM_INPUTS 32 SITEPROP SLICE_X63Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y10 NUM_PINS 45 SITEPROP SLICE_X63Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y10 PROHIBIT 0 SITEPROP SLICE_X63Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y10 RPM_X 167 SITEPROP SLICE_X63Y10 RPM_Y 20 SITEPROP SLICE_X63Y10 SITE_PIPS SITEPROP SLICE_X63Y10 SITE_TYPE SLICEL SITEPROP SLICE_X63Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y11 CLASS site SITEPROP SLICE_X63Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y11 IS_BONDED 0 SITEPROP SLICE_X63Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y11 IS_PAD 0 SITEPROP SLICE_X63Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y11 IS_RESERVED 0 SITEPROP SLICE_X63Y11 IS_TEST 0 SITEPROP SLICE_X63Y11 IS_USED 0 SITEPROP SLICE_X63Y11 MANUAL_ROUTING SITEPROP SLICE_X63Y11 NAME SLICE_X63Y11 SITEPROP SLICE_X63Y11 NUM_ARCS 138 SITEPROP SLICE_X63Y11 NUM_BELS 32 SITEPROP SLICE_X63Y11 NUM_INPUTS 32 SITEPROP SLICE_X63Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y11 NUM_PINS 45 SITEPROP SLICE_X63Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y11 PROHIBIT 0 SITEPROP SLICE_X63Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y11 RPM_X 167 SITEPROP SLICE_X63Y11 RPM_Y 22 SITEPROP SLICE_X63Y11 SITE_PIPS SITEPROP SLICE_X63Y11 SITE_TYPE SLICEL SITEPROP SLICE_X63Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y12 CLASS site SITEPROP SLICE_X63Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y12 IS_BONDED 0 SITEPROP SLICE_X63Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y12 IS_PAD 0 SITEPROP SLICE_X63Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y12 IS_RESERVED 0 SITEPROP SLICE_X63Y12 IS_TEST 0 SITEPROP SLICE_X63Y12 IS_USED 0 SITEPROP SLICE_X63Y12 MANUAL_ROUTING SITEPROP SLICE_X63Y12 NAME SLICE_X63Y12 SITEPROP SLICE_X63Y12 NUM_ARCS 138 SITEPROP SLICE_X63Y12 NUM_BELS 32 SITEPROP SLICE_X63Y12 NUM_INPUTS 32 SITEPROP SLICE_X63Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y12 NUM_PINS 45 SITEPROP SLICE_X63Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y12 PROHIBIT 0 SITEPROP SLICE_X63Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y12 RPM_X 167 SITEPROP SLICE_X63Y12 RPM_Y 24 SITEPROP SLICE_X63Y12 SITE_PIPS SITEPROP SLICE_X63Y12 SITE_TYPE SLICEL SITEPROP SLICE_X63Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y13 CLASS site SITEPROP SLICE_X63Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y13 IS_BONDED 0 SITEPROP SLICE_X63Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y13 IS_PAD 0 SITEPROP SLICE_X63Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y13 IS_RESERVED 0 SITEPROP SLICE_X63Y13 IS_TEST 0 SITEPROP SLICE_X63Y13 IS_USED 0 SITEPROP SLICE_X63Y13 MANUAL_ROUTING SITEPROP SLICE_X63Y13 NAME SLICE_X63Y13 SITEPROP SLICE_X63Y13 NUM_ARCS 138 SITEPROP SLICE_X63Y13 NUM_BELS 32 SITEPROP SLICE_X63Y13 NUM_INPUTS 32 SITEPROP SLICE_X63Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y13 NUM_PINS 45 SITEPROP SLICE_X63Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y13 PROHIBIT 0 SITEPROP SLICE_X63Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y13 RPM_X 167 SITEPROP SLICE_X63Y13 RPM_Y 26 SITEPROP SLICE_X63Y13 SITE_PIPS SITEPROP SLICE_X63Y13 SITE_TYPE SLICEL SITEPROP SLICE_X63Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y14 CLASS site SITEPROP SLICE_X63Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y14 IS_BONDED 0 SITEPROP SLICE_X63Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y14 IS_PAD 0 SITEPROP SLICE_X63Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y14 IS_RESERVED 0 SITEPROP SLICE_X63Y14 IS_TEST 0 SITEPROP SLICE_X63Y14 IS_USED 0 SITEPROP SLICE_X63Y14 MANUAL_ROUTING SITEPROP SLICE_X63Y14 NAME SLICE_X63Y14 SITEPROP SLICE_X63Y14 NUM_ARCS 138 SITEPROP SLICE_X63Y14 NUM_BELS 32 SITEPROP SLICE_X63Y14 NUM_INPUTS 32 SITEPROP SLICE_X63Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y14 NUM_PINS 45 SITEPROP SLICE_X63Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y14 PROHIBIT 0 SITEPROP SLICE_X63Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y14 RPM_X 167 SITEPROP SLICE_X63Y14 RPM_Y 28 SITEPROP SLICE_X63Y14 SITE_PIPS SITEPROP SLICE_X63Y14 SITE_TYPE SLICEL SITEPROP SLICE_X63Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y15 CLASS site SITEPROP SLICE_X63Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y15 IS_BONDED 0 SITEPROP SLICE_X63Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y15 IS_PAD 0 SITEPROP SLICE_X63Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y15 IS_RESERVED 0 SITEPROP SLICE_X63Y15 IS_TEST 0 SITEPROP SLICE_X63Y15 IS_USED 0 SITEPROP SLICE_X63Y15 MANUAL_ROUTING SITEPROP SLICE_X63Y15 NAME SLICE_X63Y15 SITEPROP SLICE_X63Y15 NUM_ARCS 138 SITEPROP SLICE_X63Y15 NUM_BELS 32 SITEPROP SLICE_X63Y15 NUM_INPUTS 32 SITEPROP SLICE_X63Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y15 NUM_PINS 45 SITEPROP SLICE_X63Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y15 PROHIBIT 0 SITEPROP SLICE_X63Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y15 RPM_X 167 SITEPROP SLICE_X63Y15 RPM_Y 30 SITEPROP SLICE_X63Y15 SITE_PIPS SITEPROP SLICE_X63Y15 SITE_TYPE SLICEL SITEPROP SLICE_X63Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y16 CLASS site SITEPROP SLICE_X63Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y16 IS_BONDED 0 SITEPROP SLICE_X63Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y16 IS_PAD 0 SITEPROP SLICE_X63Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y16 IS_RESERVED 0 SITEPROP SLICE_X63Y16 IS_TEST 0 SITEPROP SLICE_X63Y16 IS_USED 0 SITEPROP SLICE_X63Y16 MANUAL_ROUTING SITEPROP SLICE_X63Y16 NAME SLICE_X63Y16 SITEPROP SLICE_X63Y16 NUM_ARCS 138 SITEPROP SLICE_X63Y16 NUM_BELS 32 SITEPROP SLICE_X63Y16 NUM_INPUTS 32 SITEPROP SLICE_X63Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y16 NUM_PINS 45 SITEPROP SLICE_X63Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y16 PROHIBIT 0 SITEPROP SLICE_X63Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y16 RPM_X 167 SITEPROP SLICE_X63Y16 RPM_Y 32 SITEPROP SLICE_X63Y16 SITE_PIPS SITEPROP SLICE_X63Y16 SITE_TYPE SLICEL SITEPROP SLICE_X63Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y17 CLASS site SITEPROP SLICE_X63Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y17 IS_BONDED 0 SITEPROP SLICE_X63Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y17 IS_PAD 0 SITEPROP SLICE_X63Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y17 IS_RESERVED 0 SITEPROP SLICE_X63Y17 IS_TEST 0 SITEPROP SLICE_X63Y17 IS_USED 0 SITEPROP SLICE_X63Y17 MANUAL_ROUTING SITEPROP SLICE_X63Y17 NAME SLICE_X63Y17 SITEPROP SLICE_X63Y17 NUM_ARCS 138 SITEPROP SLICE_X63Y17 NUM_BELS 32 SITEPROP SLICE_X63Y17 NUM_INPUTS 32 SITEPROP SLICE_X63Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y17 NUM_PINS 45 SITEPROP SLICE_X63Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y17 PROHIBIT 0 SITEPROP SLICE_X63Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y17 RPM_X 167 SITEPROP SLICE_X63Y17 RPM_Y 34 SITEPROP SLICE_X63Y17 SITE_PIPS SITEPROP SLICE_X63Y17 SITE_TYPE SLICEL SITEPROP SLICE_X63Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y18 CLASS site SITEPROP SLICE_X63Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y18 IS_BONDED 0 SITEPROP SLICE_X63Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y18 IS_PAD 0 SITEPROP SLICE_X63Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y18 IS_RESERVED 0 SITEPROP SLICE_X63Y18 IS_TEST 0 SITEPROP SLICE_X63Y18 IS_USED 0 SITEPROP SLICE_X63Y18 MANUAL_ROUTING SITEPROP SLICE_X63Y18 NAME SLICE_X63Y18 SITEPROP SLICE_X63Y18 NUM_ARCS 138 SITEPROP SLICE_X63Y18 NUM_BELS 32 SITEPROP SLICE_X63Y18 NUM_INPUTS 32 SITEPROP SLICE_X63Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y18 NUM_PINS 45 SITEPROP SLICE_X63Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y18 PROHIBIT 0 SITEPROP SLICE_X63Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y18 RPM_X 167 SITEPROP SLICE_X63Y18 RPM_Y 36 SITEPROP SLICE_X63Y18 SITE_PIPS SITEPROP SLICE_X63Y18 SITE_TYPE SLICEL SITEPROP SLICE_X63Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y19 CLASS site SITEPROP SLICE_X63Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y19 IS_BONDED 0 SITEPROP SLICE_X63Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y19 IS_PAD 0 SITEPROP SLICE_X63Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y19 IS_RESERVED 0 SITEPROP SLICE_X63Y19 IS_TEST 0 SITEPROP SLICE_X63Y19 IS_USED 0 SITEPROP SLICE_X63Y19 MANUAL_ROUTING SITEPROP SLICE_X63Y19 NAME SLICE_X63Y19 SITEPROP SLICE_X63Y19 NUM_ARCS 138 SITEPROP SLICE_X63Y19 NUM_BELS 32 SITEPROP SLICE_X63Y19 NUM_INPUTS 32 SITEPROP SLICE_X63Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y19 NUM_PINS 45 SITEPROP SLICE_X63Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y19 PROHIBIT 0 SITEPROP SLICE_X63Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y19 RPM_X 167 SITEPROP SLICE_X63Y19 RPM_Y 38 SITEPROP SLICE_X63Y19 SITE_PIPS SITEPROP SLICE_X63Y19 SITE_TYPE SLICEL SITEPROP SLICE_X63Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y20 CLASS site SITEPROP SLICE_X63Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y20 IS_BONDED 0 SITEPROP SLICE_X63Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y20 IS_PAD 0 SITEPROP SLICE_X63Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y20 IS_RESERVED 0 SITEPROP SLICE_X63Y20 IS_TEST 0 SITEPROP SLICE_X63Y20 IS_USED 0 SITEPROP SLICE_X63Y20 MANUAL_ROUTING SITEPROP SLICE_X63Y20 NAME SLICE_X63Y20 SITEPROP SLICE_X63Y20 NUM_ARCS 138 SITEPROP SLICE_X63Y20 NUM_BELS 32 SITEPROP SLICE_X63Y20 NUM_INPUTS 32 SITEPROP SLICE_X63Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y20 NUM_PINS 45 SITEPROP SLICE_X63Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y20 PROHIBIT 0 SITEPROP SLICE_X63Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y20 RPM_X 167 SITEPROP SLICE_X63Y20 RPM_Y 40 SITEPROP SLICE_X63Y20 SITE_PIPS SITEPROP SLICE_X63Y20 SITE_TYPE SLICEL SITEPROP SLICE_X63Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y21 CLASS site SITEPROP SLICE_X63Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y21 IS_BONDED 0 SITEPROP SLICE_X63Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y21 IS_PAD 0 SITEPROP SLICE_X63Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y21 IS_RESERVED 0 SITEPROP SLICE_X63Y21 IS_TEST 0 SITEPROP SLICE_X63Y21 IS_USED 0 SITEPROP SLICE_X63Y21 MANUAL_ROUTING SITEPROP SLICE_X63Y21 NAME SLICE_X63Y21 SITEPROP SLICE_X63Y21 NUM_ARCS 138 SITEPROP SLICE_X63Y21 NUM_BELS 32 SITEPROP SLICE_X63Y21 NUM_INPUTS 32 SITEPROP SLICE_X63Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y21 NUM_PINS 45 SITEPROP SLICE_X63Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y21 PROHIBIT 0 SITEPROP SLICE_X63Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y21 RPM_X 167 SITEPROP SLICE_X63Y21 RPM_Y 42 SITEPROP SLICE_X63Y21 SITE_PIPS SITEPROP SLICE_X63Y21 SITE_TYPE SLICEL SITEPROP SLICE_X63Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y22 CLASS site SITEPROP SLICE_X63Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y22 IS_BONDED 0 SITEPROP SLICE_X63Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y22 IS_PAD 0 SITEPROP SLICE_X63Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y22 IS_RESERVED 0 SITEPROP SLICE_X63Y22 IS_TEST 0 SITEPROP SLICE_X63Y22 IS_USED 0 SITEPROP SLICE_X63Y22 MANUAL_ROUTING SITEPROP SLICE_X63Y22 NAME SLICE_X63Y22 SITEPROP SLICE_X63Y22 NUM_ARCS 138 SITEPROP SLICE_X63Y22 NUM_BELS 32 SITEPROP SLICE_X63Y22 NUM_INPUTS 32 SITEPROP SLICE_X63Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y22 NUM_PINS 45 SITEPROP SLICE_X63Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y22 PROHIBIT 0 SITEPROP SLICE_X63Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y22 RPM_X 167 SITEPROP SLICE_X63Y22 RPM_Y 44 SITEPROP SLICE_X63Y22 SITE_PIPS SITEPROP SLICE_X63Y22 SITE_TYPE SLICEL SITEPROP SLICE_X63Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y23 CLASS site SITEPROP SLICE_X63Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y23 IS_BONDED 0 SITEPROP SLICE_X63Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y23 IS_PAD 0 SITEPROP SLICE_X63Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y23 IS_RESERVED 0 SITEPROP SLICE_X63Y23 IS_TEST 0 SITEPROP SLICE_X63Y23 IS_USED 0 SITEPROP SLICE_X63Y23 MANUAL_ROUTING SITEPROP SLICE_X63Y23 NAME SLICE_X63Y23 SITEPROP SLICE_X63Y23 NUM_ARCS 138 SITEPROP SLICE_X63Y23 NUM_BELS 32 SITEPROP SLICE_X63Y23 NUM_INPUTS 32 SITEPROP SLICE_X63Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y23 NUM_PINS 45 SITEPROP SLICE_X63Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y23 PROHIBIT 0 SITEPROP SLICE_X63Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y23 RPM_X 167 SITEPROP SLICE_X63Y23 RPM_Y 46 SITEPROP SLICE_X63Y23 SITE_PIPS SITEPROP SLICE_X63Y23 SITE_TYPE SLICEL SITEPROP SLICE_X63Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y24 CLASS site SITEPROP SLICE_X63Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y24 IS_BONDED 0 SITEPROP SLICE_X63Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y24 IS_PAD 0 SITEPROP SLICE_X63Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y24 IS_RESERVED 0 SITEPROP SLICE_X63Y24 IS_TEST 0 SITEPROP SLICE_X63Y24 IS_USED 0 SITEPROP SLICE_X63Y24 MANUAL_ROUTING SITEPROP SLICE_X63Y24 NAME SLICE_X63Y24 SITEPROP SLICE_X63Y24 NUM_ARCS 138 SITEPROP SLICE_X63Y24 NUM_BELS 32 SITEPROP SLICE_X63Y24 NUM_INPUTS 32 SITEPROP SLICE_X63Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y24 NUM_PINS 45 SITEPROP SLICE_X63Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y24 PROHIBIT 0 SITEPROP SLICE_X63Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y24 RPM_X 167 SITEPROP SLICE_X63Y24 RPM_Y 48 SITEPROP SLICE_X63Y24 SITE_PIPS SITEPROP SLICE_X63Y24 SITE_TYPE SLICEL SITEPROP SLICE_X63Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y25 CLASS site SITEPROP SLICE_X63Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y25 IS_BONDED 0 SITEPROP SLICE_X63Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y25 IS_PAD 0 SITEPROP SLICE_X63Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y25 IS_RESERVED 0 SITEPROP SLICE_X63Y25 IS_TEST 0 SITEPROP SLICE_X63Y25 IS_USED 0 SITEPROP SLICE_X63Y25 MANUAL_ROUTING SITEPROP SLICE_X63Y25 NAME SLICE_X63Y25 SITEPROP SLICE_X63Y25 NUM_ARCS 138 SITEPROP SLICE_X63Y25 NUM_BELS 32 SITEPROP SLICE_X63Y25 NUM_INPUTS 32 SITEPROP SLICE_X63Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y25 NUM_PINS 45 SITEPROP SLICE_X63Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y25 PROHIBIT 0 SITEPROP SLICE_X63Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y25 RPM_X 167 SITEPROP SLICE_X63Y25 RPM_Y 50 SITEPROP SLICE_X63Y25 SITE_PIPS SITEPROP SLICE_X63Y25 SITE_TYPE SLICEL SITEPROP SLICE_X63Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y26 CLASS site SITEPROP SLICE_X63Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y26 IS_BONDED 0 SITEPROP SLICE_X63Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y26 IS_PAD 0 SITEPROP SLICE_X63Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y26 IS_RESERVED 0 SITEPROP SLICE_X63Y26 IS_TEST 0 SITEPROP SLICE_X63Y26 IS_USED 0 SITEPROP SLICE_X63Y26 MANUAL_ROUTING SITEPROP SLICE_X63Y26 NAME SLICE_X63Y26 SITEPROP SLICE_X63Y26 NUM_ARCS 138 SITEPROP SLICE_X63Y26 NUM_BELS 32 SITEPROP SLICE_X63Y26 NUM_INPUTS 32 SITEPROP SLICE_X63Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y26 NUM_PINS 45 SITEPROP SLICE_X63Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y26 PROHIBIT 0 SITEPROP SLICE_X63Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y26 RPM_X 167 SITEPROP SLICE_X63Y26 RPM_Y 52 SITEPROP SLICE_X63Y26 SITE_PIPS SITEPROP SLICE_X63Y26 SITE_TYPE SLICEL SITEPROP SLICE_X63Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y27 CLASS site SITEPROP SLICE_X63Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y27 IS_BONDED 0 SITEPROP SLICE_X63Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y27 IS_PAD 0 SITEPROP SLICE_X63Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y27 IS_RESERVED 0 SITEPROP SLICE_X63Y27 IS_TEST 0 SITEPROP SLICE_X63Y27 IS_USED 0 SITEPROP SLICE_X63Y27 MANUAL_ROUTING SITEPROP SLICE_X63Y27 NAME SLICE_X63Y27 SITEPROP SLICE_X63Y27 NUM_ARCS 138 SITEPROP SLICE_X63Y27 NUM_BELS 32 SITEPROP SLICE_X63Y27 NUM_INPUTS 32 SITEPROP SLICE_X63Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y27 NUM_PINS 45 SITEPROP SLICE_X63Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y27 PROHIBIT 0 SITEPROP SLICE_X63Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y27 RPM_X 167 SITEPROP SLICE_X63Y27 RPM_Y 54 SITEPROP SLICE_X63Y27 SITE_PIPS SITEPROP SLICE_X63Y27 SITE_TYPE SLICEL SITEPROP SLICE_X63Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y28 CLASS site SITEPROP SLICE_X63Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y28 IS_BONDED 0 SITEPROP SLICE_X63Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y28 IS_PAD 0 SITEPROP SLICE_X63Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y28 IS_RESERVED 0 SITEPROP SLICE_X63Y28 IS_TEST 0 SITEPROP SLICE_X63Y28 IS_USED 0 SITEPROP SLICE_X63Y28 MANUAL_ROUTING SITEPROP SLICE_X63Y28 NAME SLICE_X63Y28 SITEPROP SLICE_X63Y28 NUM_ARCS 138 SITEPROP SLICE_X63Y28 NUM_BELS 32 SITEPROP SLICE_X63Y28 NUM_INPUTS 32 SITEPROP SLICE_X63Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y28 NUM_PINS 45 SITEPROP SLICE_X63Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y28 PROHIBIT 0 SITEPROP SLICE_X63Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y28 RPM_X 167 SITEPROP SLICE_X63Y28 RPM_Y 56 SITEPROP SLICE_X63Y28 SITE_PIPS SITEPROP SLICE_X63Y28 SITE_TYPE SLICEL SITEPROP SLICE_X63Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y29 CLASS site SITEPROP SLICE_X63Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y29 IS_BONDED 0 SITEPROP SLICE_X63Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y29 IS_PAD 0 SITEPROP SLICE_X63Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y29 IS_RESERVED 0 SITEPROP SLICE_X63Y29 IS_TEST 0 SITEPROP SLICE_X63Y29 IS_USED 0 SITEPROP SLICE_X63Y29 MANUAL_ROUTING SITEPROP SLICE_X63Y29 NAME SLICE_X63Y29 SITEPROP SLICE_X63Y29 NUM_ARCS 138 SITEPROP SLICE_X63Y29 NUM_BELS 32 SITEPROP SLICE_X63Y29 NUM_INPUTS 32 SITEPROP SLICE_X63Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y29 NUM_PINS 45 SITEPROP SLICE_X63Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y29 PROHIBIT 0 SITEPROP SLICE_X63Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y29 RPM_X 167 SITEPROP SLICE_X63Y29 RPM_Y 58 SITEPROP SLICE_X63Y29 SITE_PIPS SITEPROP SLICE_X63Y29 SITE_TYPE SLICEL SITEPROP SLICE_X63Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y30 CLASS site SITEPROP SLICE_X63Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y30 IS_BONDED 0 SITEPROP SLICE_X63Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y30 IS_PAD 0 SITEPROP SLICE_X63Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y30 IS_RESERVED 0 SITEPROP SLICE_X63Y30 IS_TEST 0 SITEPROP SLICE_X63Y30 IS_USED 0 SITEPROP SLICE_X63Y30 MANUAL_ROUTING SITEPROP SLICE_X63Y30 NAME SLICE_X63Y30 SITEPROP SLICE_X63Y30 NUM_ARCS 138 SITEPROP SLICE_X63Y30 NUM_BELS 32 SITEPROP SLICE_X63Y30 NUM_INPUTS 32 SITEPROP SLICE_X63Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y30 NUM_PINS 45 SITEPROP SLICE_X63Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y30 PROHIBIT 0 SITEPROP SLICE_X63Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y30 RPM_X 167 SITEPROP SLICE_X63Y30 RPM_Y 60 SITEPROP SLICE_X63Y30 SITE_PIPS SITEPROP SLICE_X63Y30 SITE_TYPE SLICEL SITEPROP SLICE_X63Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y31 CLASS site SITEPROP SLICE_X63Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y31 IS_BONDED 0 SITEPROP SLICE_X63Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y31 IS_PAD 0 SITEPROP SLICE_X63Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y31 IS_RESERVED 0 SITEPROP SLICE_X63Y31 IS_TEST 0 SITEPROP SLICE_X63Y31 IS_USED 0 SITEPROP SLICE_X63Y31 MANUAL_ROUTING SITEPROP SLICE_X63Y31 NAME SLICE_X63Y31 SITEPROP SLICE_X63Y31 NUM_ARCS 138 SITEPROP SLICE_X63Y31 NUM_BELS 32 SITEPROP SLICE_X63Y31 NUM_INPUTS 32 SITEPROP SLICE_X63Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y31 NUM_PINS 45 SITEPROP SLICE_X63Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y31 PROHIBIT 0 SITEPROP SLICE_X63Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y31 RPM_X 167 SITEPROP SLICE_X63Y31 RPM_Y 62 SITEPROP SLICE_X63Y31 SITE_PIPS SITEPROP SLICE_X63Y31 SITE_TYPE SLICEL SITEPROP SLICE_X63Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y32 CLASS site SITEPROP SLICE_X63Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y32 IS_BONDED 0 SITEPROP SLICE_X63Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y32 IS_PAD 0 SITEPROP SLICE_X63Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y32 IS_RESERVED 0 SITEPROP SLICE_X63Y32 IS_TEST 0 SITEPROP SLICE_X63Y32 IS_USED 0 SITEPROP SLICE_X63Y32 MANUAL_ROUTING SITEPROP SLICE_X63Y32 NAME SLICE_X63Y32 SITEPROP SLICE_X63Y32 NUM_ARCS 138 SITEPROP SLICE_X63Y32 NUM_BELS 32 SITEPROP SLICE_X63Y32 NUM_INPUTS 32 SITEPROP SLICE_X63Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y32 NUM_PINS 45 SITEPROP SLICE_X63Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y32 PROHIBIT 0 SITEPROP SLICE_X63Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y32 RPM_X 167 SITEPROP SLICE_X63Y32 RPM_Y 64 SITEPROP SLICE_X63Y32 SITE_PIPS SITEPROP SLICE_X63Y32 SITE_TYPE SLICEL SITEPROP SLICE_X63Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y33 CLASS site SITEPROP SLICE_X63Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y33 IS_BONDED 0 SITEPROP SLICE_X63Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y33 IS_PAD 0 SITEPROP SLICE_X63Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y33 IS_RESERVED 0 SITEPROP SLICE_X63Y33 IS_TEST 0 SITEPROP SLICE_X63Y33 IS_USED 0 SITEPROP SLICE_X63Y33 MANUAL_ROUTING SITEPROP SLICE_X63Y33 NAME SLICE_X63Y33 SITEPROP SLICE_X63Y33 NUM_ARCS 138 SITEPROP SLICE_X63Y33 NUM_BELS 32 SITEPROP SLICE_X63Y33 NUM_INPUTS 32 SITEPROP SLICE_X63Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y33 NUM_PINS 45 SITEPROP SLICE_X63Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y33 PROHIBIT 0 SITEPROP SLICE_X63Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y33 RPM_X 167 SITEPROP SLICE_X63Y33 RPM_Y 66 SITEPROP SLICE_X63Y33 SITE_PIPS SITEPROP SLICE_X63Y33 SITE_TYPE SLICEL SITEPROP SLICE_X63Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y34 CLASS site SITEPROP SLICE_X63Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y34 IS_BONDED 0 SITEPROP SLICE_X63Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y34 IS_PAD 0 SITEPROP SLICE_X63Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y34 IS_RESERVED 0 SITEPROP SLICE_X63Y34 IS_TEST 0 SITEPROP SLICE_X63Y34 IS_USED 0 SITEPROP SLICE_X63Y34 MANUAL_ROUTING SITEPROP SLICE_X63Y34 NAME SLICE_X63Y34 SITEPROP SLICE_X63Y34 NUM_ARCS 138 SITEPROP SLICE_X63Y34 NUM_BELS 32 SITEPROP SLICE_X63Y34 NUM_INPUTS 32 SITEPROP SLICE_X63Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y34 NUM_PINS 45 SITEPROP SLICE_X63Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y34 PROHIBIT 0 SITEPROP SLICE_X63Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y34 RPM_X 167 SITEPROP SLICE_X63Y34 RPM_Y 68 SITEPROP SLICE_X63Y34 SITE_PIPS SITEPROP SLICE_X63Y34 SITE_TYPE SLICEL SITEPROP SLICE_X63Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y35 CLASS site SITEPROP SLICE_X63Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y35 IS_BONDED 0 SITEPROP SLICE_X63Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y35 IS_PAD 0 SITEPROP SLICE_X63Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y35 IS_RESERVED 0 SITEPROP SLICE_X63Y35 IS_TEST 0 SITEPROP SLICE_X63Y35 IS_USED 0 SITEPROP SLICE_X63Y35 MANUAL_ROUTING SITEPROP SLICE_X63Y35 NAME SLICE_X63Y35 SITEPROP SLICE_X63Y35 NUM_ARCS 138 SITEPROP SLICE_X63Y35 NUM_BELS 32 SITEPROP SLICE_X63Y35 NUM_INPUTS 32 SITEPROP SLICE_X63Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y35 NUM_PINS 45 SITEPROP SLICE_X63Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y35 PROHIBIT 0 SITEPROP SLICE_X63Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y35 RPM_X 167 SITEPROP SLICE_X63Y35 RPM_Y 70 SITEPROP SLICE_X63Y35 SITE_PIPS SITEPROP SLICE_X63Y35 SITE_TYPE SLICEL SITEPROP SLICE_X63Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y36 CLASS site SITEPROP SLICE_X63Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y36 IS_BONDED 0 SITEPROP SLICE_X63Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y36 IS_PAD 0 SITEPROP SLICE_X63Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y36 IS_RESERVED 0 SITEPROP SLICE_X63Y36 IS_TEST 0 SITEPROP SLICE_X63Y36 IS_USED 0 SITEPROP SLICE_X63Y36 MANUAL_ROUTING SITEPROP SLICE_X63Y36 NAME SLICE_X63Y36 SITEPROP SLICE_X63Y36 NUM_ARCS 138 SITEPROP SLICE_X63Y36 NUM_BELS 32 SITEPROP SLICE_X63Y36 NUM_INPUTS 32 SITEPROP SLICE_X63Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y36 NUM_PINS 45 SITEPROP SLICE_X63Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y36 PROHIBIT 0 SITEPROP SLICE_X63Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y36 RPM_X 167 SITEPROP SLICE_X63Y36 RPM_Y 72 SITEPROP SLICE_X63Y36 SITE_PIPS SITEPROP SLICE_X63Y36 SITE_TYPE SLICEL SITEPROP SLICE_X63Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y37 CLASS site SITEPROP SLICE_X63Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y37 IS_BONDED 0 SITEPROP SLICE_X63Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y37 IS_PAD 0 SITEPROP SLICE_X63Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y37 IS_RESERVED 0 SITEPROP SLICE_X63Y37 IS_TEST 0 SITEPROP SLICE_X63Y37 IS_USED 0 SITEPROP SLICE_X63Y37 MANUAL_ROUTING SITEPROP SLICE_X63Y37 NAME SLICE_X63Y37 SITEPROP SLICE_X63Y37 NUM_ARCS 138 SITEPROP SLICE_X63Y37 NUM_BELS 32 SITEPROP SLICE_X63Y37 NUM_INPUTS 32 SITEPROP SLICE_X63Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y37 NUM_PINS 45 SITEPROP SLICE_X63Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y37 PROHIBIT 0 SITEPROP SLICE_X63Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y37 RPM_X 167 SITEPROP SLICE_X63Y37 RPM_Y 74 SITEPROP SLICE_X63Y37 SITE_PIPS SITEPROP SLICE_X63Y37 SITE_TYPE SLICEL SITEPROP SLICE_X63Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y38 CLASS site SITEPROP SLICE_X63Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y38 IS_BONDED 0 SITEPROP SLICE_X63Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y38 IS_PAD 0 SITEPROP SLICE_X63Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y38 IS_RESERVED 0 SITEPROP SLICE_X63Y38 IS_TEST 0 SITEPROP SLICE_X63Y38 IS_USED 0 SITEPROP SLICE_X63Y38 MANUAL_ROUTING SITEPROP SLICE_X63Y38 NAME SLICE_X63Y38 SITEPROP SLICE_X63Y38 NUM_ARCS 138 SITEPROP SLICE_X63Y38 NUM_BELS 32 SITEPROP SLICE_X63Y38 NUM_INPUTS 32 SITEPROP SLICE_X63Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y38 NUM_PINS 45 SITEPROP SLICE_X63Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y38 PROHIBIT 0 SITEPROP SLICE_X63Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y38 RPM_X 167 SITEPROP SLICE_X63Y38 RPM_Y 76 SITEPROP SLICE_X63Y38 SITE_PIPS SITEPROP SLICE_X63Y38 SITE_TYPE SLICEL SITEPROP SLICE_X63Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y39 CLASS site SITEPROP SLICE_X63Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y39 IS_BONDED 0 SITEPROP SLICE_X63Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y39 IS_PAD 0 SITEPROP SLICE_X63Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y39 IS_RESERVED 0 SITEPROP SLICE_X63Y39 IS_TEST 0 SITEPROP SLICE_X63Y39 IS_USED 0 SITEPROP SLICE_X63Y39 MANUAL_ROUTING SITEPROP SLICE_X63Y39 NAME SLICE_X63Y39 SITEPROP SLICE_X63Y39 NUM_ARCS 138 SITEPROP SLICE_X63Y39 NUM_BELS 32 SITEPROP SLICE_X63Y39 NUM_INPUTS 32 SITEPROP SLICE_X63Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y39 NUM_PINS 45 SITEPROP SLICE_X63Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y39 PROHIBIT 0 SITEPROP SLICE_X63Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y39 RPM_X 167 SITEPROP SLICE_X63Y39 RPM_Y 78 SITEPROP SLICE_X63Y39 SITE_PIPS SITEPROP SLICE_X63Y39 SITE_TYPE SLICEL SITEPROP SLICE_X63Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y40 CLASS site SITEPROP SLICE_X63Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y40 IS_BONDED 0 SITEPROP SLICE_X63Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y40 IS_PAD 0 SITEPROP SLICE_X63Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y40 IS_RESERVED 0 SITEPROP SLICE_X63Y40 IS_TEST 0 SITEPROP SLICE_X63Y40 IS_USED 0 SITEPROP SLICE_X63Y40 MANUAL_ROUTING SITEPROP SLICE_X63Y40 NAME SLICE_X63Y40 SITEPROP SLICE_X63Y40 NUM_ARCS 138 SITEPROP SLICE_X63Y40 NUM_BELS 32 SITEPROP SLICE_X63Y40 NUM_INPUTS 32 SITEPROP SLICE_X63Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y40 NUM_PINS 45 SITEPROP SLICE_X63Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y40 PROHIBIT 0 SITEPROP SLICE_X63Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y40 RPM_X 167 SITEPROP SLICE_X63Y40 RPM_Y 80 SITEPROP SLICE_X63Y40 SITE_PIPS SITEPROP SLICE_X63Y40 SITE_TYPE SLICEL SITEPROP SLICE_X63Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y41 CLASS site SITEPROP SLICE_X63Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y41 IS_BONDED 0 SITEPROP SLICE_X63Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y41 IS_PAD 0 SITEPROP SLICE_X63Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y41 IS_RESERVED 0 SITEPROP SLICE_X63Y41 IS_TEST 0 SITEPROP SLICE_X63Y41 IS_USED 0 SITEPROP SLICE_X63Y41 MANUAL_ROUTING SITEPROP SLICE_X63Y41 NAME SLICE_X63Y41 SITEPROP SLICE_X63Y41 NUM_ARCS 138 SITEPROP SLICE_X63Y41 NUM_BELS 32 SITEPROP SLICE_X63Y41 NUM_INPUTS 32 SITEPROP SLICE_X63Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y41 NUM_PINS 45 SITEPROP SLICE_X63Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y41 PROHIBIT 0 SITEPROP SLICE_X63Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y41 RPM_X 167 SITEPROP SLICE_X63Y41 RPM_Y 82 SITEPROP SLICE_X63Y41 SITE_PIPS SITEPROP SLICE_X63Y41 SITE_TYPE SLICEL SITEPROP SLICE_X63Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y42 CLASS site SITEPROP SLICE_X63Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y42 IS_BONDED 0 SITEPROP SLICE_X63Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y42 IS_PAD 0 SITEPROP SLICE_X63Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y42 IS_RESERVED 0 SITEPROP SLICE_X63Y42 IS_TEST 0 SITEPROP SLICE_X63Y42 IS_USED 0 SITEPROP SLICE_X63Y42 MANUAL_ROUTING SITEPROP SLICE_X63Y42 NAME SLICE_X63Y42 SITEPROP SLICE_X63Y42 NUM_ARCS 138 SITEPROP SLICE_X63Y42 NUM_BELS 32 SITEPROP SLICE_X63Y42 NUM_INPUTS 32 SITEPROP SLICE_X63Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y42 NUM_PINS 45 SITEPROP SLICE_X63Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y42 PROHIBIT 0 SITEPROP SLICE_X63Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y42 RPM_X 167 SITEPROP SLICE_X63Y42 RPM_Y 84 SITEPROP SLICE_X63Y42 SITE_PIPS SITEPROP SLICE_X63Y42 SITE_TYPE SLICEL SITEPROP SLICE_X63Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y43 CLASS site SITEPROP SLICE_X63Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y43 IS_BONDED 0 SITEPROP SLICE_X63Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y43 IS_PAD 0 SITEPROP SLICE_X63Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y43 IS_RESERVED 0 SITEPROP SLICE_X63Y43 IS_TEST 0 SITEPROP SLICE_X63Y43 IS_USED 0 SITEPROP SLICE_X63Y43 MANUAL_ROUTING SITEPROP SLICE_X63Y43 NAME SLICE_X63Y43 SITEPROP SLICE_X63Y43 NUM_ARCS 138 SITEPROP SLICE_X63Y43 NUM_BELS 32 SITEPROP SLICE_X63Y43 NUM_INPUTS 32 SITEPROP SLICE_X63Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y43 NUM_PINS 45 SITEPROP SLICE_X63Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y43 PROHIBIT 0 SITEPROP SLICE_X63Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y43 RPM_X 167 SITEPROP SLICE_X63Y43 RPM_Y 86 SITEPROP SLICE_X63Y43 SITE_PIPS SITEPROP SLICE_X63Y43 SITE_TYPE SLICEL SITEPROP SLICE_X63Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y44 CLASS site SITEPROP SLICE_X63Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y44 IS_BONDED 0 SITEPROP SLICE_X63Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y44 IS_PAD 0 SITEPROP SLICE_X63Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y44 IS_RESERVED 0 SITEPROP SLICE_X63Y44 IS_TEST 0 SITEPROP SLICE_X63Y44 IS_USED 0 SITEPROP SLICE_X63Y44 MANUAL_ROUTING SITEPROP SLICE_X63Y44 NAME SLICE_X63Y44 SITEPROP SLICE_X63Y44 NUM_ARCS 138 SITEPROP SLICE_X63Y44 NUM_BELS 32 SITEPROP SLICE_X63Y44 NUM_INPUTS 32 SITEPROP SLICE_X63Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y44 NUM_PINS 45 SITEPROP SLICE_X63Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y44 PROHIBIT 0 SITEPROP SLICE_X63Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y44 RPM_X 167 SITEPROP SLICE_X63Y44 RPM_Y 88 SITEPROP SLICE_X63Y44 SITE_PIPS SITEPROP SLICE_X63Y44 SITE_TYPE SLICEL SITEPROP SLICE_X63Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y45 CLASS site SITEPROP SLICE_X63Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y45 IS_BONDED 0 SITEPROP SLICE_X63Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y45 IS_PAD 0 SITEPROP SLICE_X63Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y45 IS_RESERVED 0 SITEPROP SLICE_X63Y45 IS_TEST 0 SITEPROP SLICE_X63Y45 IS_USED 0 SITEPROP SLICE_X63Y45 MANUAL_ROUTING SITEPROP SLICE_X63Y45 NAME SLICE_X63Y45 SITEPROP SLICE_X63Y45 NUM_ARCS 138 SITEPROP SLICE_X63Y45 NUM_BELS 32 SITEPROP SLICE_X63Y45 NUM_INPUTS 32 SITEPROP SLICE_X63Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y45 NUM_PINS 45 SITEPROP SLICE_X63Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y45 PROHIBIT 0 SITEPROP SLICE_X63Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y45 RPM_X 167 SITEPROP SLICE_X63Y45 RPM_Y 90 SITEPROP SLICE_X63Y45 SITE_PIPS SITEPROP SLICE_X63Y45 SITE_TYPE SLICEL SITEPROP SLICE_X63Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y46 CLASS site SITEPROP SLICE_X63Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y46 IS_BONDED 0 SITEPROP SLICE_X63Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y46 IS_PAD 0 SITEPROP SLICE_X63Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y46 IS_RESERVED 0 SITEPROP SLICE_X63Y46 IS_TEST 0 SITEPROP SLICE_X63Y46 IS_USED 0 SITEPROP SLICE_X63Y46 MANUAL_ROUTING SITEPROP SLICE_X63Y46 NAME SLICE_X63Y46 SITEPROP SLICE_X63Y46 NUM_ARCS 138 SITEPROP SLICE_X63Y46 NUM_BELS 32 SITEPROP SLICE_X63Y46 NUM_INPUTS 32 SITEPROP SLICE_X63Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y46 NUM_PINS 45 SITEPROP SLICE_X63Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y46 PROHIBIT 0 SITEPROP SLICE_X63Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y46 RPM_X 167 SITEPROP SLICE_X63Y46 RPM_Y 92 SITEPROP SLICE_X63Y46 SITE_PIPS SITEPROP SLICE_X63Y46 SITE_TYPE SLICEL SITEPROP SLICE_X63Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y47 CLASS site SITEPROP SLICE_X63Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y47 IS_BONDED 0 SITEPROP SLICE_X63Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y47 IS_PAD 0 SITEPROP SLICE_X63Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y47 IS_RESERVED 0 SITEPROP SLICE_X63Y47 IS_TEST 0 SITEPROP SLICE_X63Y47 IS_USED 0 SITEPROP SLICE_X63Y47 MANUAL_ROUTING SITEPROP SLICE_X63Y47 NAME SLICE_X63Y47 SITEPROP SLICE_X63Y47 NUM_ARCS 138 SITEPROP SLICE_X63Y47 NUM_BELS 32 SITEPROP SLICE_X63Y47 NUM_INPUTS 32 SITEPROP SLICE_X63Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y47 NUM_PINS 45 SITEPROP SLICE_X63Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y47 PROHIBIT 0 SITEPROP SLICE_X63Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y47 RPM_X 167 SITEPROP SLICE_X63Y47 RPM_Y 94 SITEPROP SLICE_X63Y47 SITE_PIPS SITEPROP SLICE_X63Y47 SITE_TYPE SLICEL SITEPROP SLICE_X63Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y48 CLASS site SITEPROP SLICE_X63Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y48 IS_BONDED 0 SITEPROP SLICE_X63Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y48 IS_PAD 0 SITEPROP SLICE_X63Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y48 IS_RESERVED 0 SITEPROP SLICE_X63Y48 IS_TEST 0 SITEPROP SLICE_X63Y48 IS_USED 0 SITEPROP SLICE_X63Y48 MANUAL_ROUTING SITEPROP SLICE_X63Y48 NAME SLICE_X63Y48 SITEPROP SLICE_X63Y48 NUM_ARCS 138 SITEPROP SLICE_X63Y48 NUM_BELS 32 SITEPROP SLICE_X63Y48 NUM_INPUTS 32 SITEPROP SLICE_X63Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y48 NUM_PINS 45 SITEPROP SLICE_X63Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y48 PROHIBIT 0 SITEPROP SLICE_X63Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y48 RPM_X 167 SITEPROP SLICE_X63Y48 RPM_Y 96 SITEPROP SLICE_X63Y48 SITE_PIPS SITEPROP SLICE_X63Y48 SITE_TYPE SLICEL SITEPROP SLICE_X63Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y49 CLASS site SITEPROP SLICE_X63Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X63Y49 IS_BONDED 0 SITEPROP SLICE_X63Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y49 IS_PAD 0 SITEPROP SLICE_X63Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y49 IS_RESERVED 0 SITEPROP SLICE_X63Y49 IS_TEST 0 SITEPROP SLICE_X63Y49 IS_USED 0 SITEPROP SLICE_X63Y49 MANUAL_ROUTING SITEPROP SLICE_X63Y49 NAME SLICE_X63Y49 SITEPROP SLICE_X63Y49 NUM_ARCS 138 SITEPROP SLICE_X63Y49 NUM_BELS 32 SITEPROP SLICE_X63Y49 NUM_INPUTS 32 SITEPROP SLICE_X63Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y49 NUM_PINS 45 SITEPROP SLICE_X63Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y49 PROHIBIT 0 SITEPROP SLICE_X63Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y49 RPM_X 167 SITEPROP SLICE_X63Y49 RPM_Y 98 SITEPROP SLICE_X63Y49 SITE_PIPS SITEPROP SLICE_X63Y49 SITE_TYPE SLICEL SITEPROP SLICE_X63Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y50 CLASS site SITEPROP SLICE_X63Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y50 IS_BONDED 0 SITEPROP SLICE_X63Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y50 IS_PAD 0 SITEPROP SLICE_X63Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y50 IS_RESERVED 0 SITEPROP SLICE_X63Y50 IS_TEST 0 SITEPROP SLICE_X63Y50 IS_USED 0 SITEPROP SLICE_X63Y50 MANUAL_ROUTING SITEPROP SLICE_X63Y50 NAME SLICE_X63Y50 SITEPROP SLICE_X63Y50 NUM_ARCS 138 SITEPROP SLICE_X63Y50 NUM_BELS 32 SITEPROP SLICE_X63Y50 NUM_INPUTS 32 SITEPROP SLICE_X63Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y50 NUM_PINS 45 SITEPROP SLICE_X63Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y50 PROHIBIT 0 SITEPROP SLICE_X63Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y50 RPM_X 167 SITEPROP SLICE_X63Y50 RPM_Y 100 SITEPROP SLICE_X63Y50 SITE_PIPS SITEPROP SLICE_X63Y50 SITE_TYPE SLICEL SITEPROP SLICE_X63Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y51 CLASS site SITEPROP SLICE_X63Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y51 IS_BONDED 0 SITEPROP SLICE_X63Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y51 IS_PAD 0 SITEPROP SLICE_X63Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y51 IS_RESERVED 0 SITEPROP SLICE_X63Y51 IS_TEST 0 SITEPROP SLICE_X63Y51 IS_USED 0 SITEPROP SLICE_X63Y51 MANUAL_ROUTING SITEPROP SLICE_X63Y51 NAME SLICE_X63Y51 SITEPROP SLICE_X63Y51 NUM_ARCS 138 SITEPROP SLICE_X63Y51 NUM_BELS 32 SITEPROP SLICE_X63Y51 NUM_INPUTS 32 SITEPROP SLICE_X63Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y51 NUM_PINS 45 SITEPROP SLICE_X63Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y51 PROHIBIT 0 SITEPROP SLICE_X63Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y51 RPM_X 167 SITEPROP SLICE_X63Y51 RPM_Y 102 SITEPROP SLICE_X63Y51 SITE_PIPS SITEPROP SLICE_X63Y51 SITE_TYPE SLICEL SITEPROP SLICE_X63Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y52 CLASS site SITEPROP SLICE_X63Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y52 IS_BONDED 0 SITEPROP SLICE_X63Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y52 IS_PAD 0 SITEPROP SLICE_X63Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y52 IS_RESERVED 0 SITEPROP SLICE_X63Y52 IS_TEST 0 SITEPROP SLICE_X63Y52 IS_USED 0 SITEPROP SLICE_X63Y52 MANUAL_ROUTING SITEPROP SLICE_X63Y52 NAME SLICE_X63Y52 SITEPROP SLICE_X63Y52 NUM_ARCS 138 SITEPROP SLICE_X63Y52 NUM_BELS 32 SITEPROP SLICE_X63Y52 NUM_INPUTS 32 SITEPROP SLICE_X63Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y52 NUM_PINS 45 SITEPROP SLICE_X63Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y52 PROHIBIT 0 SITEPROP SLICE_X63Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y52 RPM_X 167 SITEPROP SLICE_X63Y52 RPM_Y 104 SITEPROP SLICE_X63Y52 SITE_PIPS SITEPROP SLICE_X63Y52 SITE_TYPE SLICEL SITEPROP SLICE_X63Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y53 CLASS site SITEPROP SLICE_X63Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y53 IS_BONDED 0 SITEPROP SLICE_X63Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y53 IS_PAD 0 SITEPROP SLICE_X63Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y53 IS_RESERVED 0 SITEPROP SLICE_X63Y53 IS_TEST 0 SITEPROP SLICE_X63Y53 IS_USED 0 SITEPROP SLICE_X63Y53 MANUAL_ROUTING SITEPROP SLICE_X63Y53 NAME SLICE_X63Y53 SITEPROP SLICE_X63Y53 NUM_ARCS 138 SITEPROP SLICE_X63Y53 NUM_BELS 32 SITEPROP SLICE_X63Y53 NUM_INPUTS 32 SITEPROP SLICE_X63Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y53 NUM_PINS 45 SITEPROP SLICE_X63Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y53 PROHIBIT 0 SITEPROP SLICE_X63Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y53 RPM_X 167 SITEPROP SLICE_X63Y53 RPM_Y 106 SITEPROP SLICE_X63Y53 SITE_PIPS SITEPROP SLICE_X63Y53 SITE_TYPE SLICEL SITEPROP SLICE_X63Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y54 CLASS site SITEPROP SLICE_X63Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y54 IS_BONDED 0 SITEPROP SLICE_X63Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y54 IS_PAD 0 SITEPROP SLICE_X63Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y54 IS_RESERVED 0 SITEPROP SLICE_X63Y54 IS_TEST 0 SITEPROP SLICE_X63Y54 IS_USED 0 SITEPROP SLICE_X63Y54 MANUAL_ROUTING SITEPROP SLICE_X63Y54 NAME SLICE_X63Y54 SITEPROP SLICE_X63Y54 NUM_ARCS 138 SITEPROP SLICE_X63Y54 NUM_BELS 32 SITEPROP SLICE_X63Y54 NUM_INPUTS 32 SITEPROP SLICE_X63Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y54 NUM_PINS 45 SITEPROP SLICE_X63Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y54 PROHIBIT 0 SITEPROP SLICE_X63Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y54 RPM_X 167 SITEPROP SLICE_X63Y54 RPM_Y 108 SITEPROP SLICE_X63Y54 SITE_PIPS SITEPROP SLICE_X63Y54 SITE_TYPE SLICEL SITEPROP SLICE_X63Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y55 CLASS site SITEPROP SLICE_X63Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y55 IS_BONDED 0 SITEPROP SLICE_X63Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y55 IS_PAD 0 SITEPROP SLICE_X63Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y55 IS_RESERVED 0 SITEPROP SLICE_X63Y55 IS_TEST 0 SITEPROP SLICE_X63Y55 IS_USED 0 SITEPROP SLICE_X63Y55 MANUAL_ROUTING SITEPROP SLICE_X63Y55 NAME SLICE_X63Y55 SITEPROP SLICE_X63Y55 NUM_ARCS 138 SITEPROP SLICE_X63Y55 NUM_BELS 32 SITEPROP SLICE_X63Y55 NUM_INPUTS 32 SITEPROP SLICE_X63Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y55 NUM_PINS 45 SITEPROP SLICE_X63Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y55 PROHIBIT 0 SITEPROP SLICE_X63Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y55 RPM_X 167 SITEPROP SLICE_X63Y55 RPM_Y 110 SITEPROP SLICE_X63Y55 SITE_PIPS SITEPROP SLICE_X63Y55 SITE_TYPE SLICEL SITEPROP SLICE_X63Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y56 CLASS site SITEPROP SLICE_X63Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y56 IS_BONDED 0 SITEPROP SLICE_X63Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y56 IS_PAD 0 SITEPROP SLICE_X63Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y56 IS_RESERVED 0 SITEPROP SLICE_X63Y56 IS_TEST 0 SITEPROP SLICE_X63Y56 IS_USED 0 SITEPROP SLICE_X63Y56 MANUAL_ROUTING SITEPROP SLICE_X63Y56 NAME SLICE_X63Y56 SITEPROP SLICE_X63Y56 NUM_ARCS 138 SITEPROP SLICE_X63Y56 NUM_BELS 32 SITEPROP SLICE_X63Y56 NUM_INPUTS 32 SITEPROP SLICE_X63Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y56 NUM_PINS 45 SITEPROP SLICE_X63Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y56 PROHIBIT 0 SITEPROP SLICE_X63Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y56 RPM_X 167 SITEPROP SLICE_X63Y56 RPM_Y 112 SITEPROP SLICE_X63Y56 SITE_PIPS SITEPROP SLICE_X63Y56 SITE_TYPE SLICEL SITEPROP SLICE_X63Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y57 CLASS site SITEPROP SLICE_X63Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y57 IS_BONDED 0 SITEPROP SLICE_X63Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y57 IS_PAD 0 SITEPROP SLICE_X63Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y57 IS_RESERVED 0 SITEPROP SLICE_X63Y57 IS_TEST 0 SITEPROP SLICE_X63Y57 IS_USED 0 SITEPROP SLICE_X63Y57 MANUAL_ROUTING SITEPROP SLICE_X63Y57 NAME SLICE_X63Y57 SITEPROP SLICE_X63Y57 NUM_ARCS 138 SITEPROP SLICE_X63Y57 NUM_BELS 32 SITEPROP SLICE_X63Y57 NUM_INPUTS 32 SITEPROP SLICE_X63Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y57 NUM_PINS 45 SITEPROP SLICE_X63Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y57 PROHIBIT 0 SITEPROP SLICE_X63Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y57 RPM_X 167 SITEPROP SLICE_X63Y57 RPM_Y 114 SITEPROP SLICE_X63Y57 SITE_PIPS SITEPROP SLICE_X63Y57 SITE_TYPE SLICEL SITEPROP SLICE_X63Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y58 CLASS site SITEPROP SLICE_X63Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y58 IS_BONDED 0 SITEPROP SLICE_X63Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y58 IS_PAD 0 SITEPROP SLICE_X63Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y58 IS_RESERVED 0 SITEPROP SLICE_X63Y58 IS_TEST 0 SITEPROP SLICE_X63Y58 IS_USED 0 SITEPROP SLICE_X63Y58 MANUAL_ROUTING SITEPROP SLICE_X63Y58 NAME SLICE_X63Y58 SITEPROP SLICE_X63Y58 NUM_ARCS 138 SITEPROP SLICE_X63Y58 NUM_BELS 32 SITEPROP SLICE_X63Y58 NUM_INPUTS 32 SITEPROP SLICE_X63Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y58 NUM_PINS 45 SITEPROP SLICE_X63Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y58 PROHIBIT 0 SITEPROP SLICE_X63Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y58 RPM_X 167 SITEPROP SLICE_X63Y58 RPM_Y 116 SITEPROP SLICE_X63Y58 SITE_PIPS SITEPROP SLICE_X63Y58 SITE_TYPE SLICEL SITEPROP SLICE_X63Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y59 CLASS site SITEPROP SLICE_X63Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y59 IS_BONDED 0 SITEPROP SLICE_X63Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y59 IS_PAD 0 SITEPROP SLICE_X63Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y59 IS_RESERVED 0 SITEPROP SLICE_X63Y59 IS_TEST 0 SITEPROP SLICE_X63Y59 IS_USED 0 SITEPROP SLICE_X63Y59 MANUAL_ROUTING SITEPROP SLICE_X63Y59 NAME SLICE_X63Y59 SITEPROP SLICE_X63Y59 NUM_ARCS 138 SITEPROP SLICE_X63Y59 NUM_BELS 32 SITEPROP SLICE_X63Y59 NUM_INPUTS 32 SITEPROP SLICE_X63Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y59 NUM_PINS 45 SITEPROP SLICE_X63Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y59 PROHIBIT 0 SITEPROP SLICE_X63Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y59 RPM_X 167 SITEPROP SLICE_X63Y59 RPM_Y 118 SITEPROP SLICE_X63Y59 SITE_PIPS SITEPROP SLICE_X63Y59 SITE_TYPE SLICEL SITEPROP SLICE_X63Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y60 CLASS site SITEPROP SLICE_X63Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y60 IS_BONDED 0 SITEPROP SLICE_X63Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y60 IS_PAD 0 SITEPROP SLICE_X63Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y60 IS_RESERVED 0 SITEPROP SLICE_X63Y60 IS_TEST 0 SITEPROP SLICE_X63Y60 IS_USED 0 SITEPROP SLICE_X63Y60 MANUAL_ROUTING SITEPROP SLICE_X63Y60 NAME SLICE_X63Y60 SITEPROP SLICE_X63Y60 NUM_ARCS 138 SITEPROP SLICE_X63Y60 NUM_BELS 32 SITEPROP SLICE_X63Y60 NUM_INPUTS 32 SITEPROP SLICE_X63Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y60 NUM_PINS 45 SITEPROP SLICE_X63Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y60 PROHIBIT 0 SITEPROP SLICE_X63Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y60 RPM_X 167 SITEPROP SLICE_X63Y60 RPM_Y 120 SITEPROP SLICE_X63Y60 SITE_PIPS SITEPROP SLICE_X63Y60 SITE_TYPE SLICEL SITEPROP SLICE_X63Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y61 CLASS site SITEPROP SLICE_X63Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y61 IS_BONDED 0 SITEPROP SLICE_X63Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y61 IS_PAD 0 SITEPROP SLICE_X63Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y61 IS_RESERVED 0 SITEPROP SLICE_X63Y61 IS_TEST 0 SITEPROP SLICE_X63Y61 IS_USED 0 SITEPROP SLICE_X63Y61 MANUAL_ROUTING SITEPROP SLICE_X63Y61 NAME SLICE_X63Y61 SITEPROP SLICE_X63Y61 NUM_ARCS 138 SITEPROP SLICE_X63Y61 NUM_BELS 32 SITEPROP SLICE_X63Y61 NUM_INPUTS 32 SITEPROP SLICE_X63Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y61 NUM_PINS 45 SITEPROP SLICE_X63Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y61 PROHIBIT 0 SITEPROP SLICE_X63Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y61 RPM_X 167 SITEPROP SLICE_X63Y61 RPM_Y 122 SITEPROP SLICE_X63Y61 SITE_PIPS SITEPROP SLICE_X63Y61 SITE_TYPE SLICEL SITEPROP SLICE_X63Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y62 CLASS site SITEPROP SLICE_X63Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y62 IS_BONDED 0 SITEPROP SLICE_X63Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y62 IS_PAD 0 SITEPROP SLICE_X63Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y62 IS_RESERVED 0 SITEPROP SLICE_X63Y62 IS_TEST 0 SITEPROP SLICE_X63Y62 IS_USED 0 SITEPROP SLICE_X63Y62 MANUAL_ROUTING SITEPROP SLICE_X63Y62 NAME SLICE_X63Y62 SITEPROP SLICE_X63Y62 NUM_ARCS 138 SITEPROP SLICE_X63Y62 NUM_BELS 32 SITEPROP SLICE_X63Y62 NUM_INPUTS 32 SITEPROP SLICE_X63Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y62 NUM_PINS 45 SITEPROP SLICE_X63Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y62 PROHIBIT 0 SITEPROP SLICE_X63Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y62 RPM_X 167 SITEPROP SLICE_X63Y62 RPM_Y 124 SITEPROP SLICE_X63Y62 SITE_PIPS SITEPROP SLICE_X63Y62 SITE_TYPE SLICEL SITEPROP SLICE_X63Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y63 CLASS site SITEPROP SLICE_X63Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y63 IS_BONDED 0 SITEPROP SLICE_X63Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y63 IS_PAD 0 SITEPROP SLICE_X63Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y63 IS_RESERVED 0 SITEPROP SLICE_X63Y63 IS_TEST 0 SITEPROP SLICE_X63Y63 IS_USED 0 SITEPROP SLICE_X63Y63 MANUAL_ROUTING SITEPROP SLICE_X63Y63 NAME SLICE_X63Y63 SITEPROP SLICE_X63Y63 NUM_ARCS 138 SITEPROP SLICE_X63Y63 NUM_BELS 32 SITEPROP SLICE_X63Y63 NUM_INPUTS 32 SITEPROP SLICE_X63Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y63 NUM_PINS 45 SITEPROP SLICE_X63Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y63 PROHIBIT 0 SITEPROP SLICE_X63Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y63 RPM_X 167 SITEPROP SLICE_X63Y63 RPM_Y 126 SITEPROP SLICE_X63Y63 SITE_PIPS SITEPROP SLICE_X63Y63 SITE_TYPE SLICEL SITEPROP SLICE_X63Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y64 CLASS site SITEPROP SLICE_X63Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y64 IS_BONDED 0 SITEPROP SLICE_X63Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y64 IS_PAD 0 SITEPROP SLICE_X63Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y64 IS_RESERVED 0 SITEPROP SLICE_X63Y64 IS_TEST 0 SITEPROP SLICE_X63Y64 IS_USED 0 SITEPROP SLICE_X63Y64 MANUAL_ROUTING SITEPROP SLICE_X63Y64 NAME SLICE_X63Y64 SITEPROP SLICE_X63Y64 NUM_ARCS 138 SITEPROP SLICE_X63Y64 NUM_BELS 32 SITEPROP SLICE_X63Y64 NUM_INPUTS 32 SITEPROP SLICE_X63Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y64 NUM_PINS 45 SITEPROP SLICE_X63Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y64 PROHIBIT 0 SITEPROP SLICE_X63Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y64 RPM_X 167 SITEPROP SLICE_X63Y64 RPM_Y 128 SITEPROP SLICE_X63Y64 SITE_PIPS SITEPROP SLICE_X63Y64 SITE_TYPE SLICEL SITEPROP SLICE_X63Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y65 CLASS site SITEPROP SLICE_X63Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y65 IS_BONDED 0 SITEPROP SLICE_X63Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y65 IS_PAD 0 SITEPROP SLICE_X63Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y65 IS_RESERVED 0 SITEPROP SLICE_X63Y65 IS_TEST 0 SITEPROP SLICE_X63Y65 IS_USED 0 SITEPROP SLICE_X63Y65 MANUAL_ROUTING SITEPROP SLICE_X63Y65 NAME SLICE_X63Y65 SITEPROP SLICE_X63Y65 NUM_ARCS 138 SITEPROP SLICE_X63Y65 NUM_BELS 32 SITEPROP SLICE_X63Y65 NUM_INPUTS 32 SITEPROP SLICE_X63Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y65 NUM_PINS 45 SITEPROP SLICE_X63Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y65 PROHIBIT 0 SITEPROP SLICE_X63Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y65 RPM_X 167 SITEPROP SLICE_X63Y65 RPM_Y 130 SITEPROP SLICE_X63Y65 SITE_PIPS SITEPROP SLICE_X63Y65 SITE_TYPE SLICEL SITEPROP SLICE_X63Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y66 CLASS site SITEPROP SLICE_X63Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y66 IS_BONDED 0 SITEPROP SLICE_X63Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y66 IS_PAD 0 SITEPROP SLICE_X63Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y66 IS_RESERVED 0 SITEPROP SLICE_X63Y66 IS_TEST 0 SITEPROP SLICE_X63Y66 IS_USED 0 SITEPROP SLICE_X63Y66 MANUAL_ROUTING SITEPROP SLICE_X63Y66 NAME SLICE_X63Y66 SITEPROP SLICE_X63Y66 NUM_ARCS 138 SITEPROP SLICE_X63Y66 NUM_BELS 32 SITEPROP SLICE_X63Y66 NUM_INPUTS 32 SITEPROP SLICE_X63Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y66 NUM_PINS 45 SITEPROP SLICE_X63Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y66 PROHIBIT 0 SITEPROP SLICE_X63Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y66 RPM_X 167 SITEPROP SLICE_X63Y66 RPM_Y 132 SITEPROP SLICE_X63Y66 SITE_PIPS SITEPROP SLICE_X63Y66 SITE_TYPE SLICEL SITEPROP SLICE_X63Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y67 CLASS site SITEPROP SLICE_X63Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y67 IS_BONDED 0 SITEPROP SLICE_X63Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y67 IS_PAD 0 SITEPROP SLICE_X63Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y67 IS_RESERVED 0 SITEPROP SLICE_X63Y67 IS_TEST 0 SITEPROP SLICE_X63Y67 IS_USED 0 SITEPROP SLICE_X63Y67 MANUAL_ROUTING SITEPROP SLICE_X63Y67 NAME SLICE_X63Y67 SITEPROP SLICE_X63Y67 NUM_ARCS 138 SITEPROP SLICE_X63Y67 NUM_BELS 32 SITEPROP SLICE_X63Y67 NUM_INPUTS 32 SITEPROP SLICE_X63Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y67 NUM_PINS 45 SITEPROP SLICE_X63Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y67 PROHIBIT 0 SITEPROP SLICE_X63Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y67 RPM_X 167 SITEPROP SLICE_X63Y67 RPM_Y 134 SITEPROP SLICE_X63Y67 SITE_PIPS SITEPROP SLICE_X63Y67 SITE_TYPE SLICEL SITEPROP SLICE_X63Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y68 CLASS site SITEPROP SLICE_X63Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y68 IS_BONDED 0 SITEPROP SLICE_X63Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y68 IS_PAD 0 SITEPROP SLICE_X63Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y68 IS_RESERVED 0 SITEPROP SLICE_X63Y68 IS_TEST 0 SITEPROP SLICE_X63Y68 IS_USED 0 SITEPROP SLICE_X63Y68 MANUAL_ROUTING SITEPROP SLICE_X63Y68 NAME SLICE_X63Y68 SITEPROP SLICE_X63Y68 NUM_ARCS 138 SITEPROP SLICE_X63Y68 NUM_BELS 32 SITEPROP SLICE_X63Y68 NUM_INPUTS 32 SITEPROP SLICE_X63Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y68 NUM_PINS 45 SITEPROP SLICE_X63Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y68 PROHIBIT 0 SITEPROP SLICE_X63Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y68 RPM_X 167 SITEPROP SLICE_X63Y68 RPM_Y 136 SITEPROP SLICE_X63Y68 SITE_PIPS SITEPROP SLICE_X63Y68 SITE_TYPE SLICEL SITEPROP SLICE_X63Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y69 CLASS site SITEPROP SLICE_X63Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y69 IS_BONDED 0 SITEPROP SLICE_X63Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y69 IS_PAD 0 SITEPROP SLICE_X63Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y69 IS_RESERVED 0 SITEPROP SLICE_X63Y69 IS_TEST 0 SITEPROP SLICE_X63Y69 IS_USED 0 SITEPROP SLICE_X63Y69 MANUAL_ROUTING SITEPROP SLICE_X63Y69 NAME SLICE_X63Y69 SITEPROP SLICE_X63Y69 NUM_ARCS 138 SITEPROP SLICE_X63Y69 NUM_BELS 32 SITEPROP SLICE_X63Y69 NUM_INPUTS 32 SITEPROP SLICE_X63Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y69 NUM_PINS 45 SITEPROP SLICE_X63Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y69 PROHIBIT 0 SITEPROP SLICE_X63Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y69 RPM_X 167 SITEPROP SLICE_X63Y69 RPM_Y 138 SITEPROP SLICE_X63Y69 SITE_PIPS SITEPROP SLICE_X63Y69 SITE_TYPE SLICEL SITEPROP SLICE_X63Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y70 CLASS site SITEPROP SLICE_X63Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y70 IS_BONDED 0 SITEPROP SLICE_X63Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y70 IS_PAD 0 SITEPROP SLICE_X63Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y70 IS_RESERVED 0 SITEPROP SLICE_X63Y70 IS_TEST 0 SITEPROP SLICE_X63Y70 IS_USED 0 SITEPROP SLICE_X63Y70 MANUAL_ROUTING SITEPROP SLICE_X63Y70 NAME SLICE_X63Y70 SITEPROP SLICE_X63Y70 NUM_ARCS 138 SITEPROP SLICE_X63Y70 NUM_BELS 32 SITEPROP SLICE_X63Y70 NUM_INPUTS 32 SITEPROP SLICE_X63Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y70 NUM_PINS 45 SITEPROP SLICE_X63Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y70 PROHIBIT 0 SITEPROP SLICE_X63Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y70 RPM_X 167 SITEPROP SLICE_X63Y70 RPM_Y 140 SITEPROP SLICE_X63Y70 SITE_PIPS SITEPROP SLICE_X63Y70 SITE_TYPE SLICEL SITEPROP SLICE_X63Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y71 CLASS site SITEPROP SLICE_X63Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y71 IS_BONDED 0 SITEPROP SLICE_X63Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y71 IS_PAD 0 SITEPROP SLICE_X63Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y71 IS_RESERVED 0 SITEPROP SLICE_X63Y71 IS_TEST 0 SITEPROP SLICE_X63Y71 IS_USED 0 SITEPROP SLICE_X63Y71 MANUAL_ROUTING SITEPROP SLICE_X63Y71 NAME SLICE_X63Y71 SITEPROP SLICE_X63Y71 NUM_ARCS 138 SITEPROP SLICE_X63Y71 NUM_BELS 32 SITEPROP SLICE_X63Y71 NUM_INPUTS 32 SITEPROP SLICE_X63Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y71 NUM_PINS 45 SITEPROP SLICE_X63Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y71 PROHIBIT 0 SITEPROP SLICE_X63Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y71 RPM_X 167 SITEPROP SLICE_X63Y71 RPM_Y 142 SITEPROP SLICE_X63Y71 SITE_PIPS SITEPROP SLICE_X63Y71 SITE_TYPE SLICEL SITEPROP SLICE_X63Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y72 CLASS site SITEPROP SLICE_X63Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y72 IS_BONDED 0 SITEPROP SLICE_X63Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y72 IS_PAD 0 SITEPROP SLICE_X63Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y72 IS_RESERVED 0 SITEPROP SLICE_X63Y72 IS_TEST 0 SITEPROP SLICE_X63Y72 IS_USED 0 SITEPROP SLICE_X63Y72 MANUAL_ROUTING SITEPROP SLICE_X63Y72 NAME SLICE_X63Y72 SITEPROP SLICE_X63Y72 NUM_ARCS 138 SITEPROP SLICE_X63Y72 NUM_BELS 32 SITEPROP SLICE_X63Y72 NUM_INPUTS 32 SITEPROP SLICE_X63Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y72 NUM_PINS 45 SITEPROP SLICE_X63Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y72 PROHIBIT 0 SITEPROP SLICE_X63Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y72 RPM_X 167 SITEPROP SLICE_X63Y72 RPM_Y 144 SITEPROP SLICE_X63Y72 SITE_PIPS SITEPROP SLICE_X63Y72 SITE_TYPE SLICEL SITEPROP SLICE_X63Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y73 CLASS site SITEPROP SLICE_X63Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y73 IS_BONDED 0 SITEPROP SLICE_X63Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y73 IS_PAD 0 SITEPROP SLICE_X63Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y73 IS_RESERVED 0 SITEPROP SLICE_X63Y73 IS_TEST 0 SITEPROP SLICE_X63Y73 IS_USED 0 SITEPROP SLICE_X63Y73 MANUAL_ROUTING SITEPROP SLICE_X63Y73 NAME SLICE_X63Y73 SITEPROP SLICE_X63Y73 NUM_ARCS 138 SITEPROP SLICE_X63Y73 NUM_BELS 32 SITEPROP SLICE_X63Y73 NUM_INPUTS 32 SITEPROP SLICE_X63Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y73 NUM_PINS 45 SITEPROP SLICE_X63Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y73 PROHIBIT 0 SITEPROP SLICE_X63Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y73 RPM_X 167 SITEPROP SLICE_X63Y73 RPM_Y 146 SITEPROP SLICE_X63Y73 SITE_PIPS SITEPROP SLICE_X63Y73 SITE_TYPE SLICEL SITEPROP SLICE_X63Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y74 CLASS site SITEPROP SLICE_X63Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y74 IS_BONDED 0 SITEPROP SLICE_X63Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y74 IS_PAD 0 SITEPROP SLICE_X63Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y74 IS_RESERVED 0 SITEPROP SLICE_X63Y74 IS_TEST 0 SITEPROP SLICE_X63Y74 IS_USED 0 SITEPROP SLICE_X63Y74 MANUAL_ROUTING SITEPROP SLICE_X63Y74 NAME SLICE_X63Y74 SITEPROP SLICE_X63Y74 NUM_ARCS 138 SITEPROP SLICE_X63Y74 NUM_BELS 32 SITEPROP SLICE_X63Y74 NUM_INPUTS 32 SITEPROP SLICE_X63Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y74 NUM_PINS 45 SITEPROP SLICE_X63Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y74 PROHIBIT 0 SITEPROP SLICE_X63Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y74 RPM_X 167 SITEPROP SLICE_X63Y74 RPM_Y 148 SITEPROP SLICE_X63Y74 SITE_PIPS SITEPROP SLICE_X63Y74 SITE_TYPE SLICEL SITEPROP SLICE_X63Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y75 CLASS site SITEPROP SLICE_X63Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y75 IS_BONDED 0 SITEPROP SLICE_X63Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y75 IS_PAD 0 SITEPROP SLICE_X63Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y75 IS_RESERVED 0 SITEPROP SLICE_X63Y75 IS_TEST 0 SITEPROP SLICE_X63Y75 IS_USED 0 SITEPROP SLICE_X63Y75 MANUAL_ROUTING SITEPROP SLICE_X63Y75 NAME SLICE_X63Y75 SITEPROP SLICE_X63Y75 NUM_ARCS 138 SITEPROP SLICE_X63Y75 NUM_BELS 32 SITEPROP SLICE_X63Y75 NUM_INPUTS 32 SITEPROP SLICE_X63Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y75 NUM_PINS 45 SITEPROP SLICE_X63Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y75 PROHIBIT 0 SITEPROP SLICE_X63Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y75 RPM_X 167 SITEPROP SLICE_X63Y75 RPM_Y 150 SITEPROP SLICE_X63Y75 SITE_PIPS SITEPROP SLICE_X63Y75 SITE_TYPE SLICEL SITEPROP SLICE_X63Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y76 CLASS site SITEPROP SLICE_X63Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y76 IS_BONDED 0 SITEPROP SLICE_X63Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y76 IS_PAD 0 SITEPROP SLICE_X63Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y76 IS_RESERVED 0 SITEPROP SLICE_X63Y76 IS_TEST 0 SITEPROP SLICE_X63Y76 IS_USED 0 SITEPROP SLICE_X63Y76 MANUAL_ROUTING SITEPROP SLICE_X63Y76 NAME SLICE_X63Y76 SITEPROP SLICE_X63Y76 NUM_ARCS 138 SITEPROP SLICE_X63Y76 NUM_BELS 32 SITEPROP SLICE_X63Y76 NUM_INPUTS 32 SITEPROP SLICE_X63Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y76 NUM_PINS 45 SITEPROP SLICE_X63Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y76 PROHIBIT 0 SITEPROP SLICE_X63Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y76 RPM_X 167 SITEPROP SLICE_X63Y76 RPM_Y 152 SITEPROP SLICE_X63Y76 SITE_PIPS SITEPROP SLICE_X63Y76 SITE_TYPE SLICEL SITEPROP SLICE_X63Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y77 CLASS site SITEPROP SLICE_X63Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y77 IS_BONDED 0 SITEPROP SLICE_X63Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y77 IS_PAD 0 SITEPROP SLICE_X63Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y77 IS_RESERVED 0 SITEPROP SLICE_X63Y77 IS_TEST 0 SITEPROP SLICE_X63Y77 IS_USED 0 SITEPROP SLICE_X63Y77 MANUAL_ROUTING SITEPROP SLICE_X63Y77 NAME SLICE_X63Y77 SITEPROP SLICE_X63Y77 NUM_ARCS 138 SITEPROP SLICE_X63Y77 NUM_BELS 32 SITEPROP SLICE_X63Y77 NUM_INPUTS 32 SITEPROP SLICE_X63Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y77 NUM_PINS 45 SITEPROP SLICE_X63Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y77 PROHIBIT 0 SITEPROP SLICE_X63Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y77 RPM_X 167 SITEPROP SLICE_X63Y77 RPM_Y 154 SITEPROP SLICE_X63Y77 SITE_PIPS SITEPROP SLICE_X63Y77 SITE_TYPE SLICEL SITEPROP SLICE_X63Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y78 CLASS site SITEPROP SLICE_X63Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y78 IS_BONDED 0 SITEPROP SLICE_X63Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y78 IS_PAD 0 SITEPROP SLICE_X63Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y78 IS_RESERVED 0 SITEPROP SLICE_X63Y78 IS_TEST 0 SITEPROP SLICE_X63Y78 IS_USED 0 SITEPROP SLICE_X63Y78 MANUAL_ROUTING SITEPROP SLICE_X63Y78 NAME SLICE_X63Y78 SITEPROP SLICE_X63Y78 NUM_ARCS 138 SITEPROP SLICE_X63Y78 NUM_BELS 32 SITEPROP SLICE_X63Y78 NUM_INPUTS 32 SITEPROP SLICE_X63Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y78 NUM_PINS 45 SITEPROP SLICE_X63Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y78 PROHIBIT 0 SITEPROP SLICE_X63Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y78 RPM_X 167 SITEPROP SLICE_X63Y78 RPM_Y 156 SITEPROP SLICE_X63Y78 SITE_PIPS SITEPROP SLICE_X63Y78 SITE_TYPE SLICEL SITEPROP SLICE_X63Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y79 CLASS site SITEPROP SLICE_X63Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y79 IS_BONDED 0 SITEPROP SLICE_X63Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y79 IS_PAD 0 SITEPROP SLICE_X63Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y79 IS_RESERVED 0 SITEPROP SLICE_X63Y79 IS_TEST 0 SITEPROP SLICE_X63Y79 IS_USED 0 SITEPROP SLICE_X63Y79 MANUAL_ROUTING SITEPROP SLICE_X63Y79 NAME SLICE_X63Y79 SITEPROP SLICE_X63Y79 NUM_ARCS 138 SITEPROP SLICE_X63Y79 NUM_BELS 32 SITEPROP SLICE_X63Y79 NUM_INPUTS 32 SITEPROP SLICE_X63Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y79 NUM_PINS 45 SITEPROP SLICE_X63Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y79 PROHIBIT 0 SITEPROP SLICE_X63Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y79 RPM_X 167 SITEPROP SLICE_X63Y79 RPM_Y 158 SITEPROP SLICE_X63Y79 SITE_PIPS SITEPROP SLICE_X63Y79 SITE_TYPE SLICEL SITEPROP SLICE_X63Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y80 CLASS site SITEPROP SLICE_X63Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y80 IS_BONDED 0 SITEPROP SLICE_X63Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y80 IS_PAD 0 SITEPROP SLICE_X63Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y80 IS_RESERVED 0 SITEPROP SLICE_X63Y80 IS_TEST 0 SITEPROP SLICE_X63Y80 IS_USED 0 SITEPROP SLICE_X63Y80 MANUAL_ROUTING SITEPROP SLICE_X63Y80 NAME SLICE_X63Y80 SITEPROP SLICE_X63Y80 NUM_ARCS 138 SITEPROP SLICE_X63Y80 NUM_BELS 32 SITEPROP SLICE_X63Y80 NUM_INPUTS 32 SITEPROP SLICE_X63Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y80 NUM_PINS 45 SITEPROP SLICE_X63Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y80 PROHIBIT 0 SITEPROP SLICE_X63Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y80 RPM_X 167 SITEPROP SLICE_X63Y80 RPM_Y 160 SITEPROP SLICE_X63Y80 SITE_PIPS SITEPROP SLICE_X63Y80 SITE_TYPE SLICEL SITEPROP SLICE_X63Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y81 CLASS site SITEPROP SLICE_X63Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y81 IS_BONDED 0 SITEPROP SLICE_X63Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y81 IS_PAD 0 SITEPROP SLICE_X63Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y81 IS_RESERVED 0 SITEPROP SLICE_X63Y81 IS_TEST 0 SITEPROP SLICE_X63Y81 IS_USED 0 SITEPROP SLICE_X63Y81 MANUAL_ROUTING SITEPROP SLICE_X63Y81 NAME SLICE_X63Y81 SITEPROP SLICE_X63Y81 NUM_ARCS 138 SITEPROP SLICE_X63Y81 NUM_BELS 32 SITEPROP SLICE_X63Y81 NUM_INPUTS 32 SITEPROP SLICE_X63Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y81 NUM_PINS 45 SITEPROP SLICE_X63Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y81 PROHIBIT 0 SITEPROP SLICE_X63Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y81 RPM_X 167 SITEPROP SLICE_X63Y81 RPM_Y 162 SITEPROP SLICE_X63Y81 SITE_PIPS SITEPROP SLICE_X63Y81 SITE_TYPE SLICEL SITEPROP SLICE_X63Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y82 CLASS site SITEPROP SLICE_X63Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y82 IS_BONDED 0 SITEPROP SLICE_X63Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y82 IS_PAD 0 SITEPROP SLICE_X63Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y82 IS_RESERVED 0 SITEPROP SLICE_X63Y82 IS_TEST 0 SITEPROP SLICE_X63Y82 IS_USED 0 SITEPROP SLICE_X63Y82 MANUAL_ROUTING SITEPROP SLICE_X63Y82 NAME SLICE_X63Y82 SITEPROP SLICE_X63Y82 NUM_ARCS 138 SITEPROP SLICE_X63Y82 NUM_BELS 32 SITEPROP SLICE_X63Y82 NUM_INPUTS 32 SITEPROP SLICE_X63Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y82 NUM_PINS 45 SITEPROP SLICE_X63Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y82 PROHIBIT 0 SITEPROP SLICE_X63Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y82 RPM_X 167 SITEPROP SLICE_X63Y82 RPM_Y 164 SITEPROP SLICE_X63Y82 SITE_PIPS SITEPROP SLICE_X63Y82 SITE_TYPE SLICEL SITEPROP SLICE_X63Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y83 CLASS site SITEPROP SLICE_X63Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y83 IS_BONDED 0 SITEPROP SLICE_X63Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y83 IS_PAD 0 SITEPROP SLICE_X63Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y83 IS_RESERVED 0 SITEPROP SLICE_X63Y83 IS_TEST 0 SITEPROP SLICE_X63Y83 IS_USED 0 SITEPROP SLICE_X63Y83 MANUAL_ROUTING SITEPROP SLICE_X63Y83 NAME SLICE_X63Y83 SITEPROP SLICE_X63Y83 NUM_ARCS 138 SITEPROP SLICE_X63Y83 NUM_BELS 32 SITEPROP SLICE_X63Y83 NUM_INPUTS 32 SITEPROP SLICE_X63Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y83 NUM_PINS 45 SITEPROP SLICE_X63Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y83 PROHIBIT 0 SITEPROP SLICE_X63Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y83 RPM_X 167 SITEPROP SLICE_X63Y83 RPM_Y 166 SITEPROP SLICE_X63Y83 SITE_PIPS SITEPROP SLICE_X63Y83 SITE_TYPE SLICEL SITEPROP SLICE_X63Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y84 CLASS site SITEPROP SLICE_X63Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y84 IS_BONDED 0 SITEPROP SLICE_X63Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y84 IS_PAD 0 SITEPROP SLICE_X63Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y84 IS_RESERVED 0 SITEPROP SLICE_X63Y84 IS_TEST 0 SITEPROP SLICE_X63Y84 IS_USED 0 SITEPROP SLICE_X63Y84 MANUAL_ROUTING SITEPROP SLICE_X63Y84 NAME SLICE_X63Y84 SITEPROP SLICE_X63Y84 NUM_ARCS 138 SITEPROP SLICE_X63Y84 NUM_BELS 32 SITEPROP SLICE_X63Y84 NUM_INPUTS 32 SITEPROP SLICE_X63Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y84 NUM_PINS 45 SITEPROP SLICE_X63Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y84 PROHIBIT 0 SITEPROP SLICE_X63Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y84 RPM_X 167 SITEPROP SLICE_X63Y84 RPM_Y 168 SITEPROP SLICE_X63Y84 SITE_PIPS SITEPROP SLICE_X63Y84 SITE_TYPE SLICEL SITEPROP SLICE_X63Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y85 CLASS site SITEPROP SLICE_X63Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y85 IS_BONDED 0 SITEPROP SLICE_X63Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y85 IS_PAD 0 SITEPROP SLICE_X63Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y85 IS_RESERVED 0 SITEPROP SLICE_X63Y85 IS_TEST 0 SITEPROP SLICE_X63Y85 IS_USED 0 SITEPROP SLICE_X63Y85 MANUAL_ROUTING SITEPROP SLICE_X63Y85 NAME SLICE_X63Y85 SITEPROP SLICE_X63Y85 NUM_ARCS 138 SITEPROP SLICE_X63Y85 NUM_BELS 32 SITEPROP SLICE_X63Y85 NUM_INPUTS 32 SITEPROP SLICE_X63Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y85 NUM_PINS 45 SITEPROP SLICE_X63Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y85 PROHIBIT 0 SITEPROP SLICE_X63Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y85 RPM_X 167 SITEPROP SLICE_X63Y85 RPM_Y 170 SITEPROP SLICE_X63Y85 SITE_PIPS SITEPROP SLICE_X63Y85 SITE_TYPE SLICEL SITEPROP SLICE_X63Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y86 CLASS site SITEPROP SLICE_X63Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y86 IS_BONDED 0 SITEPROP SLICE_X63Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y86 IS_PAD 0 SITEPROP SLICE_X63Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y86 IS_RESERVED 0 SITEPROP SLICE_X63Y86 IS_TEST 0 SITEPROP SLICE_X63Y86 IS_USED 0 SITEPROP SLICE_X63Y86 MANUAL_ROUTING SITEPROP SLICE_X63Y86 NAME SLICE_X63Y86 SITEPROP SLICE_X63Y86 NUM_ARCS 138 SITEPROP SLICE_X63Y86 NUM_BELS 32 SITEPROP SLICE_X63Y86 NUM_INPUTS 32 SITEPROP SLICE_X63Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y86 NUM_PINS 45 SITEPROP SLICE_X63Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y86 PROHIBIT 0 SITEPROP SLICE_X63Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y86 RPM_X 167 SITEPROP SLICE_X63Y86 RPM_Y 172 SITEPROP SLICE_X63Y86 SITE_PIPS SITEPROP SLICE_X63Y86 SITE_TYPE SLICEL SITEPROP SLICE_X63Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y87 CLASS site SITEPROP SLICE_X63Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y87 IS_BONDED 0 SITEPROP SLICE_X63Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y87 IS_PAD 0 SITEPROP SLICE_X63Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y87 IS_RESERVED 0 SITEPROP SLICE_X63Y87 IS_TEST 0 SITEPROP SLICE_X63Y87 IS_USED 0 SITEPROP SLICE_X63Y87 MANUAL_ROUTING SITEPROP SLICE_X63Y87 NAME SLICE_X63Y87 SITEPROP SLICE_X63Y87 NUM_ARCS 138 SITEPROP SLICE_X63Y87 NUM_BELS 32 SITEPROP SLICE_X63Y87 NUM_INPUTS 32 SITEPROP SLICE_X63Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y87 NUM_PINS 45 SITEPROP SLICE_X63Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y87 PROHIBIT 0 SITEPROP SLICE_X63Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y87 RPM_X 167 SITEPROP SLICE_X63Y87 RPM_Y 174 SITEPROP SLICE_X63Y87 SITE_PIPS SITEPROP SLICE_X63Y87 SITE_TYPE SLICEL SITEPROP SLICE_X63Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y88 CLASS site SITEPROP SLICE_X63Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y88 IS_BONDED 0 SITEPROP SLICE_X63Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y88 IS_PAD 0 SITEPROP SLICE_X63Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y88 IS_RESERVED 0 SITEPROP SLICE_X63Y88 IS_TEST 0 SITEPROP SLICE_X63Y88 IS_USED 0 SITEPROP SLICE_X63Y88 MANUAL_ROUTING SITEPROP SLICE_X63Y88 NAME SLICE_X63Y88 SITEPROP SLICE_X63Y88 NUM_ARCS 138 SITEPROP SLICE_X63Y88 NUM_BELS 32 SITEPROP SLICE_X63Y88 NUM_INPUTS 32 SITEPROP SLICE_X63Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y88 NUM_PINS 45 SITEPROP SLICE_X63Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y88 PROHIBIT 0 SITEPROP SLICE_X63Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y88 RPM_X 167 SITEPROP SLICE_X63Y88 RPM_Y 176 SITEPROP SLICE_X63Y88 SITE_PIPS SITEPROP SLICE_X63Y88 SITE_TYPE SLICEL SITEPROP SLICE_X63Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y89 CLASS site SITEPROP SLICE_X63Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y89 IS_BONDED 0 SITEPROP SLICE_X63Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y89 IS_PAD 0 SITEPROP SLICE_X63Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y89 IS_RESERVED 0 SITEPROP SLICE_X63Y89 IS_TEST 0 SITEPROP SLICE_X63Y89 IS_USED 0 SITEPROP SLICE_X63Y89 MANUAL_ROUTING SITEPROP SLICE_X63Y89 NAME SLICE_X63Y89 SITEPROP SLICE_X63Y89 NUM_ARCS 138 SITEPROP SLICE_X63Y89 NUM_BELS 32 SITEPROP SLICE_X63Y89 NUM_INPUTS 32 SITEPROP SLICE_X63Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y89 NUM_PINS 45 SITEPROP SLICE_X63Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y89 PROHIBIT 0 SITEPROP SLICE_X63Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y89 RPM_X 167 SITEPROP SLICE_X63Y89 RPM_Y 178 SITEPROP SLICE_X63Y89 SITE_PIPS SITEPROP SLICE_X63Y89 SITE_TYPE SLICEL SITEPROP SLICE_X63Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y90 CLASS site SITEPROP SLICE_X63Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y90 IS_BONDED 0 SITEPROP SLICE_X63Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y90 IS_PAD 0 SITEPROP SLICE_X63Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y90 IS_RESERVED 0 SITEPROP SLICE_X63Y90 IS_TEST 0 SITEPROP SLICE_X63Y90 IS_USED 0 SITEPROP SLICE_X63Y90 MANUAL_ROUTING SITEPROP SLICE_X63Y90 NAME SLICE_X63Y90 SITEPROP SLICE_X63Y90 NUM_ARCS 138 SITEPROP SLICE_X63Y90 NUM_BELS 32 SITEPROP SLICE_X63Y90 NUM_INPUTS 32 SITEPROP SLICE_X63Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y90 NUM_PINS 45 SITEPROP SLICE_X63Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y90 PROHIBIT 0 SITEPROP SLICE_X63Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y90 RPM_X 167 SITEPROP SLICE_X63Y90 RPM_Y 180 SITEPROP SLICE_X63Y90 SITE_PIPS SITEPROP SLICE_X63Y90 SITE_TYPE SLICEL SITEPROP SLICE_X63Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y91 CLASS site SITEPROP SLICE_X63Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y91 IS_BONDED 0 SITEPROP SLICE_X63Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y91 IS_PAD 0 SITEPROP SLICE_X63Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y91 IS_RESERVED 0 SITEPROP SLICE_X63Y91 IS_TEST 0 SITEPROP SLICE_X63Y91 IS_USED 0 SITEPROP SLICE_X63Y91 MANUAL_ROUTING SITEPROP SLICE_X63Y91 NAME SLICE_X63Y91 SITEPROP SLICE_X63Y91 NUM_ARCS 138 SITEPROP SLICE_X63Y91 NUM_BELS 32 SITEPROP SLICE_X63Y91 NUM_INPUTS 32 SITEPROP SLICE_X63Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y91 NUM_PINS 45 SITEPROP SLICE_X63Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y91 PROHIBIT 0 SITEPROP SLICE_X63Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y91 RPM_X 167 SITEPROP SLICE_X63Y91 RPM_Y 182 SITEPROP SLICE_X63Y91 SITE_PIPS SITEPROP SLICE_X63Y91 SITE_TYPE SLICEL SITEPROP SLICE_X63Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y92 CLASS site SITEPROP SLICE_X63Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y92 IS_BONDED 0 SITEPROP SLICE_X63Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y92 IS_PAD 0 SITEPROP SLICE_X63Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y92 IS_RESERVED 0 SITEPROP SLICE_X63Y92 IS_TEST 0 SITEPROP SLICE_X63Y92 IS_USED 0 SITEPROP SLICE_X63Y92 MANUAL_ROUTING SITEPROP SLICE_X63Y92 NAME SLICE_X63Y92 SITEPROP SLICE_X63Y92 NUM_ARCS 138 SITEPROP SLICE_X63Y92 NUM_BELS 32 SITEPROP SLICE_X63Y92 NUM_INPUTS 32 SITEPROP SLICE_X63Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y92 NUM_PINS 45 SITEPROP SLICE_X63Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y92 PROHIBIT 0 SITEPROP SLICE_X63Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y92 RPM_X 167 SITEPROP SLICE_X63Y92 RPM_Y 184 SITEPROP SLICE_X63Y92 SITE_PIPS SITEPROP SLICE_X63Y92 SITE_TYPE SLICEL SITEPROP SLICE_X63Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y93 CLASS site SITEPROP SLICE_X63Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y93 IS_BONDED 0 SITEPROP SLICE_X63Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y93 IS_PAD 0 SITEPROP SLICE_X63Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y93 IS_RESERVED 0 SITEPROP SLICE_X63Y93 IS_TEST 0 SITEPROP SLICE_X63Y93 IS_USED 0 SITEPROP SLICE_X63Y93 MANUAL_ROUTING SITEPROP SLICE_X63Y93 NAME SLICE_X63Y93 SITEPROP SLICE_X63Y93 NUM_ARCS 138 SITEPROP SLICE_X63Y93 NUM_BELS 32 SITEPROP SLICE_X63Y93 NUM_INPUTS 32 SITEPROP SLICE_X63Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y93 NUM_PINS 45 SITEPROP SLICE_X63Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y93 PROHIBIT 0 SITEPROP SLICE_X63Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y93 RPM_X 167 SITEPROP SLICE_X63Y93 RPM_Y 186 SITEPROP SLICE_X63Y93 SITE_PIPS SITEPROP SLICE_X63Y93 SITE_TYPE SLICEL SITEPROP SLICE_X63Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y94 CLASS site SITEPROP SLICE_X63Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y94 IS_BONDED 0 SITEPROP SLICE_X63Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y94 IS_PAD 0 SITEPROP SLICE_X63Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y94 IS_RESERVED 0 SITEPROP SLICE_X63Y94 IS_TEST 0 SITEPROP SLICE_X63Y94 IS_USED 0 SITEPROP SLICE_X63Y94 MANUAL_ROUTING SITEPROP SLICE_X63Y94 NAME SLICE_X63Y94 SITEPROP SLICE_X63Y94 NUM_ARCS 138 SITEPROP SLICE_X63Y94 NUM_BELS 32 SITEPROP SLICE_X63Y94 NUM_INPUTS 32 SITEPROP SLICE_X63Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y94 NUM_PINS 45 SITEPROP SLICE_X63Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y94 PROHIBIT 0 SITEPROP SLICE_X63Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y94 RPM_X 167 SITEPROP SLICE_X63Y94 RPM_Y 188 SITEPROP SLICE_X63Y94 SITE_PIPS SITEPROP SLICE_X63Y94 SITE_TYPE SLICEL SITEPROP SLICE_X63Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y95 CLASS site SITEPROP SLICE_X63Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y95 IS_BONDED 0 SITEPROP SLICE_X63Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y95 IS_PAD 0 SITEPROP SLICE_X63Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y95 IS_RESERVED 0 SITEPROP SLICE_X63Y95 IS_TEST 0 SITEPROP SLICE_X63Y95 IS_USED 0 SITEPROP SLICE_X63Y95 MANUAL_ROUTING SITEPROP SLICE_X63Y95 NAME SLICE_X63Y95 SITEPROP SLICE_X63Y95 NUM_ARCS 138 SITEPROP SLICE_X63Y95 NUM_BELS 32 SITEPROP SLICE_X63Y95 NUM_INPUTS 32 SITEPROP SLICE_X63Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y95 NUM_PINS 45 SITEPROP SLICE_X63Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y95 PROHIBIT 0 SITEPROP SLICE_X63Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y95 RPM_X 167 SITEPROP SLICE_X63Y95 RPM_Y 190 SITEPROP SLICE_X63Y95 SITE_PIPS SITEPROP SLICE_X63Y95 SITE_TYPE SLICEL SITEPROP SLICE_X63Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y96 CLASS site SITEPROP SLICE_X63Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y96 IS_BONDED 0 SITEPROP SLICE_X63Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y96 IS_PAD 0 SITEPROP SLICE_X63Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y96 IS_RESERVED 0 SITEPROP SLICE_X63Y96 IS_TEST 0 SITEPROP SLICE_X63Y96 IS_USED 0 SITEPROP SLICE_X63Y96 MANUAL_ROUTING SITEPROP SLICE_X63Y96 NAME SLICE_X63Y96 SITEPROP SLICE_X63Y96 NUM_ARCS 138 SITEPROP SLICE_X63Y96 NUM_BELS 32 SITEPROP SLICE_X63Y96 NUM_INPUTS 32 SITEPROP SLICE_X63Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y96 NUM_PINS 45 SITEPROP SLICE_X63Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y96 PROHIBIT 0 SITEPROP SLICE_X63Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y96 RPM_X 167 SITEPROP SLICE_X63Y96 RPM_Y 192 SITEPROP SLICE_X63Y96 SITE_PIPS SITEPROP SLICE_X63Y96 SITE_TYPE SLICEL SITEPROP SLICE_X63Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y97 CLASS site SITEPROP SLICE_X63Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y97 IS_BONDED 0 SITEPROP SLICE_X63Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y97 IS_PAD 0 SITEPROP SLICE_X63Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y97 IS_RESERVED 0 SITEPROP SLICE_X63Y97 IS_TEST 0 SITEPROP SLICE_X63Y97 IS_USED 0 SITEPROP SLICE_X63Y97 MANUAL_ROUTING SITEPROP SLICE_X63Y97 NAME SLICE_X63Y97 SITEPROP SLICE_X63Y97 NUM_ARCS 138 SITEPROP SLICE_X63Y97 NUM_BELS 32 SITEPROP SLICE_X63Y97 NUM_INPUTS 32 SITEPROP SLICE_X63Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y97 NUM_PINS 45 SITEPROP SLICE_X63Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y97 PROHIBIT 0 SITEPROP SLICE_X63Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y97 RPM_X 167 SITEPROP SLICE_X63Y97 RPM_Y 194 SITEPROP SLICE_X63Y97 SITE_PIPS SITEPROP SLICE_X63Y97 SITE_TYPE SLICEL SITEPROP SLICE_X63Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y98 CLASS site SITEPROP SLICE_X63Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y98 IS_BONDED 0 SITEPROP SLICE_X63Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y98 IS_PAD 0 SITEPROP SLICE_X63Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y98 IS_RESERVED 0 SITEPROP SLICE_X63Y98 IS_TEST 0 SITEPROP SLICE_X63Y98 IS_USED 0 SITEPROP SLICE_X63Y98 MANUAL_ROUTING SITEPROP SLICE_X63Y98 NAME SLICE_X63Y98 SITEPROP SLICE_X63Y98 NUM_ARCS 138 SITEPROP SLICE_X63Y98 NUM_BELS 32 SITEPROP SLICE_X63Y98 NUM_INPUTS 32 SITEPROP SLICE_X63Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y98 NUM_PINS 45 SITEPROP SLICE_X63Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y98 PROHIBIT 0 SITEPROP SLICE_X63Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y98 RPM_X 167 SITEPROP SLICE_X63Y98 RPM_Y 196 SITEPROP SLICE_X63Y98 SITE_PIPS SITEPROP SLICE_X63Y98 SITE_TYPE SLICEL SITEPROP SLICE_X63Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X63Y99 CLASS site SITEPROP SLICE_X63Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X63Y99 IS_BONDED 0 SITEPROP SLICE_X63Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X63Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y99 IS_PAD 0 SITEPROP SLICE_X63Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X63Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X63Y99 IS_RESERVED 0 SITEPROP SLICE_X63Y99 IS_TEST 0 SITEPROP SLICE_X63Y99 IS_USED 0 SITEPROP SLICE_X63Y99 MANUAL_ROUTING SITEPROP SLICE_X63Y99 NAME SLICE_X63Y99 SITEPROP SLICE_X63Y99 NUM_ARCS 138 SITEPROP SLICE_X63Y99 NUM_BELS 32 SITEPROP SLICE_X63Y99 NUM_INPUTS 32 SITEPROP SLICE_X63Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X63Y99 NUM_PINS 45 SITEPROP SLICE_X63Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X63Y99 PROHIBIT 0 SITEPROP SLICE_X63Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X63Y99 RPM_X 167 SITEPROP SLICE_X63Y99 RPM_Y 198 SITEPROP SLICE_X63Y99 SITE_PIPS SITEPROP SLICE_X63Y99 SITE_TYPE SLICEL SITEPROP SLICE_X64Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y0 CLASS site SITEPROP SLICE_X64Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y0 IS_BONDED 0 SITEPROP SLICE_X64Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y0 IS_PAD 0 SITEPROP SLICE_X64Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y0 IS_RESERVED 0 SITEPROP SLICE_X64Y0 IS_TEST 0 SITEPROP SLICE_X64Y0 IS_USED 0 SITEPROP SLICE_X64Y0 MANUAL_ROUTING SITEPROP SLICE_X64Y0 NAME SLICE_X64Y0 SITEPROP SLICE_X64Y0 NUM_ARCS 153 SITEPROP SLICE_X64Y0 NUM_BELS 32 SITEPROP SLICE_X64Y0 NUM_INPUTS 37 SITEPROP SLICE_X64Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y0 NUM_PINS 50 SITEPROP SLICE_X64Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y0 PROHIBIT 0 SITEPROP SLICE_X64Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y0 RPM_X 169 SITEPROP SLICE_X64Y0 RPM_Y 0 SITEPROP SLICE_X64Y0 SITE_PIPS SITEPROP SLICE_X64Y0 SITE_TYPE SLICEM SITEPROP SLICE_X64Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y1 CLASS site SITEPROP SLICE_X64Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y1 IS_BONDED 0 SITEPROP SLICE_X64Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y1 IS_PAD 0 SITEPROP SLICE_X64Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y1 IS_RESERVED 0 SITEPROP SLICE_X64Y1 IS_TEST 0 SITEPROP SLICE_X64Y1 IS_USED 0 SITEPROP SLICE_X64Y1 MANUAL_ROUTING SITEPROP SLICE_X64Y1 NAME SLICE_X64Y1 SITEPROP SLICE_X64Y1 NUM_ARCS 153 SITEPROP SLICE_X64Y1 NUM_BELS 32 SITEPROP SLICE_X64Y1 NUM_INPUTS 37 SITEPROP SLICE_X64Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y1 NUM_PINS 50 SITEPROP SLICE_X64Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y1 PROHIBIT 0 SITEPROP SLICE_X64Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y1 RPM_X 169 SITEPROP SLICE_X64Y1 RPM_Y 2 SITEPROP SLICE_X64Y1 SITE_PIPS SITEPROP SLICE_X64Y1 SITE_TYPE SLICEM SITEPROP SLICE_X64Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y2 CLASS site SITEPROP SLICE_X64Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y2 IS_BONDED 0 SITEPROP SLICE_X64Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y2 IS_PAD 0 SITEPROP SLICE_X64Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y2 IS_RESERVED 0 SITEPROP SLICE_X64Y2 IS_TEST 0 SITEPROP SLICE_X64Y2 IS_USED 0 SITEPROP SLICE_X64Y2 MANUAL_ROUTING SITEPROP SLICE_X64Y2 NAME SLICE_X64Y2 SITEPROP SLICE_X64Y2 NUM_ARCS 153 SITEPROP SLICE_X64Y2 NUM_BELS 32 SITEPROP SLICE_X64Y2 NUM_INPUTS 37 SITEPROP SLICE_X64Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y2 NUM_PINS 50 SITEPROP SLICE_X64Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y2 PROHIBIT 0 SITEPROP SLICE_X64Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y2 RPM_X 169 SITEPROP SLICE_X64Y2 RPM_Y 4 SITEPROP SLICE_X64Y2 SITE_PIPS SITEPROP SLICE_X64Y2 SITE_TYPE SLICEM SITEPROP SLICE_X64Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y3 CLASS site SITEPROP SLICE_X64Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y3 IS_BONDED 0 SITEPROP SLICE_X64Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y3 IS_PAD 0 SITEPROP SLICE_X64Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y3 IS_RESERVED 0 SITEPROP SLICE_X64Y3 IS_TEST 0 SITEPROP SLICE_X64Y3 IS_USED 0 SITEPROP SLICE_X64Y3 MANUAL_ROUTING SITEPROP SLICE_X64Y3 NAME SLICE_X64Y3 SITEPROP SLICE_X64Y3 NUM_ARCS 153 SITEPROP SLICE_X64Y3 NUM_BELS 32 SITEPROP SLICE_X64Y3 NUM_INPUTS 37 SITEPROP SLICE_X64Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y3 NUM_PINS 50 SITEPROP SLICE_X64Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y3 PROHIBIT 0 SITEPROP SLICE_X64Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y3 RPM_X 169 SITEPROP SLICE_X64Y3 RPM_Y 6 SITEPROP SLICE_X64Y3 SITE_PIPS SITEPROP SLICE_X64Y3 SITE_TYPE SLICEM SITEPROP SLICE_X64Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y4 CLASS site SITEPROP SLICE_X64Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y4 IS_BONDED 0 SITEPROP SLICE_X64Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y4 IS_PAD 0 SITEPROP SLICE_X64Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y4 IS_RESERVED 0 SITEPROP SLICE_X64Y4 IS_TEST 0 SITEPROP SLICE_X64Y4 IS_USED 0 SITEPROP SLICE_X64Y4 MANUAL_ROUTING SITEPROP SLICE_X64Y4 NAME SLICE_X64Y4 SITEPROP SLICE_X64Y4 NUM_ARCS 153 SITEPROP SLICE_X64Y4 NUM_BELS 32 SITEPROP SLICE_X64Y4 NUM_INPUTS 37 SITEPROP SLICE_X64Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y4 NUM_PINS 50 SITEPROP SLICE_X64Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y4 PROHIBIT 0 SITEPROP SLICE_X64Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y4 RPM_X 169 SITEPROP SLICE_X64Y4 RPM_Y 8 SITEPROP SLICE_X64Y4 SITE_PIPS SITEPROP SLICE_X64Y4 SITE_TYPE SLICEM SITEPROP SLICE_X64Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y5 CLASS site SITEPROP SLICE_X64Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y5 IS_BONDED 0 SITEPROP SLICE_X64Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y5 IS_PAD 0 SITEPROP SLICE_X64Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y5 IS_RESERVED 0 SITEPROP SLICE_X64Y5 IS_TEST 0 SITEPROP SLICE_X64Y5 IS_USED 0 SITEPROP SLICE_X64Y5 MANUAL_ROUTING SITEPROP SLICE_X64Y5 NAME SLICE_X64Y5 SITEPROP SLICE_X64Y5 NUM_ARCS 153 SITEPROP SLICE_X64Y5 NUM_BELS 32 SITEPROP SLICE_X64Y5 NUM_INPUTS 37 SITEPROP SLICE_X64Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y5 NUM_PINS 50 SITEPROP SLICE_X64Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y5 PROHIBIT 0 SITEPROP SLICE_X64Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y5 RPM_X 169 SITEPROP SLICE_X64Y5 RPM_Y 10 SITEPROP SLICE_X64Y5 SITE_PIPS SITEPROP SLICE_X64Y5 SITE_TYPE SLICEM SITEPROP SLICE_X64Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y6 CLASS site SITEPROP SLICE_X64Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y6 IS_BONDED 0 SITEPROP SLICE_X64Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y6 IS_PAD 0 SITEPROP SLICE_X64Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y6 IS_RESERVED 0 SITEPROP SLICE_X64Y6 IS_TEST 0 SITEPROP SLICE_X64Y6 IS_USED 0 SITEPROP SLICE_X64Y6 MANUAL_ROUTING SITEPROP SLICE_X64Y6 NAME SLICE_X64Y6 SITEPROP SLICE_X64Y6 NUM_ARCS 153 SITEPROP SLICE_X64Y6 NUM_BELS 32 SITEPROP SLICE_X64Y6 NUM_INPUTS 37 SITEPROP SLICE_X64Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y6 NUM_PINS 50 SITEPROP SLICE_X64Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y6 PROHIBIT 0 SITEPROP SLICE_X64Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y6 RPM_X 169 SITEPROP SLICE_X64Y6 RPM_Y 12 SITEPROP SLICE_X64Y6 SITE_PIPS SITEPROP SLICE_X64Y6 SITE_TYPE SLICEM SITEPROP SLICE_X64Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y7 CLASS site SITEPROP SLICE_X64Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y7 IS_BONDED 0 SITEPROP SLICE_X64Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y7 IS_PAD 0 SITEPROP SLICE_X64Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y7 IS_RESERVED 0 SITEPROP SLICE_X64Y7 IS_TEST 0 SITEPROP SLICE_X64Y7 IS_USED 0 SITEPROP SLICE_X64Y7 MANUAL_ROUTING SITEPROP SLICE_X64Y7 NAME SLICE_X64Y7 SITEPROP SLICE_X64Y7 NUM_ARCS 153 SITEPROP SLICE_X64Y7 NUM_BELS 32 SITEPROP SLICE_X64Y7 NUM_INPUTS 37 SITEPROP SLICE_X64Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y7 NUM_PINS 50 SITEPROP SLICE_X64Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y7 PROHIBIT 0 SITEPROP SLICE_X64Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y7 RPM_X 169 SITEPROP SLICE_X64Y7 RPM_Y 14 SITEPROP SLICE_X64Y7 SITE_PIPS SITEPROP SLICE_X64Y7 SITE_TYPE SLICEM SITEPROP SLICE_X64Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y8 CLASS site SITEPROP SLICE_X64Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y8 IS_BONDED 0 SITEPROP SLICE_X64Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y8 IS_PAD 0 SITEPROP SLICE_X64Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y8 IS_RESERVED 0 SITEPROP SLICE_X64Y8 IS_TEST 0 SITEPROP SLICE_X64Y8 IS_USED 0 SITEPROP SLICE_X64Y8 MANUAL_ROUTING SITEPROP SLICE_X64Y8 NAME SLICE_X64Y8 SITEPROP SLICE_X64Y8 NUM_ARCS 153 SITEPROP SLICE_X64Y8 NUM_BELS 32 SITEPROP SLICE_X64Y8 NUM_INPUTS 37 SITEPROP SLICE_X64Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y8 NUM_PINS 50 SITEPROP SLICE_X64Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y8 PROHIBIT 0 SITEPROP SLICE_X64Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y8 RPM_X 169 SITEPROP SLICE_X64Y8 RPM_Y 16 SITEPROP SLICE_X64Y8 SITE_PIPS SITEPROP SLICE_X64Y8 SITE_TYPE SLICEM SITEPROP SLICE_X64Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y9 CLASS site SITEPROP SLICE_X64Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y9 IS_BONDED 0 SITEPROP SLICE_X64Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y9 IS_PAD 0 SITEPROP SLICE_X64Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y9 IS_RESERVED 0 SITEPROP SLICE_X64Y9 IS_TEST 0 SITEPROP SLICE_X64Y9 IS_USED 0 SITEPROP SLICE_X64Y9 MANUAL_ROUTING SITEPROP SLICE_X64Y9 NAME SLICE_X64Y9 SITEPROP SLICE_X64Y9 NUM_ARCS 153 SITEPROP SLICE_X64Y9 NUM_BELS 32 SITEPROP SLICE_X64Y9 NUM_INPUTS 37 SITEPROP SLICE_X64Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y9 NUM_PINS 50 SITEPROP SLICE_X64Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y9 PROHIBIT 0 SITEPROP SLICE_X64Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y9 RPM_X 169 SITEPROP SLICE_X64Y9 RPM_Y 18 SITEPROP SLICE_X64Y9 SITE_PIPS SITEPROP SLICE_X64Y9 SITE_TYPE SLICEM SITEPROP SLICE_X64Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y10 CLASS site SITEPROP SLICE_X64Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y10 IS_BONDED 0 SITEPROP SLICE_X64Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y10 IS_PAD 0 SITEPROP SLICE_X64Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y10 IS_RESERVED 0 SITEPROP SLICE_X64Y10 IS_TEST 0 SITEPROP SLICE_X64Y10 IS_USED 0 SITEPROP SLICE_X64Y10 MANUAL_ROUTING SITEPROP SLICE_X64Y10 NAME SLICE_X64Y10 SITEPROP SLICE_X64Y10 NUM_ARCS 153 SITEPROP SLICE_X64Y10 NUM_BELS 32 SITEPROP SLICE_X64Y10 NUM_INPUTS 37 SITEPROP SLICE_X64Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y10 NUM_PINS 50 SITEPROP SLICE_X64Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y10 PROHIBIT 0 SITEPROP SLICE_X64Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y10 RPM_X 169 SITEPROP SLICE_X64Y10 RPM_Y 20 SITEPROP SLICE_X64Y10 SITE_PIPS SITEPROP SLICE_X64Y10 SITE_TYPE SLICEM SITEPROP SLICE_X64Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y11 CLASS site SITEPROP SLICE_X64Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y11 IS_BONDED 0 SITEPROP SLICE_X64Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y11 IS_PAD 0 SITEPROP SLICE_X64Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y11 IS_RESERVED 0 SITEPROP SLICE_X64Y11 IS_TEST 0 SITEPROP SLICE_X64Y11 IS_USED 0 SITEPROP SLICE_X64Y11 MANUAL_ROUTING SITEPROP SLICE_X64Y11 NAME SLICE_X64Y11 SITEPROP SLICE_X64Y11 NUM_ARCS 153 SITEPROP SLICE_X64Y11 NUM_BELS 32 SITEPROP SLICE_X64Y11 NUM_INPUTS 37 SITEPROP SLICE_X64Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y11 NUM_PINS 50 SITEPROP SLICE_X64Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y11 PROHIBIT 0 SITEPROP SLICE_X64Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y11 RPM_X 169 SITEPROP SLICE_X64Y11 RPM_Y 22 SITEPROP SLICE_X64Y11 SITE_PIPS SITEPROP SLICE_X64Y11 SITE_TYPE SLICEM SITEPROP SLICE_X64Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y12 CLASS site SITEPROP SLICE_X64Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y12 IS_BONDED 0 SITEPROP SLICE_X64Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y12 IS_PAD 0 SITEPROP SLICE_X64Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y12 IS_RESERVED 0 SITEPROP SLICE_X64Y12 IS_TEST 0 SITEPROP SLICE_X64Y12 IS_USED 0 SITEPROP SLICE_X64Y12 MANUAL_ROUTING SITEPROP SLICE_X64Y12 NAME SLICE_X64Y12 SITEPROP SLICE_X64Y12 NUM_ARCS 153 SITEPROP SLICE_X64Y12 NUM_BELS 32 SITEPROP SLICE_X64Y12 NUM_INPUTS 37 SITEPROP SLICE_X64Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y12 NUM_PINS 50 SITEPROP SLICE_X64Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y12 PROHIBIT 0 SITEPROP SLICE_X64Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y12 RPM_X 169 SITEPROP SLICE_X64Y12 RPM_Y 24 SITEPROP SLICE_X64Y12 SITE_PIPS SITEPROP SLICE_X64Y12 SITE_TYPE SLICEM SITEPROP SLICE_X64Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y13 CLASS site SITEPROP SLICE_X64Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y13 IS_BONDED 0 SITEPROP SLICE_X64Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y13 IS_PAD 0 SITEPROP SLICE_X64Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y13 IS_RESERVED 0 SITEPROP SLICE_X64Y13 IS_TEST 0 SITEPROP SLICE_X64Y13 IS_USED 0 SITEPROP SLICE_X64Y13 MANUAL_ROUTING SITEPROP SLICE_X64Y13 NAME SLICE_X64Y13 SITEPROP SLICE_X64Y13 NUM_ARCS 153 SITEPROP SLICE_X64Y13 NUM_BELS 32 SITEPROP SLICE_X64Y13 NUM_INPUTS 37 SITEPROP SLICE_X64Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y13 NUM_PINS 50 SITEPROP SLICE_X64Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y13 PROHIBIT 0 SITEPROP SLICE_X64Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y13 RPM_X 169 SITEPROP SLICE_X64Y13 RPM_Y 26 SITEPROP SLICE_X64Y13 SITE_PIPS SITEPROP SLICE_X64Y13 SITE_TYPE SLICEM SITEPROP SLICE_X64Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y14 CLASS site SITEPROP SLICE_X64Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y14 IS_BONDED 0 SITEPROP SLICE_X64Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y14 IS_PAD 0 SITEPROP SLICE_X64Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y14 IS_RESERVED 0 SITEPROP SLICE_X64Y14 IS_TEST 0 SITEPROP SLICE_X64Y14 IS_USED 0 SITEPROP SLICE_X64Y14 MANUAL_ROUTING SITEPROP SLICE_X64Y14 NAME SLICE_X64Y14 SITEPROP SLICE_X64Y14 NUM_ARCS 153 SITEPROP SLICE_X64Y14 NUM_BELS 32 SITEPROP SLICE_X64Y14 NUM_INPUTS 37 SITEPROP SLICE_X64Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y14 NUM_PINS 50 SITEPROP SLICE_X64Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y14 PROHIBIT 0 SITEPROP SLICE_X64Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y14 RPM_X 169 SITEPROP SLICE_X64Y14 RPM_Y 28 SITEPROP SLICE_X64Y14 SITE_PIPS SITEPROP SLICE_X64Y14 SITE_TYPE SLICEM SITEPROP SLICE_X64Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y15 CLASS site SITEPROP SLICE_X64Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y15 IS_BONDED 0 SITEPROP SLICE_X64Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y15 IS_PAD 0 SITEPROP SLICE_X64Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y15 IS_RESERVED 0 SITEPROP SLICE_X64Y15 IS_TEST 0 SITEPROP SLICE_X64Y15 IS_USED 0 SITEPROP SLICE_X64Y15 MANUAL_ROUTING SITEPROP SLICE_X64Y15 NAME SLICE_X64Y15 SITEPROP SLICE_X64Y15 NUM_ARCS 153 SITEPROP SLICE_X64Y15 NUM_BELS 32 SITEPROP SLICE_X64Y15 NUM_INPUTS 37 SITEPROP SLICE_X64Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y15 NUM_PINS 50 SITEPROP SLICE_X64Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y15 PROHIBIT 0 SITEPROP SLICE_X64Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y15 RPM_X 169 SITEPROP SLICE_X64Y15 RPM_Y 30 SITEPROP SLICE_X64Y15 SITE_PIPS SITEPROP SLICE_X64Y15 SITE_TYPE SLICEM SITEPROP SLICE_X64Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y16 CLASS site SITEPROP SLICE_X64Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y16 IS_BONDED 0 SITEPROP SLICE_X64Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y16 IS_PAD 0 SITEPROP SLICE_X64Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y16 IS_RESERVED 0 SITEPROP SLICE_X64Y16 IS_TEST 0 SITEPROP SLICE_X64Y16 IS_USED 0 SITEPROP SLICE_X64Y16 MANUAL_ROUTING SITEPROP SLICE_X64Y16 NAME SLICE_X64Y16 SITEPROP SLICE_X64Y16 NUM_ARCS 153 SITEPROP SLICE_X64Y16 NUM_BELS 32 SITEPROP SLICE_X64Y16 NUM_INPUTS 37 SITEPROP SLICE_X64Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y16 NUM_PINS 50 SITEPROP SLICE_X64Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y16 PROHIBIT 0 SITEPROP SLICE_X64Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y16 RPM_X 169 SITEPROP SLICE_X64Y16 RPM_Y 32 SITEPROP SLICE_X64Y16 SITE_PIPS SITEPROP SLICE_X64Y16 SITE_TYPE SLICEM SITEPROP SLICE_X64Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y17 CLASS site SITEPROP SLICE_X64Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y17 IS_BONDED 0 SITEPROP SLICE_X64Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y17 IS_PAD 0 SITEPROP SLICE_X64Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y17 IS_RESERVED 0 SITEPROP SLICE_X64Y17 IS_TEST 0 SITEPROP SLICE_X64Y17 IS_USED 0 SITEPROP SLICE_X64Y17 MANUAL_ROUTING SITEPROP SLICE_X64Y17 NAME SLICE_X64Y17 SITEPROP SLICE_X64Y17 NUM_ARCS 153 SITEPROP SLICE_X64Y17 NUM_BELS 32 SITEPROP SLICE_X64Y17 NUM_INPUTS 37 SITEPROP SLICE_X64Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y17 NUM_PINS 50 SITEPROP SLICE_X64Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y17 PROHIBIT 0 SITEPROP SLICE_X64Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y17 RPM_X 169 SITEPROP SLICE_X64Y17 RPM_Y 34 SITEPROP SLICE_X64Y17 SITE_PIPS SITEPROP SLICE_X64Y17 SITE_TYPE SLICEM SITEPROP SLICE_X64Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y18 CLASS site SITEPROP SLICE_X64Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y18 IS_BONDED 0 SITEPROP SLICE_X64Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y18 IS_PAD 0 SITEPROP SLICE_X64Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y18 IS_RESERVED 0 SITEPROP SLICE_X64Y18 IS_TEST 0 SITEPROP SLICE_X64Y18 IS_USED 0 SITEPROP SLICE_X64Y18 MANUAL_ROUTING SITEPROP SLICE_X64Y18 NAME SLICE_X64Y18 SITEPROP SLICE_X64Y18 NUM_ARCS 153 SITEPROP SLICE_X64Y18 NUM_BELS 32 SITEPROP SLICE_X64Y18 NUM_INPUTS 37 SITEPROP SLICE_X64Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y18 NUM_PINS 50 SITEPROP SLICE_X64Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y18 PROHIBIT 0 SITEPROP SLICE_X64Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y18 RPM_X 169 SITEPROP SLICE_X64Y18 RPM_Y 36 SITEPROP SLICE_X64Y18 SITE_PIPS SITEPROP SLICE_X64Y18 SITE_TYPE SLICEM SITEPROP SLICE_X64Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y19 CLASS site SITEPROP SLICE_X64Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y19 IS_BONDED 0 SITEPROP SLICE_X64Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y19 IS_PAD 0 SITEPROP SLICE_X64Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y19 IS_RESERVED 0 SITEPROP SLICE_X64Y19 IS_TEST 0 SITEPROP SLICE_X64Y19 IS_USED 0 SITEPROP SLICE_X64Y19 MANUAL_ROUTING SITEPROP SLICE_X64Y19 NAME SLICE_X64Y19 SITEPROP SLICE_X64Y19 NUM_ARCS 153 SITEPROP SLICE_X64Y19 NUM_BELS 32 SITEPROP SLICE_X64Y19 NUM_INPUTS 37 SITEPROP SLICE_X64Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y19 NUM_PINS 50 SITEPROP SLICE_X64Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y19 PROHIBIT 0 SITEPROP SLICE_X64Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y19 RPM_X 169 SITEPROP SLICE_X64Y19 RPM_Y 38 SITEPROP SLICE_X64Y19 SITE_PIPS SITEPROP SLICE_X64Y19 SITE_TYPE SLICEM SITEPROP SLICE_X64Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y20 CLASS site SITEPROP SLICE_X64Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y20 IS_BONDED 0 SITEPROP SLICE_X64Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y20 IS_PAD 0 SITEPROP SLICE_X64Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y20 IS_RESERVED 0 SITEPROP SLICE_X64Y20 IS_TEST 0 SITEPROP SLICE_X64Y20 IS_USED 0 SITEPROP SLICE_X64Y20 MANUAL_ROUTING SITEPROP SLICE_X64Y20 NAME SLICE_X64Y20 SITEPROP SLICE_X64Y20 NUM_ARCS 153 SITEPROP SLICE_X64Y20 NUM_BELS 32 SITEPROP SLICE_X64Y20 NUM_INPUTS 37 SITEPROP SLICE_X64Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y20 NUM_PINS 50 SITEPROP SLICE_X64Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y20 PROHIBIT 0 SITEPROP SLICE_X64Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y20 RPM_X 169 SITEPROP SLICE_X64Y20 RPM_Y 40 SITEPROP SLICE_X64Y20 SITE_PIPS SITEPROP SLICE_X64Y20 SITE_TYPE SLICEM SITEPROP SLICE_X64Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y21 CLASS site SITEPROP SLICE_X64Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y21 IS_BONDED 0 SITEPROP SLICE_X64Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y21 IS_PAD 0 SITEPROP SLICE_X64Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y21 IS_RESERVED 0 SITEPROP SLICE_X64Y21 IS_TEST 0 SITEPROP SLICE_X64Y21 IS_USED 0 SITEPROP SLICE_X64Y21 MANUAL_ROUTING SITEPROP SLICE_X64Y21 NAME SLICE_X64Y21 SITEPROP SLICE_X64Y21 NUM_ARCS 153 SITEPROP SLICE_X64Y21 NUM_BELS 32 SITEPROP SLICE_X64Y21 NUM_INPUTS 37 SITEPROP SLICE_X64Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y21 NUM_PINS 50 SITEPROP SLICE_X64Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y21 PROHIBIT 0 SITEPROP SLICE_X64Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y21 RPM_X 169 SITEPROP SLICE_X64Y21 RPM_Y 42 SITEPROP SLICE_X64Y21 SITE_PIPS SITEPROP SLICE_X64Y21 SITE_TYPE SLICEM SITEPROP SLICE_X64Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y22 CLASS site SITEPROP SLICE_X64Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y22 IS_BONDED 0 SITEPROP SLICE_X64Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y22 IS_PAD 0 SITEPROP SLICE_X64Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y22 IS_RESERVED 0 SITEPROP SLICE_X64Y22 IS_TEST 0 SITEPROP SLICE_X64Y22 IS_USED 0 SITEPROP SLICE_X64Y22 MANUAL_ROUTING SITEPROP SLICE_X64Y22 NAME SLICE_X64Y22 SITEPROP SLICE_X64Y22 NUM_ARCS 153 SITEPROP SLICE_X64Y22 NUM_BELS 32 SITEPROP SLICE_X64Y22 NUM_INPUTS 37 SITEPROP SLICE_X64Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y22 NUM_PINS 50 SITEPROP SLICE_X64Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y22 PROHIBIT 0 SITEPROP SLICE_X64Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y22 RPM_X 169 SITEPROP SLICE_X64Y22 RPM_Y 44 SITEPROP SLICE_X64Y22 SITE_PIPS SITEPROP SLICE_X64Y22 SITE_TYPE SLICEM SITEPROP SLICE_X64Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y23 CLASS site SITEPROP SLICE_X64Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y23 IS_BONDED 0 SITEPROP SLICE_X64Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y23 IS_PAD 0 SITEPROP SLICE_X64Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y23 IS_RESERVED 0 SITEPROP SLICE_X64Y23 IS_TEST 0 SITEPROP SLICE_X64Y23 IS_USED 0 SITEPROP SLICE_X64Y23 MANUAL_ROUTING SITEPROP SLICE_X64Y23 NAME SLICE_X64Y23 SITEPROP SLICE_X64Y23 NUM_ARCS 153 SITEPROP SLICE_X64Y23 NUM_BELS 32 SITEPROP SLICE_X64Y23 NUM_INPUTS 37 SITEPROP SLICE_X64Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y23 NUM_PINS 50 SITEPROP SLICE_X64Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y23 PROHIBIT 0 SITEPROP SLICE_X64Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y23 RPM_X 169 SITEPROP SLICE_X64Y23 RPM_Y 46 SITEPROP SLICE_X64Y23 SITE_PIPS SITEPROP SLICE_X64Y23 SITE_TYPE SLICEM SITEPROP SLICE_X64Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y24 CLASS site SITEPROP SLICE_X64Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y24 IS_BONDED 0 SITEPROP SLICE_X64Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y24 IS_PAD 0 SITEPROP SLICE_X64Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y24 IS_RESERVED 0 SITEPROP SLICE_X64Y24 IS_TEST 0 SITEPROP SLICE_X64Y24 IS_USED 0 SITEPROP SLICE_X64Y24 MANUAL_ROUTING SITEPROP SLICE_X64Y24 NAME SLICE_X64Y24 SITEPROP SLICE_X64Y24 NUM_ARCS 153 SITEPROP SLICE_X64Y24 NUM_BELS 32 SITEPROP SLICE_X64Y24 NUM_INPUTS 37 SITEPROP SLICE_X64Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y24 NUM_PINS 50 SITEPROP SLICE_X64Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y24 PROHIBIT 0 SITEPROP SLICE_X64Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y24 RPM_X 169 SITEPROP SLICE_X64Y24 RPM_Y 48 SITEPROP SLICE_X64Y24 SITE_PIPS SITEPROP SLICE_X64Y24 SITE_TYPE SLICEM SITEPROP SLICE_X64Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y25 CLASS site SITEPROP SLICE_X64Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y25 IS_BONDED 0 SITEPROP SLICE_X64Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y25 IS_PAD 0 SITEPROP SLICE_X64Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y25 IS_RESERVED 0 SITEPROP SLICE_X64Y25 IS_TEST 0 SITEPROP SLICE_X64Y25 IS_USED 0 SITEPROP SLICE_X64Y25 MANUAL_ROUTING SITEPROP SLICE_X64Y25 NAME SLICE_X64Y25 SITEPROP SLICE_X64Y25 NUM_ARCS 153 SITEPROP SLICE_X64Y25 NUM_BELS 32 SITEPROP SLICE_X64Y25 NUM_INPUTS 37 SITEPROP SLICE_X64Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y25 NUM_PINS 50 SITEPROP SLICE_X64Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y25 PROHIBIT 0 SITEPROP SLICE_X64Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y25 RPM_X 169 SITEPROP SLICE_X64Y25 RPM_Y 50 SITEPROP SLICE_X64Y25 SITE_PIPS SITEPROP SLICE_X64Y25 SITE_TYPE SLICEM SITEPROP SLICE_X64Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y26 CLASS site SITEPROP SLICE_X64Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y26 IS_BONDED 0 SITEPROP SLICE_X64Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y26 IS_PAD 0 SITEPROP SLICE_X64Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y26 IS_RESERVED 0 SITEPROP SLICE_X64Y26 IS_TEST 0 SITEPROP SLICE_X64Y26 IS_USED 0 SITEPROP SLICE_X64Y26 MANUAL_ROUTING SITEPROP SLICE_X64Y26 NAME SLICE_X64Y26 SITEPROP SLICE_X64Y26 NUM_ARCS 153 SITEPROP SLICE_X64Y26 NUM_BELS 32 SITEPROP SLICE_X64Y26 NUM_INPUTS 37 SITEPROP SLICE_X64Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y26 NUM_PINS 50 SITEPROP SLICE_X64Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y26 PROHIBIT 0 SITEPROP SLICE_X64Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y26 RPM_X 169 SITEPROP SLICE_X64Y26 RPM_Y 52 SITEPROP SLICE_X64Y26 SITE_PIPS SITEPROP SLICE_X64Y26 SITE_TYPE SLICEM SITEPROP SLICE_X64Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y27 CLASS site SITEPROP SLICE_X64Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y27 IS_BONDED 0 SITEPROP SLICE_X64Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y27 IS_PAD 0 SITEPROP SLICE_X64Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y27 IS_RESERVED 0 SITEPROP SLICE_X64Y27 IS_TEST 0 SITEPROP SLICE_X64Y27 IS_USED 0 SITEPROP SLICE_X64Y27 MANUAL_ROUTING SITEPROP SLICE_X64Y27 NAME SLICE_X64Y27 SITEPROP SLICE_X64Y27 NUM_ARCS 153 SITEPROP SLICE_X64Y27 NUM_BELS 32 SITEPROP SLICE_X64Y27 NUM_INPUTS 37 SITEPROP SLICE_X64Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y27 NUM_PINS 50 SITEPROP SLICE_X64Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y27 PROHIBIT 0 SITEPROP SLICE_X64Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y27 RPM_X 169 SITEPROP SLICE_X64Y27 RPM_Y 54 SITEPROP SLICE_X64Y27 SITE_PIPS SITEPROP SLICE_X64Y27 SITE_TYPE SLICEM SITEPROP SLICE_X64Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y28 CLASS site SITEPROP SLICE_X64Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y28 IS_BONDED 0 SITEPROP SLICE_X64Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y28 IS_PAD 0 SITEPROP SLICE_X64Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y28 IS_RESERVED 0 SITEPROP SLICE_X64Y28 IS_TEST 0 SITEPROP SLICE_X64Y28 IS_USED 0 SITEPROP SLICE_X64Y28 MANUAL_ROUTING SITEPROP SLICE_X64Y28 NAME SLICE_X64Y28 SITEPROP SLICE_X64Y28 NUM_ARCS 153 SITEPROP SLICE_X64Y28 NUM_BELS 32 SITEPROP SLICE_X64Y28 NUM_INPUTS 37 SITEPROP SLICE_X64Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y28 NUM_PINS 50 SITEPROP SLICE_X64Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y28 PROHIBIT 0 SITEPROP SLICE_X64Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y28 RPM_X 169 SITEPROP SLICE_X64Y28 RPM_Y 56 SITEPROP SLICE_X64Y28 SITE_PIPS SITEPROP SLICE_X64Y28 SITE_TYPE SLICEM SITEPROP SLICE_X64Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y29 CLASS site SITEPROP SLICE_X64Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y29 IS_BONDED 0 SITEPROP SLICE_X64Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y29 IS_PAD 0 SITEPROP SLICE_X64Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y29 IS_RESERVED 0 SITEPROP SLICE_X64Y29 IS_TEST 0 SITEPROP SLICE_X64Y29 IS_USED 0 SITEPROP SLICE_X64Y29 MANUAL_ROUTING SITEPROP SLICE_X64Y29 NAME SLICE_X64Y29 SITEPROP SLICE_X64Y29 NUM_ARCS 153 SITEPROP SLICE_X64Y29 NUM_BELS 32 SITEPROP SLICE_X64Y29 NUM_INPUTS 37 SITEPROP SLICE_X64Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y29 NUM_PINS 50 SITEPROP SLICE_X64Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y29 PROHIBIT 0 SITEPROP SLICE_X64Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y29 RPM_X 169 SITEPROP SLICE_X64Y29 RPM_Y 58 SITEPROP SLICE_X64Y29 SITE_PIPS SITEPROP SLICE_X64Y29 SITE_TYPE SLICEM SITEPROP SLICE_X64Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y30 CLASS site SITEPROP SLICE_X64Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y30 IS_BONDED 0 SITEPROP SLICE_X64Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y30 IS_PAD 0 SITEPROP SLICE_X64Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y30 IS_RESERVED 0 SITEPROP SLICE_X64Y30 IS_TEST 0 SITEPROP SLICE_X64Y30 IS_USED 0 SITEPROP SLICE_X64Y30 MANUAL_ROUTING SITEPROP SLICE_X64Y30 NAME SLICE_X64Y30 SITEPROP SLICE_X64Y30 NUM_ARCS 153 SITEPROP SLICE_X64Y30 NUM_BELS 32 SITEPROP SLICE_X64Y30 NUM_INPUTS 37 SITEPROP SLICE_X64Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y30 NUM_PINS 50 SITEPROP SLICE_X64Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y30 PROHIBIT 0 SITEPROP SLICE_X64Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y30 RPM_X 169 SITEPROP SLICE_X64Y30 RPM_Y 60 SITEPROP SLICE_X64Y30 SITE_PIPS SITEPROP SLICE_X64Y30 SITE_TYPE SLICEM SITEPROP SLICE_X64Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y31 CLASS site SITEPROP SLICE_X64Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y31 IS_BONDED 0 SITEPROP SLICE_X64Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y31 IS_PAD 0 SITEPROP SLICE_X64Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y31 IS_RESERVED 0 SITEPROP SLICE_X64Y31 IS_TEST 0 SITEPROP SLICE_X64Y31 IS_USED 0 SITEPROP SLICE_X64Y31 MANUAL_ROUTING SITEPROP SLICE_X64Y31 NAME SLICE_X64Y31 SITEPROP SLICE_X64Y31 NUM_ARCS 153 SITEPROP SLICE_X64Y31 NUM_BELS 32 SITEPROP SLICE_X64Y31 NUM_INPUTS 37 SITEPROP SLICE_X64Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y31 NUM_PINS 50 SITEPROP SLICE_X64Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y31 PROHIBIT 0 SITEPROP SLICE_X64Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y31 RPM_X 169 SITEPROP SLICE_X64Y31 RPM_Y 62 SITEPROP SLICE_X64Y31 SITE_PIPS SITEPROP SLICE_X64Y31 SITE_TYPE SLICEM SITEPROP SLICE_X64Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y32 CLASS site SITEPROP SLICE_X64Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y32 IS_BONDED 0 SITEPROP SLICE_X64Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y32 IS_PAD 0 SITEPROP SLICE_X64Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y32 IS_RESERVED 0 SITEPROP SLICE_X64Y32 IS_TEST 0 SITEPROP SLICE_X64Y32 IS_USED 0 SITEPROP SLICE_X64Y32 MANUAL_ROUTING SITEPROP SLICE_X64Y32 NAME SLICE_X64Y32 SITEPROP SLICE_X64Y32 NUM_ARCS 153 SITEPROP SLICE_X64Y32 NUM_BELS 32 SITEPROP SLICE_X64Y32 NUM_INPUTS 37 SITEPROP SLICE_X64Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y32 NUM_PINS 50 SITEPROP SLICE_X64Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y32 PROHIBIT 0 SITEPROP SLICE_X64Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y32 RPM_X 169 SITEPROP SLICE_X64Y32 RPM_Y 64 SITEPROP SLICE_X64Y32 SITE_PIPS SITEPROP SLICE_X64Y32 SITE_TYPE SLICEM SITEPROP SLICE_X64Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y33 CLASS site SITEPROP SLICE_X64Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y33 IS_BONDED 0 SITEPROP SLICE_X64Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y33 IS_PAD 0 SITEPROP SLICE_X64Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y33 IS_RESERVED 0 SITEPROP SLICE_X64Y33 IS_TEST 0 SITEPROP SLICE_X64Y33 IS_USED 0 SITEPROP SLICE_X64Y33 MANUAL_ROUTING SITEPROP SLICE_X64Y33 NAME SLICE_X64Y33 SITEPROP SLICE_X64Y33 NUM_ARCS 153 SITEPROP SLICE_X64Y33 NUM_BELS 32 SITEPROP SLICE_X64Y33 NUM_INPUTS 37 SITEPROP SLICE_X64Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y33 NUM_PINS 50 SITEPROP SLICE_X64Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y33 PROHIBIT 0 SITEPROP SLICE_X64Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y33 RPM_X 169 SITEPROP SLICE_X64Y33 RPM_Y 66 SITEPROP SLICE_X64Y33 SITE_PIPS SITEPROP SLICE_X64Y33 SITE_TYPE SLICEM SITEPROP SLICE_X64Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y34 CLASS site SITEPROP SLICE_X64Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y34 IS_BONDED 0 SITEPROP SLICE_X64Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y34 IS_PAD 0 SITEPROP SLICE_X64Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y34 IS_RESERVED 0 SITEPROP SLICE_X64Y34 IS_TEST 0 SITEPROP SLICE_X64Y34 IS_USED 0 SITEPROP SLICE_X64Y34 MANUAL_ROUTING SITEPROP SLICE_X64Y34 NAME SLICE_X64Y34 SITEPROP SLICE_X64Y34 NUM_ARCS 153 SITEPROP SLICE_X64Y34 NUM_BELS 32 SITEPROP SLICE_X64Y34 NUM_INPUTS 37 SITEPROP SLICE_X64Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y34 NUM_PINS 50 SITEPROP SLICE_X64Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y34 PROHIBIT 0 SITEPROP SLICE_X64Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y34 RPM_X 169 SITEPROP SLICE_X64Y34 RPM_Y 68 SITEPROP SLICE_X64Y34 SITE_PIPS SITEPROP SLICE_X64Y34 SITE_TYPE SLICEM SITEPROP SLICE_X64Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y35 CLASS site SITEPROP SLICE_X64Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y35 IS_BONDED 0 SITEPROP SLICE_X64Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y35 IS_PAD 0 SITEPROP SLICE_X64Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y35 IS_RESERVED 0 SITEPROP SLICE_X64Y35 IS_TEST 0 SITEPROP SLICE_X64Y35 IS_USED 0 SITEPROP SLICE_X64Y35 MANUAL_ROUTING SITEPROP SLICE_X64Y35 NAME SLICE_X64Y35 SITEPROP SLICE_X64Y35 NUM_ARCS 153 SITEPROP SLICE_X64Y35 NUM_BELS 32 SITEPROP SLICE_X64Y35 NUM_INPUTS 37 SITEPROP SLICE_X64Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y35 NUM_PINS 50 SITEPROP SLICE_X64Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y35 PROHIBIT 0 SITEPROP SLICE_X64Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y35 RPM_X 169 SITEPROP SLICE_X64Y35 RPM_Y 70 SITEPROP SLICE_X64Y35 SITE_PIPS SITEPROP SLICE_X64Y35 SITE_TYPE SLICEM SITEPROP SLICE_X64Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y36 CLASS site SITEPROP SLICE_X64Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y36 IS_BONDED 0 SITEPROP SLICE_X64Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y36 IS_PAD 0 SITEPROP SLICE_X64Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y36 IS_RESERVED 0 SITEPROP SLICE_X64Y36 IS_TEST 0 SITEPROP SLICE_X64Y36 IS_USED 0 SITEPROP SLICE_X64Y36 MANUAL_ROUTING SITEPROP SLICE_X64Y36 NAME SLICE_X64Y36 SITEPROP SLICE_X64Y36 NUM_ARCS 153 SITEPROP SLICE_X64Y36 NUM_BELS 32 SITEPROP SLICE_X64Y36 NUM_INPUTS 37 SITEPROP SLICE_X64Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y36 NUM_PINS 50 SITEPROP SLICE_X64Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y36 PROHIBIT 0 SITEPROP SLICE_X64Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y36 RPM_X 169 SITEPROP SLICE_X64Y36 RPM_Y 72 SITEPROP SLICE_X64Y36 SITE_PIPS SITEPROP SLICE_X64Y36 SITE_TYPE SLICEM SITEPROP SLICE_X64Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y37 CLASS site SITEPROP SLICE_X64Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y37 IS_BONDED 0 SITEPROP SLICE_X64Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y37 IS_PAD 0 SITEPROP SLICE_X64Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y37 IS_RESERVED 0 SITEPROP SLICE_X64Y37 IS_TEST 0 SITEPROP SLICE_X64Y37 IS_USED 0 SITEPROP SLICE_X64Y37 MANUAL_ROUTING SITEPROP SLICE_X64Y37 NAME SLICE_X64Y37 SITEPROP SLICE_X64Y37 NUM_ARCS 153 SITEPROP SLICE_X64Y37 NUM_BELS 32 SITEPROP SLICE_X64Y37 NUM_INPUTS 37 SITEPROP SLICE_X64Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y37 NUM_PINS 50 SITEPROP SLICE_X64Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y37 PROHIBIT 0 SITEPROP SLICE_X64Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y37 RPM_X 169 SITEPROP SLICE_X64Y37 RPM_Y 74 SITEPROP SLICE_X64Y37 SITE_PIPS SITEPROP SLICE_X64Y37 SITE_TYPE SLICEM SITEPROP SLICE_X64Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y38 CLASS site SITEPROP SLICE_X64Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y38 IS_BONDED 0 SITEPROP SLICE_X64Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y38 IS_PAD 0 SITEPROP SLICE_X64Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y38 IS_RESERVED 0 SITEPROP SLICE_X64Y38 IS_TEST 0 SITEPROP SLICE_X64Y38 IS_USED 0 SITEPROP SLICE_X64Y38 MANUAL_ROUTING SITEPROP SLICE_X64Y38 NAME SLICE_X64Y38 SITEPROP SLICE_X64Y38 NUM_ARCS 153 SITEPROP SLICE_X64Y38 NUM_BELS 32 SITEPROP SLICE_X64Y38 NUM_INPUTS 37 SITEPROP SLICE_X64Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y38 NUM_PINS 50 SITEPROP SLICE_X64Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y38 PROHIBIT 0 SITEPROP SLICE_X64Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y38 RPM_X 169 SITEPROP SLICE_X64Y38 RPM_Y 76 SITEPROP SLICE_X64Y38 SITE_PIPS SITEPROP SLICE_X64Y38 SITE_TYPE SLICEM SITEPROP SLICE_X64Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y39 CLASS site SITEPROP SLICE_X64Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y39 IS_BONDED 0 SITEPROP SLICE_X64Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y39 IS_PAD 0 SITEPROP SLICE_X64Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y39 IS_RESERVED 0 SITEPROP SLICE_X64Y39 IS_TEST 0 SITEPROP SLICE_X64Y39 IS_USED 0 SITEPROP SLICE_X64Y39 MANUAL_ROUTING SITEPROP SLICE_X64Y39 NAME SLICE_X64Y39 SITEPROP SLICE_X64Y39 NUM_ARCS 153 SITEPROP SLICE_X64Y39 NUM_BELS 32 SITEPROP SLICE_X64Y39 NUM_INPUTS 37 SITEPROP SLICE_X64Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y39 NUM_PINS 50 SITEPROP SLICE_X64Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y39 PROHIBIT 0 SITEPROP SLICE_X64Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y39 RPM_X 169 SITEPROP SLICE_X64Y39 RPM_Y 78 SITEPROP SLICE_X64Y39 SITE_PIPS SITEPROP SLICE_X64Y39 SITE_TYPE SLICEM SITEPROP SLICE_X64Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y40 CLASS site SITEPROP SLICE_X64Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y40 IS_BONDED 0 SITEPROP SLICE_X64Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y40 IS_PAD 0 SITEPROP SLICE_X64Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y40 IS_RESERVED 0 SITEPROP SLICE_X64Y40 IS_TEST 0 SITEPROP SLICE_X64Y40 IS_USED 0 SITEPROP SLICE_X64Y40 MANUAL_ROUTING SITEPROP SLICE_X64Y40 NAME SLICE_X64Y40 SITEPROP SLICE_X64Y40 NUM_ARCS 153 SITEPROP SLICE_X64Y40 NUM_BELS 32 SITEPROP SLICE_X64Y40 NUM_INPUTS 37 SITEPROP SLICE_X64Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y40 NUM_PINS 50 SITEPROP SLICE_X64Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y40 PROHIBIT 0 SITEPROP SLICE_X64Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y40 RPM_X 169 SITEPROP SLICE_X64Y40 RPM_Y 80 SITEPROP SLICE_X64Y40 SITE_PIPS SITEPROP SLICE_X64Y40 SITE_TYPE SLICEM SITEPROP SLICE_X64Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y41 CLASS site SITEPROP SLICE_X64Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y41 IS_BONDED 0 SITEPROP SLICE_X64Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y41 IS_PAD 0 SITEPROP SLICE_X64Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y41 IS_RESERVED 0 SITEPROP SLICE_X64Y41 IS_TEST 0 SITEPROP SLICE_X64Y41 IS_USED 0 SITEPROP SLICE_X64Y41 MANUAL_ROUTING SITEPROP SLICE_X64Y41 NAME SLICE_X64Y41 SITEPROP SLICE_X64Y41 NUM_ARCS 153 SITEPROP SLICE_X64Y41 NUM_BELS 32 SITEPROP SLICE_X64Y41 NUM_INPUTS 37 SITEPROP SLICE_X64Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y41 NUM_PINS 50 SITEPROP SLICE_X64Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y41 PROHIBIT 0 SITEPROP SLICE_X64Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y41 RPM_X 169 SITEPROP SLICE_X64Y41 RPM_Y 82 SITEPROP SLICE_X64Y41 SITE_PIPS SITEPROP SLICE_X64Y41 SITE_TYPE SLICEM SITEPROP SLICE_X64Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y42 CLASS site SITEPROP SLICE_X64Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y42 IS_BONDED 0 SITEPROP SLICE_X64Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y42 IS_PAD 0 SITEPROP SLICE_X64Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y42 IS_RESERVED 0 SITEPROP SLICE_X64Y42 IS_TEST 0 SITEPROP SLICE_X64Y42 IS_USED 0 SITEPROP SLICE_X64Y42 MANUAL_ROUTING SITEPROP SLICE_X64Y42 NAME SLICE_X64Y42 SITEPROP SLICE_X64Y42 NUM_ARCS 153 SITEPROP SLICE_X64Y42 NUM_BELS 32 SITEPROP SLICE_X64Y42 NUM_INPUTS 37 SITEPROP SLICE_X64Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y42 NUM_PINS 50 SITEPROP SLICE_X64Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y42 PROHIBIT 0 SITEPROP SLICE_X64Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y42 RPM_X 169 SITEPROP SLICE_X64Y42 RPM_Y 84 SITEPROP SLICE_X64Y42 SITE_PIPS SITEPROP SLICE_X64Y42 SITE_TYPE SLICEM SITEPROP SLICE_X64Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y43 CLASS site SITEPROP SLICE_X64Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y43 IS_BONDED 0 SITEPROP SLICE_X64Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y43 IS_PAD 0 SITEPROP SLICE_X64Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y43 IS_RESERVED 0 SITEPROP SLICE_X64Y43 IS_TEST 0 SITEPROP SLICE_X64Y43 IS_USED 0 SITEPROP SLICE_X64Y43 MANUAL_ROUTING SITEPROP SLICE_X64Y43 NAME SLICE_X64Y43 SITEPROP SLICE_X64Y43 NUM_ARCS 153 SITEPROP SLICE_X64Y43 NUM_BELS 32 SITEPROP SLICE_X64Y43 NUM_INPUTS 37 SITEPROP SLICE_X64Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y43 NUM_PINS 50 SITEPROP SLICE_X64Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y43 PROHIBIT 0 SITEPROP SLICE_X64Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y43 RPM_X 169 SITEPROP SLICE_X64Y43 RPM_Y 86 SITEPROP SLICE_X64Y43 SITE_PIPS SITEPROP SLICE_X64Y43 SITE_TYPE SLICEM SITEPROP SLICE_X64Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y44 CLASS site SITEPROP SLICE_X64Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y44 IS_BONDED 0 SITEPROP SLICE_X64Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y44 IS_PAD 0 SITEPROP SLICE_X64Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y44 IS_RESERVED 0 SITEPROP SLICE_X64Y44 IS_TEST 0 SITEPROP SLICE_X64Y44 IS_USED 0 SITEPROP SLICE_X64Y44 MANUAL_ROUTING SITEPROP SLICE_X64Y44 NAME SLICE_X64Y44 SITEPROP SLICE_X64Y44 NUM_ARCS 153 SITEPROP SLICE_X64Y44 NUM_BELS 32 SITEPROP SLICE_X64Y44 NUM_INPUTS 37 SITEPROP SLICE_X64Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y44 NUM_PINS 50 SITEPROP SLICE_X64Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y44 PROHIBIT 0 SITEPROP SLICE_X64Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y44 RPM_X 169 SITEPROP SLICE_X64Y44 RPM_Y 88 SITEPROP SLICE_X64Y44 SITE_PIPS SITEPROP SLICE_X64Y44 SITE_TYPE SLICEM SITEPROP SLICE_X64Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y45 CLASS site SITEPROP SLICE_X64Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y45 IS_BONDED 0 SITEPROP SLICE_X64Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y45 IS_PAD 0 SITEPROP SLICE_X64Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y45 IS_RESERVED 0 SITEPROP SLICE_X64Y45 IS_TEST 0 SITEPROP SLICE_X64Y45 IS_USED 0 SITEPROP SLICE_X64Y45 MANUAL_ROUTING SITEPROP SLICE_X64Y45 NAME SLICE_X64Y45 SITEPROP SLICE_X64Y45 NUM_ARCS 153 SITEPROP SLICE_X64Y45 NUM_BELS 32 SITEPROP SLICE_X64Y45 NUM_INPUTS 37 SITEPROP SLICE_X64Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y45 NUM_PINS 50 SITEPROP SLICE_X64Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y45 PROHIBIT 0 SITEPROP SLICE_X64Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y45 RPM_X 169 SITEPROP SLICE_X64Y45 RPM_Y 90 SITEPROP SLICE_X64Y45 SITE_PIPS SITEPROP SLICE_X64Y45 SITE_TYPE SLICEM SITEPROP SLICE_X64Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y46 CLASS site SITEPROP SLICE_X64Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y46 IS_BONDED 0 SITEPROP SLICE_X64Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y46 IS_PAD 0 SITEPROP SLICE_X64Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y46 IS_RESERVED 0 SITEPROP SLICE_X64Y46 IS_TEST 0 SITEPROP SLICE_X64Y46 IS_USED 0 SITEPROP SLICE_X64Y46 MANUAL_ROUTING SITEPROP SLICE_X64Y46 NAME SLICE_X64Y46 SITEPROP SLICE_X64Y46 NUM_ARCS 153 SITEPROP SLICE_X64Y46 NUM_BELS 32 SITEPROP SLICE_X64Y46 NUM_INPUTS 37 SITEPROP SLICE_X64Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y46 NUM_PINS 50 SITEPROP SLICE_X64Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y46 PROHIBIT 0 SITEPROP SLICE_X64Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y46 RPM_X 169 SITEPROP SLICE_X64Y46 RPM_Y 92 SITEPROP SLICE_X64Y46 SITE_PIPS SITEPROP SLICE_X64Y46 SITE_TYPE SLICEM SITEPROP SLICE_X64Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y47 CLASS site SITEPROP SLICE_X64Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y47 IS_BONDED 0 SITEPROP SLICE_X64Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y47 IS_PAD 0 SITEPROP SLICE_X64Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y47 IS_RESERVED 0 SITEPROP SLICE_X64Y47 IS_TEST 0 SITEPROP SLICE_X64Y47 IS_USED 0 SITEPROP SLICE_X64Y47 MANUAL_ROUTING SITEPROP SLICE_X64Y47 NAME SLICE_X64Y47 SITEPROP SLICE_X64Y47 NUM_ARCS 153 SITEPROP SLICE_X64Y47 NUM_BELS 32 SITEPROP SLICE_X64Y47 NUM_INPUTS 37 SITEPROP SLICE_X64Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y47 NUM_PINS 50 SITEPROP SLICE_X64Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y47 PROHIBIT 0 SITEPROP SLICE_X64Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y47 RPM_X 169 SITEPROP SLICE_X64Y47 RPM_Y 94 SITEPROP SLICE_X64Y47 SITE_PIPS SITEPROP SLICE_X64Y47 SITE_TYPE SLICEM SITEPROP SLICE_X64Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y48 CLASS site SITEPROP SLICE_X64Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y48 IS_BONDED 0 SITEPROP SLICE_X64Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y48 IS_PAD 0 SITEPROP SLICE_X64Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y48 IS_RESERVED 0 SITEPROP SLICE_X64Y48 IS_TEST 0 SITEPROP SLICE_X64Y48 IS_USED 0 SITEPROP SLICE_X64Y48 MANUAL_ROUTING SITEPROP SLICE_X64Y48 NAME SLICE_X64Y48 SITEPROP SLICE_X64Y48 NUM_ARCS 153 SITEPROP SLICE_X64Y48 NUM_BELS 32 SITEPROP SLICE_X64Y48 NUM_INPUTS 37 SITEPROP SLICE_X64Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y48 NUM_PINS 50 SITEPROP SLICE_X64Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y48 PROHIBIT 0 SITEPROP SLICE_X64Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y48 RPM_X 169 SITEPROP SLICE_X64Y48 RPM_Y 96 SITEPROP SLICE_X64Y48 SITE_PIPS SITEPROP SLICE_X64Y48 SITE_TYPE SLICEM SITEPROP SLICE_X64Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y49 CLASS site SITEPROP SLICE_X64Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X64Y49 IS_BONDED 0 SITEPROP SLICE_X64Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y49 IS_PAD 0 SITEPROP SLICE_X64Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y49 IS_RESERVED 0 SITEPROP SLICE_X64Y49 IS_TEST 0 SITEPROP SLICE_X64Y49 IS_USED 0 SITEPROP SLICE_X64Y49 MANUAL_ROUTING SITEPROP SLICE_X64Y49 NAME SLICE_X64Y49 SITEPROP SLICE_X64Y49 NUM_ARCS 153 SITEPROP SLICE_X64Y49 NUM_BELS 32 SITEPROP SLICE_X64Y49 NUM_INPUTS 37 SITEPROP SLICE_X64Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y49 NUM_PINS 50 SITEPROP SLICE_X64Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y49 PROHIBIT 0 SITEPROP SLICE_X64Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y49 RPM_X 169 SITEPROP SLICE_X64Y49 RPM_Y 98 SITEPROP SLICE_X64Y49 SITE_PIPS SITEPROP SLICE_X64Y49 SITE_TYPE SLICEM SITEPROP SLICE_X64Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y50 CLASS site SITEPROP SLICE_X64Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y50 IS_BONDED 0 SITEPROP SLICE_X64Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y50 IS_PAD 0 SITEPROP SLICE_X64Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y50 IS_RESERVED 0 SITEPROP SLICE_X64Y50 IS_TEST 0 SITEPROP SLICE_X64Y50 IS_USED 0 SITEPROP SLICE_X64Y50 MANUAL_ROUTING SITEPROP SLICE_X64Y50 NAME SLICE_X64Y50 SITEPROP SLICE_X64Y50 NUM_ARCS 153 SITEPROP SLICE_X64Y50 NUM_BELS 32 SITEPROP SLICE_X64Y50 NUM_INPUTS 37 SITEPROP SLICE_X64Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y50 NUM_PINS 50 SITEPROP SLICE_X64Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y50 PROHIBIT 0 SITEPROP SLICE_X64Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y50 RPM_X 169 SITEPROP SLICE_X64Y50 RPM_Y 100 SITEPROP SLICE_X64Y50 SITE_PIPS SITEPROP SLICE_X64Y50 SITE_TYPE SLICEM SITEPROP SLICE_X64Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y51 CLASS site SITEPROP SLICE_X64Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y51 IS_BONDED 0 SITEPROP SLICE_X64Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y51 IS_PAD 0 SITEPROP SLICE_X64Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y51 IS_RESERVED 0 SITEPROP SLICE_X64Y51 IS_TEST 0 SITEPROP SLICE_X64Y51 IS_USED 0 SITEPROP SLICE_X64Y51 MANUAL_ROUTING SITEPROP SLICE_X64Y51 NAME SLICE_X64Y51 SITEPROP SLICE_X64Y51 NUM_ARCS 153 SITEPROP SLICE_X64Y51 NUM_BELS 32 SITEPROP SLICE_X64Y51 NUM_INPUTS 37 SITEPROP SLICE_X64Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y51 NUM_PINS 50 SITEPROP SLICE_X64Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y51 PROHIBIT 0 SITEPROP SLICE_X64Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y51 RPM_X 169 SITEPROP SLICE_X64Y51 RPM_Y 102 SITEPROP SLICE_X64Y51 SITE_PIPS SITEPROP SLICE_X64Y51 SITE_TYPE SLICEM SITEPROP SLICE_X64Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y52 CLASS site SITEPROP SLICE_X64Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y52 IS_BONDED 0 SITEPROP SLICE_X64Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y52 IS_PAD 0 SITEPROP SLICE_X64Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y52 IS_RESERVED 0 SITEPROP SLICE_X64Y52 IS_TEST 0 SITEPROP SLICE_X64Y52 IS_USED 0 SITEPROP SLICE_X64Y52 MANUAL_ROUTING SITEPROP SLICE_X64Y52 NAME SLICE_X64Y52 SITEPROP SLICE_X64Y52 NUM_ARCS 153 SITEPROP SLICE_X64Y52 NUM_BELS 32 SITEPROP SLICE_X64Y52 NUM_INPUTS 37 SITEPROP SLICE_X64Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y52 NUM_PINS 50 SITEPROP SLICE_X64Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y52 PROHIBIT 0 SITEPROP SLICE_X64Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y52 RPM_X 169 SITEPROP SLICE_X64Y52 RPM_Y 104 SITEPROP SLICE_X64Y52 SITE_PIPS SITEPROP SLICE_X64Y52 SITE_TYPE SLICEM SITEPROP SLICE_X64Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y53 CLASS site SITEPROP SLICE_X64Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y53 IS_BONDED 0 SITEPROP SLICE_X64Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y53 IS_PAD 0 SITEPROP SLICE_X64Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y53 IS_RESERVED 0 SITEPROP SLICE_X64Y53 IS_TEST 0 SITEPROP SLICE_X64Y53 IS_USED 0 SITEPROP SLICE_X64Y53 MANUAL_ROUTING SITEPROP SLICE_X64Y53 NAME SLICE_X64Y53 SITEPROP SLICE_X64Y53 NUM_ARCS 153 SITEPROP SLICE_X64Y53 NUM_BELS 32 SITEPROP SLICE_X64Y53 NUM_INPUTS 37 SITEPROP SLICE_X64Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y53 NUM_PINS 50 SITEPROP SLICE_X64Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y53 PROHIBIT 0 SITEPROP SLICE_X64Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y53 RPM_X 169 SITEPROP SLICE_X64Y53 RPM_Y 106 SITEPROP SLICE_X64Y53 SITE_PIPS SITEPROP SLICE_X64Y53 SITE_TYPE SLICEM SITEPROP SLICE_X64Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y54 CLASS site SITEPROP SLICE_X64Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y54 IS_BONDED 0 SITEPROP SLICE_X64Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y54 IS_PAD 0 SITEPROP SLICE_X64Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y54 IS_RESERVED 0 SITEPROP SLICE_X64Y54 IS_TEST 0 SITEPROP SLICE_X64Y54 IS_USED 0 SITEPROP SLICE_X64Y54 MANUAL_ROUTING SITEPROP SLICE_X64Y54 NAME SLICE_X64Y54 SITEPROP SLICE_X64Y54 NUM_ARCS 153 SITEPROP SLICE_X64Y54 NUM_BELS 32 SITEPROP SLICE_X64Y54 NUM_INPUTS 37 SITEPROP SLICE_X64Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y54 NUM_PINS 50 SITEPROP SLICE_X64Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y54 PROHIBIT 0 SITEPROP SLICE_X64Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y54 RPM_X 169 SITEPROP SLICE_X64Y54 RPM_Y 108 SITEPROP SLICE_X64Y54 SITE_PIPS SITEPROP SLICE_X64Y54 SITE_TYPE SLICEM SITEPROP SLICE_X64Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y55 CLASS site SITEPROP SLICE_X64Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y55 IS_BONDED 0 SITEPROP SLICE_X64Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y55 IS_PAD 0 SITEPROP SLICE_X64Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y55 IS_RESERVED 0 SITEPROP SLICE_X64Y55 IS_TEST 0 SITEPROP SLICE_X64Y55 IS_USED 0 SITEPROP SLICE_X64Y55 MANUAL_ROUTING SITEPROP SLICE_X64Y55 NAME SLICE_X64Y55 SITEPROP SLICE_X64Y55 NUM_ARCS 153 SITEPROP SLICE_X64Y55 NUM_BELS 32 SITEPROP SLICE_X64Y55 NUM_INPUTS 37 SITEPROP SLICE_X64Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y55 NUM_PINS 50 SITEPROP SLICE_X64Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y55 PROHIBIT 0 SITEPROP SLICE_X64Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y55 RPM_X 169 SITEPROP SLICE_X64Y55 RPM_Y 110 SITEPROP SLICE_X64Y55 SITE_PIPS SITEPROP SLICE_X64Y55 SITE_TYPE SLICEM SITEPROP SLICE_X64Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y56 CLASS site SITEPROP SLICE_X64Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y56 IS_BONDED 0 SITEPROP SLICE_X64Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y56 IS_PAD 0 SITEPROP SLICE_X64Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y56 IS_RESERVED 0 SITEPROP SLICE_X64Y56 IS_TEST 0 SITEPROP SLICE_X64Y56 IS_USED 0 SITEPROP SLICE_X64Y56 MANUAL_ROUTING SITEPROP SLICE_X64Y56 NAME SLICE_X64Y56 SITEPROP SLICE_X64Y56 NUM_ARCS 153 SITEPROP SLICE_X64Y56 NUM_BELS 32 SITEPROP SLICE_X64Y56 NUM_INPUTS 37 SITEPROP SLICE_X64Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y56 NUM_PINS 50 SITEPROP SLICE_X64Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y56 PROHIBIT 0 SITEPROP SLICE_X64Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y56 RPM_X 169 SITEPROP SLICE_X64Y56 RPM_Y 112 SITEPROP SLICE_X64Y56 SITE_PIPS SITEPROP SLICE_X64Y56 SITE_TYPE SLICEM SITEPROP SLICE_X64Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y57 CLASS site SITEPROP SLICE_X64Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y57 IS_BONDED 0 SITEPROP SLICE_X64Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y57 IS_PAD 0 SITEPROP SLICE_X64Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y57 IS_RESERVED 0 SITEPROP SLICE_X64Y57 IS_TEST 0 SITEPROP SLICE_X64Y57 IS_USED 0 SITEPROP SLICE_X64Y57 MANUAL_ROUTING SITEPROP SLICE_X64Y57 NAME SLICE_X64Y57 SITEPROP SLICE_X64Y57 NUM_ARCS 153 SITEPROP SLICE_X64Y57 NUM_BELS 32 SITEPROP SLICE_X64Y57 NUM_INPUTS 37 SITEPROP SLICE_X64Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y57 NUM_PINS 50 SITEPROP SLICE_X64Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y57 PROHIBIT 0 SITEPROP SLICE_X64Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y57 RPM_X 169 SITEPROP SLICE_X64Y57 RPM_Y 114 SITEPROP SLICE_X64Y57 SITE_PIPS SITEPROP SLICE_X64Y57 SITE_TYPE SLICEM SITEPROP SLICE_X64Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y58 CLASS site SITEPROP SLICE_X64Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y58 IS_BONDED 0 SITEPROP SLICE_X64Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y58 IS_PAD 0 SITEPROP SLICE_X64Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y58 IS_RESERVED 0 SITEPROP SLICE_X64Y58 IS_TEST 0 SITEPROP SLICE_X64Y58 IS_USED 0 SITEPROP SLICE_X64Y58 MANUAL_ROUTING SITEPROP SLICE_X64Y58 NAME SLICE_X64Y58 SITEPROP SLICE_X64Y58 NUM_ARCS 153 SITEPROP SLICE_X64Y58 NUM_BELS 32 SITEPROP SLICE_X64Y58 NUM_INPUTS 37 SITEPROP SLICE_X64Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y58 NUM_PINS 50 SITEPROP SLICE_X64Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y58 PROHIBIT 0 SITEPROP SLICE_X64Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y58 RPM_X 169 SITEPROP SLICE_X64Y58 RPM_Y 116 SITEPROP SLICE_X64Y58 SITE_PIPS SITEPROP SLICE_X64Y58 SITE_TYPE SLICEM SITEPROP SLICE_X64Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y59 CLASS site SITEPROP SLICE_X64Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y59 IS_BONDED 0 SITEPROP SLICE_X64Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y59 IS_PAD 0 SITEPROP SLICE_X64Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y59 IS_RESERVED 0 SITEPROP SLICE_X64Y59 IS_TEST 0 SITEPROP SLICE_X64Y59 IS_USED 0 SITEPROP SLICE_X64Y59 MANUAL_ROUTING SITEPROP SLICE_X64Y59 NAME SLICE_X64Y59 SITEPROP SLICE_X64Y59 NUM_ARCS 153 SITEPROP SLICE_X64Y59 NUM_BELS 32 SITEPROP SLICE_X64Y59 NUM_INPUTS 37 SITEPROP SLICE_X64Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y59 NUM_PINS 50 SITEPROP SLICE_X64Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y59 PROHIBIT 0 SITEPROP SLICE_X64Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y59 RPM_X 169 SITEPROP SLICE_X64Y59 RPM_Y 118 SITEPROP SLICE_X64Y59 SITE_PIPS SITEPROP SLICE_X64Y59 SITE_TYPE SLICEM SITEPROP SLICE_X64Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y60 CLASS site SITEPROP SLICE_X64Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y60 IS_BONDED 0 SITEPROP SLICE_X64Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y60 IS_PAD 0 SITEPROP SLICE_X64Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y60 IS_RESERVED 0 SITEPROP SLICE_X64Y60 IS_TEST 0 SITEPROP SLICE_X64Y60 IS_USED 0 SITEPROP SLICE_X64Y60 MANUAL_ROUTING SITEPROP SLICE_X64Y60 NAME SLICE_X64Y60 SITEPROP SLICE_X64Y60 NUM_ARCS 153 SITEPROP SLICE_X64Y60 NUM_BELS 32 SITEPROP SLICE_X64Y60 NUM_INPUTS 37 SITEPROP SLICE_X64Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y60 NUM_PINS 50 SITEPROP SLICE_X64Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y60 PROHIBIT 0 SITEPROP SLICE_X64Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y60 RPM_X 169 SITEPROP SLICE_X64Y60 RPM_Y 120 SITEPROP SLICE_X64Y60 SITE_PIPS SITEPROP SLICE_X64Y60 SITE_TYPE SLICEM SITEPROP SLICE_X64Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y61 CLASS site SITEPROP SLICE_X64Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y61 IS_BONDED 0 SITEPROP SLICE_X64Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y61 IS_PAD 0 SITEPROP SLICE_X64Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y61 IS_RESERVED 0 SITEPROP SLICE_X64Y61 IS_TEST 0 SITEPROP SLICE_X64Y61 IS_USED 0 SITEPROP SLICE_X64Y61 MANUAL_ROUTING SITEPROP SLICE_X64Y61 NAME SLICE_X64Y61 SITEPROP SLICE_X64Y61 NUM_ARCS 153 SITEPROP SLICE_X64Y61 NUM_BELS 32 SITEPROP SLICE_X64Y61 NUM_INPUTS 37 SITEPROP SLICE_X64Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y61 NUM_PINS 50 SITEPROP SLICE_X64Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y61 PROHIBIT 0 SITEPROP SLICE_X64Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y61 RPM_X 169 SITEPROP SLICE_X64Y61 RPM_Y 122 SITEPROP SLICE_X64Y61 SITE_PIPS SITEPROP SLICE_X64Y61 SITE_TYPE SLICEM SITEPROP SLICE_X64Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y62 CLASS site SITEPROP SLICE_X64Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y62 IS_BONDED 0 SITEPROP SLICE_X64Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y62 IS_PAD 0 SITEPROP SLICE_X64Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y62 IS_RESERVED 0 SITEPROP SLICE_X64Y62 IS_TEST 0 SITEPROP SLICE_X64Y62 IS_USED 0 SITEPROP SLICE_X64Y62 MANUAL_ROUTING SITEPROP SLICE_X64Y62 NAME SLICE_X64Y62 SITEPROP SLICE_X64Y62 NUM_ARCS 153 SITEPROP SLICE_X64Y62 NUM_BELS 32 SITEPROP SLICE_X64Y62 NUM_INPUTS 37 SITEPROP SLICE_X64Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y62 NUM_PINS 50 SITEPROP SLICE_X64Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y62 PROHIBIT 0 SITEPROP SLICE_X64Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y62 RPM_X 169 SITEPROP SLICE_X64Y62 RPM_Y 124 SITEPROP SLICE_X64Y62 SITE_PIPS SITEPROP SLICE_X64Y62 SITE_TYPE SLICEM SITEPROP SLICE_X64Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y63 CLASS site SITEPROP SLICE_X64Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y63 IS_BONDED 0 SITEPROP SLICE_X64Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y63 IS_PAD 0 SITEPROP SLICE_X64Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y63 IS_RESERVED 0 SITEPROP SLICE_X64Y63 IS_TEST 0 SITEPROP SLICE_X64Y63 IS_USED 0 SITEPROP SLICE_X64Y63 MANUAL_ROUTING SITEPROP SLICE_X64Y63 NAME SLICE_X64Y63 SITEPROP SLICE_X64Y63 NUM_ARCS 153 SITEPROP SLICE_X64Y63 NUM_BELS 32 SITEPROP SLICE_X64Y63 NUM_INPUTS 37 SITEPROP SLICE_X64Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y63 NUM_PINS 50 SITEPROP SLICE_X64Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y63 PROHIBIT 0 SITEPROP SLICE_X64Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y63 RPM_X 169 SITEPROP SLICE_X64Y63 RPM_Y 126 SITEPROP SLICE_X64Y63 SITE_PIPS SITEPROP SLICE_X64Y63 SITE_TYPE SLICEM SITEPROP SLICE_X64Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y64 CLASS site SITEPROP SLICE_X64Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y64 IS_BONDED 0 SITEPROP SLICE_X64Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y64 IS_PAD 0 SITEPROP SLICE_X64Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y64 IS_RESERVED 0 SITEPROP SLICE_X64Y64 IS_TEST 0 SITEPROP SLICE_X64Y64 IS_USED 0 SITEPROP SLICE_X64Y64 MANUAL_ROUTING SITEPROP SLICE_X64Y64 NAME SLICE_X64Y64 SITEPROP SLICE_X64Y64 NUM_ARCS 153 SITEPROP SLICE_X64Y64 NUM_BELS 32 SITEPROP SLICE_X64Y64 NUM_INPUTS 37 SITEPROP SLICE_X64Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y64 NUM_PINS 50 SITEPROP SLICE_X64Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y64 PROHIBIT 0 SITEPROP SLICE_X64Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y64 RPM_X 169 SITEPROP SLICE_X64Y64 RPM_Y 128 SITEPROP SLICE_X64Y64 SITE_PIPS SITEPROP SLICE_X64Y64 SITE_TYPE SLICEM SITEPROP SLICE_X64Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y65 CLASS site SITEPROP SLICE_X64Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y65 IS_BONDED 0 SITEPROP SLICE_X64Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y65 IS_PAD 0 SITEPROP SLICE_X64Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y65 IS_RESERVED 0 SITEPROP SLICE_X64Y65 IS_TEST 0 SITEPROP SLICE_X64Y65 IS_USED 0 SITEPROP SLICE_X64Y65 MANUAL_ROUTING SITEPROP SLICE_X64Y65 NAME SLICE_X64Y65 SITEPROP SLICE_X64Y65 NUM_ARCS 153 SITEPROP SLICE_X64Y65 NUM_BELS 32 SITEPROP SLICE_X64Y65 NUM_INPUTS 37 SITEPROP SLICE_X64Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y65 NUM_PINS 50 SITEPROP SLICE_X64Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y65 PROHIBIT 0 SITEPROP SLICE_X64Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y65 RPM_X 169 SITEPROP SLICE_X64Y65 RPM_Y 130 SITEPROP SLICE_X64Y65 SITE_PIPS SITEPROP SLICE_X64Y65 SITE_TYPE SLICEM SITEPROP SLICE_X64Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y66 CLASS site SITEPROP SLICE_X64Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y66 IS_BONDED 0 SITEPROP SLICE_X64Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y66 IS_PAD 0 SITEPROP SLICE_X64Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y66 IS_RESERVED 0 SITEPROP SLICE_X64Y66 IS_TEST 0 SITEPROP SLICE_X64Y66 IS_USED 0 SITEPROP SLICE_X64Y66 MANUAL_ROUTING SITEPROP SLICE_X64Y66 NAME SLICE_X64Y66 SITEPROP SLICE_X64Y66 NUM_ARCS 153 SITEPROP SLICE_X64Y66 NUM_BELS 32 SITEPROP SLICE_X64Y66 NUM_INPUTS 37 SITEPROP SLICE_X64Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y66 NUM_PINS 50 SITEPROP SLICE_X64Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y66 PROHIBIT 0 SITEPROP SLICE_X64Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y66 RPM_X 169 SITEPROP SLICE_X64Y66 RPM_Y 132 SITEPROP SLICE_X64Y66 SITE_PIPS SITEPROP SLICE_X64Y66 SITE_TYPE SLICEM SITEPROP SLICE_X64Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y67 CLASS site SITEPROP SLICE_X64Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y67 IS_BONDED 0 SITEPROP SLICE_X64Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y67 IS_PAD 0 SITEPROP SLICE_X64Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y67 IS_RESERVED 0 SITEPROP SLICE_X64Y67 IS_TEST 0 SITEPROP SLICE_X64Y67 IS_USED 0 SITEPROP SLICE_X64Y67 MANUAL_ROUTING SITEPROP SLICE_X64Y67 NAME SLICE_X64Y67 SITEPROP SLICE_X64Y67 NUM_ARCS 153 SITEPROP SLICE_X64Y67 NUM_BELS 32 SITEPROP SLICE_X64Y67 NUM_INPUTS 37 SITEPROP SLICE_X64Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y67 NUM_PINS 50 SITEPROP SLICE_X64Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y67 PROHIBIT 0 SITEPROP SLICE_X64Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y67 RPM_X 169 SITEPROP SLICE_X64Y67 RPM_Y 134 SITEPROP SLICE_X64Y67 SITE_PIPS SITEPROP SLICE_X64Y67 SITE_TYPE SLICEM SITEPROP SLICE_X64Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y68 CLASS site SITEPROP SLICE_X64Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y68 IS_BONDED 0 SITEPROP SLICE_X64Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y68 IS_PAD 0 SITEPROP SLICE_X64Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y68 IS_RESERVED 0 SITEPROP SLICE_X64Y68 IS_TEST 0 SITEPROP SLICE_X64Y68 IS_USED 0 SITEPROP SLICE_X64Y68 MANUAL_ROUTING SITEPROP SLICE_X64Y68 NAME SLICE_X64Y68 SITEPROP SLICE_X64Y68 NUM_ARCS 153 SITEPROP SLICE_X64Y68 NUM_BELS 32 SITEPROP SLICE_X64Y68 NUM_INPUTS 37 SITEPROP SLICE_X64Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y68 NUM_PINS 50 SITEPROP SLICE_X64Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y68 PROHIBIT 0 SITEPROP SLICE_X64Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y68 RPM_X 169 SITEPROP SLICE_X64Y68 RPM_Y 136 SITEPROP SLICE_X64Y68 SITE_PIPS SITEPROP SLICE_X64Y68 SITE_TYPE SLICEM SITEPROP SLICE_X64Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y69 CLASS site SITEPROP SLICE_X64Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y69 IS_BONDED 0 SITEPROP SLICE_X64Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y69 IS_PAD 0 SITEPROP SLICE_X64Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y69 IS_RESERVED 0 SITEPROP SLICE_X64Y69 IS_TEST 0 SITEPROP SLICE_X64Y69 IS_USED 0 SITEPROP SLICE_X64Y69 MANUAL_ROUTING SITEPROP SLICE_X64Y69 NAME SLICE_X64Y69 SITEPROP SLICE_X64Y69 NUM_ARCS 153 SITEPROP SLICE_X64Y69 NUM_BELS 32 SITEPROP SLICE_X64Y69 NUM_INPUTS 37 SITEPROP SLICE_X64Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y69 NUM_PINS 50 SITEPROP SLICE_X64Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y69 PROHIBIT 0 SITEPROP SLICE_X64Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y69 RPM_X 169 SITEPROP SLICE_X64Y69 RPM_Y 138 SITEPROP SLICE_X64Y69 SITE_PIPS SITEPROP SLICE_X64Y69 SITE_TYPE SLICEM SITEPROP SLICE_X64Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y70 CLASS site SITEPROP SLICE_X64Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y70 IS_BONDED 0 SITEPROP SLICE_X64Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y70 IS_PAD 0 SITEPROP SLICE_X64Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y70 IS_RESERVED 0 SITEPROP SLICE_X64Y70 IS_TEST 0 SITEPROP SLICE_X64Y70 IS_USED 0 SITEPROP SLICE_X64Y70 MANUAL_ROUTING SITEPROP SLICE_X64Y70 NAME SLICE_X64Y70 SITEPROP SLICE_X64Y70 NUM_ARCS 153 SITEPROP SLICE_X64Y70 NUM_BELS 32 SITEPROP SLICE_X64Y70 NUM_INPUTS 37 SITEPROP SLICE_X64Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y70 NUM_PINS 50 SITEPROP SLICE_X64Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y70 PROHIBIT 0 SITEPROP SLICE_X64Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y70 RPM_X 169 SITEPROP SLICE_X64Y70 RPM_Y 140 SITEPROP SLICE_X64Y70 SITE_PIPS SITEPROP SLICE_X64Y70 SITE_TYPE SLICEM SITEPROP SLICE_X64Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y71 CLASS site SITEPROP SLICE_X64Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y71 IS_BONDED 0 SITEPROP SLICE_X64Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y71 IS_PAD 0 SITEPROP SLICE_X64Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y71 IS_RESERVED 0 SITEPROP SLICE_X64Y71 IS_TEST 0 SITEPROP SLICE_X64Y71 IS_USED 0 SITEPROP SLICE_X64Y71 MANUAL_ROUTING SITEPROP SLICE_X64Y71 NAME SLICE_X64Y71 SITEPROP SLICE_X64Y71 NUM_ARCS 153 SITEPROP SLICE_X64Y71 NUM_BELS 32 SITEPROP SLICE_X64Y71 NUM_INPUTS 37 SITEPROP SLICE_X64Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y71 NUM_PINS 50 SITEPROP SLICE_X64Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y71 PROHIBIT 0 SITEPROP SLICE_X64Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y71 RPM_X 169 SITEPROP SLICE_X64Y71 RPM_Y 142 SITEPROP SLICE_X64Y71 SITE_PIPS SITEPROP SLICE_X64Y71 SITE_TYPE SLICEM SITEPROP SLICE_X64Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y72 CLASS site SITEPROP SLICE_X64Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y72 IS_BONDED 0 SITEPROP SLICE_X64Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y72 IS_PAD 0 SITEPROP SLICE_X64Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y72 IS_RESERVED 0 SITEPROP SLICE_X64Y72 IS_TEST 0 SITEPROP SLICE_X64Y72 IS_USED 0 SITEPROP SLICE_X64Y72 MANUAL_ROUTING SITEPROP SLICE_X64Y72 NAME SLICE_X64Y72 SITEPROP SLICE_X64Y72 NUM_ARCS 153 SITEPROP SLICE_X64Y72 NUM_BELS 32 SITEPROP SLICE_X64Y72 NUM_INPUTS 37 SITEPROP SLICE_X64Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y72 NUM_PINS 50 SITEPROP SLICE_X64Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y72 PROHIBIT 0 SITEPROP SLICE_X64Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y72 RPM_X 169 SITEPROP SLICE_X64Y72 RPM_Y 144 SITEPROP SLICE_X64Y72 SITE_PIPS SITEPROP SLICE_X64Y72 SITE_TYPE SLICEM SITEPROP SLICE_X64Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y73 CLASS site SITEPROP SLICE_X64Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y73 IS_BONDED 0 SITEPROP SLICE_X64Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y73 IS_PAD 0 SITEPROP SLICE_X64Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y73 IS_RESERVED 0 SITEPROP SLICE_X64Y73 IS_TEST 0 SITEPROP SLICE_X64Y73 IS_USED 0 SITEPROP SLICE_X64Y73 MANUAL_ROUTING SITEPROP SLICE_X64Y73 NAME SLICE_X64Y73 SITEPROP SLICE_X64Y73 NUM_ARCS 153 SITEPROP SLICE_X64Y73 NUM_BELS 32 SITEPROP SLICE_X64Y73 NUM_INPUTS 37 SITEPROP SLICE_X64Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y73 NUM_PINS 50 SITEPROP SLICE_X64Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y73 PROHIBIT 0 SITEPROP SLICE_X64Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y73 RPM_X 169 SITEPROP SLICE_X64Y73 RPM_Y 146 SITEPROP SLICE_X64Y73 SITE_PIPS SITEPROP SLICE_X64Y73 SITE_TYPE SLICEM SITEPROP SLICE_X64Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y74 CLASS site SITEPROP SLICE_X64Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y74 IS_BONDED 0 SITEPROP SLICE_X64Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y74 IS_PAD 0 SITEPROP SLICE_X64Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y74 IS_RESERVED 0 SITEPROP SLICE_X64Y74 IS_TEST 0 SITEPROP SLICE_X64Y74 IS_USED 0 SITEPROP SLICE_X64Y74 MANUAL_ROUTING SITEPROP SLICE_X64Y74 NAME SLICE_X64Y74 SITEPROP SLICE_X64Y74 NUM_ARCS 153 SITEPROP SLICE_X64Y74 NUM_BELS 32 SITEPROP SLICE_X64Y74 NUM_INPUTS 37 SITEPROP SLICE_X64Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y74 NUM_PINS 50 SITEPROP SLICE_X64Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y74 PROHIBIT 0 SITEPROP SLICE_X64Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y74 RPM_X 169 SITEPROP SLICE_X64Y74 RPM_Y 148 SITEPROP SLICE_X64Y74 SITE_PIPS SITEPROP SLICE_X64Y74 SITE_TYPE SLICEM SITEPROP SLICE_X64Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y75 CLASS site SITEPROP SLICE_X64Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y75 IS_BONDED 0 SITEPROP SLICE_X64Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y75 IS_PAD 0 SITEPROP SLICE_X64Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y75 IS_RESERVED 0 SITEPROP SLICE_X64Y75 IS_TEST 0 SITEPROP SLICE_X64Y75 IS_USED 0 SITEPROP SLICE_X64Y75 MANUAL_ROUTING SITEPROP SLICE_X64Y75 NAME SLICE_X64Y75 SITEPROP SLICE_X64Y75 NUM_ARCS 153 SITEPROP SLICE_X64Y75 NUM_BELS 32 SITEPROP SLICE_X64Y75 NUM_INPUTS 37 SITEPROP SLICE_X64Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y75 NUM_PINS 50 SITEPROP SLICE_X64Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y75 PROHIBIT 0 SITEPROP SLICE_X64Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y75 RPM_X 169 SITEPROP SLICE_X64Y75 RPM_Y 150 SITEPROP SLICE_X64Y75 SITE_PIPS SITEPROP SLICE_X64Y75 SITE_TYPE SLICEM SITEPROP SLICE_X64Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y76 CLASS site SITEPROP SLICE_X64Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y76 IS_BONDED 0 SITEPROP SLICE_X64Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y76 IS_PAD 0 SITEPROP SLICE_X64Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y76 IS_RESERVED 0 SITEPROP SLICE_X64Y76 IS_TEST 0 SITEPROP SLICE_X64Y76 IS_USED 0 SITEPROP SLICE_X64Y76 MANUAL_ROUTING SITEPROP SLICE_X64Y76 NAME SLICE_X64Y76 SITEPROP SLICE_X64Y76 NUM_ARCS 153 SITEPROP SLICE_X64Y76 NUM_BELS 32 SITEPROP SLICE_X64Y76 NUM_INPUTS 37 SITEPROP SLICE_X64Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y76 NUM_PINS 50 SITEPROP SLICE_X64Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y76 PROHIBIT 0 SITEPROP SLICE_X64Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y76 RPM_X 169 SITEPROP SLICE_X64Y76 RPM_Y 152 SITEPROP SLICE_X64Y76 SITE_PIPS SITEPROP SLICE_X64Y76 SITE_TYPE SLICEM SITEPROP SLICE_X64Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y77 CLASS site SITEPROP SLICE_X64Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y77 IS_BONDED 0 SITEPROP SLICE_X64Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y77 IS_PAD 0 SITEPROP SLICE_X64Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y77 IS_RESERVED 0 SITEPROP SLICE_X64Y77 IS_TEST 0 SITEPROP SLICE_X64Y77 IS_USED 0 SITEPROP SLICE_X64Y77 MANUAL_ROUTING SITEPROP SLICE_X64Y77 NAME SLICE_X64Y77 SITEPROP SLICE_X64Y77 NUM_ARCS 153 SITEPROP SLICE_X64Y77 NUM_BELS 32 SITEPROP SLICE_X64Y77 NUM_INPUTS 37 SITEPROP SLICE_X64Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y77 NUM_PINS 50 SITEPROP SLICE_X64Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y77 PROHIBIT 0 SITEPROP SLICE_X64Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y77 RPM_X 169 SITEPROP SLICE_X64Y77 RPM_Y 154 SITEPROP SLICE_X64Y77 SITE_PIPS SITEPROP SLICE_X64Y77 SITE_TYPE SLICEM SITEPROP SLICE_X64Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y78 CLASS site SITEPROP SLICE_X64Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y78 IS_BONDED 0 SITEPROP SLICE_X64Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y78 IS_PAD 0 SITEPROP SLICE_X64Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y78 IS_RESERVED 0 SITEPROP SLICE_X64Y78 IS_TEST 0 SITEPROP SLICE_X64Y78 IS_USED 0 SITEPROP SLICE_X64Y78 MANUAL_ROUTING SITEPROP SLICE_X64Y78 NAME SLICE_X64Y78 SITEPROP SLICE_X64Y78 NUM_ARCS 153 SITEPROP SLICE_X64Y78 NUM_BELS 32 SITEPROP SLICE_X64Y78 NUM_INPUTS 37 SITEPROP SLICE_X64Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y78 NUM_PINS 50 SITEPROP SLICE_X64Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y78 PROHIBIT 0 SITEPROP SLICE_X64Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y78 RPM_X 169 SITEPROP SLICE_X64Y78 RPM_Y 156 SITEPROP SLICE_X64Y78 SITE_PIPS SITEPROP SLICE_X64Y78 SITE_TYPE SLICEM SITEPROP SLICE_X64Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y79 CLASS site SITEPROP SLICE_X64Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y79 IS_BONDED 0 SITEPROP SLICE_X64Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y79 IS_PAD 0 SITEPROP SLICE_X64Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y79 IS_RESERVED 0 SITEPROP SLICE_X64Y79 IS_TEST 0 SITEPROP SLICE_X64Y79 IS_USED 0 SITEPROP SLICE_X64Y79 MANUAL_ROUTING SITEPROP SLICE_X64Y79 NAME SLICE_X64Y79 SITEPROP SLICE_X64Y79 NUM_ARCS 153 SITEPROP SLICE_X64Y79 NUM_BELS 32 SITEPROP SLICE_X64Y79 NUM_INPUTS 37 SITEPROP SLICE_X64Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y79 NUM_PINS 50 SITEPROP SLICE_X64Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y79 PROHIBIT 0 SITEPROP SLICE_X64Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y79 RPM_X 169 SITEPROP SLICE_X64Y79 RPM_Y 158 SITEPROP SLICE_X64Y79 SITE_PIPS SITEPROP SLICE_X64Y79 SITE_TYPE SLICEM SITEPROP SLICE_X64Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y80 CLASS site SITEPROP SLICE_X64Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y80 IS_BONDED 0 SITEPROP SLICE_X64Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y80 IS_PAD 0 SITEPROP SLICE_X64Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y80 IS_RESERVED 0 SITEPROP SLICE_X64Y80 IS_TEST 0 SITEPROP SLICE_X64Y80 IS_USED 0 SITEPROP SLICE_X64Y80 MANUAL_ROUTING SITEPROP SLICE_X64Y80 NAME SLICE_X64Y80 SITEPROP SLICE_X64Y80 NUM_ARCS 153 SITEPROP SLICE_X64Y80 NUM_BELS 32 SITEPROP SLICE_X64Y80 NUM_INPUTS 37 SITEPROP SLICE_X64Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y80 NUM_PINS 50 SITEPROP SLICE_X64Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y80 PROHIBIT 0 SITEPROP SLICE_X64Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y80 RPM_X 169 SITEPROP SLICE_X64Y80 RPM_Y 160 SITEPROP SLICE_X64Y80 SITE_PIPS SITEPROP SLICE_X64Y80 SITE_TYPE SLICEM SITEPROP SLICE_X64Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y81 CLASS site SITEPROP SLICE_X64Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y81 IS_BONDED 0 SITEPROP SLICE_X64Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y81 IS_PAD 0 SITEPROP SLICE_X64Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y81 IS_RESERVED 0 SITEPROP SLICE_X64Y81 IS_TEST 0 SITEPROP SLICE_X64Y81 IS_USED 0 SITEPROP SLICE_X64Y81 MANUAL_ROUTING SITEPROP SLICE_X64Y81 NAME SLICE_X64Y81 SITEPROP SLICE_X64Y81 NUM_ARCS 153 SITEPROP SLICE_X64Y81 NUM_BELS 32 SITEPROP SLICE_X64Y81 NUM_INPUTS 37 SITEPROP SLICE_X64Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y81 NUM_PINS 50 SITEPROP SLICE_X64Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y81 PROHIBIT 0 SITEPROP SLICE_X64Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y81 RPM_X 169 SITEPROP SLICE_X64Y81 RPM_Y 162 SITEPROP SLICE_X64Y81 SITE_PIPS SITEPROP SLICE_X64Y81 SITE_TYPE SLICEM SITEPROP SLICE_X64Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y82 CLASS site SITEPROP SLICE_X64Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y82 IS_BONDED 0 SITEPROP SLICE_X64Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y82 IS_PAD 0 SITEPROP SLICE_X64Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y82 IS_RESERVED 0 SITEPROP SLICE_X64Y82 IS_TEST 0 SITEPROP SLICE_X64Y82 IS_USED 0 SITEPROP SLICE_X64Y82 MANUAL_ROUTING SITEPROP SLICE_X64Y82 NAME SLICE_X64Y82 SITEPROP SLICE_X64Y82 NUM_ARCS 153 SITEPROP SLICE_X64Y82 NUM_BELS 32 SITEPROP SLICE_X64Y82 NUM_INPUTS 37 SITEPROP SLICE_X64Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y82 NUM_PINS 50 SITEPROP SLICE_X64Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y82 PROHIBIT 0 SITEPROP SLICE_X64Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y82 RPM_X 169 SITEPROP SLICE_X64Y82 RPM_Y 164 SITEPROP SLICE_X64Y82 SITE_PIPS SITEPROP SLICE_X64Y82 SITE_TYPE SLICEM SITEPROP SLICE_X64Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y83 CLASS site SITEPROP SLICE_X64Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y83 IS_BONDED 0 SITEPROP SLICE_X64Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y83 IS_PAD 0 SITEPROP SLICE_X64Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y83 IS_RESERVED 0 SITEPROP SLICE_X64Y83 IS_TEST 0 SITEPROP SLICE_X64Y83 IS_USED 0 SITEPROP SLICE_X64Y83 MANUAL_ROUTING SITEPROP SLICE_X64Y83 NAME SLICE_X64Y83 SITEPROP SLICE_X64Y83 NUM_ARCS 153 SITEPROP SLICE_X64Y83 NUM_BELS 32 SITEPROP SLICE_X64Y83 NUM_INPUTS 37 SITEPROP SLICE_X64Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y83 NUM_PINS 50 SITEPROP SLICE_X64Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y83 PROHIBIT 0 SITEPROP SLICE_X64Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y83 RPM_X 169 SITEPROP SLICE_X64Y83 RPM_Y 166 SITEPROP SLICE_X64Y83 SITE_PIPS SITEPROP SLICE_X64Y83 SITE_TYPE SLICEM SITEPROP SLICE_X64Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y84 CLASS site SITEPROP SLICE_X64Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y84 IS_BONDED 0 SITEPROP SLICE_X64Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y84 IS_PAD 0 SITEPROP SLICE_X64Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y84 IS_RESERVED 0 SITEPROP SLICE_X64Y84 IS_TEST 0 SITEPROP SLICE_X64Y84 IS_USED 0 SITEPROP SLICE_X64Y84 MANUAL_ROUTING SITEPROP SLICE_X64Y84 NAME SLICE_X64Y84 SITEPROP SLICE_X64Y84 NUM_ARCS 153 SITEPROP SLICE_X64Y84 NUM_BELS 32 SITEPROP SLICE_X64Y84 NUM_INPUTS 37 SITEPROP SLICE_X64Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y84 NUM_PINS 50 SITEPROP SLICE_X64Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y84 PROHIBIT 0 SITEPROP SLICE_X64Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y84 RPM_X 169 SITEPROP SLICE_X64Y84 RPM_Y 168 SITEPROP SLICE_X64Y84 SITE_PIPS SITEPROP SLICE_X64Y84 SITE_TYPE SLICEM SITEPROP SLICE_X64Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y85 CLASS site SITEPROP SLICE_X64Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y85 IS_BONDED 0 SITEPROP SLICE_X64Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y85 IS_PAD 0 SITEPROP SLICE_X64Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y85 IS_RESERVED 0 SITEPROP SLICE_X64Y85 IS_TEST 0 SITEPROP SLICE_X64Y85 IS_USED 0 SITEPROP SLICE_X64Y85 MANUAL_ROUTING SITEPROP SLICE_X64Y85 NAME SLICE_X64Y85 SITEPROP SLICE_X64Y85 NUM_ARCS 153 SITEPROP SLICE_X64Y85 NUM_BELS 32 SITEPROP SLICE_X64Y85 NUM_INPUTS 37 SITEPROP SLICE_X64Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y85 NUM_PINS 50 SITEPROP SLICE_X64Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y85 PROHIBIT 0 SITEPROP SLICE_X64Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y85 RPM_X 169 SITEPROP SLICE_X64Y85 RPM_Y 170 SITEPROP SLICE_X64Y85 SITE_PIPS SITEPROP SLICE_X64Y85 SITE_TYPE SLICEM SITEPROP SLICE_X64Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y86 CLASS site SITEPROP SLICE_X64Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y86 IS_BONDED 0 SITEPROP SLICE_X64Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y86 IS_PAD 0 SITEPROP SLICE_X64Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y86 IS_RESERVED 0 SITEPROP SLICE_X64Y86 IS_TEST 0 SITEPROP SLICE_X64Y86 IS_USED 0 SITEPROP SLICE_X64Y86 MANUAL_ROUTING SITEPROP SLICE_X64Y86 NAME SLICE_X64Y86 SITEPROP SLICE_X64Y86 NUM_ARCS 153 SITEPROP SLICE_X64Y86 NUM_BELS 32 SITEPROP SLICE_X64Y86 NUM_INPUTS 37 SITEPROP SLICE_X64Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y86 NUM_PINS 50 SITEPROP SLICE_X64Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y86 PROHIBIT 0 SITEPROP SLICE_X64Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y86 RPM_X 169 SITEPROP SLICE_X64Y86 RPM_Y 172 SITEPROP SLICE_X64Y86 SITE_PIPS SITEPROP SLICE_X64Y86 SITE_TYPE SLICEM SITEPROP SLICE_X64Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y87 CLASS site SITEPROP SLICE_X64Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y87 IS_BONDED 0 SITEPROP SLICE_X64Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y87 IS_PAD 0 SITEPROP SLICE_X64Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y87 IS_RESERVED 0 SITEPROP SLICE_X64Y87 IS_TEST 0 SITEPROP SLICE_X64Y87 IS_USED 0 SITEPROP SLICE_X64Y87 MANUAL_ROUTING SITEPROP SLICE_X64Y87 NAME SLICE_X64Y87 SITEPROP SLICE_X64Y87 NUM_ARCS 153 SITEPROP SLICE_X64Y87 NUM_BELS 32 SITEPROP SLICE_X64Y87 NUM_INPUTS 37 SITEPROP SLICE_X64Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y87 NUM_PINS 50 SITEPROP SLICE_X64Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y87 PROHIBIT 0 SITEPROP SLICE_X64Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y87 RPM_X 169 SITEPROP SLICE_X64Y87 RPM_Y 174 SITEPROP SLICE_X64Y87 SITE_PIPS SITEPROP SLICE_X64Y87 SITE_TYPE SLICEM SITEPROP SLICE_X64Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y88 CLASS site SITEPROP SLICE_X64Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y88 IS_BONDED 0 SITEPROP SLICE_X64Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y88 IS_PAD 0 SITEPROP SLICE_X64Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y88 IS_RESERVED 0 SITEPROP SLICE_X64Y88 IS_TEST 0 SITEPROP SLICE_X64Y88 IS_USED 0 SITEPROP SLICE_X64Y88 MANUAL_ROUTING SITEPROP SLICE_X64Y88 NAME SLICE_X64Y88 SITEPROP SLICE_X64Y88 NUM_ARCS 153 SITEPROP SLICE_X64Y88 NUM_BELS 32 SITEPROP SLICE_X64Y88 NUM_INPUTS 37 SITEPROP SLICE_X64Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y88 NUM_PINS 50 SITEPROP SLICE_X64Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y88 PROHIBIT 0 SITEPROP SLICE_X64Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y88 RPM_X 169 SITEPROP SLICE_X64Y88 RPM_Y 176 SITEPROP SLICE_X64Y88 SITE_PIPS SITEPROP SLICE_X64Y88 SITE_TYPE SLICEM SITEPROP SLICE_X64Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y89 CLASS site SITEPROP SLICE_X64Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y89 IS_BONDED 0 SITEPROP SLICE_X64Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y89 IS_PAD 0 SITEPROP SLICE_X64Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y89 IS_RESERVED 0 SITEPROP SLICE_X64Y89 IS_TEST 0 SITEPROP SLICE_X64Y89 IS_USED 0 SITEPROP SLICE_X64Y89 MANUAL_ROUTING SITEPROP SLICE_X64Y89 NAME SLICE_X64Y89 SITEPROP SLICE_X64Y89 NUM_ARCS 153 SITEPROP SLICE_X64Y89 NUM_BELS 32 SITEPROP SLICE_X64Y89 NUM_INPUTS 37 SITEPROP SLICE_X64Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y89 NUM_PINS 50 SITEPROP SLICE_X64Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y89 PROHIBIT 0 SITEPROP SLICE_X64Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y89 RPM_X 169 SITEPROP SLICE_X64Y89 RPM_Y 178 SITEPROP SLICE_X64Y89 SITE_PIPS SITEPROP SLICE_X64Y89 SITE_TYPE SLICEM SITEPROP SLICE_X64Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y90 CLASS site SITEPROP SLICE_X64Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y90 IS_BONDED 0 SITEPROP SLICE_X64Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y90 IS_PAD 0 SITEPROP SLICE_X64Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y90 IS_RESERVED 0 SITEPROP SLICE_X64Y90 IS_TEST 0 SITEPROP SLICE_X64Y90 IS_USED 0 SITEPROP SLICE_X64Y90 MANUAL_ROUTING SITEPROP SLICE_X64Y90 NAME SLICE_X64Y90 SITEPROP SLICE_X64Y90 NUM_ARCS 153 SITEPROP SLICE_X64Y90 NUM_BELS 32 SITEPROP SLICE_X64Y90 NUM_INPUTS 37 SITEPROP SLICE_X64Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y90 NUM_PINS 50 SITEPROP SLICE_X64Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y90 PROHIBIT 0 SITEPROP SLICE_X64Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y90 RPM_X 169 SITEPROP SLICE_X64Y90 RPM_Y 180 SITEPROP SLICE_X64Y90 SITE_PIPS SITEPROP SLICE_X64Y90 SITE_TYPE SLICEM SITEPROP SLICE_X64Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y91 CLASS site SITEPROP SLICE_X64Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y91 IS_BONDED 0 SITEPROP SLICE_X64Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y91 IS_PAD 0 SITEPROP SLICE_X64Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y91 IS_RESERVED 0 SITEPROP SLICE_X64Y91 IS_TEST 0 SITEPROP SLICE_X64Y91 IS_USED 0 SITEPROP SLICE_X64Y91 MANUAL_ROUTING SITEPROP SLICE_X64Y91 NAME SLICE_X64Y91 SITEPROP SLICE_X64Y91 NUM_ARCS 153 SITEPROP SLICE_X64Y91 NUM_BELS 32 SITEPROP SLICE_X64Y91 NUM_INPUTS 37 SITEPROP SLICE_X64Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y91 NUM_PINS 50 SITEPROP SLICE_X64Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y91 PROHIBIT 0 SITEPROP SLICE_X64Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y91 RPM_X 169 SITEPROP SLICE_X64Y91 RPM_Y 182 SITEPROP SLICE_X64Y91 SITE_PIPS SITEPROP SLICE_X64Y91 SITE_TYPE SLICEM SITEPROP SLICE_X64Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y92 CLASS site SITEPROP SLICE_X64Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y92 IS_BONDED 0 SITEPROP SLICE_X64Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y92 IS_PAD 0 SITEPROP SLICE_X64Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y92 IS_RESERVED 0 SITEPROP SLICE_X64Y92 IS_TEST 0 SITEPROP SLICE_X64Y92 IS_USED 0 SITEPROP SLICE_X64Y92 MANUAL_ROUTING SITEPROP SLICE_X64Y92 NAME SLICE_X64Y92 SITEPROP SLICE_X64Y92 NUM_ARCS 153 SITEPROP SLICE_X64Y92 NUM_BELS 32 SITEPROP SLICE_X64Y92 NUM_INPUTS 37 SITEPROP SLICE_X64Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y92 NUM_PINS 50 SITEPROP SLICE_X64Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y92 PROHIBIT 0 SITEPROP SLICE_X64Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y92 RPM_X 169 SITEPROP SLICE_X64Y92 RPM_Y 184 SITEPROP SLICE_X64Y92 SITE_PIPS SITEPROP SLICE_X64Y92 SITE_TYPE SLICEM SITEPROP SLICE_X64Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y93 CLASS site SITEPROP SLICE_X64Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y93 IS_BONDED 0 SITEPROP SLICE_X64Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y93 IS_PAD 0 SITEPROP SLICE_X64Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y93 IS_RESERVED 0 SITEPROP SLICE_X64Y93 IS_TEST 0 SITEPROP SLICE_X64Y93 IS_USED 0 SITEPROP SLICE_X64Y93 MANUAL_ROUTING SITEPROP SLICE_X64Y93 NAME SLICE_X64Y93 SITEPROP SLICE_X64Y93 NUM_ARCS 153 SITEPROP SLICE_X64Y93 NUM_BELS 32 SITEPROP SLICE_X64Y93 NUM_INPUTS 37 SITEPROP SLICE_X64Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y93 NUM_PINS 50 SITEPROP SLICE_X64Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y93 PROHIBIT 0 SITEPROP SLICE_X64Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y93 RPM_X 169 SITEPROP SLICE_X64Y93 RPM_Y 186 SITEPROP SLICE_X64Y93 SITE_PIPS SITEPROP SLICE_X64Y93 SITE_TYPE SLICEM SITEPROP SLICE_X64Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y94 CLASS site SITEPROP SLICE_X64Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y94 IS_BONDED 0 SITEPROP SLICE_X64Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y94 IS_PAD 0 SITEPROP SLICE_X64Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y94 IS_RESERVED 0 SITEPROP SLICE_X64Y94 IS_TEST 0 SITEPROP SLICE_X64Y94 IS_USED 0 SITEPROP SLICE_X64Y94 MANUAL_ROUTING SITEPROP SLICE_X64Y94 NAME SLICE_X64Y94 SITEPROP SLICE_X64Y94 NUM_ARCS 153 SITEPROP SLICE_X64Y94 NUM_BELS 32 SITEPROP SLICE_X64Y94 NUM_INPUTS 37 SITEPROP SLICE_X64Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y94 NUM_PINS 50 SITEPROP SLICE_X64Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y94 PROHIBIT 0 SITEPROP SLICE_X64Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y94 RPM_X 169 SITEPROP SLICE_X64Y94 RPM_Y 188 SITEPROP SLICE_X64Y94 SITE_PIPS SITEPROP SLICE_X64Y94 SITE_TYPE SLICEM SITEPROP SLICE_X64Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y95 CLASS site SITEPROP SLICE_X64Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y95 IS_BONDED 0 SITEPROP SLICE_X64Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y95 IS_PAD 0 SITEPROP SLICE_X64Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y95 IS_RESERVED 0 SITEPROP SLICE_X64Y95 IS_TEST 0 SITEPROP SLICE_X64Y95 IS_USED 0 SITEPROP SLICE_X64Y95 MANUAL_ROUTING SITEPROP SLICE_X64Y95 NAME SLICE_X64Y95 SITEPROP SLICE_X64Y95 NUM_ARCS 153 SITEPROP SLICE_X64Y95 NUM_BELS 32 SITEPROP SLICE_X64Y95 NUM_INPUTS 37 SITEPROP SLICE_X64Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y95 NUM_PINS 50 SITEPROP SLICE_X64Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y95 PROHIBIT 0 SITEPROP SLICE_X64Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y95 RPM_X 169 SITEPROP SLICE_X64Y95 RPM_Y 190 SITEPROP SLICE_X64Y95 SITE_PIPS SITEPROP SLICE_X64Y95 SITE_TYPE SLICEM SITEPROP SLICE_X64Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y96 CLASS site SITEPROP SLICE_X64Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y96 IS_BONDED 0 SITEPROP SLICE_X64Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y96 IS_PAD 0 SITEPROP SLICE_X64Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y96 IS_RESERVED 0 SITEPROP SLICE_X64Y96 IS_TEST 0 SITEPROP SLICE_X64Y96 IS_USED 0 SITEPROP SLICE_X64Y96 MANUAL_ROUTING SITEPROP SLICE_X64Y96 NAME SLICE_X64Y96 SITEPROP SLICE_X64Y96 NUM_ARCS 153 SITEPROP SLICE_X64Y96 NUM_BELS 32 SITEPROP SLICE_X64Y96 NUM_INPUTS 37 SITEPROP SLICE_X64Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y96 NUM_PINS 50 SITEPROP SLICE_X64Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y96 PROHIBIT 0 SITEPROP SLICE_X64Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y96 RPM_X 169 SITEPROP SLICE_X64Y96 RPM_Y 192 SITEPROP SLICE_X64Y96 SITE_PIPS SITEPROP SLICE_X64Y96 SITE_TYPE SLICEM SITEPROP SLICE_X64Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y97 CLASS site SITEPROP SLICE_X64Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y97 IS_BONDED 0 SITEPROP SLICE_X64Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y97 IS_PAD 0 SITEPROP SLICE_X64Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y97 IS_RESERVED 0 SITEPROP SLICE_X64Y97 IS_TEST 0 SITEPROP SLICE_X64Y97 IS_USED 0 SITEPROP SLICE_X64Y97 MANUAL_ROUTING SITEPROP SLICE_X64Y97 NAME SLICE_X64Y97 SITEPROP SLICE_X64Y97 NUM_ARCS 153 SITEPROP SLICE_X64Y97 NUM_BELS 32 SITEPROP SLICE_X64Y97 NUM_INPUTS 37 SITEPROP SLICE_X64Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y97 NUM_PINS 50 SITEPROP SLICE_X64Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y97 PROHIBIT 0 SITEPROP SLICE_X64Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y97 RPM_X 169 SITEPROP SLICE_X64Y97 RPM_Y 194 SITEPROP SLICE_X64Y97 SITE_PIPS SITEPROP SLICE_X64Y97 SITE_TYPE SLICEM SITEPROP SLICE_X64Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y98 CLASS site SITEPROP SLICE_X64Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y98 IS_BONDED 0 SITEPROP SLICE_X64Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y98 IS_PAD 0 SITEPROP SLICE_X64Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y98 IS_RESERVED 0 SITEPROP SLICE_X64Y98 IS_TEST 0 SITEPROP SLICE_X64Y98 IS_USED 0 SITEPROP SLICE_X64Y98 MANUAL_ROUTING SITEPROP SLICE_X64Y98 NAME SLICE_X64Y98 SITEPROP SLICE_X64Y98 NUM_ARCS 153 SITEPROP SLICE_X64Y98 NUM_BELS 32 SITEPROP SLICE_X64Y98 NUM_INPUTS 37 SITEPROP SLICE_X64Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y98 NUM_PINS 50 SITEPROP SLICE_X64Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y98 PROHIBIT 0 SITEPROP SLICE_X64Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y98 RPM_X 169 SITEPROP SLICE_X64Y98 RPM_Y 196 SITEPROP SLICE_X64Y98 SITE_PIPS SITEPROP SLICE_X64Y98 SITE_TYPE SLICEM SITEPROP SLICE_X64Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X64Y99 CLASS site SITEPROP SLICE_X64Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X64Y99 IS_BONDED 0 SITEPROP SLICE_X64Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X64Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y99 IS_PAD 0 SITEPROP SLICE_X64Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X64Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X64Y99 IS_RESERVED 0 SITEPROP SLICE_X64Y99 IS_TEST 0 SITEPROP SLICE_X64Y99 IS_USED 0 SITEPROP SLICE_X64Y99 MANUAL_ROUTING SITEPROP SLICE_X64Y99 NAME SLICE_X64Y99 SITEPROP SLICE_X64Y99 NUM_ARCS 153 SITEPROP SLICE_X64Y99 NUM_BELS 32 SITEPROP SLICE_X64Y99 NUM_INPUTS 37 SITEPROP SLICE_X64Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X64Y99 NUM_PINS 50 SITEPROP SLICE_X64Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X64Y99 PROHIBIT 0 SITEPROP SLICE_X64Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X64Y99 RPM_X 169 SITEPROP SLICE_X64Y99 RPM_Y 198 SITEPROP SLICE_X64Y99 SITE_PIPS SITEPROP SLICE_X64Y99 SITE_TYPE SLICEM SITEPROP SLICE_X65Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y0 CLASS site SITEPROP SLICE_X65Y0 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y0 IS_BONDED 0 SITEPROP SLICE_X65Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y0 IS_PAD 0 SITEPROP SLICE_X65Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y0 IS_RESERVED 0 SITEPROP SLICE_X65Y0 IS_TEST 0 SITEPROP SLICE_X65Y0 IS_USED 1 SITEPROP SLICE_X65Y0 MANUAL_ROUTING SITEPROP SLICE_X65Y0 NAME SLICE_X65Y0 SITEPROP SLICE_X65Y0 NUM_ARCS 138 SITEPROP SLICE_X65Y0 NUM_BELS 32 SITEPROP SLICE_X65Y0 NUM_INPUTS 32 SITEPROP SLICE_X65Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y0 NUM_PINS 45 SITEPROP SLICE_X65Y0 PRIMITIVE_COUNT 1 SITEPROP SLICE_X65Y0 PROHIBIT 0 SITEPROP SLICE_X65Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y0 RPM_X 171 SITEPROP SLICE_X65Y0 RPM_Y 0 SITEPROP SLICE_X65Y0 SITE_PIPS SITEPROP SLICE_X65Y0 SITE_TYPE SLICEL SITEPROP SLICE_X65Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y1 CLASS site SITEPROP SLICE_X65Y1 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y1 IS_BONDED 0 SITEPROP SLICE_X65Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y1 IS_PAD 0 SITEPROP SLICE_X65Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y1 IS_RESERVED 0 SITEPROP SLICE_X65Y1 IS_TEST 0 SITEPROP SLICE_X65Y1 IS_USED 0 SITEPROP SLICE_X65Y1 MANUAL_ROUTING SITEPROP SLICE_X65Y1 NAME SLICE_X65Y1 SITEPROP SLICE_X65Y1 NUM_ARCS 138 SITEPROP SLICE_X65Y1 NUM_BELS 32 SITEPROP SLICE_X65Y1 NUM_INPUTS 32 SITEPROP SLICE_X65Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y1 NUM_PINS 45 SITEPROP SLICE_X65Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y1 PROHIBIT 0 SITEPROP SLICE_X65Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y1 RPM_X 171 SITEPROP SLICE_X65Y1 RPM_Y 2 SITEPROP SLICE_X65Y1 SITE_PIPS SITEPROP SLICE_X65Y1 SITE_TYPE SLICEL SITEPROP SLICE_X65Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y2 CLASS site SITEPROP SLICE_X65Y2 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y2 IS_BONDED 0 SITEPROP SLICE_X65Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y2 IS_PAD 0 SITEPROP SLICE_X65Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y2 IS_RESERVED 0 SITEPROP SLICE_X65Y2 IS_TEST 0 SITEPROP SLICE_X65Y2 IS_USED 0 SITEPROP SLICE_X65Y2 MANUAL_ROUTING SITEPROP SLICE_X65Y2 NAME SLICE_X65Y2 SITEPROP SLICE_X65Y2 NUM_ARCS 138 SITEPROP SLICE_X65Y2 NUM_BELS 32 SITEPROP SLICE_X65Y2 NUM_INPUTS 32 SITEPROP SLICE_X65Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y2 NUM_PINS 45 SITEPROP SLICE_X65Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y2 PROHIBIT 0 SITEPROP SLICE_X65Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y2 RPM_X 171 SITEPROP SLICE_X65Y2 RPM_Y 4 SITEPROP SLICE_X65Y2 SITE_PIPS SITEPROP SLICE_X65Y2 SITE_TYPE SLICEL SITEPROP SLICE_X65Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y3 CLASS site SITEPROP SLICE_X65Y3 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y3 IS_BONDED 0 SITEPROP SLICE_X65Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y3 IS_PAD 0 SITEPROP SLICE_X65Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y3 IS_RESERVED 0 SITEPROP SLICE_X65Y3 IS_TEST 0 SITEPROP SLICE_X65Y3 IS_USED 0 SITEPROP SLICE_X65Y3 MANUAL_ROUTING SITEPROP SLICE_X65Y3 NAME SLICE_X65Y3 SITEPROP SLICE_X65Y3 NUM_ARCS 138 SITEPROP SLICE_X65Y3 NUM_BELS 32 SITEPROP SLICE_X65Y3 NUM_INPUTS 32 SITEPROP SLICE_X65Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y3 NUM_PINS 45 SITEPROP SLICE_X65Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y3 PROHIBIT 0 SITEPROP SLICE_X65Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y3 RPM_X 171 SITEPROP SLICE_X65Y3 RPM_Y 6 SITEPROP SLICE_X65Y3 SITE_PIPS SITEPROP SLICE_X65Y3 SITE_TYPE SLICEL SITEPROP SLICE_X65Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y4 CLASS site SITEPROP SLICE_X65Y4 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y4 IS_BONDED 0 SITEPROP SLICE_X65Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y4 IS_PAD 0 SITEPROP SLICE_X65Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y4 IS_RESERVED 0 SITEPROP SLICE_X65Y4 IS_TEST 0 SITEPROP SLICE_X65Y4 IS_USED 0 SITEPROP SLICE_X65Y4 MANUAL_ROUTING SITEPROP SLICE_X65Y4 NAME SLICE_X65Y4 SITEPROP SLICE_X65Y4 NUM_ARCS 138 SITEPROP SLICE_X65Y4 NUM_BELS 32 SITEPROP SLICE_X65Y4 NUM_INPUTS 32 SITEPROP SLICE_X65Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y4 NUM_PINS 45 SITEPROP SLICE_X65Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y4 PROHIBIT 0 SITEPROP SLICE_X65Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y4 RPM_X 171 SITEPROP SLICE_X65Y4 RPM_Y 8 SITEPROP SLICE_X65Y4 SITE_PIPS SITEPROP SLICE_X65Y4 SITE_TYPE SLICEL SITEPROP SLICE_X65Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y5 CLASS site SITEPROP SLICE_X65Y5 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y5 IS_BONDED 0 SITEPROP SLICE_X65Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y5 IS_PAD 0 SITEPROP SLICE_X65Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y5 IS_RESERVED 0 SITEPROP SLICE_X65Y5 IS_TEST 0 SITEPROP SLICE_X65Y5 IS_USED 0 SITEPROP SLICE_X65Y5 MANUAL_ROUTING SITEPROP SLICE_X65Y5 NAME SLICE_X65Y5 SITEPROP SLICE_X65Y5 NUM_ARCS 138 SITEPROP SLICE_X65Y5 NUM_BELS 32 SITEPROP SLICE_X65Y5 NUM_INPUTS 32 SITEPROP SLICE_X65Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y5 NUM_PINS 45 SITEPROP SLICE_X65Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y5 PROHIBIT 0 SITEPROP SLICE_X65Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y5 RPM_X 171 SITEPROP SLICE_X65Y5 RPM_Y 10 SITEPROP SLICE_X65Y5 SITE_PIPS SITEPROP SLICE_X65Y5 SITE_TYPE SLICEL SITEPROP SLICE_X65Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y6 CLASS site SITEPROP SLICE_X65Y6 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y6 IS_BONDED 0 SITEPROP SLICE_X65Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y6 IS_PAD 0 SITEPROP SLICE_X65Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y6 IS_RESERVED 0 SITEPROP SLICE_X65Y6 IS_TEST 0 SITEPROP SLICE_X65Y6 IS_USED 0 SITEPROP SLICE_X65Y6 MANUAL_ROUTING SITEPROP SLICE_X65Y6 NAME SLICE_X65Y6 SITEPROP SLICE_X65Y6 NUM_ARCS 138 SITEPROP SLICE_X65Y6 NUM_BELS 32 SITEPROP SLICE_X65Y6 NUM_INPUTS 32 SITEPROP SLICE_X65Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y6 NUM_PINS 45 SITEPROP SLICE_X65Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y6 PROHIBIT 0 SITEPROP SLICE_X65Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y6 RPM_X 171 SITEPROP SLICE_X65Y6 RPM_Y 12 SITEPROP SLICE_X65Y6 SITE_PIPS SITEPROP SLICE_X65Y6 SITE_TYPE SLICEL SITEPROP SLICE_X65Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y7 CLASS site SITEPROP SLICE_X65Y7 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y7 IS_BONDED 0 SITEPROP SLICE_X65Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y7 IS_PAD 0 SITEPROP SLICE_X65Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y7 IS_RESERVED 0 SITEPROP SLICE_X65Y7 IS_TEST 0 SITEPROP SLICE_X65Y7 IS_USED 0 SITEPROP SLICE_X65Y7 MANUAL_ROUTING SITEPROP SLICE_X65Y7 NAME SLICE_X65Y7 SITEPROP SLICE_X65Y7 NUM_ARCS 138 SITEPROP SLICE_X65Y7 NUM_BELS 32 SITEPROP SLICE_X65Y7 NUM_INPUTS 32 SITEPROP SLICE_X65Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y7 NUM_PINS 45 SITEPROP SLICE_X65Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y7 PROHIBIT 0 SITEPROP SLICE_X65Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y7 RPM_X 171 SITEPROP SLICE_X65Y7 RPM_Y 14 SITEPROP SLICE_X65Y7 SITE_PIPS SITEPROP SLICE_X65Y7 SITE_TYPE SLICEL SITEPROP SLICE_X65Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y8 CLASS site SITEPROP SLICE_X65Y8 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y8 IS_BONDED 0 SITEPROP SLICE_X65Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y8 IS_PAD 0 SITEPROP SLICE_X65Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y8 IS_RESERVED 0 SITEPROP SLICE_X65Y8 IS_TEST 0 SITEPROP SLICE_X65Y8 IS_USED 0 SITEPROP SLICE_X65Y8 MANUAL_ROUTING SITEPROP SLICE_X65Y8 NAME SLICE_X65Y8 SITEPROP SLICE_X65Y8 NUM_ARCS 138 SITEPROP SLICE_X65Y8 NUM_BELS 32 SITEPROP SLICE_X65Y8 NUM_INPUTS 32 SITEPROP SLICE_X65Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y8 NUM_PINS 45 SITEPROP SLICE_X65Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y8 PROHIBIT 0 SITEPROP SLICE_X65Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y8 RPM_X 171 SITEPROP SLICE_X65Y8 RPM_Y 16 SITEPROP SLICE_X65Y8 SITE_PIPS SITEPROP SLICE_X65Y8 SITE_TYPE SLICEL SITEPROP SLICE_X65Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y9 CLASS site SITEPROP SLICE_X65Y9 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y9 IS_BONDED 0 SITEPROP SLICE_X65Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y9 IS_PAD 0 SITEPROP SLICE_X65Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y9 IS_RESERVED 0 SITEPROP SLICE_X65Y9 IS_TEST 0 SITEPROP SLICE_X65Y9 IS_USED 0 SITEPROP SLICE_X65Y9 MANUAL_ROUTING SITEPROP SLICE_X65Y9 NAME SLICE_X65Y9 SITEPROP SLICE_X65Y9 NUM_ARCS 138 SITEPROP SLICE_X65Y9 NUM_BELS 32 SITEPROP SLICE_X65Y9 NUM_INPUTS 32 SITEPROP SLICE_X65Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y9 NUM_PINS 45 SITEPROP SLICE_X65Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y9 PROHIBIT 0 SITEPROP SLICE_X65Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y9 RPM_X 171 SITEPROP SLICE_X65Y9 RPM_Y 18 SITEPROP SLICE_X65Y9 SITE_PIPS SITEPROP SLICE_X65Y9 SITE_TYPE SLICEL SITEPROP SLICE_X65Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y10 CLASS site SITEPROP SLICE_X65Y10 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y10 IS_BONDED 0 SITEPROP SLICE_X65Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y10 IS_PAD 0 SITEPROP SLICE_X65Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y10 IS_RESERVED 0 SITEPROP SLICE_X65Y10 IS_TEST 0 SITEPROP SLICE_X65Y10 IS_USED 0 SITEPROP SLICE_X65Y10 MANUAL_ROUTING SITEPROP SLICE_X65Y10 NAME SLICE_X65Y10 SITEPROP SLICE_X65Y10 NUM_ARCS 138 SITEPROP SLICE_X65Y10 NUM_BELS 32 SITEPROP SLICE_X65Y10 NUM_INPUTS 32 SITEPROP SLICE_X65Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y10 NUM_PINS 45 SITEPROP SLICE_X65Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y10 PROHIBIT 0 SITEPROP SLICE_X65Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y10 RPM_X 171 SITEPROP SLICE_X65Y10 RPM_Y 20 SITEPROP SLICE_X65Y10 SITE_PIPS SITEPROP SLICE_X65Y10 SITE_TYPE SLICEL SITEPROP SLICE_X65Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y11 CLASS site SITEPROP SLICE_X65Y11 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y11 IS_BONDED 0 SITEPROP SLICE_X65Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y11 IS_PAD 0 SITEPROP SLICE_X65Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y11 IS_RESERVED 0 SITEPROP SLICE_X65Y11 IS_TEST 0 SITEPROP SLICE_X65Y11 IS_USED 0 SITEPROP SLICE_X65Y11 MANUAL_ROUTING SITEPROP SLICE_X65Y11 NAME SLICE_X65Y11 SITEPROP SLICE_X65Y11 NUM_ARCS 138 SITEPROP SLICE_X65Y11 NUM_BELS 32 SITEPROP SLICE_X65Y11 NUM_INPUTS 32 SITEPROP SLICE_X65Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y11 NUM_PINS 45 SITEPROP SLICE_X65Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y11 PROHIBIT 0 SITEPROP SLICE_X65Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y11 RPM_X 171 SITEPROP SLICE_X65Y11 RPM_Y 22 SITEPROP SLICE_X65Y11 SITE_PIPS SITEPROP SLICE_X65Y11 SITE_TYPE SLICEL SITEPROP SLICE_X65Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y12 CLASS site SITEPROP SLICE_X65Y12 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y12 IS_BONDED 0 SITEPROP SLICE_X65Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y12 IS_PAD 0 SITEPROP SLICE_X65Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y12 IS_RESERVED 0 SITEPROP SLICE_X65Y12 IS_TEST 0 SITEPROP SLICE_X65Y12 IS_USED 0 SITEPROP SLICE_X65Y12 MANUAL_ROUTING SITEPROP SLICE_X65Y12 NAME SLICE_X65Y12 SITEPROP SLICE_X65Y12 NUM_ARCS 138 SITEPROP SLICE_X65Y12 NUM_BELS 32 SITEPROP SLICE_X65Y12 NUM_INPUTS 32 SITEPROP SLICE_X65Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y12 NUM_PINS 45 SITEPROP SLICE_X65Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y12 PROHIBIT 0 SITEPROP SLICE_X65Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y12 RPM_X 171 SITEPROP SLICE_X65Y12 RPM_Y 24 SITEPROP SLICE_X65Y12 SITE_PIPS SITEPROP SLICE_X65Y12 SITE_TYPE SLICEL SITEPROP SLICE_X65Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y13 CLASS site SITEPROP SLICE_X65Y13 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y13 IS_BONDED 0 SITEPROP SLICE_X65Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y13 IS_PAD 0 SITEPROP SLICE_X65Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y13 IS_RESERVED 0 SITEPROP SLICE_X65Y13 IS_TEST 0 SITEPROP SLICE_X65Y13 IS_USED 0 SITEPROP SLICE_X65Y13 MANUAL_ROUTING SITEPROP SLICE_X65Y13 NAME SLICE_X65Y13 SITEPROP SLICE_X65Y13 NUM_ARCS 138 SITEPROP SLICE_X65Y13 NUM_BELS 32 SITEPROP SLICE_X65Y13 NUM_INPUTS 32 SITEPROP SLICE_X65Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y13 NUM_PINS 45 SITEPROP SLICE_X65Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y13 PROHIBIT 0 SITEPROP SLICE_X65Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y13 RPM_X 171 SITEPROP SLICE_X65Y13 RPM_Y 26 SITEPROP SLICE_X65Y13 SITE_PIPS SITEPROP SLICE_X65Y13 SITE_TYPE SLICEL SITEPROP SLICE_X65Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y14 CLASS site SITEPROP SLICE_X65Y14 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y14 IS_BONDED 0 SITEPROP SLICE_X65Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y14 IS_PAD 0 SITEPROP SLICE_X65Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y14 IS_RESERVED 0 SITEPROP SLICE_X65Y14 IS_TEST 0 SITEPROP SLICE_X65Y14 IS_USED 0 SITEPROP SLICE_X65Y14 MANUAL_ROUTING SITEPROP SLICE_X65Y14 NAME SLICE_X65Y14 SITEPROP SLICE_X65Y14 NUM_ARCS 138 SITEPROP SLICE_X65Y14 NUM_BELS 32 SITEPROP SLICE_X65Y14 NUM_INPUTS 32 SITEPROP SLICE_X65Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y14 NUM_PINS 45 SITEPROP SLICE_X65Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y14 PROHIBIT 0 SITEPROP SLICE_X65Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y14 RPM_X 171 SITEPROP SLICE_X65Y14 RPM_Y 28 SITEPROP SLICE_X65Y14 SITE_PIPS SITEPROP SLICE_X65Y14 SITE_TYPE SLICEL SITEPROP SLICE_X65Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y15 CLASS site SITEPROP SLICE_X65Y15 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y15 IS_BONDED 0 SITEPROP SLICE_X65Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y15 IS_PAD 0 SITEPROP SLICE_X65Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y15 IS_RESERVED 0 SITEPROP SLICE_X65Y15 IS_TEST 0 SITEPROP SLICE_X65Y15 IS_USED 0 SITEPROP SLICE_X65Y15 MANUAL_ROUTING SITEPROP SLICE_X65Y15 NAME SLICE_X65Y15 SITEPROP SLICE_X65Y15 NUM_ARCS 138 SITEPROP SLICE_X65Y15 NUM_BELS 32 SITEPROP SLICE_X65Y15 NUM_INPUTS 32 SITEPROP SLICE_X65Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y15 NUM_PINS 45 SITEPROP SLICE_X65Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y15 PROHIBIT 0 SITEPROP SLICE_X65Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y15 RPM_X 171 SITEPROP SLICE_X65Y15 RPM_Y 30 SITEPROP SLICE_X65Y15 SITE_PIPS SITEPROP SLICE_X65Y15 SITE_TYPE SLICEL SITEPROP SLICE_X65Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y16 CLASS site SITEPROP SLICE_X65Y16 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y16 IS_BONDED 0 SITEPROP SLICE_X65Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y16 IS_PAD 0 SITEPROP SLICE_X65Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y16 IS_RESERVED 0 SITEPROP SLICE_X65Y16 IS_TEST 0 SITEPROP SLICE_X65Y16 IS_USED 0 SITEPROP SLICE_X65Y16 MANUAL_ROUTING SITEPROP SLICE_X65Y16 NAME SLICE_X65Y16 SITEPROP SLICE_X65Y16 NUM_ARCS 138 SITEPROP SLICE_X65Y16 NUM_BELS 32 SITEPROP SLICE_X65Y16 NUM_INPUTS 32 SITEPROP SLICE_X65Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y16 NUM_PINS 45 SITEPROP SLICE_X65Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y16 PROHIBIT 0 SITEPROP SLICE_X65Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y16 RPM_X 171 SITEPROP SLICE_X65Y16 RPM_Y 32 SITEPROP SLICE_X65Y16 SITE_PIPS SITEPROP SLICE_X65Y16 SITE_TYPE SLICEL SITEPROP SLICE_X65Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y17 CLASS site SITEPROP SLICE_X65Y17 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y17 IS_BONDED 0 SITEPROP SLICE_X65Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y17 IS_PAD 0 SITEPROP SLICE_X65Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y17 IS_RESERVED 0 SITEPROP SLICE_X65Y17 IS_TEST 0 SITEPROP SLICE_X65Y17 IS_USED 0 SITEPROP SLICE_X65Y17 MANUAL_ROUTING SITEPROP SLICE_X65Y17 NAME SLICE_X65Y17 SITEPROP SLICE_X65Y17 NUM_ARCS 138 SITEPROP SLICE_X65Y17 NUM_BELS 32 SITEPROP SLICE_X65Y17 NUM_INPUTS 32 SITEPROP SLICE_X65Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y17 NUM_PINS 45 SITEPROP SLICE_X65Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y17 PROHIBIT 0 SITEPROP SLICE_X65Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y17 RPM_X 171 SITEPROP SLICE_X65Y17 RPM_Y 34 SITEPROP SLICE_X65Y17 SITE_PIPS SITEPROP SLICE_X65Y17 SITE_TYPE SLICEL SITEPROP SLICE_X65Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y18 CLASS site SITEPROP SLICE_X65Y18 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y18 IS_BONDED 0 SITEPROP SLICE_X65Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y18 IS_PAD 0 SITEPROP SLICE_X65Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y18 IS_RESERVED 0 SITEPROP SLICE_X65Y18 IS_TEST 0 SITEPROP SLICE_X65Y18 IS_USED 0 SITEPROP SLICE_X65Y18 MANUAL_ROUTING SITEPROP SLICE_X65Y18 NAME SLICE_X65Y18 SITEPROP SLICE_X65Y18 NUM_ARCS 138 SITEPROP SLICE_X65Y18 NUM_BELS 32 SITEPROP SLICE_X65Y18 NUM_INPUTS 32 SITEPROP SLICE_X65Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y18 NUM_PINS 45 SITEPROP SLICE_X65Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y18 PROHIBIT 0 SITEPROP SLICE_X65Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y18 RPM_X 171 SITEPROP SLICE_X65Y18 RPM_Y 36 SITEPROP SLICE_X65Y18 SITE_PIPS SITEPROP SLICE_X65Y18 SITE_TYPE SLICEL SITEPROP SLICE_X65Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y19 CLASS site SITEPROP SLICE_X65Y19 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y19 IS_BONDED 0 SITEPROP SLICE_X65Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y19 IS_PAD 0 SITEPROP SLICE_X65Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y19 IS_RESERVED 0 SITEPROP SLICE_X65Y19 IS_TEST 0 SITEPROP SLICE_X65Y19 IS_USED 0 SITEPROP SLICE_X65Y19 MANUAL_ROUTING SITEPROP SLICE_X65Y19 NAME SLICE_X65Y19 SITEPROP SLICE_X65Y19 NUM_ARCS 138 SITEPROP SLICE_X65Y19 NUM_BELS 32 SITEPROP SLICE_X65Y19 NUM_INPUTS 32 SITEPROP SLICE_X65Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y19 NUM_PINS 45 SITEPROP SLICE_X65Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y19 PROHIBIT 0 SITEPROP SLICE_X65Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y19 RPM_X 171 SITEPROP SLICE_X65Y19 RPM_Y 38 SITEPROP SLICE_X65Y19 SITE_PIPS SITEPROP SLICE_X65Y19 SITE_TYPE SLICEL SITEPROP SLICE_X65Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y20 CLASS site SITEPROP SLICE_X65Y20 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y20 IS_BONDED 0 SITEPROP SLICE_X65Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y20 IS_PAD 0 SITEPROP SLICE_X65Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y20 IS_RESERVED 0 SITEPROP SLICE_X65Y20 IS_TEST 0 SITEPROP SLICE_X65Y20 IS_USED 0 SITEPROP SLICE_X65Y20 MANUAL_ROUTING SITEPROP SLICE_X65Y20 NAME SLICE_X65Y20 SITEPROP SLICE_X65Y20 NUM_ARCS 138 SITEPROP SLICE_X65Y20 NUM_BELS 32 SITEPROP SLICE_X65Y20 NUM_INPUTS 32 SITEPROP SLICE_X65Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y20 NUM_PINS 45 SITEPROP SLICE_X65Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y20 PROHIBIT 0 SITEPROP SLICE_X65Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y20 RPM_X 171 SITEPROP SLICE_X65Y20 RPM_Y 40 SITEPROP SLICE_X65Y20 SITE_PIPS SITEPROP SLICE_X65Y20 SITE_TYPE SLICEL SITEPROP SLICE_X65Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y21 CLASS site SITEPROP SLICE_X65Y21 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y21 IS_BONDED 0 SITEPROP SLICE_X65Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y21 IS_PAD 0 SITEPROP SLICE_X65Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y21 IS_RESERVED 0 SITEPROP SLICE_X65Y21 IS_TEST 0 SITEPROP SLICE_X65Y21 IS_USED 0 SITEPROP SLICE_X65Y21 MANUAL_ROUTING SITEPROP SLICE_X65Y21 NAME SLICE_X65Y21 SITEPROP SLICE_X65Y21 NUM_ARCS 138 SITEPROP SLICE_X65Y21 NUM_BELS 32 SITEPROP SLICE_X65Y21 NUM_INPUTS 32 SITEPROP SLICE_X65Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y21 NUM_PINS 45 SITEPROP SLICE_X65Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y21 PROHIBIT 0 SITEPROP SLICE_X65Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y21 RPM_X 171 SITEPROP SLICE_X65Y21 RPM_Y 42 SITEPROP SLICE_X65Y21 SITE_PIPS SITEPROP SLICE_X65Y21 SITE_TYPE SLICEL SITEPROP SLICE_X65Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y22 CLASS site SITEPROP SLICE_X65Y22 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y22 IS_BONDED 0 SITEPROP SLICE_X65Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y22 IS_PAD 0 SITEPROP SLICE_X65Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y22 IS_RESERVED 0 SITEPROP SLICE_X65Y22 IS_TEST 0 SITEPROP SLICE_X65Y22 IS_USED 0 SITEPROP SLICE_X65Y22 MANUAL_ROUTING SITEPROP SLICE_X65Y22 NAME SLICE_X65Y22 SITEPROP SLICE_X65Y22 NUM_ARCS 138 SITEPROP SLICE_X65Y22 NUM_BELS 32 SITEPROP SLICE_X65Y22 NUM_INPUTS 32 SITEPROP SLICE_X65Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y22 NUM_PINS 45 SITEPROP SLICE_X65Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y22 PROHIBIT 0 SITEPROP SLICE_X65Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y22 RPM_X 171 SITEPROP SLICE_X65Y22 RPM_Y 44 SITEPROP SLICE_X65Y22 SITE_PIPS SITEPROP SLICE_X65Y22 SITE_TYPE SLICEL SITEPROP SLICE_X65Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y23 CLASS site SITEPROP SLICE_X65Y23 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y23 IS_BONDED 0 SITEPROP SLICE_X65Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y23 IS_PAD 0 SITEPROP SLICE_X65Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y23 IS_RESERVED 0 SITEPROP SLICE_X65Y23 IS_TEST 0 SITEPROP SLICE_X65Y23 IS_USED 0 SITEPROP SLICE_X65Y23 MANUAL_ROUTING SITEPROP SLICE_X65Y23 NAME SLICE_X65Y23 SITEPROP SLICE_X65Y23 NUM_ARCS 138 SITEPROP SLICE_X65Y23 NUM_BELS 32 SITEPROP SLICE_X65Y23 NUM_INPUTS 32 SITEPROP SLICE_X65Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y23 NUM_PINS 45 SITEPROP SLICE_X65Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y23 PROHIBIT 0 SITEPROP SLICE_X65Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y23 RPM_X 171 SITEPROP SLICE_X65Y23 RPM_Y 46 SITEPROP SLICE_X65Y23 SITE_PIPS SITEPROP SLICE_X65Y23 SITE_TYPE SLICEL SITEPROP SLICE_X65Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y24 CLASS site SITEPROP SLICE_X65Y24 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y24 IS_BONDED 0 SITEPROP SLICE_X65Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y24 IS_PAD 0 SITEPROP SLICE_X65Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y24 IS_RESERVED 0 SITEPROP SLICE_X65Y24 IS_TEST 0 SITEPROP SLICE_X65Y24 IS_USED 0 SITEPROP SLICE_X65Y24 MANUAL_ROUTING SITEPROP SLICE_X65Y24 NAME SLICE_X65Y24 SITEPROP SLICE_X65Y24 NUM_ARCS 138 SITEPROP SLICE_X65Y24 NUM_BELS 32 SITEPROP SLICE_X65Y24 NUM_INPUTS 32 SITEPROP SLICE_X65Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y24 NUM_PINS 45 SITEPROP SLICE_X65Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y24 PROHIBIT 0 SITEPROP SLICE_X65Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y24 RPM_X 171 SITEPROP SLICE_X65Y24 RPM_Y 48 SITEPROP SLICE_X65Y24 SITE_PIPS SITEPROP SLICE_X65Y24 SITE_TYPE SLICEL SITEPROP SLICE_X65Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y25 CLASS site SITEPROP SLICE_X65Y25 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y25 IS_BONDED 0 SITEPROP SLICE_X65Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y25 IS_PAD 0 SITEPROP SLICE_X65Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y25 IS_RESERVED 0 SITEPROP SLICE_X65Y25 IS_TEST 0 SITEPROP SLICE_X65Y25 IS_USED 0 SITEPROP SLICE_X65Y25 MANUAL_ROUTING SITEPROP SLICE_X65Y25 NAME SLICE_X65Y25 SITEPROP SLICE_X65Y25 NUM_ARCS 138 SITEPROP SLICE_X65Y25 NUM_BELS 32 SITEPROP SLICE_X65Y25 NUM_INPUTS 32 SITEPROP SLICE_X65Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y25 NUM_PINS 45 SITEPROP SLICE_X65Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y25 PROHIBIT 0 SITEPROP SLICE_X65Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y25 RPM_X 171 SITEPROP SLICE_X65Y25 RPM_Y 50 SITEPROP SLICE_X65Y25 SITE_PIPS SITEPROP SLICE_X65Y25 SITE_TYPE SLICEL SITEPROP SLICE_X65Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y26 CLASS site SITEPROP SLICE_X65Y26 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y26 IS_BONDED 0 SITEPROP SLICE_X65Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y26 IS_PAD 0 SITEPROP SLICE_X65Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y26 IS_RESERVED 0 SITEPROP SLICE_X65Y26 IS_TEST 0 SITEPROP SLICE_X65Y26 IS_USED 0 SITEPROP SLICE_X65Y26 MANUAL_ROUTING SITEPROP SLICE_X65Y26 NAME SLICE_X65Y26 SITEPROP SLICE_X65Y26 NUM_ARCS 138 SITEPROP SLICE_X65Y26 NUM_BELS 32 SITEPROP SLICE_X65Y26 NUM_INPUTS 32 SITEPROP SLICE_X65Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y26 NUM_PINS 45 SITEPROP SLICE_X65Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y26 PROHIBIT 0 SITEPROP SLICE_X65Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y26 RPM_X 171 SITEPROP SLICE_X65Y26 RPM_Y 52 SITEPROP SLICE_X65Y26 SITE_PIPS SITEPROP SLICE_X65Y26 SITE_TYPE SLICEL SITEPROP SLICE_X65Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y27 CLASS site SITEPROP SLICE_X65Y27 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y27 IS_BONDED 0 SITEPROP SLICE_X65Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y27 IS_PAD 0 SITEPROP SLICE_X65Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y27 IS_RESERVED 0 SITEPROP SLICE_X65Y27 IS_TEST 0 SITEPROP SLICE_X65Y27 IS_USED 0 SITEPROP SLICE_X65Y27 MANUAL_ROUTING SITEPROP SLICE_X65Y27 NAME SLICE_X65Y27 SITEPROP SLICE_X65Y27 NUM_ARCS 138 SITEPROP SLICE_X65Y27 NUM_BELS 32 SITEPROP SLICE_X65Y27 NUM_INPUTS 32 SITEPROP SLICE_X65Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y27 NUM_PINS 45 SITEPROP SLICE_X65Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y27 PROHIBIT 0 SITEPROP SLICE_X65Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y27 RPM_X 171 SITEPROP SLICE_X65Y27 RPM_Y 54 SITEPROP SLICE_X65Y27 SITE_PIPS SITEPROP SLICE_X65Y27 SITE_TYPE SLICEL SITEPROP SLICE_X65Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y28 CLASS site SITEPROP SLICE_X65Y28 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y28 IS_BONDED 0 SITEPROP SLICE_X65Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y28 IS_PAD 0 SITEPROP SLICE_X65Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y28 IS_RESERVED 0 SITEPROP SLICE_X65Y28 IS_TEST 0 SITEPROP SLICE_X65Y28 IS_USED 0 SITEPROP SLICE_X65Y28 MANUAL_ROUTING SITEPROP SLICE_X65Y28 NAME SLICE_X65Y28 SITEPROP SLICE_X65Y28 NUM_ARCS 138 SITEPROP SLICE_X65Y28 NUM_BELS 32 SITEPROP SLICE_X65Y28 NUM_INPUTS 32 SITEPROP SLICE_X65Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y28 NUM_PINS 45 SITEPROP SLICE_X65Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y28 PROHIBIT 0 SITEPROP SLICE_X65Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y28 RPM_X 171 SITEPROP SLICE_X65Y28 RPM_Y 56 SITEPROP SLICE_X65Y28 SITE_PIPS SITEPROP SLICE_X65Y28 SITE_TYPE SLICEL SITEPROP SLICE_X65Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y29 CLASS site SITEPROP SLICE_X65Y29 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y29 IS_BONDED 0 SITEPROP SLICE_X65Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y29 IS_PAD 0 SITEPROP SLICE_X65Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y29 IS_RESERVED 0 SITEPROP SLICE_X65Y29 IS_TEST 0 SITEPROP SLICE_X65Y29 IS_USED 0 SITEPROP SLICE_X65Y29 MANUAL_ROUTING SITEPROP SLICE_X65Y29 NAME SLICE_X65Y29 SITEPROP SLICE_X65Y29 NUM_ARCS 138 SITEPROP SLICE_X65Y29 NUM_BELS 32 SITEPROP SLICE_X65Y29 NUM_INPUTS 32 SITEPROP SLICE_X65Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y29 NUM_PINS 45 SITEPROP SLICE_X65Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y29 PROHIBIT 0 SITEPROP SLICE_X65Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y29 RPM_X 171 SITEPROP SLICE_X65Y29 RPM_Y 58 SITEPROP SLICE_X65Y29 SITE_PIPS SITEPROP SLICE_X65Y29 SITE_TYPE SLICEL SITEPROP SLICE_X65Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y30 CLASS site SITEPROP SLICE_X65Y30 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y30 IS_BONDED 0 SITEPROP SLICE_X65Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y30 IS_PAD 0 SITEPROP SLICE_X65Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y30 IS_RESERVED 0 SITEPROP SLICE_X65Y30 IS_TEST 0 SITEPROP SLICE_X65Y30 IS_USED 0 SITEPROP SLICE_X65Y30 MANUAL_ROUTING SITEPROP SLICE_X65Y30 NAME SLICE_X65Y30 SITEPROP SLICE_X65Y30 NUM_ARCS 138 SITEPROP SLICE_X65Y30 NUM_BELS 32 SITEPROP SLICE_X65Y30 NUM_INPUTS 32 SITEPROP SLICE_X65Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y30 NUM_PINS 45 SITEPROP SLICE_X65Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y30 PROHIBIT 0 SITEPROP SLICE_X65Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y30 RPM_X 171 SITEPROP SLICE_X65Y30 RPM_Y 60 SITEPROP SLICE_X65Y30 SITE_PIPS SITEPROP SLICE_X65Y30 SITE_TYPE SLICEL SITEPROP SLICE_X65Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y31 CLASS site SITEPROP SLICE_X65Y31 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y31 IS_BONDED 0 SITEPROP SLICE_X65Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y31 IS_PAD 0 SITEPROP SLICE_X65Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y31 IS_RESERVED 0 SITEPROP SLICE_X65Y31 IS_TEST 0 SITEPROP SLICE_X65Y31 IS_USED 0 SITEPROP SLICE_X65Y31 MANUAL_ROUTING SITEPROP SLICE_X65Y31 NAME SLICE_X65Y31 SITEPROP SLICE_X65Y31 NUM_ARCS 138 SITEPROP SLICE_X65Y31 NUM_BELS 32 SITEPROP SLICE_X65Y31 NUM_INPUTS 32 SITEPROP SLICE_X65Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y31 NUM_PINS 45 SITEPROP SLICE_X65Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y31 PROHIBIT 0 SITEPROP SLICE_X65Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y31 RPM_X 171 SITEPROP SLICE_X65Y31 RPM_Y 62 SITEPROP SLICE_X65Y31 SITE_PIPS SITEPROP SLICE_X65Y31 SITE_TYPE SLICEL SITEPROP SLICE_X65Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y32 CLASS site SITEPROP SLICE_X65Y32 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y32 IS_BONDED 0 SITEPROP SLICE_X65Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y32 IS_PAD 0 SITEPROP SLICE_X65Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y32 IS_RESERVED 0 SITEPROP SLICE_X65Y32 IS_TEST 0 SITEPROP SLICE_X65Y32 IS_USED 0 SITEPROP SLICE_X65Y32 MANUAL_ROUTING SITEPROP SLICE_X65Y32 NAME SLICE_X65Y32 SITEPROP SLICE_X65Y32 NUM_ARCS 138 SITEPROP SLICE_X65Y32 NUM_BELS 32 SITEPROP SLICE_X65Y32 NUM_INPUTS 32 SITEPROP SLICE_X65Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y32 NUM_PINS 45 SITEPROP SLICE_X65Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y32 PROHIBIT 0 SITEPROP SLICE_X65Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y32 RPM_X 171 SITEPROP SLICE_X65Y32 RPM_Y 64 SITEPROP SLICE_X65Y32 SITE_PIPS SITEPROP SLICE_X65Y32 SITE_TYPE SLICEL SITEPROP SLICE_X65Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y33 CLASS site SITEPROP SLICE_X65Y33 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y33 IS_BONDED 0 SITEPROP SLICE_X65Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y33 IS_PAD 0 SITEPROP SLICE_X65Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y33 IS_RESERVED 0 SITEPROP SLICE_X65Y33 IS_TEST 0 SITEPROP SLICE_X65Y33 IS_USED 0 SITEPROP SLICE_X65Y33 MANUAL_ROUTING SITEPROP SLICE_X65Y33 NAME SLICE_X65Y33 SITEPROP SLICE_X65Y33 NUM_ARCS 138 SITEPROP SLICE_X65Y33 NUM_BELS 32 SITEPROP SLICE_X65Y33 NUM_INPUTS 32 SITEPROP SLICE_X65Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y33 NUM_PINS 45 SITEPROP SLICE_X65Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y33 PROHIBIT 0 SITEPROP SLICE_X65Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y33 RPM_X 171 SITEPROP SLICE_X65Y33 RPM_Y 66 SITEPROP SLICE_X65Y33 SITE_PIPS SITEPROP SLICE_X65Y33 SITE_TYPE SLICEL SITEPROP SLICE_X65Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y34 CLASS site SITEPROP SLICE_X65Y34 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y34 IS_BONDED 0 SITEPROP SLICE_X65Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y34 IS_PAD 0 SITEPROP SLICE_X65Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y34 IS_RESERVED 0 SITEPROP SLICE_X65Y34 IS_TEST 0 SITEPROP SLICE_X65Y34 IS_USED 0 SITEPROP SLICE_X65Y34 MANUAL_ROUTING SITEPROP SLICE_X65Y34 NAME SLICE_X65Y34 SITEPROP SLICE_X65Y34 NUM_ARCS 138 SITEPROP SLICE_X65Y34 NUM_BELS 32 SITEPROP SLICE_X65Y34 NUM_INPUTS 32 SITEPROP SLICE_X65Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y34 NUM_PINS 45 SITEPROP SLICE_X65Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y34 PROHIBIT 0 SITEPROP SLICE_X65Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y34 RPM_X 171 SITEPROP SLICE_X65Y34 RPM_Y 68 SITEPROP SLICE_X65Y34 SITE_PIPS SITEPROP SLICE_X65Y34 SITE_TYPE SLICEL SITEPROP SLICE_X65Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y35 CLASS site SITEPROP SLICE_X65Y35 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y35 IS_BONDED 0 SITEPROP SLICE_X65Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y35 IS_PAD 0 SITEPROP SLICE_X65Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y35 IS_RESERVED 0 SITEPROP SLICE_X65Y35 IS_TEST 0 SITEPROP SLICE_X65Y35 IS_USED 0 SITEPROP SLICE_X65Y35 MANUAL_ROUTING SITEPROP SLICE_X65Y35 NAME SLICE_X65Y35 SITEPROP SLICE_X65Y35 NUM_ARCS 138 SITEPROP SLICE_X65Y35 NUM_BELS 32 SITEPROP SLICE_X65Y35 NUM_INPUTS 32 SITEPROP SLICE_X65Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y35 NUM_PINS 45 SITEPROP SLICE_X65Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y35 PROHIBIT 0 SITEPROP SLICE_X65Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y35 RPM_X 171 SITEPROP SLICE_X65Y35 RPM_Y 70 SITEPROP SLICE_X65Y35 SITE_PIPS SITEPROP SLICE_X65Y35 SITE_TYPE SLICEL SITEPROP SLICE_X65Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y36 CLASS site SITEPROP SLICE_X65Y36 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y36 IS_BONDED 0 SITEPROP SLICE_X65Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y36 IS_PAD 0 SITEPROP SLICE_X65Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y36 IS_RESERVED 0 SITEPROP SLICE_X65Y36 IS_TEST 0 SITEPROP SLICE_X65Y36 IS_USED 0 SITEPROP SLICE_X65Y36 MANUAL_ROUTING SITEPROP SLICE_X65Y36 NAME SLICE_X65Y36 SITEPROP SLICE_X65Y36 NUM_ARCS 138 SITEPROP SLICE_X65Y36 NUM_BELS 32 SITEPROP SLICE_X65Y36 NUM_INPUTS 32 SITEPROP SLICE_X65Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y36 NUM_PINS 45 SITEPROP SLICE_X65Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y36 PROHIBIT 0 SITEPROP SLICE_X65Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y36 RPM_X 171 SITEPROP SLICE_X65Y36 RPM_Y 72 SITEPROP SLICE_X65Y36 SITE_PIPS SITEPROP SLICE_X65Y36 SITE_TYPE SLICEL SITEPROP SLICE_X65Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y37 CLASS site SITEPROP SLICE_X65Y37 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y37 IS_BONDED 0 SITEPROP SLICE_X65Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y37 IS_PAD 0 SITEPROP SLICE_X65Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y37 IS_RESERVED 0 SITEPROP SLICE_X65Y37 IS_TEST 0 SITEPROP SLICE_X65Y37 IS_USED 0 SITEPROP SLICE_X65Y37 MANUAL_ROUTING SITEPROP SLICE_X65Y37 NAME SLICE_X65Y37 SITEPROP SLICE_X65Y37 NUM_ARCS 138 SITEPROP SLICE_X65Y37 NUM_BELS 32 SITEPROP SLICE_X65Y37 NUM_INPUTS 32 SITEPROP SLICE_X65Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y37 NUM_PINS 45 SITEPROP SLICE_X65Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y37 PROHIBIT 0 SITEPROP SLICE_X65Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y37 RPM_X 171 SITEPROP SLICE_X65Y37 RPM_Y 74 SITEPROP SLICE_X65Y37 SITE_PIPS SITEPROP SLICE_X65Y37 SITE_TYPE SLICEL SITEPROP SLICE_X65Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y38 CLASS site SITEPROP SLICE_X65Y38 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y38 IS_BONDED 0 SITEPROP SLICE_X65Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y38 IS_PAD 0 SITEPROP SLICE_X65Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y38 IS_RESERVED 0 SITEPROP SLICE_X65Y38 IS_TEST 0 SITEPROP SLICE_X65Y38 IS_USED 0 SITEPROP SLICE_X65Y38 MANUAL_ROUTING SITEPROP SLICE_X65Y38 NAME SLICE_X65Y38 SITEPROP SLICE_X65Y38 NUM_ARCS 138 SITEPROP SLICE_X65Y38 NUM_BELS 32 SITEPROP SLICE_X65Y38 NUM_INPUTS 32 SITEPROP SLICE_X65Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y38 NUM_PINS 45 SITEPROP SLICE_X65Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y38 PROHIBIT 0 SITEPROP SLICE_X65Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y38 RPM_X 171 SITEPROP SLICE_X65Y38 RPM_Y 76 SITEPROP SLICE_X65Y38 SITE_PIPS SITEPROP SLICE_X65Y38 SITE_TYPE SLICEL SITEPROP SLICE_X65Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y39 CLASS site SITEPROP SLICE_X65Y39 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y39 IS_BONDED 0 SITEPROP SLICE_X65Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y39 IS_PAD 0 SITEPROP SLICE_X65Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y39 IS_RESERVED 0 SITEPROP SLICE_X65Y39 IS_TEST 0 SITEPROP SLICE_X65Y39 IS_USED 0 SITEPROP SLICE_X65Y39 MANUAL_ROUTING SITEPROP SLICE_X65Y39 NAME SLICE_X65Y39 SITEPROP SLICE_X65Y39 NUM_ARCS 138 SITEPROP SLICE_X65Y39 NUM_BELS 32 SITEPROP SLICE_X65Y39 NUM_INPUTS 32 SITEPROP SLICE_X65Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y39 NUM_PINS 45 SITEPROP SLICE_X65Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y39 PROHIBIT 0 SITEPROP SLICE_X65Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y39 RPM_X 171 SITEPROP SLICE_X65Y39 RPM_Y 78 SITEPROP SLICE_X65Y39 SITE_PIPS SITEPROP SLICE_X65Y39 SITE_TYPE SLICEL SITEPROP SLICE_X65Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y40 CLASS site SITEPROP SLICE_X65Y40 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y40 IS_BONDED 0 SITEPROP SLICE_X65Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y40 IS_PAD 0 SITEPROP SLICE_X65Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y40 IS_RESERVED 0 SITEPROP SLICE_X65Y40 IS_TEST 0 SITEPROP SLICE_X65Y40 IS_USED 0 SITEPROP SLICE_X65Y40 MANUAL_ROUTING SITEPROP SLICE_X65Y40 NAME SLICE_X65Y40 SITEPROP SLICE_X65Y40 NUM_ARCS 138 SITEPROP SLICE_X65Y40 NUM_BELS 32 SITEPROP SLICE_X65Y40 NUM_INPUTS 32 SITEPROP SLICE_X65Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y40 NUM_PINS 45 SITEPROP SLICE_X65Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y40 PROHIBIT 0 SITEPROP SLICE_X65Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y40 RPM_X 171 SITEPROP SLICE_X65Y40 RPM_Y 80 SITEPROP SLICE_X65Y40 SITE_PIPS SITEPROP SLICE_X65Y40 SITE_TYPE SLICEL SITEPROP SLICE_X65Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y41 CLASS site SITEPROP SLICE_X65Y41 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y41 IS_BONDED 0 SITEPROP SLICE_X65Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y41 IS_PAD 0 SITEPROP SLICE_X65Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y41 IS_RESERVED 0 SITEPROP SLICE_X65Y41 IS_TEST 0 SITEPROP SLICE_X65Y41 IS_USED 0 SITEPROP SLICE_X65Y41 MANUAL_ROUTING SITEPROP SLICE_X65Y41 NAME SLICE_X65Y41 SITEPROP SLICE_X65Y41 NUM_ARCS 138 SITEPROP SLICE_X65Y41 NUM_BELS 32 SITEPROP SLICE_X65Y41 NUM_INPUTS 32 SITEPROP SLICE_X65Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y41 NUM_PINS 45 SITEPROP SLICE_X65Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y41 PROHIBIT 0 SITEPROP SLICE_X65Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y41 RPM_X 171 SITEPROP SLICE_X65Y41 RPM_Y 82 SITEPROP SLICE_X65Y41 SITE_PIPS SITEPROP SLICE_X65Y41 SITE_TYPE SLICEL SITEPROP SLICE_X65Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y42 CLASS site SITEPROP SLICE_X65Y42 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y42 IS_BONDED 0 SITEPROP SLICE_X65Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y42 IS_PAD 0 SITEPROP SLICE_X65Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y42 IS_RESERVED 0 SITEPROP SLICE_X65Y42 IS_TEST 0 SITEPROP SLICE_X65Y42 IS_USED 0 SITEPROP SLICE_X65Y42 MANUAL_ROUTING SITEPROP SLICE_X65Y42 NAME SLICE_X65Y42 SITEPROP SLICE_X65Y42 NUM_ARCS 138 SITEPROP SLICE_X65Y42 NUM_BELS 32 SITEPROP SLICE_X65Y42 NUM_INPUTS 32 SITEPROP SLICE_X65Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y42 NUM_PINS 45 SITEPROP SLICE_X65Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y42 PROHIBIT 0 SITEPROP SLICE_X65Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y42 RPM_X 171 SITEPROP SLICE_X65Y42 RPM_Y 84 SITEPROP SLICE_X65Y42 SITE_PIPS SITEPROP SLICE_X65Y42 SITE_TYPE SLICEL SITEPROP SLICE_X65Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y43 CLASS site SITEPROP SLICE_X65Y43 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y43 IS_BONDED 0 SITEPROP SLICE_X65Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y43 IS_PAD 0 SITEPROP SLICE_X65Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y43 IS_RESERVED 0 SITEPROP SLICE_X65Y43 IS_TEST 0 SITEPROP SLICE_X65Y43 IS_USED 0 SITEPROP SLICE_X65Y43 MANUAL_ROUTING SITEPROP SLICE_X65Y43 NAME SLICE_X65Y43 SITEPROP SLICE_X65Y43 NUM_ARCS 138 SITEPROP SLICE_X65Y43 NUM_BELS 32 SITEPROP SLICE_X65Y43 NUM_INPUTS 32 SITEPROP SLICE_X65Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y43 NUM_PINS 45 SITEPROP SLICE_X65Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y43 PROHIBIT 0 SITEPROP SLICE_X65Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y43 RPM_X 171 SITEPROP SLICE_X65Y43 RPM_Y 86 SITEPROP SLICE_X65Y43 SITE_PIPS SITEPROP SLICE_X65Y43 SITE_TYPE SLICEL SITEPROP SLICE_X65Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y44 CLASS site SITEPROP SLICE_X65Y44 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y44 IS_BONDED 0 SITEPROP SLICE_X65Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y44 IS_PAD 0 SITEPROP SLICE_X65Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y44 IS_RESERVED 0 SITEPROP SLICE_X65Y44 IS_TEST 0 SITEPROP SLICE_X65Y44 IS_USED 0 SITEPROP SLICE_X65Y44 MANUAL_ROUTING SITEPROP SLICE_X65Y44 NAME SLICE_X65Y44 SITEPROP SLICE_X65Y44 NUM_ARCS 138 SITEPROP SLICE_X65Y44 NUM_BELS 32 SITEPROP SLICE_X65Y44 NUM_INPUTS 32 SITEPROP SLICE_X65Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y44 NUM_PINS 45 SITEPROP SLICE_X65Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y44 PROHIBIT 0 SITEPROP SLICE_X65Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y44 RPM_X 171 SITEPROP SLICE_X65Y44 RPM_Y 88 SITEPROP SLICE_X65Y44 SITE_PIPS SITEPROP SLICE_X65Y44 SITE_TYPE SLICEL SITEPROP SLICE_X65Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y45 CLASS site SITEPROP SLICE_X65Y45 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y45 IS_BONDED 0 SITEPROP SLICE_X65Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y45 IS_PAD 0 SITEPROP SLICE_X65Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y45 IS_RESERVED 0 SITEPROP SLICE_X65Y45 IS_TEST 0 SITEPROP SLICE_X65Y45 IS_USED 0 SITEPROP SLICE_X65Y45 MANUAL_ROUTING SITEPROP SLICE_X65Y45 NAME SLICE_X65Y45 SITEPROP SLICE_X65Y45 NUM_ARCS 138 SITEPROP SLICE_X65Y45 NUM_BELS 32 SITEPROP SLICE_X65Y45 NUM_INPUTS 32 SITEPROP SLICE_X65Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y45 NUM_PINS 45 SITEPROP SLICE_X65Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y45 PROHIBIT 0 SITEPROP SLICE_X65Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y45 RPM_X 171 SITEPROP SLICE_X65Y45 RPM_Y 90 SITEPROP SLICE_X65Y45 SITE_PIPS SITEPROP SLICE_X65Y45 SITE_TYPE SLICEL SITEPROP SLICE_X65Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y46 CLASS site SITEPROP SLICE_X65Y46 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y46 IS_BONDED 0 SITEPROP SLICE_X65Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y46 IS_PAD 0 SITEPROP SLICE_X65Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y46 IS_RESERVED 0 SITEPROP SLICE_X65Y46 IS_TEST 0 SITEPROP SLICE_X65Y46 IS_USED 0 SITEPROP SLICE_X65Y46 MANUAL_ROUTING SITEPROP SLICE_X65Y46 NAME SLICE_X65Y46 SITEPROP SLICE_X65Y46 NUM_ARCS 138 SITEPROP SLICE_X65Y46 NUM_BELS 32 SITEPROP SLICE_X65Y46 NUM_INPUTS 32 SITEPROP SLICE_X65Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y46 NUM_PINS 45 SITEPROP SLICE_X65Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y46 PROHIBIT 0 SITEPROP SLICE_X65Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y46 RPM_X 171 SITEPROP SLICE_X65Y46 RPM_Y 92 SITEPROP SLICE_X65Y46 SITE_PIPS SITEPROP SLICE_X65Y46 SITE_TYPE SLICEL SITEPROP SLICE_X65Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y47 CLASS site SITEPROP SLICE_X65Y47 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y47 IS_BONDED 0 SITEPROP SLICE_X65Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y47 IS_PAD 0 SITEPROP SLICE_X65Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y47 IS_RESERVED 0 SITEPROP SLICE_X65Y47 IS_TEST 0 SITEPROP SLICE_X65Y47 IS_USED 0 SITEPROP SLICE_X65Y47 MANUAL_ROUTING SITEPROP SLICE_X65Y47 NAME SLICE_X65Y47 SITEPROP SLICE_X65Y47 NUM_ARCS 138 SITEPROP SLICE_X65Y47 NUM_BELS 32 SITEPROP SLICE_X65Y47 NUM_INPUTS 32 SITEPROP SLICE_X65Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y47 NUM_PINS 45 SITEPROP SLICE_X65Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y47 PROHIBIT 0 SITEPROP SLICE_X65Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y47 RPM_X 171 SITEPROP SLICE_X65Y47 RPM_Y 94 SITEPROP SLICE_X65Y47 SITE_PIPS SITEPROP SLICE_X65Y47 SITE_TYPE SLICEL SITEPROP SLICE_X65Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y48 CLASS site SITEPROP SLICE_X65Y48 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y48 IS_BONDED 0 SITEPROP SLICE_X65Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y48 IS_PAD 0 SITEPROP SLICE_X65Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y48 IS_RESERVED 0 SITEPROP SLICE_X65Y48 IS_TEST 0 SITEPROP SLICE_X65Y48 IS_USED 0 SITEPROP SLICE_X65Y48 MANUAL_ROUTING SITEPROP SLICE_X65Y48 NAME SLICE_X65Y48 SITEPROP SLICE_X65Y48 NUM_ARCS 138 SITEPROP SLICE_X65Y48 NUM_BELS 32 SITEPROP SLICE_X65Y48 NUM_INPUTS 32 SITEPROP SLICE_X65Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y48 NUM_PINS 45 SITEPROP SLICE_X65Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y48 PROHIBIT 0 SITEPROP SLICE_X65Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y48 RPM_X 171 SITEPROP SLICE_X65Y48 RPM_Y 96 SITEPROP SLICE_X65Y48 SITE_PIPS SITEPROP SLICE_X65Y48 SITE_TYPE SLICEL SITEPROP SLICE_X65Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y49 CLASS site SITEPROP SLICE_X65Y49 CLOCK_REGION X1Y0 SITEPROP SLICE_X65Y49 IS_BONDED 0 SITEPROP SLICE_X65Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y49 IS_PAD 0 SITEPROP SLICE_X65Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y49 IS_RESERVED 0 SITEPROP SLICE_X65Y49 IS_TEST 0 SITEPROP SLICE_X65Y49 IS_USED 0 SITEPROP SLICE_X65Y49 MANUAL_ROUTING SITEPROP SLICE_X65Y49 NAME SLICE_X65Y49 SITEPROP SLICE_X65Y49 NUM_ARCS 138 SITEPROP SLICE_X65Y49 NUM_BELS 32 SITEPROP SLICE_X65Y49 NUM_INPUTS 32 SITEPROP SLICE_X65Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y49 NUM_PINS 45 SITEPROP SLICE_X65Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y49 PROHIBIT 0 SITEPROP SLICE_X65Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y49 RPM_X 171 SITEPROP SLICE_X65Y49 RPM_Y 98 SITEPROP SLICE_X65Y49 SITE_PIPS SITEPROP SLICE_X65Y49 SITE_TYPE SLICEL SITEPROP SLICE_X65Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y50 CLASS site SITEPROP SLICE_X65Y50 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y50 IS_BONDED 0 SITEPROP SLICE_X65Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y50 IS_PAD 0 SITEPROP SLICE_X65Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y50 IS_RESERVED 0 SITEPROP SLICE_X65Y50 IS_TEST 0 SITEPROP SLICE_X65Y50 IS_USED 0 SITEPROP SLICE_X65Y50 MANUAL_ROUTING SITEPROP SLICE_X65Y50 NAME SLICE_X65Y50 SITEPROP SLICE_X65Y50 NUM_ARCS 138 SITEPROP SLICE_X65Y50 NUM_BELS 32 SITEPROP SLICE_X65Y50 NUM_INPUTS 32 SITEPROP SLICE_X65Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y50 NUM_PINS 45 SITEPROP SLICE_X65Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y50 PROHIBIT 0 SITEPROP SLICE_X65Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y50 RPM_X 171 SITEPROP SLICE_X65Y50 RPM_Y 100 SITEPROP SLICE_X65Y50 SITE_PIPS SITEPROP SLICE_X65Y50 SITE_TYPE SLICEL SITEPROP SLICE_X65Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y51 CLASS site SITEPROP SLICE_X65Y51 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y51 IS_BONDED 0 SITEPROP SLICE_X65Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y51 IS_PAD 0 SITEPROP SLICE_X65Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y51 IS_RESERVED 0 SITEPROP SLICE_X65Y51 IS_TEST 0 SITEPROP SLICE_X65Y51 IS_USED 0 SITEPROP SLICE_X65Y51 MANUAL_ROUTING SITEPROP SLICE_X65Y51 NAME SLICE_X65Y51 SITEPROP SLICE_X65Y51 NUM_ARCS 138 SITEPROP SLICE_X65Y51 NUM_BELS 32 SITEPROP SLICE_X65Y51 NUM_INPUTS 32 SITEPROP SLICE_X65Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y51 NUM_PINS 45 SITEPROP SLICE_X65Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y51 PROHIBIT 0 SITEPROP SLICE_X65Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y51 RPM_X 171 SITEPROP SLICE_X65Y51 RPM_Y 102 SITEPROP SLICE_X65Y51 SITE_PIPS SITEPROP SLICE_X65Y51 SITE_TYPE SLICEL SITEPROP SLICE_X65Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y52 CLASS site SITEPROP SLICE_X65Y52 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y52 IS_BONDED 0 SITEPROP SLICE_X65Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y52 IS_PAD 0 SITEPROP SLICE_X65Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y52 IS_RESERVED 0 SITEPROP SLICE_X65Y52 IS_TEST 0 SITEPROP SLICE_X65Y52 IS_USED 0 SITEPROP SLICE_X65Y52 MANUAL_ROUTING SITEPROP SLICE_X65Y52 NAME SLICE_X65Y52 SITEPROP SLICE_X65Y52 NUM_ARCS 138 SITEPROP SLICE_X65Y52 NUM_BELS 32 SITEPROP SLICE_X65Y52 NUM_INPUTS 32 SITEPROP SLICE_X65Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y52 NUM_PINS 45 SITEPROP SLICE_X65Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y52 PROHIBIT 0 SITEPROP SLICE_X65Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y52 RPM_X 171 SITEPROP SLICE_X65Y52 RPM_Y 104 SITEPROP SLICE_X65Y52 SITE_PIPS SITEPROP SLICE_X65Y52 SITE_TYPE SLICEL SITEPROP SLICE_X65Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y53 CLASS site SITEPROP SLICE_X65Y53 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y53 IS_BONDED 0 SITEPROP SLICE_X65Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y53 IS_PAD 0 SITEPROP SLICE_X65Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y53 IS_RESERVED 0 SITEPROP SLICE_X65Y53 IS_TEST 0 SITEPROP SLICE_X65Y53 IS_USED 0 SITEPROP SLICE_X65Y53 MANUAL_ROUTING SITEPROP SLICE_X65Y53 NAME SLICE_X65Y53 SITEPROP SLICE_X65Y53 NUM_ARCS 138 SITEPROP SLICE_X65Y53 NUM_BELS 32 SITEPROP SLICE_X65Y53 NUM_INPUTS 32 SITEPROP SLICE_X65Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y53 NUM_PINS 45 SITEPROP SLICE_X65Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y53 PROHIBIT 0 SITEPROP SLICE_X65Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y53 RPM_X 171 SITEPROP SLICE_X65Y53 RPM_Y 106 SITEPROP SLICE_X65Y53 SITE_PIPS SITEPROP SLICE_X65Y53 SITE_TYPE SLICEL SITEPROP SLICE_X65Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y54 CLASS site SITEPROP SLICE_X65Y54 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y54 IS_BONDED 0 SITEPROP SLICE_X65Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y54 IS_PAD 0 SITEPROP SLICE_X65Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y54 IS_RESERVED 0 SITEPROP SLICE_X65Y54 IS_TEST 0 SITEPROP SLICE_X65Y54 IS_USED 0 SITEPROP SLICE_X65Y54 MANUAL_ROUTING SITEPROP SLICE_X65Y54 NAME SLICE_X65Y54 SITEPROP SLICE_X65Y54 NUM_ARCS 138 SITEPROP SLICE_X65Y54 NUM_BELS 32 SITEPROP SLICE_X65Y54 NUM_INPUTS 32 SITEPROP SLICE_X65Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y54 NUM_PINS 45 SITEPROP SLICE_X65Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y54 PROHIBIT 0 SITEPROP SLICE_X65Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y54 RPM_X 171 SITEPROP SLICE_X65Y54 RPM_Y 108 SITEPROP SLICE_X65Y54 SITE_PIPS SITEPROP SLICE_X65Y54 SITE_TYPE SLICEL SITEPROP SLICE_X65Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y55 CLASS site SITEPROP SLICE_X65Y55 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y55 IS_BONDED 0 SITEPROP SLICE_X65Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y55 IS_PAD 0 SITEPROP SLICE_X65Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y55 IS_RESERVED 0 SITEPROP SLICE_X65Y55 IS_TEST 0 SITEPROP SLICE_X65Y55 IS_USED 0 SITEPROP SLICE_X65Y55 MANUAL_ROUTING SITEPROP SLICE_X65Y55 NAME SLICE_X65Y55 SITEPROP SLICE_X65Y55 NUM_ARCS 138 SITEPROP SLICE_X65Y55 NUM_BELS 32 SITEPROP SLICE_X65Y55 NUM_INPUTS 32 SITEPROP SLICE_X65Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y55 NUM_PINS 45 SITEPROP SLICE_X65Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y55 PROHIBIT 0 SITEPROP SLICE_X65Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y55 RPM_X 171 SITEPROP SLICE_X65Y55 RPM_Y 110 SITEPROP SLICE_X65Y55 SITE_PIPS SITEPROP SLICE_X65Y55 SITE_TYPE SLICEL SITEPROP SLICE_X65Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y56 CLASS site SITEPROP SLICE_X65Y56 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y56 IS_BONDED 0 SITEPROP SLICE_X65Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y56 IS_PAD 0 SITEPROP SLICE_X65Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y56 IS_RESERVED 0 SITEPROP SLICE_X65Y56 IS_TEST 0 SITEPROP SLICE_X65Y56 IS_USED 0 SITEPROP SLICE_X65Y56 MANUAL_ROUTING SITEPROP SLICE_X65Y56 NAME SLICE_X65Y56 SITEPROP SLICE_X65Y56 NUM_ARCS 138 SITEPROP SLICE_X65Y56 NUM_BELS 32 SITEPROP SLICE_X65Y56 NUM_INPUTS 32 SITEPROP SLICE_X65Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y56 NUM_PINS 45 SITEPROP SLICE_X65Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y56 PROHIBIT 0 SITEPROP SLICE_X65Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y56 RPM_X 171 SITEPROP SLICE_X65Y56 RPM_Y 112 SITEPROP SLICE_X65Y56 SITE_PIPS SITEPROP SLICE_X65Y56 SITE_TYPE SLICEL SITEPROP SLICE_X65Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y57 CLASS site SITEPROP SLICE_X65Y57 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y57 IS_BONDED 0 SITEPROP SLICE_X65Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y57 IS_PAD 0 SITEPROP SLICE_X65Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y57 IS_RESERVED 0 SITEPROP SLICE_X65Y57 IS_TEST 0 SITEPROP SLICE_X65Y57 IS_USED 0 SITEPROP SLICE_X65Y57 MANUAL_ROUTING SITEPROP SLICE_X65Y57 NAME SLICE_X65Y57 SITEPROP SLICE_X65Y57 NUM_ARCS 138 SITEPROP SLICE_X65Y57 NUM_BELS 32 SITEPROP SLICE_X65Y57 NUM_INPUTS 32 SITEPROP SLICE_X65Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y57 NUM_PINS 45 SITEPROP SLICE_X65Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y57 PROHIBIT 0 SITEPROP SLICE_X65Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y57 RPM_X 171 SITEPROP SLICE_X65Y57 RPM_Y 114 SITEPROP SLICE_X65Y57 SITE_PIPS SITEPROP SLICE_X65Y57 SITE_TYPE SLICEL SITEPROP SLICE_X65Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y58 CLASS site SITEPROP SLICE_X65Y58 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y58 IS_BONDED 0 SITEPROP SLICE_X65Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y58 IS_PAD 0 SITEPROP SLICE_X65Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y58 IS_RESERVED 0 SITEPROP SLICE_X65Y58 IS_TEST 0 SITEPROP SLICE_X65Y58 IS_USED 0 SITEPROP SLICE_X65Y58 MANUAL_ROUTING SITEPROP SLICE_X65Y58 NAME SLICE_X65Y58 SITEPROP SLICE_X65Y58 NUM_ARCS 138 SITEPROP SLICE_X65Y58 NUM_BELS 32 SITEPROP SLICE_X65Y58 NUM_INPUTS 32 SITEPROP SLICE_X65Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y58 NUM_PINS 45 SITEPROP SLICE_X65Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y58 PROHIBIT 0 SITEPROP SLICE_X65Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y58 RPM_X 171 SITEPROP SLICE_X65Y58 RPM_Y 116 SITEPROP SLICE_X65Y58 SITE_PIPS SITEPROP SLICE_X65Y58 SITE_TYPE SLICEL SITEPROP SLICE_X65Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y59 CLASS site SITEPROP SLICE_X65Y59 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y59 IS_BONDED 0 SITEPROP SLICE_X65Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y59 IS_PAD 0 SITEPROP SLICE_X65Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y59 IS_RESERVED 0 SITEPROP SLICE_X65Y59 IS_TEST 0 SITEPROP SLICE_X65Y59 IS_USED 0 SITEPROP SLICE_X65Y59 MANUAL_ROUTING SITEPROP SLICE_X65Y59 NAME SLICE_X65Y59 SITEPROP SLICE_X65Y59 NUM_ARCS 138 SITEPROP SLICE_X65Y59 NUM_BELS 32 SITEPROP SLICE_X65Y59 NUM_INPUTS 32 SITEPROP SLICE_X65Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y59 NUM_PINS 45 SITEPROP SLICE_X65Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y59 PROHIBIT 0 SITEPROP SLICE_X65Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y59 RPM_X 171 SITEPROP SLICE_X65Y59 RPM_Y 118 SITEPROP SLICE_X65Y59 SITE_PIPS SITEPROP SLICE_X65Y59 SITE_TYPE SLICEL SITEPROP SLICE_X65Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y60 CLASS site SITEPROP SLICE_X65Y60 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y60 IS_BONDED 0 SITEPROP SLICE_X65Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y60 IS_PAD 0 SITEPROP SLICE_X65Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y60 IS_RESERVED 0 SITEPROP SLICE_X65Y60 IS_TEST 0 SITEPROP SLICE_X65Y60 IS_USED 0 SITEPROP SLICE_X65Y60 MANUAL_ROUTING SITEPROP SLICE_X65Y60 NAME SLICE_X65Y60 SITEPROP SLICE_X65Y60 NUM_ARCS 138 SITEPROP SLICE_X65Y60 NUM_BELS 32 SITEPROP SLICE_X65Y60 NUM_INPUTS 32 SITEPROP SLICE_X65Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y60 NUM_PINS 45 SITEPROP SLICE_X65Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y60 PROHIBIT 0 SITEPROP SLICE_X65Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y60 RPM_X 171 SITEPROP SLICE_X65Y60 RPM_Y 120 SITEPROP SLICE_X65Y60 SITE_PIPS SITEPROP SLICE_X65Y60 SITE_TYPE SLICEL SITEPROP SLICE_X65Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y61 CLASS site SITEPROP SLICE_X65Y61 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y61 IS_BONDED 0 SITEPROP SLICE_X65Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y61 IS_PAD 0 SITEPROP SLICE_X65Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y61 IS_RESERVED 0 SITEPROP SLICE_X65Y61 IS_TEST 0 SITEPROP SLICE_X65Y61 IS_USED 0 SITEPROP SLICE_X65Y61 MANUAL_ROUTING SITEPROP SLICE_X65Y61 NAME SLICE_X65Y61 SITEPROP SLICE_X65Y61 NUM_ARCS 138 SITEPROP SLICE_X65Y61 NUM_BELS 32 SITEPROP SLICE_X65Y61 NUM_INPUTS 32 SITEPROP SLICE_X65Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y61 NUM_PINS 45 SITEPROP SLICE_X65Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y61 PROHIBIT 0 SITEPROP SLICE_X65Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y61 RPM_X 171 SITEPROP SLICE_X65Y61 RPM_Y 122 SITEPROP SLICE_X65Y61 SITE_PIPS SITEPROP SLICE_X65Y61 SITE_TYPE SLICEL SITEPROP SLICE_X65Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y62 CLASS site SITEPROP SLICE_X65Y62 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y62 IS_BONDED 0 SITEPROP SLICE_X65Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y62 IS_PAD 0 SITEPROP SLICE_X65Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y62 IS_RESERVED 0 SITEPROP SLICE_X65Y62 IS_TEST 0 SITEPROP SLICE_X65Y62 IS_USED 0 SITEPROP SLICE_X65Y62 MANUAL_ROUTING SITEPROP SLICE_X65Y62 NAME SLICE_X65Y62 SITEPROP SLICE_X65Y62 NUM_ARCS 138 SITEPROP SLICE_X65Y62 NUM_BELS 32 SITEPROP SLICE_X65Y62 NUM_INPUTS 32 SITEPROP SLICE_X65Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y62 NUM_PINS 45 SITEPROP SLICE_X65Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y62 PROHIBIT 0 SITEPROP SLICE_X65Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y62 RPM_X 171 SITEPROP SLICE_X65Y62 RPM_Y 124 SITEPROP SLICE_X65Y62 SITE_PIPS SITEPROP SLICE_X65Y62 SITE_TYPE SLICEL SITEPROP SLICE_X65Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y63 CLASS site SITEPROP SLICE_X65Y63 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y63 IS_BONDED 0 SITEPROP SLICE_X65Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y63 IS_PAD 0 SITEPROP SLICE_X65Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y63 IS_RESERVED 0 SITEPROP SLICE_X65Y63 IS_TEST 0 SITEPROP SLICE_X65Y63 IS_USED 0 SITEPROP SLICE_X65Y63 MANUAL_ROUTING SITEPROP SLICE_X65Y63 NAME SLICE_X65Y63 SITEPROP SLICE_X65Y63 NUM_ARCS 138 SITEPROP SLICE_X65Y63 NUM_BELS 32 SITEPROP SLICE_X65Y63 NUM_INPUTS 32 SITEPROP SLICE_X65Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y63 NUM_PINS 45 SITEPROP SLICE_X65Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y63 PROHIBIT 0 SITEPROP SLICE_X65Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y63 RPM_X 171 SITEPROP SLICE_X65Y63 RPM_Y 126 SITEPROP SLICE_X65Y63 SITE_PIPS SITEPROP SLICE_X65Y63 SITE_TYPE SLICEL SITEPROP SLICE_X65Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y64 CLASS site SITEPROP SLICE_X65Y64 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y64 IS_BONDED 0 SITEPROP SLICE_X65Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y64 IS_PAD 0 SITEPROP SLICE_X65Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y64 IS_RESERVED 0 SITEPROP SLICE_X65Y64 IS_TEST 0 SITEPROP SLICE_X65Y64 IS_USED 0 SITEPROP SLICE_X65Y64 MANUAL_ROUTING SITEPROP SLICE_X65Y64 NAME SLICE_X65Y64 SITEPROP SLICE_X65Y64 NUM_ARCS 138 SITEPROP SLICE_X65Y64 NUM_BELS 32 SITEPROP SLICE_X65Y64 NUM_INPUTS 32 SITEPROP SLICE_X65Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y64 NUM_PINS 45 SITEPROP SLICE_X65Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y64 PROHIBIT 0 SITEPROP SLICE_X65Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y64 RPM_X 171 SITEPROP SLICE_X65Y64 RPM_Y 128 SITEPROP SLICE_X65Y64 SITE_PIPS SITEPROP SLICE_X65Y64 SITE_TYPE SLICEL SITEPROP SLICE_X65Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y65 CLASS site SITEPROP SLICE_X65Y65 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y65 IS_BONDED 0 SITEPROP SLICE_X65Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y65 IS_PAD 0 SITEPROP SLICE_X65Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y65 IS_RESERVED 0 SITEPROP SLICE_X65Y65 IS_TEST 0 SITEPROP SLICE_X65Y65 IS_USED 0 SITEPROP SLICE_X65Y65 MANUAL_ROUTING SITEPROP SLICE_X65Y65 NAME SLICE_X65Y65 SITEPROP SLICE_X65Y65 NUM_ARCS 138 SITEPROP SLICE_X65Y65 NUM_BELS 32 SITEPROP SLICE_X65Y65 NUM_INPUTS 32 SITEPROP SLICE_X65Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y65 NUM_PINS 45 SITEPROP SLICE_X65Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y65 PROHIBIT 0 SITEPROP SLICE_X65Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y65 RPM_X 171 SITEPROP SLICE_X65Y65 RPM_Y 130 SITEPROP SLICE_X65Y65 SITE_PIPS SITEPROP SLICE_X65Y65 SITE_TYPE SLICEL SITEPROP SLICE_X65Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y66 CLASS site SITEPROP SLICE_X65Y66 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y66 IS_BONDED 0 SITEPROP SLICE_X65Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y66 IS_PAD 0 SITEPROP SLICE_X65Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y66 IS_RESERVED 0 SITEPROP SLICE_X65Y66 IS_TEST 0 SITEPROP SLICE_X65Y66 IS_USED 0 SITEPROP SLICE_X65Y66 MANUAL_ROUTING SITEPROP SLICE_X65Y66 NAME SLICE_X65Y66 SITEPROP SLICE_X65Y66 NUM_ARCS 138 SITEPROP SLICE_X65Y66 NUM_BELS 32 SITEPROP SLICE_X65Y66 NUM_INPUTS 32 SITEPROP SLICE_X65Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y66 NUM_PINS 45 SITEPROP SLICE_X65Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y66 PROHIBIT 0 SITEPROP SLICE_X65Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y66 RPM_X 171 SITEPROP SLICE_X65Y66 RPM_Y 132 SITEPROP SLICE_X65Y66 SITE_PIPS SITEPROP SLICE_X65Y66 SITE_TYPE SLICEL SITEPROP SLICE_X65Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y67 CLASS site SITEPROP SLICE_X65Y67 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y67 IS_BONDED 0 SITEPROP SLICE_X65Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y67 IS_PAD 0 SITEPROP SLICE_X65Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y67 IS_RESERVED 0 SITEPROP SLICE_X65Y67 IS_TEST 0 SITEPROP SLICE_X65Y67 IS_USED 0 SITEPROP SLICE_X65Y67 MANUAL_ROUTING SITEPROP SLICE_X65Y67 NAME SLICE_X65Y67 SITEPROP SLICE_X65Y67 NUM_ARCS 138 SITEPROP SLICE_X65Y67 NUM_BELS 32 SITEPROP SLICE_X65Y67 NUM_INPUTS 32 SITEPROP SLICE_X65Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y67 NUM_PINS 45 SITEPROP SLICE_X65Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y67 PROHIBIT 0 SITEPROP SLICE_X65Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y67 RPM_X 171 SITEPROP SLICE_X65Y67 RPM_Y 134 SITEPROP SLICE_X65Y67 SITE_PIPS SITEPROP SLICE_X65Y67 SITE_TYPE SLICEL SITEPROP SLICE_X65Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y68 CLASS site SITEPROP SLICE_X65Y68 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y68 IS_BONDED 0 SITEPROP SLICE_X65Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y68 IS_PAD 0 SITEPROP SLICE_X65Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y68 IS_RESERVED 0 SITEPROP SLICE_X65Y68 IS_TEST 0 SITEPROP SLICE_X65Y68 IS_USED 0 SITEPROP SLICE_X65Y68 MANUAL_ROUTING SITEPROP SLICE_X65Y68 NAME SLICE_X65Y68 SITEPROP SLICE_X65Y68 NUM_ARCS 138 SITEPROP SLICE_X65Y68 NUM_BELS 32 SITEPROP SLICE_X65Y68 NUM_INPUTS 32 SITEPROP SLICE_X65Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y68 NUM_PINS 45 SITEPROP SLICE_X65Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y68 PROHIBIT 0 SITEPROP SLICE_X65Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y68 RPM_X 171 SITEPROP SLICE_X65Y68 RPM_Y 136 SITEPROP SLICE_X65Y68 SITE_PIPS SITEPROP SLICE_X65Y68 SITE_TYPE SLICEL SITEPROP SLICE_X65Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y69 CLASS site SITEPROP SLICE_X65Y69 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y69 IS_BONDED 0 SITEPROP SLICE_X65Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y69 IS_PAD 0 SITEPROP SLICE_X65Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y69 IS_RESERVED 0 SITEPROP SLICE_X65Y69 IS_TEST 0 SITEPROP SLICE_X65Y69 IS_USED 0 SITEPROP SLICE_X65Y69 MANUAL_ROUTING SITEPROP SLICE_X65Y69 NAME SLICE_X65Y69 SITEPROP SLICE_X65Y69 NUM_ARCS 138 SITEPROP SLICE_X65Y69 NUM_BELS 32 SITEPROP SLICE_X65Y69 NUM_INPUTS 32 SITEPROP SLICE_X65Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y69 NUM_PINS 45 SITEPROP SLICE_X65Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y69 PROHIBIT 0 SITEPROP SLICE_X65Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y69 RPM_X 171 SITEPROP SLICE_X65Y69 RPM_Y 138 SITEPROP SLICE_X65Y69 SITE_PIPS SITEPROP SLICE_X65Y69 SITE_TYPE SLICEL SITEPROP SLICE_X65Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y70 CLASS site SITEPROP SLICE_X65Y70 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y70 IS_BONDED 0 SITEPROP SLICE_X65Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y70 IS_PAD 0 SITEPROP SLICE_X65Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y70 IS_RESERVED 0 SITEPROP SLICE_X65Y70 IS_TEST 0 SITEPROP SLICE_X65Y70 IS_USED 0 SITEPROP SLICE_X65Y70 MANUAL_ROUTING SITEPROP SLICE_X65Y70 NAME SLICE_X65Y70 SITEPROP SLICE_X65Y70 NUM_ARCS 138 SITEPROP SLICE_X65Y70 NUM_BELS 32 SITEPROP SLICE_X65Y70 NUM_INPUTS 32 SITEPROP SLICE_X65Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y70 NUM_PINS 45 SITEPROP SLICE_X65Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y70 PROHIBIT 0 SITEPROP SLICE_X65Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y70 RPM_X 171 SITEPROP SLICE_X65Y70 RPM_Y 140 SITEPROP SLICE_X65Y70 SITE_PIPS SITEPROP SLICE_X65Y70 SITE_TYPE SLICEL SITEPROP SLICE_X65Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y71 CLASS site SITEPROP SLICE_X65Y71 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y71 IS_BONDED 0 SITEPROP SLICE_X65Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y71 IS_PAD 0 SITEPROP SLICE_X65Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y71 IS_RESERVED 0 SITEPROP SLICE_X65Y71 IS_TEST 0 SITEPROP SLICE_X65Y71 IS_USED 0 SITEPROP SLICE_X65Y71 MANUAL_ROUTING SITEPROP SLICE_X65Y71 NAME SLICE_X65Y71 SITEPROP SLICE_X65Y71 NUM_ARCS 138 SITEPROP SLICE_X65Y71 NUM_BELS 32 SITEPROP SLICE_X65Y71 NUM_INPUTS 32 SITEPROP SLICE_X65Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y71 NUM_PINS 45 SITEPROP SLICE_X65Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y71 PROHIBIT 0 SITEPROP SLICE_X65Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y71 RPM_X 171 SITEPROP SLICE_X65Y71 RPM_Y 142 SITEPROP SLICE_X65Y71 SITE_PIPS SITEPROP SLICE_X65Y71 SITE_TYPE SLICEL SITEPROP SLICE_X65Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y72 CLASS site SITEPROP SLICE_X65Y72 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y72 IS_BONDED 0 SITEPROP SLICE_X65Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y72 IS_PAD 0 SITEPROP SLICE_X65Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y72 IS_RESERVED 0 SITEPROP SLICE_X65Y72 IS_TEST 0 SITEPROP SLICE_X65Y72 IS_USED 0 SITEPROP SLICE_X65Y72 MANUAL_ROUTING SITEPROP SLICE_X65Y72 NAME SLICE_X65Y72 SITEPROP SLICE_X65Y72 NUM_ARCS 138 SITEPROP SLICE_X65Y72 NUM_BELS 32 SITEPROP SLICE_X65Y72 NUM_INPUTS 32 SITEPROP SLICE_X65Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y72 NUM_PINS 45 SITEPROP SLICE_X65Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y72 PROHIBIT 0 SITEPROP SLICE_X65Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y72 RPM_X 171 SITEPROP SLICE_X65Y72 RPM_Y 144 SITEPROP SLICE_X65Y72 SITE_PIPS SITEPROP SLICE_X65Y72 SITE_TYPE SLICEL SITEPROP SLICE_X65Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y73 CLASS site SITEPROP SLICE_X65Y73 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y73 IS_BONDED 0 SITEPROP SLICE_X65Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y73 IS_PAD 0 SITEPROP SLICE_X65Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y73 IS_RESERVED 0 SITEPROP SLICE_X65Y73 IS_TEST 0 SITEPROP SLICE_X65Y73 IS_USED 0 SITEPROP SLICE_X65Y73 MANUAL_ROUTING SITEPROP SLICE_X65Y73 NAME SLICE_X65Y73 SITEPROP SLICE_X65Y73 NUM_ARCS 138 SITEPROP SLICE_X65Y73 NUM_BELS 32 SITEPROP SLICE_X65Y73 NUM_INPUTS 32 SITEPROP SLICE_X65Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y73 NUM_PINS 45 SITEPROP SLICE_X65Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y73 PROHIBIT 0 SITEPROP SLICE_X65Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y73 RPM_X 171 SITEPROP SLICE_X65Y73 RPM_Y 146 SITEPROP SLICE_X65Y73 SITE_PIPS SITEPROP SLICE_X65Y73 SITE_TYPE SLICEL SITEPROP SLICE_X65Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y74 CLASS site SITEPROP SLICE_X65Y74 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y74 IS_BONDED 0 SITEPROP SLICE_X65Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y74 IS_PAD 0 SITEPROP SLICE_X65Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y74 IS_RESERVED 0 SITEPROP SLICE_X65Y74 IS_TEST 0 SITEPROP SLICE_X65Y74 IS_USED 0 SITEPROP SLICE_X65Y74 MANUAL_ROUTING SITEPROP SLICE_X65Y74 NAME SLICE_X65Y74 SITEPROP SLICE_X65Y74 NUM_ARCS 138 SITEPROP SLICE_X65Y74 NUM_BELS 32 SITEPROP SLICE_X65Y74 NUM_INPUTS 32 SITEPROP SLICE_X65Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y74 NUM_PINS 45 SITEPROP SLICE_X65Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y74 PROHIBIT 0 SITEPROP SLICE_X65Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y74 RPM_X 171 SITEPROP SLICE_X65Y74 RPM_Y 148 SITEPROP SLICE_X65Y74 SITE_PIPS SITEPROP SLICE_X65Y74 SITE_TYPE SLICEL SITEPROP SLICE_X65Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y75 CLASS site SITEPROP SLICE_X65Y75 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y75 IS_BONDED 0 SITEPROP SLICE_X65Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y75 IS_PAD 0 SITEPROP SLICE_X65Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y75 IS_RESERVED 0 SITEPROP SLICE_X65Y75 IS_TEST 0 SITEPROP SLICE_X65Y75 IS_USED 0 SITEPROP SLICE_X65Y75 MANUAL_ROUTING SITEPROP SLICE_X65Y75 NAME SLICE_X65Y75 SITEPROP SLICE_X65Y75 NUM_ARCS 138 SITEPROP SLICE_X65Y75 NUM_BELS 32 SITEPROP SLICE_X65Y75 NUM_INPUTS 32 SITEPROP SLICE_X65Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y75 NUM_PINS 45 SITEPROP SLICE_X65Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y75 PROHIBIT 0 SITEPROP SLICE_X65Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y75 RPM_X 171 SITEPROP SLICE_X65Y75 RPM_Y 150 SITEPROP SLICE_X65Y75 SITE_PIPS SITEPROP SLICE_X65Y75 SITE_TYPE SLICEL SITEPROP SLICE_X65Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y76 CLASS site SITEPROP SLICE_X65Y76 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y76 IS_BONDED 0 SITEPROP SLICE_X65Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y76 IS_PAD 0 SITEPROP SLICE_X65Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y76 IS_RESERVED 0 SITEPROP SLICE_X65Y76 IS_TEST 0 SITEPROP SLICE_X65Y76 IS_USED 0 SITEPROP SLICE_X65Y76 MANUAL_ROUTING SITEPROP SLICE_X65Y76 NAME SLICE_X65Y76 SITEPROP SLICE_X65Y76 NUM_ARCS 138 SITEPROP SLICE_X65Y76 NUM_BELS 32 SITEPROP SLICE_X65Y76 NUM_INPUTS 32 SITEPROP SLICE_X65Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y76 NUM_PINS 45 SITEPROP SLICE_X65Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y76 PROHIBIT 0 SITEPROP SLICE_X65Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y76 RPM_X 171 SITEPROP SLICE_X65Y76 RPM_Y 152 SITEPROP SLICE_X65Y76 SITE_PIPS SITEPROP SLICE_X65Y76 SITE_TYPE SLICEL SITEPROP SLICE_X65Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y77 CLASS site SITEPROP SLICE_X65Y77 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y77 IS_BONDED 0 SITEPROP SLICE_X65Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y77 IS_PAD 0 SITEPROP SLICE_X65Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y77 IS_RESERVED 0 SITEPROP SLICE_X65Y77 IS_TEST 0 SITEPROP SLICE_X65Y77 IS_USED 0 SITEPROP SLICE_X65Y77 MANUAL_ROUTING SITEPROP SLICE_X65Y77 NAME SLICE_X65Y77 SITEPROP SLICE_X65Y77 NUM_ARCS 138 SITEPROP SLICE_X65Y77 NUM_BELS 32 SITEPROP SLICE_X65Y77 NUM_INPUTS 32 SITEPROP SLICE_X65Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y77 NUM_PINS 45 SITEPROP SLICE_X65Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y77 PROHIBIT 0 SITEPROP SLICE_X65Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y77 RPM_X 171 SITEPROP SLICE_X65Y77 RPM_Y 154 SITEPROP SLICE_X65Y77 SITE_PIPS SITEPROP SLICE_X65Y77 SITE_TYPE SLICEL SITEPROP SLICE_X65Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y78 CLASS site SITEPROP SLICE_X65Y78 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y78 IS_BONDED 0 SITEPROP SLICE_X65Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y78 IS_PAD 0 SITEPROP SLICE_X65Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y78 IS_RESERVED 0 SITEPROP SLICE_X65Y78 IS_TEST 0 SITEPROP SLICE_X65Y78 IS_USED 0 SITEPROP SLICE_X65Y78 MANUAL_ROUTING SITEPROP SLICE_X65Y78 NAME SLICE_X65Y78 SITEPROP SLICE_X65Y78 NUM_ARCS 138 SITEPROP SLICE_X65Y78 NUM_BELS 32 SITEPROP SLICE_X65Y78 NUM_INPUTS 32 SITEPROP SLICE_X65Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y78 NUM_PINS 45 SITEPROP SLICE_X65Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y78 PROHIBIT 0 SITEPROP SLICE_X65Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y78 RPM_X 171 SITEPROP SLICE_X65Y78 RPM_Y 156 SITEPROP SLICE_X65Y78 SITE_PIPS SITEPROP SLICE_X65Y78 SITE_TYPE SLICEL SITEPROP SLICE_X65Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y79 CLASS site SITEPROP SLICE_X65Y79 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y79 IS_BONDED 0 SITEPROP SLICE_X65Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y79 IS_PAD 0 SITEPROP SLICE_X65Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y79 IS_RESERVED 0 SITEPROP SLICE_X65Y79 IS_TEST 0 SITEPROP SLICE_X65Y79 IS_USED 0 SITEPROP SLICE_X65Y79 MANUAL_ROUTING SITEPROP SLICE_X65Y79 NAME SLICE_X65Y79 SITEPROP SLICE_X65Y79 NUM_ARCS 138 SITEPROP SLICE_X65Y79 NUM_BELS 32 SITEPROP SLICE_X65Y79 NUM_INPUTS 32 SITEPROP SLICE_X65Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y79 NUM_PINS 45 SITEPROP SLICE_X65Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y79 PROHIBIT 0 SITEPROP SLICE_X65Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y79 RPM_X 171 SITEPROP SLICE_X65Y79 RPM_Y 158 SITEPROP SLICE_X65Y79 SITE_PIPS SITEPROP SLICE_X65Y79 SITE_TYPE SLICEL SITEPROP SLICE_X65Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y80 CLASS site SITEPROP SLICE_X65Y80 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y80 IS_BONDED 0 SITEPROP SLICE_X65Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y80 IS_PAD 0 SITEPROP SLICE_X65Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y80 IS_RESERVED 0 SITEPROP SLICE_X65Y80 IS_TEST 0 SITEPROP SLICE_X65Y80 IS_USED 0 SITEPROP SLICE_X65Y80 MANUAL_ROUTING SITEPROP SLICE_X65Y80 NAME SLICE_X65Y80 SITEPROP SLICE_X65Y80 NUM_ARCS 138 SITEPROP SLICE_X65Y80 NUM_BELS 32 SITEPROP SLICE_X65Y80 NUM_INPUTS 32 SITEPROP SLICE_X65Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y80 NUM_PINS 45 SITEPROP SLICE_X65Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y80 PROHIBIT 0 SITEPROP SLICE_X65Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y80 RPM_X 171 SITEPROP SLICE_X65Y80 RPM_Y 160 SITEPROP SLICE_X65Y80 SITE_PIPS SITEPROP SLICE_X65Y80 SITE_TYPE SLICEL SITEPROP SLICE_X65Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y81 CLASS site SITEPROP SLICE_X65Y81 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y81 IS_BONDED 0 SITEPROP SLICE_X65Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y81 IS_PAD 0 SITEPROP SLICE_X65Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y81 IS_RESERVED 0 SITEPROP SLICE_X65Y81 IS_TEST 0 SITEPROP SLICE_X65Y81 IS_USED 0 SITEPROP SLICE_X65Y81 MANUAL_ROUTING SITEPROP SLICE_X65Y81 NAME SLICE_X65Y81 SITEPROP SLICE_X65Y81 NUM_ARCS 138 SITEPROP SLICE_X65Y81 NUM_BELS 32 SITEPROP SLICE_X65Y81 NUM_INPUTS 32 SITEPROP SLICE_X65Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y81 NUM_PINS 45 SITEPROP SLICE_X65Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y81 PROHIBIT 0 SITEPROP SLICE_X65Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y81 RPM_X 171 SITEPROP SLICE_X65Y81 RPM_Y 162 SITEPROP SLICE_X65Y81 SITE_PIPS SITEPROP SLICE_X65Y81 SITE_TYPE SLICEL SITEPROP SLICE_X65Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y82 CLASS site SITEPROP SLICE_X65Y82 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y82 IS_BONDED 0 SITEPROP SLICE_X65Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y82 IS_PAD 0 SITEPROP SLICE_X65Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y82 IS_RESERVED 0 SITEPROP SLICE_X65Y82 IS_TEST 0 SITEPROP SLICE_X65Y82 IS_USED 0 SITEPROP SLICE_X65Y82 MANUAL_ROUTING SITEPROP SLICE_X65Y82 NAME SLICE_X65Y82 SITEPROP SLICE_X65Y82 NUM_ARCS 138 SITEPROP SLICE_X65Y82 NUM_BELS 32 SITEPROP SLICE_X65Y82 NUM_INPUTS 32 SITEPROP SLICE_X65Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y82 NUM_PINS 45 SITEPROP SLICE_X65Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y82 PROHIBIT 0 SITEPROP SLICE_X65Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y82 RPM_X 171 SITEPROP SLICE_X65Y82 RPM_Y 164 SITEPROP SLICE_X65Y82 SITE_PIPS SITEPROP SLICE_X65Y82 SITE_TYPE SLICEL SITEPROP SLICE_X65Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y83 CLASS site SITEPROP SLICE_X65Y83 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y83 IS_BONDED 0 SITEPROP SLICE_X65Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y83 IS_PAD 0 SITEPROP SLICE_X65Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y83 IS_RESERVED 0 SITEPROP SLICE_X65Y83 IS_TEST 0 SITEPROP SLICE_X65Y83 IS_USED 0 SITEPROP SLICE_X65Y83 MANUAL_ROUTING SITEPROP SLICE_X65Y83 NAME SLICE_X65Y83 SITEPROP SLICE_X65Y83 NUM_ARCS 138 SITEPROP SLICE_X65Y83 NUM_BELS 32 SITEPROP SLICE_X65Y83 NUM_INPUTS 32 SITEPROP SLICE_X65Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y83 NUM_PINS 45 SITEPROP SLICE_X65Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y83 PROHIBIT 0 SITEPROP SLICE_X65Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y83 RPM_X 171 SITEPROP SLICE_X65Y83 RPM_Y 166 SITEPROP SLICE_X65Y83 SITE_PIPS SITEPROP SLICE_X65Y83 SITE_TYPE SLICEL SITEPROP SLICE_X65Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y84 CLASS site SITEPROP SLICE_X65Y84 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y84 IS_BONDED 0 SITEPROP SLICE_X65Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y84 IS_PAD 0 SITEPROP SLICE_X65Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y84 IS_RESERVED 0 SITEPROP SLICE_X65Y84 IS_TEST 0 SITEPROP SLICE_X65Y84 IS_USED 0 SITEPROP SLICE_X65Y84 MANUAL_ROUTING SITEPROP SLICE_X65Y84 NAME SLICE_X65Y84 SITEPROP SLICE_X65Y84 NUM_ARCS 138 SITEPROP SLICE_X65Y84 NUM_BELS 32 SITEPROP SLICE_X65Y84 NUM_INPUTS 32 SITEPROP SLICE_X65Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y84 NUM_PINS 45 SITEPROP SLICE_X65Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y84 PROHIBIT 0 SITEPROP SLICE_X65Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y84 RPM_X 171 SITEPROP SLICE_X65Y84 RPM_Y 168 SITEPROP SLICE_X65Y84 SITE_PIPS SITEPROP SLICE_X65Y84 SITE_TYPE SLICEL SITEPROP SLICE_X65Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y85 CLASS site SITEPROP SLICE_X65Y85 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y85 IS_BONDED 0 SITEPROP SLICE_X65Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y85 IS_PAD 0 SITEPROP SLICE_X65Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y85 IS_RESERVED 0 SITEPROP SLICE_X65Y85 IS_TEST 0 SITEPROP SLICE_X65Y85 IS_USED 0 SITEPROP SLICE_X65Y85 MANUAL_ROUTING SITEPROP SLICE_X65Y85 NAME SLICE_X65Y85 SITEPROP SLICE_X65Y85 NUM_ARCS 138 SITEPROP SLICE_X65Y85 NUM_BELS 32 SITEPROP SLICE_X65Y85 NUM_INPUTS 32 SITEPROP SLICE_X65Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y85 NUM_PINS 45 SITEPROP SLICE_X65Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y85 PROHIBIT 0 SITEPROP SLICE_X65Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y85 RPM_X 171 SITEPROP SLICE_X65Y85 RPM_Y 170 SITEPROP SLICE_X65Y85 SITE_PIPS SITEPROP SLICE_X65Y85 SITE_TYPE SLICEL SITEPROP SLICE_X65Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y86 CLASS site SITEPROP SLICE_X65Y86 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y86 IS_BONDED 0 SITEPROP SLICE_X65Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y86 IS_PAD 0 SITEPROP SLICE_X65Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y86 IS_RESERVED 0 SITEPROP SLICE_X65Y86 IS_TEST 0 SITEPROP SLICE_X65Y86 IS_USED 0 SITEPROP SLICE_X65Y86 MANUAL_ROUTING SITEPROP SLICE_X65Y86 NAME SLICE_X65Y86 SITEPROP SLICE_X65Y86 NUM_ARCS 138 SITEPROP SLICE_X65Y86 NUM_BELS 32 SITEPROP SLICE_X65Y86 NUM_INPUTS 32 SITEPROP SLICE_X65Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y86 NUM_PINS 45 SITEPROP SLICE_X65Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y86 PROHIBIT 0 SITEPROP SLICE_X65Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y86 RPM_X 171 SITEPROP SLICE_X65Y86 RPM_Y 172 SITEPROP SLICE_X65Y86 SITE_PIPS SITEPROP SLICE_X65Y86 SITE_TYPE SLICEL SITEPROP SLICE_X65Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y87 CLASS site SITEPROP SLICE_X65Y87 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y87 IS_BONDED 0 SITEPROP SLICE_X65Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y87 IS_PAD 0 SITEPROP SLICE_X65Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y87 IS_RESERVED 0 SITEPROP SLICE_X65Y87 IS_TEST 0 SITEPROP SLICE_X65Y87 IS_USED 0 SITEPROP SLICE_X65Y87 MANUAL_ROUTING SITEPROP SLICE_X65Y87 NAME SLICE_X65Y87 SITEPROP SLICE_X65Y87 NUM_ARCS 138 SITEPROP SLICE_X65Y87 NUM_BELS 32 SITEPROP SLICE_X65Y87 NUM_INPUTS 32 SITEPROP SLICE_X65Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y87 NUM_PINS 45 SITEPROP SLICE_X65Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y87 PROHIBIT 0 SITEPROP SLICE_X65Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y87 RPM_X 171 SITEPROP SLICE_X65Y87 RPM_Y 174 SITEPROP SLICE_X65Y87 SITE_PIPS SITEPROP SLICE_X65Y87 SITE_TYPE SLICEL SITEPROP SLICE_X65Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y88 CLASS site SITEPROP SLICE_X65Y88 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y88 IS_BONDED 0 SITEPROP SLICE_X65Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y88 IS_PAD 0 SITEPROP SLICE_X65Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y88 IS_RESERVED 0 SITEPROP SLICE_X65Y88 IS_TEST 0 SITEPROP SLICE_X65Y88 IS_USED 0 SITEPROP SLICE_X65Y88 MANUAL_ROUTING SITEPROP SLICE_X65Y88 NAME SLICE_X65Y88 SITEPROP SLICE_X65Y88 NUM_ARCS 138 SITEPROP SLICE_X65Y88 NUM_BELS 32 SITEPROP SLICE_X65Y88 NUM_INPUTS 32 SITEPROP SLICE_X65Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y88 NUM_PINS 45 SITEPROP SLICE_X65Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y88 PROHIBIT 0 SITEPROP SLICE_X65Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y88 RPM_X 171 SITEPROP SLICE_X65Y88 RPM_Y 176 SITEPROP SLICE_X65Y88 SITE_PIPS SITEPROP SLICE_X65Y88 SITE_TYPE SLICEL SITEPROP SLICE_X65Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y89 CLASS site SITEPROP SLICE_X65Y89 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y89 IS_BONDED 0 SITEPROP SLICE_X65Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y89 IS_PAD 0 SITEPROP SLICE_X65Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y89 IS_RESERVED 0 SITEPROP SLICE_X65Y89 IS_TEST 0 SITEPROP SLICE_X65Y89 IS_USED 0 SITEPROP SLICE_X65Y89 MANUAL_ROUTING SITEPROP SLICE_X65Y89 NAME SLICE_X65Y89 SITEPROP SLICE_X65Y89 NUM_ARCS 138 SITEPROP SLICE_X65Y89 NUM_BELS 32 SITEPROP SLICE_X65Y89 NUM_INPUTS 32 SITEPROP SLICE_X65Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y89 NUM_PINS 45 SITEPROP SLICE_X65Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y89 PROHIBIT 0 SITEPROP SLICE_X65Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y89 RPM_X 171 SITEPROP SLICE_X65Y89 RPM_Y 178 SITEPROP SLICE_X65Y89 SITE_PIPS SITEPROP SLICE_X65Y89 SITE_TYPE SLICEL SITEPROP SLICE_X65Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y90 CLASS site SITEPROP SLICE_X65Y90 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y90 IS_BONDED 0 SITEPROP SLICE_X65Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y90 IS_PAD 0 SITEPROP SLICE_X65Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y90 IS_RESERVED 0 SITEPROP SLICE_X65Y90 IS_TEST 0 SITEPROP SLICE_X65Y90 IS_USED 0 SITEPROP SLICE_X65Y90 MANUAL_ROUTING SITEPROP SLICE_X65Y90 NAME SLICE_X65Y90 SITEPROP SLICE_X65Y90 NUM_ARCS 138 SITEPROP SLICE_X65Y90 NUM_BELS 32 SITEPROP SLICE_X65Y90 NUM_INPUTS 32 SITEPROP SLICE_X65Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y90 NUM_PINS 45 SITEPROP SLICE_X65Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y90 PROHIBIT 0 SITEPROP SLICE_X65Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y90 RPM_X 171 SITEPROP SLICE_X65Y90 RPM_Y 180 SITEPROP SLICE_X65Y90 SITE_PIPS SITEPROP SLICE_X65Y90 SITE_TYPE SLICEL SITEPROP SLICE_X65Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y91 CLASS site SITEPROP SLICE_X65Y91 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y91 IS_BONDED 0 SITEPROP SLICE_X65Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y91 IS_PAD 0 SITEPROP SLICE_X65Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y91 IS_RESERVED 0 SITEPROP SLICE_X65Y91 IS_TEST 0 SITEPROP SLICE_X65Y91 IS_USED 0 SITEPROP SLICE_X65Y91 MANUAL_ROUTING SITEPROP SLICE_X65Y91 NAME SLICE_X65Y91 SITEPROP SLICE_X65Y91 NUM_ARCS 138 SITEPROP SLICE_X65Y91 NUM_BELS 32 SITEPROP SLICE_X65Y91 NUM_INPUTS 32 SITEPROP SLICE_X65Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y91 NUM_PINS 45 SITEPROP SLICE_X65Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y91 PROHIBIT 0 SITEPROP SLICE_X65Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y91 RPM_X 171 SITEPROP SLICE_X65Y91 RPM_Y 182 SITEPROP SLICE_X65Y91 SITE_PIPS SITEPROP SLICE_X65Y91 SITE_TYPE SLICEL SITEPROP SLICE_X65Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y92 CLASS site SITEPROP SLICE_X65Y92 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y92 IS_BONDED 0 SITEPROP SLICE_X65Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y92 IS_PAD 0 SITEPROP SLICE_X65Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y92 IS_RESERVED 0 SITEPROP SLICE_X65Y92 IS_TEST 0 SITEPROP SLICE_X65Y92 IS_USED 0 SITEPROP SLICE_X65Y92 MANUAL_ROUTING SITEPROP SLICE_X65Y92 NAME SLICE_X65Y92 SITEPROP SLICE_X65Y92 NUM_ARCS 138 SITEPROP SLICE_X65Y92 NUM_BELS 32 SITEPROP SLICE_X65Y92 NUM_INPUTS 32 SITEPROP SLICE_X65Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y92 NUM_PINS 45 SITEPROP SLICE_X65Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y92 PROHIBIT 0 SITEPROP SLICE_X65Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y92 RPM_X 171 SITEPROP SLICE_X65Y92 RPM_Y 184 SITEPROP SLICE_X65Y92 SITE_PIPS SITEPROP SLICE_X65Y92 SITE_TYPE SLICEL SITEPROP SLICE_X65Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y93 CLASS site SITEPROP SLICE_X65Y93 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y93 IS_BONDED 0 SITEPROP SLICE_X65Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y93 IS_PAD 0 SITEPROP SLICE_X65Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y93 IS_RESERVED 0 SITEPROP SLICE_X65Y93 IS_TEST 0 SITEPROP SLICE_X65Y93 IS_USED 0 SITEPROP SLICE_X65Y93 MANUAL_ROUTING SITEPROP SLICE_X65Y93 NAME SLICE_X65Y93 SITEPROP SLICE_X65Y93 NUM_ARCS 138 SITEPROP SLICE_X65Y93 NUM_BELS 32 SITEPROP SLICE_X65Y93 NUM_INPUTS 32 SITEPROP SLICE_X65Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y93 NUM_PINS 45 SITEPROP SLICE_X65Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y93 PROHIBIT 0 SITEPROP SLICE_X65Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y93 RPM_X 171 SITEPROP SLICE_X65Y93 RPM_Y 186 SITEPROP SLICE_X65Y93 SITE_PIPS SITEPROP SLICE_X65Y93 SITE_TYPE SLICEL SITEPROP SLICE_X65Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y94 CLASS site SITEPROP SLICE_X65Y94 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y94 IS_BONDED 0 SITEPROP SLICE_X65Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y94 IS_PAD 0 SITEPROP SLICE_X65Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y94 IS_RESERVED 0 SITEPROP SLICE_X65Y94 IS_TEST 0 SITEPROP SLICE_X65Y94 IS_USED 0 SITEPROP SLICE_X65Y94 MANUAL_ROUTING SITEPROP SLICE_X65Y94 NAME SLICE_X65Y94 SITEPROP SLICE_X65Y94 NUM_ARCS 138 SITEPROP SLICE_X65Y94 NUM_BELS 32 SITEPROP SLICE_X65Y94 NUM_INPUTS 32 SITEPROP SLICE_X65Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y94 NUM_PINS 45 SITEPROP SLICE_X65Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y94 PROHIBIT 0 SITEPROP SLICE_X65Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y94 RPM_X 171 SITEPROP SLICE_X65Y94 RPM_Y 188 SITEPROP SLICE_X65Y94 SITE_PIPS SITEPROP SLICE_X65Y94 SITE_TYPE SLICEL SITEPROP SLICE_X65Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y95 CLASS site SITEPROP SLICE_X65Y95 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y95 IS_BONDED 0 SITEPROP SLICE_X65Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y95 IS_PAD 0 SITEPROP SLICE_X65Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y95 IS_RESERVED 0 SITEPROP SLICE_X65Y95 IS_TEST 0 SITEPROP SLICE_X65Y95 IS_USED 0 SITEPROP SLICE_X65Y95 MANUAL_ROUTING SITEPROP SLICE_X65Y95 NAME SLICE_X65Y95 SITEPROP SLICE_X65Y95 NUM_ARCS 138 SITEPROP SLICE_X65Y95 NUM_BELS 32 SITEPROP SLICE_X65Y95 NUM_INPUTS 32 SITEPROP SLICE_X65Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y95 NUM_PINS 45 SITEPROP SLICE_X65Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y95 PROHIBIT 0 SITEPROP SLICE_X65Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y95 RPM_X 171 SITEPROP SLICE_X65Y95 RPM_Y 190 SITEPROP SLICE_X65Y95 SITE_PIPS SITEPROP SLICE_X65Y95 SITE_TYPE SLICEL SITEPROP SLICE_X65Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y96 CLASS site SITEPROP SLICE_X65Y96 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y96 IS_BONDED 0 SITEPROP SLICE_X65Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y96 IS_PAD 0 SITEPROP SLICE_X65Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y96 IS_RESERVED 0 SITEPROP SLICE_X65Y96 IS_TEST 0 SITEPROP SLICE_X65Y96 IS_USED 0 SITEPROP SLICE_X65Y96 MANUAL_ROUTING SITEPROP SLICE_X65Y96 NAME SLICE_X65Y96 SITEPROP SLICE_X65Y96 NUM_ARCS 138 SITEPROP SLICE_X65Y96 NUM_BELS 32 SITEPROP SLICE_X65Y96 NUM_INPUTS 32 SITEPROP SLICE_X65Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y96 NUM_PINS 45 SITEPROP SLICE_X65Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y96 PROHIBIT 0 SITEPROP SLICE_X65Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y96 RPM_X 171 SITEPROP SLICE_X65Y96 RPM_Y 192 SITEPROP SLICE_X65Y96 SITE_PIPS SITEPROP SLICE_X65Y96 SITE_TYPE SLICEL SITEPROP SLICE_X65Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y97 CLASS site SITEPROP SLICE_X65Y97 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y97 IS_BONDED 0 SITEPROP SLICE_X65Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y97 IS_PAD 0 SITEPROP SLICE_X65Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y97 IS_RESERVED 0 SITEPROP SLICE_X65Y97 IS_TEST 0 SITEPROP SLICE_X65Y97 IS_USED 0 SITEPROP SLICE_X65Y97 MANUAL_ROUTING SITEPROP SLICE_X65Y97 NAME SLICE_X65Y97 SITEPROP SLICE_X65Y97 NUM_ARCS 138 SITEPROP SLICE_X65Y97 NUM_BELS 32 SITEPROP SLICE_X65Y97 NUM_INPUTS 32 SITEPROP SLICE_X65Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y97 NUM_PINS 45 SITEPROP SLICE_X65Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y97 PROHIBIT 0 SITEPROP SLICE_X65Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y97 RPM_X 171 SITEPROP SLICE_X65Y97 RPM_Y 194 SITEPROP SLICE_X65Y97 SITE_PIPS SITEPROP SLICE_X65Y97 SITE_TYPE SLICEL SITEPROP SLICE_X65Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y98 CLASS site SITEPROP SLICE_X65Y98 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y98 IS_BONDED 0 SITEPROP SLICE_X65Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y98 IS_PAD 0 SITEPROP SLICE_X65Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y98 IS_RESERVED 0 SITEPROP SLICE_X65Y98 IS_TEST 0 SITEPROP SLICE_X65Y98 IS_USED 0 SITEPROP SLICE_X65Y98 MANUAL_ROUTING SITEPROP SLICE_X65Y98 NAME SLICE_X65Y98 SITEPROP SLICE_X65Y98 NUM_ARCS 138 SITEPROP SLICE_X65Y98 NUM_BELS 32 SITEPROP SLICE_X65Y98 NUM_INPUTS 32 SITEPROP SLICE_X65Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y98 NUM_PINS 45 SITEPROP SLICE_X65Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y98 PROHIBIT 0 SITEPROP SLICE_X65Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y98 RPM_X 171 SITEPROP SLICE_X65Y98 RPM_Y 196 SITEPROP SLICE_X65Y98 SITE_PIPS SITEPROP SLICE_X65Y98 SITE_TYPE SLICEL SITEPROP SLICE_X65Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X65Y99 CLASS site SITEPROP SLICE_X65Y99 CLOCK_REGION X1Y1 SITEPROP SLICE_X65Y99 IS_BONDED 0 SITEPROP SLICE_X65Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X65Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y99 IS_PAD 0 SITEPROP SLICE_X65Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X65Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X65Y99 IS_RESERVED 0 SITEPROP SLICE_X65Y99 IS_TEST 0 SITEPROP SLICE_X65Y99 IS_USED 0 SITEPROP SLICE_X65Y99 MANUAL_ROUTING SITEPROP SLICE_X65Y99 NAME SLICE_X65Y99 SITEPROP SLICE_X65Y99 NUM_ARCS 138 SITEPROP SLICE_X65Y99 NUM_BELS 32 SITEPROP SLICE_X65Y99 NUM_INPUTS 32 SITEPROP SLICE_X65Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X65Y99 NUM_PINS 45 SITEPROP SLICE_X65Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X65Y99 PROHIBIT 0 SITEPROP SLICE_X65Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X65Y99 RPM_X 171 SITEPROP SLICE_X65Y99 RPM_Y 198 SITEPROP SLICE_X65Y99 SITE_PIPS SITEPROP SLICE_X65Y99 SITE_TYPE SLICEL SITEPROP SLICE_X6Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y0 CLASS site SITEPROP SLICE_X6Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y0 IS_BONDED 0 SITEPROP SLICE_X6Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y0 IS_PAD 0 SITEPROP SLICE_X6Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y0 IS_RESERVED 0 SITEPROP SLICE_X6Y0 IS_TEST 0 SITEPROP SLICE_X6Y0 IS_USED 0 SITEPROP SLICE_X6Y0 MANUAL_ROUTING SITEPROP SLICE_X6Y0 NAME SLICE_X6Y0 SITEPROP SLICE_X6Y0 NUM_ARCS 153 SITEPROP SLICE_X6Y0 NUM_BELS 32 SITEPROP SLICE_X6Y0 NUM_INPUTS 37 SITEPROP SLICE_X6Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y0 NUM_PINS 50 SITEPROP SLICE_X6Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y0 PROHIBIT 0 SITEPROP SLICE_X6Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y0 RPM_X 29 SITEPROP SLICE_X6Y0 RPM_Y 0 SITEPROP SLICE_X6Y0 SITE_PIPS SITEPROP SLICE_X6Y0 SITE_TYPE SLICEM SITEPROP SLICE_X6Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y1 CLASS site SITEPROP SLICE_X6Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y1 IS_BONDED 0 SITEPROP SLICE_X6Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y1 IS_PAD 0 SITEPROP SLICE_X6Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y1 IS_RESERVED 0 SITEPROP SLICE_X6Y1 IS_TEST 0 SITEPROP SLICE_X6Y1 IS_USED 0 SITEPROP SLICE_X6Y1 MANUAL_ROUTING SITEPROP SLICE_X6Y1 NAME SLICE_X6Y1 SITEPROP SLICE_X6Y1 NUM_ARCS 153 SITEPROP SLICE_X6Y1 NUM_BELS 32 SITEPROP SLICE_X6Y1 NUM_INPUTS 37 SITEPROP SLICE_X6Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y1 NUM_PINS 50 SITEPROP SLICE_X6Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y1 PROHIBIT 0 SITEPROP SLICE_X6Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y1 RPM_X 29 SITEPROP SLICE_X6Y1 RPM_Y 2 SITEPROP SLICE_X6Y1 SITE_PIPS SITEPROP SLICE_X6Y1 SITE_TYPE SLICEM SITEPROP SLICE_X6Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y2 CLASS site SITEPROP SLICE_X6Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y2 IS_BONDED 0 SITEPROP SLICE_X6Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y2 IS_PAD 0 SITEPROP SLICE_X6Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y2 IS_RESERVED 0 SITEPROP SLICE_X6Y2 IS_TEST 0 SITEPROP SLICE_X6Y2 IS_USED 0 SITEPROP SLICE_X6Y2 MANUAL_ROUTING SITEPROP SLICE_X6Y2 NAME SLICE_X6Y2 SITEPROP SLICE_X6Y2 NUM_ARCS 153 SITEPROP SLICE_X6Y2 NUM_BELS 32 SITEPROP SLICE_X6Y2 NUM_INPUTS 37 SITEPROP SLICE_X6Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y2 NUM_PINS 50 SITEPROP SLICE_X6Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y2 PROHIBIT 0 SITEPROP SLICE_X6Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y2 RPM_X 29 SITEPROP SLICE_X6Y2 RPM_Y 4 SITEPROP SLICE_X6Y2 SITE_PIPS SITEPROP SLICE_X6Y2 SITE_TYPE SLICEM SITEPROP SLICE_X6Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y3 CLASS site SITEPROP SLICE_X6Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y3 IS_BONDED 0 SITEPROP SLICE_X6Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y3 IS_PAD 0 SITEPROP SLICE_X6Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y3 IS_RESERVED 0 SITEPROP SLICE_X6Y3 IS_TEST 0 SITEPROP SLICE_X6Y3 IS_USED 0 SITEPROP SLICE_X6Y3 MANUAL_ROUTING SITEPROP SLICE_X6Y3 NAME SLICE_X6Y3 SITEPROP SLICE_X6Y3 NUM_ARCS 153 SITEPROP SLICE_X6Y3 NUM_BELS 32 SITEPROP SLICE_X6Y3 NUM_INPUTS 37 SITEPROP SLICE_X6Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y3 NUM_PINS 50 SITEPROP SLICE_X6Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y3 PROHIBIT 0 SITEPROP SLICE_X6Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y3 RPM_X 29 SITEPROP SLICE_X6Y3 RPM_Y 6 SITEPROP SLICE_X6Y3 SITE_PIPS SITEPROP SLICE_X6Y3 SITE_TYPE SLICEM SITEPROP SLICE_X6Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y4 CLASS site SITEPROP SLICE_X6Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y4 IS_BONDED 0 SITEPROP SLICE_X6Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y4 IS_PAD 0 SITEPROP SLICE_X6Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y4 IS_RESERVED 0 SITEPROP SLICE_X6Y4 IS_TEST 0 SITEPROP SLICE_X6Y4 IS_USED 0 SITEPROP SLICE_X6Y4 MANUAL_ROUTING SITEPROP SLICE_X6Y4 NAME SLICE_X6Y4 SITEPROP SLICE_X6Y4 NUM_ARCS 153 SITEPROP SLICE_X6Y4 NUM_BELS 32 SITEPROP SLICE_X6Y4 NUM_INPUTS 37 SITEPROP SLICE_X6Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y4 NUM_PINS 50 SITEPROP SLICE_X6Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y4 PROHIBIT 0 SITEPROP SLICE_X6Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y4 RPM_X 29 SITEPROP SLICE_X6Y4 RPM_Y 8 SITEPROP SLICE_X6Y4 SITE_PIPS SITEPROP SLICE_X6Y4 SITE_TYPE SLICEM SITEPROP SLICE_X6Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y5 CLASS site SITEPROP SLICE_X6Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y5 IS_BONDED 0 SITEPROP SLICE_X6Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y5 IS_PAD 0 SITEPROP SLICE_X6Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y5 IS_RESERVED 0 SITEPROP SLICE_X6Y5 IS_TEST 0 SITEPROP SLICE_X6Y5 IS_USED 0 SITEPROP SLICE_X6Y5 MANUAL_ROUTING SITEPROP SLICE_X6Y5 NAME SLICE_X6Y5 SITEPROP SLICE_X6Y5 NUM_ARCS 153 SITEPROP SLICE_X6Y5 NUM_BELS 32 SITEPROP SLICE_X6Y5 NUM_INPUTS 37 SITEPROP SLICE_X6Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y5 NUM_PINS 50 SITEPROP SLICE_X6Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y5 PROHIBIT 0 SITEPROP SLICE_X6Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y5 RPM_X 29 SITEPROP SLICE_X6Y5 RPM_Y 10 SITEPROP SLICE_X6Y5 SITE_PIPS SITEPROP SLICE_X6Y5 SITE_TYPE SLICEM SITEPROP SLICE_X6Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y6 CLASS site SITEPROP SLICE_X6Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y6 IS_BONDED 0 SITEPROP SLICE_X6Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y6 IS_PAD 0 SITEPROP SLICE_X6Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y6 IS_RESERVED 0 SITEPROP SLICE_X6Y6 IS_TEST 0 SITEPROP SLICE_X6Y6 IS_USED 0 SITEPROP SLICE_X6Y6 MANUAL_ROUTING SITEPROP SLICE_X6Y6 NAME SLICE_X6Y6 SITEPROP SLICE_X6Y6 NUM_ARCS 153 SITEPROP SLICE_X6Y6 NUM_BELS 32 SITEPROP SLICE_X6Y6 NUM_INPUTS 37 SITEPROP SLICE_X6Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y6 NUM_PINS 50 SITEPROP SLICE_X6Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y6 PROHIBIT 0 SITEPROP SLICE_X6Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y6 RPM_X 29 SITEPROP SLICE_X6Y6 RPM_Y 12 SITEPROP SLICE_X6Y6 SITE_PIPS SITEPROP SLICE_X6Y6 SITE_TYPE SLICEM SITEPROP SLICE_X6Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y7 CLASS site SITEPROP SLICE_X6Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y7 IS_BONDED 0 SITEPROP SLICE_X6Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y7 IS_PAD 0 SITEPROP SLICE_X6Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y7 IS_RESERVED 0 SITEPROP SLICE_X6Y7 IS_TEST 0 SITEPROP SLICE_X6Y7 IS_USED 0 SITEPROP SLICE_X6Y7 MANUAL_ROUTING SITEPROP SLICE_X6Y7 NAME SLICE_X6Y7 SITEPROP SLICE_X6Y7 NUM_ARCS 153 SITEPROP SLICE_X6Y7 NUM_BELS 32 SITEPROP SLICE_X6Y7 NUM_INPUTS 37 SITEPROP SLICE_X6Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y7 NUM_PINS 50 SITEPROP SLICE_X6Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y7 PROHIBIT 0 SITEPROP SLICE_X6Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y7 RPM_X 29 SITEPROP SLICE_X6Y7 RPM_Y 14 SITEPROP SLICE_X6Y7 SITE_PIPS SITEPROP SLICE_X6Y7 SITE_TYPE SLICEM SITEPROP SLICE_X6Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y8 CLASS site SITEPROP SLICE_X6Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y8 IS_BONDED 0 SITEPROP SLICE_X6Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y8 IS_PAD 0 SITEPROP SLICE_X6Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y8 IS_RESERVED 0 SITEPROP SLICE_X6Y8 IS_TEST 0 SITEPROP SLICE_X6Y8 IS_USED 0 SITEPROP SLICE_X6Y8 MANUAL_ROUTING SITEPROP SLICE_X6Y8 NAME SLICE_X6Y8 SITEPROP SLICE_X6Y8 NUM_ARCS 153 SITEPROP SLICE_X6Y8 NUM_BELS 32 SITEPROP SLICE_X6Y8 NUM_INPUTS 37 SITEPROP SLICE_X6Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y8 NUM_PINS 50 SITEPROP SLICE_X6Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y8 PROHIBIT 0 SITEPROP SLICE_X6Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y8 RPM_X 29 SITEPROP SLICE_X6Y8 RPM_Y 16 SITEPROP SLICE_X6Y8 SITE_PIPS SITEPROP SLICE_X6Y8 SITE_TYPE SLICEM SITEPROP SLICE_X6Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y9 CLASS site SITEPROP SLICE_X6Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y9 IS_BONDED 0 SITEPROP SLICE_X6Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y9 IS_PAD 0 SITEPROP SLICE_X6Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y9 IS_RESERVED 0 SITEPROP SLICE_X6Y9 IS_TEST 0 SITEPROP SLICE_X6Y9 IS_USED 0 SITEPROP SLICE_X6Y9 MANUAL_ROUTING SITEPROP SLICE_X6Y9 NAME SLICE_X6Y9 SITEPROP SLICE_X6Y9 NUM_ARCS 153 SITEPROP SLICE_X6Y9 NUM_BELS 32 SITEPROP SLICE_X6Y9 NUM_INPUTS 37 SITEPROP SLICE_X6Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y9 NUM_PINS 50 SITEPROP SLICE_X6Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y9 PROHIBIT 0 SITEPROP SLICE_X6Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y9 RPM_X 29 SITEPROP SLICE_X6Y9 RPM_Y 18 SITEPROP SLICE_X6Y9 SITE_PIPS SITEPROP SLICE_X6Y9 SITE_TYPE SLICEM SITEPROP SLICE_X6Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y10 CLASS site SITEPROP SLICE_X6Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y10 IS_BONDED 0 SITEPROP SLICE_X6Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y10 IS_PAD 0 SITEPROP SLICE_X6Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y10 IS_RESERVED 0 SITEPROP SLICE_X6Y10 IS_TEST 0 SITEPROP SLICE_X6Y10 IS_USED 0 SITEPROP SLICE_X6Y10 MANUAL_ROUTING SITEPROP SLICE_X6Y10 NAME SLICE_X6Y10 SITEPROP SLICE_X6Y10 NUM_ARCS 153 SITEPROP SLICE_X6Y10 NUM_BELS 32 SITEPROP SLICE_X6Y10 NUM_INPUTS 37 SITEPROP SLICE_X6Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y10 NUM_PINS 50 SITEPROP SLICE_X6Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y10 PROHIBIT 0 SITEPROP SLICE_X6Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y10 RPM_X 29 SITEPROP SLICE_X6Y10 RPM_Y 20 SITEPROP SLICE_X6Y10 SITE_PIPS SITEPROP SLICE_X6Y10 SITE_TYPE SLICEM SITEPROP SLICE_X6Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y11 CLASS site SITEPROP SLICE_X6Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y11 IS_BONDED 0 SITEPROP SLICE_X6Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y11 IS_PAD 0 SITEPROP SLICE_X6Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y11 IS_RESERVED 0 SITEPROP SLICE_X6Y11 IS_TEST 0 SITEPROP SLICE_X6Y11 IS_USED 0 SITEPROP SLICE_X6Y11 MANUAL_ROUTING SITEPROP SLICE_X6Y11 NAME SLICE_X6Y11 SITEPROP SLICE_X6Y11 NUM_ARCS 153 SITEPROP SLICE_X6Y11 NUM_BELS 32 SITEPROP SLICE_X6Y11 NUM_INPUTS 37 SITEPROP SLICE_X6Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y11 NUM_PINS 50 SITEPROP SLICE_X6Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y11 PROHIBIT 0 SITEPROP SLICE_X6Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y11 RPM_X 29 SITEPROP SLICE_X6Y11 RPM_Y 22 SITEPROP SLICE_X6Y11 SITE_PIPS SITEPROP SLICE_X6Y11 SITE_TYPE SLICEM SITEPROP SLICE_X6Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y12 CLASS site SITEPROP SLICE_X6Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y12 IS_BONDED 0 SITEPROP SLICE_X6Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y12 IS_PAD 0 SITEPROP SLICE_X6Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y12 IS_RESERVED 0 SITEPROP SLICE_X6Y12 IS_TEST 0 SITEPROP SLICE_X6Y12 IS_USED 0 SITEPROP SLICE_X6Y12 MANUAL_ROUTING SITEPROP SLICE_X6Y12 NAME SLICE_X6Y12 SITEPROP SLICE_X6Y12 NUM_ARCS 153 SITEPROP SLICE_X6Y12 NUM_BELS 32 SITEPROP SLICE_X6Y12 NUM_INPUTS 37 SITEPROP SLICE_X6Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y12 NUM_PINS 50 SITEPROP SLICE_X6Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y12 PROHIBIT 0 SITEPROP SLICE_X6Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y12 RPM_X 29 SITEPROP SLICE_X6Y12 RPM_Y 24 SITEPROP SLICE_X6Y12 SITE_PIPS SITEPROP SLICE_X6Y12 SITE_TYPE SLICEM SITEPROP SLICE_X6Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y13 CLASS site SITEPROP SLICE_X6Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y13 IS_BONDED 0 SITEPROP SLICE_X6Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y13 IS_PAD 0 SITEPROP SLICE_X6Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y13 IS_RESERVED 0 SITEPROP SLICE_X6Y13 IS_TEST 0 SITEPROP SLICE_X6Y13 IS_USED 0 SITEPROP SLICE_X6Y13 MANUAL_ROUTING SITEPROP SLICE_X6Y13 NAME SLICE_X6Y13 SITEPROP SLICE_X6Y13 NUM_ARCS 153 SITEPROP SLICE_X6Y13 NUM_BELS 32 SITEPROP SLICE_X6Y13 NUM_INPUTS 37 SITEPROP SLICE_X6Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y13 NUM_PINS 50 SITEPROP SLICE_X6Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y13 PROHIBIT 0 SITEPROP SLICE_X6Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y13 RPM_X 29 SITEPROP SLICE_X6Y13 RPM_Y 26 SITEPROP SLICE_X6Y13 SITE_PIPS SITEPROP SLICE_X6Y13 SITE_TYPE SLICEM SITEPROP SLICE_X6Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y14 CLASS site SITEPROP SLICE_X6Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y14 IS_BONDED 0 SITEPROP SLICE_X6Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y14 IS_PAD 0 SITEPROP SLICE_X6Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y14 IS_RESERVED 0 SITEPROP SLICE_X6Y14 IS_TEST 0 SITEPROP SLICE_X6Y14 IS_USED 0 SITEPROP SLICE_X6Y14 MANUAL_ROUTING SITEPROP SLICE_X6Y14 NAME SLICE_X6Y14 SITEPROP SLICE_X6Y14 NUM_ARCS 153 SITEPROP SLICE_X6Y14 NUM_BELS 32 SITEPROP SLICE_X6Y14 NUM_INPUTS 37 SITEPROP SLICE_X6Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y14 NUM_PINS 50 SITEPROP SLICE_X6Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y14 PROHIBIT 0 SITEPROP SLICE_X6Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y14 RPM_X 29 SITEPROP SLICE_X6Y14 RPM_Y 28 SITEPROP SLICE_X6Y14 SITE_PIPS SITEPROP SLICE_X6Y14 SITE_TYPE SLICEM SITEPROP SLICE_X6Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y15 CLASS site SITEPROP SLICE_X6Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y15 IS_BONDED 0 SITEPROP SLICE_X6Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y15 IS_PAD 0 SITEPROP SLICE_X6Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y15 IS_RESERVED 0 SITEPROP SLICE_X6Y15 IS_TEST 0 SITEPROP SLICE_X6Y15 IS_USED 0 SITEPROP SLICE_X6Y15 MANUAL_ROUTING SITEPROP SLICE_X6Y15 NAME SLICE_X6Y15 SITEPROP SLICE_X6Y15 NUM_ARCS 153 SITEPROP SLICE_X6Y15 NUM_BELS 32 SITEPROP SLICE_X6Y15 NUM_INPUTS 37 SITEPROP SLICE_X6Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y15 NUM_PINS 50 SITEPROP SLICE_X6Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y15 PROHIBIT 0 SITEPROP SLICE_X6Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y15 RPM_X 29 SITEPROP SLICE_X6Y15 RPM_Y 30 SITEPROP SLICE_X6Y15 SITE_PIPS SITEPROP SLICE_X6Y15 SITE_TYPE SLICEM SITEPROP SLICE_X6Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y16 CLASS site SITEPROP SLICE_X6Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y16 IS_BONDED 0 SITEPROP SLICE_X6Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y16 IS_PAD 0 SITEPROP SLICE_X6Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y16 IS_RESERVED 0 SITEPROP SLICE_X6Y16 IS_TEST 0 SITEPROP SLICE_X6Y16 IS_USED 0 SITEPROP SLICE_X6Y16 MANUAL_ROUTING SITEPROP SLICE_X6Y16 NAME SLICE_X6Y16 SITEPROP SLICE_X6Y16 NUM_ARCS 153 SITEPROP SLICE_X6Y16 NUM_BELS 32 SITEPROP SLICE_X6Y16 NUM_INPUTS 37 SITEPROP SLICE_X6Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y16 NUM_PINS 50 SITEPROP SLICE_X6Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y16 PROHIBIT 0 SITEPROP SLICE_X6Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y16 RPM_X 29 SITEPROP SLICE_X6Y16 RPM_Y 32 SITEPROP SLICE_X6Y16 SITE_PIPS SITEPROP SLICE_X6Y16 SITE_TYPE SLICEM SITEPROP SLICE_X6Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y17 CLASS site SITEPROP SLICE_X6Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y17 IS_BONDED 0 SITEPROP SLICE_X6Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y17 IS_PAD 0 SITEPROP SLICE_X6Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y17 IS_RESERVED 0 SITEPROP SLICE_X6Y17 IS_TEST 0 SITEPROP SLICE_X6Y17 IS_USED 0 SITEPROP SLICE_X6Y17 MANUAL_ROUTING SITEPROP SLICE_X6Y17 NAME SLICE_X6Y17 SITEPROP SLICE_X6Y17 NUM_ARCS 153 SITEPROP SLICE_X6Y17 NUM_BELS 32 SITEPROP SLICE_X6Y17 NUM_INPUTS 37 SITEPROP SLICE_X6Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y17 NUM_PINS 50 SITEPROP SLICE_X6Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y17 PROHIBIT 0 SITEPROP SLICE_X6Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y17 RPM_X 29 SITEPROP SLICE_X6Y17 RPM_Y 34 SITEPROP SLICE_X6Y17 SITE_PIPS SITEPROP SLICE_X6Y17 SITE_TYPE SLICEM SITEPROP SLICE_X6Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y18 CLASS site SITEPROP SLICE_X6Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y18 IS_BONDED 0 SITEPROP SLICE_X6Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y18 IS_PAD 0 SITEPROP SLICE_X6Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y18 IS_RESERVED 0 SITEPROP SLICE_X6Y18 IS_TEST 0 SITEPROP SLICE_X6Y18 IS_USED 0 SITEPROP SLICE_X6Y18 MANUAL_ROUTING SITEPROP SLICE_X6Y18 NAME SLICE_X6Y18 SITEPROP SLICE_X6Y18 NUM_ARCS 153 SITEPROP SLICE_X6Y18 NUM_BELS 32 SITEPROP SLICE_X6Y18 NUM_INPUTS 37 SITEPROP SLICE_X6Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y18 NUM_PINS 50 SITEPROP SLICE_X6Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y18 PROHIBIT 0 SITEPROP SLICE_X6Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y18 RPM_X 29 SITEPROP SLICE_X6Y18 RPM_Y 36 SITEPROP SLICE_X6Y18 SITE_PIPS SITEPROP SLICE_X6Y18 SITE_TYPE SLICEM SITEPROP SLICE_X6Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y19 CLASS site SITEPROP SLICE_X6Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y19 IS_BONDED 0 SITEPROP SLICE_X6Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y19 IS_PAD 0 SITEPROP SLICE_X6Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y19 IS_RESERVED 0 SITEPROP SLICE_X6Y19 IS_TEST 0 SITEPROP SLICE_X6Y19 IS_USED 0 SITEPROP SLICE_X6Y19 MANUAL_ROUTING SITEPROP SLICE_X6Y19 NAME SLICE_X6Y19 SITEPROP SLICE_X6Y19 NUM_ARCS 153 SITEPROP SLICE_X6Y19 NUM_BELS 32 SITEPROP SLICE_X6Y19 NUM_INPUTS 37 SITEPROP SLICE_X6Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y19 NUM_PINS 50 SITEPROP SLICE_X6Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y19 PROHIBIT 0 SITEPROP SLICE_X6Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y19 RPM_X 29 SITEPROP SLICE_X6Y19 RPM_Y 38 SITEPROP SLICE_X6Y19 SITE_PIPS SITEPROP SLICE_X6Y19 SITE_TYPE SLICEM SITEPROP SLICE_X6Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y20 CLASS site SITEPROP SLICE_X6Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y20 IS_BONDED 0 SITEPROP SLICE_X6Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y20 IS_PAD 0 SITEPROP SLICE_X6Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y20 IS_RESERVED 0 SITEPROP SLICE_X6Y20 IS_TEST 0 SITEPROP SLICE_X6Y20 IS_USED 0 SITEPROP SLICE_X6Y20 MANUAL_ROUTING SITEPROP SLICE_X6Y20 NAME SLICE_X6Y20 SITEPROP SLICE_X6Y20 NUM_ARCS 153 SITEPROP SLICE_X6Y20 NUM_BELS 32 SITEPROP SLICE_X6Y20 NUM_INPUTS 37 SITEPROP SLICE_X6Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y20 NUM_PINS 50 SITEPROP SLICE_X6Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y20 PROHIBIT 0 SITEPROP SLICE_X6Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y20 RPM_X 29 SITEPROP SLICE_X6Y20 RPM_Y 40 SITEPROP SLICE_X6Y20 SITE_PIPS SITEPROP SLICE_X6Y20 SITE_TYPE SLICEM SITEPROP SLICE_X6Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y21 CLASS site SITEPROP SLICE_X6Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y21 IS_BONDED 0 SITEPROP SLICE_X6Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y21 IS_PAD 0 SITEPROP SLICE_X6Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y21 IS_RESERVED 0 SITEPROP SLICE_X6Y21 IS_TEST 0 SITEPROP SLICE_X6Y21 IS_USED 0 SITEPROP SLICE_X6Y21 MANUAL_ROUTING SITEPROP SLICE_X6Y21 NAME SLICE_X6Y21 SITEPROP SLICE_X6Y21 NUM_ARCS 153 SITEPROP SLICE_X6Y21 NUM_BELS 32 SITEPROP SLICE_X6Y21 NUM_INPUTS 37 SITEPROP SLICE_X6Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y21 NUM_PINS 50 SITEPROP SLICE_X6Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y21 PROHIBIT 0 SITEPROP SLICE_X6Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y21 RPM_X 29 SITEPROP SLICE_X6Y21 RPM_Y 42 SITEPROP SLICE_X6Y21 SITE_PIPS SITEPROP SLICE_X6Y21 SITE_TYPE SLICEM SITEPROP SLICE_X6Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y22 CLASS site SITEPROP SLICE_X6Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y22 IS_BONDED 0 SITEPROP SLICE_X6Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y22 IS_PAD 0 SITEPROP SLICE_X6Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y22 IS_RESERVED 0 SITEPROP SLICE_X6Y22 IS_TEST 0 SITEPROP SLICE_X6Y22 IS_USED 0 SITEPROP SLICE_X6Y22 MANUAL_ROUTING SITEPROP SLICE_X6Y22 NAME SLICE_X6Y22 SITEPROP SLICE_X6Y22 NUM_ARCS 153 SITEPROP SLICE_X6Y22 NUM_BELS 32 SITEPROP SLICE_X6Y22 NUM_INPUTS 37 SITEPROP SLICE_X6Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y22 NUM_PINS 50 SITEPROP SLICE_X6Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y22 PROHIBIT 0 SITEPROP SLICE_X6Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y22 RPM_X 29 SITEPROP SLICE_X6Y22 RPM_Y 44 SITEPROP SLICE_X6Y22 SITE_PIPS SITEPROP SLICE_X6Y22 SITE_TYPE SLICEM SITEPROP SLICE_X6Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y23 CLASS site SITEPROP SLICE_X6Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y23 IS_BONDED 0 SITEPROP SLICE_X6Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y23 IS_PAD 0 SITEPROP SLICE_X6Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y23 IS_RESERVED 0 SITEPROP SLICE_X6Y23 IS_TEST 0 SITEPROP SLICE_X6Y23 IS_USED 0 SITEPROP SLICE_X6Y23 MANUAL_ROUTING SITEPROP SLICE_X6Y23 NAME SLICE_X6Y23 SITEPROP SLICE_X6Y23 NUM_ARCS 153 SITEPROP SLICE_X6Y23 NUM_BELS 32 SITEPROP SLICE_X6Y23 NUM_INPUTS 37 SITEPROP SLICE_X6Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y23 NUM_PINS 50 SITEPROP SLICE_X6Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y23 PROHIBIT 0 SITEPROP SLICE_X6Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y23 RPM_X 29 SITEPROP SLICE_X6Y23 RPM_Y 46 SITEPROP SLICE_X6Y23 SITE_PIPS SITEPROP SLICE_X6Y23 SITE_TYPE SLICEM SITEPROP SLICE_X6Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y24 CLASS site SITEPROP SLICE_X6Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y24 IS_BONDED 0 SITEPROP SLICE_X6Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y24 IS_PAD 0 SITEPROP SLICE_X6Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y24 IS_RESERVED 0 SITEPROP SLICE_X6Y24 IS_TEST 0 SITEPROP SLICE_X6Y24 IS_USED 0 SITEPROP SLICE_X6Y24 MANUAL_ROUTING SITEPROP SLICE_X6Y24 NAME SLICE_X6Y24 SITEPROP SLICE_X6Y24 NUM_ARCS 153 SITEPROP SLICE_X6Y24 NUM_BELS 32 SITEPROP SLICE_X6Y24 NUM_INPUTS 37 SITEPROP SLICE_X6Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y24 NUM_PINS 50 SITEPROP SLICE_X6Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y24 PROHIBIT 0 SITEPROP SLICE_X6Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y24 RPM_X 29 SITEPROP SLICE_X6Y24 RPM_Y 48 SITEPROP SLICE_X6Y24 SITE_PIPS SITEPROP SLICE_X6Y24 SITE_TYPE SLICEM SITEPROP SLICE_X6Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y25 CLASS site SITEPROP SLICE_X6Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y25 IS_BONDED 0 SITEPROP SLICE_X6Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y25 IS_PAD 0 SITEPROP SLICE_X6Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y25 IS_RESERVED 0 SITEPROP SLICE_X6Y25 IS_TEST 0 SITEPROP SLICE_X6Y25 IS_USED 0 SITEPROP SLICE_X6Y25 MANUAL_ROUTING SITEPROP SLICE_X6Y25 NAME SLICE_X6Y25 SITEPROP SLICE_X6Y25 NUM_ARCS 153 SITEPROP SLICE_X6Y25 NUM_BELS 32 SITEPROP SLICE_X6Y25 NUM_INPUTS 37 SITEPROP SLICE_X6Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y25 NUM_PINS 50 SITEPROP SLICE_X6Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y25 PROHIBIT 0 SITEPROP SLICE_X6Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y25 RPM_X 29 SITEPROP SLICE_X6Y25 RPM_Y 50 SITEPROP SLICE_X6Y25 SITE_PIPS SITEPROP SLICE_X6Y25 SITE_TYPE SLICEM SITEPROP SLICE_X6Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y26 CLASS site SITEPROP SLICE_X6Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y26 IS_BONDED 0 SITEPROP SLICE_X6Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y26 IS_PAD 0 SITEPROP SLICE_X6Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y26 IS_RESERVED 0 SITEPROP SLICE_X6Y26 IS_TEST 0 SITEPROP SLICE_X6Y26 IS_USED 0 SITEPROP SLICE_X6Y26 MANUAL_ROUTING SITEPROP SLICE_X6Y26 NAME SLICE_X6Y26 SITEPROP SLICE_X6Y26 NUM_ARCS 153 SITEPROP SLICE_X6Y26 NUM_BELS 32 SITEPROP SLICE_X6Y26 NUM_INPUTS 37 SITEPROP SLICE_X6Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y26 NUM_PINS 50 SITEPROP SLICE_X6Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y26 PROHIBIT 0 SITEPROP SLICE_X6Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y26 RPM_X 29 SITEPROP SLICE_X6Y26 RPM_Y 52 SITEPROP SLICE_X6Y26 SITE_PIPS SITEPROP SLICE_X6Y26 SITE_TYPE SLICEM SITEPROP SLICE_X6Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y27 CLASS site SITEPROP SLICE_X6Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y27 IS_BONDED 0 SITEPROP SLICE_X6Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y27 IS_PAD 0 SITEPROP SLICE_X6Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y27 IS_RESERVED 0 SITEPROP SLICE_X6Y27 IS_TEST 0 SITEPROP SLICE_X6Y27 IS_USED 0 SITEPROP SLICE_X6Y27 MANUAL_ROUTING SITEPROP SLICE_X6Y27 NAME SLICE_X6Y27 SITEPROP SLICE_X6Y27 NUM_ARCS 153 SITEPROP SLICE_X6Y27 NUM_BELS 32 SITEPROP SLICE_X6Y27 NUM_INPUTS 37 SITEPROP SLICE_X6Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y27 NUM_PINS 50 SITEPROP SLICE_X6Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y27 PROHIBIT 0 SITEPROP SLICE_X6Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y27 RPM_X 29 SITEPROP SLICE_X6Y27 RPM_Y 54 SITEPROP SLICE_X6Y27 SITE_PIPS SITEPROP SLICE_X6Y27 SITE_TYPE SLICEM SITEPROP SLICE_X6Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y28 CLASS site SITEPROP SLICE_X6Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y28 IS_BONDED 0 SITEPROP SLICE_X6Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y28 IS_PAD 0 SITEPROP SLICE_X6Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y28 IS_RESERVED 0 SITEPROP SLICE_X6Y28 IS_TEST 0 SITEPROP SLICE_X6Y28 IS_USED 0 SITEPROP SLICE_X6Y28 MANUAL_ROUTING SITEPROP SLICE_X6Y28 NAME SLICE_X6Y28 SITEPROP SLICE_X6Y28 NUM_ARCS 153 SITEPROP SLICE_X6Y28 NUM_BELS 32 SITEPROP SLICE_X6Y28 NUM_INPUTS 37 SITEPROP SLICE_X6Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y28 NUM_PINS 50 SITEPROP SLICE_X6Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y28 PROHIBIT 0 SITEPROP SLICE_X6Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y28 RPM_X 29 SITEPROP SLICE_X6Y28 RPM_Y 56 SITEPROP SLICE_X6Y28 SITE_PIPS SITEPROP SLICE_X6Y28 SITE_TYPE SLICEM SITEPROP SLICE_X6Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y29 CLASS site SITEPROP SLICE_X6Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y29 IS_BONDED 0 SITEPROP SLICE_X6Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y29 IS_PAD 0 SITEPROP SLICE_X6Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y29 IS_RESERVED 0 SITEPROP SLICE_X6Y29 IS_TEST 0 SITEPROP SLICE_X6Y29 IS_USED 0 SITEPROP SLICE_X6Y29 MANUAL_ROUTING SITEPROP SLICE_X6Y29 NAME SLICE_X6Y29 SITEPROP SLICE_X6Y29 NUM_ARCS 153 SITEPROP SLICE_X6Y29 NUM_BELS 32 SITEPROP SLICE_X6Y29 NUM_INPUTS 37 SITEPROP SLICE_X6Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y29 NUM_PINS 50 SITEPROP SLICE_X6Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y29 PROHIBIT 0 SITEPROP SLICE_X6Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y29 RPM_X 29 SITEPROP SLICE_X6Y29 RPM_Y 58 SITEPROP SLICE_X6Y29 SITE_PIPS SITEPROP SLICE_X6Y29 SITE_TYPE SLICEM SITEPROP SLICE_X6Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y30 CLASS site SITEPROP SLICE_X6Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y30 IS_BONDED 0 SITEPROP SLICE_X6Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y30 IS_PAD 0 SITEPROP SLICE_X6Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y30 IS_RESERVED 0 SITEPROP SLICE_X6Y30 IS_TEST 0 SITEPROP SLICE_X6Y30 IS_USED 0 SITEPROP SLICE_X6Y30 MANUAL_ROUTING SITEPROP SLICE_X6Y30 NAME SLICE_X6Y30 SITEPROP SLICE_X6Y30 NUM_ARCS 153 SITEPROP SLICE_X6Y30 NUM_BELS 32 SITEPROP SLICE_X6Y30 NUM_INPUTS 37 SITEPROP SLICE_X6Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y30 NUM_PINS 50 SITEPROP SLICE_X6Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y30 PROHIBIT 0 SITEPROP SLICE_X6Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y30 RPM_X 29 SITEPROP SLICE_X6Y30 RPM_Y 60 SITEPROP SLICE_X6Y30 SITE_PIPS SITEPROP SLICE_X6Y30 SITE_TYPE SLICEM SITEPROP SLICE_X6Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y31 CLASS site SITEPROP SLICE_X6Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y31 IS_BONDED 0 SITEPROP SLICE_X6Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y31 IS_PAD 0 SITEPROP SLICE_X6Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y31 IS_RESERVED 0 SITEPROP SLICE_X6Y31 IS_TEST 0 SITEPROP SLICE_X6Y31 IS_USED 0 SITEPROP SLICE_X6Y31 MANUAL_ROUTING SITEPROP SLICE_X6Y31 NAME SLICE_X6Y31 SITEPROP SLICE_X6Y31 NUM_ARCS 153 SITEPROP SLICE_X6Y31 NUM_BELS 32 SITEPROP SLICE_X6Y31 NUM_INPUTS 37 SITEPROP SLICE_X6Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y31 NUM_PINS 50 SITEPROP SLICE_X6Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y31 PROHIBIT 0 SITEPROP SLICE_X6Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y31 RPM_X 29 SITEPROP SLICE_X6Y31 RPM_Y 62 SITEPROP SLICE_X6Y31 SITE_PIPS SITEPROP SLICE_X6Y31 SITE_TYPE SLICEM SITEPROP SLICE_X6Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y32 CLASS site SITEPROP SLICE_X6Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y32 IS_BONDED 0 SITEPROP SLICE_X6Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y32 IS_PAD 0 SITEPROP SLICE_X6Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y32 IS_RESERVED 0 SITEPROP SLICE_X6Y32 IS_TEST 0 SITEPROP SLICE_X6Y32 IS_USED 0 SITEPROP SLICE_X6Y32 MANUAL_ROUTING SITEPROP SLICE_X6Y32 NAME SLICE_X6Y32 SITEPROP SLICE_X6Y32 NUM_ARCS 153 SITEPROP SLICE_X6Y32 NUM_BELS 32 SITEPROP SLICE_X6Y32 NUM_INPUTS 37 SITEPROP SLICE_X6Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y32 NUM_PINS 50 SITEPROP SLICE_X6Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y32 PROHIBIT 0 SITEPROP SLICE_X6Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y32 RPM_X 29 SITEPROP SLICE_X6Y32 RPM_Y 64 SITEPROP SLICE_X6Y32 SITE_PIPS SITEPROP SLICE_X6Y32 SITE_TYPE SLICEM SITEPROP SLICE_X6Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y33 CLASS site SITEPROP SLICE_X6Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y33 IS_BONDED 0 SITEPROP SLICE_X6Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y33 IS_PAD 0 SITEPROP SLICE_X6Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y33 IS_RESERVED 0 SITEPROP SLICE_X6Y33 IS_TEST 0 SITEPROP SLICE_X6Y33 IS_USED 0 SITEPROP SLICE_X6Y33 MANUAL_ROUTING SITEPROP SLICE_X6Y33 NAME SLICE_X6Y33 SITEPROP SLICE_X6Y33 NUM_ARCS 153 SITEPROP SLICE_X6Y33 NUM_BELS 32 SITEPROP SLICE_X6Y33 NUM_INPUTS 37 SITEPROP SLICE_X6Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y33 NUM_PINS 50 SITEPROP SLICE_X6Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y33 PROHIBIT 0 SITEPROP SLICE_X6Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y33 RPM_X 29 SITEPROP SLICE_X6Y33 RPM_Y 66 SITEPROP SLICE_X6Y33 SITE_PIPS SITEPROP SLICE_X6Y33 SITE_TYPE SLICEM SITEPROP SLICE_X6Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y34 CLASS site SITEPROP SLICE_X6Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y34 IS_BONDED 0 SITEPROP SLICE_X6Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y34 IS_PAD 0 SITEPROP SLICE_X6Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y34 IS_RESERVED 0 SITEPROP SLICE_X6Y34 IS_TEST 0 SITEPROP SLICE_X6Y34 IS_USED 0 SITEPROP SLICE_X6Y34 MANUAL_ROUTING SITEPROP SLICE_X6Y34 NAME SLICE_X6Y34 SITEPROP SLICE_X6Y34 NUM_ARCS 153 SITEPROP SLICE_X6Y34 NUM_BELS 32 SITEPROP SLICE_X6Y34 NUM_INPUTS 37 SITEPROP SLICE_X6Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y34 NUM_PINS 50 SITEPROP SLICE_X6Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y34 PROHIBIT 0 SITEPROP SLICE_X6Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y34 RPM_X 29 SITEPROP SLICE_X6Y34 RPM_Y 68 SITEPROP SLICE_X6Y34 SITE_PIPS SITEPROP SLICE_X6Y34 SITE_TYPE SLICEM SITEPROP SLICE_X6Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y35 CLASS site SITEPROP SLICE_X6Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y35 IS_BONDED 0 SITEPROP SLICE_X6Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y35 IS_PAD 0 SITEPROP SLICE_X6Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y35 IS_RESERVED 0 SITEPROP SLICE_X6Y35 IS_TEST 0 SITEPROP SLICE_X6Y35 IS_USED 0 SITEPROP SLICE_X6Y35 MANUAL_ROUTING SITEPROP SLICE_X6Y35 NAME SLICE_X6Y35 SITEPROP SLICE_X6Y35 NUM_ARCS 153 SITEPROP SLICE_X6Y35 NUM_BELS 32 SITEPROP SLICE_X6Y35 NUM_INPUTS 37 SITEPROP SLICE_X6Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y35 NUM_PINS 50 SITEPROP SLICE_X6Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y35 PROHIBIT 0 SITEPROP SLICE_X6Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y35 RPM_X 29 SITEPROP SLICE_X6Y35 RPM_Y 70 SITEPROP SLICE_X6Y35 SITE_PIPS SITEPROP SLICE_X6Y35 SITE_TYPE SLICEM SITEPROP SLICE_X6Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y36 CLASS site SITEPROP SLICE_X6Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y36 IS_BONDED 0 SITEPROP SLICE_X6Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y36 IS_PAD 0 SITEPROP SLICE_X6Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y36 IS_RESERVED 0 SITEPROP SLICE_X6Y36 IS_TEST 0 SITEPROP SLICE_X6Y36 IS_USED 0 SITEPROP SLICE_X6Y36 MANUAL_ROUTING SITEPROP SLICE_X6Y36 NAME SLICE_X6Y36 SITEPROP SLICE_X6Y36 NUM_ARCS 153 SITEPROP SLICE_X6Y36 NUM_BELS 32 SITEPROP SLICE_X6Y36 NUM_INPUTS 37 SITEPROP SLICE_X6Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y36 NUM_PINS 50 SITEPROP SLICE_X6Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y36 PROHIBIT 0 SITEPROP SLICE_X6Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y36 RPM_X 29 SITEPROP SLICE_X6Y36 RPM_Y 72 SITEPROP SLICE_X6Y36 SITE_PIPS SITEPROP SLICE_X6Y36 SITE_TYPE SLICEM SITEPROP SLICE_X6Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y37 CLASS site SITEPROP SLICE_X6Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y37 IS_BONDED 0 SITEPROP SLICE_X6Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y37 IS_PAD 0 SITEPROP SLICE_X6Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y37 IS_RESERVED 0 SITEPROP SLICE_X6Y37 IS_TEST 0 SITEPROP SLICE_X6Y37 IS_USED 0 SITEPROP SLICE_X6Y37 MANUAL_ROUTING SITEPROP SLICE_X6Y37 NAME SLICE_X6Y37 SITEPROP SLICE_X6Y37 NUM_ARCS 153 SITEPROP SLICE_X6Y37 NUM_BELS 32 SITEPROP SLICE_X6Y37 NUM_INPUTS 37 SITEPROP SLICE_X6Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y37 NUM_PINS 50 SITEPROP SLICE_X6Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y37 PROHIBIT 0 SITEPROP SLICE_X6Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y37 RPM_X 29 SITEPROP SLICE_X6Y37 RPM_Y 74 SITEPROP SLICE_X6Y37 SITE_PIPS SITEPROP SLICE_X6Y37 SITE_TYPE SLICEM SITEPROP SLICE_X6Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y38 CLASS site SITEPROP SLICE_X6Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y38 IS_BONDED 0 SITEPROP SLICE_X6Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y38 IS_PAD 0 SITEPROP SLICE_X6Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y38 IS_RESERVED 0 SITEPROP SLICE_X6Y38 IS_TEST 0 SITEPROP SLICE_X6Y38 IS_USED 0 SITEPROP SLICE_X6Y38 MANUAL_ROUTING SITEPROP SLICE_X6Y38 NAME SLICE_X6Y38 SITEPROP SLICE_X6Y38 NUM_ARCS 153 SITEPROP SLICE_X6Y38 NUM_BELS 32 SITEPROP SLICE_X6Y38 NUM_INPUTS 37 SITEPROP SLICE_X6Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y38 NUM_PINS 50 SITEPROP SLICE_X6Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y38 PROHIBIT 0 SITEPROP SLICE_X6Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y38 RPM_X 29 SITEPROP SLICE_X6Y38 RPM_Y 76 SITEPROP SLICE_X6Y38 SITE_PIPS SITEPROP SLICE_X6Y38 SITE_TYPE SLICEM SITEPROP SLICE_X6Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y39 CLASS site SITEPROP SLICE_X6Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y39 IS_BONDED 0 SITEPROP SLICE_X6Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y39 IS_PAD 0 SITEPROP SLICE_X6Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y39 IS_RESERVED 0 SITEPROP SLICE_X6Y39 IS_TEST 0 SITEPROP SLICE_X6Y39 IS_USED 0 SITEPROP SLICE_X6Y39 MANUAL_ROUTING SITEPROP SLICE_X6Y39 NAME SLICE_X6Y39 SITEPROP SLICE_X6Y39 NUM_ARCS 153 SITEPROP SLICE_X6Y39 NUM_BELS 32 SITEPROP SLICE_X6Y39 NUM_INPUTS 37 SITEPROP SLICE_X6Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y39 NUM_PINS 50 SITEPROP SLICE_X6Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y39 PROHIBIT 0 SITEPROP SLICE_X6Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y39 RPM_X 29 SITEPROP SLICE_X6Y39 RPM_Y 78 SITEPROP SLICE_X6Y39 SITE_PIPS SITEPROP SLICE_X6Y39 SITE_TYPE SLICEM SITEPROP SLICE_X6Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y40 CLASS site SITEPROP SLICE_X6Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y40 IS_BONDED 0 SITEPROP SLICE_X6Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y40 IS_PAD 0 SITEPROP SLICE_X6Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y40 IS_RESERVED 0 SITEPROP SLICE_X6Y40 IS_TEST 0 SITEPROP SLICE_X6Y40 IS_USED 0 SITEPROP SLICE_X6Y40 MANUAL_ROUTING SITEPROP SLICE_X6Y40 NAME SLICE_X6Y40 SITEPROP SLICE_X6Y40 NUM_ARCS 153 SITEPROP SLICE_X6Y40 NUM_BELS 32 SITEPROP SLICE_X6Y40 NUM_INPUTS 37 SITEPROP SLICE_X6Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y40 NUM_PINS 50 SITEPROP SLICE_X6Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y40 PROHIBIT 0 SITEPROP SLICE_X6Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y40 RPM_X 29 SITEPROP SLICE_X6Y40 RPM_Y 80 SITEPROP SLICE_X6Y40 SITE_PIPS SITEPROP SLICE_X6Y40 SITE_TYPE SLICEM SITEPROP SLICE_X6Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y41 CLASS site SITEPROP SLICE_X6Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y41 IS_BONDED 0 SITEPROP SLICE_X6Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y41 IS_PAD 0 SITEPROP SLICE_X6Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y41 IS_RESERVED 0 SITEPROP SLICE_X6Y41 IS_TEST 0 SITEPROP SLICE_X6Y41 IS_USED 0 SITEPROP SLICE_X6Y41 MANUAL_ROUTING SITEPROP SLICE_X6Y41 NAME SLICE_X6Y41 SITEPROP SLICE_X6Y41 NUM_ARCS 153 SITEPROP SLICE_X6Y41 NUM_BELS 32 SITEPROP SLICE_X6Y41 NUM_INPUTS 37 SITEPROP SLICE_X6Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y41 NUM_PINS 50 SITEPROP SLICE_X6Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y41 PROHIBIT 0 SITEPROP SLICE_X6Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y41 RPM_X 29 SITEPROP SLICE_X6Y41 RPM_Y 82 SITEPROP SLICE_X6Y41 SITE_PIPS SITEPROP SLICE_X6Y41 SITE_TYPE SLICEM SITEPROP SLICE_X6Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y42 CLASS site SITEPROP SLICE_X6Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y42 IS_BONDED 0 SITEPROP SLICE_X6Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y42 IS_PAD 0 SITEPROP SLICE_X6Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y42 IS_RESERVED 0 SITEPROP SLICE_X6Y42 IS_TEST 0 SITEPROP SLICE_X6Y42 IS_USED 0 SITEPROP SLICE_X6Y42 MANUAL_ROUTING SITEPROP SLICE_X6Y42 NAME SLICE_X6Y42 SITEPROP SLICE_X6Y42 NUM_ARCS 153 SITEPROP SLICE_X6Y42 NUM_BELS 32 SITEPROP SLICE_X6Y42 NUM_INPUTS 37 SITEPROP SLICE_X6Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y42 NUM_PINS 50 SITEPROP SLICE_X6Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y42 PROHIBIT 0 SITEPROP SLICE_X6Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y42 RPM_X 29 SITEPROP SLICE_X6Y42 RPM_Y 84 SITEPROP SLICE_X6Y42 SITE_PIPS SITEPROP SLICE_X6Y42 SITE_TYPE SLICEM SITEPROP SLICE_X6Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y43 CLASS site SITEPROP SLICE_X6Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y43 IS_BONDED 0 SITEPROP SLICE_X6Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y43 IS_PAD 0 SITEPROP SLICE_X6Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y43 IS_RESERVED 0 SITEPROP SLICE_X6Y43 IS_TEST 0 SITEPROP SLICE_X6Y43 IS_USED 0 SITEPROP SLICE_X6Y43 MANUAL_ROUTING SITEPROP SLICE_X6Y43 NAME SLICE_X6Y43 SITEPROP SLICE_X6Y43 NUM_ARCS 153 SITEPROP SLICE_X6Y43 NUM_BELS 32 SITEPROP SLICE_X6Y43 NUM_INPUTS 37 SITEPROP SLICE_X6Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y43 NUM_PINS 50 SITEPROP SLICE_X6Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y43 PROHIBIT 0 SITEPROP SLICE_X6Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y43 RPM_X 29 SITEPROP SLICE_X6Y43 RPM_Y 86 SITEPROP SLICE_X6Y43 SITE_PIPS SITEPROP SLICE_X6Y43 SITE_TYPE SLICEM SITEPROP SLICE_X6Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y44 CLASS site SITEPROP SLICE_X6Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y44 IS_BONDED 0 SITEPROP SLICE_X6Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y44 IS_PAD 0 SITEPROP SLICE_X6Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y44 IS_RESERVED 0 SITEPROP SLICE_X6Y44 IS_TEST 0 SITEPROP SLICE_X6Y44 IS_USED 0 SITEPROP SLICE_X6Y44 MANUAL_ROUTING SITEPROP SLICE_X6Y44 NAME SLICE_X6Y44 SITEPROP SLICE_X6Y44 NUM_ARCS 153 SITEPROP SLICE_X6Y44 NUM_BELS 32 SITEPROP SLICE_X6Y44 NUM_INPUTS 37 SITEPROP SLICE_X6Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y44 NUM_PINS 50 SITEPROP SLICE_X6Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y44 PROHIBIT 0 SITEPROP SLICE_X6Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y44 RPM_X 29 SITEPROP SLICE_X6Y44 RPM_Y 88 SITEPROP SLICE_X6Y44 SITE_PIPS SITEPROP SLICE_X6Y44 SITE_TYPE SLICEM SITEPROP SLICE_X6Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y45 CLASS site SITEPROP SLICE_X6Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y45 IS_BONDED 0 SITEPROP SLICE_X6Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y45 IS_PAD 0 SITEPROP SLICE_X6Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y45 IS_RESERVED 0 SITEPROP SLICE_X6Y45 IS_TEST 0 SITEPROP SLICE_X6Y45 IS_USED 0 SITEPROP SLICE_X6Y45 MANUAL_ROUTING SITEPROP SLICE_X6Y45 NAME SLICE_X6Y45 SITEPROP SLICE_X6Y45 NUM_ARCS 153 SITEPROP SLICE_X6Y45 NUM_BELS 32 SITEPROP SLICE_X6Y45 NUM_INPUTS 37 SITEPROP SLICE_X6Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y45 NUM_PINS 50 SITEPROP SLICE_X6Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y45 PROHIBIT 0 SITEPROP SLICE_X6Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y45 RPM_X 29 SITEPROP SLICE_X6Y45 RPM_Y 90 SITEPROP SLICE_X6Y45 SITE_PIPS SITEPROP SLICE_X6Y45 SITE_TYPE SLICEM SITEPROP SLICE_X6Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y46 CLASS site SITEPROP SLICE_X6Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y46 IS_BONDED 0 SITEPROP SLICE_X6Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y46 IS_PAD 0 SITEPROP SLICE_X6Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y46 IS_RESERVED 0 SITEPROP SLICE_X6Y46 IS_TEST 0 SITEPROP SLICE_X6Y46 IS_USED 0 SITEPROP SLICE_X6Y46 MANUAL_ROUTING SITEPROP SLICE_X6Y46 NAME SLICE_X6Y46 SITEPROP SLICE_X6Y46 NUM_ARCS 153 SITEPROP SLICE_X6Y46 NUM_BELS 32 SITEPROP SLICE_X6Y46 NUM_INPUTS 37 SITEPROP SLICE_X6Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y46 NUM_PINS 50 SITEPROP SLICE_X6Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y46 PROHIBIT 0 SITEPROP SLICE_X6Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y46 RPM_X 29 SITEPROP SLICE_X6Y46 RPM_Y 92 SITEPROP SLICE_X6Y46 SITE_PIPS SITEPROP SLICE_X6Y46 SITE_TYPE SLICEM SITEPROP SLICE_X6Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y47 CLASS site SITEPROP SLICE_X6Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y47 IS_BONDED 0 SITEPROP SLICE_X6Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y47 IS_PAD 0 SITEPROP SLICE_X6Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y47 IS_RESERVED 0 SITEPROP SLICE_X6Y47 IS_TEST 0 SITEPROP SLICE_X6Y47 IS_USED 0 SITEPROP SLICE_X6Y47 MANUAL_ROUTING SITEPROP SLICE_X6Y47 NAME SLICE_X6Y47 SITEPROP SLICE_X6Y47 NUM_ARCS 153 SITEPROP SLICE_X6Y47 NUM_BELS 32 SITEPROP SLICE_X6Y47 NUM_INPUTS 37 SITEPROP SLICE_X6Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y47 NUM_PINS 50 SITEPROP SLICE_X6Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y47 PROHIBIT 0 SITEPROP SLICE_X6Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y47 RPM_X 29 SITEPROP SLICE_X6Y47 RPM_Y 94 SITEPROP SLICE_X6Y47 SITE_PIPS SITEPROP SLICE_X6Y47 SITE_TYPE SLICEM SITEPROP SLICE_X6Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y48 CLASS site SITEPROP SLICE_X6Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y48 IS_BONDED 0 SITEPROP SLICE_X6Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y48 IS_PAD 0 SITEPROP SLICE_X6Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y48 IS_RESERVED 0 SITEPROP SLICE_X6Y48 IS_TEST 0 SITEPROP SLICE_X6Y48 IS_USED 0 SITEPROP SLICE_X6Y48 MANUAL_ROUTING SITEPROP SLICE_X6Y48 NAME SLICE_X6Y48 SITEPROP SLICE_X6Y48 NUM_ARCS 153 SITEPROP SLICE_X6Y48 NUM_BELS 32 SITEPROP SLICE_X6Y48 NUM_INPUTS 37 SITEPROP SLICE_X6Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y48 NUM_PINS 50 SITEPROP SLICE_X6Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y48 PROHIBIT 0 SITEPROP SLICE_X6Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y48 RPM_X 29 SITEPROP SLICE_X6Y48 RPM_Y 96 SITEPROP SLICE_X6Y48 SITE_PIPS SITEPROP SLICE_X6Y48 SITE_TYPE SLICEM SITEPROP SLICE_X6Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y49 CLASS site SITEPROP SLICE_X6Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X6Y49 IS_BONDED 0 SITEPROP SLICE_X6Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y49 IS_PAD 0 SITEPROP SLICE_X6Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y49 IS_RESERVED 0 SITEPROP SLICE_X6Y49 IS_TEST 0 SITEPROP SLICE_X6Y49 IS_USED 0 SITEPROP SLICE_X6Y49 MANUAL_ROUTING SITEPROP SLICE_X6Y49 NAME SLICE_X6Y49 SITEPROP SLICE_X6Y49 NUM_ARCS 153 SITEPROP SLICE_X6Y49 NUM_BELS 32 SITEPROP SLICE_X6Y49 NUM_INPUTS 37 SITEPROP SLICE_X6Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y49 NUM_PINS 50 SITEPROP SLICE_X6Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y49 PROHIBIT 0 SITEPROP SLICE_X6Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y49 RPM_X 29 SITEPROP SLICE_X6Y49 RPM_Y 98 SITEPROP SLICE_X6Y49 SITE_PIPS SITEPROP SLICE_X6Y49 SITE_TYPE SLICEM SITEPROP SLICE_X6Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y50 CLASS site SITEPROP SLICE_X6Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y50 IS_BONDED 0 SITEPROP SLICE_X6Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y50 IS_PAD 0 SITEPROP SLICE_X6Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y50 IS_RESERVED 0 SITEPROP SLICE_X6Y50 IS_TEST 0 SITEPROP SLICE_X6Y50 IS_USED 0 SITEPROP SLICE_X6Y50 MANUAL_ROUTING SITEPROP SLICE_X6Y50 NAME SLICE_X6Y50 SITEPROP SLICE_X6Y50 NUM_ARCS 153 SITEPROP SLICE_X6Y50 NUM_BELS 32 SITEPROP SLICE_X6Y50 NUM_INPUTS 37 SITEPROP SLICE_X6Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y50 NUM_PINS 50 SITEPROP SLICE_X6Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y50 PROHIBIT 0 SITEPROP SLICE_X6Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y50 RPM_X 29 SITEPROP SLICE_X6Y50 RPM_Y 100 SITEPROP SLICE_X6Y50 SITE_PIPS SITEPROP SLICE_X6Y50 SITE_TYPE SLICEM SITEPROP SLICE_X6Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y51 CLASS site SITEPROP SLICE_X6Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y51 IS_BONDED 0 SITEPROP SLICE_X6Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y51 IS_PAD 0 SITEPROP SLICE_X6Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y51 IS_RESERVED 0 SITEPROP SLICE_X6Y51 IS_TEST 0 SITEPROP SLICE_X6Y51 IS_USED 0 SITEPROP SLICE_X6Y51 MANUAL_ROUTING SITEPROP SLICE_X6Y51 NAME SLICE_X6Y51 SITEPROP SLICE_X6Y51 NUM_ARCS 153 SITEPROP SLICE_X6Y51 NUM_BELS 32 SITEPROP SLICE_X6Y51 NUM_INPUTS 37 SITEPROP SLICE_X6Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y51 NUM_PINS 50 SITEPROP SLICE_X6Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y51 PROHIBIT 0 SITEPROP SLICE_X6Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y51 RPM_X 29 SITEPROP SLICE_X6Y51 RPM_Y 102 SITEPROP SLICE_X6Y51 SITE_PIPS SITEPROP SLICE_X6Y51 SITE_TYPE SLICEM SITEPROP SLICE_X6Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y52 CLASS site SITEPROP SLICE_X6Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y52 IS_BONDED 0 SITEPROP SLICE_X6Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y52 IS_PAD 0 SITEPROP SLICE_X6Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y52 IS_RESERVED 0 SITEPROP SLICE_X6Y52 IS_TEST 0 SITEPROP SLICE_X6Y52 IS_USED 0 SITEPROP SLICE_X6Y52 MANUAL_ROUTING SITEPROP SLICE_X6Y52 NAME SLICE_X6Y52 SITEPROP SLICE_X6Y52 NUM_ARCS 153 SITEPROP SLICE_X6Y52 NUM_BELS 32 SITEPROP SLICE_X6Y52 NUM_INPUTS 37 SITEPROP SLICE_X6Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y52 NUM_PINS 50 SITEPROP SLICE_X6Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y52 PROHIBIT 0 SITEPROP SLICE_X6Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y52 RPM_X 29 SITEPROP SLICE_X6Y52 RPM_Y 104 SITEPROP SLICE_X6Y52 SITE_PIPS SITEPROP SLICE_X6Y52 SITE_TYPE SLICEM SITEPROP SLICE_X6Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y53 CLASS site SITEPROP SLICE_X6Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y53 IS_BONDED 0 SITEPROP SLICE_X6Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y53 IS_PAD 0 SITEPROP SLICE_X6Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y53 IS_RESERVED 0 SITEPROP SLICE_X6Y53 IS_TEST 0 SITEPROP SLICE_X6Y53 IS_USED 0 SITEPROP SLICE_X6Y53 MANUAL_ROUTING SITEPROP SLICE_X6Y53 NAME SLICE_X6Y53 SITEPROP SLICE_X6Y53 NUM_ARCS 153 SITEPROP SLICE_X6Y53 NUM_BELS 32 SITEPROP SLICE_X6Y53 NUM_INPUTS 37 SITEPROP SLICE_X6Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y53 NUM_PINS 50 SITEPROP SLICE_X6Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y53 PROHIBIT 0 SITEPROP SLICE_X6Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y53 RPM_X 29 SITEPROP SLICE_X6Y53 RPM_Y 106 SITEPROP SLICE_X6Y53 SITE_PIPS SITEPROP SLICE_X6Y53 SITE_TYPE SLICEM SITEPROP SLICE_X6Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y54 CLASS site SITEPROP SLICE_X6Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y54 IS_BONDED 0 SITEPROP SLICE_X6Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y54 IS_PAD 0 SITEPROP SLICE_X6Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y54 IS_RESERVED 0 SITEPROP SLICE_X6Y54 IS_TEST 0 SITEPROP SLICE_X6Y54 IS_USED 0 SITEPROP SLICE_X6Y54 MANUAL_ROUTING SITEPROP SLICE_X6Y54 NAME SLICE_X6Y54 SITEPROP SLICE_X6Y54 NUM_ARCS 153 SITEPROP SLICE_X6Y54 NUM_BELS 32 SITEPROP SLICE_X6Y54 NUM_INPUTS 37 SITEPROP SLICE_X6Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y54 NUM_PINS 50 SITEPROP SLICE_X6Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y54 PROHIBIT 0 SITEPROP SLICE_X6Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y54 RPM_X 29 SITEPROP SLICE_X6Y54 RPM_Y 108 SITEPROP SLICE_X6Y54 SITE_PIPS SITEPROP SLICE_X6Y54 SITE_TYPE SLICEM SITEPROP SLICE_X6Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y55 CLASS site SITEPROP SLICE_X6Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y55 IS_BONDED 0 SITEPROP SLICE_X6Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y55 IS_PAD 0 SITEPROP SLICE_X6Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y55 IS_RESERVED 0 SITEPROP SLICE_X6Y55 IS_TEST 0 SITEPROP SLICE_X6Y55 IS_USED 0 SITEPROP SLICE_X6Y55 MANUAL_ROUTING SITEPROP SLICE_X6Y55 NAME SLICE_X6Y55 SITEPROP SLICE_X6Y55 NUM_ARCS 153 SITEPROP SLICE_X6Y55 NUM_BELS 32 SITEPROP SLICE_X6Y55 NUM_INPUTS 37 SITEPROP SLICE_X6Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y55 NUM_PINS 50 SITEPROP SLICE_X6Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y55 PROHIBIT 0 SITEPROP SLICE_X6Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y55 RPM_X 29 SITEPROP SLICE_X6Y55 RPM_Y 110 SITEPROP SLICE_X6Y55 SITE_PIPS SITEPROP SLICE_X6Y55 SITE_TYPE SLICEM SITEPROP SLICE_X6Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y56 CLASS site SITEPROP SLICE_X6Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y56 IS_BONDED 0 SITEPROP SLICE_X6Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y56 IS_PAD 0 SITEPROP SLICE_X6Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y56 IS_RESERVED 0 SITEPROP SLICE_X6Y56 IS_TEST 0 SITEPROP SLICE_X6Y56 IS_USED 0 SITEPROP SLICE_X6Y56 MANUAL_ROUTING SITEPROP SLICE_X6Y56 NAME SLICE_X6Y56 SITEPROP SLICE_X6Y56 NUM_ARCS 153 SITEPROP SLICE_X6Y56 NUM_BELS 32 SITEPROP SLICE_X6Y56 NUM_INPUTS 37 SITEPROP SLICE_X6Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y56 NUM_PINS 50 SITEPROP SLICE_X6Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y56 PROHIBIT 0 SITEPROP SLICE_X6Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y56 RPM_X 29 SITEPROP SLICE_X6Y56 RPM_Y 112 SITEPROP SLICE_X6Y56 SITE_PIPS SITEPROP SLICE_X6Y56 SITE_TYPE SLICEM SITEPROP SLICE_X6Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y57 CLASS site SITEPROP SLICE_X6Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y57 IS_BONDED 0 SITEPROP SLICE_X6Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y57 IS_PAD 0 SITEPROP SLICE_X6Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y57 IS_RESERVED 0 SITEPROP SLICE_X6Y57 IS_TEST 0 SITEPROP SLICE_X6Y57 IS_USED 0 SITEPROP SLICE_X6Y57 MANUAL_ROUTING SITEPROP SLICE_X6Y57 NAME SLICE_X6Y57 SITEPROP SLICE_X6Y57 NUM_ARCS 153 SITEPROP SLICE_X6Y57 NUM_BELS 32 SITEPROP SLICE_X6Y57 NUM_INPUTS 37 SITEPROP SLICE_X6Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y57 NUM_PINS 50 SITEPROP SLICE_X6Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y57 PROHIBIT 0 SITEPROP SLICE_X6Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y57 RPM_X 29 SITEPROP SLICE_X6Y57 RPM_Y 114 SITEPROP SLICE_X6Y57 SITE_PIPS SITEPROP SLICE_X6Y57 SITE_TYPE SLICEM SITEPROP SLICE_X6Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y58 CLASS site SITEPROP SLICE_X6Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y58 IS_BONDED 0 SITEPROP SLICE_X6Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y58 IS_PAD 0 SITEPROP SLICE_X6Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y58 IS_RESERVED 0 SITEPROP SLICE_X6Y58 IS_TEST 0 SITEPROP SLICE_X6Y58 IS_USED 0 SITEPROP SLICE_X6Y58 MANUAL_ROUTING SITEPROP SLICE_X6Y58 NAME SLICE_X6Y58 SITEPROP SLICE_X6Y58 NUM_ARCS 153 SITEPROP SLICE_X6Y58 NUM_BELS 32 SITEPROP SLICE_X6Y58 NUM_INPUTS 37 SITEPROP SLICE_X6Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y58 NUM_PINS 50 SITEPROP SLICE_X6Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y58 PROHIBIT 0 SITEPROP SLICE_X6Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y58 RPM_X 29 SITEPROP SLICE_X6Y58 RPM_Y 116 SITEPROP SLICE_X6Y58 SITE_PIPS SITEPROP SLICE_X6Y58 SITE_TYPE SLICEM SITEPROP SLICE_X6Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y59 CLASS site SITEPROP SLICE_X6Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y59 IS_BONDED 0 SITEPROP SLICE_X6Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y59 IS_PAD 0 SITEPROP SLICE_X6Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y59 IS_RESERVED 0 SITEPROP SLICE_X6Y59 IS_TEST 0 SITEPROP SLICE_X6Y59 IS_USED 0 SITEPROP SLICE_X6Y59 MANUAL_ROUTING SITEPROP SLICE_X6Y59 NAME SLICE_X6Y59 SITEPROP SLICE_X6Y59 NUM_ARCS 153 SITEPROP SLICE_X6Y59 NUM_BELS 32 SITEPROP SLICE_X6Y59 NUM_INPUTS 37 SITEPROP SLICE_X6Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y59 NUM_PINS 50 SITEPROP SLICE_X6Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y59 PROHIBIT 0 SITEPROP SLICE_X6Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y59 RPM_X 29 SITEPROP SLICE_X6Y59 RPM_Y 118 SITEPROP SLICE_X6Y59 SITE_PIPS SITEPROP SLICE_X6Y59 SITE_TYPE SLICEM SITEPROP SLICE_X6Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y60 CLASS site SITEPROP SLICE_X6Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y60 IS_BONDED 0 SITEPROP SLICE_X6Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y60 IS_PAD 0 SITEPROP SLICE_X6Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y60 IS_RESERVED 0 SITEPROP SLICE_X6Y60 IS_TEST 0 SITEPROP SLICE_X6Y60 IS_USED 0 SITEPROP SLICE_X6Y60 MANUAL_ROUTING SITEPROP SLICE_X6Y60 NAME SLICE_X6Y60 SITEPROP SLICE_X6Y60 NUM_ARCS 153 SITEPROP SLICE_X6Y60 NUM_BELS 32 SITEPROP SLICE_X6Y60 NUM_INPUTS 37 SITEPROP SLICE_X6Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y60 NUM_PINS 50 SITEPROP SLICE_X6Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y60 PROHIBIT 0 SITEPROP SLICE_X6Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y60 RPM_X 29 SITEPROP SLICE_X6Y60 RPM_Y 120 SITEPROP SLICE_X6Y60 SITE_PIPS SITEPROP SLICE_X6Y60 SITE_TYPE SLICEM SITEPROP SLICE_X6Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y61 CLASS site SITEPROP SLICE_X6Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y61 IS_BONDED 0 SITEPROP SLICE_X6Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y61 IS_PAD 0 SITEPROP SLICE_X6Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y61 IS_RESERVED 0 SITEPROP SLICE_X6Y61 IS_TEST 0 SITEPROP SLICE_X6Y61 IS_USED 0 SITEPROP SLICE_X6Y61 MANUAL_ROUTING SITEPROP SLICE_X6Y61 NAME SLICE_X6Y61 SITEPROP SLICE_X6Y61 NUM_ARCS 153 SITEPROP SLICE_X6Y61 NUM_BELS 32 SITEPROP SLICE_X6Y61 NUM_INPUTS 37 SITEPROP SLICE_X6Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y61 NUM_PINS 50 SITEPROP SLICE_X6Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y61 PROHIBIT 0 SITEPROP SLICE_X6Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y61 RPM_X 29 SITEPROP SLICE_X6Y61 RPM_Y 122 SITEPROP SLICE_X6Y61 SITE_PIPS SITEPROP SLICE_X6Y61 SITE_TYPE SLICEM SITEPROP SLICE_X6Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y62 CLASS site SITEPROP SLICE_X6Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y62 IS_BONDED 0 SITEPROP SLICE_X6Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y62 IS_PAD 0 SITEPROP SLICE_X6Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y62 IS_RESERVED 0 SITEPROP SLICE_X6Y62 IS_TEST 0 SITEPROP SLICE_X6Y62 IS_USED 0 SITEPROP SLICE_X6Y62 MANUAL_ROUTING SITEPROP SLICE_X6Y62 NAME SLICE_X6Y62 SITEPROP SLICE_X6Y62 NUM_ARCS 153 SITEPROP SLICE_X6Y62 NUM_BELS 32 SITEPROP SLICE_X6Y62 NUM_INPUTS 37 SITEPROP SLICE_X6Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y62 NUM_PINS 50 SITEPROP SLICE_X6Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y62 PROHIBIT 0 SITEPROP SLICE_X6Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y62 RPM_X 29 SITEPROP SLICE_X6Y62 RPM_Y 124 SITEPROP SLICE_X6Y62 SITE_PIPS SITEPROP SLICE_X6Y62 SITE_TYPE SLICEM SITEPROP SLICE_X6Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y63 CLASS site SITEPROP SLICE_X6Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y63 IS_BONDED 0 SITEPROP SLICE_X6Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y63 IS_PAD 0 SITEPROP SLICE_X6Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y63 IS_RESERVED 0 SITEPROP SLICE_X6Y63 IS_TEST 0 SITEPROP SLICE_X6Y63 IS_USED 0 SITEPROP SLICE_X6Y63 MANUAL_ROUTING SITEPROP SLICE_X6Y63 NAME SLICE_X6Y63 SITEPROP SLICE_X6Y63 NUM_ARCS 153 SITEPROP SLICE_X6Y63 NUM_BELS 32 SITEPROP SLICE_X6Y63 NUM_INPUTS 37 SITEPROP SLICE_X6Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y63 NUM_PINS 50 SITEPROP SLICE_X6Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y63 PROHIBIT 0 SITEPROP SLICE_X6Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y63 RPM_X 29 SITEPROP SLICE_X6Y63 RPM_Y 126 SITEPROP SLICE_X6Y63 SITE_PIPS SITEPROP SLICE_X6Y63 SITE_TYPE SLICEM SITEPROP SLICE_X6Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y64 CLASS site SITEPROP SLICE_X6Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y64 IS_BONDED 0 SITEPROP SLICE_X6Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y64 IS_PAD 0 SITEPROP SLICE_X6Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y64 IS_RESERVED 0 SITEPROP SLICE_X6Y64 IS_TEST 0 SITEPROP SLICE_X6Y64 IS_USED 0 SITEPROP SLICE_X6Y64 MANUAL_ROUTING SITEPROP SLICE_X6Y64 NAME SLICE_X6Y64 SITEPROP SLICE_X6Y64 NUM_ARCS 153 SITEPROP SLICE_X6Y64 NUM_BELS 32 SITEPROP SLICE_X6Y64 NUM_INPUTS 37 SITEPROP SLICE_X6Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y64 NUM_PINS 50 SITEPROP SLICE_X6Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y64 PROHIBIT 0 SITEPROP SLICE_X6Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y64 RPM_X 29 SITEPROP SLICE_X6Y64 RPM_Y 128 SITEPROP SLICE_X6Y64 SITE_PIPS SITEPROP SLICE_X6Y64 SITE_TYPE SLICEM SITEPROP SLICE_X6Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y65 CLASS site SITEPROP SLICE_X6Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y65 IS_BONDED 0 SITEPROP SLICE_X6Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y65 IS_PAD 0 SITEPROP SLICE_X6Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y65 IS_RESERVED 0 SITEPROP SLICE_X6Y65 IS_TEST 0 SITEPROP SLICE_X6Y65 IS_USED 0 SITEPROP SLICE_X6Y65 MANUAL_ROUTING SITEPROP SLICE_X6Y65 NAME SLICE_X6Y65 SITEPROP SLICE_X6Y65 NUM_ARCS 153 SITEPROP SLICE_X6Y65 NUM_BELS 32 SITEPROP SLICE_X6Y65 NUM_INPUTS 37 SITEPROP SLICE_X6Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y65 NUM_PINS 50 SITEPROP SLICE_X6Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y65 PROHIBIT 0 SITEPROP SLICE_X6Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y65 RPM_X 29 SITEPROP SLICE_X6Y65 RPM_Y 130 SITEPROP SLICE_X6Y65 SITE_PIPS SITEPROP SLICE_X6Y65 SITE_TYPE SLICEM SITEPROP SLICE_X6Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y66 CLASS site SITEPROP SLICE_X6Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y66 IS_BONDED 0 SITEPROP SLICE_X6Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y66 IS_PAD 0 SITEPROP SLICE_X6Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y66 IS_RESERVED 0 SITEPROP SLICE_X6Y66 IS_TEST 0 SITEPROP SLICE_X6Y66 IS_USED 0 SITEPROP SLICE_X6Y66 MANUAL_ROUTING SITEPROP SLICE_X6Y66 NAME SLICE_X6Y66 SITEPROP SLICE_X6Y66 NUM_ARCS 153 SITEPROP SLICE_X6Y66 NUM_BELS 32 SITEPROP SLICE_X6Y66 NUM_INPUTS 37 SITEPROP SLICE_X6Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y66 NUM_PINS 50 SITEPROP SLICE_X6Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y66 PROHIBIT 0 SITEPROP SLICE_X6Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y66 RPM_X 29 SITEPROP SLICE_X6Y66 RPM_Y 132 SITEPROP SLICE_X6Y66 SITE_PIPS SITEPROP SLICE_X6Y66 SITE_TYPE SLICEM SITEPROP SLICE_X6Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y67 CLASS site SITEPROP SLICE_X6Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y67 IS_BONDED 0 SITEPROP SLICE_X6Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y67 IS_PAD 0 SITEPROP SLICE_X6Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y67 IS_RESERVED 0 SITEPROP SLICE_X6Y67 IS_TEST 0 SITEPROP SLICE_X6Y67 IS_USED 0 SITEPROP SLICE_X6Y67 MANUAL_ROUTING SITEPROP SLICE_X6Y67 NAME SLICE_X6Y67 SITEPROP SLICE_X6Y67 NUM_ARCS 153 SITEPROP SLICE_X6Y67 NUM_BELS 32 SITEPROP SLICE_X6Y67 NUM_INPUTS 37 SITEPROP SLICE_X6Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y67 NUM_PINS 50 SITEPROP SLICE_X6Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y67 PROHIBIT 0 SITEPROP SLICE_X6Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y67 RPM_X 29 SITEPROP SLICE_X6Y67 RPM_Y 134 SITEPROP SLICE_X6Y67 SITE_PIPS SITEPROP SLICE_X6Y67 SITE_TYPE SLICEM SITEPROP SLICE_X6Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y68 CLASS site SITEPROP SLICE_X6Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y68 IS_BONDED 0 SITEPROP SLICE_X6Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y68 IS_PAD 0 SITEPROP SLICE_X6Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y68 IS_RESERVED 0 SITEPROP SLICE_X6Y68 IS_TEST 0 SITEPROP SLICE_X6Y68 IS_USED 0 SITEPROP SLICE_X6Y68 MANUAL_ROUTING SITEPROP SLICE_X6Y68 NAME SLICE_X6Y68 SITEPROP SLICE_X6Y68 NUM_ARCS 153 SITEPROP SLICE_X6Y68 NUM_BELS 32 SITEPROP SLICE_X6Y68 NUM_INPUTS 37 SITEPROP SLICE_X6Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y68 NUM_PINS 50 SITEPROP SLICE_X6Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y68 PROHIBIT 0 SITEPROP SLICE_X6Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y68 RPM_X 29 SITEPROP SLICE_X6Y68 RPM_Y 136 SITEPROP SLICE_X6Y68 SITE_PIPS SITEPROP SLICE_X6Y68 SITE_TYPE SLICEM SITEPROP SLICE_X6Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y69 CLASS site SITEPROP SLICE_X6Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y69 IS_BONDED 0 SITEPROP SLICE_X6Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y69 IS_PAD 0 SITEPROP SLICE_X6Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y69 IS_RESERVED 0 SITEPROP SLICE_X6Y69 IS_TEST 0 SITEPROP SLICE_X6Y69 IS_USED 0 SITEPROP SLICE_X6Y69 MANUAL_ROUTING SITEPROP SLICE_X6Y69 NAME SLICE_X6Y69 SITEPROP SLICE_X6Y69 NUM_ARCS 153 SITEPROP SLICE_X6Y69 NUM_BELS 32 SITEPROP SLICE_X6Y69 NUM_INPUTS 37 SITEPROP SLICE_X6Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y69 NUM_PINS 50 SITEPROP SLICE_X6Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y69 PROHIBIT 0 SITEPROP SLICE_X6Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y69 RPM_X 29 SITEPROP SLICE_X6Y69 RPM_Y 138 SITEPROP SLICE_X6Y69 SITE_PIPS SITEPROP SLICE_X6Y69 SITE_TYPE SLICEM SITEPROP SLICE_X6Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y70 CLASS site SITEPROP SLICE_X6Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y70 IS_BONDED 0 SITEPROP SLICE_X6Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y70 IS_PAD 0 SITEPROP SLICE_X6Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y70 IS_RESERVED 0 SITEPROP SLICE_X6Y70 IS_TEST 0 SITEPROP SLICE_X6Y70 IS_USED 0 SITEPROP SLICE_X6Y70 MANUAL_ROUTING SITEPROP SLICE_X6Y70 NAME SLICE_X6Y70 SITEPROP SLICE_X6Y70 NUM_ARCS 153 SITEPROP SLICE_X6Y70 NUM_BELS 32 SITEPROP SLICE_X6Y70 NUM_INPUTS 37 SITEPROP SLICE_X6Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y70 NUM_PINS 50 SITEPROP SLICE_X6Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y70 PROHIBIT 0 SITEPROP SLICE_X6Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y70 RPM_X 29 SITEPROP SLICE_X6Y70 RPM_Y 140 SITEPROP SLICE_X6Y70 SITE_PIPS SITEPROP SLICE_X6Y70 SITE_TYPE SLICEM SITEPROP SLICE_X6Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y71 CLASS site SITEPROP SLICE_X6Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y71 IS_BONDED 0 SITEPROP SLICE_X6Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y71 IS_PAD 0 SITEPROP SLICE_X6Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y71 IS_RESERVED 0 SITEPROP SLICE_X6Y71 IS_TEST 0 SITEPROP SLICE_X6Y71 IS_USED 0 SITEPROP SLICE_X6Y71 MANUAL_ROUTING SITEPROP SLICE_X6Y71 NAME SLICE_X6Y71 SITEPROP SLICE_X6Y71 NUM_ARCS 153 SITEPROP SLICE_X6Y71 NUM_BELS 32 SITEPROP SLICE_X6Y71 NUM_INPUTS 37 SITEPROP SLICE_X6Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y71 NUM_PINS 50 SITEPROP SLICE_X6Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y71 PROHIBIT 0 SITEPROP SLICE_X6Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y71 RPM_X 29 SITEPROP SLICE_X6Y71 RPM_Y 142 SITEPROP SLICE_X6Y71 SITE_PIPS SITEPROP SLICE_X6Y71 SITE_TYPE SLICEM SITEPROP SLICE_X6Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y72 CLASS site SITEPROP SLICE_X6Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y72 IS_BONDED 0 SITEPROP SLICE_X6Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y72 IS_PAD 0 SITEPROP SLICE_X6Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y72 IS_RESERVED 0 SITEPROP SLICE_X6Y72 IS_TEST 0 SITEPROP SLICE_X6Y72 IS_USED 0 SITEPROP SLICE_X6Y72 MANUAL_ROUTING SITEPROP SLICE_X6Y72 NAME SLICE_X6Y72 SITEPROP SLICE_X6Y72 NUM_ARCS 153 SITEPROP SLICE_X6Y72 NUM_BELS 32 SITEPROP SLICE_X6Y72 NUM_INPUTS 37 SITEPROP SLICE_X6Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y72 NUM_PINS 50 SITEPROP SLICE_X6Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y72 PROHIBIT 0 SITEPROP SLICE_X6Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y72 RPM_X 29 SITEPROP SLICE_X6Y72 RPM_Y 144 SITEPROP SLICE_X6Y72 SITE_PIPS SITEPROP SLICE_X6Y72 SITE_TYPE SLICEM SITEPROP SLICE_X6Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y73 CLASS site SITEPROP SLICE_X6Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y73 IS_BONDED 0 SITEPROP SLICE_X6Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y73 IS_PAD 0 SITEPROP SLICE_X6Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y73 IS_RESERVED 0 SITEPROP SLICE_X6Y73 IS_TEST 0 SITEPROP SLICE_X6Y73 IS_USED 0 SITEPROP SLICE_X6Y73 MANUAL_ROUTING SITEPROP SLICE_X6Y73 NAME SLICE_X6Y73 SITEPROP SLICE_X6Y73 NUM_ARCS 153 SITEPROP SLICE_X6Y73 NUM_BELS 32 SITEPROP SLICE_X6Y73 NUM_INPUTS 37 SITEPROP SLICE_X6Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y73 NUM_PINS 50 SITEPROP SLICE_X6Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y73 PROHIBIT 0 SITEPROP SLICE_X6Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y73 RPM_X 29 SITEPROP SLICE_X6Y73 RPM_Y 146 SITEPROP SLICE_X6Y73 SITE_PIPS SITEPROP SLICE_X6Y73 SITE_TYPE SLICEM SITEPROP SLICE_X6Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y74 CLASS site SITEPROP SLICE_X6Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y74 IS_BONDED 0 SITEPROP SLICE_X6Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y74 IS_PAD 0 SITEPROP SLICE_X6Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y74 IS_RESERVED 0 SITEPROP SLICE_X6Y74 IS_TEST 0 SITEPROP SLICE_X6Y74 IS_USED 0 SITEPROP SLICE_X6Y74 MANUAL_ROUTING SITEPROP SLICE_X6Y74 NAME SLICE_X6Y74 SITEPROP SLICE_X6Y74 NUM_ARCS 153 SITEPROP SLICE_X6Y74 NUM_BELS 32 SITEPROP SLICE_X6Y74 NUM_INPUTS 37 SITEPROP SLICE_X6Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y74 NUM_PINS 50 SITEPROP SLICE_X6Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y74 PROHIBIT 0 SITEPROP SLICE_X6Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y74 RPM_X 29 SITEPROP SLICE_X6Y74 RPM_Y 148 SITEPROP SLICE_X6Y74 SITE_PIPS SITEPROP SLICE_X6Y74 SITE_TYPE SLICEM SITEPROP SLICE_X6Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y75 CLASS site SITEPROP SLICE_X6Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y75 IS_BONDED 0 SITEPROP SLICE_X6Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y75 IS_PAD 0 SITEPROP SLICE_X6Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y75 IS_RESERVED 0 SITEPROP SLICE_X6Y75 IS_TEST 0 SITEPROP SLICE_X6Y75 IS_USED 0 SITEPROP SLICE_X6Y75 MANUAL_ROUTING SITEPROP SLICE_X6Y75 NAME SLICE_X6Y75 SITEPROP SLICE_X6Y75 NUM_ARCS 153 SITEPROP SLICE_X6Y75 NUM_BELS 32 SITEPROP SLICE_X6Y75 NUM_INPUTS 37 SITEPROP SLICE_X6Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y75 NUM_PINS 50 SITEPROP SLICE_X6Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y75 PROHIBIT 0 SITEPROP SLICE_X6Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y75 RPM_X 29 SITEPROP SLICE_X6Y75 RPM_Y 150 SITEPROP SLICE_X6Y75 SITE_PIPS SITEPROP SLICE_X6Y75 SITE_TYPE SLICEM SITEPROP SLICE_X6Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y76 CLASS site SITEPROP SLICE_X6Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y76 IS_BONDED 0 SITEPROP SLICE_X6Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y76 IS_PAD 0 SITEPROP SLICE_X6Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y76 IS_RESERVED 0 SITEPROP SLICE_X6Y76 IS_TEST 0 SITEPROP SLICE_X6Y76 IS_USED 0 SITEPROP SLICE_X6Y76 MANUAL_ROUTING SITEPROP SLICE_X6Y76 NAME SLICE_X6Y76 SITEPROP SLICE_X6Y76 NUM_ARCS 153 SITEPROP SLICE_X6Y76 NUM_BELS 32 SITEPROP SLICE_X6Y76 NUM_INPUTS 37 SITEPROP SLICE_X6Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y76 NUM_PINS 50 SITEPROP SLICE_X6Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y76 PROHIBIT 0 SITEPROP SLICE_X6Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y76 RPM_X 29 SITEPROP SLICE_X6Y76 RPM_Y 152 SITEPROP SLICE_X6Y76 SITE_PIPS SITEPROP SLICE_X6Y76 SITE_TYPE SLICEM SITEPROP SLICE_X6Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y77 CLASS site SITEPROP SLICE_X6Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y77 IS_BONDED 0 SITEPROP SLICE_X6Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y77 IS_PAD 0 SITEPROP SLICE_X6Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y77 IS_RESERVED 0 SITEPROP SLICE_X6Y77 IS_TEST 0 SITEPROP SLICE_X6Y77 IS_USED 0 SITEPROP SLICE_X6Y77 MANUAL_ROUTING SITEPROP SLICE_X6Y77 NAME SLICE_X6Y77 SITEPROP SLICE_X6Y77 NUM_ARCS 153 SITEPROP SLICE_X6Y77 NUM_BELS 32 SITEPROP SLICE_X6Y77 NUM_INPUTS 37 SITEPROP SLICE_X6Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y77 NUM_PINS 50 SITEPROP SLICE_X6Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y77 PROHIBIT 0 SITEPROP SLICE_X6Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y77 RPM_X 29 SITEPROP SLICE_X6Y77 RPM_Y 154 SITEPROP SLICE_X6Y77 SITE_PIPS SITEPROP SLICE_X6Y77 SITE_TYPE SLICEM SITEPROP SLICE_X6Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y78 CLASS site SITEPROP SLICE_X6Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y78 IS_BONDED 0 SITEPROP SLICE_X6Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y78 IS_PAD 0 SITEPROP SLICE_X6Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y78 IS_RESERVED 0 SITEPROP SLICE_X6Y78 IS_TEST 0 SITEPROP SLICE_X6Y78 IS_USED 0 SITEPROP SLICE_X6Y78 MANUAL_ROUTING SITEPROP SLICE_X6Y78 NAME SLICE_X6Y78 SITEPROP SLICE_X6Y78 NUM_ARCS 153 SITEPROP SLICE_X6Y78 NUM_BELS 32 SITEPROP SLICE_X6Y78 NUM_INPUTS 37 SITEPROP SLICE_X6Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y78 NUM_PINS 50 SITEPROP SLICE_X6Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y78 PROHIBIT 0 SITEPROP SLICE_X6Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y78 RPM_X 29 SITEPROP SLICE_X6Y78 RPM_Y 156 SITEPROP SLICE_X6Y78 SITE_PIPS SITEPROP SLICE_X6Y78 SITE_TYPE SLICEM SITEPROP SLICE_X6Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y79 CLASS site SITEPROP SLICE_X6Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y79 IS_BONDED 0 SITEPROP SLICE_X6Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y79 IS_PAD 0 SITEPROP SLICE_X6Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y79 IS_RESERVED 0 SITEPROP SLICE_X6Y79 IS_TEST 0 SITEPROP SLICE_X6Y79 IS_USED 0 SITEPROP SLICE_X6Y79 MANUAL_ROUTING SITEPROP SLICE_X6Y79 NAME SLICE_X6Y79 SITEPROP SLICE_X6Y79 NUM_ARCS 153 SITEPROP SLICE_X6Y79 NUM_BELS 32 SITEPROP SLICE_X6Y79 NUM_INPUTS 37 SITEPROP SLICE_X6Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y79 NUM_PINS 50 SITEPROP SLICE_X6Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y79 PROHIBIT 0 SITEPROP SLICE_X6Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y79 RPM_X 29 SITEPROP SLICE_X6Y79 RPM_Y 158 SITEPROP SLICE_X6Y79 SITE_PIPS SITEPROP SLICE_X6Y79 SITE_TYPE SLICEM SITEPROP SLICE_X6Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y80 CLASS site SITEPROP SLICE_X6Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y80 IS_BONDED 0 SITEPROP SLICE_X6Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y80 IS_PAD 0 SITEPROP SLICE_X6Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y80 IS_RESERVED 0 SITEPROP SLICE_X6Y80 IS_TEST 0 SITEPROP SLICE_X6Y80 IS_USED 0 SITEPROP SLICE_X6Y80 MANUAL_ROUTING SITEPROP SLICE_X6Y80 NAME SLICE_X6Y80 SITEPROP SLICE_X6Y80 NUM_ARCS 153 SITEPROP SLICE_X6Y80 NUM_BELS 32 SITEPROP SLICE_X6Y80 NUM_INPUTS 37 SITEPROP SLICE_X6Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y80 NUM_PINS 50 SITEPROP SLICE_X6Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y80 PROHIBIT 0 SITEPROP SLICE_X6Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y80 RPM_X 29 SITEPROP SLICE_X6Y80 RPM_Y 160 SITEPROP SLICE_X6Y80 SITE_PIPS SITEPROP SLICE_X6Y80 SITE_TYPE SLICEM SITEPROP SLICE_X6Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y81 CLASS site SITEPROP SLICE_X6Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y81 IS_BONDED 0 SITEPROP SLICE_X6Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y81 IS_PAD 0 SITEPROP SLICE_X6Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y81 IS_RESERVED 0 SITEPROP SLICE_X6Y81 IS_TEST 0 SITEPROP SLICE_X6Y81 IS_USED 0 SITEPROP SLICE_X6Y81 MANUAL_ROUTING SITEPROP SLICE_X6Y81 NAME SLICE_X6Y81 SITEPROP SLICE_X6Y81 NUM_ARCS 153 SITEPROP SLICE_X6Y81 NUM_BELS 32 SITEPROP SLICE_X6Y81 NUM_INPUTS 37 SITEPROP SLICE_X6Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y81 NUM_PINS 50 SITEPROP SLICE_X6Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y81 PROHIBIT 0 SITEPROP SLICE_X6Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y81 RPM_X 29 SITEPROP SLICE_X6Y81 RPM_Y 162 SITEPROP SLICE_X6Y81 SITE_PIPS SITEPROP SLICE_X6Y81 SITE_TYPE SLICEM SITEPROP SLICE_X6Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y82 CLASS site SITEPROP SLICE_X6Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y82 IS_BONDED 0 SITEPROP SLICE_X6Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y82 IS_PAD 0 SITEPROP SLICE_X6Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y82 IS_RESERVED 0 SITEPROP SLICE_X6Y82 IS_TEST 0 SITEPROP SLICE_X6Y82 IS_USED 0 SITEPROP SLICE_X6Y82 MANUAL_ROUTING SITEPROP SLICE_X6Y82 NAME SLICE_X6Y82 SITEPROP SLICE_X6Y82 NUM_ARCS 153 SITEPROP SLICE_X6Y82 NUM_BELS 32 SITEPROP SLICE_X6Y82 NUM_INPUTS 37 SITEPROP SLICE_X6Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y82 NUM_PINS 50 SITEPROP SLICE_X6Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y82 PROHIBIT 0 SITEPROP SLICE_X6Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y82 RPM_X 29 SITEPROP SLICE_X6Y82 RPM_Y 164 SITEPROP SLICE_X6Y82 SITE_PIPS SITEPROP SLICE_X6Y82 SITE_TYPE SLICEM SITEPROP SLICE_X6Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y83 CLASS site SITEPROP SLICE_X6Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y83 IS_BONDED 0 SITEPROP SLICE_X6Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y83 IS_PAD 0 SITEPROP SLICE_X6Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y83 IS_RESERVED 0 SITEPROP SLICE_X6Y83 IS_TEST 0 SITEPROP SLICE_X6Y83 IS_USED 0 SITEPROP SLICE_X6Y83 MANUAL_ROUTING SITEPROP SLICE_X6Y83 NAME SLICE_X6Y83 SITEPROP SLICE_X6Y83 NUM_ARCS 153 SITEPROP SLICE_X6Y83 NUM_BELS 32 SITEPROP SLICE_X6Y83 NUM_INPUTS 37 SITEPROP SLICE_X6Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y83 NUM_PINS 50 SITEPROP SLICE_X6Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y83 PROHIBIT 0 SITEPROP SLICE_X6Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y83 RPM_X 29 SITEPROP SLICE_X6Y83 RPM_Y 166 SITEPROP SLICE_X6Y83 SITE_PIPS SITEPROP SLICE_X6Y83 SITE_TYPE SLICEM SITEPROP SLICE_X6Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y84 CLASS site SITEPROP SLICE_X6Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y84 IS_BONDED 0 SITEPROP SLICE_X6Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y84 IS_PAD 0 SITEPROP SLICE_X6Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y84 IS_RESERVED 0 SITEPROP SLICE_X6Y84 IS_TEST 0 SITEPROP SLICE_X6Y84 IS_USED 0 SITEPROP SLICE_X6Y84 MANUAL_ROUTING SITEPROP SLICE_X6Y84 NAME SLICE_X6Y84 SITEPROP SLICE_X6Y84 NUM_ARCS 153 SITEPROP SLICE_X6Y84 NUM_BELS 32 SITEPROP SLICE_X6Y84 NUM_INPUTS 37 SITEPROP SLICE_X6Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y84 NUM_PINS 50 SITEPROP SLICE_X6Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y84 PROHIBIT 0 SITEPROP SLICE_X6Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y84 RPM_X 29 SITEPROP SLICE_X6Y84 RPM_Y 168 SITEPROP SLICE_X6Y84 SITE_PIPS SITEPROP SLICE_X6Y84 SITE_TYPE SLICEM SITEPROP SLICE_X6Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y85 CLASS site SITEPROP SLICE_X6Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y85 IS_BONDED 0 SITEPROP SLICE_X6Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y85 IS_PAD 0 SITEPROP SLICE_X6Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y85 IS_RESERVED 0 SITEPROP SLICE_X6Y85 IS_TEST 0 SITEPROP SLICE_X6Y85 IS_USED 0 SITEPROP SLICE_X6Y85 MANUAL_ROUTING SITEPROP SLICE_X6Y85 NAME SLICE_X6Y85 SITEPROP SLICE_X6Y85 NUM_ARCS 153 SITEPROP SLICE_X6Y85 NUM_BELS 32 SITEPROP SLICE_X6Y85 NUM_INPUTS 37 SITEPROP SLICE_X6Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y85 NUM_PINS 50 SITEPROP SLICE_X6Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y85 PROHIBIT 0 SITEPROP SLICE_X6Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y85 RPM_X 29 SITEPROP SLICE_X6Y85 RPM_Y 170 SITEPROP SLICE_X6Y85 SITE_PIPS SITEPROP SLICE_X6Y85 SITE_TYPE SLICEM SITEPROP SLICE_X6Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y86 CLASS site SITEPROP SLICE_X6Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y86 IS_BONDED 0 SITEPROP SLICE_X6Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y86 IS_PAD 0 SITEPROP SLICE_X6Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y86 IS_RESERVED 0 SITEPROP SLICE_X6Y86 IS_TEST 0 SITEPROP SLICE_X6Y86 IS_USED 0 SITEPROP SLICE_X6Y86 MANUAL_ROUTING SITEPROP SLICE_X6Y86 NAME SLICE_X6Y86 SITEPROP SLICE_X6Y86 NUM_ARCS 153 SITEPROP SLICE_X6Y86 NUM_BELS 32 SITEPROP SLICE_X6Y86 NUM_INPUTS 37 SITEPROP SLICE_X6Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y86 NUM_PINS 50 SITEPROP SLICE_X6Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y86 PROHIBIT 0 SITEPROP SLICE_X6Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y86 RPM_X 29 SITEPROP SLICE_X6Y86 RPM_Y 172 SITEPROP SLICE_X6Y86 SITE_PIPS SITEPROP SLICE_X6Y86 SITE_TYPE SLICEM SITEPROP SLICE_X6Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y87 CLASS site SITEPROP SLICE_X6Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y87 IS_BONDED 0 SITEPROP SLICE_X6Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y87 IS_PAD 0 SITEPROP SLICE_X6Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y87 IS_RESERVED 0 SITEPROP SLICE_X6Y87 IS_TEST 0 SITEPROP SLICE_X6Y87 IS_USED 0 SITEPROP SLICE_X6Y87 MANUAL_ROUTING SITEPROP SLICE_X6Y87 NAME SLICE_X6Y87 SITEPROP SLICE_X6Y87 NUM_ARCS 153 SITEPROP SLICE_X6Y87 NUM_BELS 32 SITEPROP SLICE_X6Y87 NUM_INPUTS 37 SITEPROP SLICE_X6Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y87 NUM_PINS 50 SITEPROP SLICE_X6Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y87 PROHIBIT 0 SITEPROP SLICE_X6Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y87 RPM_X 29 SITEPROP SLICE_X6Y87 RPM_Y 174 SITEPROP SLICE_X6Y87 SITE_PIPS SITEPROP SLICE_X6Y87 SITE_TYPE SLICEM SITEPROP SLICE_X6Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y88 CLASS site SITEPROP SLICE_X6Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y88 IS_BONDED 0 SITEPROP SLICE_X6Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y88 IS_PAD 0 SITEPROP SLICE_X6Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y88 IS_RESERVED 0 SITEPROP SLICE_X6Y88 IS_TEST 0 SITEPROP SLICE_X6Y88 IS_USED 0 SITEPROP SLICE_X6Y88 MANUAL_ROUTING SITEPROP SLICE_X6Y88 NAME SLICE_X6Y88 SITEPROP SLICE_X6Y88 NUM_ARCS 153 SITEPROP SLICE_X6Y88 NUM_BELS 32 SITEPROP SLICE_X6Y88 NUM_INPUTS 37 SITEPROP SLICE_X6Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y88 NUM_PINS 50 SITEPROP SLICE_X6Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y88 PROHIBIT 0 SITEPROP SLICE_X6Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y88 RPM_X 29 SITEPROP SLICE_X6Y88 RPM_Y 176 SITEPROP SLICE_X6Y88 SITE_PIPS SITEPROP SLICE_X6Y88 SITE_TYPE SLICEM SITEPROP SLICE_X6Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y89 CLASS site SITEPROP SLICE_X6Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y89 IS_BONDED 0 SITEPROP SLICE_X6Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y89 IS_PAD 0 SITEPROP SLICE_X6Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y89 IS_RESERVED 0 SITEPROP SLICE_X6Y89 IS_TEST 0 SITEPROP SLICE_X6Y89 IS_USED 0 SITEPROP SLICE_X6Y89 MANUAL_ROUTING SITEPROP SLICE_X6Y89 NAME SLICE_X6Y89 SITEPROP SLICE_X6Y89 NUM_ARCS 153 SITEPROP SLICE_X6Y89 NUM_BELS 32 SITEPROP SLICE_X6Y89 NUM_INPUTS 37 SITEPROP SLICE_X6Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y89 NUM_PINS 50 SITEPROP SLICE_X6Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y89 PROHIBIT 0 SITEPROP SLICE_X6Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y89 RPM_X 29 SITEPROP SLICE_X6Y89 RPM_Y 178 SITEPROP SLICE_X6Y89 SITE_PIPS SITEPROP SLICE_X6Y89 SITE_TYPE SLICEM SITEPROP SLICE_X6Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y90 CLASS site SITEPROP SLICE_X6Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y90 IS_BONDED 0 SITEPROP SLICE_X6Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y90 IS_PAD 0 SITEPROP SLICE_X6Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y90 IS_RESERVED 0 SITEPROP SLICE_X6Y90 IS_TEST 0 SITEPROP SLICE_X6Y90 IS_USED 0 SITEPROP SLICE_X6Y90 MANUAL_ROUTING SITEPROP SLICE_X6Y90 NAME SLICE_X6Y90 SITEPROP SLICE_X6Y90 NUM_ARCS 153 SITEPROP SLICE_X6Y90 NUM_BELS 32 SITEPROP SLICE_X6Y90 NUM_INPUTS 37 SITEPROP SLICE_X6Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y90 NUM_PINS 50 SITEPROP SLICE_X6Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y90 PROHIBIT 0 SITEPROP SLICE_X6Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y90 RPM_X 29 SITEPROP SLICE_X6Y90 RPM_Y 180 SITEPROP SLICE_X6Y90 SITE_PIPS SITEPROP SLICE_X6Y90 SITE_TYPE SLICEM SITEPROP SLICE_X6Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y91 CLASS site SITEPROP SLICE_X6Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y91 IS_BONDED 0 SITEPROP SLICE_X6Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y91 IS_PAD 0 SITEPROP SLICE_X6Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y91 IS_RESERVED 0 SITEPROP SLICE_X6Y91 IS_TEST 0 SITEPROP SLICE_X6Y91 IS_USED 0 SITEPROP SLICE_X6Y91 MANUAL_ROUTING SITEPROP SLICE_X6Y91 NAME SLICE_X6Y91 SITEPROP SLICE_X6Y91 NUM_ARCS 153 SITEPROP SLICE_X6Y91 NUM_BELS 32 SITEPROP SLICE_X6Y91 NUM_INPUTS 37 SITEPROP SLICE_X6Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y91 NUM_PINS 50 SITEPROP SLICE_X6Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y91 PROHIBIT 0 SITEPROP SLICE_X6Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y91 RPM_X 29 SITEPROP SLICE_X6Y91 RPM_Y 182 SITEPROP SLICE_X6Y91 SITE_PIPS SITEPROP SLICE_X6Y91 SITE_TYPE SLICEM SITEPROP SLICE_X6Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y92 CLASS site SITEPROP SLICE_X6Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y92 IS_BONDED 0 SITEPROP SLICE_X6Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y92 IS_PAD 0 SITEPROP SLICE_X6Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y92 IS_RESERVED 0 SITEPROP SLICE_X6Y92 IS_TEST 0 SITEPROP SLICE_X6Y92 IS_USED 0 SITEPROP SLICE_X6Y92 MANUAL_ROUTING SITEPROP SLICE_X6Y92 NAME SLICE_X6Y92 SITEPROP SLICE_X6Y92 NUM_ARCS 153 SITEPROP SLICE_X6Y92 NUM_BELS 32 SITEPROP SLICE_X6Y92 NUM_INPUTS 37 SITEPROP SLICE_X6Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y92 NUM_PINS 50 SITEPROP SLICE_X6Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y92 PROHIBIT 0 SITEPROP SLICE_X6Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y92 RPM_X 29 SITEPROP SLICE_X6Y92 RPM_Y 184 SITEPROP SLICE_X6Y92 SITE_PIPS SITEPROP SLICE_X6Y92 SITE_TYPE SLICEM SITEPROP SLICE_X6Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y93 CLASS site SITEPROP SLICE_X6Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y93 IS_BONDED 0 SITEPROP SLICE_X6Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y93 IS_PAD 0 SITEPROP SLICE_X6Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y93 IS_RESERVED 0 SITEPROP SLICE_X6Y93 IS_TEST 0 SITEPROP SLICE_X6Y93 IS_USED 0 SITEPROP SLICE_X6Y93 MANUAL_ROUTING SITEPROP SLICE_X6Y93 NAME SLICE_X6Y93 SITEPROP SLICE_X6Y93 NUM_ARCS 153 SITEPROP SLICE_X6Y93 NUM_BELS 32 SITEPROP SLICE_X6Y93 NUM_INPUTS 37 SITEPROP SLICE_X6Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y93 NUM_PINS 50 SITEPROP SLICE_X6Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y93 PROHIBIT 0 SITEPROP SLICE_X6Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y93 RPM_X 29 SITEPROP SLICE_X6Y93 RPM_Y 186 SITEPROP SLICE_X6Y93 SITE_PIPS SITEPROP SLICE_X6Y93 SITE_TYPE SLICEM SITEPROP SLICE_X6Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y94 CLASS site SITEPROP SLICE_X6Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y94 IS_BONDED 0 SITEPROP SLICE_X6Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y94 IS_PAD 0 SITEPROP SLICE_X6Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y94 IS_RESERVED 0 SITEPROP SLICE_X6Y94 IS_TEST 0 SITEPROP SLICE_X6Y94 IS_USED 0 SITEPROP SLICE_X6Y94 MANUAL_ROUTING SITEPROP SLICE_X6Y94 NAME SLICE_X6Y94 SITEPROP SLICE_X6Y94 NUM_ARCS 153 SITEPROP SLICE_X6Y94 NUM_BELS 32 SITEPROP SLICE_X6Y94 NUM_INPUTS 37 SITEPROP SLICE_X6Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y94 NUM_PINS 50 SITEPROP SLICE_X6Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y94 PROHIBIT 0 SITEPROP SLICE_X6Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y94 RPM_X 29 SITEPROP SLICE_X6Y94 RPM_Y 188 SITEPROP SLICE_X6Y94 SITE_PIPS SITEPROP SLICE_X6Y94 SITE_TYPE SLICEM SITEPROP SLICE_X6Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y95 CLASS site SITEPROP SLICE_X6Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y95 IS_BONDED 0 SITEPROP SLICE_X6Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y95 IS_PAD 0 SITEPROP SLICE_X6Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y95 IS_RESERVED 0 SITEPROP SLICE_X6Y95 IS_TEST 0 SITEPROP SLICE_X6Y95 IS_USED 0 SITEPROP SLICE_X6Y95 MANUAL_ROUTING SITEPROP SLICE_X6Y95 NAME SLICE_X6Y95 SITEPROP SLICE_X6Y95 NUM_ARCS 153 SITEPROP SLICE_X6Y95 NUM_BELS 32 SITEPROP SLICE_X6Y95 NUM_INPUTS 37 SITEPROP SLICE_X6Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y95 NUM_PINS 50 SITEPROP SLICE_X6Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y95 PROHIBIT 0 SITEPROP SLICE_X6Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y95 RPM_X 29 SITEPROP SLICE_X6Y95 RPM_Y 190 SITEPROP SLICE_X6Y95 SITE_PIPS SITEPROP SLICE_X6Y95 SITE_TYPE SLICEM SITEPROP SLICE_X6Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y96 CLASS site SITEPROP SLICE_X6Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y96 IS_BONDED 0 SITEPROP SLICE_X6Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y96 IS_PAD 0 SITEPROP SLICE_X6Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y96 IS_RESERVED 0 SITEPROP SLICE_X6Y96 IS_TEST 0 SITEPROP SLICE_X6Y96 IS_USED 0 SITEPROP SLICE_X6Y96 MANUAL_ROUTING SITEPROP SLICE_X6Y96 NAME SLICE_X6Y96 SITEPROP SLICE_X6Y96 NUM_ARCS 153 SITEPROP SLICE_X6Y96 NUM_BELS 32 SITEPROP SLICE_X6Y96 NUM_INPUTS 37 SITEPROP SLICE_X6Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y96 NUM_PINS 50 SITEPROP SLICE_X6Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y96 PROHIBIT 0 SITEPROP SLICE_X6Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y96 RPM_X 29 SITEPROP SLICE_X6Y96 RPM_Y 192 SITEPROP SLICE_X6Y96 SITE_PIPS SITEPROP SLICE_X6Y96 SITE_TYPE SLICEM SITEPROP SLICE_X6Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y97 CLASS site SITEPROP SLICE_X6Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y97 IS_BONDED 0 SITEPROP SLICE_X6Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y97 IS_PAD 0 SITEPROP SLICE_X6Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y97 IS_RESERVED 0 SITEPROP SLICE_X6Y97 IS_TEST 0 SITEPROP SLICE_X6Y97 IS_USED 0 SITEPROP SLICE_X6Y97 MANUAL_ROUTING SITEPROP SLICE_X6Y97 NAME SLICE_X6Y97 SITEPROP SLICE_X6Y97 NUM_ARCS 153 SITEPROP SLICE_X6Y97 NUM_BELS 32 SITEPROP SLICE_X6Y97 NUM_INPUTS 37 SITEPROP SLICE_X6Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y97 NUM_PINS 50 SITEPROP SLICE_X6Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y97 PROHIBIT 0 SITEPROP SLICE_X6Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y97 RPM_X 29 SITEPROP SLICE_X6Y97 RPM_Y 194 SITEPROP SLICE_X6Y97 SITE_PIPS SITEPROP SLICE_X6Y97 SITE_TYPE SLICEM SITEPROP SLICE_X6Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y98 CLASS site SITEPROP SLICE_X6Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y98 IS_BONDED 0 SITEPROP SLICE_X6Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y98 IS_PAD 0 SITEPROP SLICE_X6Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y98 IS_RESERVED 0 SITEPROP SLICE_X6Y98 IS_TEST 0 SITEPROP SLICE_X6Y98 IS_USED 0 SITEPROP SLICE_X6Y98 MANUAL_ROUTING SITEPROP SLICE_X6Y98 NAME SLICE_X6Y98 SITEPROP SLICE_X6Y98 NUM_ARCS 153 SITEPROP SLICE_X6Y98 NUM_BELS 32 SITEPROP SLICE_X6Y98 NUM_INPUTS 37 SITEPROP SLICE_X6Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y98 NUM_PINS 50 SITEPROP SLICE_X6Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y98 PROHIBIT 0 SITEPROP SLICE_X6Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y98 RPM_X 29 SITEPROP SLICE_X6Y98 RPM_Y 196 SITEPROP SLICE_X6Y98 SITE_PIPS SITEPROP SLICE_X6Y98 SITE_TYPE SLICEM SITEPROP SLICE_X6Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y99 CLASS site SITEPROP SLICE_X6Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X6Y99 IS_BONDED 0 SITEPROP SLICE_X6Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y99 IS_PAD 0 SITEPROP SLICE_X6Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y99 IS_RESERVED 0 SITEPROP SLICE_X6Y99 IS_TEST 0 SITEPROP SLICE_X6Y99 IS_USED 0 SITEPROP SLICE_X6Y99 MANUAL_ROUTING SITEPROP SLICE_X6Y99 NAME SLICE_X6Y99 SITEPROP SLICE_X6Y99 NUM_ARCS 153 SITEPROP SLICE_X6Y99 NUM_BELS 32 SITEPROP SLICE_X6Y99 NUM_INPUTS 37 SITEPROP SLICE_X6Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y99 NUM_PINS 50 SITEPROP SLICE_X6Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y99 PROHIBIT 0 SITEPROP SLICE_X6Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y99 RPM_X 29 SITEPROP SLICE_X6Y99 RPM_Y 198 SITEPROP SLICE_X6Y99 SITE_PIPS SITEPROP SLICE_X6Y99 SITE_TYPE SLICEM SITEPROP SLICE_X6Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y100 CLASS site SITEPROP SLICE_X6Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y100 IS_BONDED 0 SITEPROP SLICE_X6Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y100 IS_PAD 0 SITEPROP SLICE_X6Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y100 IS_RESERVED 0 SITEPROP SLICE_X6Y100 IS_TEST 0 SITEPROP SLICE_X6Y100 IS_USED 0 SITEPROP SLICE_X6Y100 MANUAL_ROUTING SITEPROP SLICE_X6Y100 NAME SLICE_X6Y100 SITEPROP SLICE_X6Y100 NUM_ARCS 153 SITEPROP SLICE_X6Y100 NUM_BELS 32 SITEPROP SLICE_X6Y100 NUM_INPUTS 37 SITEPROP SLICE_X6Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y100 NUM_PINS 50 SITEPROP SLICE_X6Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y100 PROHIBIT 0 SITEPROP SLICE_X6Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y100 RPM_X 29 SITEPROP SLICE_X6Y100 RPM_Y 200 SITEPROP SLICE_X6Y100 SITE_PIPS SITEPROP SLICE_X6Y100 SITE_TYPE SLICEM SITEPROP SLICE_X6Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y101 CLASS site SITEPROP SLICE_X6Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y101 IS_BONDED 0 SITEPROP SLICE_X6Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y101 IS_PAD 0 SITEPROP SLICE_X6Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y101 IS_RESERVED 0 SITEPROP SLICE_X6Y101 IS_TEST 0 SITEPROP SLICE_X6Y101 IS_USED 0 SITEPROP SLICE_X6Y101 MANUAL_ROUTING SITEPROP SLICE_X6Y101 NAME SLICE_X6Y101 SITEPROP SLICE_X6Y101 NUM_ARCS 153 SITEPROP SLICE_X6Y101 NUM_BELS 32 SITEPROP SLICE_X6Y101 NUM_INPUTS 37 SITEPROP SLICE_X6Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y101 NUM_PINS 50 SITEPROP SLICE_X6Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y101 PROHIBIT 0 SITEPROP SLICE_X6Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y101 RPM_X 29 SITEPROP SLICE_X6Y101 RPM_Y 202 SITEPROP SLICE_X6Y101 SITE_PIPS SITEPROP SLICE_X6Y101 SITE_TYPE SLICEM SITEPROP SLICE_X6Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y102 CLASS site SITEPROP SLICE_X6Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y102 IS_BONDED 0 SITEPROP SLICE_X6Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y102 IS_PAD 0 SITEPROP SLICE_X6Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y102 IS_RESERVED 0 SITEPROP SLICE_X6Y102 IS_TEST 0 SITEPROP SLICE_X6Y102 IS_USED 0 SITEPROP SLICE_X6Y102 MANUAL_ROUTING SITEPROP SLICE_X6Y102 NAME SLICE_X6Y102 SITEPROP SLICE_X6Y102 NUM_ARCS 153 SITEPROP SLICE_X6Y102 NUM_BELS 32 SITEPROP SLICE_X6Y102 NUM_INPUTS 37 SITEPROP SLICE_X6Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y102 NUM_PINS 50 SITEPROP SLICE_X6Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y102 PROHIBIT 0 SITEPROP SLICE_X6Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y102 RPM_X 29 SITEPROP SLICE_X6Y102 RPM_Y 204 SITEPROP SLICE_X6Y102 SITE_PIPS SITEPROP SLICE_X6Y102 SITE_TYPE SLICEM SITEPROP SLICE_X6Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y103 CLASS site SITEPROP SLICE_X6Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y103 IS_BONDED 0 SITEPROP SLICE_X6Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y103 IS_PAD 0 SITEPROP SLICE_X6Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y103 IS_RESERVED 0 SITEPROP SLICE_X6Y103 IS_TEST 0 SITEPROP SLICE_X6Y103 IS_USED 0 SITEPROP SLICE_X6Y103 MANUAL_ROUTING SITEPROP SLICE_X6Y103 NAME SLICE_X6Y103 SITEPROP SLICE_X6Y103 NUM_ARCS 153 SITEPROP SLICE_X6Y103 NUM_BELS 32 SITEPROP SLICE_X6Y103 NUM_INPUTS 37 SITEPROP SLICE_X6Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y103 NUM_PINS 50 SITEPROP SLICE_X6Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y103 PROHIBIT 0 SITEPROP SLICE_X6Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y103 RPM_X 29 SITEPROP SLICE_X6Y103 RPM_Y 206 SITEPROP SLICE_X6Y103 SITE_PIPS SITEPROP SLICE_X6Y103 SITE_TYPE SLICEM SITEPROP SLICE_X6Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y104 CLASS site SITEPROP SLICE_X6Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y104 IS_BONDED 0 SITEPROP SLICE_X6Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y104 IS_PAD 0 SITEPROP SLICE_X6Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y104 IS_RESERVED 0 SITEPROP SLICE_X6Y104 IS_TEST 0 SITEPROP SLICE_X6Y104 IS_USED 0 SITEPROP SLICE_X6Y104 MANUAL_ROUTING SITEPROP SLICE_X6Y104 NAME SLICE_X6Y104 SITEPROP SLICE_X6Y104 NUM_ARCS 153 SITEPROP SLICE_X6Y104 NUM_BELS 32 SITEPROP SLICE_X6Y104 NUM_INPUTS 37 SITEPROP SLICE_X6Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y104 NUM_PINS 50 SITEPROP SLICE_X6Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y104 PROHIBIT 0 SITEPROP SLICE_X6Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y104 RPM_X 29 SITEPROP SLICE_X6Y104 RPM_Y 208 SITEPROP SLICE_X6Y104 SITE_PIPS SITEPROP SLICE_X6Y104 SITE_TYPE SLICEM SITEPROP SLICE_X6Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y105 CLASS site SITEPROP SLICE_X6Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y105 IS_BONDED 0 SITEPROP SLICE_X6Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y105 IS_PAD 0 SITEPROP SLICE_X6Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y105 IS_RESERVED 0 SITEPROP SLICE_X6Y105 IS_TEST 0 SITEPROP SLICE_X6Y105 IS_USED 0 SITEPROP SLICE_X6Y105 MANUAL_ROUTING SITEPROP SLICE_X6Y105 NAME SLICE_X6Y105 SITEPROP SLICE_X6Y105 NUM_ARCS 153 SITEPROP SLICE_X6Y105 NUM_BELS 32 SITEPROP SLICE_X6Y105 NUM_INPUTS 37 SITEPROP SLICE_X6Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y105 NUM_PINS 50 SITEPROP SLICE_X6Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y105 PROHIBIT 0 SITEPROP SLICE_X6Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y105 RPM_X 29 SITEPROP SLICE_X6Y105 RPM_Y 210 SITEPROP SLICE_X6Y105 SITE_PIPS SITEPROP SLICE_X6Y105 SITE_TYPE SLICEM SITEPROP SLICE_X6Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y106 CLASS site SITEPROP SLICE_X6Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y106 IS_BONDED 0 SITEPROP SLICE_X6Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y106 IS_PAD 0 SITEPROP SLICE_X6Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y106 IS_RESERVED 0 SITEPROP SLICE_X6Y106 IS_TEST 0 SITEPROP SLICE_X6Y106 IS_USED 0 SITEPROP SLICE_X6Y106 MANUAL_ROUTING SITEPROP SLICE_X6Y106 NAME SLICE_X6Y106 SITEPROP SLICE_X6Y106 NUM_ARCS 153 SITEPROP SLICE_X6Y106 NUM_BELS 32 SITEPROP SLICE_X6Y106 NUM_INPUTS 37 SITEPROP SLICE_X6Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y106 NUM_PINS 50 SITEPROP SLICE_X6Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y106 PROHIBIT 0 SITEPROP SLICE_X6Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y106 RPM_X 29 SITEPROP SLICE_X6Y106 RPM_Y 212 SITEPROP SLICE_X6Y106 SITE_PIPS SITEPROP SLICE_X6Y106 SITE_TYPE SLICEM SITEPROP SLICE_X6Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y107 CLASS site SITEPROP SLICE_X6Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y107 IS_BONDED 0 SITEPROP SLICE_X6Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y107 IS_PAD 0 SITEPROP SLICE_X6Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y107 IS_RESERVED 0 SITEPROP SLICE_X6Y107 IS_TEST 0 SITEPROP SLICE_X6Y107 IS_USED 0 SITEPROP SLICE_X6Y107 MANUAL_ROUTING SITEPROP SLICE_X6Y107 NAME SLICE_X6Y107 SITEPROP SLICE_X6Y107 NUM_ARCS 153 SITEPROP SLICE_X6Y107 NUM_BELS 32 SITEPROP SLICE_X6Y107 NUM_INPUTS 37 SITEPROP SLICE_X6Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y107 NUM_PINS 50 SITEPROP SLICE_X6Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y107 PROHIBIT 0 SITEPROP SLICE_X6Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y107 RPM_X 29 SITEPROP SLICE_X6Y107 RPM_Y 214 SITEPROP SLICE_X6Y107 SITE_PIPS SITEPROP SLICE_X6Y107 SITE_TYPE SLICEM SITEPROP SLICE_X6Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y108 CLASS site SITEPROP SLICE_X6Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y108 IS_BONDED 0 SITEPROP SLICE_X6Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y108 IS_PAD 0 SITEPROP SLICE_X6Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y108 IS_RESERVED 0 SITEPROP SLICE_X6Y108 IS_TEST 0 SITEPROP SLICE_X6Y108 IS_USED 0 SITEPROP SLICE_X6Y108 MANUAL_ROUTING SITEPROP SLICE_X6Y108 NAME SLICE_X6Y108 SITEPROP SLICE_X6Y108 NUM_ARCS 153 SITEPROP SLICE_X6Y108 NUM_BELS 32 SITEPROP SLICE_X6Y108 NUM_INPUTS 37 SITEPROP SLICE_X6Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y108 NUM_PINS 50 SITEPROP SLICE_X6Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y108 PROHIBIT 0 SITEPROP SLICE_X6Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y108 RPM_X 29 SITEPROP SLICE_X6Y108 RPM_Y 216 SITEPROP SLICE_X6Y108 SITE_PIPS SITEPROP SLICE_X6Y108 SITE_TYPE SLICEM SITEPROP SLICE_X6Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y109 CLASS site SITEPROP SLICE_X6Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y109 IS_BONDED 0 SITEPROP SLICE_X6Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y109 IS_PAD 0 SITEPROP SLICE_X6Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y109 IS_RESERVED 0 SITEPROP SLICE_X6Y109 IS_TEST 0 SITEPROP SLICE_X6Y109 IS_USED 0 SITEPROP SLICE_X6Y109 MANUAL_ROUTING SITEPROP SLICE_X6Y109 NAME SLICE_X6Y109 SITEPROP SLICE_X6Y109 NUM_ARCS 153 SITEPROP SLICE_X6Y109 NUM_BELS 32 SITEPROP SLICE_X6Y109 NUM_INPUTS 37 SITEPROP SLICE_X6Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y109 NUM_PINS 50 SITEPROP SLICE_X6Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y109 PROHIBIT 0 SITEPROP SLICE_X6Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y109 RPM_X 29 SITEPROP SLICE_X6Y109 RPM_Y 218 SITEPROP SLICE_X6Y109 SITE_PIPS SITEPROP SLICE_X6Y109 SITE_TYPE SLICEM SITEPROP SLICE_X6Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y110 CLASS site SITEPROP SLICE_X6Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y110 IS_BONDED 0 SITEPROP SLICE_X6Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y110 IS_PAD 0 SITEPROP SLICE_X6Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y110 IS_RESERVED 0 SITEPROP SLICE_X6Y110 IS_TEST 0 SITEPROP SLICE_X6Y110 IS_USED 0 SITEPROP SLICE_X6Y110 MANUAL_ROUTING SITEPROP SLICE_X6Y110 NAME SLICE_X6Y110 SITEPROP SLICE_X6Y110 NUM_ARCS 153 SITEPROP SLICE_X6Y110 NUM_BELS 32 SITEPROP SLICE_X6Y110 NUM_INPUTS 37 SITEPROP SLICE_X6Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y110 NUM_PINS 50 SITEPROP SLICE_X6Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y110 PROHIBIT 0 SITEPROP SLICE_X6Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y110 RPM_X 29 SITEPROP SLICE_X6Y110 RPM_Y 220 SITEPROP SLICE_X6Y110 SITE_PIPS SITEPROP SLICE_X6Y110 SITE_TYPE SLICEM SITEPROP SLICE_X6Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y111 CLASS site SITEPROP SLICE_X6Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y111 IS_BONDED 0 SITEPROP SLICE_X6Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y111 IS_PAD 0 SITEPROP SLICE_X6Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y111 IS_RESERVED 0 SITEPROP SLICE_X6Y111 IS_TEST 0 SITEPROP SLICE_X6Y111 IS_USED 0 SITEPROP SLICE_X6Y111 MANUAL_ROUTING SITEPROP SLICE_X6Y111 NAME SLICE_X6Y111 SITEPROP SLICE_X6Y111 NUM_ARCS 153 SITEPROP SLICE_X6Y111 NUM_BELS 32 SITEPROP SLICE_X6Y111 NUM_INPUTS 37 SITEPROP SLICE_X6Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y111 NUM_PINS 50 SITEPROP SLICE_X6Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y111 PROHIBIT 0 SITEPROP SLICE_X6Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y111 RPM_X 29 SITEPROP SLICE_X6Y111 RPM_Y 222 SITEPROP SLICE_X6Y111 SITE_PIPS SITEPROP SLICE_X6Y111 SITE_TYPE SLICEM SITEPROP SLICE_X6Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y112 CLASS site SITEPROP SLICE_X6Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y112 IS_BONDED 0 SITEPROP SLICE_X6Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y112 IS_PAD 0 SITEPROP SLICE_X6Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y112 IS_RESERVED 0 SITEPROP SLICE_X6Y112 IS_TEST 0 SITEPROP SLICE_X6Y112 IS_USED 0 SITEPROP SLICE_X6Y112 MANUAL_ROUTING SITEPROP SLICE_X6Y112 NAME SLICE_X6Y112 SITEPROP SLICE_X6Y112 NUM_ARCS 153 SITEPROP SLICE_X6Y112 NUM_BELS 32 SITEPROP SLICE_X6Y112 NUM_INPUTS 37 SITEPROP SLICE_X6Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y112 NUM_PINS 50 SITEPROP SLICE_X6Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y112 PROHIBIT 0 SITEPROP SLICE_X6Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y112 RPM_X 29 SITEPROP SLICE_X6Y112 RPM_Y 224 SITEPROP SLICE_X6Y112 SITE_PIPS SITEPROP SLICE_X6Y112 SITE_TYPE SLICEM SITEPROP SLICE_X6Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y113 CLASS site SITEPROP SLICE_X6Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y113 IS_BONDED 0 SITEPROP SLICE_X6Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y113 IS_PAD 0 SITEPROP SLICE_X6Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y113 IS_RESERVED 0 SITEPROP SLICE_X6Y113 IS_TEST 0 SITEPROP SLICE_X6Y113 IS_USED 0 SITEPROP SLICE_X6Y113 MANUAL_ROUTING SITEPROP SLICE_X6Y113 NAME SLICE_X6Y113 SITEPROP SLICE_X6Y113 NUM_ARCS 153 SITEPROP SLICE_X6Y113 NUM_BELS 32 SITEPROP SLICE_X6Y113 NUM_INPUTS 37 SITEPROP SLICE_X6Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y113 NUM_PINS 50 SITEPROP SLICE_X6Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y113 PROHIBIT 0 SITEPROP SLICE_X6Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y113 RPM_X 29 SITEPROP SLICE_X6Y113 RPM_Y 226 SITEPROP SLICE_X6Y113 SITE_PIPS SITEPROP SLICE_X6Y113 SITE_TYPE SLICEM SITEPROP SLICE_X6Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y114 CLASS site SITEPROP SLICE_X6Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y114 IS_BONDED 0 SITEPROP SLICE_X6Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y114 IS_PAD 0 SITEPROP SLICE_X6Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y114 IS_RESERVED 0 SITEPROP SLICE_X6Y114 IS_TEST 0 SITEPROP SLICE_X6Y114 IS_USED 0 SITEPROP SLICE_X6Y114 MANUAL_ROUTING SITEPROP SLICE_X6Y114 NAME SLICE_X6Y114 SITEPROP SLICE_X6Y114 NUM_ARCS 153 SITEPROP SLICE_X6Y114 NUM_BELS 32 SITEPROP SLICE_X6Y114 NUM_INPUTS 37 SITEPROP SLICE_X6Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y114 NUM_PINS 50 SITEPROP SLICE_X6Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y114 PROHIBIT 0 SITEPROP SLICE_X6Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y114 RPM_X 29 SITEPROP SLICE_X6Y114 RPM_Y 228 SITEPROP SLICE_X6Y114 SITE_PIPS SITEPROP SLICE_X6Y114 SITE_TYPE SLICEM SITEPROP SLICE_X6Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y115 CLASS site SITEPROP SLICE_X6Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y115 IS_BONDED 0 SITEPROP SLICE_X6Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y115 IS_PAD 0 SITEPROP SLICE_X6Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y115 IS_RESERVED 0 SITEPROP SLICE_X6Y115 IS_TEST 0 SITEPROP SLICE_X6Y115 IS_USED 0 SITEPROP SLICE_X6Y115 MANUAL_ROUTING SITEPROP SLICE_X6Y115 NAME SLICE_X6Y115 SITEPROP SLICE_X6Y115 NUM_ARCS 153 SITEPROP SLICE_X6Y115 NUM_BELS 32 SITEPROP SLICE_X6Y115 NUM_INPUTS 37 SITEPROP SLICE_X6Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y115 NUM_PINS 50 SITEPROP SLICE_X6Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y115 PROHIBIT 0 SITEPROP SLICE_X6Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y115 RPM_X 29 SITEPROP SLICE_X6Y115 RPM_Y 230 SITEPROP SLICE_X6Y115 SITE_PIPS SITEPROP SLICE_X6Y115 SITE_TYPE SLICEM SITEPROP SLICE_X6Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y116 CLASS site SITEPROP SLICE_X6Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y116 IS_BONDED 0 SITEPROP SLICE_X6Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y116 IS_PAD 0 SITEPROP SLICE_X6Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y116 IS_RESERVED 0 SITEPROP SLICE_X6Y116 IS_TEST 0 SITEPROP SLICE_X6Y116 IS_USED 0 SITEPROP SLICE_X6Y116 MANUAL_ROUTING SITEPROP SLICE_X6Y116 NAME SLICE_X6Y116 SITEPROP SLICE_X6Y116 NUM_ARCS 153 SITEPROP SLICE_X6Y116 NUM_BELS 32 SITEPROP SLICE_X6Y116 NUM_INPUTS 37 SITEPROP SLICE_X6Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y116 NUM_PINS 50 SITEPROP SLICE_X6Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y116 PROHIBIT 0 SITEPROP SLICE_X6Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y116 RPM_X 29 SITEPROP SLICE_X6Y116 RPM_Y 232 SITEPROP SLICE_X6Y116 SITE_PIPS SITEPROP SLICE_X6Y116 SITE_TYPE SLICEM SITEPROP SLICE_X6Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y117 CLASS site SITEPROP SLICE_X6Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y117 IS_BONDED 0 SITEPROP SLICE_X6Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y117 IS_PAD 0 SITEPROP SLICE_X6Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y117 IS_RESERVED 0 SITEPROP SLICE_X6Y117 IS_TEST 0 SITEPROP SLICE_X6Y117 IS_USED 0 SITEPROP SLICE_X6Y117 MANUAL_ROUTING SITEPROP SLICE_X6Y117 NAME SLICE_X6Y117 SITEPROP SLICE_X6Y117 NUM_ARCS 153 SITEPROP SLICE_X6Y117 NUM_BELS 32 SITEPROP SLICE_X6Y117 NUM_INPUTS 37 SITEPROP SLICE_X6Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y117 NUM_PINS 50 SITEPROP SLICE_X6Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y117 PROHIBIT 0 SITEPROP SLICE_X6Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y117 RPM_X 29 SITEPROP SLICE_X6Y117 RPM_Y 234 SITEPROP SLICE_X6Y117 SITE_PIPS SITEPROP SLICE_X6Y117 SITE_TYPE SLICEM SITEPROP SLICE_X6Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y118 CLASS site SITEPROP SLICE_X6Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y118 IS_BONDED 0 SITEPROP SLICE_X6Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y118 IS_PAD 0 SITEPROP SLICE_X6Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y118 IS_RESERVED 0 SITEPROP SLICE_X6Y118 IS_TEST 0 SITEPROP SLICE_X6Y118 IS_USED 0 SITEPROP SLICE_X6Y118 MANUAL_ROUTING SITEPROP SLICE_X6Y118 NAME SLICE_X6Y118 SITEPROP SLICE_X6Y118 NUM_ARCS 153 SITEPROP SLICE_X6Y118 NUM_BELS 32 SITEPROP SLICE_X6Y118 NUM_INPUTS 37 SITEPROP SLICE_X6Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y118 NUM_PINS 50 SITEPROP SLICE_X6Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y118 PROHIBIT 0 SITEPROP SLICE_X6Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y118 RPM_X 29 SITEPROP SLICE_X6Y118 RPM_Y 236 SITEPROP SLICE_X6Y118 SITE_PIPS SITEPROP SLICE_X6Y118 SITE_TYPE SLICEM SITEPROP SLICE_X6Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y119 CLASS site SITEPROP SLICE_X6Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y119 IS_BONDED 0 SITEPROP SLICE_X6Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y119 IS_PAD 0 SITEPROP SLICE_X6Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y119 IS_RESERVED 0 SITEPROP SLICE_X6Y119 IS_TEST 0 SITEPROP SLICE_X6Y119 IS_USED 0 SITEPROP SLICE_X6Y119 MANUAL_ROUTING SITEPROP SLICE_X6Y119 NAME SLICE_X6Y119 SITEPROP SLICE_X6Y119 NUM_ARCS 153 SITEPROP SLICE_X6Y119 NUM_BELS 32 SITEPROP SLICE_X6Y119 NUM_INPUTS 37 SITEPROP SLICE_X6Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y119 NUM_PINS 50 SITEPROP SLICE_X6Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y119 PROHIBIT 0 SITEPROP SLICE_X6Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y119 RPM_X 29 SITEPROP SLICE_X6Y119 RPM_Y 238 SITEPROP SLICE_X6Y119 SITE_PIPS SITEPROP SLICE_X6Y119 SITE_TYPE SLICEM SITEPROP SLICE_X6Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y120 CLASS site SITEPROP SLICE_X6Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y120 IS_BONDED 0 SITEPROP SLICE_X6Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y120 IS_PAD 0 SITEPROP SLICE_X6Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y120 IS_RESERVED 0 SITEPROP SLICE_X6Y120 IS_TEST 0 SITEPROP SLICE_X6Y120 IS_USED 0 SITEPROP SLICE_X6Y120 MANUAL_ROUTING SITEPROP SLICE_X6Y120 NAME SLICE_X6Y120 SITEPROP SLICE_X6Y120 NUM_ARCS 153 SITEPROP SLICE_X6Y120 NUM_BELS 32 SITEPROP SLICE_X6Y120 NUM_INPUTS 37 SITEPROP SLICE_X6Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y120 NUM_PINS 50 SITEPROP SLICE_X6Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y120 PROHIBIT 0 SITEPROP SLICE_X6Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y120 RPM_X 29 SITEPROP SLICE_X6Y120 RPM_Y 240 SITEPROP SLICE_X6Y120 SITE_PIPS SITEPROP SLICE_X6Y120 SITE_TYPE SLICEM SITEPROP SLICE_X6Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y121 CLASS site SITEPROP SLICE_X6Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y121 IS_BONDED 0 SITEPROP SLICE_X6Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y121 IS_PAD 0 SITEPROP SLICE_X6Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y121 IS_RESERVED 0 SITEPROP SLICE_X6Y121 IS_TEST 0 SITEPROP SLICE_X6Y121 IS_USED 0 SITEPROP SLICE_X6Y121 MANUAL_ROUTING SITEPROP SLICE_X6Y121 NAME SLICE_X6Y121 SITEPROP SLICE_X6Y121 NUM_ARCS 153 SITEPROP SLICE_X6Y121 NUM_BELS 32 SITEPROP SLICE_X6Y121 NUM_INPUTS 37 SITEPROP SLICE_X6Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y121 NUM_PINS 50 SITEPROP SLICE_X6Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y121 PROHIBIT 0 SITEPROP SLICE_X6Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y121 RPM_X 29 SITEPROP SLICE_X6Y121 RPM_Y 242 SITEPROP SLICE_X6Y121 SITE_PIPS SITEPROP SLICE_X6Y121 SITE_TYPE SLICEM SITEPROP SLICE_X6Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y122 CLASS site SITEPROP SLICE_X6Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y122 IS_BONDED 0 SITEPROP SLICE_X6Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y122 IS_PAD 0 SITEPROP SLICE_X6Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y122 IS_RESERVED 0 SITEPROP SLICE_X6Y122 IS_TEST 0 SITEPROP SLICE_X6Y122 IS_USED 0 SITEPROP SLICE_X6Y122 MANUAL_ROUTING SITEPROP SLICE_X6Y122 NAME SLICE_X6Y122 SITEPROP SLICE_X6Y122 NUM_ARCS 153 SITEPROP SLICE_X6Y122 NUM_BELS 32 SITEPROP SLICE_X6Y122 NUM_INPUTS 37 SITEPROP SLICE_X6Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y122 NUM_PINS 50 SITEPROP SLICE_X6Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y122 PROHIBIT 0 SITEPROP SLICE_X6Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y122 RPM_X 29 SITEPROP SLICE_X6Y122 RPM_Y 244 SITEPROP SLICE_X6Y122 SITE_PIPS SITEPROP SLICE_X6Y122 SITE_TYPE SLICEM SITEPROP SLICE_X6Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y123 CLASS site SITEPROP SLICE_X6Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y123 IS_BONDED 0 SITEPROP SLICE_X6Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y123 IS_PAD 0 SITEPROP SLICE_X6Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y123 IS_RESERVED 0 SITEPROP SLICE_X6Y123 IS_TEST 0 SITEPROP SLICE_X6Y123 IS_USED 0 SITEPROP SLICE_X6Y123 MANUAL_ROUTING SITEPROP SLICE_X6Y123 NAME SLICE_X6Y123 SITEPROP SLICE_X6Y123 NUM_ARCS 153 SITEPROP SLICE_X6Y123 NUM_BELS 32 SITEPROP SLICE_X6Y123 NUM_INPUTS 37 SITEPROP SLICE_X6Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y123 NUM_PINS 50 SITEPROP SLICE_X6Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y123 PROHIBIT 0 SITEPROP SLICE_X6Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y123 RPM_X 29 SITEPROP SLICE_X6Y123 RPM_Y 246 SITEPROP SLICE_X6Y123 SITE_PIPS SITEPROP SLICE_X6Y123 SITE_TYPE SLICEM SITEPROP SLICE_X6Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y124 CLASS site SITEPROP SLICE_X6Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y124 IS_BONDED 0 SITEPROP SLICE_X6Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y124 IS_PAD 0 SITEPROP SLICE_X6Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y124 IS_RESERVED 0 SITEPROP SLICE_X6Y124 IS_TEST 0 SITEPROP SLICE_X6Y124 IS_USED 0 SITEPROP SLICE_X6Y124 MANUAL_ROUTING SITEPROP SLICE_X6Y124 NAME SLICE_X6Y124 SITEPROP SLICE_X6Y124 NUM_ARCS 153 SITEPROP SLICE_X6Y124 NUM_BELS 32 SITEPROP SLICE_X6Y124 NUM_INPUTS 37 SITEPROP SLICE_X6Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y124 NUM_PINS 50 SITEPROP SLICE_X6Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y124 PROHIBIT 0 SITEPROP SLICE_X6Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y124 RPM_X 29 SITEPROP SLICE_X6Y124 RPM_Y 248 SITEPROP SLICE_X6Y124 SITE_PIPS SITEPROP SLICE_X6Y124 SITE_TYPE SLICEM SITEPROP SLICE_X6Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y125 CLASS site SITEPROP SLICE_X6Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y125 IS_BONDED 0 SITEPROP SLICE_X6Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y125 IS_PAD 0 SITEPROP SLICE_X6Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y125 IS_RESERVED 0 SITEPROP SLICE_X6Y125 IS_TEST 0 SITEPROP SLICE_X6Y125 IS_USED 0 SITEPROP SLICE_X6Y125 MANUAL_ROUTING SITEPROP SLICE_X6Y125 NAME SLICE_X6Y125 SITEPROP SLICE_X6Y125 NUM_ARCS 153 SITEPROP SLICE_X6Y125 NUM_BELS 32 SITEPROP SLICE_X6Y125 NUM_INPUTS 37 SITEPROP SLICE_X6Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y125 NUM_PINS 50 SITEPROP SLICE_X6Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y125 PROHIBIT 0 SITEPROP SLICE_X6Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y125 RPM_X 29 SITEPROP SLICE_X6Y125 RPM_Y 250 SITEPROP SLICE_X6Y125 SITE_PIPS SITEPROP SLICE_X6Y125 SITE_TYPE SLICEM SITEPROP SLICE_X6Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y126 CLASS site SITEPROP SLICE_X6Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y126 IS_BONDED 0 SITEPROP SLICE_X6Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y126 IS_PAD 0 SITEPROP SLICE_X6Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y126 IS_RESERVED 0 SITEPROP SLICE_X6Y126 IS_TEST 0 SITEPROP SLICE_X6Y126 IS_USED 0 SITEPROP SLICE_X6Y126 MANUAL_ROUTING SITEPROP SLICE_X6Y126 NAME SLICE_X6Y126 SITEPROP SLICE_X6Y126 NUM_ARCS 153 SITEPROP SLICE_X6Y126 NUM_BELS 32 SITEPROP SLICE_X6Y126 NUM_INPUTS 37 SITEPROP SLICE_X6Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y126 NUM_PINS 50 SITEPROP SLICE_X6Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y126 PROHIBIT 0 SITEPROP SLICE_X6Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y126 RPM_X 29 SITEPROP SLICE_X6Y126 RPM_Y 252 SITEPROP SLICE_X6Y126 SITE_PIPS SITEPROP SLICE_X6Y126 SITE_TYPE SLICEM SITEPROP SLICE_X6Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y127 CLASS site SITEPROP SLICE_X6Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y127 IS_BONDED 0 SITEPROP SLICE_X6Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y127 IS_PAD 0 SITEPROP SLICE_X6Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y127 IS_RESERVED 0 SITEPROP SLICE_X6Y127 IS_TEST 0 SITEPROP SLICE_X6Y127 IS_USED 0 SITEPROP SLICE_X6Y127 MANUAL_ROUTING SITEPROP SLICE_X6Y127 NAME SLICE_X6Y127 SITEPROP SLICE_X6Y127 NUM_ARCS 153 SITEPROP SLICE_X6Y127 NUM_BELS 32 SITEPROP SLICE_X6Y127 NUM_INPUTS 37 SITEPROP SLICE_X6Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y127 NUM_PINS 50 SITEPROP SLICE_X6Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y127 PROHIBIT 0 SITEPROP SLICE_X6Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y127 RPM_X 29 SITEPROP SLICE_X6Y127 RPM_Y 254 SITEPROP SLICE_X6Y127 SITE_PIPS SITEPROP SLICE_X6Y127 SITE_TYPE SLICEM SITEPROP SLICE_X6Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y128 CLASS site SITEPROP SLICE_X6Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y128 IS_BONDED 0 SITEPROP SLICE_X6Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y128 IS_PAD 0 SITEPROP SLICE_X6Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y128 IS_RESERVED 0 SITEPROP SLICE_X6Y128 IS_TEST 0 SITEPROP SLICE_X6Y128 IS_USED 0 SITEPROP SLICE_X6Y128 MANUAL_ROUTING SITEPROP SLICE_X6Y128 NAME SLICE_X6Y128 SITEPROP SLICE_X6Y128 NUM_ARCS 153 SITEPROP SLICE_X6Y128 NUM_BELS 32 SITEPROP SLICE_X6Y128 NUM_INPUTS 37 SITEPROP SLICE_X6Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y128 NUM_PINS 50 SITEPROP SLICE_X6Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y128 PROHIBIT 0 SITEPROP SLICE_X6Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y128 RPM_X 29 SITEPROP SLICE_X6Y128 RPM_Y 256 SITEPROP SLICE_X6Y128 SITE_PIPS SITEPROP SLICE_X6Y128 SITE_TYPE SLICEM SITEPROP SLICE_X6Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y129 CLASS site SITEPROP SLICE_X6Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y129 IS_BONDED 0 SITEPROP SLICE_X6Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y129 IS_PAD 0 SITEPROP SLICE_X6Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y129 IS_RESERVED 0 SITEPROP SLICE_X6Y129 IS_TEST 0 SITEPROP SLICE_X6Y129 IS_USED 0 SITEPROP SLICE_X6Y129 MANUAL_ROUTING SITEPROP SLICE_X6Y129 NAME SLICE_X6Y129 SITEPROP SLICE_X6Y129 NUM_ARCS 153 SITEPROP SLICE_X6Y129 NUM_BELS 32 SITEPROP SLICE_X6Y129 NUM_INPUTS 37 SITEPROP SLICE_X6Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y129 NUM_PINS 50 SITEPROP SLICE_X6Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y129 PROHIBIT 0 SITEPROP SLICE_X6Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y129 RPM_X 29 SITEPROP SLICE_X6Y129 RPM_Y 258 SITEPROP SLICE_X6Y129 SITE_PIPS SITEPROP SLICE_X6Y129 SITE_TYPE SLICEM SITEPROP SLICE_X6Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y130 CLASS site SITEPROP SLICE_X6Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y130 IS_BONDED 0 SITEPROP SLICE_X6Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y130 IS_PAD 0 SITEPROP SLICE_X6Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y130 IS_RESERVED 0 SITEPROP SLICE_X6Y130 IS_TEST 0 SITEPROP SLICE_X6Y130 IS_USED 0 SITEPROP SLICE_X6Y130 MANUAL_ROUTING SITEPROP SLICE_X6Y130 NAME SLICE_X6Y130 SITEPROP SLICE_X6Y130 NUM_ARCS 153 SITEPROP SLICE_X6Y130 NUM_BELS 32 SITEPROP SLICE_X6Y130 NUM_INPUTS 37 SITEPROP SLICE_X6Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y130 NUM_PINS 50 SITEPROP SLICE_X6Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y130 PROHIBIT 0 SITEPROP SLICE_X6Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y130 RPM_X 29 SITEPROP SLICE_X6Y130 RPM_Y 260 SITEPROP SLICE_X6Y130 SITE_PIPS SITEPROP SLICE_X6Y130 SITE_TYPE SLICEM SITEPROP SLICE_X6Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y131 CLASS site SITEPROP SLICE_X6Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y131 IS_BONDED 0 SITEPROP SLICE_X6Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y131 IS_PAD 0 SITEPROP SLICE_X6Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y131 IS_RESERVED 0 SITEPROP SLICE_X6Y131 IS_TEST 0 SITEPROP SLICE_X6Y131 IS_USED 0 SITEPROP SLICE_X6Y131 MANUAL_ROUTING SITEPROP SLICE_X6Y131 NAME SLICE_X6Y131 SITEPROP SLICE_X6Y131 NUM_ARCS 153 SITEPROP SLICE_X6Y131 NUM_BELS 32 SITEPROP SLICE_X6Y131 NUM_INPUTS 37 SITEPROP SLICE_X6Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y131 NUM_PINS 50 SITEPROP SLICE_X6Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y131 PROHIBIT 0 SITEPROP SLICE_X6Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y131 RPM_X 29 SITEPROP SLICE_X6Y131 RPM_Y 262 SITEPROP SLICE_X6Y131 SITE_PIPS SITEPROP SLICE_X6Y131 SITE_TYPE SLICEM SITEPROP SLICE_X6Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y132 CLASS site SITEPROP SLICE_X6Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y132 IS_BONDED 0 SITEPROP SLICE_X6Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y132 IS_PAD 0 SITEPROP SLICE_X6Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y132 IS_RESERVED 0 SITEPROP SLICE_X6Y132 IS_TEST 0 SITEPROP SLICE_X6Y132 IS_USED 0 SITEPROP SLICE_X6Y132 MANUAL_ROUTING SITEPROP SLICE_X6Y132 NAME SLICE_X6Y132 SITEPROP SLICE_X6Y132 NUM_ARCS 153 SITEPROP SLICE_X6Y132 NUM_BELS 32 SITEPROP SLICE_X6Y132 NUM_INPUTS 37 SITEPROP SLICE_X6Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y132 NUM_PINS 50 SITEPROP SLICE_X6Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y132 PROHIBIT 0 SITEPROP SLICE_X6Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y132 RPM_X 29 SITEPROP SLICE_X6Y132 RPM_Y 264 SITEPROP SLICE_X6Y132 SITE_PIPS SITEPROP SLICE_X6Y132 SITE_TYPE SLICEM SITEPROP SLICE_X6Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y133 CLASS site SITEPROP SLICE_X6Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y133 IS_BONDED 0 SITEPROP SLICE_X6Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y133 IS_PAD 0 SITEPROP SLICE_X6Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y133 IS_RESERVED 0 SITEPROP SLICE_X6Y133 IS_TEST 0 SITEPROP SLICE_X6Y133 IS_USED 0 SITEPROP SLICE_X6Y133 MANUAL_ROUTING SITEPROP SLICE_X6Y133 NAME SLICE_X6Y133 SITEPROP SLICE_X6Y133 NUM_ARCS 153 SITEPROP SLICE_X6Y133 NUM_BELS 32 SITEPROP SLICE_X6Y133 NUM_INPUTS 37 SITEPROP SLICE_X6Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y133 NUM_PINS 50 SITEPROP SLICE_X6Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y133 PROHIBIT 0 SITEPROP SLICE_X6Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y133 RPM_X 29 SITEPROP SLICE_X6Y133 RPM_Y 266 SITEPROP SLICE_X6Y133 SITE_PIPS SITEPROP SLICE_X6Y133 SITE_TYPE SLICEM SITEPROP SLICE_X6Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y134 CLASS site SITEPROP SLICE_X6Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y134 IS_BONDED 0 SITEPROP SLICE_X6Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y134 IS_PAD 0 SITEPROP SLICE_X6Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y134 IS_RESERVED 0 SITEPROP SLICE_X6Y134 IS_TEST 0 SITEPROP SLICE_X6Y134 IS_USED 0 SITEPROP SLICE_X6Y134 MANUAL_ROUTING SITEPROP SLICE_X6Y134 NAME SLICE_X6Y134 SITEPROP SLICE_X6Y134 NUM_ARCS 153 SITEPROP SLICE_X6Y134 NUM_BELS 32 SITEPROP SLICE_X6Y134 NUM_INPUTS 37 SITEPROP SLICE_X6Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y134 NUM_PINS 50 SITEPROP SLICE_X6Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y134 PROHIBIT 0 SITEPROP SLICE_X6Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y134 RPM_X 29 SITEPROP SLICE_X6Y134 RPM_Y 268 SITEPROP SLICE_X6Y134 SITE_PIPS SITEPROP SLICE_X6Y134 SITE_TYPE SLICEM SITEPROP SLICE_X6Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y135 CLASS site SITEPROP SLICE_X6Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y135 IS_BONDED 0 SITEPROP SLICE_X6Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y135 IS_PAD 0 SITEPROP SLICE_X6Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y135 IS_RESERVED 0 SITEPROP SLICE_X6Y135 IS_TEST 0 SITEPROP SLICE_X6Y135 IS_USED 0 SITEPROP SLICE_X6Y135 MANUAL_ROUTING SITEPROP SLICE_X6Y135 NAME SLICE_X6Y135 SITEPROP SLICE_X6Y135 NUM_ARCS 153 SITEPROP SLICE_X6Y135 NUM_BELS 32 SITEPROP SLICE_X6Y135 NUM_INPUTS 37 SITEPROP SLICE_X6Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y135 NUM_PINS 50 SITEPROP SLICE_X6Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y135 PROHIBIT 0 SITEPROP SLICE_X6Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y135 RPM_X 29 SITEPROP SLICE_X6Y135 RPM_Y 270 SITEPROP SLICE_X6Y135 SITE_PIPS SITEPROP SLICE_X6Y135 SITE_TYPE SLICEM SITEPROP SLICE_X6Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y136 CLASS site SITEPROP SLICE_X6Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y136 IS_BONDED 0 SITEPROP SLICE_X6Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y136 IS_PAD 0 SITEPROP SLICE_X6Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y136 IS_RESERVED 0 SITEPROP SLICE_X6Y136 IS_TEST 0 SITEPROP SLICE_X6Y136 IS_USED 0 SITEPROP SLICE_X6Y136 MANUAL_ROUTING SITEPROP SLICE_X6Y136 NAME SLICE_X6Y136 SITEPROP SLICE_X6Y136 NUM_ARCS 153 SITEPROP SLICE_X6Y136 NUM_BELS 32 SITEPROP SLICE_X6Y136 NUM_INPUTS 37 SITEPROP SLICE_X6Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y136 NUM_PINS 50 SITEPROP SLICE_X6Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y136 PROHIBIT 0 SITEPROP SLICE_X6Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y136 RPM_X 29 SITEPROP SLICE_X6Y136 RPM_Y 272 SITEPROP SLICE_X6Y136 SITE_PIPS SITEPROP SLICE_X6Y136 SITE_TYPE SLICEM SITEPROP SLICE_X6Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y137 CLASS site SITEPROP SLICE_X6Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y137 IS_BONDED 0 SITEPROP SLICE_X6Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y137 IS_PAD 0 SITEPROP SLICE_X6Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y137 IS_RESERVED 0 SITEPROP SLICE_X6Y137 IS_TEST 0 SITEPROP SLICE_X6Y137 IS_USED 0 SITEPROP SLICE_X6Y137 MANUAL_ROUTING SITEPROP SLICE_X6Y137 NAME SLICE_X6Y137 SITEPROP SLICE_X6Y137 NUM_ARCS 153 SITEPROP SLICE_X6Y137 NUM_BELS 32 SITEPROP SLICE_X6Y137 NUM_INPUTS 37 SITEPROP SLICE_X6Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y137 NUM_PINS 50 SITEPROP SLICE_X6Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y137 PROHIBIT 0 SITEPROP SLICE_X6Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y137 RPM_X 29 SITEPROP SLICE_X6Y137 RPM_Y 274 SITEPROP SLICE_X6Y137 SITE_PIPS SITEPROP SLICE_X6Y137 SITE_TYPE SLICEM SITEPROP SLICE_X6Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y138 CLASS site SITEPROP SLICE_X6Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y138 IS_BONDED 0 SITEPROP SLICE_X6Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y138 IS_PAD 0 SITEPROP SLICE_X6Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y138 IS_RESERVED 0 SITEPROP SLICE_X6Y138 IS_TEST 0 SITEPROP SLICE_X6Y138 IS_USED 0 SITEPROP SLICE_X6Y138 MANUAL_ROUTING SITEPROP SLICE_X6Y138 NAME SLICE_X6Y138 SITEPROP SLICE_X6Y138 NUM_ARCS 153 SITEPROP SLICE_X6Y138 NUM_BELS 32 SITEPROP SLICE_X6Y138 NUM_INPUTS 37 SITEPROP SLICE_X6Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y138 NUM_PINS 50 SITEPROP SLICE_X6Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y138 PROHIBIT 0 SITEPROP SLICE_X6Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y138 RPM_X 29 SITEPROP SLICE_X6Y138 RPM_Y 276 SITEPROP SLICE_X6Y138 SITE_PIPS SITEPROP SLICE_X6Y138 SITE_TYPE SLICEM SITEPROP SLICE_X6Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y139 CLASS site SITEPROP SLICE_X6Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y139 IS_BONDED 0 SITEPROP SLICE_X6Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y139 IS_PAD 0 SITEPROP SLICE_X6Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y139 IS_RESERVED 0 SITEPROP SLICE_X6Y139 IS_TEST 0 SITEPROP SLICE_X6Y139 IS_USED 0 SITEPROP SLICE_X6Y139 MANUAL_ROUTING SITEPROP SLICE_X6Y139 NAME SLICE_X6Y139 SITEPROP SLICE_X6Y139 NUM_ARCS 153 SITEPROP SLICE_X6Y139 NUM_BELS 32 SITEPROP SLICE_X6Y139 NUM_INPUTS 37 SITEPROP SLICE_X6Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y139 NUM_PINS 50 SITEPROP SLICE_X6Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y139 PROHIBIT 0 SITEPROP SLICE_X6Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y139 RPM_X 29 SITEPROP SLICE_X6Y139 RPM_Y 278 SITEPROP SLICE_X6Y139 SITE_PIPS SITEPROP SLICE_X6Y139 SITE_TYPE SLICEM SITEPROP SLICE_X6Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y140 CLASS site SITEPROP SLICE_X6Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y140 IS_BONDED 0 SITEPROP SLICE_X6Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y140 IS_PAD 0 SITEPROP SLICE_X6Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y140 IS_RESERVED 0 SITEPROP SLICE_X6Y140 IS_TEST 0 SITEPROP SLICE_X6Y140 IS_USED 0 SITEPROP SLICE_X6Y140 MANUAL_ROUTING SITEPROP SLICE_X6Y140 NAME SLICE_X6Y140 SITEPROP SLICE_X6Y140 NUM_ARCS 153 SITEPROP SLICE_X6Y140 NUM_BELS 32 SITEPROP SLICE_X6Y140 NUM_INPUTS 37 SITEPROP SLICE_X6Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y140 NUM_PINS 50 SITEPROP SLICE_X6Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y140 PROHIBIT 0 SITEPROP SLICE_X6Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y140 RPM_X 29 SITEPROP SLICE_X6Y140 RPM_Y 280 SITEPROP SLICE_X6Y140 SITE_PIPS SITEPROP SLICE_X6Y140 SITE_TYPE SLICEM SITEPROP SLICE_X6Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y141 CLASS site SITEPROP SLICE_X6Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y141 IS_BONDED 0 SITEPROP SLICE_X6Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y141 IS_PAD 0 SITEPROP SLICE_X6Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y141 IS_RESERVED 0 SITEPROP SLICE_X6Y141 IS_TEST 0 SITEPROP SLICE_X6Y141 IS_USED 0 SITEPROP SLICE_X6Y141 MANUAL_ROUTING SITEPROP SLICE_X6Y141 NAME SLICE_X6Y141 SITEPROP SLICE_X6Y141 NUM_ARCS 153 SITEPROP SLICE_X6Y141 NUM_BELS 32 SITEPROP SLICE_X6Y141 NUM_INPUTS 37 SITEPROP SLICE_X6Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y141 NUM_PINS 50 SITEPROP SLICE_X6Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y141 PROHIBIT 0 SITEPROP SLICE_X6Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y141 RPM_X 29 SITEPROP SLICE_X6Y141 RPM_Y 282 SITEPROP SLICE_X6Y141 SITE_PIPS SITEPROP SLICE_X6Y141 SITE_TYPE SLICEM SITEPROP SLICE_X6Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y142 CLASS site SITEPROP SLICE_X6Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y142 IS_BONDED 0 SITEPROP SLICE_X6Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y142 IS_PAD 0 SITEPROP SLICE_X6Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y142 IS_RESERVED 0 SITEPROP SLICE_X6Y142 IS_TEST 0 SITEPROP SLICE_X6Y142 IS_USED 0 SITEPROP SLICE_X6Y142 MANUAL_ROUTING SITEPROP SLICE_X6Y142 NAME SLICE_X6Y142 SITEPROP SLICE_X6Y142 NUM_ARCS 153 SITEPROP SLICE_X6Y142 NUM_BELS 32 SITEPROP SLICE_X6Y142 NUM_INPUTS 37 SITEPROP SLICE_X6Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y142 NUM_PINS 50 SITEPROP SLICE_X6Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y142 PROHIBIT 0 SITEPROP SLICE_X6Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y142 RPM_X 29 SITEPROP SLICE_X6Y142 RPM_Y 284 SITEPROP SLICE_X6Y142 SITE_PIPS SITEPROP SLICE_X6Y142 SITE_TYPE SLICEM SITEPROP SLICE_X6Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y143 CLASS site SITEPROP SLICE_X6Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y143 IS_BONDED 0 SITEPROP SLICE_X6Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y143 IS_PAD 0 SITEPROP SLICE_X6Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y143 IS_RESERVED 0 SITEPROP SLICE_X6Y143 IS_TEST 0 SITEPROP SLICE_X6Y143 IS_USED 0 SITEPROP SLICE_X6Y143 MANUAL_ROUTING SITEPROP SLICE_X6Y143 NAME SLICE_X6Y143 SITEPROP SLICE_X6Y143 NUM_ARCS 153 SITEPROP SLICE_X6Y143 NUM_BELS 32 SITEPROP SLICE_X6Y143 NUM_INPUTS 37 SITEPROP SLICE_X6Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y143 NUM_PINS 50 SITEPROP SLICE_X6Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y143 PROHIBIT 0 SITEPROP SLICE_X6Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y143 RPM_X 29 SITEPROP SLICE_X6Y143 RPM_Y 286 SITEPROP SLICE_X6Y143 SITE_PIPS SITEPROP SLICE_X6Y143 SITE_TYPE SLICEM SITEPROP SLICE_X6Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y144 CLASS site SITEPROP SLICE_X6Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y144 IS_BONDED 0 SITEPROP SLICE_X6Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y144 IS_PAD 0 SITEPROP SLICE_X6Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y144 IS_RESERVED 0 SITEPROP SLICE_X6Y144 IS_TEST 0 SITEPROP SLICE_X6Y144 IS_USED 0 SITEPROP SLICE_X6Y144 MANUAL_ROUTING SITEPROP SLICE_X6Y144 NAME SLICE_X6Y144 SITEPROP SLICE_X6Y144 NUM_ARCS 153 SITEPROP SLICE_X6Y144 NUM_BELS 32 SITEPROP SLICE_X6Y144 NUM_INPUTS 37 SITEPROP SLICE_X6Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y144 NUM_PINS 50 SITEPROP SLICE_X6Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y144 PROHIBIT 0 SITEPROP SLICE_X6Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y144 RPM_X 29 SITEPROP SLICE_X6Y144 RPM_Y 288 SITEPROP SLICE_X6Y144 SITE_PIPS SITEPROP SLICE_X6Y144 SITE_TYPE SLICEM SITEPROP SLICE_X6Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y145 CLASS site SITEPROP SLICE_X6Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y145 IS_BONDED 0 SITEPROP SLICE_X6Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y145 IS_PAD 0 SITEPROP SLICE_X6Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y145 IS_RESERVED 0 SITEPROP SLICE_X6Y145 IS_TEST 0 SITEPROP SLICE_X6Y145 IS_USED 0 SITEPROP SLICE_X6Y145 MANUAL_ROUTING SITEPROP SLICE_X6Y145 NAME SLICE_X6Y145 SITEPROP SLICE_X6Y145 NUM_ARCS 153 SITEPROP SLICE_X6Y145 NUM_BELS 32 SITEPROP SLICE_X6Y145 NUM_INPUTS 37 SITEPROP SLICE_X6Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y145 NUM_PINS 50 SITEPROP SLICE_X6Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y145 PROHIBIT 0 SITEPROP SLICE_X6Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y145 RPM_X 29 SITEPROP SLICE_X6Y145 RPM_Y 290 SITEPROP SLICE_X6Y145 SITE_PIPS SITEPROP SLICE_X6Y145 SITE_TYPE SLICEM SITEPROP SLICE_X6Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y146 CLASS site SITEPROP SLICE_X6Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y146 IS_BONDED 0 SITEPROP SLICE_X6Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y146 IS_PAD 0 SITEPROP SLICE_X6Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y146 IS_RESERVED 0 SITEPROP SLICE_X6Y146 IS_TEST 0 SITEPROP SLICE_X6Y146 IS_USED 0 SITEPROP SLICE_X6Y146 MANUAL_ROUTING SITEPROP SLICE_X6Y146 NAME SLICE_X6Y146 SITEPROP SLICE_X6Y146 NUM_ARCS 153 SITEPROP SLICE_X6Y146 NUM_BELS 32 SITEPROP SLICE_X6Y146 NUM_INPUTS 37 SITEPROP SLICE_X6Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y146 NUM_PINS 50 SITEPROP SLICE_X6Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y146 PROHIBIT 0 SITEPROP SLICE_X6Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y146 RPM_X 29 SITEPROP SLICE_X6Y146 RPM_Y 292 SITEPROP SLICE_X6Y146 SITE_PIPS SITEPROP SLICE_X6Y146 SITE_TYPE SLICEM SITEPROP SLICE_X6Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y147 CLASS site SITEPROP SLICE_X6Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y147 IS_BONDED 0 SITEPROP SLICE_X6Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y147 IS_PAD 0 SITEPROP SLICE_X6Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y147 IS_RESERVED 0 SITEPROP SLICE_X6Y147 IS_TEST 0 SITEPROP SLICE_X6Y147 IS_USED 0 SITEPROP SLICE_X6Y147 MANUAL_ROUTING SITEPROP SLICE_X6Y147 NAME SLICE_X6Y147 SITEPROP SLICE_X6Y147 NUM_ARCS 153 SITEPROP SLICE_X6Y147 NUM_BELS 32 SITEPROP SLICE_X6Y147 NUM_INPUTS 37 SITEPROP SLICE_X6Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y147 NUM_PINS 50 SITEPROP SLICE_X6Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y147 PROHIBIT 0 SITEPROP SLICE_X6Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y147 RPM_X 29 SITEPROP SLICE_X6Y147 RPM_Y 294 SITEPROP SLICE_X6Y147 SITE_PIPS SITEPROP SLICE_X6Y147 SITE_TYPE SLICEM SITEPROP SLICE_X6Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y148 CLASS site SITEPROP SLICE_X6Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y148 IS_BONDED 0 SITEPROP SLICE_X6Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y148 IS_PAD 0 SITEPROP SLICE_X6Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y148 IS_RESERVED 0 SITEPROP SLICE_X6Y148 IS_TEST 0 SITEPROP SLICE_X6Y148 IS_USED 0 SITEPROP SLICE_X6Y148 MANUAL_ROUTING SITEPROP SLICE_X6Y148 NAME SLICE_X6Y148 SITEPROP SLICE_X6Y148 NUM_ARCS 153 SITEPROP SLICE_X6Y148 NUM_BELS 32 SITEPROP SLICE_X6Y148 NUM_INPUTS 37 SITEPROP SLICE_X6Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y148 NUM_PINS 50 SITEPROP SLICE_X6Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y148 PROHIBIT 0 SITEPROP SLICE_X6Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y148 RPM_X 29 SITEPROP SLICE_X6Y148 RPM_Y 296 SITEPROP SLICE_X6Y148 SITE_PIPS SITEPROP SLICE_X6Y148 SITE_TYPE SLICEM SITEPROP SLICE_X6Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X6Y149 CLASS site SITEPROP SLICE_X6Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X6Y149 IS_BONDED 0 SITEPROP SLICE_X6Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X6Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y149 IS_PAD 0 SITEPROP SLICE_X6Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X6Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X6Y149 IS_RESERVED 0 SITEPROP SLICE_X6Y149 IS_TEST 0 SITEPROP SLICE_X6Y149 IS_USED 0 SITEPROP SLICE_X6Y149 MANUAL_ROUTING SITEPROP SLICE_X6Y149 NAME SLICE_X6Y149 SITEPROP SLICE_X6Y149 NUM_ARCS 153 SITEPROP SLICE_X6Y149 NUM_BELS 32 SITEPROP SLICE_X6Y149 NUM_INPUTS 37 SITEPROP SLICE_X6Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X6Y149 NUM_PINS 50 SITEPROP SLICE_X6Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X6Y149 PROHIBIT 0 SITEPROP SLICE_X6Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X6Y149 RPM_X 29 SITEPROP SLICE_X6Y149 RPM_Y 298 SITEPROP SLICE_X6Y149 SITE_PIPS SITEPROP SLICE_X6Y149 SITE_TYPE SLICEM SITEPROP SLICE_X7Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y0 CLASS site SITEPROP SLICE_X7Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y0 IS_BONDED 0 SITEPROP SLICE_X7Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y0 IS_PAD 0 SITEPROP SLICE_X7Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y0 IS_RESERVED 0 SITEPROP SLICE_X7Y0 IS_TEST 0 SITEPROP SLICE_X7Y0 IS_USED 0 SITEPROP SLICE_X7Y0 MANUAL_ROUTING SITEPROP SLICE_X7Y0 NAME SLICE_X7Y0 SITEPROP SLICE_X7Y0 NUM_ARCS 138 SITEPROP SLICE_X7Y0 NUM_BELS 32 SITEPROP SLICE_X7Y0 NUM_INPUTS 32 SITEPROP SLICE_X7Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y0 NUM_PINS 45 SITEPROP SLICE_X7Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y0 PROHIBIT 0 SITEPROP SLICE_X7Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y0 RPM_X 31 SITEPROP SLICE_X7Y0 RPM_Y 0 SITEPROP SLICE_X7Y0 SITE_PIPS SITEPROP SLICE_X7Y0 SITE_TYPE SLICEL SITEPROP SLICE_X7Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y1 CLASS site SITEPROP SLICE_X7Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y1 IS_BONDED 0 SITEPROP SLICE_X7Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y1 IS_PAD 0 SITEPROP SLICE_X7Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y1 IS_RESERVED 0 SITEPROP SLICE_X7Y1 IS_TEST 0 SITEPROP SLICE_X7Y1 IS_USED 0 SITEPROP SLICE_X7Y1 MANUAL_ROUTING SITEPROP SLICE_X7Y1 NAME SLICE_X7Y1 SITEPROP SLICE_X7Y1 NUM_ARCS 138 SITEPROP SLICE_X7Y1 NUM_BELS 32 SITEPROP SLICE_X7Y1 NUM_INPUTS 32 SITEPROP SLICE_X7Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y1 NUM_PINS 45 SITEPROP SLICE_X7Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y1 PROHIBIT 0 SITEPROP SLICE_X7Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y1 RPM_X 31 SITEPROP SLICE_X7Y1 RPM_Y 2 SITEPROP SLICE_X7Y1 SITE_PIPS SITEPROP SLICE_X7Y1 SITE_TYPE SLICEL SITEPROP SLICE_X7Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y2 CLASS site SITEPROP SLICE_X7Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y2 IS_BONDED 0 SITEPROP SLICE_X7Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y2 IS_PAD 0 SITEPROP SLICE_X7Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y2 IS_RESERVED 0 SITEPROP SLICE_X7Y2 IS_TEST 0 SITEPROP SLICE_X7Y2 IS_USED 0 SITEPROP SLICE_X7Y2 MANUAL_ROUTING SITEPROP SLICE_X7Y2 NAME SLICE_X7Y2 SITEPROP SLICE_X7Y2 NUM_ARCS 138 SITEPROP SLICE_X7Y2 NUM_BELS 32 SITEPROP SLICE_X7Y2 NUM_INPUTS 32 SITEPROP SLICE_X7Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y2 NUM_PINS 45 SITEPROP SLICE_X7Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y2 PROHIBIT 0 SITEPROP SLICE_X7Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y2 RPM_X 31 SITEPROP SLICE_X7Y2 RPM_Y 4 SITEPROP SLICE_X7Y2 SITE_PIPS SITEPROP SLICE_X7Y2 SITE_TYPE SLICEL SITEPROP SLICE_X7Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y3 CLASS site SITEPROP SLICE_X7Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y3 IS_BONDED 0 SITEPROP SLICE_X7Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y3 IS_PAD 0 SITEPROP SLICE_X7Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y3 IS_RESERVED 0 SITEPROP SLICE_X7Y3 IS_TEST 0 SITEPROP SLICE_X7Y3 IS_USED 0 SITEPROP SLICE_X7Y3 MANUAL_ROUTING SITEPROP SLICE_X7Y3 NAME SLICE_X7Y3 SITEPROP SLICE_X7Y3 NUM_ARCS 138 SITEPROP SLICE_X7Y3 NUM_BELS 32 SITEPROP SLICE_X7Y3 NUM_INPUTS 32 SITEPROP SLICE_X7Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y3 NUM_PINS 45 SITEPROP SLICE_X7Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y3 PROHIBIT 0 SITEPROP SLICE_X7Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y3 RPM_X 31 SITEPROP SLICE_X7Y3 RPM_Y 6 SITEPROP SLICE_X7Y3 SITE_PIPS SITEPROP SLICE_X7Y3 SITE_TYPE SLICEL SITEPROP SLICE_X7Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y4 CLASS site SITEPROP SLICE_X7Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y4 IS_BONDED 0 SITEPROP SLICE_X7Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y4 IS_PAD 0 SITEPROP SLICE_X7Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y4 IS_RESERVED 0 SITEPROP SLICE_X7Y4 IS_TEST 0 SITEPROP SLICE_X7Y4 IS_USED 0 SITEPROP SLICE_X7Y4 MANUAL_ROUTING SITEPROP SLICE_X7Y4 NAME SLICE_X7Y4 SITEPROP SLICE_X7Y4 NUM_ARCS 138 SITEPROP SLICE_X7Y4 NUM_BELS 32 SITEPROP SLICE_X7Y4 NUM_INPUTS 32 SITEPROP SLICE_X7Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y4 NUM_PINS 45 SITEPROP SLICE_X7Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y4 PROHIBIT 0 SITEPROP SLICE_X7Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y4 RPM_X 31 SITEPROP SLICE_X7Y4 RPM_Y 8 SITEPROP SLICE_X7Y4 SITE_PIPS SITEPROP SLICE_X7Y4 SITE_TYPE SLICEL SITEPROP SLICE_X7Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y5 CLASS site SITEPROP SLICE_X7Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y5 IS_BONDED 0 SITEPROP SLICE_X7Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y5 IS_PAD 0 SITEPROP SLICE_X7Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y5 IS_RESERVED 0 SITEPROP SLICE_X7Y5 IS_TEST 0 SITEPROP SLICE_X7Y5 IS_USED 0 SITEPROP SLICE_X7Y5 MANUAL_ROUTING SITEPROP SLICE_X7Y5 NAME SLICE_X7Y5 SITEPROP SLICE_X7Y5 NUM_ARCS 138 SITEPROP SLICE_X7Y5 NUM_BELS 32 SITEPROP SLICE_X7Y5 NUM_INPUTS 32 SITEPROP SLICE_X7Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y5 NUM_PINS 45 SITEPROP SLICE_X7Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y5 PROHIBIT 0 SITEPROP SLICE_X7Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y5 RPM_X 31 SITEPROP SLICE_X7Y5 RPM_Y 10 SITEPROP SLICE_X7Y5 SITE_PIPS SITEPROP SLICE_X7Y5 SITE_TYPE SLICEL SITEPROP SLICE_X7Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y6 CLASS site SITEPROP SLICE_X7Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y6 IS_BONDED 0 SITEPROP SLICE_X7Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y6 IS_PAD 0 SITEPROP SLICE_X7Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y6 IS_RESERVED 0 SITEPROP SLICE_X7Y6 IS_TEST 0 SITEPROP SLICE_X7Y6 IS_USED 0 SITEPROP SLICE_X7Y6 MANUAL_ROUTING SITEPROP SLICE_X7Y6 NAME SLICE_X7Y6 SITEPROP SLICE_X7Y6 NUM_ARCS 138 SITEPROP SLICE_X7Y6 NUM_BELS 32 SITEPROP SLICE_X7Y6 NUM_INPUTS 32 SITEPROP SLICE_X7Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y6 NUM_PINS 45 SITEPROP SLICE_X7Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y6 PROHIBIT 0 SITEPROP SLICE_X7Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y6 RPM_X 31 SITEPROP SLICE_X7Y6 RPM_Y 12 SITEPROP SLICE_X7Y6 SITE_PIPS SITEPROP SLICE_X7Y6 SITE_TYPE SLICEL SITEPROP SLICE_X7Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y7 CLASS site SITEPROP SLICE_X7Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y7 IS_BONDED 0 SITEPROP SLICE_X7Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y7 IS_PAD 0 SITEPROP SLICE_X7Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y7 IS_RESERVED 0 SITEPROP SLICE_X7Y7 IS_TEST 0 SITEPROP SLICE_X7Y7 IS_USED 0 SITEPROP SLICE_X7Y7 MANUAL_ROUTING SITEPROP SLICE_X7Y7 NAME SLICE_X7Y7 SITEPROP SLICE_X7Y7 NUM_ARCS 138 SITEPROP SLICE_X7Y7 NUM_BELS 32 SITEPROP SLICE_X7Y7 NUM_INPUTS 32 SITEPROP SLICE_X7Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y7 NUM_PINS 45 SITEPROP SLICE_X7Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y7 PROHIBIT 0 SITEPROP SLICE_X7Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y7 RPM_X 31 SITEPROP SLICE_X7Y7 RPM_Y 14 SITEPROP SLICE_X7Y7 SITE_PIPS SITEPROP SLICE_X7Y7 SITE_TYPE SLICEL SITEPROP SLICE_X7Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y8 CLASS site SITEPROP SLICE_X7Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y8 IS_BONDED 0 SITEPROP SLICE_X7Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y8 IS_PAD 0 SITEPROP SLICE_X7Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y8 IS_RESERVED 0 SITEPROP SLICE_X7Y8 IS_TEST 0 SITEPROP SLICE_X7Y8 IS_USED 0 SITEPROP SLICE_X7Y8 MANUAL_ROUTING SITEPROP SLICE_X7Y8 NAME SLICE_X7Y8 SITEPROP SLICE_X7Y8 NUM_ARCS 138 SITEPROP SLICE_X7Y8 NUM_BELS 32 SITEPROP SLICE_X7Y8 NUM_INPUTS 32 SITEPROP SLICE_X7Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y8 NUM_PINS 45 SITEPROP SLICE_X7Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y8 PROHIBIT 0 SITEPROP SLICE_X7Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y8 RPM_X 31 SITEPROP SLICE_X7Y8 RPM_Y 16 SITEPROP SLICE_X7Y8 SITE_PIPS SITEPROP SLICE_X7Y8 SITE_TYPE SLICEL SITEPROP SLICE_X7Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y9 CLASS site SITEPROP SLICE_X7Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y9 IS_BONDED 0 SITEPROP SLICE_X7Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y9 IS_PAD 0 SITEPROP SLICE_X7Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y9 IS_RESERVED 0 SITEPROP SLICE_X7Y9 IS_TEST 0 SITEPROP SLICE_X7Y9 IS_USED 0 SITEPROP SLICE_X7Y9 MANUAL_ROUTING SITEPROP SLICE_X7Y9 NAME SLICE_X7Y9 SITEPROP SLICE_X7Y9 NUM_ARCS 138 SITEPROP SLICE_X7Y9 NUM_BELS 32 SITEPROP SLICE_X7Y9 NUM_INPUTS 32 SITEPROP SLICE_X7Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y9 NUM_PINS 45 SITEPROP SLICE_X7Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y9 PROHIBIT 0 SITEPROP SLICE_X7Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y9 RPM_X 31 SITEPROP SLICE_X7Y9 RPM_Y 18 SITEPROP SLICE_X7Y9 SITE_PIPS SITEPROP SLICE_X7Y9 SITE_TYPE SLICEL SITEPROP SLICE_X7Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y10 CLASS site SITEPROP SLICE_X7Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y10 IS_BONDED 0 SITEPROP SLICE_X7Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y10 IS_PAD 0 SITEPROP SLICE_X7Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y10 IS_RESERVED 0 SITEPROP SLICE_X7Y10 IS_TEST 0 SITEPROP SLICE_X7Y10 IS_USED 0 SITEPROP SLICE_X7Y10 MANUAL_ROUTING SITEPROP SLICE_X7Y10 NAME SLICE_X7Y10 SITEPROP SLICE_X7Y10 NUM_ARCS 138 SITEPROP SLICE_X7Y10 NUM_BELS 32 SITEPROP SLICE_X7Y10 NUM_INPUTS 32 SITEPROP SLICE_X7Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y10 NUM_PINS 45 SITEPROP SLICE_X7Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y10 PROHIBIT 0 SITEPROP SLICE_X7Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y10 RPM_X 31 SITEPROP SLICE_X7Y10 RPM_Y 20 SITEPROP SLICE_X7Y10 SITE_PIPS SITEPROP SLICE_X7Y10 SITE_TYPE SLICEL SITEPROP SLICE_X7Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y11 CLASS site SITEPROP SLICE_X7Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y11 IS_BONDED 0 SITEPROP SLICE_X7Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y11 IS_PAD 0 SITEPROP SLICE_X7Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y11 IS_RESERVED 0 SITEPROP SLICE_X7Y11 IS_TEST 0 SITEPROP SLICE_X7Y11 IS_USED 0 SITEPROP SLICE_X7Y11 MANUAL_ROUTING SITEPROP SLICE_X7Y11 NAME SLICE_X7Y11 SITEPROP SLICE_X7Y11 NUM_ARCS 138 SITEPROP SLICE_X7Y11 NUM_BELS 32 SITEPROP SLICE_X7Y11 NUM_INPUTS 32 SITEPROP SLICE_X7Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y11 NUM_PINS 45 SITEPROP SLICE_X7Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y11 PROHIBIT 0 SITEPROP SLICE_X7Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y11 RPM_X 31 SITEPROP SLICE_X7Y11 RPM_Y 22 SITEPROP SLICE_X7Y11 SITE_PIPS SITEPROP SLICE_X7Y11 SITE_TYPE SLICEL SITEPROP SLICE_X7Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y12 CLASS site SITEPROP SLICE_X7Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y12 IS_BONDED 0 SITEPROP SLICE_X7Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y12 IS_PAD 0 SITEPROP SLICE_X7Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y12 IS_RESERVED 0 SITEPROP SLICE_X7Y12 IS_TEST 0 SITEPROP SLICE_X7Y12 IS_USED 0 SITEPROP SLICE_X7Y12 MANUAL_ROUTING SITEPROP SLICE_X7Y12 NAME SLICE_X7Y12 SITEPROP SLICE_X7Y12 NUM_ARCS 138 SITEPROP SLICE_X7Y12 NUM_BELS 32 SITEPROP SLICE_X7Y12 NUM_INPUTS 32 SITEPROP SLICE_X7Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y12 NUM_PINS 45 SITEPROP SLICE_X7Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y12 PROHIBIT 0 SITEPROP SLICE_X7Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y12 RPM_X 31 SITEPROP SLICE_X7Y12 RPM_Y 24 SITEPROP SLICE_X7Y12 SITE_PIPS SITEPROP SLICE_X7Y12 SITE_TYPE SLICEL SITEPROP SLICE_X7Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y13 CLASS site SITEPROP SLICE_X7Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y13 IS_BONDED 0 SITEPROP SLICE_X7Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y13 IS_PAD 0 SITEPROP SLICE_X7Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y13 IS_RESERVED 0 SITEPROP SLICE_X7Y13 IS_TEST 0 SITEPROP SLICE_X7Y13 IS_USED 0 SITEPROP SLICE_X7Y13 MANUAL_ROUTING SITEPROP SLICE_X7Y13 NAME SLICE_X7Y13 SITEPROP SLICE_X7Y13 NUM_ARCS 138 SITEPROP SLICE_X7Y13 NUM_BELS 32 SITEPROP SLICE_X7Y13 NUM_INPUTS 32 SITEPROP SLICE_X7Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y13 NUM_PINS 45 SITEPROP SLICE_X7Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y13 PROHIBIT 0 SITEPROP SLICE_X7Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y13 RPM_X 31 SITEPROP SLICE_X7Y13 RPM_Y 26 SITEPROP SLICE_X7Y13 SITE_PIPS SITEPROP SLICE_X7Y13 SITE_TYPE SLICEL SITEPROP SLICE_X7Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y14 CLASS site SITEPROP SLICE_X7Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y14 IS_BONDED 0 SITEPROP SLICE_X7Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y14 IS_PAD 0 SITEPROP SLICE_X7Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y14 IS_RESERVED 0 SITEPROP SLICE_X7Y14 IS_TEST 0 SITEPROP SLICE_X7Y14 IS_USED 0 SITEPROP SLICE_X7Y14 MANUAL_ROUTING SITEPROP SLICE_X7Y14 NAME SLICE_X7Y14 SITEPROP SLICE_X7Y14 NUM_ARCS 138 SITEPROP SLICE_X7Y14 NUM_BELS 32 SITEPROP SLICE_X7Y14 NUM_INPUTS 32 SITEPROP SLICE_X7Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y14 NUM_PINS 45 SITEPROP SLICE_X7Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y14 PROHIBIT 0 SITEPROP SLICE_X7Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y14 RPM_X 31 SITEPROP SLICE_X7Y14 RPM_Y 28 SITEPROP SLICE_X7Y14 SITE_PIPS SITEPROP SLICE_X7Y14 SITE_TYPE SLICEL SITEPROP SLICE_X7Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y15 CLASS site SITEPROP SLICE_X7Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y15 IS_BONDED 0 SITEPROP SLICE_X7Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y15 IS_PAD 0 SITEPROP SLICE_X7Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y15 IS_RESERVED 0 SITEPROP SLICE_X7Y15 IS_TEST 0 SITEPROP SLICE_X7Y15 IS_USED 0 SITEPROP SLICE_X7Y15 MANUAL_ROUTING SITEPROP SLICE_X7Y15 NAME SLICE_X7Y15 SITEPROP SLICE_X7Y15 NUM_ARCS 138 SITEPROP SLICE_X7Y15 NUM_BELS 32 SITEPROP SLICE_X7Y15 NUM_INPUTS 32 SITEPROP SLICE_X7Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y15 NUM_PINS 45 SITEPROP SLICE_X7Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y15 PROHIBIT 0 SITEPROP SLICE_X7Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y15 RPM_X 31 SITEPROP SLICE_X7Y15 RPM_Y 30 SITEPROP SLICE_X7Y15 SITE_PIPS SITEPROP SLICE_X7Y15 SITE_TYPE SLICEL SITEPROP SLICE_X7Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y16 CLASS site SITEPROP SLICE_X7Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y16 IS_BONDED 0 SITEPROP SLICE_X7Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y16 IS_PAD 0 SITEPROP SLICE_X7Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y16 IS_RESERVED 0 SITEPROP SLICE_X7Y16 IS_TEST 0 SITEPROP SLICE_X7Y16 IS_USED 0 SITEPROP SLICE_X7Y16 MANUAL_ROUTING SITEPROP SLICE_X7Y16 NAME SLICE_X7Y16 SITEPROP SLICE_X7Y16 NUM_ARCS 138 SITEPROP SLICE_X7Y16 NUM_BELS 32 SITEPROP SLICE_X7Y16 NUM_INPUTS 32 SITEPROP SLICE_X7Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y16 NUM_PINS 45 SITEPROP SLICE_X7Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y16 PROHIBIT 0 SITEPROP SLICE_X7Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y16 RPM_X 31 SITEPROP SLICE_X7Y16 RPM_Y 32 SITEPROP SLICE_X7Y16 SITE_PIPS SITEPROP SLICE_X7Y16 SITE_TYPE SLICEL SITEPROP SLICE_X7Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y17 CLASS site SITEPROP SLICE_X7Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y17 IS_BONDED 0 SITEPROP SLICE_X7Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y17 IS_PAD 0 SITEPROP SLICE_X7Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y17 IS_RESERVED 0 SITEPROP SLICE_X7Y17 IS_TEST 0 SITEPROP SLICE_X7Y17 IS_USED 0 SITEPROP SLICE_X7Y17 MANUAL_ROUTING SITEPROP SLICE_X7Y17 NAME SLICE_X7Y17 SITEPROP SLICE_X7Y17 NUM_ARCS 138 SITEPROP SLICE_X7Y17 NUM_BELS 32 SITEPROP SLICE_X7Y17 NUM_INPUTS 32 SITEPROP SLICE_X7Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y17 NUM_PINS 45 SITEPROP SLICE_X7Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y17 PROHIBIT 0 SITEPROP SLICE_X7Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y17 RPM_X 31 SITEPROP SLICE_X7Y17 RPM_Y 34 SITEPROP SLICE_X7Y17 SITE_PIPS SITEPROP SLICE_X7Y17 SITE_TYPE SLICEL SITEPROP SLICE_X7Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y18 CLASS site SITEPROP SLICE_X7Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y18 IS_BONDED 0 SITEPROP SLICE_X7Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y18 IS_PAD 0 SITEPROP SLICE_X7Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y18 IS_RESERVED 0 SITEPROP SLICE_X7Y18 IS_TEST 0 SITEPROP SLICE_X7Y18 IS_USED 0 SITEPROP SLICE_X7Y18 MANUAL_ROUTING SITEPROP SLICE_X7Y18 NAME SLICE_X7Y18 SITEPROP SLICE_X7Y18 NUM_ARCS 138 SITEPROP SLICE_X7Y18 NUM_BELS 32 SITEPROP SLICE_X7Y18 NUM_INPUTS 32 SITEPROP SLICE_X7Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y18 NUM_PINS 45 SITEPROP SLICE_X7Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y18 PROHIBIT 0 SITEPROP SLICE_X7Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y18 RPM_X 31 SITEPROP SLICE_X7Y18 RPM_Y 36 SITEPROP SLICE_X7Y18 SITE_PIPS SITEPROP SLICE_X7Y18 SITE_TYPE SLICEL SITEPROP SLICE_X7Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y19 CLASS site SITEPROP SLICE_X7Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y19 IS_BONDED 0 SITEPROP SLICE_X7Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y19 IS_PAD 0 SITEPROP SLICE_X7Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y19 IS_RESERVED 0 SITEPROP SLICE_X7Y19 IS_TEST 0 SITEPROP SLICE_X7Y19 IS_USED 0 SITEPROP SLICE_X7Y19 MANUAL_ROUTING SITEPROP SLICE_X7Y19 NAME SLICE_X7Y19 SITEPROP SLICE_X7Y19 NUM_ARCS 138 SITEPROP SLICE_X7Y19 NUM_BELS 32 SITEPROP SLICE_X7Y19 NUM_INPUTS 32 SITEPROP SLICE_X7Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y19 NUM_PINS 45 SITEPROP SLICE_X7Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y19 PROHIBIT 0 SITEPROP SLICE_X7Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y19 RPM_X 31 SITEPROP SLICE_X7Y19 RPM_Y 38 SITEPROP SLICE_X7Y19 SITE_PIPS SITEPROP SLICE_X7Y19 SITE_TYPE SLICEL SITEPROP SLICE_X7Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y20 CLASS site SITEPROP SLICE_X7Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y20 IS_BONDED 0 SITEPROP SLICE_X7Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y20 IS_PAD 0 SITEPROP SLICE_X7Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y20 IS_RESERVED 0 SITEPROP SLICE_X7Y20 IS_TEST 0 SITEPROP SLICE_X7Y20 IS_USED 0 SITEPROP SLICE_X7Y20 MANUAL_ROUTING SITEPROP SLICE_X7Y20 NAME SLICE_X7Y20 SITEPROP SLICE_X7Y20 NUM_ARCS 138 SITEPROP SLICE_X7Y20 NUM_BELS 32 SITEPROP SLICE_X7Y20 NUM_INPUTS 32 SITEPROP SLICE_X7Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y20 NUM_PINS 45 SITEPROP SLICE_X7Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y20 PROHIBIT 0 SITEPROP SLICE_X7Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y20 RPM_X 31 SITEPROP SLICE_X7Y20 RPM_Y 40 SITEPROP SLICE_X7Y20 SITE_PIPS SITEPROP SLICE_X7Y20 SITE_TYPE SLICEL SITEPROP SLICE_X7Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y21 CLASS site SITEPROP SLICE_X7Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y21 IS_BONDED 0 SITEPROP SLICE_X7Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y21 IS_PAD 0 SITEPROP SLICE_X7Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y21 IS_RESERVED 0 SITEPROP SLICE_X7Y21 IS_TEST 0 SITEPROP SLICE_X7Y21 IS_USED 0 SITEPROP SLICE_X7Y21 MANUAL_ROUTING SITEPROP SLICE_X7Y21 NAME SLICE_X7Y21 SITEPROP SLICE_X7Y21 NUM_ARCS 138 SITEPROP SLICE_X7Y21 NUM_BELS 32 SITEPROP SLICE_X7Y21 NUM_INPUTS 32 SITEPROP SLICE_X7Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y21 NUM_PINS 45 SITEPROP SLICE_X7Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y21 PROHIBIT 0 SITEPROP SLICE_X7Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y21 RPM_X 31 SITEPROP SLICE_X7Y21 RPM_Y 42 SITEPROP SLICE_X7Y21 SITE_PIPS SITEPROP SLICE_X7Y21 SITE_TYPE SLICEL SITEPROP SLICE_X7Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y22 CLASS site SITEPROP SLICE_X7Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y22 IS_BONDED 0 SITEPROP SLICE_X7Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y22 IS_PAD 0 SITEPROP SLICE_X7Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y22 IS_RESERVED 0 SITEPROP SLICE_X7Y22 IS_TEST 0 SITEPROP SLICE_X7Y22 IS_USED 0 SITEPROP SLICE_X7Y22 MANUAL_ROUTING SITEPROP SLICE_X7Y22 NAME SLICE_X7Y22 SITEPROP SLICE_X7Y22 NUM_ARCS 138 SITEPROP SLICE_X7Y22 NUM_BELS 32 SITEPROP SLICE_X7Y22 NUM_INPUTS 32 SITEPROP SLICE_X7Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y22 NUM_PINS 45 SITEPROP SLICE_X7Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y22 PROHIBIT 0 SITEPROP SLICE_X7Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y22 RPM_X 31 SITEPROP SLICE_X7Y22 RPM_Y 44 SITEPROP SLICE_X7Y22 SITE_PIPS SITEPROP SLICE_X7Y22 SITE_TYPE SLICEL SITEPROP SLICE_X7Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y23 CLASS site SITEPROP SLICE_X7Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y23 IS_BONDED 0 SITEPROP SLICE_X7Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y23 IS_PAD 0 SITEPROP SLICE_X7Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y23 IS_RESERVED 0 SITEPROP SLICE_X7Y23 IS_TEST 0 SITEPROP SLICE_X7Y23 IS_USED 0 SITEPROP SLICE_X7Y23 MANUAL_ROUTING SITEPROP SLICE_X7Y23 NAME SLICE_X7Y23 SITEPROP SLICE_X7Y23 NUM_ARCS 138 SITEPROP SLICE_X7Y23 NUM_BELS 32 SITEPROP SLICE_X7Y23 NUM_INPUTS 32 SITEPROP SLICE_X7Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y23 NUM_PINS 45 SITEPROP SLICE_X7Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y23 PROHIBIT 0 SITEPROP SLICE_X7Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y23 RPM_X 31 SITEPROP SLICE_X7Y23 RPM_Y 46 SITEPROP SLICE_X7Y23 SITE_PIPS SITEPROP SLICE_X7Y23 SITE_TYPE SLICEL SITEPROP SLICE_X7Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y24 CLASS site SITEPROP SLICE_X7Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y24 IS_BONDED 0 SITEPROP SLICE_X7Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y24 IS_PAD 0 SITEPROP SLICE_X7Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y24 IS_RESERVED 0 SITEPROP SLICE_X7Y24 IS_TEST 0 SITEPROP SLICE_X7Y24 IS_USED 0 SITEPROP SLICE_X7Y24 MANUAL_ROUTING SITEPROP SLICE_X7Y24 NAME SLICE_X7Y24 SITEPROP SLICE_X7Y24 NUM_ARCS 138 SITEPROP SLICE_X7Y24 NUM_BELS 32 SITEPROP SLICE_X7Y24 NUM_INPUTS 32 SITEPROP SLICE_X7Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y24 NUM_PINS 45 SITEPROP SLICE_X7Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y24 PROHIBIT 0 SITEPROP SLICE_X7Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y24 RPM_X 31 SITEPROP SLICE_X7Y24 RPM_Y 48 SITEPROP SLICE_X7Y24 SITE_PIPS SITEPROP SLICE_X7Y24 SITE_TYPE SLICEL SITEPROP SLICE_X7Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y25 CLASS site SITEPROP SLICE_X7Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y25 IS_BONDED 0 SITEPROP SLICE_X7Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y25 IS_PAD 0 SITEPROP SLICE_X7Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y25 IS_RESERVED 0 SITEPROP SLICE_X7Y25 IS_TEST 0 SITEPROP SLICE_X7Y25 IS_USED 0 SITEPROP SLICE_X7Y25 MANUAL_ROUTING SITEPROP SLICE_X7Y25 NAME SLICE_X7Y25 SITEPROP SLICE_X7Y25 NUM_ARCS 138 SITEPROP SLICE_X7Y25 NUM_BELS 32 SITEPROP SLICE_X7Y25 NUM_INPUTS 32 SITEPROP SLICE_X7Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y25 NUM_PINS 45 SITEPROP SLICE_X7Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y25 PROHIBIT 0 SITEPROP SLICE_X7Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y25 RPM_X 31 SITEPROP SLICE_X7Y25 RPM_Y 50 SITEPROP SLICE_X7Y25 SITE_PIPS SITEPROP SLICE_X7Y25 SITE_TYPE SLICEL SITEPROP SLICE_X7Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y26 CLASS site SITEPROP SLICE_X7Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y26 IS_BONDED 0 SITEPROP SLICE_X7Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y26 IS_PAD 0 SITEPROP SLICE_X7Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y26 IS_RESERVED 0 SITEPROP SLICE_X7Y26 IS_TEST 0 SITEPROP SLICE_X7Y26 IS_USED 0 SITEPROP SLICE_X7Y26 MANUAL_ROUTING SITEPROP SLICE_X7Y26 NAME SLICE_X7Y26 SITEPROP SLICE_X7Y26 NUM_ARCS 138 SITEPROP SLICE_X7Y26 NUM_BELS 32 SITEPROP SLICE_X7Y26 NUM_INPUTS 32 SITEPROP SLICE_X7Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y26 NUM_PINS 45 SITEPROP SLICE_X7Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y26 PROHIBIT 0 SITEPROP SLICE_X7Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y26 RPM_X 31 SITEPROP SLICE_X7Y26 RPM_Y 52 SITEPROP SLICE_X7Y26 SITE_PIPS SITEPROP SLICE_X7Y26 SITE_TYPE SLICEL SITEPROP SLICE_X7Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y27 CLASS site SITEPROP SLICE_X7Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y27 IS_BONDED 0 SITEPROP SLICE_X7Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y27 IS_PAD 0 SITEPROP SLICE_X7Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y27 IS_RESERVED 0 SITEPROP SLICE_X7Y27 IS_TEST 0 SITEPROP SLICE_X7Y27 IS_USED 0 SITEPROP SLICE_X7Y27 MANUAL_ROUTING SITEPROP SLICE_X7Y27 NAME SLICE_X7Y27 SITEPROP SLICE_X7Y27 NUM_ARCS 138 SITEPROP SLICE_X7Y27 NUM_BELS 32 SITEPROP SLICE_X7Y27 NUM_INPUTS 32 SITEPROP SLICE_X7Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y27 NUM_PINS 45 SITEPROP SLICE_X7Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y27 PROHIBIT 0 SITEPROP SLICE_X7Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y27 RPM_X 31 SITEPROP SLICE_X7Y27 RPM_Y 54 SITEPROP SLICE_X7Y27 SITE_PIPS SITEPROP SLICE_X7Y27 SITE_TYPE SLICEL SITEPROP SLICE_X7Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y28 CLASS site SITEPROP SLICE_X7Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y28 IS_BONDED 0 SITEPROP SLICE_X7Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y28 IS_PAD 0 SITEPROP SLICE_X7Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y28 IS_RESERVED 0 SITEPROP SLICE_X7Y28 IS_TEST 0 SITEPROP SLICE_X7Y28 IS_USED 0 SITEPROP SLICE_X7Y28 MANUAL_ROUTING SITEPROP SLICE_X7Y28 NAME SLICE_X7Y28 SITEPROP SLICE_X7Y28 NUM_ARCS 138 SITEPROP SLICE_X7Y28 NUM_BELS 32 SITEPROP SLICE_X7Y28 NUM_INPUTS 32 SITEPROP SLICE_X7Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y28 NUM_PINS 45 SITEPROP SLICE_X7Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y28 PROHIBIT 0 SITEPROP SLICE_X7Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y28 RPM_X 31 SITEPROP SLICE_X7Y28 RPM_Y 56 SITEPROP SLICE_X7Y28 SITE_PIPS SITEPROP SLICE_X7Y28 SITE_TYPE SLICEL SITEPROP SLICE_X7Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y29 CLASS site SITEPROP SLICE_X7Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y29 IS_BONDED 0 SITEPROP SLICE_X7Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y29 IS_PAD 0 SITEPROP SLICE_X7Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y29 IS_RESERVED 0 SITEPROP SLICE_X7Y29 IS_TEST 0 SITEPROP SLICE_X7Y29 IS_USED 0 SITEPROP SLICE_X7Y29 MANUAL_ROUTING SITEPROP SLICE_X7Y29 NAME SLICE_X7Y29 SITEPROP SLICE_X7Y29 NUM_ARCS 138 SITEPROP SLICE_X7Y29 NUM_BELS 32 SITEPROP SLICE_X7Y29 NUM_INPUTS 32 SITEPROP SLICE_X7Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y29 NUM_PINS 45 SITEPROP SLICE_X7Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y29 PROHIBIT 0 SITEPROP SLICE_X7Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y29 RPM_X 31 SITEPROP SLICE_X7Y29 RPM_Y 58 SITEPROP SLICE_X7Y29 SITE_PIPS SITEPROP SLICE_X7Y29 SITE_TYPE SLICEL SITEPROP SLICE_X7Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y30 CLASS site SITEPROP SLICE_X7Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y30 IS_BONDED 0 SITEPROP SLICE_X7Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y30 IS_PAD 0 SITEPROP SLICE_X7Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y30 IS_RESERVED 0 SITEPROP SLICE_X7Y30 IS_TEST 0 SITEPROP SLICE_X7Y30 IS_USED 0 SITEPROP SLICE_X7Y30 MANUAL_ROUTING SITEPROP SLICE_X7Y30 NAME SLICE_X7Y30 SITEPROP SLICE_X7Y30 NUM_ARCS 138 SITEPROP SLICE_X7Y30 NUM_BELS 32 SITEPROP SLICE_X7Y30 NUM_INPUTS 32 SITEPROP SLICE_X7Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y30 NUM_PINS 45 SITEPROP SLICE_X7Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y30 PROHIBIT 0 SITEPROP SLICE_X7Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y30 RPM_X 31 SITEPROP SLICE_X7Y30 RPM_Y 60 SITEPROP SLICE_X7Y30 SITE_PIPS SITEPROP SLICE_X7Y30 SITE_TYPE SLICEL SITEPROP SLICE_X7Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y31 CLASS site SITEPROP SLICE_X7Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y31 IS_BONDED 0 SITEPROP SLICE_X7Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y31 IS_PAD 0 SITEPROP SLICE_X7Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y31 IS_RESERVED 0 SITEPROP SLICE_X7Y31 IS_TEST 0 SITEPROP SLICE_X7Y31 IS_USED 0 SITEPROP SLICE_X7Y31 MANUAL_ROUTING SITEPROP SLICE_X7Y31 NAME SLICE_X7Y31 SITEPROP SLICE_X7Y31 NUM_ARCS 138 SITEPROP SLICE_X7Y31 NUM_BELS 32 SITEPROP SLICE_X7Y31 NUM_INPUTS 32 SITEPROP SLICE_X7Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y31 NUM_PINS 45 SITEPROP SLICE_X7Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y31 PROHIBIT 0 SITEPROP SLICE_X7Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y31 RPM_X 31 SITEPROP SLICE_X7Y31 RPM_Y 62 SITEPROP SLICE_X7Y31 SITE_PIPS SITEPROP SLICE_X7Y31 SITE_TYPE SLICEL SITEPROP SLICE_X7Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y32 CLASS site SITEPROP SLICE_X7Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y32 IS_BONDED 0 SITEPROP SLICE_X7Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y32 IS_PAD 0 SITEPROP SLICE_X7Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y32 IS_RESERVED 0 SITEPROP SLICE_X7Y32 IS_TEST 0 SITEPROP SLICE_X7Y32 IS_USED 0 SITEPROP SLICE_X7Y32 MANUAL_ROUTING SITEPROP SLICE_X7Y32 NAME SLICE_X7Y32 SITEPROP SLICE_X7Y32 NUM_ARCS 138 SITEPROP SLICE_X7Y32 NUM_BELS 32 SITEPROP SLICE_X7Y32 NUM_INPUTS 32 SITEPROP SLICE_X7Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y32 NUM_PINS 45 SITEPROP SLICE_X7Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y32 PROHIBIT 0 SITEPROP SLICE_X7Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y32 RPM_X 31 SITEPROP SLICE_X7Y32 RPM_Y 64 SITEPROP SLICE_X7Y32 SITE_PIPS SITEPROP SLICE_X7Y32 SITE_TYPE SLICEL SITEPROP SLICE_X7Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y33 CLASS site SITEPROP SLICE_X7Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y33 IS_BONDED 0 SITEPROP SLICE_X7Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y33 IS_PAD 0 SITEPROP SLICE_X7Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y33 IS_RESERVED 0 SITEPROP SLICE_X7Y33 IS_TEST 0 SITEPROP SLICE_X7Y33 IS_USED 0 SITEPROP SLICE_X7Y33 MANUAL_ROUTING SITEPROP SLICE_X7Y33 NAME SLICE_X7Y33 SITEPROP SLICE_X7Y33 NUM_ARCS 138 SITEPROP SLICE_X7Y33 NUM_BELS 32 SITEPROP SLICE_X7Y33 NUM_INPUTS 32 SITEPROP SLICE_X7Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y33 NUM_PINS 45 SITEPROP SLICE_X7Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y33 PROHIBIT 0 SITEPROP SLICE_X7Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y33 RPM_X 31 SITEPROP SLICE_X7Y33 RPM_Y 66 SITEPROP SLICE_X7Y33 SITE_PIPS SITEPROP SLICE_X7Y33 SITE_TYPE SLICEL SITEPROP SLICE_X7Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y34 CLASS site SITEPROP SLICE_X7Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y34 IS_BONDED 0 SITEPROP SLICE_X7Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y34 IS_PAD 0 SITEPROP SLICE_X7Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y34 IS_RESERVED 0 SITEPROP SLICE_X7Y34 IS_TEST 0 SITEPROP SLICE_X7Y34 IS_USED 0 SITEPROP SLICE_X7Y34 MANUAL_ROUTING SITEPROP SLICE_X7Y34 NAME SLICE_X7Y34 SITEPROP SLICE_X7Y34 NUM_ARCS 138 SITEPROP SLICE_X7Y34 NUM_BELS 32 SITEPROP SLICE_X7Y34 NUM_INPUTS 32 SITEPROP SLICE_X7Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y34 NUM_PINS 45 SITEPROP SLICE_X7Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y34 PROHIBIT 0 SITEPROP SLICE_X7Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y34 RPM_X 31 SITEPROP SLICE_X7Y34 RPM_Y 68 SITEPROP SLICE_X7Y34 SITE_PIPS SITEPROP SLICE_X7Y34 SITE_TYPE SLICEL SITEPROP SLICE_X7Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y35 CLASS site SITEPROP SLICE_X7Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y35 IS_BONDED 0 SITEPROP SLICE_X7Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y35 IS_PAD 0 SITEPROP SLICE_X7Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y35 IS_RESERVED 0 SITEPROP SLICE_X7Y35 IS_TEST 0 SITEPROP SLICE_X7Y35 IS_USED 0 SITEPROP SLICE_X7Y35 MANUAL_ROUTING SITEPROP SLICE_X7Y35 NAME SLICE_X7Y35 SITEPROP SLICE_X7Y35 NUM_ARCS 138 SITEPROP SLICE_X7Y35 NUM_BELS 32 SITEPROP SLICE_X7Y35 NUM_INPUTS 32 SITEPROP SLICE_X7Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y35 NUM_PINS 45 SITEPROP SLICE_X7Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y35 PROHIBIT 0 SITEPROP SLICE_X7Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y35 RPM_X 31 SITEPROP SLICE_X7Y35 RPM_Y 70 SITEPROP SLICE_X7Y35 SITE_PIPS SITEPROP SLICE_X7Y35 SITE_TYPE SLICEL SITEPROP SLICE_X7Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y36 CLASS site SITEPROP SLICE_X7Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y36 IS_BONDED 0 SITEPROP SLICE_X7Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y36 IS_PAD 0 SITEPROP SLICE_X7Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y36 IS_RESERVED 0 SITEPROP SLICE_X7Y36 IS_TEST 0 SITEPROP SLICE_X7Y36 IS_USED 0 SITEPROP SLICE_X7Y36 MANUAL_ROUTING SITEPROP SLICE_X7Y36 NAME SLICE_X7Y36 SITEPROP SLICE_X7Y36 NUM_ARCS 138 SITEPROP SLICE_X7Y36 NUM_BELS 32 SITEPROP SLICE_X7Y36 NUM_INPUTS 32 SITEPROP SLICE_X7Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y36 NUM_PINS 45 SITEPROP SLICE_X7Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y36 PROHIBIT 0 SITEPROP SLICE_X7Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y36 RPM_X 31 SITEPROP SLICE_X7Y36 RPM_Y 72 SITEPROP SLICE_X7Y36 SITE_PIPS SITEPROP SLICE_X7Y36 SITE_TYPE SLICEL SITEPROP SLICE_X7Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y37 CLASS site SITEPROP SLICE_X7Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y37 IS_BONDED 0 SITEPROP SLICE_X7Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y37 IS_PAD 0 SITEPROP SLICE_X7Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y37 IS_RESERVED 0 SITEPROP SLICE_X7Y37 IS_TEST 0 SITEPROP SLICE_X7Y37 IS_USED 0 SITEPROP SLICE_X7Y37 MANUAL_ROUTING SITEPROP SLICE_X7Y37 NAME SLICE_X7Y37 SITEPROP SLICE_X7Y37 NUM_ARCS 138 SITEPROP SLICE_X7Y37 NUM_BELS 32 SITEPROP SLICE_X7Y37 NUM_INPUTS 32 SITEPROP SLICE_X7Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y37 NUM_PINS 45 SITEPROP SLICE_X7Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y37 PROHIBIT 0 SITEPROP SLICE_X7Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y37 RPM_X 31 SITEPROP SLICE_X7Y37 RPM_Y 74 SITEPROP SLICE_X7Y37 SITE_PIPS SITEPROP SLICE_X7Y37 SITE_TYPE SLICEL SITEPROP SLICE_X7Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y38 CLASS site SITEPROP SLICE_X7Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y38 IS_BONDED 0 SITEPROP SLICE_X7Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y38 IS_PAD 0 SITEPROP SLICE_X7Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y38 IS_RESERVED 0 SITEPROP SLICE_X7Y38 IS_TEST 0 SITEPROP SLICE_X7Y38 IS_USED 0 SITEPROP SLICE_X7Y38 MANUAL_ROUTING SITEPROP SLICE_X7Y38 NAME SLICE_X7Y38 SITEPROP SLICE_X7Y38 NUM_ARCS 138 SITEPROP SLICE_X7Y38 NUM_BELS 32 SITEPROP SLICE_X7Y38 NUM_INPUTS 32 SITEPROP SLICE_X7Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y38 NUM_PINS 45 SITEPROP SLICE_X7Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y38 PROHIBIT 0 SITEPROP SLICE_X7Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y38 RPM_X 31 SITEPROP SLICE_X7Y38 RPM_Y 76 SITEPROP SLICE_X7Y38 SITE_PIPS SITEPROP SLICE_X7Y38 SITE_TYPE SLICEL SITEPROP SLICE_X7Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y39 CLASS site SITEPROP SLICE_X7Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y39 IS_BONDED 0 SITEPROP SLICE_X7Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y39 IS_PAD 0 SITEPROP SLICE_X7Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y39 IS_RESERVED 0 SITEPROP SLICE_X7Y39 IS_TEST 0 SITEPROP SLICE_X7Y39 IS_USED 0 SITEPROP SLICE_X7Y39 MANUAL_ROUTING SITEPROP SLICE_X7Y39 NAME SLICE_X7Y39 SITEPROP SLICE_X7Y39 NUM_ARCS 138 SITEPROP SLICE_X7Y39 NUM_BELS 32 SITEPROP SLICE_X7Y39 NUM_INPUTS 32 SITEPROP SLICE_X7Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y39 NUM_PINS 45 SITEPROP SLICE_X7Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y39 PROHIBIT 0 SITEPROP SLICE_X7Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y39 RPM_X 31 SITEPROP SLICE_X7Y39 RPM_Y 78 SITEPROP SLICE_X7Y39 SITE_PIPS SITEPROP SLICE_X7Y39 SITE_TYPE SLICEL SITEPROP SLICE_X7Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y40 CLASS site SITEPROP SLICE_X7Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y40 IS_BONDED 0 SITEPROP SLICE_X7Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y40 IS_PAD 0 SITEPROP SLICE_X7Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y40 IS_RESERVED 0 SITEPROP SLICE_X7Y40 IS_TEST 0 SITEPROP SLICE_X7Y40 IS_USED 0 SITEPROP SLICE_X7Y40 MANUAL_ROUTING SITEPROP SLICE_X7Y40 NAME SLICE_X7Y40 SITEPROP SLICE_X7Y40 NUM_ARCS 138 SITEPROP SLICE_X7Y40 NUM_BELS 32 SITEPROP SLICE_X7Y40 NUM_INPUTS 32 SITEPROP SLICE_X7Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y40 NUM_PINS 45 SITEPROP SLICE_X7Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y40 PROHIBIT 0 SITEPROP SLICE_X7Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y40 RPM_X 31 SITEPROP SLICE_X7Y40 RPM_Y 80 SITEPROP SLICE_X7Y40 SITE_PIPS SITEPROP SLICE_X7Y40 SITE_TYPE SLICEL SITEPROP SLICE_X7Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y41 CLASS site SITEPROP SLICE_X7Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y41 IS_BONDED 0 SITEPROP SLICE_X7Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y41 IS_PAD 0 SITEPROP SLICE_X7Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y41 IS_RESERVED 0 SITEPROP SLICE_X7Y41 IS_TEST 0 SITEPROP SLICE_X7Y41 IS_USED 0 SITEPROP SLICE_X7Y41 MANUAL_ROUTING SITEPROP SLICE_X7Y41 NAME SLICE_X7Y41 SITEPROP SLICE_X7Y41 NUM_ARCS 138 SITEPROP SLICE_X7Y41 NUM_BELS 32 SITEPROP SLICE_X7Y41 NUM_INPUTS 32 SITEPROP SLICE_X7Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y41 NUM_PINS 45 SITEPROP SLICE_X7Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y41 PROHIBIT 0 SITEPROP SLICE_X7Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y41 RPM_X 31 SITEPROP SLICE_X7Y41 RPM_Y 82 SITEPROP SLICE_X7Y41 SITE_PIPS SITEPROP SLICE_X7Y41 SITE_TYPE SLICEL SITEPROP SLICE_X7Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y42 CLASS site SITEPROP SLICE_X7Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y42 IS_BONDED 0 SITEPROP SLICE_X7Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y42 IS_PAD 0 SITEPROP SLICE_X7Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y42 IS_RESERVED 0 SITEPROP SLICE_X7Y42 IS_TEST 0 SITEPROP SLICE_X7Y42 IS_USED 0 SITEPROP SLICE_X7Y42 MANUAL_ROUTING SITEPROP SLICE_X7Y42 NAME SLICE_X7Y42 SITEPROP SLICE_X7Y42 NUM_ARCS 138 SITEPROP SLICE_X7Y42 NUM_BELS 32 SITEPROP SLICE_X7Y42 NUM_INPUTS 32 SITEPROP SLICE_X7Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y42 NUM_PINS 45 SITEPROP SLICE_X7Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y42 PROHIBIT 0 SITEPROP SLICE_X7Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y42 RPM_X 31 SITEPROP SLICE_X7Y42 RPM_Y 84 SITEPROP SLICE_X7Y42 SITE_PIPS SITEPROP SLICE_X7Y42 SITE_TYPE SLICEL SITEPROP SLICE_X7Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y43 CLASS site SITEPROP SLICE_X7Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y43 IS_BONDED 0 SITEPROP SLICE_X7Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y43 IS_PAD 0 SITEPROP SLICE_X7Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y43 IS_RESERVED 0 SITEPROP SLICE_X7Y43 IS_TEST 0 SITEPROP SLICE_X7Y43 IS_USED 0 SITEPROP SLICE_X7Y43 MANUAL_ROUTING SITEPROP SLICE_X7Y43 NAME SLICE_X7Y43 SITEPROP SLICE_X7Y43 NUM_ARCS 138 SITEPROP SLICE_X7Y43 NUM_BELS 32 SITEPROP SLICE_X7Y43 NUM_INPUTS 32 SITEPROP SLICE_X7Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y43 NUM_PINS 45 SITEPROP SLICE_X7Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y43 PROHIBIT 0 SITEPROP SLICE_X7Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y43 RPM_X 31 SITEPROP SLICE_X7Y43 RPM_Y 86 SITEPROP SLICE_X7Y43 SITE_PIPS SITEPROP SLICE_X7Y43 SITE_TYPE SLICEL SITEPROP SLICE_X7Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y44 CLASS site SITEPROP SLICE_X7Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y44 IS_BONDED 0 SITEPROP SLICE_X7Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y44 IS_PAD 0 SITEPROP SLICE_X7Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y44 IS_RESERVED 0 SITEPROP SLICE_X7Y44 IS_TEST 0 SITEPROP SLICE_X7Y44 IS_USED 0 SITEPROP SLICE_X7Y44 MANUAL_ROUTING SITEPROP SLICE_X7Y44 NAME SLICE_X7Y44 SITEPROP SLICE_X7Y44 NUM_ARCS 138 SITEPROP SLICE_X7Y44 NUM_BELS 32 SITEPROP SLICE_X7Y44 NUM_INPUTS 32 SITEPROP SLICE_X7Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y44 NUM_PINS 45 SITEPROP SLICE_X7Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y44 PROHIBIT 0 SITEPROP SLICE_X7Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y44 RPM_X 31 SITEPROP SLICE_X7Y44 RPM_Y 88 SITEPROP SLICE_X7Y44 SITE_PIPS SITEPROP SLICE_X7Y44 SITE_TYPE SLICEL SITEPROP SLICE_X7Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y45 CLASS site SITEPROP SLICE_X7Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y45 IS_BONDED 0 SITEPROP SLICE_X7Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y45 IS_PAD 0 SITEPROP SLICE_X7Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y45 IS_RESERVED 0 SITEPROP SLICE_X7Y45 IS_TEST 0 SITEPROP SLICE_X7Y45 IS_USED 0 SITEPROP SLICE_X7Y45 MANUAL_ROUTING SITEPROP SLICE_X7Y45 NAME SLICE_X7Y45 SITEPROP SLICE_X7Y45 NUM_ARCS 138 SITEPROP SLICE_X7Y45 NUM_BELS 32 SITEPROP SLICE_X7Y45 NUM_INPUTS 32 SITEPROP SLICE_X7Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y45 NUM_PINS 45 SITEPROP SLICE_X7Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y45 PROHIBIT 0 SITEPROP SLICE_X7Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y45 RPM_X 31 SITEPROP SLICE_X7Y45 RPM_Y 90 SITEPROP SLICE_X7Y45 SITE_PIPS SITEPROP SLICE_X7Y45 SITE_TYPE SLICEL SITEPROP SLICE_X7Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y46 CLASS site SITEPROP SLICE_X7Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y46 IS_BONDED 0 SITEPROP SLICE_X7Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y46 IS_PAD 0 SITEPROP SLICE_X7Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y46 IS_RESERVED 0 SITEPROP SLICE_X7Y46 IS_TEST 0 SITEPROP SLICE_X7Y46 IS_USED 0 SITEPROP SLICE_X7Y46 MANUAL_ROUTING SITEPROP SLICE_X7Y46 NAME SLICE_X7Y46 SITEPROP SLICE_X7Y46 NUM_ARCS 138 SITEPROP SLICE_X7Y46 NUM_BELS 32 SITEPROP SLICE_X7Y46 NUM_INPUTS 32 SITEPROP SLICE_X7Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y46 NUM_PINS 45 SITEPROP SLICE_X7Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y46 PROHIBIT 0 SITEPROP SLICE_X7Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y46 RPM_X 31 SITEPROP SLICE_X7Y46 RPM_Y 92 SITEPROP SLICE_X7Y46 SITE_PIPS SITEPROP SLICE_X7Y46 SITE_TYPE SLICEL SITEPROP SLICE_X7Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y47 CLASS site SITEPROP SLICE_X7Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y47 IS_BONDED 0 SITEPROP SLICE_X7Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y47 IS_PAD 0 SITEPROP SLICE_X7Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y47 IS_RESERVED 0 SITEPROP SLICE_X7Y47 IS_TEST 0 SITEPROP SLICE_X7Y47 IS_USED 0 SITEPROP SLICE_X7Y47 MANUAL_ROUTING SITEPROP SLICE_X7Y47 NAME SLICE_X7Y47 SITEPROP SLICE_X7Y47 NUM_ARCS 138 SITEPROP SLICE_X7Y47 NUM_BELS 32 SITEPROP SLICE_X7Y47 NUM_INPUTS 32 SITEPROP SLICE_X7Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y47 NUM_PINS 45 SITEPROP SLICE_X7Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y47 PROHIBIT 0 SITEPROP SLICE_X7Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y47 RPM_X 31 SITEPROP SLICE_X7Y47 RPM_Y 94 SITEPROP SLICE_X7Y47 SITE_PIPS SITEPROP SLICE_X7Y47 SITE_TYPE SLICEL SITEPROP SLICE_X7Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y48 CLASS site SITEPROP SLICE_X7Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y48 IS_BONDED 0 SITEPROP SLICE_X7Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y48 IS_PAD 0 SITEPROP SLICE_X7Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y48 IS_RESERVED 0 SITEPROP SLICE_X7Y48 IS_TEST 0 SITEPROP SLICE_X7Y48 IS_USED 0 SITEPROP SLICE_X7Y48 MANUAL_ROUTING SITEPROP SLICE_X7Y48 NAME SLICE_X7Y48 SITEPROP SLICE_X7Y48 NUM_ARCS 138 SITEPROP SLICE_X7Y48 NUM_BELS 32 SITEPROP SLICE_X7Y48 NUM_INPUTS 32 SITEPROP SLICE_X7Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y48 NUM_PINS 45 SITEPROP SLICE_X7Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y48 PROHIBIT 0 SITEPROP SLICE_X7Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y48 RPM_X 31 SITEPROP SLICE_X7Y48 RPM_Y 96 SITEPROP SLICE_X7Y48 SITE_PIPS SITEPROP SLICE_X7Y48 SITE_TYPE SLICEL SITEPROP SLICE_X7Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y49 CLASS site SITEPROP SLICE_X7Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X7Y49 IS_BONDED 0 SITEPROP SLICE_X7Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y49 IS_PAD 0 SITEPROP SLICE_X7Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y49 IS_RESERVED 0 SITEPROP SLICE_X7Y49 IS_TEST 0 SITEPROP SLICE_X7Y49 IS_USED 0 SITEPROP SLICE_X7Y49 MANUAL_ROUTING SITEPROP SLICE_X7Y49 NAME SLICE_X7Y49 SITEPROP SLICE_X7Y49 NUM_ARCS 138 SITEPROP SLICE_X7Y49 NUM_BELS 32 SITEPROP SLICE_X7Y49 NUM_INPUTS 32 SITEPROP SLICE_X7Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y49 NUM_PINS 45 SITEPROP SLICE_X7Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y49 PROHIBIT 0 SITEPROP SLICE_X7Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y49 RPM_X 31 SITEPROP SLICE_X7Y49 RPM_Y 98 SITEPROP SLICE_X7Y49 SITE_PIPS SITEPROP SLICE_X7Y49 SITE_TYPE SLICEL SITEPROP SLICE_X7Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y50 CLASS site SITEPROP SLICE_X7Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y50 IS_BONDED 0 SITEPROP SLICE_X7Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y50 IS_PAD 0 SITEPROP SLICE_X7Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y50 IS_RESERVED 0 SITEPROP SLICE_X7Y50 IS_TEST 0 SITEPROP SLICE_X7Y50 IS_USED 0 SITEPROP SLICE_X7Y50 MANUAL_ROUTING SITEPROP SLICE_X7Y50 NAME SLICE_X7Y50 SITEPROP SLICE_X7Y50 NUM_ARCS 138 SITEPROP SLICE_X7Y50 NUM_BELS 32 SITEPROP SLICE_X7Y50 NUM_INPUTS 32 SITEPROP SLICE_X7Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y50 NUM_PINS 45 SITEPROP SLICE_X7Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y50 PROHIBIT 0 SITEPROP SLICE_X7Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y50 RPM_X 31 SITEPROP SLICE_X7Y50 RPM_Y 100 SITEPROP SLICE_X7Y50 SITE_PIPS SITEPROP SLICE_X7Y50 SITE_TYPE SLICEL SITEPROP SLICE_X7Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y51 CLASS site SITEPROP SLICE_X7Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y51 IS_BONDED 0 SITEPROP SLICE_X7Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y51 IS_PAD 0 SITEPROP SLICE_X7Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y51 IS_RESERVED 0 SITEPROP SLICE_X7Y51 IS_TEST 0 SITEPROP SLICE_X7Y51 IS_USED 0 SITEPROP SLICE_X7Y51 MANUAL_ROUTING SITEPROP SLICE_X7Y51 NAME SLICE_X7Y51 SITEPROP SLICE_X7Y51 NUM_ARCS 138 SITEPROP SLICE_X7Y51 NUM_BELS 32 SITEPROP SLICE_X7Y51 NUM_INPUTS 32 SITEPROP SLICE_X7Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y51 NUM_PINS 45 SITEPROP SLICE_X7Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y51 PROHIBIT 0 SITEPROP SLICE_X7Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y51 RPM_X 31 SITEPROP SLICE_X7Y51 RPM_Y 102 SITEPROP SLICE_X7Y51 SITE_PIPS SITEPROP SLICE_X7Y51 SITE_TYPE SLICEL SITEPROP SLICE_X7Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y52 CLASS site SITEPROP SLICE_X7Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y52 IS_BONDED 0 SITEPROP SLICE_X7Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y52 IS_PAD 0 SITEPROP SLICE_X7Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y52 IS_RESERVED 0 SITEPROP SLICE_X7Y52 IS_TEST 0 SITEPROP SLICE_X7Y52 IS_USED 0 SITEPROP SLICE_X7Y52 MANUAL_ROUTING SITEPROP SLICE_X7Y52 NAME SLICE_X7Y52 SITEPROP SLICE_X7Y52 NUM_ARCS 138 SITEPROP SLICE_X7Y52 NUM_BELS 32 SITEPROP SLICE_X7Y52 NUM_INPUTS 32 SITEPROP SLICE_X7Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y52 NUM_PINS 45 SITEPROP SLICE_X7Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y52 PROHIBIT 0 SITEPROP SLICE_X7Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y52 RPM_X 31 SITEPROP SLICE_X7Y52 RPM_Y 104 SITEPROP SLICE_X7Y52 SITE_PIPS SITEPROP SLICE_X7Y52 SITE_TYPE SLICEL SITEPROP SLICE_X7Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y53 CLASS site SITEPROP SLICE_X7Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y53 IS_BONDED 0 SITEPROP SLICE_X7Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y53 IS_PAD 0 SITEPROP SLICE_X7Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y53 IS_RESERVED 0 SITEPROP SLICE_X7Y53 IS_TEST 0 SITEPROP SLICE_X7Y53 IS_USED 0 SITEPROP SLICE_X7Y53 MANUAL_ROUTING SITEPROP SLICE_X7Y53 NAME SLICE_X7Y53 SITEPROP SLICE_X7Y53 NUM_ARCS 138 SITEPROP SLICE_X7Y53 NUM_BELS 32 SITEPROP SLICE_X7Y53 NUM_INPUTS 32 SITEPROP SLICE_X7Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y53 NUM_PINS 45 SITEPROP SLICE_X7Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y53 PROHIBIT 0 SITEPROP SLICE_X7Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y53 RPM_X 31 SITEPROP SLICE_X7Y53 RPM_Y 106 SITEPROP SLICE_X7Y53 SITE_PIPS SITEPROP SLICE_X7Y53 SITE_TYPE SLICEL SITEPROP SLICE_X7Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y54 CLASS site SITEPROP SLICE_X7Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y54 IS_BONDED 0 SITEPROP SLICE_X7Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y54 IS_PAD 0 SITEPROP SLICE_X7Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y54 IS_RESERVED 0 SITEPROP SLICE_X7Y54 IS_TEST 0 SITEPROP SLICE_X7Y54 IS_USED 0 SITEPROP SLICE_X7Y54 MANUAL_ROUTING SITEPROP SLICE_X7Y54 NAME SLICE_X7Y54 SITEPROP SLICE_X7Y54 NUM_ARCS 138 SITEPROP SLICE_X7Y54 NUM_BELS 32 SITEPROP SLICE_X7Y54 NUM_INPUTS 32 SITEPROP SLICE_X7Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y54 NUM_PINS 45 SITEPROP SLICE_X7Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y54 PROHIBIT 0 SITEPROP SLICE_X7Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y54 RPM_X 31 SITEPROP SLICE_X7Y54 RPM_Y 108 SITEPROP SLICE_X7Y54 SITE_PIPS SITEPROP SLICE_X7Y54 SITE_TYPE SLICEL SITEPROP SLICE_X7Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y55 CLASS site SITEPROP SLICE_X7Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y55 IS_BONDED 0 SITEPROP SLICE_X7Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y55 IS_PAD 0 SITEPROP SLICE_X7Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y55 IS_RESERVED 0 SITEPROP SLICE_X7Y55 IS_TEST 0 SITEPROP SLICE_X7Y55 IS_USED 0 SITEPROP SLICE_X7Y55 MANUAL_ROUTING SITEPROP SLICE_X7Y55 NAME SLICE_X7Y55 SITEPROP SLICE_X7Y55 NUM_ARCS 138 SITEPROP SLICE_X7Y55 NUM_BELS 32 SITEPROP SLICE_X7Y55 NUM_INPUTS 32 SITEPROP SLICE_X7Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y55 NUM_PINS 45 SITEPROP SLICE_X7Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y55 PROHIBIT 0 SITEPROP SLICE_X7Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y55 RPM_X 31 SITEPROP SLICE_X7Y55 RPM_Y 110 SITEPROP SLICE_X7Y55 SITE_PIPS SITEPROP SLICE_X7Y55 SITE_TYPE SLICEL SITEPROP SLICE_X7Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y56 CLASS site SITEPROP SLICE_X7Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y56 IS_BONDED 0 SITEPROP SLICE_X7Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y56 IS_PAD 0 SITEPROP SLICE_X7Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y56 IS_RESERVED 0 SITEPROP SLICE_X7Y56 IS_TEST 0 SITEPROP SLICE_X7Y56 IS_USED 0 SITEPROP SLICE_X7Y56 MANUAL_ROUTING SITEPROP SLICE_X7Y56 NAME SLICE_X7Y56 SITEPROP SLICE_X7Y56 NUM_ARCS 138 SITEPROP SLICE_X7Y56 NUM_BELS 32 SITEPROP SLICE_X7Y56 NUM_INPUTS 32 SITEPROP SLICE_X7Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y56 NUM_PINS 45 SITEPROP SLICE_X7Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y56 PROHIBIT 0 SITEPROP SLICE_X7Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y56 RPM_X 31 SITEPROP SLICE_X7Y56 RPM_Y 112 SITEPROP SLICE_X7Y56 SITE_PIPS SITEPROP SLICE_X7Y56 SITE_TYPE SLICEL SITEPROP SLICE_X7Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y57 CLASS site SITEPROP SLICE_X7Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y57 IS_BONDED 0 SITEPROP SLICE_X7Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y57 IS_PAD 0 SITEPROP SLICE_X7Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y57 IS_RESERVED 0 SITEPROP SLICE_X7Y57 IS_TEST 0 SITEPROP SLICE_X7Y57 IS_USED 0 SITEPROP SLICE_X7Y57 MANUAL_ROUTING SITEPROP SLICE_X7Y57 NAME SLICE_X7Y57 SITEPROP SLICE_X7Y57 NUM_ARCS 138 SITEPROP SLICE_X7Y57 NUM_BELS 32 SITEPROP SLICE_X7Y57 NUM_INPUTS 32 SITEPROP SLICE_X7Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y57 NUM_PINS 45 SITEPROP SLICE_X7Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y57 PROHIBIT 0 SITEPROP SLICE_X7Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y57 RPM_X 31 SITEPROP SLICE_X7Y57 RPM_Y 114 SITEPROP SLICE_X7Y57 SITE_PIPS SITEPROP SLICE_X7Y57 SITE_TYPE SLICEL SITEPROP SLICE_X7Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y58 CLASS site SITEPROP SLICE_X7Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y58 IS_BONDED 0 SITEPROP SLICE_X7Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y58 IS_PAD 0 SITEPROP SLICE_X7Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y58 IS_RESERVED 0 SITEPROP SLICE_X7Y58 IS_TEST 0 SITEPROP SLICE_X7Y58 IS_USED 0 SITEPROP SLICE_X7Y58 MANUAL_ROUTING SITEPROP SLICE_X7Y58 NAME SLICE_X7Y58 SITEPROP SLICE_X7Y58 NUM_ARCS 138 SITEPROP SLICE_X7Y58 NUM_BELS 32 SITEPROP SLICE_X7Y58 NUM_INPUTS 32 SITEPROP SLICE_X7Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y58 NUM_PINS 45 SITEPROP SLICE_X7Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y58 PROHIBIT 0 SITEPROP SLICE_X7Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y58 RPM_X 31 SITEPROP SLICE_X7Y58 RPM_Y 116 SITEPROP SLICE_X7Y58 SITE_PIPS SITEPROP SLICE_X7Y58 SITE_TYPE SLICEL SITEPROP SLICE_X7Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y59 CLASS site SITEPROP SLICE_X7Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y59 IS_BONDED 0 SITEPROP SLICE_X7Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y59 IS_PAD 0 SITEPROP SLICE_X7Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y59 IS_RESERVED 0 SITEPROP SLICE_X7Y59 IS_TEST 0 SITEPROP SLICE_X7Y59 IS_USED 0 SITEPROP SLICE_X7Y59 MANUAL_ROUTING SITEPROP SLICE_X7Y59 NAME SLICE_X7Y59 SITEPROP SLICE_X7Y59 NUM_ARCS 138 SITEPROP SLICE_X7Y59 NUM_BELS 32 SITEPROP SLICE_X7Y59 NUM_INPUTS 32 SITEPROP SLICE_X7Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y59 NUM_PINS 45 SITEPROP SLICE_X7Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y59 PROHIBIT 0 SITEPROP SLICE_X7Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y59 RPM_X 31 SITEPROP SLICE_X7Y59 RPM_Y 118 SITEPROP SLICE_X7Y59 SITE_PIPS SITEPROP SLICE_X7Y59 SITE_TYPE SLICEL SITEPROP SLICE_X7Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y60 CLASS site SITEPROP SLICE_X7Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y60 IS_BONDED 0 SITEPROP SLICE_X7Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y60 IS_PAD 0 SITEPROP SLICE_X7Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y60 IS_RESERVED 0 SITEPROP SLICE_X7Y60 IS_TEST 0 SITEPROP SLICE_X7Y60 IS_USED 0 SITEPROP SLICE_X7Y60 MANUAL_ROUTING SITEPROP SLICE_X7Y60 NAME SLICE_X7Y60 SITEPROP SLICE_X7Y60 NUM_ARCS 138 SITEPROP SLICE_X7Y60 NUM_BELS 32 SITEPROP SLICE_X7Y60 NUM_INPUTS 32 SITEPROP SLICE_X7Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y60 NUM_PINS 45 SITEPROP SLICE_X7Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y60 PROHIBIT 0 SITEPROP SLICE_X7Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y60 RPM_X 31 SITEPROP SLICE_X7Y60 RPM_Y 120 SITEPROP SLICE_X7Y60 SITE_PIPS SITEPROP SLICE_X7Y60 SITE_TYPE SLICEL SITEPROP SLICE_X7Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y61 CLASS site SITEPROP SLICE_X7Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y61 IS_BONDED 0 SITEPROP SLICE_X7Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y61 IS_PAD 0 SITEPROP SLICE_X7Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y61 IS_RESERVED 0 SITEPROP SLICE_X7Y61 IS_TEST 0 SITEPROP SLICE_X7Y61 IS_USED 0 SITEPROP SLICE_X7Y61 MANUAL_ROUTING SITEPROP SLICE_X7Y61 NAME SLICE_X7Y61 SITEPROP SLICE_X7Y61 NUM_ARCS 138 SITEPROP SLICE_X7Y61 NUM_BELS 32 SITEPROP SLICE_X7Y61 NUM_INPUTS 32 SITEPROP SLICE_X7Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y61 NUM_PINS 45 SITEPROP SLICE_X7Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y61 PROHIBIT 0 SITEPROP SLICE_X7Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y61 RPM_X 31 SITEPROP SLICE_X7Y61 RPM_Y 122 SITEPROP SLICE_X7Y61 SITE_PIPS SITEPROP SLICE_X7Y61 SITE_TYPE SLICEL SITEPROP SLICE_X7Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y62 CLASS site SITEPROP SLICE_X7Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y62 IS_BONDED 0 SITEPROP SLICE_X7Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y62 IS_PAD 0 SITEPROP SLICE_X7Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y62 IS_RESERVED 0 SITEPROP SLICE_X7Y62 IS_TEST 0 SITEPROP SLICE_X7Y62 IS_USED 0 SITEPROP SLICE_X7Y62 MANUAL_ROUTING SITEPROP SLICE_X7Y62 NAME SLICE_X7Y62 SITEPROP SLICE_X7Y62 NUM_ARCS 138 SITEPROP SLICE_X7Y62 NUM_BELS 32 SITEPROP SLICE_X7Y62 NUM_INPUTS 32 SITEPROP SLICE_X7Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y62 NUM_PINS 45 SITEPROP SLICE_X7Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y62 PROHIBIT 0 SITEPROP SLICE_X7Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y62 RPM_X 31 SITEPROP SLICE_X7Y62 RPM_Y 124 SITEPROP SLICE_X7Y62 SITE_PIPS SITEPROP SLICE_X7Y62 SITE_TYPE SLICEL SITEPROP SLICE_X7Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y63 CLASS site SITEPROP SLICE_X7Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y63 IS_BONDED 0 SITEPROP SLICE_X7Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y63 IS_PAD 0 SITEPROP SLICE_X7Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y63 IS_RESERVED 0 SITEPROP SLICE_X7Y63 IS_TEST 0 SITEPROP SLICE_X7Y63 IS_USED 0 SITEPROP SLICE_X7Y63 MANUAL_ROUTING SITEPROP SLICE_X7Y63 NAME SLICE_X7Y63 SITEPROP SLICE_X7Y63 NUM_ARCS 138 SITEPROP SLICE_X7Y63 NUM_BELS 32 SITEPROP SLICE_X7Y63 NUM_INPUTS 32 SITEPROP SLICE_X7Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y63 NUM_PINS 45 SITEPROP SLICE_X7Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y63 PROHIBIT 0 SITEPROP SLICE_X7Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y63 RPM_X 31 SITEPROP SLICE_X7Y63 RPM_Y 126 SITEPROP SLICE_X7Y63 SITE_PIPS SITEPROP SLICE_X7Y63 SITE_TYPE SLICEL SITEPROP SLICE_X7Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y64 CLASS site SITEPROP SLICE_X7Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y64 IS_BONDED 0 SITEPROP SLICE_X7Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y64 IS_PAD 0 SITEPROP SLICE_X7Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y64 IS_RESERVED 0 SITEPROP SLICE_X7Y64 IS_TEST 0 SITEPROP SLICE_X7Y64 IS_USED 0 SITEPROP SLICE_X7Y64 MANUAL_ROUTING SITEPROP SLICE_X7Y64 NAME SLICE_X7Y64 SITEPROP SLICE_X7Y64 NUM_ARCS 138 SITEPROP SLICE_X7Y64 NUM_BELS 32 SITEPROP SLICE_X7Y64 NUM_INPUTS 32 SITEPROP SLICE_X7Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y64 NUM_PINS 45 SITEPROP SLICE_X7Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y64 PROHIBIT 0 SITEPROP SLICE_X7Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y64 RPM_X 31 SITEPROP SLICE_X7Y64 RPM_Y 128 SITEPROP SLICE_X7Y64 SITE_PIPS SITEPROP SLICE_X7Y64 SITE_TYPE SLICEL SITEPROP SLICE_X7Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y65 CLASS site SITEPROP SLICE_X7Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y65 IS_BONDED 0 SITEPROP SLICE_X7Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y65 IS_PAD 0 SITEPROP SLICE_X7Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y65 IS_RESERVED 0 SITEPROP SLICE_X7Y65 IS_TEST 0 SITEPROP SLICE_X7Y65 IS_USED 0 SITEPROP SLICE_X7Y65 MANUAL_ROUTING SITEPROP SLICE_X7Y65 NAME SLICE_X7Y65 SITEPROP SLICE_X7Y65 NUM_ARCS 138 SITEPROP SLICE_X7Y65 NUM_BELS 32 SITEPROP SLICE_X7Y65 NUM_INPUTS 32 SITEPROP SLICE_X7Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y65 NUM_PINS 45 SITEPROP SLICE_X7Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y65 PROHIBIT 0 SITEPROP SLICE_X7Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y65 RPM_X 31 SITEPROP SLICE_X7Y65 RPM_Y 130 SITEPROP SLICE_X7Y65 SITE_PIPS SITEPROP SLICE_X7Y65 SITE_TYPE SLICEL SITEPROP SLICE_X7Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y66 CLASS site SITEPROP SLICE_X7Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y66 IS_BONDED 0 SITEPROP SLICE_X7Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y66 IS_PAD 0 SITEPROP SLICE_X7Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y66 IS_RESERVED 0 SITEPROP SLICE_X7Y66 IS_TEST 0 SITEPROP SLICE_X7Y66 IS_USED 0 SITEPROP SLICE_X7Y66 MANUAL_ROUTING SITEPROP SLICE_X7Y66 NAME SLICE_X7Y66 SITEPROP SLICE_X7Y66 NUM_ARCS 138 SITEPROP SLICE_X7Y66 NUM_BELS 32 SITEPROP SLICE_X7Y66 NUM_INPUTS 32 SITEPROP SLICE_X7Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y66 NUM_PINS 45 SITEPROP SLICE_X7Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y66 PROHIBIT 0 SITEPROP SLICE_X7Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y66 RPM_X 31 SITEPROP SLICE_X7Y66 RPM_Y 132 SITEPROP SLICE_X7Y66 SITE_PIPS SITEPROP SLICE_X7Y66 SITE_TYPE SLICEL SITEPROP SLICE_X7Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y67 CLASS site SITEPROP SLICE_X7Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y67 IS_BONDED 0 SITEPROP SLICE_X7Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y67 IS_PAD 0 SITEPROP SLICE_X7Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y67 IS_RESERVED 0 SITEPROP SLICE_X7Y67 IS_TEST 0 SITEPROP SLICE_X7Y67 IS_USED 0 SITEPROP SLICE_X7Y67 MANUAL_ROUTING SITEPROP SLICE_X7Y67 NAME SLICE_X7Y67 SITEPROP SLICE_X7Y67 NUM_ARCS 138 SITEPROP SLICE_X7Y67 NUM_BELS 32 SITEPROP SLICE_X7Y67 NUM_INPUTS 32 SITEPROP SLICE_X7Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y67 NUM_PINS 45 SITEPROP SLICE_X7Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y67 PROHIBIT 0 SITEPROP SLICE_X7Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y67 RPM_X 31 SITEPROP SLICE_X7Y67 RPM_Y 134 SITEPROP SLICE_X7Y67 SITE_PIPS SITEPROP SLICE_X7Y67 SITE_TYPE SLICEL SITEPROP SLICE_X7Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y68 CLASS site SITEPROP SLICE_X7Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y68 IS_BONDED 0 SITEPROP SLICE_X7Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y68 IS_PAD 0 SITEPROP SLICE_X7Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y68 IS_RESERVED 0 SITEPROP SLICE_X7Y68 IS_TEST 0 SITEPROP SLICE_X7Y68 IS_USED 0 SITEPROP SLICE_X7Y68 MANUAL_ROUTING SITEPROP SLICE_X7Y68 NAME SLICE_X7Y68 SITEPROP SLICE_X7Y68 NUM_ARCS 138 SITEPROP SLICE_X7Y68 NUM_BELS 32 SITEPROP SLICE_X7Y68 NUM_INPUTS 32 SITEPROP SLICE_X7Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y68 NUM_PINS 45 SITEPROP SLICE_X7Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y68 PROHIBIT 0 SITEPROP SLICE_X7Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y68 RPM_X 31 SITEPROP SLICE_X7Y68 RPM_Y 136 SITEPROP SLICE_X7Y68 SITE_PIPS SITEPROP SLICE_X7Y68 SITE_TYPE SLICEL SITEPROP SLICE_X7Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y69 CLASS site SITEPROP SLICE_X7Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y69 IS_BONDED 0 SITEPROP SLICE_X7Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y69 IS_PAD 0 SITEPROP SLICE_X7Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y69 IS_RESERVED 0 SITEPROP SLICE_X7Y69 IS_TEST 0 SITEPROP SLICE_X7Y69 IS_USED 0 SITEPROP SLICE_X7Y69 MANUAL_ROUTING SITEPROP SLICE_X7Y69 NAME SLICE_X7Y69 SITEPROP SLICE_X7Y69 NUM_ARCS 138 SITEPROP SLICE_X7Y69 NUM_BELS 32 SITEPROP SLICE_X7Y69 NUM_INPUTS 32 SITEPROP SLICE_X7Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y69 NUM_PINS 45 SITEPROP SLICE_X7Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y69 PROHIBIT 0 SITEPROP SLICE_X7Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y69 RPM_X 31 SITEPROP SLICE_X7Y69 RPM_Y 138 SITEPROP SLICE_X7Y69 SITE_PIPS SITEPROP SLICE_X7Y69 SITE_TYPE SLICEL SITEPROP SLICE_X7Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y70 CLASS site SITEPROP SLICE_X7Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y70 IS_BONDED 0 SITEPROP SLICE_X7Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y70 IS_PAD 0 SITEPROP SLICE_X7Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y70 IS_RESERVED 0 SITEPROP SLICE_X7Y70 IS_TEST 0 SITEPROP SLICE_X7Y70 IS_USED 0 SITEPROP SLICE_X7Y70 MANUAL_ROUTING SITEPROP SLICE_X7Y70 NAME SLICE_X7Y70 SITEPROP SLICE_X7Y70 NUM_ARCS 138 SITEPROP SLICE_X7Y70 NUM_BELS 32 SITEPROP SLICE_X7Y70 NUM_INPUTS 32 SITEPROP SLICE_X7Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y70 NUM_PINS 45 SITEPROP SLICE_X7Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y70 PROHIBIT 0 SITEPROP SLICE_X7Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y70 RPM_X 31 SITEPROP SLICE_X7Y70 RPM_Y 140 SITEPROP SLICE_X7Y70 SITE_PIPS SITEPROP SLICE_X7Y70 SITE_TYPE SLICEL SITEPROP SLICE_X7Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y71 CLASS site SITEPROP SLICE_X7Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y71 IS_BONDED 0 SITEPROP SLICE_X7Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y71 IS_PAD 0 SITEPROP SLICE_X7Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y71 IS_RESERVED 0 SITEPROP SLICE_X7Y71 IS_TEST 0 SITEPROP SLICE_X7Y71 IS_USED 0 SITEPROP SLICE_X7Y71 MANUAL_ROUTING SITEPROP SLICE_X7Y71 NAME SLICE_X7Y71 SITEPROP SLICE_X7Y71 NUM_ARCS 138 SITEPROP SLICE_X7Y71 NUM_BELS 32 SITEPROP SLICE_X7Y71 NUM_INPUTS 32 SITEPROP SLICE_X7Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y71 NUM_PINS 45 SITEPROP SLICE_X7Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y71 PROHIBIT 0 SITEPROP SLICE_X7Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y71 RPM_X 31 SITEPROP SLICE_X7Y71 RPM_Y 142 SITEPROP SLICE_X7Y71 SITE_PIPS SITEPROP SLICE_X7Y71 SITE_TYPE SLICEL SITEPROP SLICE_X7Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y72 CLASS site SITEPROP SLICE_X7Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y72 IS_BONDED 0 SITEPROP SLICE_X7Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y72 IS_PAD 0 SITEPROP SLICE_X7Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y72 IS_RESERVED 0 SITEPROP SLICE_X7Y72 IS_TEST 0 SITEPROP SLICE_X7Y72 IS_USED 0 SITEPROP SLICE_X7Y72 MANUAL_ROUTING SITEPROP SLICE_X7Y72 NAME SLICE_X7Y72 SITEPROP SLICE_X7Y72 NUM_ARCS 138 SITEPROP SLICE_X7Y72 NUM_BELS 32 SITEPROP SLICE_X7Y72 NUM_INPUTS 32 SITEPROP SLICE_X7Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y72 NUM_PINS 45 SITEPROP SLICE_X7Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y72 PROHIBIT 0 SITEPROP SLICE_X7Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y72 RPM_X 31 SITEPROP SLICE_X7Y72 RPM_Y 144 SITEPROP SLICE_X7Y72 SITE_PIPS SITEPROP SLICE_X7Y72 SITE_TYPE SLICEL SITEPROP SLICE_X7Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y73 CLASS site SITEPROP SLICE_X7Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y73 IS_BONDED 0 SITEPROP SLICE_X7Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y73 IS_PAD 0 SITEPROP SLICE_X7Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y73 IS_RESERVED 0 SITEPROP SLICE_X7Y73 IS_TEST 0 SITEPROP SLICE_X7Y73 IS_USED 0 SITEPROP SLICE_X7Y73 MANUAL_ROUTING SITEPROP SLICE_X7Y73 NAME SLICE_X7Y73 SITEPROP SLICE_X7Y73 NUM_ARCS 138 SITEPROP SLICE_X7Y73 NUM_BELS 32 SITEPROP SLICE_X7Y73 NUM_INPUTS 32 SITEPROP SLICE_X7Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y73 NUM_PINS 45 SITEPROP SLICE_X7Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y73 PROHIBIT 0 SITEPROP SLICE_X7Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y73 RPM_X 31 SITEPROP SLICE_X7Y73 RPM_Y 146 SITEPROP SLICE_X7Y73 SITE_PIPS SITEPROP SLICE_X7Y73 SITE_TYPE SLICEL SITEPROP SLICE_X7Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y74 CLASS site SITEPROP SLICE_X7Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y74 IS_BONDED 0 SITEPROP SLICE_X7Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y74 IS_PAD 0 SITEPROP SLICE_X7Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y74 IS_RESERVED 0 SITEPROP SLICE_X7Y74 IS_TEST 0 SITEPROP SLICE_X7Y74 IS_USED 0 SITEPROP SLICE_X7Y74 MANUAL_ROUTING SITEPROP SLICE_X7Y74 NAME SLICE_X7Y74 SITEPROP SLICE_X7Y74 NUM_ARCS 138 SITEPROP SLICE_X7Y74 NUM_BELS 32 SITEPROP SLICE_X7Y74 NUM_INPUTS 32 SITEPROP SLICE_X7Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y74 NUM_PINS 45 SITEPROP SLICE_X7Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y74 PROHIBIT 0 SITEPROP SLICE_X7Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y74 RPM_X 31 SITEPROP SLICE_X7Y74 RPM_Y 148 SITEPROP SLICE_X7Y74 SITE_PIPS SITEPROP SLICE_X7Y74 SITE_TYPE SLICEL SITEPROP SLICE_X7Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y75 CLASS site SITEPROP SLICE_X7Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y75 IS_BONDED 0 SITEPROP SLICE_X7Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y75 IS_PAD 0 SITEPROP SLICE_X7Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y75 IS_RESERVED 0 SITEPROP SLICE_X7Y75 IS_TEST 0 SITEPROP SLICE_X7Y75 IS_USED 0 SITEPROP SLICE_X7Y75 MANUAL_ROUTING SITEPROP SLICE_X7Y75 NAME SLICE_X7Y75 SITEPROP SLICE_X7Y75 NUM_ARCS 138 SITEPROP SLICE_X7Y75 NUM_BELS 32 SITEPROP SLICE_X7Y75 NUM_INPUTS 32 SITEPROP SLICE_X7Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y75 NUM_PINS 45 SITEPROP SLICE_X7Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y75 PROHIBIT 0 SITEPROP SLICE_X7Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y75 RPM_X 31 SITEPROP SLICE_X7Y75 RPM_Y 150 SITEPROP SLICE_X7Y75 SITE_PIPS SITEPROP SLICE_X7Y75 SITE_TYPE SLICEL SITEPROP SLICE_X7Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y76 CLASS site SITEPROP SLICE_X7Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y76 IS_BONDED 0 SITEPROP SLICE_X7Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y76 IS_PAD 0 SITEPROP SLICE_X7Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y76 IS_RESERVED 0 SITEPROP SLICE_X7Y76 IS_TEST 0 SITEPROP SLICE_X7Y76 IS_USED 0 SITEPROP SLICE_X7Y76 MANUAL_ROUTING SITEPROP SLICE_X7Y76 NAME SLICE_X7Y76 SITEPROP SLICE_X7Y76 NUM_ARCS 138 SITEPROP SLICE_X7Y76 NUM_BELS 32 SITEPROP SLICE_X7Y76 NUM_INPUTS 32 SITEPROP SLICE_X7Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y76 NUM_PINS 45 SITEPROP SLICE_X7Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y76 PROHIBIT 0 SITEPROP SLICE_X7Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y76 RPM_X 31 SITEPROP SLICE_X7Y76 RPM_Y 152 SITEPROP SLICE_X7Y76 SITE_PIPS SITEPROP SLICE_X7Y76 SITE_TYPE SLICEL SITEPROP SLICE_X7Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y77 CLASS site SITEPROP SLICE_X7Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y77 IS_BONDED 0 SITEPROP SLICE_X7Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y77 IS_PAD 0 SITEPROP SLICE_X7Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y77 IS_RESERVED 0 SITEPROP SLICE_X7Y77 IS_TEST 0 SITEPROP SLICE_X7Y77 IS_USED 0 SITEPROP SLICE_X7Y77 MANUAL_ROUTING SITEPROP SLICE_X7Y77 NAME SLICE_X7Y77 SITEPROP SLICE_X7Y77 NUM_ARCS 138 SITEPROP SLICE_X7Y77 NUM_BELS 32 SITEPROP SLICE_X7Y77 NUM_INPUTS 32 SITEPROP SLICE_X7Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y77 NUM_PINS 45 SITEPROP SLICE_X7Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y77 PROHIBIT 0 SITEPROP SLICE_X7Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y77 RPM_X 31 SITEPROP SLICE_X7Y77 RPM_Y 154 SITEPROP SLICE_X7Y77 SITE_PIPS SITEPROP SLICE_X7Y77 SITE_TYPE SLICEL SITEPROP SLICE_X7Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y78 CLASS site SITEPROP SLICE_X7Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y78 IS_BONDED 0 SITEPROP SLICE_X7Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y78 IS_PAD 0 SITEPROP SLICE_X7Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y78 IS_RESERVED 0 SITEPROP SLICE_X7Y78 IS_TEST 0 SITEPROP SLICE_X7Y78 IS_USED 0 SITEPROP SLICE_X7Y78 MANUAL_ROUTING SITEPROP SLICE_X7Y78 NAME SLICE_X7Y78 SITEPROP SLICE_X7Y78 NUM_ARCS 138 SITEPROP SLICE_X7Y78 NUM_BELS 32 SITEPROP SLICE_X7Y78 NUM_INPUTS 32 SITEPROP SLICE_X7Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y78 NUM_PINS 45 SITEPROP SLICE_X7Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y78 PROHIBIT 0 SITEPROP SLICE_X7Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y78 RPM_X 31 SITEPROP SLICE_X7Y78 RPM_Y 156 SITEPROP SLICE_X7Y78 SITE_PIPS SITEPROP SLICE_X7Y78 SITE_TYPE SLICEL SITEPROP SLICE_X7Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y79 CLASS site SITEPROP SLICE_X7Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y79 IS_BONDED 0 SITEPROP SLICE_X7Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y79 IS_PAD 0 SITEPROP SLICE_X7Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y79 IS_RESERVED 0 SITEPROP SLICE_X7Y79 IS_TEST 0 SITEPROP SLICE_X7Y79 IS_USED 0 SITEPROP SLICE_X7Y79 MANUAL_ROUTING SITEPROP SLICE_X7Y79 NAME SLICE_X7Y79 SITEPROP SLICE_X7Y79 NUM_ARCS 138 SITEPROP SLICE_X7Y79 NUM_BELS 32 SITEPROP SLICE_X7Y79 NUM_INPUTS 32 SITEPROP SLICE_X7Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y79 NUM_PINS 45 SITEPROP SLICE_X7Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y79 PROHIBIT 0 SITEPROP SLICE_X7Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y79 RPM_X 31 SITEPROP SLICE_X7Y79 RPM_Y 158 SITEPROP SLICE_X7Y79 SITE_PIPS SITEPROP SLICE_X7Y79 SITE_TYPE SLICEL SITEPROP SLICE_X7Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y80 CLASS site SITEPROP SLICE_X7Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y80 IS_BONDED 0 SITEPROP SLICE_X7Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y80 IS_PAD 0 SITEPROP SLICE_X7Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y80 IS_RESERVED 0 SITEPROP SLICE_X7Y80 IS_TEST 0 SITEPROP SLICE_X7Y80 IS_USED 0 SITEPROP SLICE_X7Y80 MANUAL_ROUTING SITEPROP SLICE_X7Y80 NAME SLICE_X7Y80 SITEPROP SLICE_X7Y80 NUM_ARCS 138 SITEPROP SLICE_X7Y80 NUM_BELS 32 SITEPROP SLICE_X7Y80 NUM_INPUTS 32 SITEPROP SLICE_X7Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y80 NUM_PINS 45 SITEPROP SLICE_X7Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y80 PROHIBIT 0 SITEPROP SLICE_X7Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y80 RPM_X 31 SITEPROP SLICE_X7Y80 RPM_Y 160 SITEPROP SLICE_X7Y80 SITE_PIPS SITEPROP SLICE_X7Y80 SITE_TYPE SLICEL SITEPROP SLICE_X7Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y81 CLASS site SITEPROP SLICE_X7Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y81 IS_BONDED 0 SITEPROP SLICE_X7Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y81 IS_PAD 0 SITEPROP SLICE_X7Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y81 IS_RESERVED 0 SITEPROP SLICE_X7Y81 IS_TEST 0 SITEPROP SLICE_X7Y81 IS_USED 0 SITEPROP SLICE_X7Y81 MANUAL_ROUTING SITEPROP SLICE_X7Y81 NAME SLICE_X7Y81 SITEPROP SLICE_X7Y81 NUM_ARCS 138 SITEPROP SLICE_X7Y81 NUM_BELS 32 SITEPROP SLICE_X7Y81 NUM_INPUTS 32 SITEPROP SLICE_X7Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y81 NUM_PINS 45 SITEPROP SLICE_X7Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y81 PROHIBIT 0 SITEPROP SLICE_X7Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y81 RPM_X 31 SITEPROP SLICE_X7Y81 RPM_Y 162 SITEPROP SLICE_X7Y81 SITE_PIPS SITEPROP SLICE_X7Y81 SITE_TYPE SLICEL SITEPROP SLICE_X7Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y82 CLASS site SITEPROP SLICE_X7Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y82 IS_BONDED 0 SITEPROP SLICE_X7Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y82 IS_PAD 0 SITEPROP SLICE_X7Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y82 IS_RESERVED 0 SITEPROP SLICE_X7Y82 IS_TEST 0 SITEPROP SLICE_X7Y82 IS_USED 0 SITEPROP SLICE_X7Y82 MANUAL_ROUTING SITEPROP SLICE_X7Y82 NAME SLICE_X7Y82 SITEPROP SLICE_X7Y82 NUM_ARCS 138 SITEPROP SLICE_X7Y82 NUM_BELS 32 SITEPROP SLICE_X7Y82 NUM_INPUTS 32 SITEPROP SLICE_X7Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y82 NUM_PINS 45 SITEPROP SLICE_X7Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y82 PROHIBIT 0 SITEPROP SLICE_X7Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y82 RPM_X 31 SITEPROP SLICE_X7Y82 RPM_Y 164 SITEPROP SLICE_X7Y82 SITE_PIPS SITEPROP SLICE_X7Y82 SITE_TYPE SLICEL SITEPROP SLICE_X7Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y83 CLASS site SITEPROP SLICE_X7Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y83 IS_BONDED 0 SITEPROP SLICE_X7Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y83 IS_PAD 0 SITEPROP SLICE_X7Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y83 IS_RESERVED 0 SITEPROP SLICE_X7Y83 IS_TEST 0 SITEPROP SLICE_X7Y83 IS_USED 0 SITEPROP SLICE_X7Y83 MANUAL_ROUTING SITEPROP SLICE_X7Y83 NAME SLICE_X7Y83 SITEPROP SLICE_X7Y83 NUM_ARCS 138 SITEPROP SLICE_X7Y83 NUM_BELS 32 SITEPROP SLICE_X7Y83 NUM_INPUTS 32 SITEPROP SLICE_X7Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y83 NUM_PINS 45 SITEPROP SLICE_X7Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y83 PROHIBIT 0 SITEPROP SLICE_X7Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y83 RPM_X 31 SITEPROP SLICE_X7Y83 RPM_Y 166 SITEPROP SLICE_X7Y83 SITE_PIPS SITEPROP SLICE_X7Y83 SITE_TYPE SLICEL SITEPROP SLICE_X7Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y84 CLASS site SITEPROP SLICE_X7Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y84 IS_BONDED 0 SITEPROP SLICE_X7Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y84 IS_PAD 0 SITEPROP SLICE_X7Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y84 IS_RESERVED 0 SITEPROP SLICE_X7Y84 IS_TEST 0 SITEPROP SLICE_X7Y84 IS_USED 0 SITEPROP SLICE_X7Y84 MANUAL_ROUTING SITEPROP SLICE_X7Y84 NAME SLICE_X7Y84 SITEPROP SLICE_X7Y84 NUM_ARCS 138 SITEPROP SLICE_X7Y84 NUM_BELS 32 SITEPROP SLICE_X7Y84 NUM_INPUTS 32 SITEPROP SLICE_X7Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y84 NUM_PINS 45 SITEPROP SLICE_X7Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y84 PROHIBIT 0 SITEPROP SLICE_X7Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y84 RPM_X 31 SITEPROP SLICE_X7Y84 RPM_Y 168 SITEPROP SLICE_X7Y84 SITE_PIPS SITEPROP SLICE_X7Y84 SITE_TYPE SLICEL SITEPROP SLICE_X7Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y85 CLASS site SITEPROP SLICE_X7Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y85 IS_BONDED 0 SITEPROP SLICE_X7Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y85 IS_PAD 0 SITEPROP SLICE_X7Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y85 IS_RESERVED 0 SITEPROP SLICE_X7Y85 IS_TEST 0 SITEPROP SLICE_X7Y85 IS_USED 0 SITEPROP SLICE_X7Y85 MANUAL_ROUTING SITEPROP SLICE_X7Y85 NAME SLICE_X7Y85 SITEPROP SLICE_X7Y85 NUM_ARCS 138 SITEPROP SLICE_X7Y85 NUM_BELS 32 SITEPROP SLICE_X7Y85 NUM_INPUTS 32 SITEPROP SLICE_X7Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y85 NUM_PINS 45 SITEPROP SLICE_X7Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y85 PROHIBIT 0 SITEPROP SLICE_X7Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y85 RPM_X 31 SITEPROP SLICE_X7Y85 RPM_Y 170 SITEPROP SLICE_X7Y85 SITE_PIPS SITEPROP SLICE_X7Y85 SITE_TYPE SLICEL SITEPROP SLICE_X7Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y86 CLASS site SITEPROP SLICE_X7Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y86 IS_BONDED 0 SITEPROP SLICE_X7Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y86 IS_PAD 0 SITEPROP SLICE_X7Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y86 IS_RESERVED 0 SITEPROP SLICE_X7Y86 IS_TEST 0 SITEPROP SLICE_X7Y86 IS_USED 0 SITEPROP SLICE_X7Y86 MANUAL_ROUTING SITEPROP SLICE_X7Y86 NAME SLICE_X7Y86 SITEPROP SLICE_X7Y86 NUM_ARCS 138 SITEPROP SLICE_X7Y86 NUM_BELS 32 SITEPROP SLICE_X7Y86 NUM_INPUTS 32 SITEPROP SLICE_X7Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y86 NUM_PINS 45 SITEPROP SLICE_X7Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y86 PROHIBIT 0 SITEPROP SLICE_X7Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y86 RPM_X 31 SITEPROP SLICE_X7Y86 RPM_Y 172 SITEPROP SLICE_X7Y86 SITE_PIPS SITEPROP SLICE_X7Y86 SITE_TYPE SLICEL SITEPROP SLICE_X7Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y87 CLASS site SITEPROP SLICE_X7Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y87 IS_BONDED 0 SITEPROP SLICE_X7Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y87 IS_PAD 0 SITEPROP SLICE_X7Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y87 IS_RESERVED 0 SITEPROP SLICE_X7Y87 IS_TEST 0 SITEPROP SLICE_X7Y87 IS_USED 0 SITEPROP SLICE_X7Y87 MANUAL_ROUTING SITEPROP SLICE_X7Y87 NAME SLICE_X7Y87 SITEPROP SLICE_X7Y87 NUM_ARCS 138 SITEPROP SLICE_X7Y87 NUM_BELS 32 SITEPROP SLICE_X7Y87 NUM_INPUTS 32 SITEPROP SLICE_X7Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y87 NUM_PINS 45 SITEPROP SLICE_X7Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y87 PROHIBIT 0 SITEPROP SLICE_X7Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y87 RPM_X 31 SITEPROP SLICE_X7Y87 RPM_Y 174 SITEPROP SLICE_X7Y87 SITE_PIPS SITEPROP SLICE_X7Y87 SITE_TYPE SLICEL SITEPROP SLICE_X7Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y88 CLASS site SITEPROP SLICE_X7Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y88 IS_BONDED 0 SITEPROP SLICE_X7Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y88 IS_PAD 0 SITEPROP SLICE_X7Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y88 IS_RESERVED 0 SITEPROP SLICE_X7Y88 IS_TEST 0 SITEPROP SLICE_X7Y88 IS_USED 0 SITEPROP SLICE_X7Y88 MANUAL_ROUTING SITEPROP SLICE_X7Y88 NAME SLICE_X7Y88 SITEPROP SLICE_X7Y88 NUM_ARCS 138 SITEPROP SLICE_X7Y88 NUM_BELS 32 SITEPROP SLICE_X7Y88 NUM_INPUTS 32 SITEPROP SLICE_X7Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y88 NUM_PINS 45 SITEPROP SLICE_X7Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y88 PROHIBIT 0 SITEPROP SLICE_X7Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y88 RPM_X 31 SITEPROP SLICE_X7Y88 RPM_Y 176 SITEPROP SLICE_X7Y88 SITE_PIPS SITEPROP SLICE_X7Y88 SITE_TYPE SLICEL SITEPROP SLICE_X7Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y89 CLASS site SITEPROP SLICE_X7Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y89 IS_BONDED 0 SITEPROP SLICE_X7Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y89 IS_PAD 0 SITEPROP SLICE_X7Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y89 IS_RESERVED 0 SITEPROP SLICE_X7Y89 IS_TEST 0 SITEPROP SLICE_X7Y89 IS_USED 0 SITEPROP SLICE_X7Y89 MANUAL_ROUTING SITEPROP SLICE_X7Y89 NAME SLICE_X7Y89 SITEPROP SLICE_X7Y89 NUM_ARCS 138 SITEPROP SLICE_X7Y89 NUM_BELS 32 SITEPROP SLICE_X7Y89 NUM_INPUTS 32 SITEPROP SLICE_X7Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y89 NUM_PINS 45 SITEPROP SLICE_X7Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y89 PROHIBIT 0 SITEPROP SLICE_X7Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y89 RPM_X 31 SITEPROP SLICE_X7Y89 RPM_Y 178 SITEPROP SLICE_X7Y89 SITE_PIPS SITEPROP SLICE_X7Y89 SITE_TYPE SLICEL SITEPROP SLICE_X7Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y90 CLASS site SITEPROP SLICE_X7Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y90 IS_BONDED 0 SITEPROP SLICE_X7Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y90 IS_PAD 0 SITEPROP SLICE_X7Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y90 IS_RESERVED 0 SITEPROP SLICE_X7Y90 IS_TEST 0 SITEPROP SLICE_X7Y90 IS_USED 0 SITEPROP SLICE_X7Y90 MANUAL_ROUTING SITEPROP SLICE_X7Y90 NAME SLICE_X7Y90 SITEPROP SLICE_X7Y90 NUM_ARCS 138 SITEPROP SLICE_X7Y90 NUM_BELS 32 SITEPROP SLICE_X7Y90 NUM_INPUTS 32 SITEPROP SLICE_X7Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y90 NUM_PINS 45 SITEPROP SLICE_X7Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y90 PROHIBIT 0 SITEPROP SLICE_X7Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y90 RPM_X 31 SITEPROP SLICE_X7Y90 RPM_Y 180 SITEPROP SLICE_X7Y90 SITE_PIPS SITEPROP SLICE_X7Y90 SITE_TYPE SLICEL SITEPROP SLICE_X7Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y91 CLASS site SITEPROP SLICE_X7Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y91 IS_BONDED 0 SITEPROP SLICE_X7Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y91 IS_PAD 0 SITEPROP SLICE_X7Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y91 IS_RESERVED 0 SITEPROP SLICE_X7Y91 IS_TEST 0 SITEPROP SLICE_X7Y91 IS_USED 0 SITEPROP SLICE_X7Y91 MANUAL_ROUTING SITEPROP SLICE_X7Y91 NAME SLICE_X7Y91 SITEPROP SLICE_X7Y91 NUM_ARCS 138 SITEPROP SLICE_X7Y91 NUM_BELS 32 SITEPROP SLICE_X7Y91 NUM_INPUTS 32 SITEPROP SLICE_X7Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y91 NUM_PINS 45 SITEPROP SLICE_X7Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y91 PROHIBIT 0 SITEPROP SLICE_X7Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y91 RPM_X 31 SITEPROP SLICE_X7Y91 RPM_Y 182 SITEPROP SLICE_X7Y91 SITE_PIPS SITEPROP SLICE_X7Y91 SITE_TYPE SLICEL SITEPROP SLICE_X7Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y92 CLASS site SITEPROP SLICE_X7Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y92 IS_BONDED 0 SITEPROP SLICE_X7Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y92 IS_PAD 0 SITEPROP SLICE_X7Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y92 IS_RESERVED 0 SITEPROP SLICE_X7Y92 IS_TEST 0 SITEPROP SLICE_X7Y92 IS_USED 0 SITEPROP SLICE_X7Y92 MANUAL_ROUTING SITEPROP SLICE_X7Y92 NAME SLICE_X7Y92 SITEPROP SLICE_X7Y92 NUM_ARCS 138 SITEPROP SLICE_X7Y92 NUM_BELS 32 SITEPROP SLICE_X7Y92 NUM_INPUTS 32 SITEPROP SLICE_X7Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y92 NUM_PINS 45 SITEPROP SLICE_X7Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y92 PROHIBIT 0 SITEPROP SLICE_X7Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y92 RPM_X 31 SITEPROP SLICE_X7Y92 RPM_Y 184 SITEPROP SLICE_X7Y92 SITE_PIPS SITEPROP SLICE_X7Y92 SITE_TYPE SLICEL SITEPROP SLICE_X7Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y93 CLASS site SITEPROP SLICE_X7Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y93 IS_BONDED 0 SITEPROP SLICE_X7Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y93 IS_PAD 0 SITEPROP SLICE_X7Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y93 IS_RESERVED 0 SITEPROP SLICE_X7Y93 IS_TEST 0 SITEPROP SLICE_X7Y93 IS_USED 0 SITEPROP SLICE_X7Y93 MANUAL_ROUTING SITEPROP SLICE_X7Y93 NAME SLICE_X7Y93 SITEPROP SLICE_X7Y93 NUM_ARCS 138 SITEPROP SLICE_X7Y93 NUM_BELS 32 SITEPROP SLICE_X7Y93 NUM_INPUTS 32 SITEPROP SLICE_X7Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y93 NUM_PINS 45 SITEPROP SLICE_X7Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y93 PROHIBIT 0 SITEPROP SLICE_X7Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y93 RPM_X 31 SITEPROP SLICE_X7Y93 RPM_Y 186 SITEPROP SLICE_X7Y93 SITE_PIPS SITEPROP SLICE_X7Y93 SITE_TYPE SLICEL SITEPROP SLICE_X7Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y94 CLASS site SITEPROP SLICE_X7Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y94 IS_BONDED 0 SITEPROP SLICE_X7Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y94 IS_PAD 0 SITEPROP SLICE_X7Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y94 IS_RESERVED 0 SITEPROP SLICE_X7Y94 IS_TEST 0 SITEPROP SLICE_X7Y94 IS_USED 0 SITEPROP SLICE_X7Y94 MANUAL_ROUTING SITEPROP SLICE_X7Y94 NAME SLICE_X7Y94 SITEPROP SLICE_X7Y94 NUM_ARCS 138 SITEPROP SLICE_X7Y94 NUM_BELS 32 SITEPROP SLICE_X7Y94 NUM_INPUTS 32 SITEPROP SLICE_X7Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y94 NUM_PINS 45 SITEPROP SLICE_X7Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y94 PROHIBIT 0 SITEPROP SLICE_X7Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y94 RPM_X 31 SITEPROP SLICE_X7Y94 RPM_Y 188 SITEPROP SLICE_X7Y94 SITE_PIPS SITEPROP SLICE_X7Y94 SITE_TYPE SLICEL SITEPROP SLICE_X7Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y95 CLASS site SITEPROP SLICE_X7Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y95 IS_BONDED 0 SITEPROP SLICE_X7Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y95 IS_PAD 0 SITEPROP SLICE_X7Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y95 IS_RESERVED 0 SITEPROP SLICE_X7Y95 IS_TEST 0 SITEPROP SLICE_X7Y95 IS_USED 0 SITEPROP SLICE_X7Y95 MANUAL_ROUTING SITEPROP SLICE_X7Y95 NAME SLICE_X7Y95 SITEPROP SLICE_X7Y95 NUM_ARCS 138 SITEPROP SLICE_X7Y95 NUM_BELS 32 SITEPROP SLICE_X7Y95 NUM_INPUTS 32 SITEPROP SLICE_X7Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y95 NUM_PINS 45 SITEPROP SLICE_X7Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y95 PROHIBIT 0 SITEPROP SLICE_X7Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y95 RPM_X 31 SITEPROP SLICE_X7Y95 RPM_Y 190 SITEPROP SLICE_X7Y95 SITE_PIPS SITEPROP SLICE_X7Y95 SITE_TYPE SLICEL SITEPROP SLICE_X7Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y96 CLASS site SITEPROP SLICE_X7Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y96 IS_BONDED 0 SITEPROP SLICE_X7Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y96 IS_PAD 0 SITEPROP SLICE_X7Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y96 IS_RESERVED 0 SITEPROP SLICE_X7Y96 IS_TEST 0 SITEPROP SLICE_X7Y96 IS_USED 0 SITEPROP SLICE_X7Y96 MANUAL_ROUTING SITEPROP SLICE_X7Y96 NAME SLICE_X7Y96 SITEPROP SLICE_X7Y96 NUM_ARCS 138 SITEPROP SLICE_X7Y96 NUM_BELS 32 SITEPROP SLICE_X7Y96 NUM_INPUTS 32 SITEPROP SLICE_X7Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y96 NUM_PINS 45 SITEPROP SLICE_X7Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y96 PROHIBIT 0 SITEPROP SLICE_X7Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y96 RPM_X 31 SITEPROP SLICE_X7Y96 RPM_Y 192 SITEPROP SLICE_X7Y96 SITE_PIPS SITEPROP SLICE_X7Y96 SITE_TYPE SLICEL SITEPROP SLICE_X7Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y97 CLASS site SITEPROP SLICE_X7Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y97 IS_BONDED 0 SITEPROP SLICE_X7Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y97 IS_PAD 0 SITEPROP SLICE_X7Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y97 IS_RESERVED 0 SITEPROP SLICE_X7Y97 IS_TEST 0 SITEPROP SLICE_X7Y97 IS_USED 0 SITEPROP SLICE_X7Y97 MANUAL_ROUTING SITEPROP SLICE_X7Y97 NAME SLICE_X7Y97 SITEPROP SLICE_X7Y97 NUM_ARCS 138 SITEPROP SLICE_X7Y97 NUM_BELS 32 SITEPROP SLICE_X7Y97 NUM_INPUTS 32 SITEPROP SLICE_X7Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y97 NUM_PINS 45 SITEPROP SLICE_X7Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y97 PROHIBIT 0 SITEPROP SLICE_X7Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y97 RPM_X 31 SITEPROP SLICE_X7Y97 RPM_Y 194 SITEPROP SLICE_X7Y97 SITE_PIPS SITEPROP SLICE_X7Y97 SITE_TYPE SLICEL SITEPROP SLICE_X7Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y98 CLASS site SITEPROP SLICE_X7Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y98 IS_BONDED 0 SITEPROP SLICE_X7Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y98 IS_PAD 0 SITEPROP SLICE_X7Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y98 IS_RESERVED 0 SITEPROP SLICE_X7Y98 IS_TEST 0 SITEPROP SLICE_X7Y98 IS_USED 0 SITEPROP SLICE_X7Y98 MANUAL_ROUTING SITEPROP SLICE_X7Y98 NAME SLICE_X7Y98 SITEPROP SLICE_X7Y98 NUM_ARCS 138 SITEPROP SLICE_X7Y98 NUM_BELS 32 SITEPROP SLICE_X7Y98 NUM_INPUTS 32 SITEPROP SLICE_X7Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y98 NUM_PINS 45 SITEPROP SLICE_X7Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y98 PROHIBIT 0 SITEPROP SLICE_X7Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y98 RPM_X 31 SITEPROP SLICE_X7Y98 RPM_Y 196 SITEPROP SLICE_X7Y98 SITE_PIPS SITEPROP SLICE_X7Y98 SITE_TYPE SLICEL SITEPROP SLICE_X7Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y99 CLASS site SITEPROP SLICE_X7Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X7Y99 IS_BONDED 0 SITEPROP SLICE_X7Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y99 IS_PAD 0 SITEPROP SLICE_X7Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y99 IS_RESERVED 0 SITEPROP SLICE_X7Y99 IS_TEST 0 SITEPROP SLICE_X7Y99 IS_USED 0 SITEPROP SLICE_X7Y99 MANUAL_ROUTING SITEPROP SLICE_X7Y99 NAME SLICE_X7Y99 SITEPROP SLICE_X7Y99 NUM_ARCS 138 SITEPROP SLICE_X7Y99 NUM_BELS 32 SITEPROP SLICE_X7Y99 NUM_INPUTS 32 SITEPROP SLICE_X7Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y99 NUM_PINS 45 SITEPROP SLICE_X7Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y99 PROHIBIT 0 SITEPROP SLICE_X7Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y99 RPM_X 31 SITEPROP SLICE_X7Y99 RPM_Y 198 SITEPROP SLICE_X7Y99 SITE_PIPS SITEPROP SLICE_X7Y99 SITE_TYPE SLICEL SITEPROP SLICE_X7Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y100 CLASS site SITEPROP SLICE_X7Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y100 IS_BONDED 0 SITEPROP SLICE_X7Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y100 IS_PAD 0 SITEPROP SLICE_X7Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y100 IS_RESERVED 0 SITEPROP SLICE_X7Y100 IS_TEST 0 SITEPROP SLICE_X7Y100 IS_USED 0 SITEPROP SLICE_X7Y100 MANUAL_ROUTING SITEPROP SLICE_X7Y100 NAME SLICE_X7Y100 SITEPROP SLICE_X7Y100 NUM_ARCS 138 SITEPROP SLICE_X7Y100 NUM_BELS 32 SITEPROP SLICE_X7Y100 NUM_INPUTS 32 SITEPROP SLICE_X7Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y100 NUM_PINS 45 SITEPROP SLICE_X7Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y100 PROHIBIT 0 SITEPROP SLICE_X7Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y100 RPM_X 31 SITEPROP SLICE_X7Y100 RPM_Y 200 SITEPROP SLICE_X7Y100 SITE_PIPS SITEPROP SLICE_X7Y100 SITE_TYPE SLICEL SITEPROP SLICE_X7Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y101 CLASS site SITEPROP SLICE_X7Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y101 IS_BONDED 0 SITEPROP SLICE_X7Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y101 IS_PAD 0 SITEPROP SLICE_X7Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y101 IS_RESERVED 0 SITEPROP SLICE_X7Y101 IS_TEST 0 SITEPROP SLICE_X7Y101 IS_USED 0 SITEPROP SLICE_X7Y101 MANUAL_ROUTING SITEPROP SLICE_X7Y101 NAME SLICE_X7Y101 SITEPROP SLICE_X7Y101 NUM_ARCS 138 SITEPROP SLICE_X7Y101 NUM_BELS 32 SITEPROP SLICE_X7Y101 NUM_INPUTS 32 SITEPROP SLICE_X7Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y101 NUM_PINS 45 SITEPROP SLICE_X7Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y101 PROHIBIT 0 SITEPROP SLICE_X7Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y101 RPM_X 31 SITEPROP SLICE_X7Y101 RPM_Y 202 SITEPROP SLICE_X7Y101 SITE_PIPS SITEPROP SLICE_X7Y101 SITE_TYPE SLICEL SITEPROP SLICE_X7Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y102 CLASS site SITEPROP SLICE_X7Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y102 IS_BONDED 0 SITEPROP SLICE_X7Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y102 IS_PAD 0 SITEPROP SLICE_X7Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y102 IS_RESERVED 0 SITEPROP SLICE_X7Y102 IS_TEST 0 SITEPROP SLICE_X7Y102 IS_USED 0 SITEPROP SLICE_X7Y102 MANUAL_ROUTING SITEPROP SLICE_X7Y102 NAME SLICE_X7Y102 SITEPROP SLICE_X7Y102 NUM_ARCS 138 SITEPROP SLICE_X7Y102 NUM_BELS 32 SITEPROP SLICE_X7Y102 NUM_INPUTS 32 SITEPROP SLICE_X7Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y102 NUM_PINS 45 SITEPROP SLICE_X7Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y102 PROHIBIT 0 SITEPROP SLICE_X7Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y102 RPM_X 31 SITEPROP SLICE_X7Y102 RPM_Y 204 SITEPROP SLICE_X7Y102 SITE_PIPS SITEPROP SLICE_X7Y102 SITE_TYPE SLICEL SITEPROP SLICE_X7Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y103 CLASS site SITEPROP SLICE_X7Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y103 IS_BONDED 0 SITEPROP SLICE_X7Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y103 IS_PAD 0 SITEPROP SLICE_X7Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y103 IS_RESERVED 0 SITEPROP SLICE_X7Y103 IS_TEST 0 SITEPROP SLICE_X7Y103 IS_USED 0 SITEPROP SLICE_X7Y103 MANUAL_ROUTING SITEPROP SLICE_X7Y103 NAME SLICE_X7Y103 SITEPROP SLICE_X7Y103 NUM_ARCS 138 SITEPROP SLICE_X7Y103 NUM_BELS 32 SITEPROP SLICE_X7Y103 NUM_INPUTS 32 SITEPROP SLICE_X7Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y103 NUM_PINS 45 SITEPROP SLICE_X7Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y103 PROHIBIT 0 SITEPROP SLICE_X7Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y103 RPM_X 31 SITEPROP SLICE_X7Y103 RPM_Y 206 SITEPROP SLICE_X7Y103 SITE_PIPS SITEPROP SLICE_X7Y103 SITE_TYPE SLICEL SITEPROP SLICE_X7Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y104 CLASS site SITEPROP SLICE_X7Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y104 IS_BONDED 0 SITEPROP SLICE_X7Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y104 IS_PAD 0 SITEPROP SLICE_X7Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y104 IS_RESERVED 0 SITEPROP SLICE_X7Y104 IS_TEST 0 SITEPROP SLICE_X7Y104 IS_USED 0 SITEPROP SLICE_X7Y104 MANUAL_ROUTING SITEPROP SLICE_X7Y104 NAME SLICE_X7Y104 SITEPROP SLICE_X7Y104 NUM_ARCS 138 SITEPROP SLICE_X7Y104 NUM_BELS 32 SITEPROP SLICE_X7Y104 NUM_INPUTS 32 SITEPROP SLICE_X7Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y104 NUM_PINS 45 SITEPROP SLICE_X7Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y104 PROHIBIT 0 SITEPROP SLICE_X7Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y104 RPM_X 31 SITEPROP SLICE_X7Y104 RPM_Y 208 SITEPROP SLICE_X7Y104 SITE_PIPS SITEPROP SLICE_X7Y104 SITE_TYPE SLICEL SITEPROP SLICE_X7Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y105 CLASS site SITEPROP SLICE_X7Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y105 IS_BONDED 0 SITEPROP SLICE_X7Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y105 IS_PAD 0 SITEPROP SLICE_X7Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y105 IS_RESERVED 0 SITEPROP SLICE_X7Y105 IS_TEST 0 SITEPROP SLICE_X7Y105 IS_USED 0 SITEPROP SLICE_X7Y105 MANUAL_ROUTING SITEPROP SLICE_X7Y105 NAME SLICE_X7Y105 SITEPROP SLICE_X7Y105 NUM_ARCS 138 SITEPROP SLICE_X7Y105 NUM_BELS 32 SITEPROP SLICE_X7Y105 NUM_INPUTS 32 SITEPROP SLICE_X7Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y105 NUM_PINS 45 SITEPROP SLICE_X7Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y105 PROHIBIT 0 SITEPROP SLICE_X7Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y105 RPM_X 31 SITEPROP SLICE_X7Y105 RPM_Y 210 SITEPROP SLICE_X7Y105 SITE_PIPS SITEPROP SLICE_X7Y105 SITE_TYPE SLICEL SITEPROP SLICE_X7Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y106 CLASS site SITEPROP SLICE_X7Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y106 IS_BONDED 0 SITEPROP SLICE_X7Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y106 IS_PAD 0 SITEPROP SLICE_X7Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y106 IS_RESERVED 0 SITEPROP SLICE_X7Y106 IS_TEST 0 SITEPROP SLICE_X7Y106 IS_USED 0 SITEPROP SLICE_X7Y106 MANUAL_ROUTING SITEPROP SLICE_X7Y106 NAME SLICE_X7Y106 SITEPROP SLICE_X7Y106 NUM_ARCS 138 SITEPROP SLICE_X7Y106 NUM_BELS 32 SITEPROP SLICE_X7Y106 NUM_INPUTS 32 SITEPROP SLICE_X7Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y106 NUM_PINS 45 SITEPROP SLICE_X7Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y106 PROHIBIT 0 SITEPROP SLICE_X7Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y106 RPM_X 31 SITEPROP SLICE_X7Y106 RPM_Y 212 SITEPROP SLICE_X7Y106 SITE_PIPS SITEPROP SLICE_X7Y106 SITE_TYPE SLICEL SITEPROP SLICE_X7Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y107 CLASS site SITEPROP SLICE_X7Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y107 IS_BONDED 0 SITEPROP SLICE_X7Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y107 IS_PAD 0 SITEPROP SLICE_X7Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y107 IS_RESERVED 0 SITEPROP SLICE_X7Y107 IS_TEST 0 SITEPROP SLICE_X7Y107 IS_USED 0 SITEPROP SLICE_X7Y107 MANUAL_ROUTING SITEPROP SLICE_X7Y107 NAME SLICE_X7Y107 SITEPROP SLICE_X7Y107 NUM_ARCS 138 SITEPROP SLICE_X7Y107 NUM_BELS 32 SITEPROP SLICE_X7Y107 NUM_INPUTS 32 SITEPROP SLICE_X7Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y107 NUM_PINS 45 SITEPROP SLICE_X7Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y107 PROHIBIT 0 SITEPROP SLICE_X7Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y107 RPM_X 31 SITEPROP SLICE_X7Y107 RPM_Y 214 SITEPROP SLICE_X7Y107 SITE_PIPS SITEPROP SLICE_X7Y107 SITE_TYPE SLICEL SITEPROP SLICE_X7Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y108 CLASS site SITEPROP SLICE_X7Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y108 IS_BONDED 0 SITEPROP SLICE_X7Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y108 IS_PAD 0 SITEPROP SLICE_X7Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y108 IS_RESERVED 0 SITEPROP SLICE_X7Y108 IS_TEST 0 SITEPROP SLICE_X7Y108 IS_USED 0 SITEPROP SLICE_X7Y108 MANUAL_ROUTING SITEPROP SLICE_X7Y108 NAME SLICE_X7Y108 SITEPROP SLICE_X7Y108 NUM_ARCS 138 SITEPROP SLICE_X7Y108 NUM_BELS 32 SITEPROP SLICE_X7Y108 NUM_INPUTS 32 SITEPROP SLICE_X7Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y108 NUM_PINS 45 SITEPROP SLICE_X7Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y108 PROHIBIT 0 SITEPROP SLICE_X7Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y108 RPM_X 31 SITEPROP SLICE_X7Y108 RPM_Y 216 SITEPROP SLICE_X7Y108 SITE_PIPS SITEPROP SLICE_X7Y108 SITE_TYPE SLICEL SITEPROP SLICE_X7Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y109 CLASS site SITEPROP SLICE_X7Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y109 IS_BONDED 0 SITEPROP SLICE_X7Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y109 IS_PAD 0 SITEPROP SLICE_X7Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y109 IS_RESERVED 0 SITEPROP SLICE_X7Y109 IS_TEST 0 SITEPROP SLICE_X7Y109 IS_USED 0 SITEPROP SLICE_X7Y109 MANUAL_ROUTING SITEPROP SLICE_X7Y109 NAME SLICE_X7Y109 SITEPROP SLICE_X7Y109 NUM_ARCS 138 SITEPROP SLICE_X7Y109 NUM_BELS 32 SITEPROP SLICE_X7Y109 NUM_INPUTS 32 SITEPROP SLICE_X7Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y109 NUM_PINS 45 SITEPROP SLICE_X7Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y109 PROHIBIT 0 SITEPROP SLICE_X7Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y109 RPM_X 31 SITEPROP SLICE_X7Y109 RPM_Y 218 SITEPROP SLICE_X7Y109 SITE_PIPS SITEPROP SLICE_X7Y109 SITE_TYPE SLICEL SITEPROP SLICE_X7Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y110 CLASS site SITEPROP SLICE_X7Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y110 IS_BONDED 0 SITEPROP SLICE_X7Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y110 IS_PAD 0 SITEPROP SLICE_X7Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y110 IS_RESERVED 0 SITEPROP SLICE_X7Y110 IS_TEST 0 SITEPROP SLICE_X7Y110 IS_USED 0 SITEPROP SLICE_X7Y110 MANUAL_ROUTING SITEPROP SLICE_X7Y110 NAME SLICE_X7Y110 SITEPROP SLICE_X7Y110 NUM_ARCS 138 SITEPROP SLICE_X7Y110 NUM_BELS 32 SITEPROP SLICE_X7Y110 NUM_INPUTS 32 SITEPROP SLICE_X7Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y110 NUM_PINS 45 SITEPROP SLICE_X7Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y110 PROHIBIT 0 SITEPROP SLICE_X7Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y110 RPM_X 31 SITEPROP SLICE_X7Y110 RPM_Y 220 SITEPROP SLICE_X7Y110 SITE_PIPS SITEPROP SLICE_X7Y110 SITE_TYPE SLICEL SITEPROP SLICE_X7Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y111 CLASS site SITEPROP SLICE_X7Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y111 IS_BONDED 0 SITEPROP SLICE_X7Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y111 IS_PAD 0 SITEPROP SLICE_X7Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y111 IS_RESERVED 0 SITEPROP SLICE_X7Y111 IS_TEST 0 SITEPROP SLICE_X7Y111 IS_USED 0 SITEPROP SLICE_X7Y111 MANUAL_ROUTING SITEPROP SLICE_X7Y111 NAME SLICE_X7Y111 SITEPROP SLICE_X7Y111 NUM_ARCS 138 SITEPROP SLICE_X7Y111 NUM_BELS 32 SITEPROP SLICE_X7Y111 NUM_INPUTS 32 SITEPROP SLICE_X7Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y111 NUM_PINS 45 SITEPROP SLICE_X7Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y111 PROHIBIT 0 SITEPROP SLICE_X7Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y111 RPM_X 31 SITEPROP SLICE_X7Y111 RPM_Y 222 SITEPROP SLICE_X7Y111 SITE_PIPS SITEPROP SLICE_X7Y111 SITE_TYPE SLICEL SITEPROP SLICE_X7Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y112 CLASS site SITEPROP SLICE_X7Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y112 IS_BONDED 0 SITEPROP SLICE_X7Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y112 IS_PAD 0 SITEPROP SLICE_X7Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y112 IS_RESERVED 0 SITEPROP SLICE_X7Y112 IS_TEST 0 SITEPROP SLICE_X7Y112 IS_USED 0 SITEPROP SLICE_X7Y112 MANUAL_ROUTING SITEPROP SLICE_X7Y112 NAME SLICE_X7Y112 SITEPROP SLICE_X7Y112 NUM_ARCS 138 SITEPROP SLICE_X7Y112 NUM_BELS 32 SITEPROP SLICE_X7Y112 NUM_INPUTS 32 SITEPROP SLICE_X7Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y112 NUM_PINS 45 SITEPROP SLICE_X7Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y112 PROHIBIT 0 SITEPROP SLICE_X7Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y112 RPM_X 31 SITEPROP SLICE_X7Y112 RPM_Y 224 SITEPROP SLICE_X7Y112 SITE_PIPS SITEPROP SLICE_X7Y112 SITE_TYPE SLICEL SITEPROP SLICE_X7Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y113 CLASS site SITEPROP SLICE_X7Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y113 IS_BONDED 0 SITEPROP SLICE_X7Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y113 IS_PAD 0 SITEPROP SLICE_X7Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y113 IS_RESERVED 0 SITEPROP SLICE_X7Y113 IS_TEST 0 SITEPROP SLICE_X7Y113 IS_USED 0 SITEPROP SLICE_X7Y113 MANUAL_ROUTING SITEPROP SLICE_X7Y113 NAME SLICE_X7Y113 SITEPROP SLICE_X7Y113 NUM_ARCS 138 SITEPROP SLICE_X7Y113 NUM_BELS 32 SITEPROP SLICE_X7Y113 NUM_INPUTS 32 SITEPROP SLICE_X7Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y113 NUM_PINS 45 SITEPROP SLICE_X7Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y113 PROHIBIT 0 SITEPROP SLICE_X7Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y113 RPM_X 31 SITEPROP SLICE_X7Y113 RPM_Y 226 SITEPROP SLICE_X7Y113 SITE_PIPS SITEPROP SLICE_X7Y113 SITE_TYPE SLICEL SITEPROP SLICE_X7Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y114 CLASS site SITEPROP SLICE_X7Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y114 IS_BONDED 0 SITEPROP SLICE_X7Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y114 IS_PAD 0 SITEPROP SLICE_X7Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y114 IS_RESERVED 0 SITEPROP SLICE_X7Y114 IS_TEST 0 SITEPROP SLICE_X7Y114 IS_USED 0 SITEPROP SLICE_X7Y114 MANUAL_ROUTING SITEPROP SLICE_X7Y114 NAME SLICE_X7Y114 SITEPROP SLICE_X7Y114 NUM_ARCS 138 SITEPROP SLICE_X7Y114 NUM_BELS 32 SITEPROP SLICE_X7Y114 NUM_INPUTS 32 SITEPROP SLICE_X7Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y114 NUM_PINS 45 SITEPROP SLICE_X7Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y114 PROHIBIT 0 SITEPROP SLICE_X7Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y114 RPM_X 31 SITEPROP SLICE_X7Y114 RPM_Y 228 SITEPROP SLICE_X7Y114 SITE_PIPS SITEPROP SLICE_X7Y114 SITE_TYPE SLICEL SITEPROP SLICE_X7Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y115 CLASS site SITEPROP SLICE_X7Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y115 IS_BONDED 0 SITEPROP SLICE_X7Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y115 IS_PAD 0 SITEPROP SLICE_X7Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y115 IS_RESERVED 0 SITEPROP SLICE_X7Y115 IS_TEST 0 SITEPROP SLICE_X7Y115 IS_USED 0 SITEPROP SLICE_X7Y115 MANUAL_ROUTING SITEPROP SLICE_X7Y115 NAME SLICE_X7Y115 SITEPROP SLICE_X7Y115 NUM_ARCS 138 SITEPROP SLICE_X7Y115 NUM_BELS 32 SITEPROP SLICE_X7Y115 NUM_INPUTS 32 SITEPROP SLICE_X7Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y115 NUM_PINS 45 SITEPROP SLICE_X7Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y115 PROHIBIT 0 SITEPROP SLICE_X7Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y115 RPM_X 31 SITEPROP SLICE_X7Y115 RPM_Y 230 SITEPROP SLICE_X7Y115 SITE_PIPS SITEPROP SLICE_X7Y115 SITE_TYPE SLICEL SITEPROP SLICE_X7Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y116 CLASS site SITEPROP SLICE_X7Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y116 IS_BONDED 0 SITEPROP SLICE_X7Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y116 IS_PAD 0 SITEPROP SLICE_X7Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y116 IS_RESERVED 0 SITEPROP SLICE_X7Y116 IS_TEST 0 SITEPROP SLICE_X7Y116 IS_USED 0 SITEPROP SLICE_X7Y116 MANUAL_ROUTING SITEPROP SLICE_X7Y116 NAME SLICE_X7Y116 SITEPROP SLICE_X7Y116 NUM_ARCS 138 SITEPROP SLICE_X7Y116 NUM_BELS 32 SITEPROP SLICE_X7Y116 NUM_INPUTS 32 SITEPROP SLICE_X7Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y116 NUM_PINS 45 SITEPROP SLICE_X7Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y116 PROHIBIT 0 SITEPROP SLICE_X7Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y116 RPM_X 31 SITEPROP SLICE_X7Y116 RPM_Y 232 SITEPROP SLICE_X7Y116 SITE_PIPS SITEPROP SLICE_X7Y116 SITE_TYPE SLICEL SITEPROP SLICE_X7Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y117 CLASS site SITEPROP SLICE_X7Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y117 IS_BONDED 0 SITEPROP SLICE_X7Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y117 IS_PAD 0 SITEPROP SLICE_X7Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y117 IS_RESERVED 0 SITEPROP SLICE_X7Y117 IS_TEST 0 SITEPROP SLICE_X7Y117 IS_USED 0 SITEPROP SLICE_X7Y117 MANUAL_ROUTING SITEPROP SLICE_X7Y117 NAME SLICE_X7Y117 SITEPROP SLICE_X7Y117 NUM_ARCS 138 SITEPROP SLICE_X7Y117 NUM_BELS 32 SITEPROP SLICE_X7Y117 NUM_INPUTS 32 SITEPROP SLICE_X7Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y117 NUM_PINS 45 SITEPROP SLICE_X7Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y117 PROHIBIT 0 SITEPROP SLICE_X7Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y117 RPM_X 31 SITEPROP SLICE_X7Y117 RPM_Y 234 SITEPROP SLICE_X7Y117 SITE_PIPS SITEPROP SLICE_X7Y117 SITE_TYPE SLICEL SITEPROP SLICE_X7Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y118 CLASS site SITEPROP SLICE_X7Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y118 IS_BONDED 0 SITEPROP SLICE_X7Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y118 IS_PAD 0 SITEPROP SLICE_X7Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y118 IS_RESERVED 0 SITEPROP SLICE_X7Y118 IS_TEST 0 SITEPROP SLICE_X7Y118 IS_USED 0 SITEPROP SLICE_X7Y118 MANUAL_ROUTING SITEPROP SLICE_X7Y118 NAME SLICE_X7Y118 SITEPROP SLICE_X7Y118 NUM_ARCS 138 SITEPROP SLICE_X7Y118 NUM_BELS 32 SITEPROP SLICE_X7Y118 NUM_INPUTS 32 SITEPROP SLICE_X7Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y118 NUM_PINS 45 SITEPROP SLICE_X7Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y118 PROHIBIT 0 SITEPROP SLICE_X7Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y118 RPM_X 31 SITEPROP SLICE_X7Y118 RPM_Y 236 SITEPROP SLICE_X7Y118 SITE_PIPS SITEPROP SLICE_X7Y118 SITE_TYPE SLICEL SITEPROP SLICE_X7Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y119 CLASS site SITEPROP SLICE_X7Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y119 IS_BONDED 0 SITEPROP SLICE_X7Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y119 IS_PAD 0 SITEPROP SLICE_X7Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y119 IS_RESERVED 0 SITEPROP SLICE_X7Y119 IS_TEST 0 SITEPROP SLICE_X7Y119 IS_USED 0 SITEPROP SLICE_X7Y119 MANUAL_ROUTING SITEPROP SLICE_X7Y119 NAME SLICE_X7Y119 SITEPROP SLICE_X7Y119 NUM_ARCS 138 SITEPROP SLICE_X7Y119 NUM_BELS 32 SITEPROP SLICE_X7Y119 NUM_INPUTS 32 SITEPROP SLICE_X7Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y119 NUM_PINS 45 SITEPROP SLICE_X7Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y119 PROHIBIT 0 SITEPROP SLICE_X7Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y119 RPM_X 31 SITEPROP SLICE_X7Y119 RPM_Y 238 SITEPROP SLICE_X7Y119 SITE_PIPS SITEPROP SLICE_X7Y119 SITE_TYPE SLICEL SITEPROP SLICE_X7Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y120 CLASS site SITEPROP SLICE_X7Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y120 IS_BONDED 0 SITEPROP SLICE_X7Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y120 IS_PAD 0 SITEPROP SLICE_X7Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y120 IS_RESERVED 0 SITEPROP SLICE_X7Y120 IS_TEST 0 SITEPROP SLICE_X7Y120 IS_USED 0 SITEPROP SLICE_X7Y120 MANUAL_ROUTING SITEPROP SLICE_X7Y120 NAME SLICE_X7Y120 SITEPROP SLICE_X7Y120 NUM_ARCS 138 SITEPROP SLICE_X7Y120 NUM_BELS 32 SITEPROP SLICE_X7Y120 NUM_INPUTS 32 SITEPROP SLICE_X7Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y120 NUM_PINS 45 SITEPROP SLICE_X7Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y120 PROHIBIT 0 SITEPROP SLICE_X7Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y120 RPM_X 31 SITEPROP SLICE_X7Y120 RPM_Y 240 SITEPROP SLICE_X7Y120 SITE_PIPS SITEPROP SLICE_X7Y120 SITE_TYPE SLICEL SITEPROP SLICE_X7Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y121 CLASS site SITEPROP SLICE_X7Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y121 IS_BONDED 0 SITEPROP SLICE_X7Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y121 IS_PAD 0 SITEPROP SLICE_X7Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y121 IS_RESERVED 0 SITEPROP SLICE_X7Y121 IS_TEST 0 SITEPROP SLICE_X7Y121 IS_USED 0 SITEPROP SLICE_X7Y121 MANUAL_ROUTING SITEPROP SLICE_X7Y121 NAME SLICE_X7Y121 SITEPROP SLICE_X7Y121 NUM_ARCS 138 SITEPROP SLICE_X7Y121 NUM_BELS 32 SITEPROP SLICE_X7Y121 NUM_INPUTS 32 SITEPROP SLICE_X7Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y121 NUM_PINS 45 SITEPROP SLICE_X7Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y121 PROHIBIT 0 SITEPROP SLICE_X7Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y121 RPM_X 31 SITEPROP SLICE_X7Y121 RPM_Y 242 SITEPROP SLICE_X7Y121 SITE_PIPS SITEPROP SLICE_X7Y121 SITE_TYPE SLICEL SITEPROP SLICE_X7Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y122 CLASS site SITEPROP SLICE_X7Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y122 IS_BONDED 0 SITEPROP SLICE_X7Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y122 IS_PAD 0 SITEPROP SLICE_X7Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y122 IS_RESERVED 0 SITEPROP SLICE_X7Y122 IS_TEST 0 SITEPROP SLICE_X7Y122 IS_USED 0 SITEPROP SLICE_X7Y122 MANUAL_ROUTING SITEPROP SLICE_X7Y122 NAME SLICE_X7Y122 SITEPROP SLICE_X7Y122 NUM_ARCS 138 SITEPROP SLICE_X7Y122 NUM_BELS 32 SITEPROP SLICE_X7Y122 NUM_INPUTS 32 SITEPROP SLICE_X7Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y122 NUM_PINS 45 SITEPROP SLICE_X7Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y122 PROHIBIT 0 SITEPROP SLICE_X7Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y122 RPM_X 31 SITEPROP SLICE_X7Y122 RPM_Y 244 SITEPROP SLICE_X7Y122 SITE_PIPS SITEPROP SLICE_X7Y122 SITE_TYPE SLICEL SITEPROP SLICE_X7Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y123 CLASS site SITEPROP SLICE_X7Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y123 IS_BONDED 0 SITEPROP SLICE_X7Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y123 IS_PAD 0 SITEPROP SLICE_X7Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y123 IS_RESERVED 0 SITEPROP SLICE_X7Y123 IS_TEST 0 SITEPROP SLICE_X7Y123 IS_USED 0 SITEPROP SLICE_X7Y123 MANUAL_ROUTING SITEPROP SLICE_X7Y123 NAME SLICE_X7Y123 SITEPROP SLICE_X7Y123 NUM_ARCS 138 SITEPROP SLICE_X7Y123 NUM_BELS 32 SITEPROP SLICE_X7Y123 NUM_INPUTS 32 SITEPROP SLICE_X7Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y123 NUM_PINS 45 SITEPROP SLICE_X7Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y123 PROHIBIT 0 SITEPROP SLICE_X7Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y123 RPM_X 31 SITEPROP SLICE_X7Y123 RPM_Y 246 SITEPROP SLICE_X7Y123 SITE_PIPS SITEPROP SLICE_X7Y123 SITE_TYPE SLICEL SITEPROP SLICE_X7Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y124 CLASS site SITEPROP SLICE_X7Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y124 IS_BONDED 0 SITEPROP SLICE_X7Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y124 IS_PAD 0 SITEPROP SLICE_X7Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y124 IS_RESERVED 0 SITEPROP SLICE_X7Y124 IS_TEST 0 SITEPROP SLICE_X7Y124 IS_USED 0 SITEPROP SLICE_X7Y124 MANUAL_ROUTING SITEPROP SLICE_X7Y124 NAME SLICE_X7Y124 SITEPROP SLICE_X7Y124 NUM_ARCS 138 SITEPROP SLICE_X7Y124 NUM_BELS 32 SITEPROP SLICE_X7Y124 NUM_INPUTS 32 SITEPROP SLICE_X7Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y124 NUM_PINS 45 SITEPROP SLICE_X7Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y124 PROHIBIT 0 SITEPROP SLICE_X7Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y124 RPM_X 31 SITEPROP SLICE_X7Y124 RPM_Y 248 SITEPROP SLICE_X7Y124 SITE_PIPS SITEPROP SLICE_X7Y124 SITE_TYPE SLICEL SITEPROP SLICE_X7Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y125 CLASS site SITEPROP SLICE_X7Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y125 IS_BONDED 0 SITEPROP SLICE_X7Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y125 IS_PAD 0 SITEPROP SLICE_X7Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y125 IS_RESERVED 0 SITEPROP SLICE_X7Y125 IS_TEST 0 SITEPROP SLICE_X7Y125 IS_USED 0 SITEPROP SLICE_X7Y125 MANUAL_ROUTING SITEPROP SLICE_X7Y125 NAME SLICE_X7Y125 SITEPROP SLICE_X7Y125 NUM_ARCS 138 SITEPROP SLICE_X7Y125 NUM_BELS 32 SITEPROP SLICE_X7Y125 NUM_INPUTS 32 SITEPROP SLICE_X7Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y125 NUM_PINS 45 SITEPROP SLICE_X7Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y125 PROHIBIT 0 SITEPROP SLICE_X7Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y125 RPM_X 31 SITEPROP SLICE_X7Y125 RPM_Y 250 SITEPROP SLICE_X7Y125 SITE_PIPS SITEPROP SLICE_X7Y125 SITE_TYPE SLICEL SITEPROP SLICE_X7Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y126 CLASS site SITEPROP SLICE_X7Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y126 IS_BONDED 0 SITEPROP SLICE_X7Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y126 IS_PAD 0 SITEPROP SLICE_X7Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y126 IS_RESERVED 0 SITEPROP SLICE_X7Y126 IS_TEST 0 SITEPROP SLICE_X7Y126 IS_USED 0 SITEPROP SLICE_X7Y126 MANUAL_ROUTING SITEPROP SLICE_X7Y126 NAME SLICE_X7Y126 SITEPROP SLICE_X7Y126 NUM_ARCS 138 SITEPROP SLICE_X7Y126 NUM_BELS 32 SITEPROP SLICE_X7Y126 NUM_INPUTS 32 SITEPROP SLICE_X7Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y126 NUM_PINS 45 SITEPROP SLICE_X7Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y126 PROHIBIT 0 SITEPROP SLICE_X7Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y126 RPM_X 31 SITEPROP SLICE_X7Y126 RPM_Y 252 SITEPROP SLICE_X7Y126 SITE_PIPS SITEPROP SLICE_X7Y126 SITE_TYPE SLICEL SITEPROP SLICE_X7Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y127 CLASS site SITEPROP SLICE_X7Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y127 IS_BONDED 0 SITEPROP SLICE_X7Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y127 IS_PAD 0 SITEPROP SLICE_X7Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y127 IS_RESERVED 0 SITEPROP SLICE_X7Y127 IS_TEST 0 SITEPROP SLICE_X7Y127 IS_USED 0 SITEPROP SLICE_X7Y127 MANUAL_ROUTING SITEPROP SLICE_X7Y127 NAME SLICE_X7Y127 SITEPROP SLICE_X7Y127 NUM_ARCS 138 SITEPROP SLICE_X7Y127 NUM_BELS 32 SITEPROP SLICE_X7Y127 NUM_INPUTS 32 SITEPROP SLICE_X7Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y127 NUM_PINS 45 SITEPROP SLICE_X7Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y127 PROHIBIT 0 SITEPROP SLICE_X7Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y127 RPM_X 31 SITEPROP SLICE_X7Y127 RPM_Y 254 SITEPROP SLICE_X7Y127 SITE_PIPS SITEPROP SLICE_X7Y127 SITE_TYPE SLICEL SITEPROP SLICE_X7Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y128 CLASS site SITEPROP SLICE_X7Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y128 IS_BONDED 0 SITEPROP SLICE_X7Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y128 IS_PAD 0 SITEPROP SLICE_X7Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y128 IS_RESERVED 0 SITEPROP SLICE_X7Y128 IS_TEST 0 SITEPROP SLICE_X7Y128 IS_USED 0 SITEPROP SLICE_X7Y128 MANUAL_ROUTING SITEPROP SLICE_X7Y128 NAME SLICE_X7Y128 SITEPROP SLICE_X7Y128 NUM_ARCS 138 SITEPROP SLICE_X7Y128 NUM_BELS 32 SITEPROP SLICE_X7Y128 NUM_INPUTS 32 SITEPROP SLICE_X7Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y128 NUM_PINS 45 SITEPROP SLICE_X7Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y128 PROHIBIT 0 SITEPROP SLICE_X7Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y128 RPM_X 31 SITEPROP SLICE_X7Y128 RPM_Y 256 SITEPROP SLICE_X7Y128 SITE_PIPS SITEPROP SLICE_X7Y128 SITE_TYPE SLICEL SITEPROP SLICE_X7Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y129 CLASS site SITEPROP SLICE_X7Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y129 IS_BONDED 0 SITEPROP SLICE_X7Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y129 IS_PAD 0 SITEPROP SLICE_X7Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y129 IS_RESERVED 0 SITEPROP SLICE_X7Y129 IS_TEST 0 SITEPROP SLICE_X7Y129 IS_USED 0 SITEPROP SLICE_X7Y129 MANUAL_ROUTING SITEPROP SLICE_X7Y129 NAME SLICE_X7Y129 SITEPROP SLICE_X7Y129 NUM_ARCS 138 SITEPROP SLICE_X7Y129 NUM_BELS 32 SITEPROP SLICE_X7Y129 NUM_INPUTS 32 SITEPROP SLICE_X7Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y129 NUM_PINS 45 SITEPROP SLICE_X7Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y129 PROHIBIT 0 SITEPROP SLICE_X7Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y129 RPM_X 31 SITEPROP SLICE_X7Y129 RPM_Y 258 SITEPROP SLICE_X7Y129 SITE_PIPS SITEPROP SLICE_X7Y129 SITE_TYPE SLICEL SITEPROP SLICE_X7Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y130 CLASS site SITEPROP SLICE_X7Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y130 IS_BONDED 0 SITEPROP SLICE_X7Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y130 IS_PAD 0 SITEPROP SLICE_X7Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y130 IS_RESERVED 0 SITEPROP SLICE_X7Y130 IS_TEST 0 SITEPROP SLICE_X7Y130 IS_USED 0 SITEPROP SLICE_X7Y130 MANUAL_ROUTING SITEPROP SLICE_X7Y130 NAME SLICE_X7Y130 SITEPROP SLICE_X7Y130 NUM_ARCS 138 SITEPROP SLICE_X7Y130 NUM_BELS 32 SITEPROP SLICE_X7Y130 NUM_INPUTS 32 SITEPROP SLICE_X7Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y130 NUM_PINS 45 SITEPROP SLICE_X7Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y130 PROHIBIT 0 SITEPROP SLICE_X7Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y130 RPM_X 31 SITEPROP SLICE_X7Y130 RPM_Y 260 SITEPROP SLICE_X7Y130 SITE_PIPS SITEPROP SLICE_X7Y130 SITE_TYPE SLICEL SITEPROP SLICE_X7Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y131 CLASS site SITEPROP SLICE_X7Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y131 IS_BONDED 0 SITEPROP SLICE_X7Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y131 IS_PAD 0 SITEPROP SLICE_X7Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y131 IS_RESERVED 0 SITEPROP SLICE_X7Y131 IS_TEST 0 SITEPROP SLICE_X7Y131 IS_USED 0 SITEPROP SLICE_X7Y131 MANUAL_ROUTING SITEPROP SLICE_X7Y131 NAME SLICE_X7Y131 SITEPROP SLICE_X7Y131 NUM_ARCS 138 SITEPROP SLICE_X7Y131 NUM_BELS 32 SITEPROP SLICE_X7Y131 NUM_INPUTS 32 SITEPROP SLICE_X7Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y131 NUM_PINS 45 SITEPROP SLICE_X7Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y131 PROHIBIT 0 SITEPROP SLICE_X7Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y131 RPM_X 31 SITEPROP SLICE_X7Y131 RPM_Y 262 SITEPROP SLICE_X7Y131 SITE_PIPS SITEPROP SLICE_X7Y131 SITE_TYPE SLICEL SITEPROP SLICE_X7Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y132 CLASS site SITEPROP SLICE_X7Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y132 IS_BONDED 0 SITEPROP SLICE_X7Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y132 IS_PAD 0 SITEPROP SLICE_X7Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y132 IS_RESERVED 0 SITEPROP SLICE_X7Y132 IS_TEST 0 SITEPROP SLICE_X7Y132 IS_USED 0 SITEPROP SLICE_X7Y132 MANUAL_ROUTING SITEPROP SLICE_X7Y132 NAME SLICE_X7Y132 SITEPROP SLICE_X7Y132 NUM_ARCS 138 SITEPROP SLICE_X7Y132 NUM_BELS 32 SITEPROP SLICE_X7Y132 NUM_INPUTS 32 SITEPROP SLICE_X7Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y132 NUM_PINS 45 SITEPROP SLICE_X7Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y132 PROHIBIT 0 SITEPROP SLICE_X7Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y132 RPM_X 31 SITEPROP SLICE_X7Y132 RPM_Y 264 SITEPROP SLICE_X7Y132 SITE_PIPS SITEPROP SLICE_X7Y132 SITE_TYPE SLICEL SITEPROP SLICE_X7Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y133 CLASS site SITEPROP SLICE_X7Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y133 IS_BONDED 0 SITEPROP SLICE_X7Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y133 IS_PAD 0 SITEPROP SLICE_X7Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y133 IS_RESERVED 0 SITEPROP SLICE_X7Y133 IS_TEST 0 SITEPROP SLICE_X7Y133 IS_USED 0 SITEPROP SLICE_X7Y133 MANUAL_ROUTING SITEPROP SLICE_X7Y133 NAME SLICE_X7Y133 SITEPROP SLICE_X7Y133 NUM_ARCS 138 SITEPROP SLICE_X7Y133 NUM_BELS 32 SITEPROP SLICE_X7Y133 NUM_INPUTS 32 SITEPROP SLICE_X7Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y133 NUM_PINS 45 SITEPROP SLICE_X7Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y133 PROHIBIT 0 SITEPROP SLICE_X7Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y133 RPM_X 31 SITEPROP SLICE_X7Y133 RPM_Y 266 SITEPROP SLICE_X7Y133 SITE_PIPS SITEPROP SLICE_X7Y133 SITE_TYPE SLICEL SITEPROP SLICE_X7Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y134 CLASS site SITEPROP SLICE_X7Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y134 IS_BONDED 0 SITEPROP SLICE_X7Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y134 IS_PAD 0 SITEPROP SLICE_X7Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y134 IS_RESERVED 0 SITEPROP SLICE_X7Y134 IS_TEST 0 SITEPROP SLICE_X7Y134 IS_USED 0 SITEPROP SLICE_X7Y134 MANUAL_ROUTING SITEPROP SLICE_X7Y134 NAME SLICE_X7Y134 SITEPROP SLICE_X7Y134 NUM_ARCS 138 SITEPROP SLICE_X7Y134 NUM_BELS 32 SITEPROP SLICE_X7Y134 NUM_INPUTS 32 SITEPROP SLICE_X7Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y134 NUM_PINS 45 SITEPROP SLICE_X7Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y134 PROHIBIT 0 SITEPROP SLICE_X7Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y134 RPM_X 31 SITEPROP SLICE_X7Y134 RPM_Y 268 SITEPROP SLICE_X7Y134 SITE_PIPS SITEPROP SLICE_X7Y134 SITE_TYPE SLICEL SITEPROP SLICE_X7Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y135 CLASS site SITEPROP SLICE_X7Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y135 IS_BONDED 0 SITEPROP SLICE_X7Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y135 IS_PAD 0 SITEPROP SLICE_X7Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y135 IS_RESERVED 0 SITEPROP SLICE_X7Y135 IS_TEST 0 SITEPROP SLICE_X7Y135 IS_USED 0 SITEPROP SLICE_X7Y135 MANUAL_ROUTING SITEPROP SLICE_X7Y135 NAME SLICE_X7Y135 SITEPROP SLICE_X7Y135 NUM_ARCS 138 SITEPROP SLICE_X7Y135 NUM_BELS 32 SITEPROP SLICE_X7Y135 NUM_INPUTS 32 SITEPROP SLICE_X7Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y135 NUM_PINS 45 SITEPROP SLICE_X7Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y135 PROHIBIT 0 SITEPROP SLICE_X7Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y135 RPM_X 31 SITEPROP SLICE_X7Y135 RPM_Y 270 SITEPROP SLICE_X7Y135 SITE_PIPS SITEPROP SLICE_X7Y135 SITE_TYPE SLICEL SITEPROP SLICE_X7Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y136 CLASS site SITEPROP SLICE_X7Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y136 IS_BONDED 0 SITEPROP SLICE_X7Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y136 IS_PAD 0 SITEPROP SLICE_X7Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y136 IS_RESERVED 0 SITEPROP SLICE_X7Y136 IS_TEST 0 SITEPROP SLICE_X7Y136 IS_USED 0 SITEPROP SLICE_X7Y136 MANUAL_ROUTING SITEPROP SLICE_X7Y136 NAME SLICE_X7Y136 SITEPROP SLICE_X7Y136 NUM_ARCS 138 SITEPROP SLICE_X7Y136 NUM_BELS 32 SITEPROP SLICE_X7Y136 NUM_INPUTS 32 SITEPROP SLICE_X7Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y136 NUM_PINS 45 SITEPROP SLICE_X7Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y136 PROHIBIT 0 SITEPROP SLICE_X7Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y136 RPM_X 31 SITEPROP SLICE_X7Y136 RPM_Y 272 SITEPROP SLICE_X7Y136 SITE_PIPS SITEPROP SLICE_X7Y136 SITE_TYPE SLICEL SITEPROP SLICE_X7Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y137 CLASS site SITEPROP SLICE_X7Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y137 IS_BONDED 0 SITEPROP SLICE_X7Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y137 IS_PAD 0 SITEPROP SLICE_X7Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y137 IS_RESERVED 0 SITEPROP SLICE_X7Y137 IS_TEST 0 SITEPROP SLICE_X7Y137 IS_USED 0 SITEPROP SLICE_X7Y137 MANUAL_ROUTING SITEPROP SLICE_X7Y137 NAME SLICE_X7Y137 SITEPROP SLICE_X7Y137 NUM_ARCS 138 SITEPROP SLICE_X7Y137 NUM_BELS 32 SITEPROP SLICE_X7Y137 NUM_INPUTS 32 SITEPROP SLICE_X7Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y137 NUM_PINS 45 SITEPROP SLICE_X7Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y137 PROHIBIT 0 SITEPROP SLICE_X7Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y137 RPM_X 31 SITEPROP SLICE_X7Y137 RPM_Y 274 SITEPROP SLICE_X7Y137 SITE_PIPS SITEPROP SLICE_X7Y137 SITE_TYPE SLICEL SITEPROP SLICE_X7Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y138 CLASS site SITEPROP SLICE_X7Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y138 IS_BONDED 0 SITEPROP SLICE_X7Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y138 IS_PAD 0 SITEPROP SLICE_X7Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y138 IS_RESERVED 0 SITEPROP SLICE_X7Y138 IS_TEST 0 SITEPROP SLICE_X7Y138 IS_USED 0 SITEPROP SLICE_X7Y138 MANUAL_ROUTING SITEPROP SLICE_X7Y138 NAME SLICE_X7Y138 SITEPROP SLICE_X7Y138 NUM_ARCS 138 SITEPROP SLICE_X7Y138 NUM_BELS 32 SITEPROP SLICE_X7Y138 NUM_INPUTS 32 SITEPROP SLICE_X7Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y138 NUM_PINS 45 SITEPROP SLICE_X7Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y138 PROHIBIT 0 SITEPROP SLICE_X7Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y138 RPM_X 31 SITEPROP SLICE_X7Y138 RPM_Y 276 SITEPROP SLICE_X7Y138 SITE_PIPS SITEPROP SLICE_X7Y138 SITE_TYPE SLICEL SITEPROP SLICE_X7Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y139 CLASS site SITEPROP SLICE_X7Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y139 IS_BONDED 0 SITEPROP SLICE_X7Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y139 IS_PAD 0 SITEPROP SLICE_X7Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y139 IS_RESERVED 0 SITEPROP SLICE_X7Y139 IS_TEST 0 SITEPROP SLICE_X7Y139 IS_USED 0 SITEPROP SLICE_X7Y139 MANUAL_ROUTING SITEPROP SLICE_X7Y139 NAME SLICE_X7Y139 SITEPROP SLICE_X7Y139 NUM_ARCS 138 SITEPROP SLICE_X7Y139 NUM_BELS 32 SITEPROP SLICE_X7Y139 NUM_INPUTS 32 SITEPROP SLICE_X7Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y139 NUM_PINS 45 SITEPROP SLICE_X7Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y139 PROHIBIT 0 SITEPROP SLICE_X7Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y139 RPM_X 31 SITEPROP SLICE_X7Y139 RPM_Y 278 SITEPROP SLICE_X7Y139 SITE_PIPS SITEPROP SLICE_X7Y139 SITE_TYPE SLICEL SITEPROP SLICE_X7Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y140 CLASS site SITEPROP SLICE_X7Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y140 IS_BONDED 0 SITEPROP SLICE_X7Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y140 IS_PAD 0 SITEPROP SLICE_X7Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y140 IS_RESERVED 0 SITEPROP SLICE_X7Y140 IS_TEST 0 SITEPROP SLICE_X7Y140 IS_USED 0 SITEPROP SLICE_X7Y140 MANUAL_ROUTING SITEPROP SLICE_X7Y140 NAME SLICE_X7Y140 SITEPROP SLICE_X7Y140 NUM_ARCS 138 SITEPROP SLICE_X7Y140 NUM_BELS 32 SITEPROP SLICE_X7Y140 NUM_INPUTS 32 SITEPROP SLICE_X7Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y140 NUM_PINS 45 SITEPROP SLICE_X7Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y140 PROHIBIT 0 SITEPROP SLICE_X7Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y140 RPM_X 31 SITEPROP SLICE_X7Y140 RPM_Y 280 SITEPROP SLICE_X7Y140 SITE_PIPS SITEPROP SLICE_X7Y140 SITE_TYPE SLICEL SITEPROP SLICE_X7Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y141 CLASS site SITEPROP SLICE_X7Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y141 IS_BONDED 0 SITEPROP SLICE_X7Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y141 IS_PAD 0 SITEPROP SLICE_X7Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y141 IS_RESERVED 0 SITEPROP SLICE_X7Y141 IS_TEST 0 SITEPROP SLICE_X7Y141 IS_USED 0 SITEPROP SLICE_X7Y141 MANUAL_ROUTING SITEPROP SLICE_X7Y141 NAME SLICE_X7Y141 SITEPROP SLICE_X7Y141 NUM_ARCS 138 SITEPROP SLICE_X7Y141 NUM_BELS 32 SITEPROP SLICE_X7Y141 NUM_INPUTS 32 SITEPROP SLICE_X7Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y141 NUM_PINS 45 SITEPROP SLICE_X7Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y141 PROHIBIT 0 SITEPROP SLICE_X7Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y141 RPM_X 31 SITEPROP SLICE_X7Y141 RPM_Y 282 SITEPROP SLICE_X7Y141 SITE_PIPS SITEPROP SLICE_X7Y141 SITE_TYPE SLICEL SITEPROP SLICE_X7Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y142 CLASS site SITEPROP SLICE_X7Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y142 IS_BONDED 0 SITEPROP SLICE_X7Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y142 IS_PAD 0 SITEPROP SLICE_X7Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y142 IS_RESERVED 0 SITEPROP SLICE_X7Y142 IS_TEST 0 SITEPROP SLICE_X7Y142 IS_USED 0 SITEPROP SLICE_X7Y142 MANUAL_ROUTING SITEPROP SLICE_X7Y142 NAME SLICE_X7Y142 SITEPROP SLICE_X7Y142 NUM_ARCS 138 SITEPROP SLICE_X7Y142 NUM_BELS 32 SITEPROP SLICE_X7Y142 NUM_INPUTS 32 SITEPROP SLICE_X7Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y142 NUM_PINS 45 SITEPROP SLICE_X7Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y142 PROHIBIT 0 SITEPROP SLICE_X7Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y142 RPM_X 31 SITEPROP SLICE_X7Y142 RPM_Y 284 SITEPROP SLICE_X7Y142 SITE_PIPS SITEPROP SLICE_X7Y142 SITE_TYPE SLICEL SITEPROP SLICE_X7Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y143 CLASS site SITEPROP SLICE_X7Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y143 IS_BONDED 0 SITEPROP SLICE_X7Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y143 IS_PAD 0 SITEPROP SLICE_X7Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y143 IS_RESERVED 0 SITEPROP SLICE_X7Y143 IS_TEST 0 SITEPROP SLICE_X7Y143 IS_USED 0 SITEPROP SLICE_X7Y143 MANUAL_ROUTING SITEPROP SLICE_X7Y143 NAME SLICE_X7Y143 SITEPROP SLICE_X7Y143 NUM_ARCS 138 SITEPROP SLICE_X7Y143 NUM_BELS 32 SITEPROP SLICE_X7Y143 NUM_INPUTS 32 SITEPROP SLICE_X7Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y143 NUM_PINS 45 SITEPROP SLICE_X7Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y143 PROHIBIT 0 SITEPROP SLICE_X7Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y143 RPM_X 31 SITEPROP SLICE_X7Y143 RPM_Y 286 SITEPROP SLICE_X7Y143 SITE_PIPS SITEPROP SLICE_X7Y143 SITE_TYPE SLICEL SITEPROP SLICE_X7Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y144 CLASS site SITEPROP SLICE_X7Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y144 IS_BONDED 0 SITEPROP SLICE_X7Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y144 IS_PAD 0 SITEPROP SLICE_X7Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y144 IS_RESERVED 0 SITEPROP SLICE_X7Y144 IS_TEST 0 SITEPROP SLICE_X7Y144 IS_USED 0 SITEPROP SLICE_X7Y144 MANUAL_ROUTING SITEPROP SLICE_X7Y144 NAME SLICE_X7Y144 SITEPROP SLICE_X7Y144 NUM_ARCS 138 SITEPROP SLICE_X7Y144 NUM_BELS 32 SITEPROP SLICE_X7Y144 NUM_INPUTS 32 SITEPROP SLICE_X7Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y144 NUM_PINS 45 SITEPROP SLICE_X7Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y144 PROHIBIT 0 SITEPROP SLICE_X7Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y144 RPM_X 31 SITEPROP SLICE_X7Y144 RPM_Y 288 SITEPROP SLICE_X7Y144 SITE_PIPS SITEPROP SLICE_X7Y144 SITE_TYPE SLICEL SITEPROP SLICE_X7Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y145 CLASS site SITEPROP SLICE_X7Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y145 IS_BONDED 0 SITEPROP SLICE_X7Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y145 IS_PAD 0 SITEPROP SLICE_X7Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y145 IS_RESERVED 0 SITEPROP SLICE_X7Y145 IS_TEST 0 SITEPROP SLICE_X7Y145 IS_USED 0 SITEPROP SLICE_X7Y145 MANUAL_ROUTING SITEPROP SLICE_X7Y145 NAME SLICE_X7Y145 SITEPROP SLICE_X7Y145 NUM_ARCS 138 SITEPROP SLICE_X7Y145 NUM_BELS 32 SITEPROP SLICE_X7Y145 NUM_INPUTS 32 SITEPROP SLICE_X7Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y145 NUM_PINS 45 SITEPROP SLICE_X7Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y145 PROHIBIT 0 SITEPROP SLICE_X7Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y145 RPM_X 31 SITEPROP SLICE_X7Y145 RPM_Y 290 SITEPROP SLICE_X7Y145 SITE_PIPS SITEPROP SLICE_X7Y145 SITE_TYPE SLICEL SITEPROP SLICE_X7Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y146 CLASS site SITEPROP SLICE_X7Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y146 IS_BONDED 0 SITEPROP SLICE_X7Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y146 IS_PAD 0 SITEPROP SLICE_X7Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y146 IS_RESERVED 0 SITEPROP SLICE_X7Y146 IS_TEST 0 SITEPROP SLICE_X7Y146 IS_USED 0 SITEPROP SLICE_X7Y146 MANUAL_ROUTING SITEPROP SLICE_X7Y146 NAME SLICE_X7Y146 SITEPROP SLICE_X7Y146 NUM_ARCS 138 SITEPROP SLICE_X7Y146 NUM_BELS 32 SITEPROP SLICE_X7Y146 NUM_INPUTS 32 SITEPROP SLICE_X7Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y146 NUM_PINS 45 SITEPROP SLICE_X7Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y146 PROHIBIT 0 SITEPROP SLICE_X7Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y146 RPM_X 31 SITEPROP SLICE_X7Y146 RPM_Y 292 SITEPROP SLICE_X7Y146 SITE_PIPS SITEPROP SLICE_X7Y146 SITE_TYPE SLICEL SITEPROP SLICE_X7Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y147 CLASS site SITEPROP SLICE_X7Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y147 IS_BONDED 0 SITEPROP SLICE_X7Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y147 IS_PAD 0 SITEPROP SLICE_X7Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y147 IS_RESERVED 0 SITEPROP SLICE_X7Y147 IS_TEST 0 SITEPROP SLICE_X7Y147 IS_USED 0 SITEPROP SLICE_X7Y147 MANUAL_ROUTING SITEPROP SLICE_X7Y147 NAME SLICE_X7Y147 SITEPROP SLICE_X7Y147 NUM_ARCS 138 SITEPROP SLICE_X7Y147 NUM_BELS 32 SITEPROP SLICE_X7Y147 NUM_INPUTS 32 SITEPROP SLICE_X7Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y147 NUM_PINS 45 SITEPROP SLICE_X7Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y147 PROHIBIT 0 SITEPROP SLICE_X7Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y147 RPM_X 31 SITEPROP SLICE_X7Y147 RPM_Y 294 SITEPROP SLICE_X7Y147 SITE_PIPS SITEPROP SLICE_X7Y147 SITE_TYPE SLICEL SITEPROP SLICE_X7Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y148 CLASS site SITEPROP SLICE_X7Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y148 IS_BONDED 0 SITEPROP SLICE_X7Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y148 IS_PAD 0 SITEPROP SLICE_X7Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y148 IS_RESERVED 0 SITEPROP SLICE_X7Y148 IS_TEST 0 SITEPROP SLICE_X7Y148 IS_USED 0 SITEPROP SLICE_X7Y148 MANUAL_ROUTING SITEPROP SLICE_X7Y148 NAME SLICE_X7Y148 SITEPROP SLICE_X7Y148 NUM_ARCS 138 SITEPROP SLICE_X7Y148 NUM_BELS 32 SITEPROP SLICE_X7Y148 NUM_INPUTS 32 SITEPROP SLICE_X7Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y148 NUM_PINS 45 SITEPROP SLICE_X7Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y148 PROHIBIT 0 SITEPROP SLICE_X7Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y148 RPM_X 31 SITEPROP SLICE_X7Y148 RPM_Y 296 SITEPROP SLICE_X7Y148 SITE_PIPS SITEPROP SLICE_X7Y148 SITE_TYPE SLICEL SITEPROP SLICE_X7Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X7Y149 CLASS site SITEPROP SLICE_X7Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X7Y149 IS_BONDED 0 SITEPROP SLICE_X7Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X7Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y149 IS_PAD 0 SITEPROP SLICE_X7Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X7Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X7Y149 IS_RESERVED 0 SITEPROP SLICE_X7Y149 IS_TEST 0 SITEPROP SLICE_X7Y149 IS_USED 0 SITEPROP SLICE_X7Y149 MANUAL_ROUTING SITEPROP SLICE_X7Y149 NAME SLICE_X7Y149 SITEPROP SLICE_X7Y149 NUM_ARCS 138 SITEPROP SLICE_X7Y149 NUM_BELS 32 SITEPROP SLICE_X7Y149 NUM_INPUTS 32 SITEPROP SLICE_X7Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X7Y149 NUM_PINS 45 SITEPROP SLICE_X7Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X7Y149 PROHIBIT 0 SITEPROP SLICE_X7Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X7Y149 RPM_X 31 SITEPROP SLICE_X7Y149 RPM_Y 298 SITEPROP SLICE_X7Y149 SITE_PIPS SITEPROP SLICE_X7Y149 SITE_TYPE SLICEL SITEPROP SLICE_X8Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y0 CLASS site SITEPROP SLICE_X8Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y0 IS_BONDED 0 SITEPROP SLICE_X8Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y0 IS_PAD 0 SITEPROP SLICE_X8Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y0 IS_RESERVED 0 SITEPROP SLICE_X8Y0 IS_TEST 0 SITEPROP SLICE_X8Y0 IS_USED 0 SITEPROP SLICE_X8Y0 MANUAL_ROUTING SITEPROP SLICE_X8Y0 NAME SLICE_X8Y0 SITEPROP SLICE_X8Y0 NUM_ARCS 153 SITEPROP SLICE_X8Y0 NUM_BELS 32 SITEPROP SLICE_X8Y0 NUM_INPUTS 37 SITEPROP SLICE_X8Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y0 NUM_PINS 50 SITEPROP SLICE_X8Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y0 PROHIBIT 0 SITEPROP SLICE_X8Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y0 RPM_X 37 SITEPROP SLICE_X8Y0 RPM_Y 0 SITEPROP SLICE_X8Y0 SITE_PIPS SITEPROP SLICE_X8Y0 SITE_TYPE SLICEM SITEPROP SLICE_X8Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y1 CLASS site SITEPROP SLICE_X8Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y1 IS_BONDED 0 SITEPROP SLICE_X8Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y1 IS_PAD 0 SITEPROP SLICE_X8Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y1 IS_RESERVED 0 SITEPROP SLICE_X8Y1 IS_TEST 0 SITEPROP SLICE_X8Y1 IS_USED 0 SITEPROP SLICE_X8Y1 MANUAL_ROUTING SITEPROP SLICE_X8Y1 NAME SLICE_X8Y1 SITEPROP SLICE_X8Y1 NUM_ARCS 153 SITEPROP SLICE_X8Y1 NUM_BELS 32 SITEPROP SLICE_X8Y1 NUM_INPUTS 37 SITEPROP SLICE_X8Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y1 NUM_PINS 50 SITEPROP SLICE_X8Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y1 PROHIBIT 0 SITEPROP SLICE_X8Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y1 RPM_X 37 SITEPROP SLICE_X8Y1 RPM_Y 2 SITEPROP SLICE_X8Y1 SITE_PIPS SITEPROP SLICE_X8Y1 SITE_TYPE SLICEM SITEPROP SLICE_X8Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y2 CLASS site SITEPROP SLICE_X8Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y2 IS_BONDED 0 SITEPROP SLICE_X8Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y2 IS_PAD 0 SITEPROP SLICE_X8Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y2 IS_RESERVED 0 SITEPROP SLICE_X8Y2 IS_TEST 0 SITEPROP SLICE_X8Y2 IS_USED 0 SITEPROP SLICE_X8Y2 MANUAL_ROUTING SITEPROP SLICE_X8Y2 NAME SLICE_X8Y2 SITEPROP SLICE_X8Y2 NUM_ARCS 153 SITEPROP SLICE_X8Y2 NUM_BELS 32 SITEPROP SLICE_X8Y2 NUM_INPUTS 37 SITEPROP SLICE_X8Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y2 NUM_PINS 50 SITEPROP SLICE_X8Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y2 PROHIBIT 0 SITEPROP SLICE_X8Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y2 RPM_X 37 SITEPROP SLICE_X8Y2 RPM_Y 4 SITEPROP SLICE_X8Y2 SITE_PIPS SITEPROP SLICE_X8Y2 SITE_TYPE SLICEM SITEPROP SLICE_X8Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y3 CLASS site SITEPROP SLICE_X8Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y3 IS_BONDED 0 SITEPROP SLICE_X8Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y3 IS_PAD 0 SITEPROP SLICE_X8Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y3 IS_RESERVED 0 SITEPROP SLICE_X8Y3 IS_TEST 0 SITEPROP SLICE_X8Y3 IS_USED 0 SITEPROP SLICE_X8Y3 MANUAL_ROUTING SITEPROP SLICE_X8Y3 NAME SLICE_X8Y3 SITEPROP SLICE_X8Y3 NUM_ARCS 153 SITEPROP SLICE_X8Y3 NUM_BELS 32 SITEPROP SLICE_X8Y3 NUM_INPUTS 37 SITEPROP SLICE_X8Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y3 NUM_PINS 50 SITEPROP SLICE_X8Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y3 PROHIBIT 0 SITEPROP SLICE_X8Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y3 RPM_X 37 SITEPROP SLICE_X8Y3 RPM_Y 6 SITEPROP SLICE_X8Y3 SITE_PIPS SITEPROP SLICE_X8Y3 SITE_TYPE SLICEM SITEPROP SLICE_X8Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y4 CLASS site SITEPROP SLICE_X8Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y4 IS_BONDED 0 SITEPROP SLICE_X8Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y4 IS_PAD 0 SITEPROP SLICE_X8Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y4 IS_RESERVED 0 SITEPROP SLICE_X8Y4 IS_TEST 0 SITEPROP SLICE_X8Y4 IS_USED 0 SITEPROP SLICE_X8Y4 MANUAL_ROUTING SITEPROP SLICE_X8Y4 NAME SLICE_X8Y4 SITEPROP SLICE_X8Y4 NUM_ARCS 153 SITEPROP SLICE_X8Y4 NUM_BELS 32 SITEPROP SLICE_X8Y4 NUM_INPUTS 37 SITEPROP SLICE_X8Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y4 NUM_PINS 50 SITEPROP SLICE_X8Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y4 PROHIBIT 0 SITEPROP SLICE_X8Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y4 RPM_X 37 SITEPROP SLICE_X8Y4 RPM_Y 8 SITEPROP SLICE_X8Y4 SITE_PIPS SITEPROP SLICE_X8Y4 SITE_TYPE SLICEM SITEPROP SLICE_X8Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y5 CLASS site SITEPROP SLICE_X8Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y5 IS_BONDED 0 SITEPROP SLICE_X8Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y5 IS_PAD 0 SITEPROP SLICE_X8Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y5 IS_RESERVED 0 SITEPROP SLICE_X8Y5 IS_TEST 0 SITEPROP SLICE_X8Y5 IS_USED 0 SITEPROP SLICE_X8Y5 MANUAL_ROUTING SITEPROP SLICE_X8Y5 NAME SLICE_X8Y5 SITEPROP SLICE_X8Y5 NUM_ARCS 153 SITEPROP SLICE_X8Y5 NUM_BELS 32 SITEPROP SLICE_X8Y5 NUM_INPUTS 37 SITEPROP SLICE_X8Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y5 NUM_PINS 50 SITEPROP SLICE_X8Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y5 PROHIBIT 0 SITEPROP SLICE_X8Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y5 RPM_X 37 SITEPROP SLICE_X8Y5 RPM_Y 10 SITEPROP SLICE_X8Y5 SITE_PIPS SITEPROP SLICE_X8Y5 SITE_TYPE SLICEM SITEPROP SLICE_X8Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y6 CLASS site SITEPROP SLICE_X8Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y6 IS_BONDED 0 SITEPROP SLICE_X8Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y6 IS_PAD 0 SITEPROP SLICE_X8Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y6 IS_RESERVED 0 SITEPROP SLICE_X8Y6 IS_TEST 0 SITEPROP SLICE_X8Y6 IS_USED 0 SITEPROP SLICE_X8Y6 MANUAL_ROUTING SITEPROP SLICE_X8Y6 NAME SLICE_X8Y6 SITEPROP SLICE_X8Y6 NUM_ARCS 153 SITEPROP SLICE_X8Y6 NUM_BELS 32 SITEPROP SLICE_X8Y6 NUM_INPUTS 37 SITEPROP SLICE_X8Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y6 NUM_PINS 50 SITEPROP SLICE_X8Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y6 PROHIBIT 0 SITEPROP SLICE_X8Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y6 RPM_X 37 SITEPROP SLICE_X8Y6 RPM_Y 12 SITEPROP SLICE_X8Y6 SITE_PIPS SITEPROP SLICE_X8Y6 SITE_TYPE SLICEM SITEPROP SLICE_X8Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y7 CLASS site SITEPROP SLICE_X8Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y7 IS_BONDED 0 SITEPROP SLICE_X8Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y7 IS_PAD 0 SITEPROP SLICE_X8Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y7 IS_RESERVED 0 SITEPROP SLICE_X8Y7 IS_TEST 0 SITEPROP SLICE_X8Y7 IS_USED 0 SITEPROP SLICE_X8Y7 MANUAL_ROUTING SITEPROP SLICE_X8Y7 NAME SLICE_X8Y7 SITEPROP SLICE_X8Y7 NUM_ARCS 153 SITEPROP SLICE_X8Y7 NUM_BELS 32 SITEPROP SLICE_X8Y7 NUM_INPUTS 37 SITEPROP SLICE_X8Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y7 NUM_PINS 50 SITEPROP SLICE_X8Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y7 PROHIBIT 0 SITEPROP SLICE_X8Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y7 RPM_X 37 SITEPROP SLICE_X8Y7 RPM_Y 14 SITEPROP SLICE_X8Y7 SITE_PIPS SITEPROP SLICE_X8Y7 SITE_TYPE SLICEM SITEPROP SLICE_X8Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y8 CLASS site SITEPROP SLICE_X8Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y8 IS_BONDED 0 SITEPROP SLICE_X8Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y8 IS_PAD 0 SITEPROP SLICE_X8Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y8 IS_RESERVED 0 SITEPROP SLICE_X8Y8 IS_TEST 0 SITEPROP SLICE_X8Y8 IS_USED 0 SITEPROP SLICE_X8Y8 MANUAL_ROUTING SITEPROP SLICE_X8Y8 NAME SLICE_X8Y8 SITEPROP SLICE_X8Y8 NUM_ARCS 153 SITEPROP SLICE_X8Y8 NUM_BELS 32 SITEPROP SLICE_X8Y8 NUM_INPUTS 37 SITEPROP SLICE_X8Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y8 NUM_PINS 50 SITEPROP SLICE_X8Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y8 PROHIBIT 0 SITEPROP SLICE_X8Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y8 RPM_X 37 SITEPROP SLICE_X8Y8 RPM_Y 16 SITEPROP SLICE_X8Y8 SITE_PIPS SITEPROP SLICE_X8Y8 SITE_TYPE SLICEM SITEPROP SLICE_X8Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y9 CLASS site SITEPROP SLICE_X8Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y9 IS_BONDED 0 SITEPROP SLICE_X8Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y9 IS_PAD 0 SITEPROP SLICE_X8Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y9 IS_RESERVED 0 SITEPROP SLICE_X8Y9 IS_TEST 0 SITEPROP SLICE_X8Y9 IS_USED 0 SITEPROP SLICE_X8Y9 MANUAL_ROUTING SITEPROP SLICE_X8Y9 NAME SLICE_X8Y9 SITEPROP SLICE_X8Y9 NUM_ARCS 153 SITEPROP SLICE_X8Y9 NUM_BELS 32 SITEPROP SLICE_X8Y9 NUM_INPUTS 37 SITEPROP SLICE_X8Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y9 NUM_PINS 50 SITEPROP SLICE_X8Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y9 PROHIBIT 0 SITEPROP SLICE_X8Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y9 RPM_X 37 SITEPROP SLICE_X8Y9 RPM_Y 18 SITEPROP SLICE_X8Y9 SITE_PIPS SITEPROP SLICE_X8Y9 SITE_TYPE SLICEM SITEPROP SLICE_X8Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y10 CLASS site SITEPROP SLICE_X8Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y10 IS_BONDED 0 SITEPROP SLICE_X8Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y10 IS_PAD 0 SITEPROP SLICE_X8Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y10 IS_RESERVED 0 SITEPROP SLICE_X8Y10 IS_TEST 0 SITEPROP SLICE_X8Y10 IS_USED 0 SITEPROP SLICE_X8Y10 MANUAL_ROUTING SITEPROP SLICE_X8Y10 NAME SLICE_X8Y10 SITEPROP SLICE_X8Y10 NUM_ARCS 153 SITEPROP SLICE_X8Y10 NUM_BELS 32 SITEPROP SLICE_X8Y10 NUM_INPUTS 37 SITEPROP SLICE_X8Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y10 NUM_PINS 50 SITEPROP SLICE_X8Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y10 PROHIBIT 0 SITEPROP SLICE_X8Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y10 RPM_X 37 SITEPROP SLICE_X8Y10 RPM_Y 20 SITEPROP SLICE_X8Y10 SITE_PIPS SITEPROP SLICE_X8Y10 SITE_TYPE SLICEM SITEPROP SLICE_X8Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y11 CLASS site SITEPROP SLICE_X8Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y11 IS_BONDED 0 SITEPROP SLICE_X8Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y11 IS_PAD 0 SITEPROP SLICE_X8Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y11 IS_RESERVED 0 SITEPROP SLICE_X8Y11 IS_TEST 0 SITEPROP SLICE_X8Y11 IS_USED 0 SITEPROP SLICE_X8Y11 MANUAL_ROUTING SITEPROP SLICE_X8Y11 NAME SLICE_X8Y11 SITEPROP SLICE_X8Y11 NUM_ARCS 153 SITEPROP SLICE_X8Y11 NUM_BELS 32 SITEPROP SLICE_X8Y11 NUM_INPUTS 37 SITEPROP SLICE_X8Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y11 NUM_PINS 50 SITEPROP SLICE_X8Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y11 PROHIBIT 0 SITEPROP SLICE_X8Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y11 RPM_X 37 SITEPROP SLICE_X8Y11 RPM_Y 22 SITEPROP SLICE_X8Y11 SITE_PIPS SITEPROP SLICE_X8Y11 SITE_TYPE SLICEM SITEPROP SLICE_X8Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y12 CLASS site SITEPROP SLICE_X8Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y12 IS_BONDED 0 SITEPROP SLICE_X8Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y12 IS_PAD 0 SITEPROP SLICE_X8Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y12 IS_RESERVED 0 SITEPROP SLICE_X8Y12 IS_TEST 0 SITEPROP SLICE_X8Y12 IS_USED 0 SITEPROP SLICE_X8Y12 MANUAL_ROUTING SITEPROP SLICE_X8Y12 NAME SLICE_X8Y12 SITEPROP SLICE_X8Y12 NUM_ARCS 153 SITEPROP SLICE_X8Y12 NUM_BELS 32 SITEPROP SLICE_X8Y12 NUM_INPUTS 37 SITEPROP SLICE_X8Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y12 NUM_PINS 50 SITEPROP SLICE_X8Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y12 PROHIBIT 0 SITEPROP SLICE_X8Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y12 RPM_X 37 SITEPROP SLICE_X8Y12 RPM_Y 24 SITEPROP SLICE_X8Y12 SITE_PIPS SITEPROP SLICE_X8Y12 SITE_TYPE SLICEM SITEPROP SLICE_X8Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y13 CLASS site SITEPROP SLICE_X8Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y13 IS_BONDED 0 SITEPROP SLICE_X8Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y13 IS_PAD 0 SITEPROP SLICE_X8Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y13 IS_RESERVED 0 SITEPROP SLICE_X8Y13 IS_TEST 0 SITEPROP SLICE_X8Y13 IS_USED 0 SITEPROP SLICE_X8Y13 MANUAL_ROUTING SITEPROP SLICE_X8Y13 NAME SLICE_X8Y13 SITEPROP SLICE_X8Y13 NUM_ARCS 153 SITEPROP SLICE_X8Y13 NUM_BELS 32 SITEPROP SLICE_X8Y13 NUM_INPUTS 37 SITEPROP SLICE_X8Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y13 NUM_PINS 50 SITEPROP SLICE_X8Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y13 PROHIBIT 0 SITEPROP SLICE_X8Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y13 RPM_X 37 SITEPROP SLICE_X8Y13 RPM_Y 26 SITEPROP SLICE_X8Y13 SITE_PIPS SITEPROP SLICE_X8Y13 SITE_TYPE SLICEM SITEPROP SLICE_X8Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y14 CLASS site SITEPROP SLICE_X8Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y14 IS_BONDED 0 SITEPROP SLICE_X8Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y14 IS_PAD 0 SITEPROP SLICE_X8Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y14 IS_RESERVED 0 SITEPROP SLICE_X8Y14 IS_TEST 0 SITEPROP SLICE_X8Y14 IS_USED 0 SITEPROP SLICE_X8Y14 MANUAL_ROUTING SITEPROP SLICE_X8Y14 NAME SLICE_X8Y14 SITEPROP SLICE_X8Y14 NUM_ARCS 153 SITEPROP SLICE_X8Y14 NUM_BELS 32 SITEPROP SLICE_X8Y14 NUM_INPUTS 37 SITEPROP SLICE_X8Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y14 NUM_PINS 50 SITEPROP SLICE_X8Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y14 PROHIBIT 0 SITEPROP SLICE_X8Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y14 RPM_X 37 SITEPROP SLICE_X8Y14 RPM_Y 28 SITEPROP SLICE_X8Y14 SITE_PIPS SITEPROP SLICE_X8Y14 SITE_TYPE SLICEM SITEPROP SLICE_X8Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y15 CLASS site SITEPROP SLICE_X8Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y15 IS_BONDED 0 SITEPROP SLICE_X8Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y15 IS_PAD 0 SITEPROP SLICE_X8Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y15 IS_RESERVED 0 SITEPROP SLICE_X8Y15 IS_TEST 0 SITEPROP SLICE_X8Y15 IS_USED 0 SITEPROP SLICE_X8Y15 MANUAL_ROUTING SITEPROP SLICE_X8Y15 NAME SLICE_X8Y15 SITEPROP SLICE_X8Y15 NUM_ARCS 153 SITEPROP SLICE_X8Y15 NUM_BELS 32 SITEPROP SLICE_X8Y15 NUM_INPUTS 37 SITEPROP SLICE_X8Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y15 NUM_PINS 50 SITEPROP SLICE_X8Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y15 PROHIBIT 0 SITEPROP SLICE_X8Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y15 RPM_X 37 SITEPROP SLICE_X8Y15 RPM_Y 30 SITEPROP SLICE_X8Y15 SITE_PIPS SITEPROP SLICE_X8Y15 SITE_TYPE SLICEM SITEPROP SLICE_X8Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y16 CLASS site SITEPROP SLICE_X8Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y16 IS_BONDED 0 SITEPROP SLICE_X8Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y16 IS_PAD 0 SITEPROP SLICE_X8Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y16 IS_RESERVED 0 SITEPROP SLICE_X8Y16 IS_TEST 0 SITEPROP SLICE_X8Y16 IS_USED 0 SITEPROP SLICE_X8Y16 MANUAL_ROUTING SITEPROP SLICE_X8Y16 NAME SLICE_X8Y16 SITEPROP SLICE_X8Y16 NUM_ARCS 153 SITEPROP SLICE_X8Y16 NUM_BELS 32 SITEPROP SLICE_X8Y16 NUM_INPUTS 37 SITEPROP SLICE_X8Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y16 NUM_PINS 50 SITEPROP SLICE_X8Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y16 PROHIBIT 0 SITEPROP SLICE_X8Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y16 RPM_X 37 SITEPROP SLICE_X8Y16 RPM_Y 32 SITEPROP SLICE_X8Y16 SITE_PIPS SITEPROP SLICE_X8Y16 SITE_TYPE SLICEM SITEPROP SLICE_X8Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y17 CLASS site SITEPROP SLICE_X8Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y17 IS_BONDED 0 SITEPROP SLICE_X8Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y17 IS_PAD 0 SITEPROP SLICE_X8Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y17 IS_RESERVED 0 SITEPROP SLICE_X8Y17 IS_TEST 0 SITEPROP SLICE_X8Y17 IS_USED 0 SITEPROP SLICE_X8Y17 MANUAL_ROUTING SITEPROP SLICE_X8Y17 NAME SLICE_X8Y17 SITEPROP SLICE_X8Y17 NUM_ARCS 153 SITEPROP SLICE_X8Y17 NUM_BELS 32 SITEPROP SLICE_X8Y17 NUM_INPUTS 37 SITEPROP SLICE_X8Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y17 NUM_PINS 50 SITEPROP SLICE_X8Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y17 PROHIBIT 0 SITEPROP SLICE_X8Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y17 RPM_X 37 SITEPROP SLICE_X8Y17 RPM_Y 34 SITEPROP SLICE_X8Y17 SITE_PIPS SITEPROP SLICE_X8Y17 SITE_TYPE SLICEM SITEPROP SLICE_X8Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y18 CLASS site SITEPROP SLICE_X8Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y18 IS_BONDED 0 SITEPROP SLICE_X8Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y18 IS_PAD 0 SITEPROP SLICE_X8Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y18 IS_RESERVED 0 SITEPROP SLICE_X8Y18 IS_TEST 0 SITEPROP SLICE_X8Y18 IS_USED 0 SITEPROP SLICE_X8Y18 MANUAL_ROUTING SITEPROP SLICE_X8Y18 NAME SLICE_X8Y18 SITEPROP SLICE_X8Y18 NUM_ARCS 153 SITEPROP SLICE_X8Y18 NUM_BELS 32 SITEPROP SLICE_X8Y18 NUM_INPUTS 37 SITEPROP SLICE_X8Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y18 NUM_PINS 50 SITEPROP SLICE_X8Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y18 PROHIBIT 0 SITEPROP SLICE_X8Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y18 RPM_X 37 SITEPROP SLICE_X8Y18 RPM_Y 36 SITEPROP SLICE_X8Y18 SITE_PIPS SITEPROP SLICE_X8Y18 SITE_TYPE SLICEM SITEPROP SLICE_X8Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y19 CLASS site SITEPROP SLICE_X8Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y19 IS_BONDED 0 SITEPROP SLICE_X8Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y19 IS_PAD 0 SITEPROP SLICE_X8Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y19 IS_RESERVED 0 SITEPROP SLICE_X8Y19 IS_TEST 0 SITEPROP SLICE_X8Y19 IS_USED 0 SITEPROP SLICE_X8Y19 MANUAL_ROUTING SITEPROP SLICE_X8Y19 NAME SLICE_X8Y19 SITEPROP SLICE_X8Y19 NUM_ARCS 153 SITEPROP SLICE_X8Y19 NUM_BELS 32 SITEPROP SLICE_X8Y19 NUM_INPUTS 37 SITEPROP SLICE_X8Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y19 NUM_PINS 50 SITEPROP SLICE_X8Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y19 PROHIBIT 0 SITEPROP SLICE_X8Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y19 RPM_X 37 SITEPROP SLICE_X8Y19 RPM_Y 38 SITEPROP SLICE_X8Y19 SITE_PIPS SITEPROP SLICE_X8Y19 SITE_TYPE SLICEM SITEPROP SLICE_X8Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y20 CLASS site SITEPROP SLICE_X8Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y20 IS_BONDED 0 SITEPROP SLICE_X8Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y20 IS_PAD 0 SITEPROP SLICE_X8Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y20 IS_RESERVED 0 SITEPROP SLICE_X8Y20 IS_TEST 0 SITEPROP SLICE_X8Y20 IS_USED 0 SITEPROP SLICE_X8Y20 MANUAL_ROUTING SITEPROP SLICE_X8Y20 NAME SLICE_X8Y20 SITEPROP SLICE_X8Y20 NUM_ARCS 153 SITEPROP SLICE_X8Y20 NUM_BELS 32 SITEPROP SLICE_X8Y20 NUM_INPUTS 37 SITEPROP SLICE_X8Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y20 NUM_PINS 50 SITEPROP SLICE_X8Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y20 PROHIBIT 0 SITEPROP SLICE_X8Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y20 RPM_X 37 SITEPROP SLICE_X8Y20 RPM_Y 40 SITEPROP SLICE_X8Y20 SITE_PIPS SITEPROP SLICE_X8Y20 SITE_TYPE SLICEM SITEPROP SLICE_X8Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y21 CLASS site SITEPROP SLICE_X8Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y21 IS_BONDED 0 SITEPROP SLICE_X8Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y21 IS_PAD 0 SITEPROP SLICE_X8Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y21 IS_RESERVED 0 SITEPROP SLICE_X8Y21 IS_TEST 0 SITEPROP SLICE_X8Y21 IS_USED 0 SITEPROP SLICE_X8Y21 MANUAL_ROUTING SITEPROP SLICE_X8Y21 NAME SLICE_X8Y21 SITEPROP SLICE_X8Y21 NUM_ARCS 153 SITEPROP SLICE_X8Y21 NUM_BELS 32 SITEPROP SLICE_X8Y21 NUM_INPUTS 37 SITEPROP SLICE_X8Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y21 NUM_PINS 50 SITEPROP SLICE_X8Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y21 PROHIBIT 0 SITEPROP SLICE_X8Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y21 RPM_X 37 SITEPROP SLICE_X8Y21 RPM_Y 42 SITEPROP SLICE_X8Y21 SITE_PIPS SITEPROP SLICE_X8Y21 SITE_TYPE SLICEM SITEPROP SLICE_X8Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y22 CLASS site SITEPROP SLICE_X8Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y22 IS_BONDED 0 SITEPROP SLICE_X8Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y22 IS_PAD 0 SITEPROP SLICE_X8Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y22 IS_RESERVED 0 SITEPROP SLICE_X8Y22 IS_TEST 0 SITEPROP SLICE_X8Y22 IS_USED 0 SITEPROP SLICE_X8Y22 MANUAL_ROUTING SITEPROP SLICE_X8Y22 NAME SLICE_X8Y22 SITEPROP SLICE_X8Y22 NUM_ARCS 153 SITEPROP SLICE_X8Y22 NUM_BELS 32 SITEPROP SLICE_X8Y22 NUM_INPUTS 37 SITEPROP SLICE_X8Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y22 NUM_PINS 50 SITEPROP SLICE_X8Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y22 PROHIBIT 0 SITEPROP SLICE_X8Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y22 RPM_X 37 SITEPROP SLICE_X8Y22 RPM_Y 44 SITEPROP SLICE_X8Y22 SITE_PIPS SITEPROP SLICE_X8Y22 SITE_TYPE SLICEM SITEPROP SLICE_X8Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y23 CLASS site SITEPROP SLICE_X8Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y23 IS_BONDED 0 SITEPROP SLICE_X8Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y23 IS_PAD 0 SITEPROP SLICE_X8Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y23 IS_RESERVED 0 SITEPROP SLICE_X8Y23 IS_TEST 0 SITEPROP SLICE_X8Y23 IS_USED 0 SITEPROP SLICE_X8Y23 MANUAL_ROUTING SITEPROP SLICE_X8Y23 NAME SLICE_X8Y23 SITEPROP SLICE_X8Y23 NUM_ARCS 153 SITEPROP SLICE_X8Y23 NUM_BELS 32 SITEPROP SLICE_X8Y23 NUM_INPUTS 37 SITEPROP SLICE_X8Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y23 NUM_PINS 50 SITEPROP SLICE_X8Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y23 PROHIBIT 0 SITEPROP SLICE_X8Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y23 RPM_X 37 SITEPROP SLICE_X8Y23 RPM_Y 46 SITEPROP SLICE_X8Y23 SITE_PIPS SITEPROP SLICE_X8Y23 SITE_TYPE SLICEM SITEPROP SLICE_X8Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y24 CLASS site SITEPROP SLICE_X8Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y24 IS_BONDED 0 SITEPROP SLICE_X8Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y24 IS_PAD 0 SITEPROP SLICE_X8Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y24 IS_RESERVED 0 SITEPROP SLICE_X8Y24 IS_TEST 0 SITEPROP SLICE_X8Y24 IS_USED 0 SITEPROP SLICE_X8Y24 MANUAL_ROUTING SITEPROP SLICE_X8Y24 NAME SLICE_X8Y24 SITEPROP SLICE_X8Y24 NUM_ARCS 153 SITEPROP SLICE_X8Y24 NUM_BELS 32 SITEPROP SLICE_X8Y24 NUM_INPUTS 37 SITEPROP SLICE_X8Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y24 NUM_PINS 50 SITEPROP SLICE_X8Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y24 PROHIBIT 0 SITEPROP SLICE_X8Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y24 RPM_X 37 SITEPROP SLICE_X8Y24 RPM_Y 48 SITEPROP SLICE_X8Y24 SITE_PIPS SITEPROP SLICE_X8Y24 SITE_TYPE SLICEM SITEPROP SLICE_X8Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y25 CLASS site SITEPROP SLICE_X8Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y25 IS_BONDED 0 SITEPROP SLICE_X8Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y25 IS_PAD 0 SITEPROP SLICE_X8Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y25 IS_RESERVED 0 SITEPROP SLICE_X8Y25 IS_TEST 0 SITEPROP SLICE_X8Y25 IS_USED 0 SITEPROP SLICE_X8Y25 MANUAL_ROUTING SITEPROP SLICE_X8Y25 NAME SLICE_X8Y25 SITEPROP SLICE_X8Y25 NUM_ARCS 153 SITEPROP SLICE_X8Y25 NUM_BELS 32 SITEPROP SLICE_X8Y25 NUM_INPUTS 37 SITEPROP SLICE_X8Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y25 NUM_PINS 50 SITEPROP SLICE_X8Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y25 PROHIBIT 0 SITEPROP SLICE_X8Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y25 RPM_X 37 SITEPROP SLICE_X8Y25 RPM_Y 50 SITEPROP SLICE_X8Y25 SITE_PIPS SITEPROP SLICE_X8Y25 SITE_TYPE SLICEM SITEPROP SLICE_X8Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y26 CLASS site SITEPROP SLICE_X8Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y26 IS_BONDED 0 SITEPROP SLICE_X8Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y26 IS_PAD 0 SITEPROP SLICE_X8Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y26 IS_RESERVED 0 SITEPROP SLICE_X8Y26 IS_TEST 0 SITEPROP SLICE_X8Y26 IS_USED 0 SITEPROP SLICE_X8Y26 MANUAL_ROUTING SITEPROP SLICE_X8Y26 NAME SLICE_X8Y26 SITEPROP SLICE_X8Y26 NUM_ARCS 153 SITEPROP SLICE_X8Y26 NUM_BELS 32 SITEPROP SLICE_X8Y26 NUM_INPUTS 37 SITEPROP SLICE_X8Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y26 NUM_PINS 50 SITEPROP SLICE_X8Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y26 PROHIBIT 0 SITEPROP SLICE_X8Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y26 RPM_X 37 SITEPROP SLICE_X8Y26 RPM_Y 52 SITEPROP SLICE_X8Y26 SITE_PIPS SITEPROP SLICE_X8Y26 SITE_TYPE SLICEM SITEPROP SLICE_X8Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y27 CLASS site SITEPROP SLICE_X8Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y27 IS_BONDED 0 SITEPROP SLICE_X8Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y27 IS_PAD 0 SITEPROP SLICE_X8Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y27 IS_RESERVED 0 SITEPROP SLICE_X8Y27 IS_TEST 0 SITEPROP SLICE_X8Y27 IS_USED 0 SITEPROP SLICE_X8Y27 MANUAL_ROUTING SITEPROP SLICE_X8Y27 NAME SLICE_X8Y27 SITEPROP SLICE_X8Y27 NUM_ARCS 153 SITEPROP SLICE_X8Y27 NUM_BELS 32 SITEPROP SLICE_X8Y27 NUM_INPUTS 37 SITEPROP SLICE_X8Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y27 NUM_PINS 50 SITEPROP SLICE_X8Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y27 PROHIBIT 0 SITEPROP SLICE_X8Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y27 RPM_X 37 SITEPROP SLICE_X8Y27 RPM_Y 54 SITEPROP SLICE_X8Y27 SITE_PIPS SITEPROP SLICE_X8Y27 SITE_TYPE SLICEM SITEPROP SLICE_X8Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y28 CLASS site SITEPROP SLICE_X8Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y28 IS_BONDED 0 SITEPROP SLICE_X8Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y28 IS_PAD 0 SITEPROP SLICE_X8Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y28 IS_RESERVED 0 SITEPROP SLICE_X8Y28 IS_TEST 0 SITEPROP SLICE_X8Y28 IS_USED 0 SITEPROP SLICE_X8Y28 MANUAL_ROUTING SITEPROP SLICE_X8Y28 NAME SLICE_X8Y28 SITEPROP SLICE_X8Y28 NUM_ARCS 153 SITEPROP SLICE_X8Y28 NUM_BELS 32 SITEPROP SLICE_X8Y28 NUM_INPUTS 37 SITEPROP SLICE_X8Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y28 NUM_PINS 50 SITEPROP SLICE_X8Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y28 PROHIBIT 0 SITEPROP SLICE_X8Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y28 RPM_X 37 SITEPROP SLICE_X8Y28 RPM_Y 56 SITEPROP SLICE_X8Y28 SITE_PIPS SITEPROP SLICE_X8Y28 SITE_TYPE SLICEM SITEPROP SLICE_X8Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y29 CLASS site SITEPROP SLICE_X8Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y29 IS_BONDED 0 SITEPROP SLICE_X8Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y29 IS_PAD 0 SITEPROP SLICE_X8Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y29 IS_RESERVED 0 SITEPROP SLICE_X8Y29 IS_TEST 0 SITEPROP SLICE_X8Y29 IS_USED 0 SITEPROP SLICE_X8Y29 MANUAL_ROUTING SITEPROP SLICE_X8Y29 NAME SLICE_X8Y29 SITEPROP SLICE_X8Y29 NUM_ARCS 153 SITEPROP SLICE_X8Y29 NUM_BELS 32 SITEPROP SLICE_X8Y29 NUM_INPUTS 37 SITEPROP SLICE_X8Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y29 NUM_PINS 50 SITEPROP SLICE_X8Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y29 PROHIBIT 0 SITEPROP SLICE_X8Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y29 RPM_X 37 SITEPROP SLICE_X8Y29 RPM_Y 58 SITEPROP SLICE_X8Y29 SITE_PIPS SITEPROP SLICE_X8Y29 SITE_TYPE SLICEM SITEPROP SLICE_X8Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y30 CLASS site SITEPROP SLICE_X8Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y30 IS_BONDED 0 SITEPROP SLICE_X8Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y30 IS_PAD 0 SITEPROP SLICE_X8Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y30 IS_RESERVED 0 SITEPROP SLICE_X8Y30 IS_TEST 0 SITEPROP SLICE_X8Y30 IS_USED 0 SITEPROP SLICE_X8Y30 MANUAL_ROUTING SITEPROP SLICE_X8Y30 NAME SLICE_X8Y30 SITEPROP SLICE_X8Y30 NUM_ARCS 153 SITEPROP SLICE_X8Y30 NUM_BELS 32 SITEPROP SLICE_X8Y30 NUM_INPUTS 37 SITEPROP SLICE_X8Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y30 NUM_PINS 50 SITEPROP SLICE_X8Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y30 PROHIBIT 0 SITEPROP SLICE_X8Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y30 RPM_X 37 SITEPROP SLICE_X8Y30 RPM_Y 60 SITEPROP SLICE_X8Y30 SITE_PIPS SITEPROP SLICE_X8Y30 SITE_TYPE SLICEM SITEPROP SLICE_X8Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y31 CLASS site SITEPROP SLICE_X8Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y31 IS_BONDED 0 SITEPROP SLICE_X8Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y31 IS_PAD 0 SITEPROP SLICE_X8Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y31 IS_RESERVED 0 SITEPROP SLICE_X8Y31 IS_TEST 0 SITEPROP SLICE_X8Y31 IS_USED 0 SITEPROP SLICE_X8Y31 MANUAL_ROUTING SITEPROP SLICE_X8Y31 NAME SLICE_X8Y31 SITEPROP SLICE_X8Y31 NUM_ARCS 153 SITEPROP SLICE_X8Y31 NUM_BELS 32 SITEPROP SLICE_X8Y31 NUM_INPUTS 37 SITEPROP SLICE_X8Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y31 NUM_PINS 50 SITEPROP SLICE_X8Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y31 PROHIBIT 0 SITEPROP SLICE_X8Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y31 RPM_X 37 SITEPROP SLICE_X8Y31 RPM_Y 62 SITEPROP SLICE_X8Y31 SITE_PIPS SITEPROP SLICE_X8Y31 SITE_TYPE SLICEM SITEPROP SLICE_X8Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y32 CLASS site SITEPROP SLICE_X8Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y32 IS_BONDED 0 SITEPROP SLICE_X8Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y32 IS_PAD 0 SITEPROP SLICE_X8Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y32 IS_RESERVED 0 SITEPROP SLICE_X8Y32 IS_TEST 0 SITEPROP SLICE_X8Y32 IS_USED 0 SITEPROP SLICE_X8Y32 MANUAL_ROUTING SITEPROP SLICE_X8Y32 NAME SLICE_X8Y32 SITEPROP SLICE_X8Y32 NUM_ARCS 153 SITEPROP SLICE_X8Y32 NUM_BELS 32 SITEPROP SLICE_X8Y32 NUM_INPUTS 37 SITEPROP SLICE_X8Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y32 NUM_PINS 50 SITEPROP SLICE_X8Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y32 PROHIBIT 0 SITEPROP SLICE_X8Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y32 RPM_X 37 SITEPROP SLICE_X8Y32 RPM_Y 64 SITEPROP SLICE_X8Y32 SITE_PIPS SITEPROP SLICE_X8Y32 SITE_TYPE SLICEM SITEPROP SLICE_X8Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y33 CLASS site SITEPROP SLICE_X8Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y33 IS_BONDED 0 SITEPROP SLICE_X8Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y33 IS_PAD 0 SITEPROP SLICE_X8Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y33 IS_RESERVED 0 SITEPROP SLICE_X8Y33 IS_TEST 0 SITEPROP SLICE_X8Y33 IS_USED 0 SITEPROP SLICE_X8Y33 MANUAL_ROUTING SITEPROP SLICE_X8Y33 NAME SLICE_X8Y33 SITEPROP SLICE_X8Y33 NUM_ARCS 153 SITEPROP SLICE_X8Y33 NUM_BELS 32 SITEPROP SLICE_X8Y33 NUM_INPUTS 37 SITEPROP SLICE_X8Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y33 NUM_PINS 50 SITEPROP SLICE_X8Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y33 PROHIBIT 0 SITEPROP SLICE_X8Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y33 RPM_X 37 SITEPROP SLICE_X8Y33 RPM_Y 66 SITEPROP SLICE_X8Y33 SITE_PIPS SITEPROP SLICE_X8Y33 SITE_TYPE SLICEM SITEPROP SLICE_X8Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y34 CLASS site SITEPROP SLICE_X8Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y34 IS_BONDED 0 SITEPROP SLICE_X8Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y34 IS_PAD 0 SITEPROP SLICE_X8Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y34 IS_RESERVED 0 SITEPROP SLICE_X8Y34 IS_TEST 0 SITEPROP SLICE_X8Y34 IS_USED 0 SITEPROP SLICE_X8Y34 MANUAL_ROUTING SITEPROP SLICE_X8Y34 NAME SLICE_X8Y34 SITEPROP SLICE_X8Y34 NUM_ARCS 153 SITEPROP SLICE_X8Y34 NUM_BELS 32 SITEPROP SLICE_X8Y34 NUM_INPUTS 37 SITEPROP SLICE_X8Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y34 NUM_PINS 50 SITEPROP SLICE_X8Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y34 PROHIBIT 0 SITEPROP SLICE_X8Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y34 RPM_X 37 SITEPROP SLICE_X8Y34 RPM_Y 68 SITEPROP SLICE_X8Y34 SITE_PIPS SITEPROP SLICE_X8Y34 SITE_TYPE SLICEM SITEPROP SLICE_X8Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y35 CLASS site SITEPROP SLICE_X8Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y35 IS_BONDED 0 SITEPROP SLICE_X8Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y35 IS_PAD 0 SITEPROP SLICE_X8Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y35 IS_RESERVED 0 SITEPROP SLICE_X8Y35 IS_TEST 0 SITEPROP SLICE_X8Y35 IS_USED 0 SITEPROP SLICE_X8Y35 MANUAL_ROUTING SITEPROP SLICE_X8Y35 NAME SLICE_X8Y35 SITEPROP SLICE_X8Y35 NUM_ARCS 153 SITEPROP SLICE_X8Y35 NUM_BELS 32 SITEPROP SLICE_X8Y35 NUM_INPUTS 37 SITEPROP SLICE_X8Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y35 NUM_PINS 50 SITEPROP SLICE_X8Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y35 PROHIBIT 0 SITEPROP SLICE_X8Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y35 RPM_X 37 SITEPROP SLICE_X8Y35 RPM_Y 70 SITEPROP SLICE_X8Y35 SITE_PIPS SITEPROP SLICE_X8Y35 SITE_TYPE SLICEM SITEPROP SLICE_X8Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y36 CLASS site SITEPROP SLICE_X8Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y36 IS_BONDED 0 SITEPROP SLICE_X8Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y36 IS_PAD 0 SITEPROP SLICE_X8Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y36 IS_RESERVED 0 SITEPROP SLICE_X8Y36 IS_TEST 0 SITEPROP SLICE_X8Y36 IS_USED 0 SITEPROP SLICE_X8Y36 MANUAL_ROUTING SITEPROP SLICE_X8Y36 NAME SLICE_X8Y36 SITEPROP SLICE_X8Y36 NUM_ARCS 153 SITEPROP SLICE_X8Y36 NUM_BELS 32 SITEPROP SLICE_X8Y36 NUM_INPUTS 37 SITEPROP SLICE_X8Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y36 NUM_PINS 50 SITEPROP SLICE_X8Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y36 PROHIBIT 0 SITEPROP SLICE_X8Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y36 RPM_X 37 SITEPROP SLICE_X8Y36 RPM_Y 72 SITEPROP SLICE_X8Y36 SITE_PIPS SITEPROP SLICE_X8Y36 SITE_TYPE SLICEM SITEPROP SLICE_X8Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y37 CLASS site SITEPROP SLICE_X8Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y37 IS_BONDED 0 SITEPROP SLICE_X8Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y37 IS_PAD 0 SITEPROP SLICE_X8Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y37 IS_RESERVED 0 SITEPROP SLICE_X8Y37 IS_TEST 0 SITEPROP SLICE_X8Y37 IS_USED 0 SITEPROP SLICE_X8Y37 MANUAL_ROUTING SITEPROP SLICE_X8Y37 NAME SLICE_X8Y37 SITEPROP SLICE_X8Y37 NUM_ARCS 153 SITEPROP SLICE_X8Y37 NUM_BELS 32 SITEPROP SLICE_X8Y37 NUM_INPUTS 37 SITEPROP SLICE_X8Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y37 NUM_PINS 50 SITEPROP SLICE_X8Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y37 PROHIBIT 0 SITEPROP SLICE_X8Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y37 RPM_X 37 SITEPROP SLICE_X8Y37 RPM_Y 74 SITEPROP SLICE_X8Y37 SITE_PIPS SITEPROP SLICE_X8Y37 SITE_TYPE SLICEM SITEPROP SLICE_X8Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y38 CLASS site SITEPROP SLICE_X8Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y38 IS_BONDED 0 SITEPROP SLICE_X8Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y38 IS_PAD 0 SITEPROP SLICE_X8Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y38 IS_RESERVED 0 SITEPROP SLICE_X8Y38 IS_TEST 0 SITEPROP SLICE_X8Y38 IS_USED 0 SITEPROP SLICE_X8Y38 MANUAL_ROUTING SITEPROP SLICE_X8Y38 NAME SLICE_X8Y38 SITEPROP SLICE_X8Y38 NUM_ARCS 153 SITEPROP SLICE_X8Y38 NUM_BELS 32 SITEPROP SLICE_X8Y38 NUM_INPUTS 37 SITEPROP SLICE_X8Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y38 NUM_PINS 50 SITEPROP SLICE_X8Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y38 PROHIBIT 0 SITEPROP SLICE_X8Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y38 RPM_X 37 SITEPROP SLICE_X8Y38 RPM_Y 76 SITEPROP SLICE_X8Y38 SITE_PIPS SITEPROP SLICE_X8Y38 SITE_TYPE SLICEM SITEPROP SLICE_X8Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y39 CLASS site SITEPROP SLICE_X8Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y39 IS_BONDED 0 SITEPROP SLICE_X8Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y39 IS_PAD 0 SITEPROP SLICE_X8Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y39 IS_RESERVED 0 SITEPROP SLICE_X8Y39 IS_TEST 0 SITEPROP SLICE_X8Y39 IS_USED 0 SITEPROP SLICE_X8Y39 MANUAL_ROUTING SITEPROP SLICE_X8Y39 NAME SLICE_X8Y39 SITEPROP SLICE_X8Y39 NUM_ARCS 153 SITEPROP SLICE_X8Y39 NUM_BELS 32 SITEPROP SLICE_X8Y39 NUM_INPUTS 37 SITEPROP SLICE_X8Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y39 NUM_PINS 50 SITEPROP SLICE_X8Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y39 PROHIBIT 0 SITEPROP SLICE_X8Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y39 RPM_X 37 SITEPROP SLICE_X8Y39 RPM_Y 78 SITEPROP SLICE_X8Y39 SITE_PIPS SITEPROP SLICE_X8Y39 SITE_TYPE SLICEM SITEPROP SLICE_X8Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y40 CLASS site SITEPROP SLICE_X8Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y40 IS_BONDED 0 SITEPROP SLICE_X8Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y40 IS_PAD 0 SITEPROP SLICE_X8Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y40 IS_RESERVED 0 SITEPROP SLICE_X8Y40 IS_TEST 0 SITEPROP SLICE_X8Y40 IS_USED 0 SITEPROP SLICE_X8Y40 MANUAL_ROUTING SITEPROP SLICE_X8Y40 NAME SLICE_X8Y40 SITEPROP SLICE_X8Y40 NUM_ARCS 153 SITEPROP SLICE_X8Y40 NUM_BELS 32 SITEPROP SLICE_X8Y40 NUM_INPUTS 37 SITEPROP SLICE_X8Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y40 NUM_PINS 50 SITEPROP SLICE_X8Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y40 PROHIBIT 0 SITEPROP SLICE_X8Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y40 RPM_X 37 SITEPROP SLICE_X8Y40 RPM_Y 80 SITEPROP SLICE_X8Y40 SITE_PIPS SITEPROP SLICE_X8Y40 SITE_TYPE SLICEM SITEPROP SLICE_X8Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y41 CLASS site SITEPROP SLICE_X8Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y41 IS_BONDED 0 SITEPROP SLICE_X8Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y41 IS_PAD 0 SITEPROP SLICE_X8Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y41 IS_RESERVED 0 SITEPROP SLICE_X8Y41 IS_TEST 0 SITEPROP SLICE_X8Y41 IS_USED 0 SITEPROP SLICE_X8Y41 MANUAL_ROUTING SITEPROP SLICE_X8Y41 NAME SLICE_X8Y41 SITEPROP SLICE_X8Y41 NUM_ARCS 153 SITEPROP SLICE_X8Y41 NUM_BELS 32 SITEPROP SLICE_X8Y41 NUM_INPUTS 37 SITEPROP SLICE_X8Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y41 NUM_PINS 50 SITEPROP SLICE_X8Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y41 PROHIBIT 0 SITEPROP SLICE_X8Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y41 RPM_X 37 SITEPROP SLICE_X8Y41 RPM_Y 82 SITEPROP SLICE_X8Y41 SITE_PIPS SITEPROP SLICE_X8Y41 SITE_TYPE SLICEM SITEPROP SLICE_X8Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y42 CLASS site SITEPROP SLICE_X8Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y42 IS_BONDED 0 SITEPROP SLICE_X8Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y42 IS_PAD 0 SITEPROP SLICE_X8Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y42 IS_RESERVED 0 SITEPROP SLICE_X8Y42 IS_TEST 0 SITEPROP SLICE_X8Y42 IS_USED 0 SITEPROP SLICE_X8Y42 MANUAL_ROUTING SITEPROP SLICE_X8Y42 NAME SLICE_X8Y42 SITEPROP SLICE_X8Y42 NUM_ARCS 153 SITEPROP SLICE_X8Y42 NUM_BELS 32 SITEPROP SLICE_X8Y42 NUM_INPUTS 37 SITEPROP SLICE_X8Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y42 NUM_PINS 50 SITEPROP SLICE_X8Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y42 PROHIBIT 0 SITEPROP SLICE_X8Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y42 RPM_X 37 SITEPROP SLICE_X8Y42 RPM_Y 84 SITEPROP SLICE_X8Y42 SITE_PIPS SITEPROP SLICE_X8Y42 SITE_TYPE SLICEM SITEPROP SLICE_X8Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y43 CLASS site SITEPROP SLICE_X8Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y43 IS_BONDED 0 SITEPROP SLICE_X8Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y43 IS_PAD 0 SITEPROP SLICE_X8Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y43 IS_RESERVED 0 SITEPROP SLICE_X8Y43 IS_TEST 0 SITEPROP SLICE_X8Y43 IS_USED 0 SITEPROP SLICE_X8Y43 MANUAL_ROUTING SITEPROP SLICE_X8Y43 NAME SLICE_X8Y43 SITEPROP SLICE_X8Y43 NUM_ARCS 153 SITEPROP SLICE_X8Y43 NUM_BELS 32 SITEPROP SLICE_X8Y43 NUM_INPUTS 37 SITEPROP SLICE_X8Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y43 NUM_PINS 50 SITEPROP SLICE_X8Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y43 PROHIBIT 0 SITEPROP SLICE_X8Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y43 RPM_X 37 SITEPROP SLICE_X8Y43 RPM_Y 86 SITEPROP SLICE_X8Y43 SITE_PIPS SITEPROP SLICE_X8Y43 SITE_TYPE SLICEM SITEPROP SLICE_X8Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y44 CLASS site SITEPROP SLICE_X8Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y44 IS_BONDED 0 SITEPROP SLICE_X8Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y44 IS_PAD 0 SITEPROP SLICE_X8Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y44 IS_RESERVED 0 SITEPROP SLICE_X8Y44 IS_TEST 0 SITEPROP SLICE_X8Y44 IS_USED 0 SITEPROP SLICE_X8Y44 MANUAL_ROUTING SITEPROP SLICE_X8Y44 NAME SLICE_X8Y44 SITEPROP SLICE_X8Y44 NUM_ARCS 153 SITEPROP SLICE_X8Y44 NUM_BELS 32 SITEPROP SLICE_X8Y44 NUM_INPUTS 37 SITEPROP SLICE_X8Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y44 NUM_PINS 50 SITEPROP SLICE_X8Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y44 PROHIBIT 0 SITEPROP SLICE_X8Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y44 RPM_X 37 SITEPROP SLICE_X8Y44 RPM_Y 88 SITEPROP SLICE_X8Y44 SITE_PIPS SITEPROP SLICE_X8Y44 SITE_TYPE SLICEM SITEPROP SLICE_X8Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y45 CLASS site SITEPROP SLICE_X8Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y45 IS_BONDED 0 SITEPROP SLICE_X8Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y45 IS_PAD 0 SITEPROP SLICE_X8Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y45 IS_RESERVED 0 SITEPROP SLICE_X8Y45 IS_TEST 0 SITEPROP SLICE_X8Y45 IS_USED 0 SITEPROP SLICE_X8Y45 MANUAL_ROUTING SITEPROP SLICE_X8Y45 NAME SLICE_X8Y45 SITEPROP SLICE_X8Y45 NUM_ARCS 153 SITEPROP SLICE_X8Y45 NUM_BELS 32 SITEPROP SLICE_X8Y45 NUM_INPUTS 37 SITEPROP SLICE_X8Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y45 NUM_PINS 50 SITEPROP SLICE_X8Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y45 PROHIBIT 0 SITEPROP SLICE_X8Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y45 RPM_X 37 SITEPROP SLICE_X8Y45 RPM_Y 90 SITEPROP SLICE_X8Y45 SITE_PIPS SITEPROP SLICE_X8Y45 SITE_TYPE SLICEM SITEPROP SLICE_X8Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y46 CLASS site SITEPROP SLICE_X8Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y46 IS_BONDED 0 SITEPROP SLICE_X8Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y46 IS_PAD 0 SITEPROP SLICE_X8Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y46 IS_RESERVED 0 SITEPROP SLICE_X8Y46 IS_TEST 0 SITEPROP SLICE_X8Y46 IS_USED 0 SITEPROP SLICE_X8Y46 MANUAL_ROUTING SITEPROP SLICE_X8Y46 NAME SLICE_X8Y46 SITEPROP SLICE_X8Y46 NUM_ARCS 153 SITEPROP SLICE_X8Y46 NUM_BELS 32 SITEPROP SLICE_X8Y46 NUM_INPUTS 37 SITEPROP SLICE_X8Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y46 NUM_PINS 50 SITEPROP SLICE_X8Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y46 PROHIBIT 0 SITEPROP SLICE_X8Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y46 RPM_X 37 SITEPROP SLICE_X8Y46 RPM_Y 92 SITEPROP SLICE_X8Y46 SITE_PIPS SITEPROP SLICE_X8Y46 SITE_TYPE SLICEM SITEPROP SLICE_X8Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y47 CLASS site SITEPROP SLICE_X8Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y47 IS_BONDED 0 SITEPROP SLICE_X8Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y47 IS_PAD 0 SITEPROP SLICE_X8Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y47 IS_RESERVED 0 SITEPROP SLICE_X8Y47 IS_TEST 0 SITEPROP SLICE_X8Y47 IS_USED 0 SITEPROP SLICE_X8Y47 MANUAL_ROUTING SITEPROP SLICE_X8Y47 NAME SLICE_X8Y47 SITEPROP SLICE_X8Y47 NUM_ARCS 153 SITEPROP SLICE_X8Y47 NUM_BELS 32 SITEPROP SLICE_X8Y47 NUM_INPUTS 37 SITEPROP SLICE_X8Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y47 NUM_PINS 50 SITEPROP SLICE_X8Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y47 PROHIBIT 0 SITEPROP SLICE_X8Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y47 RPM_X 37 SITEPROP SLICE_X8Y47 RPM_Y 94 SITEPROP SLICE_X8Y47 SITE_PIPS SITEPROP SLICE_X8Y47 SITE_TYPE SLICEM SITEPROP SLICE_X8Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y48 CLASS site SITEPROP SLICE_X8Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y48 IS_BONDED 0 SITEPROP SLICE_X8Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y48 IS_PAD 0 SITEPROP SLICE_X8Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y48 IS_RESERVED 0 SITEPROP SLICE_X8Y48 IS_TEST 0 SITEPROP SLICE_X8Y48 IS_USED 0 SITEPROP SLICE_X8Y48 MANUAL_ROUTING SITEPROP SLICE_X8Y48 NAME SLICE_X8Y48 SITEPROP SLICE_X8Y48 NUM_ARCS 153 SITEPROP SLICE_X8Y48 NUM_BELS 32 SITEPROP SLICE_X8Y48 NUM_INPUTS 37 SITEPROP SLICE_X8Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y48 NUM_PINS 50 SITEPROP SLICE_X8Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y48 PROHIBIT 0 SITEPROP SLICE_X8Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y48 RPM_X 37 SITEPROP SLICE_X8Y48 RPM_Y 96 SITEPROP SLICE_X8Y48 SITE_PIPS SITEPROP SLICE_X8Y48 SITE_TYPE SLICEM SITEPROP SLICE_X8Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y49 CLASS site SITEPROP SLICE_X8Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X8Y49 IS_BONDED 0 SITEPROP SLICE_X8Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y49 IS_PAD 0 SITEPROP SLICE_X8Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y49 IS_RESERVED 0 SITEPROP SLICE_X8Y49 IS_TEST 0 SITEPROP SLICE_X8Y49 IS_USED 0 SITEPROP SLICE_X8Y49 MANUAL_ROUTING SITEPROP SLICE_X8Y49 NAME SLICE_X8Y49 SITEPROP SLICE_X8Y49 NUM_ARCS 153 SITEPROP SLICE_X8Y49 NUM_BELS 32 SITEPROP SLICE_X8Y49 NUM_INPUTS 37 SITEPROP SLICE_X8Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y49 NUM_PINS 50 SITEPROP SLICE_X8Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y49 PROHIBIT 0 SITEPROP SLICE_X8Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y49 RPM_X 37 SITEPROP SLICE_X8Y49 RPM_Y 98 SITEPROP SLICE_X8Y49 SITE_PIPS SITEPROP SLICE_X8Y49 SITE_TYPE SLICEM SITEPROP SLICE_X8Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y50 CLASS site SITEPROP SLICE_X8Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y50 IS_BONDED 0 SITEPROP SLICE_X8Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y50 IS_PAD 0 SITEPROP SLICE_X8Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y50 IS_RESERVED 0 SITEPROP SLICE_X8Y50 IS_TEST 0 SITEPROP SLICE_X8Y50 IS_USED 0 SITEPROP SLICE_X8Y50 MANUAL_ROUTING SITEPROP SLICE_X8Y50 NAME SLICE_X8Y50 SITEPROP SLICE_X8Y50 NUM_ARCS 153 SITEPROP SLICE_X8Y50 NUM_BELS 32 SITEPROP SLICE_X8Y50 NUM_INPUTS 37 SITEPROP SLICE_X8Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y50 NUM_PINS 50 SITEPROP SLICE_X8Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y50 PROHIBIT 0 SITEPROP SLICE_X8Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y50 RPM_X 37 SITEPROP SLICE_X8Y50 RPM_Y 100 SITEPROP SLICE_X8Y50 SITE_PIPS SITEPROP SLICE_X8Y50 SITE_TYPE SLICEM SITEPROP SLICE_X8Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y51 CLASS site SITEPROP SLICE_X8Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y51 IS_BONDED 0 SITEPROP SLICE_X8Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y51 IS_PAD 0 SITEPROP SLICE_X8Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y51 IS_RESERVED 0 SITEPROP SLICE_X8Y51 IS_TEST 0 SITEPROP SLICE_X8Y51 IS_USED 0 SITEPROP SLICE_X8Y51 MANUAL_ROUTING SITEPROP SLICE_X8Y51 NAME SLICE_X8Y51 SITEPROP SLICE_X8Y51 NUM_ARCS 153 SITEPROP SLICE_X8Y51 NUM_BELS 32 SITEPROP SLICE_X8Y51 NUM_INPUTS 37 SITEPROP SLICE_X8Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y51 NUM_PINS 50 SITEPROP SLICE_X8Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y51 PROHIBIT 0 SITEPROP SLICE_X8Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y51 RPM_X 37 SITEPROP SLICE_X8Y51 RPM_Y 102 SITEPROP SLICE_X8Y51 SITE_PIPS SITEPROP SLICE_X8Y51 SITE_TYPE SLICEM SITEPROP SLICE_X8Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y52 CLASS site SITEPROP SLICE_X8Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y52 IS_BONDED 0 SITEPROP SLICE_X8Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y52 IS_PAD 0 SITEPROP SLICE_X8Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y52 IS_RESERVED 0 SITEPROP SLICE_X8Y52 IS_TEST 0 SITEPROP SLICE_X8Y52 IS_USED 0 SITEPROP SLICE_X8Y52 MANUAL_ROUTING SITEPROP SLICE_X8Y52 NAME SLICE_X8Y52 SITEPROP SLICE_X8Y52 NUM_ARCS 153 SITEPROP SLICE_X8Y52 NUM_BELS 32 SITEPROP SLICE_X8Y52 NUM_INPUTS 37 SITEPROP SLICE_X8Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y52 NUM_PINS 50 SITEPROP SLICE_X8Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y52 PROHIBIT 0 SITEPROP SLICE_X8Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y52 RPM_X 37 SITEPROP SLICE_X8Y52 RPM_Y 104 SITEPROP SLICE_X8Y52 SITE_PIPS SITEPROP SLICE_X8Y52 SITE_TYPE SLICEM SITEPROP SLICE_X8Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y53 CLASS site SITEPROP SLICE_X8Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y53 IS_BONDED 0 SITEPROP SLICE_X8Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y53 IS_PAD 0 SITEPROP SLICE_X8Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y53 IS_RESERVED 0 SITEPROP SLICE_X8Y53 IS_TEST 0 SITEPROP SLICE_X8Y53 IS_USED 0 SITEPROP SLICE_X8Y53 MANUAL_ROUTING SITEPROP SLICE_X8Y53 NAME SLICE_X8Y53 SITEPROP SLICE_X8Y53 NUM_ARCS 153 SITEPROP SLICE_X8Y53 NUM_BELS 32 SITEPROP SLICE_X8Y53 NUM_INPUTS 37 SITEPROP SLICE_X8Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y53 NUM_PINS 50 SITEPROP SLICE_X8Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y53 PROHIBIT 0 SITEPROP SLICE_X8Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y53 RPM_X 37 SITEPROP SLICE_X8Y53 RPM_Y 106 SITEPROP SLICE_X8Y53 SITE_PIPS SITEPROP SLICE_X8Y53 SITE_TYPE SLICEM SITEPROP SLICE_X8Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y54 CLASS site SITEPROP SLICE_X8Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y54 IS_BONDED 0 SITEPROP SLICE_X8Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y54 IS_PAD 0 SITEPROP SLICE_X8Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y54 IS_RESERVED 0 SITEPROP SLICE_X8Y54 IS_TEST 0 SITEPROP SLICE_X8Y54 IS_USED 0 SITEPROP SLICE_X8Y54 MANUAL_ROUTING SITEPROP SLICE_X8Y54 NAME SLICE_X8Y54 SITEPROP SLICE_X8Y54 NUM_ARCS 153 SITEPROP SLICE_X8Y54 NUM_BELS 32 SITEPROP SLICE_X8Y54 NUM_INPUTS 37 SITEPROP SLICE_X8Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y54 NUM_PINS 50 SITEPROP SLICE_X8Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y54 PROHIBIT 0 SITEPROP SLICE_X8Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y54 RPM_X 37 SITEPROP SLICE_X8Y54 RPM_Y 108 SITEPROP SLICE_X8Y54 SITE_PIPS SITEPROP SLICE_X8Y54 SITE_TYPE SLICEM SITEPROP SLICE_X8Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y55 CLASS site SITEPROP SLICE_X8Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y55 IS_BONDED 0 SITEPROP SLICE_X8Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y55 IS_PAD 0 SITEPROP SLICE_X8Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y55 IS_RESERVED 0 SITEPROP SLICE_X8Y55 IS_TEST 0 SITEPROP SLICE_X8Y55 IS_USED 0 SITEPROP SLICE_X8Y55 MANUAL_ROUTING SITEPROP SLICE_X8Y55 NAME SLICE_X8Y55 SITEPROP SLICE_X8Y55 NUM_ARCS 153 SITEPROP SLICE_X8Y55 NUM_BELS 32 SITEPROP SLICE_X8Y55 NUM_INPUTS 37 SITEPROP SLICE_X8Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y55 NUM_PINS 50 SITEPROP SLICE_X8Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y55 PROHIBIT 0 SITEPROP SLICE_X8Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y55 RPM_X 37 SITEPROP SLICE_X8Y55 RPM_Y 110 SITEPROP SLICE_X8Y55 SITE_PIPS SITEPROP SLICE_X8Y55 SITE_TYPE SLICEM SITEPROP SLICE_X8Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y56 CLASS site SITEPROP SLICE_X8Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y56 IS_BONDED 0 SITEPROP SLICE_X8Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y56 IS_PAD 0 SITEPROP SLICE_X8Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y56 IS_RESERVED 0 SITEPROP SLICE_X8Y56 IS_TEST 0 SITEPROP SLICE_X8Y56 IS_USED 0 SITEPROP SLICE_X8Y56 MANUAL_ROUTING SITEPROP SLICE_X8Y56 NAME SLICE_X8Y56 SITEPROP SLICE_X8Y56 NUM_ARCS 153 SITEPROP SLICE_X8Y56 NUM_BELS 32 SITEPROP SLICE_X8Y56 NUM_INPUTS 37 SITEPROP SLICE_X8Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y56 NUM_PINS 50 SITEPROP SLICE_X8Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y56 PROHIBIT 0 SITEPROP SLICE_X8Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y56 RPM_X 37 SITEPROP SLICE_X8Y56 RPM_Y 112 SITEPROP SLICE_X8Y56 SITE_PIPS SITEPROP SLICE_X8Y56 SITE_TYPE SLICEM SITEPROP SLICE_X8Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y57 CLASS site SITEPROP SLICE_X8Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y57 IS_BONDED 0 SITEPROP SLICE_X8Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y57 IS_PAD 0 SITEPROP SLICE_X8Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y57 IS_RESERVED 0 SITEPROP SLICE_X8Y57 IS_TEST 0 SITEPROP SLICE_X8Y57 IS_USED 0 SITEPROP SLICE_X8Y57 MANUAL_ROUTING SITEPROP SLICE_X8Y57 NAME SLICE_X8Y57 SITEPROP SLICE_X8Y57 NUM_ARCS 153 SITEPROP SLICE_X8Y57 NUM_BELS 32 SITEPROP SLICE_X8Y57 NUM_INPUTS 37 SITEPROP SLICE_X8Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y57 NUM_PINS 50 SITEPROP SLICE_X8Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y57 PROHIBIT 0 SITEPROP SLICE_X8Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y57 RPM_X 37 SITEPROP SLICE_X8Y57 RPM_Y 114 SITEPROP SLICE_X8Y57 SITE_PIPS SITEPROP SLICE_X8Y57 SITE_TYPE SLICEM SITEPROP SLICE_X8Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y58 CLASS site SITEPROP SLICE_X8Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y58 IS_BONDED 0 SITEPROP SLICE_X8Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y58 IS_PAD 0 SITEPROP SLICE_X8Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y58 IS_RESERVED 0 SITEPROP SLICE_X8Y58 IS_TEST 0 SITEPROP SLICE_X8Y58 IS_USED 0 SITEPROP SLICE_X8Y58 MANUAL_ROUTING SITEPROP SLICE_X8Y58 NAME SLICE_X8Y58 SITEPROP SLICE_X8Y58 NUM_ARCS 153 SITEPROP SLICE_X8Y58 NUM_BELS 32 SITEPROP SLICE_X8Y58 NUM_INPUTS 37 SITEPROP SLICE_X8Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y58 NUM_PINS 50 SITEPROP SLICE_X8Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y58 PROHIBIT 0 SITEPROP SLICE_X8Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y58 RPM_X 37 SITEPROP SLICE_X8Y58 RPM_Y 116 SITEPROP SLICE_X8Y58 SITE_PIPS SITEPROP SLICE_X8Y58 SITE_TYPE SLICEM SITEPROP SLICE_X8Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y59 CLASS site SITEPROP SLICE_X8Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y59 IS_BONDED 0 SITEPROP SLICE_X8Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y59 IS_PAD 0 SITEPROP SLICE_X8Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y59 IS_RESERVED 0 SITEPROP SLICE_X8Y59 IS_TEST 0 SITEPROP SLICE_X8Y59 IS_USED 0 SITEPROP SLICE_X8Y59 MANUAL_ROUTING SITEPROP SLICE_X8Y59 NAME SLICE_X8Y59 SITEPROP SLICE_X8Y59 NUM_ARCS 153 SITEPROP SLICE_X8Y59 NUM_BELS 32 SITEPROP SLICE_X8Y59 NUM_INPUTS 37 SITEPROP SLICE_X8Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y59 NUM_PINS 50 SITEPROP SLICE_X8Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y59 PROHIBIT 0 SITEPROP SLICE_X8Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y59 RPM_X 37 SITEPROP SLICE_X8Y59 RPM_Y 118 SITEPROP SLICE_X8Y59 SITE_PIPS SITEPROP SLICE_X8Y59 SITE_TYPE SLICEM SITEPROP SLICE_X8Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y60 CLASS site SITEPROP SLICE_X8Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y60 IS_BONDED 0 SITEPROP SLICE_X8Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y60 IS_PAD 0 SITEPROP SLICE_X8Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y60 IS_RESERVED 0 SITEPROP SLICE_X8Y60 IS_TEST 0 SITEPROP SLICE_X8Y60 IS_USED 0 SITEPROP SLICE_X8Y60 MANUAL_ROUTING SITEPROP SLICE_X8Y60 NAME SLICE_X8Y60 SITEPROP SLICE_X8Y60 NUM_ARCS 153 SITEPROP SLICE_X8Y60 NUM_BELS 32 SITEPROP SLICE_X8Y60 NUM_INPUTS 37 SITEPROP SLICE_X8Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y60 NUM_PINS 50 SITEPROP SLICE_X8Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y60 PROHIBIT 0 SITEPROP SLICE_X8Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y60 RPM_X 37 SITEPROP SLICE_X8Y60 RPM_Y 120 SITEPROP SLICE_X8Y60 SITE_PIPS SITEPROP SLICE_X8Y60 SITE_TYPE SLICEM SITEPROP SLICE_X8Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y61 CLASS site SITEPROP SLICE_X8Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y61 IS_BONDED 0 SITEPROP SLICE_X8Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y61 IS_PAD 0 SITEPROP SLICE_X8Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y61 IS_RESERVED 0 SITEPROP SLICE_X8Y61 IS_TEST 0 SITEPROP SLICE_X8Y61 IS_USED 0 SITEPROP SLICE_X8Y61 MANUAL_ROUTING SITEPROP SLICE_X8Y61 NAME SLICE_X8Y61 SITEPROP SLICE_X8Y61 NUM_ARCS 153 SITEPROP SLICE_X8Y61 NUM_BELS 32 SITEPROP SLICE_X8Y61 NUM_INPUTS 37 SITEPROP SLICE_X8Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y61 NUM_PINS 50 SITEPROP SLICE_X8Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y61 PROHIBIT 0 SITEPROP SLICE_X8Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y61 RPM_X 37 SITEPROP SLICE_X8Y61 RPM_Y 122 SITEPROP SLICE_X8Y61 SITE_PIPS SITEPROP SLICE_X8Y61 SITE_TYPE SLICEM SITEPROP SLICE_X8Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y62 CLASS site SITEPROP SLICE_X8Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y62 IS_BONDED 0 SITEPROP SLICE_X8Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y62 IS_PAD 0 SITEPROP SLICE_X8Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y62 IS_RESERVED 0 SITEPROP SLICE_X8Y62 IS_TEST 0 SITEPROP SLICE_X8Y62 IS_USED 0 SITEPROP SLICE_X8Y62 MANUAL_ROUTING SITEPROP SLICE_X8Y62 NAME SLICE_X8Y62 SITEPROP SLICE_X8Y62 NUM_ARCS 153 SITEPROP SLICE_X8Y62 NUM_BELS 32 SITEPROP SLICE_X8Y62 NUM_INPUTS 37 SITEPROP SLICE_X8Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y62 NUM_PINS 50 SITEPROP SLICE_X8Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y62 PROHIBIT 0 SITEPROP SLICE_X8Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y62 RPM_X 37 SITEPROP SLICE_X8Y62 RPM_Y 124 SITEPROP SLICE_X8Y62 SITE_PIPS SITEPROP SLICE_X8Y62 SITE_TYPE SLICEM SITEPROP SLICE_X8Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y63 CLASS site SITEPROP SLICE_X8Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y63 IS_BONDED 0 SITEPROP SLICE_X8Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y63 IS_PAD 0 SITEPROP SLICE_X8Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y63 IS_RESERVED 0 SITEPROP SLICE_X8Y63 IS_TEST 0 SITEPROP SLICE_X8Y63 IS_USED 0 SITEPROP SLICE_X8Y63 MANUAL_ROUTING SITEPROP SLICE_X8Y63 NAME SLICE_X8Y63 SITEPROP SLICE_X8Y63 NUM_ARCS 153 SITEPROP SLICE_X8Y63 NUM_BELS 32 SITEPROP SLICE_X8Y63 NUM_INPUTS 37 SITEPROP SLICE_X8Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y63 NUM_PINS 50 SITEPROP SLICE_X8Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y63 PROHIBIT 0 SITEPROP SLICE_X8Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y63 RPM_X 37 SITEPROP SLICE_X8Y63 RPM_Y 126 SITEPROP SLICE_X8Y63 SITE_PIPS SITEPROP SLICE_X8Y63 SITE_TYPE SLICEM SITEPROP SLICE_X8Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y64 CLASS site SITEPROP SLICE_X8Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y64 IS_BONDED 0 SITEPROP SLICE_X8Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y64 IS_PAD 0 SITEPROP SLICE_X8Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y64 IS_RESERVED 0 SITEPROP SLICE_X8Y64 IS_TEST 0 SITEPROP SLICE_X8Y64 IS_USED 0 SITEPROP SLICE_X8Y64 MANUAL_ROUTING SITEPROP SLICE_X8Y64 NAME SLICE_X8Y64 SITEPROP SLICE_X8Y64 NUM_ARCS 153 SITEPROP SLICE_X8Y64 NUM_BELS 32 SITEPROP SLICE_X8Y64 NUM_INPUTS 37 SITEPROP SLICE_X8Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y64 NUM_PINS 50 SITEPROP SLICE_X8Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y64 PROHIBIT 0 SITEPROP SLICE_X8Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y64 RPM_X 37 SITEPROP SLICE_X8Y64 RPM_Y 128 SITEPROP SLICE_X8Y64 SITE_PIPS SITEPROP SLICE_X8Y64 SITE_TYPE SLICEM SITEPROP SLICE_X8Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y65 CLASS site SITEPROP SLICE_X8Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y65 IS_BONDED 0 SITEPROP SLICE_X8Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y65 IS_PAD 0 SITEPROP SLICE_X8Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y65 IS_RESERVED 0 SITEPROP SLICE_X8Y65 IS_TEST 0 SITEPROP SLICE_X8Y65 IS_USED 0 SITEPROP SLICE_X8Y65 MANUAL_ROUTING SITEPROP SLICE_X8Y65 NAME SLICE_X8Y65 SITEPROP SLICE_X8Y65 NUM_ARCS 153 SITEPROP SLICE_X8Y65 NUM_BELS 32 SITEPROP SLICE_X8Y65 NUM_INPUTS 37 SITEPROP SLICE_X8Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y65 NUM_PINS 50 SITEPROP SLICE_X8Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y65 PROHIBIT 0 SITEPROP SLICE_X8Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y65 RPM_X 37 SITEPROP SLICE_X8Y65 RPM_Y 130 SITEPROP SLICE_X8Y65 SITE_PIPS SITEPROP SLICE_X8Y65 SITE_TYPE SLICEM SITEPROP SLICE_X8Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y66 CLASS site SITEPROP SLICE_X8Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y66 IS_BONDED 0 SITEPROP SLICE_X8Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y66 IS_PAD 0 SITEPROP SLICE_X8Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y66 IS_RESERVED 0 SITEPROP SLICE_X8Y66 IS_TEST 0 SITEPROP SLICE_X8Y66 IS_USED 0 SITEPROP SLICE_X8Y66 MANUAL_ROUTING SITEPROP SLICE_X8Y66 NAME SLICE_X8Y66 SITEPROP SLICE_X8Y66 NUM_ARCS 153 SITEPROP SLICE_X8Y66 NUM_BELS 32 SITEPROP SLICE_X8Y66 NUM_INPUTS 37 SITEPROP SLICE_X8Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y66 NUM_PINS 50 SITEPROP SLICE_X8Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y66 PROHIBIT 0 SITEPROP SLICE_X8Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y66 RPM_X 37 SITEPROP SLICE_X8Y66 RPM_Y 132 SITEPROP SLICE_X8Y66 SITE_PIPS SITEPROP SLICE_X8Y66 SITE_TYPE SLICEM SITEPROP SLICE_X8Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y67 CLASS site SITEPROP SLICE_X8Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y67 IS_BONDED 0 SITEPROP SLICE_X8Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y67 IS_PAD 0 SITEPROP SLICE_X8Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y67 IS_RESERVED 0 SITEPROP SLICE_X8Y67 IS_TEST 0 SITEPROP SLICE_X8Y67 IS_USED 0 SITEPROP SLICE_X8Y67 MANUAL_ROUTING SITEPROP SLICE_X8Y67 NAME SLICE_X8Y67 SITEPROP SLICE_X8Y67 NUM_ARCS 153 SITEPROP SLICE_X8Y67 NUM_BELS 32 SITEPROP SLICE_X8Y67 NUM_INPUTS 37 SITEPROP SLICE_X8Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y67 NUM_PINS 50 SITEPROP SLICE_X8Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y67 PROHIBIT 0 SITEPROP SLICE_X8Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y67 RPM_X 37 SITEPROP SLICE_X8Y67 RPM_Y 134 SITEPROP SLICE_X8Y67 SITE_PIPS SITEPROP SLICE_X8Y67 SITE_TYPE SLICEM SITEPROP SLICE_X8Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y68 CLASS site SITEPROP SLICE_X8Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y68 IS_BONDED 0 SITEPROP SLICE_X8Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y68 IS_PAD 0 SITEPROP SLICE_X8Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y68 IS_RESERVED 0 SITEPROP SLICE_X8Y68 IS_TEST 0 SITEPROP SLICE_X8Y68 IS_USED 0 SITEPROP SLICE_X8Y68 MANUAL_ROUTING SITEPROP SLICE_X8Y68 NAME SLICE_X8Y68 SITEPROP SLICE_X8Y68 NUM_ARCS 153 SITEPROP SLICE_X8Y68 NUM_BELS 32 SITEPROP SLICE_X8Y68 NUM_INPUTS 37 SITEPROP SLICE_X8Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y68 NUM_PINS 50 SITEPROP SLICE_X8Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y68 PROHIBIT 0 SITEPROP SLICE_X8Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y68 RPM_X 37 SITEPROP SLICE_X8Y68 RPM_Y 136 SITEPROP SLICE_X8Y68 SITE_PIPS SITEPROP SLICE_X8Y68 SITE_TYPE SLICEM SITEPROP SLICE_X8Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y69 CLASS site SITEPROP SLICE_X8Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y69 IS_BONDED 0 SITEPROP SLICE_X8Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y69 IS_PAD 0 SITEPROP SLICE_X8Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y69 IS_RESERVED 0 SITEPROP SLICE_X8Y69 IS_TEST 0 SITEPROP SLICE_X8Y69 IS_USED 0 SITEPROP SLICE_X8Y69 MANUAL_ROUTING SITEPROP SLICE_X8Y69 NAME SLICE_X8Y69 SITEPROP SLICE_X8Y69 NUM_ARCS 153 SITEPROP SLICE_X8Y69 NUM_BELS 32 SITEPROP SLICE_X8Y69 NUM_INPUTS 37 SITEPROP SLICE_X8Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y69 NUM_PINS 50 SITEPROP SLICE_X8Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y69 PROHIBIT 0 SITEPROP SLICE_X8Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y69 RPM_X 37 SITEPROP SLICE_X8Y69 RPM_Y 138 SITEPROP SLICE_X8Y69 SITE_PIPS SITEPROP SLICE_X8Y69 SITE_TYPE SLICEM SITEPROP SLICE_X8Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y70 CLASS site SITEPROP SLICE_X8Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y70 IS_BONDED 0 SITEPROP SLICE_X8Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y70 IS_PAD 0 SITEPROP SLICE_X8Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y70 IS_RESERVED 0 SITEPROP SLICE_X8Y70 IS_TEST 0 SITEPROP SLICE_X8Y70 IS_USED 0 SITEPROP SLICE_X8Y70 MANUAL_ROUTING SITEPROP SLICE_X8Y70 NAME SLICE_X8Y70 SITEPROP SLICE_X8Y70 NUM_ARCS 153 SITEPROP SLICE_X8Y70 NUM_BELS 32 SITEPROP SLICE_X8Y70 NUM_INPUTS 37 SITEPROP SLICE_X8Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y70 NUM_PINS 50 SITEPROP SLICE_X8Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y70 PROHIBIT 0 SITEPROP SLICE_X8Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y70 RPM_X 37 SITEPROP SLICE_X8Y70 RPM_Y 140 SITEPROP SLICE_X8Y70 SITE_PIPS SITEPROP SLICE_X8Y70 SITE_TYPE SLICEM SITEPROP SLICE_X8Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y71 CLASS site SITEPROP SLICE_X8Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y71 IS_BONDED 0 SITEPROP SLICE_X8Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y71 IS_PAD 0 SITEPROP SLICE_X8Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y71 IS_RESERVED 0 SITEPROP SLICE_X8Y71 IS_TEST 0 SITEPROP SLICE_X8Y71 IS_USED 0 SITEPROP SLICE_X8Y71 MANUAL_ROUTING SITEPROP SLICE_X8Y71 NAME SLICE_X8Y71 SITEPROP SLICE_X8Y71 NUM_ARCS 153 SITEPROP SLICE_X8Y71 NUM_BELS 32 SITEPROP SLICE_X8Y71 NUM_INPUTS 37 SITEPROP SLICE_X8Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y71 NUM_PINS 50 SITEPROP SLICE_X8Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y71 PROHIBIT 0 SITEPROP SLICE_X8Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y71 RPM_X 37 SITEPROP SLICE_X8Y71 RPM_Y 142 SITEPROP SLICE_X8Y71 SITE_PIPS SITEPROP SLICE_X8Y71 SITE_TYPE SLICEM SITEPROP SLICE_X8Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y72 CLASS site SITEPROP SLICE_X8Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y72 IS_BONDED 0 SITEPROP SLICE_X8Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y72 IS_PAD 0 SITEPROP SLICE_X8Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y72 IS_RESERVED 0 SITEPROP SLICE_X8Y72 IS_TEST 0 SITEPROP SLICE_X8Y72 IS_USED 0 SITEPROP SLICE_X8Y72 MANUAL_ROUTING SITEPROP SLICE_X8Y72 NAME SLICE_X8Y72 SITEPROP SLICE_X8Y72 NUM_ARCS 153 SITEPROP SLICE_X8Y72 NUM_BELS 32 SITEPROP SLICE_X8Y72 NUM_INPUTS 37 SITEPROP SLICE_X8Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y72 NUM_PINS 50 SITEPROP SLICE_X8Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y72 PROHIBIT 0 SITEPROP SLICE_X8Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y72 RPM_X 37 SITEPROP SLICE_X8Y72 RPM_Y 144 SITEPROP SLICE_X8Y72 SITE_PIPS SITEPROP SLICE_X8Y72 SITE_TYPE SLICEM SITEPROP SLICE_X8Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y73 CLASS site SITEPROP SLICE_X8Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y73 IS_BONDED 0 SITEPROP SLICE_X8Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y73 IS_PAD 0 SITEPROP SLICE_X8Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y73 IS_RESERVED 0 SITEPROP SLICE_X8Y73 IS_TEST 0 SITEPROP SLICE_X8Y73 IS_USED 0 SITEPROP SLICE_X8Y73 MANUAL_ROUTING SITEPROP SLICE_X8Y73 NAME SLICE_X8Y73 SITEPROP SLICE_X8Y73 NUM_ARCS 153 SITEPROP SLICE_X8Y73 NUM_BELS 32 SITEPROP SLICE_X8Y73 NUM_INPUTS 37 SITEPROP SLICE_X8Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y73 NUM_PINS 50 SITEPROP SLICE_X8Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y73 PROHIBIT 0 SITEPROP SLICE_X8Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y73 RPM_X 37 SITEPROP SLICE_X8Y73 RPM_Y 146 SITEPROP SLICE_X8Y73 SITE_PIPS SITEPROP SLICE_X8Y73 SITE_TYPE SLICEM SITEPROP SLICE_X8Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y74 CLASS site SITEPROP SLICE_X8Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y74 IS_BONDED 0 SITEPROP SLICE_X8Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y74 IS_PAD 0 SITEPROP SLICE_X8Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y74 IS_RESERVED 0 SITEPROP SLICE_X8Y74 IS_TEST 0 SITEPROP SLICE_X8Y74 IS_USED 0 SITEPROP SLICE_X8Y74 MANUAL_ROUTING SITEPROP SLICE_X8Y74 NAME SLICE_X8Y74 SITEPROP SLICE_X8Y74 NUM_ARCS 153 SITEPROP SLICE_X8Y74 NUM_BELS 32 SITEPROP SLICE_X8Y74 NUM_INPUTS 37 SITEPROP SLICE_X8Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y74 NUM_PINS 50 SITEPROP SLICE_X8Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y74 PROHIBIT 0 SITEPROP SLICE_X8Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y74 RPM_X 37 SITEPROP SLICE_X8Y74 RPM_Y 148 SITEPROP SLICE_X8Y74 SITE_PIPS SITEPROP SLICE_X8Y74 SITE_TYPE SLICEM SITEPROP SLICE_X8Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y75 CLASS site SITEPROP SLICE_X8Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y75 IS_BONDED 0 SITEPROP SLICE_X8Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y75 IS_PAD 0 SITEPROP SLICE_X8Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y75 IS_RESERVED 0 SITEPROP SLICE_X8Y75 IS_TEST 0 SITEPROP SLICE_X8Y75 IS_USED 0 SITEPROP SLICE_X8Y75 MANUAL_ROUTING SITEPROP SLICE_X8Y75 NAME SLICE_X8Y75 SITEPROP SLICE_X8Y75 NUM_ARCS 153 SITEPROP SLICE_X8Y75 NUM_BELS 32 SITEPROP SLICE_X8Y75 NUM_INPUTS 37 SITEPROP SLICE_X8Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y75 NUM_PINS 50 SITEPROP SLICE_X8Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y75 PROHIBIT 0 SITEPROP SLICE_X8Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y75 RPM_X 37 SITEPROP SLICE_X8Y75 RPM_Y 150 SITEPROP SLICE_X8Y75 SITE_PIPS SITEPROP SLICE_X8Y75 SITE_TYPE SLICEM SITEPROP SLICE_X8Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y76 CLASS site SITEPROP SLICE_X8Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y76 IS_BONDED 0 SITEPROP SLICE_X8Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y76 IS_PAD 0 SITEPROP SLICE_X8Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y76 IS_RESERVED 0 SITEPROP SLICE_X8Y76 IS_TEST 0 SITEPROP SLICE_X8Y76 IS_USED 0 SITEPROP SLICE_X8Y76 MANUAL_ROUTING SITEPROP SLICE_X8Y76 NAME SLICE_X8Y76 SITEPROP SLICE_X8Y76 NUM_ARCS 153 SITEPROP SLICE_X8Y76 NUM_BELS 32 SITEPROP SLICE_X8Y76 NUM_INPUTS 37 SITEPROP SLICE_X8Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y76 NUM_PINS 50 SITEPROP SLICE_X8Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y76 PROHIBIT 0 SITEPROP SLICE_X8Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y76 RPM_X 37 SITEPROP SLICE_X8Y76 RPM_Y 152 SITEPROP SLICE_X8Y76 SITE_PIPS SITEPROP SLICE_X8Y76 SITE_TYPE SLICEM SITEPROP SLICE_X8Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y77 CLASS site SITEPROP SLICE_X8Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y77 IS_BONDED 0 SITEPROP SLICE_X8Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y77 IS_PAD 0 SITEPROP SLICE_X8Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y77 IS_RESERVED 0 SITEPROP SLICE_X8Y77 IS_TEST 0 SITEPROP SLICE_X8Y77 IS_USED 0 SITEPROP SLICE_X8Y77 MANUAL_ROUTING SITEPROP SLICE_X8Y77 NAME SLICE_X8Y77 SITEPROP SLICE_X8Y77 NUM_ARCS 153 SITEPROP SLICE_X8Y77 NUM_BELS 32 SITEPROP SLICE_X8Y77 NUM_INPUTS 37 SITEPROP SLICE_X8Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y77 NUM_PINS 50 SITEPROP SLICE_X8Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y77 PROHIBIT 0 SITEPROP SLICE_X8Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y77 RPM_X 37 SITEPROP SLICE_X8Y77 RPM_Y 154 SITEPROP SLICE_X8Y77 SITE_PIPS SITEPROP SLICE_X8Y77 SITE_TYPE SLICEM SITEPROP SLICE_X8Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y78 CLASS site SITEPROP SLICE_X8Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y78 IS_BONDED 0 SITEPROP SLICE_X8Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y78 IS_PAD 0 SITEPROP SLICE_X8Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y78 IS_RESERVED 0 SITEPROP SLICE_X8Y78 IS_TEST 0 SITEPROP SLICE_X8Y78 IS_USED 0 SITEPROP SLICE_X8Y78 MANUAL_ROUTING SITEPROP SLICE_X8Y78 NAME SLICE_X8Y78 SITEPROP SLICE_X8Y78 NUM_ARCS 153 SITEPROP SLICE_X8Y78 NUM_BELS 32 SITEPROP SLICE_X8Y78 NUM_INPUTS 37 SITEPROP SLICE_X8Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y78 NUM_PINS 50 SITEPROP SLICE_X8Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y78 PROHIBIT 0 SITEPROP SLICE_X8Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y78 RPM_X 37 SITEPROP SLICE_X8Y78 RPM_Y 156 SITEPROP SLICE_X8Y78 SITE_PIPS SITEPROP SLICE_X8Y78 SITE_TYPE SLICEM SITEPROP SLICE_X8Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y79 CLASS site SITEPROP SLICE_X8Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y79 IS_BONDED 0 SITEPROP SLICE_X8Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y79 IS_PAD 0 SITEPROP SLICE_X8Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y79 IS_RESERVED 0 SITEPROP SLICE_X8Y79 IS_TEST 0 SITEPROP SLICE_X8Y79 IS_USED 0 SITEPROP SLICE_X8Y79 MANUAL_ROUTING SITEPROP SLICE_X8Y79 NAME SLICE_X8Y79 SITEPROP SLICE_X8Y79 NUM_ARCS 153 SITEPROP SLICE_X8Y79 NUM_BELS 32 SITEPROP SLICE_X8Y79 NUM_INPUTS 37 SITEPROP SLICE_X8Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y79 NUM_PINS 50 SITEPROP SLICE_X8Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y79 PROHIBIT 0 SITEPROP SLICE_X8Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y79 RPM_X 37 SITEPROP SLICE_X8Y79 RPM_Y 158 SITEPROP SLICE_X8Y79 SITE_PIPS SITEPROP SLICE_X8Y79 SITE_TYPE SLICEM SITEPROP SLICE_X8Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y80 CLASS site SITEPROP SLICE_X8Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y80 IS_BONDED 0 SITEPROP SLICE_X8Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y80 IS_PAD 0 SITEPROP SLICE_X8Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y80 IS_RESERVED 0 SITEPROP SLICE_X8Y80 IS_TEST 0 SITEPROP SLICE_X8Y80 IS_USED 0 SITEPROP SLICE_X8Y80 MANUAL_ROUTING SITEPROP SLICE_X8Y80 NAME SLICE_X8Y80 SITEPROP SLICE_X8Y80 NUM_ARCS 153 SITEPROP SLICE_X8Y80 NUM_BELS 32 SITEPROP SLICE_X8Y80 NUM_INPUTS 37 SITEPROP SLICE_X8Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y80 NUM_PINS 50 SITEPROP SLICE_X8Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y80 PROHIBIT 0 SITEPROP SLICE_X8Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y80 RPM_X 37 SITEPROP SLICE_X8Y80 RPM_Y 160 SITEPROP SLICE_X8Y80 SITE_PIPS SITEPROP SLICE_X8Y80 SITE_TYPE SLICEM SITEPROP SLICE_X8Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y81 CLASS site SITEPROP SLICE_X8Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y81 IS_BONDED 0 SITEPROP SLICE_X8Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y81 IS_PAD 0 SITEPROP SLICE_X8Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y81 IS_RESERVED 0 SITEPROP SLICE_X8Y81 IS_TEST 0 SITEPROP SLICE_X8Y81 IS_USED 0 SITEPROP SLICE_X8Y81 MANUAL_ROUTING SITEPROP SLICE_X8Y81 NAME SLICE_X8Y81 SITEPROP SLICE_X8Y81 NUM_ARCS 153 SITEPROP SLICE_X8Y81 NUM_BELS 32 SITEPROP SLICE_X8Y81 NUM_INPUTS 37 SITEPROP SLICE_X8Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y81 NUM_PINS 50 SITEPROP SLICE_X8Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y81 PROHIBIT 0 SITEPROP SLICE_X8Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y81 RPM_X 37 SITEPROP SLICE_X8Y81 RPM_Y 162 SITEPROP SLICE_X8Y81 SITE_PIPS SITEPROP SLICE_X8Y81 SITE_TYPE SLICEM SITEPROP SLICE_X8Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y82 CLASS site SITEPROP SLICE_X8Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y82 IS_BONDED 0 SITEPROP SLICE_X8Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y82 IS_PAD 0 SITEPROP SLICE_X8Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y82 IS_RESERVED 0 SITEPROP SLICE_X8Y82 IS_TEST 0 SITEPROP SLICE_X8Y82 IS_USED 0 SITEPROP SLICE_X8Y82 MANUAL_ROUTING SITEPROP SLICE_X8Y82 NAME SLICE_X8Y82 SITEPROP SLICE_X8Y82 NUM_ARCS 153 SITEPROP SLICE_X8Y82 NUM_BELS 32 SITEPROP SLICE_X8Y82 NUM_INPUTS 37 SITEPROP SLICE_X8Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y82 NUM_PINS 50 SITEPROP SLICE_X8Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y82 PROHIBIT 0 SITEPROP SLICE_X8Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y82 RPM_X 37 SITEPROP SLICE_X8Y82 RPM_Y 164 SITEPROP SLICE_X8Y82 SITE_PIPS SITEPROP SLICE_X8Y82 SITE_TYPE SLICEM SITEPROP SLICE_X8Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y83 CLASS site SITEPROP SLICE_X8Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y83 IS_BONDED 0 SITEPROP SLICE_X8Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y83 IS_PAD 0 SITEPROP SLICE_X8Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y83 IS_RESERVED 0 SITEPROP SLICE_X8Y83 IS_TEST 0 SITEPROP SLICE_X8Y83 IS_USED 0 SITEPROP SLICE_X8Y83 MANUAL_ROUTING SITEPROP SLICE_X8Y83 NAME SLICE_X8Y83 SITEPROP SLICE_X8Y83 NUM_ARCS 153 SITEPROP SLICE_X8Y83 NUM_BELS 32 SITEPROP SLICE_X8Y83 NUM_INPUTS 37 SITEPROP SLICE_X8Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y83 NUM_PINS 50 SITEPROP SLICE_X8Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y83 PROHIBIT 0 SITEPROP SLICE_X8Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y83 RPM_X 37 SITEPROP SLICE_X8Y83 RPM_Y 166 SITEPROP SLICE_X8Y83 SITE_PIPS SITEPROP SLICE_X8Y83 SITE_TYPE SLICEM SITEPROP SLICE_X8Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y84 CLASS site SITEPROP SLICE_X8Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y84 IS_BONDED 0 SITEPROP SLICE_X8Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y84 IS_PAD 0 SITEPROP SLICE_X8Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y84 IS_RESERVED 0 SITEPROP SLICE_X8Y84 IS_TEST 0 SITEPROP SLICE_X8Y84 IS_USED 0 SITEPROP SLICE_X8Y84 MANUAL_ROUTING SITEPROP SLICE_X8Y84 NAME SLICE_X8Y84 SITEPROP SLICE_X8Y84 NUM_ARCS 153 SITEPROP SLICE_X8Y84 NUM_BELS 32 SITEPROP SLICE_X8Y84 NUM_INPUTS 37 SITEPROP SLICE_X8Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y84 NUM_PINS 50 SITEPROP SLICE_X8Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y84 PROHIBIT 0 SITEPROP SLICE_X8Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y84 RPM_X 37 SITEPROP SLICE_X8Y84 RPM_Y 168 SITEPROP SLICE_X8Y84 SITE_PIPS SITEPROP SLICE_X8Y84 SITE_TYPE SLICEM SITEPROP SLICE_X8Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y85 CLASS site SITEPROP SLICE_X8Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y85 IS_BONDED 0 SITEPROP SLICE_X8Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y85 IS_PAD 0 SITEPROP SLICE_X8Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y85 IS_RESERVED 0 SITEPROP SLICE_X8Y85 IS_TEST 0 SITEPROP SLICE_X8Y85 IS_USED 0 SITEPROP SLICE_X8Y85 MANUAL_ROUTING SITEPROP SLICE_X8Y85 NAME SLICE_X8Y85 SITEPROP SLICE_X8Y85 NUM_ARCS 153 SITEPROP SLICE_X8Y85 NUM_BELS 32 SITEPROP SLICE_X8Y85 NUM_INPUTS 37 SITEPROP SLICE_X8Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y85 NUM_PINS 50 SITEPROP SLICE_X8Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y85 PROHIBIT 0 SITEPROP SLICE_X8Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y85 RPM_X 37 SITEPROP SLICE_X8Y85 RPM_Y 170 SITEPROP SLICE_X8Y85 SITE_PIPS SITEPROP SLICE_X8Y85 SITE_TYPE SLICEM SITEPROP SLICE_X8Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y86 CLASS site SITEPROP SLICE_X8Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y86 IS_BONDED 0 SITEPROP SLICE_X8Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y86 IS_PAD 0 SITEPROP SLICE_X8Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y86 IS_RESERVED 0 SITEPROP SLICE_X8Y86 IS_TEST 0 SITEPROP SLICE_X8Y86 IS_USED 0 SITEPROP SLICE_X8Y86 MANUAL_ROUTING SITEPROP SLICE_X8Y86 NAME SLICE_X8Y86 SITEPROP SLICE_X8Y86 NUM_ARCS 153 SITEPROP SLICE_X8Y86 NUM_BELS 32 SITEPROP SLICE_X8Y86 NUM_INPUTS 37 SITEPROP SLICE_X8Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y86 NUM_PINS 50 SITEPROP SLICE_X8Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y86 PROHIBIT 0 SITEPROP SLICE_X8Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y86 RPM_X 37 SITEPROP SLICE_X8Y86 RPM_Y 172 SITEPROP SLICE_X8Y86 SITE_PIPS SITEPROP SLICE_X8Y86 SITE_TYPE SLICEM SITEPROP SLICE_X8Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y87 CLASS site SITEPROP SLICE_X8Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y87 IS_BONDED 0 SITEPROP SLICE_X8Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y87 IS_PAD 0 SITEPROP SLICE_X8Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y87 IS_RESERVED 0 SITEPROP SLICE_X8Y87 IS_TEST 0 SITEPROP SLICE_X8Y87 IS_USED 0 SITEPROP SLICE_X8Y87 MANUAL_ROUTING SITEPROP SLICE_X8Y87 NAME SLICE_X8Y87 SITEPROP SLICE_X8Y87 NUM_ARCS 153 SITEPROP SLICE_X8Y87 NUM_BELS 32 SITEPROP SLICE_X8Y87 NUM_INPUTS 37 SITEPROP SLICE_X8Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y87 NUM_PINS 50 SITEPROP SLICE_X8Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y87 PROHIBIT 0 SITEPROP SLICE_X8Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y87 RPM_X 37 SITEPROP SLICE_X8Y87 RPM_Y 174 SITEPROP SLICE_X8Y87 SITE_PIPS SITEPROP SLICE_X8Y87 SITE_TYPE SLICEM SITEPROP SLICE_X8Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y88 CLASS site SITEPROP SLICE_X8Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y88 IS_BONDED 0 SITEPROP SLICE_X8Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y88 IS_PAD 0 SITEPROP SLICE_X8Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y88 IS_RESERVED 0 SITEPROP SLICE_X8Y88 IS_TEST 0 SITEPROP SLICE_X8Y88 IS_USED 0 SITEPROP SLICE_X8Y88 MANUAL_ROUTING SITEPROP SLICE_X8Y88 NAME SLICE_X8Y88 SITEPROP SLICE_X8Y88 NUM_ARCS 153 SITEPROP SLICE_X8Y88 NUM_BELS 32 SITEPROP SLICE_X8Y88 NUM_INPUTS 37 SITEPROP SLICE_X8Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y88 NUM_PINS 50 SITEPROP SLICE_X8Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y88 PROHIBIT 0 SITEPROP SLICE_X8Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y88 RPM_X 37 SITEPROP SLICE_X8Y88 RPM_Y 176 SITEPROP SLICE_X8Y88 SITE_PIPS SITEPROP SLICE_X8Y88 SITE_TYPE SLICEM SITEPROP SLICE_X8Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y89 CLASS site SITEPROP SLICE_X8Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y89 IS_BONDED 0 SITEPROP SLICE_X8Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y89 IS_PAD 0 SITEPROP SLICE_X8Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y89 IS_RESERVED 0 SITEPROP SLICE_X8Y89 IS_TEST 0 SITEPROP SLICE_X8Y89 IS_USED 0 SITEPROP SLICE_X8Y89 MANUAL_ROUTING SITEPROP SLICE_X8Y89 NAME SLICE_X8Y89 SITEPROP SLICE_X8Y89 NUM_ARCS 153 SITEPROP SLICE_X8Y89 NUM_BELS 32 SITEPROP SLICE_X8Y89 NUM_INPUTS 37 SITEPROP SLICE_X8Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y89 NUM_PINS 50 SITEPROP SLICE_X8Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y89 PROHIBIT 0 SITEPROP SLICE_X8Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y89 RPM_X 37 SITEPROP SLICE_X8Y89 RPM_Y 178 SITEPROP SLICE_X8Y89 SITE_PIPS SITEPROP SLICE_X8Y89 SITE_TYPE SLICEM SITEPROP SLICE_X8Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y90 CLASS site SITEPROP SLICE_X8Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y90 IS_BONDED 0 SITEPROP SLICE_X8Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y90 IS_PAD 0 SITEPROP SLICE_X8Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y90 IS_RESERVED 0 SITEPROP SLICE_X8Y90 IS_TEST 0 SITEPROP SLICE_X8Y90 IS_USED 0 SITEPROP SLICE_X8Y90 MANUAL_ROUTING SITEPROP SLICE_X8Y90 NAME SLICE_X8Y90 SITEPROP SLICE_X8Y90 NUM_ARCS 153 SITEPROP SLICE_X8Y90 NUM_BELS 32 SITEPROP SLICE_X8Y90 NUM_INPUTS 37 SITEPROP SLICE_X8Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y90 NUM_PINS 50 SITEPROP SLICE_X8Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y90 PROHIBIT 0 SITEPROP SLICE_X8Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y90 RPM_X 37 SITEPROP SLICE_X8Y90 RPM_Y 180 SITEPROP SLICE_X8Y90 SITE_PIPS SITEPROP SLICE_X8Y90 SITE_TYPE SLICEM SITEPROP SLICE_X8Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y91 CLASS site SITEPROP SLICE_X8Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y91 IS_BONDED 0 SITEPROP SLICE_X8Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y91 IS_PAD 0 SITEPROP SLICE_X8Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y91 IS_RESERVED 0 SITEPROP SLICE_X8Y91 IS_TEST 0 SITEPROP SLICE_X8Y91 IS_USED 0 SITEPROP SLICE_X8Y91 MANUAL_ROUTING SITEPROP SLICE_X8Y91 NAME SLICE_X8Y91 SITEPROP SLICE_X8Y91 NUM_ARCS 153 SITEPROP SLICE_X8Y91 NUM_BELS 32 SITEPROP SLICE_X8Y91 NUM_INPUTS 37 SITEPROP SLICE_X8Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y91 NUM_PINS 50 SITEPROP SLICE_X8Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y91 PROHIBIT 0 SITEPROP SLICE_X8Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y91 RPM_X 37 SITEPROP SLICE_X8Y91 RPM_Y 182 SITEPROP SLICE_X8Y91 SITE_PIPS SITEPROP SLICE_X8Y91 SITE_TYPE SLICEM SITEPROP SLICE_X8Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y92 CLASS site SITEPROP SLICE_X8Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y92 IS_BONDED 0 SITEPROP SLICE_X8Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y92 IS_PAD 0 SITEPROP SLICE_X8Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y92 IS_RESERVED 0 SITEPROP SLICE_X8Y92 IS_TEST 0 SITEPROP SLICE_X8Y92 IS_USED 0 SITEPROP SLICE_X8Y92 MANUAL_ROUTING SITEPROP SLICE_X8Y92 NAME SLICE_X8Y92 SITEPROP SLICE_X8Y92 NUM_ARCS 153 SITEPROP SLICE_X8Y92 NUM_BELS 32 SITEPROP SLICE_X8Y92 NUM_INPUTS 37 SITEPROP SLICE_X8Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y92 NUM_PINS 50 SITEPROP SLICE_X8Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y92 PROHIBIT 0 SITEPROP SLICE_X8Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y92 RPM_X 37 SITEPROP SLICE_X8Y92 RPM_Y 184 SITEPROP SLICE_X8Y92 SITE_PIPS SITEPROP SLICE_X8Y92 SITE_TYPE SLICEM SITEPROP SLICE_X8Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y93 CLASS site SITEPROP SLICE_X8Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y93 IS_BONDED 0 SITEPROP SLICE_X8Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y93 IS_PAD 0 SITEPROP SLICE_X8Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y93 IS_RESERVED 0 SITEPROP SLICE_X8Y93 IS_TEST 0 SITEPROP SLICE_X8Y93 IS_USED 0 SITEPROP SLICE_X8Y93 MANUAL_ROUTING SITEPROP SLICE_X8Y93 NAME SLICE_X8Y93 SITEPROP SLICE_X8Y93 NUM_ARCS 153 SITEPROP SLICE_X8Y93 NUM_BELS 32 SITEPROP SLICE_X8Y93 NUM_INPUTS 37 SITEPROP SLICE_X8Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y93 NUM_PINS 50 SITEPROP SLICE_X8Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y93 PROHIBIT 0 SITEPROP SLICE_X8Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y93 RPM_X 37 SITEPROP SLICE_X8Y93 RPM_Y 186 SITEPROP SLICE_X8Y93 SITE_PIPS SITEPROP SLICE_X8Y93 SITE_TYPE SLICEM SITEPROP SLICE_X8Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y94 CLASS site SITEPROP SLICE_X8Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y94 IS_BONDED 0 SITEPROP SLICE_X8Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y94 IS_PAD 0 SITEPROP SLICE_X8Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y94 IS_RESERVED 0 SITEPROP SLICE_X8Y94 IS_TEST 0 SITEPROP SLICE_X8Y94 IS_USED 0 SITEPROP SLICE_X8Y94 MANUAL_ROUTING SITEPROP SLICE_X8Y94 NAME SLICE_X8Y94 SITEPROP SLICE_X8Y94 NUM_ARCS 153 SITEPROP SLICE_X8Y94 NUM_BELS 32 SITEPROP SLICE_X8Y94 NUM_INPUTS 37 SITEPROP SLICE_X8Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y94 NUM_PINS 50 SITEPROP SLICE_X8Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y94 PROHIBIT 0 SITEPROP SLICE_X8Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y94 RPM_X 37 SITEPROP SLICE_X8Y94 RPM_Y 188 SITEPROP SLICE_X8Y94 SITE_PIPS SITEPROP SLICE_X8Y94 SITE_TYPE SLICEM SITEPROP SLICE_X8Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y95 CLASS site SITEPROP SLICE_X8Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y95 IS_BONDED 0 SITEPROP SLICE_X8Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y95 IS_PAD 0 SITEPROP SLICE_X8Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y95 IS_RESERVED 0 SITEPROP SLICE_X8Y95 IS_TEST 0 SITEPROP SLICE_X8Y95 IS_USED 0 SITEPROP SLICE_X8Y95 MANUAL_ROUTING SITEPROP SLICE_X8Y95 NAME SLICE_X8Y95 SITEPROP SLICE_X8Y95 NUM_ARCS 153 SITEPROP SLICE_X8Y95 NUM_BELS 32 SITEPROP SLICE_X8Y95 NUM_INPUTS 37 SITEPROP SLICE_X8Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y95 NUM_PINS 50 SITEPROP SLICE_X8Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y95 PROHIBIT 0 SITEPROP SLICE_X8Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y95 RPM_X 37 SITEPROP SLICE_X8Y95 RPM_Y 190 SITEPROP SLICE_X8Y95 SITE_PIPS SITEPROP SLICE_X8Y95 SITE_TYPE SLICEM SITEPROP SLICE_X8Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y96 CLASS site SITEPROP SLICE_X8Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y96 IS_BONDED 0 SITEPROP SLICE_X8Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y96 IS_PAD 0 SITEPROP SLICE_X8Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y96 IS_RESERVED 0 SITEPROP SLICE_X8Y96 IS_TEST 0 SITEPROP SLICE_X8Y96 IS_USED 0 SITEPROP SLICE_X8Y96 MANUAL_ROUTING SITEPROP SLICE_X8Y96 NAME SLICE_X8Y96 SITEPROP SLICE_X8Y96 NUM_ARCS 153 SITEPROP SLICE_X8Y96 NUM_BELS 32 SITEPROP SLICE_X8Y96 NUM_INPUTS 37 SITEPROP SLICE_X8Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y96 NUM_PINS 50 SITEPROP SLICE_X8Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y96 PROHIBIT 0 SITEPROP SLICE_X8Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y96 RPM_X 37 SITEPROP SLICE_X8Y96 RPM_Y 192 SITEPROP SLICE_X8Y96 SITE_PIPS SITEPROP SLICE_X8Y96 SITE_TYPE SLICEM SITEPROP SLICE_X8Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y97 CLASS site SITEPROP SLICE_X8Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y97 IS_BONDED 0 SITEPROP SLICE_X8Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y97 IS_PAD 0 SITEPROP SLICE_X8Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y97 IS_RESERVED 0 SITEPROP SLICE_X8Y97 IS_TEST 0 SITEPROP SLICE_X8Y97 IS_USED 0 SITEPROP SLICE_X8Y97 MANUAL_ROUTING SITEPROP SLICE_X8Y97 NAME SLICE_X8Y97 SITEPROP SLICE_X8Y97 NUM_ARCS 153 SITEPROP SLICE_X8Y97 NUM_BELS 32 SITEPROP SLICE_X8Y97 NUM_INPUTS 37 SITEPROP SLICE_X8Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y97 NUM_PINS 50 SITEPROP SLICE_X8Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y97 PROHIBIT 0 SITEPROP SLICE_X8Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y97 RPM_X 37 SITEPROP SLICE_X8Y97 RPM_Y 194 SITEPROP SLICE_X8Y97 SITE_PIPS SITEPROP SLICE_X8Y97 SITE_TYPE SLICEM SITEPROP SLICE_X8Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y98 CLASS site SITEPROP SLICE_X8Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y98 IS_BONDED 0 SITEPROP SLICE_X8Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y98 IS_PAD 0 SITEPROP SLICE_X8Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y98 IS_RESERVED 0 SITEPROP SLICE_X8Y98 IS_TEST 0 SITEPROP SLICE_X8Y98 IS_USED 0 SITEPROP SLICE_X8Y98 MANUAL_ROUTING SITEPROP SLICE_X8Y98 NAME SLICE_X8Y98 SITEPROP SLICE_X8Y98 NUM_ARCS 153 SITEPROP SLICE_X8Y98 NUM_BELS 32 SITEPROP SLICE_X8Y98 NUM_INPUTS 37 SITEPROP SLICE_X8Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y98 NUM_PINS 50 SITEPROP SLICE_X8Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y98 PROHIBIT 0 SITEPROP SLICE_X8Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y98 RPM_X 37 SITEPROP SLICE_X8Y98 RPM_Y 196 SITEPROP SLICE_X8Y98 SITE_PIPS SITEPROP SLICE_X8Y98 SITE_TYPE SLICEM SITEPROP SLICE_X8Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y99 CLASS site SITEPROP SLICE_X8Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X8Y99 IS_BONDED 0 SITEPROP SLICE_X8Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y99 IS_PAD 0 SITEPROP SLICE_X8Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y99 IS_RESERVED 0 SITEPROP SLICE_X8Y99 IS_TEST 0 SITEPROP SLICE_X8Y99 IS_USED 0 SITEPROP SLICE_X8Y99 MANUAL_ROUTING SITEPROP SLICE_X8Y99 NAME SLICE_X8Y99 SITEPROP SLICE_X8Y99 NUM_ARCS 153 SITEPROP SLICE_X8Y99 NUM_BELS 32 SITEPROP SLICE_X8Y99 NUM_INPUTS 37 SITEPROP SLICE_X8Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y99 NUM_PINS 50 SITEPROP SLICE_X8Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y99 PROHIBIT 0 SITEPROP SLICE_X8Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y99 RPM_X 37 SITEPROP SLICE_X8Y99 RPM_Y 198 SITEPROP SLICE_X8Y99 SITE_PIPS SITEPROP SLICE_X8Y99 SITE_TYPE SLICEM SITEPROP SLICE_X8Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y100 CLASS site SITEPROP SLICE_X8Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y100 IS_BONDED 0 SITEPROP SLICE_X8Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y100 IS_PAD 0 SITEPROP SLICE_X8Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y100 IS_RESERVED 0 SITEPROP SLICE_X8Y100 IS_TEST 0 SITEPROP SLICE_X8Y100 IS_USED 0 SITEPROP SLICE_X8Y100 MANUAL_ROUTING SITEPROP SLICE_X8Y100 NAME SLICE_X8Y100 SITEPROP SLICE_X8Y100 NUM_ARCS 153 SITEPROP SLICE_X8Y100 NUM_BELS 32 SITEPROP SLICE_X8Y100 NUM_INPUTS 37 SITEPROP SLICE_X8Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y100 NUM_PINS 50 SITEPROP SLICE_X8Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y100 PROHIBIT 0 SITEPROP SLICE_X8Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y100 RPM_X 37 SITEPROP SLICE_X8Y100 RPM_Y 200 SITEPROP SLICE_X8Y100 SITE_PIPS SITEPROP SLICE_X8Y100 SITE_TYPE SLICEM SITEPROP SLICE_X8Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y101 CLASS site SITEPROP SLICE_X8Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y101 IS_BONDED 0 SITEPROP SLICE_X8Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y101 IS_PAD 0 SITEPROP SLICE_X8Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y101 IS_RESERVED 0 SITEPROP SLICE_X8Y101 IS_TEST 0 SITEPROP SLICE_X8Y101 IS_USED 0 SITEPROP SLICE_X8Y101 MANUAL_ROUTING SITEPROP SLICE_X8Y101 NAME SLICE_X8Y101 SITEPROP SLICE_X8Y101 NUM_ARCS 153 SITEPROP SLICE_X8Y101 NUM_BELS 32 SITEPROP SLICE_X8Y101 NUM_INPUTS 37 SITEPROP SLICE_X8Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y101 NUM_PINS 50 SITEPROP SLICE_X8Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y101 PROHIBIT 0 SITEPROP SLICE_X8Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y101 RPM_X 37 SITEPROP SLICE_X8Y101 RPM_Y 202 SITEPROP SLICE_X8Y101 SITE_PIPS SITEPROP SLICE_X8Y101 SITE_TYPE SLICEM SITEPROP SLICE_X8Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y102 CLASS site SITEPROP SLICE_X8Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y102 IS_BONDED 0 SITEPROP SLICE_X8Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y102 IS_PAD 0 SITEPROP SLICE_X8Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y102 IS_RESERVED 0 SITEPROP SLICE_X8Y102 IS_TEST 0 SITEPROP SLICE_X8Y102 IS_USED 0 SITEPROP SLICE_X8Y102 MANUAL_ROUTING SITEPROP SLICE_X8Y102 NAME SLICE_X8Y102 SITEPROP SLICE_X8Y102 NUM_ARCS 153 SITEPROP SLICE_X8Y102 NUM_BELS 32 SITEPROP SLICE_X8Y102 NUM_INPUTS 37 SITEPROP SLICE_X8Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y102 NUM_PINS 50 SITEPROP SLICE_X8Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y102 PROHIBIT 0 SITEPROP SLICE_X8Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y102 RPM_X 37 SITEPROP SLICE_X8Y102 RPM_Y 204 SITEPROP SLICE_X8Y102 SITE_PIPS SITEPROP SLICE_X8Y102 SITE_TYPE SLICEM SITEPROP SLICE_X8Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y103 CLASS site SITEPROP SLICE_X8Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y103 IS_BONDED 0 SITEPROP SLICE_X8Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y103 IS_PAD 0 SITEPROP SLICE_X8Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y103 IS_RESERVED 0 SITEPROP SLICE_X8Y103 IS_TEST 0 SITEPROP SLICE_X8Y103 IS_USED 0 SITEPROP SLICE_X8Y103 MANUAL_ROUTING SITEPROP SLICE_X8Y103 NAME SLICE_X8Y103 SITEPROP SLICE_X8Y103 NUM_ARCS 153 SITEPROP SLICE_X8Y103 NUM_BELS 32 SITEPROP SLICE_X8Y103 NUM_INPUTS 37 SITEPROP SLICE_X8Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y103 NUM_PINS 50 SITEPROP SLICE_X8Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y103 PROHIBIT 0 SITEPROP SLICE_X8Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y103 RPM_X 37 SITEPROP SLICE_X8Y103 RPM_Y 206 SITEPROP SLICE_X8Y103 SITE_PIPS SITEPROP SLICE_X8Y103 SITE_TYPE SLICEM SITEPROP SLICE_X8Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y104 CLASS site SITEPROP SLICE_X8Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y104 IS_BONDED 0 SITEPROP SLICE_X8Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y104 IS_PAD 0 SITEPROP SLICE_X8Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y104 IS_RESERVED 0 SITEPROP SLICE_X8Y104 IS_TEST 0 SITEPROP SLICE_X8Y104 IS_USED 0 SITEPROP SLICE_X8Y104 MANUAL_ROUTING SITEPROP SLICE_X8Y104 NAME SLICE_X8Y104 SITEPROP SLICE_X8Y104 NUM_ARCS 153 SITEPROP SLICE_X8Y104 NUM_BELS 32 SITEPROP SLICE_X8Y104 NUM_INPUTS 37 SITEPROP SLICE_X8Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y104 NUM_PINS 50 SITEPROP SLICE_X8Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y104 PROHIBIT 0 SITEPROP SLICE_X8Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y104 RPM_X 37 SITEPROP SLICE_X8Y104 RPM_Y 208 SITEPROP SLICE_X8Y104 SITE_PIPS SITEPROP SLICE_X8Y104 SITE_TYPE SLICEM SITEPROP SLICE_X8Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y105 CLASS site SITEPROP SLICE_X8Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y105 IS_BONDED 0 SITEPROP SLICE_X8Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y105 IS_PAD 0 SITEPROP SLICE_X8Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y105 IS_RESERVED 0 SITEPROP SLICE_X8Y105 IS_TEST 0 SITEPROP SLICE_X8Y105 IS_USED 0 SITEPROP SLICE_X8Y105 MANUAL_ROUTING SITEPROP SLICE_X8Y105 NAME SLICE_X8Y105 SITEPROP SLICE_X8Y105 NUM_ARCS 153 SITEPROP SLICE_X8Y105 NUM_BELS 32 SITEPROP SLICE_X8Y105 NUM_INPUTS 37 SITEPROP SLICE_X8Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y105 NUM_PINS 50 SITEPROP SLICE_X8Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y105 PROHIBIT 0 SITEPROP SLICE_X8Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y105 RPM_X 37 SITEPROP SLICE_X8Y105 RPM_Y 210 SITEPROP SLICE_X8Y105 SITE_PIPS SITEPROP SLICE_X8Y105 SITE_TYPE SLICEM SITEPROP SLICE_X8Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y106 CLASS site SITEPROP SLICE_X8Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y106 IS_BONDED 0 SITEPROP SLICE_X8Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y106 IS_PAD 0 SITEPROP SLICE_X8Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y106 IS_RESERVED 0 SITEPROP SLICE_X8Y106 IS_TEST 0 SITEPROP SLICE_X8Y106 IS_USED 0 SITEPROP SLICE_X8Y106 MANUAL_ROUTING SITEPROP SLICE_X8Y106 NAME SLICE_X8Y106 SITEPROP SLICE_X8Y106 NUM_ARCS 153 SITEPROP SLICE_X8Y106 NUM_BELS 32 SITEPROP SLICE_X8Y106 NUM_INPUTS 37 SITEPROP SLICE_X8Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y106 NUM_PINS 50 SITEPROP SLICE_X8Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y106 PROHIBIT 0 SITEPROP SLICE_X8Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y106 RPM_X 37 SITEPROP SLICE_X8Y106 RPM_Y 212 SITEPROP SLICE_X8Y106 SITE_PIPS SITEPROP SLICE_X8Y106 SITE_TYPE SLICEM SITEPROP SLICE_X8Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y107 CLASS site SITEPROP SLICE_X8Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y107 IS_BONDED 0 SITEPROP SLICE_X8Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y107 IS_PAD 0 SITEPROP SLICE_X8Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y107 IS_RESERVED 0 SITEPROP SLICE_X8Y107 IS_TEST 0 SITEPROP SLICE_X8Y107 IS_USED 0 SITEPROP SLICE_X8Y107 MANUAL_ROUTING SITEPROP SLICE_X8Y107 NAME SLICE_X8Y107 SITEPROP SLICE_X8Y107 NUM_ARCS 153 SITEPROP SLICE_X8Y107 NUM_BELS 32 SITEPROP SLICE_X8Y107 NUM_INPUTS 37 SITEPROP SLICE_X8Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y107 NUM_PINS 50 SITEPROP SLICE_X8Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y107 PROHIBIT 0 SITEPROP SLICE_X8Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y107 RPM_X 37 SITEPROP SLICE_X8Y107 RPM_Y 214 SITEPROP SLICE_X8Y107 SITE_PIPS SITEPROP SLICE_X8Y107 SITE_TYPE SLICEM SITEPROP SLICE_X8Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y108 CLASS site SITEPROP SLICE_X8Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y108 IS_BONDED 0 SITEPROP SLICE_X8Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y108 IS_PAD 0 SITEPROP SLICE_X8Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y108 IS_RESERVED 0 SITEPROP SLICE_X8Y108 IS_TEST 0 SITEPROP SLICE_X8Y108 IS_USED 0 SITEPROP SLICE_X8Y108 MANUAL_ROUTING SITEPROP SLICE_X8Y108 NAME SLICE_X8Y108 SITEPROP SLICE_X8Y108 NUM_ARCS 153 SITEPROP SLICE_X8Y108 NUM_BELS 32 SITEPROP SLICE_X8Y108 NUM_INPUTS 37 SITEPROP SLICE_X8Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y108 NUM_PINS 50 SITEPROP SLICE_X8Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y108 PROHIBIT 0 SITEPROP SLICE_X8Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y108 RPM_X 37 SITEPROP SLICE_X8Y108 RPM_Y 216 SITEPROP SLICE_X8Y108 SITE_PIPS SITEPROP SLICE_X8Y108 SITE_TYPE SLICEM SITEPROP SLICE_X8Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y109 CLASS site SITEPROP SLICE_X8Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y109 IS_BONDED 0 SITEPROP SLICE_X8Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y109 IS_PAD 0 SITEPROP SLICE_X8Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y109 IS_RESERVED 0 SITEPROP SLICE_X8Y109 IS_TEST 0 SITEPROP SLICE_X8Y109 IS_USED 0 SITEPROP SLICE_X8Y109 MANUAL_ROUTING SITEPROP SLICE_X8Y109 NAME SLICE_X8Y109 SITEPROP SLICE_X8Y109 NUM_ARCS 153 SITEPROP SLICE_X8Y109 NUM_BELS 32 SITEPROP SLICE_X8Y109 NUM_INPUTS 37 SITEPROP SLICE_X8Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y109 NUM_PINS 50 SITEPROP SLICE_X8Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y109 PROHIBIT 0 SITEPROP SLICE_X8Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y109 RPM_X 37 SITEPROP SLICE_X8Y109 RPM_Y 218 SITEPROP SLICE_X8Y109 SITE_PIPS SITEPROP SLICE_X8Y109 SITE_TYPE SLICEM SITEPROP SLICE_X8Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y110 CLASS site SITEPROP SLICE_X8Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y110 IS_BONDED 0 SITEPROP SLICE_X8Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y110 IS_PAD 0 SITEPROP SLICE_X8Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y110 IS_RESERVED 0 SITEPROP SLICE_X8Y110 IS_TEST 0 SITEPROP SLICE_X8Y110 IS_USED 0 SITEPROP SLICE_X8Y110 MANUAL_ROUTING SITEPROP SLICE_X8Y110 NAME SLICE_X8Y110 SITEPROP SLICE_X8Y110 NUM_ARCS 153 SITEPROP SLICE_X8Y110 NUM_BELS 32 SITEPROP SLICE_X8Y110 NUM_INPUTS 37 SITEPROP SLICE_X8Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y110 NUM_PINS 50 SITEPROP SLICE_X8Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y110 PROHIBIT 0 SITEPROP SLICE_X8Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y110 RPM_X 37 SITEPROP SLICE_X8Y110 RPM_Y 220 SITEPROP SLICE_X8Y110 SITE_PIPS SITEPROP SLICE_X8Y110 SITE_TYPE SLICEM SITEPROP SLICE_X8Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y111 CLASS site SITEPROP SLICE_X8Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y111 IS_BONDED 0 SITEPROP SLICE_X8Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y111 IS_PAD 0 SITEPROP SLICE_X8Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y111 IS_RESERVED 0 SITEPROP SLICE_X8Y111 IS_TEST 0 SITEPROP SLICE_X8Y111 IS_USED 0 SITEPROP SLICE_X8Y111 MANUAL_ROUTING SITEPROP SLICE_X8Y111 NAME SLICE_X8Y111 SITEPROP SLICE_X8Y111 NUM_ARCS 153 SITEPROP SLICE_X8Y111 NUM_BELS 32 SITEPROP SLICE_X8Y111 NUM_INPUTS 37 SITEPROP SLICE_X8Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y111 NUM_PINS 50 SITEPROP SLICE_X8Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y111 PROHIBIT 0 SITEPROP SLICE_X8Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y111 RPM_X 37 SITEPROP SLICE_X8Y111 RPM_Y 222 SITEPROP SLICE_X8Y111 SITE_PIPS SITEPROP SLICE_X8Y111 SITE_TYPE SLICEM SITEPROP SLICE_X8Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y112 CLASS site SITEPROP SLICE_X8Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y112 IS_BONDED 0 SITEPROP SLICE_X8Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y112 IS_PAD 0 SITEPROP SLICE_X8Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y112 IS_RESERVED 0 SITEPROP SLICE_X8Y112 IS_TEST 0 SITEPROP SLICE_X8Y112 IS_USED 0 SITEPROP SLICE_X8Y112 MANUAL_ROUTING SITEPROP SLICE_X8Y112 NAME SLICE_X8Y112 SITEPROP SLICE_X8Y112 NUM_ARCS 153 SITEPROP SLICE_X8Y112 NUM_BELS 32 SITEPROP SLICE_X8Y112 NUM_INPUTS 37 SITEPROP SLICE_X8Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y112 NUM_PINS 50 SITEPROP SLICE_X8Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y112 PROHIBIT 0 SITEPROP SLICE_X8Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y112 RPM_X 37 SITEPROP SLICE_X8Y112 RPM_Y 224 SITEPROP SLICE_X8Y112 SITE_PIPS SITEPROP SLICE_X8Y112 SITE_TYPE SLICEM SITEPROP SLICE_X8Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y113 CLASS site SITEPROP SLICE_X8Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y113 IS_BONDED 0 SITEPROP SLICE_X8Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y113 IS_PAD 0 SITEPROP SLICE_X8Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y113 IS_RESERVED 0 SITEPROP SLICE_X8Y113 IS_TEST 0 SITEPROP SLICE_X8Y113 IS_USED 0 SITEPROP SLICE_X8Y113 MANUAL_ROUTING SITEPROP SLICE_X8Y113 NAME SLICE_X8Y113 SITEPROP SLICE_X8Y113 NUM_ARCS 153 SITEPROP SLICE_X8Y113 NUM_BELS 32 SITEPROP SLICE_X8Y113 NUM_INPUTS 37 SITEPROP SLICE_X8Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y113 NUM_PINS 50 SITEPROP SLICE_X8Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y113 PROHIBIT 0 SITEPROP SLICE_X8Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y113 RPM_X 37 SITEPROP SLICE_X8Y113 RPM_Y 226 SITEPROP SLICE_X8Y113 SITE_PIPS SITEPROP SLICE_X8Y113 SITE_TYPE SLICEM SITEPROP SLICE_X8Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y114 CLASS site SITEPROP SLICE_X8Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y114 IS_BONDED 0 SITEPROP SLICE_X8Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y114 IS_PAD 0 SITEPROP SLICE_X8Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y114 IS_RESERVED 0 SITEPROP SLICE_X8Y114 IS_TEST 0 SITEPROP SLICE_X8Y114 IS_USED 0 SITEPROP SLICE_X8Y114 MANUAL_ROUTING SITEPROP SLICE_X8Y114 NAME SLICE_X8Y114 SITEPROP SLICE_X8Y114 NUM_ARCS 153 SITEPROP SLICE_X8Y114 NUM_BELS 32 SITEPROP SLICE_X8Y114 NUM_INPUTS 37 SITEPROP SLICE_X8Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y114 NUM_PINS 50 SITEPROP SLICE_X8Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y114 PROHIBIT 0 SITEPROP SLICE_X8Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y114 RPM_X 37 SITEPROP SLICE_X8Y114 RPM_Y 228 SITEPROP SLICE_X8Y114 SITE_PIPS SITEPROP SLICE_X8Y114 SITE_TYPE SLICEM SITEPROP SLICE_X8Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y115 CLASS site SITEPROP SLICE_X8Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y115 IS_BONDED 0 SITEPROP SLICE_X8Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y115 IS_PAD 0 SITEPROP SLICE_X8Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y115 IS_RESERVED 0 SITEPROP SLICE_X8Y115 IS_TEST 0 SITEPROP SLICE_X8Y115 IS_USED 0 SITEPROP SLICE_X8Y115 MANUAL_ROUTING SITEPROP SLICE_X8Y115 NAME SLICE_X8Y115 SITEPROP SLICE_X8Y115 NUM_ARCS 153 SITEPROP SLICE_X8Y115 NUM_BELS 32 SITEPROP SLICE_X8Y115 NUM_INPUTS 37 SITEPROP SLICE_X8Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y115 NUM_PINS 50 SITEPROP SLICE_X8Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y115 PROHIBIT 0 SITEPROP SLICE_X8Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y115 RPM_X 37 SITEPROP SLICE_X8Y115 RPM_Y 230 SITEPROP SLICE_X8Y115 SITE_PIPS SITEPROP SLICE_X8Y115 SITE_TYPE SLICEM SITEPROP SLICE_X8Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y116 CLASS site SITEPROP SLICE_X8Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y116 IS_BONDED 0 SITEPROP SLICE_X8Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y116 IS_PAD 0 SITEPROP SLICE_X8Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y116 IS_RESERVED 0 SITEPROP SLICE_X8Y116 IS_TEST 0 SITEPROP SLICE_X8Y116 IS_USED 0 SITEPROP SLICE_X8Y116 MANUAL_ROUTING SITEPROP SLICE_X8Y116 NAME SLICE_X8Y116 SITEPROP SLICE_X8Y116 NUM_ARCS 153 SITEPROP SLICE_X8Y116 NUM_BELS 32 SITEPROP SLICE_X8Y116 NUM_INPUTS 37 SITEPROP SLICE_X8Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y116 NUM_PINS 50 SITEPROP SLICE_X8Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y116 PROHIBIT 0 SITEPROP SLICE_X8Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y116 RPM_X 37 SITEPROP SLICE_X8Y116 RPM_Y 232 SITEPROP SLICE_X8Y116 SITE_PIPS SITEPROP SLICE_X8Y116 SITE_TYPE SLICEM SITEPROP SLICE_X8Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y117 CLASS site SITEPROP SLICE_X8Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y117 IS_BONDED 0 SITEPROP SLICE_X8Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y117 IS_PAD 0 SITEPROP SLICE_X8Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y117 IS_RESERVED 0 SITEPROP SLICE_X8Y117 IS_TEST 0 SITEPROP SLICE_X8Y117 IS_USED 0 SITEPROP SLICE_X8Y117 MANUAL_ROUTING SITEPROP SLICE_X8Y117 NAME SLICE_X8Y117 SITEPROP SLICE_X8Y117 NUM_ARCS 153 SITEPROP SLICE_X8Y117 NUM_BELS 32 SITEPROP SLICE_X8Y117 NUM_INPUTS 37 SITEPROP SLICE_X8Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y117 NUM_PINS 50 SITEPROP SLICE_X8Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y117 PROHIBIT 0 SITEPROP SLICE_X8Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y117 RPM_X 37 SITEPROP SLICE_X8Y117 RPM_Y 234 SITEPROP SLICE_X8Y117 SITE_PIPS SITEPROP SLICE_X8Y117 SITE_TYPE SLICEM SITEPROP SLICE_X8Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y118 CLASS site SITEPROP SLICE_X8Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y118 IS_BONDED 0 SITEPROP SLICE_X8Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y118 IS_PAD 0 SITEPROP SLICE_X8Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y118 IS_RESERVED 0 SITEPROP SLICE_X8Y118 IS_TEST 0 SITEPROP SLICE_X8Y118 IS_USED 0 SITEPROP SLICE_X8Y118 MANUAL_ROUTING SITEPROP SLICE_X8Y118 NAME SLICE_X8Y118 SITEPROP SLICE_X8Y118 NUM_ARCS 153 SITEPROP SLICE_X8Y118 NUM_BELS 32 SITEPROP SLICE_X8Y118 NUM_INPUTS 37 SITEPROP SLICE_X8Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y118 NUM_PINS 50 SITEPROP SLICE_X8Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y118 PROHIBIT 0 SITEPROP SLICE_X8Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y118 RPM_X 37 SITEPROP SLICE_X8Y118 RPM_Y 236 SITEPROP SLICE_X8Y118 SITE_PIPS SITEPROP SLICE_X8Y118 SITE_TYPE SLICEM SITEPROP SLICE_X8Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y119 CLASS site SITEPROP SLICE_X8Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y119 IS_BONDED 0 SITEPROP SLICE_X8Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y119 IS_PAD 0 SITEPROP SLICE_X8Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y119 IS_RESERVED 0 SITEPROP SLICE_X8Y119 IS_TEST 0 SITEPROP SLICE_X8Y119 IS_USED 0 SITEPROP SLICE_X8Y119 MANUAL_ROUTING SITEPROP SLICE_X8Y119 NAME SLICE_X8Y119 SITEPROP SLICE_X8Y119 NUM_ARCS 153 SITEPROP SLICE_X8Y119 NUM_BELS 32 SITEPROP SLICE_X8Y119 NUM_INPUTS 37 SITEPROP SLICE_X8Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y119 NUM_PINS 50 SITEPROP SLICE_X8Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y119 PROHIBIT 0 SITEPROP SLICE_X8Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y119 RPM_X 37 SITEPROP SLICE_X8Y119 RPM_Y 238 SITEPROP SLICE_X8Y119 SITE_PIPS SITEPROP SLICE_X8Y119 SITE_TYPE SLICEM SITEPROP SLICE_X8Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y120 CLASS site SITEPROP SLICE_X8Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y120 IS_BONDED 0 SITEPROP SLICE_X8Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y120 IS_PAD 0 SITEPROP SLICE_X8Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y120 IS_RESERVED 0 SITEPROP SLICE_X8Y120 IS_TEST 0 SITEPROP SLICE_X8Y120 IS_USED 0 SITEPROP SLICE_X8Y120 MANUAL_ROUTING SITEPROP SLICE_X8Y120 NAME SLICE_X8Y120 SITEPROP SLICE_X8Y120 NUM_ARCS 153 SITEPROP SLICE_X8Y120 NUM_BELS 32 SITEPROP SLICE_X8Y120 NUM_INPUTS 37 SITEPROP SLICE_X8Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y120 NUM_PINS 50 SITEPROP SLICE_X8Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y120 PROHIBIT 0 SITEPROP SLICE_X8Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y120 RPM_X 37 SITEPROP SLICE_X8Y120 RPM_Y 240 SITEPROP SLICE_X8Y120 SITE_PIPS SITEPROP SLICE_X8Y120 SITE_TYPE SLICEM SITEPROP SLICE_X8Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y121 CLASS site SITEPROP SLICE_X8Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y121 IS_BONDED 0 SITEPROP SLICE_X8Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y121 IS_PAD 0 SITEPROP SLICE_X8Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y121 IS_RESERVED 0 SITEPROP SLICE_X8Y121 IS_TEST 0 SITEPROP SLICE_X8Y121 IS_USED 0 SITEPROP SLICE_X8Y121 MANUAL_ROUTING SITEPROP SLICE_X8Y121 NAME SLICE_X8Y121 SITEPROP SLICE_X8Y121 NUM_ARCS 153 SITEPROP SLICE_X8Y121 NUM_BELS 32 SITEPROP SLICE_X8Y121 NUM_INPUTS 37 SITEPROP SLICE_X8Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y121 NUM_PINS 50 SITEPROP SLICE_X8Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y121 PROHIBIT 0 SITEPROP SLICE_X8Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y121 RPM_X 37 SITEPROP SLICE_X8Y121 RPM_Y 242 SITEPROP SLICE_X8Y121 SITE_PIPS SITEPROP SLICE_X8Y121 SITE_TYPE SLICEM SITEPROP SLICE_X8Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y122 CLASS site SITEPROP SLICE_X8Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y122 IS_BONDED 0 SITEPROP SLICE_X8Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y122 IS_PAD 0 SITEPROP SLICE_X8Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y122 IS_RESERVED 0 SITEPROP SLICE_X8Y122 IS_TEST 0 SITEPROP SLICE_X8Y122 IS_USED 0 SITEPROP SLICE_X8Y122 MANUAL_ROUTING SITEPROP SLICE_X8Y122 NAME SLICE_X8Y122 SITEPROP SLICE_X8Y122 NUM_ARCS 153 SITEPROP SLICE_X8Y122 NUM_BELS 32 SITEPROP SLICE_X8Y122 NUM_INPUTS 37 SITEPROP SLICE_X8Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y122 NUM_PINS 50 SITEPROP SLICE_X8Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y122 PROHIBIT 0 SITEPROP SLICE_X8Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y122 RPM_X 37 SITEPROP SLICE_X8Y122 RPM_Y 244 SITEPROP SLICE_X8Y122 SITE_PIPS SITEPROP SLICE_X8Y122 SITE_TYPE SLICEM SITEPROP SLICE_X8Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y123 CLASS site SITEPROP SLICE_X8Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y123 IS_BONDED 0 SITEPROP SLICE_X8Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y123 IS_PAD 0 SITEPROP SLICE_X8Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y123 IS_RESERVED 0 SITEPROP SLICE_X8Y123 IS_TEST 0 SITEPROP SLICE_X8Y123 IS_USED 0 SITEPROP SLICE_X8Y123 MANUAL_ROUTING SITEPROP SLICE_X8Y123 NAME SLICE_X8Y123 SITEPROP SLICE_X8Y123 NUM_ARCS 153 SITEPROP SLICE_X8Y123 NUM_BELS 32 SITEPROP SLICE_X8Y123 NUM_INPUTS 37 SITEPROP SLICE_X8Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y123 NUM_PINS 50 SITEPROP SLICE_X8Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y123 PROHIBIT 0 SITEPROP SLICE_X8Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y123 RPM_X 37 SITEPROP SLICE_X8Y123 RPM_Y 246 SITEPROP SLICE_X8Y123 SITE_PIPS SITEPROP SLICE_X8Y123 SITE_TYPE SLICEM SITEPROP SLICE_X8Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y124 CLASS site SITEPROP SLICE_X8Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y124 IS_BONDED 0 SITEPROP SLICE_X8Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y124 IS_PAD 0 SITEPROP SLICE_X8Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y124 IS_RESERVED 0 SITEPROP SLICE_X8Y124 IS_TEST 0 SITEPROP SLICE_X8Y124 IS_USED 0 SITEPROP SLICE_X8Y124 MANUAL_ROUTING SITEPROP SLICE_X8Y124 NAME SLICE_X8Y124 SITEPROP SLICE_X8Y124 NUM_ARCS 153 SITEPROP SLICE_X8Y124 NUM_BELS 32 SITEPROP SLICE_X8Y124 NUM_INPUTS 37 SITEPROP SLICE_X8Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y124 NUM_PINS 50 SITEPROP SLICE_X8Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y124 PROHIBIT 0 SITEPROP SLICE_X8Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y124 RPM_X 37 SITEPROP SLICE_X8Y124 RPM_Y 248 SITEPROP SLICE_X8Y124 SITE_PIPS SITEPROP SLICE_X8Y124 SITE_TYPE SLICEM SITEPROP SLICE_X8Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y125 CLASS site SITEPROP SLICE_X8Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y125 IS_BONDED 0 SITEPROP SLICE_X8Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y125 IS_PAD 0 SITEPROP SLICE_X8Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y125 IS_RESERVED 0 SITEPROP SLICE_X8Y125 IS_TEST 0 SITEPROP SLICE_X8Y125 IS_USED 0 SITEPROP SLICE_X8Y125 MANUAL_ROUTING SITEPROP SLICE_X8Y125 NAME SLICE_X8Y125 SITEPROP SLICE_X8Y125 NUM_ARCS 153 SITEPROP SLICE_X8Y125 NUM_BELS 32 SITEPROP SLICE_X8Y125 NUM_INPUTS 37 SITEPROP SLICE_X8Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y125 NUM_PINS 50 SITEPROP SLICE_X8Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y125 PROHIBIT 0 SITEPROP SLICE_X8Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y125 RPM_X 37 SITEPROP SLICE_X8Y125 RPM_Y 250 SITEPROP SLICE_X8Y125 SITE_PIPS SITEPROP SLICE_X8Y125 SITE_TYPE SLICEM SITEPROP SLICE_X8Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y126 CLASS site SITEPROP SLICE_X8Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y126 IS_BONDED 0 SITEPROP SLICE_X8Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y126 IS_PAD 0 SITEPROP SLICE_X8Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y126 IS_RESERVED 0 SITEPROP SLICE_X8Y126 IS_TEST 0 SITEPROP SLICE_X8Y126 IS_USED 0 SITEPROP SLICE_X8Y126 MANUAL_ROUTING SITEPROP SLICE_X8Y126 NAME SLICE_X8Y126 SITEPROP SLICE_X8Y126 NUM_ARCS 153 SITEPROP SLICE_X8Y126 NUM_BELS 32 SITEPROP SLICE_X8Y126 NUM_INPUTS 37 SITEPROP SLICE_X8Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y126 NUM_PINS 50 SITEPROP SLICE_X8Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y126 PROHIBIT 0 SITEPROP SLICE_X8Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y126 RPM_X 37 SITEPROP SLICE_X8Y126 RPM_Y 252 SITEPROP SLICE_X8Y126 SITE_PIPS SITEPROP SLICE_X8Y126 SITE_TYPE SLICEM SITEPROP SLICE_X8Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y127 CLASS site SITEPROP SLICE_X8Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y127 IS_BONDED 0 SITEPROP SLICE_X8Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y127 IS_PAD 0 SITEPROP SLICE_X8Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y127 IS_RESERVED 0 SITEPROP SLICE_X8Y127 IS_TEST 0 SITEPROP SLICE_X8Y127 IS_USED 0 SITEPROP SLICE_X8Y127 MANUAL_ROUTING SITEPROP SLICE_X8Y127 NAME SLICE_X8Y127 SITEPROP SLICE_X8Y127 NUM_ARCS 153 SITEPROP SLICE_X8Y127 NUM_BELS 32 SITEPROP SLICE_X8Y127 NUM_INPUTS 37 SITEPROP SLICE_X8Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y127 NUM_PINS 50 SITEPROP SLICE_X8Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y127 PROHIBIT 0 SITEPROP SLICE_X8Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y127 RPM_X 37 SITEPROP SLICE_X8Y127 RPM_Y 254 SITEPROP SLICE_X8Y127 SITE_PIPS SITEPROP SLICE_X8Y127 SITE_TYPE SLICEM SITEPROP SLICE_X8Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y128 CLASS site SITEPROP SLICE_X8Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y128 IS_BONDED 0 SITEPROP SLICE_X8Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y128 IS_PAD 0 SITEPROP SLICE_X8Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y128 IS_RESERVED 0 SITEPROP SLICE_X8Y128 IS_TEST 0 SITEPROP SLICE_X8Y128 IS_USED 0 SITEPROP SLICE_X8Y128 MANUAL_ROUTING SITEPROP SLICE_X8Y128 NAME SLICE_X8Y128 SITEPROP SLICE_X8Y128 NUM_ARCS 153 SITEPROP SLICE_X8Y128 NUM_BELS 32 SITEPROP SLICE_X8Y128 NUM_INPUTS 37 SITEPROP SLICE_X8Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y128 NUM_PINS 50 SITEPROP SLICE_X8Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y128 PROHIBIT 0 SITEPROP SLICE_X8Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y128 RPM_X 37 SITEPROP SLICE_X8Y128 RPM_Y 256 SITEPROP SLICE_X8Y128 SITE_PIPS SITEPROP SLICE_X8Y128 SITE_TYPE SLICEM SITEPROP SLICE_X8Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y129 CLASS site SITEPROP SLICE_X8Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y129 IS_BONDED 0 SITEPROP SLICE_X8Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y129 IS_PAD 0 SITEPROP SLICE_X8Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y129 IS_RESERVED 0 SITEPROP SLICE_X8Y129 IS_TEST 0 SITEPROP SLICE_X8Y129 IS_USED 0 SITEPROP SLICE_X8Y129 MANUAL_ROUTING SITEPROP SLICE_X8Y129 NAME SLICE_X8Y129 SITEPROP SLICE_X8Y129 NUM_ARCS 153 SITEPROP SLICE_X8Y129 NUM_BELS 32 SITEPROP SLICE_X8Y129 NUM_INPUTS 37 SITEPROP SLICE_X8Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y129 NUM_PINS 50 SITEPROP SLICE_X8Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y129 PROHIBIT 0 SITEPROP SLICE_X8Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y129 RPM_X 37 SITEPROP SLICE_X8Y129 RPM_Y 258 SITEPROP SLICE_X8Y129 SITE_PIPS SITEPROP SLICE_X8Y129 SITE_TYPE SLICEM SITEPROP SLICE_X8Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y130 CLASS site SITEPROP SLICE_X8Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y130 IS_BONDED 0 SITEPROP SLICE_X8Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y130 IS_PAD 0 SITEPROP SLICE_X8Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y130 IS_RESERVED 0 SITEPROP SLICE_X8Y130 IS_TEST 0 SITEPROP SLICE_X8Y130 IS_USED 0 SITEPROP SLICE_X8Y130 MANUAL_ROUTING SITEPROP SLICE_X8Y130 NAME SLICE_X8Y130 SITEPROP SLICE_X8Y130 NUM_ARCS 153 SITEPROP SLICE_X8Y130 NUM_BELS 32 SITEPROP SLICE_X8Y130 NUM_INPUTS 37 SITEPROP SLICE_X8Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y130 NUM_PINS 50 SITEPROP SLICE_X8Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y130 PROHIBIT 0 SITEPROP SLICE_X8Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y130 RPM_X 37 SITEPROP SLICE_X8Y130 RPM_Y 260 SITEPROP SLICE_X8Y130 SITE_PIPS SITEPROP SLICE_X8Y130 SITE_TYPE SLICEM SITEPROP SLICE_X8Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y131 CLASS site SITEPROP SLICE_X8Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y131 IS_BONDED 0 SITEPROP SLICE_X8Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y131 IS_PAD 0 SITEPROP SLICE_X8Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y131 IS_RESERVED 0 SITEPROP SLICE_X8Y131 IS_TEST 0 SITEPROP SLICE_X8Y131 IS_USED 0 SITEPROP SLICE_X8Y131 MANUAL_ROUTING SITEPROP SLICE_X8Y131 NAME SLICE_X8Y131 SITEPROP SLICE_X8Y131 NUM_ARCS 153 SITEPROP SLICE_X8Y131 NUM_BELS 32 SITEPROP SLICE_X8Y131 NUM_INPUTS 37 SITEPROP SLICE_X8Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y131 NUM_PINS 50 SITEPROP SLICE_X8Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y131 PROHIBIT 0 SITEPROP SLICE_X8Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y131 RPM_X 37 SITEPROP SLICE_X8Y131 RPM_Y 262 SITEPROP SLICE_X8Y131 SITE_PIPS SITEPROP SLICE_X8Y131 SITE_TYPE SLICEM SITEPROP SLICE_X8Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y132 CLASS site SITEPROP SLICE_X8Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y132 IS_BONDED 0 SITEPROP SLICE_X8Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y132 IS_PAD 0 SITEPROP SLICE_X8Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y132 IS_RESERVED 0 SITEPROP SLICE_X8Y132 IS_TEST 0 SITEPROP SLICE_X8Y132 IS_USED 0 SITEPROP SLICE_X8Y132 MANUAL_ROUTING SITEPROP SLICE_X8Y132 NAME SLICE_X8Y132 SITEPROP SLICE_X8Y132 NUM_ARCS 153 SITEPROP SLICE_X8Y132 NUM_BELS 32 SITEPROP SLICE_X8Y132 NUM_INPUTS 37 SITEPROP SLICE_X8Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y132 NUM_PINS 50 SITEPROP SLICE_X8Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y132 PROHIBIT 0 SITEPROP SLICE_X8Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y132 RPM_X 37 SITEPROP SLICE_X8Y132 RPM_Y 264 SITEPROP SLICE_X8Y132 SITE_PIPS SITEPROP SLICE_X8Y132 SITE_TYPE SLICEM SITEPROP SLICE_X8Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y133 CLASS site SITEPROP SLICE_X8Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y133 IS_BONDED 0 SITEPROP SLICE_X8Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y133 IS_PAD 0 SITEPROP SLICE_X8Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y133 IS_RESERVED 0 SITEPROP SLICE_X8Y133 IS_TEST 0 SITEPROP SLICE_X8Y133 IS_USED 0 SITEPROP SLICE_X8Y133 MANUAL_ROUTING SITEPROP SLICE_X8Y133 NAME SLICE_X8Y133 SITEPROP SLICE_X8Y133 NUM_ARCS 153 SITEPROP SLICE_X8Y133 NUM_BELS 32 SITEPROP SLICE_X8Y133 NUM_INPUTS 37 SITEPROP SLICE_X8Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y133 NUM_PINS 50 SITEPROP SLICE_X8Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y133 PROHIBIT 0 SITEPROP SLICE_X8Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y133 RPM_X 37 SITEPROP SLICE_X8Y133 RPM_Y 266 SITEPROP SLICE_X8Y133 SITE_PIPS SITEPROP SLICE_X8Y133 SITE_TYPE SLICEM SITEPROP SLICE_X8Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y134 CLASS site SITEPROP SLICE_X8Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y134 IS_BONDED 0 SITEPROP SLICE_X8Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y134 IS_PAD 0 SITEPROP SLICE_X8Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y134 IS_RESERVED 0 SITEPROP SLICE_X8Y134 IS_TEST 0 SITEPROP SLICE_X8Y134 IS_USED 0 SITEPROP SLICE_X8Y134 MANUAL_ROUTING SITEPROP SLICE_X8Y134 NAME SLICE_X8Y134 SITEPROP SLICE_X8Y134 NUM_ARCS 153 SITEPROP SLICE_X8Y134 NUM_BELS 32 SITEPROP SLICE_X8Y134 NUM_INPUTS 37 SITEPROP SLICE_X8Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y134 NUM_PINS 50 SITEPROP SLICE_X8Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y134 PROHIBIT 0 SITEPROP SLICE_X8Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y134 RPM_X 37 SITEPROP SLICE_X8Y134 RPM_Y 268 SITEPROP SLICE_X8Y134 SITE_PIPS SITEPROP SLICE_X8Y134 SITE_TYPE SLICEM SITEPROP SLICE_X8Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y135 CLASS site SITEPROP SLICE_X8Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y135 IS_BONDED 0 SITEPROP SLICE_X8Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y135 IS_PAD 0 SITEPROP SLICE_X8Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y135 IS_RESERVED 0 SITEPROP SLICE_X8Y135 IS_TEST 0 SITEPROP SLICE_X8Y135 IS_USED 0 SITEPROP SLICE_X8Y135 MANUAL_ROUTING SITEPROP SLICE_X8Y135 NAME SLICE_X8Y135 SITEPROP SLICE_X8Y135 NUM_ARCS 153 SITEPROP SLICE_X8Y135 NUM_BELS 32 SITEPROP SLICE_X8Y135 NUM_INPUTS 37 SITEPROP SLICE_X8Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y135 NUM_PINS 50 SITEPROP SLICE_X8Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y135 PROHIBIT 0 SITEPROP SLICE_X8Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y135 RPM_X 37 SITEPROP SLICE_X8Y135 RPM_Y 270 SITEPROP SLICE_X8Y135 SITE_PIPS SITEPROP SLICE_X8Y135 SITE_TYPE SLICEM SITEPROP SLICE_X8Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y136 CLASS site SITEPROP SLICE_X8Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y136 IS_BONDED 0 SITEPROP SLICE_X8Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y136 IS_PAD 0 SITEPROP SLICE_X8Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y136 IS_RESERVED 0 SITEPROP SLICE_X8Y136 IS_TEST 0 SITEPROP SLICE_X8Y136 IS_USED 0 SITEPROP SLICE_X8Y136 MANUAL_ROUTING SITEPROP SLICE_X8Y136 NAME SLICE_X8Y136 SITEPROP SLICE_X8Y136 NUM_ARCS 153 SITEPROP SLICE_X8Y136 NUM_BELS 32 SITEPROP SLICE_X8Y136 NUM_INPUTS 37 SITEPROP SLICE_X8Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y136 NUM_PINS 50 SITEPROP SLICE_X8Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y136 PROHIBIT 0 SITEPROP SLICE_X8Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y136 RPM_X 37 SITEPROP SLICE_X8Y136 RPM_Y 272 SITEPROP SLICE_X8Y136 SITE_PIPS SITEPROP SLICE_X8Y136 SITE_TYPE SLICEM SITEPROP SLICE_X8Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y137 CLASS site SITEPROP SLICE_X8Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y137 IS_BONDED 0 SITEPROP SLICE_X8Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y137 IS_PAD 0 SITEPROP SLICE_X8Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y137 IS_RESERVED 0 SITEPROP SLICE_X8Y137 IS_TEST 0 SITEPROP SLICE_X8Y137 IS_USED 0 SITEPROP SLICE_X8Y137 MANUAL_ROUTING SITEPROP SLICE_X8Y137 NAME SLICE_X8Y137 SITEPROP SLICE_X8Y137 NUM_ARCS 153 SITEPROP SLICE_X8Y137 NUM_BELS 32 SITEPROP SLICE_X8Y137 NUM_INPUTS 37 SITEPROP SLICE_X8Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y137 NUM_PINS 50 SITEPROP SLICE_X8Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y137 PROHIBIT 0 SITEPROP SLICE_X8Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y137 RPM_X 37 SITEPROP SLICE_X8Y137 RPM_Y 274 SITEPROP SLICE_X8Y137 SITE_PIPS SITEPROP SLICE_X8Y137 SITE_TYPE SLICEM SITEPROP SLICE_X8Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y138 CLASS site SITEPROP SLICE_X8Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y138 IS_BONDED 0 SITEPROP SLICE_X8Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y138 IS_PAD 0 SITEPROP SLICE_X8Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y138 IS_RESERVED 0 SITEPROP SLICE_X8Y138 IS_TEST 0 SITEPROP SLICE_X8Y138 IS_USED 0 SITEPROP SLICE_X8Y138 MANUAL_ROUTING SITEPROP SLICE_X8Y138 NAME SLICE_X8Y138 SITEPROP SLICE_X8Y138 NUM_ARCS 153 SITEPROP SLICE_X8Y138 NUM_BELS 32 SITEPROP SLICE_X8Y138 NUM_INPUTS 37 SITEPROP SLICE_X8Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y138 NUM_PINS 50 SITEPROP SLICE_X8Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y138 PROHIBIT 0 SITEPROP SLICE_X8Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y138 RPM_X 37 SITEPROP SLICE_X8Y138 RPM_Y 276 SITEPROP SLICE_X8Y138 SITE_PIPS SITEPROP SLICE_X8Y138 SITE_TYPE SLICEM SITEPROP SLICE_X8Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y139 CLASS site SITEPROP SLICE_X8Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y139 IS_BONDED 0 SITEPROP SLICE_X8Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y139 IS_PAD 0 SITEPROP SLICE_X8Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y139 IS_RESERVED 0 SITEPROP SLICE_X8Y139 IS_TEST 0 SITEPROP SLICE_X8Y139 IS_USED 0 SITEPROP SLICE_X8Y139 MANUAL_ROUTING SITEPROP SLICE_X8Y139 NAME SLICE_X8Y139 SITEPROP SLICE_X8Y139 NUM_ARCS 153 SITEPROP SLICE_X8Y139 NUM_BELS 32 SITEPROP SLICE_X8Y139 NUM_INPUTS 37 SITEPROP SLICE_X8Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y139 NUM_PINS 50 SITEPROP SLICE_X8Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y139 PROHIBIT 0 SITEPROP SLICE_X8Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y139 RPM_X 37 SITEPROP SLICE_X8Y139 RPM_Y 278 SITEPROP SLICE_X8Y139 SITE_PIPS SITEPROP SLICE_X8Y139 SITE_TYPE SLICEM SITEPROP SLICE_X8Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y140 CLASS site SITEPROP SLICE_X8Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y140 IS_BONDED 0 SITEPROP SLICE_X8Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y140 IS_PAD 0 SITEPROP SLICE_X8Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y140 IS_RESERVED 0 SITEPROP SLICE_X8Y140 IS_TEST 0 SITEPROP SLICE_X8Y140 IS_USED 0 SITEPROP SLICE_X8Y140 MANUAL_ROUTING SITEPROP SLICE_X8Y140 NAME SLICE_X8Y140 SITEPROP SLICE_X8Y140 NUM_ARCS 153 SITEPROP SLICE_X8Y140 NUM_BELS 32 SITEPROP SLICE_X8Y140 NUM_INPUTS 37 SITEPROP SLICE_X8Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y140 NUM_PINS 50 SITEPROP SLICE_X8Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y140 PROHIBIT 0 SITEPROP SLICE_X8Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y140 RPM_X 37 SITEPROP SLICE_X8Y140 RPM_Y 280 SITEPROP SLICE_X8Y140 SITE_PIPS SITEPROP SLICE_X8Y140 SITE_TYPE SLICEM SITEPROP SLICE_X8Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y141 CLASS site SITEPROP SLICE_X8Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y141 IS_BONDED 0 SITEPROP SLICE_X8Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y141 IS_PAD 0 SITEPROP SLICE_X8Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y141 IS_RESERVED 0 SITEPROP SLICE_X8Y141 IS_TEST 0 SITEPROP SLICE_X8Y141 IS_USED 0 SITEPROP SLICE_X8Y141 MANUAL_ROUTING SITEPROP SLICE_X8Y141 NAME SLICE_X8Y141 SITEPROP SLICE_X8Y141 NUM_ARCS 153 SITEPROP SLICE_X8Y141 NUM_BELS 32 SITEPROP SLICE_X8Y141 NUM_INPUTS 37 SITEPROP SLICE_X8Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y141 NUM_PINS 50 SITEPROP SLICE_X8Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y141 PROHIBIT 0 SITEPROP SLICE_X8Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y141 RPM_X 37 SITEPROP SLICE_X8Y141 RPM_Y 282 SITEPROP SLICE_X8Y141 SITE_PIPS SITEPROP SLICE_X8Y141 SITE_TYPE SLICEM SITEPROP SLICE_X8Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y142 CLASS site SITEPROP SLICE_X8Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y142 IS_BONDED 0 SITEPROP SLICE_X8Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y142 IS_PAD 0 SITEPROP SLICE_X8Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y142 IS_RESERVED 0 SITEPROP SLICE_X8Y142 IS_TEST 0 SITEPROP SLICE_X8Y142 IS_USED 0 SITEPROP SLICE_X8Y142 MANUAL_ROUTING SITEPROP SLICE_X8Y142 NAME SLICE_X8Y142 SITEPROP SLICE_X8Y142 NUM_ARCS 153 SITEPROP SLICE_X8Y142 NUM_BELS 32 SITEPROP SLICE_X8Y142 NUM_INPUTS 37 SITEPROP SLICE_X8Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y142 NUM_PINS 50 SITEPROP SLICE_X8Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y142 PROHIBIT 0 SITEPROP SLICE_X8Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y142 RPM_X 37 SITEPROP SLICE_X8Y142 RPM_Y 284 SITEPROP SLICE_X8Y142 SITE_PIPS SITEPROP SLICE_X8Y142 SITE_TYPE SLICEM SITEPROP SLICE_X8Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y143 CLASS site SITEPROP SLICE_X8Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y143 IS_BONDED 0 SITEPROP SLICE_X8Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y143 IS_PAD 0 SITEPROP SLICE_X8Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y143 IS_RESERVED 0 SITEPROP SLICE_X8Y143 IS_TEST 0 SITEPROP SLICE_X8Y143 IS_USED 0 SITEPROP SLICE_X8Y143 MANUAL_ROUTING SITEPROP SLICE_X8Y143 NAME SLICE_X8Y143 SITEPROP SLICE_X8Y143 NUM_ARCS 153 SITEPROP SLICE_X8Y143 NUM_BELS 32 SITEPROP SLICE_X8Y143 NUM_INPUTS 37 SITEPROP SLICE_X8Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y143 NUM_PINS 50 SITEPROP SLICE_X8Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y143 PROHIBIT 0 SITEPROP SLICE_X8Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y143 RPM_X 37 SITEPROP SLICE_X8Y143 RPM_Y 286 SITEPROP SLICE_X8Y143 SITE_PIPS SITEPROP SLICE_X8Y143 SITE_TYPE SLICEM SITEPROP SLICE_X8Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y144 CLASS site SITEPROP SLICE_X8Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y144 IS_BONDED 0 SITEPROP SLICE_X8Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y144 IS_PAD 0 SITEPROP SLICE_X8Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y144 IS_RESERVED 0 SITEPROP SLICE_X8Y144 IS_TEST 0 SITEPROP SLICE_X8Y144 IS_USED 0 SITEPROP SLICE_X8Y144 MANUAL_ROUTING SITEPROP SLICE_X8Y144 NAME SLICE_X8Y144 SITEPROP SLICE_X8Y144 NUM_ARCS 153 SITEPROP SLICE_X8Y144 NUM_BELS 32 SITEPROP SLICE_X8Y144 NUM_INPUTS 37 SITEPROP SLICE_X8Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y144 NUM_PINS 50 SITEPROP SLICE_X8Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y144 PROHIBIT 0 SITEPROP SLICE_X8Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y144 RPM_X 37 SITEPROP SLICE_X8Y144 RPM_Y 288 SITEPROP SLICE_X8Y144 SITE_PIPS SITEPROP SLICE_X8Y144 SITE_TYPE SLICEM SITEPROP SLICE_X8Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y145 CLASS site SITEPROP SLICE_X8Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y145 IS_BONDED 0 SITEPROP SLICE_X8Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y145 IS_PAD 0 SITEPROP SLICE_X8Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y145 IS_RESERVED 0 SITEPROP SLICE_X8Y145 IS_TEST 0 SITEPROP SLICE_X8Y145 IS_USED 0 SITEPROP SLICE_X8Y145 MANUAL_ROUTING SITEPROP SLICE_X8Y145 NAME SLICE_X8Y145 SITEPROP SLICE_X8Y145 NUM_ARCS 153 SITEPROP SLICE_X8Y145 NUM_BELS 32 SITEPROP SLICE_X8Y145 NUM_INPUTS 37 SITEPROP SLICE_X8Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y145 NUM_PINS 50 SITEPROP SLICE_X8Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y145 PROHIBIT 0 SITEPROP SLICE_X8Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y145 RPM_X 37 SITEPROP SLICE_X8Y145 RPM_Y 290 SITEPROP SLICE_X8Y145 SITE_PIPS SITEPROP SLICE_X8Y145 SITE_TYPE SLICEM SITEPROP SLICE_X8Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y146 CLASS site SITEPROP SLICE_X8Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y146 IS_BONDED 0 SITEPROP SLICE_X8Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y146 IS_PAD 0 SITEPROP SLICE_X8Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y146 IS_RESERVED 0 SITEPROP SLICE_X8Y146 IS_TEST 0 SITEPROP SLICE_X8Y146 IS_USED 0 SITEPROP SLICE_X8Y146 MANUAL_ROUTING SITEPROP SLICE_X8Y146 NAME SLICE_X8Y146 SITEPROP SLICE_X8Y146 NUM_ARCS 153 SITEPROP SLICE_X8Y146 NUM_BELS 32 SITEPROP SLICE_X8Y146 NUM_INPUTS 37 SITEPROP SLICE_X8Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y146 NUM_PINS 50 SITEPROP SLICE_X8Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y146 PROHIBIT 0 SITEPROP SLICE_X8Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y146 RPM_X 37 SITEPROP SLICE_X8Y146 RPM_Y 292 SITEPROP SLICE_X8Y146 SITE_PIPS SITEPROP SLICE_X8Y146 SITE_TYPE SLICEM SITEPROP SLICE_X8Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y147 CLASS site SITEPROP SLICE_X8Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y147 IS_BONDED 0 SITEPROP SLICE_X8Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y147 IS_PAD 0 SITEPROP SLICE_X8Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y147 IS_RESERVED 0 SITEPROP SLICE_X8Y147 IS_TEST 0 SITEPROP SLICE_X8Y147 IS_USED 0 SITEPROP SLICE_X8Y147 MANUAL_ROUTING SITEPROP SLICE_X8Y147 NAME SLICE_X8Y147 SITEPROP SLICE_X8Y147 NUM_ARCS 153 SITEPROP SLICE_X8Y147 NUM_BELS 32 SITEPROP SLICE_X8Y147 NUM_INPUTS 37 SITEPROP SLICE_X8Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y147 NUM_PINS 50 SITEPROP SLICE_X8Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y147 PROHIBIT 0 SITEPROP SLICE_X8Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y147 RPM_X 37 SITEPROP SLICE_X8Y147 RPM_Y 294 SITEPROP SLICE_X8Y147 SITE_PIPS SITEPROP SLICE_X8Y147 SITE_TYPE SLICEM SITEPROP SLICE_X8Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y148 CLASS site SITEPROP SLICE_X8Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y148 IS_BONDED 0 SITEPROP SLICE_X8Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y148 IS_PAD 0 SITEPROP SLICE_X8Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y148 IS_RESERVED 0 SITEPROP SLICE_X8Y148 IS_TEST 0 SITEPROP SLICE_X8Y148 IS_USED 0 SITEPROP SLICE_X8Y148 MANUAL_ROUTING SITEPROP SLICE_X8Y148 NAME SLICE_X8Y148 SITEPROP SLICE_X8Y148 NUM_ARCS 153 SITEPROP SLICE_X8Y148 NUM_BELS 32 SITEPROP SLICE_X8Y148 NUM_INPUTS 37 SITEPROP SLICE_X8Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y148 NUM_PINS 50 SITEPROP SLICE_X8Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y148 PROHIBIT 0 SITEPROP SLICE_X8Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y148 RPM_X 37 SITEPROP SLICE_X8Y148 RPM_Y 296 SITEPROP SLICE_X8Y148 SITE_PIPS SITEPROP SLICE_X8Y148 SITE_TYPE SLICEM SITEPROP SLICE_X8Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X8Y149 CLASS site SITEPROP SLICE_X8Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X8Y149 IS_BONDED 0 SITEPROP SLICE_X8Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X8Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y149 IS_PAD 0 SITEPROP SLICE_X8Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X8Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X8Y149 IS_RESERVED 0 SITEPROP SLICE_X8Y149 IS_TEST 0 SITEPROP SLICE_X8Y149 IS_USED 0 SITEPROP SLICE_X8Y149 MANUAL_ROUTING SITEPROP SLICE_X8Y149 NAME SLICE_X8Y149 SITEPROP SLICE_X8Y149 NUM_ARCS 153 SITEPROP SLICE_X8Y149 NUM_BELS 32 SITEPROP SLICE_X8Y149 NUM_INPUTS 37 SITEPROP SLICE_X8Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X8Y149 NUM_PINS 50 SITEPROP SLICE_X8Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X8Y149 PROHIBIT 0 SITEPROP SLICE_X8Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X8Y149 RPM_X 37 SITEPROP SLICE_X8Y149 RPM_Y 298 SITEPROP SLICE_X8Y149 SITE_PIPS SITEPROP SLICE_X8Y149 SITE_TYPE SLICEM SITEPROP SLICE_X9Y0 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y0 CLASS site SITEPROP SLICE_X9Y0 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y0 IS_BONDED 0 SITEPROP SLICE_X9Y0 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y0 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y0 IS_PAD 0 SITEPROP SLICE_X9Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y0 IS_RESERVED 0 SITEPROP SLICE_X9Y0 IS_TEST 0 SITEPROP SLICE_X9Y0 IS_USED 0 SITEPROP SLICE_X9Y0 MANUAL_ROUTING SITEPROP SLICE_X9Y0 NAME SLICE_X9Y0 SITEPROP SLICE_X9Y0 NUM_ARCS 138 SITEPROP SLICE_X9Y0 NUM_BELS 32 SITEPROP SLICE_X9Y0 NUM_INPUTS 32 SITEPROP SLICE_X9Y0 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y0 NUM_PINS 45 SITEPROP SLICE_X9Y0 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y0 PROHIBIT 0 SITEPROP SLICE_X9Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y0 RPM_X 39 SITEPROP SLICE_X9Y0 RPM_Y 0 SITEPROP SLICE_X9Y0 SITE_PIPS SITEPROP SLICE_X9Y0 SITE_TYPE SLICEL SITEPROP SLICE_X9Y1 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y1 CLASS site SITEPROP SLICE_X9Y1 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y1 IS_BONDED 0 SITEPROP SLICE_X9Y1 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y1 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y1 IS_PAD 0 SITEPROP SLICE_X9Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y1 IS_RESERVED 0 SITEPROP SLICE_X9Y1 IS_TEST 0 SITEPROP SLICE_X9Y1 IS_USED 0 SITEPROP SLICE_X9Y1 MANUAL_ROUTING SITEPROP SLICE_X9Y1 NAME SLICE_X9Y1 SITEPROP SLICE_X9Y1 NUM_ARCS 138 SITEPROP SLICE_X9Y1 NUM_BELS 32 SITEPROP SLICE_X9Y1 NUM_INPUTS 32 SITEPROP SLICE_X9Y1 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y1 NUM_PINS 45 SITEPROP SLICE_X9Y1 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y1 PROHIBIT 0 SITEPROP SLICE_X9Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y1 RPM_X 39 SITEPROP SLICE_X9Y1 RPM_Y 2 SITEPROP SLICE_X9Y1 SITE_PIPS SITEPROP SLICE_X9Y1 SITE_TYPE SLICEL SITEPROP SLICE_X9Y2 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y2 CLASS site SITEPROP SLICE_X9Y2 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y2 IS_BONDED 0 SITEPROP SLICE_X9Y2 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y2 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y2 IS_PAD 0 SITEPROP SLICE_X9Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y2 IS_RESERVED 0 SITEPROP SLICE_X9Y2 IS_TEST 0 SITEPROP SLICE_X9Y2 IS_USED 0 SITEPROP SLICE_X9Y2 MANUAL_ROUTING SITEPROP SLICE_X9Y2 NAME SLICE_X9Y2 SITEPROP SLICE_X9Y2 NUM_ARCS 138 SITEPROP SLICE_X9Y2 NUM_BELS 32 SITEPROP SLICE_X9Y2 NUM_INPUTS 32 SITEPROP SLICE_X9Y2 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y2 NUM_PINS 45 SITEPROP SLICE_X9Y2 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y2 PROHIBIT 0 SITEPROP SLICE_X9Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y2 RPM_X 39 SITEPROP SLICE_X9Y2 RPM_Y 4 SITEPROP SLICE_X9Y2 SITE_PIPS SITEPROP SLICE_X9Y2 SITE_TYPE SLICEL SITEPROP SLICE_X9Y3 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y3 CLASS site SITEPROP SLICE_X9Y3 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y3 IS_BONDED 0 SITEPROP SLICE_X9Y3 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y3 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y3 IS_PAD 0 SITEPROP SLICE_X9Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y3 IS_RESERVED 0 SITEPROP SLICE_X9Y3 IS_TEST 0 SITEPROP SLICE_X9Y3 IS_USED 0 SITEPROP SLICE_X9Y3 MANUAL_ROUTING SITEPROP SLICE_X9Y3 NAME SLICE_X9Y3 SITEPROP SLICE_X9Y3 NUM_ARCS 138 SITEPROP SLICE_X9Y3 NUM_BELS 32 SITEPROP SLICE_X9Y3 NUM_INPUTS 32 SITEPROP SLICE_X9Y3 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y3 NUM_PINS 45 SITEPROP SLICE_X9Y3 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y3 PROHIBIT 0 SITEPROP SLICE_X9Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y3 RPM_X 39 SITEPROP SLICE_X9Y3 RPM_Y 6 SITEPROP SLICE_X9Y3 SITE_PIPS SITEPROP SLICE_X9Y3 SITE_TYPE SLICEL SITEPROP SLICE_X9Y4 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y4 CLASS site SITEPROP SLICE_X9Y4 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y4 IS_BONDED 0 SITEPROP SLICE_X9Y4 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y4 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y4 IS_PAD 0 SITEPROP SLICE_X9Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y4 IS_RESERVED 0 SITEPROP SLICE_X9Y4 IS_TEST 0 SITEPROP SLICE_X9Y4 IS_USED 0 SITEPROP SLICE_X9Y4 MANUAL_ROUTING SITEPROP SLICE_X9Y4 NAME SLICE_X9Y4 SITEPROP SLICE_X9Y4 NUM_ARCS 138 SITEPROP SLICE_X9Y4 NUM_BELS 32 SITEPROP SLICE_X9Y4 NUM_INPUTS 32 SITEPROP SLICE_X9Y4 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y4 NUM_PINS 45 SITEPROP SLICE_X9Y4 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y4 PROHIBIT 0 SITEPROP SLICE_X9Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y4 RPM_X 39 SITEPROP SLICE_X9Y4 RPM_Y 8 SITEPROP SLICE_X9Y4 SITE_PIPS SITEPROP SLICE_X9Y4 SITE_TYPE SLICEL SITEPROP SLICE_X9Y5 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y5 CLASS site SITEPROP SLICE_X9Y5 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y5 IS_BONDED 0 SITEPROP SLICE_X9Y5 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y5 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y5 IS_PAD 0 SITEPROP SLICE_X9Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y5 IS_RESERVED 0 SITEPROP SLICE_X9Y5 IS_TEST 0 SITEPROP SLICE_X9Y5 IS_USED 0 SITEPROP SLICE_X9Y5 MANUAL_ROUTING SITEPROP SLICE_X9Y5 NAME SLICE_X9Y5 SITEPROP SLICE_X9Y5 NUM_ARCS 138 SITEPROP SLICE_X9Y5 NUM_BELS 32 SITEPROP SLICE_X9Y5 NUM_INPUTS 32 SITEPROP SLICE_X9Y5 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y5 NUM_PINS 45 SITEPROP SLICE_X9Y5 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y5 PROHIBIT 0 SITEPROP SLICE_X9Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y5 RPM_X 39 SITEPROP SLICE_X9Y5 RPM_Y 10 SITEPROP SLICE_X9Y5 SITE_PIPS SITEPROP SLICE_X9Y5 SITE_TYPE SLICEL SITEPROP SLICE_X9Y6 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y6 CLASS site SITEPROP SLICE_X9Y6 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y6 IS_BONDED 0 SITEPROP SLICE_X9Y6 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y6 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y6 IS_PAD 0 SITEPROP SLICE_X9Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y6 IS_RESERVED 0 SITEPROP SLICE_X9Y6 IS_TEST 0 SITEPROP SLICE_X9Y6 IS_USED 0 SITEPROP SLICE_X9Y6 MANUAL_ROUTING SITEPROP SLICE_X9Y6 NAME SLICE_X9Y6 SITEPROP SLICE_X9Y6 NUM_ARCS 138 SITEPROP SLICE_X9Y6 NUM_BELS 32 SITEPROP SLICE_X9Y6 NUM_INPUTS 32 SITEPROP SLICE_X9Y6 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y6 NUM_PINS 45 SITEPROP SLICE_X9Y6 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y6 PROHIBIT 0 SITEPROP SLICE_X9Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y6 RPM_X 39 SITEPROP SLICE_X9Y6 RPM_Y 12 SITEPROP SLICE_X9Y6 SITE_PIPS SITEPROP SLICE_X9Y6 SITE_TYPE SLICEL SITEPROP SLICE_X9Y7 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y7 CLASS site SITEPROP SLICE_X9Y7 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y7 IS_BONDED 0 SITEPROP SLICE_X9Y7 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y7 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y7 IS_PAD 0 SITEPROP SLICE_X9Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y7 IS_RESERVED 0 SITEPROP SLICE_X9Y7 IS_TEST 0 SITEPROP SLICE_X9Y7 IS_USED 0 SITEPROP SLICE_X9Y7 MANUAL_ROUTING SITEPROP SLICE_X9Y7 NAME SLICE_X9Y7 SITEPROP SLICE_X9Y7 NUM_ARCS 138 SITEPROP SLICE_X9Y7 NUM_BELS 32 SITEPROP SLICE_X9Y7 NUM_INPUTS 32 SITEPROP SLICE_X9Y7 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y7 NUM_PINS 45 SITEPROP SLICE_X9Y7 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y7 PROHIBIT 0 SITEPROP SLICE_X9Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y7 RPM_X 39 SITEPROP SLICE_X9Y7 RPM_Y 14 SITEPROP SLICE_X9Y7 SITE_PIPS SITEPROP SLICE_X9Y7 SITE_TYPE SLICEL SITEPROP SLICE_X9Y8 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y8 CLASS site SITEPROP SLICE_X9Y8 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y8 IS_BONDED 0 SITEPROP SLICE_X9Y8 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y8 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y8 IS_PAD 0 SITEPROP SLICE_X9Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y8 IS_RESERVED 0 SITEPROP SLICE_X9Y8 IS_TEST 0 SITEPROP SLICE_X9Y8 IS_USED 0 SITEPROP SLICE_X9Y8 MANUAL_ROUTING SITEPROP SLICE_X9Y8 NAME SLICE_X9Y8 SITEPROP SLICE_X9Y8 NUM_ARCS 138 SITEPROP SLICE_X9Y8 NUM_BELS 32 SITEPROP SLICE_X9Y8 NUM_INPUTS 32 SITEPROP SLICE_X9Y8 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y8 NUM_PINS 45 SITEPROP SLICE_X9Y8 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y8 PROHIBIT 0 SITEPROP SLICE_X9Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y8 RPM_X 39 SITEPROP SLICE_X9Y8 RPM_Y 16 SITEPROP SLICE_X9Y8 SITE_PIPS SITEPROP SLICE_X9Y8 SITE_TYPE SLICEL SITEPROP SLICE_X9Y9 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y9 CLASS site SITEPROP SLICE_X9Y9 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y9 IS_BONDED 0 SITEPROP SLICE_X9Y9 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y9 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y9 IS_PAD 0 SITEPROP SLICE_X9Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y9 IS_RESERVED 0 SITEPROP SLICE_X9Y9 IS_TEST 0 SITEPROP SLICE_X9Y9 IS_USED 0 SITEPROP SLICE_X9Y9 MANUAL_ROUTING SITEPROP SLICE_X9Y9 NAME SLICE_X9Y9 SITEPROP SLICE_X9Y9 NUM_ARCS 138 SITEPROP SLICE_X9Y9 NUM_BELS 32 SITEPROP SLICE_X9Y9 NUM_INPUTS 32 SITEPROP SLICE_X9Y9 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y9 NUM_PINS 45 SITEPROP SLICE_X9Y9 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y9 PROHIBIT 0 SITEPROP SLICE_X9Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y9 RPM_X 39 SITEPROP SLICE_X9Y9 RPM_Y 18 SITEPROP SLICE_X9Y9 SITE_PIPS SITEPROP SLICE_X9Y9 SITE_TYPE SLICEL SITEPROP SLICE_X9Y10 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y10 CLASS site SITEPROP SLICE_X9Y10 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y10 IS_BONDED 0 SITEPROP SLICE_X9Y10 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y10 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y10 IS_PAD 0 SITEPROP SLICE_X9Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y10 IS_RESERVED 0 SITEPROP SLICE_X9Y10 IS_TEST 0 SITEPROP SLICE_X9Y10 IS_USED 0 SITEPROP SLICE_X9Y10 MANUAL_ROUTING SITEPROP SLICE_X9Y10 NAME SLICE_X9Y10 SITEPROP SLICE_X9Y10 NUM_ARCS 138 SITEPROP SLICE_X9Y10 NUM_BELS 32 SITEPROP SLICE_X9Y10 NUM_INPUTS 32 SITEPROP SLICE_X9Y10 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y10 NUM_PINS 45 SITEPROP SLICE_X9Y10 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y10 PROHIBIT 0 SITEPROP SLICE_X9Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y10 RPM_X 39 SITEPROP SLICE_X9Y10 RPM_Y 20 SITEPROP SLICE_X9Y10 SITE_PIPS SITEPROP SLICE_X9Y10 SITE_TYPE SLICEL SITEPROP SLICE_X9Y11 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y11 CLASS site SITEPROP SLICE_X9Y11 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y11 IS_BONDED 0 SITEPROP SLICE_X9Y11 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y11 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y11 IS_PAD 0 SITEPROP SLICE_X9Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y11 IS_RESERVED 0 SITEPROP SLICE_X9Y11 IS_TEST 0 SITEPROP SLICE_X9Y11 IS_USED 0 SITEPROP SLICE_X9Y11 MANUAL_ROUTING SITEPROP SLICE_X9Y11 NAME SLICE_X9Y11 SITEPROP SLICE_X9Y11 NUM_ARCS 138 SITEPROP SLICE_X9Y11 NUM_BELS 32 SITEPROP SLICE_X9Y11 NUM_INPUTS 32 SITEPROP SLICE_X9Y11 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y11 NUM_PINS 45 SITEPROP SLICE_X9Y11 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y11 PROHIBIT 0 SITEPROP SLICE_X9Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y11 RPM_X 39 SITEPROP SLICE_X9Y11 RPM_Y 22 SITEPROP SLICE_X9Y11 SITE_PIPS SITEPROP SLICE_X9Y11 SITE_TYPE SLICEL SITEPROP SLICE_X9Y12 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y12 CLASS site SITEPROP SLICE_X9Y12 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y12 IS_BONDED 0 SITEPROP SLICE_X9Y12 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y12 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y12 IS_PAD 0 SITEPROP SLICE_X9Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y12 IS_RESERVED 0 SITEPROP SLICE_X9Y12 IS_TEST 0 SITEPROP SLICE_X9Y12 IS_USED 0 SITEPROP SLICE_X9Y12 MANUAL_ROUTING SITEPROP SLICE_X9Y12 NAME SLICE_X9Y12 SITEPROP SLICE_X9Y12 NUM_ARCS 138 SITEPROP SLICE_X9Y12 NUM_BELS 32 SITEPROP SLICE_X9Y12 NUM_INPUTS 32 SITEPROP SLICE_X9Y12 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y12 NUM_PINS 45 SITEPROP SLICE_X9Y12 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y12 PROHIBIT 0 SITEPROP SLICE_X9Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y12 RPM_X 39 SITEPROP SLICE_X9Y12 RPM_Y 24 SITEPROP SLICE_X9Y12 SITE_PIPS SITEPROP SLICE_X9Y12 SITE_TYPE SLICEL SITEPROP SLICE_X9Y13 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y13 CLASS site SITEPROP SLICE_X9Y13 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y13 IS_BONDED 0 SITEPROP SLICE_X9Y13 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y13 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y13 IS_PAD 0 SITEPROP SLICE_X9Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y13 IS_RESERVED 0 SITEPROP SLICE_X9Y13 IS_TEST 0 SITEPROP SLICE_X9Y13 IS_USED 0 SITEPROP SLICE_X9Y13 MANUAL_ROUTING SITEPROP SLICE_X9Y13 NAME SLICE_X9Y13 SITEPROP SLICE_X9Y13 NUM_ARCS 138 SITEPROP SLICE_X9Y13 NUM_BELS 32 SITEPROP SLICE_X9Y13 NUM_INPUTS 32 SITEPROP SLICE_X9Y13 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y13 NUM_PINS 45 SITEPROP SLICE_X9Y13 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y13 PROHIBIT 0 SITEPROP SLICE_X9Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y13 RPM_X 39 SITEPROP SLICE_X9Y13 RPM_Y 26 SITEPROP SLICE_X9Y13 SITE_PIPS SITEPROP SLICE_X9Y13 SITE_TYPE SLICEL SITEPROP SLICE_X9Y14 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y14 CLASS site SITEPROP SLICE_X9Y14 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y14 IS_BONDED 0 SITEPROP SLICE_X9Y14 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y14 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y14 IS_PAD 0 SITEPROP SLICE_X9Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y14 IS_RESERVED 0 SITEPROP SLICE_X9Y14 IS_TEST 0 SITEPROP SLICE_X9Y14 IS_USED 0 SITEPROP SLICE_X9Y14 MANUAL_ROUTING SITEPROP SLICE_X9Y14 NAME SLICE_X9Y14 SITEPROP SLICE_X9Y14 NUM_ARCS 138 SITEPROP SLICE_X9Y14 NUM_BELS 32 SITEPROP SLICE_X9Y14 NUM_INPUTS 32 SITEPROP SLICE_X9Y14 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y14 NUM_PINS 45 SITEPROP SLICE_X9Y14 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y14 PROHIBIT 0 SITEPROP SLICE_X9Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y14 RPM_X 39 SITEPROP SLICE_X9Y14 RPM_Y 28 SITEPROP SLICE_X9Y14 SITE_PIPS SITEPROP SLICE_X9Y14 SITE_TYPE SLICEL SITEPROP SLICE_X9Y15 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y15 CLASS site SITEPROP SLICE_X9Y15 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y15 IS_BONDED 0 SITEPROP SLICE_X9Y15 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y15 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y15 IS_PAD 0 SITEPROP SLICE_X9Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y15 IS_RESERVED 0 SITEPROP SLICE_X9Y15 IS_TEST 0 SITEPROP SLICE_X9Y15 IS_USED 0 SITEPROP SLICE_X9Y15 MANUAL_ROUTING SITEPROP SLICE_X9Y15 NAME SLICE_X9Y15 SITEPROP SLICE_X9Y15 NUM_ARCS 138 SITEPROP SLICE_X9Y15 NUM_BELS 32 SITEPROP SLICE_X9Y15 NUM_INPUTS 32 SITEPROP SLICE_X9Y15 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y15 NUM_PINS 45 SITEPROP SLICE_X9Y15 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y15 PROHIBIT 0 SITEPROP SLICE_X9Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y15 RPM_X 39 SITEPROP SLICE_X9Y15 RPM_Y 30 SITEPROP SLICE_X9Y15 SITE_PIPS SITEPROP SLICE_X9Y15 SITE_TYPE SLICEL SITEPROP SLICE_X9Y16 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y16 CLASS site SITEPROP SLICE_X9Y16 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y16 IS_BONDED 0 SITEPROP SLICE_X9Y16 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y16 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y16 IS_PAD 0 SITEPROP SLICE_X9Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y16 IS_RESERVED 0 SITEPROP SLICE_X9Y16 IS_TEST 0 SITEPROP SLICE_X9Y16 IS_USED 0 SITEPROP SLICE_X9Y16 MANUAL_ROUTING SITEPROP SLICE_X9Y16 NAME SLICE_X9Y16 SITEPROP SLICE_X9Y16 NUM_ARCS 138 SITEPROP SLICE_X9Y16 NUM_BELS 32 SITEPROP SLICE_X9Y16 NUM_INPUTS 32 SITEPROP SLICE_X9Y16 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y16 NUM_PINS 45 SITEPROP SLICE_X9Y16 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y16 PROHIBIT 0 SITEPROP SLICE_X9Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y16 RPM_X 39 SITEPROP SLICE_X9Y16 RPM_Y 32 SITEPROP SLICE_X9Y16 SITE_PIPS SITEPROP SLICE_X9Y16 SITE_TYPE SLICEL SITEPROP SLICE_X9Y17 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y17 CLASS site SITEPROP SLICE_X9Y17 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y17 IS_BONDED 0 SITEPROP SLICE_X9Y17 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y17 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y17 IS_PAD 0 SITEPROP SLICE_X9Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y17 IS_RESERVED 0 SITEPROP SLICE_X9Y17 IS_TEST 0 SITEPROP SLICE_X9Y17 IS_USED 0 SITEPROP SLICE_X9Y17 MANUAL_ROUTING SITEPROP SLICE_X9Y17 NAME SLICE_X9Y17 SITEPROP SLICE_X9Y17 NUM_ARCS 138 SITEPROP SLICE_X9Y17 NUM_BELS 32 SITEPROP SLICE_X9Y17 NUM_INPUTS 32 SITEPROP SLICE_X9Y17 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y17 NUM_PINS 45 SITEPROP SLICE_X9Y17 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y17 PROHIBIT 0 SITEPROP SLICE_X9Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y17 RPM_X 39 SITEPROP SLICE_X9Y17 RPM_Y 34 SITEPROP SLICE_X9Y17 SITE_PIPS SITEPROP SLICE_X9Y17 SITE_TYPE SLICEL SITEPROP SLICE_X9Y18 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y18 CLASS site SITEPROP SLICE_X9Y18 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y18 IS_BONDED 0 SITEPROP SLICE_X9Y18 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y18 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y18 IS_PAD 0 SITEPROP SLICE_X9Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y18 IS_RESERVED 0 SITEPROP SLICE_X9Y18 IS_TEST 0 SITEPROP SLICE_X9Y18 IS_USED 0 SITEPROP SLICE_X9Y18 MANUAL_ROUTING SITEPROP SLICE_X9Y18 NAME SLICE_X9Y18 SITEPROP SLICE_X9Y18 NUM_ARCS 138 SITEPROP SLICE_X9Y18 NUM_BELS 32 SITEPROP SLICE_X9Y18 NUM_INPUTS 32 SITEPROP SLICE_X9Y18 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y18 NUM_PINS 45 SITEPROP SLICE_X9Y18 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y18 PROHIBIT 0 SITEPROP SLICE_X9Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y18 RPM_X 39 SITEPROP SLICE_X9Y18 RPM_Y 36 SITEPROP SLICE_X9Y18 SITE_PIPS SITEPROP SLICE_X9Y18 SITE_TYPE SLICEL SITEPROP SLICE_X9Y19 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y19 CLASS site SITEPROP SLICE_X9Y19 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y19 IS_BONDED 0 SITEPROP SLICE_X9Y19 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y19 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y19 IS_PAD 0 SITEPROP SLICE_X9Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y19 IS_RESERVED 0 SITEPROP SLICE_X9Y19 IS_TEST 0 SITEPROP SLICE_X9Y19 IS_USED 0 SITEPROP SLICE_X9Y19 MANUAL_ROUTING SITEPROP SLICE_X9Y19 NAME SLICE_X9Y19 SITEPROP SLICE_X9Y19 NUM_ARCS 138 SITEPROP SLICE_X9Y19 NUM_BELS 32 SITEPROP SLICE_X9Y19 NUM_INPUTS 32 SITEPROP SLICE_X9Y19 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y19 NUM_PINS 45 SITEPROP SLICE_X9Y19 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y19 PROHIBIT 0 SITEPROP SLICE_X9Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y19 RPM_X 39 SITEPROP SLICE_X9Y19 RPM_Y 38 SITEPROP SLICE_X9Y19 SITE_PIPS SITEPROP SLICE_X9Y19 SITE_TYPE SLICEL SITEPROP SLICE_X9Y20 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y20 CLASS site SITEPROP SLICE_X9Y20 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y20 IS_BONDED 0 SITEPROP SLICE_X9Y20 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y20 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y20 IS_PAD 0 SITEPROP SLICE_X9Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y20 IS_RESERVED 0 SITEPROP SLICE_X9Y20 IS_TEST 0 SITEPROP SLICE_X9Y20 IS_USED 0 SITEPROP SLICE_X9Y20 MANUAL_ROUTING SITEPROP SLICE_X9Y20 NAME SLICE_X9Y20 SITEPROP SLICE_X9Y20 NUM_ARCS 138 SITEPROP SLICE_X9Y20 NUM_BELS 32 SITEPROP SLICE_X9Y20 NUM_INPUTS 32 SITEPROP SLICE_X9Y20 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y20 NUM_PINS 45 SITEPROP SLICE_X9Y20 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y20 PROHIBIT 0 SITEPROP SLICE_X9Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y20 RPM_X 39 SITEPROP SLICE_X9Y20 RPM_Y 40 SITEPROP SLICE_X9Y20 SITE_PIPS SITEPROP SLICE_X9Y20 SITE_TYPE SLICEL SITEPROP SLICE_X9Y21 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y21 CLASS site SITEPROP SLICE_X9Y21 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y21 IS_BONDED 0 SITEPROP SLICE_X9Y21 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y21 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y21 IS_PAD 0 SITEPROP SLICE_X9Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y21 IS_RESERVED 0 SITEPROP SLICE_X9Y21 IS_TEST 0 SITEPROP SLICE_X9Y21 IS_USED 0 SITEPROP SLICE_X9Y21 MANUAL_ROUTING SITEPROP SLICE_X9Y21 NAME SLICE_X9Y21 SITEPROP SLICE_X9Y21 NUM_ARCS 138 SITEPROP SLICE_X9Y21 NUM_BELS 32 SITEPROP SLICE_X9Y21 NUM_INPUTS 32 SITEPROP SLICE_X9Y21 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y21 NUM_PINS 45 SITEPROP SLICE_X9Y21 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y21 PROHIBIT 0 SITEPROP SLICE_X9Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y21 RPM_X 39 SITEPROP SLICE_X9Y21 RPM_Y 42 SITEPROP SLICE_X9Y21 SITE_PIPS SITEPROP SLICE_X9Y21 SITE_TYPE SLICEL SITEPROP SLICE_X9Y22 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y22 CLASS site SITEPROP SLICE_X9Y22 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y22 IS_BONDED 0 SITEPROP SLICE_X9Y22 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y22 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y22 IS_PAD 0 SITEPROP SLICE_X9Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y22 IS_RESERVED 0 SITEPROP SLICE_X9Y22 IS_TEST 0 SITEPROP SLICE_X9Y22 IS_USED 0 SITEPROP SLICE_X9Y22 MANUAL_ROUTING SITEPROP SLICE_X9Y22 NAME SLICE_X9Y22 SITEPROP SLICE_X9Y22 NUM_ARCS 138 SITEPROP SLICE_X9Y22 NUM_BELS 32 SITEPROP SLICE_X9Y22 NUM_INPUTS 32 SITEPROP SLICE_X9Y22 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y22 NUM_PINS 45 SITEPROP SLICE_X9Y22 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y22 PROHIBIT 0 SITEPROP SLICE_X9Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y22 RPM_X 39 SITEPROP SLICE_X9Y22 RPM_Y 44 SITEPROP SLICE_X9Y22 SITE_PIPS SITEPROP SLICE_X9Y22 SITE_TYPE SLICEL SITEPROP SLICE_X9Y23 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y23 CLASS site SITEPROP SLICE_X9Y23 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y23 IS_BONDED 0 SITEPROP SLICE_X9Y23 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y23 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y23 IS_PAD 0 SITEPROP SLICE_X9Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y23 IS_RESERVED 0 SITEPROP SLICE_X9Y23 IS_TEST 0 SITEPROP SLICE_X9Y23 IS_USED 0 SITEPROP SLICE_X9Y23 MANUAL_ROUTING SITEPROP SLICE_X9Y23 NAME SLICE_X9Y23 SITEPROP SLICE_X9Y23 NUM_ARCS 138 SITEPROP SLICE_X9Y23 NUM_BELS 32 SITEPROP SLICE_X9Y23 NUM_INPUTS 32 SITEPROP SLICE_X9Y23 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y23 NUM_PINS 45 SITEPROP SLICE_X9Y23 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y23 PROHIBIT 0 SITEPROP SLICE_X9Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y23 RPM_X 39 SITEPROP SLICE_X9Y23 RPM_Y 46 SITEPROP SLICE_X9Y23 SITE_PIPS SITEPROP SLICE_X9Y23 SITE_TYPE SLICEL SITEPROP SLICE_X9Y24 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y24 CLASS site SITEPROP SLICE_X9Y24 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y24 IS_BONDED 0 SITEPROP SLICE_X9Y24 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y24 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y24 IS_PAD 0 SITEPROP SLICE_X9Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y24 IS_RESERVED 0 SITEPROP SLICE_X9Y24 IS_TEST 0 SITEPROP SLICE_X9Y24 IS_USED 0 SITEPROP SLICE_X9Y24 MANUAL_ROUTING SITEPROP SLICE_X9Y24 NAME SLICE_X9Y24 SITEPROP SLICE_X9Y24 NUM_ARCS 138 SITEPROP SLICE_X9Y24 NUM_BELS 32 SITEPROP SLICE_X9Y24 NUM_INPUTS 32 SITEPROP SLICE_X9Y24 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y24 NUM_PINS 45 SITEPROP SLICE_X9Y24 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y24 PROHIBIT 0 SITEPROP SLICE_X9Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y24 RPM_X 39 SITEPROP SLICE_X9Y24 RPM_Y 48 SITEPROP SLICE_X9Y24 SITE_PIPS SITEPROP SLICE_X9Y24 SITE_TYPE SLICEL SITEPROP SLICE_X9Y25 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y25 CLASS site SITEPROP SLICE_X9Y25 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y25 IS_BONDED 0 SITEPROP SLICE_X9Y25 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y25 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y25 IS_PAD 0 SITEPROP SLICE_X9Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y25 IS_RESERVED 0 SITEPROP SLICE_X9Y25 IS_TEST 0 SITEPROP SLICE_X9Y25 IS_USED 0 SITEPROP SLICE_X9Y25 MANUAL_ROUTING SITEPROP SLICE_X9Y25 NAME SLICE_X9Y25 SITEPROP SLICE_X9Y25 NUM_ARCS 138 SITEPROP SLICE_X9Y25 NUM_BELS 32 SITEPROP SLICE_X9Y25 NUM_INPUTS 32 SITEPROP SLICE_X9Y25 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y25 NUM_PINS 45 SITEPROP SLICE_X9Y25 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y25 PROHIBIT 0 SITEPROP SLICE_X9Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y25 RPM_X 39 SITEPROP SLICE_X9Y25 RPM_Y 50 SITEPROP SLICE_X9Y25 SITE_PIPS SITEPROP SLICE_X9Y25 SITE_TYPE SLICEL SITEPROP SLICE_X9Y26 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y26 CLASS site SITEPROP SLICE_X9Y26 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y26 IS_BONDED 0 SITEPROP SLICE_X9Y26 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y26 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y26 IS_PAD 0 SITEPROP SLICE_X9Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y26 IS_RESERVED 0 SITEPROP SLICE_X9Y26 IS_TEST 0 SITEPROP SLICE_X9Y26 IS_USED 0 SITEPROP SLICE_X9Y26 MANUAL_ROUTING SITEPROP SLICE_X9Y26 NAME SLICE_X9Y26 SITEPROP SLICE_X9Y26 NUM_ARCS 138 SITEPROP SLICE_X9Y26 NUM_BELS 32 SITEPROP SLICE_X9Y26 NUM_INPUTS 32 SITEPROP SLICE_X9Y26 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y26 NUM_PINS 45 SITEPROP SLICE_X9Y26 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y26 PROHIBIT 0 SITEPROP SLICE_X9Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y26 RPM_X 39 SITEPROP SLICE_X9Y26 RPM_Y 52 SITEPROP SLICE_X9Y26 SITE_PIPS SITEPROP SLICE_X9Y26 SITE_TYPE SLICEL SITEPROP SLICE_X9Y27 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y27 CLASS site SITEPROP SLICE_X9Y27 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y27 IS_BONDED 0 SITEPROP SLICE_X9Y27 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y27 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y27 IS_PAD 0 SITEPROP SLICE_X9Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y27 IS_RESERVED 0 SITEPROP SLICE_X9Y27 IS_TEST 0 SITEPROP SLICE_X9Y27 IS_USED 0 SITEPROP SLICE_X9Y27 MANUAL_ROUTING SITEPROP SLICE_X9Y27 NAME SLICE_X9Y27 SITEPROP SLICE_X9Y27 NUM_ARCS 138 SITEPROP SLICE_X9Y27 NUM_BELS 32 SITEPROP SLICE_X9Y27 NUM_INPUTS 32 SITEPROP SLICE_X9Y27 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y27 NUM_PINS 45 SITEPROP SLICE_X9Y27 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y27 PROHIBIT 0 SITEPROP SLICE_X9Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y27 RPM_X 39 SITEPROP SLICE_X9Y27 RPM_Y 54 SITEPROP SLICE_X9Y27 SITE_PIPS SITEPROP SLICE_X9Y27 SITE_TYPE SLICEL SITEPROP SLICE_X9Y28 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y28 CLASS site SITEPROP SLICE_X9Y28 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y28 IS_BONDED 0 SITEPROP SLICE_X9Y28 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y28 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y28 IS_PAD 0 SITEPROP SLICE_X9Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y28 IS_RESERVED 0 SITEPROP SLICE_X9Y28 IS_TEST 0 SITEPROP SLICE_X9Y28 IS_USED 0 SITEPROP SLICE_X9Y28 MANUAL_ROUTING SITEPROP SLICE_X9Y28 NAME SLICE_X9Y28 SITEPROP SLICE_X9Y28 NUM_ARCS 138 SITEPROP SLICE_X9Y28 NUM_BELS 32 SITEPROP SLICE_X9Y28 NUM_INPUTS 32 SITEPROP SLICE_X9Y28 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y28 NUM_PINS 45 SITEPROP SLICE_X9Y28 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y28 PROHIBIT 0 SITEPROP SLICE_X9Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y28 RPM_X 39 SITEPROP SLICE_X9Y28 RPM_Y 56 SITEPROP SLICE_X9Y28 SITE_PIPS SITEPROP SLICE_X9Y28 SITE_TYPE SLICEL SITEPROP SLICE_X9Y29 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y29 CLASS site SITEPROP SLICE_X9Y29 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y29 IS_BONDED 0 SITEPROP SLICE_X9Y29 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y29 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y29 IS_PAD 0 SITEPROP SLICE_X9Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y29 IS_RESERVED 0 SITEPROP SLICE_X9Y29 IS_TEST 0 SITEPROP SLICE_X9Y29 IS_USED 0 SITEPROP SLICE_X9Y29 MANUAL_ROUTING SITEPROP SLICE_X9Y29 NAME SLICE_X9Y29 SITEPROP SLICE_X9Y29 NUM_ARCS 138 SITEPROP SLICE_X9Y29 NUM_BELS 32 SITEPROP SLICE_X9Y29 NUM_INPUTS 32 SITEPROP SLICE_X9Y29 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y29 NUM_PINS 45 SITEPROP SLICE_X9Y29 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y29 PROHIBIT 0 SITEPROP SLICE_X9Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y29 RPM_X 39 SITEPROP SLICE_X9Y29 RPM_Y 58 SITEPROP SLICE_X9Y29 SITE_PIPS SITEPROP SLICE_X9Y29 SITE_TYPE SLICEL SITEPROP SLICE_X9Y30 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y30 CLASS site SITEPROP SLICE_X9Y30 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y30 IS_BONDED 0 SITEPROP SLICE_X9Y30 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y30 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y30 IS_PAD 0 SITEPROP SLICE_X9Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y30 IS_RESERVED 0 SITEPROP SLICE_X9Y30 IS_TEST 0 SITEPROP SLICE_X9Y30 IS_USED 0 SITEPROP SLICE_X9Y30 MANUAL_ROUTING SITEPROP SLICE_X9Y30 NAME SLICE_X9Y30 SITEPROP SLICE_X9Y30 NUM_ARCS 138 SITEPROP SLICE_X9Y30 NUM_BELS 32 SITEPROP SLICE_X9Y30 NUM_INPUTS 32 SITEPROP SLICE_X9Y30 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y30 NUM_PINS 45 SITEPROP SLICE_X9Y30 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y30 PROHIBIT 0 SITEPROP SLICE_X9Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y30 RPM_X 39 SITEPROP SLICE_X9Y30 RPM_Y 60 SITEPROP SLICE_X9Y30 SITE_PIPS SITEPROP SLICE_X9Y30 SITE_TYPE SLICEL SITEPROP SLICE_X9Y31 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y31 CLASS site SITEPROP SLICE_X9Y31 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y31 IS_BONDED 0 SITEPROP SLICE_X9Y31 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y31 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y31 IS_PAD 0 SITEPROP SLICE_X9Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y31 IS_RESERVED 0 SITEPROP SLICE_X9Y31 IS_TEST 0 SITEPROP SLICE_X9Y31 IS_USED 0 SITEPROP SLICE_X9Y31 MANUAL_ROUTING SITEPROP SLICE_X9Y31 NAME SLICE_X9Y31 SITEPROP SLICE_X9Y31 NUM_ARCS 138 SITEPROP SLICE_X9Y31 NUM_BELS 32 SITEPROP SLICE_X9Y31 NUM_INPUTS 32 SITEPROP SLICE_X9Y31 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y31 NUM_PINS 45 SITEPROP SLICE_X9Y31 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y31 PROHIBIT 0 SITEPROP SLICE_X9Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y31 RPM_X 39 SITEPROP SLICE_X9Y31 RPM_Y 62 SITEPROP SLICE_X9Y31 SITE_PIPS SITEPROP SLICE_X9Y31 SITE_TYPE SLICEL SITEPROP SLICE_X9Y32 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y32 CLASS site SITEPROP SLICE_X9Y32 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y32 IS_BONDED 0 SITEPROP SLICE_X9Y32 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y32 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y32 IS_PAD 0 SITEPROP SLICE_X9Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y32 IS_RESERVED 0 SITEPROP SLICE_X9Y32 IS_TEST 0 SITEPROP SLICE_X9Y32 IS_USED 0 SITEPROP SLICE_X9Y32 MANUAL_ROUTING SITEPROP SLICE_X9Y32 NAME SLICE_X9Y32 SITEPROP SLICE_X9Y32 NUM_ARCS 138 SITEPROP SLICE_X9Y32 NUM_BELS 32 SITEPROP SLICE_X9Y32 NUM_INPUTS 32 SITEPROP SLICE_X9Y32 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y32 NUM_PINS 45 SITEPROP SLICE_X9Y32 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y32 PROHIBIT 0 SITEPROP SLICE_X9Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y32 RPM_X 39 SITEPROP SLICE_X9Y32 RPM_Y 64 SITEPROP SLICE_X9Y32 SITE_PIPS SITEPROP SLICE_X9Y32 SITE_TYPE SLICEL SITEPROP SLICE_X9Y33 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y33 CLASS site SITEPROP SLICE_X9Y33 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y33 IS_BONDED 0 SITEPROP SLICE_X9Y33 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y33 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y33 IS_PAD 0 SITEPROP SLICE_X9Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y33 IS_RESERVED 0 SITEPROP SLICE_X9Y33 IS_TEST 0 SITEPROP SLICE_X9Y33 IS_USED 0 SITEPROP SLICE_X9Y33 MANUAL_ROUTING SITEPROP SLICE_X9Y33 NAME SLICE_X9Y33 SITEPROP SLICE_X9Y33 NUM_ARCS 138 SITEPROP SLICE_X9Y33 NUM_BELS 32 SITEPROP SLICE_X9Y33 NUM_INPUTS 32 SITEPROP SLICE_X9Y33 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y33 NUM_PINS 45 SITEPROP SLICE_X9Y33 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y33 PROHIBIT 0 SITEPROP SLICE_X9Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y33 RPM_X 39 SITEPROP SLICE_X9Y33 RPM_Y 66 SITEPROP SLICE_X9Y33 SITE_PIPS SITEPROP SLICE_X9Y33 SITE_TYPE SLICEL SITEPROP SLICE_X9Y34 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y34 CLASS site SITEPROP SLICE_X9Y34 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y34 IS_BONDED 0 SITEPROP SLICE_X9Y34 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y34 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y34 IS_PAD 0 SITEPROP SLICE_X9Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y34 IS_RESERVED 0 SITEPROP SLICE_X9Y34 IS_TEST 0 SITEPROP SLICE_X9Y34 IS_USED 0 SITEPROP SLICE_X9Y34 MANUAL_ROUTING SITEPROP SLICE_X9Y34 NAME SLICE_X9Y34 SITEPROP SLICE_X9Y34 NUM_ARCS 138 SITEPROP SLICE_X9Y34 NUM_BELS 32 SITEPROP SLICE_X9Y34 NUM_INPUTS 32 SITEPROP SLICE_X9Y34 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y34 NUM_PINS 45 SITEPROP SLICE_X9Y34 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y34 PROHIBIT 0 SITEPROP SLICE_X9Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y34 RPM_X 39 SITEPROP SLICE_X9Y34 RPM_Y 68 SITEPROP SLICE_X9Y34 SITE_PIPS SITEPROP SLICE_X9Y34 SITE_TYPE SLICEL SITEPROP SLICE_X9Y35 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y35 CLASS site SITEPROP SLICE_X9Y35 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y35 IS_BONDED 0 SITEPROP SLICE_X9Y35 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y35 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y35 IS_PAD 0 SITEPROP SLICE_X9Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y35 IS_RESERVED 0 SITEPROP SLICE_X9Y35 IS_TEST 0 SITEPROP SLICE_X9Y35 IS_USED 0 SITEPROP SLICE_X9Y35 MANUAL_ROUTING SITEPROP SLICE_X9Y35 NAME SLICE_X9Y35 SITEPROP SLICE_X9Y35 NUM_ARCS 138 SITEPROP SLICE_X9Y35 NUM_BELS 32 SITEPROP SLICE_X9Y35 NUM_INPUTS 32 SITEPROP SLICE_X9Y35 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y35 NUM_PINS 45 SITEPROP SLICE_X9Y35 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y35 PROHIBIT 0 SITEPROP SLICE_X9Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y35 RPM_X 39 SITEPROP SLICE_X9Y35 RPM_Y 70 SITEPROP SLICE_X9Y35 SITE_PIPS SITEPROP SLICE_X9Y35 SITE_TYPE SLICEL SITEPROP SLICE_X9Y36 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y36 CLASS site SITEPROP SLICE_X9Y36 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y36 IS_BONDED 0 SITEPROP SLICE_X9Y36 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y36 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y36 IS_PAD 0 SITEPROP SLICE_X9Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y36 IS_RESERVED 0 SITEPROP SLICE_X9Y36 IS_TEST 0 SITEPROP SLICE_X9Y36 IS_USED 0 SITEPROP SLICE_X9Y36 MANUAL_ROUTING SITEPROP SLICE_X9Y36 NAME SLICE_X9Y36 SITEPROP SLICE_X9Y36 NUM_ARCS 138 SITEPROP SLICE_X9Y36 NUM_BELS 32 SITEPROP SLICE_X9Y36 NUM_INPUTS 32 SITEPROP SLICE_X9Y36 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y36 NUM_PINS 45 SITEPROP SLICE_X9Y36 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y36 PROHIBIT 0 SITEPROP SLICE_X9Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y36 RPM_X 39 SITEPROP SLICE_X9Y36 RPM_Y 72 SITEPROP SLICE_X9Y36 SITE_PIPS SITEPROP SLICE_X9Y36 SITE_TYPE SLICEL SITEPROP SLICE_X9Y37 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y37 CLASS site SITEPROP SLICE_X9Y37 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y37 IS_BONDED 0 SITEPROP SLICE_X9Y37 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y37 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y37 IS_PAD 0 SITEPROP SLICE_X9Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y37 IS_RESERVED 0 SITEPROP SLICE_X9Y37 IS_TEST 0 SITEPROP SLICE_X9Y37 IS_USED 0 SITEPROP SLICE_X9Y37 MANUAL_ROUTING SITEPROP SLICE_X9Y37 NAME SLICE_X9Y37 SITEPROP SLICE_X9Y37 NUM_ARCS 138 SITEPROP SLICE_X9Y37 NUM_BELS 32 SITEPROP SLICE_X9Y37 NUM_INPUTS 32 SITEPROP SLICE_X9Y37 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y37 NUM_PINS 45 SITEPROP SLICE_X9Y37 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y37 PROHIBIT 0 SITEPROP SLICE_X9Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y37 RPM_X 39 SITEPROP SLICE_X9Y37 RPM_Y 74 SITEPROP SLICE_X9Y37 SITE_PIPS SITEPROP SLICE_X9Y37 SITE_TYPE SLICEL SITEPROP SLICE_X9Y38 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y38 CLASS site SITEPROP SLICE_X9Y38 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y38 IS_BONDED 0 SITEPROP SLICE_X9Y38 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y38 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y38 IS_PAD 0 SITEPROP SLICE_X9Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y38 IS_RESERVED 0 SITEPROP SLICE_X9Y38 IS_TEST 0 SITEPROP SLICE_X9Y38 IS_USED 0 SITEPROP SLICE_X9Y38 MANUAL_ROUTING SITEPROP SLICE_X9Y38 NAME SLICE_X9Y38 SITEPROP SLICE_X9Y38 NUM_ARCS 138 SITEPROP SLICE_X9Y38 NUM_BELS 32 SITEPROP SLICE_X9Y38 NUM_INPUTS 32 SITEPROP SLICE_X9Y38 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y38 NUM_PINS 45 SITEPROP SLICE_X9Y38 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y38 PROHIBIT 0 SITEPROP SLICE_X9Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y38 RPM_X 39 SITEPROP SLICE_X9Y38 RPM_Y 76 SITEPROP SLICE_X9Y38 SITE_PIPS SITEPROP SLICE_X9Y38 SITE_TYPE SLICEL SITEPROP SLICE_X9Y39 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y39 CLASS site SITEPROP SLICE_X9Y39 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y39 IS_BONDED 0 SITEPROP SLICE_X9Y39 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y39 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y39 IS_PAD 0 SITEPROP SLICE_X9Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y39 IS_RESERVED 0 SITEPROP SLICE_X9Y39 IS_TEST 0 SITEPROP SLICE_X9Y39 IS_USED 0 SITEPROP SLICE_X9Y39 MANUAL_ROUTING SITEPROP SLICE_X9Y39 NAME SLICE_X9Y39 SITEPROP SLICE_X9Y39 NUM_ARCS 138 SITEPROP SLICE_X9Y39 NUM_BELS 32 SITEPROP SLICE_X9Y39 NUM_INPUTS 32 SITEPROP SLICE_X9Y39 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y39 NUM_PINS 45 SITEPROP SLICE_X9Y39 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y39 PROHIBIT 0 SITEPROP SLICE_X9Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y39 RPM_X 39 SITEPROP SLICE_X9Y39 RPM_Y 78 SITEPROP SLICE_X9Y39 SITE_PIPS SITEPROP SLICE_X9Y39 SITE_TYPE SLICEL SITEPROP SLICE_X9Y40 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y40 CLASS site SITEPROP SLICE_X9Y40 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y40 IS_BONDED 0 SITEPROP SLICE_X9Y40 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y40 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y40 IS_PAD 0 SITEPROP SLICE_X9Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y40 IS_RESERVED 0 SITEPROP SLICE_X9Y40 IS_TEST 0 SITEPROP SLICE_X9Y40 IS_USED 0 SITEPROP SLICE_X9Y40 MANUAL_ROUTING SITEPROP SLICE_X9Y40 NAME SLICE_X9Y40 SITEPROP SLICE_X9Y40 NUM_ARCS 138 SITEPROP SLICE_X9Y40 NUM_BELS 32 SITEPROP SLICE_X9Y40 NUM_INPUTS 32 SITEPROP SLICE_X9Y40 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y40 NUM_PINS 45 SITEPROP SLICE_X9Y40 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y40 PROHIBIT 0 SITEPROP SLICE_X9Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y40 RPM_X 39 SITEPROP SLICE_X9Y40 RPM_Y 80 SITEPROP SLICE_X9Y40 SITE_PIPS SITEPROP SLICE_X9Y40 SITE_TYPE SLICEL SITEPROP SLICE_X9Y41 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y41 CLASS site SITEPROP SLICE_X9Y41 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y41 IS_BONDED 0 SITEPROP SLICE_X9Y41 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y41 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y41 IS_PAD 0 SITEPROP SLICE_X9Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y41 IS_RESERVED 0 SITEPROP SLICE_X9Y41 IS_TEST 0 SITEPROP SLICE_X9Y41 IS_USED 0 SITEPROP SLICE_X9Y41 MANUAL_ROUTING SITEPROP SLICE_X9Y41 NAME SLICE_X9Y41 SITEPROP SLICE_X9Y41 NUM_ARCS 138 SITEPROP SLICE_X9Y41 NUM_BELS 32 SITEPROP SLICE_X9Y41 NUM_INPUTS 32 SITEPROP SLICE_X9Y41 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y41 NUM_PINS 45 SITEPROP SLICE_X9Y41 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y41 PROHIBIT 0 SITEPROP SLICE_X9Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y41 RPM_X 39 SITEPROP SLICE_X9Y41 RPM_Y 82 SITEPROP SLICE_X9Y41 SITE_PIPS SITEPROP SLICE_X9Y41 SITE_TYPE SLICEL SITEPROP SLICE_X9Y42 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y42 CLASS site SITEPROP SLICE_X9Y42 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y42 IS_BONDED 0 SITEPROP SLICE_X9Y42 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y42 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y42 IS_PAD 0 SITEPROP SLICE_X9Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y42 IS_RESERVED 0 SITEPROP SLICE_X9Y42 IS_TEST 0 SITEPROP SLICE_X9Y42 IS_USED 0 SITEPROP SLICE_X9Y42 MANUAL_ROUTING SITEPROP SLICE_X9Y42 NAME SLICE_X9Y42 SITEPROP SLICE_X9Y42 NUM_ARCS 138 SITEPROP SLICE_X9Y42 NUM_BELS 32 SITEPROP SLICE_X9Y42 NUM_INPUTS 32 SITEPROP SLICE_X9Y42 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y42 NUM_PINS 45 SITEPROP SLICE_X9Y42 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y42 PROHIBIT 0 SITEPROP SLICE_X9Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y42 RPM_X 39 SITEPROP SLICE_X9Y42 RPM_Y 84 SITEPROP SLICE_X9Y42 SITE_PIPS SITEPROP SLICE_X9Y42 SITE_TYPE SLICEL SITEPROP SLICE_X9Y43 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y43 CLASS site SITEPROP SLICE_X9Y43 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y43 IS_BONDED 0 SITEPROP SLICE_X9Y43 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y43 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y43 IS_PAD 0 SITEPROP SLICE_X9Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y43 IS_RESERVED 0 SITEPROP SLICE_X9Y43 IS_TEST 0 SITEPROP SLICE_X9Y43 IS_USED 0 SITEPROP SLICE_X9Y43 MANUAL_ROUTING SITEPROP SLICE_X9Y43 NAME SLICE_X9Y43 SITEPROP SLICE_X9Y43 NUM_ARCS 138 SITEPROP SLICE_X9Y43 NUM_BELS 32 SITEPROP SLICE_X9Y43 NUM_INPUTS 32 SITEPROP SLICE_X9Y43 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y43 NUM_PINS 45 SITEPROP SLICE_X9Y43 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y43 PROHIBIT 0 SITEPROP SLICE_X9Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y43 RPM_X 39 SITEPROP SLICE_X9Y43 RPM_Y 86 SITEPROP SLICE_X9Y43 SITE_PIPS SITEPROP SLICE_X9Y43 SITE_TYPE SLICEL SITEPROP SLICE_X9Y44 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y44 CLASS site SITEPROP SLICE_X9Y44 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y44 IS_BONDED 0 SITEPROP SLICE_X9Y44 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y44 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y44 IS_PAD 0 SITEPROP SLICE_X9Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y44 IS_RESERVED 0 SITEPROP SLICE_X9Y44 IS_TEST 0 SITEPROP SLICE_X9Y44 IS_USED 0 SITEPROP SLICE_X9Y44 MANUAL_ROUTING SITEPROP SLICE_X9Y44 NAME SLICE_X9Y44 SITEPROP SLICE_X9Y44 NUM_ARCS 138 SITEPROP SLICE_X9Y44 NUM_BELS 32 SITEPROP SLICE_X9Y44 NUM_INPUTS 32 SITEPROP SLICE_X9Y44 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y44 NUM_PINS 45 SITEPROP SLICE_X9Y44 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y44 PROHIBIT 0 SITEPROP SLICE_X9Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y44 RPM_X 39 SITEPROP SLICE_X9Y44 RPM_Y 88 SITEPROP SLICE_X9Y44 SITE_PIPS SITEPROP SLICE_X9Y44 SITE_TYPE SLICEL SITEPROP SLICE_X9Y45 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y45 CLASS site SITEPROP SLICE_X9Y45 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y45 IS_BONDED 0 SITEPROP SLICE_X9Y45 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y45 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y45 IS_PAD 0 SITEPROP SLICE_X9Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y45 IS_RESERVED 0 SITEPROP SLICE_X9Y45 IS_TEST 0 SITEPROP SLICE_X9Y45 IS_USED 0 SITEPROP SLICE_X9Y45 MANUAL_ROUTING SITEPROP SLICE_X9Y45 NAME SLICE_X9Y45 SITEPROP SLICE_X9Y45 NUM_ARCS 138 SITEPROP SLICE_X9Y45 NUM_BELS 32 SITEPROP SLICE_X9Y45 NUM_INPUTS 32 SITEPROP SLICE_X9Y45 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y45 NUM_PINS 45 SITEPROP SLICE_X9Y45 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y45 PROHIBIT 0 SITEPROP SLICE_X9Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y45 RPM_X 39 SITEPROP SLICE_X9Y45 RPM_Y 90 SITEPROP SLICE_X9Y45 SITE_PIPS SITEPROP SLICE_X9Y45 SITE_TYPE SLICEL SITEPROP SLICE_X9Y46 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y46 CLASS site SITEPROP SLICE_X9Y46 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y46 IS_BONDED 0 SITEPROP SLICE_X9Y46 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y46 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y46 IS_PAD 0 SITEPROP SLICE_X9Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y46 IS_RESERVED 0 SITEPROP SLICE_X9Y46 IS_TEST 0 SITEPROP SLICE_X9Y46 IS_USED 0 SITEPROP SLICE_X9Y46 MANUAL_ROUTING SITEPROP SLICE_X9Y46 NAME SLICE_X9Y46 SITEPROP SLICE_X9Y46 NUM_ARCS 138 SITEPROP SLICE_X9Y46 NUM_BELS 32 SITEPROP SLICE_X9Y46 NUM_INPUTS 32 SITEPROP SLICE_X9Y46 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y46 NUM_PINS 45 SITEPROP SLICE_X9Y46 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y46 PROHIBIT 0 SITEPROP SLICE_X9Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y46 RPM_X 39 SITEPROP SLICE_X9Y46 RPM_Y 92 SITEPROP SLICE_X9Y46 SITE_PIPS SITEPROP SLICE_X9Y46 SITE_TYPE SLICEL SITEPROP SLICE_X9Y47 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y47 CLASS site SITEPROP SLICE_X9Y47 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y47 IS_BONDED 0 SITEPROP SLICE_X9Y47 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y47 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y47 IS_PAD 0 SITEPROP SLICE_X9Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y47 IS_RESERVED 0 SITEPROP SLICE_X9Y47 IS_TEST 0 SITEPROP SLICE_X9Y47 IS_USED 0 SITEPROP SLICE_X9Y47 MANUAL_ROUTING SITEPROP SLICE_X9Y47 NAME SLICE_X9Y47 SITEPROP SLICE_X9Y47 NUM_ARCS 138 SITEPROP SLICE_X9Y47 NUM_BELS 32 SITEPROP SLICE_X9Y47 NUM_INPUTS 32 SITEPROP SLICE_X9Y47 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y47 NUM_PINS 45 SITEPROP SLICE_X9Y47 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y47 PROHIBIT 0 SITEPROP SLICE_X9Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y47 RPM_X 39 SITEPROP SLICE_X9Y47 RPM_Y 94 SITEPROP SLICE_X9Y47 SITE_PIPS SITEPROP SLICE_X9Y47 SITE_TYPE SLICEL SITEPROP SLICE_X9Y48 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y48 CLASS site SITEPROP SLICE_X9Y48 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y48 IS_BONDED 0 SITEPROP SLICE_X9Y48 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y48 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y48 IS_PAD 0 SITEPROP SLICE_X9Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y48 IS_RESERVED 0 SITEPROP SLICE_X9Y48 IS_TEST 0 SITEPROP SLICE_X9Y48 IS_USED 0 SITEPROP SLICE_X9Y48 MANUAL_ROUTING SITEPROP SLICE_X9Y48 NAME SLICE_X9Y48 SITEPROP SLICE_X9Y48 NUM_ARCS 138 SITEPROP SLICE_X9Y48 NUM_BELS 32 SITEPROP SLICE_X9Y48 NUM_INPUTS 32 SITEPROP SLICE_X9Y48 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y48 NUM_PINS 45 SITEPROP SLICE_X9Y48 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y48 PROHIBIT 0 SITEPROP SLICE_X9Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y48 RPM_X 39 SITEPROP SLICE_X9Y48 RPM_Y 96 SITEPROP SLICE_X9Y48 SITE_PIPS SITEPROP SLICE_X9Y48 SITE_TYPE SLICEL SITEPROP SLICE_X9Y49 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y49 CLASS site SITEPROP SLICE_X9Y49 CLOCK_REGION X0Y0 SITEPROP SLICE_X9Y49 IS_BONDED 0 SITEPROP SLICE_X9Y49 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y49 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y49 IS_PAD 0 SITEPROP SLICE_X9Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y49 IS_RESERVED 0 SITEPROP SLICE_X9Y49 IS_TEST 0 SITEPROP SLICE_X9Y49 IS_USED 0 SITEPROP SLICE_X9Y49 MANUAL_ROUTING SITEPROP SLICE_X9Y49 NAME SLICE_X9Y49 SITEPROP SLICE_X9Y49 NUM_ARCS 138 SITEPROP SLICE_X9Y49 NUM_BELS 32 SITEPROP SLICE_X9Y49 NUM_INPUTS 32 SITEPROP SLICE_X9Y49 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y49 NUM_PINS 45 SITEPROP SLICE_X9Y49 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y49 PROHIBIT 0 SITEPROP SLICE_X9Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y49 RPM_X 39 SITEPROP SLICE_X9Y49 RPM_Y 98 SITEPROP SLICE_X9Y49 SITE_PIPS SITEPROP SLICE_X9Y49 SITE_TYPE SLICEL SITEPROP SLICE_X9Y50 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y50 CLASS site SITEPROP SLICE_X9Y50 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y50 IS_BONDED 0 SITEPROP SLICE_X9Y50 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y50 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y50 IS_PAD 0 SITEPROP SLICE_X9Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y50 IS_RESERVED 0 SITEPROP SLICE_X9Y50 IS_TEST 0 SITEPROP SLICE_X9Y50 IS_USED 0 SITEPROP SLICE_X9Y50 MANUAL_ROUTING SITEPROP SLICE_X9Y50 NAME SLICE_X9Y50 SITEPROP SLICE_X9Y50 NUM_ARCS 138 SITEPROP SLICE_X9Y50 NUM_BELS 32 SITEPROP SLICE_X9Y50 NUM_INPUTS 32 SITEPROP SLICE_X9Y50 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y50 NUM_PINS 45 SITEPROP SLICE_X9Y50 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y50 PROHIBIT 0 SITEPROP SLICE_X9Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y50 RPM_X 39 SITEPROP SLICE_X9Y50 RPM_Y 100 SITEPROP SLICE_X9Y50 SITE_PIPS SITEPROP SLICE_X9Y50 SITE_TYPE SLICEL SITEPROP SLICE_X9Y51 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y51 CLASS site SITEPROP SLICE_X9Y51 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y51 IS_BONDED 0 SITEPROP SLICE_X9Y51 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y51 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y51 IS_PAD 0 SITEPROP SLICE_X9Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y51 IS_RESERVED 0 SITEPROP SLICE_X9Y51 IS_TEST 0 SITEPROP SLICE_X9Y51 IS_USED 0 SITEPROP SLICE_X9Y51 MANUAL_ROUTING SITEPROP SLICE_X9Y51 NAME SLICE_X9Y51 SITEPROP SLICE_X9Y51 NUM_ARCS 138 SITEPROP SLICE_X9Y51 NUM_BELS 32 SITEPROP SLICE_X9Y51 NUM_INPUTS 32 SITEPROP SLICE_X9Y51 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y51 NUM_PINS 45 SITEPROP SLICE_X9Y51 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y51 PROHIBIT 0 SITEPROP SLICE_X9Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y51 RPM_X 39 SITEPROP SLICE_X9Y51 RPM_Y 102 SITEPROP SLICE_X9Y51 SITE_PIPS SITEPROP SLICE_X9Y51 SITE_TYPE SLICEL SITEPROP SLICE_X9Y52 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y52 CLASS site SITEPROP SLICE_X9Y52 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y52 IS_BONDED 0 SITEPROP SLICE_X9Y52 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y52 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y52 IS_PAD 0 SITEPROP SLICE_X9Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y52 IS_RESERVED 0 SITEPROP SLICE_X9Y52 IS_TEST 0 SITEPROP SLICE_X9Y52 IS_USED 0 SITEPROP SLICE_X9Y52 MANUAL_ROUTING SITEPROP SLICE_X9Y52 NAME SLICE_X9Y52 SITEPROP SLICE_X9Y52 NUM_ARCS 138 SITEPROP SLICE_X9Y52 NUM_BELS 32 SITEPROP SLICE_X9Y52 NUM_INPUTS 32 SITEPROP SLICE_X9Y52 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y52 NUM_PINS 45 SITEPROP SLICE_X9Y52 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y52 PROHIBIT 0 SITEPROP SLICE_X9Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y52 RPM_X 39 SITEPROP SLICE_X9Y52 RPM_Y 104 SITEPROP SLICE_X9Y52 SITE_PIPS SITEPROP SLICE_X9Y52 SITE_TYPE SLICEL SITEPROP SLICE_X9Y53 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y53 CLASS site SITEPROP SLICE_X9Y53 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y53 IS_BONDED 0 SITEPROP SLICE_X9Y53 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y53 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y53 IS_PAD 0 SITEPROP SLICE_X9Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y53 IS_RESERVED 0 SITEPROP SLICE_X9Y53 IS_TEST 0 SITEPROP SLICE_X9Y53 IS_USED 0 SITEPROP SLICE_X9Y53 MANUAL_ROUTING SITEPROP SLICE_X9Y53 NAME SLICE_X9Y53 SITEPROP SLICE_X9Y53 NUM_ARCS 138 SITEPROP SLICE_X9Y53 NUM_BELS 32 SITEPROP SLICE_X9Y53 NUM_INPUTS 32 SITEPROP SLICE_X9Y53 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y53 NUM_PINS 45 SITEPROP SLICE_X9Y53 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y53 PROHIBIT 0 SITEPROP SLICE_X9Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y53 RPM_X 39 SITEPROP SLICE_X9Y53 RPM_Y 106 SITEPROP SLICE_X9Y53 SITE_PIPS SITEPROP SLICE_X9Y53 SITE_TYPE SLICEL SITEPROP SLICE_X9Y54 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y54 CLASS site SITEPROP SLICE_X9Y54 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y54 IS_BONDED 0 SITEPROP SLICE_X9Y54 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y54 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y54 IS_PAD 0 SITEPROP SLICE_X9Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y54 IS_RESERVED 0 SITEPROP SLICE_X9Y54 IS_TEST 0 SITEPROP SLICE_X9Y54 IS_USED 0 SITEPROP SLICE_X9Y54 MANUAL_ROUTING SITEPROP SLICE_X9Y54 NAME SLICE_X9Y54 SITEPROP SLICE_X9Y54 NUM_ARCS 138 SITEPROP SLICE_X9Y54 NUM_BELS 32 SITEPROP SLICE_X9Y54 NUM_INPUTS 32 SITEPROP SLICE_X9Y54 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y54 NUM_PINS 45 SITEPROP SLICE_X9Y54 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y54 PROHIBIT 0 SITEPROP SLICE_X9Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y54 RPM_X 39 SITEPROP SLICE_X9Y54 RPM_Y 108 SITEPROP SLICE_X9Y54 SITE_PIPS SITEPROP SLICE_X9Y54 SITE_TYPE SLICEL SITEPROP SLICE_X9Y55 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y55 CLASS site SITEPROP SLICE_X9Y55 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y55 IS_BONDED 0 SITEPROP SLICE_X9Y55 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y55 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y55 IS_PAD 0 SITEPROP SLICE_X9Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y55 IS_RESERVED 0 SITEPROP SLICE_X9Y55 IS_TEST 0 SITEPROP SLICE_X9Y55 IS_USED 0 SITEPROP SLICE_X9Y55 MANUAL_ROUTING SITEPROP SLICE_X9Y55 NAME SLICE_X9Y55 SITEPROP SLICE_X9Y55 NUM_ARCS 138 SITEPROP SLICE_X9Y55 NUM_BELS 32 SITEPROP SLICE_X9Y55 NUM_INPUTS 32 SITEPROP SLICE_X9Y55 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y55 NUM_PINS 45 SITEPROP SLICE_X9Y55 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y55 PROHIBIT 0 SITEPROP SLICE_X9Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y55 RPM_X 39 SITEPROP SLICE_X9Y55 RPM_Y 110 SITEPROP SLICE_X9Y55 SITE_PIPS SITEPROP SLICE_X9Y55 SITE_TYPE SLICEL SITEPROP SLICE_X9Y56 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y56 CLASS site SITEPROP SLICE_X9Y56 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y56 IS_BONDED 0 SITEPROP SLICE_X9Y56 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y56 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y56 IS_PAD 0 SITEPROP SLICE_X9Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y56 IS_RESERVED 0 SITEPROP SLICE_X9Y56 IS_TEST 0 SITEPROP SLICE_X9Y56 IS_USED 0 SITEPROP SLICE_X9Y56 MANUAL_ROUTING SITEPROP SLICE_X9Y56 NAME SLICE_X9Y56 SITEPROP SLICE_X9Y56 NUM_ARCS 138 SITEPROP SLICE_X9Y56 NUM_BELS 32 SITEPROP SLICE_X9Y56 NUM_INPUTS 32 SITEPROP SLICE_X9Y56 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y56 NUM_PINS 45 SITEPROP SLICE_X9Y56 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y56 PROHIBIT 0 SITEPROP SLICE_X9Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y56 RPM_X 39 SITEPROP SLICE_X9Y56 RPM_Y 112 SITEPROP SLICE_X9Y56 SITE_PIPS SITEPROP SLICE_X9Y56 SITE_TYPE SLICEL SITEPROP SLICE_X9Y57 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y57 CLASS site SITEPROP SLICE_X9Y57 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y57 IS_BONDED 0 SITEPROP SLICE_X9Y57 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y57 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y57 IS_PAD 0 SITEPROP SLICE_X9Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y57 IS_RESERVED 0 SITEPROP SLICE_X9Y57 IS_TEST 0 SITEPROP SLICE_X9Y57 IS_USED 0 SITEPROP SLICE_X9Y57 MANUAL_ROUTING SITEPROP SLICE_X9Y57 NAME SLICE_X9Y57 SITEPROP SLICE_X9Y57 NUM_ARCS 138 SITEPROP SLICE_X9Y57 NUM_BELS 32 SITEPROP SLICE_X9Y57 NUM_INPUTS 32 SITEPROP SLICE_X9Y57 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y57 NUM_PINS 45 SITEPROP SLICE_X9Y57 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y57 PROHIBIT 0 SITEPROP SLICE_X9Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y57 RPM_X 39 SITEPROP SLICE_X9Y57 RPM_Y 114 SITEPROP SLICE_X9Y57 SITE_PIPS SITEPROP SLICE_X9Y57 SITE_TYPE SLICEL SITEPROP SLICE_X9Y58 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y58 CLASS site SITEPROP SLICE_X9Y58 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y58 IS_BONDED 0 SITEPROP SLICE_X9Y58 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y58 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y58 IS_PAD 0 SITEPROP SLICE_X9Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y58 IS_RESERVED 0 SITEPROP SLICE_X9Y58 IS_TEST 0 SITEPROP SLICE_X9Y58 IS_USED 0 SITEPROP SLICE_X9Y58 MANUAL_ROUTING SITEPROP SLICE_X9Y58 NAME SLICE_X9Y58 SITEPROP SLICE_X9Y58 NUM_ARCS 138 SITEPROP SLICE_X9Y58 NUM_BELS 32 SITEPROP SLICE_X9Y58 NUM_INPUTS 32 SITEPROP SLICE_X9Y58 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y58 NUM_PINS 45 SITEPROP SLICE_X9Y58 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y58 PROHIBIT 0 SITEPROP SLICE_X9Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y58 RPM_X 39 SITEPROP SLICE_X9Y58 RPM_Y 116 SITEPROP SLICE_X9Y58 SITE_PIPS SITEPROP SLICE_X9Y58 SITE_TYPE SLICEL SITEPROP SLICE_X9Y59 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y59 CLASS site SITEPROP SLICE_X9Y59 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y59 IS_BONDED 0 SITEPROP SLICE_X9Y59 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y59 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y59 IS_PAD 0 SITEPROP SLICE_X9Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y59 IS_RESERVED 0 SITEPROP SLICE_X9Y59 IS_TEST 0 SITEPROP SLICE_X9Y59 IS_USED 0 SITEPROP SLICE_X9Y59 MANUAL_ROUTING SITEPROP SLICE_X9Y59 NAME SLICE_X9Y59 SITEPROP SLICE_X9Y59 NUM_ARCS 138 SITEPROP SLICE_X9Y59 NUM_BELS 32 SITEPROP SLICE_X9Y59 NUM_INPUTS 32 SITEPROP SLICE_X9Y59 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y59 NUM_PINS 45 SITEPROP SLICE_X9Y59 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y59 PROHIBIT 0 SITEPROP SLICE_X9Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y59 RPM_X 39 SITEPROP SLICE_X9Y59 RPM_Y 118 SITEPROP SLICE_X9Y59 SITE_PIPS SITEPROP SLICE_X9Y59 SITE_TYPE SLICEL SITEPROP SLICE_X9Y60 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y60 CLASS site SITEPROP SLICE_X9Y60 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y60 IS_BONDED 0 SITEPROP SLICE_X9Y60 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y60 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y60 IS_PAD 0 SITEPROP SLICE_X9Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y60 IS_RESERVED 0 SITEPROP SLICE_X9Y60 IS_TEST 0 SITEPROP SLICE_X9Y60 IS_USED 0 SITEPROP SLICE_X9Y60 MANUAL_ROUTING SITEPROP SLICE_X9Y60 NAME SLICE_X9Y60 SITEPROP SLICE_X9Y60 NUM_ARCS 138 SITEPROP SLICE_X9Y60 NUM_BELS 32 SITEPROP SLICE_X9Y60 NUM_INPUTS 32 SITEPROP SLICE_X9Y60 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y60 NUM_PINS 45 SITEPROP SLICE_X9Y60 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y60 PROHIBIT 0 SITEPROP SLICE_X9Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y60 RPM_X 39 SITEPROP SLICE_X9Y60 RPM_Y 120 SITEPROP SLICE_X9Y60 SITE_PIPS SITEPROP SLICE_X9Y60 SITE_TYPE SLICEL SITEPROP SLICE_X9Y61 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y61 CLASS site SITEPROP SLICE_X9Y61 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y61 IS_BONDED 0 SITEPROP SLICE_X9Y61 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y61 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y61 IS_PAD 0 SITEPROP SLICE_X9Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y61 IS_RESERVED 0 SITEPROP SLICE_X9Y61 IS_TEST 0 SITEPROP SLICE_X9Y61 IS_USED 0 SITEPROP SLICE_X9Y61 MANUAL_ROUTING SITEPROP SLICE_X9Y61 NAME SLICE_X9Y61 SITEPROP SLICE_X9Y61 NUM_ARCS 138 SITEPROP SLICE_X9Y61 NUM_BELS 32 SITEPROP SLICE_X9Y61 NUM_INPUTS 32 SITEPROP SLICE_X9Y61 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y61 NUM_PINS 45 SITEPROP SLICE_X9Y61 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y61 PROHIBIT 0 SITEPROP SLICE_X9Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y61 RPM_X 39 SITEPROP SLICE_X9Y61 RPM_Y 122 SITEPROP SLICE_X9Y61 SITE_PIPS SITEPROP SLICE_X9Y61 SITE_TYPE SLICEL SITEPROP SLICE_X9Y62 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y62 CLASS site SITEPROP SLICE_X9Y62 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y62 IS_BONDED 0 SITEPROP SLICE_X9Y62 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y62 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y62 IS_PAD 0 SITEPROP SLICE_X9Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y62 IS_RESERVED 0 SITEPROP SLICE_X9Y62 IS_TEST 0 SITEPROP SLICE_X9Y62 IS_USED 0 SITEPROP SLICE_X9Y62 MANUAL_ROUTING SITEPROP SLICE_X9Y62 NAME SLICE_X9Y62 SITEPROP SLICE_X9Y62 NUM_ARCS 138 SITEPROP SLICE_X9Y62 NUM_BELS 32 SITEPROP SLICE_X9Y62 NUM_INPUTS 32 SITEPROP SLICE_X9Y62 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y62 NUM_PINS 45 SITEPROP SLICE_X9Y62 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y62 PROHIBIT 0 SITEPROP SLICE_X9Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y62 RPM_X 39 SITEPROP SLICE_X9Y62 RPM_Y 124 SITEPROP SLICE_X9Y62 SITE_PIPS SITEPROP SLICE_X9Y62 SITE_TYPE SLICEL SITEPROP SLICE_X9Y63 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y63 CLASS site SITEPROP SLICE_X9Y63 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y63 IS_BONDED 0 SITEPROP SLICE_X9Y63 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y63 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y63 IS_PAD 0 SITEPROP SLICE_X9Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y63 IS_RESERVED 0 SITEPROP SLICE_X9Y63 IS_TEST 0 SITEPROP SLICE_X9Y63 IS_USED 0 SITEPROP SLICE_X9Y63 MANUAL_ROUTING SITEPROP SLICE_X9Y63 NAME SLICE_X9Y63 SITEPROP SLICE_X9Y63 NUM_ARCS 138 SITEPROP SLICE_X9Y63 NUM_BELS 32 SITEPROP SLICE_X9Y63 NUM_INPUTS 32 SITEPROP SLICE_X9Y63 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y63 NUM_PINS 45 SITEPROP SLICE_X9Y63 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y63 PROHIBIT 0 SITEPROP SLICE_X9Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y63 RPM_X 39 SITEPROP SLICE_X9Y63 RPM_Y 126 SITEPROP SLICE_X9Y63 SITE_PIPS SITEPROP SLICE_X9Y63 SITE_TYPE SLICEL SITEPROP SLICE_X9Y64 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y64 CLASS site SITEPROP SLICE_X9Y64 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y64 IS_BONDED 0 SITEPROP SLICE_X9Y64 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y64 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y64 IS_PAD 0 SITEPROP SLICE_X9Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y64 IS_RESERVED 0 SITEPROP SLICE_X9Y64 IS_TEST 0 SITEPROP SLICE_X9Y64 IS_USED 0 SITEPROP SLICE_X9Y64 MANUAL_ROUTING SITEPROP SLICE_X9Y64 NAME SLICE_X9Y64 SITEPROP SLICE_X9Y64 NUM_ARCS 138 SITEPROP SLICE_X9Y64 NUM_BELS 32 SITEPROP SLICE_X9Y64 NUM_INPUTS 32 SITEPROP SLICE_X9Y64 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y64 NUM_PINS 45 SITEPROP SLICE_X9Y64 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y64 PROHIBIT 0 SITEPROP SLICE_X9Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y64 RPM_X 39 SITEPROP SLICE_X9Y64 RPM_Y 128 SITEPROP SLICE_X9Y64 SITE_PIPS SITEPROP SLICE_X9Y64 SITE_TYPE SLICEL SITEPROP SLICE_X9Y65 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y65 CLASS site SITEPROP SLICE_X9Y65 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y65 IS_BONDED 0 SITEPROP SLICE_X9Y65 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y65 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y65 IS_PAD 0 SITEPROP SLICE_X9Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y65 IS_RESERVED 0 SITEPROP SLICE_X9Y65 IS_TEST 0 SITEPROP SLICE_X9Y65 IS_USED 0 SITEPROP SLICE_X9Y65 MANUAL_ROUTING SITEPROP SLICE_X9Y65 NAME SLICE_X9Y65 SITEPROP SLICE_X9Y65 NUM_ARCS 138 SITEPROP SLICE_X9Y65 NUM_BELS 32 SITEPROP SLICE_X9Y65 NUM_INPUTS 32 SITEPROP SLICE_X9Y65 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y65 NUM_PINS 45 SITEPROP SLICE_X9Y65 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y65 PROHIBIT 0 SITEPROP SLICE_X9Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y65 RPM_X 39 SITEPROP SLICE_X9Y65 RPM_Y 130 SITEPROP SLICE_X9Y65 SITE_PIPS SITEPROP SLICE_X9Y65 SITE_TYPE SLICEL SITEPROP SLICE_X9Y66 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y66 CLASS site SITEPROP SLICE_X9Y66 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y66 IS_BONDED 0 SITEPROP SLICE_X9Y66 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y66 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y66 IS_PAD 0 SITEPROP SLICE_X9Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y66 IS_RESERVED 0 SITEPROP SLICE_X9Y66 IS_TEST 0 SITEPROP SLICE_X9Y66 IS_USED 0 SITEPROP SLICE_X9Y66 MANUAL_ROUTING SITEPROP SLICE_X9Y66 NAME SLICE_X9Y66 SITEPROP SLICE_X9Y66 NUM_ARCS 138 SITEPROP SLICE_X9Y66 NUM_BELS 32 SITEPROP SLICE_X9Y66 NUM_INPUTS 32 SITEPROP SLICE_X9Y66 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y66 NUM_PINS 45 SITEPROP SLICE_X9Y66 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y66 PROHIBIT 0 SITEPROP SLICE_X9Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y66 RPM_X 39 SITEPROP SLICE_X9Y66 RPM_Y 132 SITEPROP SLICE_X9Y66 SITE_PIPS SITEPROP SLICE_X9Y66 SITE_TYPE SLICEL SITEPROP SLICE_X9Y67 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y67 CLASS site SITEPROP SLICE_X9Y67 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y67 IS_BONDED 0 SITEPROP SLICE_X9Y67 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y67 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y67 IS_PAD 0 SITEPROP SLICE_X9Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y67 IS_RESERVED 0 SITEPROP SLICE_X9Y67 IS_TEST 0 SITEPROP SLICE_X9Y67 IS_USED 0 SITEPROP SLICE_X9Y67 MANUAL_ROUTING SITEPROP SLICE_X9Y67 NAME SLICE_X9Y67 SITEPROP SLICE_X9Y67 NUM_ARCS 138 SITEPROP SLICE_X9Y67 NUM_BELS 32 SITEPROP SLICE_X9Y67 NUM_INPUTS 32 SITEPROP SLICE_X9Y67 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y67 NUM_PINS 45 SITEPROP SLICE_X9Y67 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y67 PROHIBIT 0 SITEPROP SLICE_X9Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y67 RPM_X 39 SITEPROP SLICE_X9Y67 RPM_Y 134 SITEPROP SLICE_X9Y67 SITE_PIPS SITEPROP SLICE_X9Y67 SITE_TYPE SLICEL SITEPROP SLICE_X9Y68 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y68 CLASS site SITEPROP SLICE_X9Y68 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y68 IS_BONDED 0 SITEPROP SLICE_X9Y68 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y68 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y68 IS_PAD 0 SITEPROP SLICE_X9Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y68 IS_RESERVED 0 SITEPROP SLICE_X9Y68 IS_TEST 0 SITEPROP SLICE_X9Y68 IS_USED 0 SITEPROP SLICE_X9Y68 MANUAL_ROUTING SITEPROP SLICE_X9Y68 NAME SLICE_X9Y68 SITEPROP SLICE_X9Y68 NUM_ARCS 138 SITEPROP SLICE_X9Y68 NUM_BELS 32 SITEPROP SLICE_X9Y68 NUM_INPUTS 32 SITEPROP SLICE_X9Y68 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y68 NUM_PINS 45 SITEPROP SLICE_X9Y68 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y68 PROHIBIT 0 SITEPROP SLICE_X9Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y68 RPM_X 39 SITEPROP SLICE_X9Y68 RPM_Y 136 SITEPROP SLICE_X9Y68 SITE_PIPS SITEPROP SLICE_X9Y68 SITE_TYPE SLICEL SITEPROP SLICE_X9Y69 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y69 CLASS site SITEPROP SLICE_X9Y69 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y69 IS_BONDED 0 SITEPROP SLICE_X9Y69 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y69 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y69 IS_PAD 0 SITEPROP SLICE_X9Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y69 IS_RESERVED 0 SITEPROP SLICE_X9Y69 IS_TEST 0 SITEPROP SLICE_X9Y69 IS_USED 0 SITEPROP SLICE_X9Y69 MANUAL_ROUTING SITEPROP SLICE_X9Y69 NAME SLICE_X9Y69 SITEPROP SLICE_X9Y69 NUM_ARCS 138 SITEPROP SLICE_X9Y69 NUM_BELS 32 SITEPROP SLICE_X9Y69 NUM_INPUTS 32 SITEPROP SLICE_X9Y69 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y69 NUM_PINS 45 SITEPROP SLICE_X9Y69 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y69 PROHIBIT 0 SITEPROP SLICE_X9Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y69 RPM_X 39 SITEPROP SLICE_X9Y69 RPM_Y 138 SITEPROP SLICE_X9Y69 SITE_PIPS SITEPROP SLICE_X9Y69 SITE_TYPE SLICEL SITEPROP SLICE_X9Y70 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y70 CLASS site SITEPROP SLICE_X9Y70 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y70 IS_BONDED 0 SITEPROP SLICE_X9Y70 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y70 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y70 IS_PAD 0 SITEPROP SLICE_X9Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y70 IS_RESERVED 0 SITEPROP SLICE_X9Y70 IS_TEST 0 SITEPROP SLICE_X9Y70 IS_USED 0 SITEPROP SLICE_X9Y70 MANUAL_ROUTING SITEPROP SLICE_X9Y70 NAME SLICE_X9Y70 SITEPROP SLICE_X9Y70 NUM_ARCS 138 SITEPROP SLICE_X9Y70 NUM_BELS 32 SITEPROP SLICE_X9Y70 NUM_INPUTS 32 SITEPROP SLICE_X9Y70 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y70 NUM_PINS 45 SITEPROP SLICE_X9Y70 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y70 PROHIBIT 0 SITEPROP SLICE_X9Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y70 RPM_X 39 SITEPROP SLICE_X9Y70 RPM_Y 140 SITEPROP SLICE_X9Y70 SITE_PIPS SITEPROP SLICE_X9Y70 SITE_TYPE SLICEL SITEPROP SLICE_X9Y71 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y71 CLASS site SITEPROP SLICE_X9Y71 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y71 IS_BONDED 0 SITEPROP SLICE_X9Y71 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y71 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y71 IS_PAD 0 SITEPROP SLICE_X9Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y71 IS_RESERVED 0 SITEPROP SLICE_X9Y71 IS_TEST 0 SITEPROP SLICE_X9Y71 IS_USED 0 SITEPROP SLICE_X9Y71 MANUAL_ROUTING SITEPROP SLICE_X9Y71 NAME SLICE_X9Y71 SITEPROP SLICE_X9Y71 NUM_ARCS 138 SITEPROP SLICE_X9Y71 NUM_BELS 32 SITEPROP SLICE_X9Y71 NUM_INPUTS 32 SITEPROP SLICE_X9Y71 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y71 NUM_PINS 45 SITEPROP SLICE_X9Y71 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y71 PROHIBIT 0 SITEPROP SLICE_X9Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y71 RPM_X 39 SITEPROP SLICE_X9Y71 RPM_Y 142 SITEPROP SLICE_X9Y71 SITE_PIPS SITEPROP SLICE_X9Y71 SITE_TYPE SLICEL SITEPROP SLICE_X9Y72 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y72 CLASS site SITEPROP SLICE_X9Y72 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y72 IS_BONDED 0 SITEPROP SLICE_X9Y72 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y72 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y72 IS_PAD 0 SITEPROP SLICE_X9Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y72 IS_RESERVED 0 SITEPROP SLICE_X9Y72 IS_TEST 0 SITEPROP SLICE_X9Y72 IS_USED 0 SITEPROP SLICE_X9Y72 MANUAL_ROUTING SITEPROP SLICE_X9Y72 NAME SLICE_X9Y72 SITEPROP SLICE_X9Y72 NUM_ARCS 138 SITEPROP SLICE_X9Y72 NUM_BELS 32 SITEPROP SLICE_X9Y72 NUM_INPUTS 32 SITEPROP SLICE_X9Y72 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y72 NUM_PINS 45 SITEPROP SLICE_X9Y72 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y72 PROHIBIT 0 SITEPROP SLICE_X9Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y72 RPM_X 39 SITEPROP SLICE_X9Y72 RPM_Y 144 SITEPROP SLICE_X9Y72 SITE_PIPS SITEPROP SLICE_X9Y72 SITE_TYPE SLICEL SITEPROP SLICE_X9Y73 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y73 CLASS site SITEPROP SLICE_X9Y73 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y73 IS_BONDED 0 SITEPROP SLICE_X9Y73 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y73 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y73 IS_PAD 0 SITEPROP SLICE_X9Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y73 IS_RESERVED 0 SITEPROP SLICE_X9Y73 IS_TEST 0 SITEPROP SLICE_X9Y73 IS_USED 0 SITEPROP SLICE_X9Y73 MANUAL_ROUTING SITEPROP SLICE_X9Y73 NAME SLICE_X9Y73 SITEPROP SLICE_X9Y73 NUM_ARCS 138 SITEPROP SLICE_X9Y73 NUM_BELS 32 SITEPROP SLICE_X9Y73 NUM_INPUTS 32 SITEPROP SLICE_X9Y73 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y73 NUM_PINS 45 SITEPROP SLICE_X9Y73 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y73 PROHIBIT 0 SITEPROP SLICE_X9Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y73 RPM_X 39 SITEPROP SLICE_X9Y73 RPM_Y 146 SITEPROP SLICE_X9Y73 SITE_PIPS SITEPROP SLICE_X9Y73 SITE_TYPE SLICEL SITEPROP SLICE_X9Y74 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y74 CLASS site SITEPROP SLICE_X9Y74 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y74 IS_BONDED 0 SITEPROP SLICE_X9Y74 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y74 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y74 IS_PAD 0 SITEPROP SLICE_X9Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y74 IS_RESERVED 0 SITEPROP SLICE_X9Y74 IS_TEST 0 SITEPROP SLICE_X9Y74 IS_USED 0 SITEPROP SLICE_X9Y74 MANUAL_ROUTING SITEPROP SLICE_X9Y74 NAME SLICE_X9Y74 SITEPROP SLICE_X9Y74 NUM_ARCS 138 SITEPROP SLICE_X9Y74 NUM_BELS 32 SITEPROP SLICE_X9Y74 NUM_INPUTS 32 SITEPROP SLICE_X9Y74 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y74 NUM_PINS 45 SITEPROP SLICE_X9Y74 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y74 PROHIBIT 0 SITEPROP SLICE_X9Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y74 RPM_X 39 SITEPROP SLICE_X9Y74 RPM_Y 148 SITEPROP SLICE_X9Y74 SITE_PIPS SITEPROP SLICE_X9Y74 SITE_TYPE SLICEL SITEPROP SLICE_X9Y75 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y75 CLASS site SITEPROP SLICE_X9Y75 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y75 IS_BONDED 0 SITEPROP SLICE_X9Y75 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y75 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y75 IS_PAD 0 SITEPROP SLICE_X9Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y75 IS_RESERVED 0 SITEPROP SLICE_X9Y75 IS_TEST 0 SITEPROP SLICE_X9Y75 IS_USED 0 SITEPROP SLICE_X9Y75 MANUAL_ROUTING SITEPROP SLICE_X9Y75 NAME SLICE_X9Y75 SITEPROP SLICE_X9Y75 NUM_ARCS 138 SITEPROP SLICE_X9Y75 NUM_BELS 32 SITEPROP SLICE_X9Y75 NUM_INPUTS 32 SITEPROP SLICE_X9Y75 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y75 NUM_PINS 45 SITEPROP SLICE_X9Y75 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y75 PROHIBIT 0 SITEPROP SLICE_X9Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y75 RPM_X 39 SITEPROP SLICE_X9Y75 RPM_Y 150 SITEPROP SLICE_X9Y75 SITE_PIPS SITEPROP SLICE_X9Y75 SITE_TYPE SLICEL SITEPROP SLICE_X9Y76 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y76 CLASS site SITEPROP SLICE_X9Y76 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y76 IS_BONDED 0 SITEPROP SLICE_X9Y76 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y76 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y76 IS_PAD 0 SITEPROP SLICE_X9Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y76 IS_RESERVED 0 SITEPROP SLICE_X9Y76 IS_TEST 0 SITEPROP SLICE_X9Y76 IS_USED 0 SITEPROP SLICE_X9Y76 MANUAL_ROUTING SITEPROP SLICE_X9Y76 NAME SLICE_X9Y76 SITEPROP SLICE_X9Y76 NUM_ARCS 138 SITEPROP SLICE_X9Y76 NUM_BELS 32 SITEPROP SLICE_X9Y76 NUM_INPUTS 32 SITEPROP SLICE_X9Y76 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y76 NUM_PINS 45 SITEPROP SLICE_X9Y76 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y76 PROHIBIT 0 SITEPROP SLICE_X9Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y76 RPM_X 39 SITEPROP SLICE_X9Y76 RPM_Y 152 SITEPROP SLICE_X9Y76 SITE_PIPS SITEPROP SLICE_X9Y76 SITE_TYPE SLICEL SITEPROP SLICE_X9Y77 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y77 CLASS site SITEPROP SLICE_X9Y77 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y77 IS_BONDED 0 SITEPROP SLICE_X9Y77 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y77 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y77 IS_PAD 0 SITEPROP SLICE_X9Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y77 IS_RESERVED 0 SITEPROP SLICE_X9Y77 IS_TEST 0 SITEPROP SLICE_X9Y77 IS_USED 0 SITEPROP SLICE_X9Y77 MANUAL_ROUTING SITEPROP SLICE_X9Y77 NAME SLICE_X9Y77 SITEPROP SLICE_X9Y77 NUM_ARCS 138 SITEPROP SLICE_X9Y77 NUM_BELS 32 SITEPROP SLICE_X9Y77 NUM_INPUTS 32 SITEPROP SLICE_X9Y77 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y77 NUM_PINS 45 SITEPROP SLICE_X9Y77 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y77 PROHIBIT 0 SITEPROP SLICE_X9Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y77 RPM_X 39 SITEPROP SLICE_X9Y77 RPM_Y 154 SITEPROP SLICE_X9Y77 SITE_PIPS SITEPROP SLICE_X9Y77 SITE_TYPE SLICEL SITEPROP SLICE_X9Y78 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y78 CLASS site SITEPROP SLICE_X9Y78 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y78 IS_BONDED 0 SITEPROP SLICE_X9Y78 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y78 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y78 IS_PAD 0 SITEPROP SLICE_X9Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y78 IS_RESERVED 0 SITEPROP SLICE_X9Y78 IS_TEST 0 SITEPROP SLICE_X9Y78 IS_USED 0 SITEPROP SLICE_X9Y78 MANUAL_ROUTING SITEPROP SLICE_X9Y78 NAME SLICE_X9Y78 SITEPROP SLICE_X9Y78 NUM_ARCS 138 SITEPROP SLICE_X9Y78 NUM_BELS 32 SITEPROP SLICE_X9Y78 NUM_INPUTS 32 SITEPROP SLICE_X9Y78 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y78 NUM_PINS 45 SITEPROP SLICE_X9Y78 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y78 PROHIBIT 0 SITEPROP SLICE_X9Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y78 RPM_X 39 SITEPROP SLICE_X9Y78 RPM_Y 156 SITEPROP SLICE_X9Y78 SITE_PIPS SITEPROP SLICE_X9Y78 SITE_TYPE SLICEL SITEPROP SLICE_X9Y79 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y79 CLASS site SITEPROP SLICE_X9Y79 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y79 IS_BONDED 0 SITEPROP SLICE_X9Y79 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y79 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y79 IS_PAD 0 SITEPROP SLICE_X9Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y79 IS_RESERVED 0 SITEPROP SLICE_X9Y79 IS_TEST 0 SITEPROP SLICE_X9Y79 IS_USED 0 SITEPROP SLICE_X9Y79 MANUAL_ROUTING SITEPROP SLICE_X9Y79 NAME SLICE_X9Y79 SITEPROP SLICE_X9Y79 NUM_ARCS 138 SITEPROP SLICE_X9Y79 NUM_BELS 32 SITEPROP SLICE_X9Y79 NUM_INPUTS 32 SITEPROP SLICE_X9Y79 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y79 NUM_PINS 45 SITEPROP SLICE_X9Y79 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y79 PROHIBIT 0 SITEPROP SLICE_X9Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y79 RPM_X 39 SITEPROP SLICE_X9Y79 RPM_Y 158 SITEPROP SLICE_X9Y79 SITE_PIPS SITEPROP SLICE_X9Y79 SITE_TYPE SLICEL SITEPROP SLICE_X9Y80 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y80 CLASS site SITEPROP SLICE_X9Y80 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y80 IS_BONDED 0 SITEPROP SLICE_X9Y80 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y80 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y80 IS_PAD 0 SITEPROP SLICE_X9Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y80 IS_RESERVED 0 SITEPROP SLICE_X9Y80 IS_TEST 0 SITEPROP SLICE_X9Y80 IS_USED 0 SITEPROP SLICE_X9Y80 MANUAL_ROUTING SITEPROP SLICE_X9Y80 NAME SLICE_X9Y80 SITEPROP SLICE_X9Y80 NUM_ARCS 138 SITEPROP SLICE_X9Y80 NUM_BELS 32 SITEPROP SLICE_X9Y80 NUM_INPUTS 32 SITEPROP SLICE_X9Y80 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y80 NUM_PINS 45 SITEPROP SLICE_X9Y80 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y80 PROHIBIT 0 SITEPROP SLICE_X9Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y80 RPM_X 39 SITEPROP SLICE_X9Y80 RPM_Y 160 SITEPROP SLICE_X9Y80 SITE_PIPS SITEPROP SLICE_X9Y80 SITE_TYPE SLICEL SITEPROP SLICE_X9Y81 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y81 CLASS site SITEPROP SLICE_X9Y81 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y81 IS_BONDED 0 SITEPROP SLICE_X9Y81 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y81 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y81 IS_PAD 0 SITEPROP SLICE_X9Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y81 IS_RESERVED 0 SITEPROP SLICE_X9Y81 IS_TEST 0 SITEPROP SLICE_X9Y81 IS_USED 0 SITEPROP SLICE_X9Y81 MANUAL_ROUTING SITEPROP SLICE_X9Y81 NAME SLICE_X9Y81 SITEPROP SLICE_X9Y81 NUM_ARCS 138 SITEPROP SLICE_X9Y81 NUM_BELS 32 SITEPROP SLICE_X9Y81 NUM_INPUTS 32 SITEPROP SLICE_X9Y81 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y81 NUM_PINS 45 SITEPROP SLICE_X9Y81 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y81 PROHIBIT 0 SITEPROP SLICE_X9Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y81 RPM_X 39 SITEPROP SLICE_X9Y81 RPM_Y 162 SITEPROP SLICE_X9Y81 SITE_PIPS SITEPROP SLICE_X9Y81 SITE_TYPE SLICEL SITEPROP SLICE_X9Y82 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y82 CLASS site SITEPROP SLICE_X9Y82 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y82 IS_BONDED 0 SITEPROP SLICE_X9Y82 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y82 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y82 IS_PAD 0 SITEPROP SLICE_X9Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y82 IS_RESERVED 0 SITEPROP SLICE_X9Y82 IS_TEST 0 SITEPROP SLICE_X9Y82 IS_USED 0 SITEPROP SLICE_X9Y82 MANUAL_ROUTING SITEPROP SLICE_X9Y82 NAME SLICE_X9Y82 SITEPROP SLICE_X9Y82 NUM_ARCS 138 SITEPROP SLICE_X9Y82 NUM_BELS 32 SITEPROP SLICE_X9Y82 NUM_INPUTS 32 SITEPROP SLICE_X9Y82 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y82 NUM_PINS 45 SITEPROP SLICE_X9Y82 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y82 PROHIBIT 0 SITEPROP SLICE_X9Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y82 RPM_X 39 SITEPROP SLICE_X9Y82 RPM_Y 164 SITEPROP SLICE_X9Y82 SITE_PIPS SITEPROP SLICE_X9Y82 SITE_TYPE SLICEL SITEPROP SLICE_X9Y83 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y83 CLASS site SITEPROP SLICE_X9Y83 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y83 IS_BONDED 0 SITEPROP SLICE_X9Y83 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y83 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y83 IS_PAD 0 SITEPROP SLICE_X9Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y83 IS_RESERVED 0 SITEPROP SLICE_X9Y83 IS_TEST 0 SITEPROP SLICE_X9Y83 IS_USED 0 SITEPROP SLICE_X9Y83 MANUAL_ROUTING SITEPROP SLICE_X9Y83 NAME SLICE_X9Y83 SITEPROP SLICE_X9Y83 NUM_ARCS 138 SITEPROP SLICE_X9Y83 NUM_BELS 32 SITEPROP SLICE_X9Y83 NUM_INPUTS 32 SITEPROP SLICE_X9Y83 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y83 NUM_PINS 45 SITEPROP SLICE_X9Y83 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y83 PROHIBIT 0 SITEPROP SLICE_X9Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y83 RPM_X 39 SITEPROP SLICE_X9Y83 RPM_Y 166 SITEPROP SLICE_X9Y83 SITE_PIPS SITEPROP SLICE_X9Y83 SITE_TYPE SLICEL SITEPROP SLICE_X9Y84 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y84 CLASS site SITEPROP SLICE_X9Y84 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y84 IS_BONDED 0 SITEPROP SLICE_X9Y84 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y84 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y84 IS_PAD 0 SITEPROP SLICE_X9Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y84 IS_RESERVED 0 SITEPROP SLICE_X9Y84 IS_TEST 0 SITEPROP SLICE_X9Y84 IS_USED 0 SITEPROP SLICE_X9Y84 MANUAL_ROUTING SITEPROP SLICE_X9Y84 NAME SLICE_X9Y84 SITEPROP SLICE_X9Y84 NUM_ARCS 138 SITEPROP SLICE_X9Y84 NUM_BELS 32 SITEPROP SLICE_X9Y84 NUM_INPUTS 32 SITEPROP SLICE_X9Y84 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y84 NUM_PINS 45 SITEPROP SLICE_X9Y84 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y84 PROHIBIT 0 SITEPROP SLICE_X9Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y84 RPM_X 39 SITEPROP SLICE_X9Y84 RPM_Y 168 SITEPROP SLICE_X9Y84 SITE_PIPS SITEPROP SLICE_X9Y84 SITE_TYPE SLICEL SITEPROP SLICE_X9Y85 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y85 CLASS site SITEPROP SLICE_X9Y85 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y85 IS_BONDED 0 SITEPROP SLICE_X9Y85 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y85 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y85 IS_PAD 0 SITEPROP SLICE_X9Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y85 IS_RESERVED 0 SITEPROP SLICE_X9Y85 IS_TEST 0 SITEPROP SLICE_X9Y85 IS_USED 0 SITEPROP SLICE_X9Y85 MANUAL_ROUTING SITEPROP SLICE_X9Y85 NAME SLICE_X9Y85 SITEPROP SLICE_X9Y85 NUM_ARCS 138 SITEPROP SLICE_X9Y85 NUM_BELS 32 SITEPROP SLICE_X9Y85 NUM_INPUTS 32 SITEPROP SLICE_X9Y85 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y85 NUM_PINS 45 SITEPROP SLICE_X9Y85 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y85 PROHIBIT 0 SITEPROP SLICE_X9Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y85 RPM_X 39 SITEPROP SLICE_X9Y85 RPM_Y 170 SITEPROP SLICE_X9Y85 SITE_PIPS SITEPROP SLICE_X9Y85 SITE_TYPE SLICEL SITEPROP SLICE_X9Y86 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y86 CLASS site SITEPROP SLICE_X9Y86 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y86 IS_BONDED 0 SITEPROP SLICE_X9Y86 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y86 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y86 IS_PAD 0 SITEPROP SLICE_X9Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y86 IS_RESERVED 0 SITEPROP SLICE_X9Y86 IS_TEST 0 SITEPROP SLICE_X9Y86 IS_USED 0 SITEPROP SLICE_X9Y86 MANUAL_ROUTING SITEPROP SLICE_X9Y86 NAME SLICE_X9Y86 SITEPROP SLICE_X9Y86 NUM_ARCS 138 SITEPROP SLICE_X9Y86 NUM_BELS 32 SITEPROP SLICE_X9Y86 NUM_INPUTS 32 SITEPROP SLICE_X9Y86 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y86 NUM_PINS 45 SITEPROP SLICE_X9Y86 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y86 PROHIBIT 0 SITEPROP SLICE_X9Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y86 RPM_X 39 SITEPROP SLICE_X9Y86 RPM_Y 172 SITEPROP SLICE_X9Y86 SITE_PIPS SITEPROP SLICE_X9Y86 SITE_TYPE SLICEL SITEPROP SLICE_X9Y87 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y87 CLASS site SITEPROP SLICE_X9Y87 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y87 IS_BONDED 0 SITEPROP SLICE_X9Y87 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y87 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y87 IS_PAD 0 SITEPROP SLICE_X9Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y87 IS_RESERVED 0 SITEPROP SLICE_X9Y87 IS_TEST 0 SITEPROP SLICE_X9Y87 IS_USED 0 SITEPROP SLICE_X9Y87 MANUAL_ROUTING SITEPROP SLICE_X9Y87 NAME SLICE_X9Y87 SITEPROP SLICE_X9Y87 NUM_ARCS 138 SITEPROP SLICE_X9Y87 NUM_BELS 32 SITEPROP SLICE_X9Y87 NUM_INPUTS 32 SITEPROP SLICE_X9Y87 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y87 NUM_PINS 45 SITEPROP SLICE_X9Y87 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y87 PROHIBIT 0 SITEPROP SLICE_X9Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y87 RPM_X 39 SITEPROP SLICE_X9Y87 RPM_Y 174 SITEPROP SLICE_X9Y87 SITE_PIPS SITEPROP SLICE_X9Y87 SITE_TYPE SLICEL SITEPROP SLICE_X9Y88 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y88 CLASS site SITEPROP SLICE_X9Y88 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y88 IS_BONDED 0 SITEPROP SLICE_X9Y88 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y88 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y88 IS_PAD 0 SITEPROP SLICE_X9Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y88 IS_RESERVED 0 SITEPROP SLICE_X9Y88 IS_TEST 0 SITEPROP SLICE_X9Y88 IS_USED 0 SITEPROP SLICE_X9Y88 MANUAL_ROUTING SITEPROP SLICE_X9Y88 NAME SLICE_X9Y88 SITEPROP SLICE_X9Y88 NUM_ARCS 138 SITEPROP SLICE_X9Y88 NUM_BELS 32 SITEPROP SLICE_X9Y88 NUM_INPUTS 32 SITEPROP SLICE_X9Y88 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y88 NUM_PINS 45 SITEPROP SLICE_X9Y88 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y88 PROHIBIT 0 SITEPROP SLICE_X9Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y88 RPM_X 39 SITEPROP SLICE_X9Y88 RPM_Y 176 SITEPROP SLICE_X9Y88 SITE_PIPS SITEPROP SLICE_X9Y88 SITE_TYPE SLICEL SITEPROP SLICE_X9Y89 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y89 CLASS site SITEPROP SLICE_X9Y89 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y89 IS_BONDED 0 SITEPROP SLICE_X9Y89 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y89 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y89 IS_PAD 0 SITEPROP SLICE_X9Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y89 IS_RESERVED 0 SITEPROP SLICE_X9Y89 IS_TEST 0 SITEPROP SLICE_X9Y89 IS_USED 0 SITEPROP SLICE_X9Y89 MANUAL_ROUTING SITEPROP SLICE_X9Y89 NAME SLICE_X9Y89 SITEPROP SLICE_X9Y89 NUM_ARCS 138 SITEPROP SLICE_X9Y89 NUM_BELS 32 SITEPROP SLICE_X9Y89 NUM_INPUTS 32 SITEPROP SLICE_X9Y89 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y89 NUM_PINS 45 SITEPROP SLICE_X9Y89 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y89 PROHIBIT 0 SITEPROP SLICE_X9Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y89 RPM_X 39 SITEPROP SLICE_X9Y89 RPM_Y 178 SITEPROP SLICE_X9Y89 SITE_PIPS SITEPROP SLICE_X9Y89 SITE_TYPE SLICEL SITEPROP SLICE_X9Y90 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y90 CLASS site SITEPROP SLICE_X9Y90 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y90 IS_BONDED 0 SITEPROP SLICE_X9Y90 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y90 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y90 IS_PAD 0 SITEPROP SLICE_X9Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y90 IS_RESERVED 0 SITEPROP SLICE_X9Y90 IS_TEST 0 SITEPROP SLICE_X9Y90 IS_USED 0 SITEPROP SLICE_X9Y90 MANUAL_ROUTING SITEPROP SLICE_X9Y90 NAME SLICE_X9Y90 SITEPROP SLICE_X9Y90 NUM_ARCS 138 SITEPROP SLICE_X9Y90 NUM_BELS 32 SITEPROP SLICE_X9Y90 NUM_INPUTS 32 SITEPROP SLICE_X9Y90 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y90 NUM_PINS 45 SITEPROP SLICE_X9Y90 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y90 PROHIBIT 0 SITEPROP SLICE_X9Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y90 RPM_X 39 SITEPROP SLICE_X9Y90 RPM_Y 180 SITEPROP SLICE_X9Y90 SITE_PIPS SITEPROP SLICE_X9Y90 SITE_TYPE SLICEL SITEPROP SLICE_X9Y91 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y91 CLASS site SITEPROP SLICE_X9Y91 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y91 IS_BONDED 0 SITEPROP SLICE_X9Y91 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y91 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y91 IS_PAD 0 SITEPROP SLICE_X9Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y91 IS_RESERVED 0 SITEPROP SLICE_X9Y91 IS_TEST 0 SITEPROP SLICE_X9Y91 IS_USED 0 SITEPROP SLICE_X9Y91 MANUAL_ROUTING SITEPROP SLICE_X9Y91 NAME SLICE_X9Y91 SITEPROP SLICE_X9Y91 NUM_ARCS 138 SITEPROP SLICE_X9Y91 NUM_BELS 32 SITEPROP SLICE_X9Y91 NUM_INPUTS 32 SITEPROP SLICE_X9Y91 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y91 NUM_PINS 45 SITEPROP SLICE_X9Y91 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y91 PROHIBIT 0 SITEPROP SLICE_X9Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y91 RPM_X 39 SITEPROP SLICE_X9Y91 RPM_Y 182 SITEPROP SLICE_X9Y91 SITE_PIPS SITEPROP SLICE_X9Y91 SITE_TYPE SLICEL SITEPROP SLICE_X9Y92 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y92 CLASS site SITEPROP SLICE_X9Y92 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y92 IS_BONDED 0 SITEPROP SLICE_X9Y92 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y92 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y92 IS_PAD 0 SITEPROP SLICE_X9Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y92 IS_RESERVED 0 SITEPROP SLICE_X9Y92 IS_TEST 0 SITEPROP SLICE_X9Y92 IS_USED 0 SITEPROP SLICE_X9Y92 MANUAL_ROUTING SITEPROP SLICE_X9Y92 NAME SLICE_X9Y92 SITEPROP SLICE_X9Y92 NUM_ARCS 138 SITEPROP SLICE_X9Y92 NUM_BELS 32 SITEPROP SLICE_X9Y92 NUM_INPUTS 32 SITEPROP SLICE_X9Y92 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y92 NUM_PINS 45 SITEPROP SLICE_X9Y92 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y92 PROHIBIT 0 SITEPROP SLICE_X9Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y92 RPM_X 39 SITEPROP SLICE_X9Y92 RPM_Y 184 SITEPROP SLICE_X9Y92 SITE_PIPS SITEPROP SLICE_X9Y92 SITE_TYPE SLICEL SITEPROP SLICE_X9Y93 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y93 CLASS site SITEPROP SLICE_X9Y93 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y93 IS_BONDED 0 SITEPROP SLICE_X9Y93 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y93 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y93 IS_PAD 0 SITEPROP SLICE_X9Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y93 IS_RESERVED 0 SITEPROP SLICE_X9Y93 IS_TEST 0 SITEPROP SLICE_X9Y93 IS_USED 0 SITEPROP SLICE_X9Y93 MANUAL_ROUTING SITEPROP SLICE_X9Y93 NAME SLICE_X9Y93 SITEPROP SLICE_X9Y93 NUM_ARCS 138 SITEPROP SLICE_X9Y93 NUM_BELS 32 SITEPROP SLICE_X9Y93 NUM_INPUTS 32 SITEPROP SLICE_X9Y93 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y93 NUM_PINS 45 SITEPROP SLICE_X9Y93 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y93 PROHIBIT 0 SITEPROP SLICE_X9Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y93 RPM_X 39 SITEPROP SLICE_X9Y93 RPM_Y 186 SITEPROP SLICE_X9Y93 SITE_PIPS SITEPROP SLICE_X9Y93 SITE_TYPE SLICEL SITEPROP SLICE_X9Y94 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y94 CLASS site SITEPROP SLICE_X9Y94 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y94 IS_BONDED 0 SITEPROP SLICE_X9Y94 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y94 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y94 IS_PAD 0 SITEPROP SLICE_X9Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y94 IS_RESERVED 0 SITEPROP SLICE_X9Y94 IS_TEST 0 SITEPROP SLICE_X9Y94 IS_USED 0 SITEPROP SLICE_X9Y94 MANUAL_ROUTING SITEPROP SLICE_X9Y94 NAME SLICE_X9Y94 SITEPROP SLICE_X9Y94 NUM_ARCS 138 SITEPROP SLICE_X9Y94 NUM_BELS 32 SITEPROP SLICE_X9Y94 NUM_INPUTS 32 SITEPROP SLICE_X9Y94 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y94 NUM_PINS 45 SITEPROP SLICE_X9Y94 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y94 PROHIBIT 0 SITEPROP SLICE_X9Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y94 RPM_X 39 SITEPROP SLICE_X9Y94 RPM_Y 188 SITEPROP SLICE_X9Y94 SITE_PIPS SITEPROP SLICE_X9Y94 SITE_TYPE SLICEL SITEPROP SLICE_X9Y95 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y95 CLASS site SITEPROP SLICE_X9Y95 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y95 IS_BONDED 0 SITEPROP SLICE_X9Y95 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y95 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y95 IS_PAD 0 SITEPROP SLICE_X9Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y95 IS_RESERVED 0 SITEPROP SLICE_X9Y95 IS_TEST 0 SITEPROP SLICE_X9Y95 IS_USED 0 SITEPROP SLICE_X9Y95 MANUAL_ROUTING SITEPROP SLICE_X9Y95 NAME SLICE_X9Y95 SITEPROP SLICE_X9Y95 NUM_ARCS 138 SITEPROP SLICE_X9Y95 NUM_BELS 32 SITEPROP SLICE_X9Y95 NUM_INPUTS 32 SITEPROP SLICE_X9Y95 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y95 NUM_PINS 45 SITEPROP SLICE_X9Y95 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y95 PROHIBIT 0 SITEPROP SLICE_X9Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y95 RPM_X 39 SITEPROP SLICE_X9Y95 RPM_Y 190 SITEPROP SLICE_X9Y95 SITE_PIPS SITEPROP SLICE_X9Y95 SITE_TYPE SLICEL SITEPROP SLICE_X9Y96 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y96 CLASS site SITEPROP SLICE_X9Y96 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y96 IS_BONDED 0 SITEPROP SLICE_X9Y96 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y96 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y96 IS_PAD 0 SITEPROP SLICE_X9Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y96 IS_RESERVED 0 SITEPROP SLICE_X9Y96 IS_TEST 0 SITEPROP SLICE_X9Y96 IS_USED 0 SITEPROP SLICE_X9Y96 MANUAL_ROUTING SITEPROP SLICE_X9Y96 NAME SLICE_X9Y96 SITEPROP SLICE_X9Y96 NUM_ARCS 138 SITEPROP SLICE_X9Y96 NUM_BELS 32 SITEPROP SLICE_X9Y96 NUM_INPUTS 32 SITEPROP SLICE_X9Y96 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y96 NUM_PINS 45 SITEPROP SLICE_X9Y96 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y96 PROHIBIT 0 SITEPROP SLICE_X9Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y96 RPM_X 39 SITEPROP SLICE_X9Y96 RPM_Y 192 SITEPROP SLICE_X9Y96 SITE_PIPS SITEPROP SLICE_X9Y96 SITE_TYPE SLICEL SITEPROP SLICE_X9Y97 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y97 CLASS site SITEPROP SLICE_X9Y97 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y97 IS_BONDED 0 SITEPROP SLICE_X9Y97 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y97 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y97 IS_PAD 0 SITEPROP SLICE_X9Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y97 IS_RESERVED 0 SITEPROP SLICE_X9Y97 IS_TEST 0 SITEPROP SLICE_X9Y97 IS_USED 0 SITEPROP SLICE_X9Y97 MANUAL_ROUTING SITEPROP SLICE_X9Y97 NAME SLICE_X9Y97 SITEPROP SLICE_X9Y97 NUM_ARCS 138 SITEPROP SLICE_X9Y97 NUM_BELS 32 SITEPROP SLICE_X9Y97 NUM_INPUTS 32 SITEPROP SLICE_X9Y97 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y97 NUM_PINS 45 SITEPROP SLICE_X9Y97 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y97 PROHIBIT 0 SITEPROP SLICE_X9Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y97 RPM_X 39 SITEPROP SLICE_X9Y97 RPM_Y 194 SITEPROP SLICE_X9Y97 SITE_PIPS SITEPROP SLICE_X9Y97 SITE_TYPE SLICEL SITEPROP SLICE_X9Y98 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y98 CLASS site SITEPROP SLICE_X9Y98 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y98 IS_BONDED 0 SITEPROP SLICE_X9Y98 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y98 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y98 IS_PAD 0 SITEPROP SLICE_X9Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y98 IS_RESERVED 0 SITEPROP SLICE_X9Y98 IS_TEST 0 SITEPROP SLICE_X9Y98 IS_USED 0 SITEPROP SLICE_X9Y98 MANUAL_ROUTING SITEPROP SLICE_X9Y98 NAME SLICE_X9Y98 SITEPROP SLICE_X9Y98 NUM_ARCS 138 SITEPROP SLICE_X9Y98 NUM_BELS 32 SITEPROP SLICE_X9Y98 NUM_INPUTS 32 SITEPROP SLICE_X9Y98 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y98 NUM_PINS 45 SITEPROP SLICE_X9Y98 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y98 PROHIBIT 0 SITEPROP SLICE_X9Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y98 RPM_X 39 SITEPROP SLICE_X9Y98 RPM_Y 196 SITEPROP SLICE_X9Y98 SITE_PIPS SITEPROP SLICE_X9Y98 SITE_TYPE SLICEL SITEPROP SLICE_X9Y99 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y99 CLASS site SITEPROP SLICE_X9Y99 CLOCK_REGION X0Y1 SITEPROP SLICE_X9Y99 IS_BONDED 0 SITEPROP SLICE_X9Y99 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y99 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y99 IS_PAD 0 SITEPROP SLICE_X9Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y99 IS_RESERVED 0 SITEPROP SLICE_X9Y99 IS_TEST 0 SITEPROP SLICE_X9Y99 IS_USED 0 SITEPROP SLICE_X9Y99 MANUAL_ROUTING SITEPROP SLICE_X9Y99 NAME SLICE_X9Y99 SITEPROP SLICE_X9Y99 NUM_ARCS 138 SITEPROP SLICE_X9Y99 NUM_BELS 32 SITEPROP SLICE_X9Y99 NUM_INPUTS 32 SITEPROP SLICE_X9Y99 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y99 NUM_PINS 45 SITEPROP SLICE_X9Y99 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y99 PROHIBIT 0 SITEPROP SLICE_X9Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y99 RPM_X 39 SITEPROP SLICE_X9Y99 RPM_Y 198 SITEPROP SLICE_X9Y99 SITE_PIPS SITEPROP SLICE_X9Y99 SITE_TYPE SLICEL SITEPROP SLICE_X9Y100 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y100 CLASS site SITEPROP SLICE_X9Y100 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y100 IS_BONDED 0 SITEPROP SLICE_X9Y100 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y100 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y100 IS_PAD 0 SITEPROP SLICE_X9Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y100 IS_RESERVED 0 SITEPROP SLICE_X9Y100 IS_TEST 0 SITEPROP SLICE_X9Y100 IS_USED 0 SITEPROP SLICE_X9Y100 MANUAL_ROUTING SITEPROP SLICE_X9Y100 NAME SLICE_X9Y100 SITEPROP SLICE_X9Y100 NUM_ARCS 138 SITEPROP SLICE_X9Y100 NUM_BELS 32 SITEPROP SLICE_X9Y100 NUM_INPUTS 32 SITEPROP SLICE_X9Y100 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y100 NUM_PINS 45 SITEPROP SLICE_X9Y100 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y100 PROHIBIT 0 SITEPROP SLICE_X9Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y100 RPM_X 39 SITEPROP SLICE_X9Y100 RPM_Y 200 SITEPROP SLICE_X9Y100 SITE_PIPS SITEPROP SLICE_X9Y100 SITE_TYPE SLICEL SITEPROP SLICE_X9Y101 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y101 CLASS site SITEPROP SLICE_X9Y101 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y101 IS_BONDED 0 SITEPROP SLICE_X9Y101 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y101 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y101 IS_PAD 0 SITEPROP SLICE_X9Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y101 IS_RESERVED 0 SITEPROP SLICE_X9Y101 IS_TEST 0 SITEPROP SLICE_X9Y101 IS_USED 0 SITEPROP SLICE_X9Y101 MANUAL_ROUTING SITEPROP SLICE_X9Y101 NAME SLICE_X9Y101 SITEPROP SLICE_X9Y101 NUM_ARCS 138 SITEPROP SLICE_X9Y101 NUM_BELS 32 SITEPROP SLICE_X9Y101 NUM_INPUTS 32 SITEPROP SLICE_X9Y101 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y101 NUM_PINS 45 SITEPROP SLICE_X9Y101 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y101 PROHIBIT 0 SITEPROP SLICE_X9Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y101 RPM_X 39 SITEPROP SLICE_X9Y101 RPM_Y 202 SITEPROP SLICE_X9Y101 SITE_PIPS SITEPROP SLICE_X9Y101 SITE_TYPE SLICEL SITEPROP SLICE_X9Y102 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y102 CLASS site SITEPROP SLICE_X9Y102 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y102 IS_BONDED 0 SITEPROP SLICE_X9Y102 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y102 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y102 IS_PAD 0 SITEPROP SLICE_X9Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y102 IS_RESERVED 0 SITEPROP SLICE_X9Y102 IS_TEST 0 SITEPROP SLICE_X9Y102 IS_USED 0 SITEPROP SLICE_X9Y102 MANUAL_ROUTING SITEPROP SLICE_X9Y102 NAME SLICE_X9Y102 SITEPROP SLICE_X9Y102 NUM_ARCS 138 SITEPROP SLICE_X9Y102 NUM_BELS 32 SITEPROP SLICE_X9Y102 NUM_INPUTS 32 SITEPROP SLICE_X9Y102 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y102 NUM_PINS 45 SITEPROP SLICE_X9Y102 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y102 PROHIBIT 0 SITEPROP SLICE_X9Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y102 RPM_X 39 SITEPROP SLICE_X9Y102 RPM_Y 204 SITEPROP SLICE_X9Y102 SITE_PIPS SITEPROP SLICE_X9Y102 SITE_TYPE SLICEL SITEPROP SLICE_X9Y103 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y103 CLASS site SITEPROP SLICE_X9Y103 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y103 IS_BONDED 0 SITEPROP SLICE_X9Y103 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y103 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y103 IS_PAD 0 SITEPROP SLICE_X9Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y103 IS_RESERVED 0 SITEPROP SLICE_X9Y103 IS_TEST 0 SITEPROP SLICE_X9Y103 IS_USED 0 SITEPROP SLICE_X9Y103 MANUAL_ROUTING SITEPROP SLICE_X9Y103 NAME SLICE_X9Y103 SITEPROP SLICE_X9Y103 NUM_ARCS 138 SITEPROP SLICE_X9Y103 NUM_BELS 32 SITEPROP SLICE_X9Y103 NUM_INPUTS 32 SITEPROP SLICE_X9Y103 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y103 NUM_PINS 45 SITEPROP SLICE_X9Y103 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y103 PROHIBIT 0 SITEPROP SLICE_X9Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y103 RPM_X 39 SITEPROP SLICE_X9Y103 RPM_Y 206 SITEPROP SLICE_X9Y103 SITE_PIPS SITEPROP SLICE_X9Y103 SITE_TYPE SLICEL SITEPROP SLICE_X9Y104 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y104 CLASS site SITEPROP SLICE_X9Y104 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y104 IS_BONDED 0 SITEPROP SLICE_X9Y104 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y104 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y104 IS_PAD 0 SITEPROP SLICE_X9Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y104 IS_RESERVED 0 SITEPROP SLICE_X9Y104 IS_TEST 0 SITEPROP SLICE_X9Y104 IS_USED 0 SITEPROP SLICE_X9Y104 MANUAL_ROUTING SITEPROP SLICE_X9Y104 NAME SLICE_X9Y104 SITEPROP SLICE_X9Y104 NUM_ARCS 138 SITEPROP SLICE_X9Y104 NUM_BELS 32 SITEPROP SLICE_X9Y104 NUM_INPUTS 32 SITEPROP SLICE_X9Y104 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y104 NUM_PINS 45 SITEPROP SLICE_X9Y104 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y104 PROHIBIT 0 SITEPROP SLICE_X9Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y104 RPM_X 39 SITEPROP SLICE_X9Y104 RPM_Y 208 SITEPROP SLICE_X9Y104 SITE_PIPS SITEPROP SLICE_X9Y104 SITE_TYPE SLICEL SITEPROP SLICE_X9Y105 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y105 CLASS site SITEPROP SLICE_X9Y105 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y105 IS_BONDED 0 SITEPROP SLICE_X9Y105 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y105 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y105 IS_PAD 0 SITEPROP SLICE_X9Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y105 IS_RESERVED 0 SITEPROP SLICE_X9Y105 IS_TEST 0 SITEPROP SLICE_X9Y105 IS_USED 0 SITEPROP SLICE_X9Y105 MANUAL_ROUTING SITEPROP SLICE_X9Y105 NAME SLICE_X9Y105 SITEPROP SLICE_X9Y105 NUM_ARCS 138 SITEPROP SLICE_X9Y105 NUM_BELS 32 SITEPROP SLICE_X9Y105 NUM_INPUTS 32 SITEPROP SLICE_X9Y105 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y105 NUM_PINS 45 SITEPROP SLICE_X9Y105 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y105 PROHIBIT 0 SITEPROP SLICE_X9Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y105 RPM_X 39 SITEPROP SLICE_X9Y105 RPM_Y 210 SITEPROP SLICE_X9Y105 SITE_PIPS SITEPROP SLICE_X9Y105 SITE_TYPE SLICEL SITEPROP SLICE_X9Y106 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y106 CLASS site SITEPROP SLICE_X9Y106 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y106 IS_BONDED 0 SITEPROP SLICE_X9Y106 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y106 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y106 IS_PAD 0 SITEPROP SLICE_X9Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y106 IS_RESERVED 0 SITEPROP SLICE_X9Y106 IS_TEST 0 SITEPROP SLICE_X9Y106 IS_USED 0 SITEPROP SLICE_X9Y106 MANUAL_ROUTING SITEPROP SLICE_X9Y106 NAME SLICE_X9Y106 SITEPROP SLICE_X9Y106 NUM_ARCS 138 SITEPROP SLICE_X9Y106 NUM_BELS 32 SITEPROP SLICE_X9Y106 NUM_INPUTS 32 SITEPROP SLICE_X9Y106 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y106 NUM_PINS 45 SITEPROP SLICE_X9Y106 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y106 PROHIBIT 0 SITEPROP SLICE_X9Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y106 RPM_X 39 SITEPROP SLICE_X9Y106 RPM_Y 212 SITEPROP SLICE_X9Y106 SITE_PIPS SITEPROP SLICE_X9Y106 SITE_TYPE SLICEL SITEPROP SLICE_X9Y107 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y107 CLASS site SITEPROP SLICE_X9Y107 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y107 IS_BONDED 0 SITEPROP SLICE_X9Y107 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y107 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y107 IS_PAD 0 SITEPROP SLICE_X9Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y107 IS_RESERVED 0 SITEPROP SLICE_X9Y107 IS_TEST 0 SITEPROP SLICE_X9Y107 IS_USED 0 SITEPROP SLICE_X9Y107 MANUAL_ROUTING SITEPROP SLICE_X9Y107 NAME SLICE_X9Y107 SITEPROP SLICE_X9Y107 NUM_ARCS 138 SITEPROP SLICE_X9Y107 NUM_BELS 32 SITEPROP SLICE_X9Y107 NUM_INPUTS 32 SITEPROP SLICE_X9Y107 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y107 NUM_PINS 45 SITEPROP SLICE_X9Y107 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y107 PROHIBIT 0 SITEPROP SLICE_X9Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y107 RPM_X 39 SITEPROP SLICE_X9Y107 RPM_Y 214 SITEPROP SLICE_X9Y107 SITE_PIPS SITEPROP SLICE_X9Y107 SITE_TYPE SLICEL SITEPROP SLICE_X9Y108 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y108 CLASS site SITEPROP SLICE_X9Y108 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y108 IS_BONDED 0 SITEPROP SLICE_X9Y108 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y108 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y108 IS_PAD 0 SITEPROP SLICE_X9Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y108 IS_RESERVED 0 SITEPROP SLICE_X9Y108 IS_TEST 0 SITEPROP SLICE_X9Y108 IS_USED 0 SITEPROP SLICE_X9Y108 MANUAL_ROUTING SITEPROP SLICE_X9Y108 NAME SLICE_X9Y108 SITEPROP SLICE_X9Y108 NUM_ARCS 138 SITEPROP SLICE_X9Y108 NUM_BELS 32 SITEPROP SLICE_X9Y108 NUM_INPUTS 32 SITEPROP SLICE_X9Y108 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y108 NUM_PINS 45 SITEPROP SLICE_X9Y108 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y108 PROHIBIT 0 SITEPROP SLICE_X9Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y108 RPM_X 39 SITEPROP SLICE_X9Y108 RPM_Y 216 SITEPROP SLICE_X9Y108 SITE_PIPS SITEPROP SLICE_X9Y108 SITE_TYPE SLICEL SITEPROP SLICE_X9Y109 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y109 CLASS site SITEPROP SLICE_X9Y109 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y109 IS_BONDED 0 SITEPROP SLICE_X9Y109 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y109 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y109 IS_PAD 0 SITEPROP SLICE_X9Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y109 IS_RESERVED 0 SITEPROP SLICE_X9Y109 IS_TEST 0 SITEPROP SLICE_X9Y109 IS_USED 0 SITEPROP SLICE_X9Y109 MANUAL_ROUTING SITEPROP SLICE_X9Y109 NAME SLICE_X9Y109 SITEPROP SLICE_X9Y109 NUM_ARCS 138 SITEPROP SLICE_X9Y109 NUM_BELS 32 SITEPROP SLICE_X9Y109 NUM_INPUTS 32 SITEPROP SLICE_X9Y109 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y109 NUM_PINS 45 SITEPROP SLICE_X9Y109 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y109 PROHIBIT 0 SITEPROP SLICE_X9Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y109 RPM_X 39 SITEPROP SLICE_X9Y109 RPM_Y 218 SITEPROP SLICE_X9Y109 SITE_PIPS SITEPROP SLICE_X9Y109 SITE_TYPE SLICEL SITEPROP SLICE_X9Y110 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y110 CLASS site SITEPROP SLICE_X9Y110 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y110 IS_BONDED 0 SITEPROP SLICE_X9Y110 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y110 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y110 IS_PAD 0 SITEPROP SLICE_X9Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y110 IS_RESERVED 0 SITEPROP SLICE_X9Y110 IS_TEST 0 SITEPROP SLICE_X9Y110 IS_USED 0 SITEPROP SLICE_X9Y110 MANUAL_ROUTING SITEPROP SLICE_X9Y110 NAME SLICE_X9Y110 SITEPROP SLICE_X9Y110 NUM_ARCS 138 SITEPROP SLICE_X9Y110 NUM_BELS 32 SITEPROP SLICE_X9Y110 NUM_INPUTS 32 SITEPROP SLICE_X9Y110 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y110 NUM_PINS 45 SITEPROP SLICE_X9Y110 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y110 PROHIBIT 0 SITEPROP SLICE_X9Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y110 RPM_X 39 SITEPROP SLICE_X9Y110 RPM_Y 220 SITEPROP SLICE_X9Y110 SITE_PIPS SITEPROP SLICE_X9Y110 SITE_TYPE SLICEL SITEPROP SLICE_X9Y111 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y111 CLASS site SITEPROP SLICE_X9Y111 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y111 IS_BONDED 0 SITEPROP SLICE_X9Y111 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y111 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y111 IS_PAD 0 SITEPROP SLICE_X9Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y111 IS_RESERVED 0 SITEPROP SLICE_X9Y111 IS_TEST 0 SITEPROP SLICE_X9Y111 IS_USED 0 SITEPROP SLICE_X9Y111 MANUAL_ROUTING SITEPROP SLICE_X9Y111 NAME SLICE_X9Y111 SITEPROP SLICE_X9Y111 NUM_ARCS 138 SITEPROP SLICE_X9Y111 NUM_BELS 32 SITEPROP SLICE_X9Y111 NUM_INPUTS 32 SITEPROP SLICE_X9Y111 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y111 NUM_PINS 45 SITEPROP SLICE_X9Y111 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y111 PROHIBIT 0 SITEPROP SLICE_X9Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y111 RPM_X 39 SITEPROP SLICE_X9Y111 RPM_Y 222 SITEPROP SLICE_X9Y111 SITE_PIPS SITEPROP SLICE_X9Y111 SITE_TYPE SLICEL SITEPROP SLICE_X9Y112 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y112 CLASS site SITEPROP SLICE_X9Y112 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y112 IS_BONDED 0 SITEPROP SLICE_X9Y112 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y112 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y112 IS_PAD 0 SITEPROP SLICE_X9Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y112 IS_RESERVED 0 SITEPROP SLICE_X9Y112 IS_TEST 0 SITEPROP SLICE_X9Y112 IS_USED 0 SITEPROP SLICE_X9Y112 MANUAL_ROUTING SITEPROP SLICE_X9Y112 NAME SLICE_X9Y112 SITEPROP SLICE_X9Y112 NUM_ARCS 138 SITEPROP SLICE_X9Y112 NUM_BELS 32 SITEPROP SLICE_X9Y112 NUM_INPUTS 32 SITEPROP SLICE_X9Y112 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y112 NUM_PINS 45 SITEPROP SLICE_X9Y112 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y112 PROHIBIT 0 SITEPROP SLICE_X9Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y112 RPM_X 39 SITEPROP SLICE_X9Y112 RPM_Y 224 SITEPROP SLICE_X9Y112 SITE_PIPS SITEPROP SLICE_X9Y112 SITE_TYPE SLICEL SITEPROP SLICE_X9Y113 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y113 CLASS site SITEPROP SLICE_X9Y113 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y113 IS_BONDED 0 SITEPROP SLICE_X9Y113 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y113 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y113 IS_PAD 0 SITEPROP SLICE_X9Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y113 IS_RESERVED 0 SITEPROP SLICE_X9Y113 IS_TEST 0 SITEPROP SLICE_X9Y113 IS_USED 0 SITEPROP SLICE_X9Y113 MANUAL_ROUTING SITEPROP SLICE_X9Y113 NAME SLICE_X9Y113 SITEPROP SLICE_X9Y113 NUM_ARCS 138 SITEPROP SLICE_X9Y113 NUM_BELS 32 SITEPROP SLICE_X9Y113 NUM_INPUTS 32 SITEPROP SLICE_X9Y113 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y113 NUM_PINS 45 SITEPROP SLICE_X9Y113 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y113 PROHIBIT 0 SITEPROP SLICE_X9Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y113 RPM_X 39 SITEPROP SLICE_X9Y113 RPM_Y 226 SITEPROP SLICE_X9Y113 SITE_PIPS SITEPROP SLICE_X9Y113 SITE_TYPE SLICEL SITEPROP SLICE_X9Y114 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y114 CLASS site SITEPROP SLICE_X9Y114 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y114 IS_BONDED 0 SITEPROP SLICE_X9Y114 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y114 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y114 IS_PAD 0 SITEPROP SLICE_X9Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y114 IS_RESERVED 0 SITEPROP SLICE_X9Y114 IS_TEST 0 SITEPROP SLICE_X9Y114 IS_USED 0 SITEPROP SLICE_X9Y114 MANUAL_ROUTING SITEPROP SLICE_X9Y114 NAME SLICE_X9Y114 SITEPROP SLICE_X9Y114 NUM_ARCS 138 SITEPROP SLICE_X9Y114 NUM_BELS 32 SITEPROP SLICE_X9Y114 NUM_INPUTS 32 SITEPROP SLICE_X9Y114 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y114 NUM_PINS 45 SITEPROP SLICE_X9Y114 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y114 PROHIBIT 0 SITEPROP SLICE_X9Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y114 RPM_X 39 SITEPROP SLICE_X9Y114 RPM_Y 228 SITEPROP SLICE_X9Y114 SITE_PIPS SITEPROP SLICE_X9Y114 SITE_TYPE SLICEL SITEPROP SLICE_X9Y115 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y115 CLASS site SITEPROP SLICE_X9Y115 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y115 IS_BONDED 0 SITEPROP SLICE_X9Y115 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y115 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y115 IS_PAD 0 SITEPROP SLICE_X9Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y115 IS_RESERVED 0 SITEPROP SLICE_X9Y115 IS_TEST 0 SITEPROP SLICE_X9Y115 IS_USED 0 SITEPROP SLICE_X9Y115 MANUAL_ROUTING SITEPROP SLICE_X9Y115 NAME SLICE_X9Y115 SITEPROP SLICE_X9Y115 NUM_ARCS 138 SITEPROP SLICE_X9Y115 NUM_BELS 32 SITEPROP SLICE_X9Y115 NUM_INPUTS 32 SITEPROP SLICE_X9Y115 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y115 NUM_PINS 45 SITEPROP SLICE_X9Y115 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y115 PROHIBIT 0 SITEPROP SLICE_X9Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y115 RPM_X 39 SITEPROP SLICE_X9Y115 RPM_Y 230 SITEPROP SLICE_X9Y115 SITE_PIPS SITEPROP SLICE_X9Y115 SITE_TYPE SLICEL SITEPROP SLICE_X9Y116 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y116 CLASS site SITEPROP SLICE_X9Y116 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y116 IS_BONDED 0 SITEPROP SLICE_X9Y116 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y116 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y116 IS_PAD 0 SITEPROP SLICE_X9Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y116 IS_RESERVED 0 SITEPROP SLICE_X9Y116 IS_TEST 0 SITEPROP SLICE_X9Y116 IS_USED 0 SITEPROP SLICE_X9Y116 MANUAL_ROUTING SITEPROP SLICE_X9Y116 NAME SLICE_X9Y116 SITEPROP SLICE_X9Y116 NUM_ARCS 138 SITEPROP SLICE_X9Y116 NUM_BELS 32 SITEPROP SLICE_X9Y116 NUM_INPUTS 32 SITEPROP SLICE_X9Y116 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y116 NUM_PINS 45 SITEPROP SLICE_X9Y116 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y116 PROHIBIT 0 SITEPROP SLICE_X9Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y116 RPM_X 39 SITEPROP SLICE_X9Y116 RPM_Y 232 SITEPROP SLICE_X9Y116 SITE_PIPS SITEPROP SLICE_X9Y116 SITE_TYPE SLICEL SITEPROP SLICE_X9Y117 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y117 CLASS site SITEPROP SLICE_X9Y117 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y117 IS_BONDED 0 SITEPROP SLICE_X9Y117 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y117 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y117 IS_PAD 0 SITEPROP SLICE_X9Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y117 IS_RESERVED 0 SITEPROP SLICE_X9Y117 IS_TEST 0 SITEPROP SLICE_X9Y117 IS_USED 0 SITEPROP SLICE_X9Y117 MANUAL_ROUTING SITEPROP SLICE_X9Y117 NAME SLICE_X9Y117 SITEPROP SLICE_X9Y117 NUM_ARCS 138 SITEPROP SLICE_X9Y117 NUM_BELS 32 SITEPROP SLICE_X9Y117 NUM_INPUTS 32 SITEPROP SLICE_X9Y117 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y117 NUM_PINS 45 SITEPROP SLICE_X9Y117 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y117 PROHIBIT 0 SITEPROP SLICE_X9Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y117 RPM_X 39 SITEPROP SLICE_X9Y117 RPM_Y 234 SITEPROP SLICE_X9Y117 SITE_PIPS SITEPROP SLICE_X9Y117 SITE_TYPE SLICEL SITEPROP SLICE_X9Y118 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y118 CLASS site SITEPROP SLICE_X9Y118 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y118 IS_BONDED 0 SITEPROP SLICE_X9Y118 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y118 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y118 IS_PAD 0 SITEPROP SLICE_X9Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y118 IS_RESERVED 0 SITEPROP SLICE_X9Y118 IS_TEST 0 SITEPROP SLICE_X9Y118 IS_USED 0 SITEPROP SLICE_X9Y118 MANUAL_ROUTING SITEPROP SLICE_X9Y118 NAME SLICE_X9Y118 SITEPROP SLICE_X9Y118 NUM_ARCS 138 SITEPROP SLICE_X9Y118 NUM_BELS 32 SITEPROP SLICE_X9Y118 NUM_INPUTS 32 SITEPROP SLICE_X9Y118 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y118 NUM_PINS 45 SITEPROP SLICE_X9Y118 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y118 PROHIBIT 0 SITEPROP SLICE_X9Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y118 RPM_X 39 SITEPROP SLICE_X9Y118 RPM_Y 236 SITEPROP SLICE_X9Y118 SITE_PIPS SITEPROP SLICE_X9Y118 SITE_TYPE SLICEL SITEPROP SLICE_X9Y119 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y119 CLASS site SITEPROP SLICE_X9Y119 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y119 IS_BONDED 0 SITEPROP SLICE_X9Y119 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y119 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y119 IS_PAD 0 SITEPROP SLICE_X9Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y119 IS_RESERVED 0 SITEPROP SLICE_X9Y119 IS_TEST 0 SITEPROP SLICE_X9Y119 IS_USED 0 SITEPROP SLICE_X9Y119 MANUAL_ROUTING SITEPROP SLICE_X9Y119 NAME SLICE_X9Y119 SITEPROP SLICE_X9Y119 NUM_ARCS 138 SITEPROP SLICE_X9Y119 NUM_BELS 32 SITEPROP SLICE_X9Y119 NUM_INPUTS 32 SITEPROP SLICE_X9Y119 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y119 NUM_PINS 45 SITEPROP SLICE_X9Y119 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y119 PROHIBIT 0 SITEPROP SLICE_X9Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y119 RPM_X 39 SITEPROP SLICE_X9Y119 RPM_Y 238 SITEPROP SLICE_X9Y119 SITE_PIPS SITEPROP SLICE_X9Y119 SITE_TYPE SLICEL SITEPROP SLICE_X9Y120 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y120 CLASS site SITEPROP SLICE_X9Y120 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y120 IS_BONDED 0 SITEPROP SLICE_X9Y120 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y120 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y120 IS_PAD 0 SITEPROP SLICE_X9Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y120 IS_RESERVED 0 SITEPROP SLICE_X9Y120 IS_TEST 0 SITEPROP SLICE_X9Y120 IS_USED 0 SITEPROP SLICE_X9Y120 MANUAL_ROUTING SITEPROP SLICE_X9Y120 NAME SLICE_X9Y120 SITEPROP SLICE_X9Y120 NUM_ARCS 138 SITEPROP SLICE_X9Y120 NUM_BELS 32 SITEPROP SLICE_X9Y120 NUM_INPUTS 32 SITEPROP SLICE_X9Y120 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y120 NUM_PINS 45 SITEPROP SLICE_X9Y120 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y120 PROHIBIT 0 SITEPROP SLICE_X9Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y120 RPM_X 39 SITEPROP SLICE_X9Y120 RPM_Y 240 SITEPROP SLICE_X9Y120 SITE_PIPS SITEPROP SLICE_X9Y120 SITE_TYPE SLICEL SITEPROP SLICE_X9Y121 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y121 CLASS site SITEPROP SLICE_X9Y121 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y121 IS_BONDED 0 SITEPROP SLICE_X9Y121 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y121 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y121 IS_PAD 0 SITEPROP SLICE_X9Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y121 IS_RESERVED 0 SITEPROP SLICE_X9Y121 IS_TEST 0 SITEPROP SLICE_X9Y121 IS_USED 0 SITEPROP SLICE_X9Y121 MANUAL_ROUTING SITEPROP SLICE_X9Y121 NAME SLICE_X9Y121 SITEPROP SLICE_X9Y121 NUM_ARCS 138 SITEPROP SLICE_X9Y121 NUM_BELS 32 SITEPROP SLICE_X9Y121 NUM_INPUTS 32 SITEPROP SLICE_X9Y121 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y121 NUM_PINS 45 SITEPROP SLICE_X9Y121 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y121 PROHIBIT 0 SITEPROP SLICE_X9Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y121 RPM_X 39 SITEPROP SLICE_X9Y121 RPM_Y 242 SITEPROP SLICE_X9Y121 SITE_PIPS SITEPROP SLICE_X9Y121 SITE_TYPE SLICEL SITEPROP SLICE_X9Y122 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y122 CLASS site SITEPROP SLICE_X9Y122 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y122 IS_BONDED 0 SITEPROP SLICE_X9Y122 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y122 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y122 IS_PAD 0 SITEPROP SLICE_X9Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y122 IS_RESERVED 0 SITEPROP SLICE_X9Y122 IS_TEST 0 SITEPROP SLICE_X9Y122 IS_USED 0 SITEPROP SLICE_X9Y122 MANUAL_ROUTING SITEPROP SLICE_X9Y122 NAME SLICE_X9Y122 SITEPROP SLICE_X9Y122 NUM_ARCS 138 SITEPROP SLICE_X9Y122 NUM_BELS 32 SITEPROP SLICE_X9Y122 NUM_INPUTS 32 SITEPROP SLICE_X9Y122 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y122 NUM_PINS 45 SITEPROP SLICE_X9Y122 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y122 PROHIBIT 0 SITEPROP SLICE_X9Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y122 RPM_X 39 SITEPROP SLICE_X9Y122 RPM_Y 244 SITEPROP SLICE_X9Y122 SITE_PIPS SITEPROP SLICE_X9Y122 SITE_TYPE SLICEL SITEPROP SLICE_X9Y123 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y123 CLASS site SITEPROP SLICE_X9Y123 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y123 IS_BONDED 0 SITEPROP SLICE_X9Y123 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y123 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y123 IS_PAD 0 SITEPROP SLICE_X9Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y123 IS_RESERVED 0 SITEPROP SLICE_X9Y123 IS_TEST 0 SITEPROP SLICE_X9Y123 IS_USED 0 SITEPROP SLICE_X9Y123 MANUAL_ROUTING SITEPROP SLICE_X9Y123 NAME SLICE_X9Y123 SITEPROP SLICE_X9Y123 NUM_ARCS 138 SITEPROP SLICE_X9Y123 NUM_BELS 32 SITEPROP SLICE_X9Y123 NUM_INPUTS 32 SITEPROP SLICE_X9Y123 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y123 NUM_PINS 45 SITEPROP SLICE_X9Y123 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y123 PROHIBIT 0 SITEPROP SLICE_X9Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y123 RPM_X 39 SITEPROP SLICE_X9Y123 RPM_Y 246 SITEPROP SLICE_X9Y123 SITE_PIPS SITEPROP SLICE_X9Y123 SITE_TYPE SLICEL SITEPROP SLICE_X9Y124 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y124 CLASS site SITEPROP SLICE_X9Y124 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y124 IS_BONDED 0 SITEPROP SLICE_X9Y124 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y124 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y124 IS_PAD 0 SITEPROP SLICE_X9Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y124 IS_RESERVED 0 SITEPROP SLICE_X9Y124 IS_TEST 0 SITEPROP SLICE_X9Y124 IS_USED 0 SITEPROP SLICE_X9Y124 MANUAL_ROUTING SITEPROP SLICE_X9Y124 NAME SLICE_X9Y124 SITEPROP SLICE_X9Y124 NUM_ARCS 138 SITEPROP SLICE_X9Y124 NUM_BELS 32 SITEPROP SLICE_X9Y124 NUM_INPUTS 32 SITEPROP SLICE_X9Y124 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y124 NUM_PINS 45 SITEPROP SLICE_X9Y124 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y124 PROHIBIT 0 SITEPROP SLICE_X9Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y124 RPM_X 39 SITEPROP SLICE_X9Y124 RPM_Y 248 SITEPROP SLICE_X9Y124 SITE_PIPS SITEPROP SLICE_X9Y124 SITE_TYPE SLICEL SITEPROP SLICE_X9Y125 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y125 CLASS site SITEPROP SLICE_X9Y125 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y125 IS_BONDED 0 SITEPROP SLICE_X9Y125 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y125 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y125 IS_PAD 0 SITEPROP SLICE_X9Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y125 IS_RESERVED 0 SITEPROP SLICE_X9Y125 IS_TEST 0 SITEPROP SLICE_X9Y125 IS_USED 0 SITEPROP SLICE_X9Y125 MANUAL_ROUTING SITEPROP SLICE_X9Y125 NAME SLICE_X9Y125 SITEPROP SLICE_X9Y125 NUM_ARCS 138 SITEPROP SLICE_X9Y125 NUM_BELS 32 SITEPROP SLICE_X9Y125 NUM_INPUTS 32 SITEPROP SLICE_X9Y125 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y125 NUM_PINS 45 SITEPROP SLICE_X9Y125 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y125 PROHIBIT 0 SITEPROP SLICE_X9Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y125 RPM_X 39 SITEPROP SLICE_X9Y125 RPM_Y 250 SITEPROP SLICE_X9Y125 SITE_PIPS SITEPROP SLICE_X9Y125 SITE_TYPE SLICEL SITEPROP SLICE_X9Y126 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y126 CLASS site SITEPROP SLICE_X9Y126 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y126 IS_BONDED 0 SITEPROP SLICE_X9Y126 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y126 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y126 IS_PAD 0 SITEPROP SLICE_X9Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y126 IS_RESERVED 0 SITEPROP SLICE_X9Y126 IS_TEST 0 SITEPROP SLICE_X9Y126 IS_USED 0 SITEPROP SLICE_X9Y126 MANUAL_ROUTING SITEPROP SLICE_X9Y126 NAME SLICE_X9Y126 SITEPROP SLICE_X9Y126 NUM_ARCS 138 SITEPROP SLICE_X9Y126 NUM_BELS 32 SITEPROP SLICE_X9Y126 NUM_INPUTS 32 SITEPROP SLICE_X9Y126 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y126 NUM_PINS 45 SITEPROP SLICE_X9Y126 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y126 PROHIBIT 0 SITEPROP SLICE_X9Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y126 RPM_X 39 SITEPROP SLICE_X9Y126 RPM_Y 252 SITEPROP SLICE_X9Y126 SITE_PIPS SITEPROP SLICE_X9Y126 SITE_TYPE SLICEL SITEPROP SLICE_X9Y127 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y127 CLASS site SITEPROP SLICE_X9Y127 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y127 IS_BONDED 0 SITEPROP SLICE_X9Y127 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y127 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y127 IS_PAD 0 SITEPROP SLICE_X9Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y127 IS_RESERVED 0 SITEPROP SLICE_X9Y127 IS_TEST 0 SITEPROP SLICE_X9Y127 IS_USED 0 SITEPROP SLICE_X9Y127 MANUAL_ROUTING SITEPROP SLICE_X9Y127 NAME SLICE_X9Y127 SITEPROP SLICE_X9Y127 NUM_ARCS 138 SITEPROP SLICE_X9Y127 NUM_BELS 32 SITEPROP SLICE_X9Y127 NUM_INPUTS 32 SITEPROP SLICE_X9Y127 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y127 NUM_PINS 45 SITEPROP SLICE_X9Y127 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y127 PROHIBIT 0 SITEPROP SLICE_X9Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y127 RPM_X 39 SITEPROP SLICE_X9Y127 RPM_Y 254 SITEPROP SLICE_X9Y127 SITE_PIPS SITEPROP SLICE_X9Y127 SITE_TYPE SLICEL SITEPROP SLICE_X9Y128 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y128 CLASS site SITEPROP SLICE_X9Y128 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y128 IS_BONDED 0 SITEPROP SLICE_X9Y128 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y128 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y128 IS_PAD 0 SITEPROP SLICE_X9Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y128 IS_RESERVED 0 SITEPROP SLICE_X9Y128 IS_TEST 0 SITEPROP SLICE_X9Y128 IS_USED 0 SITEPROP SLICE_X9Y128 MANUAL_ROUTING SITEPROP SLICE_X9Y128 NAME SLICE_X9Y128 SITEPROP SLICE_X9Y128 NUM_ARCS 138 SITEPROP SLICE_X9Y128 NUM_BELS 32 SITEPROP SLICE_X9Y128 NUM_INPUTS 32 SITEPROP SLICE_X9Y128 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y128 NUM_PINS 45 SITEPROP SLICE_X9Y128 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y128 PROHIBIT 0 SITEPROP SLICE_X9Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y128 RPM_X 39 SITEPROP SLICE_X9Y128 RPM_Y 256 SITEPROP SLICE_X9Y128 SITE_PIPS SITEPROP SLICE_X9Y128 SITE_TYPE SLICEL SITEPROP SLICE_X9Y129 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y129 CLASS site SITEPROP SLICE_X9Y129 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y129 IS_BONDED 0 SITEPROP SLICE_X9Y129 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y129 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y129 IS_PAD 0 SITEPROP SLICE_X9Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y129 IS_RESERVED 0 SITEPROP SLICE_X9Y129 IS_TEST 0 SITEPROP SLICE_X9Y129 IS_USED 0 SITEPROP SLICE_X9Y129 MANUAL_ROUTING SITEPROP SLICE_X9Y129 NAME SLICE_X9Y129 SITEPROP SLICE_X9Y129 NUM_ARCS 138 SITEPROP SLICE_X9Y129 NUM_BELS 32 SITEPROP SLICE_X9Y129 NUM_INPUTS 32 SITEPROP SLICE_X9Y129 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y129 NUM_PINS 45 SITEPROP SLICE_X9Y129 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y129 PROHIBIT 0 SITEPROP SLICE_X9Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y129 RPM_X 39 SITEPROP SLICE_X9Y129 RPM_Y 258 SITEPROP SLICE_X9Y129 SITE_PIPS SITEPROP SLICE_X9Y129 SITE_TYPE SLICEL SITEPROP SLICE_X9Y130 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y130 CLASS site SITEPROP SLICE_X9Y130 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y130 IS_BONDED 0 SITEPROP SLICE_X9Y130 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y130 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y130 IS_PAD 0 SITEPROP SLICE_X9Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y130 IS_RESERVED 0 SITEPROP SLICE_X9Y130 IS_TEST 0 SITEPROP SLICE_X9Y130 IS_USED 0 SITEPROP SLICE_X9Y130 MANUAL_ROUTING SITEPROP SLICE_X9Y130 NAME SLICE_X9Y130 SITEPROP SLICE_X9Y130 NUM_ARCS 138 SITEPROP SLICE_X9Y130 NUM_BELS 32 SITEPROP SLICE_X9Y130 NUM_INPUTS 32 SITEPROP SLICE_X9Y130 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y130 NUM_PINS 45 SITEPROP SLICE_X9Y130 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y130 PROHIBIT 0 SITEPROP SLICE_X9Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y130 RPM_X 39 SITEPROP SLICE_X9Y130 RPM_Y 260 SITEPROP SLICE_X9Y130 SITE_PIPS SITEPROP SLICE_X9Y130 SITE_TYPE SLICEL SITEPROP SLICE_X9Y131 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y131 CLASS site SITEPROP SLICE_X9Y131 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y131 IS_BONDED 0 SITEPROP SLICE_X9Y131 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y131 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y131 IS_PAD 0 SITEPROP SLICE_X9Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y131 IS_RESERVED 0 SITEPROP SLICE_X9Y131 IS_TEST 0 SITEPROP SLICE_X9Y131 IS_USED 0 SITEPROP SLICE_X9Y131 MANUAL_ROUTING SITEPROP SLICE_X9Y131 NAME SLICE_X9Y131 SITEPROP SLICE_X9Y131 NUM_ARCS 138 SITEPROP SLICE_X9Y131 NUM_BELS 32 SITEPROP SLICE_X9Y131 NUM_INPUTS 32 SITEPROP SLICE_X9Y131 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y131 NUM_PINS 45 SITEPROP SLICE_X9Y131 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y131 PROHIBIT 0 SITEPROP SLICE_X9Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y131 RPM_X 39 SITEPROP SLICE_X9Y131 RPM_Y 262 SITEPROP SLICE_X9Y131 SITE_PIPS SITEPROP SLICE_X9Y131 SITE_TYPE SLICEL SITEPROP SLICE_X9Y132 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y132 CLASS site SITEPROP SLICE_X9Y132 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y132 IS_BONDED 0 SITEPROP SLICE_X9Y132 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y132 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y132 IS_PAD 0 SITEPROP SLICE_X9Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y132 IS_RESERVED 0 SITEPROP SLICE_X9Y132 IS_TEST 0 SITEPROP SLICE_X9Y132 IS_USED 0 SITEPROP SLICE_X9Y132 MANUAL_ROUTING SITEPROP SLICE_X9Y132 NAME SLICE_X9Y132 SITEPROP SLICE_X9Y132 NUM_ARCS 138 SITEPROP SLICE_X9Y132 NUM_BELS 32 SITEPROP SLICE_X9Y132 NUM_INPUTS 32 SITEPROP SLICE_X9Y132 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y132 NUM_PINS 45 SITEPROP SLICE_X9Y132 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y132 PROHIBIT 0 SITEPROP SLICE_X9Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y132 RPM_X 39 SITEPROP SLICE_X9Y132 RPM_Y 264 SITEPROP SLICE_X9Y132 SITE_PIPS SITEPROP SLICE_X9Y132 SITE_TYPE SLICEL SITEPROP SLICE_X9Y133 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y133 CLASS site SITEPROP SLICE_X9Y133 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y133 IS_BONDED 0 SITEPROP SLICE_X9Y133 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y133 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y133 IS_PAD 0 SITEPROP SLICE_X9Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y133 IS_RESERVED 0 SITEPROP SLICE_X9Y133 IS_TEST 0 SITEPROP SLICE_X9Y133 IS_USED 0 SITEPROP SLICE_X9Y133 MANUAL_ROUTING SITEPROP SLICE_X9Y133 NAME SLICE_X9Y133 SITEPROP SLICE_X9Y133 NUM_ARCS 138 SITEPROP SLICE_X9Y133 NUM_BELS 32 SITEPROP SLICE_X9Y133 NUM_INPUTS 32 SITEPROP SLICE_X9Y133 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y133 NUM_PINS 45 SITEPROP SLICE_X9Y133 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y133 PROHIBIT 0 SITEPROP SLICE_X9Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y133 RPM_X 39 SITEPROP SLICE_X9Y133 RPM_Y 266 SITEPROP SLICE_X9Y133 SITE_PIPS SITEPROP SLICE_X9Y133 SITE_TYPE SLICEL SITEPROP SLICE_X9Y134 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y134 CLASS site SITEPROP SLICE_X9Y134 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y134 IS_BONDED 0 SITEPROP SLICE_X9Y134 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y134 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y134 IS_PAD 0 SITEPROP SLICE_X9Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y134 IS_RESERVED 0 SITEPROP SLICE_X9Y134 IS_TEST 0 SITEPROP SLICE_X9Y134 IS_USED 0 SITEPROP SLICE_X9Y134 MANUAL_ROUTING SITEPROP SLICE_X9Y134 NAME SLICE_X9Y134 SITEPROP SLICE_X9Y134 NUM_ARCS 138 SITEPROP SLICE_X9Y134 NUM_BELS 32 SITEPROP SLICE_X9Y134 NUM_INPUTS 32 SITEPROP SLICE_X9Y134 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y134 NUM_PINS 45 SITEPROP SLICE_X9Y134 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y134 PROHIBIT 0 SITEPROP SLICE_X9Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y134 RPM_X 39 SITEPROP SLICE_X9Y134 RPM_Y 268 SITEPROP SLICE_X9Y134 SITE_PIPS SITEPROP SLICE_X9Y134 SITE_TYPE SLICEL SITEPROP SLICE_X9Y135 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y135 CLASS site SITEPROP SLICE_X9Y135 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y135 IS_BONDED 0 SITEPROP SLICE_X9Y135 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y135 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y135 IS_PAD 0 SITEPROP SLICE_X9Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y135 IS_RESERVED 0 SITEPROP SLICE_X9Y135 IS_TEST 0 SITEPROP SLICE_X9Y135 IS_USED 0 SITEPROP SLICE_X9Y135 MANUAL_ROUTING SITEPROP SLICE_X9Y135 NAME SLICE_X9Y135 SITEPROP SLICE_X9Y135 NUM_ARCS 138 SITEPROP SLICE_X9Y135 NUM_BELS 32 SITEPROP SLICE_X9Y135 NUM_INPUTS 32 SITEPROP SLICE_X9Y135 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y135 NUM_PINS 45 SITEPROP SLICE_X9Y135 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y135 PROHIBIT 0 SITEPROP SLICE_X9Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y135 RPM_X 39 SITEPROP SLICE_X9Y135 RPM_Y 270 SITEPROP SLICE_X9Y135 SITE_PIPS SITEPROP SLICE_X9Y135 SITE_TYPE SLICEL SITEPROP SLICE_X9Y136 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y136 CLASS site SITEPROP SLICE_X9Y136 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y136 IS_BONDED 0 SITEPROP SLICE_X9Y136 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y136 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y136 IS_PAD 0 SITEPROP SLICE_X9Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y136 IS_RESERVED 0 SITEPROP SLICE_X9Y136 IS_TEST 0 SITEPROP SLICE_X9Y136 IS_USED 0 SITEPROP SLICE_X9Y136 MANUAL_ROUTING SITEPROP SLICE_X9Y136 NAME SLICE_X9Y136 SITEPROP SLICE_X9Y136 NUM_ARCS 138 SITEPROP SLICE_X9Y136 NUM_BELS 32 SITEPROP SLICE_X9Y136 NUM_INPUTS 32 SITEPROP SLICE_X9Y136 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y136 NUM_PINS 45 SITEPROP SLICE_X9Y136 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y136 PROHIBIT 0 SITEPROP SLICE_X9Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y136 RPM_X 39 SITEPROP SLICE_X9Y136 RPM_Y 272 SITEPROP SLICE_X9Y136 SITE_PIPS SITEPROP SLICE_X9Y136 SITE_TYPE SLICEL SITEPROP SLICE_X9Y137 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y137 CLASS site SITEPROP SLICE_X9Y137 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y137 IS_BONDED 0 SITEPROP SLICE_X9Y137 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y137 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y137 IS_PAD 0 SITEPROP SLICE_X9Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y137 IS_RESERVED 0 SITEPROP SLICE_X9Y137 IS_TEST 0 SITEPROP SLICE_X9Y137 IS_USED 0 SITEPROP SLICE_X9Y137 MANUAL_ROUTING SITEPROP SLICE_X9Y137 NAME SLICE_X9Y137 SITEPROP SLICE_X9Y137 NUM_ARCS 138 SITEPROP SLICE_X9Y137 NUM_BELS 32 SITEPROP SLICE_X9Y137 NUM_INPUTS 32 SITEPROP SLICE_X9Y137 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y137 NUM_PINS 45 SITEPROP SLICE_X9Y137 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y137 PROHIBIT 0 SITEPROP SLICE_X9Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y137 RPM_X 39 SITEPROP SLICE_X9Y137 RPM_Y 274 SITEPROP SLICE_X9Y137 SITE_PIPS SITEPROP SLICE_X9Y137 SITE_TYPE SLICEL SITEPROP SLICE_X9Y138 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y138 CLASS site SITEPROP SLICE_X9Y138 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y138 IS_BONDED 0 SITEPROP SLICE_X9Y138 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y138 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y138 IS_PAD 0 SITEPROP SLICE_X9Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y138 IS_RESERVED 0 SITEPROP SLICE_X9Y138 IS_TEST 0 SITEPROP SLICE_X9Y138 IS_USED 0 SITEPROP SLICE_X9Y138 MANUAL_ROUTING SITEPROP SLICE_X9Y138 NAME SLICE_X9Y138 SITEPROP SLICE_X9Y138 NUM_ARCS 138 SITEPROP SLICE_X9Y138 NUM_BELS 32 SITEPROP SLICE_X9Y138 NUM_INPUTS 32 SITEPROP SLICE_X9Y138 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y138 NUM_PINS 45 SITEPROP SLICE_X9Y138 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y138 PROHIBIT 0 SITEPROP SLICE_X9Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y138 RPM_X 39 SITEPROP SLICE_X9Y138 RPM_Y 276 SITEPROP SLICE_X9Y138 SITE_PIPS SITEPROP SLICE_X9Y138 SITE_TYPE SLICEL SITEPROP SLICE_X9Y139 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y139 CLASS site SITEPROP SLICE_X9Y139 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y139 IS_BONDED 0 SITEPROP SLICE_X9Y139 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y139 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y139 IS_PAD 0 SITEPROP SLICE_X9Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y139 IS_RESERVED 0 SITEPROP SLICE_X9Y139 IS_TEST 0 SITEPROP SLICE_X9Y139 IS_USED 0 SITEPROP SLICE_X9Y139 MANUAL_ROUTING SITEPROP SLICE_X9Y139 NAME SLICE_X9Y139 SITEPROP SLICE_X9Y139 NUM_ARCS 138 SITEPROP SLICE_X9Y139 NUM_BELS 32 SITEPROP SLICE_X9Y139 NUM_INPUTS 32 SITEPROP SLICE_X9Y139 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y139 NUM_PINS 45 SITEPROP SLICE_X9Y139 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y139 PROHIBIT 0 SITEPROP SLICE_X9Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y139 RPM_X 39 SITEPROP SLICE_X9Y139 RPM_Y 278 SITEPROP SLICE_X9Y139 SITE_PIPS SITEPROP SLICE_X9Y139 SITE_TYPE SLICEL SITEPROP SLICE_X9Y140 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y140 CLASS site SITEPROP SLICE_X9Y140 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y140 IS_BONDED 0 SITEPROP SLICE_X9Y140 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y140 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y140 IS_PAD 0 SITEPROP SLICE_X9Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y140 IS_RESERVED 0 SITEPROP SLICE_X9Y140 IS_TEST 0 SITEPROP SLICE_X9Y140 IS_USED 0 SITEPROP SLICE_X9Y140 MANUAL_ROUTING SITEPROP SLICE_X9Y140 NAME SLICE_X9Y140 SITEPROP SLICE_X9Y140 NUM_ARCS 138 SITEPROP SLICE_X9Y140 NUM_BELS 32 SITEPROP SLICE_X9Y140 NUM_INPUTS 32 SITEPROP SLICE_X9Y140 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y140 NUM_PINS 45 SITEPROP SLICE_X9Y140 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y140 PROHIBIT 0 SITEPROP SLICE_X9Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y140 RPM_X 39 SITEPROP SLICE_X9Y140 RPM_Y 280 SITEPROP SLICE_X9Y140 SITE_PIPS SITEPROP SLICE_X9Y140 SITE_TYPE SLICEL SITEPROP SLICE_X9Y141 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y141 CLASS site SITEPROP SLICE_X9Y141 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y141 IS_BONDED 0 SITEPROP SLICE_X9Y141 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y141 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y141 IS_PAD 0 SITEPROP SLICE_X9Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y141 IS_RESERVED 0 SITEPROP SLICE_X9Y141 IS_TEST 0 SITEPROP SLICE_X9Y141 IS_USED 0 SITEPROP SLICE_X9Y141 MANUAL_ROUTING SITEPROP SLICE_X9Y141 NAME SLICE_X9Y141 SITEPROP SLICE_X9Y141 NUM_ARCS 138 SITEPROP SLICE_X9Y141 NUM_BELS 32 SITEPROP SLICE_X9Y141 NUM_INPUTS 32 SITEPROP SLICE_X9Y141 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y141 NUM_PINS 45 SITEPROP SLICE_X9Y141 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y141 PROHIBIT 0 SITEPROP SLICE_X9Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y141 RPM_X 39 SITEPROP SLICE_X9Y141 RPM_Y 282 SITEPROP SLICE_X9Y141 SITE_PIPS SITEPROP SLICE_X9Y141 SITE_TYPE SLICEL SITEPROP SLICE_X9Y142 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y142 CLASS site SITEPROP SLICE_X9Y142 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y142 IS_BONDED 0 SITEPROP SLICE_X9Y142 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y142 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y142 IS_PAD 0 SITEPROP SLICE_X9Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y142 IS_RESERVED 0 SITEPROP SLICE_X9Y142 IS_TEST 0 SITEPROP SLICE_X9Y142 IS_USED 0 SITEPROP SLICE_X9Y142 MANUAL_ROUTING SITEPROP SLICE_X9Y142 NAME SLICE_X9Y142 SITEPROP SLICE_X9Y142 NUM_ARCS 138 SITEPROP SLICE_X9Y142 NUM_BELS 32 SITEPROP SLICE_X9Y142 NUM_INPUTS 32 SITEPROP SLICE_X9Y142 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y142 NUM_PINS 45 SITEPROP SLICE_X9Y142 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y142 PROHIBIT 0 SITEPROP SLICE_X9Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y142 RPM_X 39 SITEPROP SLICE_X9Y142 RPM_Y 284 SITEPROP SLICE_X9Y142 SITE_PIPS SITEPROP SLICE_X9Y142 SITE_TYPE SLICEL SITEPROP SLICE_X9Y143 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y143 CLASS site SITEPROP SLICE_X9Y143 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y143 IS_BONDED 0 SITEPROP SLICE_X9Y143 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y143 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y143 IS_PAD 0 SITEPROP SLICE_X9Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y143 IS_RESERVED 0 SITEPROP SLICE_X9Y143 IS_TEST 0 SITEPROP SLICE_X9Y143 IS_USED 0 SITEPROP SLICE_X9Y143 MANUAL_ROUTING SITEPROP SLICE_X9Y143 NAME SLICE_X9Y143 SITEPROP SLICE_X9Y143 NUM_ARCS 138 SITEPROP SLICE_X9Y143 NUM_BELS 32 SITEPROP SLICE_X9Y143 NUM_INPUTS 32 SITEPROP SLICE_X9Y143 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y143 NUM_PINS 45 SITEPROP SLICE_X9Y143 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y143 PROHIBIT 0 SITEPROP SLICE_X9Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y143 RPM_X 39 SITEPROP SLICE_X9Y143 RPM_Y 286 SITEPROP SLICE_X9Y143 SITE_PIPS SITEPROP SLICE_X9Y143 SITE_TYPE SLICEL SITEPROP SLICE_X9Y144 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y144 CLASS site SITEPROP SLICE_X9Y144 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y144 IS_BONDED 0 SITEPROP SLICE_X9Y144 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y144 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y144 IS_PAD 0 SITEPROP SLICE_X9Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y144 IS_RESERVED 0 SITEPROP SLICE_X9Y144 IS_TEST 0 SITEPROP SLICE_X9Y144 IS_USED 0 SITEPROP SLICE_X9Y144 MANUAL_ROUTING SITEPROP SLICE_X9Y144 NAME SLICE_X9Y144 SITEPROP SLICE_X9Y144 NUM_ARCS 138 SITEPROP SLICE_X9Y144 NUM_BELS 32 SITEPROP SLICE_X9Y144 NUM_INPUTS 32 SITEPROP SLICE_X9Y144 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y144 NUM_PINS 45 SITEPROP SLICE_X9Y144 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y144 PROHIBIT 0 SITEPROP SLICE_X9Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y144 RPM_X 39 SITEPROP SLICE_X9Y144 RPM_Y 288 SITEPROP SLICE_X9Y144 SITE_PIPS SITEPROP SLICE_X9Y144 SITE_TYPE SLICEL SITEPROP SLICE_X9Y145 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y145 CLASS site SITEPROP SLICE_X9Y145 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y145 IS_BONDED 0 SITEPROP SLICE_X9Y145 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y145 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y145 IS_PAD 0 SITEPROP SLICE_X9Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y145 IS_RESERVED 0 SITEPROP SLICE_X9Y145 IS_TEST 0 SITEPROP SLICE_X9Y145 IS_USED 0 SITEPROP SLICE_X9Y145 MANUAL_ROUTING SITEPROP SLICE_X9Y145 NAME SLICE_X9Y145 SITEPROP SLICE_X9Y145 NUM_ARCS 138 SITEPROP SLICE_X9Y145 NUM_BELS 32 SITEPROP SLICE_X9Y145 NUM_INPUTS 32 SITEPROP SLICE_X9Y145 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y145 NUM_PINS 45 SITEPROP SLICE_X9Y145 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y145 PROHIBIT 0 SITEPROP SLICE_X9Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y145 RPM_X 39 SITEPROP SLICE_X9Y145 RPM_Y 290 SITEPROP SLICE_X9Y145 SITE_PIPS SITEPROP SLICE_X9Y145 SITE_TYPE SLICEL SITEPROP SLICE_X9Y146 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y146 CLASS site SITEPROP SLICE_X9Y146 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y146 IS_BONDED 0 SITEPROP SLICE_X9Y146 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y146 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y146 IS_PAD 0 SITEPROP SLICE_X9Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y146 IS_RESERVED 0 SITEPROP SLICE_X9Y146 IS_TEST 0 SITEPROP SLICE_X9Y146 IS_USED 0 SITEPROP SLICE_X9Y146 MANUAL_ROUTING SITEPROP SLICE_X9Y146 NAME SLICE_X9Y146 SITEPROP SLICE_X9Y146 NUM_ARCS 138 SITEPROP SLICE_X9Y146 NUM_BELS 32 SITEPROP SLICE_X9Y146 NUM_INPUTS 32 SITEPROP SLICE_X9Y146 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y146 NUM_PINS 45 SITEPROP SLICE_X9Y146 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y146 PROHIBIT 0 SITEPROP SLICE_X9Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y146 RPM_X 39 SITEPROP SLICE_X9Y146 RPM_Y 292 SITEPROP SLICE_X9Y146 SITE_PIPS SITEPROP SLICE_X9Y146 SITE_TYPE SLICEL SITEPROP SLICE_X9Y147 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y147 CLASS site SITEPROP SLICE_X9Y147 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y147 IS_BONDED 0 SITEPROP SLICE_X9Y147 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y147 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y147 IS_PAD 0 SITEPROP SLICE_X9Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y147 IS_RESERVED 0 SITEPROP SLICE_X9Y147 IS_TEST 0 SITEPROP SLICE_X9Y147 IS_USED 0 SITEPROP SLICE_X9Y147 MANUAL_ROUTING SITEPROP SLICE_X9Y147 NAME SLICE_X9Y147 SITEPROP SLICE_X9Y147 NUM_ARCS 138 SITEPROP SLICE_X9Y147 NUM_BELS 32 SITEPROP SLICE_X9Y147 NUM_INPUTS 32 SITEPROP SLICE_X9Y147 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y147 NUM_PINS 45 SITEPROP SLICE_X9Y147 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y147 PROHIBIT 0 SITEPROP SLICE_X9Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y147 RPM_X 39 SITEPROP SLICE_X9Y147 RPM_Y 294 SITEPROP SLICE_X9Y147 SITE_PIPS SITEPROP SLICE_X9Y147 SITE_TYPE SLICEL SITEPROP SLICE_X9Y148 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y148 CLASS site SITEPROP SLICE_X9Y148 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y148 IS_BONDED 0 SITEPROP SLICE_X9Y148 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y148 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y148 IS_PAD 0 SITEPROP SLICE_X9Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y148 IS_RESERVED 0 SITEPROP SLICE_X9Y148 IS_TEST 0 SITEPROP SLICE_X9Y148 IS_USED 0 SITEPROP SLICE_X9Y148 MANUAL_ROUTING SITEPROP SLICE_X9Y148 NAME SLICE_X9Y148 SITEPROP SLICE_X9Y148 NUM_ARCS 138 SITEPROP SLICE_X9Y148 NUM_BELS 32 SITEPROP SLICE_X9Y148 NUM_INPUTS 32 SITEPROP SLICE_X9Y148 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y148 NUM_PINS 45 SITEPROP SLICE_X9Y148 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y148 PROHIBIT 0 SITEPROP SLICE_X9Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y148 RPM_X 39 SITEPROP SLICE_X9Y148 RPM_Y 296 SITEPROP SLICE_X9Y148 SITE_PIPS SITEPROP SLICE_X9Y148 SITE_TYPE SLICEL SITEPROP SLICE_X9Y149 ALTERNATE_SITE_TYPES SITEPROP SLICE_X9Y149 CLASS site SITEPROP SLICE_X9Y149 CLOCK_REGION X0Y2 SITEPROP SLICE_X9Y149 IS_BONDED 0 SITEPROP SLICE_X9Y149 IS_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y149 IS_CLOCK_PAD 0 SITEPROP SLICE_X9Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y149 IS_PAD 0 SITEPROP SLICE_X9Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP SLICE_X9Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP SLICE_X9Y149 IS_RESERVED 0 SITEPROP SLICE_X9Y149 IS_TEST 0 SITEPROP SLICE_X9Y149 IS_USED 0 SITEPROP SLICE_X9Y149 MANUAL_ROUTING SITEPROP SLICE_X9Y149 NAME SLICE_X9Y149 SITEPROP SLICE_X9Y149 NUM_ARCS 138 SITEPROP SLICE_X9Y149 NUM_BELS 32 SITEPROP SLICE_X9Y149 NUM_INPUTS 32 SITEPROP SLICE_X9Y149 NUM_OUTPUTS 13 SITEPROP SLICE_X9Y149 NUM_PINS 45 SITEPROP SLICE_X9Y149 PRIMITIVE_COUNT 0 SITEPROP SLICE_X9Y149 PROHIBIT 0 SITEPROP SLICE_X9Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP SLICE_X9Y149 RPM_X 39 SITEPROP SLICE_X9Y149 RPM_Y 298 SITEPROP SLICE_X9Y149 SITE_PIPS SITEPROP SLICE_X9Y149 SITE_TYPE SLICEL SITEPROP STARTUP_X0Y0 ALTERNATE_SITE_TYPES SITEPROP STARTUP_X0Y0 CLASS site SITEPROP STARTUP_X0Y0 CLOCK_REGION X0Y0 SITEPROP STARTUP_X0Y0 IS_BONDED 0 SITEPROP STARTUP_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP STARTUP_X0Y0 IS_CLOCK_PAD 0 SITEPROP STARTUP_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP STARTUP_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP STARTUP_X0Y0 IS_PAD 0 SITEPROP STARTUP_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP STARTUP_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP STARTUP_X0Y0 IS_RESERVED 0 SITEPROP STARTUP_X0Y0 IS_TEST 0 SITEPROP STARTUP_X0Y0 IS_USED 0 SITEPROP STARTUP_X0Y0 MANUAL_ROUTING SITEPROP STARTUP_X0Y0 NAME STARTUP_X0Y0 SITEPROP STARTUP_X0Y0 NUM_ARCS 0 SITEPROP STARTUP_X0Y0 NUM_BELS 1 SITEPROP STARTUP_X0Y0 NUM_INPUTS 9 SITEPROP STARTUP_X0Y0 NUM_OUTPUTS 4 SITEPROP STARTUP_X0Y0 NUM_PINS 13 SITEPROP STARTUP_X0Y0 PRIMITIVE_COUNT 0 SITEPROP STARTUP_X0Y0 PROHIBIT 0 SITEPROP STARTUP_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP STARTUP_X0Y0 RPM_X 77 SITEPROP STARTUP_X0Y0 RPM_Y 54 SITEPROP STARTUP_X0Y0 SITE_PIPS SITEPROP STARTUP_X0Y0 SITE_TYPE STARTUP SITEPROP TIEOFF_X0Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y0 CLASS site SITEPROP TIEOFF_X0Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y0 IS_BONDED 0 SITEPROP TIEOFF_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y0 IS_PAD 0 SITEPROP TIEOFF_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y0 IS_RESERVED 0 SITEPROP TIEOFF_X0Y0 IS_TEST 0 SITEPROP TIEOFF_X0Y0 IS_USED 0 SITEPROP TIEOFF_X0Y0 MANUAL_ROUTING SITEPROP TIEOFF_X0Y0 NAME TIEOFF_X0Y0 SITEPROP TIEOFF_X0Y0 NUM_ARCS 0 SITEPROP TIEOFF_X0Y0 NUM_BELS 2 SITEPROP TIEOFF_X0Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y0 NUM_PINS 2 SITEPROP TIEOFF_X0Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y0 PROHIBIT 0 SITEPROP TIEOFF_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y0 RPM_X 0 SITEPROP TIEOFF_X0Y0 RPM_Y 0 SITEPROP TIEOFF_X0Y0 SITE_PIPS SITEPROP TIEOFF_X0Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y1 CLASS site SITEPROP TIEOFF_X0Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y1 IS_BONDED 0 SITEPROP TIEOFF_X0Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y1 IS_PAD 0 SITEPROP TIEOFF_X0Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y1 IS_RESERVED 0 SITEPROP TIEOFF_X0Y1 IS_TEST 0 SITEPROP TIEOFF_X0Y1 IS_USED 0 SITEPROP TIEOFF_X0Y1 MANUAL_ROUTING SITEPROP TIEOFF_X0Y1 NAME TIEOFF_X0Y1 SITEPROP TIEOFF_X0Y1 NUM_ARCS 0 SITEPROP TIEOFF_X0Y1 NUM_BELS 2 SITEPROP TIEOFF_X0Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y1 NUM_PINS 2 SITEPROP TIEOFF_X0Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y1 PROHIBIT 0 SITEPROP TIEOFF_X0Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y1 RPM_X 0 SITEPROP TIEOFF_X0Y1 RPM_Y 2 SITEPROP TIEOFF_X0Y1 SITE_PIPS SITEPROP TIEOFF_X0Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y2 CLASS site SITEPROP TIEOFF_X0Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y2 IS_BONDED 0 SITEPROP TIEOFF_X0Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y2 IS_PAD 0 SITEPROP TIEOFF_X0Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y2 IS_RESERVED 0 SITEPROP TIEOFF_X0Y2 IS_TEST 0 SITEPROP TIEOFF_X0Y2 IS_USED 0 SITEPROP TIEOFF_X0Y2 MANUAL_ROUTING SITEPROP TIEOFF_X0Y2 NAME TIEOFF_X0Y2 SITEPROP TIEOFF_X0Y2 NUM_ARCS 0 SITEPROP TIEOFF_X0Y2 NUM_BELS 2 SITEPROP TIEOFF_X0Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y2 NUM_PINS 2 SITEPROP TIEOFF_X0Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y2 PROHIBIT 0 SITEPROP TIEOFF_X0Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y2 RPM_X 0 SITEPROP TIEOFF_X0Y2 RPM_Y 4 SITEPROP TIEOFF_X0Y2 SITE_PIPS SITEPROP TIEOFF_X0Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y3 CLASS site SITEPROP TIEOFF_X0Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y3 IS_BONDED 0 SITEPROP TIEOFF_X0Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y3 IS_PAD 0 SITEPROP TIEOFF_X0Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y3 IS_RESERVED 0 SITEPROP TIEOFF_X0Y3 IS_TEST 0 SITEPROP TIEOFF_X0Y3 IS_USED 0 SITEPROP TIEOFF_X0Y3 MANUAL_ROUTING SITEPROP TIEOFF_X0Y3 NAME TIEOFF_X0Y3 SITEPROP TIEOFF_X0Y3 NUM_ARCS 0 SITEPROP TIEOFF_X0Y3 NUM_BELS 2 SITEPROP TIEOFF_X0Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y3 NUM_PINS 2 SITEPROP TIEOFF_X0Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y3 PROHIBIT 0 SITEPROP TIEOFF_X0Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y3 RPM_X 0 SITEPROP TIEOFF_X0Y3 RPM_Y 6 SITEPROP TIEOFF_X0Y3 SITE_PIPS SITEPROP TIEOFF_X0Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y4 CLASS site SITEPROP TIEOFF_X0Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y4 IS_BONDED 0 SITEPROP TIEOFF_X0Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y4 IS_PAD 0 SITEPROP TIEOFF_X0Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y4 IS_RESERVED 0 SITEPROP TIEOFF_X0Y4 IS_TEST 0 SITEPROP TIEOFF_X0Y4 IS_USED 0 SITEPROP TIEOFF_X0Y4 MANUAL_ROUTING SITEPROP TIEOFF_X0Y4 NAME TIEOFF_X0Y4 SITEPROP TIEOFF_X0Y4 NUM_ARCS 0 SITEPROP TIEOFF_X0Y4 NUM_BELS 2 SITEPROP TIEOFF_X0Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y4 NUM_PINS 2 SITEPROP TIEOFF_X0Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y4 PROHIBIT 0 SITEPROP TIEOFF_X0Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y4 RPM_X 0 SITEPROP TIEOFF_X0Y4 RPM_Y 8 SITEPROP TIEOFF_X0Y4 SITE_PIPS SITEPROP TIEOFF_X0Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y5 CLASS site SITEPROP TIEOFF_X0Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y5 IS_BONDED 0 SITEPROP TIEOFF_X0Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y5 IS_PAD 0 SITEPROP TIEOFF_X0Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y5 IS_RESERVED 0 SITEPROP TIEOFF_X0Y5 IS_TEST 0 SITEPROP TIEOFF_X0Y5 IS_USED 0 SITEPROP TIEOFF_X0Y5 MANUAL_ROUTING SITEPROP TIEOFF_X0Y5 NAME TIEOFF_X0Y5 SITEPROP TIEOFF_X0Y5 NUM_ARCS 0 SITEPROP TIEOFF_X0Y5 NUM_BELS 2 SITEPROP TIEOFF_X0Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y5 NUM_PINS 2 SITEPROP TIEOFF_X0Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y5 PROHIBIT 0 SITEPROP TIEOFF_X0Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y5 RPM_X 0 SITEPROP TIEOFF_X0Y5 RPM_Y 10 SITEPROP TIEOFF_X0Y5 SITE_PIPS SITEPROP TIEOFF_X0Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y6 CLASS site SITEPROP TIEOFF_X0Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y6 IS_BONDED 0 SITEPROP TIEOFF_X0Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y6 IS_PAD 0 SITEPROP TIEOFF_X0Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y6 IS_RESERVED 0 SITEPROP TIEOFF_X0Y6 IS_TEST 0 SITEPROP TIEOFF_X0Y6 IS_USED 0 SITEPROP TIEOFF_X0Y6 MANUAL_ROUTING SITEPROP TIEOFF_X0Y6 NAME TIEOFF_X0Y6 SITEPROP TIEOFF_X0Y6 NUM_ARCS 0 SITEPROP TIEOFF_X0Y6 NUM_BELS 2 SITEPROP TIEOFF_X0Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y6 NUM_PINS 2 SITEPROP TIEOFF_X0Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y6 PROHIBIT 0 SITEPROP TIEOFF_X0Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y6 RPM_X 0 SITEPROP TIEOFF_X0Y6 RPM_Y 12 SITEPROP TIEOFF_X0Y6 SITE_PIPS SITEPROP TIEOFF_X0Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y7 CLASS site SITEPROP TIEOFF_X0Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y7 IS_BONDED 0 SITEPROP TIEOFF_X0Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y7 IS_PAD 0 SITEPROP TIEOFF_X0Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y7 IS_RESERVED 0 SITEPROP TIEOFF_X0Y7 IS_TEST 0 SITEPROP TIEOFF_X0Y7 IS_USED 0 SITEPROP TIEOFF_X0Y7 MANUAL_ROUTING SITEPROP TIEOFF_X0Y7 NAME TIEOFF_X0Y7 SITEPROP TIEOFF_X0Y7 NUM_ARCS 0 SITEPROP TIEOFF_X0Y7 NUM_BELS 2 SITEPROP TIEOFF_X0Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y7 NUM_PINS 2 SITEPROP TIEOFF_X0Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y7 PROHIBIT 0 SITEPROP TIEOFF_X0Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y7 RPM_X 0 SITEPROP TIEOFF_X0Y7 RPM_Y 14 SITEPROP TIEOFF_X0Y7 SITE_PIPS SITEPROP TIEOFF_X0Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y8 CLASS site SITEPROP TIEOFF_X0Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y8 IS_BONDED 0 SITEPROP TIEOFF_X0Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y8 IS_PAD 0 SITEPROP TIEOFF_X0Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y8 IS_RESERVED 0 SITEPROP TIEOFF_X0Y8 IS_TEST 0 SITEPROP TIEOFF_X0Y8 IS_USED 0 SITEPROP TIEOFF_X0Y8 MANUAL_ROUTING SITEPROP TIEOFF_X0Y8 NAME TIEOFF_X0Y8 SITEPROP TIEOFF_X0Y8 NUM_ARCS 0 SITEPROP TIEOFF_X0Y8 NUM_BELS 2 SITEPROP TIEOFF_X0Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y8 NUM_PINS 2 SITEPROP TIEOFF_X0Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y8 PROHIBIT 0 SITEPROP TIEOFF_X0Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y8 RPM_X 0 SITEPROP TIEOFF_X0Y8 RPM_Y 16 SITEPROP TIEOFF_X0Y8 SITE_PIPS SITEPROP TIEOFF_X0Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y9 CLASS site SITEPROP TIEOFF_X0Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y9 IS_BONDED 0 SITEPROP TIEOFF_X0Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y9 IS_PAD 0 SITEPROP TIEOFF_X0Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y9 IS_RESERVED 0 SITEPROP TIEOFF_X0Y9 IS_TEST 0 SITEPROP TIEOFF_X0Y9 IS_USED 0 SITEPROP TIEOFF_X0Y9 MANUAL_ROUTING SITEPROP TIEOFF_X0Y9 NAME TIEOFF_X0Y9 SITEPROP TIEOFF_X0Y9 NUM_ARCS 0 SITEPROP TIEOFF_X0Y9 NUM_BELS 2 SITEPROP TIEOFF_X0Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y9 NUM_PINS 2 SITEPROP TIEOFF_X0Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y9 PROHIBIT 0 SITEPROP TIEOFF_X0Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y9 RPM_X 0 SITEPROP TIEOFF_X0Y9 RPM_Y 18 SITEPROP TIEOFF_X0Y9 SITE_PIPS SITEPROP TIEOFF_X0Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y10 CLASS site SITEPROP TIEOFF_X0Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y10 IS_BONDED 0 SITEPROP TIEOFF_X0Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y10 IS_PAD 0 SITEPROP TIEOFF_X0Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y10 IS_RESERVED 0 SITEPROP TIEOFF_X0Y10 IS_TEST 0 SITEPROP TIEOFF_X0Y10 IS_USED 0 SITEPROP TIEOFF_X0Y10 MANUAL_ROUTING SITEPROP TIEOFF_X0Y10 NAME TIEOFF_X0Y10 SITEPROP TIEOFF_X0Y10 NUM_ARCS 0 SITEPROP TIEOFF_X0Y10 NUM_BELS 2 SITEPROP TIEOFF_X0Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y10 NUM_PINS 2 SITEPROP TIEOFF_X0Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y10 PROHIBIT 0 SITEPROP TIEOFF_X0Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y10 RPM_X 0 SITEPROP TIEOFF_X0Y10 RPM_Y 20 SITEPROP TIEOFF_X0Y10 SITE_PIPS SITEPROP TIEOFF_X0Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y11 CLASS site SITEPROP TIEOFF_X0Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y11 IS_BONDED 0 SITEPROP TIEOFF_X0Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y11 IS_PAD 0 SITEPROP TIEOFF_X0Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y11 IS_RESERVED 0 SITEPROP TIEOFF_X0Y11 IS_TEST 0 SITEPROP TIEOFF_X0Y11 IS_USED 0 SITEPROP TIEOFF_X0Y11 MANUAL_ROUTING SITEPROP TIEOFF_X0Y11 NAME TIEOFF_X0Y11 SITEPROP TIEOFF_X0Y11 NUM_ARCS 0 SITEPROP TIEOFF_X0Y11 NUM_BELS 2 SITEPROP TIEOFF_X0Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y11 NUM_PINS 2 SITEPROP TIEOFF_X0Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y11 PROHIBIT 0 SITEPROP TIEOFF_X0Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y11 RPM_X 0 SITEPROP TIEOFF_X0Y11 RPM_Y 22 SITEPROP TIEOFF_X0Y11 SITE_PIPS SITEPROP TIEOFF_X0Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y12 CLASS site SITEPROP TIEOFF_X0Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y12 IS_BONDED 0 SITEPROP TIEOFF_X0Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y12 IS_PAD 0 SITEPROP TIEOFF_X0Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y12 IS_RESERVED 0 SITEPROP TIEOFF_X0Y12 IS_TEST 0 SITEPROP TIEOFF_X0Y12 IS_USED 0 SITEPROP TIEOFF_X0Y12 MANUAL_ROUTING SITEPROP TIEOFF_X0Y12 NAME TIEOFF_X0Y12 SITEPROP TIEOFF_X0Y12 NUM_ARCS 0 SITEPROP TIEOFF_X0Y12 NUM_BELS 2 SITEPROP TIEOFF_X0Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y12 NUM_PINS 2 SITEPROP TIEOFF_X0Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y12 PROHIBIT 0 SITEPROP TIEOFF_X0Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y12 RPM_X 0 SITEPROP TIEOFF_X0Y12 RPM_Y 24 SITEPROP TIEOFF_X0Y12 SITE_PIPS SITEPROP TIEOFF_X0Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y13 CLASS site SITEPROP TIEOFF_X0Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y13 IS_BONDED 0 SITEPROP TIEOFF_X0Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y13 IS_PAD 0 SITEPROP TIEOFF_X0Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y13 IS_RESERVED 0 SITEPROP TIEOFF_X0Y13 IS_TEST 0 SITEPROP TIEOFF_X0Y13 IS_USED 0 SITEPROP TIEOFF_X0Y13 MANUAL_ROUTING SITEPROP TIEOFF_X0Y13 NAME TIEOFF_X0Y13 SITEPROP TIEOFF_X0Y13 NUM_ARCS 0 SITEPROP TIEOFF_X0Y13 NUM_BELS 2 SITEPROP TIEOFF_X0Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y13 NUM_PINS 2 SITEPROP TIEOFF_X0Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y13 PROHIBIT 0 SITEPROP TIEOFF_X0Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y13 RPM_X 0 SITEPROP TIEOFF_X0Y13 RPM_Y 26 SITEPROP TIEOFF_X0Y13 SITE_PIPS SITEPROP TIEOFF_X0Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y14 CLASS site SITEPROP TIEOFF_X0Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y14 IS_BONDED 0 SITEPROP TIEOFF_X0Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y14 IS_PAD 0 SITEPROP TIEOFF_X0Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y14 IS_RESERVED 0 SITEPROP TIEOFF_X0Y14 IS_TEST 0 SITEPROP TIEOFF_X0Y14 IS_USED 0 SITEPROP TIEOFF_X0Y14 MANUAL_ROUTING SITEPROP TIEOFF_X0Y14 NAME TIEOFF_X0Y14 SITEPROP TIEOFF_X0Y14 NUM_ARCS 0 SITEPROP TIEOFF_X0Y14 NUM_BELS 2 SITEPROP TIEOFF_X0Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y14 NUM_PINS 2 SITEPROP TIEOFF_X0Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y14 PROHIBIT 0 SITEPROP TIEOFF_X0Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y14 RPM_X 0 SITEPROP TIEOFF_X0Y14 RPM_Y 28 SITEPROP TIEOFF_X0Y14 SITE_PIPS SITEPROP TIEOFF_X0Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y15 CLASS site SITEPROP TIEOFF_X0Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y15 IS_BONDED 0 SITEPROP TIEOFF_X0Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y15 IS_PAD 0 SITEPROP TIEOFF_X0Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y15 IS_RESERVED 0 SITEPROP TIEOFF_X0Y15 IS_TEST 0 SITEPROP TIEOFF_X0Y15 IS_USED 0 SITEPROP TIEOFF_X0Y15 MANUAL_ROUTING SITEPROP TIEOFF_X0Y15 NAME TIEOFF_X0Y15 SITEPROP TIEOFF_X0Y15 NUM_ARCS 0 SITEPROP TIEOFF_X0Y15 NUM_BELS 2 SITEPROP TIEOFF_X0Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y15 NUM_PINS 2 SITEPROP TIEOFF_X0Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y15 PROHIBIT 0 SITEPROP TIEOFF_X0Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y15 RPM_X 0 SITEPROP TIEOFF_X0Y15 RPM_Y 30 SITEPROP TIEOFF_X0Y15 SITE_PIPS SITEPROP TIEOFF_X0Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y16 CLASS site SITEPROP TIEOFF_X0Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y16 IS_BONDED 0 SITEPROP TIEOFF_X0Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y16 IS_PAD 0 SITEPROP TIEOFF_X0Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y16 IS_RESERVED 0 SITEPROP TIEOFF_X0Y16 IS_TEST 0 SITEPROP TIEOFF_X0Y16 IS_USED 0 SITEPROP TIEOFF_X0Y16 MANUAL_ROUTING SITEPROP TIEOFF_X0Y16 NAME TIEOFF_X0Y16 SITEPROP TIEOFF_X0Y16 NUM_ARCS 0 SITEPROP TIEOFF_X0Y16 NUM_BELS 2 SITEPROP TIEOFF_X0Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y16 NUM_PINS 2 SITEPROP TIEOFF_X0Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y16 PROHIBIT 0 SITEPROP TIEOFF_X0Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y16 RPM_X 0 SITEPROP TIEOFF_X0Y16 RPM_Y 32 SITEPROP TIEOFF_X0Y16 SITE_PIPS SITEPROP TIEOFF_X0Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y17 CLASS site SITEPROP TIEOFF_X0Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y17 IS_BONDED 0 SITEPROP TIEOFF_X0Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y17 IS_PAD 0 SITEPROP TIEOFF_X0Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y17 IS_RESERVED 0 SITEPROP TIEOFF_X0Y17 IS_TEST 0 SITEPROP TIEOFF_X0Y17 IS_USED 0 SITEPROP TIEOFF_X0Y17 MANUAL_ROUTING SITEPROP TIEOFF_X0Y17 NAME TIEOFF_X0Y17 SITEPROP TIEOFF_X0Y17 NUM_ARCS 0 SITEPROP TIEOFF_X0Y17 NUM_BELS 2 SITEPROP TIEOFF_X0Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y17 NUM_PINS 2 SITEPROP TIEOFF_X0Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y17 PROHIBIT 0 SITEPROP TIEOFF_X0Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y17 RPM_X 0 SITEPROP TIEOFF_X0Y17 RPM_Y 34 SITEPROP TIEOFF_X0Y17 SITE_PIPS SITEPROP TIEOFF_X0Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y18 CLASS site SITEPROP TIEOFF_X0Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y18 IS_BONDED 0 SITEPROP TIEOFF_X0Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y18 IS_PAD 0 SITEPROP TIEOFF_X0Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y18 IS_RESERVED 0 SITEPROP TIEOFF_X0Y18 IS_TEST 0 SITEPROP TIEOFF_X0Y18 IS_USED 0 SITEPROP TIEOFF_X0Y18 MANUAL_ROUTING SITEPROP TIEOFF_X0Y18 NAME TIEOFF_X0Y18 SITEPROP TIEOFF_X0Y18 NUM_ARCS 0 SITEPROP TIEOFF_X0Y18 NUM_BELS 2 SITEPROP TIEOFF_X0Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y18 NUM_PINS 2 SITEPROP TIEOFF_X0Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y18 PROHIBIT 0 SITEPROP TIEOFF_X0Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y18 RPM_X 0 SITEPROP TIEOFF_X0Y18 RPM_Y 36 SITEPROP TIEOFF_X0Y18 SITE_PIPS SITEPROP TIEOFF_X0Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y19 CLASS site SITEPROP TIEOFF_X0Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y19 IS_BONDED 0 SITEPROP TIEOFF_X0Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y19 IS_PAD 0 SITEPROP TIEOFF_X0Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y19 IS_RESERVED 0 SITEPROP TIEOFF_X0Y19 IS_TEST 0 SITEPROP TIEOFF_X0Y19 IS_USED 0 SITEPROP TIEOFF_X0Y19 MANUAL_ROUTING SITEPROP TIEOFF_X0Y19 NAME TIEOFF_X0Y19 SITEPROP TIEOFF_X0Y19 NUM_ARCS 0 SITEPROP TIEOFF_X0Y19 NUM_BELS 2 SITEPROP TIEOFF_X0Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y19 NUM_PINS 2 SITEPROP TIEOFF_X0Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y19 PROHIBIT 0 SITEPROP TIEOFF_X0Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y19 RPM_X 0 SITEPROP TIEOFF_X0Y19 RPM_Y 38 SITEPROP TIEOFF_X0Y19 SITE_PIPS SITEPROP TIEOFF_X0Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y20 CLASS site SITEPROP TIEOFF_X0Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y20 IS_BONDED 0 SITEPROP TIEOFF_X0Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y20 IS_PAD 0 SITEPROP TIEOFF_X0Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y20 IS_RESERVED 0 SITEPROP TIEOFF_X0Y20 IS_TEST 0 SITEPROP TIEOFF_X0Y20 IS_USED 0 SITEPROP TIEOFF_X0Y20 MANUAL_ROUTING SITEPROP TIEOFF_X0Y20 NAME TIEOFF_X0Y20 SITEPROP TIEOFF_X0Y20 NUM_ARCS 0 SITEPROP TIEOFF_X0Y20 NUM_BELS 2 SITEPROP TIEOFF_X0Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y20 NUM_PINS 2 SITEPROP TIEOFF_X0Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y20 PROHIBIT 0 SITEPROP TIEOFF_X0Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y20 RPM_X 0 SITEPROP TIEOFF_X0Y20 RPM_Y 40 SITEPROP TIEOFF_X0Y20 SITE_PIPS SITEPROP TIEOFF_X0Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y21 CLASS site SITEPROP TIEOFF_X0Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y21 IS_BONDED 0 SITEPROP TIEOFF_X0Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y21 IS_PAD 0 SITEPROP TIEOFF_X0Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y21 IS_RESERVED 0 SITEPROP TIEOFF_X0Y21 IS_TEST 0 SITEPROP TIEOFF_X0Y21 IS_USED 0 SITEPROP TIEOFF_X0Y21 MANUAL_ROUTING SITEPROP TIEOFF_X0Y21 NAME TIEOFF_X0Y21 SITEPROP TIEOFF_X0Y21 NUM_ARCS 0 SITEPROP TIEOFF_X0Y21 NUM_BELS 2 SITEPROP TIEOFF_X0Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y21 NUM_PINS 2 SITEPROP TIEOFF_X0Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y21 PROHIBIT 0 SITEPROP TIEOFF_X0Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y21 RPM_X 0 SITEPROP TIEOFF_X0Y21 RPM_Y 42 SITEPROP TIEOFF_X0Y21 SITE_PIPS SITEPROP TIEOFF_X0Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y22 CLASS site SITEPROP TIEOFF_X0Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y22 IS_BONDED 0 SITEPROP TIEOFF_X0Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y22 IS_PAD 0 SITEPROP TIEOFF_X0Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y22 IS_RESERVED 0 SITEPROP TIEOFF_X0Y22 IS_TEST 0 SITEPROP TIEOFF_X0Y22 IS_USED 0 SITEPROP TIEOFF_X0Y22 MANUAL_ROUTING SITEPROP TIEOFF_X0Y22 NAME TIEOFF_X0Y22 SITEPROP TIEOFF_X0Y22 NUM_ARCS 0 SITEPROP TIEOFF_X0Y22 NUM_BELS 2 SITEPROP TIEOFF_X0Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y22 NUM_PINS 2 SITEPROP TIEOFF_X0Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y22 PROHIBIT 0 SITEPROP TIEOFF_X0Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y22 RPM_X 0 SITEPROP TIEOFF_X0Y22 RPM_Y 44 SITEPROP TIEOFF_X0Y22 SITE_PIPS SITEPROP TIEOFF_X0Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y23 CLASS site SITEPROP TIEOFF_X0Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y23 IS_BONDED 0 SITEPROP TIEOFF_X0Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y23 IS_PAD 0 SITEPROP TIEOFF_X0Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y23 IS_RESERVED 0 SITEPROP TIEOFF_X0Y23 IS_TEST 0 SITEPROP TIEOFF_X0Y23 IS_USED 0 SITEPROP TIEOFF_X0Y23 MANUAL_ROUTING SITEPROP TIEOFF_X0Y23 NAME TIEOFF_X0Y23 SITEPROP TIEOFF_X0Y23 NUM_ARCS 0 SITEPROP TIEOFF_X0Y23 NUM_BELS 2 SITEPROP TIEOFF_X0Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y23 NUM_PINS 2 SITEPROP TIEOFF_X0Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y23 PROHIBIT 0 SITEPROP TIEOFF_X0Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y23 RPM_X 0 SITEPROP TIEOFF_X0Y23 RPM_Y 46 SITEPROP TIEOFF_X0Y23 SITE_PIPS SITEPROP TIEOFF_X0Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y24 CLASS site SITEPROP TIEOFF_X0Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y24 IS_BONDED 0 SITEPROP TIEOFF_X0Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y24 IS_PAD 0 SITEPROP TIEOFF_X0Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y24 IS_RESERVED 0 SITEPROP TIEOFF_X0Y24 IS_TEST 0 SITEPROP TIEOFF_X0Y24 IS_USED 0 SITEPROP TIEOFF_X0Y24 MANUAL_ROUTING SITEPROP TIEOFF_X0Y24 NAME TIEOFF_X0Y24 SITEPROP TIEOFF_X0Y24 NUM_ARCS 0 SITEPROP TIEOFF_X0Y24 NUM_BELS 2 SITEPROP TIEOFF_X0Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y24 NUM_PINS 2 SITEPROP TIEOFF_X0Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y24 PROHIBIT 0 SITEPROP TIEOFF_X0Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y24 RPM_X 0 SITEPROP TIEOFF_X0Y24 RPM_Y 48 SITEPROP TIEOFF_X0Y24 SITE_PIPS SITEPROP TIEOFF_X0Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y25 CLASS site SITEPROP TIEOFF_X0Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y25 IS_BONDED 0 SITEPROP TIEOFF_X0Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y25 IS_PAD 0 SITEPROP TIEOFF_X0Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y25 IS_RESERVED 0 SITEPROP TIEOFF_X0Y25 IS_TEST 0 SITEPROP TIEOFF_X0Y25 IS_USED 0 SITEPROP TIEOFF_X0Y25 MANUAL_ROUTING SITEPROP TIEOFF_X0Y25 NAME TIEOFF_X0Y25 SITEPROP TIEOFF_X0Y25 NUM_ARCS 0 SITEPROP TIEOFF_X0Y25 NUM_BELS 2 SITEPROP TIEOFF_X0Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y25 NUM_PINS 2 SITEPROP TIEOFF_X0Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y25 PROHIBIT 0 SITEPROP TIEOFF_X0Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y25 RPM_X 0 SITEPROP TIEOFF_X0Y25 RPM_Y 50 SITEPROP TIEOFF_X0Y25 SITE_PIPS SITEPROP TIEOFF_X0Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y26 CLASS site SITEPROP TIEOFF_X0Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y26 IS_BONDED 0 SITEPROP TIEOFF_X0Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y26 IS_PAD 0 SITEPROP TIEOFF_X0Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y26 IS_RESERVED 0 SITEPROP TIEOFF_X0Y26 IS_TEST 0 SITEPROP TIEOFF_X0Y26 IS_USED 0 SITEPROP TIEOFF_X0Y26 MANUAL_ROUTING SITEPROP TIEOFF_X0Y26 NAME TIEOFF_X0Y26 SITEPROP TIEOFF_X0Y26 NUM_ARCS 0 SITEPROP TIEOFF_X0Y26 NUM_BELS 2 SITEPROP TIEOFF_X0Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y26 NUM_PINS 2 SITEPROP TIEOFF_X0Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y26 PROHIBIT 0 SITEPROP TIEOFF_X0Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y26 RPM_X 0 SITEPROP TIEOFF_X0Y26 RPM_Y 52 SITEPROP TIEOFF_X0Y26 SITE_PIPS SITEPROP TIEOFF_X0Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y27 CLASS site SITEPROP TIEOFF_X0Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y27 IS_BONDED 0 SITEPROP TIEOFF_X0Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y27 IS_PAD 0 SITEPROP TIEOFF_X0Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y27 IS_RESERVED 0 SITEPROP TIEOFF_X0Y27 IS_TEST 0 SITEPROP TIEOFF_X0Y27 IS_USED 0 SITEPROP TIEOFF_X0Y27 MANUAL_ROUTING SITEPROP TIEOFF_X0Y27 NAME TIEOFF_X0Y27 SITEPROP TIEOFF_X0Y27 NUM_ARCS 0 SITEPROP TIEOFF_X0Y27 NUM_BELS 2 SITEPROP TIEOFF_X0Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y27 NUM_PINS 2 SITEPROP TIEOFF_X0Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y27 PROHIBIT 0 SITEPROP TIEOFF_X0Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y27 RPM_X 0 SITEPROP TIEOFF_X0Y27 RPM_Y 54 SITEPROP TIEOFF_X0Y27 SITE_PIPS SITEPROP TIEOFF_X0Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y28 CLASS site SITEPROP TIEOFF_X0Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y28 IS_BONDED 0 SITEPROP TIEOFF_X0Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y28 IS_PAD 0 SITEPROP TIEOFF_X0Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y28 IS_RESERVED 0 SITEPROP TIEOFF_X0Y28 IS_TEST 0 SITEPROP TIEOFF_X0Y28 IS_USED 0 SITEPROP TIEOFF_X0Y28 MANUAL_ROUTING SITEPROP TIEOFF_X0Y28 NAME TIEOFF_X0Y28 SITEPROP TIEOFF_X0Y28 NUM_ARCS 0 SITEPROP TIEOFF_X0Y28 NUM_BELS 2 SITEPROP TIEOFF_X0Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y28 NUM_PINS 2 SITEPROP TIEOFF_X0Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y28 PROHIBIT 0 SITEPROP TIEOFF_X0Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y28 RPM_X 0 SITEPROP TIEOFF_X0Y28 RPM_Y 56 SITEPROP TIEOFF_X0Y28 SITE_PIPS SITEPROP TIEOFF_X0Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y29 CLASS site SITEPROP TIEOFF_X0Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y29 IS_BONDED 0 SITEPROP TIEOFF_X0Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y29 IS_PAD 0 SITEPROP TIEOFF_X0Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y29 IS_RESERVED 0 SITEPROP TIEOFF_X0Y29 IS_TEST 0 SITEPROP TIEOFF_X0Y29 IS_USED 0 SITEPROP TIEOFF_X0Y29 MANUAL_ROUTING SITEPROP TIEOFF_X0Y29 NAME TIEOFF_X0Y29 SITEPROP TIEOFF_X0Y29 NUM_ARCS 0 SITEPROP TIEOFF_X0Y29 NUM_BELS 2 SITEPROP TIEOFF_X0Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y29 NUM_PINS 2 SITEPROP TIEOFF_X0Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y29 PROHIBIT 0 SITEPROP TIEOFF_X0Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y29 RPM_X 0 SITEPROP TIEOFF_X0Y29 RPM_Y 58 SITEPROP TIEOFF_X0Y29 SITE_PIPS SITEPROP TIEOFF_X0Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y30 CLASS site SITEPROP TIEOFF_X0Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y30 IS_BONDED 0 SITEPROP TIEOFF_X0Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y30 IS_PAD 0 SITEPROP TIEOFF_X0Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y30 IS_RESERVED 0 SITEPROP TIEOFF_X0Y30 IS_TEST 0 SITEPROP TIEOFF_X0Y30 IS_USED 0 SITEPROP TIEOFF_X0Y30 MANUAL_ROUTING SITEPROP TIEOFF_X0Y30 NAME TIEOFF_X0Y30 SITEPROP TIEOFF_X0Y30 NUM_ARCS 0 SITEPROP TIEOFF_X0Y30 NUM_BELS 2 SITEPROP TIEOFF_X0Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y30 NUM_PINS 2 SITEPROP TIEOFF_X0Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y30 PROHIBIT 0 SITEPROP TIEOFF_X0Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y30 RPM_X 0 SITEPROP TIEOFF_X0Y30 RPM_Y 60 SITEPROP TIEOFF_X0Y30 SITE_PIPS SITEPROP TIEOFF_X0Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y31 CLASS site SITEPROP TIEOFF_X0Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y31 IS_BONDED 0 SITEPROP TIEOFF_X0Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y31 IS_PAD 0 SITEPROP TIEOFF_X0Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y31 IS_RESERVED 0 SITEPROP TIEOFF_X0Y31 IS_TEST 0 SITEPROP TIEOFF_X0Y31 IS_USED 0 SITEPROP TIEOFF_X0Y31 MANUAL_ROUTING SITEPROP TIEOFF_X0Y31 NAME TIEOFF_X0Y31 SITEPROP TIEOFF_X0Y31 NUM_ARCS 0 SITEPROP TIEOFF_X0Y31 NUM_BELS 2 SITEPROP TIEOFF_X0Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y31 NUM_PINS 2 SITEPROP TIEOFF_X0Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y31 PROHIBIT 0 SITEPROP TIEOFF_X0Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y31 RPM_X 0 SITEPROP TIEOFF_X0Y31 RPM_Y 62 SITEPROP TIEOFF_X0Y31 SITE_PIPS SITEPROP TIEOFF_X0Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y32 CLASS site SITEPROP TIEOFF_X0Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y32 IS_BONDED 0 SITEPROP TIEOFF_X0Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y32 IS_PAD 0 SITEPROP TIEOFF_X0Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y32 IS_RESERVED 0 SITEPROP TIEOFF_X0Y32 IS_TEST 0 SITEPROP TIEOFF_X0Y32 IS_USED 0 SITEPROP TIEOFF_X0Y32 MANUAL_ROUTING SITEPROP TIEOFF_X0Y32 NAME TIEOFF_X0Y32 SITEPROP TIEOFF_X0Y32 NUM_ARCS 0 SITEPROP TIEOFF_X0Y32 NUM_BELS 2 SITEPROP TIEOFF_X0Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y32 NUM_PINS 2 SITEPROP TIEOFF_X0Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y32 PROHIBIT 0 SITEPROP TIEOFF_X0Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y32 RPM_X 0 SITEPROP TIEOFF_X0Y32 RPM_Y 64 SITEPROP TIEOFF_X0Y32 SITE_PIPS SITEPROP TIEOFF_X0Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y33 CLASS site SITEPROP TIEOFF_X0Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y33 IS_BONDED 0 SITEPROP TIEOFF_X0Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y33 IS_PAD 0 SITEPROP TIEOFF_X0Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y33 IS_RESERVED 0 SITEPROP TIEOFF_X0Y33 IS_TEST 0 SITEPROP TIEOFF_X0Y33 IS_USED 0 SITEPROP TIEOFF_X0Y33 MANUAL_ROUTING SITEPROP TIEOFF_X0Y33 NAME TIEOFF_X0Y33 SITEPROP TIEOFF_X0Y33 NUM_ARCS 0 SITEPROP TIEOFF_X0Y33 NUM_BELS 2 SITEPROP TIEOFF_X0Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y33 NUM_PINS 2 SITEPROP TIEOFF_X0Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y33 PROHIBIT 0 SITEPROP TIEOFF_X0Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y33 RPM_X 0 SITEPROP TIEOFF_X0Y33 RPM_Y 66 SITEPROP TIEOFF_X0Y33 SITE_PIPS SITEPROP TIEOFF_X0Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y34 CLASS site SITEPROP TIEOFF_X0Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y34 IS_BONDED 0 SITEPROP TIEOFF_X0Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y34 IS_PAD 0 SITEPROP TIEOFF_X0Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y34 IS_RESERVED 0 SITEPROP TIEOFF_X0Y34 IS_TEST 0 SITEPROP TIEOFF_X0Y34 IS_USED 0 SITEPROP TIEOFF_X0Y34 MANUAL_ROUTING SITEPROP TIEOFF_X0Y34 NAME TIEOFF_X0Y34 SITEPROP TIEOFF_X0Y34 NUM_ARCS 0 SITEPROP TIEOFF_X0Y34 NUM_BELS 2 SITEPROP TIEOFF_X0Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y34 NUM_PINS 2 SITEPROP TIEOFF_X0Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y34 PROHIBIT 0 SITEPROP TIEOFF_X0Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y34 RPM_X 0 SITEPROP TIEOFF_X0Y34 RPM_Y 68 SITEPROP TIEOFF_X0Y34 SITE_PIPS SITEPROP TIEOFF_X0Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y35 CLASS site SITEPROP TIEOFF_X0Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y35 IS_BONDED 0 SITEPROP TIEOFF_X0Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y35 IS_PAD 0 SITEPROP TIEOFF_X0Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y35 IS_RESERVED 0 SITEPROP TIEOFF_X0Y35 IS_TEST 0 SITEPROP TIEOFF_X0Y35 IS_USED 0 SITEPROP TIEOFF_X0Y35 MANUAL_ROUTING SITEPROP TIEOFF_X0Y35 NAME TIEOFF_X0Y35 SITEPROP TIEOFF_X0Y35 NUM_ARCS 0 SITEPROP TIEOFF_X0Y35 NUM_BELS 2 SITEPROP TIEOFF_X0Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y35 NUM_PINS 2 SITEPROP TIEOFF_X0Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y35 PROHIBIT 0 SITEPROP TIEOFF_X0Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y35 RPM_X 0 SITEPROP TIEOFF_X0Y35 RPM_Y 70 SITEPROP TIEOFF_X0Y35 SITE_PIPS SITEPROP TIEOFF_X0Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y36 CLASS site SITEPROP TIEOFF_X0Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y36 IS_BONDED 0 SITEPROP TIEOFF_X0Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y36 IS_PAD 0 SITEPROP TIEOFF_X0Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y36 IS_RESERVED 0 SITEPROP TIEOFF_X0Y36 IS_TEST 0 SITEPROP TIEOFF_X0Y36 IS_USED 0 SITEPROP TIEOFF_X0Y36 MANUAL_ROUTING SITEPROP TIEOFF_X0Y36 NAME TIEOFF_X0Y36 SITEPROP TIEOFF_X0Y36 NUM_ARCS 0 SITEPROP TIEOFF_X0Y36 NUM_BELS 2 SITEPROP TIEOFF_X0Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y36 NUM_PINS 2 SITEPROP TIEOFF_X0Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y36 PROHIBIT 0 SITEPROP TIEOFF_X0Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y36 RPM_X 0 SITEPROP TIEOFF_X0Y36 RPM_Y 72 SITEPROP TIEOFF_X0Y36 SITE_PIPS SITEPROP TIEOFF_X0Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y37 CLASS site SITEPROP TIEOFF_X0Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y37 IS_BONDED 0 SITEPROP TIEOFF_X0Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y37 IS_PAD 0 SITEPROP TIEOFF_X0Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y37 IS_RESERVED 0 SITEPROP TIEOFF_X0Y37 IS_TEST 0 SITEPROP TIEOFF_X0Y37 IS_USED 0 SITEPROP TIEOFF_X0Y37 MANUAL_ROUTING SITEPROP TIEOFF_X0Y37 NAME TIEOFF_X0Y37 SITEPROP TIEOFF_X0Y37 NUM_ARCS 0 SITEPROP TIEOFF_X0Y37 NUM_BELS 2 SITEPROP TIEOFF_X0Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y37 NUM_PINS 2 SITEPROP TIEOFF_X0Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y37 PROHIBIT 0 SITEPROP TIEOFF_X0Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y37 RPM_X 0 SITEPROP TIEOFF_X0Y37 RPM_Y 74 SITEPROP TIEOFF_X0Y37 SITE_PIPS SITEPROP TIEOFF_X0Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y38 CLASS site SITEPROP TIEOFF_X0Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y38 IS_BONDED 0 SITEPROP TIEOFF_X0Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y38 IS_PAD 0 SITEPROP TIEOFF_X0Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y38 IS_RESERVED 0 SITEPROP TIEOFF_X0Y38 IS_TEST 0 SITEPROP TIEOFF_X0Y38 IS_USED 0 SITEPROP TIEOFF_X0Y38 MANUAL_ROUTING SITEPROP TIEOFF_X0Y38 NAME TIEOFF_X0Y38 SITEPROP TIEOFF_X0Y38 NUM_ARCS 0 SITEPROP TIEOFF_X0Y38 NUM_BELS 2 SITEPROP TIEOFF_X0Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y38 NUM_PINS 2 SITEPROP TIEOFF_X0Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y38 PROHIBIT 0 SITEPROP TIEOFF_X0Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y38 RPM_X 0 SITEPROP TIEOFF_X0Y38 RPM_Y 76 SITEPROP TIEOFF_X0Y38 SITE_PIPS SITEPROP TIEOFF_X0Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y39 CLASS site SITEPROP TIEOFF_X0Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y39 IS_BONDED 0 SITEPROP TIEOFF_X0Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y39 IS_PAD 0 SITEPROP TIEOFF_X0Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y39 IS_RESERVED 0 SITEPROP TIEOFF_X0Y39 IS_TEST 0 SITEPROP TIEOFF_X0Y39 IS_USED 0 SITEPROP TIEOFF_X0Y39 MANUAL_ROUTING SITEPROP TIEOFF_X0Y39 NAME TIEOFF_X0Y39 SITEPROP TIEOFF_X0Y39 NUM_ARCS 0 SITEPROP TIEOFF_X0Y39 NUM_BELS 2 SITEPROP TIEOFF_X0Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y39 NUM_PINS 2 SITEPROP TIEOFF_X0Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y39 PROHIBIT 0 SITEPROP TIEOFF_X0Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y39 RPM_X 0 SITEPROP TIEOFF_X0Y39 RPM_Y 78 SITEPROP TIEOFF_X0Y39 SITE_PIPS SITEPROP TIEOFF_X0Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y40 CLASS site SITEPROP TIEOFF_X0Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y40 IS_BONDED 0 SITEPROP TIEOFF_X0Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y40 IS_PAD 0 SITEPROP TIEOFF_X0Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y40 IS_RESERVED 0 SITEPROP TIEOFF_X0Y40 IS_TEST 0 SITEPROP TIEOFF_X0Y40 IS_USED 0 SITEPROP TIEOFF_X0Y40 MANUAL_ROUTING SITEPROP TIEOFF_X0Y40 NAME TIEOFF_X0Y40 SITEPROP TIEOFF_X0Y40 NUM_ARCS 0 SITEPROP TIEOFF_X0Y40 NUM_BELS 2 SITEPROP TIEOFF_X0Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y40 NUM_PINS 2 SITEPROP TIEOFF_X0Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y40 PROHIBIT 0 SITEPROP TIEOFF_X0Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y40 RPM_X 0 SITEPROP TIEOFF_X0Y40 RPM_Y 80 SITEPROP TIEOFF_X0Y40 SITE_PIPS SITEPROP TIEOFF_X0Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y41 CLASS site SITEPROP TIEOFF_X0Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y41 IS_BONDED 0 SITEPROP TIEOFF_X0Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y41 IS_PAD 0 SITEPROP TIEOFF_X0Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y41 IS_RESERVED 0 SITEPROP TIEOFF_X0Y41 IS_TEST 0 SITEPROP TIEOFF_X0Y41 IS_USED 0 SITEPROP TIEOFF_X0Y41 MANUAL_ROUTING SITEPROP TIEOFF_X0Y41 NAME TIEOFF_X0Y41 SITEPROP TIEOFF_X0Y41 NUM_ARCS 0 SITEPROP TIEOFF_X0Y41 NUM_BELS 2 SITEPROP TIEOFF_X0Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y41 NUM_PINS 2 SITEPROP TIEOFF_X0Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y41 PROHIBIT 0 SITEPROP TIEOFF_X0Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y41 RPM_X 0 SITEPROP TIEOFF_X0Y41 RPM_Y 82 SITEPROP TIEOFF_X0Y41 SITE_PIPS SITEPROP TIEOFF_X0Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y42 CLASS site SITEPROP TIEOFF_X0Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y42 IS_BONDED 0 SITEPROP TIEOFF_X0Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y42 IS_PAD 0 SITEPROP TIEOFF_X0Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y42 IS_RESERVED 0 SITEPROP TIEOFF_X0Y42 IS_TEST 0 SITEPROP TIEOFF_X0Y42 IS_USED 0 SITEPROP TIEOFF_X0Y42 MANUAL_ROUTING SITEPROP TIEOFF_X0Y42 NAME TIEOFF_X0Y42 SITEPROP TIEOFF_X0Y42 NUM_ARCS 0 SITEPROP TIEOFF_X0Y42 NUM_BELS 2 SITEPROP TIEOFF_X0Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y42 NUM_PINS 2 SITEPROP TIEOFF_X0Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y42 PROHIBIT 0 SITEPROP TIEOFF_X0Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y42 RPM_X 0 SITEPROP TIEOFF_X0Y42 RPM_Y 84 SITEPROP TIEOFF_X0Y42 SITE_PIPS SITEPROP TIEOFF_X0Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y43 CLASS site SITEPROP TIEOFF_X0Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y43 IS_BONDED 0 SITEPROP TIEOFF_X0Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y43 IS_PAD 0 SITEPROP TIEOFF_X0Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y43 IS_RESERVED 0 SITEPROP TIEOFF_X0Y43 IS_TEST 0 SITEPROP TIEOFF_X0Y43 IS_USED 0 SITEPROP TIEOFF_X0Y43 MANUAL_ROUTING SITEPROP TIEOFF_X0Y43 NAME TIEOFF_X0Y43 SITEPROP TIEOFF_X0Y43 NUM_ARCS 0 SITEPROP TIEOFF_X0Y43 NUM_BELS 2 SITEPROP TIEOFF_X0Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y43 NUM_PINS 2 SITEPROP TIEOFF_X0Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y43 PROHIBIT 0 SITEPROP TIEOFF_X0Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y43 RPM_X 0 SITEPROP TIEOFF_X0Y43 RPM_Y 86 SITEPROP TIEOFF_X0Y43 SITE_PIPS SITEPROP TIEOFF_X0Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y44 CLASS site SITEPROP TIEOFF_X0Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y44 IS_BONDED 0 SITEPROP TIEOFF_X0Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y44 IS_PAD 0 SITEPROP TIEOFF_X0Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y44 IS_RESERVED 0 SITEPROP TIEOFF_X0Y44 IS_TEST 0 SITEPROP TIEOFF_X0Y44 IS_USED 0 SITEPROP TIEOFF_X0Y44 MANUAL_ROUTING SITEPROP TIEOFF_X0Y44 NAME TIEOFF_X0Y44 SITEPROP TIEOFF_X0Y44 NUM_ARCS 0 SITEPROP TIEOFF_X0Y44 NUM_BELS 2 SITEPROP TIEOFF_X0Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y44 NUM_PINS 2 SITEPROP TIEOFF_X0Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y44 PROHIBIT 0 SITEPROP TIEOFF_X0Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y44 RPM_X 0 SITEPROP TIEOFF_X0Y44 RPM_Y 88 SITEPROP TIEOFF_X0Y44 SITE_PIPS SITEPROP TIEOFF_X0Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y45 CLASS site SITEPROP TIEOFF_X0Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y45 IS_BONDED 0 SITEPROP TIEOFF_X0Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y45 IS_PAD 0 SITEPROP TIEOFF_X0Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y45 IS_RESERVED 0 SITEPROP TIEOFF_X0Y45 IS_TEST 0 SITEPROP TIEOFF_X0Y45 IS_USED 0 SITEPROP TIEOFF_X0Y45 MANUAL_ROUTING SITEPROP TIEOFF_X0Y45 NAME TIEOFF_X0Y45 SITEPROP TIEOFF_X0Y45 NUM_ARCS 0 SITEPROP TIEOFF_X0Y45 NUM_BELS 2 SITEPROP TIEOFF_X0Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y45 NUM_PINS 2 SITEPROP TIEOFF_X0Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y45 PROHIBIT 0 SITEPROP TIEOFF_X0Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y45 RPM_X 0 SITEPROP TIEOFF_X0Y45 RPM_Y 90 SITEPROP TIEOFF_X0Y45 SITE_PIPS SITEPROP TIEOFF_X0Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y46 CLASS site SITEPROP TIEOFF_X0Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y46 IS_BONDED 0 SITEPROP TIEOFF_X0Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y46 IS_PAD 0 SITEPROP TIEOFF_X0Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y46 IS_RESERVED 0 SITEPROP TIEOFF_X0Y46 IS_TEST 0 SITEPROP TIEOFF_X0Y46 IS_USED 0 SITEPROP TIEOFF_X0Y46 MANUAL_ROUTING SITEPROP TIEOFF_X0Y46 NAME TIEOFF_X0Y46 SITEPROP TIEOFF_X0Y46 NUM_ARCS 0 SITEPROP TIEOFF_X0Y46 NUM_BELS 2 SITEPROP TIEOFF_X0Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y46 NUM_PINS 2 SITEPROP TIEOFF_X0Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y46 PROHIBIT 0 SITEPROP TIEOFF_X0Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y46 RPM_X 0 SITEPROP TIEOFF_X0Y46 RPM_Y 92 SITEPROP TIEOFF_X0Y46 SITE_PIPS SITEPROP TIEOFF_X0Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y47 CLASS site SITEPROP TIEOFF_X0Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y47 IS_BONDED 0 SITEPROP TIEOFF_X0Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y47 IS_PAD 0 SITEPROP TIEOFF_X0Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y47 IS_RESERVED 0 SITEPROP TIEOFF_X0Y47 IS_TEST 0 SITEPROP TIEOFF_X0Y47 IS_USED 0 SITEPROP TIEOFF_X0Y47 MANUAL_ROUTING SITEPROP TIEOFF_X0Y47 NAME TIEOFF_X0Y47 SITEPROP TIEOFF_X0Y47 NUM_ARCS 0 SITEPROP TIEOFF_X0Y47 NUM_BELS 2 SITEPROP TIEOFF_X0Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y47 NUM_PINS 2 SITEPROP TIEOFF_X0Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y47 PROHIBIT 0 SITEPROP TIEOFF_X0Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y47 RPM_X 0 SITEPROP TIEOFF_X0Y47 RPM_Y 94 SITEPROP TIEOFF_X0Y47 SITE_PIPS SITEPROP TIEOFF_X0Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y48 CLASS site SITEPROP TIEOFF_X0Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y48 IS_BONDED 0 SITEPROP TIEOFF_X0Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y48 IS_PAD 0 SITEPROP TIEOFF_X0Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y48 IS_RESERVED 0 SITEPROP TIEOFF_X0Y48 IS_TEST 0 SITEPROP TIEOFF_X0Y48 IS_USED 0 SITEPROP TIEOFF_X0Y48 MANUAL_ROUTING SITEPROP TIEOFF_X0Y48 NAME TIEOFF_X0Y48 SITEPROP TIEOFF_X0Y48 NUM_ARCS 0 SITEPROP TIEOFF_X0Y48 NUM_BELS 2 SITEPROP TIEOFF_X0Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y48 NUM_PINS 2 SITEPROP TIEOFF_X0Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y48 PROHIBIT 0 SITEPROP TIEOFF_X0Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y48 RPM_X 0 SITEPROP TIEOFF_X0Y48 RPM_Y 96 SITEPROP TIEOFF_X0Y48 SITE_PIPS SITEPROP TIEOFF_X0Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y49 CLASS site SITEPROP TIEOFF_X0Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X0Y49 IS_BONDED 0 SITEPROP TIEOFF_X0Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y49 IS_PAD 0 SITEPROP TIEOFF_X0Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y49 IS_RESERVED 0 SITEPROP TIEOFF_X0Y49 IS_TEST 0 SITEPROP TIEOFF_X0Y49 IS_USED 0 SITEPROP TIEOFF_X0Y49 MANUAL_ROUTING SITEPROP TIEOFF_X0Y49 NAME TIEOFF_X0Y49 SITEPROP TIEOFF_X0Y49 NUM_ARCS 0 SITEPROP TIEOFF_X0Y49 NUM_BELS 2 SITEPROP TIEOFF_X0Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y49 NUM_PINS 2 SITEPROP TIEOFF_X0Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y49 PROHIBIT 0 SITEPROP TIEOFF_X0Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y49 RPM_X 0 SITEPROP TIEOFF_X0Y49 RPM_Y 98 SITEPROP TIEOFF_X0Y49 SITE_PIPS SITEPROP TIEOFF_X0Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y50 CLASS site SITEPROP TIEOFF_X0Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y50 IS_BONDED 0 SITEPROP TIEOFF_X0Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y50 IS_PAD 0 SITEPROP TIEOFF_X0Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y50 IS_RESERVED 0 SITEPROP TIEOFF_X0Y50 IS_TEST 0 SITEPROP TIEOFF_X0Y50 IS_USED 0 SITEPROP TIEOFF_X0Y50 MANUAL_ROUTING SITEPROP TIEOFF_X0Y50 NAME TIEOFF_X0Y50 SITEPROP TIEOFF_X0Y50 NUM_ARCS 0 SITEPROP TIEOFF_X0Y50 NUM_BELS 2 SITEPROP TIEOFF_X0Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y50 NUM_PINS 2 SITEPROP TIEOFF_X0Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y50 PROHIBIT 0 SITEPROP TIEOFF_X0Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y50 RPM_X 0 SITEPROP TIEOFF_X0Y50 RPM_Y 100 SITEPROP TIEOFF_X0Y50 SITE_PIPS SITEPROP TIEOFF_X0Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y51 CLASS site SITEPROP TIEOFF_X0Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y51 IS_BONDED 0 SITEPROP TIEOFF_X0Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y51 IS_PAD 0 SITEPROP TIEOFF_X0Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y51 IS_RESERVED 0 SITEPROP TIEOFF_X0Y51 IS_TEST 0 SITEPROP TIEOFF_X0Y51 IS_USED 0 SITEPROP TIEOFF_X0Y51 MANUAL_ROUTING SITEPROP TIEOFF_X0Y51 NAME TIEOFF_X0Y51 SITEPROP TIEOFF_X0Y51 NUM_ARCS 0 SITEPROP TIEOFF_X0Y51 NUM_BELS 2 SITEPROP TIEOFF_X0Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y51 NUM_PINS 2 SITEPROP TIEOFF_X0Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y51 PROHIBIT 0 SITEPROP TIEOFF_X0Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y51 RPM_X 0 SITEPROP TIEOFF_X0Y51 RPM_Y 102 SITEPROP TIEOFF_X0Y51 SITE_PIPS SITEPROP TIEOFF_X0Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y52 CLASS site SITEPROP TIEOFF_X0Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y52 IS_BONDED 0 SITEPROP TIEOFF_X0Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y52 IS_PAD 0 SITEPROP TIEOFF_X0Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y52 IS_RESERVED 0 SITEPROP TIEOFF_X0Y52 IS_TEST 0 SITEPROP TIEOFF_X0Y52 IS_USED 0 SITEPROP TIEOFF_X0Y52 MANUAL_ROUTING SITEPROP TIEOFF_X0Y52 NAME TIEOFF_X0Y52 SITEPROP TIEOFF_X0Y52 NUM_ARCS 0 SITEPROP TIEOFF_X0Y52 NUM_BELS 2 SITEPROP TIEOFF_X0Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y52 NUM_PINS 2 SITEPROP TIEOFF_X0Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y52 PROHIBIT 0 SITEPROP TIEOFF_X0Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y52 RPM_X 0 SITEPROP TIEOFF_X0Y52 RPM_Y 104 SITEPROP TIEOFF_X0Y52 SITE_PIPS SITEPROP TIEOFF_X0Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y53 CLASS site SITEPROP TIEOFF_X0Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y53 IS_BONDED 0 SITEPROP TIEOFF_X0Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y53 IS_PAD 0 SITEPROP TIEOFF_X0Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y53 IS_RESERVED 0 SITEPROP TIEOFF_X0Y53 IS_TEST 0 SITEPROP TIEOFF_X0Y53 IS_USED 0 SITEPROP TIEOFF_X0Y53 MANUAL_ROUTING SITEPROP TIEOFF_X0Y53 NAME TIEOFF_X0Y53 SITEPROP TIEOFF_X0Y53 NUM_ARCS 0 SITEPROP TIEOFF_X0Y53 NUM_BELS 2 SITEPROP TIEOFF_X0Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y53 NUM_PINS 2 SITEPROP TIEOFF_X0Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y53 PROHIBIT 0 SITEPROP TIEOFF_X0Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y53 RPM_X 0 SITEPROP TIEOFF_X0Y53 RPM_Y 106 SITEPROP TIEOFF_X0Y53 SITE_PIPS SITEPROP TIEOFF_X0Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y54 CLASS site SITEPROP TIEOFF_X0Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y54 IS_BONDED 0 SITEPROP TIEOFF_X0Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y54 IS_PAD 0 SITEPROP TIEOFF_X0Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y54 IS_RESERVED 0 SITEPROP TIEOFF_X0Y54 IS_TEST 0 SITEPROP TIEOFF_X0Y54 IS_USED 0 SITEPROP TIEOFF_X0Y54 MANUAL_ROUTING SITEPROP TIEOFF_X0Y54 NAME TIEOFF_X0Y54 SITEPROP TIEOFF_X0Y54 NUM_ARCS 0 SITEPROP TIEOFF_X0Y54 NUM_BELS 2 SITEPROP TIEOFF_X0Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y54 NUM_PINS 2 SITEPROP TIEOFF_X0Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y54 PROHIBIT 0 SITEPROP TIEOFF_X0Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y54 RPM_X 0 SITEPROP TIEOFF_X0Y54 RPM_Y 108 SITEPROP TIEOFF_X0Y54 SITE_PIPS SITEPROP TIEOFF_X0Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y55 CLASS site SITEPROP TIEOFF_X0Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y55 IS_BONDED 0 SITEPROP TIEOFF_X0Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y55 IS_PAD 0 SITEPROP TIEOFF_X0Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y55 IS_RESERVED 0 SITEPROP TIEOFF_X0Y55 IS_TEST 0 SITEPROP TIEOFF_X0Y55 IS_USED 0 SITEPROP TIEOFF_X0Y55 MANUAL_ROUTING SITEPROP TIEOFF_X0Y55 NAME TIEOFF_X0Y55 SITEPROP TIEOFF_X0Y55 NUM_ARCS 0 SITEPROP TIEOFF_X0Y55 NUM_BELS 2 SITEPROP TIEOFF_X0Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y55 NUM_PINS 2 SITEPROP TIEOFF_X0Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y55 PROHIBIT 0 SITEPROP TIEOFF_X0Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y55 RPM_X 0 SITEPROP TIEOFF_X0Y55 RPM_Y 110 SITEPROP TIEOFF_X0Y55 SITE_PIPS SITEPROP TIEOFF_X0Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y56 CLASS site SITEPROP TIEOFF_X0Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y56 IS_BONDED 0 SITEPROP TIEOFF_X0Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y56 IS_PAD 0 SITEPROP TIEOFF_X0Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y56 IS_RESERVED 0 SITEPROP TIEOFF_X0Y56 IS_TEST 0 SITEPROP TIEOFF_X0Y56 IS_USED 0 SITEPROP TIEOFF_X0Y56 MANUAL_ROUTING SITEPROP TIEOFF_X0Y56 NAME TIEOFF_X0Y56 SITEPROP TIEOFF_X0Y56 NUM_ARCS 0 SITEPROP TIEOFF_X0Y56 NUM_BELS 2 SITEPROP TIEOFF_X0Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y56 NUM_PINS 2 SITEPROP TIEOFF_X0Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y56 PROHIBIT 0 SITEPROP TIEOFF_X0Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y56 RPM_X 0 SITEPROP TIEOFF_X0Y56 RPM_Y 112 SITEPROP TIEOFF_X0Y56 SITE_PIPS SITEPROP TIEOFF_X0Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y57 CLASS site SITEPROP TIEOFF_X0Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y57 IS_BONDED 0 SITEPROP TIEOFF_X0Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y57 IS_PAD 0 SITEPROP TIEOFF_X0Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y57 IS_RESERVED 0 SITEPROP TIEOFF_X0Y57 IS_TEST 0 SITEPROP TIEOFF_X0Y57 IS_USED 0 SITEPROP TIEOFF_X0Y57 MANUAL_ROUTING SITEPROP TIEOFF_X0Y57 NAME TIEOFF_X0Y57 SITEPROP TIEOFF_X0Y57 NUM_ARCS 0 SITEPROP TIEOFF_X0Y57 NUM_BELS 2 SITEPROP TIEOFF_X0Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y57 NUM_PINS 2 SITEPROP TIEOFF_X0Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y57 PROHIBIT 0 SITEPROP TIEOFF_X0Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y57 RPM_X 0 SITEPROP TIEOFF_X0Y57 RPM_Y 114 SITEPROP TIEOFF_X0Y57 SITE_PIPS SITEPROP TIEOFF_X0Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y58 CLASS site SITEPROP TIEOFF_X0Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y58 IS_BONDED 0 SITEPROP TIEOFF_X0Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y58 IS_PAD 0 SITEPROP TIEOFF_X0Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y58 IS_RESERVED 0 SITEPROP TIEOFF_X0Y58 IS_TEST 0 SITEPROP TIEOFF_X0Y58 IS_USED 0 SITEPROP TIEOFF_X0Y58 MANUAL_ROUTING SITEPROP TIEOFF_X0Y58 NAME TIEOFF_X0Y58 SITEPROP TIEOFF_X0Y58 NUM_ARCS 0 SITEPROP TIEOFF_X0Y58 NUM_BELS 2 SITEPROP TIEOFF_X0Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y58 NUM_PINS 2 SITEPROP TIEOFF_X0Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y58 PROHIBIT 0 SITEPROP TIEOFF_X0Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y58 RPM_X 0 SITEPROP TIEOFF_X0Y58 RPM_Y 116 SITEPROP TIEOFF_X0Y58 SITE_PIPS SITEPROP TIEOFF_X0Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y59 CLASS site SITEPROP TIEOFF_X0Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y59 IS_BONDED 0 SITEPROP TIEOFF_X0Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y59 IS_PAD 0 SITEPROP TIEOFF_X0Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y59 IS_RESERVED 0 SITEPROP TIEOFF_X0Y59 IS_TEST 0 SITEPROP TIEOFF_X0Y59 IS_USED 0 SITEPROP TIEOFF_X0Y59 MANUAL_ROUTING SITEPROP TIEOFF_X0Y59 NAME TIEOFF_X0Y59 SITEPROP TIEOFF_X0Y59 NUM_ARCS 0 SITEPROP TIEOFF_X0Y59 NUM_BELS 2 SITEPROP TIEOFF_X0Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y59 NUM_PINS 2 SITEPROP TIEOFF_X0Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y59 PROHIBIT 0 SITEPROP TIEOFF_X0Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y59 RPM_X 0 SITEPROP TIEOFF_X0Y59 RPM_Y 118 SITEPROP TIEOFF_X0Y59 SITE_PIPS SITEPROP TIEOFF_X0Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y60 CLASS site SITEPROP TIEOFF_X0Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y60 IS_BONDED 0 SITEPROP TIEOFF_X0Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y60 IS_PAD 0 SITEPROP TIEOFF_X0Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y60 IS_RESERVED 0 SITEPROP TIEOFF_X0Y60 IS_TEST 0 SITEPROP TIEOFF_X0Y60 IS_USED 0 SITEPROP TIEOFF_X0Y60 MANUAL_ROUTING SITEPROP TIEOFF_X0Y60 NAME TIEOFF_X0Y60 SITEPROP TIEOFF_X0Y60 NUM_ARCS 0 SITEPROP TIEOFF_X0Y60 NUM_BELS 2 SITEPROP TIEOFF_X0Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y60 NUM_PINS 2 SITEPROP TIEOFF_X0Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y60 PROHIBIT 0 SITEPROP TIEOFF_X0Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y60 RPM_X 0 SITEPROP TIEOFF_X0Y60 RPM_Y 120 SITEPROP TIEOFF_X0Y60 SITE_PIPS SITEPROP TIEOFF_X0Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y61 CLASS site SITEPROP TIEOFF_X0Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y61 IS_BONDED 0 SITEPROP TIEOFF_X0Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y61 IS_PAD 0 SITEPROP TIEOFF_X0Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y61 IS_RESERVED 0 SITEPROP TIEOFF_X0Y61 IS_TEST 0 SITEPROP TIEOFF_X0Y61 IS_USED 0 SITEPROP TIEOFF_X0Y61 MANUAL_ROUTING SITEPROP TIEOFF_X0Y61 NAME TIEOFF_X0Y61 SITEPROP TIEOFF_X0Y61 NUM_ARCS 0 SITEPROP TIEOFF_X0Y61 NUM_BELS 2 SITEPROP TIEOFF_X0Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y61 NUM_PINS 2 SITEPROP TIEOFF_X0Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y61 PROHIBIT 0 SITEPROP TIEOFF_X0Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y61 RPM_X 0 SITEPROP TIEOFF_X0Y61 RPM_Y 122 SITEPROP TIEOFF_X0Y61 SITE_PIPS SITEPROP TIEOFF_X0Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y62 CLASS site SITEPROP TIEOFF_X0Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y62 IS_BONDED 0 SITEPROP TIEOFF_X0Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y62 IS_PAD 0 SITEPROP TIEOFF_X0Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y62 IS_RESERVED 0 SITEPROP TIEOFF_X0Y62 IS_TEST 0 SITEPROP TIEOFF_X0Y62 IS_USED 0 SITEPROP TIEOFF_X0Y62 MANUAL_ROUTING SITEPROP TIEOFF_X0Y62 NAME TIEOFF_X0Y62 SITEPROP TIEOFF_X0Y62 NUM_ARCS 0 SITEPROP TIEOFF_X0Y62 NUM_BELS 2 SITEPROP TIEOFF_X0Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y62 NUM_PINS 2 SITEPROP TIEOFF_X0Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y62 PROHIBIT 0 SITEPROP TIEOFF_X0Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y62 RPM_X 0 SITEPROP TIEOFF_X0Y62 RPM_Y 124 SITEPROP TIEOFF_X0Y62 SITE_PIPS SITEPROP TIEOFF_X0Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y63 CLASS site SITEPROP TIEOFF_X0Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y63 IS_BONDED 0 SITEPROP TIEOFF_X0Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y63 IS_PAD 0 SITEPROP TIEOFF_X0Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y63 IS_RESERVED 0 SITEPROP TIEOFF_X0Y63 IS_TEST 0 SITEPROP TIEOFF_X0Y63 IS_USED 0 SITEPROP TIEOFF_X0Y63 MANUAL_ROUTING SITEPROP TIEOFF_X0Y63 NAME TIEOFF_X0Y63 SITEPROP TIEOFF_X0Y63 NUM_ARCS 0 SITEPROP TIEOFF_X0Y63 NUM_BELS 2 SITEPROP TIEOFF_X0Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y63 NUM_PINS 2 SITEPROP TIEOFF_X0Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y63 PROHIBIT 0 SITEPROP TIEOFF_X0Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y63 RPM_X 0 SITEPROP TIEOFF_X0Y63 RPM_Y 126 SITEPROP TIEOFF_X0Y63 SITE_PIPS SITEPROP TIEOFF_X0Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y64 CLASS site SITEPROP TIEOFF_X0Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y64 IS_BONDED 0 SITEPROP TIEOFF_X0Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y64 IS_PAD 0 SITEPROP TIEOFF_X0Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y64 IS_RESERVED 0 SITEPROP TIEOFF_X0Y64 IS_TEST 0 SITEPROP TIEOFF_X0Y64 IS_USED 0 SITEPROP TIEOFF_X0Y64 MANUAL_ROUTING SITEPROP TIEOFF_X0Y64 NAME TIEOFF_X0Y64 SITEPROP TIEOFF_X0Y64 NUM_ARCS 0 SITEPROP TIEOFF_X0Y64 NUM_BELS 2 SITEPROP TIEOFF_X0Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y64 NUM_PINS 2 SITEPROP TIEOFF_X0Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y64 PROHIBIT 0 SITEPROP TIEOFF_X0Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y64 RPM_X 0 SITEPROP TIEOFF_X0Y64 RPM_Y 128 SITEPROP TIEOFF_X0Y64 SITE_PIPS SITEPROP TIEOFF_X0Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y65 CLASS site SITEPROP TIEOFF_X0Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y65 IS_BONDED 0 SITEPROP TIEOFF_X0Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y65 IS_PAD 0 SITEPROP TIEOFF_X0Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y65 IS_RESERVED 0 SITEPROP TIEOFF_X0Y65 IS_TEST 0 SITEPROP TIEOFF_X0Y65 IS_USED 0 SITEPROP TIEOFF_X0Y65 MANUAL_ROUTING SITEPROP TIEOFF_X0Y65 NAME TIEOFF_X0Y65 SITEPROP TIEOFF_X0Y65 NUM_ARCS 0 SITEPROP TIEOFF_X0Y65 NUM_BELS 2 SITEPROP TIEOFF_X0Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y65 NUM_PINS 2 SITEPROP TIEOFF_X0Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y65 PROHIBIT 0 SITEPROP TIEOFF_X0Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y65 RPM_X 0 SITEPROP TIEOFF_X0Y65 RPM_Y 130 SITEPROP TIEOFF_X0Y65 SITE_PIPS SITEPROP TIEOFF_X0Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y66 CLASS site SITEPROP TIEOFF_X0Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y66 IS_BONDED 0 SITEPROP TIEOFF_X0Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y66 IS_PAD 0 SITEPROP TIEOFF_X0Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y66 IS_RESERVED 0 SITEPROP TIEOFF_X0Y66 IS_TEST 0 SITEPROP TIEOFF_X0Y66 IS_USED 0 SITEPROP TIEOFF_X0Y66 MANUAL_ROUTING SITEPROP TIEOFF_X0Y66 NAME TIEOFF_X0Y66 SITEPROP TIEOFF_X0Y66 NUM_ARCS 0 SITEPROP TIEOFF_X0Y66 NUM_BELS 2 SITEPROP TIEOFF_X0Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y66 NUM_PINS 2 SITEPROP TIEOFF_X0Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y66 PROHIBIT 0 SITEPROP TIEOFF_X0Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y66 RPM_X 0 SITEPROP TIEOFF_X0Y66 RPM_Y 132 SITEPROP TIEOFF_X0Y66 SITE_PIPS SITEPROP TIEOFF_X0Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y67 CLASS site SITEPROP TIEOFF_X0Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y67 IS_BONDED 0 SITEPROP TIEOFF_X0Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y67 IS_PAD 0 SITEPROP TIEOFF_X0Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y67 IS_RESERVED 0 SITEPROP TIEOFF_X0Y67 IS_TEST 0 SITEPROP TIEOFF_X0Y67 IS_USED 0 SITEPROP TIEOFF_X0Y67 MANUAL_ROUTING SITEPROP TIEOFF_X0Y67 NAME TIEOFF_X0Y67 SITEPROP TIEOFF_X0Y67 NUM_ARCS 0 SITEPROP TIEOFF_X0Y67 NUM_BELS 2 SITEPROP TIEOFF_X0Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y67 NUM_PINS 2 SITEPROP TIEOFF_X0Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y67 PROHIBIT 0 SITEPROP TIEOFF_X0Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y67 RPM_X 0 SITEPROP TIEOFF_X0Y67 RPM_Y 134 SITEPROP TIEOFF_X0Y67 SITE_PIPS SITEPROP TIEOFF_X0Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y68 CLASS site SITEPROP TIEOFF_X0Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y68 IS_BONDED 0 SITEPROP TIEOFF_X0Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y68 IS_PAD 0 SITEPROP TIEOFF_X0Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y68 IS_RESERVED 0 SITEPROP TIEOFF_X0Y68 IS_TEST 0 SITEPROP TIEOFF_X0Y68 IS_USED 0 SITEPROP TIEOFF_X0Y68 MANUAL_ROUTING SITEPROP TIEOFF_X0Y68 NAME TIEOFF_X0Y68 SITEPROP TIEOFF_X0Y68 NUM_ARCS 0 SITEPROP TIEOFF_X0Y68 NUM_BELS 2 SITEPROP TIEOFF_X0Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y68 NUM_PINS 2 SITEPROP TIEOFF_X0Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y68 PROHIBIT 0 SITEPROP TIEOFF_X0Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y68 RPM_X 0 SITEPROP TIEOFF_X0Y68 RPM_Y 136 SITEPROP TIEOFF_X0Y68 SITE_PIPS SITEPROP TIEOFF_X0Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y69 CLASS site SITEPROP TIEOFF_X0Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y69 IS_BONDED 0 SITEPROP TIEOFF_X0Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y69 IS_PAD 0 SITEPROP TIEOFF_X0Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y69 IS_RESERVED 0 SITEPROP TIEOFF_X0Y69 IS_TEST 0 SITEPROP TIEOFF_X0Y69 IS_USED 0 SITEPROP TIEOFF_X0Y69 MANUAL_ROUTING SITEPROP TIEOFF_X0Y69 NAME TIEOFF_X0Y69 SITEPROP TIEOFF_X0Y69 NUM_ARCS 0 SITEPROP TIEOFF_X0Y69 NUM_BELS 2 SITEPROP TIEOFF_X0Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y69 NUM_PINS 2 SITEPROP TIEOFF_X0Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y69 PROHIBIT 0 SITEPROP TIEOFF_X0Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y69 RPM_X 0 SITEPROP TIEOFF_X0Y69 RPM_Y 138 SITEPROP TIEOFF_X0Y69 SITE_PIPS SITEPROP TIEOFF_X0Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y70 CLASS site SITEPROP TIEOFF_X0Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y70 IS_BONDED 0 SITEPROP TIEOFF_X0Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y70 IS_PAD 0 SITEPROP TIEOFF_X0Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y70 IS_RESERVED 0 SITEPROP TIEOFF_X0Y70 IS_TEST 0 SITEPROP TIEOFF_X0Y70 IS_USED 0 SITEPROP TIEOFF_X0Y70 MANUAL_ROUTING SITEPROP TIEOFF_X0Y70 NAME TIEOFF_X0Y70 SITEPROP TIEOFF_X0Y70 NUM_ARCS 0 SITEPROP TIEOFF_X0Y70 NUM_BELS 2 SITEPROP TIEOFF_X0Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y70 NUM_PINS 2 SITEPROP TIEOFF_X0Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y70 PROHIBIT 0 SITEPROP TIEOFF_X0Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y70 RPM_X 0 SITEPROP TIEOFF_X0Y70 RPM_Y 140 SITEPROP TIEOFF_X0Y70 SITE_PIPS SITEPROP TIEOFF_X0Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y71 CLASS site SITEPROP TIEOFF_X0Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y71 IS_BONDED 0 SITEPROP TIEOFF_X0Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y71 IS_PAD 0 SITEPROP TIEOFF_X0Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y71 IS_RESERVED 0 SITEPROP TIEOFF_X0Y71 IS_TEST 0 SITEPROP TIEOFF_X0Y71 IS_USED 0 SITEPROP TIEOFF_X0Y71 MANUAL_ROUTING SITEPROP TIEOFF_X0Y71 NAME TIEOFF_X0Y71 SITEPROP TIEOFF_X0Y71 NUM_ARCS 0 SITEPROP TIEOFF_X0Y71 NUM_BELS 2 SITEPROP TIEOFF_X0Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y71 NUM_PINS 2 SITEPROP TIEOFF_X0Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y71 PROHIBIT 0 SITEPROP TIEOFF_X0Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y71 RPM_X 0 SITEPROP TIEOFF_X0Y71 RPM_Y 142 SITEPROP TIEOFF_X0Y71 SITE_PIPS SITEPROP TIEOFF_X0Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y72 CLASS site SITEPROP TIEOFF_X0Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y72 IS_BONDED 0 SITEPROP TIEOFF_X0Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y72 IS_PAD 0 SITEPROP TIEOFF_X0Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y72 IS_RESERVED 0 SITEPROP TIEOFF_X0Y72 IS_TEST 0 SITEPROP TIEOFF_X0Y72 IS_USED 0 SITEPROP TIEOFF_X0Y72 MANUAL_ROUTING SITEPROP TIEOFF_X0Y72 NAME TIEOFF_X0Y72 SITEPROP TIEOFF_X0Y72 NUM_ARCS 0 SITEPROP TIEOFF_X0Y72 NUM_BELS 2 SITEPROP TIEOFF_X0Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y72 NUM_PINS 2 SITEPROP TIEOFF_X0Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y72 PROHIBIT 0 SITEPROP TIEOFF_X0Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y72 RPM_X 0 SITEPROP TIEOFF_X0Y72 RPM_Y 144 SITEPROP TIEOFF_X0Y72 SITE_PIPS SITEPROP TIEOFF_X0Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y73 CLASS site SITEPROP TIEOFF_X0Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y73 IS_BONDED 0 SITEPROP TIEOFF_X0Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y73 IS_PAD 0 SITEPROP TIEOFF_X0Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y73 IS_RESERVED 0 SITEPROP TIEOFF_X0Y73 IS_TEST 0 SITEPROP TIEOFF_X0Y73 IS_USED 0 SITEPROP TIEOFF_X0Y73 MANUAL_ROUTING SITEPROP TIEOFF_X0Y73 NAME TIEOFF_X0Y73 SITEPROP TIEOFF_X0Y73 NUM_ARCS 0 SITEPROP TIEOFF_X0Y73 NUM_BELS 2 SITEPROP TIEOFF_X0Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y73 NUM_PINS 2 SITEPROP TIEOFF_X0Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y73 PROHIBIT 0 SITEPROP TIEOFF_X0Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y73 RPM_X 0 SITEPROP TIEOFF_X0Y73 RPM_Y 146 SITEPROP TIEOFF_X0Y73 SITE_PIPS SITEPROP TIEOFF_X0Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y74 CLASS site SITEPROP TIEOFF_X0Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y74 IS_BONDED 0 SITEPROP TIEOFF_X0Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y74 IS_PAD 0 SITEPROP TIEOFF_X0Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y74 IS_RESERVED 0 SITEPROP TIEOFF_X0Y74 IS_TEST 0 SITEPROP TIEOFF_X0Y74 IS_USED 0 SITEPROP TIEOFF_X0Y74 MANUAL_ROUTING SITEPROP TIEOFF_X0Y74 NAME TIEOFF_X0Y74 SITEPROP TIEOFF_X0Y74 NUM_ARCS 0 SITEPROP TIEOFF_X0Y74 NUM_BELS 2 SITEPROP TIEOFF_X0Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y74 NUM_PINS 2 SITEPROP TIEOFF_X0Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y74 PROHIBIT 0 SITEPROP TIEOFF_X0Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y74 RPM_X 0 SITEPROP TIEOFF_X0Y74 RPM_Y 148 SITEPROP TIEOFF_X0Y74 SITE_PIPS SITEPROP TIEOFF_X0Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y75 CLASS site SITEPROP TIEOFF_X0Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y75 IS_BONDED 0 SITEPROP TIEOFF_X0Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y75 IS_PAD 0 SITEPROP TIEOFF_X0Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y75 IS_RESERVED 0 SITEPROP TIEOFF_X0Y75 IS_TEST 0 SITEPROP TIEOFF_X0Y75 IS_USED 0 SITEPROP TIEOFF_X0Y75 MANUAL_ROUTING SITEPROP TIEOFF_X0Y75 NAME TIEOFF_X0Y75 SITEPROP TIEOFF_X0Y75 NUM_ARCS 0 SITEPROP TIEOFF_X0Y75 NUM_BELS 2 SITEPROP TIEOFF_X0Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y75 NUM_PINS 2 SITEPROP TIEOFF_X0Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y75 PROHIBIT 0 SITEPROP TIEOFF_X0Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y75 RPM_X 0 SITEPROP TIEOFF_X0Y75 RPM_Y 150 SITEPROP TIEOFF_X0Y75 SITE_PIPS SITEPROP TIEOFF_X0Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y76 CLASS site SITEPROP TIEOFF_X0Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y76 IS_BONDED 0 SITEPROP TIEOFF_X0Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y76 IS_PAD 0 SITEPROP TIEOFF_X0Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y76 IS_RESERVED 0 SITEPROP TIEOFF_X0Y76 IS_TEST 0 SITEPROP TIEOFF_X0Y76 IS_USED 0 SITEPROP TIEOFF_X0Y76 MANUAL_ROUTING SITEPROP TIEOFF_X0Y76 NAME TIEOFF_X0Y76 SITEPROP TIEOFF_X0Y76 NUM_ARCS 0 SITEPROP TIEOFF_X0Y76 NUM_BELS 2 SITEPROP TIEOFF_X0Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y76 NUM_PINS 2 SITEPROP TIEOFF_X0Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y76 PROHIBIT 0 SITEPROP TIEOFF_X0Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y76 RPM_X 0 SITEPROP TIEOFF_X0Y76 RPM_Y 152 SITEPROP TIEOFF_X0Y76 SITE_PIPS SITEPROP TIEOFF_X0Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y77 CLASS site SITEPROP TIEOFF_X0Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y77 IS_BONDED 0 SITEPROP TIEOFF_X0Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y77 IS_PAD 0 SITEPROP TIEOFF_X0Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y77 IS_RESERVED 0 SITEPROP TIEOFF_X0Y77 IS_TEST 0 SITEPROP TIEOFF_X0Y77 IS_USED 0 SITEPROP TIEOFF_X0Y77 MANUAL_ROUTING SITEPROP TIEOFF_X0Y77 NAME TIEOFF_X0Y77 SITEPROP TIEOFF_X0Y77 NUM_ARCS 0 SITEPROP TIEOFF_X0Y77 NUM_BELS 2 SITEPROP TIEOFF_X0Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y77 NUM_PINS 2 SITEPROP TIEOFF_X0Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y77 PROHIBIT 0 SITEPROP TIEOFF_X0Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y77 RPM_X 0 SITEPROP TIEOFF_X0Y77 RPM_Y 154 SITEPROP TIEOFF_X0Y77 SITE_PIPS SITEPROP TIEOFF_X0Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y78 CLASS site SITEPROP TIEOFF_X0Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y78 IS_BONDED 0 SITEPROP TIEOFF_X0Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y78 IS_PAD 0 SITEPROP TIEOFF_X0Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y78 IS_RESERVED 0 SITEPROP TIEOFF_X0Y78 IS_TEST 0 SITEPROP TIEOFF_X0Y78 IS_USED 0 SITEPROP TIEOFF_X0Y78 MANUAL_ROUTING SITEPROP TIEOFF_X0Y78 NAME TIEOFF_X0Y78 SITEPROP TIEOFF_X0Y78 NUM_ARCS 0 SITEPROP TIEOFF_X0Y78 NUM_BELS 2 SITEPROP TIEOFF_X0Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y78 NUM_PINS 2 SITEPROP TIEOFF_X0Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y78 PROHIBIT 0 SITEPROP TIEOFF_X0Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y78 RPM_X 0 SITEPROP TIEOFF_X0Y78 RPM_Y 156 SITEPROP TIEOFF_X0Y78 SITE_PIPS SITEPROP TIEOFF_X0Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y79 CLASS site SITEPROP TIEOFF_X0Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y79 IS_BONDED 0 SITEPROP TIEOFF_X0Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y79 IS_PAD 0 SITEPROP TIEOFF_X0Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y79 IS_RESERVED 0 SITEPROP TIEOFF_X0Y79 IS_TEST 0 SITEPROP TIEOFF_X0Y79 IS_USED 0 SITEPROP TIEOFF_X0Y79 MANUAL_ROUTING SITEPROP TIEOFF_X0Y79 NAME TIEOFF_X0Y79 SITEPROP TIEOFF_X0Y79 NUM_ARCS 0 SITEPROP TIEOFF_X0Y79 NUM_BELS 2 SITEPROP TIEOFF_X0Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y79 NUM_PINS 2 SITEPROP TIEOFF_X0Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y79 PROHIBIT 0 SITEPROP TIEOFF_X0Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y79 RPM_X 0 SITEPROP TIEOFF_X0Y79 RPM_Y 158 SITEPROP TIEOFF_X0Y79 SITE_PIPS SITEPROP TIEOFF_X0Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y80 CLASS site SITEPROP TIEOFF_X0Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y80 IS_BONDED 0 SITEPROP TIEOFF_X0Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y80 IS_PAD 0 SITEPROP TIEOFF_X0Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y80 IS_RESERVED 0 SITEPROP TIEOFF_X0Y80 IS_TEST 0 SITEPROP TIEOFF_X0Y80 IS_USED 0 SITEPROP TIEOFF_X0Y80 MANUAL_ROUTING SITEPROP TIEOFF_X0Y80 NAME TIEOFF_X0Y80 SITEPROP TIEOFF_X0Y80 NUM_ARCS 0 SITEPROP TIEOFF_X0Y80 NUM_BELS 2 SITEPROP TIEOFF_X0Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y80 NUM_PINS 2 SITEPROP TIEOFF_X0Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y80 PROHIBIT 0 SITEPROP TIEOFF_X0Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y80 RPM_X 0 SITEPROP TIEOFF_X0Y80 RPM_Y 160 SITEPROP TIEOFF_X0Y80 SITE_PIPS SITEPROP TIEOFF_X0Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y81 CLASS site SITEPROP TIEOFF_X0Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y81 IS_BONDED 0 SITEPROP TIEOFF_X0Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y81 IS_PAD 0 SITEPROP TIEOFF_X0Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y81 IS_RESERVED 0 SITEPROP TIEOFF_X0Y81 IS_TEST 0 SITEPROP TIEOFF_X0Y81 IS_USED 0 SITEPROP TIEOFF_X0Y81 MANUAL_ROUTING SITEPROP TIEOFF_X0Y81 NAME TIEOFF_X0Y81 SITEPROP TIEOFF_X0Y81 NUM_ARCS 0 SITEPROP TIEOFF_X0Y81 NUM_BELS 2 SITEPROP TIEOFF_X0Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y81 NUM_PINS 2 SITEPROP TIEOFF_X0Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y81 PROHIBIT 0 SITEPROP TIEOFF_X0Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y81 RPM_X 0 SITEPROP TIEOFF_X0Y81 RPM_Y 162 SITEPROP TIEOFF_X0Y81 SITE_PIPS SITEPROP TIEOFF_X0Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y82 CLASS site SITEPROP TIEOFF_X0Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y82 IS_BONDED 0 SITEPROP TIEOFF_X0Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y82 IS_PAD 0 SITEPROP TIEOFF_X0Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y82 IS_RESERVED 0 SITEPROP TIEOFF_X0Y82 IS_TEST 0 SITEPROP TIEOFF_X0Y82 IS_USED 0 SITEPROP TIEOFF_X0Y82 MANUAL_ROUTING SITEPROP TIEOFF_X0Y82 NAME TIEOFF_X0Y82 SITEPROP TIEOFF_X0Y82 NUM_ARCS 0 SITEPROP TIEOFF_X0Y82 NUM_BELS 2 SITEPROP TIEOFF_X0Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y82 NUM_PINS 2 SITEPROP TIEOFF_X0Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y82 PROHIBIT 0 SITEPROP TIEOFF_X0Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y82 RPM_X 0 SITEPROP TIEOFF_X0Y82 RPM_Y 164 SITEPROP TIEOFF_X0Y82 SITE_PIPS SITEPROP TIEOFF_X0Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y83 CLASS site SITEPROP TIEOFF_X0Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y83 IS_BONDED 0 SITEPROP TIEOFF_X0Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y83 IS_PAD 0 SITEPROP TIEOFF_X0Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y83 IS_RESERVED 0 SITEPROP TIEOFF_X0Y83 IS_TEST 0 SITEPROP TIEOFF_X0Y83 IS_USED 0 SITEPROP TIEOFF_X0Y83 MANUAL_ROUTING SITEPROP TIEOFF_X0Y83 NAME TIEOFF_X0Y83 SITEPROP TIEOFF_X0Y83 NUM_ARCS 0 SITEPROP TIEOFF_X0Y83 NUM_BELS 2 SITEPROP TIEOFF_X0Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y83 NUM_PINS 2 SITEPROP TIEOFF_X0Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y83 PROHIBIT 0 SITEPROP TIEOFF_X0Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y83 RPM_X 0 SITEPROP TIEOFF_X0Y83 RPM_Y 166 SITEPROP TIEOFF_X0Y83 SITE_PIPS SITEPROP TIEOFF_X0Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y84 CLASS site SITEPROP TIEOFF_X0Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y84 IS_BONDED 0 SITEPROP TIEOFF_X0Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y84 IS_PAD 0 SITEPROP TIEOFF_X0Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y84 IS_RESERVED 0 SITEPROP TIEOFF_X0Y84 IS_TEST 0 SITEPROP TIEOFF_X0Y84 IS_USED 0 SITEPROP TIEOFF_X0Y84 MANUAL_ROUTING SITEPROP TIEOFF_X0Y84 NAME TIEOFF_X0Y84 SITEPROP TIEOFF_X0Y84 NUM_ARCS 0 SITEPROP TIEOFF_X0Y84 NUM_BELS 2 SITEPROP TIEOFF_X0Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y84 NUM_PINS 2 SITEPROP TIEOFF_X0Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y84 PROHIBIT 0 SITEPROP TIEOFF_X0Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y84 RPM_X 0 SITEPROP TIEOFF_X0Y84 RPM_Y 168 SITEPROP TIEOFF_X0Y84 SITE_PIPS SITEPROP TIEOFF_X0Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y85 CLASS site SITEPROP TIEOFF_X0Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y85 IS_BONDED 0 SITEPROP TIEOFF_X0Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y85 IS_PAD 0 SITEPROP TIEOFF_X0Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y85 IS_RESERVED 0 SITEPROP TIEOFF_X0Y85 IS_TEST 0 SITEPROP TIEOFF_X0Y85 IS_USED 0 SITEPROP TIEOFF_X0Y85 MANUAL_ROUTING SITEPROP TIEOFF_X0Y85 NAME TIEOFF_X0Y85 SITEPROP TIEOFF_X0Y85 NUM_ARCS 0 SITEPROP TIEOFF_X0Y85 NUM_BELS 2 SITEPROP TIEOFF_X0Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y85 NUM_PINS 2 SITEPROP TIEOFF_X0Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y85 PROHIBIT 0 SITEPROP TIEOFF_X0Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y85 RPM_X 0 SITEPROP TIEOFF_X0Y85 RPM_Y 170 SITEPROP TIEOFF_X0Y85 SITE_PIPS SITEPROP TIEOFF_X0Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y86 CLASS site SITEPROP TIEOFF_X0Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y86 IS_BONDED 0 SITEPROP TIEOFF_X0Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y86 IS_PAD 0 SITEPROP TIEOFF_X0Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y86 IS_RESERVED 0 SITEPROP TIEOFF_X0Y86 IS_TEST 0 SITEPROP TIEOFF_X0Y86 IS_USED 0 SITEPROP TIEOFF_X0Y86 MANUAL_ROUTING SITEPROP TIEOFF_X0Y86 NAME TIEOFF_X0Y86 SITEPROP TIEOFF_X0Y86 NUM_ARCS 0 SITEPROP TIEOFF_X0Y86 NUM_BELS 2 SITEPROP TIEOFF_X0Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y86 NUM_PINS 2 SITEPROP TIEOFF_X0Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y86 PROHIBIT 0 SITEPROP TIEOFF_X0Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y86 RPM_X 0 SITEPROP TIEOFF_X0Y86 RPM_Y 172 SITEPROP TIEOFF_X0Y86 SITE_PIPS SITEPROP TIEOFF_X0Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y87 CLASS site SITEPROP TIEOFF_X0Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y87 IS_BONDED 0 SITEPROP TIEOFF_X0Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y87 IS_PAD 0 SITEPROP TIEOFF_X0Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y87 IS_RESERVED 0 SITEPROP TIEOFF_X0Y87 IS_TEST 0 SITEPROP TIEOFF_X0Y87 IS_USED 0 SITEPROP TIEOFF_X0Y87 MANUAL_ROUTING SITEPROP TIEOFF_X0Y87 NAME TIEOFF_X0Y87 SITEPROP TIEOFF_X0Y87 NUM_ARCS 0 SITEPROP TIEOFF_X0Y87 NUM_BELS 2 SITEPROP TIEOFF_X0Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y87 NUM_PINS 2 SITEPROP TIEOFF_X0Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y87 PROHIBIT 0 SITEPROP TIEOFF_X0Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y87 RPM_X 0 SITEPROP TIEOFF_X0Y87 RPM_Y 174 SITEPROP TIEOFF_X0Y87 SITE_PIPS SITEPROP TIEOFF_X0Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y88 CLASS site SITEPROP TIEOFF_X0Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y88 IS_BONDED 0 SITEPROP TIEOFF_X0Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y88 IS_PAD 0 SITEPROP TIEOFF_X0Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y88 IS_RESERVED 0 SITEPROP TIEOFF_X0Y88 IS_TEST 0 SITEPROP TIEOFF_X0Y88 IS_USED 0 SITEPROP TIEOFF_X0Y88 MANUAL_ROUTING SITEPROP TIEOFF_X0Y88 NAME TIEOFF_X0Y88 SITEPROP TIEOFF_X0Y88 NUM_ARCS 0 SITEPROP TIEOFF_X0Y88 NUM_BELS 2 SITEPROP TIEOFF_X0Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y88 NUM_PINS 2 SITEPROP TIEOFF_X0Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y88 PROHIBIT 0 SITEPROP TIEOFF_X0Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y88 RPM_X 0 SITEPROP TIEOFF_X0Y88 RPM_Y 176 SITEPROP TIEOFF_X0Y88 SITE_PIPS SITEPROP TIEOFF_X0Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y89 CLASS site SITEPROP TIEOFF_X0Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y89 IS_BONDED 0 SITEPROP TIEOFF_X0Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y89 IS_PAD 0 SITEPROP TIEOFF_X0Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y89 IS_RESERVED 0 SITEPROP TIEOFF_X0Y89 IS_TEST 0 SITEPROP TIEOFF_X0Y89 IS_USED 0 SITEPROP TIEOFF_X0Y89 MANUAL_ROUTING SITEPROP TIEOFF_X0Y89 NAME TIEOFF_X0Y89 SITEPROP TIEOFF_X0Y89 NUM_ARCS 0 SITEPROP TIEOFF_X0Y89 NUM_BELS 2 SITEPROP TIEOFF_X0Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y89 NUM_PINS 2 SITEPROP TIEOFF_X0Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y89 PROHIBIT 0 SITEPROP TIEOFF_X0Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y89 RPM_X 0 SITEPROP TIEOFF_X0Y89 RPM_Y 178 SITEPROP TIEOFF_X0Y89 SITE_PIPS SITEPROP TIEOFF_X0Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y90 CLASS site SITEPROP TIEOFF_X0Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y90 IS_BONDED 0 SITEPROP TIEOFF_X0Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y90 IS_PAD 0 SITEPROP TIEOFF_X0Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y90 IS_RESERVED 0 SITEPROP TIEOFF_X0Y90 IS_TEST 0 SITEPROP TIEOFF_X0Y90 IS_USED 0 SITEPROP TIEOFF_X0Y90 MANUAL_ROUTING SITEPROP TIEOFF_X0Y90 NAME TIEOFF_X0Y90 SITEPROP TIEOFF_X0Y90 NUM_ARCS 0 SITEPROP TIEOFF_X0Y90 NUM_BELS 2 SITEPROP TIEOFF_X0Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y90 NUM_PINS 2 SITEPROP TIEOFF_X0Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y90 PROHIBIT 0 SITEPROP TIEOFF_X0Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y90 RPM_X 0 SITEPROP TIEOFF_X0Y90 RPM_Y 180 SITEPROP TIEOFF_X0Y90 SITE_PIPS SITEPROP TIEOFF_X0Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y91 CLASS site SITEPROP TIEOFF_X0Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y91 IS_BONDED 0 SITEPROP TIEOFF_X0Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y91 IS_PAD 0 SITEPROP TIEOFF_X0Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y91 IS_RESERVED 0 SITEPROP TIEOFF_X0Y91 IS_TEST 0 SITEPROP TIEOFF_X0Y91 IS_USED 0 SITEPROP TIEOFF_X0Y91 MANUAL_ROUTING SITEPROP TIEOFF_X0Y91 NAME TIEOFF_X0Y91 SITEPROP TIEOFF_X0Y91 NUM_ARCS 0 SITEPROP TIEOFF_X0Y91 NUM_BELS 2 SITEPROP TIEOFF_X0Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y91 NUM_PINS 2 SITEPROP TIEOFF_X0Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y91 PROHIBIT 0 SITEPROP TIEOFF_X0Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y91 RPM_X 0 SITEPROP TIEOFF_X0Y91 RPM_Y 182 SITEPROP TIEOFF_X0Y91 SITE_PIPS SITEPROP TIEOFF_X0Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y92 CLASS site SITEPROP TIEOFF_X0Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y92 IS_BONDED 0 SITEPROP TIEOFF_X0Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y92 IS_PAD 0 SITEPROP TIEOFF_X0Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y92 IS_RESERVED 0 SITEPROP TIEOFF_X0Y92 IS_TEST 0 SITEPROP TIEOFF_X0Y92 IS_USED 0 SITEPROP TIEOFF_X0Y92 MANUAL_ROUTING SITEPROP TIEOFF_X0Y92 NAME TIEOFF_X0Y92 SITEPROP TIEOFF_X0Y92 NUM_ARCS 0 SITEPROP TIEOFF_X0Y92 NUM_BELS 2 SITEPROP TIEOFF_X0Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y92 NUM_PINS 2 SITEPROP TIEOFF_X0Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y92 PROHIBIT 0 SITEPROP TIEOFF_X0Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y92 RPM_X 0 SITEPROP TIEOFF_X0Y92 RPM_Y 184 SITEPROP TIEOFF_X0Y92 SITE_PIPS SITEPROP TIEOFF_X0Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y93 CLASS site SITEPROP TIEOFF_X0Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y93 IS_BONDED 0 SITEPROP TIEOFF_X0Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y93 IS_PAD 0 SITEPROP TIEOFF_X0Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y93 IS_RESERVED 0 SITEPROP TIEOFF_X0Y93 IS_TEST 0 SITEPROP TIEOFF_X0Y93 IS_USED 0 SITEPROP TIEOFF_X0Y93 MANUAL_ROUTING SITEPROP TIEOFF_X0Y93 NAME TIEOFF_X0Y93 SITEPROP TIEOFF_X0Y93 NUM_ARCS 0 SITEPROP TIEOFF_X0Y93 NUM_BELS 2 SITEPROP TIEOFF_X0Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y93 NUM_PINS 2 SITEPROP TIEOFF_X0Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y93 PROHIBIT 0 SITEPROP TIEOFF_X0Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y93 RPM_X 0 SITEPROP TIEOFF_X0Y93 RPM_Y 186 SITEPROP TIEOFF_X0Y93 SITE_PIPS SITEPROP TIEOFF_X0Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y94 CLASS site SITEPROP TIEOFF_X0Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y94 IS_BONDED 0 SITEPROP TIEOFF_X0Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y94 IS_PAD 0 SITEPROP TIEOFF_X0Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y94 IS_RESERVED 0 SITEPROP TIEOFF_X0Y94 IS_TEST 0 SITEPROP TIEOFF_X0Y94 IS_USED 0 SITEPROP TIEOFF_X0Y94 MANUAL_ROUTING SITEPROP TIEOFF_X0Y94 NAME TIEOFF_X0Y94 SITEPROP TIEOFF_X0Y94 NUM_ARCS 0 SITEPROP TIEOFF_X0Y94 NUM_BELS 2 SITEPROP TIEOFF_X0Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y94 NUM_PINS 2 SITEPROP TIEOFF_X0Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y94 PROHIBIT 0 SITEPROP TIEOFF_X0Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y94 RPM_X 0 SITEPROP TIEOFF_X0Y94 RPM_Y 188 SITEPROP TIEOFF_X0Y94 SITE_PIPS SITEPROP TIEOFF_X0Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y95 CLASS site SITEPROP TIEOFF_X0Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y95 IS_BONDED 0 SITEPROP TIEOFF_X0Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y95 IS_PAD 0 SITEPROP TIEOFF_X0Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y95 IS_RESERVED 0 SITEPROP TIEOFF_X0Y95 IS_TEST 0 SITEPROP TIEOFF_X0Y95 IS_USED 0 SITEPROP TIEOFF_X0Y95 MANUAL_ROUTING SITEPROP TIEOFF_X0Y95 NAME TIEOFF_X0Y95 SITEPROP TIEOFF_X0Y95 NUM_ARCS 0 SITEPROP TIEOFF_X0Y95 NUM_BELS 2 SITEPROP TIEOFF_X0Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y95 NUM_PINS 2 SITEPROP TIEOFF_X0Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y95 PROHIBIT 0 SITEPROP TIEOFF_X0Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y95 RPM_X 0 SITEPROP TIEOFF_X0Y95 RPM_Y 190 SITEPROP TIEOFF_X0Y95 SITE_PIPS SITEPROP TIEOFF_X0Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y96 CLASS site SITEPROP TIEOFF_X0Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y96 IS_BONDED 0 SITEPROP TIEOFF_X0Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y96 IS_PAD 0 SITEPROP TIEOFF_X0Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y96 IS_RESERVED 0 SITEPROP TIEOFF_X0Y96 IS_TEST 0 SITEPROP TIEOFF_X0Y96 IS_USED 0 SITEPROP TIEOFF_X0Y96 MANUAL_ROUTING SITEPROP TIEOFF_X0Y96 NAME TIEOFF_X0Y96 SITEPROP TIEOFF_X0Y96 NUM_ARCS 0 SITEPROP TIEOFF_X0Y96 NUM_BELS 2 SITEPROP TIEOFF_X0Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y96 NUM_PINS 2 SITEPROP TIEOFF_X0Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y96 PROHIBIT 0 SITEPROP TIEOFF_X0Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y96 RPM_X 0 SITEPROP TIEOFF_X0Y96 RPM_Y 192 SITEPROP TIEOFF_X0Y96 SITE_PIPS SITEPROP TIEOFF_X0Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y97 CLASS site SITEPROP TIEOFF_X0Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y97 IS_BONDED 0 SITEPROP TIEOFF_X0Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y97 IS_PAD 0 SITEPROP TIEOFF_X0Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y97 IS_RESERVED 0 SITEPROP TIEOFF_X0Y97 IS_TEST 0 SITEPROP TIEOFF_X0Y97 IS_USED 0 SITEPROP TIEOFF_X0Y97 MANUAL_ROUTING SITEPROP TIEOFF_X0Y97 NAME TIEOFF_X0Y97 SITEPROP TIEOFF_X0Y97 NUM_ARCS 0 SITEPROP TIEOFF_X0Y97 NUM_BELS 2 SITEPROP TIEOFF_X0Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y97 NUM_PINS 2 SITEPROP TIEOFF_X0Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y97 PROHIBIT 0 SITEPROP TIEOFF_X0Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y97 RPM_X 0 SITEPROP TIEOFF_X0Y97 RPM_Y 194 SITEPROP TIEOFF_X0Y97 SITE_PIPS SITEPROP TIEOFF_X0Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y98 CLASS site SITEPROP TIEOFF_X0Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y98 IS_BONDED 0 SITEPROP TIEOFF_X0Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y98 IS_PAD 0 SITEPROP TIEOFF_X0Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y98 IS_RESERVED 0 SITEPROP TIEOFF_X0Y98 IS_TEST 0 SITEPROP TIEOFF_X0Y98 IS_USED 0 SITEPROP TIEOFF_X0Y98 MANUAL_ROUTING SITEPROP TIEOFF_X0Y98 NAME TIEOFF_X0Y98 SITEPROP TIEOFF_X0Y98 NUM_ARCS 0 SITEPROP TIEOFF_X0Y98 NUM_BELS 2 SITEPROP TIEOFF_X0Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y98 NUM_PINS 2 SITEPROP TIEOFF_X0Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y98 PROHIBIT 0 SITEPROP TIEOFF_X0Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y98 RPM_X 0 SITEPROP TIEOFF_X0Y98 RPM_Y 196 SITEPROP TIEOFF_X0Y98 SITE_PIPS SITEPROP TIEOFF_X0Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y99 CLASS site SITEPROP TIEOFF_X0Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X0Y99 IS_BONDED 0 SITEPROP TIEOFF_X0Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y99 IS_PAD 0 SITEPROP TIEOFF_X0Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y99 IS_RESERVED 0 SITEPROP TIEOFF_X0Y99 IS_TEST 0 SITEPROP TIEOFF_X0Y99 IS_USED 0 SITEPROP TIEOFF_X0Y99 MANUAL_ROUTING SITEPROP TIEOFF_X0Y99 NAME TIEOFF_X0Y99 SITEPROP TIEOFF_X0Y99 NUM_ARCS 0 SITEPROP TIEOFF_X0Y99 NUM_BELS 2 SITEPROP TIEOFF_X0Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y99 NUM_PINS 2 SITEPROP TIEOFF_X0Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y99 PROHIBIT 0 SITEPROP TIEOFF_X0Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y99 RPM_X 0 SITEPROP TIEOFF_X0Y99 RPM_Y 198 SITEPROP TIEOFF_X0Y99 SITE_PIPS SITEPROP TIEOFF_X0Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y100 CLASS site SITEPROP TIEOFF_X0Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y100 IS_BONDED 0 SITEPROP TIEOFF_X0Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y100 IS_PAD 0 SITEPROP TIEOFF_X0Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y100 IS_RESERVED 0 SITEPROP TIEOFF_X0Y100 IS_TEST 0 SITEPROP TIEOFF_X0Y100 IS_USED 0 SITEPROP TIEOFF_X0Y100 MANUAL_ROUTING SITEPROP TIEOFF_X0Y100 NAME TIEOFF_X0Y100 SITEPROP TIEOFF_X0Y100 NUM_ARCS 0 SITEPROP TIEOFF_X0Y100 NUM_BELS 2 SITEPROP TIEOFF_X0Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y100 NUM_PINS 2 SITEPROP TIEOFF_X0Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y100 PROHIBIT 0 SITEPROP TIEOFF_X0Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y100 RPM_X 0 SITEPROP TIEOFF_X0Y100 RPM_Y 200 SITEPROP TIEOFF_X0Y100 SITE_PIPS SITEPROP TIEOFF_X0Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y101 CLASS site SITEPROP TIEOFF_X0Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y101 IS_BONDED 0 SITEPROP TIEOFF_X0Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y101 IS_PAD 0 SITEPROP TIEOFF_X0Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y101 IS_RESERVED 0 SITEPROP TIEOFF_X0Y101 IS_TEST 0 SITEPROP TIEOFF_X0Y101 IS_USED 0 SITEPROP TIEOFF_X0Y101 MANUAL_ROUTING SITEPROP TIEOFF_X0Y101 NAME TIEOFF_X0Y101 SITEPROP TIEOFF_X0Y101 NUM_ARCS 0 SITEPROP TIEOFF_X0Y101 NUM_BELS 2 SITEPROP TIEOFF_X0Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y101 NUM_PINS 2 SITEPROP TIEOFF_X0Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y101 PROHIBIT 0 SITEPROP TIEOFF_X0Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y101 RPM_X 0 SITEPROP TIEOFF_X0Y101 RPM_Y 202 SITEPROP TIEOFF_X0Y101 SITE_PIPS SITEPROP TIEOFF_X0Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y102 CLASS site SITEPROP TIEOFF_X0Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y102 IS_BONDED 0 SITEPROP TIEOFF_X0Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y102 IS_PAD 0 SITEPROP TIEOFF_X0Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y102 IS_RESERVED 0 SITEPROP TIEOFF_X0Y102 IS_TEST 0 SITEPROP TIEOFF_X0Y102 IS_USED 0 SITEPROP TIEOFF_X0Y102 MANUAL_ROUTING SITEPROP TIEOFF_X0Y102 NAME TIEOFF_X0Y102 SITEPROP TIEOFF_X0Y102 NUM_ARCS 0 SITEPROP TIEOFF_X0Y102 NUM_BELS 2 SITEPROP TIEOFF_X0Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y102 NUM_PINS 2 SITEPROP TIEOFF_X0Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y102 PROHIBIT 0 SITEPROP TIEOFF_X0Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y102 RPM_X 0 SITEPROP TIEOFF_X0Y102 RPM_Y 204 SITEPROP TIEOFF_X0Y102 SITE_PIPS SITEPROP TIEOFF_X0Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y103 CLASS site SITEPROP TIEOFF_X0Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y103 IS_BONDED 0 SITEPROP TIEOFF_X0Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y103 IS_PAD 0 SITEPROP TIEOFF_X0Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y103 IS_RESERVED 0 SITEPROP TIEOFF_X0Y103 IS_TEST 0 SITEPROP TIEOFF_X0Y103 IS_USED 0 SITEPROP TIEOFF_X0Y103 MANUAL_ROUTING SITEPROP TIEOFF_X0Y103 NAME TIEOFF_X0Y103 SITEPROP TIEOFF_X0Y103 NUM_ARCS 0 SITEPROP TIEOFF_X0Y103 NUM_BELS 2 SITEPROP TIEOFF_X0Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y103 NUM_PINS 2 SITEPROP TIEOFF_X0Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y103 PROHIBIT 0 SITEPROP TIEOFF_X0Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y103 RPM_X 0 SITEPROP TIEOFF_X0Y103 RPM_Y 206 SITEPROP TIEOFF_X0Y103 SITE_PIPS SITEPROP TIEOFF_X0Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y104 CLASS site SITEPROP TIEOFF_X0Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y104 IS_BONDED 0 SITEPROP TIEOFF_X0Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y104 IS_PAD 0 SITEPROP TIEOFF_X0Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y104 IS_RESERVED 0 SITEPROP TIEOFF_X0Y104 IS_TEST 0 SITEPROP TIEOFF_X0Y104 IS_USED 0 SITEPROP TIEOFF_X0Y104 MANUAL_ROUTING SITEPROP TIEOFF_X0Y104 NAME TIEOFF_X0Y104 SITEPROP TIEOFF_X0Y104 NUM_ARCS 0 SITEPROP TIEOFF_X0Y104 NUM_BELS 2 SITEPROP TIEOFF_X0Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y104 NUM_PINS 2 SITEPROP TIEOFF_X0Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y104 PROHIBIT 0 SITEPROP TIEOFF_X0Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y104 RPM_X 0 SITEPROP TIEOFF_X0Y104 RPM_Y 208 SITEPROP TIEOFF_X0Y104 SITE_PIPS SITEPROP TIEOFF_X0Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y105 CLASS site SITEPROP TIEOFF_X0Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y105 IS_BONDED 0 SITEPROP TIEOFF_X0Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y105 IS_PAD 0 SITEPROP TIEOFF_X0Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y105 IS_RESERVED 0 SITEPROP TIEOFF_X0Y105 IS_TEST 0 SITEPROP TIEOFF_X0Y105 IS_USED 0 SITEPROP TIEOFF_X0Y105 MANUAL_ROUTING SITEPROP TIEOFF_X0Y105 NAME TIEOFF_X0Y105 SITEPROP TIEOFF_X0Y105 NUM_ARCS 0 SITEPROP TIEOFF_X0Y105 NUM_BELS 2 SITEPROP TIEOFF_X0Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y105 NUM_PINS 2 SITEPROP TIEOFF_X0Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y105 PROHIBIT 0 SITEPROP TIEOFF_X0Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y105 RPM_X 0 SITEPROP TIEOFF_X0Y105 RPM_Y 210 SITEPROP TIEOFF_X0Y105 SITE_PIPS SITEPROP TIEOFF_X0Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y106 CLASS site SITEPROP TIEOFF_X0Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y106 IS_BONDED 0 SITEPROP TIEOFF_X0Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y106 IS_PAD 0 SITEPROP TIEOFF_X0Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y106 IS_RESERVED 0 SITEPROP TIEOFF_X0Y106 IS_TEST 0 SITEPROP TIEOFF_X0Y106 IS_USED 0 SITEPROP TIEOFF_X0Y106 MANUAL_ROUTING SITEPROP TIEOFF_X0Y106 NAME TIEOFF_X0Y106 SITEPROP TIEOFF_X0Y106 NUM_ARCS 0 SITEPROP TIEOFF_X0Y106 NUM_BELS 2 SITEPROP TIEOFF_X0Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y106 NUM_PINS 2 SITEPROP TIEOFF_X0Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y106 PROHIBIT 0 SITEPROP TIEOFF_X0Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y106 RPM_X 0 SITEPROP TIEOFF_X0Y106 RPM_Y 212 SITEPROP TIEOFF_X0Y106 SITE_PIPS SITEPROP TIEOFF_X0Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y107 CLASS site SITEPROP TIEOFF_X0Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y107 IS_BONDED 0 SITEPROP TIEOFF_X0Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y107 IS_PAD 0 SITEPROP TIEOFF_X0Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y107 IS_RESERVED 0 SITEPROP TIEOFF_X0Y107 IS_TEST 0 SITEPROP TIEOFF_X0Y107 IS_USED 0 SITEPROP TIEOFF_X0Y107 MANUAL_ROUTING SITEPROP TIEOFF_X0Y107 NAME TIEOFF_X0Y107 SITEPROP TIEOFF_X0Y107 NUM_ARCS 0 SITEPROP TIEOFF_X0Y107 NUM_BELS 2 SITEPROP TIEOFF_X0Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y107 NUM_PINS 2 SITEPROP TIEOFF_X0Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y107 PROHIBIT 0 SITEPROP TIEOFF_X0Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y107 RPM_X 0 SITEPROP TIEOFF_X0Y107 RPM_Y 214 SITEPROP TIEOFF_X0Y107 SITE_PIPS SITEPROP TIEOFF_X0Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y108 CLASS site SITEPROP TIEOFF_X0Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y108 IS_BONDED 0 SITEPROP TIEOFF_X0Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y108 IS_PAD 0 SITEPROP TIEOFF_X0Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y108 IS_RESERVED 0 SITEPROP TIEOFF_X0Y108 IS_TEST 0 SITEPROP TIEOFF_X0Y108 IS_USED 0 SITEPROP TIEOFF_X0Y108 MANUAL_ROUTING SITEPROP TIEOFF_X0Y108 NAME TIEOFF_X0Y108 SITEPROP TIEOFF_X0Y108 NUM_ARCS 0 SITEPROP TIEOFF_X0Y108 NUM_BELS 2 SITEPROP TIEOFF_X0Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y108 NUM_PINS 2 SITEPROP TIEOFF_X0Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y108 PROHIBIT 0 SITEPROP TIEOFF_X0Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y108 RPM_X 0 SITEPROP TIEOFF_X0Y108 RPM_Y 216 SITEPROP TIEOFF_X0Y108 SITE_PIPS SITEPROP TIEOFF_X0Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y109 CLASS site SITEPROP TIEOFF_X0Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y109 IS_BONDED 0 SITEPROP TIEOFF_X0Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y109 IS_PAD 0 SITEPROP TIEOFF_X0Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y109 IS_RESERVED 0 SITEPROP TIEOFF_X0Y109 IS_TEST 0 SITEPROP TIEOFF_X0Y109 IS_USED 0 SITEPROP TIEOFF_X0Y109 MANUAL_ROUTING SITEPROP TIEOFF_X0Y109 NAME TIEOFF_X0Y109 SITEPROP TIEOFF_X0Y109 NUM_ARCS 0 SITEPROP TIEOFF_X0Y109 NUM_BELS 2 SITEPROP TIEOFF_X0Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y109 NUM_PINS 2 SITEPROP TIEOFF_X0Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y109 PROHIBIT 0 SITEPROP TIEOFF_X0Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y109 RPM_X 0 SITEPROP TIEOFF_X0Y109 RPM_Y 218 SITEPROP TIEOFF_X0Y109 SITE_PIPS SITEPROP TIEOFF_X0Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y110 CLASS site SITEPROP TIEOFF_X0Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y110 IS_BONDED 0 SITEPROP TIEOFF_X0Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y110 IS_PAD 0 SITEPROP TIEOFF_X0Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y110 IS_RESERVED 0 SITEPROP TIEOFF_X0Y110 IS_TEST 0 SITEPROP TIEOFF_X0Y110 IS_USED 0 SITEPROP TIEOFF_X0Y110 MANUAL_ROUTING SITEPROP TIEOFF_X0Y110 NAME TIEOFF_X0Y110 SITEPROP TIEOFF_X0Y110 NUM_ARCS 0 SITEPROP TIEOFF_X0Y110 NUM_BELS 2 SITEPROP TIEOFF_X0Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y110 NUM_PINS 2 SITEPROP TIEOFF_X0Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y110 PROHIBIT 0 SITEPROP TIEOFF_X0Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y110 RPM_X 0 SITEPROP TIEOFF_X0Y110 RPM_Y 220 SITEPROP TIEOFF_X0Y110 SITE_PIPS SITEPROP TIEOFF_X0Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y111 CLASS site SITEPROP TIEOFF_X0Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y111 IS_BONDED 0 SITEPROP TIEOFF_X0Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y111 IS_PAD 0 SITEPROP TIEOFF_X0Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y111 IS_RESERVED 0 SITEPROP TIEOFF_X0Y111 IS_TEST 0 SITEPROP TIEOFF_X0Y111 IS_USED 0 SITEPROP TIEOFF_X0Y111 MANUAL_ROUTING SITEPROP TIEOFF_X0Y111 NAME TIEOFF_X0Y111 SITEPROP TIEOFF_X0Y111 NUM_ARCS 0 SITEPROP TIEOFF_X0Y111 NUM_BELS 2 SITEPROP TIEOFF_X0Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y111 NUM_PINS 2 SITEPROP TIEOFF_X0Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y111 PROHIBIT 0 SITEPROP TIEOFF_X0Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y111 RPM_X 0 SITEPROP TIEOFF_X0Y111 RPM_Y 222 SITEPROP TIEOFF_X0Y111 SITE_PIPS SITEPROP TIEOFF_X0Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y112 CLASS site SITEPROP TIEOFF_X0Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y112 IS_BONDED 0 SITEPROP TIEOFF_X0Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y112 IS_PAD 0 SITEPROP TIEOFF_X0Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y112 IS_RESERVED 0 SITEPROP TIEOFF_X0Y112 IS_TEST 0 SITEPROP TIEOFF_X0Y112 IS_USED 0 SITEPROP TIEOFF_X0Y112 MANUAL_ROUTING SITEPROP TIEOFF_X0Y112 NAME TIEOFF_X0Y112 SITEPROP TIEOFF_X0Y112 NUM_ARCS 0 SITEPROP TIEOFF_X0Y112 NUM_BELS 2 SITEPROP TIEOFF_X0Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y112 NUM_PINS 2 SITEPROP TIEOFF_X0Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y112 PROHIBIT 0 SITEPROP TIEOFF_X0Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y112 RPM_X 0 SITEPROP TIEOFF_X0Y112 RPM_Y 224 SITEPROP TIEOFF_X0Y112 SITE_PIPS SITEPROP TIEOFF_X0Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y113 CLASS site SITEPROP TIEOFF_X0Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y113 IS_BONDED 0 SITEPROP TIEOFF_X0Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y113 IS_PAD 0 SITEPROP TIEOFF_X0Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y113 IS_RESERVED 0 SITEPROP TIEOFF_X0Y113 IS_TEST 0 SITEPROP TIEOFF_X0Y113 IS_USED 0 SITEPROP TIEOFF_X0Y113 MANUAL_ROUTING SITEPROP TIEOFF_X0Y113 NAME TIEOFF_X0Y113 SITEPROP TIEOFF_X0Y113 NUM_ARCS 0 SITEPROP TIEOFF_X0Y113 NUM_BELS 2 SITEPROP TIEOFF_X0Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y113 NUM_PINS 2 SITEPROP TIEOFF_X0Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y113 PROHIBIT 0 SITEPROP TIEOFF_X0Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y113 RPM_X 0 SITEPROP TIEOFF_X0Y113 RPM_Y 226 SITEPROP TIEOFF_X0Y113 SITE_PIPS SITEPROP TIEOFF_X0Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y114 CLASS site SITEPROP TIEOFF_X0Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y114 IS_BONDED 0 SITEPROP TIEOFF_X0Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y114 IS_PAD 0 SITEPROP TIEOFF_X0Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y114 IS_RESERVED 0 SITEPROP TIEOFF_X0Y114 IS_TEST 0 SITEPROP TIEOFF_X0Y114 IS_USED 0 SITEPROP TIEOFF_X0Y114 MANUAL_ROUTING SITEPROP TIEOFF_X0Y114 NAME TIEOFF_X0Y114 SITEPROP TIEOFF_X0Y114 NUM_ARCS 0 SITEPROP TIEOFF_X0Y114 NUM_BELS 2 SITEPROP TIEOFF_X0Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y114 NUM_PINS 2 SITEPROP TIEOFF_X0Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y114 PROHIBIT 0 SITEPROP TIEOFF_X0Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y114 RPM_X 0 SITEPROP TIEOFF_X0Y114 RPM_Y 228 SITEPROP TIEOFF_X0Y114 SITE_PIPS SITEPROP TIEOFF_X0Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y115 CLASS site SITEPROP TIEOFF_X0Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y115 IS_BONDED 0 SITEPROP TIEOFF_X0Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y115 IS_PAD 0 SITEPROP TIEOFF_X0Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y115 IS_RESERVED 0 SITEPROP TIEOFF_X0Y115 IS_TEST 0 SITEPROP TIEOFF_X0Y115 IS_USED 0 SITEPROP TIEOFF_X0Y115 MANUAL_ROUTING SITEPROP TIEOFF_X0Y115 NAME TIEOFF_X0Y115 SITEPROP TIEOFF_X0Y115 NUM_ARCS 0 SITEPROP TIEOFF_X0Y115 NUM_BELS 2 SITEPROP TIEOFF_X0Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y115 NUM_PINS 2 SITEPROP TIEOFF_X0Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y115 PROHIBIT 0 SITEPROP TIEOFF_X0Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y115 RPM_X 0 SITEPROP TIEOFF_X0Y115 RPM_Y 230 SITEPROP TIEOFF_X0Y115 SITE_PIPS SITEPROP TIEOFF_X0Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y116 CLASS site SITEPROP TIEOFF_X0Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y116 IS_BONDED 0 SITEPROP TIEOFF_X0Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y116 IS_PAD 0 SITEPROP TIEOFF_X0Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y116 IS_RESERVED 0 SITEPROP TIEOFF_X0Y116 IS_TEST 0 SITEPROP TIEOFF_X0Y116 IS_USED 0 SITEPROP TIEOFF_X0Y116 MANUAL_ROUTING SITEPROP TIEOFF_X0Y116 NAME TIEOFF_X0Y116 SITEPROP TIEOFF_X0Y116 NUM_ARCS 0 SITEPROP TIEOFF_X0Y116 NUM_BELS 2 SITEPROP TIEOFF_X0Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y116 NUM_PINS 2 SITEPROP TIEOFF_X0Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y116 PROHIBIT 0 SITEPROP TIEOFF_X0Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y116 RPM_X 0 SITEPROP TIEOFF_X0Y116 RPM_Y 232 SITEPROP TIEOFF_X0Y116 SITE_PIPS SITEPROP TIEOFF_X0Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y117 CLASS site SITEPROP TIEOFF_X0Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y117 IS_BONDED 0 SITEPROP TIEOFF_X0Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y117 IS_PAD 0 SITEPROP TIEOFF_X0Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y117 IS_RESERVED 0 SITEPROP TIEOFF_X0Y117 IS_TEST 0 SITEPROP TIEOFF_X0Y117 IS_USED 0 SITEPROP TIEOFF_X0Y117 MANUAL_ROUTING SITEPROP TIEOFF_X0Y117 NAME TIEOFF_X0Y117 SITEPROP TIEOFF_X0Y117 NUM_ARCS 0 SITEPROP TIEOFF_X0Y117 NUM_BELS 2 SITEPROP TIEOFF_X0Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y117 NUM_PINS 2 SITEPROP TIEOFF_X0Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y117 PROHIBIT 0 SITEPROP TIEOFF_X0Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y117 RPM_X 0 SITEPROP TIEOFF_X0Y117 RPM_Y 234 SITEPROP TIEOFF_X0Y117 SITE_PIPS SITEPROP TIEOFF_X0Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y118 CLASS site SITEPROP TIEOFF_X0Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y118 IS_BONDED 0 SITEPROP TIEOFF_X0Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y118 IS_PAD 0 SITEPROP TIEOFF_X0Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y118 IS_RESERVED 0 SITEPROP TIEOFF_X0Y118 IS_TEST 0 SITEPROP TIEOFF_X0Y118 IS_USED 0 SITEPROP TIEOFF_X0Y118 MANUAL_ROUTING SITEPROP TIEOFF_X0Y118 NAME TIEOFF_X0Y118 SITEPROP TIEOFF_X0Y118 NUM_ARCS 0 SITEPROP TIEOFF_X0Y118 NUM_BELS 2 SITEPROP TIEOFF_X0Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y118 NUM_PINS 2 SITEPROP TIEOFF_X0Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y118 PROHIBIT 0 SITEPROP TIEOFF_X0Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y118 RPM_X 0 SITEPROP TIEOFF_X0Y118 RPM_Y 236 SITEPROP TIEOFF_X0Y118 SITE_PIPS SITEPROP TIEOFF_X0Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y119 CLASS site SITEPROP TIEOFF_X0Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y119 IS_BONDED 0 SITEPROP TIEOFF_X0Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y119 IS_PAD 0 SITEPROP TIEOFF_X0Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y119 IS_RESERVED 0 SITEPROP TIEOFF_X0Y119 IS_TEST 0 SITEPROP TIEOFF_X0Y119 IS_USED 0 SITEPROP TIEOFF_X0Y119 MANUAL_ROUTING SITEPROP TIEOFF_X0Y119 NAME TIEOFF_X0Y119 SITEPROP TIEOFF_X0Y119 NUM_ARCS 0 SITEPROP TIEOFF_X0Y119 NUM_BELS 2 SITEPROP TIEOFF_X0Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y119 NUM_PINS 2 SITEPROP TIEOFF_X0Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y119 PROHIBIT 0 SITEPROP TIEOFF_X0Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y119 RPM_X 0 SITEPROP TIEOFF_X0Y119 RPM_Y 238 SITEPROP TIEOFF_X0Y119 SITE_PIPS SITEPROP TIEOFF_X0Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y120 CLASS site SITEPROP TIEOFF_X0Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y120 IS_BONDED 0 SITEPROP TIEOFF_X0Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y120 IS_PAD 0 SITEPROP TIEOFF_X0Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y120 IS_RESERVED 0 SITEPROP TIEOFF_X0Y120 IS_TEST 0 SITEPROP TIEOFF_X0Y120 IS_USED 0 SITEPROP TIEOFF_X0Y120 MANUAL_ROUTING SITEPROP TIEOFF_X0Y120 NAME TIEOFF_X0Y120 SITEPROP TIEOFF_X0Y120 NUM_ARCS 0 SITEPROP TIEOFF_X0Y120 NUM_BELS 2 SITEPROP TIEOFF_X0Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y120 NUM_PINS 2 SITEPROP TIEOFF_X0Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y120 PROHIBIT 0 SITEPROP TIEOFF_X0Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y120 RPM_X 0 SITEPROP TIEOFF_X0Y120 RPM_Y 240 SITEPROP TIEOFF_X0Y120 SITE_PIPS SITEPROP TIEOFF_X0Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y121 CLASS site SITEPROP TIEOFF_X0Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y121 IS_BONDED 0 SITEPROP TIEOFF_X0Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y121 IS_PAD 0 SITEPROP TIEOFF_X0Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y121 IS_RESERVED 0 SITEPROP TIEOFF_X0Y121 IS_TEST 0 SITEPROP TIEOFF_X0Y121 IS_USED 0 SITEPROP TIEOFF_X0Y121 MANUAL_ROUTING SITEPROP TIEOFF_X0Y121 NAME TIEOFF_X0Y121 SITEPROP TIEOFF_X0Y121 NUM_ARCS 0 SITEPROP TIEOFF_X0Y121 NUM_BELS 2 SITEPROP TIEOFF_X0Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y121 NUM_PINS 2 SITEPROP TIEOFF_X0Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y121 PROHIBIT 0 SITEPROP TIEOFF_X0Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y121 RPM_X 0 SITEPROP TIEOFF_X0Y121 RPM_Y 242 SITEPROP TIEOFF_X0Y121 SITE_PIPS SITEPROP TIEOFF_X0Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y122 CLASS site SITEPROP TIEOFF_X0Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y122 IS_BONDED 0 SITEPROP TIEOFF_X0Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y122 IS_PAD 0 SITEPROP TIEOFF_X0Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y122 IS_RESERVED 0 SITEPROP TIEOFF_X0Y122 IS_TEST 0 SITEPROP TIEOFF_X0Y122 IS_USED 0 SITEPROP TIEOFF_X0Y122 MANUAL_ROUTING SITEPROP TIEOFF_X0Y122 NAME TIEOFF_X0Y122 SITEPROP TIEOFF_X0Y122 NUM_ARCS 0 SITEPROP TIEOFF_X0Y122 NUM_BELS 2 SITEPROP TIEOFF_X0Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y122 NUM_PINS 2 SITEPROP TIEOFF_X0Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y122 PROHIBIT 0 SITEPROP TIEOFF_X0Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y122 RPM_X 0 SITEPROP TIEOFF_X0Y122 RPM_Y 244 SITEPROP TIEOFF_X0Y122 SITE_PIPS SITEPROP TIEOFF_X0Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y123 CLASS site SITEPROP TIEOFF_X0Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y123 IS_BONDED 0 SITEPROP TIEOFF_X0Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y123 IS_PAD 0 SITEPROP TIEOFF_X0Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y123 IS_RESERVED 0 SITEPROP TIEOFF_X0Y123 IS_TEST 0 SITEPROP TIEOFF_X0Y123 IS_USED 0 SITEPROP TIEOFF_X0Y123 MANUAL_ROUTING SITEPROP TIEOFF_X0Y123 NAME TIEOFF_X0Y123 SITEPROP TIEOFF_X0Y123 NUM_ARCS 0 SITEPROP TIEOFF_X0Y123 NUM_BELS 2 SITEPROP TIEOFF_X0Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y123 NUM_PINS 2 SITEPROP TIEOFF_X0Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y123 PROHIBIT 0 SITEPROP TIEOFF_X0Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y123 RPM_X 0 SITEPROP TIEOFF_X0Y123 RPM_Y 246 SITEPROP TIEOFF_X0Y123 SITE_PIPS SITEPROP TIEOFF_X0Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y124 CLASS site SITEPROP TIEOFF_X0Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y124 IS_BONDED 0 SITEPROP TIEOFF_X0Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y124 IS_PAD 0 SITEPROP TIEOFF_X0Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y124 IS_RESERVED 0 SITEPROP TIEOFF_X0Y124 IS_TEST 0 SITEPROP TIEOFF_X0Y124 IS_USED 0 SITEPROP TIEOFF_X0Y124 MANUAL_ROUTING SITEPROP TIEOFF_X0Y124 NAME TIEOFF_X0Y124 SITEPROP TIEOFF_X0Y124 NUM_ARCS 0 SITEPROP TIEOFF_X0Y124 NUM_BELS 2 SITEPROP TIEOFF_X0Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y124 NUM_PINS 2 SITEPROP TIEOFF_X0Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y124 PROHIBIT 0 SITEPROP TIEOFF_X0Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y124 RPM_X 0 SITEPROP TIEOFF_X0Y124 RPM_Y 248 SITEPROP TIEOFF_X0Y124 SITE_PIPS SITEPROP TIEOFF_X0Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y125 CLASS site SITEPROP TIEOFF_X0Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y125 IS_BONDED 0 SITEPROP TIEOFF_X0Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y125 IS_PAD 0 SITEPROP TIEOFF_X0Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y125 IS_RESERVED 0 SITEPROP TIEOFF_X0Y125 IS_TEST 0 SITEPROP TIEOFF_X0Y125 IS_USED 0 SITEPROP TIEOFF_X0Y125 MANUAL_ROUTING SITEPROP TIEOFF_X0Y125 NAME TIEOFF_X0Y125 SITEPROP TIEOFF_X0Y125 NUM_ARCS 0 SITEPROP TIEOFF_X0Y125 NUM_BELS 2 SITEPROP TIEOFF_X0Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y125 NUM_PINS 2 SITEPROP TIEOFF_X0Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y125 PROHIBIT 0 SITEPROP TIEOFF_X0Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y125 RPM_X 0 SITEPROP TIEOFF_X0Y125 RPM_Y 250 SITEPROP TIEOFF_X0Y125 SITE_PIPS SITEPROP TIEOFF_X0Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y126 CLASS site SITEPROP TIEOFF_X0Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y126 IS_BONDED 0 SITEPROP TIEOFF_X0Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y126 IS_PAD 0 SITEPROP TIEOFF_X0Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y126 IS_RESERVED 0 SITEPROP TIEOFF_X0Y126 IS_TEST 0 SITEPROP TIEOFF_X0Y126 IS_USED 0 SITEPROP TIEOFF_X0Y126 MANUAL_ROUTING SITEPROP TIEOFF_X0Y126 NAME TIEOFF_X0Y126 SITEPROP TIEOFF_X0Y126 NUM_ARCS 0 SITEPROP TIEOFF_X0Y126 NUM_BELS 2 SITEPROP TIEOFF_X0Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y126 NUM_PINS 2 SITEPROP TIEOFF_X0Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y126 PROHIBIT 0 SITEPROP TIEOFF_X0Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y126 RPM_X 0 SITEPROP TIEOFF_X0Y126 RPM_Y 252 SITEPROP TIEOFF_X0Y126 SITE_PIPS SITEPROP TIEOFF_X0Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y127 CLASS site SITEPROP TIEOFF_X0Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y127 IS_BONDED 0 SITEPROP TIEOFF_X0Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y127 IS_PAD 0 SITEPROP TIEOFF_X0Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y127 IS_RESERVED 0 SITEPROP TIEOFF_X0Y127 IS_TEST 0 SITEPROP TIEOFF_X0Y127 IS_USED 0 SITEPROP TIEOFF_X0Y127 MANUAL_ROUTING SITEPROP TIEOFF_X0Y127 NAME TIEOFF_X0Y127 SITEPROP TIEOFF_X0Y127 NUM_ARCS 0 SITEPROP TIEOFF_X0Y127 NUM_BELS 2 SITEPROP TIEOFF_X0Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y127 NUM_PINS 2 SITEPROP TIEOFF_X0Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y127 PROHIBIT 0 SITEPROP TIEOFF_X0Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y127 RPM_X 0 SITEPROP TIEOFF_X0Y127 RPM_Y 254 SITEPROP TIEOFF_X0Y127 SITE_PIPS SITEPROP TIEOFF_X0Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y128 CLASS site SITEPROP TIEOFF_X0Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y128 IS_BONDED 0 SITEPROP TIEOFF_X0Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y128 IS_PAD 0 SITEPROP TIEOFF_X0Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y128 IS_RESERVED 0 SITEPROP TIEOFF_X0Y128 IS_TEST 0 SITEPROP TIEOFF_X0Y128 IS_USED 0 SITEPROP TIEOFF_X0Y128 MANUAL_ROUTING SITEPROP TIEOFF_X0Y128 NAME TIEOFF_X0Y128 SITEPROP TIEOFF_X0Y128 NUM_ARCS 0 SITEPROP TIEOFF_X0Y128 NUM_BELS 2 SITEPROP TIEOFF_X0Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y128 NUM_PINS 2 SITEPROP TIEOFF_X0Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y128 PROHIBIT 0 SITEPROP TIEOFF_X0Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y128 RPM_X 0 SITEPROP TIEOFF_X0Y128 RPM_Y 256 SITEPROP TIEOFF_X0Y128 SITE_PIPS SITEPROP TIEOFF_X0Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y129 CLASS site SITEPROP TIEOFF_X0Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y129 IS_BONDED 0 SITEPROP TIEOFF_X0Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y129 IS_PAD 0 SITEPROP TIEOFF_X0Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y129 IS_RESERVED 0 SITEPROP TIEOFF_X0Y129 IS_TEST 0 SITEPROP TIEOFF_X0Y129 IS_USED 0 SITEPROP TIEOFF_X0Y129 MANUAL_ROUTING SITEPROP TIEOFF_X0Y129 NAME TIEOFF_X0Y129 SITEPROP TIEOFF_X0Y129 NUM_ARCS 0 SITEPROP TIEOFF_X0Y129 NUM_BELS 2 SITEPROP TIEOFF_X0Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y129 NUM_PINS 2 SITEPROP TIEOFF_X0Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y129 PROHIBIT 0 SITEPROP TIEOFF_X0Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y129 RPM_X 0 SITEPROP TIEOFF_X0Y129 RPM_Y 258 SITEPROP TIEOFF_X0Y129 SITE_PIPS SITEPROP TIEOFF_X0Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y130 CLASS site SITEPROP TIEOFF_X0Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y130 IS_BONDED 0 SITEPROP TIEOFF_X0Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y130 IS_PAD 0 SITEPROP TIEOFF_X0Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y130 IS_RESERVED 0 SITEPROP TIEOFF_X0Y130 IS_TEST 0 SITEPROP TIEOFF_X0Y130 IS_USED 0 SITEPROP TIEOFF_X0Y130 MANUAL_ROUTING SITEPROP TIEOFF_X0Y130 NAME TIEOFF_X0Y130 SITEPROP TIEOFF_X0Y130 NUM_ARCS 0 SITEPROP TIEOFF_X0Y130 NUM_BELS 2 SITEPROP TIEOFF_X0Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y130 NUM_PINS 2 SITEPROP TIEOFF_X0Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y130 PROHIBIT 0 SITEPROP TIEOFF_X0Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y130 RPM_X 0 SITEPROP TIEOFF_X0Y130 RPM_Y 260 SITEPROP TIEOFF_X0Y130 SITE_PIPS SITEPROP TIEOFF_X0Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y131 CLASS site SITEPROP TIEOFF_X0Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y131 IS_BONDED 0 SITEPROP TIEOFF_X0Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y131 IS_PAD 0 SITEPROP TIEOFF_X0Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y131 IS_RESERVED 0 SITEPROP TIEOFF_X0Y131 IS_TEST 0 SITEPROP TIEOFF_X0Y131 IS_USED 0 SITEPROP TIEOFF_X0Y131 MANUAL_ROUTING SITEPROP TIEOFF_X0Y131 NAME TIEOFF_X0Y131 SITEPROP TIEOFF_X0Y131 NUM_ARCS 0 SITEPROP TIEOFF_X0Y131 NUM_BELS 2 SITEPROP TIEOFF_X0Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y131 NUM_PINS 2 SITEPROP TIEOFF_X0Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y131 PROHIBIT 0 SITEPROP TIEOFF_X0Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y131 RPM_X 0 SITEPROP TIEOFF_X0Y131 RPM_Y 262 SITEPROP TIEOFF_X0Y131 SITE_PIPS SITEPROP TIEOFF_X0Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y132 CLASS site SITEPROP TIEOFF_X0Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y132 IS_BONDED 0 SITEPROP TIEOFF_X0Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y132 IS_PAD 0 SITEPROP TIEOFF_X0Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y132 IS_RESERVED 0 SITEPROP TIEOFF_X0Y132 IS_TEST 0 SITEPROP TIEOFF_X0Y132 IS_USED 0 SITEPROP TIEOFF_X0Y132 MANUAL_ROUTING SITEPROP TIEOFF_X0Y132 NAME TIEOFF_X0Y132 SITEPROP TIEOFF_X0Y132 NUM_ARCS 0 SITEPROP TIEOFF_X0Y132 NUM_BELS 2 SITEPROP TIEOFF_X0Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y132 NUM_PINS 2 SITEPROP TIEOFF_X0Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y132 PROHIBIT 0 SITEPROP TIEOFF_X0Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y132 RPM_X 0 SITEPROP TIEOFF_X0Y132 RPM_Y 264 SITEPROP TIEOFF_X0Y132 SITE_PIPS SITEPROP TIEOFF_X0Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y133 CLASS site SITEPROP TIEOFF_X0Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y133 IS_BONDED 0 SITEPROP TIEOFF_X0Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y133 IS_PAD 0 SITEPROP TIEOFF_X0Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y133 IS_RESERVED 0 SITEPROP TIEOFF_X0Y133 IS_TEST 0 SITEPROP TIEOFF_X0Y133 IS_USED 0 SITEPROP TIEOFF_X0Y133 MANUAL_ROUTING SITEPROP TIEOFF_X0Y133 NAME TIEOFF_X0Y133 SITEPROP TIEOFF_X0Y133 NUM_ARCS 0 SITEPROP TIEOFF_X0Y133 NUM_BELS 2 SITEPROP TIEOFF_X0Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y133 NUM_PINS 2 SITEPROP TIEOFF_X0Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y133 PROHIBIT 0 SITEPROP TIEOFF_X0Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y133 RPM_X 0 SITEPROP TIEOFF_X0Y133 RPM_Y 266 SITEPROP TIEOFF_X0Y133 SITE_PIPS SITEPROP TIEOFF_X0Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y134 CLASS site SITEPROP TIEOFF_X0Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y134 IS_BONDED 0 SITEPROP TIEOFF_X0Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y134 IS_PAD 0 SITEPROP TIEOFF_X0Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y134 IS_RESERVED 0 SITEPROP TIEOFF_X0Y134 IS_TEST 0 SITEPROP TIEOFF_X0Y134 IS_USED 0 SITEPROP TIEOFF_X0Y134 MANUAL_ROUTING SITEPROP TIEOFF_X0Y134 NAME TIEOFF_X0Y134 SITEPROP TIEOFF_X0Y134 NUM_ARCS 0 SITEPROP TIEOFF_X0Y134 NUM_BELS 2 SITEPROP TIEOFF_X0Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y134 NUM_PINS 2 SITEPROP TIEOFF_X0Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y134 PROHIBIT 0 SITEPROP TIEOFF_X0Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y134 RPM_X 0 SITEPROP TIEOFF_X0Y134 RPM_Y 268 SITEPROP TIEOFF_X0Y134 SITE_PIPS SITEPROP TIEOFF_X0Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y135 CLASS site SITEPROP TIEOFF_X0Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y135 IS_BONDED 0 SITEPROP TIEOFF_X0Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y135 IS_PAD 0 SITEPROP TIEOFF_X0Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y135 IS_RESERVED 0 SITEPROP TIEOFF_X0Y135 IS_TEST 0 SITEPROP TIEOFF_X0Y135 IS_USED 0 SITEPROP TIEOFF_X0Y135 MANUAL_ROUTING SITEPROP TIEOFF_X0Y135 NAME TIEOFF_X0Y135 SITEPROP TIEOFF_X0Y135 NUM_ARCS 0 SITEPROP TIEOFF_X0Y135 NUM_BELS 2 SITEPROP TIEOFF_X0Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y135 NUM_PINS 2 SITEPROP TIEOFF_X0Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y135 PROHIBIT 0 SITEPROP TIEOFF_X0Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y135 RPM_X 0 SITEPROP TIEOFF_X0Y135 RPM_Y 270 SITEPROP TIEOFF_X0Y135 SITE_PIPS SITEPROP TIEOFF_X0Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y136 CLASS site SITEPROP TIEOFF_X0Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y136 IS_BONDED 0 SITEPROP TIEOFF_X0Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y136 IS_PAD 0 SITEPROP TIEOFF_X0Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y136 IS_RESERVED 0 SITEPROP TIEOFF_X0Y136 IS_TEST 0 SITEPROP TIEOFF_X0Y136 IS_USED 0 SITEPROP TIEOFF_X0Y136 MANUAL_ROUTING SITEPROP TIEOFF_X0Y136 NAME TIEOFF_X0Y136 SITEPROP TIEOFF_X0Y136 NUM_ARCS 0 SITEPROP TIEOFF_X0Y136 NUM_BELS 2 SITEPROP TIEOFF_X0Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y136 NUM_PINS 2 SITEPROP TIEOFF_X0Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y136 PROHIBIT 0 SITEPROP TIEOFF_X0Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y136 RPM_X 0 SITEPROP TIEOFF_X0Y136 RPM_Y 272 SITEPROP TIEOFF_X0Y136 SITE_PIPS SITEPROP TIEOFF_X0Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y137 CLASS site SITEPROP TIEOFF_X0Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y137 IS_BONDED 0 SITEPROP TIEOFF_X0Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y137 IS_PAD 0 SITEPROP TIEOFF_X0Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y137 IS_RESERVED 0 SITEPROP TIEOFF_X0Y137 IS_TEST 0 SITEPROP TIEOFF_X0Y137 IS_USED 0 SITEPROP TIEOFF_X0Y137 MANUAL_ROUTING SITEPROP TIEOFF_X0Y137 NAME TIEOFF_X0Y137 SITEPROP TIEOFF_X0Y137 NUM_ARCS 0 SITEPROP TIEOFF_X0Y137 NUM_BELS 2 SITEPROP TIEOFF_X0Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y137 NUM_PINS 2 SITEPROP TIEOFF_X0Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y137 PROHIBIT 0 SITEPROP TIEOFF_X0Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y137 RPM_X 0 SITEPROP TIEOFF_X0Y137 RPM_Y 274 SITEPROP TIEOFF_X0Y137 SITE_PIPS SITEPROP TIEOFF_X0Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y138 CLASS site SITEPROP TIEOFF_X0Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y138 IS_BONDED 0 SITEPROP TIEOFF_X0Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y138 IS_PAD 0 SITEPROP TIEOFF_X0Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y138 IS_RESERVED 0 SITEPROP TIEOFF_X0Y138 IS_TEST 0 SITEPROP TIEOFF_X0Y138 IS_USED 0 SITEPROP TIEOFF_X0Y138 MANUAL_ROUTING SITEPROP TIEOFF_X0Y138 NAME TIEOFF_X0Y138 SITEPROP TIEOFF_X0Y138 NUM_ARCS 0 SITEPROP TIEOFF_X0Y138 NUM_BELS 2 SITEPROP TIEOFF_X0Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y138 NUM_PINS 2 SITEPROP TIEOFF_X0Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y138 PROHIBIT 0 SITEPROP TIEOFF_X0Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y138 RPM_X 0 SITEPROP TIEOFF_X0Y138 RPM_Y 276 SITEPROP TIEOFF_X0Y138 SITE_PIPS SITEPROP TIEOFF_X0Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y139 CLASS site SITEPROP TIEOFF_X0Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y139 IS_BONDED 0 SITEPROP TIEOFF_X0Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y139 IS_PAD 0 SITEPROP TIEOFF_X0Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y139 IS_RESERVED 0 SITEPROP TIEOFF_X0Y139 IS_TEST 0 SITEPROP TIEOFF_X0Y139 IS_USED 0 SITEPROP TIEOFF_X0Y139 MANUAL_ROUTING SITEPROP TIEOFF_X0Y139 NAME TIEOFF_X0Y139 SITEPROP TIEOFF_X0Y139 NUM_ARCS 0 SITEPROP TIEOFF_X0Y139 NUM_BELS 2 SITEPROP TIEOFF_X0Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y139 NUM_PINS 2 SITEPROP TIEOFF_X0Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y139 PROHIBIT 0 SITEPROP TIEOFF_X0Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y139 RPM_X 0 SITEPROP TIEOFF_X0Y139 RPM_Y 278 SITEPROP TIEOFF_X0Y139 SITE_PIPS SITEPROP TIEOFF_X0Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y140 CLASS site SITEPROP TIEOFF_X0Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y140 IS_BONDED 0 SITEPROP TIEOFF_X0Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y140 IS_PAD 0 SITEPROP TIEOFF_X0Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y140 IS_RESERVED 0 SITEPROP TIEOFF_X0Y140 IS_TEST 0 SITEPROP TIEOFF_X0Y140 IS_USED 0 SITEPROP TIEOFF_X0Y140 MANUAL_ROUTING SITEPROP TIEOFF_X0Y140 NAME TIEOFF_X0Y140 SITEPROP TIEOFF_X0Y140 NUM_ARCS 0 SITEPROP TIEOFF_X0Y140 NUM_BELS 2 SITEPROP TIEOFF_X0Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y140 NUM_PINS 2 SITEPROP TIEOFF_X0Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y140 PROHIBIT 0 SITEPROP TIEOFF_X0Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y140 RPM_X 0 SITEPROP TIEOFF_X0Y140 RPM_Y 280 SITEPROP TIEOFF_X0Y140 SITE_PIPS SITEPROP TIEOFF_X0Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y141 CLASS site SITEPROP TIEOFF_X0Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y141 IS_BONDED 0 SITEPROP TIEOFF_X0Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y141 IS_PAD 0 SITEPROP TIEOFF_X0Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y141 IS_RESERVED 0 SITEPROP TIEOFF_X0Y141 IS_TEST 0 SITEPROP TIEOFF_X0Y141 IS_USED 0 SITEPROP TIEOFF_X0Y141 MANUAL_ROUTING SITEPROP TIEOFF_X0Y141 NAME TIEOFF_X0Y141 SITEPROP TIEOFF_X0Y141 NUM_ARCS 0 SITEPROP TIEOFF_X0Y141 NUM_BELS 2 SITEPROP TIEOFF_X0Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y141 NUM_PINS 2 SITEPROP TIEOFF_X0Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y141 PROHIBIT 0 SITEPROP TIEOFF_X0Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y141 RPM_X 0 SITEPROP TIEOFF_X0Y141 RPM_Y 282 SITEPROP TIEOFF_X0Y141 SITE_PIPS SITEPROP TIEOFF_X0Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y142 CLASS site SITEPROP TIEOFF_X0Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y142 IS_BONDED 0 SITEPROP TIEOFF_X0Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y142 IS_PAD 0 SITEPROP TIEOFF_X0Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y142 IS_RESERVED 0 SITEPROP TIEOFF_X0Y142 IS_TEST 0 SITEPROP TIEOFF_X0Y142 IS_USED 0 SITEPROP TIEOFF_X0Y142 MANUAL_ROUTING SITEPROP TIEOFF_X0Y142 NAME TIEOFF_X0Y142 SITEPROP TIEOFF_X0Y142 NUM_ARCS 0 SITEPROP TIEOFF_X0Y142 NUM_BELS 2 SITEPROP TIEOFF_X0Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y142 NUM_PINS 2 SITEPROP TIEOFF_X0Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y142 PROHIBIT 0 SITEPROP TIEOFF_X0Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y142 RPM_X 0 SITEPROP TIEOFF_X0Y142 RPM_Y 284 SITEPROP TIEOFF_X0Y142 SITE_PIPS SITEPROP TIEOFF_X0Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y143 CLASS site SITEPROP TIEOFF_X0Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y143 IS_BONDED 0 SITEPROP TIEOFF_X0Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y143 IS_PAD 0 SITEPROP TIEOFF_X0Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y143 IS_RESERVED 0 SITEPROP TIEOFF_X0Y143 IS_TEST 0 SITEPROP TIEOFF_X0Y143 IS_USED 0 SITEPROP TIEOFF_X0Y143 MANUAL_ROUTING SITEPROP TIEOFF_X0Y143 NAME TIEOFF_X0Y143 SITEPROP TIEOFF_X0Y143 NUM_ARCS 0 SITEPROP TIEOFF_X0Y143 NUM_BELS 2 SITEPROP TIEOFF_X0Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y143 NUM_PINS 2 SITEPROP TIEOFF_X0Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y143 PROHIBIT 0 SITEPROP TIEOFF_X0Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y143 RPM_X 0 SITEPROP TIEOFF_X0Y143 RPM_Y 286 SITEPROP TIEOFF_X0Y143 SITE_PIPS SITEPROP TIEOFF_X0Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y144 CLASS site SITEPROP TIEOFF_X0Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y144 IS_BONDED 0 SITEPROP TIEOFF_X0Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y144 IS_PAD 0 SITEPROP TIEOFF_X0Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y144 IS_RESERVED 0 SITEPROP TIEOFF_X0Y144 IS_TEST 0 SITEPROP TIEOFF_X0Y144 IS_USED 0 SITEPROP TIEOFF_X0Y144 MANUAL_ROUTING SITEPROP TIEOFF_X0Y144 NAME TIEOFF_X0Y144 SITEPROP TIEOFF_X0Y144 NUM_ARCS 0 SITEPROP TIEOFF_X0Y144 NUM_BELS 2 SITEPROP TIEOFF_X0Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y144 NUM_PINS 2 SITEPROP TIEOFF_X0Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y144 PROHIBIT 0 SITEPROP TIEOFF_X0Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y144 RPM_X 0 SITEPROP TIEOFF_X0Y144 RPM_Y 288 SITEPROP TIEOFF_X0Y144 SITE_PIPS SITEPROP TIEOFF_X0Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y145 CLASS site SITEPROP TIEOFF_X0Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y145 IS_BONDED 0 SITEPROP TIEOFF_X0Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y145 IS_PAD 0 SITEPROP TIEOFF_X0Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y145 IS_RESERVED 0 SITEPROP TIEOFF_X0Y145 IS_TEST 0 SITEPROP TIEOFF_X0Y145 IS_USED 0 SITEPROP TIEOFF_X0Y145 MANUAL_ROUTING SITEPROP TIEOFF_X0Y145 NAME TIEOFF_X0Y145 SITEPROP TIEOFF_X0Y145 NUM_ARCS 0 SITEPROP TIEOFF_X0Y145 NUM_BELS 2 SITEPROP TIEOFF_X0Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y145 NUM_PINS 2 SITEPROP TIEOFF_X0Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y145 PROHIBIT 0 SITEPROP TIEOFF_X0Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y145 RPM_X 0 SITEPROP TIEOFF_X0Y145 RPM_Y 290 SITEPROP TIEOFF_X0Y145 SITE_PIPS SITEPROP TIEOFF_X0Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y146 CLASS site SITEPROP TIEOFF_X0Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y146 IS_BONDED 0 SITEPROP TIEOFF_X0Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y146 IS_PAD 0 SITEPROP TIEOFF_X0Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y146 IS_RESERVED 0 SITEPROP TIEOFF_X0Y146 IS_TEST 0 SITEPROP TIEOFF_X0Y146 IS_USED 0 SITEPROP TIEOFF_X0Y146 MANUAL_ROUTING SITEPROP TIEOFF_X0Y146 NAME TIEOFF_X0Y146 SITEPROP TIEOFF_X0Y146 NUM_ARCS 0 SITEPROP TIEOFF_X0Y146 NUM_BELS 2 SITEPROP TIEOFF_X0Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y146 NUM_PINS 2 SITEPROP TIEOFF_X0Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y146 PROHIBIT 0 SITEPROP TIEOFF_X0Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y146 RPM_X 0 SITEPROP TIEOFF_X0Y146 RPM_Y 292 SITEPROP TIEOFF_X0Y146 SITE_PIPS SITEPROP TIEOFF_X0Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y147 CLASS site SITEPROP TIEOFF_X0Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y147 IS_BONDED 0 SITEPROP TIEOFF_X0Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y147 IS_PAD 0 SITEPROP TIEOFF_X0Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y147 IS_RESERVED 0 SITEPROP TIEOFF_X0Y147 IS_TEST 0 SITEPROP TIEOFF_X0Y147 IS_USED 0 SITEPROP TIEOFF_X0Y147 MANUAL_ROUTING SITEPROP TIEOFF_X0Y147 NAME TIEOFF_X0Y147 SITEPROP TIEOFF_X0Y147 NUM_ARCS 0 SITEPROP TIEOFF_X0Y147 NUM_BELS 2 SITEPROP TIEOFF_X0Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y147 NUM_PINS 2 SITEPROP TIEOFF_X0Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y147 PROHIBIT 0 SITEPROP TIEOFF_X0Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y147 RPM_X 0 SITEPROP TIEOFF_X0Y147 RPM_Y 294 SITEPROP TIEOFF_X0Y147 SITE_PIPS SITEPROP TIEOFF_X0Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y148 CLASS site SITEPROP TIEOFF_X0Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y148 IS_BONDED 0 SITEPROP TIEOFF_X0Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y148 IS_PAD 0 SITEPROP TIEOFF_X0Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y148 IS_RESERVED 0 SITEPROP TIEOFF_X0Y148 IS_TEST 0 SITEPROP TIEOFF_X0Y148 IS_USED 0 SITEPROP TIEOFF_X0Y148 MANUAL_ROUTING SITEPROP TIEOFF_X0Y148 NAME TIEOFF_X0Y148 SITEPROP TIEOFF_X0Y148 NUM_ARCS 0 SITEPROP TIEOFF_X0Y148 NUM_BELS 2 SITEPROP TIEOFF_X0Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y148 NUM_PINS 2 SITEPROP TIEOFF_X0Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y148 PROHIBIT 0 SITEPROP TIEOFF_X0Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y148 RPM_X 0 SITEPROP TIEOFF_X0Y148 RPM_Y 296 SITEPROP TIEOFF_X0Y148 SITE_PIPS SITEPROP TIEOFF_X0Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X0Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X0Y149 CLASS site SITEPROP TIEOFF_X0Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X0Y149 IS_BONDED 0 SITEPROP TIEOFF_X0Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y149 IS_PAD 0 SITEPROP TIEOFF_X0Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X0Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X0Y149 IS_RESERVED 0 SITEPROP TIEOFF_X0Y149 IS_TEST 0 SITEPROP TIEOFF_X0Y149 IS_USED 0 SITEPROP TIEOFF_X0Y149 MANUAL_ROUTING SITEPROP TIEOFF_X0Y149 NAME TIEOFF_X0Y149 SITEPROP TIEOFF_X0Y149 NUM_ARCS 0 SITEPROP TIEOFF_X0Y149 NUM_BELS 2 SITEPROP TIEOFF_X0Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X0Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X0Y149 NUM_PINS 2 SITEPROP TIEOFF_X0Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X0Y149 PROHIBIT 0 SITEPROP TIEOFF_X0Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X0Y149 RPM_X 0 SITEPROP TIEOFF_X0Y149 RPM_Y 298 SITEPROP TIEOFF_X0Y149 SITE_PIPS SITEPROP TIEOFF_X0Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y0 CLASS site SITEPROP TIEOFF_X10Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y0 IS_BONDED 0 SITEPROP TIEOFF_X10Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y0 IS_PAD 0 SITEPROP TIEOFF_X10Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y0 IS_RESERVED 0 SITEPROP TIEOFF_X10Y0 IS_TEST 0 SITEPROP TIEOFF_X10Y0 IS_USED 0 SITEPROP TIEOFF_X10Y0 MANUAL_ROUTING SITEPROP TIEOFF_X10Y0 NAME TIEOFF_X10Y0 SITEPROP TIEOFF_X10Y0 NUM_ARCS 0 SITEPROP TIEOFF_X10Y0 NUM_BELS 2 SITEPROP TIEOFF_X10Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y0 NUM_PINS 2 SITEPROP TIEOFF_X10Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y0 PROHIBIT 0 SITEPROP TIEOFF_X10Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y0 RPM_X 45 SITEPROP TIEOFF_X10Y0 RPM_Y 1 SITEPROP TIEOFF_X10Y0 SITE_PIPS SITEPROP TIEOFF_X10Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y5 CLASS site SITEPROP TIEOFF_X10Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y5 IS_BONDED 0 SITEPROP TIEOFF_X10Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y5 IS_PAD 0 SITEPROP TIEOFF_X10Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y5 IS_RESERVED 0 SITEPROP TIEOFF_X10Y5 IS_TEST 0 SITEPROP TIEOFF_X10Y5 IS_USED 0 SITEPROP TIEOFF_X10Y5 MANUAL_ROUTING SITEPROP TIEOFF_X10Y5 NAME TIEOFF_X10Y5 SITEPROP TIEOFF_X10Y5 NUM_ARCS 0 SITEPROP TIEOFF_X10Y5 NUM_BELS 2 SITEPROP TIEOFF_X10Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y5 NUM_PINS 2 SITEPROP TIEOFF_X10Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y5 PROHIBIT 0 SITEPROP TIEOFF_X10Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y5 RPM_X 45 SITEPROP TIEOFF_X10Y5 RPM_Y 11 SITEPROP TIEOFF_X10Y5 SITE_PIPS SITEPROP TIEOFF_X10Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y10 CLASS site SITEPROP TIEOFF_X10Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y10 IS_BONDED 0 SITEPROP TIEOFF_X10Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y10 IS_PAD 0 SITEPROP TIEOFF_X10Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y10 IS_RESERVED 0 SITEPROP TIEOFF_X10Y10 IS_TEST 0 SITEPROP TIEOFF_X10Y10 IS_USED 0 SITEPROP TIEOFF_X10Y10 MANUAL_ROUTING SITEPROP TIEOFF_X10Y10 NAME TIEOFF_X10Y10 SITEPROP TIEOFF_X10Y10 NUM_ARCS 0 SITEPROP TIEOFF_X10Y10 NUM_BELS 2 SITEPROP TIEOFF_X10Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y10 NUM_PINS 2 SITEPROP TIEOFF_X10Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y10 PROHIBIT 0 SITEPROP TIEOFF_X10Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y10 RPM_X 45 SITEPROP TIEOFF_X10Y10 RPM_Y 21 SITEPROP TIEOFF_X10Y10 SITE_PIPS SITEPROP TIEOFF_X10Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y15 CLASS site SITEPROP TIEOFF_X10Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y15 IS_BONDED 0 SITEPROP TIEOFF_X10Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y15 IS_PAD 0 SITEPROP TIEOFF_X10Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y15 IS_RESERVED 0 SITEPROP TIEOFF_X10Y15 IS_TEST 0 SITEPROP TIEOFF_X10Y15 IS_USED 0 SITEPROP TIEOFF_X10Y15 MANUAL_ROUTING SITEPROP TIEOFF_X10Y15 NAME TIEOFF_X10Y15 SITEPROP TIEOFF_X10Y15 NUM_ARCS 0 SITEPROP TIEOFF_X10Y15 NUM_BELS 2 SITEPROP TIEOFF_X10Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y15 NUM_PINS 2 SITEPROP TIEOFF_X10Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y15 PROHIBIT 0 SITEPROP TIEOFF_X10Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y15 RPM_X 45 SITEPROP TIEOFF_X10Y15 RPM_Y 31 SITEPROP TIEOFF_X10Y15 SITE_PIPS SITEPROP TIEOFF_X10Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y20 CLASS site SITEPROP TIEOFF_X10Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y20 IS_BONDED 0 SITEPROP TIEOFF_X10Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y20 IS_PAD 0 SITEPROP TIEOFF_X10Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y20 IS_RESERVED 0 SITEPROP TIEOFF_X10Y20 IS_TEST 0 SITEPROP TIEOFF_X10Y20 IS_USED 0 SITEPROP TIEOFF_X10Y20 MANUAL_ROUTING SITEPROP TIEOFF_X10Y20 NAME TIEOFF_X10Y20 SITEPROP TIEOFF_X10Y20 NUM_ARCS 0 SITEPROP TIEOFF_X10Y20 NUM_BELS 2 SITEPROP TIEOFF_X10Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y20 NUM_PINS 2 SITEPROP TIEOFF_X10Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y20 PROHIBIT 0 SITEPROP TIEOFF_X10Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y20 RPM_X 45 SITEPROP TIEOFF_X10Y20 RPM_Y 41 SITEPROP TIEOFF_X10Y20 SITE_PIPS SITEPROP TIEOFF_X10Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y25 CLASS site SITEPROP TIEOFF_X10Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y25 IS_BONDED 0 SITEPROP TIEOFF_X10Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y25 IS_PAD 0 SITEPROP TIEOFF_X10Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y25 IS_RESERVED 0 SITEPROP TIEOFF_X10Y25 IS_TEST 0 SITEPROP TIEOFF_X10Y25 IS_USED 0 SITEPROP TIEOFF_X10Y25 MANUAL_ROUTING SITEPROP TIEOFF_X10Y25 NAME TIEOFF_X10Y25 SITEPROP TIEOFF_X10Y25 NUM_ARCS 0 SITEPROP TIEOFF_X10Y25 NUM_BELS 2 SITEPROP TIEOFF_X10Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y25 NUM_PINS 2 SITEPROP TIEOFF_X10Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y25 PROHIBIT 0 SITEPROP TIEOFF_X10Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y25 RPM_X 45 SITEPROP TIEOFF_X10Y25 RPM_Y 51 SITEPROP TIEOFF_X10Y25 SITE_PIPS SITEPROP TIEOFF_X10Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y30 CLASS site SITEPROP TIEOFF_X10Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y30 IS_BONDED 0 SITEPROP TIEOFF_X10Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y30 IS_PAD 0 SITEPROP TIEOFF_X10Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y30 IS_RESERVED 0 SITEPROP TIEOFF_X10Y30 IS_TEST 0 SITEPROP TIEOFF_X10Y30 IS_USED 0 SITEPROP TIEOFF_X10Y30 MANUAL_ROUTING SITEPROP TIEOFF_X10Y30 NAME TIEOFF_X10Y30 SITEPROP TIEOFF_X10Y30 NUM_ARCS 0 SITEPROP TIEOFF_X10Y30 NUM_BELS 2 SITEPROP TIEOFF_X10Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y30 NUM_PINS 2 SITEPROP TIEOFF_X10Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y30 PROHIBIT 0 SITEPROP TIEOFF_X10Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y30 RPM_X 45 SITEPROP TIEOFF_X10Y30 RPM_Y 61 SITEPROP TIEOFF_X10Y30 SITE_PIPS SITEPROP TIEOFF_X10Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y35 CLASS site SITEPROP TIEOFF_X10Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y35 IS_BONDED 0 SITEPROP TIEOFF_X10Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y35 IS_PAD 0 SITEPROP TIEOFF_X10Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y35 IS_RESERVED 0 SITEPROP TIEOFF_X10Y35 IS_TEST 0 SITEPROP TIEOFF_X10Y35 IS_USED 0 SITEPROP TIEOFF_X10Y35 MANUAL_ROUTING SITEPROP TIEOFF_X10Y35 NAME TIEOFF_X10Y35 SITEPROP TIEOFF_X10Y35 NUM_ARCS 0 SITEPROP TIEOFF_X10Y35 NUM_BELS 2 SITEPROP TIEOFF_X10Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y35 NUM_PINS 2 SITEPROP TIEOFF_X10Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y35 PROHIBIT 0 SITEPROP TIEOFF_X10Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y35 RPM_X 45 SITEPROP TIEOFF_X10Y35 RPM_Y 71 SITEPROP TIEOFF_X10Y35 SITE_PIPS SITEPROP TIEOFF_X10Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y40 CLASS site SITEPROP TIEOFF_X10Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y40 IS_BONDED 0 SITEPROP TIEOFF_X10Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y40 IS_PAD 0 SITEPROP TIEOFF_X10Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y40 IS_RESERVED 0 SITEPROP TIEOFF_X10Y40 IS_TEST 0 SITEPROP TIEOFF_X10Y40 IS_USED 0 SITEPROP TIEOFF_X10Y40 MANUAL_ROUTING SITEPROP TIEOFF_X10Y40 NAME TIEOFF_X10Y40 SITEPROP TIEOFF_X10Y40 NUM_ARCS 0 SITEPROP TIEOFF_X10Y40 NUM_BELS 2 SITEPROP TIEOFF_X10Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y40 NUM_PINS 2 SITEPROP TIEOFF_X10Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y40 PROHIBIT 0 SITEPROP TIEOFF_X10Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y40 RPM_X 45 SITEPROP TIEOFF_X10Y40 RPM_Y 81 SITEPROP TIEOFF_X10Y40 SITE_PIPS SITEPROP TIEOFF_X10Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y45 CLASS site SITEPROP TIEOFF_X10Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X10Y45 IS_BONDED 0 SITEPROP TIEOFF_X10Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y45 IS_PAD 0 SITEPROP TIEOFF_X10Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y45 IS_RESERVED 0 SITEPROP TIEOFF_X10Y45 IS_TEST 0 SITEPROP TIEOFF_X10Y45 IS_USED 0 SITEPROP TIEOFF_X10Y45 MANUAL_ROUTING SITEPROP TIEOFF_X10Y45 NAME TIEOFF_X10Y45 SITEPROP TIEOFF_X10Y45 NUM_ARCS 0 SITEPROP TIEOFF_X10Y45 NUM_BELS 2 SITEPROP TIEOFF_X10Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y45 NUM_PINS 2 SITEPROP TIEOFF_X10Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y45 PROHIBIT 0 SITEPROP TIEOFF_X10Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y45 RPM_X 45 SITEPROP TIEOFF_X10Y45 RPM_Y 91 SITEPROP TIEOFF_X10Y45 SITE_PIPS SITEPROP TIEOFF_X10Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y50 CLASS site SITEPROP TIEOFF_X10Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y50 IS_BONDED 0 SITEPROP TIEOFF_X10Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y50 IS_PAD 0 SITEPROP TIEOFF_X10Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y50 IS_RESERVED 0 SITEPROP TIEOFF_X10Y50 IS_TEST 0 SITEPROP TIEOFF_X10Y50 IS_USED 0 SITEPROP TIEOFF_X10Y50 MANUAL_ROUTING SITEPROP TIEOFF_X10Y50 NAME TIEOFF_X10Y50 SITEPROP TIEOFF_X10Y50 NUM_ARCS 0 SITEPROP TIEOFF_X10Y50 NUM_BELS 2 SITEPROP TIEOFF_X10Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y50 NUM_PINS 2 SITEPROP TIEOFF_X10Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y50 PROHIBIT 0 SITEPROP TIEOFF_X10Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y50 RPM_X 45 SITEPROP TIEOFF_X10Y50 RPM_Y 101 SITEPROP TIEOFF_X10Y50 SITE_PIPS SITEPROP TIEOFF_X10Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y55 CLASS site SITEPROP TIEOFF_X10Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y55 IS_BONDED 0 SITEPROP TIEOFF_X10Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y55 IS_PAD 0 SITEPROP TIEOFF_X10Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y55 IS_RESERVED 0 SITEPROP TIEOFF_X10Y55 IS_TEST 0 SITEPROP TIEOFF_X10Y55 IS_USED 0 SITEPROP TIEOFF_X10Y55 MANUAL_ROUTING SITEPROP TIEOFF_X10Y55 NAME TIEOFF_X10Y55 SITEPROP TIEOFF_X10Y55 NUM_ARCS 0 SITEPROP TIEOFF_X10Y55 NUM_BELS 2 SITEPROP TIEOFF_X10Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y55 NUM_PINS 2 SITEPROP TIEOFF_X10Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y55 PROHIBIT 0 SITEPROP TIEOFF_X10Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y55 RPM_X 45 SITEPROP TIEOFF_X10Y55 RPM_Y 111 SITEPROP TIEOFF_X10Y55 SITE_PIPS SITEPROP TIEOFF_X10Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y60 CLASS site SITEPROP TIEOFF_X10Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y60 IS_BONDED 0 SITEPROP TIEOFF_X10Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y60 IS_PAD 0 SITEPROP TIEOFF_X10Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y60 IS_RESERVED 0 SITEPROP TIEOFF_X10Y60 IS_TEST 0 SITEPROP TIEOFF_X10Y60 IS_USED 0 SITEPROP TIEOFF_X10Y60 MANUAL_ROUTING SITEPROP TIEOFF_X10Y60 NAME TIEOFF_X10Y60 SITEPROP TIEOFF_X10Y60 NUM_ARCS 0 SITEPROP TIEOFF_X10Y60 NUM_BELS 2 SITEPROP TIEOFF_X10Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y60 NUM_PINS 2 SITEPROP TIEOFF_X10Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y60 PROHIBIT 0 SITEPROP TIEOFF_X10Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y60 RPM_X 45 SITEPROP TIEOFF_X10Y60 RPM_Y 121 SITEPROP TIEOFF_X10Y60 SITE_PIPS SITEPROP TIEOFF_X10Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y65 CLASS site SITEPROP TIEOFF_X10Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y65 IS_BONDED 0 SITEPROP TIEOFF_X10Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y65 IS_PAD 0 SITEPROP TIEOFF_X10Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y65 IS_RESERVED 0 SITEPROP TIEOFF_X10Y65 IS_TEST 0 SITEPROP TIEOFF_X10Y65 IS_USED 0 SITEPROP TIEOFF_X10Y65 MANUAL_ROUTING SITEPROP TIEOFF_X10Y65 NAME TIEOFF_X10Y65 SITEPROP TIEOFF_X10Y65 NUM_ARCS 0 SITEPROP TIEOFF_X10Y65 NUM_BELS 2 SITEPROP TIEOFF_X10Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y65 NUM_PINS 2 SITEPROP TIEOFF_X10Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y65 PROHIBIT 0 SITEPROP TIEOFF_X10Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y65 RPM_X 45 SITEPROP TIEOFF_X10Y65 RPM_Y 131 SITEPROP TIEOFF_X10Y65 SITE_PIPS SITEPROP TIEOFF_X10Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y70 CLASS site SITEPROP TIEOFF_X10Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y70 IS_BONDED 0 SITEPROP TIEOFF_X10Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y70 IS_PAD 0 SITEPROP TIEOFF_X10Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y70 IS_RESERVED 0 SITEPROP TIEOFF_X10Y70 IS_TEST 0 SITEPROP TIEOFF_X10Y70 IS_USED 0 SITEPROP TIEOFF_X10Y70 MANUAL_ROUTING SITEPROP TIEOFF_X10Y70 NAME TIEOFF_X10Y70 SITEPROP TIEOFF_X10Y70 NUM_ARCS 0 SITEPROP TIEOFF_X10Y70 NUM_BELS 2 SITEPROP TIEOFF_X10Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y70 NUM_PINS 2 SITEPROP TIEOFF_X10Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y70 PROHIBIT 0 SITEPROP TIEOFF_X10Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y70 RPM_X 45 SITEPROP TIEOFF_X10Y70 RPM_Y 141 SITEPROP TIEOFF_X10Y70 SITE_PIPS SITEPROP TIEOFF_X10Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y75 CLASS site SITEPROP TIEOFF_X10Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y75 IS_BONDED 0 SITEPROP TIEOFF_X10Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y75 IS_PAD 0 SITEPROP TIEOFF_X10Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y75 IS_RESERVED 0 SITEPROP TIEOFF_X10Y75 IS_TEST 0 SITEPROP TIEOFF_X10Y75 IS_USED 0 SITEPROP TIEOFF_X10Y75 MANUAL_ROUTING SITEPROP TIEOFF_X10Y75 NAME TIEOFF_X10Y75 SITEPROP TIEOFF_X10Y75 NUM_ARCS 0 SITEPROP TIEOFF_X10Y75 NUM_BELS 2 SITEPROP TIEOFF_X10Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y75 NUM_PINS 2 SITEPROP TIEOFF_X10Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y75 PROHIBIT 0 SITEPROP TIEOFF_X10Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y75 RPM_X 45 SITEPROP TIEOFF_X10Y75 RPM_Y 151 SITEPROP TIEOFF_X10Y75 SITE_PIPS SITEPROP TIEOFF_X10Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y80 CLASS site SITEPROP TIEOFF_X10Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y80 IS_BONDED 0 SITEPROP TIEOFF_X10Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y80 IS_PAD 0 SITEPROP TIEOFF_X10Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y80 IS_RESERVED 0 SITEPROP TIEOFF_X10Y80 IS_TEST 0 SITEPROP TIEOFF_X10Y80 IS_USED 0 SITEPROP TIEOFF_X10Y80 MANUAL_ROUTING SITEPROP TIEOFF_X10Y80 NAME TIEOFF_X10Y80 SITEPROP TIEOFF_X10Y80 NUM_ARCS 0 SITEPROP TIEOFF_X10Y80 NUM_BELS 2 SITEPROP TIEOFF_X10Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y80 NUM_PINS 2 SITEPROP TIEOFF_X10Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y80 PROHIBIT 0 SITEPROP TIEOFF_X10Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y80 RPM_X 45 SITEPROP TIEOFF_X10Y80 RPM_Y 161 SITEPROP TIEOFF_X10Y80 SITE_PIPS SITEPROP TIEOFF_X10Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y85 CLASS site SITEPROP TIEOFF_X10Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y85 IS_BONDED 0 SITEPROP TIEOFF_X10Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y85 IS_PAD 0 SITEPROP TIEOFF_X10Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y85 IS_RESERVED 0 SITEPROP TIEOFF_X10Y85 IS_TEST 0 SITEPROP TIEOFF_X10Y85 IS_USED 0 SITEPROP TIEOFF_X10Y85 MANUAL_ROUTING SITEPROP TIEOFF_X10Y85 NAME TIEOFF_X10Y85 SITEPROP TIEOFF_X10Y85 NUM_ARCS 0 SITEPROP TIEOFF_X10Y85 NUM_BELS 2 SITEPROP TIEOFF_X10Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y85 NUM_PINS 2 SITEPROP TIEOFF_X10Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y85 PROHIBIT 0 SITEPROP TIEOFF_X10Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y85 RPM_X 45 SITEPROP TIEOFF_X10Y85 RPM_Y 171 SITEPROP TIEOFF_X10Y85 SITE_PIPS SITEPROP TIEOFF_X10Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y90 CLASS site SITEPROP TIEOFF_X10Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y90 IS_BONDED 0 SITEPROP TIEOFF_X10Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y90 IS_PAD 0 SITEPROP TIEOFF_X10Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y90 IS_RESERVED 0 SITEPROP TIEOFF_X10Y90 IS_TEST 0 SITEPROP TIEOFF_X10Y90 IS_USED 0 SITEPROP TIEOFF_X10Y90 MANUAL_ROUTING SITEPROP TIEOFF_X10Y90 NAME TIEOFF_X10Y90 SITEPROP TIEOFF_X10Y90 NUM_ARCS 0 SITEPROP TIEOFF_X10Y90 NUM_BELS 2 SITEPROP TIEOFF_X10Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y90 NUM_PINS 2 SITEPROP TIEOFF_X10Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y90 PROHIBIT 0 SITEPROP TIEOFF_X10Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y90 RPM_X 45 SITEPROP TIEOFF_X10Y90 RPM_Y 181 SITEPROP TIEOFF_X10Y90 SITE_PIPS SITEPROP TIEOFF_X10Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y95 CLASS site SITEPROP TIEOFF_X10Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X10Y95 IS_BONDED 0 SITEPROP TIEOFF_X10Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y95 IS_PAD 0 SITEPROP TIEOFF_X10Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y95 IS_RESERVED 0 SITEPROP TIEOFF_X10Y95 IS_TEST 0 SITEPROP TIEOFF_X10Y95 IS_USED 0 SITEPROP TIEOFF_X10Y95 MANUAL_ROUTING SITEPROP TIEOFF_X10Y95 NAME TIEOFF_X10Y95 SITEPROP TIEOFF_X10Y95 NUM_ARCS 0 SITEPROP TIEOFF_X10Y95 NUM_BELS 2 SITEPROP TIEOFF_X10Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y95 NUM_PINS 2 SITEPROP TIEOFF_X10Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y95 PROHIBIT 0 SITEPROP TIEOFF_X10Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y95 RPM_X 45 SITEPROP TIEOFF_X10Y95 RPM_Y 191 SITEPROP TIEOFF_X10Y95 SITE_PIPS SITEPROP TIEOFF_X10Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y100 CLASS site SITEPROP TIEOFF_X10Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y100 IS_BONDED 0 SITEPROP TIEOFF_X10Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y100 IS_PAD 0 SITEPROP TIEOFF_X10Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y100 IS_RESERVED 0 SITEPROP TIEOFF_X10Y100 IS_TEST 0 SITEPROP TIEOFF_X10Y100 IS_USED 0 SITEPROP TIEOFF_X10Y100 MANUAL_ROUTING SITEPROP TIEOFF_X10Y100 NAME TIEOFF_X10Y100 SITEPROP TIEOFF_X10Y100 NUM_ARCS 0 SITEPROP TIEOFF_X10Y100 NUM_BELS 2 SITEPROP TIEOFF_X10Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y100 NUM_PINS 2 SITEPROP TIEOFF_X10Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y100 PROHIBIT 0 SITEPROP TIEOFF_X10Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y100 RPM_X 45 SITEPROP TIEOFF_X10Y100 RPM_Y 201 SITEPROP TIEOFF_X10Y100 SITE_PIPS SITEPROP TIEOFF_X10Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y105 CLASS site SITEPROP TIEOFF_X10Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y105 IS_BONDED 0 SITEPROP TIEOFF_X10Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y105 IS_PAD 0 SITEPROP TIEOFF_X10Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y105 IS_RESERVED 0 SITEPROP TIEOFF_X10Y105 IS_TEST 0 SITEPROP TIEOFF_X10Y105 IS_USED 0 SITEPROP TIEOFF_X10Y105 MANUAL_ROUTING SITEPROP TIEOFF_X10Y105 NAME TIEOFF_X10Y105 SITEPROP TIEOFF_X10Y105 NUM_ARCS 0 SITEPROP TIEOFF_X10Y105 NUM_BELS 2 SITEPROP TIEOFF_X10Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y105 NUM_PINS 2 SITEPROP TIEOFF_X10Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y105 PROHIBIT 0 SITEPROP TIEOFF_X10Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y105 RPM_X 45 SITEPROP TIEOFF_X10Y105 RPM_Y 211 SITEPROP TIEOFF_X10Y105 SITE_PIPS SITEPROP TIEOFF_X10Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y110 CLASS site SITEPROP TIEOFF_X10Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y110 IS_BONDED 0 SITEPROP TIEOFF_X10Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y110 IS_PAD 0 SITEPROP TIEOFF_X10Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y110 IS_RESERVED 0 SITEPROP TIEOFF_X10Y110 IS_TEST 0 SITEPROP TIEOFF_X10Y110 IS_USED 0 SITEPROP TIEOFF_X10Y110 MANUAL_ROUTING SITEPROP TIEOFF_X10Y110 NAME TIEOFF_X10Y110 SITEPROP TIEOFF_X10Y110 NUM_ARCS 0 SITEPROP TIEOFF_X10Y110 NUM_BELS 2 SITEPROP TIEOFF_X10Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y110 NUM_PINS 2 SITEPROP TIEOFF_X10Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y110 PROHIBIT 0 SITEPROP TIEOFF_X10Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y110 RPM_X 45 SITEPROP TIEOFF_X10Y110 RPM_Y 221 SITEPROP TIEOFF_X10Y110 SITE_PIPS SITEPROP TIEOFF_X10Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y115 CLASS site SITEPROP TIEOFF_X10Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y115 IS_BONDED 0 SITEPROP TIEOFF_X10Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y115 IS_PAD 0 SITEPROP TIEOFF_X10Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y115 IS_RESERVED 0 SITEPROP TIEOFF_X10Y115 IS_TEST 0 SITEPROP TIEOFF_X10Y115 IS_USED 0 SITEPROP TIEOFF_X10Y115 MANUAL_ROUTING SITEPROP TIEOFF_X10Y115 NAME TIEOFF_X10Y115 SITEPROP TIEOFF_X10Y115 NUM_ARCS 0 SITEPROP TIEOFF_X10Y115 NUM_BELS 2 SITEPROP TIEOFF_X10Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y115 NUM_PINS 2 SITEPROP TIEOFF_X10Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y115 PROHIBIT 0 SITEPROP TIEOFF_X10Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y115 RPM_X 45 SITEPROP TIEOFF_X10Y115 RPM_Y 231 SITEPROP TIEOFF_X10Y115 SITE_PIPS SITEPROP TIEOFF_X10Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y120 CLASS site SITEPROP TIEOFF_X10Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y120 IS_BONDED 0 SITEPROP TIEOFF_X10Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y120 IS_PAD 0 SITEPROP TIEOFF_X10Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y120 IS_RESERVED 0 SITEPROP TIEOFF_X10Y120 IS_TEST 0 SITEPROP TIEOFF_X10Y120 IS_USED 0 SITEPROP TIEOFF_X10Y120 MANUAL_ROUTING SITEPROP TIEOFF_X10Y120 NAME TIEOFF_X10Y120 SITEPROP TIEOFF_X10Y120 NUM_ARCS 0 SITEPROP TIEOFF_X10Y120 NUM_BELS 2 SITEPROP TIEOFF_X10Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y120 NUM_PINS 2 SITEPROP TIEOFF_X10Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y120 PROHIBIT 0 SITEPROP TIEOFF_X10Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y120 RPM_X 45 SITEPROP TIEOFF_X10Y120 RPM_Y 241 SITEPROP TIEOFF_X10Y120 SITE_PIPS SITEPROP TIEOFF_X10Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y125 CLASS site SITEPROP TIEOFF_X10Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y125 IS_BONDED 0 SITEPROP TIEOFF_X10Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y125 IS_PAD 0 SITEPROP TIEOFF_X10Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y125 IS_RESERVED 0 SITEPROP TIEOFF_X10Y125 IS_TEST 0 SITEPROP TIEOFF_X10Y125 IS_USED 0 SITEPROP TIEOFF_X10Y125 MANUAL_ROUTING SITEPROP TIEOFF_X10Y125 NAME TIEOFF_X10Y125 SITEPROP TIEOFF_X10Y125 NUM_ARCS 0 SITEPROP TIEOFF_X10Y125 NUM_BELS 2 SITEPROP TIEOFF_X10Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y125 NUM_PINS 2 SITEPROP TIEOFF_X10Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y125 PROHIBIT 0 SITEPROP TIEOFF_X10Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y125 RPM_X 45 SITEPROP TIEOFF_X10Y125 RPM_Y 251 SITEPROP TIEOFF_X10Y125 SITE_PIPS SITEPROP TIEOFF_X10Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y130 CLASS site SITEPROP TIEOFF_X10Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y130 IS_BONDED 0 SITEPROP TIEOFF_X10Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y130 IS_PAD 0 SITEPROP TIEOFF_X10Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y130 IS_RESERVED 0 SITEPROP TIEOFF_X10Y130 IS_TEST 0 SITEPROP TIEOFF_X10Y130 IS_USED 0 SITEPROP TIEOFF_X10Y130 MANUAL_ROUTING SITEPROP TIEOFF_X10Y130 NAME TIEOFF_X10Y130 SITEPROP TIEOFF_X10Y130 NUM_ARCS 0 SITEPROP TIEOFF_X10Y130 NUM_BELS 2 SITEPROP TIEOFF_X10Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y130 NUM_PINS 2 SITEPROP TIEOFF_X10Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y130 PROHIBIT 0 SITEPROP TIEOFF_X10Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y130 RPM_X 45 SITEPROP TIEOFF_X10Y130 RPM_Y 261 SITEPROP TIEOFF_X10Y130 SITE_PIPS SITEPROP TIEOFF_X10Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y135 CLASS site SITEPROP TIEOFF_X10Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y135 IS_BONDED 0 SITEPROP TIEOFF_X10Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y135 IS_PAD 0 SITEPROP TIEOFF_X10Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y135 IS_RESERVED 0 SITEPROP TIEOFF_X10Y135 IS_TEST 0 SITEPROP TIEOFF_X10Y135 IS_USED 0 SITEPROP TIEOFF_X10Y135 MANUAL_ROUTING SITEPROP TIEOFF_X10Y135 NAME TIEOFF_X10Y135 SITEPROP TIEOFF_X10Y135 NUM_ARCS 0 SITEPROP TIEOFF_X10Y135 NUM_BELS 2 SITEPROP TIEOFF_X10Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y135 NUM_PINS 2 SITEPROP TIEOFF_X10Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y135 PROHIBIT 0 SITEPROP TIEOFF_X10Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y135 RPM_X 45 SITEPROP TIEOFF_X10Y135 RPM_Y 271 SITEPROP TIEOFF_X10Y135 SITE_PIPS SITEPROP TIEOFF_X10Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y140 CLASS site SITEPROP TIEOFF_X10Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y140 IS_BONDED 0 SITEPROP TIEOFF_X10Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y140 IS_PAD 0 SITEPROP TIEOFF_X10Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y140 IS_RESERVED 0 SITEPROP TIEOFF_X10Y140 IS_TEST 0 SITEPROP TIEOFF_X10Y140 IS_USED 0 SITEPROP TIEOFF_X10Y140 MANUAL_ROUTING SITEPROP TIEOFF_X10Y140 NAME TIEOFF_X10Y140 SITEPROP TIEOFF_X10Y140 NUM_ARCS 0 SITEPROP TIEOFF_X10Y140 NUM_BELS 2 SITEPROP TIEOFF_X10Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y140 NUM_PINS 2 SITEPROP TIEOFF_X10Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y140 PROHIBIT 0 SITEPROP TIEOFF_X10Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y140 RPM_X 45 SITEPROP TIEOFF_X10Y140 RPM_Y 281 SITEPROP TIEOFF_X10Y140 SITE_PIPS SITEPROP TIEOFF_X10Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X10Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X10Y145 CLASS site SITEPROP TIEOFF_X10Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X10Y145 IS_BONDED 0 SITEPROP TIEOFF_X10Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y145 IS_PAD 0 SITEPROP TIEOFF_X10Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X10Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X10Y145 IS_RESERVED 0 SITEPROP TIEOFF_X10Y145 IS_TEST 0 SITEPROP TIEOFF_X10Y145 IS_USED 0 SITEPROP TIEOFF_X10Y145 MANUAL_ROUTING SITEPROP TIEOFF_X10Y145 NAME TIEOFF_X10Y145 SITEPROP TIEOFF_X10Y145 NUM_ARCS 0 SITEPROP TIEOFF_X10Y145 NUM_BELS 2 SITEPROP TIEOFF_X10Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X10Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X10Y145 NUM_PINS 2 SITEPROP TIEOFF_X10Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X10Y145 PROHIBIT 0 SITEPROP TIEOFF_X10Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X10Y145 RPM_X 45 SITEPROP TIEOFF_X10Y145 RPM_Y 291 SITEPROP TIEOFF_X10Y145 SITE_PIPS SITEPROP TIEOFF_X10Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y0 CLASS site SITEPROP TIEOFF_X11Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y0 IS_BONDED 0 SITEPROP TIEOFF_X11Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y0 IS_PAD 0 SITEPROP TIEOFF_X11Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y0 IS_RESERVED 0 SITEPROP TIEOFF_X11Y0 IS_TEST 0 SITEPROP TIEOFF_X11Y0 IS_USED 0 SITEPROP TIEOFF_X11Y0 MANUAL_ROUTING SITEPROP TIEOFF_X11Y0 NAME TIEOFF_X11Y0 SITEPROP TIEOFF_X11Y0 NUM_ARCS 0 SITEPROP TIEOFF_X11Y0 NUM_BELS 2 SITEPROP TIEOFF_X11Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y0 NUM_PINS 2 SITEPROP TIEOFF_X11Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y0 PROHIBIT 0 SITEPROP TIEOFF_X11Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y0 RPM_X 48 SITEPROP TIEOFF_X11Y0 RPM_Y 0 SITEPROP TIEOFF_X11Y0 SITE_PIPS SITEPROP TIEOFF_X11Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y1 CLASS site SITEPROP TIEOFF_X11Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y1 IS_BONDED 0 SITEPROP TIEOFF_X11Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y1 IS_PAD 0 SITEPROP TIEOFF_X11Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y1 IS_RESERVED 0 SITEPROP TIEOFF_X11Y1 IS_TEST 0 SITEPROP TIEOFF_X11Y1 IS_USED 0 SITEPROP TIEOFF_X11Y1 MANUAL_ROUTING SITEPROP TIEOFF_X11Y1 NAME TIEOFF_X11Y1 SITEPROP TIEOFF_X11Y1 NUM_ARCS 0 SITEPROP TIEOFF_X11Y1 NUM_BELS 2 SITEPROP TIEOFF_X11Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y1 NUM_PINS 2 SITEPROP TIEOFF_X11Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y1 PROHIBIT 0 SITEPROP TIEOFF_X11Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y1 RPM_X 48 SITEPROP TIEOFF_X11Y1 RPM_Y 2 SITEPROP TIEOFF_X11Y1 SITE_PIPS SITEPROP TIEOFF_X11Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y2 CLASS site SITEPROP TIEOFF_X11Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y2 IS_BONDED 0 SITEPROP TIEOFF_X11Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y2 IS_PAD 0 SITEPROP TIEOFF_X11Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y2 IS_RESERVED 0 SITEPROP TIEOFF_X11Y2 IS_TEST 0 SITEPROP TIEOFF_X11Y2 IS_USED 0 SITEPROP TIEOFF_X11Y2 MANUAL_ROUTING SITEPROP TIEOFF_X11Y2 NAME TIEOFF_X11Y2 SITEPROP TIEOFF_X11Y2 NUM_ARCS 0 SITEPROP TIEOFF_X11Y2 NUM_BELS 2 SITEPROP TIEOFF_X11Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y2 NUM_PINS 2 SITEPROP TIEOFF_X11Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y2 PROHIBIT 0 SITEPROP TIEOFF_X11Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y2 RPM_X 48 SITEPROP TIEOFF_X11Y2 RPM_Y 4 SITEPROP TIEOFF_X11Y2 SITE_PIPS SITEPROP TIEOFF_X11Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y3 CLASS site SITEPROP TIEOFF_X11Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y3 IS_BONDED 0 SITEPROP TIEOFF_X11Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y3 IS_PAD 0 SITEPROP TIEOFF_X11Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y3 IS_RESERVED 0 SITEPROP TIEOFF_X11Y3 IS_TEST 0 SITEPROP TIEOFF_X11Y3 IS_USED 0 SITEPROP TIEOFF_X11Y3 MANUAL_ROUTING SITEPROP TIEOFF_X11Y3 NAME TIEOFF_X11Y3 SITEPROP TIEOFF_X11Y3 NUM_ARCS 0 SITEPROP TIEOFF_X11Y3 NUM_BELS 2 SITEPROP TIEOFF_X11Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y3 NUM_PINS 2 SITEPROP TIEOFF_X11Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y3 PROHIBIT 0 SITEPROP TIEOFF_X11Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y3 RPM_X 48 SITEPROP TIEOFF_X11Y3 RPM_Y 6 SITEPROP TIEOFF_X11Y3 SITE_PIPS SITEPROP TIEOFF_X11Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y4 CLASS site SITEPROP TIEOFF_X11Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y4 IS_BONDED 0 SITEPROP TIEOFF_X11Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y4 IS_PAD 0 SITEPROP TIEOFF_X11Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y4 IS_RESERVED 0 SITEPROP TIEOFF_X11Y4 IS_TEST 0 SITEPROP TIEOFF_X11Y4 IS_USED 0 SITEPROP TIEOFF_X11Y4 MANUAL_ROUTING SITEPROP TIEOFF_X11Y4 NAME TIEOFF_X11Y4 SITEPROP TIEOFF_X11Y4 NUM_ARCS 0 SITEPROP TIEOFF_X11Y4 NUM_BELS 2 SITEPROP TIEOFF_X11Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y4 NUM_PINS 2 SITEPROP TIEOFF_X11Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y4 PROHIBIT 0 SITEPROP TIEOFF_X11Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y4 RPM_X 48 SITEPROP TIEOFF_X11Y4 RPM_Y 8 SITEPROP TIEOFF_X11Y4 SITE_PIPS SITEPROP TIEOFF_X11Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y5 CLASS site SITEPROP TIEOFF_X11Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y5 IS_BONDED 0 SITEPROP TIEOFF_X11Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y5 IS_PAD 0 SITEPROP TIEOFF_X11Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y5 IS_RESERVED 0 SITEPROP TIEOFF_X11Y5 IS_TEST 0 SITEPROP TIEOFF_X11Y5 IS_USED 0 SITEPROP TIEOFF_X11Y5 MANUAL_ROUTING SITEPROP TIEOFF_X11Y5 NAME TIEOFF_X11Y5 SITEPROP TIEOFF_X11Y5 NUM_ARCS 0 SITEPROP TIEOFF_X11Y5 NUM_BELS 2 SITEPROP TIEOFF_X11Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y5 NUM_PINS 2 SITEPROP TIEOFF_X11Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y5 PROHIBIT 0 SITEPROP TIEOFF_X11Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y5 RPM_X 48 SITEPROP TIEOFF_X11Y5 RPM_Y 10 SITEPROP TIEOFF_X11Y5 SITE_PIPS SITEPROP TIEOFF_X11Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y6 CLASS site SITEPROP TIEOFF_X11Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y6 IS_BONDED 0 SITEPROP TIEOFF_X11Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y6 IS_PAD 0 SITEPROP TIEOFF_X11Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y6 IS_RESERVED 0 SITEPROP TIEOFF_X11Y6 IS_TEST 0 SITEPROP TIEOFF_X11Y6 IS_USED 0 SITEPROP TIEOFF_X11Y6 MANUAL_ROUTING SITEPROP TIEOFF_X11Y6 NAME TIEOFF_X11Y6 SITEPROP TIEOFF_X11Y6 NUM_ARCS 0 SITEPROP TIEOFF_X11Y6 NUM_BELS 2 SITEPROP TIEOFF_X11Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y6 NUM_PINS 2 SITEPROP TIEOFF_X11Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y6 PROHIBIT 0 SITEPROP TIEOFF_X11Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y6 RPM_X 48 SITEPROP TIEOFF_X11Y6 RPM_Y 12 SITEPROP TIEOFF_X11Y6 SITE_PIPS SITEPROP TIEOFF_X11Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y7 CLASS site SITEPROP TIEOFF_X11Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y7 IS_BONDED 0 SITEPROP TIEOFF_X11Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y7 IS_PAD 0 SITEPROP TIEOFF_X11Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y7 IS_RESERVED 0 SITEPROP TIEOFF_X11Y7 IS_TEST 0 SITEPROP TIEOFF_X11Y7 IS_USED 0 SITEPROP TIEOFF_X11Y7 MANUAL_ROUTING SITEPROP TIEOFF_X11Y7 NAME TIEOFF_X11Y7 SITEPROP TIEOFF_X11Y7 NUM_ARCS 0 SITEPROP TIEOFF_X11Y7 NUM_BELS 2 SITEPROP TIEOFF_X11Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y7 NUM_PINS 2 SITEPROP TIEOFF_X11Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y7 PROHIBIT 0 SITEPROP TIEOFF_X11Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y7 RPM_X 48 SITEPROP TIEOFF_X11Y7 RPM_Y 14 SITEPROP TIEOFF_X11Y7 SITE_PIPS SITEPROP TIEOFF_X11Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y8 CLASS site SITEPROP TIEOFF_X11Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y8 IS_BONDED 0 SITEPROP TIEOFF_X11Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y8 IS_PAD 0 SITEPROP TIEOFF_X11Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y8 IS_RESERVED 0 SITEPROP TIEOFF_X11Y8 IS_TEST 0 SITEPROP TIEOFF_X11Y8 IS_USED 0 SITEPROP TIEOFF_X11Y8 MANUAL_ROUTING SITEPROP TIEOFF_X11Y8 NAME TIEOFF_X11Y8 SITEPROP TIEOFF_X11Y8 NUM_ARCS 0 SITEPROP TIEOFF_X11Y8 NUM_BELS 2 SITEPROP TIEOFF_X11Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y8 NUM_PINS 2 SITEPROP TIEOFF_X11Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y8 PROHIBIT 0 SITEPROP TIEOFF_X11Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y8 RPM_X 48 SITEPROP TIEOFF_X11Y8 RPM_Y 16 SITEPROP TIEOFF_X11Y8 SITE_PIPS SITEPROP TIEOFF_X11Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y9 CLASS site SITEPROP TIEOFF_X11Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y9 IS_BONDED 0 SITEPROP TIEOFF_X11Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y9 IS_PAD 0 SITEPROP TIEOFF_X11Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y9 IS_RESERVED 0 SITEPROP TIEOFF_X11Y9 IS_TEST 0 SITEPROP TIEOFF_X11Y9 IS_USED 0 SITEPROP TIEOFF_X11Y9 MANUAL_ROUTING SITEPROP TIEOFF_X11Y9 NAME TIEOFF_X11Y9 SITEPROP TIEOFF_X11Y9 NUM_ARCS 0 SITEPROP TIEOFF_X11Y9 NUM_BELS 2 SITEPROP TIEOFF_X11Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y9 NUM_PINS 2 SITEPROP TIEOFF_X11Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y9 PROHIBIT 0 SITEPROP TIEOFF_X11Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y9 RPM_X 48 SITEPROP TIEOFF_X11Y9 RPM_Y 18 SITEPROP TIEOFF_X11Y9 SITE_PIPS SITEPROP TIEOFF_X11Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y10 CLASS site SITEPROP TIEOFF_X11Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y10 IS_BONDED 0 SITEPROP TIEOFF_X11Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y10 IS_PAD 0 SITEPROP TIEOFF_X11Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y10 IS_RESERVED 0 SITEPROP TIEOFF_X11Y10 IS_TEST 0 SITEPROP TIEOFF_X11Y10 IS_USED 0 SITEPROP TIEOFF_X11Y10 MANUAL_ROUTING SITEPROP TIEOFF_X11Y10 NAME TIEOFF_X11Y10 SITEPROP TIEOFF_X11Y10 NUM_ARCS 0 SITEPROP TIEOFF_X11Y10 NUM_BELS 2 SITEPROP TIEOFF_X11Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y10 NUM_PINS 2 SITEPROP TIEOFF_X11Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y10 PROHIBIT 0 SITEPROP TIEOFF_X11Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y10 RPM_X 48 SITEPROP TIEOFF_X11Y10 RPM_Y 20 SITEPROP TIEOFF_X11Y10 SITE_PIPS SITEPROP TIEOFF_X11Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y11 CLASS site SITEPROP TIEOFF_X11Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y11 IS_BONDED 0 SITEPROP TIEOFF_X11Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y11 IS_PAD 0 SITEPROP TIEOFF_X11Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y11 IS_RESERVED 0 SITEPROP TIEOFF_X11Y11 IS_TEST 0 SITEPROP TIEOFF_X11Y11 IS_USED 0 SITEPROP TIEOFF_X11Y11 MANUAL_ROUTING SITEPROP TIEOFF_X11Y11 NAME TIEOFF_X11Y11 SITEPROP TIEOFF_X11Y11 NUM_ARCS 0 SITEPROP TIEOFF_X11Y11 NUM_BELS 2 SITEPROP TIEOFF_X11Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y11 NUM_PINS 2 SITEPROP TIEOFF_X11Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y11 PROHIBIT 0 SITEPROP TIEOFF_X11Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y11 RPM_X 48 SITEPROP TIEOFF_X11Y11 RPM_Y 22 SITEPROP TIEOFF_X11Y11 SITE_PIPS SITEPROP TIEOFF_X11Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y12 CLASS site SITEPROP TIEOFF_X11Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y12 IS_BONDED 0 SITEPROP TIEOFF_X11Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y12 IS_PAD 0 SITEPROP TIEOFF_X11Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y12 IS_RESERVED 0 SITEPROP TIEOFF_X11Y12 IS_TEST 0 SITEPROP TIEOFF_X11Y12 IS_USED 0 SITEPROP TIEOFF_X11Y12 MANUAL_ROUTING SITEPROP TIEOFF_X11Y12 NAME TIEOFF_X11Y12 SITEPROP TIEOFF_X11Y12 NUM_ARCS 0 SITEPROP TIEOFF_X11Y12 NUM_BELS 2 SITEPROP TIEOFF_X11Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y12 NUM_PINS 2 SITEPROP TIEOFF_X11Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y12 PROHIBIT 0 SITEPROP TIEOFF_X11Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y12 RPM_X 48 SITEPROP TIEOFF_X11Y12 RPM_Y 24 SITEPROP TIEOFF_X11Y12 SITE_PIPS SITEPROP TIEOFF_X11Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y13 CLASS site SITEPROP TIEOFF_X11Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y13 IS_BONDED 0 SITEPROP TIEOFF_X11Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y13 IS_PAD 0 SITEPROP TIEOFF_X11Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y13 IS_RESERVED 0 SITEPROP TIEOFF_X11Y13 IS_TEST 0 SITEPROP TIEOFF_X11Y13 IS_USED 0 SITEPROP TIEOFF_X11Y13 MANUAL_ROUTING SITEPROP TIEOFF_X11Y13 NAME TIEOFF_X11Y13 SITEPROP TIEOFF_X11Y13 NUM_ARCS 0 SITEPROP TIEOFF_X11Y13 NUM_BELS 2 SITEPROP TIEOFF_X11Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y13 NUM_PINS 2 SITEPROP TIEOFF_X11Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y13 PROHIBIT 0 SITEPROP TIEOFF_X11Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y13 RPM_X 48 SITEPROP TIEOFF_X11Y13 RPM_Y 26 SITEPROP TIEOFF_X11Y13 SITE_PIPS SITEPROP TIEOFF_X11Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y14 CLASS site SITEPROP TIEOFF_X11Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y14 IS_BONDED 0 SITEPROP TIEOFF_X11Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y14 IS_PAD 0 SITEPROP TIEOFF_X11Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y14 IS_RESERVED 0 SITEPROP TIEOFF_X11Y14 IS_TEST 0 SITEPROP TIEOFF_X11Y14 IS_USED 0 SITEPROP TIEOFF_X11Y14 MANUAL_ROUTING SITEPROP TIEOFF_X11Y14 NAME TIEOFF_X11Y14 SITEPROP TIEOFF_X11Y14 NUM_ARCS 0 SITEPROP TIEOFF_X11Y14 NUM_BELS 2 SITEPROP TIEOFF_X11Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y14 NUM_PINS 2 SITEPROP TIEOFF_X11Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y14 PROHIBIT 0 SITEPROP TIEOFF_X11Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y14 RPM_X 48 SITEPROP TIEOFF_X11Y14 RPM_Y 28 SITEPROP TIEOFF_X11Y14 SITE_PIPS SITEPROP TIEOFF_X11Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y15 CLASS site SITEPROP TIEOFF_X11Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y15 IS_BONDED 0 SITEPROP TIEOFF_X11Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y15 IS_PAD 0 SITEPROP TIEOFF_X11Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y15 IS_RESERVED 0 SITEPROP TIEOFF_X11Y15 IS_TEST 0 SITEPROP TIEOFF_X11Y15 IS_USED 0 SITEPROP TIEOFF_X11Y15 MANUAL_ROUTING SITEPROP TIEOFF_X11Y15 NAME TIEOFF_X11Y15 SITEPROP TIEOFF_X11Y15 NUM_ARCS 0 SITEPROP TIEOFF_X11Y15 NUM_BELS 2 SITEPROP TIEOFF_X11Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y15 NUM_PINS 2 SITEPROP TIEOFF_X11Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y15 PROHIBIT 0 SITEPROP TIEOFF_X11Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y15 RPM_X 48 SITEPROP TIEOFF_X11Y15 RPM_Y 30 SITEPROP TIEOFF_X11Y15 SITE_PIPS SITEPROP TIEOFF_X11Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y16 CLASS site SITEPROP TIEOFF_X11Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y16 IS_BONDED 0 SITEPROP TIEOFF_X11Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y16 IS_PAD 0 SITEPROP TIEOFF_X11Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y16 IS_RESERVED 0 SITEPROP TIEOFF_X11Y16 IS_TEST 0 SITEPROP TIEOFF_X11Y16 IS_USED 0 SITEPROP TIEOFF_X11Y16 MANUAL_ROUTING SITEPROP TIEOFF_X11Y16 NAME TIEOFF_X11Y16 SITEPROP TIEOFF_X11Y16 NUM_ARCS 0 SITEPROP TIEOFF_X11Y16 NUM_BELS 2 SITEPROP TIEOFF_X11Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y16 NUM_PINS 2 SITEPROP TIEOFF_X11Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y16 PROHIBIT 0 SITEPROP TIEOFF_X11Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y16 RPM_X 48 SITEPROP TIEOFF_X11Y16 RPM_Y 32 SITEPROP TIEOFF_X11Y16 SITE_PIPS SITEPROP TIEOFF_X11Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y17 CLASS site SITEPROP TIEOFF_X11Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y17 IS_BONDED 0 SITEPROP TIEOFF_X11Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y17 IS_PAD 0 SITEPROP TIEOFF_X11Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y17 IS_RESERVED 0 SITEPROP TIEOFF_X11Y17 IS_TEST 0 SITEPROP TIEOFF_X11Y17 IS_USED 0 SITEPROP TIEOFF_X11Y17 MANUAL_ROUTING SITEPROP TIEOFF_X11Y17 NAME TIEOFF_X11Y17 SITEPROP TIEOFF_X11Y17 NUM_ARCS 0 SITEPROP TIEOFF_X11Y17 NUM_BELS 2 SITEPROP TIEOFF_X11Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y17 NUM_PINS 2 SITEPROP TIEOFF_X11Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y17 PROHIBIT 0 SITEPROP TIEOFF_X11Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y17 RPM_X 48 SITEPROP TIEOFF_X11Y17 RPM_Y 34 SITEPROP TIEOFF_X11Y17 SITE_PIPS SITEPROP TIEOFF_X11Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y18 CLASS site SITEPROP TIEOFF_X11Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y18 IS_BONDED 0 SITEPROP TIEOFF_X11Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y18 IS_PAD 0 SITEPROP TIEOFF_X11Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y18 IS_RESERVED 0 SITEPROP TIEOFF_X11Y18 IS_TEST 0 SITEPROP TIEOFF_X11Y18 IS_USED 0 SITEPROP TIEOFF_X11Y18 MANUAL_ROUTING SITEPROP TIEOFF_X11Y18 NAME TIEOFF_X11Y18 SITEPROP TIEOFF_X11Y18 NUM_ARCS 0 SITEPROP TIEOFF_X11Y18 NUM_BELS 2 SITEPROP TIEOFF_X11Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y18 NUM_PINS 2 SITEPROP TIEOFF_X11Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y18 PROHIBIT 0 SITEPROP TIEOFF_X11Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y18 RPM_X 48 SITEPROP TIEOFF_X11Y18 RPM_Y 36 SITEPROP TIEOFF_X11Y18 SITE_PIPS SITEPROP TIEOFF_X11Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y19 CLASS site SITEPROP TIEOFF_X11Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y19 IS_BONDED 0 SITEPROP TIEOFF_X11Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y19 IS_PAD 0 SITEPROP TIEOFF_X11Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y19 IS_RESERVED 0 SITEPROP TIEOFF_X11Y19 IS_TEST 0 SITEPROP TIEOFF_X11Y19 IS_USED 0 SITEPROP TIEOFF_X11Y19 MANUAL_ROUTING SITEPROP TIEOFF_X11Y19 NAME TIEOFF_X11Y19 SITEPROP TIEOFF_X11Y19 NUM_ARCS 0 SITEPROP TIEOFF_X11Y19 NUM_BELS 2 SITEPROP TIEOFF_X11Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y19 NUM_PINS 2 SITEPROP TIEOFF_X11Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y19 PROHIBIT 0 SITEPROP TIEOFF_X11Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y19 RPM_X 48 SITEPROP TIEOFF_X11Y19 RPM_Y 38 SITEPROP TIEOFF_X11Y19 SITE_PIPS SITEPROP TIEOFF_X11Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y20 CLASS site SITEPROP TIEOFF_X11Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y20 IS_BONDED 0 SITEPROP TIEOFF_X11Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y20 IS_PAD 0 SITEPROP TIEOFF_X11Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y20 IS_RESERVED 0 SITEPROP TIEOFF_X11Y20 IS_TEST 0 SITEPROP TIEOFF_X11Y20 IS_USED 0 SITEPROP TIEOFF_X11Y20 MANUAL_ROUTING SITEPROP TIEOFF_X11Y20 NAME TIEOFF_X11Y20 SITEPROP TIEOFF_X11Y20 NUM_ARCS 0 SITEPROP TIEOFF_X11Y20 NUM_BELS 2 SITEPROP TIEOFF_X11Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y20 NUM_PINS 2 SITEPROP TIEOFF_X11Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y20 PROHIBIT 0 SITEPROP TIEOFF_X11Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y20 RPM_X 48 SITEPROP TIEOFF_X11Y20 RPM_Y 40 SITEPROP TIEOFF_X11Y20 SITE_PIPS SITEPROP TIEOFF_X11Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y21 CLASS site SITEPROP TIEOFF_X11Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y21 IS_BONDED 0 SITEPROP TIEOFF_X11Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y21 IS_PAD 0 SITEPROP TIEOFF_X11Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y21 IS_RESERVED 0 SITEPROP TIEOFF_X11Y21 IS_TEST 0 SITEPROP TIEOFF_X11Y21 IS_USED 0 SITEPROP TIEOFF_X11Y21 MANUAL_ROUTING SITEPROP TIEOFF_X11Y21 NAME TIEOFF_X11Y21 SITEPROP TIEOFF_X11Y21 NUM_ARCS 0 SITEPROP TIEOFF_X11Y21 NUM_BELS 2 SITEPROP TIEOFF_X11Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y21 NUM_PINS 2 SITEPROP TIEOFF_X11Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y21 PROHIBIT 0 SITEPROP TIEOFF_X11Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y21 RPM_X 48 SITEPROP TIEOFF_X11Y21 RPM_Y 42 SITEPROP TIEOFF_X11Y21 SITE_PIPS SITEPROP TIEOFF_X11Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y22 CLASS site SITEPROP TIEOFF_X11Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y22 IS_BONDED 0 SITEPROP TIEOFF_X11Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y22 IS_PAD 0 SITEPROP TIEOFF_X11Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y22 IS_RESERVED 0 SITEPROP TIEOFF_X11Y22 IS_TEST 0 SITEPROP TIEOFF_X11Y22 IS_USED 0 SITEPROP TIEOFF_X11Y22 MANUAL_ROUTING SITEPROP TIEOFF_X11Y22 NAME TIEOFF_X11Y22 SITEPROP TIEOFF_X11Y22 NUM_ARCS 0 SITEPROP TIEOFF_X11Y22 NUM_BELS 2 SITEPROP TIEOFF_X11Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y22 NUM_PINS 2 SITEPROP TIEOFF_X11Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y22 PROHIBIT 0 SITEPROP TIEOFF_X11Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y22 RPM_X 48 SITEPROP TIEOFF_X11Y22 RPM_Y 44 SITEPROP TIEOFF_X11Y22 SITE_PIPS SITEPROP TIEOFF_X11Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y23 CLASS site SITEPROP TIEOFF_X11Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y23 IS_BONDED 0 SITEPROP TIEOFF_X11Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y23 IS_PAD 0 SITEPROP TIEOFF_X11Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y23 IS_RESERVED 0 SITEPROP TIEOFF_X11Y23 IS_TEST 0 SITEPROP TIEOFF_X11Y23 IS_USED 0 SITEPROP TIEOFF_X11Y23 MANUAL_ROUTING SITEPROP TIEOFF_X11Y23 NAME TIEOFF_X11Y23 SITEPROP TIEOFF_X11Y23 NUM_ARCS 0 SITEPROP TIEOFF_X11Y23 NUM_BELS 2 SITEPROP TIEOFF_X11Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y23 NUM_PINS 2 SITEPROP TIEOFF_X11Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y23 PROHIBIT 0 SITEPROP TIEOFF_X11Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y23 RPM_X 48 SITEPROP TIEOFF_X11Y23 RPM_Y 46 SITEPROP TIEOFF_X11Y23 SITE_PIPS SITEPROP TIEOFF_X11Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y24 CLASS site SITEPROP TIEOFF_X11Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y24 IS_BONDED 0 SITEPROP TIEOFF_X11Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y24 IS_PAD 0 SITEPROP TIEOFF_X11Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y24 IS_RESERVED 0 SITEPROP TIEOFF_X11Y24 IS_TEST 0 SITEPROP TIEOFF_X11Y24 IS_USED 0 SITEPROP TIEOFF_X11Y24 MANUAL_ROUTING SITEPROP TIEOFF_X11Y24 NAME TIEOFF_X11Y24 SITEPROP TIEOFF_X11Y24 NUM_ARCS 0 SITEPROP TIEOFF_X11Y24 NUM_BELS 2 SITEPROP TIEOFF_X11Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y24 NUM_PINS 2 SITEPROP TIEOFF_X11Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y24 PROHIBIT 0 SITEPROP TIEOFF_X11Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y24 RPM_X 48 SITEPROP TIEOFF_X11Y24 RPM_Y 48 SITEPROP TIEOFF_X11Y24 SITE_PIPS SITEPROP TIEOFF_X11Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y25 CLASS site SITEPROP TIEOFF_X11Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y25 IS_BONDED 0 SITEPROP TIEOFF_X11Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y25 IS_PAD 0 SITEPROP TIEOFF_X11Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y25 IS_RESERVED 0 SITEPROP TIEOFF_X11Y25 IS_TEST 0 SITEPROP TIEOFF_X11Y25 IS_USED 0 SITEPROP TIEOFF_X11Y25 MANUAL_ROUTING SITEPROP TIEOFF_X11Y25 NAME TIEOFF_X11Y25 SITEPROP TIEOFF_X11Y25 NUM_ARCS 0 SITEPROP TIEOFF_X11Y25 NUM_BELS 2 SITEPROP TIEOFF_X11Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y25 NUM_PINS 2 SITEPROP TIEOFF_X11Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y25 PROHIBIT 0 SITEPROP TIEOFF_X11Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y25 RPM_X 48 SITEPROP TIEOFF_X11Y25 RPM_Y 50 SITEPROP TIEOFF_X11Y25 SITE_PIPS SITEPROP TIEOFF_X11Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y26 CLASS site SITEPROP TIEOFF_X11Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y26 IS_BONDED 0 SITEPROP TIEOFF_X11Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y26 IS_PAD 0 SITEPROP TIEOFF_X11Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y26 IS_RESERVED 0 SITEPROP TIEOFF_X11Y26 IS_TEST 0 SITEPROP TIEOFF_X11Y26 IS_USED 0 SITEPROP TIEOFF_X11Y26 MANUAL_ROUTING SITEPROP TIEOFF_X11Y26 NAME TIEOFF_X11Y26 SITEPROP TIEOFF_X11Y26 NUM_ARCS 0 SITEPROP TIEOFF_X11Y26 NUM_BELS 2 SITEPROP TIEOFF_X11Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y26 NUM_PINS 2 SITEPROP TIEOFF_X11Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y26 PROHIBIT 0 SITEPROP TIEOFF_X11Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y26 RPM_X 48 SITEPROP TIEOFF_X11Y26 RPM_Y 52 SITEPROP TIEOFF_X11Y26 SITE_PIPS SITEPROP TIEOFF_X11Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y27 CLASS site SITEPROP TIEOFF_X11Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y27 IS_BONDED 0 SITEPROP TIEOFF_X11Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y27 IS_PAD 0 SITEPROP TIEOFF_X11Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y27 IS_RESERVED 0 SITEPROP TIEOFF_X11Y27 IS_TEST 0 SITEPROP TIEOFF_X11Y27 IS_USED 0 SITEPROP TIEOFF_X11Y27 MANUAL_ROUTING SITEPROP TIEOFF_X11Y27 NAME TIEOFF_X11Y27 SITEPROP TIEOFF_X11Y27 NUM_ARCS 0 SITEPROP TIEOFF_X11Y27 NUM_BELS 2 SITEPROP TIEOFF_X11Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y27 NUM_PINS 2 SITEPROP TIEOFF_X11Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y27 PROHIBIT 0 SITEPROP TIEOFF_X11Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y27 RPM_X 48 SITEPROP TIEOFF_X11Y27 RPM_Y 54 SITEPROP TIEOFF_X11Y27 SITE_PIPS SITEPROP TIEOFF_X11Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y28 CLASS site SITEPROP TIEOFF_X11Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y28 IS_BONDED 0 SITEPROP TIEOFF_X11Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y28 IS_PAD 0 SITEPROP TIEOFF_X11Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y28 IS_RESERVED 0 SITEPROP TIEOFF_X11Y28 IS_TEST 0 SITEPROP TIEOFF_X11Y28 IS_USED 0 SITEPROP TIEOFF_X11Y28 MANUAL_ROUTING SITEPROP TIEOFF_X11Y28 NAME TIEOFF_X11Y28 SITEPROP TIEOFF_X11Y28 NUM_ARCS 0 SITEPROP TIEOFF_X11Y28 NUM_BELS 2 SITEPROP TIEOFF_X11Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y28 NUM_PINS 2 SITEPROP TIEOFF_X11Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y28 PROHIBIT 0 SITEPROP TIEOFF_X11Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y28 RPM_X 48 SITEPROP TIEOFF_X11Y28 RPM_Y 56 SITEPROP TIEOFF_X11Y28 SITE_PIPS SITEPROP TIEOFF_X11Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y29 CLASS site SITEPROP TIEOFF_X11Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y29 IS_BONDED 0 SITEPROP TIEOFF_X11Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y29 IS_PAD 0 SITEPROP TIEOFF_X11Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y29 IS_RESERVED 0 SITEPROP TIEOFF_X11Y29 IS_TEST 0 SITEPROP TIEOFF_X11Y29 IS_USED 0 SITEPROP TIEOFF_X11Y29 MANUAL_ROUTING SITEPROP TIEOFF_X11Y29 NAME TIEOFF_X11Y29 SITEPROP TIEOFF_X11Y29 NUM_ARCS 0 SITEPROP TIEOFF_X11Y29 NUM_BELS 2 SITEPROP TIEOFF_X11Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y29 NUM_PINS 2 SITEPROP TIEOFF_X11Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y29 PROHIBIT 0 SITEPROP TIEOFF_X11Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y29 RPM_X 48 SITEPROP TIEOFF_X11Y29 RPM_Y 58 SITEPROP TIEOFF_X11Y29 SITE_PIPS SITEPROP TIEOFF_X11Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y30 CLASS site SITEPROP TIEOFF_X11Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y30 IS_BONDED 0 SITEPROP TIEOFF_X11Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y30 IS_PAD 0 SITEPROP TIEOFF_X11Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y30 IS_RESERVED 0 SITEPROP TIEOFF_X11Y30 IS_TEST 0 SITEPROP TIEOFF_X11Y30 IS_USED 0 SITEPROP TIEOFF_X11Y30 MANUAL_ROUTING SITEPROP TIEOFF_X11Y30 NAME TIEOFF_X11Y30 SITEPROP TIEOFF_X11Y30 NUM_ARCS 0 SITEPROP TIEOFF_X11Y30 NUM_BELS 2 SITEPROP TIEOFF_X11Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y30 NUM_PINS 2 SITEPROP TIEOFF_X11Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y30 PROHIBIT 0 SITEPROP TIEOFF_X11Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y30 RPM_X 48 SITEPROP TIEOFF_X11Y30 RPM_Y 60 SITEPROP TIEOFF_X11Y30 SITE_PIPS SITEPROP TIEOFF_X11Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y31 CLASS site SITEPROP TIEOFF_X11Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y31 IS_BONDED 0 SITEPROP TIEOFF_X11Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y31 IS_PAD 0 SITEPROP TIEOFF_X11Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y31 IS_RESERVED 0 SITEPROP TIEOFF_X11Y31 IS_TEST 0 SITEPROP TIEOFF_X11Y31 IS_USED 0 SITEPROP TIEOFF_X11Y31 MANUAL_ROUTING SITEPROP TIEOFF_X11Y31 NAME TIEOFF_X11Y31 SITEPROP TIEOFF_X11Y31 NUM_ARCS 0 SITEPROP TIEOFF_X11Y31 NUM_BELS 2 SITEPROP TIEOFF_X11Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y31 NUM_PINS 2 SITEPROP TIEOFF_X11Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y31 PROHIBIT 0 SITEPROP TIEOFF_X11Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y31 RPM_X 48 SITEPROP TIEOFF_X11Y31 RPM_Y 62 SITEPROP TIEOFF_X11Y31 SITE_PIPS SITEPROP TIEOFF_X11Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y32 CLASS site SITEPROP TIEOFF_X11Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y32 IS_BONDED 0 SITEPROP TIEOFF_X11Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y32 IS_PAD 0 SITEPROP TIEOFF_X11Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y32 IS_RESERVED 0 SITEPROP TIEOFF_X11Y32 IS_TEST 0 SITEPROP TIEOFF_X11Y32 IS_USED 0 SITEPROP TIEOFF_X11Y32 MANUAL_ROUTING SITEPROP TIEOFF_X11Y32 NAME TIEOFF_X11Y32 SITEPROP TIEOFF_X11Y32 NUM_ARCS 0 SITEPROP TIEOFF_X11Y32 NUM_BELS 2 SITEPROP TIEOFF_X11Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y32 NUM_PINS 2 SITEPROP TIEOFF_X11Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y32 PROHIBIT 0 SITEPROP TIEOFF_X11Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y32 RPM_X 48 SITEPROP TIEOFF_X11Y32 RPM_Y 64 SITEPROP TIEOFF_X11Y32 SITE_PIPS SITEPROP TIEOFF_X11Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y33 CLASS site SITEPROP TIEOFF_X11Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y33 IS_BONDED 0 SITEPROP TIEOFF_X11Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y33 IS_PAD 0 SITEPROP TIEOFF_X11Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y33 IS_RESERVED 0 SITEPROP TIEOFF_X11Y33 IS_TEST 0 SITEPROP TIEOFF_X11Y33 IS_USED 0 SITEPROP TIEOFF_X11Y33 MANUAL_ROUTING SITEPROP TIEOFF_X11Y33 NAME TIEOFF_X11Y33 SITEPROP TIEOFF_X11Y33 NUM_ARCS 0 SITEPROP TIEOFF_X11Y33 NUM_BELS 2 SITEPROP TIEOFF_X11Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y33 NUM_PINS 2 SITEPROP TIEOFF_X11Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y33 PROHIBIT 0 SITEPROP TIEOFF_X11Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y33 RPM_X 48 SITEPROP TIEOFF_X11Y33 RPM_Y 66 SITEPROP TIEOFF_X11Y33 SITE_PIPS SITEPROP TIEOFF_X11Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y34 CLASS site SITEPROP TIEOFF_X11Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y34 IS_BONDED 0 SITEPROP TIEOFF_X11Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y34 IS_PAD 0 SITEPROP TIEOFF_X11Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y34 IS_RESERVED 0 SITEPROP TIEOFF_X11Y34 IS_TEST 0 SITEPROP TIEOFF_X11Y34 IS_USED 0 SITEPROP TIEOFF_X11Y34 MANUAL_ROUTING SITEPROP TIEOFF_X11Y34 NAME TIEOFF_X11Y34 SITEPROP TIEOFF_X11Y34 NUM_ARCS 0 SITEPROP TIEOFF_X11Y34 NUM_BELS 2 SITEPROP TIEOFF_X11Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y34 NUM_PINS 2 SITEPROP TIEOFF_X11Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y34 PROHIBIT 0 SITEPROP TIEOFF_X11Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y34 RPM_X 48 SITEPROP TIEOFF_X11Y34 RPM_Y 68 SITEPROP TIEOFF_X11Y34 SITE_PIPS SITEPROP TIEOFF_X11Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y35 CLASS site SITEPROP TIEOFF_X11Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y35 IS_BONDED 0 SITEPROP TIEOFF_X11Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y35 IS_PAD 0 SITEPROP TIEOFF_X11Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y35 IS_RESERVED 0 SITEPROP TIEOFF_X11Y35 IS_TEST 0 SITEPROP TIEOFF_X11Y35 IS_USED 0 SITEPROP TIEOFF_X11Y35 MANUAL_ROUTING SITEPROP TIEOFF_X11Y35 NAME TIEOFF_X11Y35 SITEPROP TIEOFF_X11Y35 NUM_ARCS 0 SITEPROP TIEOFF_X11Y35 NUM_BELS 2 SITEPROP TIEOFF_X11Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y35 NUM_PINS 2 SITEPROP TIEOFF_X11Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y35 PROHIBIT 0 SITEPROP TIEOFF_X11Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y35 RPM_X 48 SITEPROP TIEOFF_X11Y35 RPM_Y 70 SITEPROP TIEOFF_X11Y35 SITE_PIPS SITEPROP TIEOFF_X11Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y36 CLASS site SITEPROP TIEOFF_X11Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y36 IS_BONDED 0 SITEPROP TIEOFF_X11Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y36 IS_PAD 0 SITEPROP TIEOFF_X11Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y36 IS_RESERVED 0 SITEPROP TIEOFF_X11Y36 IS_TEST 0 SITEPROP TIEOFF_X11Y36 IS_USED 0 SITEPROP TIEOFF_X11Y36 MANUAL_ROUTING SITEPROP TIEOFF_X11Y36 NAME TIEOFF_X11Y36 SITEPROP TIEOFF_X11Y36 NUM_ARCS 0 SITEPROP TIEOFF_X11Y36 NUM_BELS 2 SITEPROP TIEOFF_X11Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y36 NUM_PINS 2 SITEPROP TIEOFF_X11Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y36 PROHIBIT 0 SITEPROP TIEOFF_X11Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y36 RPM_X 48 SITEPROP TIEOFF_X11Y36 RPM_Y 72 SITEPROP TIEOFF_X11Y36 SITE_PIPS SITEPROP TIEOFF_X11Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y37 CLASS site SITEPROP TIEOFF_X11Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y37 IS_BONDED 0 SITEPROP TIEOFF_X11Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y37 IS_PAD 0 SITEPROP TIEOFF_X11Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y37 IS_RESERVED 0 SITEPROP TIEOFF_X11Y37 IS_TEST 0 SITEPROP TIEOFF_X11Y37 IS_USED 0 SITEPROP TIEOFF_X11Y37 MANUAL_ROUTING SITEPROP TIEOFF_X11Y37 NAME TIEOFF_X11Y37 SITEPROP TIEOFF_X11Y37 NUM_ARCS 0 SITEPROP TIEOFF_X11Y37 NUM_BELS 2 SITEPROP TIEOFF_X11Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y37 NUM_PINS 2 SITEPROP TIEOFF_X11Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y37 PROHIBIT 0 SITEPROP TIEOFF_X11Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y37 RPM_X 48 SITEPROP TIEOFF_X11Y37 RPM_Y 74 SITEPROP TIEOFF_X11Y37 SITE_PIPS SITEPROP TIEOFF_X11Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y38 CLASS site SITEPROP TIEOFF_X11Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y38 IS_BONDED 0 SITEPROP TIEOFF_X11Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y38 IS_PAD 0 SITEPROP TIEOFF_X11Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y38 IS_RESERVED 0 SITEPROP TIEOFF_X11Y38 IS_TEST 0 SITEPROP TIEOFF_X11Y38 IS_USED 0 SITEPROP TIEOFF_X11Y38 MANUAL_ROUTING SITEPROP TIEOFF_X11Y38 NAME TIEOFF_X11Y38 SITEPROP TIEOFF_X11Y38 NUM_ARCS 0 SITEPROP TIEOFF_X11Y38 NUM_BELS 2 SITEPROP TIEOFF_X11Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y38 NUM_PINS 2 SITEPROP TIEOFF_X11Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y38 PROHIBIT 0 SITEPROP TIEOFF_X11Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y38 RPM_X 48 SITEPROP TIEOFF_X11Y38 RPM_Y 76 SITEPROP TIEOFF_X11Y38 SITE_PIPS SITEPROP TIEOFF_X11Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y39 CLASS site SITEPROP TIEOFF_X11Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y39 IS_BONDED 0 SITEPROP TIEOFF_X11Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y39 IS_PAD 0 SITEPROP TIEOFF_X11Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y39 IS_RESERVED 0 SITEPROP TIEOFF_X11Y39 IS_TEST 0 SITEPROP TIEOFF_X11Y39 IS_USED 0 SITEPROP TIEOFF_X11Y39 MANUAL_ROUTING SITEPROP TIEOFF_X11Y39 NAME TIEOFF_X11Y39 SITEPROP TIEOFF_X11Y39 NUM_ARCS 0 SITEPROP TIEOFF_X11Y39 NUM_BELS 2 SITEPROP TIEOFF_X11Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y39 NUM_PINS 2 SITEPROP TIEOFF_X11Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y39 PROHIBIT 0 SITEPROP TIEOFF_X11Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y39 RPM_X 48 SITEPROP TIEOFF_X11Y39 RPM_Y 78 SITEPROP TIEOFF_X11Y39 SITE_PIPS SITEPROP TIEOFF_X11Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y40 CLASS site SITEPROP TIEOFF_X11Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y40 IS_BONDED 0 SITEPROP TIEOFF_X11Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y40 IS_PAD 0 SITEPROP TIEOFF_X11Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y40 IS_RESERVED 0 SITEPROP TIEOFF_X11Y40 IS_TEST 0 SITEPROP TIEOFF_X11Y40 IS_USED 0 SITEPROP TIEOFF_X11Y40 MANUAL_ROUTING SITEPROP TIEOFF_X11Y40 NAME TIEOFF_X11Y40 SITEPROP TIEOFF_X11Y40 NUM_ARCS 0 SITEPROP TIEOFF_X11Y40 NUM_BELS 2 SITEPROP TIEOFF_X11Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y40 NUM_PINS 2 SITEPROP TIEOFF_X11Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y40 PROHIBIT 0 SITEPROP TIEOFF_X11Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y40 RPM_X 48 SITEPROP TIEOFF_X11Y40 RPM_Y 80 SITEPROP TIEOFF_X11Y40 SITE_PIPS SITEPROP TIEOFF_X11Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y41 CLASS site SITEPROP TIEOFF_X11Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y41 IS_BONDED 0 SITEPROP TIEOFF_X11Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y41 IS_PAD 0 SITEPROP TIEOFF_X11Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y41 IS_RESERVED 0 SITEPROP TIEOFF_X11Y41 IS_TEST 0 SITEPROP TIEOFF_X11Y41 IS_USED 0 SITEPROP TIEOFF_X11Y41 MANUAL_ROUTING SITEPROP TIEOFF_X11Y41 NAME TIEOFF_X11Y41 SITEPROP TIEOFF_X11Y41 NUM_ARCS 0 SITEPROP TIEOFF_X11Y41 NUM_BELS 2 SITEPROP TIEOFF_X11Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y41 NUM_PINS 2 SITEPROP TIEOFF_X11Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y41 PROHIBIT 0 SITEPROP TIEOFF_X11Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y41 RPM_X 48 SITEPROP TIEOFF_X11Y41 RPM_Y 82 SITEPROP TIEOFF_X11Y41 SITE_PIPS SITEPROP TIEOFF_X11Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y42 CLASS site SITEPROP TIEOFF_X11Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y42 IS_BONDED 0 SITEPROP TIEOFF_X11Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y42 IS_PAD 0 SITEPROP TIEOFF_X11Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y42 IS_RESERVED 0 SITEPROP TIEOFF_X11Y42 IS_TEST 0 SITEPROP TIEOFF_X11Y42 IS_USED 0 SITEPROP TIEOFF_X11Y42 MANUAL_ROUTING SITEPROP TIEOFF_X11Y42 NAME TIEOFF_X11Y42 SITEPROP TIEOFF_X11Y42 NUM_ARCS 0 SITEPROP TIEOFF_X11Y42 NUM_BELS 2 SITEPROP TIEOFF_X11Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y42 NUM_PINS 2 SITEPROP TIEOFF_X11Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y42 PROHIBIT 0 SITEPROP TIEOFF_X11Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y42 RPM_X 48 SITEPROP TIEOFF_X11Y42 RPM_Y 84 SITEPROP TIEOFF_X11Y42 SITE_PIPS SITEPROP TIEOFF_X11Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y43 CLASS site SITEPROP TIEOFF_X11Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y43 IS_BONDED 0 SITEPROP TIEOFF_X11Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y43 IS_PAD 0 SITEPROP TIEOFF_X11Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y43 IS_RESERVED 0 SITEPROP TIEOFF_X11Y43 IS_TEST 0 SITEPROP TIEOFF_X11Y43 IS_USED 0 SITEPROP TIEOFF_X11Y43 MANUAL_ROUTING SITEPROP TIEOFF_X11Y43 NAME TIEOFF_X11Y43 SITEPROP TIEOFF_X11Y43 NUM_ARCS 0 SITEPROP TIEOFF_X11Y43 NUM_BELS 2 SITEPROP TIEOFF_X11Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y43 NUM_PINS 2 SITEPROP TIEOFF_X11Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y43 PROHIBIT 0 SITEPROP TIEOFF_X11Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y43 RPM_X 48 SITEPROP TIEOFF_X11Y43 RPM_Y 86 SITEPROP TIEOFF_X11Y43 SITE_PIPS SITEPROP TIEOFF_X11Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y44 CLASS site SITEPROP TIEOFF_X11Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y44 IS_BONDED 0 SITEPROP TIEOFF_X11Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y44 IS_PAD 0 SITEPROP TIEOFF_X11Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y44 IS_RESERVED 0 SITEPROP TIEOFF_X11Y44 IS_TEST 0 SITEPROP TIEOFF_X11Y44 IS_USED 0 SITEPROP TIEOFF_X11Y44 MANUAL_ROUTING SITEPROP TIEOFF_X11Y44 NAME TIEOFF_X11Y44 SITEPROP TIEOFF_X11Y44 NUM_ARCS 0 SITEPROP TIEOFF_X11Y44 NUM_BELS 2 SITEPROP TIEOFF_X11Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y44 NUM_PINS 2 SITEPROP TIEOFF_X11Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y44 PROHIBIT 0 SITEPROP TIEOFF_X11Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y44 RPM_X 48 SITEPROP TIEOFF_X11Y44 RPM_Y 88 SITEPROP TIEOFF_X11Y44 SITE_PIPS SITEPROP TIEOFF_X11Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y45 CLASS site SITEPROP TIEOFF_X11Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y45 IS_BONDED 0 SITEPROP TIEOFF_X11Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y45 IS_PAD 0 SITEPROP TIEOFF_X11Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y45 IS_RESERVED 0 SITEPROP TIEOFF_X11Y45 IS_TEST 0 SITEPROP TIEOFF_X11Y45 IS_USED 0 SITEPROP TIEOFF_X11Y45 MANUAL_ROUTING SITEPROP TIEOFF_X11Y45 NAME TIEOFF_X11Y45 SITEPROP TIEOFF_X11Y45 NUM_ARCS 0 SITEPROP TIEOFF_X11Y45 NUM_BELS 2 SITEPROP TIEOFF_X11Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y45 NUM_PINS 2 SITEPROP TIEOFF_X11Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y45 PROHIBIT 0 SITEPROP TIEOFF_X11Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y45 RPM_X 48 SITEPROP TIEOFF_X11Y45 RPM_Y 90 SITEPROP TIEOFF_X11Y45 SITE_PIPS SITEPROP TIEOFF_X11Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y46 CLASS site SITEPROP TIEOFF_X11Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y46 IS_BONDED 0 SITEPROP TIEOFF_X11Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y46 IS_PAD 0 SITEPROP TIEOFF_X11Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y46 IS_RESERVED 0 SITEPROP TIEOFF_X11Y46 IS_TEST 0 SITEPROP TIEOFF_X11Y46 IS_USED 0 SITEPROP TIEOFF_X11Y46 MANUAL_ROUTING SITEPROP TIEOFF_X11Y46 NAME TIEOFF_X11Y46 SITEPROP TIEOFF_X11Y46 NUM_ARCS 0 SITEPROP TIEOFF_X11Y46 NUM_BELS 2 SITEPROP TIEOFF_X11Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y46 NUM_PINS 2 SITEPROP TIEOFF_X11Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y46 PROHIBIT 0 SITEPROP TIEOFF_X11Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y46 RPM_X 48 SITEPROP TIEOFF_X11Y46 RPM_Y 92 SITEPROP TIEOFF_X11Y46 SITE_PIPS SITEPROP TIEOFF_X11Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y47 CLASS site SITEPROP TIEOFF_X11Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y47 IS_BONDED 0 SITEPROP TIEOFF_X11Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y47 IS_PAD 0 SITEPROP TIEOFF_X11Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y47 IS_RESERVED 0 SITEPROP TIEOFF_X11Y47 IS_TEST 0 SITEPROP TIEOFF_X11Y47 IS_USED 0 SITEPROP TIEOFF_X11Y47 MANUAL_ROUTING SITEPROP TIEOFF_X11Y47 NAME TIEOFF_X11Y47 SITEPROP TIEOFF_X11Y47 NUM_ARCS 0 SITEPROP TIEOFF_X11Y47 NUM_BELS 2 SITEPROP TIEOFF_X11Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y47 NUM_PINS 2 SITEPROP TIEOFF_X11Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y47 PROHIBIT 0 SITEPROP TIEOFF_X11Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y47 RPM_X 48 SITEPROP TIEOFF_X11Y47 RPM_Y 94 SITEPROP TIEOFF_X11Y47 SITE_PIPS SITEPROP TIEOFF_X11Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y48 CLASS site SITEPROP TIEOFF_X11Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y48 IS_BONDED 0 SITEPROP TIEOFF_X11Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y48 IS_PAD 0 SITEPROP TIEOFF_X11Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y48 IS_RESERVED 0 SITEPROP TIEOFF_X11Y48 IS_TEST 0 SITEPROP TIEOFF_X11Y48 IS_USED 0 SITEPROP TIEOFF_X11Y48 MANUAL_ROUTING SITEPROP TIEOFF_X11Y48 NAME TIEOFF_X11Y48 SITEPROP TIEOFF_X11Y48 NUM_ARCS 0 SITEPROP TIEOFF_X11Y48 NUM_BELS 2 SITEPROP TIEOFF_X11Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y48 NUM_PINS 2 SITEPROP TIEOFF_X11Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y48 PROHIBIT 0 SITEPROP TIEOFF_X11Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y48 RPM_X 48 SITEPROP TIEOFF_X11Y48 RPM_Y 96 SITEPROP TIEOFF_X11Y48 SITE_PIPS SITEPROP TIEOFF_X11Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y49 CLASS site SITEPROP TIEOFF_X11Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X11Y49 IS_BONDED 0 SITEPROP TIEOFF_X11Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y49 IS_PAD 0 SITEPROP TIEOFF_X11Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y49 IS_RESERVED 0 SITEPROP TIEOFF_X11Y49 IS_TEST 0 SITEPROP TIEOFF_X11Y49 IS_USED 0 SITEPROP TIEOFF_X11Y49 MANUAL_ROUTING SITEPROP TIEOFF_X11Y49 NAME TIEOFF_X11Y49 SITEPROP TIEOFF_X11Y49 NUM_ARCS 0 SITEPROP TIEOFF_X11Y49 NUM_BELS 2 SITEPROP TIEOFF_X11Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y49 NUM_PINS 2 SITEPROP TIEOFF_X11Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y49 PROHIBIT 0 SITEPROP TIEOFF_X11Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y49 RPM_X 48 SITEPROP TIEOFF_X11Y49 RPM_Y 98 SITEPROP TIEOFF_X11Y49 SITE_PIPS SITEPROP TIEOFF_X11Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y50 CLASS site SITEPROP TIEOFF_X11Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y50 IS_BONDED 0 SITEPROP TIEOFF_X11Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y50 IS_PAD 0 SITEPROP TIEOFF_X11Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y50 IS_RESERVED 0 SITEPROP TIEOFF_X11Y50 IS_TEST 0 SITEPROP TIEOFF_X11Y50 IS_USED 0 SITEPROP TIEOFF_X11Y50 MANUAL_ROUTING SITEPROP TIEOFF_X11Y50 NAME TIEOFF_X11Y50 SITEPROP TIEOFF_X11Y50 NUM_ARCS 0 SITEPROP TIEOFF_X11Y50 NUM_BELS 2 SITEPROP TIEOFF_X11Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y50 NUM_PINS 2 SITEPROP TIEOFF_X11Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y50 PROHIBIT 0 SITEPROP TIEOFF_X11Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y50 RPM_X 48 SITEPROP TIEOFF_X11Y50 RPM_Y 100 SITEPROP TIEOFF_X11Y50 SITE_PIPS SITEPROP TIEOFF_X11Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y51 CLASS site SITEPROP TIEOFF_X11Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y51 IS_BONDED 0 SITEPROP TIEOFF_X11Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y51 IS_PAD 0 SITEPROP TIEOFF_X11Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y51 IS_RESERVED 0 SITEPROP TIEOFF_X11Y51 IS_TEST 0 SITEPROP TIEOFF_X11Y51 IS_USED 0 SITEPROP TIEOFF_X11Y51 MANUAL_ROUTING SITEPROP TIEOFF_X11Y51 NAME TIEOFF_X11Y51 SITEPROP TIEOFF_X11Y51 NUM_ARCS 0 SITEPROP TIEOFF_X11Y51 NUM_BELS 2 SITEPROP TIEOFF_X11Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y51 NUM_PINS 2 SITEPROP TIEOFF_X11Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y51 PROHIBIT 0 SITEPROP TIEOFF_X11Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y51 RPM_X 48 SITEPROP TIEOFF_X11Y51 RPM_Y 102 SITEPROP TIEOFF_X11Y51 SITE_PIPS SITEPROP TIEOFF_X11Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y52 CLASS site SITEPROP TIEOFF_X11Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y52 IS_BONDED 0 SITEPROP TIEOFF_X11Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y52 IS_PAD 0 SITEPROP TIEOFF_X11Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y52 IS_RESERVED 0 SITEPROP TIEOFF_X11Y52 IS_TEST 0 SITEPROP TIEOFF_X11Y52 IS_USED 0 SITEPROP TIEOFF_X11Y52 MANUAL_ROUTING SITEPROP TIEOFF_X11Y52 NAME TIEOFF_X11Y52 SITEPROP TIEOFF_X11Y52 NUM_ARCS 0 SITEPROP TIEOFF_X11Y52 NUM_BELS 2 SITEPROP TIEOFF_X11Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y52 NUM_PINS 2 SITEPROP TIEOFF_X11Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y52 PROHIBIT 0 SITEPROP TIEOFF_X11Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y52 RPM_X 48 SITEPROP TIEOFF_X11Y52 RPM_Y 104 SITEPROP TIEOFF_X11Y52 SITE_PIPS SITEPROP TIEOFF_X11Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y53 CLASS site SITEPROP TIEOFF_X11Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y53 IS_BONDED 0 SITEPROP TIEOFF_X11Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y53 IS_PAD 0 SITEPROP TIEOFF_X11Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y53 IS_RESERVED 0 SITEPROP TIEOFF_X11Y53 IS_TEST 0 SITEPROP TIEOFF_X11Y53 IS_USED 0 SITEPROP TIEOFF_X11Y53 MANUAL_ROUTING SITEPROP TIEOFF_X11Y53 NAME TIEOFF_X11Y53 SITEPROP TIEOFF_X11Y53 NUM_ARCS 0 SITEPROP TIEOFF_X11Y53 NUM_BELS 2 SITEPROP TIEOFF_X11Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y53 NUM_PINS 2 SITEPROP TIEOFF_X11Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y53 PROHIBIT 0 SITEPROP TIEOFF_X11Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y53 RPM_X 48 SITEPROP TIEOFF_X11Y53 RPM_Y 106 SITEPROP TIEOFF_X11Y53 SITE_PIPS SITEPROP TIEOFF_X11Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y54 CLASS site SITEPROP TIEOFF_X11Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y54 IS_BONDED 0 SITEPROP TIEOFF_X11Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y54 IS_PAD 0 SITEPROP TIEOFF_X11Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y54 IS_RESERVED 0 SITEPROP TIEOFF_X11Y54 IS_TEST 0 SITEPROP TIEOFF_X11Y54 IS_USED 0 SITEPROP TIEOFF_X11Y54 MANUAL_ROUTING SITEPROP TIEOFF_X11Y54 NAME TIEOFF_X11Y54 SITEPROP TIEOFF_X11Y54 NUM_ARCS 0 SITEPROP TIEOFF_X11Y54 NUM_BELS 2 SITEPROP TIEOFF_X11Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y54 NUM_PINS 2 SITEPROP TIEOFF_X11Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y54 PROHIBIT 0 SITEPROP TIEOFF_X11Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y54 RPM_X 48 SITEPROP TIEOFF_X11Y54 RPM_Y 108 SITEPROP TIEOFF_X11Y54 SITE_PIPS SITEPROP TIEOFF_X11Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y55 CLASS site SITEPROP TIEOFF_X11Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y55 IS_BONDED 0 SITEPROP TIEOFF_X11Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y55 IS_PAD 0 SITEPROP TIEOFF_X11Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y55 IS_RESERVED 0 SITEPROP TIEOFF_X11Y55 IS_TEST 0 SITEPROP TIEOFF_X11Y55 IS_USED 0 SITEPROP TIEOFF_X11Y55 MANUAL_ROUTING SITEPROP TIEOFF_X11Y55 NAME TIEOFF_X11Y55 SITEPROP TIEOFF_X11Y55 NUM_ARCS 0 SITEPROP TIEOFF_X11Y55 NUM_BELS 2 SITEPROP TIEOFF_X11Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y55 NUM_PINS 2 SITEPROP TIEOFF_X11Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y55 PROHIBIT 0 SITEPROP TIEOFF_X11Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y55 RPM_X 48 SITEPROP TIEOFF_X11Y55 RPM_Y 110 SITEPROP TIEOFF_X11Y55 SITE_PIPS SITEPROP TIEOFF_X11Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y56 CLASS site SITEPROP TIEOFF_X11Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y56 IS_BONDED 0 SITEPROP TIEOFF_X11Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y56 IS_PAD 0 SITEPROP TIEOFF_X11Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y56 IS_RESERVED 0 SITEPROP TIEOFF_X11Y56 IS_TEST 0 SITEPROP TIEOFF_X11Y56 IS_USED 0 SITEPROP TIEOFF_X11Y56 MANUAL_ROUTING SITEPROP TIEOFF_X11Y56 NAME TIEOFF_X11Y56 SITEPROP TIEOFF_X11Y56 NUM_ARCS 0 SITEPROP TIEOFF_X11Y56 NUM_BELS 2 SITEPROP TIEOFF_X11Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y56 NUM_PINS 2 SITEPROP TIEOFF_X11Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y56 PROHIBIT 0 SITEPROP TIEOFF_X11Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y56 RPM_X 48 SITEPROP TIEOFF_X11Y56 RPM_Y 112 SITEPROP TIEOFF_X11Y56 SITE_PIPS SITEPROP TIEOFF_X11Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y57 CLASS site SITEPROP TIEOFF_X11Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y57 IS_BONDED 0 SITEPROP TIEOFF_X11Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y57 IS_PAD 0 SITEPROP TIEOFF_X11Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y57 IS_RESERVED 0 SITEPROP TIEOFF_X11Y57 IS_TEST 0 SITEPROP TIEOFF_X11Y57 IS_USED 0 SITEPROP TIEOFF_X11Y57 MANUAL_ROUTING SITEPROP TIEOFF_X11Y57 NAME TIEOFF_X11Y57 SITEPROP TIEOFF_X11Y57 NUM_ARCS 0 SITEPROP TIEOFF_X11Y57 NUM_BELS 2 SITEPROP TIEOFF_X11Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y57 NUM_PINS 2 SITEPROP TIEOFF_X11Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y57 PROHIBIT 0 SITEPROP TIEOFF_X11Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y57 RPM_X 48 SITEPROP TIEOFF_X11Y57 RPM_Y 114 SITEPROP TIEOFF_X11Y57 SITE_PIPS SITEPROP TIEOFF_X11Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y58 CLASS site SITEPROP TIEOFF_X11Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y58 IS_BONDED 0 SITEPROP TIEOFF_X11Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y58 IS_PAD 0 SITEPROP TIEOFF_X11Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y58 IS_RESERVED 0 SITEPROP TIEOFF_X11Y58 IS_TEST 0 SITEPROP TIEOFF_X11Y58 IS_USED 0 SITEPROP TIEOFF_X11Y58 MANUAL_ROUTING SITEPROP TIEOFF_X11Y58 NAME TIEOFF_X11Y58 SITEPROP TIEOFF_X11Y58 NUM_ARCS 0 SITEPROP TIEOFF_X11Y58 NUM_BELS 2 SITEPROP TIEOFF_X11Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y58 NUM_PINS 2 SITEPROP TIEOFF_X11Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y58 PROHIBIT 0 SITEPROP TIEOFF_X11Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y58 RPM_X 48 SITEPROP TIEOFF_X11Y58 RPM_Y 116 SITEPROP TIEOFF_X11Y58 SITE_PIPS SITEPROP TIEOFF_X11Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y59 CLASS site SITEPROP TIEOFF_X11Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y59 IS_BONDED 0 SITEPROP TIEOFF_X11Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y59 IS_PAD 0 SITEPROP TIEOFF_X11Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y59 IS_RESERVED 0 SITEPROP TIEOFF_X11Y59 IS_TEST 0 SITEPROP TIEOFF_X11Y59 IS_USED 0 SITEPROP TIEOFF_X11Y59 MANUAL_ROUTING SITEPROP TIEOFF_X11Y59 NAME TIEOFF_X11Y59 SITEPROP TIEOFF_X11Y59 NUM_ARCS 0 SITEPROP TIEOFF_X11Y59 NUM_BELS 2 SITEPROP TIEOFF_X11Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y59 NUM_PINS 2 SITEPROP TIEOFF_X11Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y59 PROHIBIT 0 SITEPROP TIEOFF_X11Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y59 RPM_X 48 SITEPROP TIEOFF_X11Y59 RPM_Y 118 SITEPROP TIEOFF_X11Y59 SITE_PIPS SITEPROP TIEOFF_X11Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y60 CLASS site SITEPROP TIEOFF_X11Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y60 IS_BONDED 0 SITEPROP TIEOFF_X11Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y60 IS_PAD 0 SITEPROP TIEOFF_X11Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y60 IS_RESERVED 0 SITEPROP TIEOFF_X11Y60 IS_TEST 0 SITEPROP TIEOFF_X11Y60 IS_USED 0 SITEPROP TIEOFF_X11Y60 MANUAL_ROUTING SITEPROP TIEOFF_X11Y60 NAME TIEOFF_X11Y60 SITEPROP TIEOFF_X11Y60 NUM_ARCS 0 SITEPROP TIEOFF_X11Y60 NUM_BELS 2 SITEPROP TIEOFF_X11Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y60 NUM_PINS 2 SITEPROP TIEOFF_X11Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y60 PROHIBIT 0 SITEPROP TIEOFF_X11Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y60 RPM_X 48 SITEPROP TIEOFF_X11Y60 RPM_Y 120 SITEPROP TIEOFF_X11Y60 SITE_PIPS SITEPROP TIEOFF_X11Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y61 CLASS site SITEPROP TIEOFF_X11Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y61 IS_BONDED 0 SITEPROP TIEOFF_X11Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y61 IS_PAD 0 SITEPROP TIEOFF_X11Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y61 IS_RESERVED 0 SITEPROP TIEOFF_X11Y61 IS_TEST 0 SITEPROP TIEOFF_X11Y61 IS_USED 0 SITEPROP TIEOFF_X11Y61 MANUAL_ROUTING SITEPROP TIEOFF_X11Y61 NAME TIEOFF_X11Y61 SITEPROP TIEOFF_X11Y61 NUM_ARCS 0 SITEPROP TIEOFF_X11Y61 NUM_BELS 2 SITEPROP TIEOFF_X11Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y61 NUM_PINS 2 SITEPROP TIEOFF_X11Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y61 PROHIBIT 0 SITEPROP TIEOFF_X11Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y61 RPM_X 48 SITEPROP TIEOFF_X11Y61 RPM_Y 122 SITEPROP TIEOFF_X11Y61 SITE_PIPS SITEPROP TIEOFF_X11Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y62 CLASS site SITEPROP TIEOFF_X11Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y62 IS_BONDED 0 SITEPROP TIEOFF_X11Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y62 IS_PAD 0 SITEPROP TIEOFF_X11Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y62 IS_RESERVED 0 SITEPROP TIEOFF_X11Y62 IS_TEST 0 SITEPROP TIEOFF_X11Y62 IS_USED 0 SITEPROP TIEOFF_X11Y62 MANUAL_ROUTING SITEPROP TIEOFF_X11Y62 NAME TIEOFF_X11Y62 SITEPROP TIEOFF_X11Y62 NUM_ARCS 0 SITEPROP TIEOFF_X11Y62 NUM_BELS 2 SITEPROP TIEOFF_X11Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y62 NUM_PINS 2 SITEPROP TIEOFF_X11Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y62 PROHIBIT 0 SITEPROP TIEOFF_X11Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y62 RPM_X 48 SITEPROP TIEOFF_X11Y62 RPM_Y 124 SITEPROP TIEOFF_X11Y62 SITE_PIPS SITEPROP TIEOFF_X11Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y63 CLASS site SITEPROP TIEOFF_X11Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y63 IS_BONDED 0 SITEPROP TIEOFF_X11Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y63 IS_PAD 0 SITEPROP TIEOFF_X11Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y63 IS_RESERVED 0 SITEPROP TIEOFF_X11Y63 IS_TEST 0 SITEPROP TIEOFF_X11Y63 IS_USED 0 SITEPROP TIEOFF_X11Y63 MANUAL_ROUTING SITEPROP TIEOFF_X11Y63 NAME TIEOFF_X11Y63 SITEPROP TIEOFF_X11Y63 NUM_ARCS 0 SITEPROP TIEOFF_X11Y63 NUM_BELS 2 SITEPROP TIEOFF_X11Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y63 NUM_PINS 2 SITEPROP TIEOFF_X11Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y63 PROHIBIT 0 SITEPROP TIEOFF_X11Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y63 RPM_X 48 SITEPROP TIEOFF_X11Y63 RPM_Y 126 SITEPROP TIEOFF_X11Y63 SITE_PIPS SITEPROP TIEOFF_X11Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y64 CLASS site SITEPROP TIEOFF_X11Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y64 IS_BONDED 0 SITEPROP TIEOFF_X11Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y64 IS_PAD 0 SITEPROP TIEOFF_X11Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y64 IS_RESERVED 0 SITEPROP TIEOFF_X11Y64 IS_TEST 0 SITEPROP TIEOFF_X11Y64 IS_USED 0 SITEPROP TIEOFF_X11Y64 MANUAL_ROUTING SITEPROP TIEOFF_X11Y64 NAME TIEOFF_X11Y64 SITEPROP TIEOFF_X11Y64 NUM_ARCS 0 SITEPROP TIEOFF_X11Y64 NUM_BELS 2 SITEPROP TIEOFF_X11Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y64 NUM_PINS 2 SITEPROP TIEOFF_X11Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y64 PROHIBIT 0 SITEPROP TIEOFF_X11Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y64 RPM_X 48 SITEPROP TIEOFF_X11Y64 RPM_Y 128 SITEPROP TIEOFF_X11Y64 SITE_PIPS SITEPROP TIEOFF_X11Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y65 CLASS site SITEPROP TIEOFF_X11Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y65 IS_BONDED 0 SITEPROP TIEOFF_X11Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y65 IS_PAD 0 SITEPROP TIEOFF_X11Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y65 IS_RESERVED 0 SITEPROP TIEOFF_X11Y65 IS_TEST 0 SITEPROP TIEOFF_X11Y65 IS_USED 0 SITEPROP TIEOFF_X11Y65 MANUAL_ROUTING SITEPROP TIEOFF_X11Y65 NAME TIEOFF_X11Y65 SITEPROP TIEOFF_X11Y65 NUM_ARCS 0 SITEPROP TIEOFF_X11Y65 NUM_BELS 2 SITEPROP TIEOFF_X11Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y65 NUM_PINS 2 SITEPROP TIEOFF_X11Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y65 PROHIBIT 0 SITEPROP TIEOFF_X11Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y65 RPM_X 48 SITEPROP TIEOFF_X11Y65 RPM_Y 130 SITEPROP TIEOFF_X11Y65 SITE_PIPS SITEPROP TIEOFF_X11Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y66 CLASS site SITEPROP TIEOFF_X11Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y66 IS_BONDED 0 SITEPROP TIEOFF_X11Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y66 IS_PAD 0 SITEPROP TIEOFF_X11Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y66 IS_RESERVED 0 SITEPROP TIEOFF_X11Y66 IS_TEST 0 SITEPROP TIEOFF_X11Y66 IS_USED 0 SITEPROP TIEOFF_X11Y66 MANUAL_ROUTING SITEPROP TIEOFF_X11Y66 NAME TIEOFF_X11Y66 SITEPROP TIEOFF_X11Y66 NUM_ARCS 0 SITEPROP TIEOFF_X11Y66 NUM_BELS 2 SITEPROP TIEOFF_X11Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y66 NUM_PINS 2 SITEPROP TIEOFF_X11Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y66 PROHIBIT 0 SITEPROP TIEOFF_X11Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y66 RPM_X 48 SITEPROP TIEOFF_X11Y66 RPM_Y 132 SITEPROP TIEOFF_X11Y66 SITE_PIPS SITEPROP TIEOFF_X11Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y67 CLASS site SITEPROP TIEOFF_X11Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y67 IS_BONDED 0 SITEPROP TIEOFF_X11Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y67 IS_PAD 0 SITEPROP TIEOFF_X11Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y67 IS_RESERVED 0 SITEPROP TIEOFF_X11Y67 IS_TEST 0 SITEPROP TIEOFF_X11Y67 IS_USED 0 SITEPROP TIEOFF_X11Y67 MANUAL_ROUTING SITEPROP TIEOFF_X11Y67 NAME TIEOFF_X11Y67 SITEPROP TIEOFF_X11Y67 NUM_ARCS 0 SITEPROP TIEOFF_X11Y67 NUM_BELS 2 SITEPROP TIEOFF_X11Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y67 NUM_PINS 2 SITEPROP TIEOFF_X11Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y67 PROHIBIT 0 SITEPROP TIEOFF_X11Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y67 RPM_X 48 SITEPROP TIEOFF_X11Y67 RPM_Y 134 SITEPROP TIEOFF_X11Y67 SITE_PIPS SITEPROP TIEOFF_X11Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y68 CLASS site SITEPROP TIEOFF_X11Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y68 IS_BONDED 0 SITEPROP TIEOFF_X11Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y68 IS_PAD 0 SITEPROP TIEOFF_X11Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y68 IS_RESERVED 0 SITEPROP TIEOFF_X11Y68 IS_TEST 0 SITEPROP TIEOFF_X11Y68 IS_USED 0 SITEPROP TIEOFF_X11Y68 MANUAL_ROUTING SITEPROP TIEOFF_X11Y68 NAME TIEOFF_X11Y68 SITEPROP TIEOFF_X11Y68 NUM_ARCS 0 SITEPROP TIEOFF_X11Y68 NUM_BELS 2 SITEPROP TIEOFF_X11Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y68 NUM_PINS 2 SITEPROP TIEOFF_X11Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y68 PROHIBIT 0 SITEPROP TIEOFF_X11Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y68 RPM_X 48 SITEPROP TIEOFF_X11Y68 RPM_Y 136 SITEPROP TIEOFF_X11Y68 SITE_PIPS SITEPROP TIEOFF_X11Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y69 CLASS site SITEPROP TIEOFF_X11Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y69 IS_BONDED 0 SITEPROP TIEOFF_X11Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y69 IS_PAD 0 SITEPROP TIEOFF_X11Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y69 IS_RESERVED 0 SITEPROP TIEOFF_X11Y69 IS_TEST 0 SITEPROP TIEOFF_X11Y69 IS_USED 0 SITEPROP TIEOFF_X11Y69 MANUAL_ROUTING SITEPROP TIEOFF_X11Y69 NAME TIEOFF_X11Y69 SITEPROP TIEOFF_X11Y69 NUM_ARCS 0 SITEPROP TIEOFF_X11Y69 NUM_BELS 2 SITEPROP TIEOFF_X11Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y69 NUM_PINS 2 SITEPROP TIEOFF_X11Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y69 PROHIBIT 0 SITEPROP TIEOFF_X11Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y69 RPM_X 48 SITEPROP TIEOFF_X11Y69 RPM_Y 138 SITEPROP TIEOFF_X11Y69 SITE_PIPS SITEPROP TIEOFF_X11Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y70 CLASS site SITEPROP TIEOFF_X11Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y70 IS_BONDED 0 SITEPROP TIEOFF_X11Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y70 IS_PAD 0 SITEPROP TIEOFF_X11Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y70 IS_RESERVED 0 SITEPROP TIEOFF_X11Y70 IS_TEST 0 SITEPROP TIEOFF_X11Y70 IS_USED 0 SITEPROP TIEOFF_X11Y70 MANUAL_ROUTING SITEPROP TIEOFF_X11Y70 NAME TIEOFF_X11Y70 SITEPROP TIEOFF_X11Y70 NUM_ARCS 0 SITEPROP TIEOFF_X11Y70 NUM_BELS 2 SITEPROP TIEOFF_X11Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y70 NUM_PINS 2 SITEPROP TIEOFF_X11Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y70 PROHIBIT 0 SITEPROP TIEOFF_X11Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y70 RPM_X 48 SITEPROP TIEOFF_X11Y70 RPM_Y 140 SITEPROP TIEOFF_X11Y70 SITE_PIPS SITEPROP TIEOFF_X11Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y71 CLASS site SITEPROP TIEOFF_X11Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y71 IS_BONDED 0 SITEPROP TIEOFF_X11Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y71 IS_PAD 0 SITEPROP TIEOFF_X11Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y71 IS_RESERVED 0 SITEPROP TIEOFF_X11Y71 IS_TEST 0 SITEPROP TIEOFF_X11Y71 IS_USED 0 SITEPROP TIEOFF_X11Y71 MANUAL_ROUTING SITEPROP TIEOFF_X11Y71 NAME TIEOFF_X11Y71 SITEPROP TIEOFF_X11Y71 NUM_ARCS 0 SITEPROP TIEOFF_X11Y71 NUM_BELS 2 SITEPROP TIEOFF_X11Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y71 NUM_PINS 2 SITEPROP TIEOFF_X11Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y71 PROHIBIT 0 SITEPROP TIEOFF_X11Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y71 RPM_X 48 SITEPROP TIEOFF_X11Y71 RPM_Y 142 SITEPROP TIEOFF_X11Y71 SITE_PIPS SITEPROP TIEOFF_X11Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y72 CLASS site SITEPROP TIEOFF_X11Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y72 IS_BONDED 0 SITEPROP TIEOFF_X11Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y72 IS_PAD 0 SITEPROP TIEOFF_X11Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y72 IS_RESERVED 0 SITEPROP TIEOFF_X11Y72 IS_TEST 0 SITEPROP TIEOFF_X11Y72 IS_USED 0 SITEPROP TIEOFF_X11Y72 MANUAL_ROUTING SITEPROP TIEOFF_X11Y72 NAME TIEOFF_X11Y72 SITEPROP TIEOFF_X11Y72 NUM_ARCS 0 SITEPROP TIEOFF_X11Y72 NUM_BELS 2 SITEPROP TIEOFF_X11Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y72 NUM_PINS 2 SITEPROP TIEOFF_X11Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y72 PROHIBIT 0 SITEPROP TIEOFF_X11Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y72 RPM_X 48 SITEPROP TIEOFF_X11Y72 RPM_Y 144 SITEPROP TIEOFF_X11Y72 SITE_PIPS SITEPROP TIEOFF_X11Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y73 CLASS site SITEPROP TIEOFF_X11Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y73 IS_BONDED 0 SITEPROP TIEOFF_X11Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y73 IS_PAD 0 SITEPROP TIEOFF_X11Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y73 IS_RESERVED 0 SITEPROP TIEOFF_X11Y73 IS_TEST 0 SITEPROP TIEOFF_X11Y73 IS_USED 0 SITEPROP TIEOFF_X11Y73 MANUAL_ROUTING SITEPROP TIEOFF_X11Y73 NAME TIEOFF_X11Y73 SITEPROP TIEOFF_X11Y73 NUM_ARCS 0 SITEPROP TIEOFF_X11Y73 NUM_BELS 2 SITEPROP TIEOFF_X11Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y73 NUM_PINS 2 SITEPROP TIEOFF_X11Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y73 PROHIBIT 0 SITEPROP TIEOFF_X11Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y73 RPM_X 48 SITEPROP TIEOFF_X11Y73 RPM_Y 146 SITEPROP TIEOFF_X11Y73 SITE_PIPS SITEPROP TIEOFF_X11Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y74 CLASS site SITEPROP TIEOFF_X11Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y74 IS_BONDED 0 SITEPROP TIEOFF_X11Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y74 IS_PAD 0 SITEPROP TIEOFF_X11Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y74 IS_RESERVED 0 SITEPROP TIEOFF_X11Y74 IS_TEST 0 SITEPROP TIEOFF_X11Y74 IS_USED 0 SITEPROP TIEOFF_X11Y74 MANUAL_ROUTING SITEPROP TIEOFF_X11Y74 NAME TIEOFF_X11Y74 SITEPROP TIEOFF_X11Y74 NUM_ARCS 0 SITEPROP TIEOFF_X11Y74 NUM_BELS 2 SITEPROP TIEOFF_X11Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y74 NUM_PINS 2 SITEPROP TIEOFF_X11Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y74 PROHIBIT 0 SITEPROP TIEOFF_X11Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y74 RPM_X 48 SITEPROP TIEOFF_X11Y74 RPM_Y 148 SITEPROP TIEOFF_X11Y74 SITE_PIPS SITEPROP TIEOFF_X11Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y75 CLASS site SITEPROP TIEOFF_X11Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y75 IS_BONDED 0 SITEPROP TIEOFF_X11Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y75 IS_PAD 0 SITEPROP TIEOFF_X11Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y75 IS_RESERVED 0 SITEPROP TIEOFF_X11Y75 IS_TEST 0 SITEPROP TIEOFF_X11Y75 IS_USED 0 SITEPROP TIEOFF_X11Y75 MANUAL_ROUTING SITEPROP TIEOFF_X11Y75 NAME TIEOFF_X11Y75 SITEPROP TIEOFF_X11Y75 NUM_ARCS 0 SITEPROP TIEOFF_X11Y75 NUM_BELS 2 SITEPROP TIEOFF_X11Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y75 NUM_PINS 2 SITEPROP TIEOFF_X11Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y75 PROHIBIT 0 SITEPROP TIEOFF_X11Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y75 RPM_X 48 SITEPROP TIEOFF_X11Y75 RPM_Y 150 SITEPROP TIEOFF_X11Y75 SITE_PIPS SITEPROP TIEOFF_X11Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y76 CLASS site SITEPROP TIEOFF_X11Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y76 IS_BONDED 0 SITEPROP TIEOFF_X11Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y76 IS_PAD 0 SITEPROP TIEOFF_X11Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y76 IS_RESERVED 0 SITEPROP TIEOFF_X11Y76 IS_TEST 0 SITEPROP TIEOFF_X11Y76 IS_USED 0 SITEPROP TIEOFF_X11Y76 MANUAL_ROUTING SITEPROP TIEOFF_X11Y76 NAME TIEOFF_X11Y76 SITEPROP TIEOFF_X11Y76 NUM_ARCS 0 SITEPROP TIEOFF_X11Y76 NUM_BELS 2 SITEPROP TIEOFF_X11Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y76 NUM_PINS 2 SITEPROP TIEOFF_X11Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y76 PROHIBIT 0 SITEPROP TIEOFF_X11Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y76 RPM_X 48 SITEPROP TIEOFF_X11Y76 RPM_Y 152 SITEPROP TIEOFF_X11Y76 SITE_PIPS SITEPROP TIEOFF_X11Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y77 CLASS site SITEPROP TIEOFF_X11Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y77 IS_BONDED 0 SITEPROP TIEOFF_X11Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y77 IS_PAD 0 SITEPROP TIEOFF_X11Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y77 IS_RESERVED 0 SITEPROP TIEOFF_X11Y77 IS_TEST 0 SITEPROP TIEOFF_X11Y77 IS_USED 0 SITEPROP TIEOFF_X11Y77 MANUAL_ROUTING SITEPROP TIEOFF_X11Y77 NAME TIEOFF_X11Y77 SITEPROP TIEOFF_X11Y77 NUM_ARCS 0 SITEPROP TIEOFF_X11Y77 NUM_BELS 2 SITEPROP TIEOFF_X11Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y77 NUM_PINS 2 SITEPROP TIEOFF_X11Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y77 PROHIBIT 0 SITEPROP TIEOFF_X11Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y77 RPM_X 48 SITEPROP TIEOFF_X11Y77 RPM_Y 154 SITEPROP TIEOFF_X11Y77 SITE_PIPS SITEPROP TIEOFF_X11Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y78 CLASS site SITEPROP TIEOFF_X11Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y78 IS_BONDED 0 SITEPROP TIEOFF_X11Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y78 IS_PAD 0 SITEPROP TIEOFF_X11Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y78 IS_RESERVED 0 SITEPROP TIEOFF_X11Y78 IS_TEST 0 SITEPROP TIEOFF_X11Y78 IS_USED 0 SITEPROP TIEOFF_X11Y78 MANUAL_ROUTING SITEPROP TIEOFF_X11Y78 NAME TIEOFF_X11Y78 SITEPROP TIEOFF_X11Y78 NUM_ARCS 0 SITEPROP TIEOFF_X11Y78 NUM_BELS 2 SITEPROP TIEOFF_X11Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y78 NUM_PINS 2 SITEPROP TIEOFF_X11Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y78 PROHIBIT 0 SITEPROP TIEOFF_X11Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y78 RPM_X 48 SITEPROP TIEOFF_X11Y78 RPM_Y 156 SITEPROP TIEOFF_X11Y78 SITE_PIPS SITEPROP TIEOFF_X11Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y79 CLASS site SITEPROP TIEOFF_X11Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y79 IS_BONDED 0 SITEPROP TIEOFF_X11Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y79 IS_PAD 0 SITEPROP TIEOFF_X11Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y79 IS_RESERVED 0 SITEPROP TIEOFF_X11Y79 IS_TEST 0 SITEPROP TIEOFF_X11Y79 IS_USED 0 SITEPROP TIEOFF_X11Y79 MANUAL_ROUTING SITEPROP TIEOFF_X11Y79 NAME TIEOFF_X11Y79 SITEPROP TIEOFF_X11Y79 NUM_ARCS 0 SITEPROP TIEOFF_X11Y79 NUM_BELS 2 SITEPROP TIEOFF_X11Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y79 NUM_PINS 2 SITEPROP TIEOFF_X11Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y79 PROHIBIT 0 SITEPROP TIEOFF_X11Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y79 RPM_X 48 SITEPROP TIEOFF_X11Y79 RPM_Y 158 SITEPROP TIEOFF_X11Y79 SITE_PIPS SITEPROP TIEOFF_X11Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y80 CLASS site SITEPROP TIEOFF_X11Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y80 IS_BONDED 0 SITEPROP TIEOFF_X11Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y80 IS_PAD 0 SITEPROP TIEOFF_X11Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y80 IS_RESERVED 0 SITEPROP TIEOFF_X11Y80 IS_TEST 0 SITEPROP TIEOFF_X11Y80 IS_USED 0 SITEPROP TIEOFF_X11Y80 MANUAL_ROUTING SITEPROP TIEOFF_X11Y80 NAME TIEOFF_X11Y80 SITEPROP TIEOFF_X11Y80 NUM_ARCS 0 SITEPROP TIEOFF_X11Y80 NUM_BELS 2 SITEPROP TIEOFF_X11Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y80 NUM_PINS 2 SITEPROP TIEOFF_X11Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y80 PROHIBIT 0 SITEPROP TIEOFF_X11Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y80 RPM_X 48 SITEPROP TIEOFF_X11Y80 RPM_Y 160 SITEPROP TIEOFF_X11Y80 SITE_PIPS SITEPROP TIEOFF_X11Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y81 CLASS site SITEPROP TIEOFF_X11Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y81 IS_BONDED 0 SITEPROP TIEOFF_X11Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y81 IS_PAD 0 SITEPROP TIEOFF_X11Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y81 IS_RESERVED 0 SITEPROP TIEOFF_X11Y81 IS_TEST 0 SITEPROP TIEOFF_X11Y81 IS_USED 0 SITEPROP TIEOFF_X11Y81 MANUAL_ROUTING SITEPROP TIEOFF_X11Y81 NAME TIEOFF_X11Y81 SITEPROP TIEOFF_X11Y81 NUM_ARCS 0 SITEPROP TIEOFF_X11Y81 NUM_BELS 2 SITEPROP TIEOFF_X11Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y81 NUM_PINS 2 SITEPROP TIEOFF_X11Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y81 PROHIBIT 0 SITEPROP TIEOFF_X11Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y81 RPM_X 48 SITEPROP TIEOFF_X11Y81 RPM_Y 162 SITEPROP TIEOFF_X11Y81 SITE_PIPS SITEPROP TIEOFF_X11Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y82 CLASS site SITEPROP TIEOFF_X11Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y82 IS_BONDED 0 SITEPROP TIEOFF_X11Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y82 IS_PAD 0 SITEPROP TIEOFF_X11Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y82 IS_RESERVED 0 SITEPROP TIEOFF_X11Y82 IS_TEST 0 SITEPROP TIEOFF_X11Y82 IS_USED 0 SITEPROP TIEOFF_X11Y82 MANUAL_ROUTING SITEPROP TIEOFF_X11Y82 NAME TIEOFF_X11Y82 SITEPROP TIEOFF_X11Y82 NUM_ARCS 0 SITEPROP TIEOFF_X11Y82 NUM_BELS 2 SITEPROP TIEOFF_X11Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y82 NUM_PINS 2 SITEPROP TIEOFF_X11Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y82 PROHIBIT 0 SITEPROP TIEOFF_X11Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y82 RPM_X 48 SITEPROP TIEOFF_X11Y82 RPM_Y 164 SITEPROP TIEOFF_X11Y82 SITE_PIPS SITEPROP TIEOFF_X11Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y83 CLASS site SITEPROP TIEOFF_X11Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y83 IS_BONDED 0 SITEPROP TIEOFF_X11Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y83 IS_PAD 0 SITEPROP TIEOFF_X11Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y83 IS_RESERVED 0 SITEPROP TIEOFF_X11Y83 IS_TEST 0 SITEPROP TIEOFF_X11Y83 IS_USED 0 SITEPROP TIEOFF_X11Y83 MANUAL_ROUTING SITEPROP TIEOFF_X11Y83 NAME TIEOFF_X11Y83 SITEPROP TIEOFF_X11Y83 NUM_ARCS 0 SITEPROP TIEOFF_X11Y83 NUM_BELS 2 SITEPROP TIEOFF_X11Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y83 NUM_PINS 2 SITEPROP TIEOFF_X11Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y83 PROHIBIT 0 SITEPROP TIEOFF_X11Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y83 RPM_X 48 SITEPROP TIEOFF_X11Y83 RPM_Y 166 SITEPROP TIEOFF_X11Y83 SITE_PIPS SITEPROP TIEOFF_X11Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y84 CLASS site SITEPROP TIEOFF_X11Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y84 IS_BONDED 0 SITEPROP TIEOFF_X11Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y84 IS_PAD 0 SITEPROP TIEOFF_X11Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y84 IS_RESERVED 0 SITEPROP TIEOFF_X11Y84 IS_TEST 0 SITEPROP TIEOFF_X11Y84 IS_USED 0 SITEPROP TIEOFF_X11Y84 MANUAL_ROUTING SITEPROP TIEOFF_X11Y84 NAME TIEOFF_X11Y84 SITEPROP TIEOFF_X11Y84 NUM_ARCS 0 SITEPROP TIEOFF_X11Y84 NUM_BELS 2 SITEPROP TIEOFF_X11Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y84 NUM_PINS 2 SITEPROP TIEOFF_X11Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y84 PROHIBIT 0 SITEPROP TIEOFF_X11Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y84 RPM_X 48 SITEPROP TIEOFF_X11Y84 RPM_Y 168 SITEPROP TIEOFF_X11Y84 SITE_PIPS SITEPROP TIEOFF_X11Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y85 CLASS site SITEPROP TIEOFF_X11Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y85 IS_BONDED 0 SITEPROP TIEOFF_X11Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y85 IS_PAD 0 SITEPROP TIEOFF_X11Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y85 IS_RESERVED 0 SITEPROP TIEOFF_X11Y85 IS_TEST 0 SITEPROP TIEOFF_X11Y85 IS_USED 0 SITEPROP TIEOFF_X11Y85 MANUAL_ROUTING SITEPROP TIEOFF_X11Y85 NAME TIEOFF_X11Y85 SITEPROP TIEOFF_X11Y85 NUM_ARCS 0 SITEPROP TIEOFF_X11Y85 NUM_BELS 2 SITEPROP TIEOFF_X11Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y85 NUM_PINS 2 SITEPROP TIEOFF_X11Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y85 PROHIBIT 0 SITEPROP TIEOFF_X11Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y85 RPM_X 48 SITEPROP TIEOFF_X11Y85 RPM_Y 170 SITEPROP TIEOFF_X11Y85 SITE_PIPS SITEPROP TIEOFF_X11Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y86 CLASS site SITEPROP TIEOFF_X11Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y86 IS_BONDED 0 SITEPROP TIEOFF_X11Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y86 IS_PAD 0 SITEPROP TIEOFF_X11Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y86 IS_RESERVED 0 SITEPROP TIEOFF_X11Y86 IS_TEST 0 SITEPROP TIEOFF_X11Y86 IS_USED 0 SITEPROP TIEOFF_X11Y86 MANUAL_ROUTING SITEPROP TIEOFF_X11Y86 NAME TIEOFF_X11Y86 SITEPROP TIEOFF_X11Y86 NUM_ARCS 0 SITEPROP TIEOFF_X11Y86 NUM_BELS 2 SITEPROP TIEOFF_X11Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y86 NUM_PINS 2 SITEPROP TIEOFF_X11Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y86 PROHIBIT 0 SITEPROP TIEOFF_X11Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y86 RPM_X 48 SITEPROP TIEOFF_X11Y86 RPM_Y 172 SITEPROP TIEOFF_X11Y86 SITE_PIPS SITEPROP TIEOFF_X11Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y87 CLASS site SITEPROP TIEOFF_X11Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y87 IS_BONDED 0 SITEPROP TIEOFF_X11Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y87 IS_PAD 0 SITEPROP TIEOFF_X11Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y87 IS_RESERVED 0 SITEPROP TIEOFF_X11Y87 IS_TEST 0 SITEPROP TIEOFF_X11Y87 IS_USED 0 SITEPROP TIEOFF_X11Y87 MANUAL_ROUTING SITEPROP TIEOFF_X11Y87 NAME TIEOFF_X11Y87 SITEPROP TIEOFF_X11Y87 NUM_ARCS 0 SITEPROP TIEOFF_X11Y87 NUM_BELS 2 SITEPROP TIEOFF_X11Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y87 NUM_PINS 2 SITEPROP TIEOFF_X11Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y87 PROHIBIT 0 SITEPROP TIEOFF_X11Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y87 RPM_X 48 SITEPROP TIEOFF_X11Y87 RPM_Y 174 SITEPROP TIEOFF_X11Y87 SITE_PIPS SITEPROP TIEOFF_X11Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y88 CLASS site SITEPROP TIEOFF_X11Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y88 IS_BONDED 0 SITEPROP TIEOFF_X11Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y88 IS_PAD 0 SITEPROP TIEOFF_X11Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y88 IS_RESERVED 0 SITEPROP TIEOFF_X11Y88 IS_TEST 0 SITEPROP TIEOFF_X11Y88 IS_USED 0 SITEPROP TIEOFF_X11Y88 MANUAL_ROUTING SITEPROP TIEOFF_X11Y88 NAME TIEOFF_X11Y88 SITEPROP TIEOFF_X11Y88 NUM_ARCS 0 SITEPROP TIEOFF_X11Y88 NUM_BELS 2 SITEPROP TIEOFF_X11Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y88 NUM_PINS 2 SITEPROP TIEOFF_X11Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y88 PROHIBIT 0 SITEPROP TIEOFF_X11Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y88 RPM_X 48 SITEPROP TIEOFF_X11Y88 RPM_Y 176 SITEPROP TIEOFF_X11Y88 SITE_PIPS SITEPROP TIEOFF_X11Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y89 CLASS site SITEPROP TIEOFF_X11Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y89 IS_BONDED 0 SITEPROP TIEOFF_X11Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y89 IS_PAD 0 SITEPROP TIEOFF_X11Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y89 IS_RESERVED 0 SITEPROP TIEOFF_X11Y89 IS_TEST 0 SITEPROP TIEOFF_X11Y89 IS_USED 0 SITEPROP TIEOFF_X11Y89 MANUAL_ROUTING SITEPROP TIEOFF_X11Y89 NAME TIEOFF_X11Y89 SITEPROP TIEOFF_X11Y89 NUM_ARCS 0 SITEPROP TIEOFF_X11Y89 NUM_BELS 2 SITEPROP TIEOFF_X11Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y89 NUM_PINS 2 SITEPROP TIEOFF_X11Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y89 PROHIBIT 0 SITEPROP TIEOFF_X11Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y89 RPM_X 48 SITEPROP TIEOFF_X11Y89 RPM_Y 178 SITEPROP TIEOFF_X11Y89 SITE_PIPS SITEPROP TIEOFF_X11Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y90 CLASS site SITEPROP TIEOFF_X11Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y90 IS_BONDED 0 SITEPROP TIEOFF_X11Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y90 IS_PAD 0 SITEPROP TIEOFF_X11Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y90 IS_RESERVED 0 SITEPROP TIEOFF_X11Y90 IS_TEST 0 SITEPROP TIEOFF_X11Y90 IS_USED 0 SITEPROP TIEOFF_X11Y90 MANUAL_ROUTING SITEPROP TIEOFF_X11Y90 NAME TIEOFF_X11Y90 SITEPROP TIEOFF_X11Y90 NUM_ARCS 0 SITEPROP TIEOFF_X11Y90 NUM_BELS 2 SITEPROP TIEOFF_X11Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y90 NUM_PINS 2 SITEPROP TIEOFF_X11Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y90 PROHIBIT 0 SITEPROP TIEOFF_X11Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y90 RPM_X 48 SITEPROP TIEOFF_X11Y90 RPM_Y 180 SITEPROP TIEOFF_X11Y90 SITE_PIPS SITEPROP TIEOFF_X11Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y91 CLASS site SITEPROP TIEOFF_X11Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y91 IS_BONDED 0 SITEPROP TIEOFF_X11Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y91 IS_PAD 0 SITEPROP TIEOFF_X11Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y91 IS_RESERVED 0 SITEPROP TIEOFF_X11Y91 IS_TEST 0 SITEPROP TIEOFF_X11Y91 IS_USED 0 SITEPROP TIEOFF_X11Y91 MANUAL_ROUTING SITEPROP TIEOFF_X11Y91 NAME TIEOFF_X11Y91 SITEPROP TIEOFF_X11Y91 NUM_ARCS 0 SITEPROP TIEOFF_X11Y91 NUM_BELS 2 SITEPROP TIEOFF_X11Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y91 NUM_PINS 2 SITEPROP TIEOFF_X11Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y91 PROHIBIT 0 SITEPROP TIEOFF_X11Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y91 RPM_X 48 SITEPROP TIEOFF_X11Y91 RPM_Y 182 SITEPROP TIEOFF_X11Y91 SITE_PIPS SITEPROP TIEOFF_X11Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y92 CLASS site SITEPROP TIEOFF_X11Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y92 IS_BONDED 0 SITEPROP TIEOFF_X11Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y92 IS_PAD 0 SITEPROP TIEOFF_X11Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y92 IS_RESERVED 0 SITEPROP TIEOFF_X11Y92 IS_TEST 0 SITEPROP TIEOFF_X11Y92 IS_USED 0 SITEPROP TIEOFF_X11Y92 MANUAL_ROUTING SITEPROP TIEOFF_X11Y92 NAME TIEOFF_X11Y92 SITEPROP TIEOFF_X11Y92 NUM_ARCS 0 SITEPROP TIEOFF_X11Y92 NUM_BELS 2 SITEPROP TIEOFF_X11Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y92 NUM_PINS 2 SITEPROP TIEOFF_X11Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y92 PROHIBIT 0 SITEPROP TIEOFF_X11Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y92 RPM_X 48 SITEPROP TIEOFF_X11Y92 RPM_Y 184 SITEPROP TIEOFF_X11Y92 SITE_PIPS SITEPROP TIEOFF_X11Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y93 CLASS site SITEPROP TIEOFF_X11Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y93 IS_BONDED 0 SITEPROP TIEOFF_X11Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y93 IS_PAD 0 SITEPROP TIEOFF_X11Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y93 IS_RESERVED 0 SITEPROP TIEOFF_X11Y93 IS_TEST 0 SITEPROP TIEOFF_X11Y93 IS_USED 0 SITEPROP TIEOFF_X11Y93 MANUAL_ROUTING SITEPROP TIEOFF_X11Y93 NAME TIEOFF_X11Y93 SITEPROP TIEOFF_X11Y93 NUM_ARCS 0 SITEPROP TIEOFF_X11Y93 NUM_BELS 2 SITEPROP TIEOFF_X11Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y93 NUM_PINS 2 SITEPROP TIEOFF_X11Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y93 PROHIBIT 0 SITEPROP TIEOFF_X11Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y93 RPM_X 48 SITEPROP TIEOFF_X11Y93 RPM_Y 186 SITEPROP TIEOFF_X11Y93 SITE_PIPS SITEPROP TIEOFF_X11Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y94 CLASS site SITEPROP TIEOFF_X11Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y94 IS_BONDED 0 SITEPROP TIEOFF_X11Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y94 IS_PAD 0 SITEPROP TIEOFF_X11Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y94 IS_RESERVED 0 SITEPROP TIEOFF_X11Y94 IS_TEST 0 SITEPROP TIEOFF_X11Y94 IS_USED 0 SITEPROP TIEOFF_X11Y94 MANUAL_ROUTING SITEPROP TIEOFF_X11Y94 NAME TIEOFF_X11Y94 SITEPROP TIEOFF_X11Y94 NUM_ARCS 0 SITEPROP TIEOFF_X11Y94 NUM_BELS 2 SITEPROP TIEOFF_X11Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y94 NUM_PINS 2 SITEPROP TIEOFF_X11Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y94 PROHIBIT 0 SITEPROP TIEOFF_X11Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y94 RPM_X 48 SITEPROP TIEOFF_X11Y94 RPM_Y 188 SITEPROP TIEOFF_X11Y94 SITE_PIPS SITEPROP TIEOFF_X11Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y95 CLASS site SITEPROP TIEOFF_X11Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y95 IS_BONDED 0 SITEPROP TIEOFF_X11Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y95 IS_PAD 0 SITEPROP TIEOFF_X11Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y95 IS_RESERVED 0 SITEPROP TIEOFF_X11Y95 IS_TEST 0 SITEPROP TIEOFF_X11Y95 IS_USED 0 SITEPROP TIEOFF_X11Y95 MANUAL_ROUTING SITEPROP TIEOFF_X11Y95 NAME TIEOFF_X11Y95 SITEPROP TIEOFF_X11Y95 NUM_ARCS 0 SITEPROP TIEOFF_X11Y95 NUM_BELS 2 SITEPROP TIEOFF_X11Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y95 NUM_PINS 2 SITEPROP TIEOFF_X11Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y95 PROHIBIT 0 SITEPROP TIEOFF_X11Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y95 RPM_X 48 SITEPROP TIEOFF_X11Y95 RPM_Y 190 SITEPROP TIEOFF_X11Y95 SITE_PIPS SITEPROP TIEOFF_X11Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y96 CLASS site SITEPROP TIEOFF_X11Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y96 IS_BONDED 0 SITEPROP TIEOFF_X11Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y96 IS_PAD 0 SITEPROP TIEOFF_X11Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y96 IS_RESERVED 0 SITEPROP TIEOFF_X11Y96 IS_TEST 0 SITEPROP TIEOFF_X11Y96 IS_USED 0 SITEPROP TIEOFF_X11Y96 MANUAL_ROUTING SITEPROP TIEOFF_X11Y96 NAME TIEOFF_X11Y96 SITEPROP TIEOFF_X11Y96 NUM_ARCS 0 SITEPROP TIEOFF_X11Y96 NUM_BELS 2 SITEPROP TIEOFF_X11Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y96 NUM_PINS 2 SITEPROP TIEOFF_X11Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y96 PROHIBIT 0 SITEPROP TIEOFF_X11Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y96 RPM_X 48 SITEPROP TIEOFF_X11Y96 RPM_Y 192 SITEPROP TIEOFF_X11Y96 SITE_PIPS SITEPROP TIEOFF_X11Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y97 CLASS site SITEPROP TIEOFF_X11Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y97 IS_BONDED 0 SITEPROP TIEOFF_X11Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y97 IS_PAD 0 SITEPROP TIEOFF_X11Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y97 IS_RESERVED 0 SITEPROP TIEOFF_X11Y97 IS_TEST 0 SITEPROP TIEOFF_X11Y97 IS_USED 0 SITEPROP TIEOFF_X11Y97 MANUAL_ROUTING SITEPROP TIEOFF_X11Y97 NAME TIEOFF_X11Y97 SITEPROP TIEOFF_X11Y97 NUM_ARCS 0 SITEPROP TIEOFF_X11Y97 NUM_BELS 2 SITEPROP TIEOFF_X11Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y97 NUM_PINS 2 SITEPROP TIEOFF_X11Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y97 PROHIBIT 0 SITEPROP TIEOFF_X11Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y97 RPM_X 48 SITEPROP TIEOFF_X11Y97 RPM_Y 194 SITEPROP TIEOFF_X11Y97 SITE_PIPS SITEPROP TIEOFF_X11Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y98 CLASS site SITEPROP TIEOFF_X11Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y98 IS_BONDED 0 SITEPROP TIEOFF_X11Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y98 IS_PAD 0 SITEPROP TIEOFF_X11Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y98 IS_RESERVED 0 SITEPROP TIEOFF_X11Y98 IS_TEST 0 SITEPROP TIEOFF_X11Y98 IS_USED 0 SITEPROP TIEOFF_X11Y98 MANUAL_ROUTING SITEPROP TIEOFF_X11Y98 NAME TIEOFF_X11Y98 SITEPROP TIEOFF_X11Y98 NUM_ARCS 0 SITEPROP TIEOFF_X11Y98 NUM_BELS 2 SITEPROP TIEOFF_X11Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y98 NUM_PINS 2 SITEPROP TIEOFF_X11Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y98 PROHIBIT 0 SITEPROP TIEOFF_X11Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y98 RPM_X 48 SITEPROP TIEOFF_X11Y98 RPM_Y 196 SITEPROP TIEOFF_X11Y98 SITE_PIPS SITEPROP TIEOFF_X11Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y99 CLASS site SITEPROP TIEOFF_X11Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X11Y99 IS_BONDED 0 SITEPROP TIEOFF_X11Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y99 IS_PAD 0 SITEPROP TIEOFF_X11Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y99 IS_RESERVED 0 SITEPROP TIEOFF_X11Y99 IS_TEST 0 SITEPROP TIEOFF_X11Y99 IS_USED 0 SITEPROP TIEOFF_X11Y99 MANUAL_ROUTING SITEPROP TIEOFF_X11Y99 NAME TIEOFF_X11Y99 SITEPROP TIEOFF_X11Y99 NUM_ARCS 0 SITEPROP TIEOFF_X11Y99 NUM_BELS 2 SITEPROP TIEOFF_X11Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y99 NUM_PINS 2 SITEPROP TIEOFF_X11Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y99 PROHIBIT 0 SITEPROP TIEOFF_X11Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y99 RPM_X 48 SITEPROP TIEOFF_X11Y99 RPM_Y 198 SITEPROP TIEOFF_X11Y99 SITE_PIPS SITEPROP TIEOFF_X11Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y100 CLASS site SITEPROP TIEOFF_X11Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y100 IS_BONDED 0 SITEPROP TIEOFF_X11Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y100 IS_PAD 0 SITEPROP TIEOFF_X11Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y100 IS_RESERVED 0 SITEPROP TIEOFF_X11Y100 IS_TEST 0 SITEPROP TIEOFF_X11Y100 IS_USED 0 SITEPROP TIEOFF_X11Y100 MANUAL_ROUTING SITEPROP TIEOFF_X11Y100 NAME TIEOFF_X11Y100 SITEPROP TIEOFF_X11Y100 NUM_ARCS 0 SITEPROP TIEOFF_X11Y100 NUM_BELS 2 SITEPROP TIEOFF_X11Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y100 NUM_PINS 2 SITEPROP TIEOFF_X11Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y100 PROHIBIT 0 SITEPROP TIEOFF_X11Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y100 RPM_X 48 SITEPROP TIEOFF_X11Y100 RPM_Y 200 SITEPROP TIEOFF_X11Y100 SITE_PIPS SITEPROP TIEOFF_X11Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y101 CLASS site SITEPROP TIEOFF_X11Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y101 IS_BONDED 0 SITEPROP TIEOFF_X11Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y101 IS_PAD 0 SITEPROP TIEOFF_X11Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y101 IS_RESERVED 0 SITEPROP TIEOFF_X11Y101 IS_TEST 0 SITEPROP TIEOFF_X11Y101 IS_USED 0 SITEPROP TIEOFF_X11Y101 MANUAL_ROUTING SITEPROP TIEOFF_X11Y101 NAME TIEOFF_X11Y101 SITEPROP TIEOFF_X11Y101 NUM_ARCS 0 SITEPROP TIEOFF_X11Y101 NUM_BELS 2 SITEPROP TIEOFF_X11Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y101 NUM_PINS 2 SITEPROP TIEOFF_X11Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y101 PROHIBIT 0 SITEPROP TIEOFF_X11Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y101 RPM_X 48 SITEPROP TIEOFF_X11Y101 RPM_Y 202 SITEPROP TIEOFF_X11Y101 SITE_PIPS SITEPROP TIEOFF_X11Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y102 CLASS site SITEPROP TIEOFF_X11Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y102 IS_BONDED 0 SITEPROP TIEOFF_X11Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y102 IS_PAD 0 SITEPROP TIEOFF_X11Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y102 IS_RESERVED 0 SITEPROP TIEOFF_X11Y102 IS_TEST 0 SITEPROP TIEOFF_X11Y102 IS_USED 0 SITEPROP TIEOFF_X11Y102 MANUAL_ROUTING SITEPROP TIEOFF_X11Y102 NAME TIEOFF_X11Y102 SITEPROP TIEOFF_X11Y102 NUM_ARCS 0 SITEPROP TIEOFF_X11Y102 NUM_BELS 2 SITEPROP TIEOFF_X11Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y102 NUM_PINS 2 SITEPROP TIEOFF_X11Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y102 PROHIBIT 0 SITEPROP TIEOFF_X11Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y102 RPM_X 48 SITEPROP TIEOFF_X11Y102 RPM_Y 204 SITEPROP TIEOFF_X11Y102 SITE_PIPS SITEPROP TIEOFF_X11Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y103 CLASS site SITEPROP TIEOFF_X11Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y103 IS_BONDED 0 SITEPROP TIEOFF_X11Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y103 IS_PAD 0 SITEPROP TIEOFF_X11Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y103 IS_RESERVED 0 SITEPROP TIEOFF_X11Y103 IS_TEST 0 SITEPROP TIEOFF_X11Y103 IS_USED 0 SITEPROP TIEOFF_X11Y103 MANUAL_ROUTING SITEPROP TIEOFF_X11Y103 NAME TIEOFF_X11Y103 SITEPROP TIEOFF_X11Y103 NUM_ARCS 0 SITEPROP TIEOFF_X11Y103 NUM_BELS 2 SITEPROP TIEOFF_X11Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y103 NUM_PINS 2 SITEPROP TIEOFF_X11Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y103 PROHIBIT 0 SITEPROP TIEOFF_X11Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y103 RPM_X 48 SITEPROP TIEOFF_X11Y103 RPM_Y 206 SITEPROP TIEOFF_X11Y103 SITE_PIPS SITEPROP TIEOFF_X11Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y104 CLASS site SITEPROP TIEOFF_X11Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y104 IS_BONDED 0 SITEPROP TIEOFF_X11Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y104 IS_PAD 0 SITEPROP TIEOFF_X11Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y104 IS_RESERVED 0 SITEPROP TIEOFF_X11Y104 IS_TEST 0 SITEPROP TIEOFF_X11Y104 IS_USED 0 SITEPROP TIEOFF_X11Y104 MANUAL_ROUTING SITEPROP TIEOFF_X11Y104 NAME TIEOFF_X11Y104 SITEPROP TIEOFF_X11Y104 NUM_ARCS 0 SITEPROP TIEOFF_X11Y104 NUM_BELS 2 SITEPROP TIEOFF_X11Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y104 NUM_PINS 2 SITEPROP TIEOFF_X11Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y104 PROHIBIT 0 SITEPROP TIEOFF_X11Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y104 RPM_X 48 SITEPROP TIEOFF_X11Y104 RPM_Y 208 SITEPROP TIEOFF_X11Y104 SITE_PIPS SITEPROP TIEOFF_X11Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y105 CLASS site SITEPROP TIEOFF_X11Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y105 IS_BONDED 0 SITEPROP TIEOFF_X11Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y105 IS_PAD 0 SITEPROP TIEOFF_X11Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y105 IS_RESERVED 0 SITEPROP TIEOFF_X11Y105 IS_TEST 0 SITEPROP TIEOFF_X11Y105 IS_USED 0 SITEPROP TIEOFF_X11Y105 MANUAL_ROUTING SITEPROP TIEOFF_X11Y105 NAME TIEOFF_X11Y105 SITEPROP TIEOFF_X11Y105 NUM_ARCS 0 SITEPROP TIEOFF_X11Y105 NUM_BELS 2 SITEPROP TIEOFF_X11Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y105 NUM_PINS 2 SITEPROP TIEOFF_X11Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y105 PROHIBIT 0 SITEPROP TIEOFF_X11Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y105 RPM_X 48 SITEPROP TIEOFF_X11Y105 RPM_Y 210 SITEPROP TIEOFF_X11Y105 SITE_PIPS SITEPROP TIEOFF_X11Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y106 CLASS site SITEPROP TIEOFF_X11Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y106 IS_BONDED 0 SITEPROP TIEOFF_X11Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y106 IS_PAD 0 SITEPROP TIEOFF_X11Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y106 IS_RESERVED 0 SITEPROP TIEOFF_X11Y106 IS_TEST 0 SITEPROP TIEOFF_X11Y106 IS_USED 0 SITEPROP TIEOFF_X11Y106 MANUAL_ROUTING SITEPROP TIEOFF_X11Y106 NAME TIEOFF_X11Y106 SITEPROP TIEOFF_X11Y106 NUM_ARCS 0 SITEPROP TIEOFF_X11Y106 NUM_BELS 2 SITEPROP TIEOFF_X11Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y106 NUM_PINS 2 SITEPROP TIEOFF_X11Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y106 PROHIBIT 0 SITEPROP TIEOFF_X11Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y106 RPM_X 48 SITEPROP TIEOFF_X11Y106 RPM_Y 212 SITEPROP TIEOFF_X11Y106 SITE_PIPS SITEPROP TIEOFF_X11Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y107 CLASS site SITEPROP TIEOFF_X11Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y107 IS_BONDED 0 SITEPROP TIEOFF_X11Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y107 IS_PAD 0 SITEPROP TIEOFF_X11Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y107 IS_RESERVED 0 SITEPROP TIEOFF_X11Y107 IS_TEST 0 SITEPROP TIEOFF_X11Y107 IS_USED 0 SITEPROP TIEOFF_X11Y107 MANUAL_ROUTING SITEPROP TIEOFF_X11Y107 NAME TIEOFF_X11Y107 SITEPROP TIEOFF_X11Y107 NUM_ARCS 0 SITEPROP TIEOFF_X11Y107 NUM_BELS 2 SITEPROP TIEOFF_X11Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y107 NUM_PINS 2 SITEPROP TIEOFF_X11Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y107 PROHIBIT 0 SITEPROP TIEOFF_X11Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y107 RPM_X 48 SITEPROP TIEOFF_X11Y107 RPM_Y 214 SITEPROP TIEOFF_X11Y107 SITE_PIPS SITEPROP TIEOFF_X11Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y108 CLASS site SITEPROP TIEOFF_X11Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y108 IS_BONDED 0 SITEPROP TIEOFF_X11Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y108 IS_PAD 0 SITEPROP TIEOFF_X11Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y108 IS_RESERVED 0 SITEPROP TIEOFF_X11Y108 IS_TEST 0 SITEPROP TIEOFF_X11Y108 IS_USED 0 SITEPROP TIEOFF_X11Y108 MANUAL_ROUTING SITEPROP TIEOFF_X11Y108 NAME TIEOFF_X11Y108 SITEPROP TIEOFF_X11Y108 NUM_ARCS 0 SITEPROP TIEOFF_X11Y108 NUM_BELS 2 SITEPROP TIEOFF_X11Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y108 NUM_PINS 2 SITEPROP TIEOFF_X11Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y108 PROHIBIT 0 SITEPROP TIEOFF_X11Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y108 RPM_X 48 SITEPROP TIEOFF_X11Y108 RPM_Y 216 SITEPROP TIEOFF_X11Y108 SITE_PIPS SITEPROP TIEOFF_X11Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y109 CLASS site SITEPROP TIEOFF_X11Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y109 IS_BONDED 0 SITEPROP TIEOFF_X11Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y109 IS_PAD 0 SITEPROP TIEOFF_X11Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y109 IS_RESERVED 0 SITEPROP TIEOFF_X11Y109 IS_TEST 0 SITEPROP TIEOFF_X11Y109 IS_USED 0 SITEPROP TIEOFF_X11Y109 MANUAL_ROUTING SITEPROP TIEOFF_X11Y109 NAME TIEOFF_X11Y109 SITEPROP TIEOFF_X11Y109 NUM_ARCS 0 SITEPROP TIEOFF_X11Y109 NUM_BELS 2 SITEPROP TIEOFF_X11Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y109 NUM_PINS 2 SITEPROP TIEOFF_X11Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y109 PROHIBIT 0 SITEPROP TIEOFF_X11Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y109 RPM_X 48 SITEPROP TIEOFF_X11Y109 RPM_Y 218 SITEPROP TIEOFF_X11Y109 SITE_PIPS SITEPROP TIEOFF_X11Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y110 CLASS site SITEPROP TIEOFF_X11Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y110 IS_BONDED 0 SITEPROP TIEOFF_X11Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y110 IS_PAD 0 SITEPROP TIEOFF_X11Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y110 IS_RESERVED 0 SITEPROP TIEOFF_X11Y110 IS_TEST 0 SITEPROP TIEOFF_X11Y110 IS_USED 0 SITEPROP TIEOFF_X11Y110 MANUAL_ROUTING SITEPROP TIEOFF_X11Y110 NAME TIEOFF_X11Y110 SITEPROP TIEOFF_X11Y110 NUM_ARCS 0 SITEPROP TIEOFF_X11Y110 NUM_BELS 2 SITEPROP TIEOFF_X11Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y110 NUM_PINS 2 SITEPROP TIEOFF_X11Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y110 PROHIBIT 0 SITEPROP TIEOFF_X11Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y110 RPM_X 48 SITEPROP TIEOFF_X11Y110 RPM_Y 220 SITEPROP TIEOFF_X11Y110 SITE_PIPS SITEPROP TIEOFF_X11Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y111 CLASS site SITEPROP TIEOFF_X11Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y111 IS_BONDED 0 SITEPROP TIEOFF_X11Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y111 IS_PAD 0 SITEPROP TIEOFF_X11Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y111 IS_RESERVED 0 SITEPROP TIEOFF_X11Y111 IS_TEST 0 SITEPROP TIEOFF_X11Y111 IS_USED 0 SITEPROP TIEOFF_X11Y111 MANUAL_ROUTING SITEPROP TIEOFF_X11Y111 NAME TIEOFF_X11Y111 SITEPROP TIEOFF_X11Y111 NUM_ARCS 0 SITEPROP TIEOFF_X11Y111 NUM_BELS 2 SITEPROP TIEOFF_X11Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y111 NUM_PINS 2 SITEPROP TIEOFF_X11Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y111 PROHIBIT 0 SITEPROP TIEOFF_X11Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y111 RPM_X 48 SITEPROP TIEOFF_X11Y111 RPM_Y 222 SITEPROP TIEOFF_X11Y111 SITE_PIPS SITEPROP TIEOFF_X11Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y112 CLASS site SITEPROP TIEOFF_X11Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y112 IS_BONDED 0 SITEPROP TIEOFF_X11Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y112 IS_PAD 0 SITEPROP TIEOFF_X11Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y112 IS_RESERVED 0 SITEPROP TIEOFF_X11Y112 IS_TEST 0 SITEPROP TIEOFF_X11Y112 IS_USED 0 SITEPROP TIEOFF_X11Y112 MANUAL_ROUTING SITEPROP TIEOFF_X11Y112 NAME TIEOFF_X11Y112 SITEPROP TIEOFF_X11Y112 NUM_ARCS 0 SITEPROP TIEOFF_X11Y112 NUM_BELS 2 SITEPROP TIEOFF_X11Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y112 NUM_PINS 2 SITEPROP TIEOFF_X11Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y112 PROHIBIT 0 SITEPROP TIEOFF_X11Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y112 RPM_X 48 SITEPROP TIEOFF_X11Y112 RPM_Y 224 SITEPROP TIEOFF_X11Y112 SITE_PIPS SITEPROP TIEOFF_X11Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y113 CLASS site SITEPROP TIEOFF_X11Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y113 IS_BONDED 0 SITEPROP TIEOFF_X11Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y113 IS_PAD 0 SITEPROP TIEOFF_X11Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y113 IS_RESERVED 0 SITEPROP TIEOFF_X11Y113 IS_TEST 0 SITEPROP TIEOFF_X11Y113 IS_USED 0 SITEPROP TIEOFF_X11Y113 MANUAL_ROUTING SITEPROP TIEOFF_X11Y113 NAME TIEOFF_X11Y113 SITEPROP TIEOFF_X11Y113 NUM_ARCS 0 SITEPROP TIEOFF_X11Y113 NUM_BELS 2 SITEPROP TIEOFF_X11Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y113 NUM_PINS 2 SITEPROP TIEOFF_X11Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y113 PROHIBIT 0 SITEPROP TIEOFF_X11Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y113 RPM_X 48 SITEPROP TIEOFF_X11Y113 RPM_Y 226 SITEPROP TIEOFF_X11Y113 SITE_PIPS SITEPROP TIEOFF_X11Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y114 CLASS site SITEPROP TIEOFF_X11Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y114 IS_BONDED 0 SITEPROP TIEOFF_X11Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y114 IS_PAD 0 SITEPROP TIEOFF_X11Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y114 IS_RESERVED 0 SITEPROP TIEOFF_X11Y114 IS_TEST 0 SITEPROP TIEOFF_X11Y114 IS_USED 0 SITEPROP TIEOFF_X11Y114 MANUAL_ROUTING SITEPROP TIEOFF_X11Y114 NAME TIEOFF_X11Y114 SITEPROP TIEOFF_X11Y114 NUM_ARCS 0 SITEPROP TIEOFF_X11Y114 NUM_BELS 2 SITEPROP TIEOFF_X11Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y114 NUM_PINS 2 SITEPROP TIEOFF_X11Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y114 PROHIBIT 0 SITEPROP TIEOFF_X11Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y114 RPM_X 48 SITEPROP TIEOFF_X11Y114 RPM_Y 228 SITEPROP TIEOFF_X11Y114 SITE_PIPS SITEPROP TIEOFF_X11Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y115 CLASS site SITEPROP TIEOFF_X11Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y115 IS_BONDED 0 SITEPROP TIEOFF_X11Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y115 IS_PAD 0 SITEPROP TIEOFF_X11Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y115 IS_RESERVED 0 SITEPROP TIEOFF_X11Y115 IS_TEST 0 SITEPROP TIEOFF_X11Y115 IS_USED 0 SITEPROP TIEOFF_X11Y115 MANUAL_ROUTING SITEPROP TIEOFF_X11Y115 NAME TIEOFF_X11Y115 SITEPROP TIEOFF_X11Y115 NUM_ARCS 0 SITEPROP TIEOFF_X11Y115 NUM_BELS 2 SITEPROP TIEOFF_X11Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y115 NUM_PINS 2 SITEPROP TIEOFF_X11Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y115 PROHIBIT 0 SITEPROP TIEOFF_X11Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y115 RPM_X 48 SITEPROP TIEOFF_X11Y115 RPM_Y 230 SITEPROP TIEOFF_X11Y115 SITE_PIPS SITEPROP TIEOFF_X11Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y116 CLASS site SITEPROP TIEOFF_X11Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y116 IS_BONDED 0 SITEPROP TIEOFF_X11Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y116 IS_PAD 0 SITEPROP TIEOFF_X11Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y116 IS_RESERVED 0 SITEPROP TIEOFF_X11Y116 IS_TEST 0 SITEPROP TIEOFF_X11Y116 IS_USED 0 SITEPROP TIEOFF_X11Y116 MANUAL_ROUTING SITEPROP TIEOFF_X11Y116 NAME TIEOFF_X11Y116 SITEPROP TIEOFF_X11Y116 NUM_ARCS 0 SITEPROP TIEOFF_X11Y116 NUM_BELS 2 SITEPROP TIEOFF_X11Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y116 NUM_PINS 2 SITEPROP TIEOFF_X11Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y116 PROHIBIT 0 SITEPROP TIEOFF_X11Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y116 RPM_X 48 SITEPROP TIEOFF_X11Y116 RPM_Y 232 SITEPROP TIEOFF_X11Y116 SITE_PIPS SITEPROP TIEOFF_X11Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y117 CLASS site SITEPROP TIEOFF_X11Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y117 IS_BONDED 0 SITEPROP TIEOFF_X11Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y117 IS_PAD 0 SITEPROP TIEOFF_X11Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y117 IS_RESERVED 0 SITEPROP TIEOFF_X11Y117 IS_TEST 0 SITEPROP TIEOFF_X11Y117 IS_USED 0 SITEPROP TIEOFF_X11Y117 MANUAL_ROUTING SITEPROP TIEOFF_X11Y117 NAME TIEOFF_X11Y117 SITEPROP TIEOFF_X11Y117 NUM_ARCS 0 SITEPROP TIEOFF_X11Y117 NUM_BELS 2 SITEPROP TIEOFF_X11Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y117 NUM_PINS 2 SITEPROP TIEOFF_X11Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y117 PROHIBIT 0 SITEPROP TIEOFF_X11Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y117 RPM_X 48 SITEPROP TIEOFF_X11Y117 RPM_Y 234 SITEPROP TIEOFF_X11Y117 SITE_PIPS SITEPROP TIEOFF_X11Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y118 CLASS site SITEPROP TIEOFF_X11Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y118 IS_BONDED 0 SITEPROP TIEOFF_X11Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y118 IS_PAD 0 SITEPROP TIEOFF_X11Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y118 IS_RESERVED 0 SITEPROP TIEOFF_X11Y118 IS_TEST 0 SITEPROP TIEOFF_X11Y118 IS_USED 0 SITEPROP TIEOFF_X11Y118 MANUAL_ROUTING SITEPROP TIEOFF_X11Y118 NAME TIEOFF_X11Y118 SITEPROP TIEOFF_X11Y118 NUM_ARCS 0 SITEPROP TIEOFF_X11Y118 NUM_BELS 2 SITEPROP TIEOFF_X11Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y118 NUM_PINS 2 SITEPROP TIEOFF_X11Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y118 PROHIBIT 0 SITEPROP TIEOFF_X11Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y118 RPM_X 48 SITEPROP TIEOFF_X11Y118 RPM_Y 236 SITEPROP TIEOFF_X11Y118 SITE_PIPS SITEPROP TIEOFF_X11Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y119 CLASS site SITEPROP TIEOFF_X11Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y119 IS_BONDED 0 SITEPROP TIEOFF_X11Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y119 IS_PAD 0 SITEPROP TIEOFF_X11Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y119 IS_RESERVED 0 SITEPROP TIEOFF_X11Y119 IS_TEST 0 SITEPROP TIEOFF_X11Y119 IS_USED 0 SITEPROP TIEOFF_X11Y119 MANUAL_ROUTING SITEPROP TIEOFF_X11Y119 NAME TIEOFF_X11Y119 SITEPROP TIEOFF_X11Y119 NUM_ARCS 0 SITEPROP TIEOFF_X11Y119 NUM_BELS 2 SITEPROP TIEOFF_X11Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y119 NUM_PINS 2 SITEPROP TIEOFF_X11Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y119 PROHIBIT 0 SITEPROP TIEOFF_X11Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y119 RPM_X 48 SITEPROP TIEOFF_X11Y119 RPM_Y 238 SITEPROP TIEOFF_X11Y119 SITE_PIPS SITEPROP TIEOFF_X11Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y120 CLASS site SITEPROP TIEOFF_X11Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y120 IS_BONDED 0 SITEPROP TIEOFF_X11Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y120 IS_PAD 0 SITEPROP TIEOFF_X11Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y120 IS_RESERVED 0 SITEPROP TIEOFF_X11Y120 IS_TEST 0 SITEPROP TIEOFF_X11Y120 IS_USED 0 SITEPROP TIEOFF_X11Y120 MANUAL_ROUTING SITEPROP TIEOFF_X11Y120 NAME TIEOFF_X11Y120 SITEPROP TIEOFF_X11Y120 NUM_ARCS 0 SITEPROP TIEOFF_X11Y120 NUM_BELS 2 SITEPROP TIEOFF_X11Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y120 NUM_PINS 2 SITEPROP TIEOFF_X11Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y120 PROHIBIT 0 SITEPROP TIEOFF_X11Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y120 RPM_X 48 SITEPROP TIEOFF_X11Y120 RPM_Y 240 SITEPROP TIEOFF_X11Y120 SITE_PIPS SITEPROP TIEOFF_X11Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y121 CLASS site SITEPROP TIEOFF_X11Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y121 IS_BONDED 0 SITEPROP TIEOFF_X11Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y121 IS_PAD 0 SITEPROP TIEOFF_X11Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y121 IS_RESERVED 0 SITEPROP TIEOFF_X11Y121 IS_TEST 0 SITEPROP TIEOFF_X11Y121 IS_USED 0 SITEPROP TIEOFF_X11Y121 MANUAL_ROUTING SITEPROP TIEOFF_X11Y121 NAME TIEOFF_X11Y121 SITEPROP TIEOFF_X11Y121 NUM_ARCS 0 SITEPROP TIEOFF_X11Y121 NUM_BELS 2 SITEPROP TIEOFF_X11Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y121 NUM_PINS 2 SITEPROP TIEOFF_X11Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y121 PROHIBIT 0 SITEPROP TIEOFF_X11Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y121 RPM_X 48 SITEPROP TIEOFF_X11Y121 RPM_Y 242 SITEPROP TIEOFF_X11Y121 SITE_PIPS SITEPROP TIEOFF_X11Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y122 CLASS site SITEPROP TIEOFF_X11Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y122 IS_BONDED 0 SITEPROP TIEOFF_X11Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y122 IS_PAD 0 SITEPROP TIEOFF_X11Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y122 IS_RESERVED 0 SITEPROP TIEOFF_X11Y122 IS_TEST 0 SITEPROP TIEOFF_X11Y122 IS_USED 0 SITEPROP TIEOFF_X11Y122 MANUAL_ROUTING SITEPROP TIEOFF_X11Y122 NAME TIEOFF_X11Y122 SITEPROP TIEOFF_X11Y122 NUM_ARCS 0 SITEPROP TIEOFF_X11Y122 NUM_BELS 2 SITEPROP TIEOFF_X11Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y122 NUM_PINS 2 SITEPROP TIEOFF_X11Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y122 PROHIBIT 0 SITEPROP TIEOFF_X11Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y122 RPM_X 48 SITEPROP TIEOFF_X11Y122 RPM_Y 244 SITEPROP TIEOFF_X11Y122 SITE_PIPS SITEPROP TIEOFF_X11Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y123 CLASS site SITEPROP TIEOFF_X11Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y123 IS_BONDED 0 SITEPROP TIEOFF_X11Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y123 IS_PAD 0 SITEPROP TIEOFF_X11Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y123 IS_RESERVED 0 SITEPROP TIEOFF_X11Y123 IS_TEST 0 SITEPROP TIEOFF_X11Y123 IS_USED 0 SITEPROP TIEOFF_X11Y123 MANUAL_ROUTING SITEPROP TIEOFF_X11Y123 NAME TIEOFF_X11Y123 SITEPROP TIEOFF_X11Y123 NUM_ARCS 0 SITEPROP TIEOFF_X11Y123 NUM_BELS 2 SITEPROP TIEOFF_X11Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y123 NUM_PINS 2 SITEPROP TIEOFF_X11Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y123 PROHIBIT 0 SITEPROP TIEOFF_X11Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y123 RPM_X 48 SITEPROP TIEOFF_X11Y123 RPM_Y 246 SITEPROP TIEOFF_X11Y123 SITE_PIPS SITEPROP TIEOFF_X11Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y124 CLASS site SITEPROP TIEOFF_X11Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y124 IS_BONDED 0 SITEPROP TIEOFF_X11Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y124 IS_PAD 0 SITEPROP TIEOFF_X11Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y124 IS_RESERVED 0 SITEPROP TIEOFF_X11Y124 IS_TEST 0 SITEPROP TIEOFF_X11Y124 IS_USED 0 SITEPROP TIEOFF_X11Y124 MANUAL_ROUTING SITEPROP TIEOFF_X11Y124 NAME TIEOFF_X11Y124 SITEPROP TIEOFF_X11Y124 NUM_ARCS 0 SITEPROP TIEOFF_X11Y124 NUM_BELS 2 SITEPROP TIEOFF_X11Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y124 NUM_PINS 2 SITEPROP TIEOFF_X11Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y124 PROHIBIT 0 SITEPROP TIEOFF_X11Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y124 RPM_X 48 SITEPROP TIEOFF_X11Y124 RPM_Y 248 SITEPROP TIEOFF_X11Y124 SITE_PIPS SITEPROP TIEOFF_X11Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y125 CLASS site SITEPROP TIEOFF_X11Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y125 IS_BONDED 0 SITEPROP TIEOFF_X11Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y125 IS_PAD 0 SITEPROP TIEOFF_X11Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y125 IS_RESERVED 0 SITEPROP TIEOFF_X11Y125 IS_TEST 0 SITEPROP TIEOFF_X11Y125 IS_USED 0 SITEPROP TIEOFF_X11Y125 MANUAL_ROUTING SITEPROP TIEOFF_X11Y125 NAME TIEOFF_X11Y125 SITEPROP TIEOFF_X11Y125 NUM_ARCS 0 SITEPROP TIEOFF_X11Y125 NUM_BELS 2 SITEPROP TIEOFF_X11Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y125 NUM_PINS 2 SITEPROP TIEOFF_X11Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y125 PROHIBIT 0 SITEPROP TIEOFF_X11Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y125 RPM_X 48 SITEPROP TIEOFF_X11Y125 RPM_Y 250 SITEPROP TIEOFF_X11Y125 SITE_PIPS SITEPROP TIEOFF_X11Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y126 CLASS site SITEPROP TIEOFF_X11Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y126 IS_BONDED 0 SITEPROP TIEOFF_X11Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y126 IS_PAD 0 SITEPROP TIEOFF_X11Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y126 IS_RESERVED 0 SITEPROP TIEOFF_X11Y126 IS_TEST 0 SITEPROP TIEOFF_X11Y126 IS_USED 0 SITEPROP TIEOFF_X11Y126 MANUAL_ROUTING SITEPROP TIEOFF_X11Y126 NAME TIEOFF_X11Y126 SITEPROP TIEOFF_X11Y126 NUM_ARCS 0 SITEPROP TIEOFF_X11Y126 NUM_BELS 2 SITEPROP TIEOFF_X11Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y126 NUM_PINS 2 SITEPROP TIEOFF_X11Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y126 PROHIBIT 0 SITEPROP TIEOFF_X11Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y126 RPM_X 48 SITEPROP TIEOFF_X11Y126 RPM_Y 252 SITEPROP TIEOFF_X11Y126 SITE_PIPS SITEPROP TIEOFF_X11Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y127 CLASS site SITEPROP TIEOFF_X11Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y127 IS_BONDED 0 SITEPROP TIEOFF_X11Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y127 IS_PAD 0 SITEPROP TIEOFF_X11Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y127 IS_RESERVED 0 SITEPROP TIEOFF_X11Y127 IS_TEST 0 SITEPROP TIEOFF_X11Y127 IS_USED 0 SITEPROP TIEOFF_X11Y127 MANUAL_ROUTING SITEPROP TIEOFF_X11Y127 NAME TIEOFF_X11Y127 SITEPROP TIEOFF_X11Y127 NUM_ARCS 0 SITEPROP TIEOFF_X11Y127 NUM_BELS 2 SITEPROP TIEOFF_X11Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y127 NUM_PINS 2 SITEPROP TIEOFF_X11Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y127 PROHIBIT 0 SITEPROP TIEOFF_X11Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y127 RPM_X 48 SITEPROP TIEOFF_X11Y127 RPM_Y 254 SITEPROP TIEOFF_X11Y127 SITE_PIPS SITEPROP TIEOFF_X11Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y128 CLASS site SITEPROP TIEOFF_X11Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y128 IS_BONDED 0 SITEPROP TIEOFF_X11Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y128 IS_PAD 0 SITEPROP TIEOFF_X11Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y128 IS_RESERVED 0 SITEPROP TIEOFF_X11Y128 IS_TEST 0 SITEPROP TIEOFF_X11Y128 IS_USED 0 SITEPROP TIEOFF_X11Y128 MANUAL_ROUTING SITEPROP TIEOFF_X11Y128 NAME TIEOFF_X11Y128 SITEPROP TIEOFF_X11Y128 NUM_ARCS 0 SITEPROP TIEOFF_X11Y128 NUM_BELS 2 SITEPROP TIEOFF_X11Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y128 NUM_PINS 2 SITEPROP TIEOFF_X11Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y128 PROHIBIT 0 SITEPROP TIEOFF_X11Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y128 RPM_X 48 SITEPROP TIEOFF_X11Y128 RPM_Y 256 SITEPROP TIEOFF_X11Y128 SITE_PIPS SITEPROP TIEOFF_X11Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y129 CLASS site SITEPROP TIEOFF_X11Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y129 IS_BONDED 0 SITEPROP TIEOFF_X11Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y129 IS_PAD 0 SITEPROP TIEOFF_X11Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y129 IS_RESERVED 0 SITEPROP TIEOFF_X11Y129 IS_TEST 0 SITEPROP TIEOFF_X11Y129 IS_USED 0 SITEPROP TIEOFF_X11Y129 MANUAL_ROUTING SITEPROP TIEOFF_X11Y129 NAME TIEOFF_X11Y129 SITEPROP TIEOFF_X11Y129 NUM_ARCS 0 SITEPROP TIEOFF_X11Y129 NUM_BELS 2 SITEPROP TIEOFF_X11Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y129 NUM_PINS 2 SITEPROP TIEOFF_X11Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y129 PROHIBIT 0 SITEPROP TIEOFF_X11Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y129 RPM_X 48 SITEPROP TIEOFF_X11Y129 RPM_Y 258 SITEPROP TIEOFF_X11Y129 SITE_PIPS SITEPROP TIEOFF_X11Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y130 CLASS site SITEPROP TIEOFF_X11Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y130 IS_BONDED 0 SITEPROP TIEOFF_X11Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y130 IS_PAD 0 SITEPROP TIEOFF_X11Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y130 IS_RESERVED 0 SITEPROP TIEOFF_X11Y130 IS_TEST 0 SITEPROP TIEOFF_X11Y130 IS_USED 0 SITEPROP TIEOFF_X11Y130 MANUAL_ROUTING SITEPROP TIEOFF_X11Y130 NAME TIEOFF_X11Y130 SITEPROP TIEOFF_X11Y130 NUM_ARCS 0 SITEPROP TIEOFF_X11Y130 NUM_BELS 2 SITEPROP TIEOFF_X11Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y130 NUM_PINS 2 SITEPROP TIEOFF_X11Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y130 PROHIBIT 0 SITEPROP TIEOFF_X11Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y130 RPM_X 48 SITEPROP TIEOFF_X11Y130 RPM_Y 260 SITEPROP TIEOFF_X11Y130 SITE_PIPS SITEPROP TIEOFF_X11Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y131 CLASS site SITEPROP TIEOFF_X11Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y131 IS_BONDED 0 SITEPROP TIEOFF_X11Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y131 IS_PAD 0 SITEPROP TIEOFF_X11Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y131 IS_RESERVED 0 SITEPROP TIEOFF_X11Y131 IS_TEST 0 SITEPROP TIEOFF_X11Y131 IS_USED 0 SITEPROP TIEOFF_X11Y131 MANUAL_ROUTING SITEPROP TIEOFF_X11Y131 NAME TIEOFF_X11Y131 SITEPROP TIEOFF_X11Y131 NUM_ARCS 0 SITEPROP TIEOFF_X11Y131 NUM_BELS 2 SITEPROP TIEOFF_X11Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y131 NUM_PINS 2 SITEPROP TIEOFF_X11Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y131 PROHIBIT 0 SITEPROP TIEOFF_X11Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y131 RPM_X 48 SITEPROP TIEOFF_X11Y131 RPM_Y 262 SITEPROP TIEOFF_X11Y131 SITE_PIPS SITEPROP TIEOFF_X11Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y132 CLASS site SITEPROP TIEOFF_X11Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y132 IS_BONDED 0 SITEPROP TIEOFF_X11Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y132 IS_PAD 0 SITEPROP TIEOFF_X11Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y132 IS_RESERVED 0 SITEPROP TIEOFF_X11Y132 IS_TEST 0 SITEPROP TIEOFF_X11Y132 IS_USED 0 SITEPROP TIEOFF_X11Y132 MANUAL_ROUTING SITEPROP TIEOFF_X11Y132 NAME TIEOFF_X11Y132 SITEPROP TIEOFF_X11Y132 NUM_ARCS 0 SITEPROP TIEOFF_X11Y132 NUM_BELS 2 SITEPROP TIEOFF_X11Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y132 NUM_PINS 2 SITEPROP TIEOFF_X11Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y132 PROHIBIT 0 SITEPROP TIEOFF_X11Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y132 RPM_X 48 SITEPROP TIEOFF_X11Y132 RPM_Y 264 SITEPROP TIEOFF_X11Y132 SITE_PIPS SITEPROP TIEOFF_X11Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y133 CLASS site SITEPROP TIEOFF_X11Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y133 IS_BONDED 0 SITEPROP TIEOFF_X11Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y133 IS_PAD 0 SITEPROP TIEOFF_X11Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y133 IS_RESERVED 0 SITEPROP TIEOFF_X11Y133 IS_TEST 0 SITEPROP TIEOFF_X11Y133 IS_USED 0 SITEPROP TIEOFF_X11Y133 MANUAL_ROUTING SITEPROP TIEOFF_X11Y133 NAME TIEOFF_X11Y133 SITEPROP TIEOFF_X11Y133 NUM_ARCS 0 SITEPROP TIEOFF_X11Y133 NUM_BELS 2 SITEPROP TIEOFF_X11Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y133 NUM_PINS 2 SITEPROP TIEOFF_X11Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y133 PROHIBIT 0 SITEPROP TIEOFF_X11Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y133 RPM_X 48 SITEPROP TIEOFF_X11Y133 RPM_Y 266 SITEPROP TIEOFF_X11Y133 SITE_PIPS SITEPROP TIEOFF_X11Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y134 CLASS site SITEPROP TIEOFF_X11Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y134 IS_BONDED 0 SITEPROP TIEOFF_X11Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y134 IS_PAD 0 SITEPROP TIEOFF_X11Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y134 IS_RESERVED 0 SITEPROP TIEOFF_X11Y134 IS_TEST 0 SITEPROP TIEOFF_X11Y134 IS_USED 0 SITEPROP TIEOFF_X11Y134 MANUAL_ROUTING SITEPROP TIEOFF_X11Y134 NAME TIEOFF_X11Y134 SITEPROP TIEOFF_X11Y134 NUM_ARCS 0 SITEPROP TIEOFF_X11Y134 NUM_BELS 2 SITEPROP TIEOFF_X11Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y134 NUM_PINS 2 SITEPROP TIEOFF_X11Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y134 PROHIBIT 0 SITEPROP TIEOFF_X11Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y134 RPM_X 48 SITEPROP TIEOFF_X11Y134 RPM_Y 268 SITEPROP TIEOFF_X11Y134 SITE_PIPS SITEPROP TIEOFF_X11Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y135 CLASS site SITEPROP TIEOFF_X11Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y135 IS_BONDED 0 SITEPROP TIEOFF_X11Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y135 IS_PAD 0 SITEPROP TIEOFF_X11Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y135 IS_RESERVED 0 SITEPROP TIEOFF_X11Y135 IS_TEST 0 SITEPROP TIEOFF_X11Y135 IS_USED 0 SITEPROP TIEOFF_X11Y135 MANUAL_ROUTING SITEPROP TIEOFF_X11Y135 NAME TIEOFF_X11Y135 SITEPROP TIEOFF_X11Y135 NUM_ARCS 0 SITEPROP TIEOFF_X11Y135 NUM_BELS 2 SITEPROP TIEOFF_X11Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y135 NUM_PINS 2 SITEPROP TIEOFF_X11Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y135 PROHIBIT 0 SITEPROP TIEOFF_X11Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y135 RPM_X 48 SITEPROP TIEOFF_X11Y135 RPM_Y 270 SITEPROP TIEOFF_X11Y135 SITE_PIPS SITEPROP TIEOFF_X11Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y136 CLASS site SITEPROP TIEOFF_X11Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y136 IS_BONDED 0 SITEPROP TIEOFF_X11Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y136 IS_PAD 0 SITEPROP TIEOFF_X11Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y136 IS_RESERVED 0 SITEPROP TIEOFF_X11Y136 IS_TEST 0 SITEPROP TIEOFF_X11Y136 IS_USED 0 SITEPROP TIEOFF_X11Y136 MANUAL_ROUTING SITEPROP TIEOFF_X11Y136 NAME TIEOFF_X11Y136 SITEPROP TIEOFF_X11Y136 NUM_ARCS 0 SITEPROP TIEOFF_X11Y136 NUM_BELS 2 SITEPROP TIEOFF_X11Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y136 NUM_PINS 2 SITEPROP TIEOFF_X11Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y136 PROHIBIT 0 SITEPROP TIEOFF_X11Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y136 RPM_X 48 SITEPROP TIEOFF_X11Y136 RPM_Y 272 SITEPROP TIEOFF_X11Y136 SITE_PIPS SITEPROP TIEOFF_X11Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y137 CLASS site SITEPROP TIEOFF_X11Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y137 IS_BONDED 0 SITEPROP TIEOFF_X11Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y137 IS_PAD 0 SITEPROP TIEOFF_X11Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y137 IS_RESERVED 0 SITEPROP TIEOFF_X11Y137 IS_TEST 0 SITEPROP TIEOFF_X11Y137 IS_USED 0 SITEPROP TIEOFF_X11Y137 MANUAL_ROUTING SITEPROP TIEOFF_X11Y137 NAME TIEOFF_X11Y137 SITEPROP TIEOFF_X11Y137 NUM_ARCS 0 SITEPROP TIEOFF_X11Y137 NUM_BELS 2 SITEPROP TIEOFF_X11Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y137 NUM_PINS 2 SITEPROP TIEOFF_X11Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y137 PROHIBIT 0 SITEPROP TIEOFF_X11Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y137 RPM_X 48 SITEPROP TIEOFF_X11Y137 RPM_Y 274 SITEPROP TIEOFF_X11Y137 SITE_PIPS SITEPROP TIEOFF_X11Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y138 CLASS site SITEPROP TIEOFF_X11Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y138 IS_BONDED 0 SITEPROP TIEOFF_X11Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y138 IS_PAD 0 SITEPROP TIEOFF_X11Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y138 IS_RESERVED 0 SITEPROP TIEOFF_X11Y138 IS_TEST 0 SITEPROP TIEOFF_X11Y138 IS_USED 0 SITEPROP TIEOFF_X11Y138 MANUAL_ROUTING SITEPROP TIEOFF_X11Y138 NAME TIEOFF_X11Y138 SITEPROP TIEOFF_X11Y138 NUM_ARCS 0 SITEPROP TIEOFF_X11Y138 NUM_BELS 2 SITEPROP TIEOFF_X11Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y138 NUM_PINS 2 SITEPROP TIEOFF_X11Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y138 PROHIBIT 0 SITEPROP TIEOFF_X11Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y138 RPM_X 48 SITEPROP TIEOFF_X11Y138 RPM_Y 276 SITEPROP TIEOFF_X11Y138 SITE_PIPS SITEPROP TIEOFF_X11Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y139 CLASS site SITEPROP TIEOFF_X11Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y139 IS_BONDED 0 SITEPROP TIEOFF_X11Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y139 IS_PAD 0 SITEPROP TIEOFF_X11Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y139 IS_RESERVED 0 SITEPROP TIEOFF_X11Y139 IS_TEST 0 SITEPROP TIEOFF_X11Y139 IS_USED 0 SITEPROP TIEOFF_X11Y139 MANUAL_ROUTING SITEPROP TIEOFF_X11Y139 NAME TIEOFF_X11Y139 SITEPROP TIEOFF_X11Y139 NUM_ARCS 0 SITEPROP TIEOFF_X11Y139 NUM_BELS 2 SITEPROP TIEOFF_X11Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y139 NUM_PINS 2 SITEPROP TIEOFF_X11Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y139 PROHIBIT 0 SITEPROP TIEOFF_X11Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y139 RPM_X 48 SITEPROP TIEOFF_X11Y139 RPM_Y 278 SITEPROP TIEOFF_X11Y139 SITE_PIPS SITEPROP TIEOFF_X11Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y140 CLASS site SITEPROP TIEOFF_X11Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y140 IS_BONDED 0 SITEPROP TIEOFF_X11Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y140 IS_PAD 0 SITEPROP TIEOFF_X11Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y140 IS_RESERVED 0 SITEPROP TIEOFF_X11Y140 IS_TEST 0 SITEPROP TIEOFF_X11Y140 IS_USED 0 SITEPROP TIEOFF_X11Y140 MANUAL_ROUTING SITEPROP TIEOFF_X11Y140 NAME TIEOFF_X11Y140 SITEPROP TIEOFF_X11Y140 NUM_ARCS 0 SITEPROP TIEOFF_X11Y140 NUM_BELS 2 SITEPROP TIEOFF_X11Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y140 NUM_PINS 2 SITEPROP TIEOFF_X11Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y140 PROHIBIT 0 SITEPROP TIEOFF_X11Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y140 RPM_X 48 SITEPROP TIEOFF_X11Y140 RPM_Y 280 SITEPROP TIEOFF_X11Y140 SITE_PIPS SITEPROP TIEOFF_X11Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y141 CLASS site SITEPROP TIEOFF_X11Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y141 IS_BONDED 0 SITEPROP TIEOFF_X11Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y141 IS_PAD 0 SITEPROP TIEOFF_X11Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y141 IS_RESERVED 0 SITEPROP TIEOFF_X11Y141 IS_TEST 0 SITEPROP TIEOFF_X11Y141 IS_USED 0 SITEPROP TIEOFF_X11Y141 MANUAL_ROUTING SITEPROP TIEOFF_X11Y141 NAME TIEOFF_X11Y141 SITEPROP TIEOFF_X11Y141 NUM_ARCS 0 SITEPROP TIEOFF_X11Y141 NUM_BELS 2 SITEPROP TIEOFF_X11Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y141 NUM_PINS 2 SITEPROP TIEOFF_X11Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y141 PROHIBIT 0 SITEPROP TIEOFF_X11Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y141 RPM_X 48 SITEPROP TIEOFF_X11Y141 RPM_Y 282 SITEPROP TIEOFF_X11Y141 SITE_PIPS SITEPROP TIEOFF_X11Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y142 CLASS site SITEPROP TIEOFF_X11Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y142 IS_BONDED 0 SITEPROP TIEOFF_X11Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y142 IS_PAD 0 SITEPROP TIEOFF_X11Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y142 IS_RESERVED 0 SITEPROP TIEOFF_X11Y142 IS_TEST 0 SITEPROP TIEOFF_X11Y142 IS_USED 0 SITEPROP TIEOFF_X11Y142 MANUAL_ROUTING SITEPROP TIEOFF_X11Y142 NAME TIEOFF_X11Y142 SITEPROP TIEOFF_X11Y142 NUM_ARCS 0 SITEPROP TIEOFF_X11Y142 NUM_BELS 2 SITEPROP TIEOFF_X11Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y142 NUM_PINS 2 SITEPROP TIEOFF_X11Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y142 PROHIBIT 0 SITEPROP TIEOFF_X11Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y142 RPM_X 48 SITEPROP TIEOFF_X11Y142 RPM_Y 284 SITEPROP TIEOFF_X11Y142 SITE_PIPS SITEPROP TIEOFF_X11Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y143 CLASS site SITEPROP TIEOFF_X11Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y143 IS_BONDED 0 SITEPROP TIEOFF_X11Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y143 IS_PAD 0 SITEPROP TIEOFF_X11Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y143 IS_RESERVED 0 SITEPROP TIEOFF_X11Y143 IS_TEST 0 SITEPROP TIEOFF_X11Y143 IS_USED 0 SITEPROP TIEOFF_X11Y143 MANUAL_ROUTING SITEPROP TIEOFF_X11Y143 NAME TIEOFF_X11Y143 SITEPROP TIEOFF_X11Y143 NUM_ARCS 0 SITEPROP TIEOFF_X11Y143 NUM_BELS 2 SITEPROP TIEOFF_X11Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y143 NUM_PINS 2 SITEPROP TIEOFF_X11Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y143 PROHIBIT 0 SITEPROP TIEOFF_X11Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y143 RPM_X 48 SITEPROP TIEOFF_X11Y143 RPM_Y 286 SITEPROP TIEOFF_X11Y143 SITE_PIPS SITEPROP TIEOFF_X11Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y144 CLASS site SITEPROP TIEOFF_X11Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y144 IS_BONDED 0 SITEPROP TIEOFF_X11Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y144 IS_PAD 0 SITEPROP TIEOFF_X11Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y144 IS_RESERVED 0 SITEPROP TIEOFF_X11Y144 IS_TEST 0 SITEPROP TIEOFF_X11Y144 IS_USED 0 SITEPROP TIEOFF_X11Y144 MANUAL_ROUTING SITEPROP TIEOFF_X11Y144 NAME TIEOFF_X11Y144 SITEPROP TIEOFF_X11Y144 NUM_ARCS 0 SITEPROP TIEOFF_X11Y144 NUM_BELS 2 SITEPROP TIEOFF_X11Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y144 NUM_PINS 2 SITEPROP TIEOFF_X11Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y144 PROHIBIT 0 SITEPROP TIEOFF_X11Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y144 RPM_X 48 SITEPROP TIEOFF_X11Y144 RPM_Y 288 SITEPROP TIEOFF_X11Y144 SITE_PIPS SITEPROP TIEOFF_X11Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y145 CLASS site SITEPROP TIEOFF_X11Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y145 IS_BONDED 0 SITEPROP TIEOFF_X11Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y145 IS_PAD 0 SITEPROP TIEOFF_X11Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y145 IS_RESERVED 0 SITEPROP TIEOFF_X11Y145 IS_TEST 0 SITEPROP TIEOFF_X11Y145 IS_USED 0 SITEPROP TIEOFF_X11Y145 MANUAL_ROUTING SITEPROP TIEOFF_X11Y145 NAME TIEOFF_X11Y145 SITEPROP TIEOFF_X11Y145 NUM_ARCS 0 SITEPROP TIEOFF_X11Y145 NUM_BELS 2 SITEPROP TIEOFF_X11Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y145 NUM_PINS 2 SITEPROP TIEOFF_X11Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y145 PROHIBIT 0 SITEPROP TIEOFF_X11Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y145 RPM_X 48 SITEPROP TIEOFF_X11Y145 RPM_Y 290 SITEPROP TIEOFF_X11Y145 SITE_PIPS SITEPROP TIEOFF_X11Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y146 CLASS site SITEPROP TIEOFF_X11Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y146 IS_BONDED 0 SITEPROP TIEOFF_X11Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y146 IS_PAD 0 SITEPROP TIEOFF_X11Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y146 IS_RESERVED 0 SITEPROP TIEOFF_X11Y146 IS_TEST 0 SITEPROP TIEOFF_X11Y146 IS_USED 0 SITEPROP TIEOFF_X11Y146 MANUAL_ROUTING SITEPROP TIEOFF_X11Y146 NAME TIEOFF_X11Y146 SITEPROP TIEOFF_X11Y146 NUM_ARCS 0 SITEPROP TIEOFF_X11Y146 NUM_BELS 2 SITEPROP TIEOFF_X11Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y146 NUM_PINS 2 SITEPROP TIEOFF_X11Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y146 PROHIBIT 0 SITEPROP TIEOFF_X11Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y146 RPM_X 48 SITEPROP TIEOFF_X11Y146 RPM_Y 292 SITEPROP TIEOFF_X11Y146 SITE_PIPS SITEPROP TIEOFF_X11Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y147 CLASS site SITEPROP TIEOFF_X11Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y147 IS_BONDED 0 SITEPROP TIEOFF_X11Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y147 IS_PAD 0 SITEPROP TIEOFF_X11Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y147 IS_RESERVED 0 SITEPROP TIEOFF_X11Y147 IS_TEST 0 SITEPROP TIEOFF_X11Y147 IS_USED 0 SITEPROP TIEOFF_X11Y147 MANUAL_ROUTING SITEPROP TIEOFF_X11Y147 NAME TIEOFF_X11Y147 SITEPROP TIEOFF_X11Y147 NUM_ARCS 0 SITEPROP TIEOFF_X11Y147 NUM_BELS 2 SITEPROP TIEOFF_X11Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y147 NUM_PINS 2 SITEPROP TIEOFF_X11Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y147 PROHIBIT 0 SITEPROP TIEOFF_X11Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y147 RPM_X 48 SITEPROP TIEOFF_X11Y147 RPM_Y 294 SITEPROP TIEOFF_X11Y147 SITE_PIPS SITEPROP TIEOFF_X11Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y148 CLASS site SITEPROP TIEOFF_X11Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y148 IS_BONDED 0 SITEPROP TIEOFF_X11Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y148 IS_PAD 0 SITEPROP TIEOFF_X11Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y148 IS_RESERVED 0 SITEPROP TIEOFF_X11Y148 IS_TEST 0 SITEPROP TIEOFF_X11Y148 IS_USED 0 SITEPROP TIEOFF_X11Y148 MANUAL_ROUTING SITEPROP TIEOFF_X11Y148 NAME TIEOFF_X11Y148 SITEPROP TIEOFF_X11Y148 NUM_ARCS 0 SITEPROP TIEOFF_X11Y148 NUM_BELS 2 SITEPROP TIEOFF_X11Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y148 NUM_PINS 2 SITEPROP TIEOFF_X11Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y148 PROHIBIT 0 SITEPROP TIEOFF_X11Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y148 RPM_X 48 SITEPROP TIEOFF_X11Y148 RPM_Y 296 SITEPROP TIEOFF_X11Y148 SITE_PIPS SITEPROP TIEOFF_X11Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X11Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X11Y149 CLASS site SITEPROP TIEOFF_X11Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X11Y149 IS_BONDED 0 SITEPROP TIEOFF_X11Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y149 IS_PAD 0 SITEPROP TIEOFF_X11Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X11Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X11Y149 IS_RESERVED 0 SITEPROP TIEOFF_X11Y149 IS_TEST 0 SITEPROP TIEOFF_X11Y149 IS_USED 0 SITEPROP TIEOFF_X11Y149 MANUAL_ROUTING SITEPROP TIEOFF_X11Y149 NAME TIEOFF_X11Y149 SITEPROP TIEOFF_X11Y149 NUM_ARCS 0 SITEPROP TIEOFF_X11Y149 NUM_BELS 2 SITEPROP TIEOFF_X11Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X11Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X11Y149 NUM_PINS 2 SITEPROP TIEOFF_X11Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X11Y149 PROHIBIT 0 SITEPROP TIEOFF_X11Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X11Y149 RPM_X 48 SITEPROP TIEOFF_X11Y149 RPM_Y 298 SITEPROP TIEOFF_X11Y149 SITE_PIPS SITEPROP TIEOFF_X11Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y0 CLASS site SITEPROP TIEOFF_X12Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y0 IS_BONDED 0 SITEPROP TIEOFF_X12Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y0 IS_PAD 0 SITEPROP TIEOFF_X12Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y0 IS_RESERVED 0 SITEPROP TIEOFF_X12Y0 IS_TEST 0 SITEPROP TIEOFF_X12Y0 IS_USED 0 SITEPROP TIEOFF_X12Y0 MANUAL_ROUTING SITEPROP TIEOFF_X12Y0 NAME TIEOFF_X12Y0 SITEPROP TIEOFF_X12Y0 NUM_ARCS 0 SITEPROP TIEOFF_X12Y0 NUM_BELS 2 SITEPROP TIEOFF_X12Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y0 NUM_PINS 2 SITEPROP TIEOFF_X12Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y0 PROHIBIT 0 SITEPROP TIEOFF_X12Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y0 RPM_X 52 SITEPROP TIEOFF_X12Y0 RPM_Y 0 SITEPROP TIEOFF_X12Y0 SITE_PIPS SITEPROP TIEOFF_X12Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y1 CLASS site SITEPROP TIEOFF_X12Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y1 IS_BONDED 0 SITEPROP TIEOFF_X12Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y1 IS_PAD 0 SITEPROP TIEOFF_X12Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y1 IS_RESERVED 0 SITEPROP TIEOFF_X12Y1 IS_TEST 0 SITEPROP TIEOFF_X12Y1 IS_USED 0 SITEPROP TIEOFF_X12Y1 MANUAL_ROUTING SITEPROP TIEOFF_X12Y1 NAME TIEOFF_X12Y1 SITEPROP TIEOFF_X12Y1 NUM_ARCS 0 SITEPROP TIEOFF_X12Y1 NUM_BELS 2 SITEPROP TIEOFF_X12Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y1 NUM_PINS 2 SITEPROP TIEOFF_X12Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y1 PROHIBIT 0 SITEPROP TIEOFF_X12Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y1 RPM_X 52 SITEPROP TIEOFF_X12Y1 RPM_Y 2 SITEPROP TIEOFF_X12Y1 SITE_PIPS SITEPROP TIEOFF_X12Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y2 CLASS site SITEPROP TIEOFF_X12Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y2 IS_BONDED 0 SITEPROP TIEOFF_X12Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y2 IS_PAD 0 SITEPROP TIEOFF_X12Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y2 IS_RESERVED 0 SITEPROP TIEOFF_X12Y2 IS_TEST 0 SITEPROP TIEOFF_X12Y2 IS_USED 0 SITEPROP TIEOFF_X12Y2 MANUAL_ROUTING SITEPROP TIEOFF_X12Y2 NAME TIEOFF_X12Y2 SITEPROP TIEOFF_X12Y2 NUM_ARCS 0 SITEPROP TIEOFF_X12Y2 NUM_BELS 2 SITEPROP TIEOFF_X12Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y2 NUM_PINS 2 SITEPROP TIEOFF_X12Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y2 PROHIBIT 0 SITEPROP TIEOFF_X12Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y2 RPM_X 52 SITEPROP TIEOFF_X12Y2 RPM_Y 4 SITEPROP TIEOFF_X12Y2 SITE_PIPS SITEPROP TIEOFF_X12Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y3 CLASS site SITEPROP TIEOFF_X12Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y3 IS_BONDED 0 SITEPROP TIEOFF_X12Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y3 IS_PAD 0 SITEPROP TIEOFF_X12Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y3 IS_RESERVED 0 SITEPROP TIEOFF_X12Y3 IS_TEST 0 SITEPROP TIEOFF_X12Y3 IS_USED 0 SITEPROP TIEOFF_X12Y3 MANUAL_ROUTING SITEPROP TIEOFF_X12Y3 NAME TIEOFF_X12Y3 SITEPROP TIEOFF_X12Y3 NUM_ARCS 0 SITEPROP TIEOFF_X12Y3 NUM_BELS 2 SITEPROP TIEOFF_X12Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y3 NUM_PINS 2 SITEPROP TIEOFF_X12Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y3 PROHIBIT 0 SITEPROP TIEOFF_X12Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y3 RPM_X 52 SITEPROP TIEOFF_X12Y3 RPM_Y 6 SITEPROP TIEOFF_X12Y3 SITE_PIPS SITEPROP TIEOFF_X12Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y4 CLASS site SITEPROP TIEOFF_X12Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y4 IS_BONDED 0 SITEPROP TIEOFF_X12Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y4 IS_PAD 0 SITEPROP TIEOFF_X12Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y4 IS_RESERVED 0 SITEPROP TIEOFF_X12Y4 IS_TEST 0 SITEPROP TIEOFF_X12Y4 IS_USED 0 SITEPROP TIEOFF_X12Y4 MANUAL_ROUTING SITEPROP TIEOFF_X12Y4 NAME TIEOFF_X12Y4 SITEPROP TIEOFF_X12Y4 NUM_ARCS 0 SITEPROP TIEOFF_X12Y4 NUM_BELS 2 SITEPROP TIEOFF_X12Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y4 NUM_PINS 2 SITEPROP TIEOFF_X12Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y4 PROHIBIT 0 SITEPROP TIEOFF_X12Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y4 RPM_X 52 SITEPROP TIEOFF_X12Y4 RPM_Y 8 SITEPROP TIEOFF_X12Y4 SITE_PIPS SITEPROP TIEOFF_X12Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y5 CLASS site SITEPROP TIEOFF_X12Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y5 IS_BONDED 0 SITEPROP TIEOFF_X12Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y5 IS_PAD 0 SITEPROP TIEOFF_X12Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y5 IS_RESERVED 0 SITEPROP TIEOFF_X12Y5 IS_TEST 0 SITEPROP TIEOFF_X12Y5 IS_USED 0 SITEPROP TIEOFF_X12Y5 MANUAL_ROUTING SITEPROP TIEOFF_X12Y5 NAME TIEOFF_X12Y5 SITEPROP TIEOFF_X12Y5 NUM_ARCS 0 SITEPROP TIEOFF_X12Y5 NUM_BELS 2 SITEPROP TIEOFF_X12Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y5 NUM_PINS 2 SITEPROP TIEOFF_X12Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y5 PROHIBIT 0 SITEPROP TIEOFF_X12Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y5 RPM_X 52 SITEPROP TIEOFF_X12Y5 RPM_Y 10 SITEPROP TIEOFF_X12Y5 SITE_PIPS SITEPROP TIEOFF_X12Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y6 CLASS site SITEPROP TIEOFF_X12Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y6 IS_BONDED 0 SITEPROP TIEOFF_X12Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y6 IS_PAD 0 SITEPROP TIEOFF_X12Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y6 IS_RESERVED 0 SITEPROP TIEOFF_X12Y6 IS_TEST 0 SITEPROP TIEOFF_X12Y6 IS_USED 0 SITEPROP TIEOFF_X12Y6 MANUAL_ROUTING SITEPROP TIEOFF_X12Y6 NAME TIEOFF_X12Y6 SITEPROP TIEOFF_X12Y6 NUM_ARCS 0 SITEPROP TIEOFF_X12Y6 NUM_BELS 2 SITEPROP TIEOFF_X12Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y6 NUM_PINS 2 SITEPROP TIEOFF_X12Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y6 PROHIBIT 0 SITEPROP TIEOFF_X12Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y6 RPM_X 52 SITEPROP TIEOFF_X12Y6 RPM_Y 12 SITEPROP TIEOFF_X12Y6 SITE_PIPS SITEPROP TIEOFF_X12Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y7 CLASS site SITEPROP TIEOFF_X12Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y7 IS_BONDED 0 SITEPROP TIEOFF_X12Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y7 IS_PAD 0 SITEPROP TIEOFF_X12Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y7 IS_RESERVED 0 SITEPROP TIEOFF_X12Y7 IS_TEST 0 SITEPROP TIEOFF_X12Y7 IS_USED 0 SITEPROP TIEOFF_X12Y7 MANUAL_ROUTING SITEPROP TIEOFF_X12Y7 NAME TIEOFF_X12Y7 SITEPROP TIEOFF_X12Y7 NUM_ARCS 0 SITEPROP TIEOFF_X12Y7 NUM_BELS 2 SITEPROP TIEOFF_X12Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y7 NUM_PINS 2 SITEPROP TIEOFF_X12Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y7 PROHIBIT 0 SITEPROP TIEOFF_X12Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y7 RPM_X 52 SITEPROP TIEOFF_X12Y7 RPM_Y 14 SITEPROP TIEOFF_X12Y7 SITE_PIPS SITEPROP TIEOFF_X12Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y8 CLASS site SITEPROP TIEOFF_X12Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y8 IS_BONDED 0 SITEPROP TIEOFF_X12Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y8 IS_PAD 0 SITEPROP TIEOFF_X12Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y8 IS_RESERVED 0 SITEPROP TIEOFF_X12Y8 IS_TEST 0 SITEPROP TIEOFF_X12Y8 IS_USED 0 SITEPROP TIEOFF_X12Y8 MANUAL_ROUTING SITEPROP TIEOFF_X12Y8 NAME TIEOFF_X12Y8 SITEPROP TIEOFF_X12Y8 NUM_ARCS 0 SITEPROP TIEOFF_X12Y8 NUM_BELS 2 SITEPROP TIEOFF_X12Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y8 NUM_PINS 2 SITEPROP TIEOFF_X12Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y8 PROHIBIT 0 SITEPROP TIEOFF_X12Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y8 RPM_X 52 SITEPROP TIEOFF_X12Y8 RPM_Y 16 SITEPROP TIEOFF_X12Y8 SITE_PIPS SITEPROP TIEOFF_X12Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y9 CLASS site SITEPROP TIEOFF_X12Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y9 IS_BONDED 0 SITEPROP TIEOFF_X12Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y9 IS_PAD 0 SITEPROP TIEOFF_X12Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y9 IS_RESERVED 0 SITEPROP TIEOFF_X12Y9 IS_TEST 0 SITEPROP TIEOFF_X12Y9 IS_USED 0 SITEPROP TIEOFF_X12Y9 MANUAL_ROUTING SITEPROP TIEOFF_X12Y9 NAME TIEOFF_X12Y9 SITEPROP TIEOFF_X12Y9 NUM_ARCS 0 SITEPROP TIEOFF_X12Y9 NUM_BELS 2 SITEPROP TIEOFF_X12Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y9 NUM_PINS 2 SITEPROP TIEOFF_X12Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y9 PROHIBIT 0 SITEPROP TIEOFF_X12Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y9 RPM_X 52 SITEPROP TIEOFF_X12Y9 RPM_Y 18 SITEPROP TIEOFF_X12Y9 SITE_PIPS SITEPROP TIEOFF_X12Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y10 CLASS site SITEPROP TIEOFF_X12Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y10 IS_BONDED 0 SITEPROP TIEOFF_X12Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y10 IS_PAD 0 SITEPROP TIEOFF_X12Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y10 IS_RESERVED 0 SITEPROP TIEOFF_X12Y10 IS_TEST 0 SITEPROP TIEOFF_X12Y10 IS_USED 0 SITEPROP TIEOFF_X12Y10 MANUAL_ROUTING SITEPROP TIEOFF_X12Y10 NAME TIEOFF_X12Y10 SITEPROP TIEOFF_X12Y10 NUM_ARCS 0 SITEPROP TIEOFF_X12Y10 NUM_BELS 2 SITEPROP TIEOFF_X12Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y10 NUM_PINS 2 SITEPROP TIEOFF_X12Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y10 PROHIBIT 0 SITEPROP TIEOFF_X12Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y10 RPM_X 52 SITEPROP TIEOFF_X12Y10 RPM_Y 20 SITEPROP TIEOFF_X12Y10 SITE_PIPS SITEPROP TIEOFF_X12Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y11 CLASS site SITEPROP TIEOFF_X12Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y11 IS_BONDED 0 SITEPROP TIEOFF_X12Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y11 IS_PAD 0 SITEPROP TIEOFF_X12Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y11 IS_RESERVED 0 SITEPROP TIEOFF_X12Y11 IS_TEST 0 SITEPROP TIEOFF_X12Y11 IS_USED 0 SITEPROP TIEOFF_X12Y11 MANUAL_ROUTING SITEPROP TIEOFF_X12Y11 NAME TIEOFF_X12Y11 SITEPROP TIEOFF_X12Y11 NUM_ARCS 0 SITEPROP TIEOFF_X12Y11 NUM_BELS 2 SITEPROP TIEOFF_X12Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y11 NUM_PINS 2 SITEPROP TIEOFF_X12Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y11 PROHIBIT 0 SITEPROP TIEOFF_X12Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y11 RPM_X 52 SITEPROP TIEOFF_X12Y11 RPM_Y 22 SITEPROP TIEOFF_X12Y11 SITE_PIPS SITEPROP TIEOFF_X12Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y12 CLASS site SITEPROP TIEOFF_X12Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y12 IS_BONDED 0 SITEPROP TIEOFF_X12Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y12 IS_PAD 0 SITEPROP TIEOFF_X12Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y12 IS_RESERVED 0 SITEPROP TIEOFF_X12Y12 IS_TEST 0 SITEPROP TIEOFF_X12Y12 IS_USED 0 SITEPROP TIEOFF_X12Y12 MANUAL_ROUTING SITEPROP TIEOFF_X12Y12 NAME TIEOFF_X12Y12 SITEPROP TIEOFF_X12Y12 NUM_ARCS 0 SITEPROP TIEOFF_X12Y12 NUM_BELS 2 SITEPROP TIEOFF_X12Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y12 NUM_PINS 2 SITEPROP TIEOFF_X12Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y12 PROHIBIT 0 SITEPROP TIEOFF_X12Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y12 RPM_X 52 SITEPROP TIEOFF_X12Y12 RPM_Y 24 SITEPROP TIEOFF_X12Y12 SITE_PIPS SITEPROP TIEOFF_X12Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y13 CLASS site SITEPROP TIEOFF_X12Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y13 IS_BONDED 0 SITEPROP TIEOFF_X12Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y13 IS_PAD 0 SITEPROP TIEOFF_X12Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y13 IS_RESERVED 0 SITEPROP TIEOFF_X12Y13 IS_TEST 0 SITEPROP TIEOFF_X12Y13 IS_USED 0 SITEPROP TIEOFF_X12Y13 MANUAL_ROUTING SITEPROP TIEOFF_X12Y13 NAME TIEOFF_X12Y13 SITEPROP TIEOFF_X12Y13 NUM_ARCS 0 SITEPROP TIEOFF_X12Y13 NUM_BELS 2 SITEPROP TIEOFF_X12Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y13 NUM_PINS 2 SITEPROP TIEOFF_X12Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y13 PROHIBIT 0 SITEPROP TIEOFF_X12Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y13 RPM_X 52 SITEPROP TIEOFF_X12Y13 RPM_Y 26 SITEPROP TIEOFF_X12Y13 SITE_PIPS SITEPROP TIEOFF_X12Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y14 CLASS site SITEPROP TIEOFF_X12Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y14 IS_BONDED 0 SITEPROP TIEOFF_X12Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y14 IS_PAD 0 SITEPROP TIEOFF_X12Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y14 IS_RESERVED 0 SITEPROP TIEOFF_X12Y14 IS_TEST 0 SITEPROP TIEOFF_X12Y14 IS_USED 0 SITEPROP TIEOFF_X12Y14 MANUAL_ROUTING SITEPROP TIEOFF_X12Y14 NAME TIEOFF_X12Y14 SITEPROP TIEOFF_X12Y14 NUM_ARCS 0 SITEPROP TIEOFF_X12Y14 NUM_BELS 2 SITEPROP TIEOFF_X12Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y14 NUM_PINS 2 SITEPROP TIEOFF_X12Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y14 PROHIBIT 0 SITEPROP TIEOFF_X12Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y14 RPM_X 52 SITEPROP TIEOFF_X12Y14 RPM_Y 28 SITEPROP TIEOFF_X12Y14 SITE_PIPS SITEPROP TIEOFF_X12Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y15 CLASS site SITEPROP TIEOFF_X12Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y15 IS_BONDED 0 SITEPROP TIEOFF_X12Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y15 IS_PAD 0 SITEPROP TIEOFF_X12Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y15 IS_RESERVED 0 SITEPROP TIEOFF_X12Y15 IS_TEST 0 SITEPROP TIEOFF_X12Y15 IS_USED 0 SITEPROP TIEOFF_X12Y15 MANUAL_ROUTING SITEPROP TIEOFF_X12Y15 NAME TIEOFF_X12Y15 SITEPROP TIEOFF_X12Y15 NUM_ARCS 0 SITEPROP TIEOFF_X12Y15 NUM_BELS 2 SITEPROP TIEOFF_X12Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y15 NUM_PINS 2 SITEPROP TIEOFF_X12Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y15 PROHIBIT 0 SITEPROP TIEOFF_X12Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y15 RPM_X 52 SITEPROP TIEOFF_X12Y15 RPM_Y 30 SITEPROP TIEOFF_X12Y15 SITE_PIPS SITEPROP TIEOFF_X12Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y16 CLASS site SITEPROP TIEOFF_X12Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y16 IS_BONDED 0 SITEPROP TIEOFF_X12Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y16 IS_PAD 0 SITEPROP TIEOFF_X12Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y16 IS_RESERVED 0 SITEPROP TIEOFF_X12Y16 IS_TEST 0 SITEPROP TIEOFF_X12Y16 IS_USED 0 SITEPROP TIEOFF_X12Y16 MANUAL_ROUTING SITEPROP TIEOFF_X12Y16 NAME TIEOFF_X12Y16 SITEPROP TIEOFF_X12Y16 NUM_ARCS 0 SITEPROP TIEOFF_X12Y16 NUM_BELS 2 SITEPROP TIEOFF_X12Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y16 NUM_PINS 2 SITEPROP TIEOFF_X12Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y16 PROHIBIT 0 SITEPROP TIEOFF_X12Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y16 RPM_X 52 SITEPROP TIEOFF_X12Y16 RPM_Y 32 SITEPROP TIEOFF_X12Y16 SITE_PIPS SITEPROP TIEOFF_X12Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y17 CLASS site SITEPROP TIEOFF_X12Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y17 IS_BONDED 0 SITEPROP TIEOFF_X12Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y17 IS_PAD 0 SITEPROP TIEOFF_X12Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y17 IS_RESERVED 0 SITEPROP TIEOFF_X12Y17 IS_TEST 0 SITEPROP TIEOFF_X12Y17 IS_USED 0 SITEPROP TIEOFF_X12Y17 MANUAL_ROUTING SITEPROP TIEOFF_X12Y17 NAME TIEOFF_X12Y17 SITEPROP TIEOFF_X12Y17 NUM_ARCS 0 SITEPROP TIEOFF_X12Y17 NUM_BELS 2 SITEPROP TIEOFF_X12Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y17 NUM_PINS 2 SITEPROP TIEOFF_X12Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y17 PROHIBIT 0 SITEPROP TIEOFF_X12Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y17 RPM_X 52 SITEPROP TIEOFF_X12Y17 RPM_Y 34 SITEPROP TIEOFF_X12Y17 SITE_PIPS SITEPROP TIEOFF_X12Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y18 CLASS site SITEPROP TIEOFF_X12Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y18 IS_BONDED 0 SITEPROP TIEOFF_X12Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y18 IS_PAD 0 SITEPROP TIEOFF_X12Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y18 IS_RESERVED 0 SITEPROP TIEOFF_X12Y18 IS_TEST 0 SITEPROP TIEOFF_X12Y18 IS_USED 0 SITEPROP TIEOFF_X12Y18 MANUAL_ROUTING SITEPROP TIEOFF_X12Y18 NAME TIEOFF_X12Y18 SITEPROP TIEOFF_X12Y18 NUM_ARCS 0 SITEPROP TIEOFF_X12Y18 NUM_BELS 2 SITEPROP TIEOFF_X12Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y18 NUM_PINS 2 SITEPROP TIEOFF_X12Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y18 PROHIBIT 0 SITEPROP TIEOFF_X12Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y18 RPM_X 52 SITEPROP TIEOFF_X12Y18 RPM_Y 36 SITEPROP TIEOFF_X12Y18 SITE_PIPS SITEPROP TIEOFF_X12Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y19 CLASS site SITEPROP TIEOFF_X12Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y19 IS_BONDED 0 SITEPROP TIEOFF_X12Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y19 IS_PAD 0 SITEPROP TIEOFF_X12Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y19 IS_RESERVED 0 SITEPROP TIEOFF_X12Y19 IS_TEST 0 SITEPROP TIEOFF_X12Y19 IS_USED 0 SITEPROP TIEOFF_X12Y19 MANUAL_ROUTING SITEPROP TIEOFF_X12Y19 NAME TIEOFF_X12Y19 SITEPROP TIEOFF_X12Y19 NUM_ARCS 0 SITEPROP TIEOFF_X12Y19 NUM_BELS 2 SITEPROP TIEOFF_X12Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y19 NUM_PINS 2 SITEPROP TIEOFF_X12Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y19 PROHIBIT 0 SITEPROP TIEOFF_X12Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y19 RPM_X 52 SITEPROP TIEOFF_X12Y19 RPM_Y 38 SITEPROP TIEOFF_X12Y19 SITE_PIPS SITEPROP TIEOFF_X12Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y20 CLASS site SITEPROP TIEOFF_X12Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y20 IS_BONDED 0 SITEPROP TIEOFF_X12Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y20 IS_PAD 0 SITEPROP TIEOFF_X12Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y20 IS_RESERVED 0 SITEPROP TIEOFF_X12Y20 IS_TEST 0 SITEPROP TIEOFF_X12Y20 IS_USED 0 SITEPROP TIEOFF_X12Y20 MANUAL_ROUTING SITEPROP TIEOFF_X12Y20 NAME TIEOFF_X12Y20 SITEPROP TIEOFF_X12Y20 NUM_ARCS 0 SITEPROP TIEOFF_X12Y20 NUM_BELS 2 SITEPROP TIEOFF_X12Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y20 NUM_PINS 2 SITEPROP TIEOFF_X12Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y20 PROHIBIT 0 SITEPROP TIEOFF_X12Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y20 RPM_X 52 SITEPROP TIEOFF_X12Y20 RPM_Y 40 SITEPROP TIEOFF_X12Y20 SITE_PIPS SITEPROP TIEOFF_X12Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y21 CLASS site SITEPROP TIEOFF_X12Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y21 IS_BONDED 0 SITEPROP TIEOFF_X12Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y21 IS_PAD 0 SITEPROP TIEOFF_X12Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y21 IS_RESERVED 0 SITEPROP TIEOFF_X12Y21 IS_TEST 0 SITEPROP TIEOFF_X12Y21 IS_USED 0 SITEPROP TIEOFF_X12Y21 MANUAL_ROUTING SITEPROP TIEOFF_X12Y21 NAME TIEOFF_X12Y21 SITEPROP TIEOFF_X12Y21 NUM_ARCS 0 SITEPROP TIEOFF_X12Y21 NUM_BELS 2 SITEPROP TIEOFF_X12Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y21 NUM_PINS 2 SITEPROP TIEOFF_X12Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y21 PROHIBIT 0 SITEPROP TIEOFF_X12Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y21 RPM_X 52 SITEPROP TIEOFF_X12Y21 RPM_Y 42 SITEPROP TIEOFF_X12Y21 SITE_PIPS SITEPROP TIEOFF_X12Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y22 CLASS site SITEPROP TIEOFF_X12Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y22 IS_BONDED 0 SITEPROP TIEOFF_X12Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y22 IS_PAD 0 SITEPROP TIEOFF_X12Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y22 IS_RESERVED 0 SITEPROP TIEOFF_X12Y22 IS_TEST 0 SITEPROP TIEOFF_X12Y22 IS_USED 0 SITEPROP TIEOFF_X12Y22 MANUAL_ROUTING SITEPROP TIEOFF_X12Y22 NAME TIEOFF_X12Y22 SITEPROP TIEOFF_X12Y22 NUM_ARCS 0 SITEPROP TIEOFF_X12Y22 NUM_BELS 2 SITEPROP TIEOFF_X12Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y22 NUM_PINS 2 SITEPROP TIEOFF_X12Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y22 PROHIBIT 0 SITEPROP TIEOFF_X12Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y22 RPM_X 52 SITEPROP TIEOFF_X12Y22 RPM_Y 44 SITEPROP TIEOFF_X12Y22 SITE_PIPS SITEPROP TIEOFF_X12Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y23 CLASS site SITEPROP TIEOFF_X12Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y23 IS_BONDED 0 SITEPROP TIEOFF_X12Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y23 IS_PAD 0 SITEPROP TIEOFF_X12Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y23 IS_RESERVED 0 SITEPROP TIEOFF_X12Y23 IS_TEST 0 SITEPROP TIEOFF_X12Y23 IS_USED 0 SITEPROP TIEOFF_X12Y23 MANUAL_ROUTING SITEPROP TIEOFF_X12Y23 NAME TIEOFF_X12Y23 SITEPROP TIEOFF_X12Y23 NUM_ARCS 0 SITEPROP TIEOFF_X12Y23 NUM_BELS 2 SITEPROP TIEOFF_X12Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y23 NUM_PINS 2 SITEPROP TIEOFF_X12Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y23 PROHIBIT 0 SITEPROP TIEOFF_X12Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y23 RPM_X 52 SITEPROP TIEOFF_X12Y23 RPM_Y 46 SITEPROP TIEOFF_X12Y23 SITE_PIPS SITEPROP TIEOFF_X12Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y24 CLASS site SITEPROP TIEOFF_X12Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y24 IS_BONDED 0 SITEPROP TIEOFF_X12Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y24 IS_PAD 0 SITEPROP TIEOFF_X12Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y24 IS_RESERVED 0 SITEPROP TIEOFF_X12Y24 IS_TEST 0 SITEPROP TIEOFF_X12Y24 IS_USED 0 SITEPROP TIEOFF_X12Y24 MANUAL_ROUTING SITEPROP TIEOFF_X12Y24 NAME TIEOFF_X12Y24 SITEPROP TIEOFF_X12Y24 NUM_ARCS 0 SITEPROP TIEOFF_X12Y24 NUM_BELS 2 SITEPROP TIEOFF_X12Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y24 NUM_PINS 2 SITEPROP TIEOFF_X12Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y24 PROHIBIT 0 SITEPROP TIEOFF_X12Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y24 RPM_X 52 SITEPROP TIEOFF_X12Y24 RPM_Y 48 SITEPROP TIEOFF_X12Y24 SITE_PIPS SITEPROP TIEOFF_X12Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y25 CLASS site SITEPROP TIEOFF_X12Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y25 IS_BONDED 0 SITEPROP TIEOFF_X12Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y25 IS_PAD 0 SITEPROP TIEOFF_X12Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y25 IS_RESERVED 0 SITEPROP TIEOFF_X12Y25 IS_TEST 0 SITEPROP TIEOFF_X12Y25 IS_USED 0 SITEPROP TIEOFF_X12Y25 MANUAL_ROUTING SITEPROP TIEOFF_X12Y25 NAME TIEOFF_X12Y25 SITEPROP TIEOFF_X12Y25 NUM_ARCS 0 SITEPROP TIEOFF_X12Y25 NUM_BELS 2 SITEPROP TIEOFF_X12Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y25 NUM_PINS 2 SITEPROP TIEOFF_X12Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y25 PROHIBIT 0 SITEPROP TIEOFF_X12Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y25 RPM_X 52 SITEPROP TIEOFF_X12Y25 RPM_Y 50 SITEPROP TIEOFF_X12Y25 SITE_PIPS SITEPROP TIEOFF_X12Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y26 CLASS site SITEPROP TIEOFF_X12Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y26 IS_BONDED 0 SITEPROP TIEOFF_X12Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y26 IS_PAD 0 SITEPROP TIEOFF_X12Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y26 IS_RESERVED 0 SITEPROP TIEOFF_X12Y26 IS_TEST 0 SITEPROP TIEOFF_X12Y26 IS_USED 0 SITEPROP TIEOFF_X12Y26 MANUAL_ROUTING SITEPROP TIEOFF_X12Y26 NAME TIEOFF_X12Y26 SITEPROP TIEOFF_X12Y26 NUM_ARCS 0 SITEPROP TIEOFF_X12Y26 NUM_BELS 2 SITEPROP TIEOFF_X12Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y26 NUM_PINS 2 SITEPROP TIEOFF_X12Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y26 PROHIBIT 0 SITEPROP TIEOFF_X12Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y26 RPM_X 52 SITEPROP TIEOFF_X12Y26 RPM_Y 52 SITEPROP TIEOFF_X12Y26 SITE_PIPS SITEPROP TIEOFF_X12Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y27 CLASS site SITEPROP TIEOFF_X12Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y27 IS_BONDED 0 SITEPROP TIEOFF_X12Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y27 IS_PAD 0 SITEPROP TIEOFF_X12Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y27 IS_RESERVED 0 SITEPROP TIEOFF_X12Y27 IS_TEST 0 SITEPROP TIEOFF_X12Y27 IS_USED 0 SITEPROP TIEOFF_X12Y27 MANUAL_ROUTING SITEPROP TIEOFF_X12Y27 NAME TIEOFF_X12Y27 SITEPROP TIEOFF_X12Y27 NUM_ARCS 0 SITEPROP TIEOFF_X12Y27 NUM_BELS 2 SITEPROP TIEOFF_X12Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y27 NUM_PINS 2 SITEPROP TIEOFF_X12Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y27 PROHIBIT 0 SITEPROP TIEOFF_X12Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y27 RPM_X 52 SITEPROP TIEOFF_X12Y27 RPM_Y 54 SITEPROP TIEOFF_X12Y27 SITE_PIPS SITEPROP TIEOFF_X12Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y28 CLASS site SITEPROP TIEOFF_X12Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y28 IS_BONDED 0 SITEPROP TIEOFF_X12Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y28 IS_PAD 0 SITEPROP TIEOFF_X12Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y28 IS_RESERVED 0 SITEPROP TIEOFF_X12Y28 IS_TEST 0 SITEPROP TIEOFF_X12Y28 IS_USED 0 SITEPROP TIEOFF_X12Y28 MANUAL_ROUTING SITEPROP TIEOFF_X12Y28 NAME TIEOFF_X12Y28 SITEPROP TIEOFF_X12Y28 NUM_ARCS 0 SITEPROP TIEOFF_X12Y28 NUM_BELS 2 SITEPROP TIEOFF_X12Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y28 NUM_PINS 2 SITEPROP TIEOFF_X12Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y28 PROHIBIT 0 SITEPROP TIEOFF_X12Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y28 RPM_X 52 SITEPROP TIEOFF_X12Y28 RPM_Y 56 SITEPROP TIEOFF_X12Y28 SITE_PIPS SITEPROP TIEOFF_X12Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y29 CLASS site SITEPROP TIEOFF_X12Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y29 IS_BONDED 0 SITEPROP TIEOFF_X12Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y29 IS_PAD 0 SITEPROP TIEOFF_X12Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y29 IS_RESERVED 0 SITEPROP TIEOFF_X12Y29 IS_TEST 0 SITEPROP TIEOFF_X12Y29 IS_USED 0 SITEPROP TIEOFF_X12Y29 MANUAL_ROUTING SITEPROP TIEOFF_X12Y29 NAME TIEOFF_X12Y29 SITEPROP TIEOFF_X12Y29 NUM_ARCS 0 SITEPROP TIEOFF_X12Y29 NUM_BELS 2 SITEPROP TIEOFF_X12Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y29 NUM_PINS 2 SITEPROP TIEOFF_X12Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y29 PROHIBIT 0 SITEPROP TIEOFF_X12Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y29 RPM_X 52 SITEPROP TIEOFF_X12Y29 RPM_Y 58 SITEPROP TIEOFF_X12Y29 SITE_PIPS SITEPROP TIEOFF_X12Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y30 CLASS site SITEPROP TIEOFF_X12Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y30 IS_BONDED 0 SITEPROP TIEOFF_X12Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y30 IS_PAD 0 SITEPROP TIEOFF_X12Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y30 IS_RESERVED 0 SITEPROP TIEOFF_X12Y30 IS_TEST 0 SITEPROP TIEOFF_X12Y30 IS_USED 0 SITEPROP TIEOFF_X12Y30 MANUAL_ROUTING SITEPROP TIEOFF_X12Y30 NAME TIEOFF_X12Y30 SITEPROP TIEOFF_X12Y30 NUM_ARCS 0 SITEPROP TIEOFF_X12Y30 NUM_BELS 2 SITEPROP TIEOFF_X12Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y30 NUM_PINS 2 SITEPROP TIEOFF_X12Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y30 PROHIBIT 0 SITEPROP TIEOFF_X12Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y30 RPM_X 52 SITEPROP TIEOFF_X12Y30 RPM_Y 60 SITEPROP TIEOFF_X12Y30 SITE_PIPS SITEPROP TIEOFF_X12Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y31 CLASS site SITEPROP TIEOFF_X12Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y31 IS_BONDED 0 SITEPROP TIEOFF_X12Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y31 IS_PAD 0 SITEPROP TIEOFF_X12Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y31 IS_RESERVED 0 SITEPROP TIEOFF_X12Y31 IS_TEST 0 SITEPROP TIEOFF_X12Y31 IS_USED 0 SITEPROP TIEOFF_X12Y31 MANUAL_ROUTING SITEPROP TIEOFF_X12Y31 NAME TIEOFF_X12Y31 SITEPROP TIEOFF_X12Y31 NUM_ARCS 0 SITEPROP TIEOFF_X12Y31 NUM_BELS 2 SITEPROP TIEOFF_X12Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y31 NUM_PINS 2 SITEPROP TIEOFF_X12Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y31 PROHIBIT 0 SITEPROP TIEOFF_X12Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y31 RPM_X 52 SITEPROP TIEOFF_X12Y31 RPM_Y 62 SITEPROP TIEOFF_X12Y31 SITE_PIPS SITEPROP TIEOFF_X12Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y32 CLASS site SITEPROP TIEOFF_X12Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y32 IS_BONDED 0 SITEPROP TIEOFF_X12Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y32 IS_PAD 0 SITEPROP TIEOFF_X12Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y32 IS_RESERVED 0 SITEPROP TIEOFF_X12Y32 IS_TEST 0 SITEPROP TIEOFF_X12Y32 IS_USED 0 SITEPROP TIEOFF_X12Y32 MANUAL_ROUTING SITEPROP TIEOFF_X12Y32 NAME TIEOFF_X12Y32 SITEPROP TIEOFF_X12Y32 NUM_ARCS 0 SITEPROP TIEOFF_X12Y32 NUM_BELS 2 SITEPROP TIEOFF_X12Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y32 NUM_PINS 2 SITEPROP TIEOFF_X12Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y32 PROHIBIT 0 SITEPROP TIEOFF_X12Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y32 RPM_X 52 SITEPROP TIEOFF_X12Y32 RPM_Y 64 SITEPROP TIEOFF_X12Y32 SITE_PIPS SITEPROP TIEOFF_X12Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y33 CLASS site SITEPROP TIEOFF_X12Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y33 IS_BONDED 0 SITEPROP TIEOFF_X12Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y33 IS_PAD 0 SITEPROP TIEOFF_X12Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y33 IS_RESERVED 0 SITEPROP TIEOFF_X12Y33 IS_TEST 0 SITEPROP TIEOFF_X12Y33 IS_USED 0 SITEPROP TIEOFF_X12Y33 MANUAL_ROUTING SITEPROP TIEOFF_X12Y33 NAME TIEOFF_X12Y33 SITEPROP TIEOFF_X12Y33 NUM_ARCS 0 SITEPROP TIEOFF_X12Y33 NUM_BELS 2 SITEPROP TIEOFF_X12Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y33 NUM_PINS 2 SITEPROP TIEOFF_X12Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y33 PROHIBIT 0 SITEPROP TIEOFF_X12Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y33 RPM_X 52 SITEPROP TIEOFF_X12Y33 RPM_Y 66 SITEPROP TIEOFF_X12Y33 SITE_PIPS SITEPROP TIEOFF_X12Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y34 CLASS site SITEPROP TIEOFF_X12Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y34 IS_BONDED 0 SITEPROP TIEOFF_X12Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y34 IS_PAD 0 SITEPROP TIEOFF_X12Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y34 IS_RESERVED 0 SITEPROP TIEOFF_X12Y34 IS_TEST 0 SITEPROP TIEOFF_X12Y34 IS_USED 0 SITEPROP TIEOFF_X12Y34 MANUAL_ROUTING SITEPROP TIEOFF_X12Y34 NAME TIEOFF_X12Y34 SITEPROP TIEOFF_X12Y34 NUM_ARCS 0 SITEPROP TIEOFF_X12Y34 NUM_BELS 2 SITEPROP TIEOFF_X12Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y34 NUM_PINS 2 SITEPROP TIEOFF_X12Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y34 PROHIBIT 0 SITEPROP TIEOFF_X12Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y34 RPM_X 52 SITEPROP TIEOFF_X12Y34 RPM_Y 68 SITEPROP TIEOFF_X12Y34 SITE_PIPS SITEPROP TIEOFF_X12Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y35 CLASS site SITEPROP TIEOFF_X12Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y35 IS_BONDED 0 SITEPROP TIEOFF_X12Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y35 IS_PAD 0 SITEPROP TIEOFF_X12Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y35 IS_RESERVED 0 SITEPROP TIEOFF_X12Y35 IS_TEST 0 SITEPROP TIEOFF_X12Y35 IS_USED 0 SITEPROP TIEOFF_X12Y35 MANUAL_ROUTING SITEPROP TIEOFF_X12Y35 NAME TIEOFF_X12Y35 SITEPROP TIEOFF_X12Y35 NUM_ARCS 0 SITEPROP TIEOFF_X12Y35 NUM_BELS 2 SITEPROP TIEOFF_X12Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y35 NUM_PINS 2 SITEPROP TIEOFF_X12Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y35 PROHIBIT 0 SITEPROP TIEOFF_X12Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y35 RPM_X 52 SITEPROP TIEOFF_X12Y35 RPM_Y 70 SITEPROP TIEOFF_X12Y35 SITE_PIPS SITEPROP TIEOFF_X12Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y36 CLASS site SITEPROP TIEOFF_X12Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y36 IS_BONDED 0 SITEPROP TIEOFF_X12Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y36 IS_PAD 0 SITEPROP TIEOFF_X12Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y36 IS_RESERVED 0 SITEPROP TIEOFF_X12Y36 IS_TEST 0 SITEPROP TIEOFF_X12Y36 IS_USED 0 SITEPROP TIEOFF_X12Y36 MANUAL_ROUTING SITEPROP TIEOFF_X12Y36 NAME TIEOFF_X12Y36 SITEPROP TIEOFF_X12Y36 NUM_ARCS 0 SITEPROP TIEOFF_X12Y36 NUM_BELS 2 SITEPROP TIEOFF_X12Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y36 NUM_PINS 2 SITEPROP TIEOFF_X12Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y36 PROHIBIT 0 SITEPROP TIEOFF_X12Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y36 RPM_X 52 SITEPROP TIEOFF_X12Y36 RPM_Y 72 SITEPROP TIEOFF_X12Y36 SITE_PIPS SITEPROP TIEOFF_X12Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y37 CLASS site SITEPROP TIEOFF_X12Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y37 IS_BONDED 0 SITEPROP TIEOFF_X12Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y37 IS_PAD 0 SITEPROP TIEOFF_X12Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y37 IS_RESERVED 0 SITEPROP TIEOFF_X12Y37 IS_TEST 0 SITEPROP TIEOFF_X12Y37 IS_USED 0 SITEPROP TIEOFF_X12Y37 MANUAL_ROUTING SITEPROP TIEOFF_X12Y37 NAME TIEOFF_X12Y37 SITEPROP TIEOFF_X12Y37 NUM_ARCS 0 SITEPROP TIEOFF_X12Y37 NUM_BELS 2 SITEPROP TIEOFF_X12Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y37 NUM_PINS 2 SITEPROP TIEOFF_X12Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y37 PROHIBIT 0 SITEPROP TIEOFF_X12Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y37 RPM_X 52 SITEPROP TIEOFF_X12Y37 RPM_Y 74 SITEPROP TIEOFF_X12Y37 SITE_PIPS SITEPROP TIEOFF_X12Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y38 CLASS site SITEPROP TIEOFF_X12Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y38 IS_BONDED 0 SITEPROP TIEOFF_X12Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y38 IS_PAD 0 SITEPROP TIEOFF_X12Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y38 IS_RESERVED 0 SITEPROP TIEOFF_X12Y38 IS_TEST 0 SITEPROP TIEOFF_X12Y38 IS_USED 0 SITEPROP TIEOFF_X12Y38 MANUAL_ROUTING SITEPROP TIEOFF_X12Y38 NAME TIEOFF_X12Y38 SITEPROP TIEOFF_X12Y38 NUM_ARCS 0 SITEPROP TIEOFF_X12Y38 NUM_BELS 2 SITEPROP TIEOFF_X12Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y38 NUM_PINS 2 SITEPROP TIEOFF_X12Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y38 PROHIBIT 0 SITEPROP TIEOFF_X12Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y38 RPM_X 52 SITEPROP TIEOFF_X12Y38 RPM_Y 76 SITEPROP TIEOFF_X12Y38 SITE_PIPS SITEPROP TIEOFF_X12Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y39 CLASS site SITEPROP TIEOFF_X12Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y39 IS_BONDED 0 SITEPROP TIEOFF_X12Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y39 IS_PAD 0 SITEPROP TIEOFF_X12Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y39 IS_RESERVED 0 SITEPROP TIEOFF_X12Y39 IS_TEST 0 SITEPROP TIEOFF_X12Y39 IS_USED 0 SITEPROP TIEOFF_X12Y39 MANUAL_ROUTING SITEPROP TIEOFF_X12Y39 NAME TIEOFF_X12Y39 SITEPROP TIEOFF_X12Y39 NUM_ARCS 0 SITEPROP TIEOFF_X12Y39 NUM_BELS 2 SITEPROP TIEOFF_X12Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y39 NUM_PINS 2 SITEPROP TIEOFF_X12Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y39 PROHIBIT 0 SITEPROP TIEOFF_X12Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y39 RPM_X 52 SITEPROP TIEOFF_X12Y39 RPM_Y 78 SITEPROP TIEOFF_X12Y39 SITE_PIPS SITEPROP TIEOFF_X12Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y40 CLASS site SITEPROP TIEOFF_X12Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y40 IS_BONDED 0 SITEPROP TIEOFF_X12Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y40 IS_PAD 0 SITEPROP TIEOFF_X12Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y40 IS_RESERVED 0 SITEPROP TIEOFF_X12Y40 IS_TEST 0 SITEPROP TIEOFF_X12Y40 IS_USED 0 SITEPROP TIEOFF_X12Y40 MANUAL_ROUTING SITEPROP TIEOFF_X12Y40 NAME TIEOFF_X12Y40 SITEPROP TIEOFF_X12Y40 NUM_ARCS 0 SITEPROP TIEOFF_X12Y40 NUM_BELS 2 SITEPROP TIEOFF_X12Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y40 NUM_PINS 2 SITEPROP TIEOFF_X12Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y40 PROHIBIT 0 SITEPROP TIEOFF_X12Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y40 RPM_X 52 SITEPROP TIEOFF_X12Y40 RPM_Y 80 SITEPROP TIEOFF_X12Y40 SITE_PIPS SITEPROP TIEOFF_X12Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y41 CLASS site SITEPROP TIEOFF_X12Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y41 IS_BONDED 0 SITEPROP TIEOFF_X12Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y41 IS_PAD 0 SITEPROP TIEOFF_X12Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y41 IS_RESERVED 0 SITEPROP TIEOFF_X12Y41 IS_TEST 0 SITEPROP TIEOFF_X12Y41 IS_USED 0 SITEPROP TIEOFF_X12Y41 MANUAL_ROUTING SITEPROP TIEOFF_X12Y41 NAME TIEOFF_X12Y41 SITEPROP TIEOFF_X12Y41 NUM_ARCS 0 SITEPROP TIEOFF_X12Y41 NUM_BELS 2 SITEPROP TIEOFF_X12Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y41 NUM_PINS 2 SITEPROP TIEOFF_X12Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y41 PROHIBIT 0 SITEPROP TIEOFF_X12Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y41 RPM_X 52 SITEPROP TIEOFF_X12Y41 RPM_Y 82 SITEPROP TIEOFF_X12Y41 SITE_PIPS SITEPROP TIEOFF_X12Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y42 CLASS site SITEPROP TIEOFF_X12Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y42 IS_BONDED 0 SITEPROP TIEOFF_X12Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y42 IS_PAD 0 SITEPROP TIEOFF_X12Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y42 IS_RESERVED 0 SITEPROP TIEOFF_X12Y42 IS_TEST 0 SITEPROP TIEOFF_X12Y42 IS_USED 0 SITEPROP TIEOFF_X12Y42 MANUAL_ROUTING SITEPROP TIEOFF_X12Y42 NAME TIEOFF_X12Y42 SITEPROP TIEOFF_X12Y42 NUM_ARCS 0 SITEPROP TIEOFF_X12Y42 NUM_BELS 2 SITEPROP TIEOFF_X12Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y42 NUM_PINS 2 SITEPROP TIEOFF_X12Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y42 PROHIBIT 0 SITEPROP TIEOFF_X12Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y42 RPM_X 52 SITEPROP TIEOFF_X12Y42 RPM_Y 84 SITEPROP TIEOFF_X12Y42 SITE_PIPS SITEPROP TIEOFF_X12Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y43 CLASS site SITEPROP TIEOFF_X12Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y43 IS_BONDED 0 SITEPROP TIEOFF_X12Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y43 IS_PAD 0 SITEPROP TIEOFF_X12Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y43 IS_RESERVED 0 SITEPROP TIEOFF_X12Y43 IS_TEST 0 SITEPROP TIEOFF_X12Y43 IS_USED 0 SITEPROP TIEOFF_X12Y43 MANUAL_ROUTING SITEPROP TIEOFF_X12Y43 NAME TIEOFF_X12Y43 SITEPROP TIEOFF_X12Y43 NUM_ARCS 0 SITEPROP TIEOFF_X12Y43 NUM_BELS 2 SITEPROP TIEOFF_X12Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y43 NUM_PINS 2 SITEPROP TIEOFF_X12Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y43 PROHIBIT 0 SITEPROP TIEOFF_X12Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y43 RPM_X 52 SITEPROP TIEOFF_X12Y43 RPM_Y 86 SITEPROP TIEOFF_X12Y43 SITE_PIPS SITEPROP TIEOFF_X12Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y44 CLASS site SITEPROP TIEOFF_X12Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y44 IS_BONDED 0 SITEPROP TIEOFF_X12Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y44 IS_PAD 0 SITEPROP TIEOFF_X12Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y44 IS_RESERVED 0 SITEPROP TIEOFF_X12Y44 IS_TEST 0 SITEPROP TIEOFF_X12Y44 IS_USED 0 SITEPROP TIEOFF_X12Y44 MANUAL_ROUTING SITEPROP TIEOFF_X12Y44 NAME TIEOFF_X12Y44 SITEPROP TIEOFF_X12Y44 NUM_ARCS 0 SITEPROP TIEOFF_X12Y44 NUM_BELS 2 SITEPROP TIEOFF_X12Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y44 NUM_PINS 2 SITEPROP TIEOFF_X12Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y44 PROHIBIT 0 SITEPROP TIEOFF_X12Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y44 RPM_X 52 SITEPROP TIEOFF_X12Y44 RPM_Y 88 SITEPROP TIEOFF_X12Y44 SITE_PIPS SITEPROP TIEOFF_X12Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y45 CLASS site SITEPROP TIEOFF_X12Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y45 IS_BONDED 0 SITEPROP TIEOFF_X12Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y45 IS_PAD 0 SITEPROP TIEOFF_X12Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y45 IS_RESERVED 0 SITEPROP TIEOFF_X12Y45 IS_TEST 0 SITEPROP TIEOFF_X12Y45 IS_USED 0 SITEPROP TIEOFF_X12Y45 MANUAL_ROUTING SITEPROP TIEOFF_X12Y45 NAME TIEOFF_X12Y45 SITEPROP TIEOFF_X12Y45 NUM_ARCS 0 SITEPROP TIEOFF_X12Y45 NUM_BELS 2 SITEPROP TIEOFF_X12Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y45 NUM_PINS 2 SITEPROP TIEOFF_X12Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y45 PROHIBIT 0 SITEPROP TIEOFF_X12Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y45 RPM_X 52 SITEPROP TIEOFF_X12Y45 RPM_Y 90 SITEPROP TIEOFF_X12Y45 SITE_PIPS SITEPROP TIEOFF_X12Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y46 CLASS site SITEPROP TIEOFF_X12Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y46 IS_BONDED 0 SITEPROP TIEOFF_X12Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y46 IS_PAD 0 SITEPROP TIEOFF_X12Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y46 IS_RESERVED 0 SITEPROP TIEOFF_X12Y46 IS_TEST 0 SITEPROP TIEOFF_X12Y46 IS_USED 0 SITEPROP TIEOFF_X12Y46 MANUAL_ROUTING SITEPROP TIEOFF_X12Y46 NAME TIEOFF_X12Y46 SITEPROP TIEOFF_X12Y46 NUM_ARCS 0 SITEPROP TIEOFF_X12Y46 NUM_BELS 2 SITEPROP TIEOFF_X12Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y46 NUM_PINS 2 SITEPROP TIEOFF_X12Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y46 PROHIBIT 0 SITEPROP TIEOFF_X12Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y46 RPM_X 52 SITEPROP TIEOFF_X12Y46 RPM_Y 92 SITEPROP TIEOFF_X12Y46 SITE_PIPS SITEPROP TIEOFF_X12Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y47 CLASS site SITEPROP TIEOFF_X12Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y47 IS_BONDED 0 SITEPROP TIEOFF_X12Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y47 IS_PAD 0 SITEPROP TIEOFF_X12Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y47 IS_RESERVED 0 SITEPROP TIEOFF_X12Y47 IS_TEST 0 SITEPROP TIEOFF_X12Y47 IS_USED 0 SITEPROP TIEOFF_X12Y47 MANUAL_ROUTING SITEPROP TIEOFF_X12Y47 NAME TIEOFF_X12Y47 SITEPROP TIEOFF_X12Y47 NUM_ARCS 0 SITEPROP TIEOFF_X12Y47 NUM_BELS 2 SITEPROP TIEOFF_X12Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y47 NUM_PINS 2 SITEPROP TIEOFF_X12Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y47 PROHIBIT 0 SITEPROP TIEOFF_X12Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y47 RPM_X 52 SITEPROP TIEOFF_X12Y47 RPM_Y 94 SITEPROP TIEOFF_X12Y47 SITE_PIPS SITEPROP TIEOFF_X12Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y48 CLASS site SITEPROP TIEOFF_X12Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y48 IS_BONDED 0 SITEPROP TIEOFF_X12Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y48 IS_PAD 0 SITEPROP TIEOFF_X12Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y48 IS_RESERVED 0 SITEPROP TIEOFF_X12Y48 IS_TEST 0 SITEPROP TIEOFF_X12Y48 IS_USED 0 SITEPROP TIEOFF_X12Y48 MANUAL_ROUTING SITEPROP TIEOFF_X12Y48 NAME TIEOFF_X12Y48 SITEPROP TIEOFF_X12Y48 NUM_ARCS 0 SITEPROP TIEOFF_X12Y48 NUM_BELS 2 SITEPROP TIEOFF_X12Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y48 NUM_PINS 2 SITEPROP TIEOFF_X12Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y48 PROHIBIT 0 SITEPROP TIEOFF_X12Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y48 RPM_X 52 SITEPROP TIEOFF_X12Y48 RPM_Y 96 SITEPROP TIEOFF_X12Y48 SITE_PIPS SITEPROP TIEOFF_X12Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y49 CLASS site SITEPROP TIEOFF_X12Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X12Y49 IS_BONDED 0 SITEPROP TIEOFF_X12Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y49 IS_PAD 0 SITEPROP TIEOFF_X12Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y49 IS_RESERVED 0 SITEPROP TIEOFF_X12Y49 IS_TEST 0 SITEPROP TIEOFF_X12Y49 IS_USED 0 SITEPROP TIEOFF_X12Y49 MANUAL_ROUTING SITEPROP TIEOFF_X12Y49 NAME TIEOFF_X12Y49 SITEPROP TIEOFF_X12Y49 NUM_ARCS 0 SITEPROP TIEOFF_X12Y49 NUM_BELS 2 SITEPROP TIEOFF_X12Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y49 NUM_PINS 2 SITEPROP TIEOFF_X12Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y49 PROHIBIT 0 SITEPROP TIEOFF_X12Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y49 RPM_X 52 SITEPROP TIEOFF_X12Y49 RPM_Y 98 SITEPROP TIEOFF_X12Y49 SITE_PIPS SITEPROP TIEOFF_X12Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y50 CLASS site SITEPROP TIEOFF_X12Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y50 IS_BONDED 0 SITEPROP TIEOFF_X12Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y50 IS_PAD 0 SITEPROP TIEOFF_X12Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y50 IS_RESERVED 0 SITEPROP TIEOFF_X12Y50 IS_TEST 0 SITEPROP TIEOFF_X12Y50 IS_USED 0 SITEPROP TIEOFF_X12Y50 MANUAL_ROUTING SITEPROP TIEOFF_X12Y50 NAME TIEOFF_X12Y50 SITEPROP TIEOFF_X12Y50 NUM_ARCS 0 SITEPROP TIEOFF_X12Y50 NUM_BELS 2 SITEPROP TIEOFF_X12Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y50 NUM_PINS 2 SITEPROP TIEOFF_X12Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y50 PROHIBIT 0 SITEPROP TIEOFF_X12Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y50 RPM_X 52 SITEPROP TIEOFF_X12Y50 RPM_Y 100 SITEPROP TIEOFF_X12Y50 SITE_PIPS SITEPROP TIEOFF_X12Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y51 CLASS site SITEPROP TIEOFF_X12Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y51 IS_BONDED 0 SITEPROP TIEOFF_X12Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y51 IS_PAD 0 SITEPROP TIEOFF_X12Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y51 IS_RESERVED 0 SITEPROP TIEOFF_X12Y51 IS_TEST 0 SITEPROP TIEOFF_X12Y51 IS_USED 0 SITEPROP TIEOFF_X12Y51 MANUAL_ROUTING SITEPROP TIEOFF_X12Y51 NAME TIEOFF_X12Y51 SITEPROP TIEOFF_X12Y51 NUM_ARCS 0 SITEPROP TIEOFF_X12Y51 NUM_BELS 2 SITEPROP TIEOFF_X12Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y51 NUM_PINS 2 SITEPROP TIEOFF_X12Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y51 PROHIBIT 0 SITEPROP TIEOFF_X12Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y51 RPM_X 52 SITEPROP TIEOFF_X12Y51 RPM_Y 102 SITEPROP TIEOFF_X12Y51 SITE_PIPS SITEPROP TIEOFF_X12Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y52 CLASS site SITEPROP TIEOFF_X12Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y52 IS_BONDED 0 SITEPROP TIEOFF_X12Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y52 IS_PAD 0 SITEPROP TIEOFF_X12Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y52 IS_RESERVED 0 SITEPROP TIEOFF_X12Y52 IS_TEST 0 SITEPROP TIEOFF_X12Y52 IS_USED 0 SITEPROP TIEOFF_X12Y52 MANUAL_ROUTING SITEPROP TIEOFF_X12Y52 NAME TIEOFF_X12Y52 SITEPROP TIEOFF_X12Y52 NUM_ARCS 0 SITEPROP TIEOFF_X12Y52 NUM_BELS 2 SITEPROP TIEOFF_X12Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y52 NUM_PINS 2 SITEPROP TIEOFF_X12Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y52 PROHIBIT 0 SITEPROP TIEOFF_X12Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y52 RPM_X 52 SITEPROP TIEOFF_X12Y52 RPM_Y 104 SITEPROP TIEOFF_X12Y52 SITE_PIPS SITEPROP TIEOFF_X12Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y53 CLASS site SITEPROP TIEOFF_X12Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y53 IS_BONDED 0 SITEPROP TIEOFF_X12Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y53 IS_PAD 0 SITEPROP TIEOFF_X12Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y53 IS_RESERVED 0 SITEPROP TIEOFF_X12Y53 IS_TEST 0 SITEPROP TIEOFF_X12Y53 IS_USED 0 SITEPROP TIEOFF_X12Y53 MANUAL_ROUTING SITEPROP TIEOFF_X12Y53 NAME TIEOFF_X12Y53 SITEPROP TIEOFF_X12Y53 NUM_ARCS 0 SITEPROP TIEOFF_X12Y53 NUM_BELS 2 SITEPROP TIEOFF_X12Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y53 NUM_PINS 2 SITEPROP TIEOFF_X12Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y53 PROHIBIT 0 SITEPROP TIEOFF_X12Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y53 RPM_X 52 SITEPROP TIEOFF_X12Y53 RPM_Y 106 SITEPROP TIEOFF_X12Y53 SITE_PIPS SITEPROP TIEOFF_X12Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y54 CLASS site SITEPROP TIEOFF_X12Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y54 IS_BONDED 0 SITEPROP TIEOFF_X12Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y54 IS_PAD 0 SITEPROP TIEOFF_X12Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y54 IS_RESERVED 0 SITEPROP TIEOFF_X12Y54 IS_TEST 0 SITEPROP TIEOFF_X12Y54 IS_USED 0 SITEPROP TIEOFF_X12Y54 MANUAL_ROUTING SITEPROP TIEOFF_X12Y54 NAME TIEOFF_X12Y54 SITEPROP TIEOFF_X12Y54 NUM_ARCS 0 SITEPROP TIEOFF_X12Y54 NUM_BELS 2 SITEPROP TIEOFF_X12Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y54 NUM_PINS 2 SITEPROP TIEOFF_X12Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y54 PROHIBIT 0 SITEPROP TIEOFF_X12Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y54 RPM_X 52 SITEPROP TIEOFF_X12Y54 RPM_Y 108 SITEPROP TIEOFF_X12Y54 SITE_PIPS SITEPROP TIEOFF_X12Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y55 CLASS site SITEPROP TIEOFF_X12Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y55 IS_BONDED 0 SITEPROP TIEOFF_X12Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y55 IS_PAD 0 SITEPROP TIEOFF_X12Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y55 IS_RESERVED 0 SITEPROP TIEOFF_X12Y55 IS_TEST 0 SITEPROP TIEOFF_X12Y55 IS_USED 0 SITEPROP TIEOFF_X12Y55 MANUAL_ROUTING SITEPROP TIEOFF_X12Y55 NAME TIEOFF_X12Y55 SITEPROP TIEOFF_X12Y55 NUM_ARCS 0 SITEPROP TIEOFF_X12Y55 NUM_BELS 2 SITEPROP TIEOFF_X12Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y55 NUM_PINS 2 SITEPROP TIEOFF_X12Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y55 PROHIBIT 0 SITEPROP TIEOFF_X12Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y55 RPM_X 52 SITEPROP TIEOFF_X12Y55 RPM_Y 110 SITEPROP TIEOFF_X12Y55 SITE_PIPS SITEPROP TIEOFF_X12Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y56 CLASS site SITEPROP TIEOFF_X12Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y56 IS_BONDED 0 SITEPROP TIEOFF_X12Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y56 IS_PAD 0 SITEPROP TIEOFF_X12Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y56 IS_RESERVED 0 SITEPROP TIEOFF_X12Y56 IS_TEST 0 SITEPROP TIEOFF_X12Y56 IS_USED 0 SITEPROP TIEOFF_X12Y56 MANUAL_ROUTING SITEPROP TIEOFF_X12Y56 NAME TIEOFF_X12Y56 SITEPROP TIEOFF_X12Y56 NUM_ARCS 0 SITEPROP TIEOFF_X12Y56 NUM_BELS 2 SITEPROP TIEOFF_X12Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y56 NUM_PINS 2 SITEPROP TIEOFF_X12Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y56 PROHIBIT 0 SITEPROP TIEOFF_X12Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y56 RPM_X 52 SITEPROP TIEOFF_X12Y56 RPM_Y 112 SITEPROP TIEOFF_X12Y56 SITE_PIPS SITEPROP TIEOFF_X12Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y57 CLASS site SITEPROP TIEOFF_X12Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y57 IS_BONDED 0 SITEPROP TIEOFF_X12Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y57 IS_PAD 0 SITEPROP TIEOFF_X12Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y57 IS_RESERVED 0 SITEPROP TIEOFF_X12Y57 IS_TEST 0 SITEPROP TIEOFF_X12Y57 IS_USED 0 SITEPROP TIEOFF_X12Y57 MANUAL_ROUTING SITEPROP TIEOFF_X12Y57 NAME TIEOFF_X12Y57 SITEPROP TIEOFF_X12Y57 NUM_ARCS 0 SITEPROP TIEOFF_X12Y57 NUM_BELS 2 SITEPROP TIEOFF_X12Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y57 NUM_PINS 2 SITEPROP TIEOFF_X12Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y57 PROHIBIT 0 SITEPROP TIEOFF_X12Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y57 RPM_X 52 SITEPROP TIEOFF_X12Y57 RPM_Y 114 SITEPROP TIEOFF_X12Y57 SITE_PIPS SITEPROP TIEOFF_X12Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y58 CLASS site SITEPROP TIEOFF_X12Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y58 IS_BONDED 0 SITEPROP TIEOFF_X12Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y58 IS_PAD 0 SITEPROP TIEOFF_X12Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y58 IS_RESERVED 0 SITEPROP TIEOFF_X12Y58 IS_TEST 0 SITEPROP TIEOFF_X12Y58 IS_USED 0 SITEPROP TIEOFF_X12Y58 MANUAL_ROUTING SITEPROP TIEOFF_X12Y58 NAME TIEOFF_X12Y58 SITEPROP TIEOFF_X12Y58 NUM_ARCS 0 SITEPROP TIEOFF_X12Y58 NUM_BELS 2 SITEPROP TIEOFF_X12Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y58 NUM_PINS 2 SITEPROP TIEOFF_X12Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y58 PROHIBIT 0 SITEPROP TIEOFF_X12Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y58 RPM_X 52 SITEPROP TIEOFF_X12Y58 RPM_Y 116 SITEPROP TIEOFF_X12Y58 SITE_PIPS SITEPROP TIEOFF_X12Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y59 CLASS site SITEPROP TIEOFF_X12Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y59 IS_BONDED 0 SITEPROP TIEOFF_X12Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y59 IS_PAD 0 SITEPROP TIEOFF_X12Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y59 IS_RESERVED 0 SITEPROP TIEOFF_X12Y59 IS_TEST 0 SITEPROP TIEOFF_X12Y59 IS_USED 0 SITEPROP TIEOFF_X12Y59 MANUAL_ROUTING SITEPROP TIEOFF_X12Y59 NAME TIEOFF_X12Y59 SITEPROP TIEOFF_X12Y59 NUM_ARCS 0 SITEPROP TIEOFF_X12Y59 NUM_BELS 2 SITEPROP TIEOFF_X12Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y59 NUM_PINS 2 SITEPROP TIEOFF_X12Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y59 PROHIBIT 0 SITEPROP TIEOFF_X12Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y59 RPM_X 52 SITEPROP TIEOFF_X12Y59 RPM_Y 118 SITEPROP TIEOFF_X12Y59 SITE_PIPS SITEPROP TIEOFF_X12Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y60 CLASS site SITEPROP TIEOFF_X12Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y60 IS_BONDED 0 SITEPROP TIEOFF_X12Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y60 IS_PAD 0 SITEPROP TIEOFF_X12Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y60 IS_RESERVED 0 SITEPROP TIEOFF_X12Y60 IS_TEST 0 SITEPROP TIEOFF_X12Y60 IS_USED 0 SITEPROP TIEOFF_X12Y60 MANUAL_ROUTING SITEPROP TIEOFF_X12Y60 NAME TIEOFF_X12Y60 SITEPROP TIEOFF_X12Y60 NUM_ARCS 0 SITEPROP TIEOFF_X12Y60 NUM_BELS 2 SITEPROP TIEOFF_X12Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y60 NUM_PINS 2 SITEPROP TIEOFF_X12Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y60 PROHIBIT 0 SITEPROP TIEOFF_X12Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y60 RPM_X 52 SITEPROP TIEOFF_X12Y60 RPM_Y 120 SITEPROP TIEOFF_X12Y60 SITE_PIPS SITEPROP TIEOFF_X12Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y61 CLASS site SITEPROP TIEOFF_X12Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y61 IS_BONDED 0 SITEPROP TIEOFF_X12Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y61 IS_PAD 0 SITEPROP TIEOFF_X12Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y61 IS_RESERVED 0 SITEPROP TIEOFF_X12Y61 IS_TEST 0 SITEPROP TIEOFF_X12Y61 IS_USED 0 SITEPROP TIEOFF_X12Y61 MANUAL_ROUTING SITEPROP TIEOFF_X12Y61 NAME TIEOFF_X12Y61 SITEPROP TIEOFF_X12Y61 NUM_ARCS 0 SITEPROP TIEOFF_X12Y61 NUM_BELS 2 SITEPROP TIEOFF_X12Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y61 NUM_PINS 2 SITEPROP TIEOFF_X12Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y61 PROHIBIT 0 SITEPROP TIEOFF_X12Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y61 RPM_X 52 SITEPROP TIEOFF_X12Y61 RPM_Y 122 SITEPROP TIEOFF_X12Y61 SITE_PIPS SITEPROP TIEOFF_X12Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y62 CLASS site SITEPROP TIEOFF_X12Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y62 IS_BONDED 0 SITEPROP TIEOFF_X12Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y62 IS_PAD 0 SITEPROP TIEOFF_X12Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y62 IS_RESERVED 0 SITEPROP TIEOFF_X12Y62 IS_TEST 0 SITEPROP TIEOFF_X12Y62 IS_USED 0 SITEPROP TIEOFF_X12Y62 MANUAL_ROUTING SITEPROP TIEOFF_X12Y62 NAME TIEOFF_X12Y62 SITEPROP TIEOFF_X12Y62 NUM_ARCS 0 SITEPROP TIEOFF_X12Y62 NUM_BELS 2 SITEPROP TIEOFF_X12Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y62 NUM_PINS 2 SITEPROP TIEOFF_X12Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y62 PROHIBIT 0 SITEPROP TIEOFF_X12Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y62 RPM_X 52 SITEPROP TIEOFF_X12Y62 RPM_Y 124 SITEPROP TIEOFF_X12Y62 SITE_PIPS SITEPROP TIEOFF_X12Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y63 CLASS site SITEPROP TIEOFF_X12Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y63 IS_BONDED 0 SITEPROP TIEOFF_X12Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y63 IS_PAD 0 SITEPROP TIEOFF_X12Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y63 IS_RESERVED 0 SITEPROP TIEOFF_X12Y63 IS_TEST 0 SITEPROP TIEOFF_X12Y63 IS_USED 0 SITEPROP TIEOFF_X12Y63 MANUAL_ROUTING SITEPROP TIEOFF_X12Y63 NAME TIEOFF_X12Y63 SITEPROP TIEOFF_X12Y63 NUM_ARCS 0 SITEPROP TIEOFF_X12Y63 NUM_BELS 2 SITEPROP TIEOFF_X12Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y63 NUM_PINS 2 SITEPROP TIEOFF_X12Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y63 PROHIBIT 0 SITEPROP TIEOFF_X12Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y63 RPM_X 52 SITEPROP TIEOFF_X12Y63 RPM_Y 126 SITEPROP TIEOFF_X12Y63 SITE_PIPS SITEPROP TIEOFF_X12Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y64 CLASS site SITEPROP TIEOFF_X12Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y64 IS_BONDED 0 SITEPROP TIEOFF_X12Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y64 IS_PAD 0 SITEPROP TIEOFF_X12Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y64 IS_RESERVED 0 SITEPROP TIEOFF_X12Y64 IS_TEST 0 SITEPROP TIEOFF_X12Y64 IS_USED 0 SITEPROP TIEOFF_X12Y64 MANUAL_ROUTING SITEPROP TIEOFF_X12Y64 NAME TIEOFF_X12Y64 SITEPROP TIEOFF_X12Y64 NUM_ARCS 0 SITEPROP TIEOFF_X12Y64 NUM_BELS 2 SITEPROP TIEOFF_X12Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y64 NUM_PINS 2 SITEPROP TIEOFF_X12Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y64 PROHIBIT 0 SITEPROP TIEOFF_X12Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y64 RPM_X 52 SITEPROP TIEOFF_X12Y64 RPM_Y 128 SITEPROP TIEOFF_X12Y64 SITE_PIPS SITEPROP TIEOFF_X12Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y65 CLASS site SITEPROP TIEOFF_X12Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y65 IS_BONDED 0 SITEPROP TIEOFF_X12Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y65 IS_PAD 0 SITEPROP TIEOFF_X12Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y65 IS_RESERVED 0 SITEPROP TIEOFF_X12Y65 IS_TEST 0 SITEPROP TIEOFF_X12Y65 IS_USED 0 SITEPROP TIEOFF_X12Y65 MANUAL_ROUTING SITEPROP TIEOFF_X12Y65 NAME TIEOFF_X12Y65 SITEPROP TIEOFF_X12Y65 NUM_ARCS 0 SITEPROP TIEOFF_X12Y65 NUM_BELS 2 SITEPROP TIEOFF_X12Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y65 NUM_PINS 2 SITEPROP TIEOFF_X12Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y65 PROHIBIT 0 SITEPROP TIEOFF_X12Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y65 RPM_X 52 SITEPROP TIEOFF_X12Y65 RPM_Y 130 SITEPROP TIEOFF_X12Y65 SITE_PIPS SITEPROP TIEOFF_X12Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y66 CLASS site SITEPROP TIEOFF_X12Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y66 IS_BONDED 0 SITEPROP TIEOFF_X12Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y66 IS_PAD 0 SITEPROP TIEOFF_X12Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y66 IS_RESERVED 0 SITEPROP TIEOFF_X12Y66 IS_TEST 0 SITEPROP TIEOFF_X12Y66 IS_USED 0 SITEPROP TIEOFF_X12Y66 MANUAL_ROUTING SITEPROP TIEOFF_X12Y66 NAME TIEOFF_X12Y66 SITEPROP TIEOFF_X12Y66 NUM_ARCS 0 SITEPROP TIEOFF_X12Y66 NUM_BELS 2 SITEPROP TIEOFF_X12Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y66 NUM_PINS 2 SITEPROP TIEOFF_X12Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y66 PROHIBIT 0 SITEPROP TIEOFF_X12Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y66 RPM_X 52 SITEPROP TIEOFF_X12Y66 RPM_Y 132 SITEPROP TIEOFF_X12Y66 SITE_PIPS SITEPROP TIEOFF_X12Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y67 CLASS site SITEPROP TIEOFF_X12Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y67 IS_BONDED 0 SITEPROP TIEOFF_X12Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y67 IS_PAD 0 SITEPROP TIEOFF_X12Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y67 IS_RESERVED 0 SITEPROP TIEOFF_X12Y67 IS_TEST 0 SITEPROP TIEOFF_X12Y67 IS_USED 0 SITEPROP TIEOFF_X12Y67 MANUAL_ROUTING SITEPROP TIEOFF_X12Y67 NAME TIEOFF_X12Y67 SITEPROP TIEOFF_X12Y67 NUM_ARCS 0 SITEPROP TIEOFF_X12Y67 NUM_BELS 2 SITEPROP TIEOFF_X12Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y67 NUM_PINS 2 SITEPROP TIEOFF_X12Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y67 PROHIBIT 0 SITEPROP TIEOFF_X12Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y67 RPM_X 52 SITEPROP TIEOFF_X12Y67 RPM_Y 134 SITEPROP TIEOFF_X12Y67 SITE_PIPS SITEPROP TIEOFF_X12Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y68 CLASS site SITEPROP TIEOFF_X12Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y68 IS_BONDED 0 SITEPROP TIEOFF_X12Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y68 IS_PAD 0 SITEPROP TIEOFF_X12Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y68 IS_RESERVED 0 SITEPROP TIEOFF_X12Y68 IS_TEST 0 SITEPROP TIEOFF_X12Y68 IS_USED 0 SITEPROP TIEOFF_X12Y68 MANUAL_ROUTING SITEPROP TIEOFF_X12Y68 NAME TIEOFF_X12Y68 SITEPROP TIEOFF_X12Y68 NUM_ARCS 0 SITEPROP TIEOFF_X12Y68 NUM_BELS 2 SITEPROP TIEOFF_X12Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y68 NUM_PINS 2 SITEPROP TIEOFF_X12Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y68 PROHIBIT 0 SITEPROP TIEOFF_X12Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y68 RPM_X 52 SITEPROP TIEOFF_X12Y68 RPM_Y 136 SITEPROP TIEOFF_X12Y68 SITE_PIPS SITEPROP TIEOFF_X12Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y69 CLASS site SITEPROP TIEOFF_X12Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y69 IS_BONDED 0 SITEPROP TIEOFF_X12Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y69 IS_PAD 0 SITEPROP TIEOFF_X12Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y69 IS_RESERVED 0 SITEPROP TIEOFF_X12Y69 IS_TEST 0 SITEPROP TIEOFF_X12Y69 IS_USED 0 SITEPROP TIEOFF_X12Y69 MANUAL_ROUTING SITEPROP TIEOFF_X12Y69 NAME TIEOFF_X12Y69 SITEPROP TIEOFF_X12Y69 NUM_ARCS 0 SITEPROP TIEOFF_X12Y69 NUM_BELS 2 SITEPROP TIEOFF_X12Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y69 NUM_PINS 2 SITEPROP TIEOFF_X12Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y69 PROHIBIT 0 SITEPROP TIEOFF_X12Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y69 RPM_X 52 SITEPROP TIEOFF_X12Y69 RPM_Y 138 SITEPROP TIEOFF_X12Y69 SITE_PIPS SITEPROP TIEOFF_X12Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y70 CLASS site SITEPROP TIEOFF_X12Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y70 IS_BONDED 0 SITEPROP TIEOFF_X12Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y70 IS_PAD 0 SITEPROP TIEOFF_X12Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y70 IS_RESERVED 0 SITEPROP TIEOFF_X12Y70 IS_TEST 0 SITEPROP TIEOFF_X12Y70 IS_USED 0 SITEPROP TIEOFF_X12Y70 MANUAL_ROUTING SITEPROP TIEOFF_X12Y70 NAME TIEOFF_X12Y70 SITEPROP TIEOFF_X12Y70 NUM_ARCS 0 SITEPROP TIEOFF_X12Y70 NUM_BELS 2 SITEPROP TIEOFF_X12Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y70 NUM_PINS 2 SITEPROP TIEOFF_X12Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y70 PROHIBIT 0 SITEPROP TIEOFF_X12Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y70 RPM_X 52 SITEPROP TIEOFF_X12Y70 RPM_Y 140 SITEPROP TIEOFF_X12Y70 SITE_PIPS SITEPROP TIEOFF_X12Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y71 CLASS site SITEPROP TIEOFF_X12Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y71 IS_BONDED 0 SITEPROP TIEOFF_X12Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y71 IS_PAD 0 SITEPROP TIEOFF_X12Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y71 IS_RESERVED 0 SITEPROP TIEOFF_X12Y71 IS_TEST 0 SITEPROP TIEOFF_X12Y71 IS_USED 0 SITEPROP TIEOFF_X12Y71 MANUAL_ROUTING SITEPROP TIEOFF_X12Y71 NAME TIEOFF_X12Y71 SITEPROP TIEOFF_X12Y71 NUM_ARCS 0 SITEPROP TIEOFF_X12Y71 NUM_BELS 2 SITEPROP TIEOFF_X12Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y71 NUM_PINS 2 SITEPROP TIEOFF_X12Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y71 PROHIBIT 0 SITEPROP TIEOFF_X12Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y71 RPM_X 52 SITEPROP TIEOFF_X12Y71 RPM_Y 142 SITEPROP TIEOFF_X12Y71 SITE_PIPS SITEPROP TIEOFF_X12Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y72 CLASS site SITEPROP TIEOFF_X12Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y72 IS_BONDED 0 SITEPROP TIEOFF_X12Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y72 IS_PAD 0 SITEPROP TIEOFF_X12Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y72 IS_RESERVED 0 SITEPROP TIEOFF_X12Y72 IS_TEST 0 SITEPROP TIEOFF_X12Y72 IS_USED 0 SITEPROP TIEOFF_X12Y72 MANUAL_ROUTING SITEPROP TIEOFF_X12Y72 NAME TIEOFF_X12Y72 SITEPROP TIEOFF_X12Y72 NUM_ARCS 0 SITEPROP TIEOFF_X12Y72 NUM_BELS 2 SITEPROP TIEOFF_X12Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y72 NUM_PINS 2 SITEPROP TIEOFF_X12Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y72 PROHIBIT 0 SITEPROP TIEOFF_X12Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y72 RPM_X 52 SITEPROP TIEOFF_X12Y72 RPM_Y 144 SITEPROP TIEOFF_X12Y72 SITE_PIPS SITEPROP TIEOFF_X12Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y73 CLASS site SITEPROP TIEOFF_X12Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y73 IS_BONDED 0 SITEPROP TIEOFF_X12Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y73 IS_PAD 0 SITEPROP TIEOFF_X12Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y73 IS_RESERVED 0 SITEPROP TIEOFF_X12Y73 IS_TEST 0 SITEPROP TIEOFF_X12Y73 IS_USED 0 SITEPROP TIEOFF_X12Y73 MANUAL_ROUTING SITEPROP TIEOFF_X12Y73 NAME TIEOFF_X12Y73 SITEPROP TIEOFF_X12Y73 NUM_ARCS 0 SITEPROP TIEOFF_X12Y73 NUM_BELS 2 SITEPROP TIEOFF_X12Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y73 NUM_PINS 2 SITEPROP TIEOFF_X12Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y73 PROHIBIT 0 SITEPROP TIEOFF_X12Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y73 RPM_X 52 SITEPROP TIEOFF_X12Y73 RPM_Y 146 SITEPROP TIEOFF_X12Y73 SITE_PIPS SITEPROP TIEOFF_X12Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y74 CLASS site SITEPROP TIEOFF_X12Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y74 IS_BONDED 0 SITEPROP TIEOFF_X12Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y74 IS_PAD 0 SITEPROP TIEOFF_X12Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y74 IS_RESERVED 0 SITEPROP TIEOFF_X12Y74 IS_TEST 0 SITEPROP TIEOFF_X12Y74 IS_USED 0 SITEPROP TIEOFF_X12Y74 MANUAL_ROUTING SITEPROP TIEOFF_X12Y74 NAME TIEOFF_X12Y74 SITEPROP TIEOFF_X12Y74 NUM_ARCS 0 SITEPROP TIEOFF_X12Y74 NUM_BELS 2 SITEPROP TIEOFF_X12Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y74 NUM_PINS 2 SITEPROP TIEOFF_X12Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y74 PROHIBIT 0 SITEPROP TIEOFF_X12Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y74 RPM_X 52 SITEPROP TIEOFF_X12Y74 RPM_Y 148 SITEPROP TIEOFF_X12Y74 SITE_PIPS SITEPROP TIEOFF_X12Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y75 CLASS site SITEPROP TIEOFF_X12Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y75 IS_BONDED 0 SITEPROP TIEOFF_X12Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y75 IS_PAD 0 SITEPROP TIEOFF_X12Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y75 IS_RESERVED 0 SITEPROP TIEOFF_X12Y75 IS_TEST 0 SITEPROP TIEOFF_X12Y75 IS_USED 0 SITEPROP TIEOFF_X12Y75 MANUAL_ROUTING SITEPROP TIEOFF_X12Y75 NAME TIEOFF_X12Y75 SITEPROP TIEOFF_X12Y75 NUM_ARCS 0 SITEPROP TIEOFF_X12Y75 NUM_BELS 2 SITEPROP TIEOFF_X12Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y75 NUM_PINS 2 SITEPROP TIEOFF_X12Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y75 PROHIBIT 0 SITEPROP TIEOFF_X12Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y75 RPM_X 52 SITEPROP TIEOFF_X12Y75 RPM_Y 150 SITEPROP TIEOFF_X12Y75 SITE_PIPS SITEPROP TIEOFF_X12Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y76 CLASS site SITEPROP TIEOFF_X12Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y76 IS_BONDED 0 SITEPROP TIEOFF_X12Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y76 IS_PAD 0 SITEPROP TIEOFF_X12Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y76 IS_RESERVED 0 SITEPROP TIEOFF_X12Y76 IS_TEST 0 SITEPROP TIEOFF_X12Y76 IS_USED 0 SITEPROP TIEOFF_X12Y76 MANUAL_ROUTING SITEPROP TIEOFF_X12Y76 NAME TIEOFF_X12Y76 SITEPROP TIEOFF_X12Y76 NUM_ARCS 0 SITEPROP TIEOFF_X12Y76 NUM_BELS 2 SITEPROP TIEOFF_X12Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y76 NUM_PINS 2 SITEPROP TIEOFF_X12Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y76 PROHIBIT 0 SITEPROP TIEOFF_X12Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y76 RPM_X 52 SITEPROP TIEOFF_X12Y76 RPM_Y 152 SITEPROP TIEOFF_X12Y76 SITE_PIPS SITEPROP TIEOFF_X12Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y77 CLASS site SITEPROP TIEOFF_X12Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y77 IS_BONDED 0 SITEPROP TIEOFF_X12Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y77 IS_PAD 0 SITEPROP TIEOFF_X12Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y77 IS_RESERVED 0 SITEPROP TIEOFF_X12Y77 IS_TEST 0 SITEPROP TIEOFF_X12Y77 IS_USED 0 SITEPROP TIEOFF_X12Y77 MANUAL_ROUTING SITEPROP TIEOFF_X12Y77 NAME TIEOFF_X12Y77 SITEPROP TIEOFF_X12Y77 NUM_ARCS 0 SITEPROP TIEOFF_X12Y77 NUM_BELS 2 SITEPROP TIEOFF_X12Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y77 NUM_PINS 2 SITEPROP TIEOFF_X12Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y77 PROHIBIT 0 SITEPROP TIEOFF_X12Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y77 RPM_X 52 SITEPROP TIEOFF_X12Y77 RPM_Y 154 SITEPROP TIEOFF_X12Y77 SITE_PIPS SITEPROP TIEOFF_X12Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y78 CLASS site SITEPROP TIEOFF_X12Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y78 IS_BONDED 0 SITEPROP TIEOFF_X12Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y78 IS_PAD 0 SITEPROP TIEOFF_X12Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y78 IS_RESERVED 0 SITEPROP TIEOFF_X12Y78 IS_TEST 0 SITEPROP TIEOFF_X12Y78 IS_USED 0 SITEPROP TIEOFF_X12Y78 MANUAL_ROUTING SITEPROP TIEOFF_X12Y78 NAME TIEOFF_X12Y78 SITEPROP TIEOFF_X12Y78 NUM_ARCS 0 SITEPROP TIEOFF_X12Y78 NUM_BELS 2 SITEPROP TIEOFF_X12Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y78 NUM_PINS 2 SITEPROP TIEOFF_X12Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y78 PROHIBIT 0 SITEPROP TIEOFF_X12Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y78 RPM_X 52 SITEPROP TIEOFF_X12Y78 RPM_Y 156 SITEPROP TIEOFF_X12Y78 SITE_PIPS SITEPROP TIEOFF_X12Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y79 CLASS site SITEPROP TIEOFF_X12Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y79 IS_BONDED 0 SITEPROP TIEOFF_X12Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y79 IS_PAD 0 SITEPROP TIEOFF_X12Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y79 IS_RESERVED 0 SITEPROP TIEOFF_X12Y79 IS_TEST 0 SITEPROP TIEOFF_X12Y79 IS_USED 0 SITEPROP TIEOFF_X12Y79 MANUAL_ROUTING SITEPROP TIEOFF_X12Y79 NAME TIEOFF_X12Y79 SITEPROP TIEOFF_X12Y79 NUM_ARCS 0 SITEPROP TIEOFF_X12Y79 NUM_BELS 2 SITEPROP TIEOFF_X12Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y79 NUM_PINS 2 SITEPROP TIEOFF_X12Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y79 PROHIBIT 0 SITEPROP TIEOFF_X12Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y79 RPM_X 52 SITEPROP TIEOFF_X12Y79 RPM_Y 158 SITEPROP TIEOFF_X12Y79 SITE_PIPS SITEPROP TIEOFF_X12Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y80 CLASS site SITEPROP TIEOFF_X12Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y80 IS_BONDED 0 SITEPROP TIEOFF_X12Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y80 IS_PAD 0 SITEPROP TIEOFF_X12Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y80 IS_RESERVED 0 SITEPROP TIEOFF_X12Y80 IS_TEST 0 SITEPROP TIEOFF_X12Y80 IS_USED 0 SITEPROP TIEOFF_X12Y80 MANUAL_ROUTING SITEPROP TIEOFF_X12Y80 NAME TIEOFF_X12Y80 SITEPROP TIEOFF_X12Y80 NUM_ARCS 0 SITEPROP TIEOFF_X12Y80 NUM_BELS 2 SITEPROP TIEOFF_X12Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y80 NUM_PINS 2 SITEPROP TIEOFF_X12Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y80 PROHIBIT 0 SITEPROP TIEOFF_X12Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y80 RPM_X 52 SITEPROP TIEOFF_X12Y80 RPM_Y 160 SITEPROP TIEOFF_X12Y80 SITE_PIPS SITEPROP TIEOFF_X12Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y81 CLASS site SITEPROP TIEOFF_X12Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y81 IS_BONDED 0 SITEPROP TIEOFF_X12Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y81 IS_PAD 0 SITEPROP TIEOFF_X12Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y81 IS_RESERVED 0 SITEPROP TIEOFF_X12Y81 IS_TEST 0 SITEPROP TIEOFF_X12Y81 IS_USED 0 SITEPROP TIEOFF_X12Y81 MANUAL_ROUTING SITEPROP TIEOFF_X12Y81 NAME TIEOFF_X12Y81 SITEPROP TIEOFF_X12Y81 NUM_ARCS 0 SITEPROP TIEOFF_X12Y81 NUM_BELS 2 SITEPROP TIEOFF_X12Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y81 NUM_PINS 2 SITEPROP TIEOFF_X12Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y81 PROHIBIT 0 SITEPROP TIEOFF_X12Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y81 RPM_X 52 SITEPROP TIEOFF_X12Y81 RPM_Y 162 SITEPROP TIEOFF_X12Y81 SITE_PIPS SITEPROP TIEOFF_X12Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y82 CLASS site SITEPROP TIEOFF_X12Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y82 IS_BONDED 0 SITEPROP TIEOFF_X12Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y82 IS_PAD 0 SITEPROP TIEOFF_X12Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y82 IS_RESERVED 0 SITEPROP TIEOFF_X12Y82 IS_TEST 0 SITEPROP TIEOFF_X12Y82 IS_USED 0 SITEPROP TIEOFF_X12Y82 MANUAL_ROUTING SITEPROP TIEOFF_X12Y82 NAME TIEOFF_X12Y82 SITEPROP TIEOFF_X12Y82 NUM_ARCS 0 SITEPROP TIEOFF_X12Y82 NUM_BELS 2 SITEPROP TIEOFF_X12Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y82 NUM_PINS 2 SITEPROP TIEOFF_X12Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y82 PROHIBIT 0 SITEPROP TIEOFF_X12Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y82 RPM_X 52 SITEPROP TIEOFF_X12Y82 RPM_Y 164 SITEPROP TIEOFF_X12Y82 SITE_PIPS SITEPROP TIEOFF_X12Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y83 CLASS site SITEPROP TIEOFF_X12Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y83 IS_BONDED 0 SITEPROP TIEOFF_X12Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y83 IS_PAD 0 SITEPROP TIEOFF_X12Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y83 IS_RESERVED 0 SITEPROP TIEOFF_X12Y83 IS_TEST 0 SITEPROP TIEOFF_X12Y83 IS_USED 0 SITEPROP TIEOFF_X12Y83 MANUAL_ROUTING SITEPROP TIEOFF_X12Y83 NAME TIEOFF_X12Y83 SITEPROP TIEOFF_X12Y83 NUM_ARCS 0 SITEPROP TIEOFF_X12Y83 NUM_BELS 2 SITEPROP TIEOFF_X12Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y83 NUM_PINS 2 SITEPROP TIEOFF_X12Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y83 PROHIBIT 0 SITEPROP TIEOFF_X12Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y83 RPM_X 52 SITEPROP TIEOFF_X12Y83 RPM_Y 166 SITEPROP TIEOFF_X12Y83 SITE_PIPS SITEPROP TIEOFF_X12Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y84 CLASS site SITEPROP TIEOFF_X12Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y84 IS_BONDED 0 SITEPROP TIEOFF_X12Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y84 IS_PAD 0 SITEPROP TIEOFF_X12Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y84 IS_RESERVED 0 SITEPROP TIEOFF_X12Y84 IS_TEST 0 SITEPROP TIEOFF_X12Y84 IS_USED 0 SITEPROP TIEOFF_X12Y84 MANUAL_ROUTING SITEPROP TIEOFF_X12Y84 NAME TIEOFF_X12Y84 SITEPROP TIEOFF_X12Y84 NUM_ARCS 0 SITEPROP TIEOFF_X12Y84 NUM_BELS 2 SITEPROP TIEOFF_X12Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y84 NUM_PINS 2 SITEPROP TIEOFF_X12Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y84 PROHIBIT 0 SITEPROP TIEOFF_X12Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y84 RPM_X 52 SITEPROP TIEOFF_X12Y84 RPM_Y 168 SITEPROP TIEOFF_X12Y84 SITE_PIPS SITEPROP TIEOFF_X12Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y85 CLASS site SITEPROP TIEOFF_X12Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y85 IS_BONDED 0 SITEPROP TIEOFF_X12Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y85 IS_PAD 0 SITEPROP TIEOFF_X12Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y85 IS_RESERVED 0 SITEPROP TIEOFF_X12Y85 IS_TEST 0 SITEPROP TIEOFF_X12Y85 IS_USED 0 SITEPROP TIEOFF_X12Y85 MANUAL_ROUTING SITEPROP TIEOFF_X12Y85 NAME TIEOFF_X12Y85 SITEPROP TIEOFF_X12Y85 NUM_ARCS 0 SITEPROP TIEOFF_X12Y85 NUM_BELS 2 SITEPROP TIEOFF_X12Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y85 NUM_PINS 2 SITEPROP TIEOFF_X12Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y85 PROHIBIT 0 SITEPROP TIEOFF_X12Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y85 RPM_X 52 SITEPROP TIEOFF_X12Y85 RPM_Y 170 SITEPROP TIEOFF_X12Y85 SITE_PIPS SITEPROP TIEOFF_X12Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y86 CLASS site SITEPROP TIEOFF_X12Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y86 IS_BONDED 0 SITEPROP TIEOFF_X12Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y86 IS_PAD 0 SITEPROP TIEOFF_X12Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y86 IS_RESERVED 0 SITEPROP TIEOFF_X12Y86 IS_TEST 0 SITEPROP TIEOFF_X12Y86 IS_USED 0 SITEPROP TIEOFF_X12Y86 MANUAL_ROUTING SITEPROP TIEOFF_X12Y86 NAME TIEOFF_X12Y86 SITEPROP TIEOFF_X12Y86 NUM_ARCS 0 SITEPROP TIEOFF_X12Y86 NUM_BELS 2 SITEPROP TIEOFF_X12Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y86 NUM_PINS 2 SITEPROP TIEOFF_X12Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y86 PROHIBIT 0 SITEPROP TIEOFF_X12Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y86 RPM_X 52 SITEPROP TIEOFF_X12Y86 RPM_Y 172 SITEPROP TIEOFF_X12Y86 SITE_PIPS SITEPROP TIEOFF_X12Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y87 CLASS site SITEPROP TIEOFF_X12Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y87 IS_BONDED 0 SITEPROP TIEOFF_X12Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y87 IS_PAD 0 SITEPROP TIEOFF_X12Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y87 IS_RESERVED 0 SITEPROP TIEOFF_X12Y87 IS_TEST 0 SITEPROP TIEOFF_X12Y87 IS_USED 0 SITEPROP TIEOFF_X12Y87 MANUAL_ROUTING SITEPROP TIEOFF_X12Y87 NAME TIEOFF_X12Y87 SITEPROP TIEOFF_X12Y87 NUM_ARCS 0 SITEPROP TIEOFF_X12Y87 NUM_BELS 2 SITEPROP TIEOFF_X12Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y87 NUM_PINS 2 SITEPROP TIEOFF_X12Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y87 PROHIBIT 0 SITEPROP TIEOFF_X12Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y87 RPM_X 52 SITEPROP TIEOFF_X12Y87 RPM_Y 174 SITEPROP TIEOFF_X12Y87 SITE_PIPS SITEPROP TIEOFF_X12Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y88 CLASS site SITEPROP TIEOFF_X12Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y88 IS_BONDED 0 SITEPROP TIEOFF_X12Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y88 IS_PAD 0 SITEPROP TIEOFF_X12Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y88 IS_RESERVED 0 SITEPROP TIEOFF_X12Y88 IS_TEST 0 SITEPROP TIEOFF_X12Y88 IS_USED 0 SITEPROP TIEOFF_X12Y88 MANUAL_ROUTING SITEPROP TIEOFF_X12Y88 NAME TIEOFF_X12Y88 SITEPROP TIEOFF_X12Y88 NUM_ARCS 0 SITEPROP TIEOFF_X12Y88 NUM_BELS 2 SITEPROP TIEOFF_X12Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y88 NUM_PINS 2 SITEPROP TIEOFF_X12Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y88 PROHIBIT 0 SITEPROP TIEOFF_X12Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y88 RPM_X 52 SITEPROP TIEOFF_X12Y88 RPM_Y 176 SITEPROP TIEOFF_X12Y88 SITE_PIPS SITEPROP TIEOFF_X12Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y89 CLASS site SITEPROP TIEOFF_X12Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y89 IS_BONDED 0 SITEPROP TIEOFF_X12Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y89 IS_PAD 0 SITEPROP TIEOFF_X12Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y89 IS_RESERVED 0 SITEPROP TIEOFF_X12Y89 IS_TEST 0 SITEPROP TIEOFF_X12Y89 IS_USED 0 SITEPROP TIEOFF_X12Y89 MANUAL_ROUTING SITEPROP TIEOFF_X12Y89 NAME TIEOFF_X12Y89 SITEPROP TIEOFF_X12Y89 NUM_ARCS 0 SITEPROP TIEOFF_X12Y89 NUM_BELS 2 SITEPROP TIEOFF_X12Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y89 NUM_PINS 2 SITEPROP TIEOFF_X12Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y89 PROHIBIT 0 SITEPROP TIEOFF_X12Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y89 RPM_X 52 SITEPROP TIEOFF_X12Y89 RPM_Y 178 SITEPROP TIEOFF_X12Y89 SITE_PIPS SITEPROP TIEOFF_X12Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y90 CLASS site SITEPROP TIEOFF_X12Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y90 IS_BONDED 0 SITEPROP TIEOFF_X12Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y90 IS_PAD 0 SITEPROP TIEOFF_X12Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y90 IS_RESERVED 0 SITEPROP TIEOFF_X12Y90 IS_TEST 0 SITEPROP TIEOFF_X12Y90 IS_USED 0 SITEPROP TIEOFF_X12Y90 MANUAL_ROUTING SITEPROP TIEOFF_X12Y90 NAME TIEOFF_X12Y90 SITEPROP TIEOFF_X12Y90 NUM_ARCS 0 SITEPROP TIEOFF_X12Y90 NUM_BELS 2 SITEPROP TIEOFF_X12Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y90 NUM_PINS 2 SITEPROP TIEOFF_X12Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y90 PROHIBIT 0 SITEPROP TIEOFF_X12Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y90 RPM_X 52 SITEPROP TIEOFF_X12Y90 RPM_Y 180 SITEPROP TIEOFF_X12Y90 SITE_PIPS SITEPROP TIEOFF_X12Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y91 CLASS site SITEPROP TIEOFF_X12Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y91 IS_BONDED 0 SITEPROP TIEOFF_X12Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y91 IS_PAD 0 SITEPROP TIEOFF_X12Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y91 IS_RESERVED 0 SITEPROP TIEOFF_X12Y91 IS_TEST 0 SITEPROP TIEOFF_X12Y91 IS_USED 0 SITEPROP TIEOFF_X12Y91 MANUAL_ROUTING SITEPROP TIEOFF_X12Y91 NAME TIEOFF_X12Y91 SITEPROP TIEOFF_X12Y91 NUM_ARCS 0 SITEPROP TIEOFF_X12Y91 NUM_BELS 2 SITEPROP TIEOFF_X12Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y91 NUM_PINS 2 SITEPROP TIEOFF_X12Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y91 PROHIBIT 0 SITEPROP TIEOFF_X12Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y91 RPM_X 52 SITEPROP TIEOFF_X12Y91 RPM_Y 182 SITEPROP TIEOFF_X12Y91 SITE_PIPS SITEPROP TIEOFF_X12Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y92 CLASS site SITEPROP TIEOFF_X12Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y92 IS_BONDED 0 SITEPROP TIEOFF_X12Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y92 IS_PAD 0 SITEPROP TIEOFF_X12Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y92 IS_RESERVED 0 SITEPROP TIEOFF_X12Y92 IS_TEST 0 SITEPROP TIEOFF_X12Y92 IS_USED 0 SITEPROP TIEOFF_X12Y92 MANUAL_ROUTING SITEPROP TIEOFF_X12Y92 NAME TIEOFF_X12Y92 SITEPROP TIEOFF_X12Y92 NUM_ARCS 0 SITEPROP TIEOFF_X12Y92 NUM_BELS 2 SITEPROP TIEOFF_X12Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y92 NUM_PINS 2 SITEPROP TIEOFF_X12Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y92 PROHIBIT 0 SITEPROP TIEOFF_X12Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y92 RPM_X 52 SITEPROP TIEOFF_X12Y92 RPM_Y 184 SITEPROP TIEOFF_X12Y92 SITE_PIPS SITEPROP TIEOFF_X12Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y93 CLASS site SITEPROP TIEOFF_X12Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y93 IS_BONDED 0 SITEPROP TIEOFF_X12Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y93 IS_PAD 0 SITEPROP TIEOFF_X12Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y93 IS_RESERVED 0 SITEPROP TIEOFF_X12Y93 IS_TEST 0 SITEPROP TIEOFF_X12Y93 IS_USED 0 SITEPROP TIEOFF_X12Y93 MANUAL_ROUTING SITEPROP TIEOFF_X12Y93 NAME TIEOFF_X12Y93 SITEPROP TIEOFF_X12Y93 NUM_ARCS 0 SITEPROP TIEOFF_X12Y93 NUM_BELS 2 SITEPROP TIEOFF_X12Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y93 NUM_PINS 2 SITEPROP TIEOFF_X12Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y93 PROHIBIT 0 SITEPROP TIEOFF_X12Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y93 RPM_X 52 SITEPROP TIEOFF_X12Y93 RPM_Y 186 SITEPROP TIEOFF_X12Y93 SITE_PIPS SITEPROP TIEOFF_X12Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y94 CLASS site SITEPROP TIEOFF_X12Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y94 IS_BONDED 0 SITEPROP TIEOFF_X12Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y94 IS_PAD 0 SITEPROP TIEOFF_X12Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y94 IS_RESERVED 0 SITEPROP TIEOFF_X12Y94 IS_TEST 0 SITEPROP TIEOFF_X12Y94 IS_USED 0 SITEPROP TIEOFF_X12Y94 MANUAL_ROUTING SITEPROP TIEOFF_X12Y94 NAME TIEOFF_X12Y94 SITEPROP TIEOFF_X12Y94 NUM_ARCS 0 SITEPROP TIEOFF_X12Y94 NUM_BELS 2 SITEPROP TIEOFF_X12Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y94 NUM_PINS 2 SITEPROP TIEOFF_X12Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y94 PROHIBIT 0 SITEPROP TIEOFF_X12Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y94 RPM_X 52 SITEPROP TIEOFF_X12Y94 RPM_Y 188 SITEPROP TIEOFF_X12Y94 SITE_PIPS SITEPROP TIEOFF_X12Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y95 CLASS site SITEPROP TIEOFF_X12Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y95 IS_BONDED 0 SITEPROP TIEOFF_X12Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y95 IS_PAD 0 SITEPROP TIEOFF_X12Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y95 IS_RESERVED 0 SITEPROP TIEOFF_X12Y95 IS_TEST 0 SITEPROP TIEOFF_X12Y95 IS_USED 0 SITEPROP TIEOFF_X12Y95 MANUAL_ROUTING SITEPROP TIEOFF_X12Y95 NAME TIEOFF_X12Y95 SITEPROP TIEOFF_X12Y95 NUM_ARCS 0 SITEPROP TIEOFF_X12Y95 NUM_BELS 2 SITEPROP TIEOFF_X12Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y95 NUM_PINS 2 SITEPROP TIEOFF_X12Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y95 PROHIBIT 0 SITEPROP TIEOFF_X12Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y95 RPM_X 52 SITEPROP TIEOFF_X12Y95 RPM_Y 190 SITEPROP TIEOFF_X12Y95 SITE_PIPS SITEPROP TIEOFF_X12Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y96 CLASS site SITEPROP TIEOFF_X12Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y96 IS_BONDED 0 SITEPROP TIEOFF_X12Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y96 IS_PAD 0 SITEPROP TIEOFF_X12Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y96 IS_RESERVED 0 SITEPROP TIEOFF_X12Y96 IS_TEST 0 SITEPROP TIEOFF_X12Y96 IS_USED 0 SITEPROP TIEOFF_X12Y96 MANUAL_ROUTING SITEPROP TIEOFF_X12Y96 NAME TIEOFF_X12Y96 SITEPROP TIEOFF_X12Y96 NUM_ARCS 0 SITEPROP TIEOFF_X12Y96 NUM_BELS 2 SITEPROP TIEOFF_X12Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y96 NUM_PINS 2 SITEPROP TIEOFF_X12Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y96 PROHIBIT 0 SITEPROP TIEOFF_X12Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y96 RPM_X 52 SITEPROP TIEOFF_X12Y96 RPM_Y 192 SITEPROP TIEOFF_X12Y96 SITE_PIPS SITEPROP TIEOFF_X12Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y97 CLASS site SITEPROP TIEOFF_X12Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y97 IS_BONDED 0 SITEPROP TIEOFF_X12Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y97 IS_PAD 0 SITEPROP TIEOFF_X12Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y97 IS_RESERVED 0 SITEPROP TIEOFF_X12Y97 IS_TEST 0 SITEPROP TIEOFF_X12Y97 IS_USED 0 SITEPROP TIEOFF_X12Y97 MANUAL_ROUTING SITEPROP TIEOFF_X12Y97 NAME TIEOFF_X12Y97 SITEPROP TIEOFF_X12Y97 NUM_ARCS 0 SITEPROP TIEOFF_X12Y97 NUM_BELS 2 SITEPROP TIEOFF_X12Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y97 NUM_PINS 2 SITEPROP TIEOFF_X12Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y97 PROHIBIT 0 SITEPROP TIEOFF_X12Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y97 RPM_X 52 SITEPROP TIEOFF_X12Y97 RPM_Y 194 SITEPROP TIEOFF_X12Y97 SITE_PIPS SITEPROP TIEOFF_X12Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y98 CLASS site SITEPROP TIEOFF_X12Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y98 IS_BONDED 0 SITEPROP TIEOFF_X12Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y98 IS_PAD 0 SITEPROP TIEOFF_X12Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y98 IS_RESERVED 0 SITEPROP TIEOFF_X12Y98 IS_TEST 0 SITEPROP TIEOFF_X12Y98 IS_USED 0 SITEPROP TIEOFF_X12Y98 MANUAL_ROUTING SITEPROP TIEOFF_X12Y98 NAME TIEOFF_X12Y98 SITEPROP TIEOFF_X12Y98 NUM_ARCS 0 SITEPROP TIEOFF_X12Y98 NUM_BELS 2 SITEPROP TIEOFF_X12Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y98 NUM_PINS 2 SITEPROP TIEOFF_X12Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y98 PROHIBIT 0 SITEPROP TIEOFF_X12Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y98 RPM_X 52 SITEPROP TIEOFF_X12Y98 RPM_Y 196 SITEPROP TIEOFF_X12Y98 SITE_PIPS SITEPROP TIEOFF_X12Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y99 CLASS site SITEPROP TIEOFF_X12Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X12Y99 IS_BONDED 0 SITEPROP TIEOFF_X12Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y99 IS_PAD 0 SITEPROP TIEOFF_X12Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y99 IS_RESERVED 0 SITEPROP TIEOFF_X12Y99 IS_TEST 0 SITEPROP TIEOFF_X12Y99 IS_USED 0 SITEPROP TIEOFF_X12Y99 MANUAL_ROUTING SITEPROP TIEOFF_X12Y99 NAME TIEOFF_X12Y99 SITEPROP TIEOFF_X12Y99 NUM_ARCS 0 SITEPROP TIEOFF_X12Y99 NUM_BELS 2 SITEPROP TIEOFF_X12Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y99 NUM_PINS 2 SITEPROP TIEOFF_X12Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y99 PROHIBIT 0 SITEPROP TIEOFF_X12Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y99 RPM_X 52 SITEPROP TIEOFF_X12Y99 RPM_Y 198 SITEPROP TIEOFF_X12Y99 SITE_PIPS SITEPROP TIEOFF_X12Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y100 CLASS site SITEPROP TIEOFF_X12Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y100 IS_BONDED 0 SITEPROP TIEOFF_X12Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y100 IS_PAD 0 SITEPROP TIEOFF_X12Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y100 IS_RESERVED 0 SITEPROP TIEOFF_X12Y100 IS_TEST 0 SITEPROP TIEOFF_X12Y100 IS_USED 0 SITEPROP TIEOFF_X12Y100 MANUAL_ROUTING SITEPROP TIEOFF_X12Y100 NAME TIEOFF_X12Y100 SITEPROP TIEOFF_X12Y100 NUM_ARCS 0 SITEPROP TIEOFF_X12Y100 NUM_BELS 2 SITEPROP TIEOFF_X12Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y100 NUM_PINS 2 SITEPROP TIEOFF_X12Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y100 PROHIBIT 0 SITEPROP TIEOFF_X12Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y100 RPM_X 52 SITEPROP TIEOFF_X12Y100 RPM_Y 200 SITEPROP TIEOFF_X12Y100 SITE_PIPS SITEPROP TIEOFF_X12Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y101 CLASS site SITEPROP TIEOFF_X12Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y101 IS_BONDED 0 SITEPROP TIEOFF_X12Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y101 IS_PAD 0 SITEPROP TIEOFF_X12Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y101 IS_RESERVED 0 SITEPROP TIEOFF_X12Y101 IS_TEST 0 SITEPROP TIEOFF_X12Y101 IS_USED 0 SITEPROP TIEOFF_X12Y101 MANUAL_ROUTING SITEPROP TIEOFF_X12Y101 NAME TIEOFF_X12Y101 SITEPROP TIEOFF_X12Y101 NUM_ARCS 0 SITEPROP TIEOFF_X12Y101 NUM_BELS 2 SITEPROP TIEOFF_X12Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y101 NUM_PINS 2 SITEPROP TIEOFF_X12Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y101 PROHIBIT 0 SITEPROP TIEOFF_X12Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y101 RPM_X 52 SITEPROP TIEOFF_X12Y101 RPM_Y 202 SITEPROP TIEOFF_X12Y101 SITE_PIPS SITEPROP TIEOFF_X12Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y102 CLASS site SITEPROP TIEOFF_X12Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y102 IS_BONDED 0 SITEPROP TIEOFF_X12Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y102 IS_PAD 0 SITEPROP TIEOFF_X12Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y102 IS_RESERVED 0 SITEPROP TIEOFF_X12Y102 IS_TEST 0 SITEPROP TIEOFF_X12Y102 IS_USED 0 SITEPROP TIEOFF_X12Y102 MANUAL_ROUTING SITEPROP TIEOFF_X12Y102 NAME TIEOFF_X12Y102 SITEPROP TIEOFF_X12Y102 NUM_ARCS 0 SITEPROP TIEOFF_X12Y102 NUM_BELS 2 SITEPROP TIEOFF_X12Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y102 NUM_PINS 2 SITEPROP TIEOFF_X12Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y102 PROHIBIT 0 SITEPROP TIEOFF_X12Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y102 RPM_X 52 SITEPROP TIEOFF_X12Y102 RPM_Y 204 SITEPROP TIEOFF_X12Y102 SITE_PIPS SITEPROP TIEOFF_X12Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y103 CLASS site SITEPROP TIEOFF_X12Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y103 IS_BONDED 0 SITEPROP TIEOFF_X12Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y103 IS_PAD 0 SITEPROP TIEOFF_X12Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y103 IS_RESERVED 0 SITEPROP TIEOFF_X12Y103 IS_TEST 0 SITEPROP TIEOFF_X12Y103 IS_USED 0 SITEPROP TIEOFF_X12Y103 MANUAL_ROUTING SITEPROP TIEOFF_X12Y103 NAME TIEOFF_X12Y103 SITEPROP TIEOFF_X12Y103 NUM_ARCS 0 SITEPROP TIEOFF_X12Y103 NUM_BELS 2 SITEPROP TIEOFF_X12Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y103 NUM_PINS 2 SITEPROP TIEOFF_X12Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y103 PROHIBIT 0 SITEPROP TIEOFF_X12Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y103 RPM_X 52 SITEPROP TIEOFF_X12Y103 RPM_Y 206 SITEPROP TIEOFF_X12Y103 SITE_PIPS SITEPROP TIEOFF_X12Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y104 CLASS site SITEPROP TIEOFF_X12Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y104 IS_BONDED 0 SITEPROP TIEOFF_X12Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y104 IS_PAD 0 SITEPROP TIEOFF_X12Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y104 IS_RESERVED 0 SITEPROP TIEOFF_X12Y104 IS_TEST 0 SITEPROP TIEOFF_X12Y104 IS_USED 0 SITEPROP TIEOFF_X12Y104 MANUAL_ROUTING SITEPROP TIEOFF_X12Y104 NAME TIEOFF_X12Y104 SITEPROP TIEOFF_X12Y104 NUM_ARCS 0 SITEPROP TIEOFF_X12Y104 NUM_BELS 2 SITEPROP TIEOFF_X12Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y104 NUM_PINS 2 SITEPROP TIEOFF_X12Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y104 PROHIBIT 0 SITEPROP TIEOFF_X12Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y104 RPM_X 52 SITEPROP TIEOFF_X12Y104 RPM_Y 208 SITEPROP TIEOFF_X12Y104 SITE_PIPS SITEPROP TIEOFF_X12Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y105 CLASS site SITEPROP TIEOFF_X12Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y105 IS_BONDED 0 SITEPROP TIEOFF_X12Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y105 IS_PAD 0 SITEPROP TIEOFF_X12Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y105 IS_RESERVED 0 SITEPROP TIEOFF_X12Y105 IS_TEST 0 SITEPROP TIEOFF_X12Y105 IS_USED 0 SITEPROP TIEOFF_X12Y105 MANUAL_ROUTING SITEPROP TIEOFF_X12Y105 NAME TIEOFF_X12Y105 SITEPROP TIEOFF_X12Y105 NUM_ARCS 0 SITEPROP TIEOFF_X12Y105 NUM_BELS 2 SITEPROP TIEOFF_X12Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y105 NUM_PINS 2 SITEPROP TIEOFF_X12Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y105 PROHIBIT 0 SITEPROP TIEOFF_X12Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y105 RPM_X 52 SITEPROP TIEOFF_X12Y105 RPM_Y 210 SITEPROP TIEOFF_X12Y105 SITE_PIPS SITEPROP TIEOFF_X12Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y106 CLASS site SITEPROP TIEOFF_X12Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y106 IS_BONDED 0 SITEPROP TIEOFF_X12Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y106 IS_PAD 0 SITEPROP TIEOFF_X12Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y106 IS_RESERVED 0 SITEPROP TIEOFF_X12Y106 IS_TEST 0 SITEPROP TIEOFF_X12Y106 IS_USED 0 SITEPROP TIEOFF_X12Y106 MANUAL_ROUTING SITEPROP TIEOFF_X12Y106 NAME TIEOFF_X12Y106 SITEPROP TIEOFF_X12Y106 NUM_ARCS 0 SITEPROP TIEOFF_X12Y106 NUM_BELS 2 SITEPROP TIEOFF_X12Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y106 NUM_PINS 2 SITEPROP TIEOFF_X12Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y106 PROHIBIT 0 SITEPROP TIEOFF_X12Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y106 RPM_X 52 SITEPROP TIEOFF_X12Y106 RPM_Y 212 SITEPROP TIEOFF_X12Y106 SITE_PIPS SITEPROP TIEOFF_X12Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y107 CLASS site SITEPROP TIEOFF_X12Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y107 IS_BONDED 0 SITEPROP TIEOFF_X12Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y107 IS_PAD 0 SITEPROP TIEOFF_X12Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y107 IS_RESERVED 0 SITEPROP TIEOFF_X12Y107 IS_TEST 0 SITEPROP TIEOFF_X12Y107 IS_USED 0 SITEPROP TIEOFF_X12Y107 MANUAL_ROUTING SITEPROP TIEOFF_X12Y107 NAME TIEOFF_X12Y107 SITEPROP TIEOFF_X12Y107 NUM_ARCS 0 SITEPROP TIEOFF_X12Y107 NUM_BELS 2 SITEPROP TIEOFF_X12Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y107 NUM_PINS 2 SITEPROP TIEOFF_X12Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y107 PROHIBIT 0 SITEPROP TIEOFF_X12Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y107 RPM_X 52 SITEPROP TIEOFF_X12Y107 RPM_Y 214 SITEPROP TIEOFF_X12Y107 SITE_PIPS SITEPROP TIEOFF_X12Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y108 CLASS site SITEPROP TIEOFF_X12Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y108 IS_BONDED 0 SITEPROP TIEOFF_X12Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y108 IS_PAD 0 SITEPROP TIEOFF_X12Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y108 IS_RESERVED 0 SITEPROP TIEOFF_X12Y108 IS_TEST 0 SITEPROP TIEOFF_X12Y108 IS_USED 0 SITEPROP TIEOFF_X12Y108 MANUAL_ROUTING SITEPROP TIEOFF_X12Y108 NAME TIEOFF_X12Y108 SITEPROP TIEOFF_X12Y108 NUM_ARCS 0 SITEPROP TIEOFF_X12Y108 NUM_BELS 2 SITEPROP TIEOFF_X12Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y108 NUM_PINS 2 SITEPROP TIEOFF_X12Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y108 PROHIBIT 0 SITEPROP TIEOFF_X12Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y108 RPM_X 52 SITEPROP TIEOFF_X12Y108 RPM_Y 216 SITEPROP TIEOFF_X12Y108 SITE_PIPS SITEPROP TIEOFF_X12Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y109 CLASS site SITEPROP TIEOFF_X12Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y109 IS_BONDED 0 SITEPROP TIEOFF_X12Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y109 IS_PAD 0 SITEPROP TIEOFF_X12Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y109 IS_RESERVED 0 SITEPROP TIEOFF_X12Y109 IS_TEST 0 SITEPROP TIEOFF_X12Y109 IS_USED 0 SITEPROP TIEOFF_X12Y109 MANUAL_ROUTING SITEPROP TIEOFF_X12Y109 NAME TIEOFF_X12Y109 SITEPROP TIEOFF_X12Y109 NUM_ARCS 0 SITEPROP TIEOFF_X12Y109 NUM_BELS 2 SITEPROP TIEOFF_X12Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y109 NUM_PINS 2 SITEPROP TIEOFF_X12Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y109 PROHIBIT 0 SITEPROP TIEOFF_X12Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y109 RPM_X 52 SITEPROP TIEOFF_X12Y109 RPM_Y 218 SITEPROP TIEOFF_X12Y109 SITE_PIPS SITEPROP TIEOFF_X12Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y110 CLASS site SITEPROP TIEOFF_X12Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y110 IS_BONDED 0 SITEPROP TIEOFF_X12Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y110 IS_PAD 0 SITEPROP TIEOFF_X12Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y110 IS_RESERVED 0 SITEPROP TIEOFF_X12Y110 IS_TEST 0 SITEPROP TIEOFF_X12Y110 IS_USED 0 SITEPROP TIEOFF_X12Y110 MANUAL_ROUTING SITEPROP TIEOFF_X12Y110 NAME TIEOFF_X12Y110 SITEPROP TIEOFF_X12Y110 NUM_ARCS 0 SITEPROP TIEOFF_X12Y110 NUM_BELS 2 SITEPROP TIEOFF_X12Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y110 NUM_PINS 2 SITEPROP TIEOFF_X12Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y110 PROHIBIT 0 SITEPROP TIEOFF_X12Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y110 RPM_X 52 SITEPROP TIEOFF_X12Y110 RPM_Y 220 SITEPROP TIEOFF_X12Y110 SITE_PIPS SITEPROP TIEOFF_X12Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y111 CLASS site SITEPROP TIEOFF_X12Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y111 IS_BONDED 0 SITEPROP TIEOFF_X12Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y111 IS_PAD 0 SITEPROP TIEOFF_X12Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y111 IS_RESERVED 0 SITEPROP TIEOFF_X12Y111 IS_TEST 0 SITEPROP TIEOFF_X12Y111 IS_USED 0 SITEPROP TIEOFF_X12Y111 MANUAL_ROUTING SITEPROP TIEOFF_X12Y111 NAME TIEOFF_X12Y111 SITEPROP TIEOFF_X12Y111 NUM_ARCS 0 SITEPROP TIEOFF_X12Y111 NUM_BELS 2 SITEPROP TIEOFF_X12Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y111 NUM_PINS 2 SITEPROP TIEOFF_X12Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y111 PROHIBIT 0 SITEPROP TIEOFF_X12Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y111 RPM_X 52 SITEPROP TIEOFF_X12Y111 RPM_Y 222 SITEPROP TIEOFF_X12Y111 SITE_PIPS SITEPROP TIEOFF_X12Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y112 CLASS site SITEPROP TIEOFF_X12Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y112 IS_BONDED 0 SITEPROP TIEOFF_X12Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y112 IS_PAD 0 SITEPROP TIEOFF_X12Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y112 IS_RESERVED 0 SITEPROP TIEOFF_X12Y112 IS_TEST 0 SITEPROP TIEOFF_X12Y112 IS_USED 0 SITEPROP TIEOFF_X12Y112 MANUAL_ROUTING SITEPROP TIEOFF_X12Y112 NAME TIEOFF_X12Y112 SITEPROP TIEOFF_X12Y112 NUM_ARCS 0 SITEPROP TIEOFF_X12Y112 NUM_BELS 2 SITEPROP TIEOFF_X12Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y112 NUM_PINS 2 SITEPROP TIEOFF_X12Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y112 PROHIBIT 0 SITEPROP TIEOFF_X12Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y112 RPM_X 52 SITEPROP TIEOFF_X12Y112 RPM_Y 224 SITEPROP TIEOFF_X12Y112 SITE_PIPS SITEPROP TIEOFF_X12Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y113 CLASS site SITEPROP TIEOFF_X12Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y113 IS_BONDED 0 SITEPROP TIEOFF_X12Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y113 IS_PAD 0 SITEPROP TIEOFF_X12Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y113 IS_RESERVED 0 SITEPROP TIEOFF_X12Y113 IS_TEST 0 SITEPROP TIEOFF_X12Y113 IS_USED 0 SITEPROP TIEOFF_X12Y113 MANUAL_ROUTING SITEPROP TIEOFF_X12Y113 NAME TIEOFF_X12Y113 SITEPROP TIEOFF_X12Y113 NUM_ARCS 0 SITEPROP TIEOFF_X12Y113 NUM_BELS 2 SITEPROP TIEOFF_X12Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y113 NUM_PINS 2 SITEPROP TIEOFF_X12Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y113 PROHIBIT 0 SITEPROP TIEOFF_X12Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y113 RPM_X 52 SITEPROP TIEOFF_X12Y113 RPM_Y 226 SITEPROP TIEOFF_X12Y113 SITE_PIPS SITEPROP TIEOFF_X12Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y114 CLASS site SITEPROP TIEOFF_X12Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y114 IS_BONDED 0 SITEPROP TIEOFF_X12Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y114 IS_PAD 0 SITEPROP TIEOFF_X12Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y114 IS_RESERVED 0 SITEPROP TIEOFF_X12Y114 IS_TEST 0 SITEPROP TIEOFF_X12Y114 IS_USED 0 SITEPROP TIEOFF_X12Y114 MANUAL_ROUTING SITEPROP TIEOFF_X12Y114 NAME TIEOFF_X12Y114 SITEPROP TIEOFF_X12Y114 NUM_ARCS 0 SITEPROP TIEOFF_X12Y114 NUM_BELS 2 SITEPROP TIEOFF_X12Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y114 NUM_PINS 2 SITEPROP TIEOFF_X12Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y114 PROHIBIT 0 SITEPROP TIEOFF_X12Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y114 RPM_X 52 SITEPROP TIEOFF_X12Y114 RPM_Y 228 SITEPROP TIEOFF_X12Y114 SITE_PIPS SITEPROP TIEOFF_X12Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y115 CLASS site SITEPROP TIEOFF_X12Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y115 IS_BONDED 0 SITEPROP TIEOFF_X12Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y115 IS_PAD 0 SITEPROP TIEOFF_X12Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y115 IS_RESERVED 0 SITEPROP TIEOFF_X12Y115 IS_TEST 0 SITEPROP TIEOFF_X12Y115 IS_USED 0 SITEPROP TIEOFF_X12Y115 MANUAL_ROUTING SITEPROP TIEOFF_X12Y115 NAME TIEOFF_X12Y115 SITEPROP TIEOFF_X12Y115 NUM_ARCS 0 SITEPROP TIEOFF_X12Y115 NUM_BELS 2 SITEPROP TIEOFF_X12Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y115 NUM_PINS 2 SITEPROP TIEOFF_X12Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y115 PROHIBIT 0 SITEPROP TIEOFF_X12Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y115 RPM_X 52 SITEPROP TIEOFF_X12Y115 RPM_Y 230 SITEPROP TIEOFF_X12Y115 SITE_PIPS SITEPROP TIEOFF_X12Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y116 CLASS site SITEPROP TIEOFF_X12Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y116 IS_BONDED 0 SITEPROP TIEOFF_X12Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y116 IS_PAD 0 SITEPROP TIEOFF_X12Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y116 IS_RESERVED 0 SITEPROP TIEOFF_X12Y116 IS_TEST 0 SITEPROP TIEOFF_X12Y116 IS_USED 0 SITEPROP TIEOFF_X12Y116 MANUAL_ROUTING SITEPROP TIEOFF_X12Y116 NAME TIEOFF_X12Y116 SITEPROP TIEOFF_X12Y116 NUM_ARCS 0 SITEPROP TIEOFF_X12Y116 NUM_BELS 2 SITEPROP TIEOFF_X12Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y116 NUM_PINS 2 SITEPROP TIEOFF_X12Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y116 PROHIBIT 0 SITEPROP TIEOFF_X12Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y116 RPM_X 52 SITEPROP TIEOFF_X12Y116 RPM_Y 232 SITEPROP TIEOFF_X12Y116 SITE_PIPS SITEPROP TIEOFF_X12Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y117 CLASS site SITEPROP TIEOFF_X12Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y117 IS_BONDED 0 SITEPROP TIEOFF_X12Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y117 IS_PAD 0 SITEPROP TIEOFF_X12Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y117 IS_RESERVED 0 SITEPROP TIEOFF_X12Y117 IS_TEST 0 SITEPROP TIEOFF_X12Y117 IS_USED 0 SITEPROP TIEOFF_X12Y117 MANUAL_ROUTING SITEPROP TIEOFF_X12Y117 NAME TIEOFF_X12Y117 SITEPROP TIEOFF_X12Y117 NUM_ARCS 0 SITEPROP TIEOFF_X12Y117 NUM_BELS 2 SITEPROP TIEOFF_X12Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y117 NUM_PINS 2 SITEPROP TIEOFF_X12Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y117 PROHIBIT 0 SITEPROP TIEOFF_X12Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y117 RPM_X 52 SITEPROP TIEOFF_X12Y117 RPM_Y 234 SITEPROP TIEOFF_X12Y117 SITE_PIPS SITEPROP TIEOFF_X12Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y118 CLASS site SITEPROP TIEOFF_X12Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y118 IS_BONDED 0 SITEPROP TIEOFF_X12Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y118 IS_PAD 0 SITEPROP TIEOFF_X12Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y118 IS_RESERVED 0 SITEPROP TIEOFF_X12Y118 IS_TEST 0 SITEPROP TIEOFF_X12Y118 IS_USED 0 SITEPROP TIEOFF_X12Y118 MANUAL_ROUTING SITEPROP TIEOFF_X12Y118 NAME TIEOFF_X12Y118 SITEPROP TIEOFF_X12Y118 NUM_ARCS 0 SITEPROP TIEOFF_X12Y118 NUM_BELS 2 SITEPROP TIEOFF_X12Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y118 NUM_PINS 2 SITEPROP TIEOFF_X12Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y118 PROHIBIT 0 SITEPROP TIEOFF_X12Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y118 RPM_X 52 SITEPROP TIEOFF_X12Y118 RPM_Y 236 SITEPROP TIEOFF_X12Y118 SITE_PIPS SITEPROP TIEOFF_X12Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y119 CLASS site SITEPROP TIEOFF_X12Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y119 IS_BONDED 0 SITEPROP TIEOFF_X12Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y119 IS_PAD 0 SITEPROP TIEOFF_X12Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y119 IS_RESERVED 0 SITEPROP TIEOFF_X12Y119 IS_TEST 0 SITEPROP TIEOFF_X12Y119 IS_USED 0 SITEPROP TIEOFF_X12Y119 MANUAL_ROUTING SITEPROP TIEOFF_X12Y119 NAME TIEOFF_X12Y119 SITEPROP TIEOFF_X12Y119 NUM_ARCS 0 SITEPROP TIEOFF_X12Y119 NUM_BELS 2 SITEPROP TIEOFF_X12Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y119 NUM_PINS 2 SITEPROP TIEOFF_X12Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y119 PROHIBIT 0 SITEPROP TIEOFF_X12Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y119 RPM_X 52 SITEPROP TIEOFF_X12Y119 RPM_Y 238 SITEPROP TIEOFF_X12Y119 SITE_PIPS SITEPROP TIEOFF_X12Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y120 CLASS site SITEPROP TIEOFF_X12Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y120 IS_BONDED 0 SITEPROP TIEOFF_X12Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y120 IS_PAD 0 SITEPROP TIEOFF_X12Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y120 IS_RESERVED 0 SITEPROP TIEOFF_X12Y120 IS_TEST 0 SITEPROP TIEOFF_X12Y120 IS_USED 0 SITEPROP TIEOFF_X12Y120 MANUAL_ROUTING SITEPROP TIEOFF_X12Y120 NAME TIEOFF_X12Y120 SITEPROP TIEOFF_X12Y120 NUM_ARCS 0 SITEPROP TIEOFF_X12Y120 NUM_BELS 2 SITEPROP TIEOFF_X12Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y120 NUM_PINS 2 SITEPROP TIEOFF_X12Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y120 PROHIBIT 0 SITEPROP TIEOFF_X12Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y120 RPM_X 52 SITEPROP TIEOFF_X12Y120 RPM_Y 240 SITEPROP TIEOFF_X12Y120 SITE_PIPS SITEPROP TIEOFF_X12Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y121 CLASS site SITEPROP TIEOFF_X12Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y121 IS_BONDED 0 SITEPROP TIEOFF_X12Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y121 IS_PAD 0 SITEPROP TIEOFF_X12Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y121 IS_RESERVED 0 SITEPROP TIEOFF_X12Y121 IS_TEST 0 SITEPROP TIEOFF_X12Y121 IS_USED 0 SITEPROP TIEOFF_X12Y121 MANUAL_ROUTING SITEPROP TIEOFF_X12Y121 NAME TIEOFF_X12Y121 SITEPROP TIEOFF_X12Y121 NUM_ARCS 0 SITEPROP TIEOFF_X12Y121 NUM_BELS 2 SITEPROP TIEOFF_X12Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y121 NUM_PINS 2 SITEPROP TIEOFF_X12Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y121 PROHIBIT 0 SITEPROP TIEOFF_X12Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y121 RPM_X 52 SITEPROP TIEOFF_X12Y121 RPM_Y 242 SITEPROP TIEOFF_X12Y121 SITE_PIPS SITEPROP TIEOFF_X12Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y122 CLASS site SITEPROP TIEOFF_X12Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y122 IS_BONDED 0 SITEPROP TIEOFF_X12Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y122 IS_PAD 0 SITEPROP TIEOFF_X12Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y122 IS_RESERVED 0 SITEPROP TIEOFF_X12Y122 IS_TEST 0 SITEPROP TIEOFF_X12Y122 IS_USED 0 SITEPROP TIEOFF_X12Y122 MANUAL_ROUTING SITEPROP TIEOFF_X12Y122 NAME TIEOFF_X12Y122 SITEPROP TIEOFF_X12Y122 NUM_ARCS 0 SITEPROP TIEOFF_X12Y122 NUM_BELS 2 SITEPROP TIEOFF_X12Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y122 NUM_PINS 2 SITEPROP TIEOFF_X12Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y122 PROHIBIT 0 SITEPROP TIEOFF_X12Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y122 RPM_X 52 SITEPROP TIEOFF_X12Y122 RPM_Y 244 SITEPROP TIEOFF_X12Y122 SITE_PIPS SITEPROP TIEOFF_X12Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y123 CLASS site SITEPROP TIEOFF_X12Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y123 IS_BONDED 0 SITEPROP TIEOFF_X12Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y123 IS_PAD 0 SITEPROP TIEOFF_X12Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y123 IS_RESERVED 0 SITEPROP TIEOFF_X12Y123 IS_TEST 0 SITEPROP TIEOFF_X12Y123 IS_USED 0 SITEPROP TIEOFF_X12Y123 MANUAL_ROUTING SITEPROP TIEOFF_X12Y123 NAME TIEOFF_X12Y123 SITEPROP TIEOFF_X12Y123 NUM_ARCS 0 SITEPROP TIEOFF_X12Y123 NUM_BELS 2 SITEPROP TIEOFF_X12Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y123 NUM_PINS 2 SITEPROP TIEOFF_X12Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y123 PROHIBIT 0 SITEPROP TIEOFF_X12Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y123 RPM_X 52 SITEPROP TIEOFF_X12Y123 RPM_Y 246 SITEPROP TIEOFF_X12Y123 SITE_PIPS SITEPROP TIEOFF_X12Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y124 CLASS site SITEPROP TIEOFF_X12Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y124 IS_BONDED 0 SITEPROP TIEOFF_X12Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y124 IS_PAD 0 SITEPROP TIEOFF_X12Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y124 IS_RESERVED 0 SITEPROP TIEOFF_X12Y124 IS_TEST 0 SITEPROP TIEOFF_X12Y124 IS_USED 0 SITEPROP TIEOFF_X12Y124 MANUAL_ROUTING SITEPROP TIEOFF_X12Y124 NAME TIEOFF_X12Y124 SITEPROP TIEOFF_X12Y124 NUM_ARCS 0 SITEPROP TIEOFF_X12Y124 NUM_BELS 2 SITEPROP TIEOFF_X12Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y124 NUM_PINS 2 SITEPROP TIEOFF_X12Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y124 PROHIBIT 0 SITEPROP TIEOFF_X12Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y124 RPM_X 52 SITEPROP TIEOFF_X12Y124 RPM_Y 248 SITEPROP TIEOFF_X12Y124 SITE_PIPS SITEPROP TIEOFF_X12Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y125 CLASS site SITEPROP TIEOFF_X12Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y125 IS_BONDED 0 SITEPROP TIEOFF_X12Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y125 IS_PAD 0 SITEPROP TIEOFF_X12Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y125 IS_RESERVED 0 SITEPROP TIEOFF_X12Y125 IS_TEST 0 SITEPROP TIEOFF_X12Y125 IS_USED 0 SITEPROP TIEOFF_X12Y125 MANUAL_ROUTING SITEPROP TIEOFF_X12Y125 NAME TIEOFF_X12Y125 SITEPROP TIEOFF_X12Y125 NUM_ARCS 0 SITEPROP TIEOFF_X12Y125 NUM_BELS 2 SITEPROP TIEOFF_X12Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y125 NUM_PINS 2 SITEPROP TIEOFF_X12Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y125 PROHIBIT 0 SITEPROP TIEOFF_X12Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y125 RPM_X 52 SITEPROP TIEOFF_X12Y125 RPM_Y 250 SITEPROP TIEOFF_X12Y125 SITE_PIPS SITEPROP TIEOFF_X12Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y126 CLASS site SITEPROP TIEOFF_X12Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y126 IS_BONDED 0 SITEPROP TIEOFF_X12Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y126 IS_PAD 0 SITEPROP TIEOFF_X12Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y126 IS_RESERVED 0 SITEPROP TIEOFF_X12Y126 IS_TEST 0 SITEPROP TIEOFF_X12Y126 IS_USED 0 SITEPROP TIEOFF_X12Y126 MANUAL_ROUTING SITEPROP TIEOFF_X12Y126 NAME TIEOFF_X12Y126 SITEPROP TIEOFF_X12Y126 NUM_ARCS 0 SITEPROP TIEOFF_X12Y126 NUM_BELS 2 SITEPROP TIEOFF_X12Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y126 NUM_PINS 2 SITEPROP TIEOFF_X12Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y126 PROHIBIT 0 SITEPROP TIEOFF_X12Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y126 RPM_X 52 SITEPROP TIEOFF_X12Y126 RPM_Y 252 SITEPROP TIEOFF_X12Y126 SITE_PIPS SITEPROP TIEOFF_X12Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y127 CLASS site SITEPROP TIEOFF_X12Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y127 IS_BONDED 0 SITEPROP TIEOFF_X12Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y127 IS_PAD 0 SITEPROP TIEOFF_X12Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y127 IS_RESERVED 0 SITEPROP TIEOFF_X12Y127 IS_TEST 0 SITEPROP TIEOFF_X12Y127 IS_USED 0 SITEPROP TIEOFF_X12Y127 MANUAL_ROUTING SITEPROP TIEOFF_X12Y127 NAME TIEOFF_X12Y127 SITEPROP TIEOFF_X12Y127 NUM_ARCS 0 SITEPROP TIEOFF_X12Y127 NUM_BELS 2 SITEPROP TIEOFF_X12Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y127 NUM_PINS 2 SITEPROP TIEOFF_X12Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y127 PROHIBIT 0 SITEPROP TIEOFF_X12Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y127 RPM_X 52 SITEPROP TIEOFF_X12Y127 RPM_Y 254 SITEPROP TIEOFF_X12Y127 SITE_PIPS SITEPROP TIEOFF_X12Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y128 CLASS site SITEPROP TIEOFF_X12Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y128 IS_BONDED 0 SITEPROP TIEOFF_X12Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y128 IS_PAD 0 SITEPROP TIEOFF_X12Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y128 IS_RESERVED 0 SITEPROP TIEOFF_X12Y128 IS_TEST 0 SITEPROP TIEOFF_X12Y128 IS_USED 0 SITEPROP TIEOFF_X12Y128 MANUAL_ROUTING SITEPROP TIEOFF_X12Y128 NAME TIEOFF_X12Y128 SITEPROP TIEOFF_X12Y128 NUM_ARCS 0 SITEPROP TIEOFF_X12Y128 NUM_BELS 2 SITEPROP TIEOFF_X12Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y128 NUM_PINS 2 SITEPROP TIEOFF_X12Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y128 PROHIBIT 0 SITEPROP TIEOFF_X12Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y128 RPM_X 52 SITEPROP TIEOFF_X12Y128 RPM_Y 256 SITEPROP TIEOFF_X12Y128 SITE_PIPS SITEPROP TIEOFF_X12Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y129 CLASS site SITEPROP TIEOFF_X12Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y129 IS_BONDED 0 SITEPROP TIEOFF_X12Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y129 IS_PAD 0 SITEPROP TIEOFF_X12Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y129 IS_RESERVED 0 SITEPROP TIEOFF_X12Y129 IS_TEST 0 SITEPROP TIEOFF_X12Y129 IS_USED 0 SITEPROP TIEOFF_X12Y129 MANUAL_ROUTING SITEPROP TIEOFF_X12Y129 NAME TIEOFF_X12Y129 SITEPROP TIEOFF_X12Y129 NUM_ARCS 0 SITEPROP TIEOFF_X12Y129 NUM_BELS 2 SITEPROP TIEOFF_X12Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y129 NUM_PINS 2 SITEPROP TIEOFF_X12Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y129 PROHIBIT 0 SITEPROP TIEOFF_X12Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y129 RPM_X 52 SITEPROP TIEOFF_X12Y129 RPM_Y 258 SITEPROP TIEOFF_X12Y129 SITE_PIPS SITEPROP TIEOFF_X12Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y130 CLASS site SITEPROP TIEOFF_X12Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y130 IS_BONDED 0 SITEPROP TIEOFF_X12Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y130 IS_PAD 0 SITEPROP TIEOFF_X12Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y130 IS_RESERVED 0 SITEPROP TIEOFF_X12Y130 IS_TEST 0 SITEPROP TIEOFF_X12Y130 IS_USED 0 SITEPROP TIEOFF_X12Y130 MANUAL_ROUTING SITEPROP TIEOFF_X12Y130 NAME TIEOFF_X12Y130 SITEPROP TIEOFF_X12Y130 NUM_ARCS 0 SITEPROP TIEOFF_X12Y130 NUM_BELS 2 SITEPROP TIEOFF_X12Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y130 NUM_PINS 2 SITEPROP TIEOFF_X12Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y130 PROHIBIT 0 SITEPROP TIEOFF_X12Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y130 RPM_X 52 SITEPROP TIEOFF_X12Y130 RPM_Y 260 SITEPROP TIEOFF_X12Y130 SITE_PIPS SITEPROP TIEOFF_X12Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y131 CLASS site SITEPROP TIEOFF_X12Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y131 IS_BONDED 0 SITEPROP TIEOFF_X12Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y131 IS_PAD 0 SITEPROP TIEOFF_X12Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y131 IS_RESERVED 0 SITEPROP TIEOFF_X12Y131 IS_TEST 0 SITEPROP TIEOFF_X12Y131 IS_USED 0 SITEPROP TIEOFF_X12Y131 MANUAL_ROUTING SITEPROP TIEOFF_X12Y131 NAME TIEOFF_X12Y131 SITEPROP TIEOFF_X12Y131 NUM_ARCS 0 SITEPROP TIEOFF_X12Y131 NUM_BELS 2 SITEPROP TIEOFF_X12Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y131 NUM_PINS 2 SITEPROP TIEOFF_X12Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y131 PROHIBIT 0 SITEPROP TIEOFF_X12Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y131 RPM_X 52 SITEPROP TIEOFF_X12Y131 RPM_Y 262 SITEPROP TIEOFF_X12Y131 SITE_PIPS SITEPROP TIEOFF_X12Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y132 CLASS site SITEPROP TIEOFF_X12Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y132 IS_BONDED 0 SITEPROP TIEOFF_X12Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y132 IS_PAD 0 SITEPROP TIEOFF_X12Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y132 IS_RESERVED 0 SITEPROP TIEOFF_X12Y132 IS_TEST 0 SITEPROP TIEOFF_X12Y132 IS_USED 0 SITEPROP TIEOFF_X12Y132 MANUAL_ROUTING SITEPROP TIEOFF_X12Y132 NAME TIEOFF_X12Y132 SITEPROP TIEOFF_X12Y132 NUM_ARCS 0 SITEPROP TIEOFF_X12Y132 NUM_BELS 2 SITEPROP TIEOFF_X12Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y132 NUM_PINS 2 SITEPROP TIEOFF_X12Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y132 PROHIBIT 0 SITEPROP TIEOFF_X12Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y132 RPM_X 52 SITEPROP TIEOFF_X12Y132 RPM_Y 264 SITEPROP TIEOFF_X12Y132 SITE_PIPS SITEPROP TIEOFF_X12Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y133 CLASS site SITEPROP TIEOFF_X12Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y133 IS_BONDED 0 SITEPROP TIEOFF_X12Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y133 IS_PAD 0 SITEPROP TIEOFF_X12Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y133 IS_RESERVED 0 SITEPROP TIEOFF_X12Y133 IS_TEST 0 SITEPROP TIEOFF_X12Y133 IS_USED 0 SITEPROP TIEOFF_X12Y133 MANUAL_ROUTING SITEPROP TIEOFF_X12Y133 NAME TIEOFF_X12Y133 SITEPROP TIEOFF_X12Y133 NUM_ARCS 0 SITEPROP TIEOFF_X12Y133 NUM_BELS 2 SITEPROP TIEOFF_X12Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y133 NUM_PINS 2 SITEPROP TIEOFF_X12Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y133 PROHIBIT 0 SITEPROP TIEOFF_X12Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y133 RPM_X 52 SITEPROP TIEOFF_X12Y133 RPM_Y 266 SITEPROP TIEOFF_X12Y133 SITE_PIPS SITEPROP TIEOFF_X12Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y134 CLASS site SITEPROP TIEOFF_X12Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y134 IS_BONDED 0 SITEPROP TIEOFF_X12Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y134 IS_PAD 0 SITEPROP TIEOFF_X12Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y134 IS_RESERVED 0 SITEPROP TIEOFF_X12Y134 IS_TEST 0 SITEPROP TIEOFF_X12Y134 IS_USED 0 SITEPROP TIEOFF_X12Y134 MANUAL_ROUTING SITEPROP TIEOFF_X12Y134 NAME TIEOFF_X12Y134 SITEPROP TIEOFF_X12Y134 NUM_ARCS 0 SITEPROP TIEOFF_X12Y134 NUM_BELS 2 SITEPROP TIEOFF_X12Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y134 NUM_PINS 2 SITEPROP TIEOFF_X12Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y134 PROHIBIT 0 SITEPROP TIEOFF_X12Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y134 RPM_X 52 SITEPROP TIEOFF_X12Y134 RPM_Y 268 SITEPROP TIEOFF_X12Y134 SITE_PIPS SITEPROP TIEOFF_X12Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y135 CLASS site SITEPROP TIEOFF_X12Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y135 IS_BONDED 0 SITEPROP TIEOFF_X12Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y135 IS_PAD 0 SITEPROP TIEOFF_X12Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y135 IS_RESERVED 0 SITEPROP TIEOFF_X12Y135 IS_TEST 0 SITEPROP TIEOFF_X12Y135 IS_USED 0 SITEPROP TIEOFF_X12Y135 MANUAL_ROUTING SITEPROP TIEOFF_X12Y135 NAME TIEOFF_X12Y135 SITEPROP TIEOFF_X12Y135 NUM_ARCS 0 SITEPROP TIEOFF_X12Y135 NUM_BELS 2 SITEPROP TIEOFF_X12Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y135 NUM_PINS 2 SITEPROP TIEOFF_X12Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y135 PROHIBIT 0 SITEPROP TIEOFF_X12Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y135 RPM_X 52 SITEPROP TIEOFF_X12Y135 RPM_Y 270 SITEPROP TIEOFF_X12Y135 SITE_PIPS SITEPROP TIEOFF_X12Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y136 CLASS site SITEPROP TIEOFF_X12Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y136 IS_BONDED 0 SITEPROP TIEOFF_X12Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y136 IS_PAD 0 SITEPROP TIEOFF_X12Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y136 IS_RESERVED 0 SITEPROP TIEOFF_X12Y136 IS_TEST 0 SITEPROP TIEOFF_X12Y136 IS_USED 0 SITEPROP TIEOFF_X12Y136 MANUAL_ROUTING SITEPROP TIEOFF_X12Y136 NAME TIEOFF_X12Y136 SITEPROP TIEOFF_X12Y136 NUM_ARCS 0 SITEPROP TIEOFF_X12Y136 NUM_BELS 2 SITEPROP TIEOFF_X12Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y136 NUM_PINS 2 SITEPROP TIEOFF_X12Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y136 PROHIBIT 0 SITEPROP TIEOFF_X12Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y136 RPM_X 52 SITEPROP TIEOFF_X12Y136 RPM_Y 272 SITEPROP TIEOFF_X12Y136 SITE_PIPS SITEPROP TIEOFF_X12Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y137 CLASS site SITEPROP TIEOFF_X12Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y137 IS_BONDED 0 SITEPROP TIEOFF_X12Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y137 IS_PAD 0 SITEPROP TIEOFF_X12Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y137 IS_RESERVED 0 SITEPROP TIEOFF_X12Y137 IS_TEST 0 SITEPROP TIEOFF_X12Y137 IS_USED 0 SITEPROP TIEOFF_X12Y137 MANUAL_ROUTING SITEPROP TIEOFF_X12Y137 NAME TIEOFF_X12Y137 SITEPROP TIEOFF_X12Y137 NUM_ARCS 0 SITEPROP TIEOFF_X12Y137 NUM_BELS 2 SITEPROP TIEOFF_X12Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y137 NUM_PINS 2 SITEPROP TIEOFF_X12Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y137 PROHIBIT 0 SITEPROP TIEOFF_X12Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y137 RPM_X 52 SITEPROP TIEOFF_X12Y137 RPM_Y 274 SITEPROP TIEOFF_X12Y137 SITE_PIPS SITEPROP TIEOFF_X12Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y138 CLASS site SITEPROP TIEOFF_X12Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y138 IS_BONDED 0 SITEPROP TIEOFF_X12Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y138 IS_PAD 0 SITEPROP TIEOFF_X12Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y138 IS_RESERVED 0 SITEPROP TIEOFF_X12Y138 IS_TEST 0 SITEPROP TIEOFF_X12Y138 IS_USED 0 SITEPROP TIEOFF_X12Y138 MANUAL_ROUTING SITEPROP TIEOFF_X12Y138 NAME TIEOFF_X12Y138 SITEPROP TIEOFF_X12Y138 NUM_ARCS 0 SITEPROP TIEOFF_X12Y138 NUM_BELS 2 SITEPROP TIEOFF_X12Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y138 NUM_PINS 2 SITEPROP TIEOFF_X12Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y138 PROHIBIT 0 SITEPROP TIEOFF_X12Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y138 RPM_X 52 SITEPROP TIEOFF_X12Y138 RPM_Y 276 SITEPROP TIEOFF_X12Y138 SITE_PIPS SITEPROP TIEOFF_X12Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y139 CLASS site SITEPROP TIEOFF_X12Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y139 IS_BONDED 0 SITEPROP TIEOFF_X12Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y139 IS_PAD 0 SITEPROP TIEOFF_X12Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y139 IS_RESERVED 0 SITEPROP TIEOFF_X12Y139 IS_TEST 0 SITEPROP TIEOFF_X12Y139 IS_USED 0 SITEPROP TIEOFF_X12Y139 MANUAL_ROUTING SITEPROP TIEOFF_X12Y139 NAME TIEOFF_X12Y139 SITEPROP TIEOFF_X12Y139 NUM_ARCS 0 SITEPROP TIEOFF_X12Y139 NUM_BELS 2 SITEPROP TIEOFF_X12Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y139 NUM_PINS 2 SITEPROP TIEOFF_X12Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y139 PROHIBIT 0 SITEPROP TIEOFF_X12Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y139 RPM_X 52 SITEPROP TIEOFF_X12Y139 RPM_Y 278 SITEPROP TIEOFF_X12Y139 SITE_PIPS SITEPROP TIEOFF_X12Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y140 CLASS site SITEPROP TIEOFF_X12Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y140 IS_BONDED 0 SITEPROP TIEOFF_X12Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y140 IS_PAD 0 SITEPROP TIEOFF_X12Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y140 IS_RESERVED 0 SITEPROP TIEOFF_X12Y140 IS_TEST 0 SITEPROP TIEOFF_X12Y140 IS_USED 0 SITEPROP TIEOFF_X12Y140 MANUAL_ROUTING SITEPROP TIEOFF_X12Y140 NAME TIEOFF_X12Y140 SITEPROP TIEOFF_X12Y140 NUM_ARCS 0 SITEPROP TIEOFF_X12Y140 NUM_BELS 2 SITEPROP TIEOFF_X12Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y140 NUM_PINS 2 SITEPROP TIEOFF_X12Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y140 PROHIBIT 0 SITEPROP TIEOFF_X12Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y140 RPM_X 52 SITEPROP TIEOFF_X12Y140 RPM_Y 280 SITEPROP TIEOFF_X12Y140 SITE_PIPS SITEPROP TIEOFF_X12Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y141 CLASS site SITEPROP TIEOFF_X12Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y141 IS_BONDED 0 SITEPROP TIEOFF_X12Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y141 IS_PAD 0 SITEPROP TIEOFF_X12Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y141 IS_RESERVED 0 SITEPROP TIEOFF_X12Y141 IS_TEST 0 SITEPROP TIEOFF_X12Y141 IS_USED 0 SITEPROP TIEOFF_X12Y141 MANUAL_ROUTING SITEPROP TIEOFF_X12Y141 NAME TIEOFF_X12Y141 SITEPROP TIEOFF_X12Y141 NUM_ARCS 0 SITEPROP TIEOFF_X12Y141 NUM_BELS 2 SITEPROP TIEOFF_X12Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y141 NUM_PINS 2 SITEPROP TIEOFF_X12Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y141 PROHIBIT 0 SITEPROP TIEOFF_X12Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y141 RPM_X 52 SITEPROP TIEOFF_X12Y141 RPM_Y 282 SITEPROP TIEOFF_X12Y141 SITE_PIPS SITEPROP TIEOFF_X12Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y142 CLASS site SITEPROP TIEOFF_X12Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y142 IS_BONDED 0 SITEPROP TIEOFF_X12Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y142 IS_PAD 0 SITEPROP TIEOFF_X12Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y142 IS_RESERVED 0 SITEPROP TIEOFF_X12Y142 IS_TEST 0 SITEPROP TIEOFF_X12Y142 IS_USED 0 SITEPROP TIEOFF_X12Y142 MANUAL_ROUTING SITEPROP TIEOFF_X12Y142 NAME TIEOFF_X12Y142 SITEPROP TIEOFF_X12Y142 NUM_ARCS 0 SITEPROP TIEOFF_X12Y142 NUM_BELS 2 SITEPROP TIEOFF_X12Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y142 NUM_PINS 2 SITEPROP TIEOFF_X12Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y142 PROHIBIT 0 SITEPROP TIEOFF_X12Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y142 RPM_X 52 SITEPROP TIEOFF_X12Y142 RPM_Y 284 SITEPROP TIEOFF_X12Y142 SITE_PIPS SITEPROP TIEOFF_X12Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y143 CLASS site SITEPROP TIEOFF_X12Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y143 IS_BONDED 0 SITEPROP TIEOFF_X12Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y143 IS_PAD 0 SITEPROP TIEOFF_X12Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y143 IS_RESERVED 0 SITEPROP TIEOFF_X12Y143 IS_TEST 0 SITEPROP TIEOFF_X12Y143 IS_USED 0 SITEPROP TIEOFF_X12Y143 MANUAL_ROUTING SITEPROP TIEOFF_X12Y143 NAME TIEOFF_X12Y143 SITEPROP TIEOFF_X12Y143 NUM_ARCS 0 SITEPROP TIEOFF_X12Y143 NUM_BELS 2 SITEPROP TIEOFF_X12Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y143 NUM_PINS 2 SITEPROP TIEOFF_X12Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y143 PROHIBIT 0 SITEPROP TIEOFF_X12Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y143 RPM_X 52 SITEPROP TIEOFF_X12Y143 RPM_Y 286 SITEPROP TIEOFF_X12Y143 SITE_PIPS SITEPROP TIEOFF_X12Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y144 CLASS site SITEPROP TIEOFF_X12Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y144 IS_BONDED 0 SITEPROP TIEOFF_X12Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y144 IS_PAD 0 SITEPROP TIEOFF_X12Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y144 IS_RESERVED 0 SITEPROP TIEOFF_X12Y144 IS_TEST 0 SITEPROP TIEOFF_X12Y144 IS_USED 0 SITEPROP TIEOFF_X12Y144 MANUAL_ROUTING SITEPROP TIEOFF_X12Y144 NAME TIEOFF_X12Y144 SITEPROP TIEOFF_X12Y144 NUM_ARCS 0 SITEPROP TIEOFF_X12Y144 NUM_BELS 2 SITEPROP TIEOFF_X12Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y144 NUM_PINS 2 SITEPROP TIEOFF_X12Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y144 PROHIBIT 0 SITEPROP TIEOFF_X12Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y144 RPM_X 52 SITEPROP TIEOFF_X12Y144 RPM_Y 288 SITEPROP TIEOFF_X12Y144 SITE_PIPS SITEPROP TIEOFF_X12Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y145 CLASS site SITEPROP TIEOFF_X12Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y145 IS_BONDED 0 SITEPROP TIEOFF_X12Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y145 IS_PAD 0 SITEPROP TIEOFF_X12Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y145 IS_RESERVED 0 SITEPROP TIEOFF_X12Y145 IS_TEST 0 SITEPROP TIEOFF_X12Y145 IS_USED 0 SITEPROP TIEOFF_X12Y145 MANUAL_ROUTING SITEPROP TIEOFF_X12Y145 NAME TIEOFF_X12Y145 SITEPROP TIEOFF_X12Y145 NUM_ARCS 0 SITEPROP TIEOFF_X12Y145 NUM_BELS 2 SITEPROP TIEOFF_X12Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y145 NUM_PINS 2 SITEPROP TIEOFF_X12Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y145 PROHIBIT 0 SITEPROP TIEOFF_X12Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y145 RPM_X 52 SITEPROP TIEOFF_X12Y145 RPM_Y 290 SITEPROP TIEOFF_X12Y145 SITE_PIPS SITEPROP TIEOFF_X12Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y146 CLASS site SITEPROP TIEOFF_X12Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y146 IS_BONDED 0 SITEPROP TIEOFF_X12Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y146 IS_PAD 0 SITEPROP TIEOFF_X12Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y146 IS_RESERVED 0 SITEPROP TIEOFF_X12Y146 IS_TEST 0 SITEPROP TIEOFF_X12Y146 IS_USED 0 SITEPROP TIEOFF_X12Y146 MANUAL_ROUTING SITEPROP TIEOFF_X12Y146 NAME TIEOFF_X12Y146 SITEPROP TIEOFF_X12Y146 NUM_ARCS 0 SITEPROP TIEOFF_X12Y146 NUM_BELS 2 SITEPROP TIEOFF_X12Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y146 NUM_PINS 2 SITEPROP TIEOFF_X12Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y146 PROHIBIT 0 SITEPROP TIEOFF_X12Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y146 RPM_X 52 SITEPROP TIEOFF_X12Y146 RPM_Y 292 SITEPROP TIEOFF_X12Y146 SITE_PIPS SITEPROP TIEOFF_X12Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y147 CLASS site SITEPROP TIEOFF_X12Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y147 IS_BONDED 0 SITEPROP TIEOFF_X12Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y147 IS_PAD 0 SITEPROP TIEOFF_X12Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y147 IS_RESERVED 0 SITEPROP TIEOFF_X12Y147 IS_TEST 0 SITEPROP TIEOFF_X12Y147 IS_USED 0 SITEPROP TIEOFF_X12Y147 MANUAL_ROUTING SITEPROP TIEOFF_X12Y147 NAME TIEOFF_X12Y147 SITEPROP TIEOFF_X12Y147 NUM_ARCS 0 SITEPROP TIEOFF_X12Y147 NUM_BELS 2 SITEPROP TIEOFF_X12Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y147 NUM_PINS 2 SITEPROP TIEOFF_X12Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y147 PROHIBIT 0 SITEPROP TIEOFF_X12Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y147 RPM_X 52 SITEPROP TIEOFF_X12Y147 RPM_Y 294 SITEPROP TIEOFF_X12Y147 SITE_PIPS SITEPROP TIEOFF_X12Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y148 CLASS site SITEPROP TIEOFF_X12Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y148 IS_BONDED 0 SITEPROP TIEOFF_X12Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y148 IS_PAD 0 SITEPROP TIEOFF_X12Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y148 IS_RESERVED 0 SITEPROP TIEOFF_X12Y148 IS_TEST 0 SITEPROP TIEOFF_X12Y148 IS_USED 0 SITEPROP TIEOFF_X12Y148 MANUAL_ROUTING SITEPROP TIEOFF_X12Y148 NAME TIEOFF_X12Y148 SITEPROP TIEOFF_X12Y148 NUM_ARCS 0 SITEPROP TIEOFF_X12Y148 NUM_BELS 2 SITEPROP TIEOFF_X12Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y148 NUM_PINS 2 SITEPROP TIEOFF_X12Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y148 PROHIBIT 0 SITEPROP TIEOFF_X12Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y148 RPM_X 52 SITEPROP TIEOFF_X12Y148 RPM_Y 296 SITEPROP TIEOFF_X12Y148 SITE_PIPS SITEPROP TIEOFF_X12Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X12Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X12Y149 CLASS site SITEPROP TIEOFF_X12Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X12Y149 IS_BONDED 0 SITEPROP TIEOFF_X12Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y149 IS_PAD 0 SITEPROP TIEOFF_X12Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X12Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X12Y149 IS_RESERVED 0 SITEPROP TIEOFF_X12Y149 IS_TEST 0 SITEPROP TIEOFF_X12Y149 IS_USED 0 SITEPROP TIEOFF_X12Y149 MANUAL_ROUTING SITEPROP TIEOFF_X12Y149 NAME TIEOFF_X12Y149 SITEPROP TIEOFF_X12Y149 NUM_ARCS 0 SITEPROP TIEOFF_X12Y149 NUM_BELS 2 SITEPROP TIEOFF_X12Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X12Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X12Y149 NUM_PINS 2 SITEPROP TIEOFF_X12Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X12Y149 PROHIBIT 0 SITEPROP TIEOFF_X12Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X12Y149 RPM_X 52 SITEPROP TIEOFF_X12Y149 RPM_Y 298 SITEPROP TIEOFF_X12Y149 SITE_PIPS SITEPROP TIEOFF_X12Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y100 CLASS site SITEPROP TIEOFF_X13Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y100 IS_BONDED 0 SITEPROP TIEOFF_X13Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y100 IS_PAD 0 SITEPROP TIEOFF_X13Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y100 IS_RESERVED 0 SITEPROP TIEOFF_X13Y100 IS_TEST 0 SITEPROP TIEOFF_X13Y100 IS_USED 0 SITEPROP TIEOFF_X13Y100 MANUAL_ROUTING SITEPROP TIEOFF_X13Y100 NAME TIEOFF_X13Y100 SITEPROP TIEOFF_X13Y100 NUM_ARCS 0 SITEPROP TIEOFF_X13Y100 NUM_BELS 2 SITEPROP TIEOFF_X13Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y100 NUM_PINS 2 SITEPROP TIEOFF_X13Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y100 PROHIBIT 0 SITEPROP TIEOFF_X13Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y100 RPM_X 56 SITEPROP TIEOFF_X13Y100 RPM_Y 200 SITEPROP TIEOFF_X13Y100 SITE_PIPS SITEPROP TIEOFF_X13Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y101 CLASS site SITEPROP TIEOFF_X13Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y101 IS_BONDED 0 SITEPROP TIEOFF_X13Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y101 IS_PAD 0 SITEPROP TIEOFF_X13Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y101 IS_RESERVED 0 SITEPROP TIEOFF_X13Y101 IS_TEST 0 SITEPROP TIEOFF_X13Y101 IS_USED 0 SITEPROP TIEOFF_X13Y101 MANUAL_ROUTING SITEPROP TIEOFF_X13Y101 NAME TIEOFF_X13Y101 SITEPROP TIEOFF_X13Y101 NUM_ARCS 0 SITEPROP TIEOFF_X13Y101 NUM_BELS 2 SITEPROP TIEOFF_X13Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y101 NUM_PINS 2 SITEPROP TIEOFF_X13Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y101 PROHIBIT 0 SITEPROP TIEOFF_X13Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y101 RPM_X 56 SITEPROP TIEOFF_X13Y101 RPM_Y 202 SITEPROP TIEOFF_X13Y101 SITE_PIPS SITEPROP TIEOFF_X13Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y102 CLASS site SITEPROP TIEOFF_X13Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y102 IS_BONDED 0 SITEPROP TIEOFF_X13Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y102 IS_PAD 0 SITEPROP TIEOFF_X13Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y102 IS_RESERVED 0 SITEPROP TIEOFF_X13Y102 IS_TEST 0 SITEPROP TIEOFF_X13Y102 IS_USED 0 SITEPROP TIEOFF_X13Y102 MANUAL_ROUTING SITEPROP TIEOFF_X13Y102 NAME TIEOFF_X13Y102 SITEPROP TIEOFF_X13Y102 NUM_ARCS 0 SITEPROP TIEOFF_X13Y102 NUM_BELS 2 SITEPROP TIEOFF_X13Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y102 NUM_PINS 2 SITEPROP TIEOFF_X13Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y102 PROHIBIT 0 SITEPROP TIEOFF_X13Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y102 RPM_X 56 SITEPROP TIEOFF_X13Y102 RPM_Y 204 SITEPROP TIEOFF_X13Y102 SITE_PIPS SITEPROP TIEOFF_X13Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y103 CLASS site SITEPROP TIEOFF_X13Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y103 IS_BONDED 0 SITEPROP TIEOFF_X13Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y103 IS_PAD 0 SITEPROP TIEOFF_X13Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y103 IS_RESERVED 0 SITEPROP TIEOFF_X13Y103 IS_TEST 0 SITEPROP TIEOFF_X13Y103 IS_USED 0 SITEPROP TIEOFF_X13Y103 MANUAL_ROUTING SITEPROP TIEOFF_X13Y103 NAME TIEOFF_X13Y103 SITEPROP TIEOFF_X13Y103 NUM_ARCS 0 SITEPROP TIEOFF_X13Y103 NUM_BELS 2 SITEPROP TIEOFF_X13Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y103 NUM_PINS 2 SITEPROP TIEOFF_X13Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y103 PROHIBIT 0 SITEPROP TIEOFF_X13Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y103 RPM_X 56 SITEPROP TIEOFF_X13Y103 RPM_Y 206 SITEPROP TIEOFF_X13Y103 SITE_PIPS SITEPROP TIEOFF_X13Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y104 CLASS site SITEPROP TIEOFF_X13Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y104 IS_BONDED 0 SITEPROP TIEOFF_X13Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y104 IS_PAD 0 SITEPROP TIEOFF_X13Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y104 IS_RESERVED 0 SITEPROP TIEOFF_X13Y104 IS_TEST 0 SITEPROP TIEOFF_X13Y104 IS_USED 0 SITEPROP TIEOFF_X13Y104 MANUAL_ROUTING SITEPROP TIEOFF_X13Y104 NAME TIEOFF_X13Y104 SITEPROP TIEOFF_X13Y104 NUM_ARCS 0 SITEPROP TIEOFF_X13Y104 NUM_BELS 2 SITEPROP TIEOFF_X13Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y104 NUM_PINS 2 SITEPROP TIEOFF_X13Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y104 PROHIBIT 0 SITEPROP TIEOFF_X13Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y104 RPM_X 56 SITEPROP TIEOFF_X13Y104 RPM_Y 208 SITEPROP TIEOFF_X13Y104 SITE_PIPS SITEPROP TIEOFF_X13Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y105 CLASS site SITEPROP TIEOFF_X13Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y105 IS_BONDED 0 SITEPROP TIEOFF_X13Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y105 IS_PAD 0 SITEPROP TIEOFF_X13Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y105 IS_RESERVED 0 SITEPROP TIEOFF_X13Y105 IS_TEST 0 SITEPROP TIEOFF_X13Y105 IS_USED 0 SITEPROP TIEOFF_X13Y105 MANUAL_ROUTING SITEPROP TIEOFF_X13Y105 NAME TIEOFF_X13Y105 SITEPROP TIEOFF_X13Y105 NUM_ARCS 0 SITEPROP TIEOFF_X13Y105 NUM_BELS 2 SITEPROP TIEOFF_X13Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y105 NUM_PINS 2 SITEPROP TIEOFF_X13Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y105 PROHIBIT 0 SITEPROP TIEOFF_X13Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y105 RPM_X 56 SITEPROP TIEOFF_X13Y105 RPM_Y 210 SITEPROP TIEOFF_X13Y105 SITE_PIPS SITEPROP TIEOFF_X13Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y106 CLASS site SITEPROP TIEOFF_X13Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y106 IS_BONDED 0 SITEPROP TIEOFF_X13Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y106 IS_PAD 0 SITEPROP TIEOFF_X13Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y106 IS_RESERVED 0 SITEPROP TIEOFF_X13Y106 IS_TEST 0 SITEPROP TIEOFF_X13Y106 IS_USED 0 SITEPROP TIEOFF_X13Y106 MANUAL_ROUTING SITEPROP TIEOFF_X13Y106 NAME TIEOFF_X13Y106 SITEPROP TIEOFF_X13Y106 NUM_ARCS 0 SITEPROP TIEOFF_X13Y106 NUM_BELS 2 SITEPROP TIEOFF_X13Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y106 NUM_PINS 2 SITEPROP TIEOFF_X13Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y106 PROHIBIT 0 SITEPROP TIEOFF_X13Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y106 RPM_X 56 SITEPROP TIEOFF_X13Y106 RPM_Y 212 SITEPROP TIEOFF_X13Y106 SITE_PIPS SITEPROP TIEOFF_X13Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y107 CLASS site SITEPROP TIEOFF_X13Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y107 IS_BONDED 0 SITEPROP TIEOFF_X13Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y107 IS_PAD 0 SITEPROP TIEOFF_X13Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y107 IS_RESERVED 0 SITEPROP TIEOFF_X13Y107 IS_TEST 0 SITEPROP TIEOFF_X13Y107 IS_USED 0 SITEPROP TIEOFF_X13Y107 MANUAL_ROUTING SITEPROP TIEOFF_X13Y107 NAME TIEOFF_X13Y107 SITEPROP TIEOFF_X13Y107 NUM_ARCS 0 SITEPROP TIEOFF_X13Y107 NUM_BELS 2 SITEPROP TIEOFF_X13Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y107 NUM_PINS 2 SITEPROP TIEOFF_X13Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y107 PROHIBIT 0 SITEPROP TIEOFF_X13Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y107 RPM_X 56 SITEPROP TIEOFF_X13Y107 RPM_Y 214 SITEPROP TIEOFF_X13Y107 SITE_PIPS SITEPROP TIEOFF_X13Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y108 CLASS site SITEPROP TIEOFF_X13Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y108 IS_BONDED 0 SITEPROP TIEOFF_X13Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y108 IS_PAD 0 SITEPROP TIEOFF_X13Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y108 IS_RESERVED 0 SITEPROP TIEOFF_X13Y108 IS_TEST 0 SITEPROP TIEOFF_X13Y108 IS_USED 0 SITEPROP TIEOFF_X13Y108 MANUAL_ROUTING SITEPROP TIEOFF_X13Y108 NAME TIEOFF_X13Y108 SITEPROP TIEOFF_X13Y108 NUM_ARCS 0 SITEPROP TIEOFF_X13Y108 NUM_BELS 2 SITEPROP TIEOFF_X13Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y108 NUM_PINS 2 SITEPROP TIEOFF_X13Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y108 PROHIBIT 0 SITEPROP TIEOFF_X13Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y108 RPM_X 56 SITEPROP TIEOFF_X13Y108 RPM_Y 216 SITEPROP TIEOFF_X13Y108 SITE_PIPS SITEPROP TIEOFF_X13Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y109 CLASS site SITEPROP TIEOFF_X13Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y109 IS_BONDED 0 SITEPROP TIEOFF_X13Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y109 IS_PAD 0 SITEPROP TIEOFF_X13Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y109 IS_RESERVED 0 SITEPROP TIEOFF_X13Y109 IS_TEST 0 SITEPROP TIEOFF_X13Y109 IS_USED 0 SITEPROP TIEOFF_X13Y109 MANUAL_ROUTING SITEPROP TIEOFF_X13Y109 NAME TIEOFF_X13Y109 SITEPROP TIEOFF_X13Y109 NUM_ARCS 0 SITEPROP TIEOFF_X13Y109 NUM_BELS 2 SITEPROP TIEOFF_X13Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y109 NUM_PINS 2 SITEPROP TIEOFF_X13Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y109 PROHIBIT 0 SITEPROP TIEOFF_X13Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y109 RPM_X 56 SITEPROP TIEOFF_X13Y109 RPM_Y 218 SITEPROP TIEOFF_X13Y109 SITE_PIPS SITEPROP TIEOFF_X13Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y110 CLASS site SITEPROP TIEOFF_X13Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y110 IS_BONDED 0 SITEPROP TIEOFF_X13Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y110 IS_PAD 0 SITEPROP TIEOFF_X13Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y110 IS_RESERVED 0 SITEPROP TIEOFF_X13Y110 IS_TEST 0 SITEPROP TIEOFF_X13Y110 IS_USED 0 SITEPROP TIEOFF_X13Y110 MANUAL_ROUTING SITEPROP TIEOFF_X13Y110 NAME TIEOFF_X13Y110 SITEPROP TIEOFF_X13Y110 NUM_ARCS 0 SITEPROP TIEOFF_X13Y110 NUM_BELS 2 SITEPROP TIEOFF_X13Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y110 NUM_PINS 2 SITEPROP TIEOFF_X13Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y110 PROHIBIT 0 SITEPROP TIEOFF_X13Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y110 RPM_X 56 SITEPROP TIEOFF_X13Y110 RPM_Y 220 SITEPROP TIEOFF_X13Y110 SITE_PIPS SITEPROP TIEOFF_X13Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y111 CLASS site SITEPROP TIEOFF_X13Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y111 IS_BONDED 0 SITEPROP TIEOFF_X13Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y111 IS_PAD 0 SITEPROP TIEOFF_X13Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y111 IS_RESERVED 0 SITEPROP TIEOFF_X13Y111 IS_TEST 0 SITEPROP TIEOFF_X13Y111 IS_USED 0 SITEPROP TIEOFF_X13Y111 MANUAL_ROUTING SITEPROP TIEOFF_X13Y111 NAME TIEOFF_X13Y111 SITEPROP TIEOFF_X13Y111 NUM_ARCS 0 SITEPROP TIEOFF_X13Y111 NUM_BELS 2 SITEPROP TIEOFF_X13Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y111 NUM_PINS 2 SITEPROP TIEOFF_X13Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y111 PROHIBIT 0 SITEPROP TIEOFF_X13Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y111 RPM_X 56 SITEPROP TIEOFF_X13Y111 RPM_Y 222 SITEPROP TIEOFF_X13Y111 SITE_PIPS SITEPROP TIEOFF_X13Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y112 CLASS site SITEPROP TIEOFF_X13Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y112 IS_BONDED 0 SITEPROP TIEOFF_X13Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y112 IS_PAD 0 SITEPROP TIEOFF_X13Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y112 IS_RESERVED 0 SITEPROP TIEOFF_X13Y112 IS_TEST 0 SITEPROP TIEOFF_X13Y112 IS_USED 0 SITEPROP TIEOFF_X13Y112 MANUAL_ROUTING SITEPROP TIEOFF_X13Y112 NAME TIEOFF_X13Y112 SITEPROP TIEOFF_X13Y112 NUM_ARCS 0 SITEPROP TIEOFF_X13Y112 NUM_BELS 2 SITEPROP TIEOFF_X13Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y112 NUM_PINS 2 SITEPROP TIEOFF_X13Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y112 PROHIBIT 0 SITEPROP TIEOFF_X13Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y112 RPM_X 56 SITEPROP TIEOFF_X13Y112 RPM_Y 224 SITEPROP TIEOFF_X13Y112 SITE_PIPS SITEPROP TIEOFF_X13Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y113 CLASS site SITEPROP TIEOFF_X13Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y113 IS_BONDED 0 SITEPROP TIEOFF_X13Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y113 IS_PAD 0 SITEPROP TIEOFF_X13Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y113 IS_RESERVED 0 SITEPROP TIEOFF_X13Y113 IS_TEST 0 SITEPROP TIEOFF_X13Y113 IS_USED 0 SITEPROP TIEOFF_X13Y113 MANUAL_ROUTING SITEPROP TIEOFF_X13Y113 NAME TIEOFF_X13Y113 SITEPROP TIEOFF_X13Y113 NUM_ARCS 0 SITEPROP TIEOFF_X13Y113 NUM_BELS 2 SITEPROP TIEOFF_X13Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y113 NUM_PINS 2 SITEPROP TIEOFF_X13Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y113 PROHIBIT 0 SITEPROP TIEOFF_X13Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y113 RPM_X 56 SITEPROP TIEOFF_X13Y113 RPM_Y 226 SITEPROP TIEOFF_X13Y113 SITE_PIPS SITEPROP TIEOFF_X13Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y114 CLASS site SITEPROP TIEOFF_X13Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y114 IS_BONDED 0 SITEPROP TIEOFF_X13Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y114 IS_PAD 0 SITEPROP TIEOFF_X13Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y114 IS_RESERVED 0 SITEPROP TIEOFF_X13Y114 IS_TEST 0 SITEPROP TIEOFF_X13Y114 IS_USED 0 SITEPROP TIEOFF_X13Y114 MANUAL_ROUTING SITEPROP TIEOFF_X13Y114 NAME TIEOFF_X13Y114 SITEPROP TIEOFF_X13Y114 NUM_ARCS 0 SITEPROP TIEOFF_X13Y114 NUM_BELS 2 SITEPROP TIEOFF_X13Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y114 NUM_PINS 2 SITEPROP TIEOFF_X13Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y114 PROHIBIT 0 SITEPROP TIEOFF_X13Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y114 RPM_X 56 SITEPROP TIEOFF_X13Y114 RPM_Y 228 SITEPROP TIEOFF_X13Y114 SITE_PIPS SITEPROP TIEOFF_X13Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y115 CLASS site SITEPROP TIEOFF_X13Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y115 IS_BONDED 0 SITEPROP TIEOFF_X13Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y115 IS_PAD 0 SITEPROP TIEOFF_X13Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y115 IS_RESERVED 0 SITEPROP TIEOFF_X13Y115 IS_TEST 0 SITEPROP TIEOFF_X13Y115 IS_USED 0 SITEPROP TIEOFF_X13Y115 MANUAL_ROUTING SITEPROP TIEOFF_X13Y115 NAME TIEOFF_X13Y115 SITEPROP TIEOFF_X13Y115 NUM_ARCS 0 SITEPROP TIEOFF_X13Y115 NUM_BELS 2 SITEPROP TIEOFF_X13Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y115 NUM_PINS 2 SITEPROP TIEOFF_X13Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y115 PROHIBIT 0 SITEPROP TIEOFF_X13Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y115 RPM_X 56 SITEPROP TIEOFF_X13Y115 RPM_Y 230 SITEPROP TIEOFF_X13Y115 SITE_PIPS SITEPROP TIEOFF_X13Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y116 CLASS site SITEPROP TIEOFF_X13Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y116 IS_BONDED 0 SITEPROP TIEOFF_X13Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y116 IS_PAD 0 SITEPROP TIEOFF_X13Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y116 IS_RESERVED 0 SITEPROP TIEOFF_X13Y116 IS_TEST 0 SITEPROP TIEOFF_X13Y116 IS_USED 0 SITEPROP TIEOFF_X13Y116 MANUAL_ROUTING SITEPROP TIEOFF_X13Y116 NAME TIEOFF_X13Y116 SITEPROP TIEOFF_X13Y116 NUM_ARCS 0 SITEPROP TIEOFF_X13Y116 NUM_BELS 2 SITEPROP TIEOFF_X13Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y116 NUM_PINS 2 SITEPROP TIEOFF_X13Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y116 PROHIBIT 0 SITEPROP TIEOFF_X13Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y116 RPM_X 56 SITEPROP TIEOFF_X13Y116 RPM_Y 232 SITEPROP TIEOFF_X13Y116 SITE_PIPS SITEPROP TIEOFF_X13Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y117 CLASS site SITEPROP TIEOFF_X13Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y117 IS_BONDED 0 SITEPROP TIEOFF_X13Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y117 IS_PAD 0 SITEPROP TIEOFF_X13Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y117 IS_RESERVED 0 SITEPROP TIEOFF_X13Y117 IS_TEST 0 SITEPROP TIEOFF_X13Y117 IS_USED 0 SITEPROP TIEOFF_X13Y117 MANUAL_ROUTING SITEPROP TIEOFF_X13Y117 NAME TIEOFF_X13Y117 SITEPROP TIEOFF_X13Y117 NUM_ARCS 0 SITEPROP TIEOFF_X13Y117 NUM_BELS 2 SITEPROP TIEOFF_X13Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y117 NUM_PINS 2 SITEPROP TIEOFF_X13Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y117 PROHIBIT 0 SITEPROP TIEOFF_X13Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y117 RPM_X 56 SITEPROP TIEOFF_X13Y117 RPM_Y 234 SITEPROP TIEOFF_X13Y117 SITE_PIPS SITEPROP TIEOFF_X13Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y118 CLASS site SITEPROP TIEOFF_X13Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y118 IS_BONDED 0 SITEPROP TIEOFF_X13Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y118 IS_PAD 0 SITEPROP TIEOFF_X13Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y118 IS_RESERVED 0 SITEPROP TIEOFF_X13Y118 IS_TEST 0 SITEPROP TIEOFF_X13Y118 IS_USED 0 SITEPROP TIEOFF_X13Y118 MANUAL_ROUTING SITEPROP TIEOFF_X13Y118 NAME TIEOFF_X13Y118 SITEPROP TIEOFF_X13Y118 NUM_ARCS 0 SITEPROP TIEOFF_X13Y118 NUM_BELS 2 SITEPROP TIEOFF_X13Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y118 NUM_PINS 2 SITEPROP TIEOFF_X13Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y118 PROHIBIT 0 SITEPROP TIEOFF_X13Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y118 RPM_X 56 SITEPROP TIEOFF_X13Y118 RPM_Y 236 SITEPROP TIEOFF_X13Y118 SITE_PIPS SITEPROP TIEOFF_X13Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y119 CLASS site SITEPROP TIEOFF_X13Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y119 IS_BONDED 0 SITEPROP TIEOFF_X13Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y119 IS_PAD 0 SITEPROP TIEOFF_X13Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y119 IS_RESERVED 0 SITEPROP TIEOFF_X13Y119 IS_TEST 0 SITEPROP TIEOFF_X13Y119 IS_USED 0 SITEPROP TIEOFF_X13Y119 MANUAL_ROUTING SITEPROP TIEOFF_X13Y119 NAME TIEOFF_X13Y119 SITEPROP TIEOFF_X13Y119 NUM_ARCS 0 SITEPROP TIEOFF_X13Y119 NUM_BELS 2 SITEPROP TIEOFF_X13Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y119 NUM_PINS 2 SITEPROP TIEOFF_X13Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y119 PROHIBIT 0 SITEPROP TIEOFF_X13Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y119 RPM_X 56 SITEPROP TIEOFF_X13Y119 RPM_Y 238 SITEPROP TIEOFF_X13Y119 SITE_PIPS SITEPROP TIEOFF_X13Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y120 CLASS site SITEPROP TIEOFF_X13Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y120 IS_BONDED 0 SITEPROP TIEOFF_X13Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y120 IS_PAD 0 SITEPROP TIEOFF_X13Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y120 IS_RESERVED 0 SITEPROP TIEOFF_X13Y120 IS_TEST 0 SITEPROP TIEOFF_X13Y120 IS_USED 0 SITEPROP TIEOFF_X13Y120 MANUAL_ROUTING SITEPROP TIEOFF_X13Y120 NAME TIEOFF_X13Y120 SITEPROP TIEOFF_X13Y120 NUM_ARCS 0 SITEPROP TIEOFF_X13Y120 NUM_BELS 2 SITEPROP TIEOFF_X13Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y120 NUM_PINS 2 SITEPROP TIEOFF_X13Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y120 PROHIBIT 0 SITEPROP TIEOFF_X13Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y120 RPM_X 56 SITEPROP TIEOFF_X13Y120 RPM_Y 240 SITEPROP TIEOFF_X13Y120 SITE_PIPS SITEPROP TIEOFF_X13Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y121 CLASS site SITEPROP TIEOFF_X13Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y121 IS_BONDED 0 SITEPROP TIEOFF_X13Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y121 IS_PAD 0 SITEPROP TIEOFF_X13Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y121 IS_RESERVED 0 SITEPROP TIEOFF_X13Y121 IS_TEST 0 SITEPROP TIEOFF_X13Y121 IS_USED 0 SITEPROP TIEOFF_X13Y121 MANUAL_ROUTING SITEPROP TIEOFF_X13Y121 NAME TIEOFF_X13Y121 SITEPROP TIEOFF_X13Y121 NUM_ARCS 0 SITEPROP TIEOFF_X13Y121 NUM_BELS 2 SITEPROP TIEOFF_X13Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y121 NUM_PINS 2 SITEPROP TIEOFF_X13Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y121 PROHIBIT 0 SITEPROP TIEOFF_X13Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y121 RPM_X 56 SITEPROP TIEOFF_X13Y121 RPM_Y 242 SITEPROP TIEOFF_X13Y121 SITE_PIPS SITEPROP TIEOFF_X13Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y122 CLASS site SITEPROP TIEOFF_X13Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y122 IS_BONDED 0 SITEPROP TIEOFF_X13Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y122 IS_PAD 0 SITEPROP TIEOFF_X13Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y122 IS_RESERVED 0 SITEPROP TIEOFF_X13Y122 IS_TEST 0 SITEPROP TIEOFF_X13Y122 IS_USED 0 SITEPROP TIEOFF_X13Y122 MANUAL_ROUTING SITEPROP TIEOFF_X13Y122 NAME TIEOFF_X13Y122 SITEPROP TIEOFF_X13Y122 NUM_ARCS 0 SITEPROP TIEOFF_X13Y122 NUM_BELS 2 SITEPROP TIEOFF_X13Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y122 NUM_PINS 2 SITEPROP TIEOFF_X13Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y122 PROHIBIT 0 SITEPROP TIEOFF_X13Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y122 RPM_X 56 SITEPROP TIEOFF_X13Y122 RPM_Y 244 SITEPROP TIEOFF_X13Y122 SITE_PIPS SITEPROP TIEOFF_X13Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y123 CLASS site SITEPROP TIEOFF_X13Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y123 IS_BONDED 0 SITEPROP TIEOFF_X13Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y123 IS_PAD 0 SITEPROP TIEOFF_X13Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y123 IS_RESERVED 0 SITEPROP TIEOFF_X13Y123 IS_TEST 0 SITEPROP TIEOFF_X13Y123 IS_USED 0 SITEPROP TIEOFF_X13Y123 MANUAL_ROUTING SITEPROP TIEOFF_X13Y123 NAME TIEOFF_X13Y123 SITEPROP TIEOFF_X13Y123 NUM_ARCS 0 SITEPROP TIEOFF_X13Y123 NUM_BELS 2 SITEPROP TIEOFF_X13Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y123 NUM_PINS 2 SITEPROP TIEOFF_X13Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y123 PROHIBIT 0 SITEPROP TIEOFF_X13Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y123 RPM_X 56 SITEPROP TIEOFF_X13Y123 RPM_Y 246 SITEPROP TIEOFF_X13Y123 SITE_PIPS SITEPROP TIEOFF_X13Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y124 CLASS site SITEPROP TIEOFF_X13Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y124 IS_BONDED 0 SITEPROP TIEOFF_X13Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y124 IS_PAD 0 SITEPROP TIEOFF_X13Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y124 IS_RESERVED 0 SITEPROP TIEOFF_X13Y124 IS_TEST 0 SITEPROP TIEOFF_X13Y124 IS_USED 0 SITEPROP TIEOFF_X13Y124 MANUAL_ROUTING SITEPROP TIEOFF_X13Y124 NAME TIEOFF_X13Y124 SITEPROP TIEOFF_X13Y124 NUM_ARCS 0 SITEPROP TIEOFF_X13Y124 NUM_BELS 2 SITEPROP TIEOFF_X13Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y124 NUM_PINS 2 SITEPROP TIEOFF_X13Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y124 PROHIBIT 0 SITEPROP TIEOFF_X13Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y124 RPM_X 56 SITEPROP TIEOFF_X13Y124 RPM_Y 248 SITEPROP TIEOFF_X13Y124 SITE_PIPS SITEPROP TIEOFF_X13Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y125 CLASS site SITEPROP TIEOFF_X13Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y125 IS_BONDED 0 SITEPROP TIEOFF_X13Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y125 IS_PAD 0 SITEPROP TIEOFF_X13Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y125 IS_RESERVED 0 SITEPROP TIEOFF_X13Y125 IS_TEST 0 SITEPROP TIEOFF_X13Y125 IS_USED 0 SITEPROP TIEOFF_X13Y125 MANUAL_ROUTING SITEPROP TIEOFF_X13Y125 NAME TIEOFF_X13Y125 SITEPROP TIEOFF_X13Y125 NUM_ARCS 0 SITEPROP TIEOFF_X13Y125 NUM_BELS 2 SITEPROP TIEOFF_X13Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y125 NUM_PINS 2 SITEPROP TIEOFF_X13Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y125 PROHIBIT 0 SITEPROP TIEOFF_X13Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y125 RPM_X 56 SITEPROP TIEOFF_X13Y125 RPM_Y 250 SITEPROP TIEOFF_X13Y125 SITE_PIPS SITEPROP TIEOFF_X13Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y126 CLASS site SITEPROP TIEOFF_X13Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y126 IS_BONDED 0 SITEPROP TIEOFF_X13Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y126 IS_PAD 0 SITEPROP TIEOFF_X13Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y126 IS_RESERVED 0 SITEPROP TIEOFF_X13Y126 IS_TEST 0 SITEPROP TIEOFF_X13Y126 IS_USED 0 SITEPROP TIEOFF_X13Y126 MANUAL_ROUTING SITEPROP TIEOFF_X13Y126 NAME TIEOFF_X13Y126 SITEPROP TIEOFF_X13Y126 NUM_ARCS 0 SITEPROP TIEOFF_X13Y126 NUM_BELS 2 SITEPROP TIEOFF_X13Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y126 NUM_PINS 2 SITEPROP TIEOFF_X13Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y126 PROHIBIT 0 SITEPROP TIEOFF_X13Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y126 RPM_X 56 SITEPROP TIEOFF_X13Y126 RPM_Y 252 SITEPROP TIEOFF_X13Y126 SITE_PIPS SITEPROP TIEOFF_X13Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y127 CLASS site SITEPROP TIEOFF_X13Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y127 IS_BONDED 0 SITEPROP TIEOFF_X13Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y127 IS_PAD 0 SITEPROP TIEOFF_X13Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y127 IS_RESERVED 0 SITEPROP TIEOFF_X13Y127 IS_TEST 0 SITEPROP TIEOFF_X13Y127 IS_USED 0 SITEPROP TIEOFF_X13Y127 MANUAL_ROUTING SITEPROP TIEOFF_X13Y127 NAME TIEOFF_X13Y127 SITEPROP TIEOFF_X13Y127 NUM_ARCS 0 SITEPROP TIEOFF_X13Y127 NUM_BELS 2 SITEPROP TIEOFF_X13Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y127 NUM_PINS 2 SITEPROP TIEOFF_X13Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y127 PROHIBIT 0 SITEPROP TIEOFF_X13Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y127 RPM_X 56 SITEPROP TIEOFF_X13Y127 RPM_Y 254 SITEPROP TIEOFF_X13Y127 SITE_PIPS SITEPROP TIEOFF_X13Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y128 CLASS site SITEPROP TIEOFF_X13Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y128 IS_BONDED 0 SITEPROP TIEOFF_X13Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y128 IS_PAD 0 SITEPROP TIEOFF_X13Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y128 IS_RESERVED 0 SITEPROP TIEOFF_X13Y128 IS_TEST 0 SITEPROP TIEOFF_X13Y128 IS_USED 0 SITEPROP TIEOFF_X13Y128 MANUAL_ROUTING SITEPROP TIEOFF_X13Y128 NAME TIEOFF_X13Y128 SITEPROP TIEOFF_X13Y128 NUM_ARCS 0 SITEPROP TIEOFF_X13Y128 NUM_BELS 2 SITEPROP TIEOFF_X13Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y128 NUM_PINS 2 SITEPROP TIEOFF_X13Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y128 PROHIBIT 0 SITEPROP TIEOFF_X13Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y128 RPM_X 56 SITEPROP TIEOFF_X13Y128 RPM_Y 256 SITEPROP TIEOFF_X13Y128 SITE_PIPS SITEPROP TIEOFF_X13Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y129 CLASS site SITEPROP TIEOFF_X13Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y129 IS_BONDED 0 SITEPROP TIEOFF_X13Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y129 IS_PAD 0 SITEPROP TIEOFF_X13Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y129 IS_RESERVED 0 SITEPROP TIEOFF_X13Y129 IS_TEST 0 SITEPROP TIEOFF_X13Y129 IS_USED 0 SITEPROP TIEOFF_X13Y129 MANUAL_ROUTING SITEPROP TIEOFF_X13Y129 NAME TIEOFF_X13Y129 SITEPROP TIEOFF_X13Y129 NUM_ARCS 0 SITEPROP TIEOFF_X13Y129 NUM_BELS 2 SITEPROP TIEOFF_X13Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y129 NUM_PINS 2 SITEPROP TIEOFF_X13Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y129 PROHIBIT 0 SITEPROP TIEOFF_X13Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y129 RPM_X 56 SITEPROP TIEOFF_X13Y129 RPM_Y 258 SITEPROP TIEOFF_X13Y129 SITE_PIPS SITEPROP TIEOFF_X13Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y130 CLASS site SITEPROP TIEOFF_X13Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y130 IS_BONDED 0 SITEPROP TIEOFF_X13Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y130 IS_PAD 0 SITEPROP TIEOFF_X13Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y130 IS_RESERVED 0 SITEPROP TIEOFF_X13Y130 IS_TEST 0 SITEPROP TIEOFF_X13Y130 IS_USED 0 SITEPROP TIEOFF_X13Y130 MANUAL_ROUTING SITEPROP TIEOFF_X13Y130 NAME TIEOFF_X13Y130 SITEPROP TIEOFF_X13Y130 NUM_ARCS 0 SITEPROP TIEOFF_X13Y130 NUM_BELS 2 SITEPROP TIEOFF_X13Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y130 NUM_PINS 2 SITEPROP TIEOFF_X13Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y130 PROHIBIT 0 SITEPROP TIEOFF_X13Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y130 RPM_X 56 SITEPROP TIEOFF_X13Y130 RPM_Y 260 SITEPROP TIEOFF_X13Y130 SITE_PIPS SITEPROP TIEOFF_X13Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y131 CLASS site SITEPROP TIEOFF_X13Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y131 IS_BONDED 0 SITEPROP TIEOFF_X13Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y131 IS_PAD 0 SITEPROP TIEOFF_X13Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y131 IS_RESERVED 0 SITEPROP TIEOFF_X13Y131 IS_TEST 0 SITEPROP TIEOFF_X13Y131 IS_USED 0 SITEPROP TIEOFF_X13Y131 MANUAL_ROUTING SITEPROP TIEOFF_X13Y131 NAME TIEOFF_X13Y131 SITEPROP TIEOFF_X13Y131 NUM_ARCS 0 SITEPROP TIEOFF_X13Y131 NUM_BELS 2 SITEPROP TIEOFF_X13Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y131 NUM_PINS 2 SITEPROP TIEOFF_X13Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y131 PROHIBIT 0 SITEPROP TIEOFF_X13Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y131 RPM_X 56 SITEPROP TIEOFF_X13Y131 RPM_Y 262 SITEPROP TIEOFF_X13Y131 SITE_PIPS SITEPROP TIEOFF_X13Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y132 CLASS site SITEPROP TIEOFF_X13Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y132 IS_BONDED 0 SITEPROP TIEOFF_X13Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y132 IS_PAD 0 SITEPROP TIEOFF_X13Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y132 IS_RESERVED 0 SITEPROP TIEOFF_X13Y132 IS_TEST 0 SITEPROP TIEOFF_X13Y132 IS_USED 0 SITEPROP TIEOFF_X13Y132 MANUAL_ROUTING SITEPROP TIEOFF_X13Y132 NAME TIEOFF_X13Y132 SITEPROP TIEOFF_X13Y132 NUM_ARCS 0 SITEPROP TIEOFF_X13Y132 NUM_BELS 2 SITEPROP TIEOFF_X13Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y132 NUM_PINS 2 SITEPROP TIEOFF_X13Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y132 PROHIBIT 0 SITEPROP TIEOFF_X13Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y132 RPM_X 56 SITEPROP TIEOFF_X13Y132 RPM_Y 264 SITEPROP TIEOFF_X13Y132 SITE_PIPS SITEPROP TIEOFF_X13Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y133 CLASS site SITEPROP TIEOFF_X13Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y133 IS_BONDED 0 SITEPROP TIEOFF_X13Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y133 IS_PAD 0 SITEPROP TIEOFF_X13Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y133 IS_RESERVED 0 SITEPROP TIEOFF_X13Y133 IS_TEST 0 SITEPROP TIEOFF_X13Y133 IS_USED 0 SITEPROP TIEOFF_X13Y133 MANUAL_ROUTING SITEPROP TIEOFF_X13Y133 NAME TIEOFF_X13Y133 SITEPROP TIEOFF_X13Y133 NUM_ARCS 0 SITEPROP TIEOFF_X13Y133 NUM_BELS 2 SITEPROP TIEOFF_X13Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y133 NUM_PINS 2 SITEPROP TIEOFF_X13Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y133 PROHIBIT 0 SITEPROP TIEOFF_X13Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y133 RPM_X 56 SITEPROP TIEOFF_X13Y133 RPM_Y 266 SITEPROP TIEOFF_X13Y133 SITE_PIPS SITEPROP TIEOFF_X13Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y134 CLASS site SITEPROP TIEOFF_X13Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y134 IS_BONDED 0 SITEPROP TIEOFF_X13Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y134 IS_PAD 0 SITEPROP TIEOFF_X13Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y134 IS_RESERVED 0 SITEPROP TIEOFF_X13Y134 IS_TEST 0 SITEPROP TIEOFF_X13Y134 IS_USED 0 SITEPROP TIEOFF_X13Y134 MANUAL_ROUTING SITEPROP TIEOFF_X13Y134 NAME TIEOFF_X13Y134 SITEPROP TIEOFF_X13Y134 NUM_ARCS 0 SITEPROP TIEOFF_X13Y134 NUM_BELS 2 SITEPROP TIEOFF_X13Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y134 NUM_PINS 2 SITEPROP TIEOFF_X13Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y134 PROHIBIT 0 SITEPROP TIEOFF_X13Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y134 RPM_X 56 SITEPROP TIEOFF_X13Y134 RPM_Y 268 SITEPROP TIEOFF_X13Y134 SITE_PIPS SITEPROP TIEOFF_X13Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y135 CLASS site SITEPROP TIEOFF_X13Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y135 IS_BONDED 0 SITEPROP TIEOFF_X13Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y135 IS_PAD 0 SITEPROP TIEOFF_X13Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y135 IS_RESERVED 0 SITEPROP TIEOFF_X13Y135 IS_TEST 0 SITEPROP TIEOFF_X13Y135 IS_USED 0 SITEPROP TIEOFF_X13Y135 MANUAL_ROUTING SITEPROP TIEOFF_X13Y135 NAME TIEOFF_X13Y135 SITEPROP TIEOFF_X13Y135 NUM_ARCS 0 SITEPROP TIEOFF_X13Y135 NUM_BELS 2 SITEPROP TIEOFF_X13Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y135 NUM_PINS 2 SITEPROP TIEOFF_X13Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y135 PROHIBIT 0 SITEPROP TIEOFF_X13Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y135 RPM_X 56 SITEPROP TIEOFF_X13Y135 RPM_Y 270 SITEPROP TIEOFF_X13Y135 SITE_PIPS SITEPROP TIEOFF_X13Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y136 CLASS site SITEPROP TIEOFF_X13Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y136 IS_BONDED 0 SITEPROP TIEOFF_X13Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y136 IS_PAD 0 SITEPROP TIEOFF_X13Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y136 IS_RESERVED 0 SITEPROP TIEOFF_X13Y136 IS_TEST 0 SITEPROP TIEOFF_X13Y136 IS_USED 0 SITEPROP TIEOFF_X13Y136 MANUAL_ROUTING SITEPROP TIEOFF_X13Y136 NAME TIEOFF_X13Y136 SITEPROP TIEOFF_X13Y136 NUM_ARCS 0 SITEPROP TIEOFF_X13Y136 NUM_BELS 2 SITEPROP TIEOFF_X13Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y136 NUM_PINS 2 SITEPROP TIEOFF_X13Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y136 PROHIBIT 0 SITEPROP TIEOFF_X13Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y136 RPM_X 56 SITEPROP TIEOFF_X13Y136 RPM_Y 272 SITEPROP TIEOFF_X13Y136 SITE_PIPS SITEPROP TIEOFF_X13Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y137 CLASS site SITEPROP TIEOFF_X13Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y137 IS_BONDED 0 SITEPROP TIEOFF_X13Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y137 IS_PAD 0 SITEPROP TIEOFF_X13Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y137 IS_RESERVED 0 SITEPROP TIEOFF_X13Y137 IS_TEST 0 SITEPROP TIEOFF_X13Y137 IS_USED 0 SITEPROP TIEOFF_X13Y137 MANUAL_ROUTING SITEPROP TIEOFF_X13Y137 NAME TIEOFF_X13Y137 SITEPROP TIEOFF_X13Y137 NUM_ARCS 0 SITEPROP TIEOFF_X13Y137 NUM_BELS 2 SITEPROP TIEOFF_X13Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y137 NUM_PINS 2 SITEPROP TIEOFF_X13Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y137 PROHIBIT 0 SITEPROP TIEOFF_X13Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y137 RPM_X 56 SITEPROP TIEOFF_X13Y137 RPM_Y 274 SITEPROP TIEOFF_X13Y137 SITE_PIPS SITEPROP TIEOFF_X13Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y138 CLASS site SITEPROP TIEOFF_X13Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y138 IS_BONDED 0 SITEPROP TIEOFF_X13Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y138 IS_PAD 0 SITEPROP TIEOFF_X13Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y138 IS_RESERVED 0 SITEPROP TIEOFF_X13Y138 IS_TEST 0 SITEPROP TIEOFF_X13Y138 IS_USED 0 SITEPROP TIEOFF_X13Y138 MANUAL_ROUTING SITEPROP TIEOFF_X13Y138 NAME TIEOFF_X13Y138 SITEPROP TIEOFF_X13Y138 NUM_ARCS 0 SITEPROP TIEOFF_X13Y138 NUM_BELS 2 SITEPROP TIEOFF_X13Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y138 NUM_PINS 2 SITEPROP TIEOFF_X13Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y138 PROHIBIT 0 SITEPROP TIEOFF_X13Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y138 RPM_X 56 SITEPROP TIEOFF_X13Y138 RPM_Y 276 SITEPROP TIEOFF_X13Y138 SITE_PIPS SITEPROP TIEOFF_X13Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y139 CLASS site SITEPROP TIEOFF_X13Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y139 IS_BONDED 0 SITEPROP TIEOFF_X13Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y139 IS_PAD 0 SITEPROP TIEOFF_X13Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y139 IS_RESERVED 0 SITEPROP TIEOFF_X13Y139 IS_TEST 0 SITEPROP TIEOFF_X13Y139 IS_USED 0 SITEPROP TIEOFF_X13Y139 MANUAL_ROUTING SITEPROP TIEOFF_X13Y139 NAME TIEOFF_X13Y139 SITEPROP TIEOFF_X13Y139 NUM_ARCS 0 SITEPROP TIEOFF_X13Y139 NUM_BELS 2 SITEPROP TIEOFF_X13Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y139 NUM_PINS 2 SITEPROP TIEOFF_X13Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y139 PROHIBIT 0 SITEPROP TIEOFF_X13Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y139 RPM_X 56 SITEPROP TIEOFF_X13Y139 RPM_Y 278 SITEPROP TIEOFF_X13Y139 SITE_PIPS SITEPROP TIEOFF_X13Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y140 CLASS site SITEPROP TIEOFF_X13Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y140 IS_BONDED 0 SITEPROP TIEOFF_X13Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y140 IS_PAD 0 SITEPROP TIEOFF_X13Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y140 IS_RESERVED 0 SITEPROP TIEOFF_X13Y140 IS_TEST 0 SITEPROP TIEOFF_X13Y140 IS_USED 0 SITEPROP TIEOFF_X13Y140 MANUAL_ROUTING SITEPROP TIEOFF_X13Y140 NAME TIEOFF_X13Y140 SITEPROP TIEOFF_X13Y140 NUM_ARCS 0 SITEPROP TIEOFF_X13Y140 NUM_BELS 2 SITEPROP TIEOFF_X13Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y140 NUM_PINS 2 SITEPROP TIEOFF_X13Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y140 PROHIBIT 0 SITEPROP TIEOFF_X13Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y140 RPM_X 56 SITEPROP TIEOFF_X13Y140 RPM_Y 280 SITEPROP TIEOFF_X13Y140 SITE_PIPS SITEPROP TIEOFF_X13Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y141 CLASS site SITEPROP TIEOFF_X13Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y141 IS_BONDED 0 SITEPROP TIEOFF_X13Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y141 IS_PAD 0 SITEPROP TIEOFF_X13Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y141 IS_RESERVED 0 SITEPROP TIEOFF_X13Y141 IS_TEST 0 SITEPROP TIEOFF_X13Y141 IS_USED 0 SITEPROP TIEOFF_X13Y141 MANUAL_ROUTING SITEPROP TIEOFF_X13Y141 NAME TIEOFF_X13Y141 SITEPROP TIEOFF_X13Y141 NUM_ARCS 0 SITEPROP TIEOFF_X13Y141 NUM_BELS 2 SITEPROP TIEOFF_X13Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y141 NUM_PINS 2 SITEPROP TIEOFF_X13Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y141 PROHIBIT 0 SITEPROP TIEOFF_X13Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y141 RPM_X 56 SITEPROP TIEOFF_X13Y141 RPM_Y 282 SITEPROP TIEOFF_X13Y141 SITE_PIPS SITEPROP TIEOFF_X13Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y142 CLASS site SITEPROP TIEOFF_X13Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y142 IS_BONDED 0 SITEPROP TIEOFF_X13Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y142 IS_PAD 0 SITEPROP TIEOFF_X13Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y142 IS_RESERVED 0 SITEPROP TIEOFF_X13Y142 IS_TEST 0 SITEPROP TIEOFF_X13Y142 IS_USED 0 SITEPROP TIEOFF_X13Y142 MANUAL_ROUTING SITEPROP TIEOFF_X13Y142 NAME TIEOFF_X13Y142 SITEPROP TIEOFF_X13Y142 NUM_ARCS 0 SITEPROP TIEOFF_X13Y142 NUM_BELS 2 SITEPROP TIEOFF_X13Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y142 NUM_PINS 2 SITEPROP TIEOFF_X13Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y142 PROHIBIT 0 SITEPROP TIEOFF_X13Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y142 RPM_X 56 SITEPROP TIEOFF_X13Y142 RPM_Y 284 SITEPROP TIEOFF_X13Y142 SITE_PIPS SITEPROP TIEOFF_X13Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y143 CLASS site SITEPROP TIEOFF_X13Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y143 IS_BONDED 0 SITEPROP TIEOFF_X13Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y143 IS_PAD 0 SITEPROP TIEOFF_X13Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y143 IS_RESERVED 0 SITEPROP TIEOFF_X13Y143 IS_TEST 0 SITEPROP TIEOFF_X13Y143 IS_USED 0 SITEPROP TIEOFF_X13Y143 MANUAL_ROUTING SITEPROP TIEOFF_X13Y143 NAME TIEOFF_X13Y143 SITEPROP TIEOFF_X13Y143 NUM_ARCS 0 SITEPROP TIEOFF_X13Y143 NUM_BELS 2 SITEPROP TIEOFF_X13Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y143 NUM_PINS 2 SITEPROP TIEOFF_X13Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y143 PROHIBIT 0 SITEPROP TIEOFF_X13Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y143 RPM_X 56 SITEPROP TIEOFF_X13Y143 RPM_Y 286 SITEPROP TIEOFF_X13Y143 SITE_PIPS SITEPROP TIEOFF_X13Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y144 CLASS site SITEPROP TIEOFF_X13Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y144 IS_BONDED 0 SITEPROP TIEOFF_X13Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y144 IS_PAD 0 SITEPROP TIEOFF_X13Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y144 IS_RESERVED 0 SITEPROP TIEOFF_X13Y144 IS_TEST 0 SITEPROP TIEOFF_X13Y144 IS_USED 0 SITEPROP TIEOFF_X13Y144 MANUAL_ROUTING SITEPROP TIEOFF_X13Y144 NAME TIEOFF_X13Y144 SITEPROP TIEOFF_X13Y144 NUM_ARCS 0 SITEPROP TIEOFF_X13Y144 NUM_BELS 2 SITEPROP TIEOFF_X13Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y144 NUM_PINS 2 SITEPROP TIEOFF_X13Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y144 PROHIBIT 0 SITEPROP TIEOFF_X13Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y144 RPM_X 56 SITEPROP TIEOFF_X13Y144 RPM_Y 288 SITEPROP TIEOFF_X13Y144 SITE_PIPS SITEPROP TIEOFF_X13Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y145 CLASS site SITEPROP TIEOFF_X13Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y145 IS_BONDED 0 SITEPROP TIEOFF_X13Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y145 IS_PAD 0 SITEPROP TIEOFF_X13Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y145 IS_RESERVED 0 SITEPROP TIEOFF_X13Y145 IS_TEST 0 SITEPROP TIEOFF_X13Y145 IS_USED 0 SITEPROP TIEOFF_X13Y145 MANUAL_ROUTING SITEPROP TIEOFF_X13Y145 NAME TIEOFF_X13Y145 SITEPROP TIEOFF_X13Y145 NUM_ARCS 0 SITEPROP TIEOFF_X13Y145 NUM_BELS 2 SITEPROP TIEOFF_X13Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y145 NUM_PINS 2 SITEPROP TIEOFF_X13Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y145 PROHIBIT 0 SITEPROP TIEOFF_X13Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y145 RPM_X 56 SITEPROP TIEOFF_X13Y145 RPM_Y 290 SITEPROP TIEOFF_X13Y145 SITE_PIPS SITEPROP TIEOFF_X13Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y146 CLASS site SITEPROP TIEOFF_X13Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y146 IS_BONDED 0 SITEPROP TIEOFF_X13Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y146 IS_PAD 0 SITEPROP TIEOFF_X13Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y146 IS_RESERVED 0 SITEPROP TIEOFF_X13Y146 IS_TEST 0 SITEPROP TIEOFF_X13Y146 IS_USED 0 SITEPROP TIEOFF_X13Y146 MANUAL_ROUTING SITEPROP TIEOFF_X13Y146 NAME TIEOFF_X13Y146 SITEPROP TIEOFF_X13Y146 NUM_ARCS 0 SITEPROP TIEOFF_X13Y146 NUM_BELS 2 SITEPROP TIEOFF_X13Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y146 NUM_PINS 2 SITEPROP TIEOFF_X13Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y146 PROHIBIT 0 SITEPROP TIEOFF_X13Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y146 RPM_X 56 SITEPROP TIEOFF_X13Y146 RPM_Y 292 SITEPROP TIEOFF_X13Y146 SITE_PIPS SITEPROP TIEOFF_X13Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y147 CLASS site SITEPROP TIEOFF_X13Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y147 IS_BONDED 0 SITEPROP TIEOFF_X13Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y147 IS_PAD 0 SITEPROP TIEOFF_X13Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y147 IS_RESERVED 0 SITEPROP TIEOFF_X13Y147 IS_TEST 0 SITEPROP TIEOFF_X13Y147 IS_USED 0 SITEPROP TIEOFF_X13Y147 MANUAL_ROUTING SITEPROP TIEOFF_X13Y147 NAME TIEOFF_X13Y147 SITEPROP TIEOFF_X13Y147 NUM_ARCS 0 SITEPROP TIEOFF_X13Y147 NUM_BELS 2 SITEPROP TIEOFF_X13Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y147 NUM_PINS 2 SITEPROP TIEOFF_X13Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y147 PROHIBIT 0 SITEPROP TIEOFF_X13Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y147 RPM_X 56 SITEPROP TIEOFF_X13Y147 RPM_Y 294 SITEPROP TIEOFF_X13Y147 SITE_PIPS SITEPROP TIEOFF_X13Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y148 CLASS site SITEPROP TIEOFF_X13Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y148 IS_BONDED 0 SITEPROP TIEOFF_X13Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y148 IS_PAD 0 SITEPROP TIEOFF_X13Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y148 IS_RESERVED 0 SITEPROP TIEOFF_X13Y148 IS_TEST 0 SITEPROP TIEOFF_X13Y148 IS_USED 0 SITEPROP TIEOFF_X13Y148 MANUAL_ROUTING SITEPROP TIEOFF_X13Y148 NAME TIEOFF_X13Y148 SITEPROP TIEOFF_X13Y148 NUM_ARCS 0 SITEPROP TIEOFF_X13Y148 NUM_BELS 2 SITEPROP TIEOFF_X13Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y148 NUM_PINS 2 SITEPROP TIEOFF_X13Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y148 PROHIBIT 0 SITEPROP TIEOFF_X13Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y148 RPM_X 56 SITEPROP TIEOFF_X13Y148 RPM_Y 296 SITEPROP TIEOFF_X13Y148 SITE_PIPS SITEPROP TIEOFF_X13Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X13Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X13Y149 CLASS site SITEPROP TIEOFF_X13Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X13Y149 IS_BONDED 0 SITEPROP TIEOFF_X13Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y149 IS_PAD 0 SITEPROP TIEOFF_X13Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X13Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X13Y149 IS_RESERVED 0 SITEPROP TIEOFF_X13Y149 IS_TEST 0 SITEPROP TIEOFF_X13Y149 IS_USED 0 SITEPROP TIEOFF_X13Y149 MANUAL_ROUTING SITEPROP TIEOFF_X13Y149 NAME TIEOFF_X13Y149 SITEPROP TIEOFF_X13Y149 NUM_ARCS 0 SITEPROP TIEOFF_X13Y149 NUM_BELS 2 SITEPROP TIEOFF_X13Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X13Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X13Y149 NUM_PINS 2 SITEPROP TIEOFF_X13Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X13Y149 PROHIBIT 0 SITEPROP TIEOFF_X13Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X13Y149 RPM_X 56 SITEPROP TIEOFF_X13Y149 RPM_Y 298 SITEPROP TIEOFF_X13Y149 SITE_PIPS SITEPROP TIEOFF_X13Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y100 CLASS site SITEPROP TIEOFF_X14Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y100 IS_BONDED 0 SITEPROP TIEOFF_X14Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y100 IS_PAD 0 SITEPROP TIEOFF_X14Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y100 IS_RESERVED 0 SITEPROP TIEOFF_X14Y100 IS_TEST 0 SITEPROP TIEOFF_X14Y100 IS_USED 0 SITEPROP TIEOFF_X14Y100 MANUAL_ROUTING SITEPROP TIEOFF_X14Y100 NAME TIEOFF_X14Y100 SITEPROP TIEOFF_X14Y100 NUM_ARCS 0 SITEPROP TIEOFF_X14Y100 NUM_BELS 2 SITEPROP TIEOFF_X14Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y100 NUM_PINS 2 SITEPROP TIEOFF_X14Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y100 PROHIBIT 0 SITEPROP TIEOFF_X14Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y100 RPM_X 60 SITEPROP TIEOFF_X14Y100 RPM_Y 200 SITEPROP TIEOFF_X14Y100 SITE_PIPS SITEPROP TIEOFF_X14Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y101 CLASS site SITEPROP TIEOFF_X14Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y101 IS_BONDED 0 SITEPROP TIEOFF_X14Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y101 IS_PAD 0 SITEPROP TIEOFF_X14Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y101 IS_RESERVED 0 SITEPROP TIEOFF_X14Y101 IS_TEST 0 SITEPROP TIEOFF_X14Y101 IS_USED 0 SITEPROP TIEOFF_X14Y101 MANUAL_ROUTING SITEPROP TIEOFF_X14Y101 NAME TIEOFF_X14Y101 SITEPROP TIEOFF_X14Y101 NUM_ARCS 0 SITEPROP TIEOFF_X14Y101 NUM_BELS 2 SITEPROP TIEOFF_X14Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y101 NUM_PINS 2 SITEPROP TIEOFF_X14Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y101 PROHIBIT 0 SITEPROP TIEOFF_X14Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y101 RPM_X 60 SITEPROP TIEOFF_X14Y101 RPM_Y 202 SITEPROP TIEOFF_X14Y101 SITE_PIPS SITEPROP TIEOFF_X14Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y102 CLASS site SITEPROP TIEOFF_X14Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y102 IS_BONDED 0 SITEPROP TIEOFF_X14Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y102 IS_PAD 0 SITEPROP TIEOFF_X14Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y102 IS_RESERVED 0 SITEPROP TIEOFF_X14Y102 IS_TEST 0 SITEPROP TIEOFF_X14Y102 IS_USED 0 SITEPROP TIEOFF_X14Y102 MANUAL_ROUTING SITEPROP TIEOFF_X14Y102 NAME TIEOFF_X14Y102 SITEPROP TIEOFF_X14Y102 NUM_ARCS 0 SITEPROP TIEOFF_X14Y102 NUM_BELS 2 SITEPROP TIEOFF_X14Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y102 NUM_PINS 2 SITEPROP TIEOFF_X14Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y102 PROHIBIT 0 SITEPROP TIEOFF_X14Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y102 RPM_X 60 SITEPROP TIEOFF_X14Y102 RPM_Y 204 SITEPROP TIEOFF_X14Y102 SITE_PIPS SITEPROP TIEOFF_X14Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y103 CLASS site SITEPROP TIEOFF_X14Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y103 IS_BONDED 0 SITEPROP TIEOFF_X14Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y103 IS_PAD 0 SITEPROP TIEOFF_X14Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y103 IS_RESERVED 0 SITEPROP TIEOFF_X14Y103 IS_TEST 0 SITEPROP TIEOFF_X14Y103 IS_USED 0 SITEPROP TIEOFF_X14Y103 MANUAL_ROUTING SITEPROP TIEOFF_X14Y103 NAME TIEOFF_X14Y103 SITEPROP TIEOFF_X14Y103 NUM_ARCS 0 SITEPROP TIEOFF_X14Y103 NUM_BELS 2 SITEPROP TIEOFF_X14Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y103 NUM_PINS 2 SITEPROP TIEOFF_X14Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y103 PROHIBIT 0 SITEPROP TIEOFF_X14Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y103 RPM_X 60 SITEPROP TIEOFF_X14Y103 RPM_Y 206 SITEPROP TIEOFF_X14Y103 SITE_PIPS SITEPROP TIEOFF_X14Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y104 CLASS site SITEPROP TIEOFF_X14Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y104 IS_BONDED 0 SITEPROP TIEOFF_X14Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y104 IS_PAD 0 SITEPROP TIEOFF_X14Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y104 IS_RESERVED 0 SITEPROP TIEOFF_X14Y104 IS_TEST 0 SITEPROP TIEOFF_X14Y104 IS_USED 0 SITEPROP TIEOFF_X14Y104 MANUAL_ROUTING SITEPROP TIEOFF_X14Y104 NAME TIEOFF_X14Y104 SITEPROP TIEOFF_X14Y104 NUM_ARCS 0 SITEPROP TIEOFF_X14Y104 NUM_BELS 2 SITEPROP TIEOFF_X14Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y104 NUM_PINS 2 SITEPROP TIEOFF_X14Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y104 PROHIBIT 0 SITEPROP TIEOFF_X14Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y104 RPM_X 60 SITEPROP TIEOFF_X14Y104 RPM_Y 208 SITEPROP TIEOFF_X14Y104 SITE_PIPS SITEPROP TIEOFF_X14Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y105 CLASS site SITEPROP TIEOFF_X14Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y105 IS_BONDED 0 SITEPROP TIEOFF_X14Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y105 IS_PAD 0 SITEPROP TIEOFF_X14Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y105 IS_RESERVED 0 SITEPROP TIEOFF_X14Y105 IS_TEST 0 SITEPROP TIEOFF_X14Y105 IS_USED 0 SITEPROP TIEOFF_X14Y105 MANUAL_ROUTING SITEPROP TIEOFF_X14Y105 NAME TIEOFF_X14Y105 SITEPROP TIEOFF_X14Y105 NUM_ARCS 0 SITEPROP TIEOFF_X14Y105 NUM_BELS 2 SITEPROP TIEOFF_X14Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y105 NUM_PINS 2 SITEPROP TIEOFF_X14Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y105 PROHIBIT 0 SITEPROP TIEOFF_X14Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y105 RPM_X 60 SITEPROP TIEOFF_X14Y105 RPM_Y 210 SITEPROP TIEOFF_X14Y105 SITE_PIPS SITEPROP TIEOFF_X14Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y106 CLASS site SITEPROP TIEOFF_X14Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y106 IS_BONDED 0 SITEPROP TIEOFF_X14Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y106 IS_PAD 0 SITEPROP TIEOFF_X14Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y106 IS_RESERVED 0 SITEPROP TIEOFF_X14Y106 IS_TEST 0 SITEPROP TIEOFF_X14Y106 IS_USED 0 SITEPROP TIEOFF_X14Y106 MANUAL_ROUTING SITEPROP TIEOFF_X14Y106 NAME TIEOFF_X14Y106 SITEPROP TIEOFF_X14Y106 NUM_ARCS 0 SITEPROP TIEOFF_X14Y106 NUM_BELS 2 SITEPROP TIEOFF_X14Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y106 NUM_PINS 2 SITEPROP TIEOFF_X14Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y106 PROHIBIT 0 SITEPROP TIEOFF_X14Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y106 RPM_X 60 SITEPROP TIEOFF_X14Y106 RPM_Y 212 SITEPROP TIEOFF_X14Y106 SITE_PIPS SITEPROP TIEOFF_X14Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y107 CLASS site SITEPROP TIEOFF_X14Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y107 IS_BONDED 0 SITEPROP TIEOFF_X14Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y107 IS_PAD 0 SITEPROP TIEOFF_X14Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y107 IS_RESERVED 0 SITEPROP TIEOFF_X14Y107 IS_TEST 0 SITEPROP TIEOFF_X14Y107 IS_USED 0 SITEPROP TIEOFF_X14Y107 MANUAL_ROUTING SITEPROP TIEOFF_X14Y107 NAME TIEOFF_X14Y107 SITEPROP TIEOFF_X14Y107 NUM_ARCS 0 SITEPROP TIEOFF_X14Y107 NUM_BELS 2 SITEPROP TIEOFF_X14Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y107 NUM_PINS 2 SITEPROP TIEOFF_X14Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y107 PROHIBIT 0 SITEPROP TIEOFF_X14Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y107 RPM_X 60 SITEPROP TIEOFF_X14Y107 RPM_Y 214 SITEPROP TIEOFF_X14Y107 SITE_PIPS SITEPROP TIEOFF_X14Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y108 CLASS site SITEPROP TIEOFF_X14Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y108 IS_BONDED 0 SITEPROP TIEOFF_X14Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y108 IS_PAD 0 SITEPROP TIEOFF_X14Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y108 IS_RESERVED 0 SITEPROP TIEOFF_X14Y108 IS_TEST 0 SITEPROP TIEOFF_X14Y108 IS_USED 0 SITEPROP TIEOFF_X14Y108 MANUAL_ROUTING SITEPROP TIEOFF_X14Y108 NAME TIEOFF_X14Y108 SITEPROP TIEOFF_X14Y108 NUM_ARCS 0 SITEPROP TIEOFF_X14Y108 NUM_BELS 2 SITEPROP TIEOFF_X14Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y108 NUM_PINS 2 SITEPROP TIEOFF_X14Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y108 PROHIBIT 0 SITEPROP TIEOFF_X14Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y108 RPM_X 60 SITEPROP TIEOFF_X14Y108 RPM_Y 216 SITEPROP TIEOFF_X14Y108 SITE_PIPS SITEPROP TIEOFF_X14Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y109 CLASS site SITEPROP TIEOFF_X14Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y109 IS_BONDED 0 SITEPROP TIEOFF_X14Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y109 IS_PAD 0 SITEPROP TIEOFF_X14Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y109 IS_RESERVED 0 SITEPROP TIEOFF_X14Y109 IS_TEST 0 SITEPROP TIEOFF_X14Y109 IS_USED 0 SITEPROP TIEOFF_X14Y109 MANUAL_ROUTING SITEPROP TIEOFF_X14Y109 NAME TIEOFF_X14Y109 SITEPROP TIEOFF_X14Y109 NUM_ARCS 0 SITEPROP TIEOFF_X14Y109 NUM_BELS 2 SITEPROP TIEOFF_X14Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y109 NUM_PINS 2 SITEPROP TIEOFF_X14Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y109 PROHIBIT 0 SITEPROP TIEOFF_X14Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y109 RPM_X 60 SITEPROP TIEOFF_X14Y109 RPM_Y 218 SITEPROP TIEOFF_X14Y109 SITE_PIPS SITEPROP TIEOFF_X14Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y110 CLASS site SITEPROP TIEOFF_X14Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y110 IS_BONDED 0 SITEPROP TIEOFF_X14Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y110 IS_PAD 0 SITEPROP TIEOFF_X14Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y110 IS_RESERVED 0 SITEPROP TIEOFF_X14Y110 IS_TEST 0 SITEPROP TIEOFF_X14Y110 IS_USED 0 SITEPROP TIEOFF_X14Y110 MANUAL_ROUTING SITEPROP TIEOFF_X14Y110 NAME TIEOFF_X14Y110 SITEPROP TIEOFF_X14Y110 NUM_ARCS 0 SITEPROP TIEOFF_X14Y110 NUM_BELS 2 SITEPROP TIEOFF_X14Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y110 NUM_PINS 2 SITEPROP TIEOFF_X14Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y110 PROHIBIT 0 SITEPROP TIEOFF_X14Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y110 RPM_X 60 SITEPROP TIEOFF_X14Y110 RPM_Y 220 SITEPROP TIEOFF_X14Y110 SITE_PIPS SITEPROP TIEOFF_X14Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y111 CLASS site SITEPROP TIEOFF_X14Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y111 IS_BONDED 0 SITEPROP TIEOFF_X14Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y111 IS_PAD 0 SITEPROP TIEOFF_X14Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y111 IS_RESERVED 0 SITEPROP TIEOFF_X14Y111 IS_TEST 0 SITEPROP TIEOFF_X14Y111 IS_USED 0 SITEPROP TIEOFF_X14Y111 MANUAL_ROUTING SITEPROP TIEOFF_X14Y111 NAME TIEOFF_X14Y111 SITEPROP TIEOFF_X14Y111 NUM_ARCS 0 SITEPROP TIEOFF_X14Y111 NUM_BELS 2 SITEPROP TIEOFF_X14Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y111 NUM_PINS 2 SITEPROP TIEOFF_X14Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y111 PROHIBIT 0 SITEPROP TIEOFF_X14Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y111 RPM_X 60 SITEPROP TIEOFF_X14Y111 RPM_Y 222 SITEPROP TIEOFF_X14Y111 SITE_PIPS SITEPROP TIEOFF_X14Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y112 CLASS site SITEPROP TIEOFF_X14Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y112 IS_BONDED 0 SITEPROP TIEOFF_X14Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y112 IS_PAD 0 SITEPROP TIEOFF_X14Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y112 IS_RESERVED 0 SITEPROP TIEOFF_X14Y112 IS_TEST 0 SITEPROP TIEOFF_X14Y112 IS_USED 0 SITEPROP TIEOFF_X14Y112 MANUAL_ROUTING SITEPROP TIEOFF_X14Y112 NAME TIEOFF_X14Y112 SITEPROP TIEOFF_X14Y112 NUM_ARCS 0 SITEPROP TIEOFF_X14Y112 NUM_BELS 2 SITEPROP TIEOFF_X14Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y112 NUM_PINS 2 SITEPROP TIEOFF_X14Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y112 PROHIBIT 0 SITEPROP TIEOFF_X14Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y112 RPM_X 60 SITEPROP TIEOFF_X14Y112 RPM_Y 224 SITEPROP TIEOFF_X14Y112 SITE_PIPS SITEPROP TIEOFF_X14Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y113 CLASS site SITEPROP TIEOFF_X14Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y113 IS_BONDED 0 SITEPROP TIEOFF_X14Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y113 IS_PAD 0 SITEPROP TIEOFF_X14Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y113 IS_RESERVED 0 SITEPROP TIEOFF_X14Y113 IS_TEST 0 SITEPROP TIEOFF_X14Y113 IS_USED 0 SITEPROP TIEOFF_X14Y113 MANUAL_ROUTING SITEPROP TIEOFF_X14Y113 NAME TIEOFF_X14Y113 SITEPROP TIEOFF_X14Y113 NUM_ARCS 0 SITEPROP TIEOFF_X14Y113 NUM_BELS 2 SITEPROP TIEOFF_X14Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y113 NUM_PINS 2 SITEPROP TIEOFF_X14Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y113 PROHIBIT 0 SITEPROP TIEOFF_X14Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y113 RPM_X 60 SITEPROP TIEOFF_X14Y113 RPM_Y 226 SITEPROP TIEOFF_X14Y113 SITE_PIPS SITEPROP TIEOFF_X14Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y114 CLASS site SITEPROP TIEOFF_X14Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y114 IS_BONDED 0 SITEPROP TIEOFF_X14Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y114 IS_PAD 0 SITEPROP TIEOFF_X14Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y114 IS_RESERVED 0 SITEPROP TIEOFF_X14Y114 IS_TEST 0 SITEPROP TIEOFF_X14Y114 IS_USED 0 SITEPROP TIEOFF_X14Y114 MANUAL_ROUTING SITEPROP TIEOFF_X14Y114 NAME TIEOFF_X14Y114 SITEPROP TIEOFF_X14Y114 NUM_ARCS 0 SITEPROP TIEOFF_X14Y114 NUM_BELS 2 SITEPROP TIEOFF_X14Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y114 NUM_PINS 2 SITEPROP TIEOFF_X14Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y114 PROHIBIT 0 SITEPROP TIEOFF_X14Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y114 RPM_X 60 SITEPROP TIEOFF_X14Y114 RPM_Y 228 SITEPROP TIEOFF_X14Y114 SITE_PIPS SITEPROP TIEOFF_X14Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y115 CLASS site SITEPROP TIEOFF_X14Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y115 IS_BONDED 0 SITEPROP TIEOFF_X14Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y115 IS_PAD 0 SITEPROP TIEOFF_X14Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y115 IS_RESERVED 0 SITEPROP TIEOFF_X14Y115 IS_TEST 0 SITEPROP TIEOFF_X14Y115 IS_USED 0 SITEPROP TIEOFF_X14Y115 MANUAL_ROUTING SITEPROP TIEOFF_X14Y115 NAME TIEOFF_X14Y115 SITEPROP TIEOFF_X14Y115 NUM_ARCS 0 SITEPROP TIEOFF_X14Y115 NUM_BELS 2 SITEPROP TIEOFF_X14Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y115 NUM_PINS 2 SITEPROP TIEOFF_X14Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y115 PROHIBIT 0 SITEPROP TIEOFF_X14Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y115 RPM_X 60 SITEPROP TIEOFF_X14Y115 RPM_Y 230 SITEPROP TIEOFF_X14Y115 SITE_PIPS SITEPROP TIEOFF_X14Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y116 CLASS site SITEPROP TIEOFF_X14Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y116 IS_BONDED 0 SITEPROP TIEOFF_X14Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y116 IS_PAD 0 SITEPROP TIEOFF_X14Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y116 IS_RESERVED 0 SITEPROP TIEOFF_X14Y116 IS_TEST 0 SITEPROP TIEOFF_X14Y116 IS_USED 0 SITEPROP TIEOFF_X14Y116 MANUAL_ROUTING SITEPROP TIEOFF_X14Y116 NAME TIEOFF_X14Y116 SITEPROP TIEOFF_X14Y116 NUM_ARCS 0 SITEPROP TIEOFF_X14Y116 NUM_BELS 2 SITEPROP TIEOFF_X14Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y116 NUM_PINS 2 SITEPROP TIEOFF_X14Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y116 PROHIBIT 0 SITEPROP TIEOFF_X14Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y116 RPM_X 60 SITEPROP TIEOFF_X14Y116 RPM_Y 232 SITEPROP TIEOFF_X14Y116 SITE_PIPS SITEPROP TIEOFF_X14Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y117 CLASS site SITEPROP TIEOFF_X14Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y117 IS_BONDED 0 SITEPROP TIEOFF_X14Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y117 IS_PAD 0 SITEPROP TIEOFF_X14Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y117 IS_RESERVED 0 SITEPROP TIEOFF_X14Y117 IS_TEST 0 SITEPROP TIEOFF_X14Y117 IS_USED 0 SITEPROP TIEOFF_X14Y117 MANUAL_ROUTING SITEPROP TIEOFF_X14Y117 NAME TIEOFF_X14Y117 SITEPROP TIEOFF_X14Y117 NUM_ARCS 0 SITEPROP TIEOFF_X14Y117 NUM_BELS 2 SITEPROP TIEOFF_X14Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y117 NUM_PINS 2 SITEPROP TIEOFF_X14Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y117 PROHIBIT 0 SITEPROP TIEOFF_X14Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y117 RPM_X 60 SITEPROP TIEOFF_X14Y117 RPM_Y 234 SITEPROP TIEOFF_X14Y117 SITE_PIPS SITEPROP TIEOFF_X14Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y118 CLASS site SITEPROP TIEOFF_X14Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y118 IS_BONDED 0 SITEPROP TIEOFF_X14Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y118 IS_PAD 0 SITEPROP TIEOFF_X14Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y118 IS_RESERVED 0 SITEPROP TIEOFF_X14Y118 IS_TEST 0 SITEPROP TIEOFF_X14Y118 IS_USED 0 SITEPROP TIEOFF_X14Y118 MANUAL_ROUTING SITEPROP TIEOFF_X14Y118 NAME TIEOFF_X14Y118 SITEPROP TIEOFF_X14Y118 NUM_ARCS 0 SITEPROP TIEOFF_X14Y118 NUM_BELS 2 SITEPROP TIEOFF_X14Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y118 NUM_PINS 2 SITEPROP TIEOFF_X14Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y118 PROHIBIT 0 SITEPROP TIEOFF_X14Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y118 RPM_X 60 SITEPROP TIEOFF_X14Y118 RPM_Y 236 SITEPROP TIEOFF_X14Y118 SITE_PIPS SITEPROP TIEOFF_X14Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y119 CLASS site SITEPROP TIEOFF_X14Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y119 IS_BONDED 0 SITEPROP TIEOFF_X14Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y119 IS_PAD 0 SITEPROP TIEOFF_X14Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y119 IS_RESERVED 0 SITEPROP TIEOFF_X14Y119 IS_TEST 0 SITEPROP TIEOFF_X14Y119 IS_USED 0 SITEPROP TIEOFF_X14Y119 MANUAL_ROUTING SITEPROP TIEOFF_X14Y119 NAME TIEOFF_X14Y119 SITEPROP TIEOFF_X14Y119 NUM_ARCS 0 SITEPROP TIEOFF_X14Y119 NUM_BELS 2 SITEPROP TIEOFF_X14Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y119 NUM_PINS 2 SITEPROP TIEOFF_X14Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y119 PROHIBIT 0 SITEPROP TIEOFF_X14Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y119 RPM_X 60 SITEPROP TIEOFF_X14Y119 RPM_Y 238 SITEPROP TIEOFF_X14Y119 SITE_PIPS SITEPROP TIEOFF_X14Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y120 CLASS site SITEPROP TIEOFF_X14Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y120 IS_BONDED 0 SITEPROP TIEOFF_X14Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y120 IS_PAD 0 SITEPROP TIEOFF_X14Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y120 IS_RESERVED 0 SITEPROP TIEOFF_X14Y120 IS_TEST 0 SITEPROP TIEOFF_X14Y120 IS_USED 0 SITEPROP TIEOFF_X14Y120 MANUAL_ROUTING SITEPROP TIEOFF_X14Y120 NAME TIEOFF_X14Y120 SITEPROP TIEOFF_X14Y120 NUM_ARCS 0 SITEPROP TIEOFF_X14Y120 NUM_BELS 2 SITEPROP TIEOFF_X14Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y120 NUM_PINS 2 SITEPROP TIEOFF_X14Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y120 PROHIBIT 0 SITEPROP TIEOFF_X14Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y120 RPM_X 60 SITEPROP TIEOFF_X14Y120 RPM_Y 240 SITEPROP TIEOFF_X14Y120 SITE_PIPS SITEPROP TIEOFF_X14Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y121 CLASS site SITEPROP TIEOFF_X14Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y121 IS_BONDED 0 SITEPROP TIEOFF_X14Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y121 IS_PAD 0 SITEPROP TIEOFF_X14Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y121 IS_RESERVED 0 SITEPROP TIEOFF_X14Y121 IS_TEST 0 SITEPROP TIEOFF_X14Y121 IS_USED 0 SITEPROP TIEOFF_X14Y121 MANUAL_ROUTING SITEPROP TIEOFF_X14Y121 NAME TIEOFF_X14Y121 SITEPROP TIEOFF_X14Y121 NUM_ARCS 0 SITEPROP TIEOFF_X14Y121 NUM_BELS 2 SITEPROP TIEOFF_X14Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y121 NUM_PINS 2 SITEPROP TIEOFF_X14Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y121 PROHIBIT 0 SITEPROP TIEOFF_X14Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y121 RPM_X 60 SITEPROP TIEOFF_X14Y121 RPM_Y 242 SITEPROP TIEOFF_X14Y121 SITE_PIPS SITEPROP TIEOFF_X14Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y122 CLASS site SITEPROP TIEOFF_X14Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y122 IS_BONDED 0 SITEPROP TIEOFF_X14Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y122 IS_PAD 0 SITEPROP TIEOFF_X14Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y122 IS_RESERVED 0 SITEPROP TIEOFF_X14Y122 IS_TEST 0 SITEPROP TIEOFF_X14Y122 IS_USED 0 SITEPROP TIEOFF_X14Y122 MANUAL_ROUTING SITEPROP TIEOFF_X14Y122 NAME TIEOFF_X14Y122 SITEPROP TIEOFF_X14Y122 NUM_ARCS 0 SITEPROP TIEOFF_X14Y122 NUM_BELS 2 SITEPROP TIEOFF_X14Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y122 NUM_PINS 2 SITEPROP TIEOFF_X14Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y122 PROHIBIT 0 SITEPROP TIEOFF_X14Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y122 RPM_X 60 SITEPROP TIEOFF_X14Y122 RPM_Y 244 SITEPROP TIEOFF_X14Y122 SITE_PIPS SITEPROP TIEOFF_X14Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y123 CLASS site SITEPROP TIEOFF_X14Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y123 IS_BONDED 0 SITEPROP TIEOFF_X14Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y123 IS_PAD 0 SITEPROP TIEOFF_X14Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y123 IS_RESERVED 0 SITEPROP TIEOFF_X14Y123 IS_TEST 0 SITEPROP TIEOFF_X14Y123 IS_USED 0 SITEPROP TIEOFF_X14Y123 MANUAL_ROUTING SITEPROP TIEOFF_X14Y123 NAME TIEOFF_X14Y123 SITEPROP TIEOFF_X14Y123 NUM_ARCS 0 SITEPROP TIEOFF_X14Y123 NUM_BELS 2 SITEPROP TIEOFF_X14Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y123 NUM_PINS 2 SITEPROP TIEOFF_X14Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y123 PROHIBIT 0 SITEPROP TIEOFF_X14Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y123 RPM_X 60 SITEPROP TIEOFF_X14Y123 RPM_Y 246 SITEPROP TIEOFF_X14Y123 SITE_PIPS SITEPROP TIEOFF_X14Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y124 CLASS site SITEPROP TIEOFF_X14Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y124 IS_BONDED 0 SITEPROP TIEOFF_X14Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y124 IS_PAD 0 SITEPROP TIEOFF_X14Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y124 IS_RESERVED 0 SITEPROP TIEOFF_X14Y124 IS_TEST 0 SITEPROP TIEOFF_X14Y124 IS_USED 0 SITEPROP TIEOFF_X14Y124 MANUAL_ROUTING SITEPROP TIEOFF_X14Y124 NAME TIEOFF_X14Y124 SITEPROP TIEOFF_X14Y124 NUM_ARCS 0 SITEPROP TIEOFF_X14Y124 NUM_BELS 2 SITEPROP TIEOFF_X14Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y124 NUM_PINS 2 SITEPROP TIEOFF_X14Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y124 PROHIBIT 0 SITEPROP TIEOFF_X14Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y124 RPM_X 60 SITEPROP TIEOFF_X14Y124 RPM_Y 248 SITEPROP TIEOFF_X14Y124 SITE_PIPS SITEPROP TIEOFF_X14Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y125 CLASS site SITEPROP TIEOFF_X14Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y125 IS_BONDED 0 SITEPROP TIEOFF_X14Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y125 IS_PAD 0 SITEPROP TIEOFF_X14Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y125 IS_RESERVED 0 SITEPROP TIEOFF_X14Y125 IS_TEST 0 SITEPROP TIEOFF_X14Y125 IS_USED 0 SITEPROP TIEOFF_X14Y125 MANUAL_ROUTING SITEPROP TIEOFF_X14Y125 NAME TIEOFF_X14Y125 SITEPROP TIEOFF_X14Y125 NUM_ARCS 0 SITEPROP TIEOFF_X14Y125 NUM_BELS 2 SITEPROP TIEOFF_X14Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y125 NUM_PINS 2 SITEPROP TIEOFF_X14Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y125 PROHIBIT 0 SITEPROP TIEOFF_X14Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y125 RPM_X 60 SITEPROP TIEOFF_X14Y125 RPM_Y 250 SITEPROP TIEOFF_X14Y125 SITE_PIPS SITEPROP TIEOFF_X14Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y126 CLASS site SITEPROP TIEOFF_X14Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y126 IS_BONDED 0 SITEPROP TIEOFF_X14Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y126 IS_PAD 0 SITEPROP TIEOFF_X14Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y126 IS_RESERVED 0 SITEPROP TIEOFF_X14Y126 IS_TEST 0 SITEPROP TIEOFF_X14Y126 IS_USED 0 SITEPROP TIEOFF_X14Y126 MANUAL_ROUTING SITEPROP TIEOFF_X14Y126 NAME TIEOFF_X14Y126 SITEPROP TIEOFF_X14Y126 NUM_ARCS 0 SITEPROP TIEOFF_X14Y126 NUM_BELS 2 SITEPROP TIEOFF_X14Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y126 NUM_PINS 2 SITEPROP TIEOFF_X14Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y126 PROHIBIT 0 SITEPROP TIEOFF_X14Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y126 RPM_X 60 SITEPROP TIEOFF_X14Y126 RPM_Y 252 SITEPROP TIEOFF_X14Y126 SITE_PIPS SITEPROP TIEOFF_X14Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y127 CLASS site SITEPROP TIEOFF_X14Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y127 IS_BONDED 0 SITEPROP TIEOFF_X14Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y127 IS_PAD 0 SITEPROP TIEOFF_X14Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y127 IS_RESERVED 0 SITEPROP TIEOFF_X14Y127 IS_TEST 0 SITEPROP TIEOFF_X14Y127 IS_USED 0 SITEPROP TIEOFF_X14Y127 MANUAL_ROUTING SITEPROP TIEOFF_X14Y127 NAME TIEOFF_X14Y127 SITEPROP TIEOFF_X14Y127 NUM_ARCS 0 SITEPROP TIEOFF_X14Y127 NUM_BELS 2 SITEPROP TIEOFF_X14Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y127 NUM_PINS 2 SITEPROP TIEOFF_X14Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y127 PROHIBIT 0 SITEPROP TIEOFF_X14Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y127 RPM_X 60 SITEPROP TIEOFF_X14Y127 RPM_Y 254 SITEPROP TIEOFF_X14Y127 SITE_PIPS SITEPROP TIEOFF_X14Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y128 CLASS site SITEPROP TIEOFF_X14Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y128 IS_BONDED 0 SITEPROP TIEOFF_X14Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y128 IS_PAD 0 SITEPROP TIEOFF_X14Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y128 IS_RESERVED 0 SITEPROP TIEOFF_X14Y128 IS_TEST 0 SITEPROP TIEOFF_X14Y128 IS_USED 0 SITEPROP TIEOFF_X14Y128 MANUAL_ROUTING SITEPROP TIEOFF_X14Y128 NAME TIEOFF_X14Y128 SITEPROP TIEOFF_X14Y128 NUM_ARCS 0 SITEPROP TIEOFF_X14Y128 NUM_BELS 2 SITEPROP TIEOFF_X14Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y128 NUM_PINS 2 SITEPROP TIEOFF_X14Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y128 PROHIBIT 0 SITEPROP TIEOFF_X14Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y128 RPM_X 60 SITEPROP TIEOFF_X14Y128 RPM_Y 256 SITEPROP TIEOFF_X14Y128 SITE_PIPS SITEPROP TIEOFF_X14Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y129 CLASS site SITEPROP TIEOFF_X14Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y129 IS_BONDED 0 SITEPROP TIEOFF_X14Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y129 IS_PAD 0 SITEPROP TIEOFF_X14Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y129 IS_RESERVED 0 SITEPROP TIEOFF_X14Y129 IS_TEST 0 SITEPROP TIEOFF_X14Y129 IS_USED 0 SITEPROP TIEOFF_X14Y129 MANUAL_ROUTING SITEPROP TIEOFF_X14Y129 NAME TIEOFF_X14Y129 SITEPROP TIEOFF_X14Y129 NUM_ARCS 0 SITEPROP TIEOFF_X14Y129 NUM_BELS 2 SITEPROP TIEOFF_X14Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y129 NUM_PINS 2 SITEPROP TIEOFF_X14Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y129 PROHIBIT 0 SITEPROP TIEOFF_X14Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y129 RPM_X 60 SITEPROP TIEOFF_X14Y129 RPM_Y 258 SITEPROP TIEOFF_X14Y129 SITE_PIPS SITEPROP TIEOFF_X14Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y130 CLASS site SITEPROP TIEOFF_X14Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y130 IS_BONDED 0 SITEPROP TIEOFF_X14Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y130 IS_PAD 0 SITEPROP TIEOFF_X14Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y130 IS_RESERVED 0 SITEPROP TIEOFF_X14Y130 IS_TEST 0 SITEPROP TIEOFF_X14Y130 IS_USED 0 SITEPROP TIEOFF_X14Y130 MANUAL_ROUTING SITEPROP TIEOFF_X14Y130 NAME TIEOFF_X14Y130 SITEPROP TIEOFF_X14Y130 NUM_ARCS 0 SITEPROP TIEOFF_X14Y130 NUM_BELS 2 SITEPROP TIEOFF_X14Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y130 NUM_PINS 2 SITEPROP TIEOFF_X14Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y130 PROHIBIT 0 SITEPROP TIEOFF_X14Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y130 RPM_X 60 SITEPROP TIEOFF_X14Y130 RPM_Y 260 SITEPROP TIEOFF_X14Y130 SITE_PIPS SITEPROP TIEOFF_X14Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y131 CLASS site SITEPROP TIEOFF_X14Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y131 IS_BONDED 0 SITEPROP TIEOFF_X14Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y131 IS_PAD 0 SITEPROP TIEOFF_X14Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y131 IS_RESERVED 0 SITEPROP TIEOFF_X14Y131 IS_TEST 0 SITEPROP TIEOFF_X14Y131 IS_USED 0 SITEPROP TIEOFF_X14Y131 MANUAL_ROUTING SITEPROP TIEOFF_X14Y131 NAME TIEOFF_X14Y131 SITEPROP TIEOFF_X14Y131 NUM_ARCS 0 SITEPROP TIEOFF_X14Y131 NUM_BELS 2 SITEPROP TIEOFF_X14Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y131 NUM_PINS 2 SITEPROP TIEOFF_X14Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y131 PROHIBIT 0 SITEPROP TIEOFF_X14Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y131 RPM_X 60 SITEPROP TIEOFF_X14Y131 RPM_Y 262 SITEPROP TIEOFF_X14Y131 SITE_PIPS SITEPROP TIEOFF_X14Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y132 CLASS site SITEPROP TIEOFF_X14Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y132 IS_BONDED 0 SITEPROP TIEOFF_X14Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y132 IS_PAD 0 SITEPROP TIEOFF_X14Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y132 IS_RESERVED 0 SITEPROP TIEOFF_X14Y132 IS_TEST 0 SITEPROP TIEOFF_X14Y132 IS_USED 0 SITEPROP TIEOFF_X14Y132 MANUAL_ROUTING SITEPROP TIEOFF_X14Y132 NAME TIEOFF_X14Y132 SITEPROP TIEOFF_X14Y132 NUM_ARCS 0 SITEPROP TIEOFF_X14Y132 NUM_BELS 2 SITEPROP TIEOFF_X14Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y132 NUM_PINS 2 SITEPROP TIEOFF_X14Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y132 PROHIBIT 0 SITEPROP TIEOFF_X14Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y132 RPM_X 60 SITEPROP TIEOFF_X14Y132 RPM_Y 264 SITEPROP TIEOFF_X14Y132 SITE_PIPS SITEPROP TIEOFF_X14Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y133 CLASS site SITEPROP TIEOFF_X14Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y133 IS_BONDED 0 SITEPROP TIEOFF_X14Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y133 IS_PAD 0 SITEPROP TIEOFF_X14Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y133 IS_RESERVED 0 SITEPROP TIEOFF_X14Y133 IS_TEST 0 SITEPROP TIEOFF_X14Y133 IS_USED 0 SITEPROP TIEOFF_X14Y133 MANUAL_ROUTING SITEPROP TIEOFF_X14Y133 NAME TIEOFF_X14Y133 SITEPROP TIEOFF_X14Y133 NUM_ARCS 0 SITEPROP TIEOFF_X14Y133 NUM_BELS 2 SITEPROP TIEOFF_X14Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y133 NUM_PINS 2 SITEPROP TIEOFF_X14Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y133 PROHIBIT 0 SITEPROP TIEOFF_X14Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y133 RPM_X 60 SITEPROP TIEOFF_X14Y133 RPM_Y 266 SITEPROP TIEOFF_X14Y133 SITE_PIPS SITEPROP TIEOFF_X14Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y134 CLASS site SITEPROP TIEOFF_X14Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y134 IS_BONDED 0 SITEPROP TIEOFF_X14Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y134 IS_PAD 0 SITEPROP TIEOFF_X14Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y134 IS_RESERVED 0 SITEPROP TIEOFF_X14Y134 IS_TEST 0 SITEPROP TIEOFF_X14Y134 IS_USED 0 SITEPROP TIEOFF_X14Y134 MANUAL_ROUTING SITEPROP TIEOFF_X14Y134 NAME TIEOFF_X14Y134 SITEPROP TIEOFF_X14Y134 NUM_ARCS 0 SITEPROP TIEOFF_X14Y134 NUM_BELS 2 SITEPROP TIEOFF_X14Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y134 NUM_PINS 2 SITEPROP TIEOFF_X14Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y134 PROHIBIT 0 SITEPROP TIEOFF_X14Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y134 RPM_X 60 SITEPROP TIEOFF_X14Y134 RPM_Y 268 SITEPROP TIEOFF_X14Y134 SITE_PIPS SITEPROP TIEOFF_X14Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y135 CLASS site SITEPROP TIEOFF_X14Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y135 IS_BONDED 0 SITEPROP TIEOFF_X14Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y135 IS_PAD 0 SITEPROP TIEOFF_X14Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y135 IS_RESERVED 0 SITEPROP TIEOFF_X14Y135 IS_TEST 0 SITEPROP TIEOFF_X14Y135 IS_USED 0 SITEPROP TIEOFF_X14Y135 MANUAL_ROUTING SITEPROP TIEOFF_X14Y135 NAME TIEOFF_X14Y135 SITEPROP TIEOFF_X14Y135 NUM_ARCS 0 SITEPROP TIEOFF_X14Y135 NUM_BELS 2 SITEPROP TIEOFF_X14Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y135 NUM_PINS 2 SITEPROP TIEOFF_X14Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y135 PROHIBIT 0 SITEPROP TIEOFF_X14Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y135 RPM_X 60 SITEPROP TIEOFF_X14Y135 RPM_Y 270 SITEPROP TIEOFF_X14Y135 SITE_PIPS SITEPROP TIEOFF_X14Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y136 CLASS site SITEPROP TIEOFF_X14Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y136 IS_BONDED 0 SITEPROP TIEOFF_X14Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y136 IS_PAD 0 SITEPROP TIEOFF_X14Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y136 IS_RESERVED 0 SITEPROP TIEOFF_X14Y136 IS_TEST 0 SITEPROP TIEOFF_X14Y136 IS_USED 0 SITEPROP TIEOFF_X14Y136 MANUAL_ROUTING SITEPROP TIEOFF_X14Y136 NAME TIEOFF_X14Y136 SITEPROP TIEOFF_X14Y136 NUM_ARCS 0 SITEPROP TIEOFF_X14Y136 NUM_BELS 2 SITEPROP TIEOFF_X14Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y136 NUM_PINS 2 SITEPROP TIEOFF_X14Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y136 PROHIBIT 0 SITEPROP TIEOFF_X14Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y136 RPM_X 60 SITEPROP TIEOFF_X14Y136 RPM_Y 272 SITEPROP TIEOFF_X14Y136 SITE_PIPS SITEPROP TIEOFF_X14Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y137 CLASS site SITEPROP TIEOFF_X14Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y137 IS_BONDED 0 SITEPROP TIEOFF_X14Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y137 IS_PAD 0 SITEPROP TIEOFF_X14Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y137 IS_RESERVED 0 SITEPROP TIEOFF_X14Y137 IS_TEST 0 SITEPROP TIEOFF_X14Y137 IS_USED 0 SITEPROP TIEOFF_X14Y137 MANUAL_ROUTING SITEPROP TIEOFF_X14Y137 NAME TIEOFF_X14Y137 SITEPROP TIEOFF_X14Y137 NUM_ARCS 0 SITEPROP TIEOFF_X14Y137 NUM_BELS 2 SITEPROP TIEOFF_X14Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y137 NUM_PINS 2 SITEPROP TIEOFF_X14Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y137 PROHIBIT 0 SITEPROP TIEOFF_X14Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y137 RPM_X 60 SITEPROP TIEOFF_X14Y137 RPM_Y 274 SITEPROP TIEOFF_X14Y137 SITE_PIPS SITEPROP TIEOFF_X14Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y138 CLASS site SITEPROP TIEOFF_X14Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y138 IS_BONDED 0 SITEPROP TIEOFF_X14Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y138 IS_PAD 0 SITEPROP TIEOFF_X14Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y138 IS_RESERVED 0 SITEPROP TIEOFF_X14Y138 IS_TEST 0 SITEPROP TIEOFF_X14Y138 IS_USED 0 SITEPROP TIEOFF_X14Y138 MANUAL_ROUTING SITEPROP TIEOFF_X14Y138 NAME TIEOFF_X14Y138 SITEPROP TIEOFF_X14Y138 NUM_ARCS 0 SITEPROP TIEOFF_X14Y138 NUM_BELS 2 SITEPROP TIEOFF_X14Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y138 NUM_PINS 2 SITEPROP TIEOFF_X14Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y138 PROHIBIT 0 SITEPROP TIEOFF_X14Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y138 RPM_X 60 SITEPROP TIEOFF_X14Y138 RPM_Y 276 SITEPROP TIEOFF_X14Y138 SITE_PIPS SITEPROP TIEOFF_X14Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y139 CLASS site SITEPROP TIEOFF_X14Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y139 IS_BONDED 0 SITEPROP TIEOFF_X14Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y139 IS_PAD 0 SITEPROP TIEOFF_X14Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y139 IS_RESERVED 0 SITEPROP TIEOFF_X14Y139 IS_TEST 0 SITEPROP TIEOFF_X14Y139 IS_USED 0 SITEPROP TIEOFF_X14Y139 MANUAL_ROUTING SITEPROP TIEOFF_X14Y139 NAME TIEOFF_X14Y139 SITEPROP TIEOFF_X14Y139 NUM_ARCS 0 SITEPROP TIEOFF_X14Y139 NUM_BELS 2 SITEPROP TIEOFF_X14Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y139 NUM_PINS 2 SITEPROP TIEOFF_X14Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y139 PROHIBIT 0 SITEPROP TIEOFF_X14Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y139 RPM_X 60 SITEPROP TIEOFF_X14Y139 RPM_Y 278 SITEPROP TIEOFF_X14Y139 SITE_PIPS SITEPROP TIEOFF_X14Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y140 CLASS site SITEPROP TIEOFF_X14Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y140 IS_BONDED 0 SITEPROP TIEOFF_X14Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y140 IS_PAD 0 SITEPROP TIEOFF_X14Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y140 IS_RESERVED 0 SITEPROP TIEOFF_X14Y140 IS_TEST 0 SITEPROP TIEOFF_X14Y140 IS_USED 0 SITEPROP TIEOFF_X14Y140 MANUAL_ROUTING SITEPROP TIEOFF_X14Y140 NAME TIEOFF_X14Y140 SITEPROP TIEOFF_X14Y140 NUM_ARCS 0 SITEPROP TIEOFF_X14Y140 NUM_BELS 2 SITEPROP TIEOFF_X14Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y140 NUM_PINS 2 SITEPROP TIEOFF_X14Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y140 PROHIBIT 0 SITEPROP TIEOFF_X14Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y140 RPM_X 60 SITEPROP TIEOFF_X14Y140 RPM_Y 280 SITEPROP TIEOFF_X14Y140 SITE_PIPS SITEPROP TIEOFF_X14Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y141 CLASS site SITEPROP TIEOFF_X14Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y141 IS_BONDED 0 SITEPROP TIEOFF_X14Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y141 IS_PAD 0 SITEPROP TIEOFF_X14Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y141 IS_RESERVED 0 SITEPROP TIEOFF_X14Y141 IS_TEST 0 SITEPROP TIEOFF_X14Y141 IS_USED 0 SITEPROP TIEOFF_X14Y141 MANUAL_ROUTING SITEPROP TIEOFF_X14Y141 NAME TIEOFF_X14Y141 SITEPROP TIEOFF_X14Y141 NUM_ARCS 0 SITEPROP TIEOFF_X14Y141 NUM_BELS 2 SITEPROP TIEOFF_X14Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y141 NUM_PINS 2 SITEPROP TIEOFF_X14Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y141 PROHIBIT 0 SITEPROP TIEOFF_X14Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y141 RPM_X 60 SITEPROP TIEOFF_X14Y141 RPM_Y 282 SITEPROP TIEOFF_X14Y141 SITE_PIPS SITEPROP TIEOFF_X14Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y142 CLASS site SITEPROP TIEOFF_X14Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y142 IS_BONDED 0 SITEPROP TIEOFF_X14Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y142 IS_PAD 0 SITEPROP TIEOFF_X14Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y142 IS_RESERVED 0 SITEPROP TIEOFF_X14Y142 IS_TEST 0 SITEPROP TIEOFF_X14Y142 IS_USED 0 SITEPROP TIEOFF_X14Y142 MANUAL_ROUTING SITEPROP TIEOFF_X14Y142 NAME TIEOFF_X14Y142 SITEPROP TIEOFF_X14Y142 NUM_ARCS 0 SITEPROP TIEOFF_X14Y142 NUM_BELS 2 SITEPROP TIEOFF_X14Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y142 NUM_PINS 2 SITEPROP TIEOFF_X14Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y142 PROHIBIT 0 SITEPROP TIEOFF_X14Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y142 RPM_X 60 SITEPROP TIEOFF_X14Y142 RPM_Y 284 SITEPROP TIEOFF_X14Y142 SITE_PIPS SITEPROP TIEOFF_X14Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y143 CLASS site SITEPROP TIEOFF_X14Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y143 IS_BONDED 0 SITEPROP TIEOFF_X14Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y143 IS_PAD 0 SITEPROP TIEOFF_X14Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y143 IS_RESERVED 0 SITEPROP TIEOFF_X14Y143 IS_TEST 0 SITEPROP TIEOFF_X14Y143 IS_USED 0 SITEPROP TIEOFF_X14Y143 MANUAL_ROUTING SITEPROP TIEOFF_X14Y143 NAME TIEOFF_X14Y143 SITEPROP TIEOFF_X14Y143 NUM_ARCS 0 SITEPROP TIEOFF_X14Y143 NUM_BELS 2 SITEPROP TIEOFF_X14Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y143 NUM_PINS 2 SITEPROP TIEOFF_X14Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y143 PROHIBIT 0 SITEPROP TIEOFF_X14Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y143 RPM_X 60 SITEPROP TIEOFF_X14Y143 RPM_Y 286 SITEPROP TIEOFF_X14Y143 SITE_PIPS SITEPROP TIEOFF_X14Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y144 CLASS site SITEPROP TIEOFF_X14Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y144 IS_BONDED 0 SITEPROP TIEOFF_X14Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y144 IS_PAD 0 SITEPROP TIEOFF_X14Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y144 IS_RESERVED 0 SITEPROP TIEOFF_X14Y144 IS_TEST 0 SITEPROP TIEOFF_X14Y144 IS_USED 0 SITEPROP TIEOFF_X14Y144 MANUAL_ROUTING SITEPROP TIEOFF_X14Y144 NAME TIEOFF_X14Y144 SITEPROP TIEOFF_X14Y144 NUM_ARCS 0 SITEPROP TIEOFF_X14Y144 NUM_BELS 2 SITEPROP TIEOFF_X14Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y144 NUM_PINS 2 SITEPROP TIEOFF_X14Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y144 PROHIBIT 0 SITEPROP TIEOFF_X14Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y144 RPM_X 60 SITEPROP TIEOFF_X14Y144 RPM_Y 288 SITEPROP TIEOFF_X14Y144 SITE_PIPS SITEPROP TIEOFF_X14Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y145 CLASS site SITEPROP TIEOFF_X14Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y145 IS_BONDED 0 SITEPROP TIEOFF_X14Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y145 IS_PAD 0 SITEPROP TIEOFF_X14Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y145 IS_RESERVED 0 SITEPROP TIEOFF_X14Y145 IS_TEST 0 SITEPROP TIEOFF_X14Y145 IS_USED 0 SITEPROP TIEOFF_X14Y145 MANUAL_ROUTING SITEPROP TIEOFF_X14Y145 NAME TIEOFF_X14Y145 SITEPROP TIEOFF_X14Y145 NUM_ARCS 0 SITEPROP TIEOFF_X14Y145 NUM_BELS 2 SITEPROP TIEOFF_X14Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y145 NUM_PINS 2 SITEPROP TIEOFF_X14Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y145 PROHIBIT 0 SITEPROP TIEOFF_X14Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y145 RPM_X 60 SITEPROP TIEOFF_X14Y145 RPM_Y 290 SITEPROP TIEOFF_X14Y145 SITE_PIPS SITEPROP TIEOFF_X14Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y146 CLASS site SITEPROP TIEOFF_X14Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y146 IS_BONDED 0 SITEPROP TIEOFF_X14Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y146 IS_PAD 0 SITEPROP TIEOFF_X14Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y146 IS_RESERVED 0 SITEPROP TIEOFF_X14Y146 IS_TEST 0 SITEPROP TIEOFF_X14Y146 IS_USED 0 SITEPROP TIEOFF_X14Y146 MANUAL_ROUTING SITEPROP TIEOFF_X14Y146 NAME TIEOFF_X14Y146 SITEPROP TIEOFF_X14Y146 NUM_ARCS 0 SITEPROP TIEOFF_X14Y146 NUM_BELS 2 SITEPROP TIEOFF_X14Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y146 NUM_PINS 2 SITEPROP TIEOFF_X14Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y146 PROHIBIT 0 SITEPROP TIEOFF_X14Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y146 RPM_X 60 SITEPROP TIEOFF_X14Y146 RPM_Y 292 SITEPROP TIEOFF_X14Y146 SITE_PIPS SITEPROP TIEOFF_X14Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y147 CLASS site SITEPROP TIEOFF_X14Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y147 IS_BONDED 0 SITEPROP TIEOFF_X14Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y147 IS_PAD 0 SITEPROP TIEOFF_X14Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y147 IS_RESERVED 0 SITEPROP TIEOFF_X14Y147 IS_TEST 0 SITEPROP TIEOFF_X14Y147 IS_USED 0 SITEPROP TIEOFF_X14Y147 MANUAL_ROUTING SITEPROP TIEOFF_X14Y147 NAME TIEOFF_X14Y147 SITEPROP TIEOFF_X14Y147 NUM_ARCS 0 SITEPROP TIEOFF_X14Y147 NUM_BELS 2 SITEPROP TIEOFF_X14Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y147 NUM_PINS 2 SITEPROP TIEOFF_X14Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y147 PROHIBIT 0 SITEPROP TIEOFF_X14Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y147 RPM_X 60 SITEPROP TIEOFF_X14Y147 RPM_Y 294 SITEPROP TIEOFF_X14Y147 SITE_PIPS SITEPROP TIEOFF_X14Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y148 CLASS site SITEPROP TIEOFF_X14Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y148 IS_BONDED 0 SITEPROP TIEOFF_X14Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y148 IS_PAD 0 SITEPROP TIEOFF_X14Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y148 IS_RESERVED 0 SITEPROP TIEOFF_X14Y148 IS_TEST 0 SITEPROP TIEOFF_X14Y148 IS_USED 0 SITEPROP TIEOFF_X14Y148 MANUAL_ROUTING SITEPROP TIEOFF_X14Y148 NAME TIEOFF_X14Y148 SITEPROP TIEOFF_X14Y148 NUM_ARCS 0 SITEPROP TIEOFF_X14Y148 NUM_BELS 2 SITEPROP TIEOFF_X14Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y148 NUM_PINS 2 SITEPROP TIEOFF_X14Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y148 PROHIBIT 0 SITEPROP TIEOFF_X14Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y148 RPM_X 60 SITEPROP TIEOFF_X14Y148 RPM_Y 296 SITEPROP TIEOFF_X14Y148 SITE_PIPS SITEPROP TIEOFF_X14Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X14Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X14Y149 CLASS site SITEPROP TIEOFF_X14Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X14Y149 IS_BONDED 0 SITEPROP TIEOFF_X14Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y149 IS_PAD 0 SITEPROP TIEOFF_X14Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X14Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X14Y149 IS_RESERVED 0 SITEPROP TIEOFF_X14Y149 IS_TEST 0 SITEPROP TIEOFF_X14Y149 IS_USED 0 SITEPROP TIEOFF_X14Y149 MANUAL_ROUTING SITEPROP TIEOFF_X14Y149 NAME TIEOFF_X14Y149 SITEPROP TIEOFF_X14Y149 NUM_ARCS 0 SITEPROP TIEOFF_X14Y149 NUM_BELS 2 SITEPROP TIEOFF_X14Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X14Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X14Y149 NUM_PINS 2 SITEPROP TIEOFF_X14Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X14Y149 PROHIBIT 0 SITEPROP TIEOFF_X14Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X14Y149 RPM_X 60 SITEPROP TIEOFF_X14Y149 RPM_Y 298 SITEPROP TIEOFF_X14Y149 SITE_PIPS SITEPROP TIEOFF_X14Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y100 CLASS site SITEPROP TIEOFF_X15Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y100 IS_BONDED 0 SITEPROP TIEOFF_X15Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y100 IS_PAD 0 SITEPROP TIEOFF_X15Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y100 IS_RESERVED 0 SITEPROP TIEOFF_X15Y100 IS_TEST 0 SITEPROP TIEOFF_X15Y100 IS_USED 0 SITEPROP TIEOFF_X15Y100 MANUAL_ROUTING SITEPROP TIEOFF_X15Y100 NAME TIEOFF_X15Y100 SITEPROP TIEOFF_X15Y100 NUM_ARCS 0 SITEPROP TIEOFF_X15Y100 NUM_BELS 2 SITEPROP TIEOFF_X15Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y100 NUM_PINS 2 SITEPROP TIEOFF_X15Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y100 PROHIBIT 0 SITEPROP TIEOFF_X15Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y100 RPM_X 64 SITEPROP TIEOFF_X15Y100 RPM_Y 200 SITEPROP TIEOFF_X15Y100 SITE_PIPS SITEPROP TIEOFF_X15Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y101 CLASS site SITEPROP TIEOFF_X15Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y101 IS_BONDED 0 SITEPROP TIEOFF_X15Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y101 IS_PAD 0 SITEPROP TIEOFF_X15Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y101 IS_RESERVED 0 SITEPROP TIEOFF_X15Y101 IS_TEST 0 SITEPROP TIEOFF_X15Y101 IS_USED 0 SITEPROP TIEOFF_X15Y101 MANUAL_ROUTING SITEPROP TIEOFF_X15Y101 NAME TIEOFF_X15Y101 SITEPROP TIEOFF_X15Y101 NUM_ARCS 0 SITEPROP TIEOFF_X15Y101 NUM_BELS 2 SITEPROP TIEOFF_X15Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y101 NUM_PINS 2 SITEPROP TIEOFF_X15Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y101 PROHIBIT 0 SITEPROP TIEOFF_X15Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y101 RPM_X 64 SITEPROP TIEOFF_X15Y101 RPM_Y 202 SITEPROP TIEOFF_X15Y101 SITE_PIPS SITEPROP TIEOFF_X15Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y102 CLASS site SITEPROP TIEOFF_X15Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y102 IS_BONDED 0 SITEPROP TIEOFF_X15Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y102 IS_PAD 0 SITEPROP TIEOFF_X15Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y102 IS_RESERVED 0 SITEPROP TIEOFF_X15Y102 IS_TEST 0 SITEPROP TIEOFF_X15Y102 IS_USED 0 SITEPROP TIEOFF_X15Y102 MANUAL_ROUTING SITEPROP TIEOFF_X15Y102 NAME TIEOFF_X15Y102 SITEPROP TIEOFF_X15Y102 NUM_ARCS 0 SITEPROP TIEOFF_X15Y102 NUM_BELS 2 SITEPROP TIEOFF_X15Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y102 NUM_PINS 2 SITEPROP TIEOFF_X15Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y102 PROHIBIT 0 SITEPROP TIEOFF_X15Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y102 RPM_X 64 SITEPROP TIEOFF_X15Y102 RPM_Y 204 SITEPROP TIEOFF_X15Y102 SITE_PIPS SITEPROP TIEOFF_X15Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y103 CLASS site SITEPROP TIEOFF_X15Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y103 IS_BONDED 0 SITEPROP TIEOFF_X15Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y103 IS_PAD 0 SITEPROP TIEOFF_X15Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y103 IS_RESERVED 0 SITEPROP TIEOFF_X15Y103 IS_TEST 0 SITEPROP TIEOFF_X15Y103 IS_USED 0 SITEPROP TIEOFF_X15Y103 MANUAL_ROUTING SITEPROP TIEOFF_X15Y103 NAME TIEOFF_X15Y103 SITEPROP TIEOFF_X15Y103 NUM_ARCS 0 SITEPROP TIEOFF_X15Y103 NUM_BELS 2 SITEPROP TIEOFF_X15Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y103 NUM_PINS 2 SITEPROP TIEOFF_X15Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y103 PROHIBIT 0 SITEPROP TIEOFF_X15Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y103 RPM_X 64 SITEPROP TIEOFF_X15Y103 RPM_Y 206 SITEPROP TIEOFF_X15Y103 SITE_PIPS SITEPROP TIEOFF_X15Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y104 CLASS site SITEPROP TIEOFF_X15Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y104 IS_BONDED 0 SITEPROP TIEOFF_X15Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y104 IS_PAD 0 SITEPROP TIEOFF_X15Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y104 IS_RESERVED 0 SITEPROP TIEOFF_X15Y104 IS_TEST 0 SITEPROP TIEOFF_X15Y104 IS_USED 0 SITEPROP TIEOFF_X15Y104 MANUAL_ROUTING SITEPROP TIEOFF_X15Y104 NAME TIEOFF_X15Y104 SITEPROP TIEOFF_X15Y104 NUM_ARCS 0 SITEPROP TIEOFF_X15Y104 NUM_BELS 2 SITEPROP TIEOFF_X15Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y104 NUM_PINS 2 SITEPROP TIEOFF_X15Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y104 PROHIBIT 0 SITEPROP TIEOFF_X15Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y104 RPM_X 64 SITEPROP TIEOFF_X15Y104 RPM_Y 208 SITEPROP TIEOFF_X15Y104 SITE_PIPS SITEPROP TIEOFF_X15Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y105 CLASS site SITEPROP TIEOFF_X15Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y105 IS_BONDED 0 SITEPROP TIEOFF_X15Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y105 IS_PAD 0 SITEPROP TIEOFF_X15Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y105 IS_RESERVED 0 SITEPROP TIEOFF_X15Y105 IS_TEST 0 SITEPROP TIEOFF_X15Y105 IS_USED 0 SITEPROP TIEOFF_X15Y105 MANUAL_ROUTING SITEPROP TIEOFF_X15Y105 NAME TIEOFF_X15Y105 SITEPROP TIEOFF_X15Y105 NUM_ARCS 0 SITEPROP TIEOFF_X15Y105 NUM_BELS 2 SITEPROP TIEOFF_X15Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y105 NUM_PINS 2 SITEPROP TIEOFF_X15Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y105 PROHIBIT 0 SITEPROP TIEOFF_X15Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y105 RPM_X 64 SITEPROP TIEOFF_X15Y105 RPM_Y 210 SITEPROP TIEOFF_X15Y105 SITE_PIPS SITEPROP TIEOFF_X15Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y106 CLASS site SITEPROP TIEOFF_X15Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y106 IS_BONDED 0 SITEPROP TIEOFF_X15Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y106 IS_PAD 0 SITEPROP TIEOFF_X15Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y106 IS_RESERVED 0 SITEPROP TIEOFF_X15Y106 IS_TEST 0 SITEPROP TIEOFF_X15Y106 IS_USED 0 SITEPROP TIEOFF_X15Y106 MANUAL_ROUTING SITEPROP TIEOFF_X15Y106 NAME TIEOFF_X15Y106 SITEPROP TIEOFF_X15Y106 NUM_ARCS 0 SITEPROP TIEOFF_X15Y106 NUM_BELS 2 SITEPROP TIEOFF_X15Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y106 NUM_PINS 2 SITEPROP TIEOFF_X15Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y106 PROHIBIT 0 SITEPROP TIEOFF_X15Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y106 RPM_X 64 SITEPROP TIEOFF_X15Y106 RPM_Y 212 SITEPROP TIEOFF_X15Y106 SITE_PIPS SITEPROP TIEOFF_X15Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y107 CLASS site SITEPROP TIEOFF_X15Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y107 IS_BONDED 0 SITEPROP TIEOFF_X15Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y107 IS_PAD 0 SITEPROP TIEOFF_X15Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y107 IS_RESERVED 0 SITEPROP TIEOFF_X15Y107 IS_TEST 0 SITEPROP TIEOFF_X15Y107 IS_USED 0 SITEPROP TIEOFF_X15Y107 MANUAL_ROUTING SITEPROP TIEOFF_X15Y107 NAME TIEOFF_X15Y107 SITEPROP TIEOFF_X15Y107 NUM_ARCS 0 SITEPROP TIEOFF_X15Y107 NUM_BELS 2 SITEPROP TIEOFF_X15Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y107 NUM_PINS 2 SITEPROP TIEOFF_X15Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y107 PROHIBIT 0 SITEPROP TIEOFF_X15Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y107 RPM_X 64 SITEPROP TIEOFF_X15Y107 RPM_Y 214 SITEPROP TIEOFF_X15Y107 SITE_PIPS SITEPROP TIEOFF_X15Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y108 CLASS site SITEPROP TIEOFF_X15Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y108 IS_BONDED 0 SITEPROP TIEOFF_X15Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y108 IS_PAD 0 SITEPROP TIEOFF_X15Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y108 IS_RESERVED 0 SITEPROP TIEOFF_X15Y108 IS_TEST 0 SITEPROP TIEOFF_X15Y108 IS_USED 0 SITEPROP TIEOFF_X15Y108 MANUAL_ROUTING SITEPROP TIEOFF_X15Y108 NAME TIEOFF_X15Y108 SITEPROP TIEOFF_X15Y108 NUM_ARCS 0 SITEPROP TIEOFF_X15Y108 NUM_BELS 2 SITEPROP TIEOFF_X15Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y108 NUM_PINS 2 SITEPROP TIEOFF_X15Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y108 PROHIBIT 0 SITEPROP TIEOFF_X15Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y108 RPM_X 64 SITEPROP TIEOFF_X15Y108 RPM_Y 216 SITEPROP TIEOFF_X15Y108 SITE_PIPS SITEPROP TIEOFF_X15Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y109 CLASS site SITEPROP TIEOFF_X15Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y109 IS_BONDED 0 SITEPROP TIEOFF_X15Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y109 IS_PAD 0 SITEPROP TIEOFF_X15Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y109 IS_RESERVED 0 SITEPROP TIEOFF_X15Y109 IS_TEST 0 SITEPROP TIEOFF_X15Y109 IS_USED 0 SITEPROP TIEOFF_X15Y109 MANUAL_ROUTING SITEPROP TIEOFF_X15Y109 NAME TIEOFF_X15Y109 SITEPROP TIEOFF_X15Y109 NUM_ARCS 0 SITEPROP TIEOFF_X15Y109 NUM_BELS 2 SITEPROP TIEOFF_X15Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y109 NUM_PINS 2 SITEPROP TIEOFF_X15Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y109 PROHIBIT 0 SITEPROP TIEOFF_X15Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y109 RPM_X 64 SITEPROP TIEOFF_X15Y109 RPM_Y 218 SITEPROP TIEOFF_X15Y109 SITE_PIPS SITEPROP TIEOFF_X15Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y110 CLASS site SITEPROP TIEOFF_X15Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y110 IS_BONDED 0 SITEPROP TIEOFF_X15Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y110 IS_PAD 0 SITEPROP TIEOFF_X15Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y110 IS_RESERVED 0 SITEPROP TIEOFF_X15Y110 IS_TEST 0 SITEPROP TIEOFF_X15Y110 IS_USED 0 SITEPROP TIEOFF_X15Y110 MANUAL_ROUTING SITEPROP TIEOFF_X15Y110 NAME TIEOFF_X15Y110 SITEPROP TIEOFF_X15Y110 NUM_ARCS 0 SITEPROP TIEOFF_X15Y110 NUM_BELS 2 SITEPROP TIEOFF_X15Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y110 NUM_PINS 2 SITEPROP TIEOFF_X15Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y110 PROHIBIT 0 SITEPROP TIEOFF_X15Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y110 RPM_X 64 SITEPROP TIEOFF_X15Y110 RPM_Y 220 SITEPROP TIEOFF_X15Y110 SITE_PIPS SITEPROP TIEOFF_X15Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y111 CLASS site SITEPROP TIEOFF_X15Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y111 IS_BONDED 0 SITEPROP TIEOFF_X15Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y111 IS_PAD 0 SITEPROP TIEOFF_X15Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y111 IS_RESERVED 0 SITEPROP TIEOFF_X15Y111 IS_TEST 0 SITEPROP TIEOFF_X15Y111 IS_USED 0 SITEPROP TIEOFF_X15Y111 MANUAL_ROUTING SITEPROP TIEOFF_X15Y111 NAME TIEOFF_X15Y111 SITEPROP TIEOFF_X15Y111 NUM_ARCS 0 SITEPROP TIEOFF_X15Y111 NUM_BELS 2 SITEPROP TIEOFF_X15Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y111 NUM_PINS 2 SITEPROP TIEOFF_X15Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y111 PROHIBIT 0 SITEPROP TIEOFF_X15Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y111 RPM_X 64 SITEPROP TIEOFF_X15Y111 RPM_Y 222 SITEPROP TIEOFF_X15Y111 SITE_PIPS SITEPROP TIEOFF_X15Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y112 CLASS site SITEPROP TIEOFF_X15Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y112 IS_BONDED 0 SITEPROP TIEOFF_X15Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y112 IS_PAD 0 SITEPROP TIEOFF_X15Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y112 IS_RESERVED 0 SITEPROP TIEOFF_X15Y112 IS_TEST 0 SITEPROP TIEOFF_X15Y112 IS_USED 0 SITEPROP TIEOFF_X15Y112 MANUAL_ROUTING SITEPROP TIEOFF_X15Y112 NAME TIEOFF_X15Y112 SITEPROP TIEOFF_X15Y112 NUM_ARCS 0 SITEPROP TIEOFF_X15Y112 NUM_BELS 2 SITEPROP TIEOFF_X15Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y112 NUM_PINS 2 SITEPROP TIEOFF_X15Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y112 PROHIBIT 0 SITEPROP TIEOFF_X15Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y112 RPM_X 64 SITEPROP TIEOFF_X15Y112 RPM_Y 224 SITEPROP TIEOFF_X15Y112 SITE_PIPS SITEPROP TIEOFF_X15Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y113 CLASS site SITEPROP TIEOFF_X15Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y113 IS_BONDED 0 SITEPROP TIEOFF_X15Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y113 IS_PAD 0 SITEPROP TIEOFF_X15Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y113 IS_RESERVED 0 SITEPROP TIEOFF_X15Y113 IS_TEST 0 SITEPROP TIEOFF_X15Y113 IS_USED 0 SITEPROP TIEOFF_X15Y113 MANUAL_ROUTING SITEPROP TIEOFF_X15Y113 NAME TIEOFF_X15Y113 SITEPROP TIEOFF_X15Y113 NUM_ARCS 0 SITEPROP TIEOFF_X15Y113 NUM_BELS 2 SITEPROP TIEOFF_X15Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y113 NUM_PINS 2 SITEPROP TIEOFF_X15Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y113 PROHIBIT 0 SITEPROP TIEOFF_X15Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y113 RPM_X 64 SITEPROP TIEOFF_X15Y113 RPM_Y 226 SITEPROP TIEOFF_X15Y113 SITE_PIPS SITEPROP TIEOFF_X15Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y114 CLASS site SITEPROP TIEOFF_X15Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y114 IS_BONDED 0 SITEPROP TIEOFF_X15Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y114 IS_PAD 0 SITEPROP TIEOFF_X15Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y114 IS_RESERVED 0 SITEPROP TIEOFF_X15Y114 IS_TEST 0 SITEPROP TIEOFF_X15Y114 IS_USED 0 SITEPROP TIEOFF_X15Y114 MANUAL_ROUTING SITEPROP TIEOFF_X15Y114 NAME TIEOFF_X15Y114 SITEPROP TIEOFF_X15Y114 NUM_ARCS 0 SITEPROP TIEOFF_X15Y114 NUM_BELS 2 SITEPROP TIEOFF_X15Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y114 NUM_PINS 2 SITEPROP TIEOFF_X15Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y114 PROHIBIT 0 SITEPROP TIEOFF_X15Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y114 RPM_X 64 SITEPROP TIEOFF_X15Y114 RPM_Y 228 SITEPROP TIEOFF_X15Y114 SITE_PIPS SITEPROP TIEOFF_X15Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y115 CLASS site SITEPROP TIEOFF_X15Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y115 IS_BONDED 0 SITEPROP TIEOFF_X15Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y115 IS_PAD 0 SITEPROP TIEOFF_X15Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y115 IS_RESERVED 0 SITEPROP TIEOFF_X15Y115 IS_TEST 0 SITEPROP TIEOFF_X15Y115 IS_USED 0 SITEPROP TIEOFF_X15Y115 MANUAL_ROUTING SITEPROP TIEOFF_X15Y115 NAME TIEOFF_X15Y115 SITEPROP TIEOFF_X15Y115 NUM_ARCS 0 SITEPROP TIEOFF_X15Y115 NUM_BELS 2 SITEPROP TIEOFF_X15Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y115 NUM_PINS 2 SITEPROP TIEOFF_X15Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y115 PROHIBIT 0 SITEPROP TIEOFF_X15Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y115 RPM_X 64 SITEPROP TIEOFF_X15Y115 RPM_Y 230 SITEPROP TIEOFF_X15Y115 SITE_PIPS SITEPROP TIEOFF_X15Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y116 CLASS site SITEPROP TIEOFF_X15Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y116 IS_BONDED 0 SITEPROP TIEOFF_X15Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y116 IS_PAD 0 SITEPROP TIEOFF_X15Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y116 IS_RESERVED 0 SITEPROP TIEOFF_X15Y116 IS_TEST 0 SITEPROP TIEOFF_X15Y116 IS_USED 0 SITEPROP TIEOFF_X15Y116 MANUAL_ROUTING SITEPROP TIEOFF_X15Y116 NAME TIEOFF_X15Y116 SITEPROP TIEOFF_X15Y116 NUM_ARCS 0 SITEPROP TIEOFF_X15Y116 NUM_BELS 2 SITEPROP TIEOFF_X15Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y116 NUM_PINS 2 SITEPROP TIEOFF_X15Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y116 PROHIBIT 0 SITEPROP TIEOFF_X15Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y116 RPM_X 64 SITEPROP TIEOFF_X15Y116 RPM_Y 232 SITEPROP TIEOFF_X15Y116 SITE_PIPS SITEPROP TIEOFF_X15Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y117 CLASS site SITEPROP TIEOFF_X15Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y117 IS_BONDED 0 SITEPROP TIEOFF_X15Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y117 IS_PAD 0 SITEPROP TIEOFF_X15Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y117 IS_RESERVED 0 SITEPROP TIEOFF_X15Y117 IS_TEST 0 SITEPROP TIEOFF_X15Y117 IS_USED 0 SITEPROP TIEOFF_X15Y117 MANUAL_ROUTING SITEPROP TIEOFF_X15Y117 NAME TIEOFF_X15Y117 SITEPROP TIEOFF_X15Y117 NUM_ARCS 0 SITEPROP TIEOFF_X15Y117 NUM_BELS 2 SITEPROP TIEOFF_X15Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y117 NUM_PINS 2 SITEPROP TIEOFF_X15Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y117 PROHIBIT 0 SITEPROP TIEOFF_X15Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y117 RPM_X 64 SITEPROP TIEOFF_X15Y117 RPM_Y 234 SITEPROP TIEOFF_X15Y117 SITE_PIPS SITEPROP TIEOFF_X15Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y118 CLASS site SITEPROP TIEOFF_X15Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y118 IS_BONDED 0 SITEPROP TIEOFF_X15Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y118 IS_PAD 0 SITEPROP TIEOFF_X15Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y118 IS_RESERVED 0 SITEPROP TIEOFF_X15Y118 IS_TEST 0 SITEPROP TIEOFF_X15Y118 IS_USED 0 SITEPROP TIEOFF_X15Y118 MANUAL_ROUTING SITEPROP TIEOFF_X15Y118 NAME TIEOFF_X15Y118 SITEPROP TIEOFF_X15Y118 NUM_ARCS 0 SITEPROP TIEOFF_X15Y118 NUM_BELS 2 SITEPROP TIEOFF_X15Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y118 NUM_PINS 2 SITEPROP TIEOFF_X15Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y118 PROHIBIT 0 SITEPROP TIEOFF_X15Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y118 RPM_X 64 SITEPROP TIEOFF_X15Y118 RPM_Y 236 SITEPROP TIEOFF_X15Y118 SITE_PIPS SITEPROP TIEOFF_X15Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y119 CLASS site SITEPROP TIEOFF_X15Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y119 IS_BONDED 0 SITEPROP TIEOFF_X15Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y119 IS_PAD 0 SITEPROP TIEOFF_X15Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y119 IS_RESERVED 0 SITEPROP TIEOFF_X15Y119 IS_TEST 0 SITEPROP TIEOFF_X15Y119 IS_USED 0 SITEPROP TIEOFF_X15Y119 MANUAL_ROUTING SITEPROP TIEOFF_X15Y119 NAME TIEOFF_X15Y119 SITEPROP TIEOFF_X15Y119 NUM_ARCS 0 SITEPROP TIEOFF_X15Y119 NUM_BELS 2 SITEPROP TIEOFF_X15Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y119 NUM_PINS 2 SITEPROP TIEOFF_X15Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y119 PROHIBIT 0 SITEPROP TIEOFF_X15Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y119 RPM_X 64 SITEPROP TIEOFF_X15Y119 RPM_Y 238 SITEPROP TIEOFF_X15Y119 SITE_PIPS SITEPROP TIEOFF_X15Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y120 CLASS site SITEPROP TIEOFF_X15Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y120 IS_BONDED 0 SITEPROP TIEOFF_X15Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y120 IS_PAD 0 SITEPROP TIEOFF_X15Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y120 IS_RESERVED 0 SITEPROP TIEOFF_X15Y120 IS_TEST 0 SITEPROP TIEOFF_X15Y120 IS_USED 0 SITEPROP TIEOFF_X15Y120 MANUAL_ROUTING SITEPROP TIEOFF_X15Y120 NAME TIEOFF_X15Y120 SITEPROP TIEOFF_X15Y120 NUM_ARCS 0 SITEPROP TIEOFF_X15Y120 NUM_BELS 2 SITEPROP TIEOFF_X15Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y120 NUM_PINS 2 SITEPROP TIEOFF_X15Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y120 PROHIBIT 0 SITEPROP TIEOFF_X15Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y120 RPM_X 64 SITEPROP TIEOFF_X15Y120 RPM_Y 240 SITEPROP TIEOFF_X15Y120 SITE_PIPS SITEPROP TIEOFF_X15Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y121 CLASS site SITEPROP TIEOFF_X15Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y121 IS_BONDED 0 SITEPROP TIEOFF_X15Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y121 IS_PAD 0 SITEPROP TIEOFF_X15Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y121 IS_RESERVED 0 SITEPROP TIEOFF_X15Y121 IS_TEST 0 SITEPROP TIEOFF_X15Y121 IS_USED 0 SITEPROP TIEOFF_X15Y121 MANUAL_ROUTING SITEPROP TIEOFF_X15Y121 NAME TIEOFF_X15Y121 SITEPROP TIEOFF_X15Y121 NUM_ARCS 0 SITEPROP TIEOFF_X15Y121 NUM_BELS 2 SITEPROP TIEOFF_X15Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y121 NUM_PINS 2 SITEPROP TIEOFF_X15Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y121 PROHIBIT 0 SITEPROP TIEOFF_X15Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y121 RPM_X 64 SITEPROP TIEOFF_X15Y121 RPM_Y 242 SITEPROP TIEOFF_X15Y121 SITE_PIPS SITEPROP TIEOFF_X15Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y122 CLASS site SITEPROP TIEOFF_X15Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y122 IS_BONDED 0 SITEPROP TIEOFF_X15Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y122 IS_PAD 0 SITEPROP TIEOFF_X15Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y122 IS_RESERVED 0 SITEPROP TIEOFF_X15Y122 IS_TEST 0 SITEPROP TIEOFF_X15Y122 IS_USED 0 SITEPROP TIEOFF_X15Y122 MANUAL_ROUTING SITEPROP TIEOFF_X15Y122 NAME TIEOFF_X15Y122 SITEPROP TIEOFF_X15Y122 NUM_ARCS 0 SITEPROP TIEOFF_X15Y122 NUM_BELS 2 SITEPROP TIEOFF_X15Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y122 NUM_PINS 2 SITEPROP TIEOFF_X15Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y122 PROHIBIT 0 SITEPROP TIEOFF_X15Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y122 RPM_X 64 SITEPROP TIEOFF_X15Y122 RPM_Y 244 SITEPROP TIEOFF_X15Y122 SITE_PIPS SITEPROP TIEOFF_X15Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y123 CLASS site SITEPROP TIEOFF_X15Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y123 IS_BONDED 0 SITEPROP TIEOFF_X15Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y123 IS_PAD 0 SITEPROP TIEOFF_X15Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y123 IS_RESERVED 0 SITEPROP TIEOFF_X15Y123 IS_TEST 0 SITEPROP TIEOFF_X15Y123 IS_USED 0 SITEPROP TIEOFF_X15Y123 MANUAL_ROUTING SITEPROP TIEOFF_X15Y123 NAME TIEOFF_X15Y123 SITEPROP TIEOFF_X15Y123 NUM_ARCS 0 SITEPROP TIEOFF_X15Y123 NUM_BELS 2 SITEPROP TIEOFF_X15Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y123 NUM_PINS 2 SITEPROP TIEOFF_X15Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y123 PROHIBIT 0 SITEPROP TIEOFF_X15Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y123 RPM_X 64 SITEPROP TIEOFF_X15Y123 RPM_Y 246 SITEPROP TIEOFF_X15Y123 SITE_PIPS SITEPROP TIEOFF_X15Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y124 CLASS site SITEPROP TIEOFF_X15Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y124 IS_BONDED 0 SITEPROP TIEOFF_X15Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y124 IS_PAD 0 SITEPROP TIEOFF_X15Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y124 IS_RESERVED 0 SITEPROP TIEOFF_X15Y124 IS_TEST 0 SITEPROP TIEOFF_X15Y124 IS_USED 0 SITEPROP TIEOFF_X15Y124 MANUAL_ROUTING SITEPROP TIEOFF_X15Y124 NAME TIEOFF_X15Y124 SITEPROP TIEOFF_X15Y124 NUM_ARCS 0 SITEPROP TIEOFF_X15Y124 NUM_BELS 2 SITEPROP TIEOFF_X15Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y124 NUM_PINS 2 SITEPROP TIEOFF_X15Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y124 PROHIBIT 0 SITEPROP TIEOFF_X15Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y124 RPM_X 64 SITEPROP TIEOFF_X15Y124 RPM_Y 248 SITEPROP TIEOFF_X15Y124 SITE_PIPS SITEPROP TIEOFF_X15Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y125 CLASS site SITEPROP TIEOFF_X15Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y125 IS_BONDED 0 SITEPROP TIEOFF_X15Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y125 IS_PAD 0 SITEPROP TIEOFF_X15Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y125 IS_RESERVED 0 SITEPROP TIEOFF_X15Y125 IS_TEST 0 SITEPROP TIEOFF_X15Y125 IS_USED 0 SITEPROP TIEOFF_X15Y125 MANUAL_ROUTING SITEPROP TIEOFF_X15Y125 NAME TIEOFF_X15Y125 SITEPROP TIEOFF_X15Y125 NUM_ARCS 0 SITEPROP TIEOFF_X15Y125 NUM_BELS 2 SITEPROP TIEOFF_X15Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y125 NUM_PINS 2 SITEPROP TIEOFF_X15Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y125 PROHIBIT 0 SITEPROP TIEOFF_X15Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y125 RPM_X 64 SITEPROP TIEOFF_X15Y125 RPM_Y 250 SITEPROP TIEOFF_X15Y125 SITE_PIPS SITEPROP TIEOFF_X15Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y126 CLASS site SITEPROP TIEOFF_X15Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y126 IS_BONDED 0 SITEPROP TIEOFF_X15Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y126 IS_PAD 0 SITEPROP TIEOFF_X15Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y126 IS_RESERVED 0 SITEPROP TIEOFF_X15Y126 IS_TEST 0 SITEPROP TIEOFF_X15Y126 IS_USED 0 SITEPROP TIEOFF_X15Y126 MANUAL_ROUTING SITEPROP TIEOFF_X15Y126 NAME TIEOFF_X15Y126 SITEPROP TIEOFF_X15Y126 NUM_ARCS 0 SITEPROP TIEOFF_X15Y126 NUM_BELS 2 SITEPROP TIEOFF_X15Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y126 NUM_PINS 2 SITEPROP TIEOFF_X15Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y126 PROHIBIT 0 SITEPROP TIEOFF_X15Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y126 RPM_X 64 SITEPROP TIEOFF_X15Y126 RPM_Y 252 SITEPROP TIEOFF_X15Y126 SITE_PIPS SITEPROP TIEOFF_X15Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y127 CLASS site SITEPROP TIEOFF_X15Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y127 IS_BONDED 0 SITEPROP TIEOFF_X15Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y127 IS_PAD 0 SITEPROP TIEOFF_X15Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y127 IS_RESERVED 0 SITEPROP TIEOFF_X15Y127 IS_TEST 0 SITEPROP TIEOFF_X15Y127 IS_USED 0 SITEPROP TIEOFF_X15Y127 MANUAL_ROUTING SITEPROP TIEOFF_X15Y127 NAME TIEOFF_X15Y127 SITEPROP TIEOFF_X15Y127 NUM_ARCS 0 SITEPROP TIEOFF_X15Y127 NUM_BELS 2 SITEPROP TIEOFF_X15Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y127 NUM_PINS 2 SITEPROP TIEOFF_X15Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y127 PROHIBIT 0 SITEPROP TIEOFF_X15Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y127 RPM_X 64 SITEPROP TIEOFF_X15Y127 RPM_Y 254 SITEPROP TIEOFF_X15Y127 SITE_PIPS SITEPROP TIEOFF_X15Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y128 CLASS site SITEPROP TIEOFF_X15Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y128 IS_BONDED 0 SITEPROP TIEOFF_X15Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y128 IS_PAD 0 SITEPROP TIEOFF_X15Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y128 IS_RESERVED 0 SITEPROP TIEOFF_X15Y128 IS_TEST 0 SITEPROP TIEOFF_X15Y128 IS_USED 0 SITEPROP TIEOFF_X15Y128 MANUAL_ROUTING SITEPROP TIEOFF_X15Y128 NAME TIEOFF_X15Y128 SITEPROP TIEOFF_X15Y128 NUM_ARCS 0 SITEPROP TIEOFF_X15Y128 NUM_BELS 2 SITEPROP TIEOFF_X15Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y128 NUM_PINS 2 SITEPROP TIEOFF_X15Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y128 PROHIBIT 0 SITEPROP TIEOFF_X15Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y128 RPM_X 64 SITEPROP TIEOFF_X15Y128 RPM_Y 256 SITEPROP TIEOFF_X15Y128 SITE_PIPS SITEPROP TIEOFF_X15Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y129 CLASS site SITEPROP TIEOFF_X15Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y129 IS_BONDED 0 SITEPROP TIEOFF_X15Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y129 IS_PAD 0 SITEPROP TIEOFF_X15Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y129 IS_RESERVED 0 SITEPROP TIEOFF_X15Y129 IS_TEST 0 SITEPROP TIEOFF_X15Y129 IS_USED 0 SITEPROP TIEOFF_X15Y129 MANUAL_ROUTING SITEPROP TIEOFF_X15Y129 NAME TIEOFF_X15Y129 SITEPROP TIEOFF_X15Y129 NUM_ARCS 0 SITEPROP TIEOFF_X15Y129 NUM_BELS 2 SITEPROP TIEOFF_X15Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y129 NUM_PINS 2 SITEPROP TIEOFF_X15Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y129 PROHIBIT 0 SITEPROP TIEOFF_X15Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y129 RPM_X 64 SITEPROP TIEOFF_X15Y129 RPM_Y 258 SITEPROP TIEOFF_X15Y129 SITE_PIPS SITEPROP TIEOFF_X15Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y130 CLASS site SITEPROP TIEOFF_X15Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y130 IS_BONDED 0 SITEPROP TIEOFF_X15Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y130 IS_PAD 0 SITEPROP TIEOFF_X15Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y130 IS_RESERVED 0 SITEPROP TIEOFF_X15Y130 IS_TEST 0 SITEPROP TIEOFF_X15Y130 IS_USED 0 SITEPROP TIEOFF_X15Y130 MANUAL_ROUTING SITEPROP TIEOFF_X15Y130 NAME TIEOFF_X15Y130 SITEPROP TIEOFF_X15Y130 NUM_ARCS 0 SITEPROP TIEOFF_X15Y130 NUM_BELS 2 SITEPROP TIEOFF_X15Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y130 NUM_PINS 2 SITEPROP TIEOFF_X15Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y130 PROHIBIT 0 SITEPROP TIEOFF_X15Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y130 RPM_X 64 SITEPROP TIEOFF_X15Y130 RPM_Y 260 SITEPROP TIEOFF_X15Y130 SITE_PIPS SITEPROP TIEOFF_X15Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y131 CLASS site SITEPROP TIEOFF_X15Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y131 IS_BONDED 0 SITEPROP TIEOFF_X15Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y131 IS_PAD 0 SITEPROP TIEOFF_X15Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y131 IS_RESERVED 0 SITEPROP TIEOFF_X15Y131 IS_TEST 0 SITEPROP TIEOFF_X15Y131 IS_USED 0 SITEPROP TIEOFF_X15Y131 MANUAL_ROUTING SITEPROP TIEOFF_X15Y131 NAME TIEOFF_X15Y131 SITEPROP TIEOFF_X15Y131 NUM_ARCS 0 SITEPROP TIEOFF_X15Y131 NUM_BELS 2 SITEPROP TIEOFF_X15Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y131 NUM_PINS 2 SITEPROP TIEOFF_X15Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y131 PROHIBIT 0 SITEPROP TIEOFF_X15Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y131 RPM_X 64 SITEPROP TIEOFF_X15Y131 RPM_Y 262 SITEPROP TIEOFF_X15Y131 SITE_PIPS SITEPROP TIEOFF_X15Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y132 CLASS site SITEPROP TIEOFF_X15Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y132 IS_BONDED 0 SITEPROP TIEOFF_X15Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y132 IS_PAD 0 SITEPROP TIEOFF_X15Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y132 IS_RESERVED 0 SITEPROP TIEOFF_X15Y132 IS_TEST 0 SITEPROP TIEOFF_X15Y132 IS_USED 0 SITEPROP TIEOFF_X15Y132 MANUAL_ROUTING SITEPROP TIEOFF_X15Y132 NAME TIEOFF_X15Y132 SITEPROP TIEOFF_X15Y132 NUM_ARCS 0 SITEPROP TIEOFF_X15Y132 NUM_BELS 2 SITEPROP TIEOFF_X15Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y132 NUM_PINS 2 SITEPROP TIEOFF_X15Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y132 PROHIBIT 0 SITEPROP TIEOFF_X15Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y132 RPM_X 64 SITEPROP TIEOFF_X15Y132 RPM_Y 264 SITEPROP TIEOFF_X15Y132 SITE_PIPS SITEPROP TIEOFF_X15Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y133 CLASS site SITEPROP TIEOFF_X15Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y133 IS_BONDED 0 SITEPROP TIEOFF_X15Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y133 IS_PAD 0 SITEPROP TIEOFF_X15Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y133 IS_RESERVED 0 SITEPROP TIEOFF_X15Y133 IS_TEST 0 SITEPROP TIEOFF_X15Y133 IS_USED 0 SITEPROP TIEOFF_X15Y133 MANUAL_ROUTING SITEPROP TIEOFF_X15Y133 NAME TIEOFF_X15Y133 SITEPROP TIEOFF_X15Y133 NUM_ARCS 0 SITEPROP TIEOFF_X15Y133 NUM_BELS 2 SITEPROP TIEOFF_X15Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y133 NUM_PINS 2 SITEPROP TIEOFF_X15Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y133 PROHIBIT 0 SITEPROP TIEOFF_X15Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y133 RPM_X 64 SITEPROP TIEOFF_X15Y133 RPM_Y 266 SITEPROP TIEOFF_X15Y133 SITE_PIPS SITEPROP TIEOFF_X15Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y134 CLASS site SITEPROP TIEOFF_X15Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y134 IS_BONDED 0 SITEPROP TIEOFF_X15Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y134 IS_PAD 0 SITEPROP TIEOFF_X15Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y134 IS_RESERVED 0 SITEPROP TIEOFF_X15Y134 IS_TEST 0 SITEPROP TIEOFF_X15Y134 IS_USED 0 SITEPROP TIEOFF_X15Y134 MANUAL_ROUTING SITEPROP TIEOFF_X15Y134 NAME TIEOFF_X15Y134 SITEPROP TIEOFF_X15Y134 NUM_ARCS 0 SITEPROP TIEOFF_X15Y134 NUM_BELS 2 SITEPROP TIEOFF_X15Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y134 NUM_PINS 2 SITEPROP TIEOFF_X15Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y134 PROHIBIT 0 SITEPROP TIEOFF_X15Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y134 RPM_X 64 SITEPROP TIEOFF_X15Y134 RPM_Y 268 SITEPROP TIEOFF_X15Y134 SITE_PIPS SITEPROP TIEOFF_X15Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y135 CLASS site SITEPROP TIEOFF_X15Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y135 IS_BONDED 0 SITEPROP TIEOFF_X15Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y135 IS_PAD 0 SITEPROP TIEOFF_X15Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y135 IS_RESERVED 0 SITEPROP TIEOFF_X15Y135 IS_TEST 0 SITEPROP TIEOFF_X15Y135 IS_USED 0 SITEPROP TIEOFF_X15Y135 MANUAL_ROUTING SITEPROP TIEOFF_X15Y135 NAME TIEOFF_X15Y135 SITEPROP TIEOFF_X15Y135 NUM_ARCS 0 SITEPROP TIEOFF_X15Y135 NUM_BELS 2 SITEPROP TIEOFF_X15Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y135 NUM_PINS 2 SITEPROP TIEOFF_X15Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y135 PROHIBIT 0 SITEPROP TIEOFF_X15Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y135 RPM_X 64 SITEPROP TIEOFF_X15Y135 RPM_Y 270 SITEPROP TIEOFF_X15Y135 SITE_PIPS SITEPROP TIEOFF_X15Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y136 CLASS site SITEPROP TIEOFF_X15Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y136 IS_BONDED 0 SITEPROP TIEOFF_X15Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y136 IS_PAD 0 SITEPROP TIEOFF_X15Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y136 IS_RESERVED 0 SITEPROP TIEOFF_X15Y136 IS_TEST 0 SITEPROP TIEOFF_X15Y136 IS_USED 0 SITEPROP TIEOFF_X15Y136 MANUAL_ROUTING SITEPROP TIEOFF_X15Y136 NAME TIEOFF_X15Y136 SITEPROP TIEOFF_X15Y136 NUM_ARCS 0 SITEPROP TIEOFF_X15Y136 NUM_BELS 2 SITEPROP TIEOFF_X15Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y136 NUM_PINS 2 SITEPROP TIEOFF_X15Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y136 PROHIBIT 0 SITEPROP TIEOFF_X15Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y136 RPM_X 64 SITEPROP TIEOFF_X15Y136 RPM_Y 272 SITEPROP TIEOFF_X15Y136 SITE_PIPS SITEPROP TIEOFF_X15Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y137 CLASS site SITEPROP TIEOFF_X15Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y137 IS_BONDED 0 SITEPROP TIEOFF_X15Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y137 IS_PAD 0 SITEPROP TIEOFF_X15Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y137 IS_RESERVED 0 SITEPROP TIEOFF_X15Y137 IS_TEST 0 SITEPROP TIEOFF_X15Y137 IS_USED 0 SITEPROP TIEOFF_X15Y137 MANUAL_ROUTING SITEPROP TIEOFF_X15Y137 NAME TIEOFF_X15Y137 SITEPROP TIEOFF_X15Y137 NUM_ARCS 0 SITEPROP TIEOFF_X15Y137 NUM_BELS 2 SITEPROP TIEOFF_X15Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y137 NUM_PINS 2 SITEPROP TIEOFF_X15Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y137 PROHIBIT 0 SITEPROP TIEOFF_X15Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y137 RPM_X 64 SITEPROP TIEOFF_X15Y137 RPM_Y 274 SITEPROP TIEOFF_X15Y137 SITE_PIPS SITEPROP TIEOFF_X15Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y138 CLASS site SITEPROP TIEOFF_X15Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y138 IS_BONDED 0 SITEPROP TIEOFF_X15Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y138 IS_PAD 0 SITEPROP TIEOFF_X15Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y138 IS_RESERVED 0 SITEPROP TIEOFF_X15Y138 IS_TEST 0 SITEPROP TIEOFF_X15Y138 IS_USED 0 SITEPROP TIEOFF_X15Y138 MANUAL_ROUTING SITEPROP TIEOFF_X15Y138 NAME TIEOFF_X15Y138 SITEPROP TIEOFF_X15Y138 NUM_ARCS 0 SITEPROP TIEOFF_X15Y138 NUM_BELS 2 SITEPROP TIEOFF_X15Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y138 NUM_PINS 2 SITEPROP TIEOFF_X15Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y138 PROHIBIT 0 SITEPROP TIEOFF_X15Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y138 RPM_X 64 SITEPROP TIEOFF_X15Y138 RPM_Y 276 SITEPROP TIEOFF_X15Y138 SITE_PIPS SITEPROP TIEOFF_X15Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y139 CLASS site SITEPROP TIEOFF_X15Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y139 IS_BONDED 0 SITEPROP TIEOFF_X15Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y139 IS_PAD 0 SITEPROP TIEOFF_X15Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y139 IS_RESERVED 0 SITEPROP TIEOFF_X15Y139 IS_TEST 0 SITEPROP TIEOFF_X15Y139 IS_USED 0 SITEPROP TIEOFF_X15Y139 MANUAL_ROUTING SITEPROP TIEOFF_X15Y139 NAME TIEOFF_X15Y139 SITEPROP TIEOFF_X15Y139 NUM_ARCS 0 SITEPROP TIEOFF_X15Y139 NUM_BELS 2 SITEPROP TIEOFF_X15Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y139 NUM_PINS 2 SITEPROP TIEOFF_X15Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y139 PROHIBIT 0 SITEPROP TIEOFF_X15Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y139 RPM_X 64 SITEPROP TIEOFF_X15Y139 RPM_Y 278 SITEPROP TIEOFF_X15Y139 SITE_PIPS SITEPROP TIEOFF_X15Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y140 CLASS site SITEPROP TIEOFF_X15Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y140 IS_BONDED 0 SITEPROP TIEOFF_X15Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y140 IS_PAD 0 SITEPROP TIEOFF_X15Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y140 IS_RESERVED 0 SITEPROP TIEOFF_X15Y140 IS_TEST 0 SITEPROP TIEOFF_X15Y140 IS_USED 0 SITEPROP TIEOFF_X15Y140 MANUAL_ROUTING SITEPROP TIEOFF_X15Y140 NAME TIEOFF_X15Y140 SITEPROP TIEOFF_X15Y140 NUM_ARCS 0 SITEPROP TIEOFF_X15Y140 NUM_BELS 2 SITEPROP TIEOFF_X15Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y140 NUM_PINS 2 SITEPROP TIEOFF_X15Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y140 PROHIBIT 0 SITEPROP TIEOFF_X15Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y140 RPM_X 64 SITEPROP TIEOFF_X15Y140 RPM_Y 280 SITEPROP TIEOFF_X15Y140 SITE_PIPS SITEPROP TIEOFF_X15Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y141 CLASS site SITEPROP TIEOFF_X15Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y141 IS_BONDED 0 SITEPROP TIEOFF_X15Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y141 IS_PAD 0 SITEPROP TIEOFF_X15Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y141 IS_RESERVED 0 SITEPROP TIEOFF_X15Y141 IS_TEST 0 SITEPROP TIEOFF_X15Y141 IS_USED 0 SITEPROP TIEOFF_X15Y141 MANUAL_ROUTING SITEPROP TIEOFF_X15Y141 NAME TIEOFF_X15Y141 SITEPROP TIEOFF_X15Y141 NUM_ARCS 0 SITEPROP TIEOFF_X15Y141 NUM_BELS 2 SITEPROP TIEOFF_X15Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y141 NUM_PINS 2 SITEPROP TIEOFF_X15Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y141 PROHIBIT 0 SITEPROP TIEOFF_X15Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y141 RPM_X 64 SITEPROP TIEOFF_X15Y141 RPM_Y 282 SITEPROP TIEOFF_X15Y141 SITE_PIPS SITEPROP TIEOFF_X15Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y142 CLASS site SITEPROP TIEOFF_X15Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y142 IS_BONDED 0 SITEPROP TIEOFF_X15Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y142 IS_PAD 0 SITEPROP TIEOFF_X15Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y142 IS_RESERVED 0 SITEPROP TIEOFF_X15Y142 IS_TEST 0 SITEPROP TIEOFF_X15Y142 IS_USED 0 SITEPROP TIEOFF_X15Y142 MANUAL_ROUTING SITEPROP TIEOFF_X15Y142 NAME TIEOFF_X15Y142 SITEPROP TIEOFF_X15Y142 NUM_ARCS 0 SITEPROP TIEOFF_X15Y142 NUM_BELS 2 SITEPROP TIEOFF_X15Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y142 NUM_PINS 2 SITEPROP TIEOFF_X15Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y142 PROHIBIT 0 SITEPROP TIEOFF_X15Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y142 RPM_X 64 SITEPROP TIEOFF_X15Y142 RPM_Y 284 SITEPROP TIEOFF_X15Y142 SITE_PIPS SITEPROP TIEOFF_X15Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y143 CLASS site SITEPROP TIEOFF_X15Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y143 IS_BONDED 0 SITEPROP TIEOFF_X15Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y143 IS_PAD 0 SITEPROP TIEOFF_X15Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y143 IS_RESERVED 0 SITEPROP TIEOFF_X15Y143 IS_TEST 0 SITEPROP TIEOFF_X15Y143 IS_USED 0 SITEPROP TIEOFF_X15Y143 MANUAL_ROUTING SITEPROP TIEOFF_X15Y143 NAME TIEOFF_X15Y143 SITEPROP TIEOFF_X15Y143 NUM_ARCS 0 SITEPROP TIEOFF_X15Y143 NUM_BELS 2 SITEPROP TIEOFF_X15Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y143 NUM_PINS 2 SITEPROP TIEOFF_X15Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y143 PROHIBIT 0 SITEPROP TIEOFF_X15Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y143 RPM_X 64 SITEPROP TIEOFF_X15Y143 RPM_Y 286 SITEPROP TIEOFF_X15Y143 SITE_PIPS SITEPROP TIEOFF_X15Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y144 CLASS site SITEPROP TIEOFF_X15Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y144 IS_BONDED 0 SITEPROP TIEOFF_X15Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y144 IS_PAD 0 SITEPROP TIEOFF_X15Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y144 IS_RESERVED 0 SITEPROP TIEOFF_X15Y144 IS_TEST 0 SITEPROP TIEOFF_X15Y144 IS_USED 0 SITEPROP TIEOFF_X15Y144 MANUAL_ROUTING SITEPROP TIEOFF_X15Y144 NAME TIEOFF_X15Y144 SITEPROP TIEOFF_X15Y144 NUM_ARCS 0 SITEPROP TIEOFF_X15Y144 NUM_BELS 2 SITEPROP TIEOFF_X15Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y144 NUM_PINS 2 SITEPROP TIEOFF_X15Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y144 PROHIBIT 0 SITEPROP TIEOFF_X15Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y144 RPM_X 64 SITEPROP TIEOFF_X15Y144 RPM_Y 288 SITEPROP TIEOFF_X15Y144 SITE_PIPS SITEPROP TIEOFF_X15Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y145 CLASS site SITEPROP TIEOFF_X15Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y145 IS_BONDED 0 SITEPROP TIEOFF_X15Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y145 IS_PAD 0 SITEPROP TIEOFF_X15Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y145 IS_RESERVED 0 SITEPROP TIEOFF_X15Y145 IS_TEST 0 SITEPROP TIEOFF_X15Y145 IS_USED 0 SITEPROP TIEOFF_X15Y145 MANUAL_ROUTING SITEPROP TIEOFF_X15Y145 NAME TIEOFF_X15Y145 SITEPROP TIEOFF_X15Y145 NUM_ARCS 0 SITEPROP TIEOFF_X15Y145 NUM_BELS 2 SITEPROP TIEOFF_X15Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y145 NUM_PINS 2 SITEPROP TIEOFF_X15Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y145 PROHIBIT 0 SITEPROP TIEOFF_X15Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y145 RPM_X 64 SITEPROP TIEOFF_X15Y145 RPM_Y 290 SITEPROP TIEOFF_X15Y145 SITE_PIPS SITEPROP TIEOFF_X15Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y146 CLASS site SITEPROP TIEOFF_X15Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y146 IS_BONDED 0 SITEPROP TIEOFF_X15Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y146 IS_PAD 0 SITEPROP TIEOFF_X15Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y146 IS_RESERVED 0 SITEPROP TIEOFF_X15Y146 IS_TEST 0 SITEPROP TIEOFF_X15Y146 IS_USED 0 SITEPROP TIEOFF_X15Y146 MANUAL_ROUTING SITEPROP TIEOFF_X15Y146 NAME TIEOFF_X15Y146 SITEPROP TIEOFF_X15Y146 NUM_ARCS 0 SITEPROP TIEOFF_X15Y146 NUM_BELS 2 SITEPROP TIEOFF_X15Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y146 NUM_PINS 2 SITEPROP TIEOFF_X15Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y146 PROHIBIT 0 SITEPROP TIEOFF_X15Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y146 RPM_X 64 SITEPROP TIEOFF_X15Y146 RPM_Y 292 SITEPROP TIEOFF_X15Y146 SITE_PIPS SITEPROP TIEOFF_X15Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y147 CLASS site SITEPROP TIEOFF_X15Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y147 IS_BONDED 0 SITEPROP TIEOFF_X15Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y147 IS_PAD 0 SITEPROP TIEOFF_X15Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y147 IS_RESERVED 0 SITEPROP TIEOFF_X15Y147 IS_TEST 0 SITEPROP TIEOFF_X15Y147 IS_USED 0 SITEPROP TIEOFF_X15Y147 MANUAL_ROUTING SITEPROP TIEOFF_X15Y147 NAME TIEOFF_X15Y147 SITEPROP TIEOFF_X15Y147 NUM_ARCS 0 SITEPROP TIEOFF_X15Y147 NUM_BELS 2 SITEPROP TIEOFF_X15Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y147 NUM_PINS 2 SITEPROP TIEOFF_X15Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y147 PROHIBIT 0 SITEPROP TIEOFF_X15Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y147 RPM_X 64 SITEPROP TIEOFF_X15Y147 RPM_Y 294 SITEPROP TIEOFF_X15Y147 SITE_PIPS SITEPROP TIEOFF_X15Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y148 CLASS site SITEPROP TIEOFF_X15Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y148 IS_BONDED 0 SITEPROP TIEOFF_X15Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y148 IS_PAD 0 SITEPROP TIEOFF_X15Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y148 IS_RESERVED 0 SITEPROP TIEOFF_X15Y148 IS_TEST 0 SITEPROP TIEOFF_X15Y148 IS_USED 0 SITEPROP TIEOFF_X15Y148 MANUAL_ROUTING SITEPROP TIEOFF_X15Y148 NAME TIEOFF_X15Y148 SITEPROP TIEOFF_X15Y148 NUM_ARCS 0 SITEPROP TIEOFF_X15Y148 NUM_BELS 2 SITEPROP TIEOFF_X15Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y148 NUM_PINS 2 SITEPROP TIEOFF_X15Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y148 PROHIBIT 0 SITEPROP TIEOFF_X15Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y148 RPM_X 64 SITEPROP TIEOFF_X15Y148 RPM_Y 296 SITEPROP TIEOFF_X15Y148 SITE_PIPS SITEPROP TIEOFF_X15Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X15Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X15Y149 CLASS site SITEPROP TIEOFF_X15Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X15Y149 IS_BONDED 0 SITEPROP TIEOFF_X15Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y149 IS_PAD 0 SITEPROP TIEOFF_X15Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X15Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X15Y149 IS_RESERVED 0 SITEPROP TIEOFF_X15Y149 IS_TEST 0 SITEPROP TIEOFF_X15Y149 IS_USED 0 SITEPROP TIEOFF_X15Y149 MANUAL_ROUTING SITEPROP TIEOFF_X15Y149 NAME TIEOFF_X15Y149 SITEPROP TIEOFF_X15Y149 NUM_ARCS 0 SITEPROP TIEOFF_X15Y149 NUM_BELS 2 SITEPROP TIEOFF_X15Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X15Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X15Y149 NUM_PINS 2 SITEPROP TIEOFF_X15Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X15Y149 PROHIBIT 0 SITEPROP TIEOFF_X15Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X15Y149 RPM_X 64 SITEPROP TIEOFF_X15Y149 RPM_Y 298 SITEPROP TIEOFF_X15Y149 SITE_PIPS SITEPROP TIEOFF_X15Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y100 CLASS site SITEPROP TIEOFF_X16Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y100 IS_BONDED 0 SITEPROP TIEOFF_X16Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y100 IS_PAD 0 SITEPROP TIEOFF_X16Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y100 IS_RESERVED 0 SITEPROP TIEOFF_X16Y100 IS_TEST 0 SITEPROP TIEOFF_X16Y100 IS_USED 0 SITEPROP TIEOFF_X16Y100 MANUAL_ROUTING SITEPROP TIEOFF_X16Y100 NAME TIEOFF_X16Y100 SITEPROP TIEOFF_X16Y100 NUM_ARCS 0 SITEPROP TIEOFF_X16Y100 NUM_BELS 2 SITEPROP TIEOFF_X16Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y100 NUM_PINS 2 SITEPROP TIEOFF_X16Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y100 PROHIBIT 0 SITEPROP TIEOFF_X16Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y100 RPM_X 68 SITEPROP TIEOFF_X16Y100 RPM_Y 200 SITEPROP TIEOFF_X16Y100 SITE_PIPS SITEPROP TIEOFF_X16Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y101 CLASS site SITEPROP TIEOFF_X16Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y101 IS_BONDED 0 SITEPROP TIEOFF_X16Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y101 IS_PAD 0 SITEPROP TIEOFF_X16Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y101 IS_RESERVED 0 SITEPROP TIEOFF_X16Y101 IS_TEST 0 SITEPROP TIEOFF_X16Y101 IS_USED 0 SITEPROP TIEOFF_X16Y101 MANUAL_ROUTING SITEPROP TIEOFF_X16Y101 NAME TIEOFF_X16Y101 SITEPROP TIEOFF_X16Y101 NUM_ARCS 0 SITEPROP TIEOFF_X16Y101 NUM_BELS 2 SITEPROP TIEOFF_X16Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y101 NUM_PINS 2 SITEPROP TIEOFF_X16Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y101 PROHIBIT 0 SITEPROP TIEOFF_X16Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y101 RPM_X 68 SITEPROP TIEOFF_X16Y101 RPM_Y 202 SITEPROP TIEOFF_X16Y101 SITE_PIPS SITEPROP TIEOFF_X16Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y102 CLASS site SITEPROP TIEOFF_X16Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y102 IS_BONDED 0 SITEPROP TIEOFF_X16Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y102 IS_PAD 0 SITEPROP TIEOFF_X16Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y102 IS_RESERVED 0 SITEPROP TIEOFF_X16Y102 IS_TEST 0 SITEPROP TIEOFF_X16Y102 IS_USED 0 SITEPROP TIEOFF_X16Y102 MANUAL_ROUTING SITEPROP TIEOFF_X16Y102 NAME TIEOFF_X16Y102 SITEPROP TIEOFF_X16Y102 NUM_ARCS 0 SITEPROP TIEOFF_X16Y102 NUM_BELS 2 SITEPROP TIEOFF_X16Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y102 NUM_PINS 2 SITEPROP TIEOFF_X16Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y102 PROHIBIT 0 SITEPROP TIEOFF_X16Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y102 RPM_X 68 SITEPROP TIEOFF_X16Y102 RPM_Y 204 SITEPROP TIEOFF_X16Y102 SITE_PIPS SITEPROP TIEOFF_X16Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y103 CLASS site SITEPROP TIEOFF_X16Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y103 IS_BONDED 0 SITEPROP TIEOFF_X16Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y103 IS_PAD 0 SITEPROP TIEOFF_X16Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y103 IS_RESERVED 0 SITEPROP TIEOFF_X16Y103 IS_TEST 0 SITEPROP TIEOFF_X16Y103 IS_USED 0 SITEPROP TIEOFF_X16Y103 MANUAL_ROUTING SITEPROP TIEOFF_X16Y103 NAME TIEOFF_X16Y103 SITEPROP TIEOFF_X16Y103 NUM_ARCS 0 SITEPROP TIEOFF_X16Y103 NUM_BELS 2 SITEPROP TIEOFF_X16Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y103 NUM_PINS 2 SITEPROP TIEOFF_X16Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y103 PROHIBIT 0 SITEPROP TIEOFF_X16Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y103 RPM_X 68 SITEPROP TIEOFF_X16Y103 RPM_Y 206 SITEPROP TIEOFF_X16Y103 SITE_PIPS SITEPROP TIEOFF_X16Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y104 CLASS site SITEPROP TIEOFF_X16Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y104 IS_BONDED 0 SITEPROP TIEOFF_X16Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y104 IS_PAD 0 SITEPROP TIEOFF_X16Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y104 IS_RESERVED 0 SITEPROP TIEOFF_X16Y104 IS_TEST 0 SITEPROP TIEOFF_X16Y104 IS_USED 0 SITEPROP TIEOFF_X16Y104 MANUAL_ROUTING SITEPROP TIEOFF_X16Y104 NAME TIEOFF_X16Y104 SITEPROP TIEOFF_X16Y104 NUM_ARCS 0 SITEPROP TIEOFF_X16Y104 NUM_BELS 2 SITEPROP TIEOFF_X16Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y104 NUM_PINS 2 SITEPROP TIEOFF_X16Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y104 PROHIBIT 0 SITEPROP TIEOFF_X16Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y104 RPM_X 68 SITEPROP TIEOFF_X16Y104 RPM_Y 208 SITEPROP TIEOFF_X16Y104 SITE_PIPS SITEPROP TIEOFF_X16Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y105 CLASS site SITEPROP TIEOFF_X16Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y105 IS_BONDED 0 SITEPROP TIEOFF_X16Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y105 IS_PAD 0 SITEPROP TIEOFF_X16Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y105 IS_RESERVED 0 SITEPROP TIEOFF_X16Y105 IS_TEST 0 SITEPROP TIEOFF_X16Y105 IS_USED 0 SITEPROP TIEOFF_X16Y105 MANUAL_ROUTING SITEPROP TIEOFF_X16Y105 NAME TIEOFF_X16Y105 SITEPROP TIEOFF_X16Y105 NUM_ARCS 0 SITEPROP TIEOFF_X16Y105 NUM_BELS 2 SITEPROP TIEOFF_X16Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y105 NUM_PINS 2 SITEPROP TIEOFF_X16Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y105 PROHIBIT 0 SITEPROP TIEOFF_X16Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y105 RPM_X 68 SITEPROP TIEOFF_X16Y105 RPM_Y 210 SITEPROP TIEOFF_X16Y105 SITE_PIPS SITEPROP TIEOFF_X16Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y106 CLASS site SITEPROP TIEOFF_X16Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y106 IS_BONDED 0 SITEPROP TIEOFF_X16Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y106 IS_PAD 0 SITEPROP TIEOFF_X16Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y106 IS_RESERVED 0 SITEPROP TIEOFF_X16Y106 IS_TEST 0 SITEPROP TIEOFF_X16Y106 IS_USED 0 SITEPROP TIEOFF_X16Y106 MANUAL_ROUTING SITEPROP TIEOFF_X16Y106 NAME TIEOFF_X16Y106 SITEPROP TIEOFF_X16Y106 NUM_ARCS 0 SITEPROP TIEOFF_X16Y106 NUM_BELS 2 SITEPROP TIEOFF_X16Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y106 NUM_PINS 2 SITEPROP TIEOFF_X16Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y106 PROHIBIT 0 SITEPROP TIEOFF_X16Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y106 RPM_X 68 SITEPROP TIEOFF_X16Y106 RPM_Y 212 SITEPROP TIEOFF_X16Y106 SITE_PIPS SITEPROP TIEOFF_X16Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y107 CLASS site SITEPROP TIEOFF_X16Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y107 IS_BONDED 0 SITEPROP TIEOFF_X16Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y107 IS_PAD 0 SITEPROP TIEOFF_X16Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y107 IS_RESERVED 0 SITEPROP TIEOFF_X16Y107 IS_TEST 0 SITEPROP TIEOFF_X16Y107 IS_USED 0 SITEPROP TIEOFF_X16Y107 MANUAL_ROUTING SITEPROP TIEOFF_X16Y107 NAME TIEOFF_X16Y107 SITEPROP TIEOFF_X16Y107 NUM_ARCS 0 SITEPROP TIEOFF_X16Y107 NUM_BELS 2 SITEPROP TIEOFF_X16Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y107 NUM_PINS 2 SITEPROP TIEOFF_X16Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y107 PROHIBIT 0 SITEPROP TIEOFF_X16Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y107 RPM_X 68 SITEPROP TIEOFF_X16Y107 RPM_Y 214 SITEPROP TIEOFF_X16Y107 SITE_PIPS SITEPROP TIEOFF_X16Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y108 CLASS site SITEPROP TIEOFF_X16Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y108 IS_BONDED 0 SITEPROP TIEOFF_X16Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y108 IS_PAD 0 SITEPROP TIEOFF_X16Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y108 IS_RESERVED 0 SITEPROP TIEOFF_X16Y108 IS_TEST 0 SITEPROP TIEOFF_X16Y108 IS_USED 0 SITEPROP TIEOFF_X16Y108 MANUAL_ROUTING SITEPROP TIEOFF_X16Y108 NAME TIEOFF_X16Y108 SITEPROP TIEOFF_X16Y108 NUM_ARCS 0 SITEPROP TIEOFF_X16Y108 NUM_BELS 2 SITEPROP TIEOFF_X16Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y108 NUM_PINS 2 SITEPROP TIEOFF_X16Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y108 PROHIBIT 0 SITEPROP TIEOFF_X16Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y108 RPM_X 68 SITEPROP TIEOFF_X16Y108 RPM_Y 216 SITEPROP TIEOFF_X16Y108 SITE_PIPS SITEPROP TIEOFF_X16Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y109 CLASS site SITEPROP TIEOFF_X16Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y109 IS_BONDED 0 SITEPROP TIEOFF_X16Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y109 IS_PAD 0 SITEPROP TIEOFF_X16Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y109 IS_RESERVED 0 SITEPROP TIEOFF_X16Y109 IS_TEST 0 SITEPROP TIEOFF_X16Y109 IS_USED 0 SITEPROP TIEOFF_X16Y109 MANUAL_ROUTING SITEPROP TIEOFF_X16Y109 NAME TIEOFF_X16Y109 SITEPROP TIEOFF_X16Y109 NUM_ARCS 0 SITEPROP TIEOFF_X16Y109 NUM_BELS 2 SITEPROP TIEOFF_X16Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y109 NUM_PINS 2 SITEPROP TIEOFF_X16Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y109 PROHIBIT 0 SITEPROP TIEOFF_X16Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y109 RPM_X 68 SITEPROP TIEOFF_X16Y109 RPM_Y 218 SITEPROP TIEOFF_X16Y109 SITE_PIPS SITEPROP TIEOFF_X16Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y110 CLASS site SITEPROP TIEOFF_X16Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y110 IS_BONDED 0 SITEPROP TIEOFF_X16Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y110 IS_PAD 0 SITEPROP TIEOFF_X16Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y110 IS_RESERVED 0 SITEPROP TIEOFF_X16Y110 IS_TEST 0 SITEPROP TIEOFF_X16Y110 IS_USED 0 SITEPROP TIEOFF_X16Y110 MANUAL_ROUTING SITEPROP TIEOFF_X16Y110 NAME TIEOFF_X16Y110 SITEPROP TIEOFF_X16Y110 NUM_ARCS 0 SITEPROP TIEOFF_X16Y110 NUM_BELS 2 SITEPROP TIEOFF_X16Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y110 NUM_PINS 2 SITEPROP TIEOFF_X16Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y110 PROHIBIT 0 SITEPROP TIEOFF_X16Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y110 RPM_X 68 SITEPROP TIEOFF_X16Y110 RPM_Y 220 SITEPROP TIEOFF_X16Y110 SITE_PIPS SITEPROP TIEOFF_X16Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y111 CLASS site SITEPROP TIEOFF_X16Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y111 IS_BONDED 0 SITEPROP TIEOFF_X16Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y111 IS_PAD 0 SITEPROP TIEOFF_X16Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y111 IS_RESERVED 0 SITEPROP TIEOFF_X16Y111 IS_TEST 0 SITEPROP TIEOFF_X16Y111 IS_USED 0 SITEPROP TIEOFF_X16Y111 MANUAL_ROUTING SITEPROP TIEOFF_X16Y111 NAME TIEOFF_X16Y111 SITEPROP TIEOFF_X16Y111 NUM_ARCS 0 SITEPROP TIEOFF_X16Y111 NUM_BELS 2 SITEPROP TIEOFF_X16Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y111 NUM_PINS 2 SITEPROP TIEOFF_X16Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y111 PROHIBIT 0 SITEPROP TIEOFF_X16Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y111 RPM_X 68 SITEPROP TIEOFF_X16Y111 RPM_Y 222 SITEPROP TIEOFF_X16Y111 SITE_PIPS SITEPROP TIEOFF_X16Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y112 CLASS site SITEPROP TIEOFF_X16Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y112 IS_BONDED 0 SITEPROP TIEOFF_X16Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y112 IS_PAD 0 SITEPROP TIEOFF_X16Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y112 IS_RESERVED 0 SITEPROP TIEOFF_X16Y112 IS_TEST 0 SITEPROP TIEOFF_X16Y112 IS_USED 0 SITEPROP TIEOFF_X16Y112 MANUAL_ROUTING SITEPROP TIEOFF_X16Y112 NAME TIEOFF_X16Y112 SITEPROP TIEOFF_X16Y112 NUM_ARCS 0 SITEPROP TIEOFF_X16Y112 NUM_BELS 2 SITEPROP TIEOFF_X16Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y112 NUM_PINS 2 SITEPROP TIEOFF_X16Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y112 PROHIBIT 0 SITEPROP TIEOFF_X16Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y112 RPM_X 68 SITEPROP TIEOFF_X16Y112 RPM_Y 224 SITEPROP TIEOFF_X16Y112 SITE_PIPS SITEPROP TIEOFF_X16Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y113 CLASS site SITEPROP TIEOFF_X16Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y113 IS_BONDED 0 SITEPROP TIEOFF_X16Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y113 IS_PAD 0 SITEPROP TIEOFF_X16Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y113 IS_RESERVED 0 SITEPROP TIEOFF_X16Y113 IS_TEST 0 SITEPROP TIEOFF_X16Y113 IS_USED 0 SITEPROP TIEOFF_X16Y113 MANUAL_ROUTING SITEPROP TIEOFF_X16Y113 NAME TIEOFF_X16Y113 SITEPROP TIEOFF_X16Y113 NUM_ARCS 0 SITEPROP TIEOFF_X16Y113 NUM_BELS 2 SITEPROP TIEOFF_X16Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y113 NUM_PINS 2 SITEPROP TIEOFF_X16Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y113 PROHIBIT 0 SITEPROP TIEOFF_X16Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y113 RPM_X 68 SITEPROP TIEOFF_X16Y113 RPM_Y 226 SITEPROP TIEOFF_X16Y113 SITE_PIPS SITEPROP TIEOFF_X16Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y114 CLASS site SITEPROP TIEOFF_X16Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y114 IS_BONDED 0 SITEPROP TIEOFF_X16Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y114 IS_PAD 0 SITEPROP TIEOFF_X16Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y114 IS_RESERVED 0 SITEPROP TIEOFF_X16Y114 IS_TEST 0 SITEPROP TIEOFF_X16Y114 IS_USED 0 SITEPROP TIEOFF_X16Y114 MANUAL_ROUTING SITEPROP TIEOFF_X16Y114 NAME TIEOFF_X16Y114 SITEPROP TIEOFF_X16Y114 NUM_ARCS 0 SITEPROP TIEOFF_X16Y114 NUM_BELS 2 SITEPROP TIEOFF_X16Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y114 NUM_PINS 2 SITEPROP TIEOFF_X16Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y114 PROHIBIT 0 SITEPROP TIEOFF_X16Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y114 RPM_X 68 SITEPROP TIEOFF_X16Y114 RPM_Y 228 SITEPROP TIEOFF_X16Y114 SITE_PIPS SITEPROP TIEOFF_X16Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y115 CLASS site SITEPROP TIEOFF_X16Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y115 IS_BONDED 0 SITEPROP TIEOFF_X16Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y115 IS_PAD 0 SITEPROP TIEOFF_X16Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y115 IS_RESERVED 0 SITEPROP TIEOFF_X16Y115 IS_TEST 0 SITEPROP TIEOFF_X16Y115 IS_USED 0 SITEPROP TIEOFF_X16Y115 MANUAL_ROUTING SITEPROP TIEOFF_X16Y115 NAME TIEOFF_X16Y115 SITEPROP TIEOFF_X16Y115 NUM_ARCS 0 SITEPROP TIEOFF_X16Y115 NUM_BELS 2 SITEPROP TIEOFF_X16Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y115 NUM_PINS 2 SITEPROP TIEOFF_X16Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y115 PROHIBIT 0 SITEPROP TIEOFF_X16Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y115 RPM_X 68 SITEPROP TIEOFF_X16Y115 RPM_Y 230 SITEPROP TIEOFF_X16Y115 SITE_PIPS SITEPROP TIEOFF_X16Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y116 CLASS site SITEPROP TIEOFF_X16Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y116 IS_BONDED 0 SITEPROP TIEOFF_X16Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y116 IS_PAD 0 SITEPROP TIEOFF_X16Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y116 IS_RESERVED 0 SITEPROP TIEOFF_X16Y116 IS_TEST 0 SITEPROP TIEOFF_X16Y116 IS_USED 0 SITEPROP TIEOFF_X16Y116 MANUAL_ROUTING SITEPROP TIEOFF_X16Y116 NAME TIEOFF_X16Y116 SITEPROP TIEOFF_X16Y116 NUM_ARCS 0 SITEPROP TIEOFF_X16Y116 NUM_BELS 2 SITEPROP TIEOFF_X16Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y116 NUM_PINS 2 SITEPROP TIEOFF_X16Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y116 PROHIBIT 0 SITEPROP TIEOFF_X16Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y116 RPM_X 68 SITEPROP TIEOFF_X16Y116 RPM_Y 232 SITEPROP TIEOFF_X16Y116 SITE_PIPS SITEPROP TIEOFF_X16Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y117 CLASS site SITEPROP TIEOFF_X16Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y117 IS_BONDED 0 SITEPROP TIEOFF_X16Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y117 IS_PAD 0 SITEPROP TIEOFF_X16Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y117 IS_RESERVED 0 SITEPROP TIEOFF_X16Y117 IS_TEST 0 SITEPROP TIEOFF_X16Y117 IS_USED 0 SITEPROP TIEOFF_X16Y117 MANUAL_ROUTING SITEPROP TIEOFF_X16Y117 NAME TIEOFF_X16Y117 SITEPROP TIEOFF_X16Y117 NUM_ARCS 0 SITEPROP TIEOFF_X16Y117 NUM_BELS 2 SITEPROP TIEOFF_X16Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y117 NUM_PINS 2 SITEPROP TIEOFF_X16Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y117 PROHIBIT 0 SITEPROP TIEOFF_X16Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y117 RPM_X 68 SITEPROP TIEOFF_X16Y117 RPM_Y 234 SITEPROP TIEOFF_X16Y117 SITE_PIPS SITEPROP TIEOFF_X16Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y118 CLASS site SITEPROP TIEOFF_X16Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y118 IS_BONDED 0 SITEPROP TIEOFF_X16Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y118 IS_PAD 0 SITEPROP TIEOFF_X16Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y118 IS_RESERVED 0 SITEPROP TIEOFF_X16Y118 IS_TEST 0 SITEPROP TIEOFF_X16Y118 IS_USED 0 SITEPROP TIEOFF_X16Y118 MANUAL_ROUTING SITEPROP TIEOFF_X16Y118 NAME TIEOFF_X16Y118 SITEPROP TIEOFF_X16Y118 NUM_ARCS 0 SITEPROP TIEOFF_X16Y118 NUM_BELS 2 SITEPROP TIEOFF_X16Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y118 NUM_PINS 2 SITEPROP TIEOFF_X16Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y118 PROHIBIT 0 SITEPROP TIEOFF_X16Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y118 RPM_X 68 SITEPROP TIEOFF_X16Y118 RPM_Y 236 SITEPROP TIEOFF_X16Y118 SITE_PIPS SITEPROP TIEOFF_X16Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y119 CLASS site SITEPROP TIEOFF_X16Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y119 IS_BONDED 0 SITEPROP TIEOFF_X16Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y119 IS_PAD 0 SITEPROP TIEOFF_X16Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y119 IS_RESERVED 0 SITEPROP TIEOFF_X16Y119 IS_TEST 0 SITEPROP TIEOFF_X16Y119 IS_USED 0 SITEPROP TIEOFF_X16Y119 MANUAL_ROUTING SITEPROP TIEOFF_X16Y119 NAME TIEOFF_X16Y119 SITEPROP TIEOFF_X16Y119 NUM_ARCS 0 SITEPROP TIEOFF_X16Y119 NUM_BELS 2 SITEPROP TIEOFF_X16Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y119 NUM_PINS 2 SITEPROP TIEOFF_X16Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y119 PROHIBIT 0 SITEPROP TIEOFF_X16Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y119 RPM_X 68 SITEPROP TIEOFF_X16Y119 RPM_Y 238 SITEPROP TIEOFF_X16Y119 SITE_PIPS SITEPROP TIEOFF_X16Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y120 CLASS site SITEPROP TIEOFF_X16Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y120 IS_BONDED 0 SITEPROP TIEOFF_X16Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y120 IS_PAD 0 SITEPROP TIEOFF_X16Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y120 IS_RESERVED 0 SITEPROP TIEOFF_X16Y120 IS_TEST 0 SITEPROP TIEOFF_X16Y120 IS_USED 0 SITEPROP TIEOFF_X16Y120 MANUAL_ROUTING SITEPROP TIEOFF_X16Y120 NAME TIEOFF_X16Y120 SITEPROP TIEOFF_X16Y120 NUM_ARCS 0 SITEPROP TIEOFF_X16Y120 NUM_BELS 2 SITEPROP TIEOFF_X16Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y120 NUM_PINS 2 SITEPROP TIEOFF_X16Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y120 PROHIBIT 0 SITEPROP TIEOFF_X16Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y120 RPM_X 68 SITEPROP TIEOFF_X16Y120 RPM_Y 240 SITEPROP TIEOFF_X16Y120 SITE_PIPS SITEPROP TIEOFF_X16Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y121 CLASS site SITEPROP TIEOFF_X16Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y121 IS_BONDED 0 SITEPROP TIEOFF_X16Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y121 IS_PAD 0 SITEPROP TIEOFF_X16Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y121 IS_RESERVED 0 SITEPROP TIEOFF_X16Y121 IS_TEST 0 SITEPROP TIEOFF_X16Y121 IS_USED 0 SITEPROP TIEOFF_X16Y121 MANUAL_ROUTING SITEPROP TIEOFF_X16Y121 NAME TIEOFF_X16Y121 SITEPROP TIEOFF_X16Y121 NUM_ARCS 0 SITEPROP TIEOFF_X16Y121 NUM_BELS 2 SITEPROP TIEOFF_X16Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y121 NUM_PINS 2 SITEPROP TIEOFF_X16Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y121 PROHIBIT 0 SITEPROP TIEOFF_X16Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y121 RPM_X 68 SITEPROP TIEOFF_X16Y121 RPM_Y 242 SITEPROP TIEOFF_X16Y121 SITE_PIPS SITEPROP TIEOFF_X16Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y122 CLASS site SITEPROP TIEOFF_X16Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y122 IS_BONDED 0 SITEPROP TIEOFF_X16Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y122 IS_PAD 0 SITEPROP TIEOFF_X16Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y122 IS_RESERVED 0 SITEPROP TIEOFF_X16Y122 IS_TEST 0 SITEPROP TIEOFF_X16Y122 IS_USED 0 SITEPROP TIEOFF_X16Y122 MANUAL_ROUTING SITEPROP TIEOFF_X16Y122 NAME TIEOFF_X16Y122 SITEPROP TIEOFF_X16Y122 NUM_ARCS 0 SITEPROP TIEOFF_X16Y122 NUM_BELS 2 SITEPROP TIEOFF_X16Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y122 NUM_PINS 2 SITEPROP TIEOFF_X16Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y122 PROHIBIT 0 SITEPROP TIEOFF_X16Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y122 RPM_X 68 SITEPROP TIEOFF_X16Y122 RPM_Y 244 SITEPROP TIEOFF_X16Y122 SITE_PIPS SITEPROP TIEOFF_X16Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y123 CLASS site SITEPROP TIEOFF_X16Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y123 IS_BONDED 0 SITEPROP TIEOFF_X16Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y123 IS_PAD 0 SITEPROP TIEOFF_X16Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y123 IS_RESERVED 0 SITEPROP TIEOFF_X16Y123 IS_TEST 0 SITEPROP TIEOFF_X16Y123 IS_USED 0 SITEPROP TIEOFF_X16Y123 MANUAL_ROUTING SITEPROP TIEOFF_X16Y123 NAME TIEOFF_X16Y123 SITEPROP TIEOFF_X16Y123 NUM_ARCS 0 SITEPROP TIEOFF_X16Y123 NUM_BELS 2 SITEPROP TIEOFF_X16Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y123 NUM_PINS 2 SITEPROP TIEOFF_X16Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y123 PROHIBIT 0 SITEPROP TIEOFF_X16Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y123 RPM_X 68 SITEPROP TIEOFF_X16Y123 RPM_Y 246 SITEPROP TIEOFF_X16Y123 SITE_PIPS SITEPROP TIEOFF_X16Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y124 CLASS site SITEPROP TIEOFF_X16Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y124 IS_BONDED 0 SITEPROP TIEOFF_X16Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y124 IS_PAD 0 SITEPROP TIEOFF_X16Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y124 IS_RESERVED 0 SITEPROP TIEOFF_X16Y124 IS_TEST 0 SITEPROP TIEOFF_X16Y124 IS_USED 0 SITEPROP TIEOFF_X16Y124 MANUAL_ROUTING SITEPROP TIEOFF_X16Y124 NAME TIEOFF_X16Y124 SITEPROP TIEOFF_X16Y124 NUM_ARCS 0 SITEPROP TIEOFF_X16Y124 NUM_BELS 2 SITEPROP TIEOFF_X16Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y124 NUM_PINS 2 SITEPROP TIEOFF_X16Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y124 PROHIBIT 0 SITEPROP TIEOFF_X16Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y124 RPM_X 68 SITEPROP TIEOFF_X16Y124 RPM_Y 248 SITEPROP TIEOFF_X16Y124 SITE_PIPS SITEPROP TIEOFF_X16Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y125 CLASS site SITEPROP TIEOFF_X16Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y125 IS_BONDED 0 SITEPROP TIEOFF_X16Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y125 IS_PAD 0 SITEPROP TIEOFF_X16Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y125 IS_RESERVED 0 SITEPROP TIEOFF_X16Y125 IS_TEST 0 SITEPROP TIEOFF_X16Y125 IS_USED 0 SITEPROP TIEOFF_X16Y125 MANUAL_ROUTING SITEPROP TIEOFF_X16Y125 NAME TIEOFF_X16Y125 SITEPROP TIEOFF_X16Y125 NUM_ARCS 0 SITEPROP TIEOFF_X16Y125 NUM_BELS 2 SITEPROP TIEOFF_X16Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y125 NUM_PINS 2 SITEPROP TIEOFF_X16Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y125 PROHIBIT 0 SITEPROP TIEOFF_X16Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y125 RPM_X 68 SITEPROP TIEOFF_X16Y125 RPM_Y 250 SITEPROP TIEOFF_X16Y125 SITE_PIPS SITEPROP TIEOFF_X16Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y126 CLASS site SITEPROP TIEOFF_X16Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y126 IS_BONDED 0 SITEPROP TIEOFF_X16Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y126 IS_PAD 0 SITEPROP TIEOFF_X16Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y126 IS_RESERVED 0 SITEPROP TIEOFF_X16Y126 IS_TEST 0 SITEPROP TIEOFF_X16Y126 IS_USED 0 SITEPROP TIEOFF_X16Y126 MANUAL_ROUTING SITEPROP TIEOFF_X16Y126 NAME TIEOFF_X16Y126 SITEPROP TIEOFF_X16Y126 NUM_ARCS 0 SITEPROP TIEOFF_X16Y126 NUM_BELS 2 SITEPROP TIEOFF_X16Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y126 NUM_PINS 2 SITEPROP TIEOFF_X16Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y126 PROHIBIT 0 SITEPROP TIEOFF_X16Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y126 RPM_X 68 SITEPROP TIEOFF_X16Y126 RPM_Y 252 SITEPROP TIEOFF_X16Y126 SITE_PIPS SITEPROP TIEOFF_X16Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y127 CLASS site SITEPROP TIEOFF_X16Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y127 IS_BONDED 0 SITEPROP TIEOFF_X16Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y127 IS_PAD 0 SITEPROP TIEOFF_X16Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y127 IS_RESERVED 0 SITEPROP TIEOFF_X16Y127 IS_TEST 0 SITEPROP TIEOFF_X16Y127 IS_USED 0 SITEPROP TIEOFF_X16Y127 MANUAL_ROUTING SITEPROP TIEOFF_X16Y127 NAME TIEOFF_X16Y127 SITEPROP TIEOFF_X16Y127 NUM_ARCS 0 SITEPROP TIEOFF_X16Y127 NUM_BELS 2 SITEPROP TIEOFF_X16Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y127 NUM_PINS 2 SITEPROP TIEOFF_X16Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y127 PROHIBIT 0 SITEPROP TIEOFF_X16Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y127 RPM_X 68 SITEPROP TIEOFF_X16Y127 RPM_Y 254 SITEPROP TIEOFF_X16Y127 SITE_PIPS SITEPROP TIEOFF_X16Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y128 CLASS site SITEPROP TIEOFF_X16Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y128 IS_BONDED 0 SITEPROP TIEOFF_X16Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y128 IS_PAD 0 SITEPROP TIEOFF_X16Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y128 IS_RESERVED 0 SITEPROP TIEOFF_X16Y128 IS_TEST 0 SITEPROP TIEOFF_X16Y128 IS_USED 0 SITEPROP TIEOFF_X16Y128 MANUAL_ROUTING SITEPROP TIEOFF_X16Y128 NAME TIEOFF_X16Y128 SITEPROP TIEOFF_X16Y128 NUM_ARCS 0 SITEPROP TIEOFF_X16Y128 NUM_BELS 2 SITEPROP TIEOFF_X16Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y128 NUM_PINS 2 SITEPROP TIEOFF_X16Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y128 PROHIBIT 0 SITEPROP TIEOFF_X16Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y128 RPM_X 68 SITEPROP TIEOFF_X16Y128 RPM_Y 256 SITEPROP TIEOFF_X16Y128 SITE_PIPS SITEPROP TIEOFF_X16Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y129 CLASS site SITEPROP TIEOFF_X16Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y129 IS_BONDED 0 SITEPROP TIEOFF_X16Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y129 IS_PAD 0 SITEPROP TIEOFF_X16Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y129 IS_RESERVED 0 SITEPROP TIEOFF_X16Y129 IS_TEST 0 SITEPROP TIEOFF_X16Y129 IS_USED 0 SITEPROP TIEOFF_X16Y129 MANUAL_ROUTING SITEPROP TIEOFF_X16Y129 NAME TIEOFF_X16Y129 SITEPROP TIEOFF_X16Y129 NUM_ARCS 0 SITEPROP TIEOFF_X16Y129 NUM_BELS 2 SITEPROP TIEOFF_X16Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y129 NUM_PINS 2 SITEPROP TIEOFF_X16Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y129 PROHIBIT 0 SITEPROP TIEOFF_X16Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y129 RPM_X 68 SITEPROP TIEOFF_X16Y129 RPM_Y 258 SITEPROP TIEOFF_X16Y129 SITE_PIPS SITEPROP TIEOFF_X16Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y130 CLASS site SITEPROP TIEOFF_X16Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y130 IS_BONDED 0 SITEPROP TIEOFF_X16Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y130 IS_PAD 0 SITEPROP TIEOFF_X16Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y130 IS_RESERVED 0 SITEPROP TIEOFF_X16Y130 IS_TEST 0 SITEPROP TIEOFF_X16Y130 IS_USED 0 SITEPROP TIEOFF_X16Y130 MANUAL_ROUTING SITEPROP TIEOFF_X16Y130 NAME TIEOFF_X16Y130 SITEPROP TIEOFF_X16Y130 NUM_ARCS 0 SITEPROP TIEOFF_X16Y130 NUM_BELS 2 SITEPROP TIEOFF_X16Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y130 NUM_PINS 2 SITEPROP TIEOFF_X16Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y130 PROHIBIT 0 SITEPROP TIEOFF_X16Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y130 RPM_X 68 SITEPROP TIEOFF_X16Y130 RPM_Y 260 SITEPROP TIEOFF_X16Y130 SITE_PIPS SITEPROP TIEOFF_X16Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y131 CLASS site SITEPROP TIEOFF_X16Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y131 IS_BONDED 0 SITEPROP TIEOFF_X16Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y131 IS_PAD 0 SITEPROP TIEOFF_X16Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y131 IS_RESERVED 0 SITEPROP TIEOFF_X16Y131 IS_TEST 0 SITEPROP TIEOFF_X16Y131 IS_USED 0 SITEPROP TIEOFF_X16Y131 MANUAL_ROUTING SITEPROP TIEOFF_X16Y131 NAME TIEOFF_X16Y131 SITEPROP TIEOFF_X16Y131 NUM_ARCS 0 SITEPROP TIEOFF_X16Y131 NUM_BELS 2 SITEPROP TIEOFF_X16Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y131 NUM_PINS 2 SITEPROP TIEOFF_X16Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y131 PROHIBIT 0 SITEPROP TIEOFF_X16Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y131 RPM_X 68 SITEPROP TIEOFF_X16Y131 RPM_Y 262 SITEPROP TIEOFF_X16Y131 SITE_PIPS SITEPROP TIEOFF_X16Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y132 CLASS site SITEPROP TIEOFF_X16Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y132 IS_BONDED 0 SITEPROP TIEOFF_X16Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y132 IS_PAD 0 SITEPROP TIEOFF_X16Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y132 IS_RESERVED 0 SITEPROP TIEOFF_X16Y132 IS_TEST 0 SITEPROP TIEOFF_X16Y132 IS_USED 0 SITEPROP TIEOFF_X16Y132 MANUAL_ROUTING SITEPROP TIEOFF_X16Y132 NAME TIEOFF_X16Y132 SITEPROP TIEOFF_X16Y132 NUM_ARCS 0 SITEPROP TIEOFF_X16Y132 NUM_BELS 2 SITEPROP TIEOFF_X16Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y132 NUM_PINS 2 SITEPROP TIEOFF_X16Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y132 PROHIBIT 0 SITEPROP TIEOFF_X16Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y132 RPM_X 68 SITEPROP TIEOFF_X16Y132 RPM_Y 264 SITEPROP TIEOFF_X16Y132 SITE_PIPS SITEPROP TIEOFF_X16Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y133 CLASS site SITEPROP TIEOFF_X16Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y133 IS_BONDED 0 SITEPROP TIEOFF_X16Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y133 IS_PAD 0 SITEPROP TIEOFF_X16Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y133 IS_RESERVED 0 SITEPROP TIEOFF_X16Y133 IS_TEST 0 SITEPROP TIEOFF_X16Y133 IS_USED 0 SITEPROP TIEOFF_X16Y133 MANUAL_ROUTING SITEPROP TIEOFF_X16Y133 NAME TIEOFF_X16Y133 SITEPROP TIEOFF_X16Y133 NUM_ARCS 0 SITEPROP TIEOFF_X16Y133 NUM_BELS 2 SITEPROP TIEOFF_X16Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y133 NUM_PINS 2 SITEPROP TIEOFF_X16Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y133 PROHIBIT 0 SITEPROP TIEOFF_X16Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y133 RPM_X 68 SITEPROP TIEOFF_X16Y133 RPM_Y 266 SITEPROP TIEOFF_X16Y133 SITE_PIPS SITEPROP TIEOFF_X16Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y134 CLASS site SITEPROP TIEOFF_X16Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y134 IS_BONDED 0 SITEPROP TIEOFF_X16Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y134 IS_PAD 0 SITEPROP TIEOFF_X16Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y134 IS_RESERVED 0 SITEPROP TIEOFF_X16Y134 IS_TEST 0 SITEPROP TIEOFF_X16Y134 IS_USED 0 SITEPROP TIEOFF_X16Y134 MANUAL_ROUTING SITEPROP TIEOFF_X16Y134 NAME TIEOFF_X16Y134 SITEPROP TIEOFF_X16Y134 NUM_ARCS 0 SITEPROP TIEOFF_X16Y134 NUM_BELS 2 SITEPROP TIEOFF_X16Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y134 NUM_PINS 2 SITEPROP TIEOFF_X16Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y134 PROHIBIT 0 SITEPROP TIEOFF_X16Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y134 RPM_X 68 SITEPROP TIEOFF_X16Y134 RPM_Y 268 SITEPROP TIEOFF_X16Y134 SITE_PIPS SITEPROP TIEOFF_X16Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y135 CLASS site SITEPROP TIEOFF_X16Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y135 IS_BONDED 0 SITEPROP TIEOFF_X16Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y135 IS_PAD 0 SITEPROP TIEOFF_X16Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y135 IS_RESERVED 0 SITEPROP TIEOFF_X16Y135 IS_TEST 0 SITEPROP TIEOFF_X16Y135 IS_USED 0 SITEPROP TIEOFF_X16Y135 MANUAL_ROUTING SITEPROP TIEOFF_X16Y135 NAME TIEOFF_X16Y135 SITEPROP TIEOFF_X16Y135 NUM_ARCS 0 SITEPROP TIEOFF_X16Y135 NUM_BELS 2 SITEPROP TIEOFF_X16Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y135 NUM_PINS 2 SITEPROP TIEOFF_X16Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y135 PROHIBIT 0 SITEPROP TIEOFF_X16Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y135 RPM_X 68 SITEPROP TIEOFF_X16Y135 RPM_Y 270 SITEPROP TIEOFF_X16Y135 SITE_PIPS SITEPROP TIEOFF_X16Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y136 CLASS site SITEPROP TIEOFF_X16Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y136 IS_BONDED 0 SITEPROP TIEOFF_X16Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y136 IS_PAD 0 SITEPROP TIEOFF_X16Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y136 IS_RESERVED 0 SITEPROP TIEOFF_X16Y136 IS_TEST 0 SITEPROP TIEOFF_X16Y136 IS_USED 0 SITEPROP TIEOFF_X16Y136 MANUAL_ROUTING SITEPROP TIEOFF_X16Y136 NAME TIEOFF_X16Y136 SITEPROP TIEOFF_X16Y136 NUM_ARCS 0 SITEPROP TIEOFF_X16Y136 NUM_BELS 2 SITEPROP TIEOFF_X16Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y136 NUM_PINS 2 SITEPROP TIEOFF_X16Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y136 PROHIBIT 0 SITEPROP TIEOFF_X16Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y136 RPM_X 68 SITEPROP TIEOFF_X16Y136 RPM_Y 272 SITEPROP TIEOFF_X16Y136 SITE_PIPS SITEPROP TIEOFF_X16Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y137 CLASS site SITEPROP TIEOFF_X16Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y137 IS_BONDED 0 SITEPROP TIEOFF_X16Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y137 IS_PAD 0 SITEPROP TIEOFF_X16Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y137 IS_RESERVED 0 SITEPROP TIEOFF_X16Y137 IS_TEST 0 SITEPROP TIEOFF_X16Y137 IS_USED 0 SITEPROP TIEOFF_X16Y137 MANUAL_ROUTING SITEPROP TIEOFF_X16Y137 NAME TIEOFF_X16Y137 SITEPROP TIEOFF_X16Y137 NUM_ARCS 0 SITEPROP TIEOFF_X16Y137 NUM_BELS 2 SITEPROP TIEOFF_X16Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y137 NUM_PINS 2 SITEPROP TIEOFF_X16Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y137 PROHIBIT 0 SITEPROP TIEOFF_X16Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y137 RPM_X 68 SITEPROP TIEOFF_X16Y137 RPM_Y 274 SITEPROP TIEOFF_X16Y137 SITE_PIPS SITEPROP TIEOFF_X16Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y138 CLASS site SITEPROP TIEOFF_X16Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y138 IS_BONDED 0 SITEPROP TIEOFF_X16Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y138 IS_PAD 0 SITEPROP TIEOFF_X16Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y138 IS_RESERVED 0 SITEPROP TIEOFF_X16Y138 IS_TEST 0 SITEPROP TIEOFF_X16Y138 IS_USED 0 SITEPROP TIEOFF_X16Y138 MANUAL_ROUTING SITEPROP TIEOFF_X16Y138 NAME TIEOFF_X16Y138 SITEPROP TIEOFF_X16Y138 NUM_ARCS 0 SITEPROP TIEOFF_X16Y138 NUM_BELS 2 SITEPROP TIEOFF_X16Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y138 NUM_PINS 2 SITEPROP TIEOFF_X16Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y138 PROHIBIT 0 SITEPROP TIEOFF_X16Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y138 RPM_X 68 SITEPROP TIEOFF_X16Y138 RPM_Y 276 SITEPROP TIEOFF_X16Y138 SITE_PIPS SITEPROP TIEOFF_X16Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y139 CLASS site SITEPROP TIEOFF_X16Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y139 IS_BONDED 0 SITEPROP TIEOFF_X16Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y139 IS_PAD 0 SITEPROP TIEOFF_X16Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y139 IS_RESERVED 0 SITEPROP TIEOFF_X16Y139 IS_TEST 0 SITEPROP TIEOFF_X16Y139 IS_USED 0 SITEPROP TIEOFF_X16Y139 MANUAL_ROUTING SITEPROP TIEOFF_X16Y139 NAME TIEOFF_X16Y139 SITEPROP TIEOFF_X16Y139 NUM_ARCS 0 SITEPROP TIEOFF_X16Y139 NUM_BELS 2 SITEPROP TIEOFF_X16Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y139 NUM_PINS 2 SITEPROP TIEOFF_X16Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y139 PROHIBIT 0 SITEPROP TIEOFF_X16Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y139 RPM_X 68 SITEPROP TIEOFF_X16Y139 RPM_Y 278 SITEPROP TIEOFF_X16Y139 SITE_PIPS SITEPROP TIEOFF_X16Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y140 CLASS site SITEPROP TIEOFF_X16Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y140 IS_BONDED 0 SITEPROP TIEOFF_X16Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y140 IS_PAD 0 SITEPROP TIEOFF_X16Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y140 IS_RESERVED 0 SITEPROP TIEOFF_X16Y140 IS_TEST 0 SITEPROP TIEOFF_X16Y140 IS_USED 0 SITEPROP TIEOFF_X16Y140 MANUAL_ROUTING SITEPROP TIEOFF_X16Y140 NAME TIEOFF_X16Y140 SITEPROP TIEOFF_X16Y140 NUM_ARCS 0 SITEPROP TIEOFF_X16Y140 NUM_BELS 2 SITEPROP TIEOFF_X16Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y140 NUM_PINS 2 SITEPROP TIEOFF_X16Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y140 PROHIBIT 0 SITEPROP TIEOFF_X16Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y140 RPM_X 68 SITEPROP TIEOFF_X16Y140 RPM_Y 280 SITEPROP TIEOFF_X16Y140 SITE_PIPS SITEPROP TIEOFF_X16Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y141 CLASS site SITEPROP TIEOFF_X16Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y141 IS_BONDED 0 SITEPROP TIEOFF_X16Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y141 IS_PAD 0 SITEPROP TIEOFF_X16Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y141 IS_RESERVED 0 SITEPROP TIEOFF_X16Y141 IS_TEST 0 SITEPROP TIEOFF_X16Y141 IS_USED 0 SITEPROP TIEOFF_X16Y141 MANUAL_ROUTING SITEPROP TIEOFF_X16Y141 NAME TIEOFF_X16Y141 SITEPROP TIEOFF_X16Y141 NUM_ARCS 0 SITEPROP TIEOFF_X16Y141 NUM_BELS 2 SITEPROP TIEOFF_X16Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y141 NUM_PINS 2 SITEPROP TIEOFF_X16Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y141 PROHIBIT 0 SITEPROP TIEOFF_X16Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y141 RPM_X 68 SITEPROP TIEOFF_X16Y141 RPM_Y 282 SITEPROP TIEOFF_X16Y141 SITE_PIPS SITEPROP TIEOFF_X16Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y142 CLASS site SITEPROP TIEOFF_X16Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y142 IS_BONDED 0 SITEPROP TIEOFF_X16Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y142 IS_PAD 0 SITEPROP TIEOFF_X16Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y142 IS_RESERVED 0 SITEPROP TIEOFF_X16Y142 IS_TEST 0 SITEPROP TIEOFF_X16Y142 IS_USED 0 SITEPROP TIEOFF_X16Y142 MANUAL_ROUTING SITEPROP TIEOFF_X16Y142 NAME TIEOFF_X16Y142 SITEPROP TIEOFF_X16Y142 NUM_ARCS 0 SITEPROP TIEOFF_X16Y142 NUM_BELS 2 SITEPROP TIEOFF_X16Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y142 NUM_PINS 2 SITEPROP TIEOFF_X16Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y142 PROHIBIT 0 SITEPROP TIEOFF_X16Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y142 RPM_X 68 SITEPROP TIEOFF_X16Y142 RPM_Y 284 SITEPROP TIEOFF_X16Y142 SITE_PIPS SITEPROP TIEOFF_X16Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y143 CLASS site SITEPROP TIEOFF_X16Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y143 IS_BONDED 0 SITEPROP TIEOFF_X16Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y143 IS_PAD 0 SITEPROP TIEOFF_X16Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y143 IS_RESERVED 0 SITEPROP TIEOFF_X16Y143 IS_TEST 0 SITEPROP TIEOFF_X16Y143 IS_USED 0 SITEPROP TIEOFF_X16Y143 MANUAL_ROUTING SITEPROP TIEOFF_X16Y143 NAME TIEOFF_X16Y143 SITEPROP TIEOFF_X16Y143 NUM_ARCS 0 SITEPROP TIEOFF_X16Y143 NUM_BELS 2 SITEPROP TIEOFF_X16Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y143 NUM_PINS 2 SITEPROP TIEOFF_X16Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y143 PROHIBIT 0 SITEPROP TIEOFF_X16Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y143 RPM_X 68 SITEPROP TIEOFF_X16Y143 RPM_Y 286 SITEPROP TIEOFF_X16Y143 SITE_PIPS SITEPROP TIEOFF_X16Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y144 CLASS site SITEPROP TIEOFF_X16Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y144 IS_BONDED 0 SITEPROP TIEOFF_X16Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y144 IS_PAD 0 SITEPROP TIEOFF_X16Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y144 IS_RESERVED 0 SITEPROP TIEOFF_X16Y144 IS_TEST 0 SITEPROP TIEOFF_X16Y144 IS_USED 0 SITEPROP TIEOFF_X16Y144 MANUAL_ROUTING SITEPROP TIEOFF_X16Y144 NAME TIEOFF_X16Y144 SITEPROP TIEOFF_X16Y144 NUM_ARCS 0 SITEPROP TIEOFF_X16Y144 NUM_BELS 2 SITEPROP TIEOFF_X16Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y144 NUM_PINS 2 SITEPROP TIEOFF_X16Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y144 PROHIBIT 0 SITEPROP TIEOFF_X16Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y144 RPM_X 68 SITEPROP TIEOFF_X16Y144 RPM_Y 288 SITEPROP TIEOFF_X16Y144 SITE_PIPS SITEPROP TIEOFF_X16Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y145 CLASS site SITEPROP TIEOFF_X16Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y145 IS_BONDED 0 SITEPROP TIEOFF_X16Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y145 IS_PAD 0 SITEPROP TIEOFF_X16Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y145 IS_RESERVED 0 SITEPROP TIEOFF_X16Y145 IS_TEST 0 SITEPROP TIEOFF_X16Y145 IS_USED 0 SITEPROP TIEOFF_X16Y145 MANUAL_ROUTING SITEPROP TIEOFF_X16Y145 NAME TIEOFF_X16Y145 SITEPROP TIEOFF_X16Y145 NUM_ARCS 0 SITEPROP TIEOFF_X16Y145 NUM_BELS 2 SITEPROP TIEOFF_X16Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y145 NUM_PINS 2 SITEPROP TIEOFF_X16Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y145 PROHIBIT 0 SITEPROP TIEOFF_X16Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y145 RPM_X 68 SITEPROP TIEOFF_X16Y145 RPM_Y 290 SITEPROP TIEOFF_X16Y145 SITE_PIPS SITEPROP TIEOFF_X16Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y146 CLASS site SITEPROP TIEOFF_X16Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y146 IS_BONDED 0 SITEPROP TIEOFF_X16Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y146 IS_PAD 0 SITEPROP TIEOFF_X16Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y146 IS_RESERVED 0 SITEPROP TIEOFF_X16Y146 IS_TEST 0 SITEPROP TIEOFF_X16Y146 IS_USED 0 SITEPROP TIEOFF_X16Y146 MANUAL_ROUTING SITEPROP TIEOFF_X16Y146 NAME TIEOFF_X16Y146 SITEPROP TIEOFF_X16Y146 NUM_ARCS 0 SITEPROP TIEOFF_X16Y146 NUM_BELS 2 SITEPROP TIEOFF_X16Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y146 NUM_PINS 2 SITEPROP TIEOFF_X16Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y146 PROHIBIT 0 SITEPROP TIEOFF_X16Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y146 RPM_X 68 SITEPROP TIEOFF_X16Y146 RPM_Y 292 SITEPROP TIEOFF_X16Y146 SITE_PIPS SITEPROP TIEOFF_X16Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y147 CLASS site SITEPROP TIEOFF_X16Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y147 IS_BONDED 0 SITEPROP TIEOFF_X16Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y147 IS_PAD 0 SITEPROP TIEOFF_X16Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y147 IS_RESERVED 0 SITEPROP TIEOFF_X16Y147 IS_TEST 0 SITEPROP TIEOFF_X16Y147 IS_USED 0 SITEPROP TIEOFF_X16Y147 MANUAL_ROUTING SITEPROP TIEOFF_X16Y147 NAME TIEOFF_X16Y147 SITEPROP TIEOFF_X16Y147 NUM_ARCS 0 SITEPROP TIEOFF_X16Y147 NUM_BELS 2 SITEPROP TIEOFF_X16Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y147 NUM_PINS 2 SITEPROP TIEOFF_X16Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y147 PROHIBIT 0 SITEPROP TIEOFF_X16Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y147 RPM_X 68 SITEPROP TIEOFF_X16Y147 RPM_Y 294 SITEPROP TIEOFF_X16Y147 SITE_PIPS SITEPROP TIEOFF_X16Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y148 CLASS site SITEPROP TIEOFF_X16Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y148 IS_BONDED 0 SITEPROP TIEOFF_X16Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y148 IS_PAD 0 SITEPROP TIEOFF_X16Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y148 IS_RESERVED 0 SITEPROP TIEOFF_X16Y148 IS_TEST 0 SITEPROP TIEOFF_X16Y148 IS_USED 0 SITEPROP TIEOFF_X16Y148 MANUAL_ROUTING SITEPROP TIEOFF_X16Y148 NAME TIEOFF_X16Y148 SITEPROP TIEOFF_X16Y148 NUM_ARCS 0 SITEPROP TIEOFF_X16Y148 NUM_BELS 2 SITEPROP TIEOFF_X16Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y148 NUM_PINS 2 SITEPROP TIEOFF_X16Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y148 PROHIBIT 0 SITEPROP TIEOFF_X16Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y148 RPM_X 68 SITEPROP TIEOFF_X16Y148 RPM_Y 296 SITEPROP TIEOFF_X16Y148 SITE_PIPS SITEPROP TIEOFF_X16Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X16Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X16Y149 CLASS site SITEPROP TIEOFF_X16Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X16Y149 IS_BONDED 0 SITEPROP TIEOFF_X16Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y149 IS_PAD 0 SITEPROP TIEOFF_X16Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X16Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X16Y149 IS_RESERVED 0 SITEPROP TIEOFF_X16Y149 IS_TEST 0 SITEPROP TIEOFF_X16Y149 IS_USED 0 SITEPROP TIEOFF_X16Y149 MANUAL_ROUTING SITEPROP TIEOFF_X16Y149 NAME TIEOFF_X16Y149 SITEPROP TIEOFF_X16Y149 NUM_ARCS 0 SITEPROP TIEOFF_X16Y149 NUM_BELS 2 SITEPROP TIEOFF_X16Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X16Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X16Y149 NUM_PINS 2 SITEPROP TIEOFF_X16Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X16Y149 PROHIBIT 0 SITEPROP TIEOFF_X16Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X16Y149 RPM_X 68 SITEPROP TIEOFF_X16Y149 RPM_Y 298 SITEPROP TIEOFF_X16Y149 SITE_PIPS SITEPROP TIEOFF_X16Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y100 CLASS site SITEPROP TIEOFF_X17Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y100 IS_BONDED 0 SITEPROP TIEOFF_X17Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y100 IS_PAD 0 SITEPROP TIEOFF_X17Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y100 IS_RESERVED 0 SITEPROP TIEOFF_X17Y100 IS_TEST 0 SITEPROP TIEOFF_X17Y100 IS_USED 0 SITEPROP TIEOFF_X17Y100 MANUAL_ROUTING SITEPROP TIEOFF_X17Y100 NAME TIEOFF_X17Y100 SITEPROP TIEOFF_X17Y100 NUM_ARCS 0 SITEPROP TIEOFF_X17Y100 NUM_BELS 2 SITEPROP TIEOFF_X17Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y100 NUM_PINS 2 SITEPROP TIEOFF_X17Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y100 PROHIBIT 0 SITEPROP TIEOFF_X17Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y100 RPM_X 72 SITEPROP TIEOFF_X17Y100 RPM_Y 200 SITEPROP TIEOFF_X17Y100 SITE_PIPS SITEPROP TIEOFF_X17Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y101 CLASS site SITEPROP TIEOFF_X17Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y101 IS_BONDED 0 SITEPROP TIEOFF_X17Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y101 IS_PAD 0 SITEPROP TIEOFF_X17Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y101 IS_RESERVED 0 SITEPROP TIEOFF_X17Y101 IS_TEST 0 SITEPROP TIEOFF_X17Y101 IS_USED 0 SITEPROP TIEOFF_X17Y101 MANUAL_ROUTING SITEPROP TIEOFF_X17Y101 NAME TIEOFF_X17Y101 SITEPROP TIEOFF_X17Y101 NUM_ARCS 0 SITEPROP TIEOFF_X17Y101 NUM_BELS 2 SITEPROP TIEOFF_X17Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y101 NUM_PINS 2 SITEPROP TIEOFF_X17Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y101 PROHIBIT 0 SITEPROP TIEOFF_X17Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y101 RPM_X 72 SITEPROP TIEOFF_X17Y101 RPM_Y 202 SITEPROP TIEOFF_X17Y101 SITE_PIPS SITEPROP TIEOFF_X17Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y102 CLASS site SITEPROP TIEOFF_X17Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y102 IS_BONDED 0 SITEPROP TIEOFF_X17Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y102 IS_PAD 0 SITEPROP TIEOFF_X17Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y102 IS_RESERVED 0 SITEPROP TIEOFF_X17Y102 IS_TEST 0 SITEPROP TIEOFF_X17Y102 IS_USED 0 SITEPROP TIEOFF_X17Y102 MANUAL_ROUTING SITEPROP TIEOFF_X17Y102 NAME TIEOFF_X17Y102 SITEPROP TIEOFF_X17Y102 NUM_ARCS 0 SITEPROP TIEOFF_X17Y102 NUM_BELS 2 SITEPROP TIEOFF_X17Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y102 NUM_PINS 2 SITEPROP TIEOFF_X17Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y102 PROHIBIT 0 SITEPROP TIEOFF_X17Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y102 RPM_X 72 SITEPROP TIEOFF_X17Y102 RPM_Y 204 SITEPROP TIEOFF_X17Y102 SITE_PIPS SITEPROP TIEOFF_X17Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y103 CLASS site SITEPROP TIEOFF_X17Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y103 IS_BONDED 0 SITEPROP TIEOFF_X17Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y103 IS_PAD 0 SITEPROP TIEOFF_X17Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y103 IS_RESERVED 0 SITEPROP TIEOFF_X17Y103 IS_TEST 0 SITEPROP TIEOFF_X17Y103 IS_USED 0 SITEPROP TIEOFF_X17Y103 MANUAL_ROUTING SITEPROP TIEOFF_X17Y103 NAME TIEOFF_X17Y103 SITEPROP TIEOFF_X17Y103 NUM_ARCS 0 SITEPROP TIEOFF_X17Y103 NUM_BELS 2 SITEPROP TIEOFF_X17Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y103 NUM_PINS 2 SITEPROP TIEOFF_X17Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y103 PROHIBIT 0 SITEPROP TIEOFF_X17Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y103 RPM_X 72 SITEPROP TIEOFF_X17Y103 RPM_Y 206 SITEPROP TIEOFF_X17Y103 SITE_PIPS SITEPROP TIEOFF_X17Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y104 CLASS site SITEPROP TIEOFF_X17Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y104 IS_BONDED 0 SITEPROP TIEOFF_X17Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y104 IS_PAD 0 SITEPROP TIEOFF_X17Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y104 IS_RESERVED 0 SITEPROP TIEOFF_X17Y104 IS_TEST 0 SITEPROP TIEOFF_X17Y104 IS_USED 0 SITEPROP TIEOFF_X17Y104 MANUAL_ROUTING SITEPROP TIEOFF_X17Y104 NAME TIEOFF_X17Y104 SITEPROP TIEOFF_X17Y104 NUM_ARCS 0 SITEPROP TIEOFF_X17Y104 NUM_BELS 2 SITEPROP TIEOFF_X17Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y104 NUM_PINS 2 SITEPROP TIEOFF_X17Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y104 PROHIBIT 0 SITEPROP TIEOFF_X17Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y104 RPM_X 72 SITEPROP TIEOFF_X17Y104 RPM_Y 208 SITEPROP TIEOFF_X17Y104 SITE_PIPS SITEPROP TIEOFF_X17Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y105 CLASS site SITEPROP TIEOFF_X17Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y105 IS_BONDED 0 SITEPROP TIEOFF_X17Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y105 IS_PAD 0 SITEPROP TIEOFF_X17Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y105 IS_RESERVED 0 SITEPROP TIEOFF_X17Y105 IS_TEST 0 SITEPROP TIEOFF_X17Y105 IS_USED 0 SITEPROP TIEOFF_X17Y105 MANUAL_ROUTING SITEPROP TIEOFF_X17Y105 NAME TIEOFF_X17Y105 SITEPROP TIEOFF_X17Y105 NUM_ARCS 0 SITEPROP TIEOFF_X17Y105 NUM_BELS 2 SITEPROP TIEOFF_X17Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y105 NUM_PINS 2 SITEPROP TIEOFF_X17Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y105 PROHIBIT 0 SITEPROP TIEOFF_X17Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y105 RPM_X 72 SITEPROP TIEOFF_X17Y105 RPM_Y 210 SITEPROP TIEOFF_X17Y105 SITE_PIPS SITEPROP TIEOFF_X17Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y106 CLASS site SITEPROP TIEOFF_X17Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y106 IS_BONDED 0 SITEPROP TIEOFF_X17Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y106 IS_PAD 0 SITEPROP TIEOFF_X17Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y106 IS_RESERVED 0 SITEPROP TIEOFF_X17Y106 IS_TEST 0 SITEPROP TIEOFF_X17Y106 IS_USED 0 SITEPROP TIEOFF_X17Y106 MANUAL_ROUTING SITEPROP TIEOFF_X17Y106 NAME TIEOFF_X17Y106 SITEPROP TIEOFF_X17Y106 NUM_ARCS 0 SITEPROP TIEOFF_X17Y106 NUM_BELS 2 SITEPROP TIEOFF_X17Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y106 NUM_PINS 2 SITEPROP TIEOFF_X17Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y106 PROHIBIT 0 SITEPROP TIEOFF_X17Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y106 RPM_X 72 SITEPROP TIEOFF_X17Y106 RPM_Y 212 SITEPROP TIEOFF_X17Y106 SITE_PIPS SITEPROP TIEOFF_X17Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y107 CLASS site SITEPROP TIEOFF_X17Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y107 IS_BONDED 0 SITEPROP TIEOFF_X17Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y107 IS_PAD 0 SITEPROP TIEOFF_X17Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y107 IS_RESERVED 0 SITEPROP TIEOFF_X17Y107 IS_TEST 0 SITEPROP TIEOFF_X17Y107 IS_USED 0 SITEPROP TIEOFF_X17Y107 MANUAL_ROUTING SITEPROP TIEOFF_X17Y107 NAME TIEOFF_X17Y107 SITEPROP TIEOFF_X17Y107 NUM_ARCS 0 SITEPROP TIEOFF_X17Y107 NUM_BELS 2 SITEPROP TIEOFF_X17Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y107 NUM_PINS 2 SITEPROP TIEOFF_X17Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y107 PROHIBIT 0 SITEPROP TIEOFF_X17Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y107 RPM_X 72 SITEPROP TIEOFF_X17Y107 RPM_Y 214 SITEPROP TIEOFF_X17Y107 SITE_PIPS SITEPROP TIEOFF_X17Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y108 CLASS site SITEPROP TIEOFF_X17Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y108 IS_BONDED 0 SITEPROP TIEOFF_X17Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y108 IS_PAD 0 SITEPROP TIEOFF_X17Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y108 IS_RESERVED 0 SITEPROP TIEOFF_X17Y108 IS_TEST 0 SITEPROP TIEOFF_X17Y108 IS_USED 0 SITEPROP TIEOFF_X17Y108 MANUAL_ROUTING SITEPROP TIEOFF_X17Y108 NAME TIEOFF_X17Y108 SITEPROP TIEOFF_X17Y108 NUM_ARCS 0 SITEPROP TIEOFF_X17Y108 NUM_BELS 2 SITEPROP TIEOFF_X17Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y108 NUM_PINS 2 SITEPROP TIEOFF_X17Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y108 PROHIBIT 0 SITEPROP TIEOFF_X17Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y108 RPM_X 72 SITEPROP TIEOFF_X17Y108 RPM_Y 216 SITEPROP TIEOFF_X17Y108 SITE_PIPS SITEPROP TIEOFF_X17Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y109 CLASS site SITEPROP TIEOFF_X17Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y109 IS_BONDED 0 SITEPROP TIEOFF_X17Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y109 IS_PAD 0 SITEPROP TIEOFF_X17Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y109 IS_RESERVED 0 SITEPROP TIEOFF_X17Y109 IS_TEST 0 SITEPROP TIEOFF_X17Y109 IS_USED 0 SITEPROP TIEOFF_X17Y109 MANUAL_ROUTING SITEPROP TIEOFF_X17Y109 NAME TIEOFF_X17Y109 SITEPROP TIEOFF_X17Y109 NUM_ARCS 0 SITEPROP TIEOFF_X17Y109 NUM_BELS 2 SITEPROP TIEOFF_X17Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y109 NUM_PINS 2 SITEPROP TIEOFF_X17Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y109 PROHIBIT 0 SITEPROP TIEOFF_X17Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y109 RPM_X 72 SITEPROP TIEOFF_X17Y109 RPM_Y 218 SITEPROP TIEOFF_X17Y109 SITE_PIPS SITEPROP TIEOFF_X17Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y110 CLASS site SITEPROP TIEOFF_X17Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y110 IS_BONDED 0 SITEPROP TIEOFF_X17Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y110 IS_PAD 0 SITEPROP TIEOFF_X17Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y110 IS_RESERVED 0 SITEPROP TIEOFF_X17Y110 IS_TEST 0 SITEPROP TIEOFF_X17Y110 IS_USED 0 SITEPROP TIEOFF_X17Y110 MANUAL_ROUTING SITEPROP TIEOFF_X17Y110 NAME TIEOFF_X17Y110 SITEPROP TIEOFF_X17Y110 NUM_ARCS 0 SITEPROP TIEOFF_X17Y110 NUM_BELS 2 SITEPROP TIEOFF_X17Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y110 NUM_PINS 2 SITEPROP TIEOFF_X17Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y110 PROHIBIT 0 SITEPROP TIEOFF_X17Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y110 RPM_X 72 SITEPROP TIEOFF_X17Y110 RPM_Y 220 SITEPROP TIEOFF_X17Y110 SITE_PIPS SITEPROP TIEOFF_X17Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y111 CLASS site SITEPROP TIEOFF_X17Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y111 IS_BONDED 0 SITEPROP TIEOFF_X17Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y111 IS_PAD 0 SITEPROP TIEOFF_X17Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y111 IS_RESERVED 0 SITEPROP TIEOFF_X17Y111 IS_TEST 0 SITEPROP TIEOFF_X17Y111 IS_USED 0 SITEPROP TIEOFF_X17Y111 MANUAL_ROUTING SITEPROP TIEOFF_X17Y111 NAME TIEOFF_X17Y111 SITEPROP TIEOFF_X17Y111 NUM_ARCS 0 SITEPROP TIEOFF_X17Y111 NUM_BELS 2 SITEPROP TIEOFF_X17Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y111 NUM_PINS 2 SITEPROP TIEOFF_X17Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y111 PROHIBIT 0 SITEPROP TIEOFF_X17Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y111 RPM_X 72 SITEPROP TIEOFF_X17Y111 RPM_Y 222 SITEPROP TIEOFF_X17Y111 SITE_PIPS SITEPROP TIEOFF_X17Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y112 CLASS site SITEPROP TIEOFF_X17Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y112 IS_BONDED 0 SITEPROP TIEOFF_X17Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y112 IS_PAD 0 SITEPROP TIEOFF_X17Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y112 IS_RESERVED 0 SITEPROP TIEOFF_X17Y112 IS_TEST 0 SITEPROP TIEOFF_X17Y112 IS_USED 0 SITEPROP TIEOFF_X17Y112 MANUAL_ROUTING SITEPROP TIEOFF_X17Y112 NAME TIEOFF_X17Y112 SITEPROP TIEOFF_X17Y112 NUM_ARCS 0 SITEPROP TIEOFF_X17Y112 NUM_BELS 2 SITEPROP TIEOFF_X17Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y112 NUM_PINS 2 SITEPROP TIEOFF_X17Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y112 PROHIBIT 0 SITEPROP TIEOFF_X17Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y112 RPM_X 72 SITEPROP TIEOFF_X17Y112 RPM_Y 224 SITEPROP TIEOFF_X17Y112 SITE_PIPS SITEPROP TIEOFF_X17Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y113 CLASS site SITEPROP TIEOFF_X17Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y113 IS_BONDED 0 SITEPROP TIEOFF_X17Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y113 IS_PAD 0 SITEPROP TIEOFF_X17Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y113 IS_RESERVED 0 SITEPROP TIEOFF_X17Y113 IS_TEST 0 SITEPROP TIEOFF_X17Y113 IS_USED 0 SITEPROP TIEOFF_X17Y113 MANUAL_ROUTING SITEPROP TIEOFF_X17Y113 NAME TIEOFF_X17Y113 SITEPROP TIEOFF_X17Y113 NUM_ARCS 0 SITEPROP TIEOFF_X17Y113 NUM_BELS 2 SITEPROP TIEOFF_X17Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y113 NUM_PINS 2 SITEPROP TIEOFF_X17Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y113 PROHIBIT 0 SITEPROP TIEOFF_X17Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y113 RPM_X 72 SITEPROP TIEOFF_X17Y113 RPM_Y 226 SITEPROP TIEOFF_X17Y113 SITE_PIPS SITEPROP TIEOFF_X17Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y114 CLASS site SITEPROP TIEOFF_X17Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y114 IS_BONDED 0 SITEPROP TIEOFF_X17Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y114 IS_PAD 0 SITEPROP TIEOFF_X17Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y114 IS_RESERVED 0 SITEPROP TIEOFF_X17Y114 IS_TEST 0 SITEPROP TIEOFF_X17Y114 IS_USED 0 SITEPROP TIEOFF_X17Y114 MANUAL_ROUTING SITEPROP TIEOFF_X17Y114 NAME TIEOFF_X17Y114 SITEPROP TIEOFF_X17Y114 NUM_ARCS 0 SITEPROP TIEOFF_X17Y114 NUM_BELS 2 SITEPROP TIEOFF_X17Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y114 NUM_PINS 2 SITEPROP TIEOFF_X17Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y114 PROHIBIT 0 SITEPROP TIEOFF_X17Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y114 RPM_X 72 SITEPROP TIEOFF_X17Y114 RPM_Y 228 SITEPROP TIEOFF_X17Y114 SITE_PIPS SITEPROP TIEOFF_X17Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y115 CLASS site SITEPROP TIEOFF_X17Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y115 IS_BONDED 0 SITEPROP TIEOFF_X17Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y115 IS_PAD 0 SITEPROP TIEOFF_X17Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y115 IS_RESERVED 0 SITEPROP TIEOFF_X17Y115 IS_TEST 0 SITEPROP TIEOFF_X17Y115 IS_USED 0 SITEPROP TIEOFF_X17Y115 MANUAL_ROUTING SITEPROP TIEOFF_X17Y115 NAME TIEOFF_X17Y115 SITEPROP TIEOFF_X17Y115 NUM_ARCS 0 SITEPROP TIEOFF_X17Y115 NUM_BELS 2 SITEPROP TIEOFF_X17Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y115 NUM_PINS 2 SITEPROP TIEOFF_X17Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y115 PROHIBIT 0 SITEPROP TIEOFF_X17Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y115 RPM_X 72 SITEPROP TIEOFF_X17Y115 RPM_Y 230 SITEPROP TIEOFF_X17Y115 SITE_PIPS SITEPROP TIEOFF_X17Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y116 CLASS site SITEPROP TIEOFF_X17Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y116 IS_BONDED 0 SITEPROP TIEOFF_X17Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y116 IS_PAD 0 SITEPROP TIEOFF_X17Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y116 IS_RESERVED 0 SITEPROP TIEOFF_X17Y116 IS_TEST 0 SITEPROP TIEOFF_X17Y116 IS_USED 0 SITEPROP TIEOFF_X17Y116 MANUAL_ROUTING SITEPROP TIEOFF_X17Y116 NAME TIEOFF_X17Y116 SITEPROP TIEOFF_X17Y116 NUM_ARCS 0 SITEPROP TIEOFF_X17Y116 NUM_BELS 2 SITEPROP TIEOFF_X17Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y116 NUM_PINS 2 SITEPROP TIEOFF_X17Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y116 PROHIBIT 0 SITEPROP TIEOFF_X17Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y116 RPM_X 72 SITEPROP TIEOFF_X17Y116 RPM_Y 232 SITEPROP TIEOFF_X17Y116 SITE_PIPS SITEPROP TIEOFF_X17Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y117 CLASS site SITEPROP TIEOFF_X17Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y117 IS_BONDED 0 SITEPROP TIEOFF_X17Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y117 IS_PAD 0 SITEPROP TIEOFF_X17Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y117 IS_RESERVED 0 SITEPROP TIEOFF_X17Y117 IS_TEST 0 SITEPROP TIEOFF_X17Y117 IS_USED 0 SITEPROP TIEOFF_X17Y117 MANUAL_ROUTING SITEPROP TIEOFF_X17Y117 NAME TIEOFF_X17Y117 SITEPROP TIEOFF_X17Y117 NUM_ARCS 0 SITEPROP TIEOFF_X17Y117 NUM_BELS 2 SITEPROP TIEOFF_X17Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y117 NUM_PINS 2 SITEPROP TIEOFF_X17Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y117 PROHIBIT 0 SITEPROP TIEOFF_X17Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y117 RPM_X 72 SITEPROP TIEOFF_X17Y117 RPM_Y 234 SITEPROP TIEOFF_X17Y117 SITE_PIPS SITEPROP TIEOFF_X17Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y118 CLASS site SITEPROP TIEOFF_X17Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y118 IS_BONDED 0 SITEPROP TIEOFF_X17Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y118 IS_PAD 0 SITEPROP TIEOFF_X17Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y118 IS_RESERVED 0 SITEPROP TIEOFF_X17Y118 IS_TEST 0 SITEPROP TIEOFF_X17Y118 IS_USED 0 SITEPROP TIEOFF_X17Y118 MANUAL_ROUTING SITEPROP TIEOFF_X17Y118 NAME TIEOFF_X17Y118 SITEPROP TIEOFF_X17Y118 NUM_ARCS 0 SITEPROP TIEOFF_X17Y118 NUM_BELS 2 SITEPROP TIEOFF_X17Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y118 NUM_PINS 2 SITEPROP TIEOFF_X17Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y118 PROHIBIT 0 SITEPROP TIEOFF_X17Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y118 RPM_X 72 SITEPROP TIEOFF_X17Y118 RPM_Y 236 SITEPROP TIEOFF_X17Y118 SITE_PIPS SITEPROP TIEOFF_X17Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y119 CLASS site SITEPROP TIEOFF_X17Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y119 IS_BONDED 0 SITEPROP TIEOFF_X17Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y119 IS_PAD 0 SITEPROP TIEOFF_X17Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y119 IS_RESERVED 0 SITEPROP TIEOFF_X17Y119 IS_TEST 0 SITEPROP TIEOFF_X17Y119 IS_USED 0 SITEPROP TIEOFF_X17Y119 MANUAL_ROUTING SITEPROP TIEOFF_X17Y119 NAME TIEOFF_X17Y119 SITEPROP TIEOFF_X17Y119 NUM_ARCS 0 SITEPROP TIEOFF_X17Y119 NUM_BELS 2 SITEPROP TIEOFF_X17Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y119 NUM_PINS 2 SITEPROP TIEOFF_X17Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y119 PROHIBIT 0 SITEPROP TIEOFF_X17Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y119 RPM_X 72 SITEPROP TIEOFF_X17Y119 RPM_Y 238 SITEPROP TIEOFF_X17Y119 SITE_PIPS SITEPROP TIEOFF_X17Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y120 CLASS site SITEPROP TIEOFF_X17Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y120 IS_BONDED 0 SITEPROP TIEOFF_X17Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y120 IS_PAD 0 SITEPROP TIEOFF_X17Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y120 IS_RESERVED 0 SITEPROP TIEOFF_X17Y120 IS_TEST 0 SITEPROP TIEOFF_X17Y120 IS_USED 0 SITEPROP TIEOFF_X17Y120 MANUAL_ROUTING SITEPROP TIEOFF_X17Y120 NAME TIEOFF_X17Y120 SITEPROP TIEOFF_X17Y120 NUM_ARCS 0 SITEPROP TIEOFF_X17Y120 NUM_BELS 2 SITEPROP TIEOFF_X17Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y120 NUM_PINS 2 SITEPROP TIEOFF_X17Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y120 PROHIBIT 0 SITEPROP TIEOFF_X17Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y120 RPM_X 72 SITEPROP TIEOFF_X17Y120 RPM_Y 240 SITEPROP TIEOFF_X17Y120 SITE_PIPS SITEPROP TIEOFF_X17Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y121 CLASS site SITEPROP TIEOFF_X17Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y121 IS_BONDED 0 SITEPROP TIEOFF_X17Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y121 IS_PAD 0 SITEPROP TIEOFF_X17Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y121 IS_RESERVED 0 SITEPROP TIEOFF_X17Y121 IS_TEST 0 SITEPROP TIEOFF_X17Y121 IS_USED 0 SITEPROP TIEOFF_X17Y121 MANUAL_ROUTING SITEPROP TIEOFF_X17Y121 NAME TIEOFF_X17Y121 SITEPROP TIEOFF_X17Y121 NUM_ARCS 0 SITEPROP TIEOFF_X17Y121 NUM_BELS 2 SITEPROP TIEOFF_X17Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y121 NUM_PINS 2 SITEPROP TIEOFF_X17Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y121 PROHIBIT 0 SITEPROP TIEOFF_X17Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y121 RPM_X 72 SITEPROP TIEOFF_X17Y121 RPM_Y 242 SITEPROP TIEOFF_X17Y121 SITE_PIPS SITEPROP TIEOFF_X17Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y122 CLASS site SITEPROP TIEOFF_X17Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y122 IS_BONDED 0 SITEPROP TIEOFF_X17Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y122 IS_PAD 0 SITEPROP TIEOFF_X17Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y122 IS_RESERVED 0 SITEPROP TIEOFF_X17Y122 IS_TEST 0 SITEPROP TIEOFF_X17Y122 IS_USED 0 SITEPROP TIEOFF_X17Y122 MANUAL_ROUTING SITEPROP TIEOFF_X17Y122 NAME TIEOFF_X17Y122 SITEPROP TIEOFF_X17Y122 NUM_ARCS 0 SITEPROP TIEOFF_X17Y122 NUM_BELS 2 SITEPROP TIEOFF_X17Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y122 NUM_PINS 2 SITEPROP TIEOFF_X17Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y122 PROHIBIT 0 SITEPROP TIEOFF_X17Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y122 RPM_X 72 SITEPROP TIEOFF_X17Y122 RPM_Y 244 SITEPROP TIEOFF_X17Y122 SITE_PIPS SITEPROP TIEOFF_X17Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y123 CLASS site SITEPROP TIEOFF_X17Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y123 IS_BONDED 0 SITEPROP TIEOFF_X17Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y123 IS_PAD 0 SITEPROP TIEOFF_X17Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y123 IS_RESERVED 0 SITEPROP TIEOFF_X17Y123 IS_TEST 0 SITEPROP TIEOFF_X17Y123 IS_USED 0 SITEPROP TIEOFF_X17Y123 MANUAL_ROUTING SITEPROP TIEOFF_X17Y123 NAME TIEOFF_X17Y123 SITEPROP TIEOFF_X17Y123 NUM_ARCS 0 SITEPROP TIEOFF_X17Y123 NUM_BELS 2 SITEPROP TIEOFF_X17Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y123 NUM_PINS 2 SITEPROP TIEOFF_X17Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y123 PROHIBIT 0 SITEPROP TIEOFF_X17Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y123 RPM_X 72 SITEPROP TIEOFF_X17Y123 RPM_Y 246 SITEPROP TIEOFF_X17Y123 SITE_PIPS SITEPROP TIEOFF_X17Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y124 CLASS site SITEPROP TIEOFF_X17Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y124 IS_BONDED 0 SITEPROP TIEOFF_X17Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y124 IS_PAD 0 SITEPROP TIEOFF_X17Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y124 IS_RESERVED 0 SITEPROP TIEOFF_X17Y124 IS_TEST 0 SITEPROP TIEOFF_X17Y124 IS_USED 0 SITEPROP TIEOFF_X17Y124 MANUAL_ROUTING SITEPROP TIEOFF_X17Y124 NAME TIEOFF_X17Y124 SITEPROP TIEOFF_X17Y124 NUM_ARCS 0 SITEPROP TIEOFF_X17Y124 NUM_BELS 2 SITEPROP TIEOFF_X17Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y124 NUM_PINS 2 SITEPROP TIEOFF_X17Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y124 PROHIBIT 0 SITEPROP TIEOFF_X17Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y124 RPM_X 72 SITEPROP TIEOFF_X17Y124 RPM_Y 248 SITEPROP TIEOFF_X17Y124 SITE_PIPS SITEPROP TIEOFF_X17Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y125 CLASS site SITEPROP TIEOFF_X17Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y125 IS_BONDED 0 SITEPROP TIEOFF_X17Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y125 IS_PAD 0 SITEPROP TIEOFF_X17Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y125 IS_RESERVED 0 SITEPROP TIEOFF_X17Y125 IS_TEST 0 SITEPROP TIEOFF_X17Y125 IS_USED 0 SITEPROP TIEOFF_X17Y125 MANUAL_ROUTING SITEPROP TIEOFF_X17Y125 NAME TIEOFF_X17Y125 SITEPROP TIEOFF_X17Y125 NUM_ARCS 0 SITEPROP TIEOFF_X17Y125 NUM_BELS 2 SITEPROP TIEOFF_X17Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y125 NUM_PINS 2 SITEPROP TIEOFF_X17Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y125 PROHIBIT 0 SITEPROP TIEOFF_X17Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y125 RPM_X 72 SITEPROP TIEOFF_X17Y125 RPM_Y 250 SITEPROP TIEOFF_X17Y125 SITE_PIPS SITEPROP TIEOFF_X17Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y126 CLASS site SITEPROP TIEOFF_X17Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y126 IS_BONDED 0 SITEPROP TIEOFF_X17Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y126 IS_PAD 0 SITEPROP TIEOFF_X17Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y126 IS_RESERVED 0 SITEPROP TIEOFF_X17Y126 IS_TEST 0 SITEPROP TIEOFF_X17Y126 IS_USED 0 SITEPROP TIEOFF_X17Y126 MANUAL_ROUTING SITEPROP TIEOFF_X17Y126 NAME TIEOFF_X17Y126 SITEPROP TIEOFF_X17Y126 NUM_ARCS 0 SITEPROP TIEOFF_X17Y126 NUM_BELS 2 SITEPROP TIEOFF_X17Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y126 NUM_PINS 2 SITEPROP TIEOFF_X17Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y126 PROHIBIT 0 SITEPROP TIEOFF_X17Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y126 RPM_X 72 SITEPROP TIEOFF_X17Y126 RPM_Y 252 SITEPROP TIEOFF_X17Y126 SITE_PIPS SITEPROP TIEOFF_X17Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y127 CLASS site SITEPROP TIEOFF_X17Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y127 IS_BONDED 0 SITEPROP TIEOFF_X17Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y127 IS_PAD 0 SITEPROP TIEOFF_X17Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y127 IS_RESERVED 0 SITEPROP TIEOFF_X17Y127 IS_TEST 0 SITEPROP TIEOFF_X17Y127 IS_USED 0 SITEPROP TIEOFF_X17Y127 MANUAL_ROUTING SITEPROP TIEOFF_X17Y127 NAME TIEOFF_X17Y127 SITEPROP TIEOFF_X17Y127 NUM_ARCS 0 SITEPROP TIEOFF_X17Y127 NUM_BELS 2 SITEPROP TIEOFF_X17Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y127 NUM_PINS 2 SITEPROP TIEOFF_X17Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y127 PROHIBIT 0 SITEPROP TIEOFF_X17Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y127 RPM_X 72 SITEPROP TIEOFF_X17Y127 RPM_Y 254 SITEPROP TIEOFF_X17Y127 SITE_PIPS SITEPROP TIEOFF_X17Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y128 CLASS site SITEPROP TIEOFF_X17Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y128 IS_BONDED 0 SITEPROP TIEOFF_X17Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y128 IS_PAD 0 SITEPROP TIEOFF_X17Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y128 IS_RESERVED 0 SITEPROP TIEOFF_X17Y128 IS_TEST 0 SITEPROP TIEOFF_X17Y128 IS_USED 0 SITEPROP TIEOFF_X17Y128 MANUAL_ROUTING SITEPROP TIEOFF_X17Y128 NAME TIEOFF_X17Y128 SITEPROP TIEOFF_X17Y128 NUM_ARCS 0 SITEPROP TIEOFF_X17Y128 NUM_BELS 2 SITEPROP TIEOFF_X17Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y128 NUM_PINS 2 SITEPROP TIEOFF_X17Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y128 PROHIBIT 0 SITEPROP TIEOFF_X17Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y128 RPM_X 72 SITEPROP TIEOFF_X17Y128 RPM_Y 256 SITEPROP TIEOFF_X17Y128 SITE_PIPS SITEPROP TIEOFF_X17Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y129 CLASS site SITEPROP TIEOFF_X17Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y129 IS_BONDED 0 SITEPROP TIEOFF_X17Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y129 IS_PAD 0 SITEPROP TIEOFF_X17Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y129 IS_RESERVED 0 SITEPROP TIEOFF_X17Y129 IS_TEST 0 SITEPROP TIEOFF_X17Y129 IS_USED 0 SITEPROP TIEOFF_X17Y129 MANUAL_ROUTING SITEPROP TIEOFF_X17Y129 NAME TIEOFF_X17Y129 SITEPROP TIEOFF_X17Y129 NUM_ARCS 0 SITEPROP TIEOFF_X17Y129 NUM_BELS 2 SITEPROP TIEOFF_X17Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y129 NUM_PINS 2 SITEPROP TIEOFF_X17Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y129 PROHIBIT 0 SITEPROP TIEOFF_X17Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y129 RPM_X 72 SITEPROP TIEOFF_X17Y129 RPM_Y 258 SITEPROP TIEOFF_X17Y129 SITE_PIPS SITEPROP TIEOFF_X17Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y130 CLASS site SITEPROP TIEOFF_X17Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y130 IS_BONDED 0 SITEPROP TIEOFF_X17Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y130 IS_PAD 0 SITEPROP TIEOFF_X17Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y130 IS_RESERVED 0 SITEPROP TIEOFF_X17Y130 IS_TEST 0 SITEPROP TIEOFF_X17Y130 IS_USED 0 SITEPROP TIEOFF_X17Y130 MANUAL_ROUTING SITEPROP TIEOFF_X17Y130 NAME TIEOFF_X17Y130 SITEPROP TIEOFF_X17Y130 NUM_ARCS 0 SITEPROP TIEOFF_X17Y130 NUM_BELS 2 SITEPROP TIEOFF_X17Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y130 NUM_PINS 2 SITEPROP TIEOFF_X17Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y130 PROHIBIT 0 SITEPROP TIEOFF_X17Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y130 RPM_X 72 SITEPROP TIEOFF_X17Y130 RPM_Y 260 SITEPROP TIEOFF_X17Y130 SITE_PIPS SITEPROP TIEOFF_X17Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y131 CLASS site SITEPROP TIEOFF_X17Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y131 IS_BONDED 0 SITEPROP TIEOFF_X17Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y131 IS_PAD 0 SITEPROP TIEOFF_X17Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y131 IS_RESERVED 0 SITEPROP TIEOFF_X17Y131 IS_TEST 0 SITEPROP TIEOFF_X17Y131 IS_USED 0 SITEPROP TIEOFF_X17Y131 MANUAL_ROUTING SITEPROP TIEOFF_X17Y131 NAME TIEOFF_X17Y131 SITEPROP TIEOFF_X17Y131 NUM_ARCS 0 SITEPROP TIEOFF_X17Y131 NUM_BELS 2 SITEPROP TIEOFF_X17Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y131 NUM_PINS 2 SITEPROP TIEOFF_X17Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y131 PROHIBIT 0 SITEPROP TIEOFF_X17Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y131 RPM_X 72 SITEPROP TIEOFF_X17Y131 RPM_Y 262 SITEPROP TIEOFF_X17Y131 SITE_PIPS SITEPROP TIEOFF_X17Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y132 CLASS site SITEPROP TIEOFF_X17Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y132 IS_BONDED 0 SITEPROP TIEOFF_X17Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y132 IS_PAD 0 SITEPROP TIEOFF_X17Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y132 IS_RESERVED 0 SITEPROP TIEOFF_X17Y132 IS_TEST 0 SITEPROP TIEOFF_X17Y132 IS_USED 0 SITEPROP TIEOFF_X17Y132 MANUAL_ROUTING SITEPROP TIEOFF_X17Y132 NAME TIEOFF_X17Y132 SITEPROP TIEOFF_X17Y132 NUM_ARCS 0 SITEPROP TIEOFF_X17Y132 NUM_BELS 2 SITEPROP TIEOFF_X17Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y132 NUM_PINS 2 SITEPROP TIEOFF_X17Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y132 PROHIBIT 0 SITEPROP TIEOFF_X17Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y132 RPM_X 72 SITEPROP TIEOFF_X17Y132 RPM_Y 264 SITEPROP TIEOFF_X17Y132 SITE_PIPS SITEPROP TIEOFF_X17Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y133 CLASS site SITEPROP TIEOFF_X17Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y133 IS_BONDED 0 SITEPROP TIEOFF_X17Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y133 IS_PAD 0 SITEPROP TIEOFF_X17Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y133 IS_RESERVED 0 SITEPROP TIEOFF_X17Y133 IS_TEST 0 SITEPROP TIEOFF_X17Y133 IS_USED 0 SITEPROP TIEOFF_X17Y133 MANUAL_ROUTING SITEPROP TIEOFF_X17Y133 NAME TIEOFF_X17Y133 SITEPROP TIEOFF_X17Y133 NUM_ARCS 0 SITEPROP TIEOFF_X17Y133 NUM_BELS 2 SITEPROP TIEOFF_X17Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y133 NUM_PINS 2 SITEPROP TIEOFF_X17Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y133 PROHIBIT 0 SITEPROP TIEOFF_X17Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y133 RPM_X 72 SITEPROP TIEOFF_X17Y133 RPM_Y 266 SITEPROP TIEOFF_X17Y133 SITE_PIPS SITEPROP TIEOFF_X17Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y134 CLASS site SITEPROP TIEOFF_X17Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y134 IS_BONDED 0 SITEPROP TIEOFF_X17Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y134 IS_PAD 0 SITEPROP TIEOFF_X17Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y134 IS_RESERVED 0 SITEPROP TIEOFF_X17Y134 IS_TEST 0 SITEPROP TIEOFF_X17Y134 IS_USED 0 SITEPROP TIEOFF_X17Y134 MANUAL_ROUTING SITEPROP TIEOFF_X17Y134 NAME TIEOFF_X17Y134 SITEPROP TIEOFF_X17Y134 NUM_ARCS 0 SITEPROP TIEOFF_X17Y134 NUM_BELS 2 SITEPROP TIEOFF_X17Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y134 NUM_PINS 2 SITEPROP TIEOFF_X17Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y134 PROHIBIT 0 SITEPROP TIEOFF_X17Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y134 RPM_X 72 SITEPROP TIEOFF_X17Y134 RPM_Y 268 SITEPROP TIEOFF_X17Y134 SITE_PIPS SITEPROP TIEOFF_X17Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y135 CLASS site SITEPROP TIEOFF_X17Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y135 IS_BONDED 0 SITEPROP TIEOFF_X17Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y135 IS_PAD 0 SITEPROP TIEOFF_X17Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y135 IS_RESERVED 0 SITEPROP TIEOFF_X17Y135 IS_TEST 0 SITEPROP TIEOFF_X17Y135 IS_USED 0 SITEPROP TIEOFF_X17Y135 MANUAL_ROUTING SITEPROP TIEOFF_X17Y135 NAME TIEOFF_X17Y135 SITEPROP TIEOFF_X17Y135 NUM_ARCS 0 SITEPROP TIEOFF_X17Y135 NUM_BELS 2 SITEPROP TIEOFF_X17Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y135 NUM_PINS 2 SITEPROP TIEOFF_X17Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y135 PROHIBIT 0 SITEPROP TIEOFF_X17Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y135 RPM_X 72 SITEPROP TIEOFF_X17Y135 RPM_Y 270 SITEPROP TIEOFF_X17Y135 SITE_PIPS SITEPROP TIEOFF_X17Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y136 CLASS site SITEPROP TIEOFF_X17Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y136 IS_BONDED 0 SITEPROP TIEOFF_X17Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y136 IS_PAD 0 SITEPROP TIEOFF_X17Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y136 IS_RESERVED 0 SITEPROP TIEOFF_X17Y136 IS_TEST 0 SITEPROP TIEOFF_X17Y136 IS_USED 0 SITEPROP TIEOFF_X17Y136 MANUAL_ROUTING SITEPROP TIEOFF_X17Y136 NAME TIEOFF_X17Y136 SITEPROP TIEOFF_X17Y136 NUM_ARCS 0 SITEPROP TIEOFF_X17Y136 NUM_BELS 2 SITEPROP TIEOFF_X17Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y136 NUM_PINS 2 SITEPROP TIEOFF_X17Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y136 PROHIBIT 0 SITEPROP TIEOFF_X17Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y136 RPM_X 72 SITEPROP TIEOFF_X17Y136 RPM_Y 272 SITEPROP TIEOFF_X17Y136 SITE_PIPS SITEPROP TIEOFF_X17Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y137 CLASS site SITEPROP TIEOFF_X17Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y137 IS_BONDED 0 SITEPROP TIEOFF_X17Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y137 IS_PAD 0 SITEPROP TIEOFF_X17Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y137 IS_RESERVED 0 SITEPROP TIEOFF_X17Y137 IS_TEST 0 SITEPROP TIEOFF_X17Y137 IS_USED 0 SITEPROP TIEOFF_X17Y137 MANUAL_ROUTING SITEPROP TIEOFF_X17Y137 NAME TIEOFF_X17Y137 SITEPROP TIEOFF_X17Y137 NUM_ARCS 0 SITEPROP TIEOFF_X17Y137 NUM_BELS 2 SITEPROP TIEOFF_X17Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y137 NUM_PINS 2 SITEPROP TIEOFF_X17Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y137 PROHIBIT 0 SITEPROP TIEOFF_X17Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y137 RPM_X 72 SITEPROP TIEOFF_X17Y137 RPM_Y 274 SITEPROP TIEOFF_X17Y137 SITE_PIPS SITEPROP TIEOFF_X17Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y138 CLASS site SITEPROP TIEOFF_X17Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y138 IS_BONDED 0 SITEPROP TIEOFF_X17Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y138 IS_PAD 0 SITEPROP TIEOFF_X17Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y138 IS_RESERVED 0 SITEPROP TIEOFF_X17Y138 IS_TEST 0 SITEPROP TIEOFF_X17Y138 IS_USED 0 SITEPROP TIEOFF_X17Y138 MANUAL_ROUTING SITEPROP TIEOFF_X17Y138 NAME TIEOFF_X17Y138 SITEPROP TIEOFF_X17Y138 NUM_ARCS 0 SITEPROP TIEOFF_X17Y138 NUM_BELS 2 SITEPROP TIEOFF_X17Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y138 NUM_PINS 2 SITEPROP TIEOFF_X17Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y138 PROHIBIT 0 SITEPROP TIEOFF_X17Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y138 RPM_X 72 SITEPROP TIEOFF_X17Y138 RPM_Y 276 SITEPROP TIEOFF_X17Y138 SITE_PIPS SITEPROP TIEOFF_X17Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y139 CLASS site SITEPROP TIEOFF_X17Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y139 IS_BONDED 0 SITEPROP TIEOFF_X17Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y139 IS_PAD 0 SITEPROP TIEOFF_X17Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y139 IS_RESERVED 0 SITEPROP TIEOFF_X17Y139 IS_TEST 0 SITEPROP TIEOFF_X17Y139 IS_USED 0 SITEPROP TIEOFF_X17Y139 MANUAL_ROUTING SITEPROP TIEOFF_X17Y139 NAME TIEOFF_X17Y139 SITEPROP TIEOFF_X17Y139 NUM_ARCS 0 SITEPROP TIEOFF_X17Y139 NUM_BELS 2 SITEPROP TIEOFF_X17Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y139 NUM_PINS 2 SITEPROP TIEOFF_X17Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y139 PROHIBIT 0 SITEPROP TIEOFF_X17Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y139 RPM_X 72 SITEPROP TIEOFF_X17Y139 RPM_Y 278 SITEPROP TIEOFF_X17Y139 SITE_PIPS SITEPROP TIEOFF_X17Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y140 CLASS site SITEPROP TIEOFF_X17Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y140 IS_BONDED 0 SITEPROP TIEOFF_X17Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y140 IS_PAD 0 SITEPROP TIEOFF_X17Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y140 IS_RESERVED 0 SITEPROP TIEOFF_X17Y140 IS_TEST 0 SITEPROP TIEOFF_X17Y140 IS_USED 0 SITEPROP TIEOFF_X17Y140 MANUAL_ROUTING SITEPROP TIEOFF_X17Y140 NAME TIEOFF_X17Y140 SITEPROP TIEOFF_X17Y140 NUM_ARCS 0 SITEPROP TIEOFF_X17Y140 NUM_BELS 2 SITEPROP TIEOFF_X17Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y140 NUM_PINS 2 SITEPROP TIEOFF_X17Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y140 PROHIBIT 0 SITEPROP TIEOFF_X17Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y140 RPM_X 72 SITEPROP TIEOFF_X17Y140 RPM_Y 280 SITEPROP TIEOFF_X17Y140 SITE_PIPS SITEPROP TIEOFF_X17Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y141 CLASS site SITEPROP TIEOFF_X17Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y141 IS_BONDED 0 SITEPROP TIEOFF_X17Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y141 IS_PAD 0 SITEPROP TIEOFF_X17Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y141 IS_RESERVED 0 SITEPROP TIEOFF_X17Y141 IS_TEST 0 SITEPROP TIEOFF_X17Y141 IS_USED 0 SITEPROP TIEOFF_X17Y141 MANUAL_ROUTING SITEPROP TIEOFF_X17Y141 NAME TIEOFF_X17Y141 SITEPROP TIEOFF_X17Y141 NUM_ARCS 0 SITEPROP TIEOFF_X17Y141 NUM_BELS 2 SITEPROP TIEOFF_X17Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y141 NUM_PINS 2 SITEPROP TIEOFF_X17Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y141 PROHIBIT 0 SITEPROP TIEOFF_X17Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y141 RPM_X 72 SITEPROP TIEOFF_X17Y141 RPM_Y 282 SITEPROP TIEOFF_X17Y141 SITE_PIPS SITEPROP TIEOFF_X17Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y142 CLASS site SITEPROP TIEOFF_X17Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y142 IS_BONDED 0 SITEPROP TIEOFF_X17Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y142 IS_PAD 0 SITEPROP TIEOFF_X17Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y142 IS_RESERVED 0 SITEPROP TIEOFF_X17Y142 IS_TEST 0 SITEPROP TIEOFF_X17Y142 IS_USED 0 SITEPROP TIEOFF_X17Y142 MANUAL_ROUTING SITEPROP TIEOFF_X17Y142 NAME TIEOFF_X17Y142 SITEPROP TIEOFF_X17Y142 NUM_ARCS 0 SITEPROP TIEOFF_X17Y142 NUM_BELS 2 SITEPROP TIEOFF_X17Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y142 NUM_PINS 2 SITEPROP TIEOFF_X17Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y142 PROHIBIT 0 SITEPROP TIEOFF_X17Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y142 RPM_X 72 SITEPROP TIEOFF_X17Y142 RPM_Y 284 SITEPROP TIEOFF_X17Y142 SITE_PIPS SITEPROP TIEOFF_X17Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y143 CLASS site SITEPROP TIEOFF_X17Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y143 IS_BONDED 0 SITEPROP TIEOFF_X17Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y143 IS_PAD 0 SITEPROP TIEOFF_X17Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y143 IS_RESERVED 0 SITEPROP TIEOFF_X17Y143 IS_TEST 0 SITEPROP TIEOFF_X17Y143 IS_USED 0 SITEPROP TIEOFF_X17Y143 MANUAL_ROUTING SITEPROP TIEOFF_X17Y143 NAME TIEOFF_X17Y143 SITEPROP TIEOFF_X17Y143 NUM_ARCS 0 SITEPROP TIEOFF_X17Y143 NUM_BELS 2 SITEPROP TIEOFF_X17Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y143 NUM_PINS 2 SITEPROP TIEOFF_X17Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y143 PROHIBIT 0 SITEPROP TIEOFF_X17Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y143 RPM_X 72 SITEPROP TIEOFF_X17Y143 RPM_Y 286 SITEPROP TIEOFF_X17Y143 SITE_PIPS SITEPROP TIEOFF_X17Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y144 CLASS site SITEPROP TIEOFF_X17Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y144 IS_BONDED 0 SITEPROP TIEOFF_X17Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y144 IS_PAD 0 SITEPROP TIEOFF_X17Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y144 IS_RESERVED 0 SITEPROP TIEOFF_X17Y144 IS_TEST 0 SITEPROP TIEOFF_X17Y144 IS_USED 0 SITEPROP TIEOFF_X17Y144 MANUAL_ROUTING SITEPROP TIEOFF_X17Y144 NAME TIEOFF_X17Y144 SITEPROP TIEOFF_X17Y144 NUM_ARCS 0 SITEPROP TIEOFF_X17Y144 NUM_BELS 2 SITEPROP TIEOFF_X17Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y144 NUM_PINS 2 SITEPROP TIEOFF_X17Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y144 PROHIBIT 0 SITEPROP TIEOFF_X17Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y144 RPM_X 72 SITEPROP TIEOFF_X17Y144 RPM_Y 288 SITEPROP TIEOFF_X17Y144 SITE_PIPS SITEPROP TIEOFF_X17Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y145 CLASS site SITEPROP TIEOFF_X17Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y145 IS_BONDED 0 SITEPROP TIEOFF_X17Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y145 IS_PAD 0 SITEPROP TIEOFF_X17Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y145 IS_RESERVED 0 SITEPROP TIEOFF_X17Y145 IS_TEST 0 SITEPROP TIEOFF_X17Y145 IS_USED 0 SITEPROP TIEOFF_X17Y145 MANUAL_ROUTING SITEPROP TIEOFF_X17Y145 NAME TIEOFF_X17Y145 SITEPROP TIEOFF_X17Y145 NUM_ARCS 0 SITEPROP TIEOFF_X17Y145 NUM_BELS 2 SITEPROP TIEOFF_X17Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y145 NUM_PINS 2 SITEPROP TIEOFF_X17Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y145 PROHIBIT 0 SITEPROP TIEOFF_X17Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y145 RPM_X 72 SITEPROP TIEOFF_X17Y145 RPM_Y 290 SITEPROP TIEOFF_X17Y145 SITE_PIPS SITEPROP TIEOFF_X17Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y146 CLASS site SITEPROP TIEOFF_X17Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y146 IS_BONDED 0 SITEPROP TIEOFF_X17Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y146 IS_PAD 0 SITEPROP TIEOFF_X17Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y146 IS_RESERVED 0 SITEPROP TIEOFF_X17Y146 IS_TEST 0 SITEPROP TIEOFF_X17Y146 IS_USED 0 SITEPROP TIEOFF_X17Y146 MANUAL_ROUTING SITEPROP TIEOFF_X17Y146 NAME TIEOFF_X17Y146 SITEPROP TIEOFF_X17Y146 NUM_ARCS 0 SITEPROP TIEOFF_X17Y146 NUM_BELS 2 SITEPROP TIEOFF_X17Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y146 NUM_PINS 2 SITEPROP TIEOFF_X17Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y146 PROHIBIT 0 SITEPROP TIEOFF_X17Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y146 RPM_X 72 SITEPROP TIEOFF_X17Y146 RPM_Y 292 SITEPROP TIEOFF_X17Y146 SITE_PIPS SITEPROP TIEOFF_X17Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y147 CLASS site SITEPROP TIEOFF_X17Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y147 IS_BONDED 0 SITEPROP TIEOFF_X17Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y147 IS_PAD 0 SITEPROP TIEOFF_X17Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y147 IS_RESERVED 0 SITEPROP TIEOFF_X17Y147 IS_TEST 0 SITEPROP TIEOFF_X17Y147 IS_USED 0 SITEPROP TIEOFF_X17Y147 MANUAL_ROUTING SITEPROP TIEOFF_X17Y147 NAME TIEOFF_X17Y147 SITEPROP TIEOFF_X17Y147 NUM_ARCS 0 SITEPROP TIEOFF_X17Y147 NUM_BELS 2 SITEPROP TIEOFF_X17Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y147 NUM_PINS 2 SITEPROP TIEOFF_X17Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y147 PROHIBIT 0 SITEPROP TIEOFF_X17Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y147 RPM_X 72 SITEPROP TIEOFF_X17Y147 RPM_Y 294 SITEPROP TIEOFF_X17Y147 SITE_PIPS SITEPROP TIEOFF_X17Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y148 CLASS site SITEPROP TIEOFF_X17Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y148 IS_BONDED 0 SITEPROP TIEOFF_X17Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y148 IS_PAD 0 SITEPROP TIEOFF_X17Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y148 IS_RESERVED 0 SITEPROP TIEOFF_X17Y148 IS_TEST 0 SITEPROP TIEOFF_X17Y148 IS_USED 0 SITEPROP TIEOFF_X17Y148 MANUAL_ROUTING SITEPROP TIEOFF_X17Y148 NAME TIEOFF_X17Y148 SITEPROP TIEOFF_X17Y148 NUM_ARCS 0 SITEPROP TIEOFF_X17Y148 NUM_BELS 2 SITEPROP TIEOFF_X17Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y148 NUM_PINS 2 SITEPROP TIEOFF_X17Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y148 PROHIBIT 0 SITEPROP TIEOFF_X17Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y148 RPM_X 72 SITEPROP TIEOFF_X17Y148 RPM_Y 296 SITEPROP TIEOFF_X17Y148 SITE_PIPS SITEPROP TIEOFF_X17Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X17Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X17Y149 CLASS site SITEPROP TIEOFF_X17Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X17Y149 IS_BONDED 0 SITEPROP TIEOFF_X17Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y149 IS_PAD 0 SITEPROP TIEOFF_X17Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X17Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X17Y149 IS_RESERVED 0 SITEPROP TIEOFF_X17Y149 IS_TEST 0 SITEPROP TIEOFF_X17Y149 IS_USED 0 SITEPROP TIEOFF_X17Y149 MANUAL_ROUTING SITEPROP TIEOFF_X17Y149 NAME TIEOFF_X17Y149 SITEPROP TIEOFF_X17Y149 NUM_ARCS 0 SITEPROP TIEOFF_X17Y149 NUM_BELS 2 SITEPROP TIEOFF_X17Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X17Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X17Y149 NUM_PINS 2 SITEPROP TIEOFF_X17Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X17Y149 PROHIBIT 0 SITEPROP TIEOFF_X17Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X17Y149 RPM_X 72 SITEPROP TIEOFF_X17Y149 RPM_Y 298 SITEPROP TIEOFF_X17Y149 SITE_PIPS SITEPROP TIEOFF_X17Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y100 CLASS site SITEPROP TIEOFF_X18Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y100 IS_BONDED 0 SITEPROP TIEOFF_X18Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y100 IS_PAD 0 SITEPROP TIEOFF_X18Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y100 IS_RESERVED 0 SITEPROP TIEOFF_X18Y100 IS_TEST 0 SITEPROP TIEOFF_X18Y100 IS_USED 0 SITEPROP TIEOFF_X18Y100 MANUAL_ROUTING SITEPROP TIEOFF_X18Y100 NAME TIEOFF_X18Y100 SITEPROP TIEOFF_X18Y100 NUM_ARCS 0 SITEPROP TIEOFF_X18Y100 NUM_BELS 2 SITEPROP TIEOFF_X18Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y100 NUM_PINS 2 SITEPROP TIEOFF_X18Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y100 PROHIBIT 0 SITEPROP TIEOFF_X18Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y100 RPM_X 76 SITEPROP TIEOFF_X18Y100 RPM_Y 200 SITEPROP TIEOFF_X18Y100 SITE_PIPS SITEPROP TIEOFF_X18Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y101 CLASS site SITEPROP TIEOFF_X18Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y101 IS_BONDED 0 SITEPROP TIEOFF_X18Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y101 IS_PAD 0 SITEPROP TIEOFF_X18Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y101 IS_RESERVED 0 SITEPROP TIEOFF_X18Y101 IS_TEST 0 SITEPROP TIEOFF_X18Y101 IS_USED 0 SITEPROP TIEOFF_X18Y101 MANUAL_ROUTING SITEPROP TIEOFF_X18Y101 NAME TIEOFF_X18Y101 SITEPROP TIEOFF_X18Y101 NUM_ARCS 0 SITEPROP TIEOFF_X18Y101 NUM_BELS 2 SITEPROP TIEOFF_X18Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y101 NUM_PINS 2 SITEPROP TIEOFF_X18Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y101 PROHIBIT 0 SITEPROP TIEOFF_X18Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y101 RPM_X 76 SITEPROP TIEOFF_X18Y101 RPM_Y 202 SITEPROP TIEOFF_X18Y101 SITE_PIPS SITEPROP TIEOFF_X18Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y102 CLASS site SITEPROP TIEOFF_X18Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y102 IS_BONDED 0 SITEPROP TIEOFF_X18Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y102 IS_PAD 0 SITEPROP TIEOFF_X18Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y102 IS_RESERVED 0 SITEPROP TIEOFF_X18Y102 IS_TEST 0 SITEPROP TIEOFF_X18Y102 IS_USED 0 SITEPROP TIEOFF_X18Y102 MANUAL_ROUTING SITEPROP TIEOFF_X18Y102 NAME TIEOFF_X18Y102 SITEPROP TIEOFF_X18Y102 NUM_ARCS 0 SITEPROP TIEOFF_X18Y102 NUM_BELS 2 SITEPROP TIEOFF_X18Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y102 NUM_PINS 2 SITEPROP TIEOFF_X18Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y102 PROHIBIT 0 SITEPROP TIEOFF_X18Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y102 RPM_X 76 SITEPROP TIEOFF_X18Y102 RPM_Y 204 SITEPROP TIEOFF_X18Y102 SITE_PIPS SITEPROP TIEOFF_X18Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y103 CLASS site SITEPROP TIEOFF_X18Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y103 IS_BONDED 0 SITEPROP TIEOFF_X18Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y103 IS_PAD 0 SITEPROP TIEOFF_X18Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y103 IS_RESERVED 0 SITEPROP TIEOFF_X18Y103 IS_TEST 0 SITEPROP TIEOFF_X18Y103 IS_USED 0 SITEPROP TIEOFF_X18Y103 MANUAL_ROUTING SITEPROP TIEOFF_X18Y103 NAME TIEOFF_X18Y103 SITEPROP TIEOFF_X18Y103 NUM_ARCS 0 SITEPROP TIEOFF_X18Y103 NUM_BELS 2 SITEPROP TIEOFF_X18Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y103 NUM_PINS 2 SITEPROP TIEOFF_X18Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y103 PROHIBIT 0 SITEPROP TIEOFF_X18Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y103 RPM_X 76 SITEPROP TIEOFF_X18Y103 RPM_Y 206 SITEPROP TIEOFF_X18Y103 SITE_PIPS SITEPROP TIEOFF_X18Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y104 CLASS site SITEPROP TIEOFF_X18Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y104 IS_BONDED 0 SITEPROP TIEOFF_X18Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y104 IS_PAD 0 SITEPROP TIEOFF_X18Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y104 IS_RESERVED 0 SITEPROP TIEOFF_X18Y104 IS_TEST 0 SITEPROP TIEOFF_X18Y104 IS_USED 0 SITEPROP TIEOFF_X18Y104 MANUAL_ROUTING SITEPROP TIEOFF_X18Y104 NAME TIEOFF_X18Y104 SITEPROP TIEOFF_X18Y104 NUM_ARCS 0 SITEPROP TIEOFF_X18Y104 NUM_BELS 2 SITEPROP TIEOFF_X18Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y104 NUM_PINS 2 SITEPROP TIEOFF_X18Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y104 PROHIBIT 0 SITEPROP TIEOFF_X18Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y104 RPM_X 76 SITEPROP TIEOFF_X18Y104 RPM_Y 208 SITEPROP TIEOFF_X18Y104 SITE_PIPS SITEPROP TIEOFF_X18Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y105 CLASS site SITEPROP TIEOFF_X18Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y105 IS_BONDED 0 SITEPROP TIEOFF_X18Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y105 IS_PAD 0 SITEPROP TIEOFF_X18Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y105 IS_RESERVED 0 SITEPROP TIEOFF_X18Y105 IS_TEST 0 SITEPROP TIEOFF_X18Y105 IS_USED 0 SITEPROP TIEOFF_X18Y105 MANUAL_ROUTING SITEPROP TIEOFF_X18Y105 NAME TIEOFF_X18Y105 SITEPROP TIEOFF_X18Y105 NUM_ARCS 0 SITEPROP TIEOFF_X18Y105 NUM_BELS 2 SITEPROP TIEOFF_X18Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y105 NUM_PINS 2 SITEPROP TIEOFF_X18Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y105 PROHIBIT 0 SITEPROP TIEOFF_X18Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y105 RPM_X 76 SITEPROP TIEOFF_X18Y105 RPM_Y 210 SITEPROP TIEOFF_X18Y105 SITE_PIPS SITEPROP TIEOFF_X18Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y106 CLASS site SITEPROP TIEOFF_X18Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y106 IS_BONDED 0 SITEPROP TIEOFF_X18Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y106 IS_PAD 0 SITEPROP TIEOFF_X18Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y106 IS_RESERVED 0 SITEPROP TIEOFF_X18Y106 IS_TEST 0 SITEPROP TIEOFF_X18Y106 IS_USED 0 SITEPROP TIEOFF_X18Y106 MANUAL_ROUTING SITEPROP TIEOFF_X18Y106 NAME TIEOFF_X18Y106 SITEPROP TIEOFF_X18Y106 NUM_ARCS 0 SITEPROP TIEOFF_X18Y106 NUM_BELS 2 SITEPROP TIEOFF_X18Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y106 NUM_PINS 2 SITEPROP TIEOFF_X18Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y106 PROHIBIT 0 SITEPROP TIEOFF_X18Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y106 RPM_X 76 SITEPROP TIEOFF_X18Y106 RPM_Y 212 SITEPROP TIEOFF_X18Y106 SITE_PIPS SITEPROP TIEOFF_X18Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y107 CLASS site SITEPROP TIEOFF_X18Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y107 IS_BONDED 0 SITEPROP TIEOFF_X18Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y107 IS_PAD 0 SITEPROP TIEOFF_X18Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y107 IS_RESERVED 0 SITEPROP TIEOFF_X18Y107 IS_TEST 0 SITEPROP TIEOFF_X18Y107 IS_USED 0 SITEPROP TIEOFF_X18Y107 MANUAL_ROUTING SITEPROP TIEOFF_X18Y107 NAME TIEOFF_X18Y107 SITEPROP TIEOFF_X18Y107 NUM_ARCS 0 SITEPROP TIEOFF_X18Y107 NUM_BELS 2 SITEPROP TIEOFF_X18Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y107 NUM_PINS 2 SITEPROP TIEOFF_X18Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y107 PROHIBIT 0 SITEPROP TIEOFF_X18Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y107 RPM_X 76 SITEPROP TIEOFF_X18Y107 RPM_Y 214 SITEPROP TIEOFF_X18Y107 SITE_PIPS SITEPROP TIEOFF_X18Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y108 CLASS site SITEPROP TIEOFF_X18Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y108 IS_BONDED 0 SITEPROP TIEOFF_X18Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y108 IS_PAD 0 SITEPROP TIEOFF_X18Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y108 IS_RESERVED 0 SITEPROP TIEOFF_X18Y108 IS_TEST 0 SITEPROP TIEOFF_X18Y108 IS_USED 0 SITEPROP TIEOFF_X18Y108 MANUAL_ROUTING SITEPROP TIEOFF_X18Y108 NAME TIEOFF_X18Y108 SITEPROP TIEOFF_X18Y108 NUM_ARCS 0 SITEPROP TIEOFF_X18Y108 NUM_BELS 2 SITEPROP TIEOFF_X18Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y108 NUM_PINS 2 SITEPROP TIEOFF_X18Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y108 PROHIBIT 0 SITEPROP TIEOFF_X18Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y108 RPM_X 76 SITEPROP TIEOFF_X18Y108 RPM_Y 216 SITEPROP TIEOFF_X18Y108 SITE_PIPS SITEPROP TIEOFF_X18Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y109 CLASS site SITEPROP TIEOFF_X18Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y109 IS_BONDED 0 SITEPROP TIEOFF_X18Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y109 IS_PAD 0 SITEPROP TIEOFF_X18Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y109 IS_RESERVED 0 SITEPROP TIEOFF_X18Y109 IS_TEST 0 SITEPROP TIEOFF_X18Y109 IS_USED 0 SITEPROP TIEOFF_X18Y109 MANUAL_ROUTING SITEPROP TIEOFF_X18Y109 NAME TIEOFF_X18Y109 SITEPROP TIEOFF_X18Y109 NUM_ARCS 0 SITEPROP TIEOFF_X18Y109 NUM_BELS 2 SITEPROP TIEOFF_X18Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y109 NUM_PINS 2 SITEPROP TIEOFF_X18Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y109 PROHIBIT 0 SITEPROP TIEOFF_X18Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y109 RPM_X 76 SITEPROP TIEOFF_X18Y109 RPM_Y 218 SITEPROP TIEOFF_X18Y109 SITE_PIPS SITEPROP TIEOFF_X18Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y110 CLASS site SITEPROP TIEOFF_X18Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y110 IS_BONDED 0 SITEPROP TIEOFF_X18Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y110 IS_PAD 0 SITEPROP TIEOFF_X18Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y110 IS_RESERVED 0 SITEPROP TIEOFF_X18Y110 IS_TEST 0 SITEPROP TIEOFF_X18Y110 IS_USED 0 SITEPROP TIEOFF_X18Y110 MANUAL_ROUTING SITEPROP TIEOFF_X18Y110 NAME TIEOFF_X18Y110 SITEPROP TIEOFF_X18Y110 NUM_ARCS 0 SITEPROP TIEOFF_X18Y110 NUM_BELS 2 SITEPROP TIEOFF_X18Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y110 NUM_PINS 2 SITEPROP TIEOFF_X18Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y110 PROHIBIT 0 SITEPROP TIEOFF_X18Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y110 RPM_X 76 SITEPROP TIEOFF_X18Y110 RPM_Y 220 SITEPROP TIEOFF_X18Y110 SITE_PIPS SITEPROP TIEOFF_X18Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y111 CLASS site SITEPROP TIEOFF_X18Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y111 IS_BONDED 0 SITEPROP TIEOFF_X18Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y111 IS_PAD 0 SITEPROP TIEOFF_X18Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y111 IS_RESERVED 0 SITEPROP TIEOFF_X18Y111 IS_TEST 0 SITEPROP TIEOFF_X18Y111 IS_USED 0 SITEPROP TIEOFF_X18Y111 MANUAL_ROUTING SITEPROP TIEOFF_X18Y111 NAME TIEOFF_X18Y111 SITEPROP TIEOFF_X18Y111 NUM_ARCS 0 SITEPROP TIEOFF_X18Y111 NUM_BELS 2 SITEPROP TIEOFF_X18Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y111 NUM_PINS 2 SITEPROP TIEOFF_X18Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y111 PROHIBIT 0 SITEPROP TIEOFF_X18Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y111 RPM_X 76 SITEPROP TIEOFF_X18Y111 RPM_Y 222 SITEPROP TIEOFF_X18Y111 SITE_PIPS SITEPROP TIEOFF_X18Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y112 CLASS site SITEPROP TIEOFF_X18Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y112 IS_BONDED 0 SITEPROP TIEOFF_X18Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y112 IS_PAD 0 SITEPROP TIEOFF_X18Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y112 IS_RESERVED 0 SITEPROP TIEOFF_X18Y112 IS_TEST 0 SITEPROP TIEOFF_X18Y112 IS_USED 0 SITEPROP TIEOFF_X18Y112 MANUAL_ROUTING SITEPROP TIEOFF_X18Y112 NAME TIEOFF_X18Y112 SITEPROP TIEOFF_X18Y112 NUM_ARCS 0 SITEPROP TIEOFF_X18Y112 NUM_BELS 2 SITEPROP TIEOFF_X18Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y112 NUM_PINS 2 SITEPROP TIEOFF_X18Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y112 PROHIBIT 0 SITEPROP TIEOFF_X18Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y112 RPM_X 76 SITEPROP TIEOFF_X18Y112 RPM_Y 224 SITEPROP TIEOFF_X18Y112 SITE_PIPS SITEPROP TIEOFF_X18Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y113 CLASS site SITEPROP TIEOFF_X18Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y113 IS_BONDED 0 SITEPROP TIEOFF_X18Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y113 IS_PAD 0 SITEPROP TIEOFF_X18Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y113 IS_RESERVED 0 SITEPROP TIEOFF_X18Y113 IS_TEST 0 SITEPROP TIEOFF_X18Y113 IS_USED 0 SITEPROP TIEOFF_X18Y113 MANUAL_ROUTING SITEPROP TIEOFF_X18Y113 NAME TIEOFF_X18Y113 SITEPROP TIEOFF_X18Y113 NUM_ARCS 0 SITEPROP TIEOFF_X18Y113 NUM_BELS 2 SITEPROP TIEOFF_X18Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y113 NUM_PINS 2 SITEPROP TIEOFF_X18Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y113 PROHIBIT 0 SITEPROP TIEOFF_X18Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y113 RPM_X 76 SITEPROP TIEOFF_X18Y113 RPM_Y 226 SITEPROP TIEOFF_X18Y113 SITE_PIPS SITEPROP TIEOFF_X18Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y114 CLASS site SITEPROP TIEOFF_X18Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y114 IS_BONDED 0 SITEPROP TIEOFF_X18Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y114 IS_PAD 0 SITEPROP TIEOFF_X18Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y114 IS_RESERVED 0 SITEPROP TIEOFF_X18Y114 IS_TEST 0 SITEPROP TIEOFF_X18Y114 IS_USED 0 SITEPROP TIEOFF_X18Y114 MANUAL_ROUTING SITEPROP TIEOFF_X18Y114 NAME TIEOFF_X18Y114 SITEPROP TIEOFF_X18Y114 NUM_ARCS 0 SITEPROP TIEOFF_X18Y114 NUM_BELS 2 SITEPROP TIEOFF_X18Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y114 NUM_PINS 2 SITEPROP TIEOFF_X18Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y114 PROHIBIT 0 SITEPROP TIEOFF_X18Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y114 RPM_X 76 SITEPROP TIEOFF_X18Y114 RPM_Y 228 SITEPROP TIEOFF_X18Y114 SITE_PIPS SITEPROP TIEOFF_X18Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y115 CLASS site SITEPROP TIEOFF_X18Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y115 IS_BONDED 0 SITEPROP TIEOFF_X18Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y115 IS_PAD 0 SITEPROP TIEOFF_X18Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y115 IS_RESERVED 0 SITEPROP TIEOFF_X18Y115 IS_TEST 0 SITEPROP TIEOFF_X18Y115 IS_USED 0 SITEPROP TIEOFF_X18Y115 MANUAL_ROUTING SITEPROP TIEOFF_X18Y115 NAME TIEOFF_X18Y115 SITEPROP TIEOFF_X18Y115 NUM_ARCS 0 SITEPROP TIEOFF_X18Y115 NUM_BELS 2 SITEPROP TIEOFF_X18Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y115 NUM_PINS 2 SITEPROP TIEOFF_X18Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y115 PROHIBIT 0 SITEPROP TIEOFF_X18Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y115 RPM_X 76 SITEPROP TIEOFF_X18Y115 RPM_Y 230 SITEPROP TIEOFF_X18Y115 SITE_PIPS SITEPROP TIEOFF_X18Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y116 CLASS site SITEPROP TIEOFF_X18Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y116 IS_BONDED 0 SITEPROP TIEOFF_X18Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y116 IS_PAD 0 SITEPROP TIEOFF_X18Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y116 IS_RESERVED 0 SITEPROP TIEOFF_X18Y116 IS_TEST 0 SITEPROP TIEOFF_X18Y116 IS_USED 0 SITEPROP TIEOFF_X18Y116 MANUAL_ROUTING SITEPROP TIEOFF_X18Y116 NAME TIEOFF_X18Y116 SITEPROP TIEOFF_X18Y116 NUM_ARCS 0 SITEPROP TIEOFF_X18Y116 NUM_BELS 2 SITEPROP TIEOFF_X18Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y116 NUM_PINS 2 SITEPROP TIEOFF_X18Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y116 PROHIBIT 0 SITEPROP TIEOFF_X18Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y116 RPM_X 76 SITEPROP TIEOFF_X18Y116 RPM_Y 232 SITEPROP TIEOFF_X18Y116 SITE_PIPS SITEPROP TIEOFF_X18Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y117 CLASS site SITEPROP TIEOFF_X18Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y117 IS_BONDED 0 SITEPROP TIEOFF_X18Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y117 IS_PAD 0 SITEPROP TIEOFF_X18Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y117 IS_RESERVED 0 SITEPROP TIEOFF_X18Y117 IS_TEST 0 SITEPROP TIEOFF_X18Y117 IS_USED 0 SITEPROP TIEOFF_X18Y117 MANUAL_ROUTING SITEPROP TIEOFF_X18Y117 NAME TIEOFF_X18Y117 SITEPROP TIEOFF_X18Y117 NUM_ARCS 0 SITEPROP TIEOFF_X18Y117 NUM_BELS 2 SITEPROP TIEOFF_X18Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y117 NUM_PINS 2 SITEPROP TIEOFF_X18Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y117 PROHIBIT 0 SITEPROP TIEOFF_X18Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y117 RPM_X 76 SITEPROP TIEOFF_X18Y117 RPM_Y 234 SITEPROP TIEOFF_X18Y117 SITE_PIPS SITEPROP TIEOFF_X18Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y118 CLASS site SITEPROP TIEOFF_X18Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y118 IS_BONDED 0 SITEPROP TIEOFF_X18Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y118 IS_PAD 0 SITEPROP TIEOFF_X18Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y118 IS_RESERVED 0 SITEPROP TIEOFF_X18Y118 IS_TEST 0 SITEPROP TIEOFF_X18Y118 IS_USED 0 SITEPROP TIEOFF_X18Y118 MANUAL_ROUTING SITEPROP TIEOFF_X18Y118 NAME TIEOFF_X18Y118 SITEPROP TIEOFF_X18Y118 NUM_ARCS 0 SITEPROP TIEOFF_X18Y118 NUM_BELS 2 SITEPROP TIEOFF_X18Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y118 NUM_PINS 2 SITEPROP TIEOFF_X18Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y118 PROHIBIT 0 SITEPROP TIEOFF_X18Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y118 RPM_X 76 SITEPROP TIEOFF_X18Y118 RPM_Y 236 SITEPROP TIEOFF_X18Y118 SITE_PIPS SITEPROP TIEOFF_X18Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y119 CLASS site SITEPROP TIEOFF_X18Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y119 IS_BONDED 0 SITEPROP TIEOFF_X18Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y119 IS_PAD 0 SITEPROP TIEOFF_X18Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y119 IS_RESERVED 0 SITEPROP TIEOFF_X18Y119 IS_TEST 0 SITEPROP TIEOFF_X18Y119 IS_USED 0 SITEPROP TIEOFF_X18Y119 MANUAL_ROUTING SITEPROP TIEOFF_X18Y119 NAME TIEOFF_X18Y119 SITEPROP TIEOFF_X18Y119 NUM_ARCS 0 SITEPROP TIEOFF_X18Y119 NUM_BELS 2 SITEPROP TIEOFF_X18Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y119 NUM_PINS 2 SITEPROP TIEOFF_X18Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y119 PROHIBIT 0 SITEPROP TIEOFF_X18Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y119 RPM_X 76 SITEPROP TIEOFF_X18Y119 RPM_Y 238 SITEPROP TIEOFF_X18Y119 SITE_PIPS SITEPROP TIEOFF_X18Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y120 CLASS site SITEPROP TIEOFF_X18Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y120 IS_BONDED 0 SITEPROP TIEOFF_X18Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y120 IS_PAD 0 SITEPROP TIEOFF_X18Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y120 IS_RESERVED 0 SITEPROP TIEOFF_X18Y120 IS_TEST 0 SITEPROP TIEOFF_X18Y120 IS_USED 0 SITEPROP TIEOFF_X18Y120 MANUAL_ROUTING SITEPROP TIEOFF_X18Y120 NAME TIEOFF_X18Y120 SITEPROP TIEOFF_X18Y120 NUM_ARCS 0 SITEPROP TIEOFF_X18Y120 NUM_BELS 2 SITEPROP TIEOFF_X18Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y120 NUM_PINS 2 SITEPROP TIEOFF_X18Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y120 PROHIBIT 0 SITEPROP TIEOFF_X18Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y120 RPM_X 76 SITEPROP TIEOFF_X18Y120 RPM_Y 240 SITEPROP TIEOFF_X18Y120 SITE_PIPS SITEPROP TIEOFF_X18Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y121 CLASS site SITEPROP TIEOFF_X18Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y121 IS_BONDED 0 SITEPROP TIEOFF_X18Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y121 IS_PAD 0 SITEPROP TIEOFF_X18Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y121 IS_RESERVED 0 SITEPROP TIEOFF_X18Y121 IS_TEST 0 SITEPROP TIEOFF_X18Y121 IS_USED 0 SITEPROP TIEOFF_X18Y121 MANUAL_ROUTING SITEPROP TIEOFF_X18Y121 NAME TIEOFF_X18Y121 SITEPROP TIEOFF_X18Y121 NUM_ARCS 0 SITEPROP TIEOFF_X18Y121 NUM_BELS 2 SITEPROP TIEOFF_X18Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y121 NUM_PINS 2 SITEPROP TIEOFF_X18Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y121 PROHIBIT 0 SITEPROP TIEOFF_X18Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y121 RPM_X 76 SITEPROP TIEOFF_X18Y121 RPM_Y 242 SITEPROP TIEOFF_X18Y121 SITE_PIPS SITEPROP TIEOFF_X18Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y122 CLASS site SITEPROP TIEOFF_X18Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y122 IS_BONDED 0 SITEPROP TIEOFF_X18Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y122 IS_PAD 0 SITEPROP TIEOFF_X18Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y122 IS_RESERVED 0 SITEPROP TIEOFF_X18Y122 IS_TEST 0 SITEPROP TIEOFF_X18Y122 IS_USED 0 SITEPROP TIEOFF_X18Y122 MANUAL_ROUTING SITEPROP TIEOFF_X18Y122 NAME TIEOFF_X18Y122 SITEPROP TIEOFF_X18Y122 NUM_ARCS 0 SITEPROP TIEOFF_X18Y122 NUM_BELS 2 SITEPROP TIEOFF_X18Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y122 NUM_PINS 2 SITEPROP TIEOFF_X18Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y122 PROHIBIT 0 SITEPROP TIEOFF_X18Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y122 RPM_X 76 SITEPROP TIEOFF_X18Y122 RPM_Y 244 SITEPROP TIEOFF_X18Y122 SITE_PIPS SITEPROP TIEOFF_X18Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y123 CLASS site SITEPROP TIEOFF_X18Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y123 IS_BONDED 0 SITEPROP TIEOFF_X18Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y123 IS_PAD 0 SITEPROP TIEOFF_X18Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y123 IS_RESERVED 0 SITEPROP TIEOFF_X18Y123 IS_TEST 0 SITEPROP TIEOFF_X18Y123 IS_USED 0 SITEPROP TIEOFF_X18Y123 MANUAL_ROUTING SITEPROP TIEOFF_X18Y123 NAME TIEOFF_X18Y123 SITEPROP TIEOFF_X18Y123 NUM_ARCS 0 SITEPROP TIEOFF_X18Y123 NUM_BELS 2 SITEPROP TIEOFF_X18Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y123 NUM_PINS 2 SITEPROP TIEOFF_X18Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y123 PROHIBIT 0 SITEPROP TIEOFF_X18Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y123 RPM_X 76 SITEPROP TIEOFF_X18Y123 RPM_Y 246 SITEPROP TIEOFF_X18Y123 SITE_PIPS SITEPROP TIEOFF_X18Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y124 CLASS site SITEPROP TIEOFF_X18Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y124 IS_BONDED 0 SITEPROP TIEOFF_X18Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y124 IS_PAD 0 SITEPROP TIEOFF_X18Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y124 IS_RESERVED 0 SITEPROP TIEOFF_X18Y124 IS_TEST 0 SITEPROP TIEOFF_X18Y124 IS_USED 0 SITEPROP TIEOFF_X18Y124 MANUAL_ROUTING SITEPROP TIEOFF_X18Y124 NAME TIEOFF_X18Y124 SITEPROP TIEOFF_X18Y124 NUM_ARCS 0 SITEPROP TIEOFF_X18Y124 NUM_BELS 2 SITEPROP TIEOFF_X18Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y124 NUM_PINS 2 SITEPROP TIEOFF_X18Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y124 PROHIBIT 0 SITEPROP TIEOFF_X18Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y124 RPM_X 76 SITEPROP TIEOFF_X18Y124 RPM_Y 248 SITEPROP TIEOFF_X18Y124 SITE_PIPS SITEPROP TIEOFF_X18Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y125 CLASS site SITEPROP TIEOFF_X18Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y125 IS_BONDED 0 SITEPROP TIEOFF_X18Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y125 IS_PAD 0 SITEPROP TIEOFF_X18Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y125 IS_RESERVED 0 SITEPROP TIEOFF_X18Y125 IS_TEST 0 SITEPROP TIEOFF_X18Y125 IS_USED 0 SITEPROP TIEOFF_X18Y125 MANUAL_ROUTING SITEPROP TIEOFF_X18Y125 NAME TIEOFF_X18Y125 SITEPROP TIEOFF_X18Y125 NUM_ARCS 0 SITEPROP TIEOFF_X18Y125 NUM_BELS 2 SITEPROP TIEOFF_X18Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y125 NUM_PINS 2 SITEPROP TIEOFF_X18Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y125 PROHIBIT 0 SITEPROP TIEOFF_X18Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y125 RPM_X 76 SITEPROP TIEOFF_X18Y125 RPM_Y 250 SITEPROP TIEOFF_X18Y125 SITE_PIPS SITEPROP TIEOFF_X18Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y126 CLASS site SITEPROP TIEOFF_X18Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y126 IS_BONDED 0 SITEPROP TIEOFF_X18Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y126 IS_PAD 0 SITEPROP TIEOFF_X18Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y126 IS_RESERVED 0 SITEPROP TIEOFF_X18Y126 IS_TEST 0 SITEPROP TIEOFF_X18Y126 IS_USED 0 SITEPROP TIEOFF_X18Y126 MANUAL_ROUTING SITEPROP TIEOFF_X18Y126 NAME TIEOFF_X18Y126 SITEPROP TIEOFF_X18Y126 NUM_ARCS 0 SITEPROP TIEOFF_X18Y126 NUM_BELS 2 SITEPROP TIEOFF_X18Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y126 NUM_PINS 2 SITEPROP TIEOFF_X18Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y126 PROHIBIT 0 SITEPROP TIEOFF_X18Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y126 RPM_X 76 SITEPROP TIEOFF_X18Y126 RPM_Y 252 SITEPROP TIEOFF_X18Y126 SITE_PIPS SITEPROP TIEOFF_X18Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y127 CLASS site SITEPROP TIEOFF_X18Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y127 IS_BONDED 0 SITEPROP TIEOFF_X18Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y127 IS_PAD 0 SITEPROP TIEOFF_X18Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y127 IS_RESERVED 0 SITEPROP TIEOFF_X18Y127 IS_TEST 0 SITEPROP TIEOFF_X18Y127 IS_USED 0 SITEPROP TIEOFF_X18Y127 MANUAL_ROUTING SITEPROP TIEOFF_X18Y127 NAME TIEOFF_X18Y127 SITEPROP TIEOFF_X18Y127 NUM_ARCS 0 SITEPROP TIEOFF_X18Y127 NUM_BELS 2 SITEPROP TIEOFF_X18Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y127 NUM_PINS 2 SITEPROP TIEOFF_X18Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y127 PROHIBIT 0 SITEPROP TIEOFF_X18Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y127 RPM_X 76 SITEPROP TIEOFF_X18Y127 RPM_Y 254 SITEPROP TIEOFF_X18Y127 SITE_PIPS SITEPROP TIEOFF_X18Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y128 CLASS site SITEPROP TIEOFF_X18Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y128 IS_BONDED 0 SITEPROP TIEOFF_X18Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y128 IS_PAD 0 SITEPROP TIEOFF_X18Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y128 IS_RESERVED 0 SITEPROP TIEOFF_X18Y128 IS_TEST 0 SITEPROP TIEOFF_X18Y128 IS_USED 0 SITEPROP TIEOFF_X18Y128 MANUAL_ROUTING SITEPROP TIEOFF_X18Y128 NAME TIEOFF_X18Y128 SITEPROP TIEOFF_X18Y128 NUM_ARCS 0 SITEPROP TIEOFF_X18Y128 NUM_BELS 2 SITEPROP TIEOFF_X18Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y128 NUM_PINS 2 SITEPROP TIEOFF_X18Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y128 PROHIBIT 0 SITEPROP TIEOFF_X18Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y128 RPM_X 76 SITEPROP TIEOFF_X18Y128 RPM_Y 256 SITEPROP TIEOFF_X18Y128 SITE_PIPS SITEPROP TIEOFF_X18Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y129 CLASS site SITEPROP TIEOFF_X18Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y129 IS_BONDED 0 SITEPROP TIEOFF_X18Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y129 IS_PAD 0 SITEPROP TIEOFF_X18Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y129 IS_RESERVED 0 SITEPROP TIEOFF_X18Y129 IS_TEST 0 SITEPROP TIEOFF_X18Y129 IS_USED 0 SITEPROP TIEOFF_X18Y129 MANUAL_ROUTING SITEPROP TIEOFF_X18Y129 NAME TIEOFF_X18Y129 SITEPROP TIEOFF_X18Y129 NUM_ARCS 0 SITEPROP TIEOFF_X18Y129 NUM_BELS 2 SITEPROP TIEOFF_X18Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y129 NUM_PINS 2 SITEPROP TIEOFF_X18Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y129 PROHIBIT 0 SITEPROP TIEOFF_X18Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y129 RPM_X 76 SITEPROP TIEOFF_X18Y129 RPM_Y 258 SITEPROP TIEOFF_X18Y129 SITE_PIPS SITEPROP TIEOFF_X18Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y130 CLASS site SITEPROP TIEOFF_X18Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y130 IS_BONDED 0 SITEPROP TIEOFF_X18Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y130 IS_PAD 0 SITEPROP TIEOFF_X18Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y130 IS_RESERVED 0 SITEPROP TIEOFF_X18Y130 IS_TEST 0 SITEPROP TIEOFF_X18Y130 IS_USED 0 SITEPROP TIEOFF_X18Y130 MANUAL_ROUTING SITEPROP TIEOFF_X18Y130 NAME TIEOFF_X18Y130 SITEPROP TIEOFF_X18Y130 NUM_ARCS 0 SITEPROP TIEOFF_X18Y130 NUM_BELS 2 SITEPROP TIEOFF_X18Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y130 NUM_PINS 2 SITEPROP TIEOFF_X18Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y130 PROHIBIT 0 SITEPROP TIEOFF_X18Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y130 RPM_X 76 SITEPROP TIEOFF_X18Y130 RPM_Y 260 SITEPROP TIEOFF_X18Y130 SITE_PIPS SITEPROP TIEOFF_X18Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y131 CLASS site SITEPROP TIEOFF_X18Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y131 IS_BONDED 0 SITEPROP TIEOFF_X18Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y131 IS_PAD 0 SITEPROP TIEOFF_X18Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y131 IS_RESERVED 0 SITEPROP TIEOFF_X18Y131 IS_TEST 0 SITEPROP TIEOFF_X18Y131 IS_USED 0 SITEPROP TIEOFF_X18Y131 MANUAL_ROUTING SITEPROP TIEOFF_X18Y131 NAME TIEOFF_X18Y131 SITEPROP TIEOFF_X18Y131 NUM_ARCS 0 SITEPROP TIEOFF_X18Y131 NUM_BELS 2 SITEPROP TIEOFF_X18Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y131 NUM_PINS 2 SITEPROP TIEOFF_X18Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y131 PROHIBIT 0 SITEPROP TIEOFF_X18Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y131 RPM_X 76 SITEPROP TIEOFF_X18Y131 RPM_Y 262 SITEPROP TIEOFF_X18Y131 SITE_PIPS SITEPROP TIEOFF_X18Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y132 CLASS site SITEPROP TIEOFF_X18Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y132 IS_BONDED 0 SITEPROP TIEOFF_X18Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y132 IS_PAD 0 SITEPROP TIEOFF_X18Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y132 IS_RESERVED 0 SITEPROP TIEOFF_X18Y132 IS_TEST 0 SITEPROP TIEOFF_X18Y132 IS_USED 0 SITEPROP TIEOFF_X18Y132 MANUAL_ROUTING SITEPROP TIEOFF_X18Y132 NAME TIEOFF_X18Y132 SITEPROP TIEOFF_X18Y132 NUM_ARCS 0 SITEPROP TIEOFF_X18Y132 NUM_BELS 2 SITEPROP TIEOFF_X18Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y132 NUM_PINS 2 SITEPROP TIEOFF_X18Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y132 PROHIBIT 0 SITEPROP TIEOFF_X18Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y132 RPM_X 76 SITEPROP TIEOFF_X18Y132 RPM_Y 264 SITEPROP TIEOFF_X18Y132 SITE_PIPS SITEPROP TIEOFF_X18Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y133 CLASS site SITEPROP TIEOFF_X18Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y133 IS_BONDED 0 SITEPROP TIEOFF_X18Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y133 IS_PAD 0 SITEPROP TIEOFF_X18Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y133 IS_RESERVED 0 SITEPROP TIEOFF_X18Y133 IS_TEST 0 SITEPROP TIEOFF_X18Y133 IS_USED 0 SITEPROP TIEOFF_X18Y133 MANUAL_ROUTING SITEPROP TIEOFF_X18Y133 NAME TIEOFF_X18Y133 SITEPROP TIEOFF_X18Y133 NUM_ARCS 0 SITEPROP TIEOFF_X18Y133 NUM_BELS 2 SITEPROP TIEOFF_X18Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y133 NUM_PINS 2 SITEPROP TIEOFF_X18Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y133 PROHIBIT 0 SITEPROP TIEOFF_X18Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y133 RPM_X 76 SITEPROP TIEOFF_X18Y133 RPM_Y 266 SITEPROP TIEOFF_X18Y133 SITE_PIPS SITEPROP TIEOFF_X18Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y134 CLASS site SITEPROP TIEOFF_X18Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y134 IS_BONDED 0 SITEPROP TIEOFF_X18Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y134 IS_PAD 0 SITEPROP TIEOFF_X18Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y134 IS_RESERVED 0 SITEPROP TIEOFF_X18Y134 IS_TEST 0 SITEPROP TIEOFF_X18Y134 IS_USED 0 SITEPROP TIEOFF_X18Y134 MANUAL_ROUTING SITEPROP TIEOFF_X18Y134 NAME TIEOFF_X18Y134 SITEPROP TIEOFF_X18Y134 NUM_ARCS 0 SITEPROP TIEOFF_X18Y134 NUM_BELS 2 SITEPROP TIEOFF_X18Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y134 NUM_PINS 2 SITEPROP TIEOFF_X18Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y134 PROHIBIT 0 SITEPROP TIEOFF_X18Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y134 RPM_X 76 SITEPROP TIEOFF_X18Y134 RPM_Y 268 SITEPROP TIEOFF_X18Y134 SITE_PIPS SITEPROP TIEOFF_X18Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y135 CLASS site SITEPROP TIEOFF_X18Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y135 IS_BONDED 0 SITEPROP TIEOFF_X18Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y135 IS_PAD 0 SITEPROP TIEOFF_X18Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y135 IS_RESERVED 0 SITEPROP TIEOFF_X18Y135 IS_TEST 0 SITEPROP TIEOFF_X18Y135 IS_USED 0 SITEPROP TIEOFF_X18Y135 MANUAL_ROUTING SITEPROP TIEOFF_X18Y135 NAME TIEOFF_X18Y135 SITEPROP TIEOFF_X18Y135 NUM_ARCS 0 SITEPROP TIEOFF_X18Y135 NUM_BELS 2 SITEPROP TIEOFF_X18Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y135 NUM_PINS 2 SITEPROP TIEOFF_X18Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y135 PROHIBIT 0 SITEPROP TIEOFF_X18Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y135 RPM_X 76 SITEPROP TIEOFF_X18Y135 RPM_Y 270 SITEPROP TIEOFF_X18Y135 SITE_PIPS SITEPROP TIEOFF_X18Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y136 CLASS site SITEPROP TIEOFF_X18Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y136 IS_BONDED 0 SITEPROP TIEOFF_X18Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y136 IS_PAD 0 SITEPROP TIEOFF_X18Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y136 IS_RESERVED 0 SITEPROP TIEOFF_X18Y136 IS_TEST 0 SITEPROP TIEOFF_X18Y136 IS_USED 0 SITEPROP TIEOFF_X18Y136 MANUAL_ROUTING SITEPROP TIEOFF_X18Y136 NAME TIEOFF_X18Y136 SITEPROP TIEOFF_X18Y136 NUM_ARCS 0 SITEPROP TIEOFF_X18Y136 NUM_BELS 2 SITEPROP TIEOFF_X18Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y136 NUM_PINS 2 SITEPROP TIEOFF_X18Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y136 PROHIBIT 0 SITEPROP TIEOFF_X18Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y136 RPM_X 76 SITEPROP TIEOFF_X18Y136 RPM_Y 272 SITEPROP TIEOFF_X18Y136 SITE_PIPS SITEPROP TIEOFF_X18Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y137 CLASS site SITEPROP TIEOFF_X18Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y137 IS_BONDED 0 SITEPROP TIEOFF_X18Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y137 IS_PAD 0 SITEPROP TIEOFF_X18Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y137 IS_RESERVED 0 SITEPROP TIEOFF_X18Y137 IS_TEST 0 SITEPROP TIEOFF_X18Y137 IS_USED 0 SITEPROP TIEOFF_X18Y137 MANUAL_ROUTING SITEPROP TIEOFF_X18Y137 NAME TIEOFF_X18Y137 SITEPROP TIEOFF_X18Y137 NUM_ARCS 0 SITEPROP TIEOFF_X18Y137 NUM_BELS 2 SITEPROP TIEOFF_X18Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y137 NUM_PINS 2 SITEPROP TIEOFF_X18Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y137 PROHIBIT 0 SITEPROP TIEOFF_X18Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y137 RPM_X 76 SITEPROP TIEOFF_X18Y137 RPM_Y 274 SITEPROP TIEOFF_X18Y137 SITE_PIPS SITEPROP TIEOFF_X18Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y138 CLASS site SITEPROP TIEOFF_X18Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y138 IS_BONDED 0 SITEPROP TIEOFF_X18Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y138 IS_PAD 0 SITEPROP TIEOFF_X18Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y138 IS_RESERVED 0 SITEPROP TIEOFF_X18Y138 IS_TEST 0 SITEPROP TIEOFF_X18Y138 IS_USED 0 SITEPROP TIEOFF_X18Y138 MANUAL_ROUTING SITEPROP TIEOFF_X18Y138 NAME TIEOFF_X18Y138 SITEPROP TIEOFF_X18Y138 NUM_ARCS 0 SITEPROP TIEOFF_X18Y138 NUM_BELS 2 SITEPROP TIEOFF_X18Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y138 NUM_PINS 2 SITEPROP TIEOFF_X18Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y138 PROHIBIT 0 SITEPROP TIEOFF_X18Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y138 RPM_X 76 SITEPROP TIEOFF_X18Y138 RPM_Y 276 SITEPROP TIEOFF_X18Y138 SITE_PIPS SITEPROP TIEOFF_X18Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y139 CLASS site SITEPROP TIEOFF_X18Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y139 IS_BONDED 0 SITEPROP TIEOFF_X18Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y139 IS_PAD 0 SITEPROP TIEOFF_X18Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y139 IS_RESERVED 0 SITEPROP TIEOFF_X18Y139 IS_TEST 0 SITEPROP TIEOFF_X18Y139 IS_USED 0 SITEPROP TIEOFF_X18Y139 MANUAL_ROUTING SITEPROP TIEOFF_X18Y139 NAME TIEOFF_X18Y139 SITEPROP TIEOFF_X18Y139 NUM_ARCS 0 SITEPROP TIEOFF_X18Y139 NUM_BELS 2 SITEPROP TIEOFF_X18Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y139 NUM_PINS 2 SITEPROP TIEOFF_X18Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y139 PROHIBIT 0 SITEPROP TIEOFF_X18Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y139 RPM_X 76 SITEPROP TIEOFF_X18Y139 RPM_Y 278 SITEPROP TIEOFF_X18Y139 SITE_PIPS SITEPROP TIEOFF_X18Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y140 CLASS site SITEPROP TIEOFF_X18Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y140 IS_BONDED 0 SITEPROP TIEOFF_X18Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y140 IS_PAD 0 SITEPROP TIEOFF_X18Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y140 IS_RESERVED 0 SITEPROP TIEOFF_X18Y140 IS_TEST 0 SITEPROP TIEOFF_X18Y140 IS_USED 0 SITEPROP TIEOFF_X18Y140 MANUAL_ROUTING SITEPROP TIEOFF_X18Y140 NAME TIEOFF_X18Y140 SITEPROP TIEOFF_X18Y140 NUM_ARCS 0 SITEPROP TIEOFF_X18Y140 NUM_BELS 2 SITEPROP TIEOFF_X18Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y140 NUM_PINS 2 SITEPROP TIEOFF_X18Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y140 PROHIBIT 0 SITEPROP TIEOFF_X18Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y140 RPM_X 76 SITEPROP TIEOFF_X18Y140 RPM_Y 280 SITEPROP TIEOFF_X18Y140 SITE_PIPS SITEPROP TIEOFF_X18Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y141 CLASS site SITEPROP TIEOFF_X18Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y141 IS_BONDED 0 SITEPROP TIEOFF_X18Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y141 IS_PAD 0 SITEPROP TIEOFF_X18Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y141 IS_RESERVED 0 SITEPROP TIEOFF_X18Y141 IS_TEST 0 SITEPROP TIEOFF_X18Y141 IS_USED 0 SITEPROP TIEOFF_X18Y141 MANUAL_ROUTING SITEPROP TIEOFF_X18Y141 NAME TIEOFF_X18Y141 SITEPROP TIEOFF_X18Y141 NUM_ARCS 0 SITEPROP TIEOFF_X18Y141 NUM_BELS 2 SITEPROP TIEOFF_X18Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y141 NUM_PINS 2 SITEPROP TIEOFF_X18Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y141 PROHIBIT 0 SITEPROP TIEOFF_X18Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y141 RPM_X 76 SITEPROP TIEOFF_X18Y141 RPM_Y 282 SITEPROP TIEOFF_X18Y141 SITE_PIPS SITEPROP TIEOFF_X18Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y142 CLASS site SITEPROP TIEOFF_X18Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y142 IS_BONDED 0 SITEPROP TIEOFF_X18Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y142 IS_PAD 0 SITEPROP TIEOFF_X18Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y142 IS_RESERVED 0 SITEPROP TIEOFF_X18Y142 IS_TEST 0 SITEPROP TIEOFF_X18Y142 IS_USED 0 SITEPROP TIEOFF_X18Y142 MANUAL_ROUTING SITEPROP TIEOFF_X18Y142 NAME TIEOFF_X18Y142 SITEPROP TIEOFF_X18Y142 NUM_ARCS 0 SITEPROP TIEOFF_X18Y142 NUM_BELS 2 SITEPROP TIEOFF_X18Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y142 NUM_PINS 2 SITEPROP TIEOFF_X18Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y142 PROHIBIT 0 SITEPROP TIEOFF_X18Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y142 RPM_X 76 SITEPROP TIEOFF_X18Y142 RPM_Y 284 SITEPROP TIEOFF_X18Y142 SITE_PIPS SITEPROP TIEOFF_X18Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y143 CLASS site SITEPROP TIEOFF_X18Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y143 IS_BONDED 0 SITEPROP TIEOFF_X18Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y143 IS_PAD 0 SITEPROP TIEOFF_X18Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y143 IS_RESERVED 0 SITEPROP TIEOFF_X18Y143 IS_TEST 0 SITEPROP TIEOFF_X18Y143 IS_USED 0 SITEPROP TIEOFF_X18Y143 MANUAL_ROUTING SITEPROP TIEOFF_X18Y143 NAME TIEOFF_X18Y143 SITEPROP TIEOFF_X18Y143 NUM_ARCS 0 SITEPROP TIEOFF_X18Y143 NUM_BELS 2 SITEPROP TIEOFF_X18Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y143 NUM_PINS 2 SITEPROP TIEOFF_X18Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y143 PROHIBIT 0 SITEPROP TIEOFF_X18Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y143 RPM_X 76 SITEPROP TIEOFF_X18Y143 RPM_Y 286 SITEPROP TIEOFF_X18Y143 SITE_PIPS SITEPROP TIEOFF_X18Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y144 CLASS site SITEPROP TIEOFF_X18Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y144 IS_BONDED 0 SITEPROP TIEOFF_X18Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y144 IS_PAD 0 SITEPROP TIEOFF_X18Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y144 IS_RESERVED 0 SITEPROP TIEOFF_X18Y144 IS_TEST 0 SITEPROP TIEOFF_X18Y144 IS_USED 0 SITEPROP TIEOFF_X18Y144 MANUAL_ROUTING SITEPROP TIEOFF_X18Y144 NAME TIEOFF_X18Y144 SITEPROP TIEOFF_X18Y144 NUM_ARCS 0 SITEPROP TIEOFF_X18Y144 NUM_BELS 2 SITEPROP TIEOFF_X18Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y144 NUM_PINS 2 SITEPROP TIEOFF_X18Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y144 PROHIBIT 0 SITEPROP TIEOFF_X18Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y144 RPM_X 76 SITEPROP TIEOFF_X18Y144 RPM_Y 288 SITEPROP TIEOFF_X18Y144 SITE_PIPS SITEPROP TIEOFF_X18Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y145 CLASS site SITEPROP TIEOFF_X18Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y145 IS_BONDED 0 SITEPROP TIEOFF_X18Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y145 IS_PAD 0 SITEPROP TIEOFF_X18Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y145 IS_RESERVED 0 SITEPROP TIEOFF_X18Y145 IS_TEST 0 SITEPROP TIEOFF_X18Y145 IS_USED 0 SITEPROP TIEOFF_X18Y145 MANUAL_ROUTING SITEPROP TIEOFF_X18Y145 NAME TIEOFF_X18Y145 SITEPROP TIEOFF_X18Y145 NUM_ARCS 0 SITEPROP TIEOFF_X18Y145 NUM_BELS 2 SITEPROP TIEOFF_X18Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y145 NUM_PINS 2 SITEPROP TIEOFF_X18Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y145 PROHIBIT 0 SITEPROP TIEOFF_X18Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y145 RPM_X 76 SITEPROP TIEOFF_X18Y145 RPM_Y 290 SITEPROP TIEOFF_X18Y145 SITE_PIPS SITEPROP TIEOFF_X18Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y146 CLASS site SITEPROP TIEOFF_X18Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y146 IS_BONDED 0 SITEPROP TIEOFF_X18Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y146 IS_PAD 0 SITEPROP TIEOFF_X18Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y146 IS_RESERVED 0 SITEPROP TIEOFF_X18Y146 IS_TEST 0 SITEPROP TIEOFF_X18Y146 IS_USED 0 SITEPROP TIEOFF_X18Y146 MANUAL_ROUTING SITEPROP TIEOFF_X18Y146 NAME TIEOFF_X18Y146 SITEPROP TIEOFF_X18Y146 NUM_ARCS 0 SITEPROP TIEOFF_X18Y146 NUM_BELS 2 SITEPROP TIEOFF_X18Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y146 NUM_PINS 2 SITEPROP TIEOFF_X18Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y146 PROHIBIT 0 SITEPROP TIEOFF_X18Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y146 RPM_X 76 SITEPROP TIEOFF_X18Y146 RPM_Y 292 SITEPROP TIEOFF_X18Y146 SITE_PIPS SITEPROP TIEOFF_X18Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y147 CLASS site SITEPROP TIEOFF_X18Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y147 IS_BONDED 0 SITEPROP TIEOFF_X18Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y147 IS_PAD 0 SITEPROP TIEOFF_X18Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y147 IS_RESERVED 0 SITEPROP TIEOFF_X18Y147 IS_TEST 0 SITEPROP TIEOFF_X18Y147 IS_USED 0 SITEPROP TIEOFF_X18Y147 MANUAL_ROUTING SITEPROP TIEOFF_X18Y147 NAME TIEOFF_X18Y147 SITEPROP TIEOFF_X18Y147 NUM_ARCS 0 SITEPROP TIEOFF_X18Y147 NUM_BELS 2 SITEPROP TIEOFF_X18Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y147 NUM_PINS 2 SITEPROP TIEOFF_X18Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y147 PROHIBIT 0 SITEPROP TIEOFF_X18Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y147 RPM_X 76 SITEPROP TIEOFF_X18Y147 RPM_Y 294 SITEPROP TIEOFF_X18Y147 SITE_PIPS SITEPROP TIEOFF_X18Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y148 CLASS site SITEPROP TIEOFF_X18Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y148 IS_BONDED 0 SITEPROP TIEOFF_X18Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y148 IS_PAD 0 SITEPROP TIEOFF_X18Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y148 IS_RESERVED 0 SITEPROP TIEOFF_X18Y148 IS_TEST 0 SITEPROP TIEOFF_X18Y148 IS_USED 0 SITEPROP TIEOFF_X18Y148 MANUAL_ROUTING SITEPROP TIEOFF_X18Y148 NAME TIEOFF_X18Y148 SITEPROP TIEOFF_X18Y148 NUM_ARCS 0 SITEPROP TIEOFF_X18Y148 NUM_BELS 2 SITEPROP TIEOFF_X18Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y148 NUM_PINS 2 SITEPROP TIEOFF_X18Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y148 PROHIBIT 0 SITEPROP TIEOFF_X18Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y148 RPM_X 76 SITEPROP TIEOFF_X18Y148 RPM_Y 296 SITEPROP TIEOFF_X18Y148 SITE_PIPS SITEPROP TIEOFF_X18Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X18Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X18Y149 CLASS site SITEPROP TIEOFF_X18Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X18Y149 IS_BONDED 0 SITEPROP TIEOFF_X18Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y149 IS_PAD 0 SITEPROP TIEOFF_X18Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X18Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X18Y149 IS_RESERVED 0 SITEPROP TIEOFF_X18Y149 IS_TEST 0 SITEPROP TIEOFF_X18Y149 IS_USED 0 SITEPROP TIEOFF_X18Y149 MANUAL_ROUTING SITEPROP TIEOFF_X18Y149 NAME TIEOFF_X18Y149 SITEPROP TIEOFF_X18Y149 NUM_ARCS 0 SITEPROP TIEOFF_X18Y149 NUM_BELS 2 SITEPROP TIEOFF_X18Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X18Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X18Y149 NUM_PINS 2 SITEPROP TIEOFF_X18Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X18Y149 PROHIBIT 0 SITEPROP TIEOFF_X18Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X18Y149 RPM_X 76 SITEPROP TIEOFF_X18Y149 RPM_Y 298 SITEPROP TIEOFF_X18Y149 SITE_PIPS SITEPROP TIEOFF_X18Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y0 CLASS site SITEPROP TIEOFF_X19Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y0 IS_BONDED 0 SITEPROP TIEOFF_X19Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y0 IS_PAD 0 SITEPROP TIEOFF_X19Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y0 IS_RESERVED 0 SITEPROP TIEOFF_X19Y0 IS_TEST 0 SITEPROP TIEOFF_X19Y0 IS_USED 0 SITEPROP TIEOFF_X19Y0 MANUAL_ROUTING SITEPROP TIEOFF_X19Y0 NAME TIEOFF_X19Y0 SITEPROP TIEOFF_X19Y0 NUM_ARCS 0 SITEPROP TIEOFF_X19Y0 NUM_BELS 2 SITEPROP TIEOFF_X19Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y0 NUM_PINS 2 SITEPROP TIEOFF_X19Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y0 PROHIBIT 0 SITEPROP TIEOFF_X19Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y0 RPM_X 76 SITEPROP TIEOFF_X19Y0 RPM_Y 0 SITEPROP TIEOFF_X19Y0 SITE_PIPS SITEPROP TIEOFF_X19Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y1 CLASS site SITEPROP TIEOFF_X19Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y1 IS_BONDED 0 SITEPROP TIEOFF_X19Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y1 IS_PAD 0 SITEPROP TIEOFF_X19Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y1 IS_RESERVED 0 SITEPROP TIEOFF_X19Y1 IS_TEST 0 SITEPROP TIEOFF_X19Y1 IS_USED 0 SITEPROP TIEOFF_X19Y1 MANUAL_ROUTING SITEPROP TIEOFF_X19Y1 NAME TIEOFF_X19Y1 SITEPROP TIEOFF_X19Y1 NUM_ARCS 0 SITEPROP TIEOFF_X19Y1 NUM_BELS 2 SITEPROP TIEOFF_X19Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y1 NUM_PINS 2 SITEPROP TIEOFF_X19Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y1 PROHIBIT 0 SITEPROP TIEOFF_X19Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y1 RPM_X 76 SITEPROP TIEOFF_X19Y1 RPM_Y 2 SITEPROP TIEOFF_X19Y1 SITE_PIPS SITEPROP TIEOFF_X19Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y2 CLASS site SITEPROP TIEOFF_X19Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y2 IS_BONDED 0 SITEPROP TIEOFF_X19Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y2 IS_PAD 0 SITEPROP TIEOFF_X19Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y2 IS_RESERVED 0 SITEPROP TIEOFF_X19Y2 IS_TEST 0 SITEPROP TIEOFF_X19Y2 IS_USED 0 SITEPROP TIEOFF_X19Y2 MANUAL_ROUTING SITEPROP TIEOFF_X19Y2 NAME TIEOFF_X19Y2 SITEPROP TIEOFF_X19Y2 NUM_ARCS 0 SITEPROP TIEOFF_X19Y2 NUM_BELS 2 SITEPROP TIEOFF_X19Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y2 NUM_PINS 2 SITEPROP TIEOFF_X19Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y2 PROHIBIT 0 SITEPROP TIEOFF_X19Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y2 RPM_X 76 SITEPROP TIEOFF_X19Y2 RPM_Y 4 SITEPROP TIEOFF_X19Y2 SITE_PIPS SITEPROP TIEOFF_X19Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y3 CLASS site SITEPROP TIEOFF_X19Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y3 IS_BONDED 0 SITEPROP TIEOFF_X19Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y3 IS_PAD 0 SITEPROP TIEOFF_X19Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y3 IS_RESERVED 0 SITEPROP TIEOFF_X19Y3 IS_TEST 0 SITEPROP TIEOFF_X19Y3 IS_USED 0 SITEPROP TIEOFF_X19Y3 MANUAL_ROUTING SITEPROP TIEOFF_X19Y3 NAME TIEOFF_X19Y3 SITEPROP TIEOFF_X19Y3 NUM_ARCS 0 SITEPROP TIEOFF_X19Y3 NUM_BELS 2 SITEPROP TIEOFF_X19Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y3 NUM_PINS 2 SITEPROP TIEOFF_X19Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y3 PROHIBIT 0 SITEPROP TIEOFF_X19Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y3 RPM_X 76 SITEPROP TIEOFF_X19Y3 RPM_Y 6 SITEPROP TIEOFF_X19Y3 SITE_PIPS SITEPROP TIEOFF_X19Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y4 CLASS site SITEPROP TIEOFF_X19Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y4 IS_BONDED 0 SITEPROP TIEOFF_X19Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y4 IS_PAD 0 SITEPROP TIEOFF_X19Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y4 IS_RESERVED 0 SITEPROP TIEOFF_X19Y4 IS_TEST 0 SITEPROP TIEOFF_X19Y4 IS_USED 0 SITEPROP TIEOFF_X19Y4 MANUAL_ROUTING SITEPROP TIEOFF_X19Y4 NAME TIEOFF_X19Y4 SITEPROP TIEOFF_X19Y4 NUM_ARCS 0 SITEPROP TIEOFF_X19Y4 NUM_BELS 2 SITEPROP TIEOFF_X19Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y4 NUM_PINS 2 SITEPROP TIEOFF_X19Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y4 PROHIBIT 0 SITEPROP TIEOFF_X19Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y4 RPM_X 76 SITEPROP TIEOFF_X19Y4 RPM_Y 8 SITEPROP TIEOFF_X19Y4 SITE_PIPS SITEPROP TIEOFF_X19Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y5 CLASS site SITEPROP TIEOFF_X19Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y5 IS_BONDED 0 SITEPROP TIEOFF_X19Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y5 IS_PAD 0 SITEPROP TIEOFF_X19Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y5 IS_RESERVED 0 SITEPROP TIEOFF_X19Y5 IS_TEST 0 SITEPROP TIEOFF_X19Y5 IS_USED 0 SITEPROP TIEOFF_X19Y5 MANUAL_ROUTING SITEPROP TIEOFF_X19Y5 NAME TIEOFF_X19Y5 SITEPROP TIEOFF_X19Y5 NUM_ARCS 0 SITEPROP TIEOFF_X19Y5 NUM_BELS 2 SITEPROP TIEOFF_X19Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y5 NUM_PINS 2 SITEPROP TIEOFF_X19Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y5 PROHIBIT 0 SITEPROP TIEOFF_X19Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y5 RPM_X 76 SITEPROP TIEOFF_X19Y5 RPM_Y 10 SITEPROP TIEOFF_X19Y5 SITE_PIPS SITEPROP TIEOFF_X19Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y6 CLASS site SITEPROP TIEOFF_X19Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y6 IS_BONDED 0 SITEPROP TIEOFF_X19Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y6 IS_PAD 0 SITEPROP TIEOFF_X19Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y6 IS_RESERVED 0 SITEPROP TIEOFF_X19Y6 IS_TEST 0 SITEPROP TIEOFF_X19Y6 IS_USED 0 SITEPROP TIEOFF_X19Y6 MANUAL_ROUTING SITEPROP TIEOFF_X19Y6 NAME TIEOFF_X19Y6 SITEPROP TIEOFF_X19Y6 NUM_ARCS 0 SITEPROP TIEOFF_X19Y6 NUM_BELS 2 SITEPROP TIEOFF_X19Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y6 NUM_PINS 2 SITEPROP TIEOFF_X19Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y6 PROHIBIT 0 SITEPROP TIEOFF_X19Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y6 RPM_X 76 SITEPROP TIEOFF_X19Y6 RPM_Y 12 SITEPROP TIEOFF_X19Y6 SITE_PIPS SITEPROP TIEOFF_X19Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y7 CLASS site SITEPROP TIEOFF_X19Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y7 IS_BONDED 0 SITEPROP TIEOFF_X19Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y7 IS_PAD 0 SITEPROP TIEOFF_X19Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y7 IS_RESERVED 0 SITEPROP TIEOFF_X19Y7 IS_TEST 0 SITEPROP TIEOFF_X19Y7 IS_USED 0 SITEPROP TIEOFF_X19Y7 MANUAL_ROUTING SITEPROP TIEOFF_X19Y7 NAME TIEOFF_X19Y7 SITEPROP TIEOFF_X19Y7 NUM_ARCS 0 SITEPROP TIEOFF_X19Y7 NUM_BELS 2 SITEPROP TIEOFF_X19Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y7 NUM_PINS 2 SITEPROP TIEOFF_X19Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y7 PROHIBIT 0 SITEPROP TIEOFF_X19Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y7 RPM_X 76 SITEPROP TIEOFF_X19Y7 RPM_Y 14 SITEPROP TIEOFF_X19Y7 SITE_PIPS SITEPROP TIEOFF_X19Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y8 CLASS site SITEPROP TIEOFF_X19Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y8 IS_BONDED 0 SITEPROP TIEOFF_X19Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y8 IS_PAD 0 SITEPROP TIEOFF_X19Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y8 IS_RESERVED 0 SITEPROP TIEOFF_X19Y8 IS_TEST 0 SITEPROP TIEOFF_X19Y8 IS_USED 0 SITEPROP TIEOFF_X19Y8 MANUAL_ROUTING SITEPROP TIEOFF_X19Y8 NAME TIEOFF_X19Y8 SITEPROP TIEOFF_X19Y8 NUM_ARCS 0 SITEPROP TIEOFF_X19Y8 NUM_BELS 2 SITEPROP TIEOFF_X19Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y8 NUM_PINS 2 SITEPROP TIEOFF_X19Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y8 PROHIBIT 0 SITEPROP TIEOFF_X19Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y8 RPM_X 76 SITEPROP TIEOFF_X19Y8 RPM_Y 16 SITEPROP TIEOFF_X19Y8 SITE_PIPS SITEPROP TIEOFF_X19Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y9 CLASS site SITEPROP TIEOFF_X19Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y9 IS_BONDED 0 SITEPROP TIEOFF_X19Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y9 IS_PAD 0 SITEPROP TIEOFF_X19Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y9 IS_RESERVED 0 SITEPROP TIEOFF_X19Y9 IS_TEST 0 SITEPROP TIEOFF_X19Y9 IS_USED 0 SITEPROP TIEOFF_X19Y9 MANUAL_ROUTING SITEPROP TIEOFF_X19Y9 NAME TIEOFF_X19Y9 SITEPROP TIEOFF_X19Y9 NUM_ARCS 0 SITEPROP TIEOFF_X19Y9 NUM_BELS 2 SITEPROP TIEOFF_X19Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y9 NUM_PINS 2 SITEPROP TIEOFF_X19Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y9 PROHIBIT 0 SITEPROP TIEOFF_X19Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y9 RPM_X 76 SITEPROP TIEOFF_X19Y9 RPM_Y 18 SITEPROP TIEOFF_X19Y9 SITE_PIPS SITEPROP TIEOFF_X19Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y10 CLASS site SITEPROP TIEOFF_X19Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y10 IS_BONDED 0 SITEPROP TIEOFF_X19Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y10 IS_PAD 0 SITEPROP TIEOFF_X19Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y10 IS_RESERVED 0 SITEPROP TIEOFF_X19Y10 IS_TEST 0 SITEPROP TIEOFF_X19Y10 IS_USED 0 SITEPROP TIEOFF_X19Y10 MANUAL_ROUTING SITEPROP TIEOFF_X19Y10 NAME TIEOFF_X19Y10 SITEPROP TIEOFF_X19Y10 NUM_ARCS 0 SITEPROP TIEOFF_X19Y10 NUM_BELS 2 SITEPROP TIEOFF_X19Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y10 NUM_PINS 2 SITEPROP TIEOFF_X19Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y10 PROHIBIT 0 SITEPROP TIEOFF_X19Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y10 RPM_X 76 SITEPROP TIEOFF_X19Y10 RPM_Y 20 SITEPROP TIEOFF_X19Y10 SITE_PIPS SITEPROP TIEOFF_X19Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y11 CLASS site SITEPROP TIEOFF_X19Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y11 IS_BONDED 0 SITEPROP TIEOFF_X19Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y11 IS_PAD 0 SITEPROP TIEOFF_X19Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y11 IS_RESERVED 0 SITEPROP TIEOFF_X19Y11 IS_TEST 0 SITEPROP TIEOFF_X19Y11 IS_USED 0 SITEPROP TIEOFF_X19Y11 MANUAL_ROUTING SITEPROP TIEOFF_X19Y11 NAME TIEOFF_X19Y11 SITEPROP TIEOFF_X19Y11 NUM_ARCS 0 SITEPROP TIEOFF_X19Y11 NUM_BELS 2 SITEPROP TIEOFF_X19Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y11 NUM_PINS 2 SITEPROP TIEOFF_X19Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y11 PROHIBIT 0 SITEPROP TIEOFF_X19Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y11 RPM_X 76 SITEPROP TIEOFF_X19Y11 RPM_Y 22 SITEPROP TIEOFF_X19Y11 SITE_PIPS SITEPROP TIEOFF_X19Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y12 CLASS site SITEPROP TIEOFF_X19Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y12 IS_BONDED 0 SITEPROP TIEOFF_X19Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y12 IS_PAD 0 SITEPROP TIEOFF_X19Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y12 IS_RESERVED 0 SITEPROP TIEOFF_X19Y12 IS_TEST 0 SITEPROP TIEOFF_X19Y12 IS_USED 0 SITEPROP TIEOFF_X19Y12 MANUAL_ROUTING SITEPROP TIEOFF_X19Y12 NAME TIEOFF_X19Y12 SITEPROP TIEOFF_X19Y12 NUM_ARCS 0 SITEPROP TIEOFF_X19Y12 NUM_BELS 2 SITEPROP TIEOFF_X19Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y12 NUM_PINS 2 SITEPROP TIEOFF_X19Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y12 PROHIBIT 0 SITEPROP TIEOFF_X19Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y12 RPM_X 76 SITEPROP TIEOFF_X19Y12 RPM_Y 24 SITEPROP TIEOFF_X19Y12 SITE_PIPS SITEPROP TIEOFF_X19Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y13 CLASS site SITEPROP TIEOFF_X19Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y13 IS_BONDED 0 SITEPROP TIEOFF_X19Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y13 IS_PAD 0 SITEPROP TIEOFF_X19Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y13 IS_RESERVED 0 SITEPROP TIEOFF_X19Y13 IS_TEST 0 SITEPROP TIEOFF_X19Y13 IS_USED 0 SITEPROP TIEOFF_X19Y13 MANUAL_ROUTING SITEPROP TIEOFF_X19Y13 NAME TIEOFF_X19Y13 SITEPROP TIEOFF_X19Y13 NUM_ARCS 0 SITEPROP TIEOFF_X19Y13 NUM_BELS 2 SITEPROP TIEOFF_X19Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y13 NUM_PINS 2 SITEPROP TIEOFF_X19Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y13 PROHIBIT 0 SITEPROP TIEOFF_X19Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y13 RPM_X 76 SITEPROP TIEOFF_X19Y13 RPM_Y 26 SITEPROP TIEOFF_X19Y13 SITE_PIPS SITEPROP TIEOFF_X19Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y14 CLASS site SITEPROP TIEOFF_X19Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y14 IS_BONDED 0 SITEPROP TIEOFF_X19Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y14 IS_PAD 0 SITEPROP TIEOFF_X19Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y14 IS_RESERVED 0 SITEPROP TIEOFF_X19Y14 IS_TEST 0 SITEPROP TIEOFF_X19Y14 IS_USED 0 SITEPROP TIEOFF_X19Y14 MANUAL_ROUTING SITEPROP TIEOFF_X19Y14 NAME TIEOFF_X19Y14 SITEPROP TIEOFF_X19Y14 NUM_ARCS 0 SITEPROP TIEOFF_X19Y14 NUM_BELS 2 SITEPROP TIEOFF_X19Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y14 NUM_PINS 2 SITEPROP TIEOFF_X19Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y14 PROHIBIT 0 SITEPROP TIEOFF_X19Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y14 RPM_X 76 SITEPROP TIEOFF_X19Y14 RPM_Y 28 SITEPROP TIEOFF_X19Y14 SITE_PIPS SITEPROP TIEOFF_X19Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y15 CLASS site SITEPROP TIEOFF_X19Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y15 IS_BONDED 0 SITEPROP TIEOFF_X19Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y15 IS_PAD 0 SITEPROP TIEOFF_X19Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y15 IS_RESERVED 0 SITEPROP TIEOFF_X19Y15 IS_TEST 0 SITEPROP TIEOFF_X19Y15 IS_USED 0 SITEPROP TIEOFF_X19Y15 MANUAL_ROUTING SITEPROP TIEOFF_X19Y15 NAME TIEOFF_X19Y15 SITEPROP TIEOFF_X19Y15 NUM_ARCS 0 SITEPROP TIEOFF_X19Y15 NUM_BELS 2 SITEPROP TIEOFF_X19Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y15 NUM_PINS 2 SITEPROP TIEOFF_X19Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y15 PROHIBIT 0 SITEPROP TIEOFF_X19Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y15 RPM_X 76 SITEPROP TIEOFF_X19Y15 RPM_Y 30 SITEPROP TIEOFF_X19Y15 SITE_PIPS SITEPROP TIEOFF_X19Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y16 CLASS site SITEPROP TIEOFF_X19Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y16 IS_BONDED 0 SITEPROP TIEOFF_X19Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y16 IS_PAD 0 SITEPROP TIEOFF_X19Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y16 IS_RESERVED 0 SITEPROP TIEOFF_X19Y16 IS_TEST 0 SITEPROP TIEOFF_X19Y16 IS_USED 0 SITEPROP TIEOFF_X19Y16 MANUAL_ROUTING SITEPROP TIEOFF_X19Y16 NAME TIEOFF_X19Y16 SITEPROP TIEOFF_X19Y16 NUM_ARCS 0 SITEPROP TIEOFF_X19Y16 NUM_BELS 2 SITEPROP TIEOFF_X19Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y16 NUM_PINS 2 SITEPROP TIEOFF_X19Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y16 PROHIBIT 0 SITEPROP TIEOFF_X19Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y16 RPM_X 76 SITEPROP TIEOFF_X19Y16 RPM_Y 32 SITEPROP TIEOFF_X19Y16 SITE_PIPS SITEPROP TIEOFF_X19Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y17 CLASS site SITEPROP TIEOFF_X19Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y17 IS_BONDED 0 SITEPROP TIEOFF_X19Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y17 IS_PAD 0 SITEPROP TIEOFF_X19Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y17 IS_RESERVED 0 SITEPROP TIEOFF_X19Y17 IS_TEST 0 SITEPROP TIEOFF_X19Y17 IS_USED 0 SITEPROP TIEOFF_X19Y17 MANUAL_ROUTING SITEPROP TIEOFF_X19Y17 NAME TIEOFF_X19Y17 SITEPROP TIEOFF_X19Y17 NUM_ARCS 0 SITEPROP TIEOFF_X19Y17 NUM_BELS 2 SITEPROP TIEOFF_X19Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y17 NUM_PINS 2 SITEPROP TIEOFF_X19Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y17 PROHIBIT 0 SITEPROP TIEOFF_X19Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y17 RPM_X 76 SITEPROP TIEOFF_X19Y17 RPM_Y 34 SITEPROP TIEOFF_X19Y17 SITE_PIPS SITEPROP TIEOFF_X19Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y18 CLASS site SITEPROP TIEOFF_X19Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y18 IS_BONDED 0 SITEPROP TIEOFF_X19Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y18 IS_PAD 0 SITEPROP TIEOFF_X19Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y18 IS_RESERVED 0 SITEPROP TIEOFF_X19Y18 IS_TEST 0 SITEPROP TIEOFF_X19Y18 IS_USED 0 SITEPROP TIEOFF_X19Y18 MANUAL_ROUTING SITEPROP TIEOFF_X19Y18 NAME TIEOFF_X19Y18 SITEPROP TIEOFF_X19Y18 NUM_ARCS 0 SITEPROP TIEOFF_X19Y18 NUM_BELS 2 SITEPROP TIEOFF_X19Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y18 NUM_PINS 2 SITEPROP TIEOFF_X19Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y18 PROHIBIT 0 SITEPROP TIEOFF_X19Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y18 RPM_X 76 SITEPROP TIEOFF_X19Y18 RPM_Y 36 SITEPROP TIEOFF_X19Y18 SITE_PIPS SITEPROP TIEOFF_X19Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y19 CLASS site SITEPROP TIEOFF_X19Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y19 IS_BONDED 0 SITEPROP TIEOFF_X19Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y19 IS_PAD 0 SITEPROP TIEOFF_X19Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y19 IS_RESERVED 0 SITEPROP TIEOFF_X19Y19 IS_TEST 0 SITEPROP TIEOFF_X19Y19 IS_USED 0 SITEPROP TIEOFF_X19Y19 MANUAL_ROUTING SITEPROP TIEOFF_X19Y19 NAME TIEOFF_X19Y19 SITEPROP TIEOFF_X19Y19 NUM_ARCS 0 SITEPROP TIEOFF_X19Y19 NUM_BELS 2 SITEPROP TIEOFF_X19Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y19 NUM_PINS 2 SITEPROP TIEOFF_X19Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y19 PROHIBIT 0 SITEPROP TIEOFF_X19Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y19 RPM_X 76 SITEPROP TIEOFF_X19Y19 RPM_Y 38 SITEPROP TIEOFF_X19Y19 SITE_PIPS SITEPROP TIEOFF_X19Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y20 CLASS site SITEPROP TIEOFF_X19Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y20 IS_BONDED 0 SITEPROP TIEOFF_X19Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y20 IS_PAD 0 SITEPROP TIEOFF_X19Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y20 IS_RESERVED 0 SITEPROP TIEOFF_X19Y20 IS_TEST 0 SITEPROP TIEOFF_X19Y20 IS_USED 0 SITEPROP TIEOFF_X19Y20 MANUAL_ROUTING SITEPROP TIEOFF_X19Y20 NAME TIEOFF_X19Y20 SITEPROP TIEOFF_X19Y20 NUM_ARCS 0 SITEPROP TIEOFF_X19Y20 NUM_BELS 2 SITEPROP TIEOFF_X19Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y20 NUM_PINS 2 SITEPROP TIEOFF_X19Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y20 PROHIBIT 0 SITEPROP TIEOFF_X19Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y20 RPM_X 76 SITEPROP TIEOFF_X19Y20 RPM_Y 40 SITEPROP TIEOFF_X19Y20 SITE_PIPS SITEPROP TIEOFF_X19Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y21 CLASS site SITEPROP TIEOFF_X19Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y21 IS_BONDED 0 SITEPROP TIEOFF_X19Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y21 IS_PAD 0 SITEPROP TIEOFF_X19Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y21 IS_RESERVED 0 SITEPROP TIEOFF_X19Y21 IS_TEST 0 SITEPROP TIEOFF_X19Y21 IS_USED 0 SITEPROP TIEOFF_X19Y21 MANUAL_ROUTING SITEPROP TIEOFF_X19Y21 NAME TIEOFF_X19Y21 SITEPROP TIEOFF_X19Y21 NUM_ARCS 0 SITEPROP TIEOFF_X19Y21 NUM_BELS 2 SITEPROP TIEOFF_X19Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y21 NUM_PINS 2 SITEPROP TIEOFF_X19Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y21 PROHIBIT 0 SITEPROP TIEOFF_X19Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y21 RPM_X 76 SITEPROP TIEOFF_X19Y21 RPM_Y 42 SITEPROP TIEOFF_X19Y21 SITE_PIPS SITEPROP TIEOFF_X19Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y22 CLASS site SITEPROP TIEOFF_X19Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y22 IS_BONDED 0 SITEPROP TIEOFF_X19Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y22 IS_PAD 0 SITEPROP TIEOFF_X19Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y22 IS_RESERVED 0 SITEPROP TIEOFF_X19Y22 IS_TEST 0 SITEPROP TIEOFF_X19Y22 IS_USED 0 SITEPROP TIEOFF_X19Y22 MANUAL_ROUTING SITEPROP TIEOFF_X19Y22 NAME TIEOFF_X19Y22 SITEPROP TIEOFF_X19Y22 NUM_ARCS 0 SITEPROP TIEOFF_X19Y22 NUM_BELS 2 SITEPROP TIEOFF_X19Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y22 NUM_PINS 2 SITEPROP TIEOFF_X19Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y22 PROHIBIT 0 SITEPROP TIEOFF_X19Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y22 RPM_X 76 SITEPROP TIEOFF_X19Y22 RPM_Y 44 SITEPROP TIEOFF_X19Y22 SITE_PIPS SITEPROP TIEOFF_X19Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y23 CLASS site SITEPROP TIEOFF_X19Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y23 IS_BONDED 0 SITEPROP TIEOFF_X19Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y23 IS_PAD 0 SITEPROP TIEOFF_X19Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y23 IS_RESERVED 0 SITEPROP TIEOFF_X19Y23 IS_TEST 0 SITEPROP TIEOFF_X19Y23 IS_USED 0 SITEPROP TIEOFF_X19Y23 MANUAL_ROUTING SITEPROP TIEOFF_X19Y23 NAME TIEOFF_X19Y23 SITEPROP TIEOFF_X19Y23 NUM_ARCS 0 SITEPROP TIEOFF_X19Y23 NUM_BELS 2 SITEPROP TIEOFF_X19Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y23 NUM_PINS 2 SITEPROP TIEOFF_X19Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y23 PROHIBIT 0 SITEPROP TIEOFF_X19Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y23 RPM_X 76 SITEPROP TIEOFF_X19Y23 RPM_Y 46 SITEPROP TIEOFF_X19Y23 SITE_PIPS SITEPROP TIEOFF_X19Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y24 CLASS site SITEPROP TIEOFF_X19Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y24 IS_BONDED 0 SITEPROP TIEOFF_X19Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y24 IS_PAD 0 SITEPROP TIEOFF_X19Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y24 IS_RESERVED 0 SITEPROP TIEOFF_X19Y24 IS_TEST 0 SITEPROP TIEOFF_X19Y24 IS_USED 0 SITEPROP TIEOFF_X19Y24 MANUAL_ROUTING SITEPROP TIEOFF_X19Y24 NAME TIEOFF_X19Y24 SITEPROP TIEOFF_X19Y24 NUM_ARCS 0 SITEPROP TIEOFF_X19Y24 NUM_BELS 2 SITEPROP TIEOFF_X19Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y24 NUM_PINS 2 SITEPROP TIEOFF_X19Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y24 PROHIBIT 0 SITEPROP TIEOFF_X19Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y24 RPM_X 76 SITEPROP TIEOFF_X19Y24 RPM_Y 48 SITEPROP TIEOFF_X19Y24 SITE_PIPS SITEPROP TIEOFF_X19Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y25 CLASS site SITEPROP TIEOFF_X19Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y25 IS_BONDED 0 SITEPROP TIEOFF_X19Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y25 IS_PAD 0 SITEPROP TIEOFF_X19Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y25 IS_RESERVED 0 SITEPROP TIEOFF_X19Y25 IS_TEST 0 SITEPROP TIEOFF_X19Y25 IS_USED 0 SITEPROP TIEOFF_X19Y25 MANUAL_ROUTING SITEPROP TIEOFF_X19Y25 NAME TIEOFF_X19Y25 SITEPROP TIEOFF_X19Y25 NUM_ARCS 0 SITEPROP TIEOFF_X19Y25 NUM_BELS 2 SITEPROP TIEOFF_X19Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y25 NUM_PINS 2 SITEPROP TIEOFF_X19Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y25 PROHIBIT 0 SITEPROP TIEOFF_X19Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y25 RPM_X 76 SITEPROP TIEOFF_X19Y25 RPM_Y 50 SITEPROP TIEOFF_X19Y25 SITE_PIPS SITEPROP TIEOFF_X19Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y26 CLASS site SITEPROP TIEOFF_X19Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y26 IS_BONDED 0 SITEPROP TIEOFF_X19Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y26 IS_PAD 0 SITEPROP TIEOFF_X19Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y26 IS_RESERVED 0 SITEPROP TIEOFF_X19Y26 IS_TEST 0 SITEPROP TIEOFF_X19Y26 IS_USED 0 SITEPROP TIEOFF_X19Y26 MANUAL_ROUTING SITEPROP TIEOFF_X19Y26 NAME TIEOFF_X19Y26 SITEPROP TIEOFF_X19Y26 NUM_ARCS 0 SITEPROP TIEOFF_X19Y26 NUM_BELS 2 SITEPROP TIEOFF_X19Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y26 NUM_PINS 2 SITEPROP TIEOFF_X19Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y26 PROHIBIT 0 SITEPROP TIEOFF_X19Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y26 RPM_X 76 SITEPROP TIEOFF_X19Y26 RPM_Y 52 SITEPROP TIEOFF_X19Y26 SITE_PIPS SITEPROP TIEOFF_X19Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y27 CLASS site SITEPROP TIEOFF_X19Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y27 IS_BONDED 0 SITEPROP TIEOFF_X19Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y27 IS_PAD 0 SITEPROP TIEOFF_X19Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y27 IS_RESERVED 0 SITEPROP TIEOFF_X19Y27 IS_TEST 0 SITEPROP TIEOFF_X19Y27 IS_USED 0 SITEPROP TIEOFF_X19Y27 MANUAL_ROUTING SITEPROP TIEOFF_X19Y27 NAME TIEOFF_X19Y27 SITEPROP TIEOFF_X19Y27 NUM_ARCS 0 SITEPROP TIEOFF_X19Y27 NUM_BELS 2 SITEPROP TIEOFF_X19Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y27 NUM_PINS 2 SITEPROP TIEOFF_X19Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y27 PROHIBIT 0 SITEPROP TIEOFF_X19Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y27 RPM_X 76 SITEPROP TIEOFF_X19Y27 RPM_Y 54 SITEPROP TIEOFF_X19Y27 SITE_PIPS SITEPROP TIEOFF_X19Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y28 CLASS site SITEPROP TIEOFF_X19Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y28 IS_BONDED 0 SITEPROP TIEOFF_X19Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y28 IS_PAD 0 SITEPROP TIEOFF_X19Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y28 IS_RESERVED 0 SITEPROP TIEOFF_X19Y28 IS_TEST 0 SITEPROP TIEOFF_X19Y28 IS_USED 0 SITEPROP TIEOFF_X19Y28 MANUAL_ROUTING SITEPROP TIEOFF_X19Y28 NAME TIEOFF_X19Y28 SITEPROP TIEOFF_X19Y28 NUM_ARCS 0 SITEPROP TIEOFF_X19Y28 NUM_BELS 2 SITEPROP TIEOFF_X19Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y28 NUM_PINS 2 SITEPROP TIEOFF_X19Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y28 PROHIBIT 0 SITEPROP TIEOFF_X19Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y28 RPM_X 76 SITEPROP TIEOFF_X19Y28 RPM_Y 56 SITEPROP TIEOFF_X19Y28 SITE_PIPS SITEPROP TIEOFF_X19Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y29 CLASS site SITEPROP TIEOFF_X19Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y29 IS_BONDED 0 SITEPROP TIEOFF_X19Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y29 IS_PAD 0 SITEPROP TIEOFF_X19Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y29 IS_RESERVED 0 SITEPROP TIEOFF_X19Y29 IS_TEST 0 SITEPROP TIEOFF_X19Y29 IS_USED 0 SITEPROP TIEOFF_X19Y29 MANUAL_ROUTING SITEPROP TIEOFF_X19Y29 NAME TIEOFF_X19Y29 SITEPROP TIEOFF_X19Y29 NUM_ARCS 0 SITEPROP TIEOFF_X19Y29 NUM_BELS 2 SITEPROP TIEOFF_X19Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y29 NUM_PINS 2 SITEPROP TIEOFF_X19Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y29 PROHIBIT 0 SITEPROP TIEOFF_X19Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y29 RPM_X 76 SITEPROP TIEOFF_X19Y29 RPM_Y 58 SITEPROP TIEOFF_X19Y29 SITE_PIPS SITEPROP TIEOFF_X19Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y30 CLASS site SITEPROP TIEOFF_X19Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y30 IS_BONDED 0 SITEPROP TIEOFF_X19Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y30 IS_PAD 0 SITEPROP TIEOFF_X19Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y30 IS_RESERVED 0 SITEPROP TIEOFF_X19Y30 IS_TEST 0 SITEPROP TIEOFF_X19Y30 IS_USED 0 SITEPROP TIEOFF_X19Y30 MANUAL_ROUTING SITEPROP TIEOFF_X19Y30 NAME TIEOFF_X19Y30 SITEPROP TIEOFF_X19Y30 NUM_ARCS 0 SITEPROP TIEOFF_X19Y30 NUM_BELS 2 SITEPROP TIEOFF_X19Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y30 NUM_PINS 2 SITEPROP TIEOFF_X19Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y30 PROHIBIT 0 SITEPROP TIEOFF_X19Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y30 RPM_X 76 SITEPROP TIEOFF_X19Y30 RPM_Y 60 SITEPROP TIEOFF_X19Y30 SITE_PIPS SITEPROP TIEOFF_X19Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y31 CLASS site SITEPROP TIEOFF_X19Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y31 IS_BONDED 0 SITEPROP TIEOFF_X19Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y31 IS_PAD 0 SITEPROP TIEOFF_X19Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y31 IS_RESERVED 0 SITEPROP TIEOFF_X19Y31 IS_TEST 0 SITEPROP TIEOFF_X19Y31 IS_USED 0 SITEPROP TIEOFF_X19Y31 MANUAL_ROUTING SITEPROP TIEOFF_X19Y31 NAME TIEOFF_X19Y31 SITEPROP TIEOFF_X19Y31 NUM_ARCS 0 SITEPROP TIEOFF_X19Y31 NUM_BELS 2 SITEPROP TIEOFF_X19Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y31 NUM_PINS 2 SITEPROP TIEOFF_X19Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y31 PROHIBIT 0 SITEPROP TIEOFF_X19Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y31 RPM_X 76 SITEPROP TIEOFF_X19Y31 RPM_Y 62 SITEPROP TIEOFF_X19Y31 SITE_PIPS SITEPROP TIEOFF_X19Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y32 CLASS site SITEPROP TIEOFF_X19Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y32 IS_BONDED 0 SITEPROP TIEOFF_X19Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y32 IS_PAD 0 SITEPROP TIEOFF_X19Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y32 IS_RESERVED 0 SITEPROP TIEOFF_X19Y32 IS_TEST 0 SITEPROP TIEOFF_X19Y32 IS_USED 0 SITEPROP TIEOFF_X19Y32 MANUAL_ROUTING SITEPROP TIEOFF_X19Y32 NAME TIEOFF_X19Y32 SITEPROP TIEOFF_X19Y32 NUM_ARCS 0 SITEPROP TIEOFF_X19Y32 NUM_BELS 2 SITEPROP TIEOFF_X19Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y32 NUM_PINS 2 SITEPROP TIEOFF_X19Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y32 PROHIBIT 0 SITEPROP TIEOFF_X19Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y32 RPM_X 76 SITEPROP TIEOFF_X19Y32 RPM_Y 64 SITEPROP TIEOFF_X19Y32 SITE_PIPS SITEPROP TIEOFF_X19Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y33 CLASS site SITEPROP TIEOFF_X19Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y33 IS_BONDED 0 SITEPROP TIEOFF_X19Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y33 IS_PAD 0 SITEPROP TIEOFF_X19Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y33 IS_RESERVED 0 SITEPROP TIEOFF_X19Y33 IS_TEST 0 SITEPROP TIEOFF_X19Y33 IS_USED 0 SITEPROP TIEOFF_X19Y33 MANUAL_ROUTING SITEPROP TIEOFF_X19Y33 NAME TIEOFF_X19Y33 SITEPROP TIEOFF_X19Y33 NUM_ARCS 0 SITEPROP TIEOFF_X19Y33 NUM_BELS 2 SITEPROP TIEOFF_X19Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y33 NUM_PINS 2 SITEPROP TIEOFF_X19Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y33 PROHIBIT 0 SITEPROP TIEOFF_X19Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y33 RPM_X 76 SITEPROP TIEOFF_X19Y33 RPM_Y 66 SITEPROP TIEOFF_X19Y33 SITE_PIPS SITEPROP TIEOFF_X19Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y34 CLASS site SITEPROP TIEOFF_X19Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y34 IS_BONDED 0 SITEPROP TIEOFF_X19Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y34 IS_PAD 0 SITEPROP TIEOFF_X19Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y34 IS_RESERVED 0 SITEPROP TIEOFF_X19Y34 IS_TEST 0 SITEPROP TIEOFF_X19Y34 IS_USED 0 SITEPROP TIEOFF_X19Y34 MANUAL_ROUTING SITEPROP TIEOFF_X19Y34 NAME TIEOFF_X19Y34 SITEPROP TIEOFF_X19Y34 NUM_ARCS 0 SITEPROP TIEOFF_X19Y34 NUM_BELS 2 SITEPROP TIEOFF_X19Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y34 NUM_PINS 2 SITEPROP TIEOFF_X19Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y34 PROHIBIT 0 SITEPROP TIEOFF_X19Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y34 RPM_X 76 SITEPROP TIEOFF_X19Y34 RPM_Y 68 SITEPROP TIEOFF_X19Y34 SITE_PIPS SITEPROP TIEOFF_X19Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y35 CLASS site SITEPROP TIEOFF_X19Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y35 IS_BONDED 0 SITEPROP TIEOFF_X19Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y35 IS_PAD 0 SITEPROP TIEOFF_X19Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y35 IS_RESERVED 0 SITEPROP TIEOFF_X19Y35 IS_TEST 0 SITEPROP TIEOFF_X19Y35 IS_USED 0 SITEPROP TIEOFF_X19Y35 MANUAL_ROUTING SITEPROP TIEOFF_X19Y35 NAME TIEOFF_X19Y35 SITEPROP TIEOFF_X19Y35 NUM_ARCS 0 SITEPROP TIEOFF_X19Y35 NUM_BELS 2 SITEPROP TIEOFF_X19Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y35 NUM_PINS 2 SITEPROP TIEOFF_X19Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y35 PROHIBIT 0 SITEPROP TIEOFF_X19Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y35 RPM_X 76 SITEPROP TIEOFF_X19Y35 RPM_Y 70 SITEPROP TIEOFF_X19Y35 SITE_PIPS SITEPROP TIEOFF_X19Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y36 CLASS site SITEPROP TIEOFF_X19Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y36 IS_BONDED 0 SITEPROP TIEOFF_X19Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y36 IS_PAD 0 SITEPROP TIEOFF_X19Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y36 IS_RESERVED 0 SITEPROP TIEOFF_X19Y36 IS_TEST 0 SITEPROP TIEOFF_X19Y36 IS_USED 0 SITEPROP TIEOFF_X19Y36 MANUAL_ROUTING SITEPROP TIEOFF_X19Y36 NAME TIEOFF_X19Y36 SITEPROP TIEOFF_X19Y36 NUM_ARCS 0 SITEPROP TIEOFF_X19Y36 NUM_BELS 2 SITEPROP TIEOFF_X19Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y36 NUM_PINS 2 SITEPROP TIEOFF_X19Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y36 PROHIBIT 0 SITEPROP TIEOFF_X19Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y36 RPM_X 76 SITEPROP TIEOFF_X19Y36 RPM_Y 72 SITEPROP TIEOFF_X19Y36 SITE_PIPS SITEPROP TIEOFF_X19Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y37 CLASS site SITEPROP TIEOFF_X19Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y37 IS_BONDED 0 SITEPROP TIEOFF_X19Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y37 IS_PAD 0 SITEPROP TIEOFF_X19Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y37 IS_RESERVED 0 SITEPROP TIEOFF_X19Y37 IS_TEST 0 SITEPROP TIEOFF_X19Y37 IS_USED 0 SITEPROP TIEOFF_X19Y37 MANUAL_ROUTING SITEPROP TIEOFF_X19Y37 NAME TIEOFF_X19Y37 SITEPROP TIEOFF_X19Y37 NUM_ARCS 0 SITEPROP TIEOFF_X19Y37 NUM_BELS 2 SITEPROP TIEOFF_X19Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y37 NUM_PINS 2 SITEPROP TIEOFF_X19Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y37 PROHIBIT 0 SITEPROP TIEOFF_X19Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y37 RPM_X 76 SITEPROP TIEOFF_X19Y37 RPM_Y 74 SITEPROP TIEOFF_X19Y37 SITE_PIPS SITEPROP TIEOFF_X19Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y38 CLASS site SITEPROP TIEOFF_X19Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y38 IS_BONDED 0 SITEPROP TIEOFF_X19Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y38 IS_PAD 0 SITEPROP TIEOFF_X19Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y38 IS_RESERVED 0 SITEPROP TIEOFF_X19Y38 IS_TEST 0 SITEPROP TIEOFF_X19Y38 IS_USED 0 SITEPROP TIEOFF_X19Y38 MANUAL_ROUTING SITEPROP TIEOFF_X19Y38 NAME TIEOFF_X19Y38 SITEPROP TIEOFF_X19Y38 NUM_ARCS 0 SITEPROP TIEOFF_X19Y38 NUM_BELS 2 SITEPROP TIEOFF_X19Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y38 NUM_PINS 2 SITEPROP TIEOFF_X19Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y38 PROHIBIT 0 SITEPROP TIEOFF_X19Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y38 RPM_X 76 SITEPROP TIEOFF_X19Y38 RPM_Y 76 SITEPROP TIEOFF_X19Y38 SITE_PIPS SITEPROP TIEOFF_X19Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y39 CLASS site SITEPROP TIEOFF_X19Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y39 IS_BONDED 0 SITEPROP TIEOFF_X19Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y39 IS_PAD 0 SITEPROP TIEOFF_X19Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y39 IS_RESERVED 0 SITEPROP TIEOFF_X19Y39 IS_TEST 0 SITEPROP TIEOFF_X19Y39 IS_USED 0 SITEPROP TIEOFF_X19Y39 MANUAL_ROUTING SITEPROP TIEOFF_X19Y39 NAME TIEOFF_X19Y39 SITEPROP TIEOFF_X19Y39 NUM_ARCS 0 SITEPROP TIEOFF_X19Y39 NUM_BELS 2 SITEPROP TIEOFF_X19Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y39 NUM_PINS 2 SITEPROP TIEOFF_X19Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y39 PROHIBIT 0 SITEPROP TIEOFF_X19Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y39 RPM_X 76 SITEPROP TIEOFF_X19Y39 RPM_Y 78 SITEPROP TIEOFF_X19Y39 SITE_PIPS SITEPROP TIEOFF_X19Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y40 CLASS site SITEPROP TIEOFF_X19Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y40 IS_BONDED 0 SITEPROP TIEOFF_X19Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y40 IS_PAD 0 SITEPROP TIEOFF_X19Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y40 IS_RESERVED 0 SITEPROP TIEOFF_X19Y40 IS_TEST 0 SITEPROP TIEOFF_X19Y40 IS_USED 0 SITEPROP TIEOFF_X19Y40 MANUAL_ROUTING SITEPROP TIEOFF_X19Y40 NAME TIEOFF_X19Y40 SITEPROP TIEOFF_X19Y40 NUM_ARCS 0 SITEPROP TIEOFF_X19Y40 NUM_BELS 2 SITEPROP TIEOFF_X19Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y40 NUM_PINS 2 SITEPROP TIEOFF_X19Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y40 PROHIBIT 0 SITEPROP TIEOFF_X19Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y40 RPM_X 76 SITEPROP TIEOFF_X19Y40 RPM_Y 80 SITEPROP TIEOFF_X19Y40 SITE_PIPS SITEPROP TIEOFF_X19Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y41 CLASS site SITEPROP TIEOFF_X19Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y41 IS_BONDED 0 SITEPROP TIEOFF_X19Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y41 IS_PAD 0 SITEPROP TIEOFF_X19Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y41 IS_RESERVED 0 SITEPROP TIEOFF_X19Y41 IS_TEST 0 SITEPROP TIEOFF_X19Y41 IS_USED 0 SITEPROP TIEOFF_X19Y41 MANUAL_ROUTING SITEPROP TIEOFF_X19Y41 NAME TIEOFF_X19Y41 SITEPROP TIEOFF_X19Y41 NUM_ARCS 0 SITEPROP TIEOFF_X19Y41 NUM_BELS 2 SITEPROP TIEOFF_X19Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y41 NUM_PINS 2 SITEPROP TIEOFF_X19Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y41 PROHIBIT 0 SITEPROP TIEOFF_X19Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y41 RPM_X 76 SITEPROP TIEOFF_X19Y41 RPM_Y 82 SITEPROP TIEOFF_X19Y41 SITE_PIPS SITEPROP TIEOFF_X19Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y42 CLASS site SITEPROP TIEOFF_X19Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y42 IS_BONDED 0 SITEPROP TIEOFF_X19Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y42 IS_PAD 0 SITEPROP TIEOFF_X19Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y42 IS_RESERVED 0 SITEPROP TIEOFF_X19Y42 IS_TEST 0 SITEPROP TIEOFF_X19Y42 IS_USED 0 SITEPROP TIEOFF_X19Y42 MANUAL_ROUTING SITEPROP TIEOFF_X19Y42 NAME TIEOFF_X19Y42 SITEPROP TIEOFF_X19Y42 NUM_ARCS 0 SITEPROP TIEOFF_X19Y42 NUM_BELS 2 SITEPROP TIEOFF_X19Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y42 NUM_PINS 2 SITEPROP TIEOFF_X19Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y42 PROHIBIT 0 SITEPROP TIEOFF_X19Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y42 RPM_X 76 SITEPROP TIEOFF_X19Y42 RPM_Y 84 SITEPROP TIEOFF_X19Y42 SITE_PIPS SITEPROP TIEOFF_X19Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y43 CLASS site SITEPROP TIEOFF_X19Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y43 IS_BONDED 0 SITEPROP TIEOFF_X19Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y43 IS_PAD 0 SITEPROP TIEOFF_X19Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y43 IS_RESERVED 0 SITEPROP TIEOFF_X19Y43 IS_TEST 0 SITEPROP TIEOFF_X19Y43 IS_USED 0 SITEPROP TIEOFF_X19Y43 MANUAL_ROUTING SITEPROP TIEOFF_X19Y43 NAME TIEOFF_X19Y43 SITEPROP TIEOFF_X19Y43 NUM_ARCS 0 SITEPROP TIEOFF_X19Y43 NUM_BELS 2 SITEPROP TIEOFF_X19Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y43 NUM_PINS 2 SITEPROP TIEOFF_X19Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y43 PROHIBIT 0 SITEPROP TIEOFF_X19Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y43 RPM_X 76 SITEPROP TIEOFF_X19Y43 RPM_Y 86 SITEPROP TIEOFF_X19Y43 SITE_PIPS SITEPROP TIEOFF_X19Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y44 CLASS site SITEPROP TIEOFF_X19Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y44 IS_BONDED 0 SITEPROP TIEOFF_X19Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y44 IS_PAD 0 SITEPROP TIEOFF_X19Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y44 IS_RESERVED 0 SITEPROP TIEOFF_X19Y44 IS_TEST 0 SITEPROP TIEOFF_X19Y44 IS_USED 0 SITEPROP TIEOFF_X19Y44 MANUAL_ROUTING SITEPROP TIEOFF_X19Y44 NAME TIEOFF_X19Y44 SITEPROP TIEOFF_X19Y44 NUM_ARCS 0 SITEPROP TIEOFF_X19Y44 NUM_BELS 2 SITEPROP TIEOFF_X19Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y44 NUM_PINS 2 SITEPROP TIEOFF_X19Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y44 PROHIBIT 0 SITEPROP TIEOFF_X19Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y44 RPM_X 76 SITEPROP TIEOFF_X19Y44 RPM_Y 88 SITEPROP TIEOFF_X19Y44 SITE_PIPS SITEPROP TIEOFF_X19Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y45 CLASS site SITEPROP TIEOFF_X19Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y45 IS_BONDED 0 SITEPROP TIEOFF_X19Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y45 IS_PAD 0 SITEPROP TIEOFF_X19Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y45 IS_RESERVED 0 SITEPROP TIEOFF_X19Y45 IS_TEST 0 SITEPROP TIEOFF_X19Y45 IS_USED 0 SITEPROP TIEOFF_X19Y45 MANUAL_ROUTING SITEPROP TIEOFF_X19Y45 NAME TIEOFF_X19Y45 SITEPROP TIEOFF_X19Y45 NUM_ARCS 0 SITEPROP TIEOFF_X19Y45 NUM_BELS 2 SITEPROP TIEOFF_X19Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y45 NUM_PINS 2 SITEPROP TIEOFF_X19Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y45 PROHIBIT 0 SITEPROP TIEOFF_X19Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y45 RPM_X 76 SITEPROP TIEOFF_X19Y45 RPM_Y 90 SITEPROP TIEOFF_X19Y45 SITE_PIPS SITEPROP TIEOFF_X19Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y46 CLASS site SITEPROP TIEOFF_X19Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y46 IS_BONDED 0 SITEPROP TIEOFF_X19Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y46 IS_PAD 0 SITEPROP TIEOFF_X19Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y46 IS_RESERVED 0 SITEPROP TIEOFF_X19Y46 IS_TEST 0 SITEPROP TIEOFF_X19Y46 IS_USED 0 SITEPROP TIEOFF_X19Y46 MANUAL_ROUTING SITEPROP TIEOFF_X19Y46 NAME TIEOFF_X19Y46 SITEPROP TIEOFF_X19Y46 NUM_ARCS 0 SITEPROP TIEOFF_X19Y46 NUM_BELS 2 SITEPROP TIEOFF_X19Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y46 NUM_PINS 2 SITEPROP TIEOFF_X19Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y46 PROHIBIT 0 SITEPROP TIEOFF_X19Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y46 RPM_X 76 SITEPROP TIEOFF_X19Y46 RPM_Y 92 SITEPROP TIEOFF_X19Y46 SITE_PIPS SITEPROP TIEOFF_X19Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y47 CLASS site SITEPROP TIEOFF_X19Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y47 IS_BONDED 0 SITEPROP TIEOFF_X19Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y47 IS_PAD 0 SITEPROP TIEOFF_X19Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y47 IS_RESERVED 0 SITEPROP TIEOFF_X19Y47 IS_TEST 0 SITEPROP TIEOFF_X19Y47 IS_USED 0 SITEPROP TIEOFF_X19Y47 MANUAL_ROUTING SITEPROP TIEOFF_X19Y47 NAME TIEOFF_X19Y47 SITEPROP TIEOFF_X19Y47 NUM_ARCS 0 SITEPROP TIEOFF_X19Y47 NUM_BELS 2 SITEPROP TIEOFF_X19Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y47 NUM_PINS 2 SITEPROP TIEOFF_X19Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y47 PROHIBIT 0 SITEPROP TIEOFF_X19Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y47 RPM_X 76 SITEPROP TIEOFF_X19Y47 RPM_Y 94 SITEPROP TIEOFF_X19Y47 SITE_PIPS SITEPROP TIEOFF_X19Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y48 CLASS site SITEPROP TIEOFF_X19Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y48 IS_BONDED 0 SITEPROP TIEOFF_X19Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y48 IS_PAD 0 SITEPROP TIEOFF_X19Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y48 IS_RESERVED 0 SITEPROP TIEOFF_X19Y48 IS_TEST 0 SITEPROP TIEOFF_X19Y48 IS_USED 0 SITEPROP TIEOFF_X19Y48 MANUAL_ROUTING SITEPROP TIEOFF_X19Y48 NAME TIEOFF_X19Y48 SITEPROP TIEOFF_X19Y48 NUM_ARCS 0 SITEPROP TIEOFF_X19Y48 NUM_BELS 2 SITEPROP TIEOFF_X19Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y48 NUM_PINS 2 SITEPROP TIEOFF_X19Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y48 PROHIBIT 0 SITEPROP TIEOFF_X19Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y48 RPM_X 76 SITEPROP TIEOFF_X19Y48 RPM_Y 96 SITEPROP TIEOFF_X19Y48 SITE_PIPS SITEPROP TIEOFF_X19Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y49 CLASS site SITEPROP TIEOFF_X19Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X19Y49 IS_BONDED 0 SITEPROP TIEOFF_X19Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y49 IS_PAD 0 SITEPROP TIEOFF_X19Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y49 IS_RESERVED 0 SITEPROP TIEOFF_X19Y49 IS_TEST 0 SITEPROP TIEOFF_X19Y49 IS_USED 0 SITEPROP TIEOFF_X19Y49 MANUAL_ROUTING SITEPROP TIEOFF_X19Y49 NAME TIEOFF_X19Y49 SITEPROP TIEOFF_X19Y49 NUM_ARCS 0 SITEPROP TIEOFF_X19Y49 NUM_BELS 2 SITEPROP TIEOFF_X19Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y49 NUM_PINS 2 SITEPROP TIEOFF_X19Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y49 PROHIBIT 0 SITEPROP TIEOFF_X19Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y49 RPM_X 76 SITEPROP TIEOFF_X19Y49 RPM_Y 98 SITEPROP TIEOFF_X19Y49 SITE_PIPS SITEPROP TIEOFF_X19Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y50 CLASS site SITEPROP TIEOFF_X19Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y50 IS_BONDED 0 SITEPROP TIEOFF_X19Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y50 IS_PAD 0 SITEPROP TIEOFF_X19Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y50 IS_RESERVED 0 SITEPROP TIEOFF_X19Y50 IS_TEST 0 SITEPROP TIEOFF_X19Y50 IS_USED 0 SITEPROP TIEOFF_X19Y50 MANUAL_ROUTING SITEPROP TIEOFF_X19Y50 NAME TIEOFF_X19Y50 SITEPROP TIEOFF_X19Y50 NUM_ARCS 0 SITEPROP TIEOFF_X19Y50 NUM_BELS 2 SITEPROP TIEOFF_X19Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y50 NUM_PINS 2 SITEPROP TIEOFF_X19Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y50 PROHIBIT 0 SITEPROP TIEOFF_X19Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y50 RPM_X 76 SITEPROP TIEOFF_X19Y50 RPM_Y 100 SITEPROP TIEOFF_X19Y50 SITE_PIPS SITEPROP TIEOFF_X19Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y51 CLASS site SITEPROP TIEOFF_X19Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y51 IS_BONDED 0 SITEPROP TIEOFF_X19Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y51 IS_PAD 0 SITEPROP TIEOFF_X19Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y51 IS_RESERVED 0 SITEPROP TIEOFF_X19Y51 IS_TEST 0 SITEPROP TIEOFF_X19Y51 IS_USED 0 SITEPROP TIEOFF_X19Y51 MANUAL_ROUTING SITEPROP TIEOFF_X19Y51 NAME TIEOFF_X19Y51 SITEPROP TIEOFF_X19Y51 NUM_ARCS 0 SITEPROP TIEOFF_X19Y51 NUM_BELS 2 SITEPROP TIEOFF_X19Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y51 NUM_PINS 2 SITEPROP TIEOFF_X19Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y51 PROHIBIT 0 SITEPROP TIEOFF_X19Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y51 RPM_X 76 SITEPROP TIEOFF_X19Y51 RPM_Y 102 SITEPROP TIEOFF_X19Y51 SITE_PIPS SITEPROP TIEOFF_X19Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y52 CLASS site SITEPROP TIEOFF_X19Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y52 IS_BONDED 0 SITEPROP TIEOFF_X19Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y52 IS_PAD 0 SITEPROP TIEOFF_X19Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y52 IS_RESERVED 0 SITEPROP TIEOFF_X19Y52 IS_TEST 0 SITEPROP TIEOFF_X19Y52 IS_USED 0 SITEPROP TIEOFF_X19Y52 MANUAL_ROUTING SITEPROP TIEOFF_X19Y52 NAME TIEOFF_X19Y52 SITEPROP TIEOFF_X19Y52 NUM_ARCS 0 SITEPROP TIEOFF_X19Y52 NUM_BELS 2 SITEPROP TIEOFF_X19Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y52 NUM_PINS 2 SITEPROP TIEOFF_X19Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y52 PROHIBIT 0 SITEPROP TIEOFF_X19Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y52 RPM_X 76 SITEPROP TIEOFF_X19Y52 RPM_Y 104 SITEPROP TIEOFF_X19Y52 SITE_PIPS SITEPROP TIEOFF_X19Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y53 CLASS site SITEPROP TIEOFF_X19Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y53 IS_BONDED 0 SITEPROP TIEOFF_X19Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y53 IS_PAD 0 SITEPROP TIEOFF_X19Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y53 IS_RESERVED 0 SITEPROP TIEOFF_X19Y53 IS_TEST 0 SITEPROP TIEOFF_X19Y53 IS_USED 0 SITEPROP TIEOFF_X19Y53 MANUAL_ROUTING SITEPROP TIEOFF_X19Y53 NAME TIEOFF_X19Y53 SITEPROP TIEOFF_X19Y53 NUM_ARCS 0 SITEPROP TIEOFF_X19Y53 NUM_BELS 2 SITEPROP TIEOFF_X19Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y53 NUM_PINS 2 SITEPROP TIEOFF_X19Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y53 PROHIBIT 0 SITEPROP TIEOFF_X19Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y53 RPM_X 76 SITEPROP TIEOFF_X19Y53 RPM_Y 106 SITEPROP TIEOFF_X19Y53 SITE_PIPS SITEPROP TIEOFF_X19Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y54 CLASS site SITEPROP TIEOFF_X19Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y54 IS_BONDED 0 SITEPROP TIEOFF_X19Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y54 IS_PAD 0 SITEPROP TIEOFF_X19Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y54 IS_RESERVED 0 SITEPROP TIEOFF_X19Y54 IS_TEST 0 SITEPROP TIEOFF_X19Y54 IS_USED 0 SITEPROP TIEOFF_X19Y54 MANUAL_ROUTING SITEPROP TIEOFF_X19Y54 NAME TIEOFF_X19Y54 SITEPROP TIEOFF_X19Y54 NUM_ARCS 0 SITEPROP TIEOFF_X19Y54 NUM_BELS 2 SITEPROP TIEOFF_X19Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y54 NUM_PINS 2 SITEPROP TIEOFF_X19Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y54 PROHIBIT 0 SITEPROP TIEOFF_X19Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y54 RPM_X 76 SITEPROP TIEOFF_X19Y54 RPM_Y 108 SITEPROP TIEOFF_X19Y54 SITE_PIPS SITEPROP TIEOFF_X19Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y55 CLASS site SITEPROP TIEOFF_X19Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y55 IS_BONDED 0 SITEPROP TIEOFF_X19Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y55 IS_PAD 0 SITEPROP TIEOFF_X19Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y55 IS_RESERVED 0 SITEPROP TIEOFF_X19Y55 IS_TEST 0 SITEPROP TIEOFF_X19Y55 IS_USED 0 SITEPROP TIEOFF_X19Y55 MANUAL_ROUTING SITEPROP TIEOFF_X19Y55 NAME TIEOFF_X19Y55 SITEPROP TIEOFF_X19Y55 NUM_ARCS 0 SITEPROP TIEOFF_X19Y55 NUM_BELS 2 SITEPROP TIEOFF_X19Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y55 NUM_PINS 2 SITEPROP TIEOFF_X19Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y55 PROHIBIT 0 SITEPROP TIEOFF_X19Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y55 RPM_X 76 SITEPROP TIEOFF_X19Y55 RPM_Y 110 SITEPROP TIEOFF_X19Y55 SITE_PIPS SITEPROP TIEOFF_X19Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y56 CLASS site SITEPROP TIEOFF_X19Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y56 IS_BONDED 0 SITEPROP TIEOFF_X19Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y56 IS_PAD 0 SITEPROP TIEOFF_X19Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y56 IS_RESERVED 0 SITEPROP TIEOFF_X19Y56 IS_TEST 0 SITEPROP TIEOFF_X19Y56 IS_USED 0 SITEPROP TIEOFF_X19Y56 MANUAL_ROUTING SITEPROP TIEOFF_X19Y56 NAME TIEOFF_X19Y56 SITEPROP TIEOFF_X19Y56 NUM_ARCS 0 SITEPROP TIEOFF_X19Y56 NUM_BELS 2 SITEPROP TIEOFF_X19Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y56 NUM_PINS 2 SITEPROP TIEOFF_X19Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y56 PROHIBIT 0 SITEPROP TIEOFF_X19Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y56 RPM_X 76 SITEPROP TIEOFF_X19Y56 RPM_Y 112 SITEPROP TIEOFF_X19Y56 SITE_PIPS SITEPROP TIEOFF_X19Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y57 CLASS site SITEPROP TIEOFF_X19Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y57 IS_BONDED 0 SITEPROP TIEOFF_X19Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y57 IS_PAD 0 SITEPROP TIEOFF_X19Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y57 IS_RESERVED 0 SITEPROP TIEOFF_X19Y57 IS_TEST 0 SITEPROP TIEOFF_X19Y57 IS_USED 0 SITEPROP TIEOFF_X19Y57 MANUAL_ROUTING SITEPROP TIEOFF_X19Y57 NAME TIEOFF_X19Y57 SITEPROP TIEOFF_X19Y57 NUM_ARCS 0 SITEPROP TIEOFF_X19Y57 NUM_BELS 2 SITEPROP TIEOFF_X19Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y57 NUM_PINS 2 SITEPROP TIEOFF_X19Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y57 PROHIBIT 0 SITEPROP TIEOFF_X19Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y57 RPM_X 76 SITEPROP TIEOFF_X19Y57 RPM_Y 114 SITEPROP TIEOFF_X19Y57 SITE_PIPS SITEPROP TIEOFF_X19Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y58 CLASS site SITEPROP TIEOFF_X19Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y58 IS_BONDED 0 SITEPROP TIEOFF_X19Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y58 IS_PAD 0 SITEPROP TIEOFF_X19Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y58 IS_RESERVED 0 SITEPROP TIEOFF_X19Y58 IS_TEST 0 SITEPROP TIEOFF_X19Y58 IS_USED 0 SITEPROP TIEOFF_X19Y58 MANUAL_ROUTING SITEPROP TIEOFF_X19Y58 NAME TIEOFF_X19Y58 SITEPROP TIEOFF_X19Y58 NUM_ARCS 0 SITEPROP TIEOFF_X19Y58 NUM_BELS 2 SITEPROP TIEOFF_X19Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y58 NUM_PINS 2 SITEPROP TIEOFF_X19Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y58 PROHIBIT 0 SITEPROP TIEOFF_X19Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y58 RPM_X 76 SITEPROP TIEOFF_X19Y58 RPM_Y 116 SITEPROP TIEOFF_X19Y58 SITE_PIPS SITEPROP TIEOFF_X19Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y59 CLASS site SITEPROP TIEOFF_X19Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y59 IS_BONDED 0 SITEPROP TIEOFF_X19Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y59 IS_PAD 0 SITEPROP TIEOFF_X19Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y59 IS_RESERVED 0 SITEPROP TIEOFF_X19Y59 IS_TEST 0 SITEPROP TIEOFF_X19Y59 IS_USED 0 SITEPROP TIEOFF_X19Y59 MANUAL_ROUTING SITEPROP TIEOFF_X19Y59 NAME TIEOFF_X19Y59 SITEPROP TIEOFF_X19Y59 NUM_ARCS 0 SITEPROP TIEOFF_X19Y59 NUM_BELS 2 SITEPROP TIEOFF_X19Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y59 NUM_PINS 2 SITEPROP TIEOFF_X19Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y59 PROHIBIT 0 SITEPROP TIEOFF_X19Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y59 RPM_X 76 SITEPROP TIEOFF_X19Y59 RPM_Y 118 SITEPROP TIEOFF_X19Y59 SITE_PIPS SITEPROP TIEOFF_X19Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y60 CLASS site SITEPROP TIEOFF_X19Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y60 IS_BONDED 0 SITEPROP TIEOFF_X19Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y60 IS_PAD 0 SITEPROP TIEOFF_X19Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y60 IS_RESERVED 0 SITEPROP TIEOFF_X19Y60 IS_TEST 0 SITEPROP TIEOFF_X19Y60 IS_USED 0 SITEPROP TIEOFF_X19Y60 MANUAL_ROUTING SITEPROP TIEOFF_X19Y60 NAME TIEOFF_X19Y60 SITEPROP TIEOFF_X19Y60 NUM_ARCS 0 SITEPROP TIEOFF_X19Y60 NUM_BELS 2 SITEPROP TIEOFF_X19Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y60 NUM_PINS 2 SITEPROP TIEOFF_X19Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y60 PROHIBIT 0 SITEPROP TIEOFF_X19Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y60 RPM_X 76 SITEPROP TIEOFF_X19Y60 RPM_Y 120 SITEPROP TIEOFF_X19Y60 SITE_PIPS SITEPROP TIEOFF_X19Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y61 CLASS site SITEPROP TIEOFF_X19Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y61 IS_BONDED 0 SITEPROP TIEOFF_X19Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y61 IS_PAD 0 SITEPROP TIEOFF_X19Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y61 IS_RESERVED 0 SITEPROP TIEOFF_X19Y61 IS_TEST 0 SITEPROP TIEOFF_X19Y61 IS_USED 0 SITEPROP TIEOFF_X19Y61 MANUAL_ROUTING SITEPROP TIEOFF_X19Y61 NAME TIEOFF_X19Y61 SITEPROP TIEOFF_X19Y61 NUM_ARCS 0 SITEPROP TIEOFF_X19Y61 NUM_BELS 2 SITEPROP TIEOFF_X19Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y61 NUM_PINS 2 SITEPROP TIEOFF_X19Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y61 PROHIBIT 0 SITEPROP TIEOFF_X19Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y61 RPM_X 76 SITEPROP TIEOFF_X19Y61 RPM_Y 122 SITEPROP TIEOFF_X19Y61 SITE_PIPS SITEPROP TIEOFF_X19Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y62 CLASS site SITEPROP TIEOFF_X19Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y62 IS_BONDED 0 SITEPROP TIEOFF_X19Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y62 IS_PAD 0 SITEPROP TIEOFF_X19Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y62 IS_RESERVED 0 SITEPROP TIEOFF_X19Y62 IS_TEST 0 SITEPROP TIEOFF_X19Y62 IS_USED 0 SITEPROP TIEOFF_X19Y62 MANUAL_ROUTING SITEPROP TIEOFF_X19Y62 NAME TIEOFF_X19Y62 SITEPROP TIEOFF_X19Y62 NUM_ARCS 0 SITEPROP TIEOFF_X19Y62 NUM_BELS 2 SITEPROP TIEOFF_X19Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y62 NUM_PINS 2 SITEPROP TIEOFF_X19Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y62 PROHIBIT 0 SITEPROP TIEOFF_X19Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y62 RPM_X 76 SITEPROP TIEOFF_X19Y62 RPM_Y 124 SITEPROP TIEOFF_X19Y62 SITE_PIPS SITEPROP TIEOFF_X19Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y63 CLASS site SITEPROP TIEOFF_X19Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y63 IS_BONDED 0 SITEPROP TIEOFF_X19Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y63 IS_PAD 0 SITEPROP TIEOFF_X19Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y63 IS_RESERVED 0 SITEPROP TIEOFF_X19Y63 IS_TEST 0 SITEPROP TIEOFF_X19Y63 IS_USED 0 SITEPROP TIEOFF_X19Y63 MANUAL_ROUTING SITEPROP TIEOFF_X19Y63 NAME TIEOFF_X19Y63 SITEPROP TIEOFF_X19Y63 NUM_ARCS 0 SITEPROP TIEOFF_X19Y63 NUM_BELS 2 SITEPROP TIEOFF_X19Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y63 NUM_PINS 2 SITEPROP TIEOFF_X19Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y63 PROHIBIT 0 SITEPROP TIEOFF_X19Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y63 RPM_X 76 SITEPROP TIEOFF_X19Y63 RPM_Y 126 SITEPROP TIEOFF_X19Y63 SITE_PIPS SITEPROP TIEOFF_X19Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y64 CLASS site SITEPROP TIEOFF_X19Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y64 IS_BONDED 0 SITEPROP TIEOFF_X19Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y64 IS_PAD 0 SITEPROP TIEOFF_X19Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y64 IS_RESERVED 0 SITEPROP TIEOFF_X19Y64 IS_TEST 0 SITEPROP TIEOFF_X19Y64 IS_USED 0 SITEPROP TIEOFF_X19Y64 MANUAL_ROUTING SITEPROP TIEOFF_X19Y64 NAME TIEOFF_X19Y64 SITEPROP TIEOFF_X19Y64 NUM_ARCS 0 SITEPROP TIEOFF_X19Y64 NUM_BELS 2 SITEPROP TIEOFF_X19Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y64 NUM_PINS 2 SITEPROP TIEOFF_X19Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y64 PROHIBIT 0 SITEPROP TIEOFF_X19Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y64 RPM_X 76 SITEPROP TIEOFF_X19Y64 RPM_Y 128 SITEPROP TIEOFF_X19Y64 SITE_PIPS SITEPROP TIEOFF_X19Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y65 CLASS site SITEPROP TIEOFF_X19Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y65 IS_BONDED 0 SITEPROP TIEOFF_X19Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y65 IS_PAD 0 SITEPROP TIEOFF_X19Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y65 IS_RESERVED 0 SITEPROP TIEOFF_X19Y65 IS_TEST 0 SITEPROP TIEOFF_X19Y65 IS_USED 0 SITEPROP TIEOFF_X19Y65 MANUAL_ROUTING SITEPROP TIEOFF_X19Y65 NAME TIEOFF_X19Y65 SITEPROP TIEOFF_X19Y65 NUM_ARCS 0 SITEPROP TIEOFF_X19Y65 NUM_BELS 2 SITEPROP TIEOFF_X19Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y65 NUM_PINS 2 SITEPROP TIEOFF_X19Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y65 PROHIBIT 0 SITEPROP TIEOFF_X19Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y65 RPM_X 76 SITEPROP TIEOFF_X19Y65 RPM_Y 130 SITEPROP TIEOFF_X19Y65 SITE_PIPS SITEPROP TIEOFF_X19Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y66 CLASS site SITEPROP TIEOFF_X19Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y66 IS_BONDED 0 SITEPROP TIEOFF_X19Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y66 IS_PAD 0 SITEPROP TIEOFF_X19Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y66 IS_RESERVED 0 SITEPROP TIEOFF_X19Y66 IS_TEST 0 SITEPROP TIEOFF_X19Y66 IS_USED 0 SITEPROP TIEOFF_X19Y66 MANUAL_ROUTING SITEPROP TIEOFF_X19Y66 NAME TIEOFF_X19Y66 SITEPROP TIEOFF_X19Y66 NUM_ARCS 0 SITEPROP TIEOFF_X19Y66 NUM_BELS 2 SITEPROP TIEOFF_X19Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y66 NUM_PINS 2 SITEPROP TIEOFF_X19Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y66 PROHIBIT 0 SITEPROP TIEOFF_X19Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y66 RPM_X 76 SITEPROP TIEOFF_X19Y66 RPM_Y 132 SITEPROP TIEOFF_X19Y66 SITE_PIPS SITEPROP TIEOFF_X19Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y67 CLASS site SITEPROP TIEOFF_X19Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y67 IS_BONDED 0 SITEPROP TIEOFF_X19Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y67 IS_PAD 0 SITEPROP TIEOFF_X19Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y67 IS_RESERVED 0 SITEPROP TIEOFF_X19Y67 IS_TEST 0 SITEPROP TIEOFF_X19Y67 IS_USED 0 SITEPROP TIEOFF_X19Y67 MANUAL_ROUTING SITEPROP TIEOFF_X19Y67 NAME TIEOFF_X19Y67 SITEPROP TIEOFF_X19Y67 NUM_ARCS 0 SITEPROP TIEOFF_X19Y67 NUM_BELS 2 SITEPROP TIEOFF_X19Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y67 NUM_PINS 2 SITEPROP TIEOFF_X19Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y67 PROHIBIT 0 SITEPROP TIEOFF_X19Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y67 RPM_X 76 SITEPROP TIEOFF_X19Y67 RPM_Y 134 SITEPROP TIEOFF_X19Y67 SITE_PIPS SITEPROP TIEOFF_X19Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y68 CLASS site SITEPROP TIEOFF_X19Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y68 IS_BONDED 0 SITEPROP TIEOFF_X19Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y68 IS_PAD 0 SITEPROP TIEOFF_X19Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y68 IS_RESERVED 0 SITEPROP TIEOFF_X19Y68 IS_TEST 0 SITEPROP TIEOFF_X19Y68 IS_USED 0 SITEPROP TIEOFF_X19Y68 MANUAL_ROUTING SITEPROP TIEOFF_X19Y68 NAME TIEOFF_X19Y68 SITEPROP TIEOFF_X19Y68 NUM_ARCS 0 SITEPROP TIEOFF_X19Y68 NUM_BELS 2 SITEPROP TIEOFF_X19Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y68 NUM_PINS 2 SITEPROP TIEOFF_X19Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y68 PROHIBIT 0 SITEPROP TIEOFF_X19Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y68 RPM_X 76 SITEPROP TIEOFF_X19Y68 RPM_Y 136 SITEPROP TIEOFF_X19Y68 SITE_PIPS SITEPROP TIEOFF_X19Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y69 CLASS site SITEPROP TIEOFF_X19Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y69 IS_BONDED 0 SITEPROP TIEOFF_X19Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y69 IS_PAD 0 SITEPROP TIEOFF_X19Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y69 IS_RESERVED 0 SITEPROP TIEOFF_X19Y69 IS_TEST 0 SITEPROP TIEOFF_X19Y69 IS_USED 0 SITEPROP TIEOFF_X19Y69 MANUAL_ROUTING SITEPROP TIEOFF_X19Y69 NAME TIEOFF_X19Y69 SITEPROP TIEOFF_X19Y69 NUM_ARCS 0 SITEPROP TIEOFF_X19Y69 NUM_BELS 2 SITEPROP TIEOFF_X19Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y69 NUM_PINS 2 SITEPROP TIEOFF_X19Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y69 PROHIBIT 0 SITEPROP TIEOFF_X19Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y69 RPM_X 76 SITEPROP TIEOFF_X19Y69 RPM_Y 138 SITEPROP TIEOFF_X19Y69 SITE_PIPS SITEPROP TIEOFF_X19Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y70 CLASS site SITEPROP TIEOFF_X19Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y70 IS_BONDED 0 SITEPROP TIEOFF_X19Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y70 IS_PAD 0 SITEPROP TIEOFF_X19Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y70 IS_RESERVED 0 SITEPROP TIEOFF_X19Y70 IS_TEST 0 SITEPROP TIEOFF_X19Y70 IS_USED 0 SITEPROP TIEOFF_X19Y70 MANUAL_ROUTING SITEPROP TIEOFF_X19Y70 NAME TIEOFF_X19Y70 SITEPROP TIEOFF_X19Y70 NUM_ARCS 0 SITEPROP TIEOFF_X19Y70 NUM_BELS 2 SITEPROP TIEOFF_X19Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y70 NUM_PINS 2 SITEPROP TIEOFF_X19Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y70 PROHIBIT 0 SITEPROP TIEOFF_X19Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y70 RPM_X 76 SITEPROP TIEOFF_X19Y70 RPM_Y 140 SITEPROP TIEOFF_X19Y70 SITE_PIPS SITEPROP TIEOFF_X19Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y71 CLASS site SITEPROP TIEOFF_X19Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y71 IS_BONDED 0 SITEPROP TIEOFF_X19Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y71 IS_PAD 0 SITEPROP TIEOFF_X19Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y71 IS_RESERVED 0 SITEPROP TIEOFF_X19Y71 IS_TEST 0 SITEPROP TIEOFF_X19Y71 IS_USED 0 SITEPROP TIEOFF_X19Y71 MANUAL_ROUTING SITEPROP TIEOFF_X19Y71 NAME TIEOFF_X19Y71 SITEPROP TIEOFF_X19Y71 NUM_ARCS 0 SITEPROP TIEOFF_X19Y71 NUM_BELS 2 SITEPROP TIEOFF_X19Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y71 NUM_PINS 2 SITEPROP TIEOFF_X19Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y71 PROHIBIT 0 SITEPROP TIEOFF_X19Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y71 RPM_X 76 SITEPROP TIEOFF_X19Y71 RPM_Y 142 SITEPROP TIEOFF_X19Y71 SITE_PIPS SITEPROP TIEOFF_X19Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y72 CLASS site SITEPROP TIEOFF_X19Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y72 IS_BONDED 0 SITEPROP TIEOFF_X19Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y72 IS_PAD 0 SITEPROP TIEOFF_X19Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y72 IS_RESERVED 0 SITEPROP TIEOFF_X19Y72 IS_TEST 0 SITEPROP TIEOFF_X19Y72 IS_USED 0 SITEPROP TIEOFF_X19Y72 MANUAL_ROUTING SITEPROP TIEOFF_X19Y72 NAME TIEOFF_X19Y72 SITEPROP TIEOFF_X19Y72 NUM_ARCS 0 SITEPROP TIEOFF_X19Y72 NUM_BELS 2 SITEPROP TIEOFF_X19Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y72 NUM_PINS 2 SITEPROP TIEOFF_X19Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y72 PROHIBIT 0 SITEPROP TIEOFF_X19Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y72 RPM_X 76 SITEPROP TIEOFF_X19Y72 RPM_Y 144 SITEPROP TIEOFF_X19Y72 SITE_PIPS SITEPROP TIEOFF_X19Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y73 CLASS site SITEPROP TIEOFF_X19Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y73 IS_BONDED 0 SITEPROP TIEOFF_X19Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y73 IS_PAD 0 SITEPROP TIEOFF_X19Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y73 IS_RESERVED 0 SITEPROP TIEOFF_X19Y73 IS_TEST 0 SITEPROP TIEOFF_X19Y73 IS_USED 0 SITEPROP TIEOFF_X19Y73 MANUAL_ROUTING SITEPROP TIEOFF_X19Y73 NAME TIEOFF_X19Y73 SITEPROP TIEOFF_X19Y73 NUM_ARCS 0 SITEPROP TIEOFF_X19Y73 NUM_BELS 2 SITEPROP TIEOFF_X19Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y73 NUM_PINS 2 SITEPROP TIEOFF_X19Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y73 PROHIBIT 0 SITEPROP TIEOFF_X19Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y73 RPM_X 76 SITEPROP TIEOFF_X19Y73 RPM_Y 146 SITEPROP TIEOFF_X19Y73 SITE_PIPS SITEPROP TIEOFF_X19Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y74 CLASS site SITEPROP TIEOFF_X19Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y74 IS_BONDED 0 SITEPROP TIEOFF_X19Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y74 IS_PAD 0 SITEPROP TIEOFF_X19Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y74 IS_RESERVED 0 SITEPROP TIEOFF_X19Y74 IS_TEST 0 SITEPROP TIEOFF_X19Y74 IS_USED 0 SITEPROP TIEOFF_X19Y74 MANUAL_ROUTING SITEPROP TIEOFF_X19Y74 NAME TIEOFF_X19Y74 SITEPROP TIEOFF_X19Y74 NUM_ARCS 0 SITEPROP TIEOFF_X19Y74 NUM_BELS 2 SITEPROP TIEOFF_X19Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y74 NUM_PINS 2 SITEPROP TIEOFF_X19Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y74 PROHIBIT 0 SITEPROP TIEOFF_X19Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y74 RPM_X 76 SITEPROP TIEOFF_X19Y74 RPM_Y 148 SITEPROP TIEOFF_X19Y74 SITE_PIPS SITEPROP TIEOFF_X19Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y75 CLASS site SITEPROP TIEOFF_X19Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y75 IS_BONDED 0 SITEPROP TIEOFF_X19Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y75 IS_PAD 0 SITEPROP TIEOFF_X19Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y75 IS_RESERVED 0 SITEPROP TIEOFF_X19Y75 IS_TEST 0 SITEPROP TIEOFF_X19Y75 IS_USED 0 SITEPROP TIEOFF_X19Y75 MANUAL_ROUTING SITEPROP TIEOFF_X19Y75 NAME TIEOFF_X19Y75 SITEPROP TIEOFF_X19Y75 NUM_ARCS 0 SITEPROP TIEOFF_X19Y75 NUM_BELS 2 SITEPROP TIEOFF_X19Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y75 NUM_PINS 2 SITEPROP TIEOFF_X19Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y75 PROHIBIT 0 SITEPROP TIEOFF_X19Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y75 RPM_X 76 SITEPROP TIEOFF_X19Y75 RPM_Y 150 SITEPROP TIEOFF_X19Y75 SITE_PIPS SITEPROP TIEOFF_X19Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y76 CLASS site SITEPROP TIEOFF_X19Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y76 IS_BONDED 0 SITEPROP TIEOFF_X19Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y76 IS_PAD 0 SITEPROP TIEOFF_X19Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y76 IS_RESERVED 0 SITEPROP TIEOFF_X19Y76 IS_TEST 0 SITEPROP TIEOFF_X19Y76 IS_USED 0 SITEPROP TIEOFF_X19Y76 MANUAL_ROUTING SITEPROP TIEOFF_X19Y76 NAME TIEOFF_X19Y76 SITEPROP TIEOFF_X19Y76 NUM_ARCS 0 SITEPROP TIEOFF_X19Y76 NUM_BELS 2 SITEPROP TIEOFF_X19Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y76 NUM_PINS 2 SITEPROP TIEOFF_X19Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y76 PROHIBIT 0 SITEPROP TIEOFF_X19Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y76 RPM_X 76 SITEPROP TIEOFF_X19Y76 RPM_Y 153 SITEPROP TIEOFF_X19Y76 SITE_PIPS SITEPROP TIEOFF_X19Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y77 CLASS site SITEPROP TIEOFF_X19Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y77 IS_BONDED 0 SITEPROP TIEOFF_X19Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y77 IS_PAD 0 SITEPROP TIEOFF_X19Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y77 IS_RESERVED 0 SITEPROP TIEOFF_X19Y77 IS_TEST 0 SITEPROP TIEOFF_X19Y77 IS_USED 0 SITEPROP TIEOFF_X19Y77 MANUAL_ROUTING SITEPROP TIEOFF_X19Y77 NAME TIEOFF_X19Y77 SITEPROP TIEOFF_X19Y77 NUM_ARCS 0 SITEPROP TIEOFF_X19Y77 NUM_BELS 2 SITEPROP TIEOFF_X19Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y77 NUM_PINS 2 SITEPROP TIEOFF_X19Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y77 PROHIBIT 0 SITEPROP TIEOFF_X19Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y77 RPM_X 76 SITEPROP TIEOFF_X19Y77 RPM_Y 154 SITEPROP TIEOFF_X19Y77 SITE_PIPS SITEPROP TIEOFF_X19Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y78 CLASS site SITEPROP TIEOFF_X19Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y78 IS_BONDED 0 SITEPROP TIEOFF_X19Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y78 IS_PAD 0 SITEPROP TIEOFF_X19Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y78 IS_RESERVED 0 SITEPROP TIEOFF_X19Y78 IS_TEST 0 SITEPROP TIEOFF_X19Y78 IS_USED 0 SITEPROP TIEOFF_X19Y78 MANUAL_ROUTING SITEPROP TIEOFF_X19Y78 NAME TIEOFF_X19Y78 SITEPROP TIEOFF_X19Y78 NUM_ARCS 0 SITEPROP TIEOFF_X19Y78 NUM_BELS 2 SITEPROP TIEOFF_X19Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y78 NUM_PINS 2 SITEPROP TIEOFF_X19Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y78 PROHIBIT 0 SITEPROP TIEOFF_X19Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y78 RPM_X 76 SITEPROP TIEOFF_X19Y78 RPM_Y 156 SITEPROP TIEOFF_X19Y78 SITE_PIPS SITEPROP TIEOFF_X19Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y79 CLASS site SITEPROP TIEOFF_X19Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y79 IS_BONDED 0 SITEPROP TIEOFF_X19Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y79 IS_PAD 0 SITEPROP TIEOFF_X19Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y79 IS_RESERVED 0 SITEPROP TIEOFF_X19Y79 IS_TEST 0 SITEPROP TIEOFF_X19Y79 IS_USED 0 SITEPROP TIEOFF_X19Y79 MANUAL_ROUTING SITEPROP TIEOFF_X19Y79 NAME TIEOFF_X19Y79 SITEPROP TIEOFF_X19Y79 NUM_ARCS 0 SITEPROP TIEOFF_X19Y79 NUM_BELS 2 SITEPROP TIEOFF_X19Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y79 NUM_PINS 2 SITEPROP TIEOFF_X19Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y79 PROHIBIT 0 SITEPROP TIEOFF_X19Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y79 RPM_X 76 SITEPROP TIEOFF_X19Y79 RPM_Y 158 SITEPROP TIEOFF_X19Y79 SITE_PIPS SITEPROP TIEOFF_X19Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y80 CLASS site SITEPROP TIEOFF_X19Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y80 IS_BONDED 0 SITEPROP TIEOFF_X19Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y80 IS_PAD 0 SITEPROP TIEOFF_X19Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y80 IS_RESERVED 0 SITEPROP TIEOFF_X19Y80 IS_TEST 0 SITEPROP TIEOFF_X19Y80 IS_USED 0 SITEPROP TIEOFF_X19Y80 MANUAL_ROUTING SITEPROP TIEOFF_X19Y80 NAME TIEOFF_X19Y80 SITEPROP TIEOFF_X19Y80 NUM_ARCS 0 SITEPROP TIEOFF_X19Y80 NUM_BELS 2 SITEPROP TIEOFF_X19Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y80 NUM_PINS 2 SITEPROP TIEOFF_X19Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y80 PROHIBIT 0 SITEPROP TIEOFF_X19Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y80 RPM_X 76 SITEPROP TIEOFF_X19Y80 RPM_Y 160 SITEPROP TIEOFF_X19Y80 SITE_PIPS SITEPROP TIEOFF_X19Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y81 CLASS site SITEPROP TIEOFF_X19Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y81 IS_BONDED 0 SITEPROP TIEOFF_X19Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y81 IS_PAD 0 SITEPROP TIEOFF_X19Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y81 IS_RESERVED 0 SITEPROP TIEOFF_X19Y81 IS_TEST 0 SITEPROP TIEOFF_X19Y81 IS_USED 0 SITEPROP TIEOFF_X19Y81 MANUAL_ROUTING SITEPROP TIEOFF_X19Y81 NAME TIEOFF_X19Y81 SITEPROP TIEOFF_X19Y81 NUM_ARCS 0 SITEPROP TIEOFF_X19Y81 NUM_BELS 2 SITEPROP TIEOFF_X19Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y81 NUM_PINS 2 SITEPROP TIEOFF_X19Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y81 PROHIBIT 0 SITEPROP TIEOFF_X19Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y81 RPM_X 76 SITEPROP TIEOFF_X19Y81 RPM_Y 162 SITEPROP TIEOFF_X19Y81 SITE_PIPS SITEPROP TIEOFF_X19Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y82 CLASS site SITEPROP TIEOFF_X19Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y82 IS_BONDED 0 SITEPROP TIEOFF_X19Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y82 IS_PAD 0 SITEPROP TIEOFF_X19Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y82 IS_RESERVED 0 SITEPROP TIEOFF_X19Y82 IS_TEST 0 SITEPROP TIEOFF_X19Y82 IS_USED 0 SITEPROP TIEOFF_X19Y82 MANUAL_ROUTING SITEPROP TIEOFF_X19Y82 NAME TIEOFF_X19Y82 SITEPROP TIEOFF_X19Y82 NUM_ARCS 0 SITEPROP TIEOFF_X19Y82 NUM_BELS 2 SITEPROP TIEOFF_X19Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y82 NUM_PINS 2 SITEPROP TIEOFF_X19Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y82 PROHIBIT 0 SITEPROP TIEOFF_X19Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y82 RPM_X 76 SITEPROP TIEOFF_X19Y82 RPM_Y 164 SITEPROP TIEOFF_X19Y82 SITE_PIPS SITEPROP TIEOFF_X19Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y83 CLASS site SITEPROP TIEOFF_X19Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y83 IS_BONDED 0 SITEPROP TIEOFF_X19Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y83 IS_PAD 0 SITEPROP TIEOFF_X19Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y83 IS_RESERVED 0 SITEPROP TIEOFF_X19Y83 IS_TEST 0 SITEPROP TIEOFF_X19Y83 IS_USED 0 SITEPROP TIEOFF_X19Y83 MANUAL_ROUTING SITEPROP TIEOFF_X19Y83 NAME TIEOFF_X19Y83 SITEPROP TIEOFF_X19Y83 NUM_ARCS 0 SITEPROP TIEOFF_X19Y83 NUM_BELS 2 SITEPROP TIEOFF_X19Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y83 NUM_PINS 2 SITEPROP TIEOFF_X19Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y83 PROHIBIT 0 SITEPROP TIEOFF_X19Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y83 RPM_X 76 SITEPROP TIEOFF_X19Y83 RPM_Y 166 SITEPROP TIEOFF_X19Y83 SITE_PIPS SITEPROP TIEOFF_X19Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y84 CLASS site SITEPROP TIEOFF_X19Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y84 IS_BONDED 0 SITEPROP TIEOFF_X19Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y84 IS_PAD 0 SITEPROP TIEOFF_X19Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y84 IS_RESERVED 0 SITEPROP TIEOFF_X19Y84 IS_TEST 0 SITEPROP TIEOFF_X19Y84 IS_USED 0 SITEPROP TIEOFF_X19Y84 MANUAL_ROUTING SITEPROP TIEOFF_X19Y84 NAME TIEOFF_X19Y84 SITEPROP TIEOFF_X19Y84 NUM_ARCS 0 SITEPROP TIEOFF_X19Y84 NUM_BELS 2 SITEPROP TIEOFF_X19Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y84 NUM_PINS 2 SITEPROP TIEOFF_X19Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y84 PROHIBIT 0 SITEPROP TIEOFF_X19Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y84 RPM_X 76 SITEPROP TIEOFF_X19Y84 RPM_Y 168 SITEPROP TIEOFF_X19Y84 SITE_PIPS SITEPROP TIEOFF_X19Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y85 CLASS site SITEPROP TIEOFF_X19Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y85 IS_BONDED 0 SITEPROP TIEOFF_X19Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y85 IS_PAD 0 SITEPROP TIEOFF_X19Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y85 IS_RESERVED 0 SITEPROP TIEOFF_X19Y85 IS_TEST 0 SITEPROP TIEOFF_X19Y85 IS_USED 0 SITEPROP TIEOFF_X19Y85 MANUAL_ROUTING SITEPROP TIEOFF_X19Y85 NAME TIEOFF_X19Y85 SITEPROP TIEOFF_X19Y85 NUM_ARCS 0 SITEPROP TIEOFF_X19Y85 NUM_BELS 2 SITEPROP TIEOFF_X19Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y85 NUM_PINS 2 SITEPROP TIEOFF_X19Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y85 PROHIBIT 0 SITEPROP TIEOFF_X19Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y85 RPM_X 76 SITEPROP TIEOFF_X19Y85 RPM_Y 170 SITEPROP TIEOFF_X19Y85 SITE_PIPS SITEPROP TIEOFF_X19Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y86 CLASS site SITEPROP TIEOFF_X19Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y86 IS_BONDED 0 SITEPROP TIEOFF_X19Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y86 IS_PAD 0 SITEPROP TIEOFF_X19Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y86 IS_RESERVED 0 SITEPROP TIEOFF_X19Y86 IS_TEST 0 SITEPROP TIEOFF_X19Y86 IS_USED 0 SITEPROP TIEOFF_X19Y86 MANUAL_ROUTING SITEPROP TIEOFF_X19Y86 NAME TIEOFF_X19Y86 SITEPROP TIEOFF_X19Y86 NUM_ARCS 0 SITEPROP TIEOFF_X19Y86 NUM_BELS 2 SITEPROP TIEOFF_X19Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y86 NUM_PINS 2 SITEPROP TIEOFF_X19Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y86 PROHIBIT 0 SITEPROP TIEOFF_X19Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y86 RPM_X 76 SITEPROP TIEOFF_X19Y86 RPM_Y 172 SITEPROP TIEOFF_X19Y86 SITE_PIPS SITEPROP TIEOFF_X19Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y87 CLASS site SITEPROP TIEOFF_X19Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y87 IS_BONDED 0 SITEPROP TIEOFF_X19Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y87 IS_PAD 0 SITEPROP TIEOFF_X19Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y87 IS_RESERVED 0 SITEPROP TIEOFF_X19Y87 IS_TEST 0 SITEPROP TIEOFF_X19Y87 IS_USED 0 SITEPROP TIEOFF_X19Y87 MANUAL_ROUTING SITEPROP TIEOFF_X19Y87 NAME TIEOFF_X19Y87 SITEPROP TIEOFF_X19Y87 NUM_ARCS 0 SITEPROP TIEOFF_X19Y87 NUM_BELS 2 SITEPROP TIEOFF_X19Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y87 NUM_PINS 2 SITEPROP TIEOFF_X19Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y87 PROHIBIT 0 SITEPROP TIEOFF_X19Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y87 RPM_X 76 SITEPROP TIEOFF_X19Y87 RPM_Y 174 SITEPROP TIEOFF_X19Y87 SITE_PIPS SITEPROP TIEOFF_X19Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y88 CLASS site SITEPROP TIEOFF_X19Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y88 IS_BONDED 0 SITEPROP TIEOFF_X19Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y88 IS_PAD 0 SITEPROP TIEOFF_X19Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y88 IS_RESERVED 0 SITEPROP TIEOFF_X19Y88 IS_TEST 0 SITEPROP TIEOFF_X19Y88 IS_USED 0 SITEPROP TIEOFF_X19Y88 MANUAL_ROUTING SITEPROP TIEOFF_X19Y88 NAME TIEOFF_X19Y88 SITEPROP TIEOFF_X19Y88 NUM_ARCS 0 SITEPROP TIEOFF_X19Y88 NUM_BELS 2 SITEPROP TIEOFF_X19Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y88 NUM_PINS 2 SITEPROP TIEOFF_X19Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y88 PROHIBIT 0 SITEPROP TIEOFF_X19Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y88 RPM_X 76 SITEPROP TIEOFF_X19Y88 RPM_Y 176 SITEPROP TIEOFF_X19Y88 SITE_PIPS SITEPROP TIEOFF_X19Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y89 CLASS site SITEPROP TIEOFF_X19Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y89 IS_BONDED 0 SITEPROP TIEOFF_X19Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y89 IS_PAD 0 SITEPROP TIEOFF_X19Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y89 IS_RESERVED 0 SITEPROP TIEOFF_X19Y89 IS_TEST 0 SITEPROP TIEOFF_X19Y89 IS_USED 0 SITEPROP TIEOFF_X19Y89 MANUAL_ROUTING SITEPROP TIEOFF_X19Y89 NAME TIEOFF_X19Y89 SITEPROP TIEOFF_X19Y89 NUM_ARCS 0 SITEPROP TIEOFF_X19Y89 NUM_BELS 2 SITEPROP TIEOFF_X19Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y89 NUM_PINS 2 SITEPROP TIEOFF_X19Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y89 PROHIBIT 0 SITEPROP TIEOFF_X19Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y89 RPM_X 76 SITEPROP TIEOFF_X19Y89 RPM_Y 178 SITEPROP TIEOFF_X19Y89 SITE_PIPS SITEPROP TIEOFF_X19Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y90 CLASS site SITEPROP TIEOFF_X19Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y90 IS_BONDED 0 SITEPROP TIEOFF_X19Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y90 IS_PAD 0 SITEPROP TIEOFF_X19Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y90 IS_RESERVED 0 SITEPROP TIEOFF_X19Y90 IS_TEST 0 SITEPROP TIEOFF_X19Y90 IS_USED 0 SITEPROP TIEOFF_X19Y90 MANUAL_ROUTING SITEPROP TIEOFF_X19Y90 NAME TIEOFF_X19Y90 SITEPROP TIEOFF_X19Y90 NUM_ARCS 0 SITEPROP TIEOFF_X19Y90 NUM_BELS 2 SITEPROP TIEOFF_X19Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y90 NUM_PINS 2 SITEPROP TIEOFF_X19Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y90 PROHIBIT 0 SITEPROP TIEOFF_X19Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y90 RPM_X 76 SITEPROP TIEOFF_X19Y90 RPM_Y 180 SITEPROP TIEOFF_X19Y90 SITE_PIPS SITEPROP TIEOFF_X19Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y91 CLASS site SITEPROP TIEOFF_X19Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y91 IS_BONDED 0 SITEPROP TIEOFF_X19Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y91 IS_PAD 0 SITEPROP TIEOFF_X19Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y91 IS_RESERVED 0 SITEPROP TIEOFF_X19Y91 IS_TEST 0 SITEPROP TIEOFF_X19Y91 IS_USED 0 SITEPROP TIEOFF_X19Y91 MANUAL_ROUTING SITEPROP TIEOFF_X19Y91 NAME TIEOFF_X19Y91 SITEPROP TIEOFF_X19Y91 NUM_ARCS 0 SITEPROP TIEOFF_X19Y91 NUM_BELS 2 SITEPROP TIEOFF_X19Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y91 NUM_PINS 2 SITEPROP TIEOFF_X19Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y91 PROHIBIT 0 SITEPROP TIEOFF_X19Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y91 RPM_X 76 SITEPROP TIEOFF_X19Y91 RPM_Y 182 SITEPROP TIEOFF_X19Y91 SITE_PIPS SITEPROP TIEOFF_X19Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y92 CLASS site SITEPROP TIEOFF_X19Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y92 IS_BONDED 0 SITEPROP TIEOFF_X19Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y92 IS_PAD 0 SITEPROP TIEOFF_X19Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y92 IS_RESERVED 0 SITEPROP TIEOFF_X19Y92 IS_TEST 0 SITEPROP TIEOFF_X19Y92 IS_USED 0 SITEPROP TIEOFF_X19Y92 MANUAL_ROUTING SITEPROP TIEOFF_X19Y92 NAME TIEOFF_X19Y92 SITEPROP TIEOFF_X19Y92 NUM_ARCS 0 SITEPROP TIEOFF_X19Y92 NUM_BELS 2 SITEPROP TIEOFF_X19Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y92 NUM_PINS 2 SITEPROP TIEOFF_X19Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y92 PROHIBIT 0 SITEPROP TIEOFF_X19Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y92 RPM_X 76 SITEPROP TIEOFF_X19Y92 RPM_Y 184 SITEPROP TIEOFF_X19Y92 SITE_PIPS SITEPROP TIEOFF_X19Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y93 CLASS site SITEPROP TIEOFF_X19Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y93 IS_BONDED 0 SITEPROP TIEOFF_X19Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y93 IS_PAD 0 SITEPROP TIEOFF_X19Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y93 IS_RESERVED 0 SITEPROP TIEOFF_X19Y93 IS_TEST 0 SITEPROP TIEOFF_X19Y93 IS_USED 0 SITEPROP TIEOFF_X19Y93 MANUAL_ROUTING SITEPROP TIEOFF_X19Y93 NAME TIEOFF_X19Y93 SITEPROP TIEOFF_X19Y93 NUM_ARCS 0 SITEPROP TIEOFF_X19Y93 NUM_BELS 2 SITEPROP TIEOFF_X19Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y93 NUM_PINS 2 SITEPROP TIEOFF_X19Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y93 PROHIBIT 0 SITEPROP TIEOFF_X19Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y93 RPM_X 76 SITEPROP TIEOFF_X19Y93 RPM_Y 186 SITEPROP TIEOFF_X19Y93 SITE_PIPS SITEPROP TIEOFF_X19Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y94 CLASS site SITEPROP TIEOFF_X19Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y94 IS_BONDED 0 SITEPROP TIEOFF_X19Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y94 IS_PAD 0 SITEPROP TIEOFF_X19Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y94 IS_RESERVED 0 SITEPROP TIEOFF_X19Y94 IS_TEST 0 SITEPROP TIEOFF_X19Y94 IS_USED 0 SITEPROP TIEOFF_X19Y94 MANUAL_ROUTING SITEPROP TIEOFF_X19Y94 NAME TIEOFF_X19Y94 SITEPROP TIEOFF_X19Y94 NUM_ARCS 0 SITEPROP TIEOFF_X19Y94 NUM_BELS 2 SITEPROP TIEOFF_X19Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y94 NUM_PINS 2 SITEPROP TIEOFF_X19Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y94 PROHIBIT 0 SITEPROP TIEOFF_X19Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y94 RPM_X 76 SITEPROP TIEOFF_X19Y94 RPM_Y 188 SITEPROP TIEOFF_X19Y94 SITE_PIPS SITEPROP TIEOFF_X19Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y95 CLASS site SITEPROP TIEOFF_X19Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y95 IS_BONDED 0 SITEPROP TIEOFF_X19Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y95 IS_PAD 0 SITEPROP TIEOFF_X19Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y95 IS_RESERVED 0 SITEPROP TIEOFF_X19Y95 IS_TEST 0 SITEPROP TIEOFF_X19Y95 IS_USED 0 SITEPROP TIEOFF_X19Y95 MANUAL_ROUTING SITEPROP TIEOFF_X19Y95 NAME TIEOFF_X19Y95 SITEPROP TIEOFF_X19Y95 NUM_ARCS 0 SITEPROP TIEOFF_X19Y95 NUM_BELS 2 SITEPROP TIEOFF_X19Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y95 NUM_PINS 2 SITEPROP TIEOFF_X19Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y95 PROHIBIT 0 SITEPROP TIEOFF_X19Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y95 RPM_X 76 SITEPROP TIEOFF_X19Y95 RPM_Y 190 SITEPROP TIEOFF_X19Y95 SITE_PIPS SITEPROP TIEOFF_X19Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y96 CLASS site SITEPROP TIEOFF_X19Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y96 IS_BONDED 0 SITEPROP TIEOFF_X19Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y96 IS_PAD 0 SITEPROP TIEOFF_X19Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y96 IS_RESERVED 0 SITEPROP TIEOFF_X19Y96 IS_TEST 0 SITEPROP TIEOFF_X19Y96 IS_USED 0 SITEPROP TIEOFF_X19Y96 MANUAL_ROUTING SITEPROP TIEOFF_X19Y96 NAME TIEOFF_X19Y96 SITEPROP TIEOFF_X19Y96 NUM_ARCS 0 SITEPROP TIEOFF_X19Y96 NUM_BELS 2 SITEPROP TIEOFF_X19Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y96 NUM_PINS 2 SITEPROP TIEOFF_X19Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y96 PROHIBIT 0 SITEPROP TIEOFF_X19Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y96 RPM_X 76 SITEPROP TIEOFF_X19Y96 RPM_Y 192 SITEPROP TIEOFF_X19Y96 SITE_PIPS SITEPROP TIEOFF_X19Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y97 CLASS site SITEPROP TIEOFF_X19Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y97 IS_BONDED 0 SITEPROP TIEOFF_X19Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y97 IS_PAD 0 SITEPROP TIEOFF_X19Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y97 IS_RESERVED 0 SITEPROP TIEOFF_X19Y97 IS_TEST 0 SITEPROP TIEOFF_X19Y97 IS_USED 0 SITEPROP TIEOFF_X19Y97 MANUAL_ROUTING SITEPROP TIEOFF_X19Y97 NAME TIEOFF_X19Y97 SITEPROP TIEOFF_X19Y97 NUM_ARCS 0 SITEPROP TIEOFF_X19Y97 NUM_BELS 2 SITEPROP TIEOFF_X19Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y97 NUM_PINS 2 SITEPROP TIEOFF_X19Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y97 PROHIBIT 0 SITEPROP TIEOFF_X19Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y97 RPM_X 76 SITEPROP TIEOFF_X19Y97 RPM_Y 194 SITEPROP TIEOFF_X19Y97 SITE_PIPS SITEPROP TIEOFF_X19Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y98 CLASS site SITEPROP TIEOFF_X19Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y98 IS_BONDED 0 SITEPROP TIEOFF_X19Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y98 IS_PAD 0 SITEPROP TIEOFF_X19Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y98 IS_RESERVED 0 SITEPROP TIEOFF_X19Y98 IS_TEST 0 SITEPROP TIEOFF_X19Y98 IS_USED 0 SITEPROP TIEOFF_X19Y98 MANUAL_ROUTING SITEPROP TIEOFF_X19Y98 NAME TIEOFF_X19Y98 SITEPROP TIEOFF_X19Y98 NUM_ARCS 0 SITEPROP TIEOFF_X19Y98 NUM_BELS 2 SITEPROP TIEOFF_X19Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y98 NUM_PINS 2 SITEPROP TIEOFF_X19Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y98 PROHIBIT 0 SITEPROP TIEOFF_X19Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y98 RPM_X 76 SITEPROP TIEOFF_X19Y98 RPM_Y 196 SITEPROP TIEOFF_X19Y98 SITE_PIPS SITEPROP TIEOFF_X19Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y99 CLASS site SITEPROP TIEOFF_X19Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X19Y99 IS_BONDED 0 SITEPROP TIEOFF_X19Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y99 IS_PAD 0 SITEPROP TIEOFF_X19Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y99 IS_RESERVED 0 SITEPROP TIEOFF_X19Y99 IS_TEST 0 SITEPROP TIEOFF_X19Y99 IS_USED 0 SITEPROP TIEOFF_X19Y99 MANUAL_ROUTING SITEPROP TIEOFF_X19Y99 NAME TIEOFF_X19Y99 SITEPROP TIEOFF_X19Y99 NUM_ARCS 0 SITEPROP TIEOFF_X19Y99 NUM_BELS 2 SITEPROP TIEOFF_X19Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y99 NUM_PINS 2 SITEPROP TIEOFF_X19Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y99 PROHIBIT 0 SITEPROP TIEOFF_X19Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y99 RPM_X 76 SITEPROP TIEOFF_X19Y99 RPM_Y 198 SITEPROP TIEOFF_X19Y99 SITE_PIPS SITEPROP TIEOFF_X19Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y100 CLASS site SITEPROP TIEOFF_X19Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y100 IS_BONDED 0 SITEPROP TIEOFF_X19Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y100 IS_PAD 0 SITEPROP TIEOFF_X19Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y100 IS_RESERVED 0 SITEPROP TIEOFF_X19Y100 IS_TEST 0 SITEPROP TIEOFF_X19Y100 IS_USED 0 SITEPROP TIEOFF_X19Y100 MANUAL_ROUTING SITEPROP TIEOFF_X19Y100 NAME TIEOFF_X19Y100 SITEPROP TIEOFF_X19Y100 NUM_ARCS 0 SITEPROP TIEOFF_X19Y100 NUM_BELS 2 SITEPROP TIEOFF_X19Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y100 NUM_PINS 2 SITEPROP TIEOFF_X19Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y100 PROHIBIT 0 SITEPROP TIEOFF_X19Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y100 RPM_X 76 SITEPROP TIEOFF_X19Y100 RPM_Y 201 SITEPROP TIEOFF_X19Y100 SITE_PIPS SITEPROP TIEOFF_X19Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y101 CLASS site SITEPROP TIEOFF_X19Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y101 IS_BONDED 0 SITEPROP TIEOFF_X19Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y101 IS_PAD 0 SITEPROP TIEOFF_X19Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y101 IS_RESERVED 0 SITEPROP TIEOFF_X19Y101 IS_TEST 0 SITEPROP TIEOFF_X19Y101 IS_USED 0 SITEPROP TIEOFF_X19Y101 MANUAL_ROUTING SITEPROP TIEOFF_X19Y101 NAME TIEOFF_X19Y101 SITEPROP TIEOFF_X19Y101 NUM_ARCS 0 SITEPROP TIEOFF_X19Y101 NUM_BELS 2 SITEPROP TIEOFF_X19Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y101 NUM_PINS 2 SITEPROP TIEOFF_X19Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y101 PROHIBIT 0 SITEPROP TIEOFF_X19Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y101 RPM_X 76 SITEPROP TIEOFF_X19Y101 RPM_Y 203 SITEPROP TIEOFF_X19Y101 SITE_PIPS SITEPROP TIEOFF_X19Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y102 CLASS site SITEPROP TIEOFF_X19Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y102 IS_BONDED 0 SITEPROP TIEOFF_X19Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y102 IS_PAD 0 SITEPROP TIEOFF_X19Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y102 IS_RESERVED 0 SITEPROP TIEOFF_X19Y102 IS_TEST 0 SITEPROP TIEOFF_X19Y102 IS_USED 0 SITEPROP TIEOFF_X19Y102 MANUAL_ROUTING SITEPROP TIEOFF_X19Y102 NAME TIEOFF_X19Y102 SITEPROP TIEOFF_X19Y102 NUM_ARCS 0 SITEPROP TIEOFF_X19Y102 NUM_BELS 2 SITEPROP TIEOFF_X19Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y102 NUM_PINS 2 SITEPROP TIEOFF_X19Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y102 PROHIBIT 0 SITEPROP TIEOFF_X19Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y102 RPM_X 76 SITEPROP TIEOFF_X19Y102 RPM_Y 205 SITEPROP TIEOFF_X19Y102 SITE_PIPS SITEPROP TIEOFF_X19Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y103 CLASS site SITEPROP TIEOFF_X19Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y103 IS_BONDED 0 SITEPROP TIEOFF_X19Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y103 IS_PAD 0 SITEPROP TIEOFF_X19Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y103 IS_RESERVED 0 SITEPROP TIEOFF_X19Y103 IS_TEST 0 SITEPROP TIEOFF_X19Y103 IS_USED 0 SITEPROP TIEOFF_X19Y103 MANUAL_ROUTING SITEPROP TIEOFF_X19Y103 NAME TIEOFF_X19Y103 SITEPROP TIEOFF_X19Y103 NUM_ARCS 0 SITEPROP TIEOFF_X19Y103 NUM_BELS 2 SITEPROP TIEOFF_X19Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y103 NUM_PINS 2 SITEPROP TIEOFF_X19Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y103 PROHIBIT 0 SITEPROP TIEOFF_X19Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y103 RPM_X 76 SITEPROP TIEOFF_X19Y103 RPM_Y 207 SITEPROP TIEOFF_X19Y103 SITE_PIPS SITEPROP TIEOFF_X19Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y104 CLASS site SITEPROP TIEOFF_X19Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y104 IS_BONDED 0 SITEPROP TIEOFF_X19Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y104 IS_PAD 0 SITEPROP TIEOFF_X19Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y104 IS_RESERVED 0 SITEPROP TIEOFF_X19Y104 IS_TEST 0 SITEPROP TIEOFF_X19Y104 IS_USED 0 SITEPROP TIEOFF_X19Y104 MANUAL_ROUTING SITEPROP TIEOFF_X19Y104 NAME TIEOFF_X19Y104 SITEPROP TIEOFF_X19Y104 NUM_ARCS 0 SITEPROP TIEOFF_X19Y104 NUM_BELS 2 SITEPROP TIEOFF_X19Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y104 NUM_PINS 2 SITEPROP TIEOFF_X19Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y104 PROHIBIT 0 SITEPROP TIEOFF_X19Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y104 RPM_X 76 SITEPROP TIEOFF_X19Y104 RPM_Y 209 SITEPROP TIEOFF_X19Y104 SITE_PIPS SITEPROP TIEOFF_X19Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y105 CLASS site SITEPROP TIEOFF_X19Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y105 IS_BONDED 0 SITEPROP TIEOFF_X19Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y105 IS_PAD 0 SITEPROP TIEOFF_X19Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y105 IS_RESERVED 0 SITEPROP TIEOFF_X19Y105 IS_TEST 0 SITEPROP TIEOFF_X19Y105 IS_USED 0 SITEPROP TIEOFF_X19Y105 MANUAL_ROUTING SITEPROP TIEOFF_X19Y105 NAME TIEOFF_X19Y105 SITEPROP TIEOFF_X19Y105 NUM_ARCS 0 SITEPROP TIEOFF_X19Y105 NUM_BELS 2 SITEPROP TIEOFF_X19Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y105 NUM_PINS 2 SITEPROP TIEOFF_X19Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y105 PROHIBIT 0 SITEPROP TIEOFF_X19Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y105 RPM_X 76 SITEPROP TIEOFF_X19Y105 RPM_Y 211 SITEPROP TIEOFF_X19Y105 SITE_PIPS SITEPROP TIEOFF_X19Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y106 CLASS site SITEPROP TIEOFF_X19Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y106 IS_BONDED 0 SITEPROP TIEOFF_X19Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y106 IS_PAD 0 SITEPROP TIEOFF_X19Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y106 IS_RESERVED 0 SITEPROP TIEOFF_X19Y106 IS_TEST 0 SITEPROP TIEOFF_X19Y106 IS_USED 0 SITEPROP TIEOFF_X19Y106 MANUAL_ROUTING SITEPROP TIEOFF_X19Y106 NAME TIEOFF_X19Y106 SITEPROP TIEOFF_X19Y106 NUM_ARCS 0 SITEPROP TIEOFF_X19Y106 NUM_BELS 2 SITEPROP TIEOFF_X19Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y106 NUM_PINS 2 SITEPROP TIEOFF_X19Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y106 PROHIBIT 0 SITEPROP TIEOFF_X19Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y106 RPM_X 76 SITEPROP TIEOFF_X19Y106 RPM_Y 213 SITEPROP TIEOFF_X19Y106 SITE_PIPS SITEPROP TIEOFF_X19Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y107 CLASS site SITEPROP TIEOFF_X19Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y107 IS_BONDED 0 SITEPROP TIEOFF_X19Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y107 IS_PAD 0 SITEPROP TIEOFF_X19Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y107 IS_RESERVED 0 SITEPROP TIEOFF_X19Y107 IS_TEST 0 SITEPROP TIEOFF_X19Y107 IS_USED 0 SITEPROP TIEOFF_X19Y107 MANUAL_ROUTING SITEPROP TIEOFF_X19Y107 NAME TIEOFF_X19Y107 SITEPROP TIEOFF_X19Y107 NUM_ARCS 0 SITEPROP TIEOFF_X19Y107 NUM_BELS 2 SITEPROP TIEOFF_X19Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y107 NUM_PINS 2 SITEPROP TIEOFF_X19Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y107 PROHIBIT 0 SITEPROP TIEOFF_X19Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y107 RPM_X 76 SITEPROP TIEOFF_X19Y107 RPM_Y 215 SITEPROP TIEOFF_X19Y107 SITE_PIPS SITEPROP TIEOFF_X19Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y108 CLASS site SITEPROP TIEOFF_X19Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y108 IS_BONDED 0 SITEPROP TIEOFF_X19Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y108 IS_PAD 0 SITEPROP TIEOFF_X19Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y108 IS_RESERVED 0 SITEPROP TIEOFF_X19Y108 IS_TEST 0 SITEPROP TIEOFF_X19Y108 IS_USED 0 SITEPROP TIEOFF_X19Y108 MANUAL_ROUTING SITEPROP TIEOFF_X19Y108 NAME TIEOFF_X19Y108 SITEPROP TIEOFF_X19Y108 NUM_ARCS 0 SITEPROP TIEOFF_X19Y108 NUM_BELS 2 SITEPROP TIEOFF_X19Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y108 NUM_PINS 2 SITEPROP TIEOFF_X19Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y108 PROHIBIT 0 SITEPROP TIEOFF_X19Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y108 RPM_X 76 SITEPROP TIEOFF_X19Y108 RPM_Y 217 SITEPROP TIEOFF_X19Y108 SITE_PIPS SITEPROP TIEOFF_X19Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y109 CLASS site SITEPROP TIEOFF_X19Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y109 IS_BONDED 0 SITEPROP TIEOFF_X19Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y109 IS_PAD 0 SITEPROP TIEOFF_X19Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y109 IS_RESERVED 0 SITEPROP TIEOFF_X19Y109 IS_TEST 0 SITEPROP TIEOFF_X19Y109 IS_USED 0 SITEPROP TIEOFF_X19Y109 MANUAL_ROUTING SITEPROP TIEOFF_X19Y109 NAME TIEOFF_X19Y109 SITEPROP TIEOFF_X19Y109 NUM_ARCS 0 SITEPROP TIEOFF_X19Y109 NUM_BELS 2 SITEPROP TIEOFF_X19Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y109 NUM_PINS 2 SITEPROP TIEOFF_X19Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y109 PROHIBIT 0 SITEPROP TIEOFF_X19Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y109 RPM_X 76 SITEPROP TIEOFF_X19Y109 RPM_Y 219 SITEPROP TIEOFF_X19Y109 SITE_PIPS SITEPROP TIEOFF_X19Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y110 CLASS site SITEPROP TIEOFF_X19Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y110 IS_BONDED 0 SITEPROP TIEOFF_X19Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y110 IS_PAD 0 SITEPROP TIEOFF_X19Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y110 IS_RESERVED 0 SITEPROP TIEOFF_X19Y110 IS_TEST 0 SITEPROP TIEOFF_X19Y110 IS_USED 0 SITEPROP TIEOFF_X19Y110 MANUAL_ROUTING SITEPROP TIEOFF_X19Y110 NAME TIEOFF_X19Y110 SITEPROP TIEOFF_X19Y110 NUM_ARCS 0 SITEPROP TIEOFF_X19Y110 NUM_BELS 2 SITEPROP TIEOFF_X19Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y110 NUM_PINS 2 SITEPROP TIEOFF_X19Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y110 PROHIBIT 0 SITEPROP TIEOFF_X19Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y110 RPM_X 76 SITEPROP TIEOFF_X19Y110 RPM_Y 221 SITEPROP TIEOFF_X19Y110 SITE_PIPS SITEPROP TIEOFF_X19Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y111 CLASS site SITEPROP TIEOFF_X19Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y111 IS_BONDED 0 SITEPROP TIEOFF_X19Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y111 IS_PAD 0 SITEPROP TIEOFF_X19Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y111 IS_RESERVED 0 SITEPROP TIEOFF_X19Y111 IS_TEST 0 SITEPROP TIEOFF_X19Y111 IS_USED 0 SITEPROP TIEOFF_X19Y111 MANUAL_ROUTING SITEPROP TIEOFF_X19Y111 NAME TIEOFF_X19Y111 SITEPROP TIEOFF_X19Y111 NUM_ARCS 0 SITEPROP TIEOFF_X19Y111 NUM_BELS 2 SITEPROP TIEOFF_X19Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y111 NUM_PINS 2 SITEPROP TIEOFF_X19Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y111 PROHIBIT 0 SITEPROP TIEOFF_X19Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y111 RPM_X 76 SITEPROP TIEOFF_X19Y111 RPM_Y 223 SITEPROP TIEOFF_X19Y111 SITE_PIPS SITEPROP TIEOFF_X19Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y112 CLASS site SITEPROP TIEOFF_X19Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y112 IS_BONDED 0 SITEPROP TIEOFF_X19Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y112 IS_PAD 0 SITEPROP TIEOFF_X19Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y112 IS_RESERVED 0 SITEPROP TIEOFF_X19Y112 IS_TEST 0 SITEPROP TIEOFF_X19Y112 IS_USED 0 SITEPROP TIEOFF_X19Y112 MANUAL_ROUTING SITEPROP TIEOFF_X19Y112 NAME TIEOFF_X19Y112 SITEPROP TIEOFF_X19Y112 NUM_ARCS 0 SITEPROP TIEOFF_X19Y112 NUM_BELS 2 SITEPROP TIEOFF_X19Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y112 NUM_PINS 2 SITEPROP TIEOFF_X19Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y112 PROHIBIT 0 SITEPROP TIEOFF_X19Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y112 RPM_X 76 SITEPROP TIEOFF_X19Y112 RPM_Y 225 SITEPROP TIEOFF_X19Y112 SITE_PIPS SITEPROP TIEOFF_X19Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y113 CLASS site SITEPROP TIEOFF_X19Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y113 IS_BONDED 0 SITEPROP TIEOFF_X19Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y113 IS_PAD 0 SITEPROP TIEOFF_X19Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y113 IS_RESERVED 0 SITEPROP TIEOFF_X19Y113 IS_TEST 0 SITEPROP TIEOFF_X19Y113 IS_USED 0 SITEPROP TIEOFF_X19Y113 MANUAL_ROUTING SITEPROP TIEOFF_X19Y113 NAME TIEOFF_X19Y113 SITEPROP TIEOFF_X19Y113 NUM_ARCS 0 SITEPROP TIEOFF_X19Y113 NUM_BELS 2 SITEPROP TIEOFF_X19Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y113 NUM_PINS 2 SITEPROP TIEOFF_X19Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y113 PROHIBIT 0 SITEPROP TIEOFF_X19Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y113 RPM_X 76 SITEPROP TIEOFF_X19Y113 RPM_Y 227 SITEPROP TIEOFF_X19Y113 SITE_PIPS SITEPROP TIEOFF_X19Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y114 CLASS site SITEPROP TIEOFF_X19Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y114 IS_BONDED 0 SITEPROP TIEOFF_X19Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y114 IS_PAD 0 SITEPROP TIEOFF_X19Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y114 IS_RESERVED 0 SITEPROP TIEOFF_X19Y114 IS_TEST 0 SITEPROP TIEOFF_X19Y114 IS_USED 0 SITEPROP TIEOFF_X19Y114 MANUAL_ROUTING SITEPROP TIEOFF_X19Y114 NAME TIEOFF_X19Y114 SITEPROP TIEOFF_X19Y114 NUM_ARCS 0 SITEPROP TIEOFF_X19Y114 NUM_BELS 2 SITEPROP TIEOFF_X19Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y114 NUM_PINS 2 SITEPROP TIEOFF_X19Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y114 PROHIBIT 0 SITEPROP TIEOFF_X19Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y114 RPM_X 76 SITEPROP TIEOFF_X19Y114 RPM_Y 229 SITEPROP TIEOFF_X19Y114 SITE_PIPS SITEPROP TIEOFF_X19Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y115 CLASS site SITEPROP TIEOFF_X19Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y115 IS_BONDED 0 SITEPROP TIEOFF_X19Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y115 IS_PAD 0 SITEPROP TIEOFF_X19Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y115 IS_RESERVED 0 SITEPROP TIEOFF_X19Y115 IS_TEST 0 SITEPROP TIEOFF_X19Y115 IS_USED 0 SITEPROP TIEOFF_X19Y115 MANUAL_ROUTING SITEPROP TIEOFF_X19Y115 NAME TIEOFF_X19Y115 SITEPROP TIEOFF_X19Y115 NUM_ARCS 0 SITEPROP TIEOFF_X19Y115 NUM_BELS 2 SITEPROP TIEOFF_X19Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y115 NUM_PINS 2 SITEPROP TIEOFF_X19Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y115 PROHIBIT 0 SITEPROP TIEOFF_X19Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y115 RPM_X 76 SITEPROP TIEOFF_X19Y115 RPM_Y 231 SITEPROP TIEOFF_X19Y115 SITE_PIPS SITEPROP TIEOFF_X19Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y116 CLASS site SITEPROP TIEOFF_X19Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y116 IS_BONDED 0 SITEPROP TIEOFF_X19Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y116 IS_PAD 0 SITEPROP TIEOFF_X19Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y116 IS_RESERVED 0 SITEPROP TIEOFF_X19Y116 IS_TEST 0 SITEPROP TIEOFF_X19Y116 IS_USED 0 SITEPROP TIEOFF_X19Y116 MANUAL_ROUTING SITEPROP TIEOFF_X19Y116 NAME TIEOFF_X19Y116 SITEPROP TIEOFF_X19Y116 NUM_ARCS 0 SITEPROP TIEOFF_X19Y116 NUM_BELS 2 SITEPROP TIEOFF_X19Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y116 NUM_PINS 2 SITEPROP TIEOFF_X19Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y116 PROHIBIT 0 SITEPROP TIEOFF_X19Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y116 RPM_X 76 SITEPROP TIEOFF_X19Y116 RPM_Y 233 SITEPROP TIEOFF_X19Y116 SITE_PIPS SITEPROP TIEOFF_X19Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y117 CLASS site SITEPROP TIEOFF_X19Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y117 IS_BONDED 0 SITEPROP TIEOFF_X19Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y117 IS_PAD 0 SITEPROP TIEOFF_X19Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y117 IS_RESERVED 0 SITEPROP TIEOFF_X19Y117 IS_TEST 0 SITEPROP TIEOFF_X19Y117 IS_USED 0 SITEPROP TIEOFF_X19Y117 MANUAL_ROUTING SITEPROP TIEOFF_X19Y117 NAME TIEOFF_X19Y117 SITEPROP TIEOFF_X19Y117 NUM_ARCS 0 SITEPROP TIEOFF_X19Y117 NUM_BELS 2 SITEPROP TIEOFF_X19Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y117 NUM_PINS 2 SITEPROP TIEOFF_X19Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y117 PROHIBIT 0 SITEPROP TIEOFF_X19Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y117 RPM_X 76 SITEPROP TIEOFF_X19Y117 RPM_Y 235 SITEPROP TIEOFF_X19Y117 SITE_PIPS SITEPROP TIEOFF_X19Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y118 CLASS site SITEPROP TIEOFF_X19Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y118 IS_BONDED 0 SITEPROP TIEOFF_X19Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y118 IS_PAD 0 SITEPROP TIEOFF_X19Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y118 IS_RESERVED 0 SITEPROP TIEOFF_X19Y118 IS_TEST 0 SITEPROP TIEOFF_X19Y118 IS_USED 0 SITEPROP TIEOFF_X19Y118 MANUAL_ROUTING SITEPROP TIEOFF_X19Y118 NAME TIEOFF_X19Y118 SITEPROP TIEOFF_X19Y118 NUM_ARCS 0 SITEPROP TIEOFF_X19Y118 NUM_BELS 2 SITEPROP TIEOFF_X19Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y118 NUM_PINS 2 SITEPROP TIEOFF_X19Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y118 PROHIBIT 0 SITEPROP TIEOFF_X19Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y118 RPM_X 76 SITEPROP TIEOFF_X19Y118 RPM_Y 237 SITEPROP TIEOFF_X19Y118 SITE_PIPS SITEPROP TIEOFF_X19Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y119 CLASS site SITEPROP TIEOFF_X19Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y119 IS_BONDED 0 SITEPROP TIEOFF_X19Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y119 IS_PAD 0 SITEPROP TIEOFF_X19Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y119 IS_RESERVED 0 SITEPROP TIEOFF_X19Y119 IS_TEST 0 SITEPROP TIEOFF_X19Y119 IS_USED 0 SITEPROP TIEOFF_X19Y119 MANUAL_ROUTING SITEPROP TIEOFF_X19Y119 NAME TIEOFF_X19Y119 SITEPROP TIEOFF_X19Y119 NUM_ARCS 0 SITEPROP TIEOFF_X19Y119 NUM_BELS 2 SITEPROP TIEOFF_X19Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y119 NUM_PINS 2 SITEPROP TIEOFF_X19Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y119 PROHIBIT 0 SITEPROP TIEOFF_X19Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y119 RPM_X 76 SITEPROP TIEOFF_X19Y119 RPM_Y 239 SITEPROP TIEOFF_X19Y119 SITE_PIPS SITEPROP TIEOFF_X19Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y120 CLASS site SITEPROP TIEOFF_X19Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y120 IS_BONDED 0 SITEPROP TIEOFF_X19Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y120 IS_PAD 0 SITEPROP TIEOFF_X19Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y120 IS_RESERVED 0 SITEPROP TIEOFF_X19Y120 IS_TEST 0 SITEPROP TIEOFF_X19Y120 IS_USED 0 SITEPROP TIEOFF_X19Y120 MANUAL_ROUTING SITEPROP TIEOFF_X19Y120 NAME TIEOFF_X19Y120 SITEPROP TIEOFF_X19Y120 NUM_ARCS 0 SITEPROP TIEOFF_X19Y120 NUM_BELS 2 SITEPROP TIEOFF_X19Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y120 NUM_PINS 2 SITEPROP TIEOFF_X19Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y120 PROHIBIT 0 SITEPROP TIEOFF_X19Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y120 RPM_X 76 SITEPROP TIEOFF_X19Y120 RPM_Y 241 SITEPROP TIEOFF_X19Y120 SITE_PIPS SITEPROP TIEOFF_X19Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y121 CLASS site SITEPROP TIEOFF_X19Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y121 IS_BONDED 0 SITEPROP TIEOFF_X19Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y121 IS_PAD 0 SITEPROP TIEOFF_X19Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y121 IS_RESERVED 0 SITEPROP TIEOFF_X19Y121 IS_TEST 0 SITEPROP TIEOFF_X19Y121 IS_USED 0 SITEPROP TIEOFF_X19Y121 MANUAL_ROUTING SITEPROP TIEOFF_X19Y121 NAME TIEOFF_X19Y121 SITEPROP TIEOFF_X19Y121 NUM_ARCS 0 SITEPROP TIEOFF_X19Y121 NUM_BELS 2 SITEPROP TIEOFF_X19Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y121 NUM_PINS 2 SITEPROP TIEOFF_X19Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y121 PROHIBIT 0 SITEPROP TIEOFF_X19Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y121 RPM_X 76 SITEPROP TIEOFF_X19Y121 RPM_Y 243 SITEPROP TIEOFF_X19Y121 SITE_PIPS SITEPROP TIEOFF_X19Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y122 CLASS site SITEPROP TIEOFF_X19Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y122 IS_BONDED 0 SITEPROP TIEOFF_X19Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y122 IS_PAD 0 SITEPROP TIEOFF_X19Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y122 IS_RESERVED 0 SITEPROP TIEOFF_X19Y122 IS_TEST 0 SITEPROP TIEOFF_X19Y122 IS_USED 0 SITEPROP TIEOFF_X19Y122 MANUAL_ROUTING SITEPROP TIEOFF_X19Y122 NAME TIEOFF_X19Y122 SITEPROP TIEOFF_X19Y122 NUM_ARCS 0 SITEPROP TIEOFF_X19Y122 NUM_BELS 2 SITEPROP TIEOFF_X19Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y122 NUM_PINS 2 SITEPROP TIEOFF_X19Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y122 PROHIBIT 0 SITEPROP TIEOFF_X19Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y122 RPM_X 76 SITEPROP TIEOFF_X19Y122 RPM_Y 245 SITEPROP TIEOFF_X19Y122 SITE_PIPS SITEPROP TIEOFF_X19Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y123 CLASS site SITEPROP TIEOFF_X19Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y123 IS_BONDED 0 SITEPROP TIEOFF_X19Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y123 IS_PAD 0 SITEPROP TIEOFF_X19Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y123 IS_RESERVED 0 SITEPROP TIEOFF_X19Y123 IS_TEST 0 SITEPROP TIEOFF_X19Y123 IS_USED 0 SITEPROP TIEOFF_X19Y123 MANUAL_ROUTING SITEPROP TIEOFF_X19Y123 NAME TIEOFF_X19Y123 SITEPROP TIEOFF_X19Y123 NUM_ARCS 0 SITEPROP TIEOFF_X19Y123 NUM_BELS 2 SITEPROP TIEOFF_X19Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y123 NUM_PINS 2 SITEPROP TIEOFF_X19Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y123 PROHIBIT 0 SITEPROP TIEOFF_X19Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y123 RPM_X 76 SITEPROP TIEOFF_X19Y123 RPM_Y 247 SITEPROP TIEOFF_X19Y123 SITE_PIPS SITEPROP TIEOFF_X19Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y124 CLASS site SITEPROP TIEOFF_X19Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y124 IS_BONDED 0 SITEPROP TIEOFF_X19Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y124 IS_PAD 0 SITEPROP TIEOFF_X19Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y124 IS_RESERVED 0 SITEPROP TIEOFF_X19Y124 IS_TEST 0 SITEPROP TIEOFF_X19Y124 IS_USED 0 SITEPROP TIEOFF_X19Y124 MANUAL_ROUTING SITEPROP TIEOFF_X19Y124 NAME TIEOFF_X19Y124 SITEPROP TIEOFF_X19Y124 NUM_ARCS 0 SITEPROP TIEOFF_X19Y124 NUM_BELS 2 SITEPROP TIEOFF_X19Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y124 NUM_PINS 2 SITEPROP TIEOFF_X19Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y124 PROHIBIT 0 SITEPROP TIEOFF_X19Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y124 RPM_X 76 SITEPROP TIEOFF_X19Y124 RPM_Y 249 SITEPROP TIEOFF_X19Y124 SITE_PIPS SITEPROP TIEOFF_X19Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y125 CLASS site SITEPROP TIEOFF_X19Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y125 IS_BONDED 0 SITEPROP TIEOFF_X19Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y125 IS_PAD 0 SITEPROP TIEOFF_X19Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y125 IS_RESERVED 0 SITEPROP TIEOFF_X19Y125 IS_TEST 0 SITEPROP TIEOFF_X19Y125 IS_USED 0 SITEPROP TIEOFF_X19Y125 MANUAL_ROUTING SITEPROP TIEOFF_X19Y125 NAME TIEOFF_X19Y125 SITEPROP TIEOFF_X19Y125 NUM_ARCS 0 SITEPROP TIEOFF_X19Y125 NUM_BELS 2 SITEPROP TIEOFF_X19Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y125 NUM_PINS 2 SITEPROP TIEOFF_X19Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y125 PROHIBIT 0 SITEPROP TIEOFF_X19Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y125 RPM_X 76 SITEPROP TIEOFF_X19Y125 RPM_Y 251 SITEPROP TIEOFF_X19Y125 SITE_PIPS SITEPROP TIEOFF_X19Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y126 CLASS site SITEPROP TIEOFF_X19Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y126 IS_BONDED 0 SITEPROP TIEOFF_X19Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y126 IS_PAD 0 SITEPROP TIEOFF_X19Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y126 IS_RESERVED 0 SITEPROP TIEOFF_X19Y126 IS_TEST 0 SITEPROP TIEOFF_X19Y126 IS_USED 0 SITEPROP TIEOFF_X19Y126 MANUAL_ROUTING SITEPROP TIEOFF_X19Y126 NAME TIEOFF_X19Y126 SITEPROP TIEOFF_X19Y126 NUM_ARCS 0 SITEPROP TIEOFF_X19Y126 NUM_BELS 2 SITEPROP TIEOFF_X19Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y126 NUM_PINS 2 SITEPROP TIEOFF_X19Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y126 PROHIBIT 0 SITEPROP TIEOFF_X19Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y126 RPM_X 76 SITEPROP TIEOFF_X19Y126 RPM_Y 253 SITEPROP TIEOFF_X19Y126 SITE_PIPS SITEPROP TIEOFF_X19Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y127 CLASS site SITEPROP TIEOFF_X19Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y127 IS_BONDED 0 SITEPROP TIEOFF_X19Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y127 IS_PAD 0 SITEPROP TIEOFF_X19Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y127 IS_RESERVED 0 SITEPROP TIEOFF_X19Y127 IS_TEST 0 SITEPROP TIEOFF_X19Y127 IS_USED 0 SITEPROP TIEOFF_X19Y127 MANUAL_ROUTING SITEPROP TIEOFF_X19Y127 NAME TIEOFF_X19Y127 SITEPROP TIEOFF_X19Y127 NUM_ARCS 0 SITEPROP TIEOFF_X19Y127 NUM_BELS 2 SITEPROP TIEOFF_X19Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y127 NUM_PINS 2 SITEPROP TIEOFF_X19Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y127 PROHIBIT 0 SITEPROP TIEOFF_X19Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y127 RPM_X 76 SITEPROP TIEOFF_X19Y127 RPM_Y 255 SITEPROP TIEOFF_X19Y127 SITE_PIPS SITEPROP TIEOFF_X19Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y128 CLASS site SITEPROP TIEOFF_X19Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y128 IS_BONDED 0 SITEPROP TIEOFF_X19Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y128 IS_PAD 0 SITEPROP TIEOFF_X19Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y128 IS_RESERVED 0 SITEPROP TIEOFF_X19Y128 IS_TEST 0 SITEPROP TIEOFF_X19Y128 IS_USED 0 SITEPROP TIEOFF_X19Y128 MANUAL_ROUTING SITEPROP TIEOFF_X19Y128 NAME TIEOFF_X19Y128 SITEPROP TIEOFF_X19Y128 NUM_ARCS 0 SITEPROP TIEOFF_X19Y128 NUM_BELS 2 SITEPROP TIEOFF_X19Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y128 NUM_PINS 2 SITEPROP TIEOFF_X19Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y128 PROHIBIT 0 SITEPROP TIEOFF_X19Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y128 RPM_X 76 SITEPROP TIEOFF_X19Y128 RPM_Y 257 SITEPROP TIEOFF_X19Y128 SITE_PIPS SITEPROP TIEOFF_X19Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y129 CLASS site SITEPROP TIEOFF_X19Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y129 IS_BONDED 0 SITEPROP TIEOFF_X19Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y129 IS_PAD 0 SITEPROP TIEOFF_X19Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y129 IS_RESERVED 0 SITEPROP TIEOFF_X19Y129 IS_TEST 0 SITEPROP TIEOFF_X19Y129 IS_USED 0 SITEPROP TIEOFF_X19Y129 MANUAL_ROUTING SITEPROP TIEOFF_X19Y129 NAME TIEOFF_X19Y129 SITEPROP TIEOFF_X19Y129 NUM_ARCS 0 SITEPROP TIEOFF_X19Y129 NUM_BELS 2 SITEPROP TIEOFF_X19Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y129 NUM_PINS 2 SITEPROP TIEOFF_X19Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y129 PROHIBIT 0 SITEPROP TIEOFF_X19Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y129 RPM_X 76 SITEPROP TIEOFF_X19Y129 RPM_Y 259 SITEPROP TIEOFF_X19Y129 SITE_PIPS SITEPROP TIEOFF_X19Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y130 CLASS site SITEPROP TIEOFF_X19Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y130 IS_BONDED 0 SITEPROP TIEOFF_X19Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y130 IS_PAD 0 SITEPROP TIEOFF_X19Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y130 IS_RESERVED 0 SITEPROP TIEOFF_X19Y130 IS_TEST 0 SITEPROP TIEOFF_X19Y130 IS_USED 0 SITEPROP TIEOFF_X19Y130 MANUAL_ROUTING SITEPROP TIEOFF_X19Y130 NAME TIEOFF_X19Y130 SITEPROP TIEOFF_X19Y130 NUM_ARCS 0 SITEPROP TIEOFF_X19Y130 NUM_BELS 2 SITEPROP TIEOFF_X19Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y130 NUM_PINS 2 SITEPROP TIEOFF_X19Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y130 PROHIBIT 0 SITEPROP TIEOFF_X19Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y130 RPM_X 76 SITEPROP TIEOFF_X19Y130 RPM_Y 261 SITEPROP TIEOFF_X19Y130 SITE_PIPS SITEPROP TIEOFF_X19Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y131 CLASS site SITEPROP TIEOFF_X19Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y131 IS_BONDED 0 SITEPROP TIEOFF_X19Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y131 IS_PAD 0 SITEPROP TIEOFF_X19Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y131 IS_RESERVED 0 SITEPROP TIEOFF_X19Y131 IS_TEST 0 SITEPROP TIEOFF_X19Y131 IS_USED 0 SITEPROP TIEOFF_X19Y131 MANUAL_ROUTING SITEPROP TIEOFF_X19Y131 NAME TIEOFF_X19Y131 SITEPROP TIEOFF_X19Y131 NUM_ARCS 0 SITEPROP TIEOFF_X19Y131 NUM_BELS 2 SITEPROP TIEOFF_X19Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y131 NUM_PINS 2 SITEPROP TIEOFF_X19Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y131 PROHIBIT 0 SITEPROP TIEOFF_X19Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y131 RPM_X 76 SITEPROP TIEOFF_X19Y131 RPM_Y 263 SITEPROP TIEOFF_X19Y131 SITE_PIPS SITEPROP TIEOFF_X19Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y132 CLASS site SITEPROP TIEOFF_X19Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y132 IS_BONDED 0 SITEPROP TIEOFF_X19Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y132 IS_PAD 0 SITEPROP TIEOFF_X19Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y132 IS_RESERVED 0 SITEPROP TIEOFF_X19Y132 IS_TEST 0 SITEPROP TIEOFF_X19Y132 IS_USED 0 SITEPROP TIEOFF_X19Y132 MANUAL_ROUTING SITEPROP TIEOFF_X19Y132 NAME TIEOFF_X19Y132 SITEPROP TIEOFF_X19Y132 NUM_ARCS 0 SITEPROP TIEOFF_X19Y132 NUM_BELS 2 SITEPROP TIEOFF_X19Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y132 NUM_PINS 2 SITEPROP TIEOFF_X19Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y132 PROHIBIT 0 SITEPROP TIEOFF_X19Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y132 RPM_X 76 SITEPROP TIEOFF_X19Y132 RPM_Y 265 SITEPROP TIEOFF_X19Y132 SITE_PIPS SITEPROP TIEOFF_X19Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y133 CLASS site SITEPROP TIEOFF_X19Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y133 IS_BONDED 0 SITEPROP TIEOFF_X19Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y133 IS_PAD 0 SITEPROP TIEOFF_X19Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y133 IS_RESERVED 0 SITEPROP TIEOFF_X19Y133 IS_TEST 0 SITEPROP TIEOFF_X19Y133 IS_USED 0 SITEPROP TIEOFF_X19Y133 MANUAL_ROUTING SITEPROP TIEOFF_X19Y133 NAME TIEOFF_X19Y133 SITEPROP TIEOFF_X19Y133 NUM_ARCS 0 SITEPROP TIEOFF_X19Y133 NUM_BELS 2 SITEPROP TIEOFF_X19Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y133 NUM_PINS 2 SITEPROP TIEOFF_X19Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y133 PROHIBIT 0 SITEPROP TIEOFF_X19Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y133 RPM_X 76 SITEPROP TIEOFF_X19Y133 RPM_Y 267 SITEPROP TIEOFF_X19Y133 SITE_PIPS SITEPROP TIEOFF_X19Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y134 CLASS site SITEPROP TIEOFF_X19Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y134 IS_BONDED 0 SITEPROP TIEOFF_X19Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y134 IS_PAD 0 SITEPROP TIEOFF_X19Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y134 IS_RESERVED 0 SITEPROP TIEOFF_X19Y134 IS_TEST 0 SITEPROP TIEOFF_X19Y134 IS_USED 0 SITEPROP TIEOFF_X19Y134 MANUAL_ROUTING SITEPROP TIEOFF_X19Y134 NAME TIEOFF_X19Y134 SITEPROP TIEOFF_X19Y134 NUM_ARCS 0 SITEPROP TIEOFF_X19Y134 NUM_BELS 2 SITEPROP TIEOFF_X19Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y134 NUM_PINS 2 SITEPROP TIEOFF_X19Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y134 PROHIBIT 0 SITEPROP TIEOFF_X19Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y134 RPM_X 76 SITEPROP TIEOFF_X19Y134 RPM_Y 269 SITEPROP TIEOFF_X19Y134 SITE_PIPS SITEPROP TIEOFF_X19Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y135 CLASS site SITEPROP TIEOFF_X19Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y135 IS_BONDED 0 SITEPROP TIEOFF_X19Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y135 IS_PAD 0 SITEPROP TIEOFF_X19Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y135 IS_RESERVED 0 SITEPROP TIEOFF_X19Y135 IS_TEST 0 SITEPROP TIEOFF_X19Y135 IS_USED 0 SITEPROP TIEOFF_X19Y135 MANUAL_ROUTING SITEPROP TIEOFF_X19Y135 NAME TIEOFF_X19Y135 SITEPROP TIEOFF_X19Y135 NUM_ARCS 0 SITEPROP TIEOFF_X19Y135 NUM_BELS 2 SITEPROP TIEOFF_X19Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y135 NUM_PINS 2 SITEPROP TIEOFF_X19Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y135 PROHIBIT 0 SITEPROP TIEOFF_X19Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y135 RPM_X 76 SITEPROP TIEOFF_X19Y135 RPM_Y 271 SITEPROP TIEOFF_X19Y135 SITE_PIPS SITEPROP TIEOFF_X19Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y136 CLASS site SITEPROP TIEOFF_X19Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y136 IS_BONDED 0 SITEPROP TIEOFF_X19Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y136 IS_PAD 0 SITEPROP TIEOFF_X19Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y136 IS_RESERVED 0 SITEPROP TIEOFF_X19Y136 IS_TEST 0 SITEPROP TIEOFF_X19Y136 IS_USED 0 SITEPROP TIEOFF_X19Y136 MANUAL_ROUTING SITEPROP TIEOFF_X19Y136 NAME TIEOFF_X19Y136 SITEPROP TIEOFF_X19Y136 NUM_ARCS 0 SITEPROP TIEOFF_X19Y136 NUM_BELS 2 SITEPROP TIEOFF_X19Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y136 NUM_PINS 2 SITEPROP TIEOFF_X19Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y136 PROHIBIT 0 SITEPROP TIEOFF_X19Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y136 RPM_X 76 SITEPROP TIEOFF_X19Y136 RPM_Y 273 SITEPROP TIEOFF_X19Y136 SITE_PIPS SITEPROP TIEOFF_X19Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y137 CLASS site SITEPROP TIEOFF_X19Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y137 IS_BONDED 0 SITEPROP TIEOFF_X19Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y137 IS_PAD 0 SITEPROP TIEOFF_X19Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y137 IS_RESERVED 0 SITEPROP TIEOFF_X19Y137 IS_TEST 0 SITEPROP TIEOFF_X19Y137 IS_USED 0 SITEPROP TIEOFF_X19Y137 MANUAL_ROUTING SITEPROP TIEOFF_X19Y137 NAME TIEOFF_X19Y137 SITEPROP TIEOFF_X19Y137 NUM_ARCS 0 SITEPROP TIEOFF_X19Y137 NUM_BELS 2 SITEPROP TIEOFF_X19Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y137 NUM_PINS 2 SITEPROP TIEOFF_X19Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y137 PROHIBIT 0 SITEPROP TIEOFF_X19Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y137 RPM_X 76 SITEPROP TIEOFF_X19Y137 RPM_Y 275 SITEPROP TIEOFF_X19Y137 SITE_PIPS SITEPROP TIEOFF_X19Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y138 CLASS site SITEPROP TIEOFF_X19Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y138 IS_BONDED 0 SITEPROP TIEOFF_X19Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y138 IS_PAD 0 SITEPROP TIEOFF_X19Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y138 IS_RESERVED 0 SITEPROP TIEOFF_X19Y138 IS_TEST 0 SITEPROP TIEOFF_X19Y138 IS_USED 0 SITEPROP TIEOFF_X19Y138 MANUAL_ROUTING SITEPROP TIEOFF_X19Y138 NAME TIEOFF_X19Y138 SITEPROP TIEOFF_X19Y138 NUM_ARCS 0 SITEPROP TIEOFF_X19Y138 NUM_BELS 2 SITEPROP TIEOFF_X19Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y138 NUM_PINS 2 SITEPROP TIEOFF_X19Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y138 PROHIBIT 0 SITEPROP TIEOFF_X19Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y138 RPM_X 76 SITEPROP TIEOFF_X19Y138 RPM_Y 277 SITEPROP TIEOFF_X19Y138 SITE_PIPS SITEPROP TIEOFF_X19Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y139 CLASS site SITEPROP TIEOFF_X19Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y139 IS_BONDED 0 SITEPROP TIEOFF_X19Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y139 IS_PAD 0 SITEPROP TIEOFF_X19Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y139 IS_RESERVED 0 SITEPROP TIEOFF_X19Y139 IS_TEST 0 SITEPROP TIEOFF_X19Y139 IS_USED 0 SITEPROP TIEOFF_X19Y139 MANUAL_ROUTING SITEPROP TIEOFF_X19Y139 NAME TIEOFF_X19Y139 SITEPROP TIEOFF_X19Y139 NUM_ARCS 0 SITEPROP TIEOFF_X19Y139 NUM_BELS 2 SITEPROP TIEOFF_X19Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y139 NUM_PINS 2 SITEPROP TIEOFF_X19Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y139 PROHIBIT 0 SITEPROP TIEOFF_X19Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y139 RPM_X 76 SITEPROP TIEOFF_X19Y139 RPM_Y 279 SITEPROP TIEOFF_X19Y139 SITE_PIPS SITEPROP TIEOFF_X19Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y140 CLASS site SITEPROP TIEOFF_X19Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y140 IS_BONDED 0 SITEPROP TIEOFF_X19Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y140 IS_PAD 0 SITEPROP TIEOFF_X19Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y140 IS_RESERVED 0 SITEPROP TIEOFF_X19Y140 IS_TEST 0 SITEPROP TIEOFF_X19Y140 IS_USED 0 SITEPROP TIEOFF_X19Y140 MANUAL_ROUTING SITEPROP TIEOFF_X19Y140 NAME TIEOFF_X19Y140 SITEPROP TIEOFF_X19Y140 NUM_ARCS 0 SITEPROP TIEOFF_X19Y140 NUM_BELS 2 SITEPROP TIEOFF_X19Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y140 NUM_PINS 2 SITEPROP TIEOFF_X19Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y140 PROHIBIT 0 SITEPROP TIEOFF_X19Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y140 RPM_X 76 SITEPROP TIEOFF_X19Y140 RPM_Y 281 SITEPROP TIEOFF_X19Y140 SITE_PIPS SITEPROP TIEOFF_X19Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y141 CLASS site SITEPROP TIEOFF_X19Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y141 IS_BONDED 0 SITEPROP TIEOFF_X19Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y141 IS_PAD 0 SITEPROP TIEOFF_X19Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y141 IS_RESERVED 0 SITEPROP TIEOFF_X19Y141 IS_TEST 0 SITEPROP TIEOFF_X19Y141 IS_USED 0 SITEPROP TIEOFF_X19Y141 MANUAL_ROUTING SITEPROP TIEOFF_X19Y141 NAME TIEOFF_X19Y141 SITEPROP TIEOFF_X19Y141 NUM_ARCS 0 SITEPROP TIEOFF_X19Y141 NUM_BELS 2 SITEPROP TIEOFF_X19Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y141 NUM_PINS 2 SITEPROP TIEOFF_X19Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y141 PROHIBIT 0 SITEPROP TIEOFF_X19Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y141 RPM_X 76 SITEPROP TIEOFF_X19Y141 RPM_Y 283 SITEPROP TIEOFF_X19Y141 SITE_PIPS SITEPROP TIEOFF_X19Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y142 CLASS site SITEPROP TIEOFF_X19Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y142 IS_BONDED 0 SITEPROP TIEOFF_X19Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y142 IS_PAD 0 SITEPROP TIEOFF_X19Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y142 IS_RESERVED 0 SITEPROP TIEOFF_X19Y142 IS_TEST 0 SITEPROP TIEOFF_X19Y142 IS_USED 0 SITEPROP TIEOFF_X19Y142 MANUAL_ROUTING SITEPROP TIEOFF_X19Y142 NAME TIEOFF_X19Y142 SITEPROP TIEOFF_X19Y142 NUM_ARCS 0 SITEPROP TIEOFF_X19Y142 NUM_BELS 2 SITEPROP TIEOFF_X19Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y142 NUM_PINS 2 SITEPROP TIEOFF_X19Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y142 PROHIBIT 0 SITEPROP TIEOFF_X19Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y142 RPM_X 76 SITEPROP TIEOFF_X19Y142 RPM_Y 285 SITEPROP TIEOFF_X19Y142 SITE_PIPS SITEPROP TIEOFF_X19Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y143 CLASS site SITEPROP TIEOFF_X19Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y143 IS_BONDED 0 SITEPROP TIEOFF_X19Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y143 IS_PAD 0 SITEPROP TIEOFF_X19Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y143 IS_RESERVED 0 SITEPROP TIEOFF_X19Y143 IS_TEST 0 SITEPROP TIEOFF_X19Y143 IS_USED 0 SITEPROP TIEOFF_X19Y143 MANUAL_ROUTING SITEPROP TIEOFF_X19Y143 NAME TIEOFF_X19Y143 SITEPROP TIEOFF_X19Y143 NUM_ARCS 0 SITEPROP TIEOFF_X19Y143 NUM_BELS 2 SITEPROP TIEOFF_X19Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y143 NUM_PINS 2 SITEPROP TIEOFF_X19Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y143 PROHIBIT 0 SITEPROP TIEOFF_X19Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y143 RPM_X 76 SITEPROP TIEOFF_X19Y143 RPM_Y 287 SITEPROP TIEOFF_X19Y143 SITE_PIPS SITEPROP TIEOFF_X19Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y144 CLASS site SITEPROP TIEOFF_X19Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y144 IS_BONDED 0 SITEPROP TIEOFF_X19Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y144 IS_PAD 0 SITEPROP TIEOFF_X19Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y144 IS_RESERVED 0 SITEPROP TIEOFF_X19Y144 IS_TEST 0 SITEPROP TIEOFF_X19Y144 IS_USED 0 SITEPROP TIEOFF_X19Y144 MANUAL_ROUTING SITEPROP TIEOFF_X19Y144 NAME TIEOFF_X19Y144 SITEPROP TIEOFF_X19Y144 NUM_ARCS 0 SITEPROP TIEOFF_X19Y144 NUM_BELS 2 SITEPROP TIEOFF_X19Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y144 NUM_PINS 2 SITEPROP TIEOFF_X19Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y144 PROHIBIT 0 SITEPROP TIEOFF_X19Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y144 RPM_X 76 SITEPROP TIEOFF_X19Y144 RPM_Y 289 SITEPROP TIEOFF_X19Y144 SITE_PIPS SITEPROP TIEOFF_X19Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y145 CLASS site SITEPROP TIEOFF_X19Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y145 IS_BONDED 0 SITEPROP TIEOFF_X19Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y145 IS_PAD 0 SITEPROP TIEOFF_X19Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y145 IS_RESERVED 0 SITEPROP TIEOFF_X19Y145 IS_TEST 0 SITEPROP TIEOFF_X19Y145 IS_USED 0 SITEPROP TIEOFF_X19Y145 MANUAL_ROUTING SITEPROP TIEOFF_X19Y145 NAME TIEOFF_X19Y145 SITEPROP TIEOFF_X19Y145 NUM_ARCS 0 SITEPROP TIEOFF_X19Y145 NUM_BELS 2 SITEPROP TIEOFF_X19Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y145 NUM_PINS 2 SITEPROP TIEOFF_X19Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y145 PROHIBIT 0 SITEPROP TIEOFF_X19Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y145 RPM_X 76 SITEPROP TIEOFF_X19Y145 RPM_Y 291 SITEPROP TIEOFF_X19Y145 SITE_PIPS SITEPROP TIEOFF_X19Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y146 CLASS site SITEPROP TIEOFF_X19Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y146 IS_BONDED 0 SITEPROP TIEOFF_X19Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y146 IS_PAD 0 SITEPROP TIEOFF_X19Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y146 IS_RESERVED 0 SITEPROP TIEOFF_X19Y146 IS_TEST 0 SITEPROP TIEOFF_X19Y146 IS_USED 0 SITEPROP TIEOFF_X19Y146 MANUAL_ROUTING SITEPROP TIEOFF_X19Y146 NAME TIEOFF_X19Y146 SITEPROP TIEOFF_X19Y146 NUM_ARCS 0 SITEPROP TIEOFF_X19Y146 NUM_BELS 2 SITEPROP TIEOFF_X19Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y146 NUM_PINS 2 SITEPROP TIEOFF_X19Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y146 PROHIBIT 0 SITEPROP TIEOFF_X19Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y146 RPM_X 76 SITEPROP TIEOFF_X19Y146 RPM_Y 293 SITEPROP TIEOFF_X19Y146 SITE_PIPS SITEPROP TIEOFF_X19Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y147 CLASS site SITEPROP TIEOFF_X19Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y147 IS_BONDED 0 SITEPROP TIEOFF_X19Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y147 IS_PAD 0 SITEPROP TIEOFF_X19Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y147 IS_RESERVED 0 SITEPROP TIEOFF_X19Y147 IS_TEST 0 SITEPROP TIEOFF_X19Y147 IS_USED 0 SITEPROP TIEOFF_X19Y147 MANUAL_ROUTING SITEPROP TIEOFF_X19Y147 NAME TIEOFF_X19Y147 SITEPROP TIEOFF_X19Y147 NUM_ARCS 0 SITEPROP TIEOFF_X19Y147 NUM_BELS 2 SITEPROP TIEOFF_X19Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y147 NUM_PINS 2 SITEPROP TIEOFF_X19Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y147 PROHIBIT 0 SITEPROP TIEOFF_X19Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y147 RPM_X 76 SITEPROP TIEOFF_X19Y147 RPM_Y 295 SITEPROP TIEOFF_X19Y147 SITE_PIPS SITEPROP TIEOFF_X19Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y148 CLASS site SITEPROP TIEOFF_X19Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y148 IS_BONDED 0 SITEPROP TIEOFF_X19Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y148 IS_PAD 0 SITEPROP TIEOFF_X19Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y148 IS_RESERVED 0 SITEPROP TIEOFF_X19Y148 IS_TEST 0 SITEPROP TIEOFF_X19Y148 IS_USED 0 SITEPROP TIEOFF_X19Y148 MANUAL_ROUTING SITEPROP TIEOFF_X19Y148 NAME TIEOFF_X19Y148 SITEPROP TIEOFF_X19Y148 NUM_ARCS 0 SITEPROP TIEOFF_X19Y148 NUM_BELS 2 SITEPROP TIEOFF_X19Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y148 NUM_PINS 2 SITEPROP TIEOFF_X19Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y148 PROHIBIT 0 SITEPROP TIEOFF_X19Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y148 RPM_X 76 SITEPROP TIEOFF_X19Y148 RPM_Y 297 SITEPROP TIEOFF_X19Y148 SITE_PIPS SITEPROP TIEOFF_X19Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X19Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X19Y149 CLASS site SITEPROP TIEOFF_X19Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X19Y149 IS_BONDED 0 SITEPROP TIEOFF_X19Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y149 IS_PAD 0 SITEPROP TIEOFF_X19Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X19Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X19Y149 IS_RESERVED 0 SITEPROP TIEOFF_X19Y149 IS_TEST 0 SITEPROP TIEOFF_X19Y149 IS_USED 0 SITEPROP TIEOFF_X19Y149 MANUAL_ROUTING SITEPROP TIEOFF_X19Y149 NAME TIEOFF_X19Y149 SITEPROP TIEOFF_X19Y149 NUM_ARCS 0 SITEPROP TIEOFF_X19Y149 NUM_BELS 2 SITEPROP TIEOFF_X19Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X19Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X19Y149 NUM_PINS 2 SITEPROP TIEOFF_X19Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X19Y149 PROHIBIT 0 SITEPROP TIEOFF_X19Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X19Y149 RPM_X 76 SITEPROP TIEOFF_X19Y149 RPM_Y 299 SITEPROP TIEOFF_X19Y149 SITE_PIPS SITEPROP TIEOFF_X19Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y0 CLASS site SITEPROP TIEOFF_X1Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y0 IS_BONDED 0 SITEPROP TIEOFF_X1Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y0 IS_PAD 0 SITEPROP TIEOFF_X1Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y0 IS_RESERVED 0 SITEPROP TIEOFF_X1Y0 IS_TEST 0 SITEPROP TIEOFF_X1Y0 IS_USED 0 SITEPROP TIEOFF_X1Y0 MANUAL_ROUTING SITEPROP TIEOFF_X1Y0 NAME TIEOFF_X1Y0 SITEPROP TIEOFF_X1Y0 NUM_ARCS 0 SITEPROP TIEOFF_X1Y0 NUM_BELS 2 SITEPROP TIEOFF_X1Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y0 NUM_PINS 2 SITEPROP TIEOFF_X1Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y0 PROHIBIT 0 SITEPROP TIEOFF_X1Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y0 RPM_X 8 SITEPROP TIEOFF_X1Y0 RPM_Y 0 SITEPROP TIEOFF_X1Y0 SITE_PIPS SITEPROP TIEOFF_X1Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y1 CLASS site SITEPROP TIEOFF_X1Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y1 IS_BONDED 0 SITEPROP TIEOFF_X1Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y1 IS_PAD 0 SITEPROP TIEOFF_X1Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y1 IS_RESERVED 0 SITEPROP TIEOFF_X1Y1 IS_TEST 0 SITEPROP TIEOFF_X1Y1 IS_USED 0 SITEPROP TIEOFF_X1Y1 MANUAL_ROUTING SITEPROP TIEOFF_X1Y1 NAME TIEOFF_X1Y1 SITEPROP TIEOFF_X1Y1 NUM_ARCS 0 SITEPROP TIEOFF_X1Y1 NUM_BELS 2 SITEPROP TIEOFF_X1Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y1 NUM_PINS 2 SITEPROP TIEOFF_X1Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y1 PROHIBIT 0 SITEPROP TIEOFF_X1Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y1 RPM_X 8 SITEPROP TIEOFF_X1Y1 RPM_Y 2 SITEPROP TIEOFF_X1Y1 SITE_PIPS SITEPROP TIEOFF_X1Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y2 CLASS site SITEPROP TIEOFF_X1Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y2 IS_BONDED 0 SITEPROP TIEOFF_X1Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y2 IS_PAD 0 SITEPROP TIEOFF_X1Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y2 IS_RESERVED 0 SITEPROP TIEOFF_X1Y2 IS_TEST 0 SITEPROP TIEOFF_X1Y2 IS_USED 0 SITEPROP TIEOFF_X1Y2 MANUAL_ROUTING SITEPROP TIEOFF_X1Y2 NAME TIEOFF_X1Y2 SITEPROP TIEOFF_X1Y2 NUM_ARCS 0 SITEPROP TIEOFF_X1Y2 NUM_BELS 2 SITEPROP TIEOFF_X1Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y2 NUM_PINS 2 SITEPROP TIEOFF_X1Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y2 PROHIBIT 0 SITEPROP TIEOFF_X1Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y2 RPM_X 8 SITEPROP TIEOFF_X1Y2 RPM_Y 4 SITEPROP TIEOFF_X1Y2 SITE_PIPS SITEPROP TIEOFF_X1Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y3 CLASS site SITEPROP TIEOFF_X1Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y3 IS_BONDED 0 SITEPROP TIEOFF_X1Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y3 IS_PAD 0 SITEPROP TIEOFF_X1Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y3 IS_RESERVED 0 SITEPROP TIEOFF_X1Y3 IS_TEST 0 SITEPROP TIEOFF_X1Y3 IS_USED 0 SITEPROP TIEOFF_X1Y3 MANUAL_ROUTING SITEPROP TIEOFF_X1Y3 NAME TIEOFF_X1Y3 SITEPROP TIEOFF_X1Y3 NUM_ARCS 0 SITEPROP TIEOFF_X1Y3 NUM_BELS 2 SITEPROP TIEOFF_X1Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y3 NUM_PINS 2 SITEPROP TIEOFF_X1Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y3 PROHIBIT 0 SITEPROP TIEOFF_X1Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y3 RPM_X 8 SITEPROP TIEOFF_X1Y3 RPM_Y 6 SITEPROP TIEOFF_X1Y3 SITE_PIPS SITEPROP TIEOFF_X1Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y4 CLASS site SITEPROP TIEOFF_X1Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y4 IS_BONDED 0 SITEPROP TIEOFF_X1Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y4 IS_PAD 0 SITEPROP TIEOFF_X1Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y4 IS_RESERVED 0 SITEPROP TIEOFF_X1Y4 IS_TEST 0 SITEPROP TIEOFF_X1Y4 IS_USED 0 SITEPROP TIEOFF_X1Y4 MANUAL_ROUTING SITEPROP TIEOFF_X1Y4 NAME TIEOFF_X1Y4 SITEPROP TIEOFF_X1Y4 NUM_ARCS 0 SITEPROP TIEOFF_X1Y4 NUM_BELS 2 SITEPROP TIEOFF_X1Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y4 NUM_PINS 2 SITEPROP TIEOFF_X1Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y4 PROHIBIT 0 SITEPROP TIEOFF_X1Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y4 RPM_X 8 SITEPROP TIEOFF_X1Y4 RPM_Y 8 SITEPROP TIEOFF_X1Y4 SITE_PIPS SITEPROP TIEOFF_X1Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y5 CLASS site SITEPROP TIEOFF_X1Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y5 IS_BONDED 0 SITEPROP TIEOFF_X1Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y5 IS_PAD 0 SITEPROP TIEOFF_X1Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y5 IS_RESERVED 0 SITEPROP TIEOFF_X1Y5 IS_TEST 0 SITEPROP TIEOFF_X1Y5 IS_USED 0 SITEPROP TIEOFF_X1Y5 MANUAL_ROUTING SITEPROP TIEOFF_X1Y5 NAME TIEOFF_X1Y5 SITEPROP TIEOFF_X1Y5 NUM_ARCS 0 SITEPROP TIEOFF_X1Y5 NUM_BELS 2 SITEPROP TIEOFF_X1Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y5 NUM_PINS 2 SITEPROP TIEOFF_X1Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y5 PROHIBIT 0 SITEPROP TIEOFF_X1Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y5 RPM_X 8 SITEPROP TIEOFF_X1Y5 RPM_Y 10 SITEPROP TIEOFF_X1Y5 SITE_PIPS SITEPROP TIEOFF_X1Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y6 CLASS site SITEPROP TIEOFF_X1Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y6 IS_BONDED 0 SITEPROP TIEOFF_X1Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y6 IS_PAD 0 SITEPROP TIEOFF_X1Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y6 IS_RESERVED 0 SITEPROP TIEOFF_X1Y6 IS_TEST 0 SITEPROP TIEOFF_X1Y6 IS_USED 0 SITEPROP TIEOFF_X1Y6 MANUAL_ROUTING SITEPROP TIEOFF_X1Y6 NAME TIEOFF_X1Y6 SITEPROP TIEOFF_X1Y6 NUM_ARCS 0 SITEPROP TIEOFF_X1Y6 NUM_BELS 2 SITEPROP TIEOFF_X1Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y6 NUM_PINS 2 SITEPROP TIEOFF_X1Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y6 PROHIBIT 0 SITEPROP TIEOFF_X1Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y6 RPM_X 8 SITEPROP TIEOFF_X1Y6 RPM_Y 12 SITEPROP TIEOFF_X1Y6 SITE_PIPS SITEPROP TIEOFF_X1Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y7 CLASS site SITEPROP TIEOFF_X1Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y7 IS_BONDED 0 SITEPROP TIEOFF_X1Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y7 IS_PAD 0 SITEPROP TIEOFF_X1Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y7 IS_RESERVED 0 SITEPROP TIEOFF_X1Y7 IS_TEST 0 SITEPROP TIEOFF_X1Y7 IS_USED 0 SITEPROP TIEOFF_X1Y7 MANUAL_ROUTING SITEPROP TIEOFF_X1Y7 NAME TIEOFF_X1Y7 SITEPROP TIEOFF_X1Y7 NUM_ARCS 0 SITEPROP TIEOFF_X1Y7 NUM_BELS 2 SITEPROP TIEOFF_X1Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y7 NUM_PINS 2 SITEPROP TIEOFF_X1Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y7 PROHIBIT 0 SITEPROP TIEOFF_X1Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y7 RPM_X 8 SITEPROP TIEOFF_X1Y7 RPM_Y 14 SITEPROP TIEOFF_X1Y7 SITE_PIPS SITEPROP TIEOFF_X1Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y8 CLASS site SITEPROP TIEOFF_X1Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y8 IS_BONDED 0 SITEPROP TIEOFF_X1Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y8 IS_PAD 0 SITEPROP TIEOFF_X1Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y8 IS_RESERVED 0 SITEPROP TIEOFF_X1Y8 IS_TEST 0 SITEPROP TIEOFF_X1Y8 IS_USED 0 SITEPROP TIEOFF_X1Y8 MANUAL_ROUTING SITEPROP TIEOFF_X1Y8 NAME TIEOFF_X1Y8 SITEPROP TIEOFF_X1Y8 NUM_ARCS 0 SITEPROP TIEOFF_X1Y8 NUM_BELS 2 SITEPROP TIEOFF_X1Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y8 NUM_PINS 2 SITEPROP TIEOFF_X1Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y8 PROHIBIT 0 SITEPROP TIEOFF_X1Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y8 RPM_X 8 SITEPROP TIEOFF_X1Y8 RPM_Y 16 SITEPROP TIEOFF_X1Y8 SITE_PIPS SITEPROP TIEOFF_X1Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y9 CLASS site SITEPROP TIEOFF_X1Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y9 IS_BONDED 0 SITEPROP TIEOFF_X1Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y9 IS_PAD 0 SITEPROP TIEOFF_X1Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y9 IS_RESERVED 0 SITEPROP TIEOFF_X1Y9 IS_TEST 0 SITEPROP TIEOFF_X1Y9 IS_USED 0 SITEPROP TIEOFF_X1Y9 MANUAL_ROUTING SITEPROP TIEOFF_X1Y9 NAME TIEOFF_X1Y9 SITEPROP TIEOFF_X1Y9 NUM_ARCS 0 SITEPROP TIEOFF_X1Y9 NUM_BELS 2 SITEPROP TIEOFF_X1Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y9 NUM_PINS 2 SITEPROP TIEOFF_X1Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y9 PROHIBIT 0 SITEPROP TIEOFF_X1Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y9 RPM_X 8 SITEPROP TIEOFF_X1Y9 RPM_Y 18 SITEPROP TIEOFF_X1Y9 SITE_PIPS SITEPROP TIEOFF_X1Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y10 CLASS site SITEPROP TIEOFF_X1Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y10 IS_BONDED 0 SITEPROP TIEOFF_X1Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y10 IS_PAD 0 SITEPROP TIEOFF_X1Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y10 IS_RESERVED 0 SITEPROP TIEOFF_X1Y10 IS_TEST 0 SITEPROP TIEOFF_X1Y10 IS_USED 0 SITEPROP TIEOFF_X1Y10 MANUAL_ROUTING SITEPROP TIEOFF_X1Y10 NAME TIEOFF_X1Y10 SITEPROP TIEOFF_X1Y10 NUM_ARCS 0 SITEPROP TIEOFF_X1Y10 NUM_BELS 2 SITEPROP TIEOFF_X1Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y10 NUM_PINS 2 SITEPROP TIEOFF_X1Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y10 PROHIBIT 0 SITEPROP TIEOFF_X1Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y10 RPM_X 8 SITEPROP TIEOFF_X1Y10 RPM_Y 20 SITEPROP TIEOFF_X1Y10 SITE_PIPS SITEPROP TIEOFF_X1Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y11 CLASS site SITEPROP TIEOFF_X1Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y11 IS_BONDED 0 SITEPROP TIEOFF_X1Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y11 IS_PAD 0 SITEPROP TIEOFF_X1Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y11 IS_RESERVED 0 SITEPROP TIEOFF_X1Y11 IS_TEST 0 SITEPROP TIEOFF_X1Y11 IS_USED 0 SITEPROP TIEOFF_X1Y11 MANUAL_ROUTING SITEPROP TIEOFF_X1Y11 NAME TIEOFF_X1Y11 SITEPROP TIEOFF_X1Y11 NUM_ARCS 0 SITEPROP TIEOFF_X1Y11 NUM_BELS 2 SITEPROP TIEOFF_X1Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y11 NUM_PINS 2 SITEPROP TIEOFF_X1Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y11 PROHIBIT 0 SITEPROP TIEOFF_X1Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y11 RPM_X 8 SITEPROP TIEOFF_X1Y11 RPM_Y 22 SITEPROP TIEOFF_X1Y11 SITE_PIPS SITEPROP TIEOFF_X1Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y12 CLASS site SITEPROP TIEOFF_X1Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y12 IS_BONDED 0 SITEPROP TIEOFF_X1Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y12 IS_PAD 0 SITEPROP TIEOFF_X1Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y12 IS_RESERVED 0 SITEPROP TIEOFF_X1Y12 IS_TEST 0 SITEPROP TIEOFF_X1Y12 IS_USED 0 SITEPROP TIEOFF_X1Y12 MANUAL_ROUTING SITEPROP TIEOFF_X1Y12 NAME TIEOFF_X1Y12 SITEPROP TIEOFF_X1Y12 NUM_ARCS 0 SITEPROP TIEOFF_X1Y12 NUM_BELS 2 SITEPROP TIEOFF_X1Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y12 NUM_PINS 2 SITEPROP TIEOFF_X1Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y12 PROHIBIT 0 SITEPROP TIEOFF_X1Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y12 RPM_X 8 SITEPROP TIEOFF_X1Y12 RPM_Y 24 SITEPROP TIEOFF_X1Y12 SITE_PIPS SITEPROP TIEOFF_X1Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y13 CLASS site SITEPROP TIEOFF_X1Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y13 IS_BONDED 0 SITEPROP TIEOFF_X1Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y13 IS_PAD 0 SITEPROP TIEOFF_X1Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y13 IS_RESERVED 0 SITEPROP TIEOFF_X1Y13 IS_TEST 0 SITEPROP TIEOFF_X1Y13 IS_USED 0 SITEPROP TIEOFF_X1Y13 MANUAL_ROUTING SITEPROP TIEOFF_X1Y13 NAME TIEOFF_X1Y13 SITEPROP TIEOFF_X1Y13 NUM_ARCS 0 SITEPROP TIEOFF_X1Y13 NUM_BELS 2 SITEPROP TIEOFF_X1Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y13 NUM_PINS 2 SITEPROP TIEOFF_X1Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y13 PROHIBIT 0 SITEPROP TIEOFF_X1Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y13 RPM_X 8 SITEPROP TIEOFF_X1Y13 RPM_Y 26 SITEPROP TIEOFF_X1Y13 SITE_PIPS SITEPROP TIEOFF_X1Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y14 CLASS site SITEPROP TIEOFF_X1Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y14 IS_BONDED 0 SITEPROP TIEOFF_X1Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y14 IS_PAD 0 SITEPROP TIEOFF_X1Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y14 IS_RESERVED 0 SITEPROP TIEOFF_X1Y14 IS_TEST 0 SITEPROP TIEOFF_X1Y14 IS_USED 0 SITEPROP TIEOFF_X1Y14 MANUAL_ROUTING SITEPROP TIEOFF_X1Y14 NAME TIEOFF_X1Y14 SITEPROP TIEOFF_X1Y14 NUM_ARCS 0 SITEPROP TIEOFF_X1Y14 NUM_BELS 2 SITEPROP TIEOFF_X1Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y14 NUM_PINS 2 SITEPROP TIEOFF_X1Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y14 PROHIBIT 0 SITEPROP TIEOFF_X1Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y14 RPM_X 8 SITEPROP TIEOFF_X1Y14 RPM_Y 28 SITEPROP TIEOFF_X1Y14 SITE_PIPS SITEPROP TIEOFF_X1Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y15 CLASS site SITEPROP TIEOFF_X1Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y15 IS_BONDED 0 SITEPROP TIEOFF_X1Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y15 IS_PAD 0 SITEPROP TIEOFF_X1Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y15 IS_RESERVED 0 SITEPROP TIEOFF_X1Y15 IS_TEST 0 SITEPROP TIEOFF_X1Y15 IS_USED 0 SITEPROP TIEOFF_X1Y15 MANUAL_ROUTING SITEPROP TIEOFF_X1Y15 NAME TIEOFF_X1Y15 SITEPROP TIEOFF_X1Y15 NUM_ARCS 0 SITEPROP TIEOFF_X1Y15 NUM_BELS 2 SITEPROP TIEOFF_X1Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y15 NUM_PINS 2 SITEPROP TIEOFF_X1Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y15 PROHIBIT 0 SITEPROP TIEOFF_X1Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y15 RPM_X 8 SITEPROP TIEOFF_X1Y15 RPM_Y 30 SITEPROP TIEOFF_X1Y15 SITE_PIPS SITEPROP TIEOFF_X1Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y16 CLASS site SITEPROP TIEOFF_X1Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y16 IS_BONDED 0 SITEPROP TIEOFF_X1Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y16 IS_PAD 0 SITEPROP TIEOFF_X1Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y16 IS_RESERVED 0 SITEPROP TIEOFF_X1Y16 IS_TEST 0 SITEPROP TIEOFF_X1Y16 IS_USED 0 SITEPROP TIEOFF_X1Y16 MANUAL_ROUTING SITEPROP TIEOFF_X1Y16 NAME TIEOFF_X1Y16 SITEPROP TIEOFF_X1Y16 NUM_ARCS 0 SITEPROP TIEOFF_X1Y16 NUM_BELS 2 SITEPROP TIEOFF_X1Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y16 NUM_PINS 2 SITEPROP TIEOFF_X1Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y16 PROHIBIT 0 SITEPROP TIEOFF_X1Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y16 RPM_X 8 SITEPROP TIEOFF_X1Y16 RPM_Y 32 SITEPROP TIEOFF_X1Y16 SITE_PIPS SITEPROP TIEOFF_X1Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y17 CLASS site SITEPROP TIEOFF_X1Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y17 IS_BONDED 0 SITEPROP TIEOFF_X1Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y17 IS_PAD 0 SITEPROP TIEOFF_X1Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y17 IS_RESERVED 0 SITEPROP TIEOFF_X1Y17 IS_TEST 0 SITEPROP TIEOFF_X1Y17 IS_USED 0 SITEPROP TIEOFF_X1Y17 MANUAL_ROUTING SITEPROP TIEOFF_X1Y17 NAME TIEOFF_X1Y17 SITEPROP TIEOFF_X1Y17 NUM_ARCS 0 SITEPROP TIEOFF_X1Y17 NUM_BELS 2 SITEPROP TIEOFF_X1Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y17 NUM_PINS 2 SITEPROP TIEOFF_X1Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y17 PROHIBIT 0 SITEPROP TIEOFF_X1Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y17 RPM_X 8 SITEPROP TIEOFF_X1Y17 RPM_Y 34 SITEPROP TIEOFF_X1Y17 SITE_PIPS SITEPROP TIEOFF_X1Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y18 CLASS site SITEPROP TIEOFF_X1Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y18 IS_BONDED 0 SITEPROP TIEOFF_X1Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y18 IS_PAD 0 SITEPROP TIEOFF_X1Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y18 IS_RESERVED 0 SITEPROP TIEOFF_X1Y18 IS_TEST 0 SITEPROP TIEOFF_X1Y18 IS_USED 0 SITEPROP TIEOFF_X1Y18 MANUAL_ROUTING SITEPROP TIEOFF_X1Y18 NAME TIEOFF_X1Y18 SITEPROP TIEOFF_X1Y18 NUM_ARCS 0 SITEPROP TIEOFF_X1Y18 NUM_BELS 2 SITEPROP TIEOFF_X1Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y18 NUM_PINS 2 SITEPROP TIEOFF_X1Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y18 PROHIBIT 0 SITEPROP TIEOFF_X1Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y18 RPM_X 8 SITEPROP TIEOFF_X1Y18 RPM_Y 36 SITEPROP TIEOFF_X1Y18 SITE_PIPS SITEPROP TIEOFF_X1Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y19 CLASS site SITEPROP TIEOFF_X1Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y19 IS_BONDED 0 SITEPROP TIEOFF_X1Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y19 IS_PAD 0 SITEPROP TIEOFF_X1Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y19 IS_RESERVED 0 SITEPROP TIEOFF_X1Y19 IS_TEST 0 SITEPROP TIEOFF_X1Y19 IS_USED 0 SITEPROP TIEOFF_X1Y19 MANUAL_ROUTING SITEPROP TIEOFF_X1Y19 NAME TIEOFF_X1Y19 SITEPROP TIEOFF_X1Y19 NUM_ARCS 0 SITEPROP TIEOFF_X1Y19 NUM_BELS 2 SITEPROP TIEOFF_X1Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y19 NUM_PINS 2 SITEPROP TIEOFF_X1Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y19 PROHIBIT 0 SITEPROP TIEOFF_X1Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y19 RPM_X 8 SITEPROP TIEOFF_X1Y19 RPM_Y 38 SITEPROP TIEOFF_X1Y19 SITE_PIPS SITEPROP TIEOFF_X1Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y20 CLASS site SITEPROP TIEOFF_X1Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y20 IS_BONDED 0 SITEPROP TIEOFF_X1Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y20 IS_PAD 0 SITEPROP TIEOFF_X1Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y20 IS_RESERVED 0 SITEPROP TIEOFF_X1Y20 IS_TEST 0 SITEPROP TIEOFF_X1Y20 IS_USED 0 SITEPROP TIEOFF_X1Y20 MANUAL_ROUTING SITEPROP TIEOFF_X1Y20 NAME TIEOFF_X1Y20 SITEPROP TIEOFF_X1Y20 NUM_ARCS 0 SITEPROP TIEOFF_X1Y20 NUM_BELS 2 SITEPROP TIEOFF_X1Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y20 NUM_PINS 2 SITEPROP TIEOFF_X1Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y20 PROHIBIT 0 SITEPROP TIEOFF_X1Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y20 RPM_X 8 SITEPROP TIEOFF_X1Y20 RPM_Y 40 SITEPROP TIEOFF_X1Y20 SITE_PIPS SITEPROP TIEOFF_X1Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y21 CLASS site SITEPROP TIEOFF_X1Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y21 IS_BONDED 0 SITEPROP TIEOFF_X1Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y21 IS_PAD 0 SITEPROP TIEOFF_X1Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y21 IS_RESERVED 0 SITEPROP TIEOFF_X1Y21 IS_TEST 0 SITEPROP TIEOFF_X1Y21 IS_USED 0 SITEPROP TIEOFF_X1Y21 MANUAL_ROUTING SITEPROP TIEOFF_X1Y21 NAME TIEOFF_X1Y21 SITEPROP TIEOFF_X1Y21 NUM_ARCS 0 SITEPROP TIEOFF_X1Y21 NUM_BELS 2 SITEPROP TIEOFF_X1Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y21 NUM_PINS 2 SITEPROP TIEOFF_X1Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y21 PROHIBIT 0 SITEPROP TIEOFF_X1Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y21 RPM_X 8 SITEPROP TIEOFF_X1Y21 RPM_Y 42 SITEPROP TIEOFF_X1Y21 SITE_PIPS SITEPROP TIEOFF_X1Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y22 CLASS site SITEPROP TIEOFF_X1Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y22 IS_BONDED 0 SITEPROP TIEOFF_X1Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y22 IS_PAD 0 SITEPROP TIEOFF_X1Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y22 IS_RESERVED 0 SITEPROP TIEOFF_X1Y22 IS_TEST 0 SITEPROP TIEOFF_X1Y22 IS_USED 0 SITEPROP TIEOFF_X1Y22 MANUAL_ROUTING SITEPROP TIEOFF_X1Y22 NAME TIEOFF_X1Y22 SITEPROP TIEOFF_X1Y22 NUM_ARCS 0 SITEPROP TIEOFF_X1Y22 NUM_BELS 2 SITEPROP TIEOFF_X1Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y22 NUM_PINS 2 SITEPROP TIEOFF_X1Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y22 PROHIBIT 0 SITEPROP TIEOFF_X1Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y22 RPM_X 8 SITEPROP TIEOFF_X1Y22 RPM_Y 44 SITEPROP TIEOFF_X1Y22 SITE_PIPS SITEPROP TIEOFF_X1Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y23 CLASS site SITEPROP TIEOFF_X1Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y23 IS_BONDED 0 SITEPROP TIEOFF_X1Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y23 IS_PAD 0 SITEPROP TIEOFF_X1Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y23 IS_RESERVED 0 SITEPROP TIEOFF_X1Y23 IS_TEST 0 SITEPROP TIEOFF_X1Y23 IS_USED 0 SITEPROP TIEOFF_X1Y23 MANUAL_ROUTING SITEPROP TIEOFF_X1Y23 NAME TIEOFF_X1Y23 SITEPROP TIEOFF_X1Y23 NUM_ARCS 0 SITEPROP TIEOFF_X1Y23 NUM_BELS 2 SITEPROP TIEOFF_X1Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y23 NUM_PINS 2 SITEPROP TIEOFF_X1Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y23 PROHIBIT 0 SITEPROP TIEOFF_X1Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y23 RPM_X 8 SITEPROP TIEOFF_X1Y23 RPM_Y 46 SITEPROP TIEOFF_X1Y23 SITE_PIPS SITEPROP TIEOFF_X1Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y24 CLASS site SITEPROP TIEOFF_X1Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y24 IS_BONDED 0 SITEPROP TIEOFF_X1Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y24 IS_PAD 0 SITEPROP TIEOFF_X1Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y24 IS_RESERVED 0 SITEPROP TIEOFF_X1Y24 IS_TEST 0 SITEPROP TIEOFF_X1Y24 IS_USED 0 SITEPROP TIEOFF_X1Y24 MANUAL_ROUTING SITEPROP TIEOFF_X1Y24 NAME TIEOFF_X1Y24 SITEPROP TIEOFF_X1Y24 NUM_ARCS 0 SITEPROP TIEOFF_X1Y24 NUM_BELS 2 SITEPROP TIEOFF_X1Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y24 NUM_PINS 2 SITEPROP TIEOFF_X1Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y24 PROHIBIT 0 SITEPROP TIEOFF_X1Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y24 RPM_X 8 SITEPROP TIEOFF_X1Y24 RPM_Y 48 SITEPROP TIEOFF_X1Y24 SITE_PIPS SITEPROP TIEOFF_X1Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y25 CLASS site SITEPROP TIEOFF_X1Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y25 IS_BONDED 0 SITEPROP TIEOFF_X1Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y25 IS_PAD 0 SITEPROP TIEOFF_X1Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y25 IS_RESERVED 0 SITEPROP TIEOFF_X1Y25 IS_TEST 0 SITEPROP TIEOFF_X1Y25 IS_USED 0 SITEPROP TIEOFF_X1Y25 MANUAL_ROUTING SITEPROP TIEOFF_X1Y25 NAME TIEOFF_X1Y25 SITEPROP TIEOFF_X1Y25 NUM_ARCS 0 SITEPROP TIEOFF_X1Y25 NUM_BELS 2 SITEPROP TIEOFF_X1Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y25 NUM_PINS 2 SITEPROP TIEOFF_X1Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y25 PROHIBIT 0 SITEPROP TIEOFF_X1Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y25 RPM_X 8 SITEPROP TIEOFF_X1Y25 RPM_Y 50 SITEPROP TIEOFF_X1Y25 SITE_PIPS SITEPROP TIEOFF_X1Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y26 CLASS site SITEPROP TIEOFF_X1Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y26 IS_BONDED 0 SITEPROP TIEOFF_X1Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y26 IS_PAD 0 SITEPROP TIEOFF_X1Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y26 IS_RESERVED 0 SITEPROP TIEOFF_X1Y26 IS_TEST 0 SITEPROP TIEOFF_X1Y26 IS_USED 0 SITEPROP TIEOFF_X1Y26 MANUAL_ROUTING SITEPROP TIEOFF_X1Y26 NAME TIEOFF_X1Y26 SITEPROP TIEOFF_X1Y26 NUM_ARCS 0 SITEPROP TIEOFF_X1Y26 NUM_BELS 2 SITEPROP TIEOFF_X1Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y26 NUM_PINS 2 SITEPROP TIEOFF_X1Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y26 PROHIBIT 0 SITEPROP TIEOFF_X1Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y26 RPM_X 8 SITEPROP TIEOFF_X1Y26 RPM_Y 52 SITEPROP TIEOFF_X1Y26 SITE_PIPS SITEPROP TIEOFF_X1Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y27 CLASS site SITEPROP TIEOFF_X1Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y27 IS_BONDED 0 SITEPROP TIEOFF_X1Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y27 IS_PAD 0 SITEPROP TIEOFF_X1Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y27 IS_RESERVED 0 SITEPROP TIEOFF_X1Y27 IS_TEST 0 SITEPROP TIEOFF_X1Y27 IS_USED 0 SITEPROP TIEOFF_X1Y27 MANUAL_ROUTING SITEPROP TIEOFF_X1Y27 NAME TIEOFF_X1Y27 SITEPROP TIEOFF_X1Y27 NUM_ARCS 0 SITEPROP TIEOFF_X1Y27 NUM_BELS 2 SITEPROP TIEOFF_X1Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y27 NUM_PINS 2 SITEPROP TIEOFF_X1Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y27 PROHIBIT 0 SITEPROP TIEOFF_X1Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y27 RPM_X 8 SITEPROP TIEOFF_X1Y27 RPM_Y 54 SITEPROP TIEOFF_X1Y27 SITE_PIPS SITEPROP TIEOFF_X1Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y28 CLASS site SITEPROP TIEOFF_X1Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y28 IS_BONDED 0 SITEPROP TIEOFF_X1Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y28 IS_PAD 0 SITEPROP TIEOFF_X1Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y28 IS_RESERVED 0 SITEPROP TIEOFF_X1Y28 IS_TEST 0 SITEPROP TIEOFF_X1Y28 IS_USED 0 SITEPROP TIEOFF_X1Y28 MANUAL_ROUTING SITEPROP TIEOFF_X1Y28 NAME TIEOFF_X1Y28 SITEPROP TIEOFF_X1Y28 NUM_ARCS 0 SITEPROP TIEOFF_X1Y28 NUM_BELS 2 SITEPROP TIEOFF_X1Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y28 NUM_PINS 2 SITEPROP TIEOFF_X1Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y28 PROHIBIT 0 SITEPROP TIEOFF_X1Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y28 RPM_X 8 SITEPROP TIEOFF_X1Y28 RPM_Y 56 SITEPROP TIEOFF_X1Y28 SITE_PIPS SITEPROP TIEOFF_X1Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y29 CLASS site SITEPROP TIEOFF_X1Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y29 IS_BONDED 0 SITEPROP TIEOFF_X1Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y29 IS_PAD 0 SITEPROP TIEOFF_X1Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y29 IS_RESERVED 0 SITEPROP TIEOFF_X1Y29 IS_TEST 0 SITEPROP TIEOFF_X1Y29 IS_USED 0 SITEPROP TIEOFF_X1Y29 MANUAL_ROUTING SITEPROP TIEOFF_X1Y29 NAME TIEOFF_X1Y29 SITEPROP TIEOFF_X1Y29 NUM_ARCS 0 SITEPROP TIEOFF_X1Y29 NUM_BELS 2 SITEPROP TIEOFF_X1Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y29 NUM_PINS 2 SITEPROP TIEOFF_X1Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y29 PROHIBIT 0 SITEPROP TIEOFF_X1Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y29 RPM_X 8 SITEPROP TIEOFF_X1Y29 RPM_Y 58 SITEPROP TIEOFF_X1Y29 SITE_PIPS SITEPROP TIEOFF_X1Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y30 CLASS site SITEPROP TIEOFF_X1Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y30 IS_BONDED 0 SITEPROP TIEOFF_X1Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y30 IS_PAD 0 SITEPROP TIEOFF_X1Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y30 IS_RESERVED 0 SITEPROP TIEOFF_X1Y30 IS_TEST 0 SITEPROP TIEOFF_X1Y30 IS_USED 0 SITEPROP TIEOFF_X1Y30 MANUAL_ROUTING SITEPROP TIEOFF_X1Y30 NAME TIEOFF_X1Y30 SITEPROP TIEOFF_X1Y30 NUM_ARCS 0 SITEPROP TIEOFF_X1Y30 NUM_BELS 2 SITEPROP TIEOFF_X1Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y30 NUM_PINS 2 SITEPROP TIEOFF_X1Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y30 PROHIBIT 0 SITEPROP TIEOFF_X1Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y30 RPM_X 8 SITEPROP TIEOFF_X1Y30 RPM_Y 60 SITEPROP TIEOFF_X1Y30 SITE_PIPS SITEPROP TIEOFF_X1Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y31 CLASS site SITEPROP TIEOFF_X1Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y31 IS_BONDED 0 SITEPROP TIEOFF_X1Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y31 IS_PAD 0 SITEPROP TIEOFF_X1Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y31 IS_RESERVED 0 SITEPROP TIEOFF_X1Y31 IS_TEST 0 SITEPROP TIEOFF_X1Y31 IS_USED 0 SITEPROP TIEOFF_X1Y31 MANUAL_ROUTING SITEPROP TIEOFF_X1Y31 NAME TIEOFF_X1Y31 SITEPROP TIEOFF_X1Y31 NUM_ARCS 0 SITEPROP TIEOFF_X1Y31 NUM_BELS 2 SITEPROP TIEOFF_X1Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y31 NUM_PINS 2 SITEPROP TIEOFF_X1Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y31 PROHIBIT 0 SITEPROP TIEOFF_X1Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y31 RPM_X 8 SITEPROP TIEOFF_X1Y31 RPM_Y 62 SITEPROP TIEOFF_X1Y31 SITE_PIPS SITEPROP TIEOFF_X1Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y32 CLASS site SITEPROP TIEOFF_X1Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y32 IS_BONDED 0 SITEPROP TIEOFF_X1Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y32 IS_PAD 0 SITEPROP TIEOFF_X1Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y32 IS_RESERVED 0 SITEPROP TIEOFF_X1Y32 IS_TEST 0 SITEPROP TIEOFF_X1Y32 IS_USED 0 SITEPROP TIEOFF_X1Y32 MANUAL_ROUTING SITEPROP TIEOFF_X1Y32 NAME TIEOFF_X1Y32 SITEPROP TIEOFF_X1Y32 NUM_ARCS 0 SITEPROP TIEOFF_X1Y32 NUM_BELS 2 SITEPROP TIEOFF_X1Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y32 NUM_PINS 2 SITEPROP TIEOFF_X1Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y32 PROHIBIT 0 SITEPROP TIEOFF_X1Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y32 RPM_X 8 SITEPROP TIEOFF_X1Y32 RPM_Y 64 SITEPROP TIEOFF_X1Y32 SITE_PIPS SITEPROP TIEOFF_X1Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y33 CLASS site SITEPROP TIEOFF_X1Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y33 IS_BONDED 0 SITEPROP TIEOFF_X1Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y33 IS_PAD 0 SITEPROP TIEOFF_X1Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y33 IS_RESERVED 0 SITEPROP TIEOFF_X1Y33 IS_TEST 0 SITEPROP TIEOFF_X1Y33 IS_USED 0 SITEPROP TIEOFF_X1Y33 MANUAL_ROUTING SITEPROP TIEOFF_X1Y33 NAME TIEOFF_X1Y33 SITEPROP TIEOFF_X1Y33 NUM_ARCS 0 SITEPROP TIEOFF_X1Y33 NUM_BELS 2 SITEPROP TIEOFF_X1Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y33 NUM_PINS 2 SITEPROP TIEOFF_X1Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y33 PROHIBIT 0 SITEPROP TIEOFF_X1Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y33 RPM_X 8 SITEPROP TIEOFF_X1Y33 RPM_Y 66 SITEPROP TIEOFF_X1Y33 SITE_PIPS SITEPROP TIEOFF_X1Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y34 CLASS site SITEPROP TIEOFF_X1Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y34 IS_BONDED 0 SITEPROP TIEOFF_X1Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y34 IS_PAD 0 SITEPROP TIEOFF_X1Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y34 IS_RESERVED 0 SITEPROP TIEOFF_X1Y34 IS_TEST 0 SITEPROP TIEOFF_X1Y34 IS_USED 0 SITEPROP TIEOFF_X1Y34 MANUAL_ROUTING SITEPROP TIEOFF_X1Y34 NAME TIEOFF_X1Y34 SITEPROP TIEOFF_X1Y34 NUM_ARCS 0 SITEPROP TIEOFF_X1Y34 NUM_BELS 2 SITEPROP TIEOFF_X1Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y34 NUM_PINS 2 SITEPROP TIEOFF_X1Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y34 PROHIBIT 0 SITEPROP TIEOFF_X1Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y34 RPM_X 8 SITEPROP TIEOFF_X1Y34 RPM_Y 68 SITEPROP TIEOFF_X1Y34 SITE_PIPS SITEPROP TIEOFF_X1Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y35 CLASS site SITEPROP TIEOFF_X1Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y35 IS_BONDED 0 SITEPROP TIEOFF_X1Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y35 IS_PAD 0 SITEPROP TIEOFF_X1Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y35 IS_RESERVED 0 SITEPROP TIEOFF_X1Y35 IS_TEST 0 SITEPROP TIEOFF_X1Y35 IS_USED 0 SITEPROP TIEOFF_X1Y35 MANUAL_ROUTING SITEPROP TIEOFF_X1Y35 NAME TIEOFF_X1Y35 SITEPROP TIEOFF_X1Y35 NUM_ARCS 0 SITEPROP TIEOFF_X1Y35 NUM_BELS 2 SITEPROP TIEOFF_X1Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y35 NUM_PINS 2 SITEPROP TIEOFF_X1Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y35 PROHIBIT 0 SITEPROP TIEOFF_X1Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y35 RPM_X 8 SITEPROP TIEOFF_X1Y35 RPM_Y 70 SITEPROP TIEOFF_X1Y35 SITE_PIPS SITEPROP TIEOFF_X1Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y36 CLASS site SITEPROP TIEOFF_X1Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y36 IS_BONDED 0 SITEPROP TIEOFF_X1Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y36 IS_PAD 0 SITEPROP TIEOFF_X1Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y36 IS_RESERVED 0 SITEPROP TIEOFF_X1Y36 IS_TEST 0 SITEPROP TIEOFF_X1Y36 IS_USED 0 SITEPROP TIEOFF_X1Y36 MANUAL_ROUTING SITEPROP TIEOFF_X1Y36 NAME TIEOFF_X1Y36 SITEPROP TIEOFF_X1Y36 NUM_ARCS 0 SITEPROP TIEOFF_X1Y36 NUM_BELS 2 SITEPROP TIEOFF_X1Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y36 NUM_PINS 2 SITEPROP TIEOFF_X1Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y36 PROHIBIT 0 SITEPROP TIEOFF_X1Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y36 RPM_X 8 SITEPROP TIEOFF_X1Y36 RPM_Y 72 SITEPROP TIEOFF_X1Y36 SITE_PIPS SITEPROP TIEOFF_X1Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y37 CLASS site SITEPROP TIEOFF_X1Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y37 IS_BONDED 0 SITEPROP TIEOFF_X1Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y37 IS_PAD 0 SITEPROP TIEOFF_X1Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y37 IS_RESERVED 0 SITEPROP TIEOFF_X1Y37 IS_TEST 0 SITEPROP TIEOFF_X1Y37 IS_USED 0 SITEPROP TIEOFF_X1Y37 MANUAL_ROUTING SITEPROP TIEOFF_X1Y37 NAME TIEOFF_X1Y37 SITEPROP TIEOFF_X1Y37 NUM_ARCS 0 SITEPROP TIEOFF_X1Y37 NUM_BELS 2 SITEPROP TIEOFF_X1Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y37 NUM_PINS 2 SITEPROP TIEOFF_X1Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y37 PROHIBIT 0 SITEPROP TIEOFF_X1Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y37 RPM_X 8 SITEPROP TIEOFF_X1Y37 RPM_Y 74 SITEPROP TIEOFF_X1Y37 SITE_PIPS SITEPROP TIEOFF_X1Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y38 CLASS site SITEPROP TIEOFF_X1Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y38 IS_BONDED 0 SITEPROP TIEOFF_X1Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y38 IS_PAD 0 SITEPROP TIEOFF_X1Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y38 IS_RESERVED 0 SITEPROP TIEOFF_X1Y38 IS_TEST 0 SITEPROP TIEOFF_X1Y38 IS_USED 0 SITEPROP TIEOFF_X1Y38 MANUAL_ROUTING SITEPROP TIEOFF_X1Y38 NAME TIEOFF_X1Y38 SITEPROP TIEOFF_X1Y38 NUM_ARCS 0 SITEPROP TIEOFF_X1Y38 NUM_BELS 2 SITEPROP TIEOFF_X1Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y38 NUM_PINS 2 SITEPROP TIEOFF_X1Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y38 PROHIBIT 0 SITEPROP TIEOFF_X1Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y38 RPM_X 8 SITEPROP TIEOFF_X1Y38 RPM_Y 76 SITEPROP TIEOFF_X1Y38 SITE_PIPS SITEPROP TIEOFF_X1Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y39 CLASS site SITEPROP TIEOFF_X1Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y39 IS_BONDED 0 SITEPROP TIEOFF_X1Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y39 IS_PAD 0 SITEPROP TIEOFF_X1Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y39 IS_RESERVED 0 SITEPROP TIEOFF_X1Y39 IS_TEST 0 SITEPROP TIEOFF_X1Y39 IS_USED 0 SITEPROP TIEOFF_X1Y39 MANUAL_ROUTING SITEPROP TIEOFF_X1Y39 NAME TIEOFF_X1Y39 SITEPROP TIEOFF_X1Y39 NUM_ARCS 0 SITEPROP TIEOFF_X1Y39 NUM_BELS 2 SITEPROP TIEOFF_X1Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y39 NUM_PINS 2 SITEPROP TIEOFF_X1Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y39 PROHIBIT 0 SITEPROP TIEOFF_X1Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y39 RPM_X 8 SITEPROP TIEOFF_X1Y39 RPM_Y 78 SITEPROP TIEOFF_X1Y39 SITE_PIPS SITEPROP TIEOFF_X1Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y40 CLASS site SITEPROP TIEOFF_X1Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y40 IS_BONDED 0 SITEPROP TIEOFF_X1Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y40 IS_PAD 0 SITEPROP TIEOFF_X1Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y40 IS_RESERVED 0 SITEPROP TIEOFF_X1Y40 IS_TEST 0 SITEPROP TIEOFF_X1Y40 IS_USED 0 SITEPROP TIEOFF_X1Y40 MANUAL_ROUTING SITEPROP TIEOFF_X1Y40 NAME TIEOFF_X1Y40 SITEPROP TIEOFF_X1Y40 NUM_ARCS 0 SITEPROP TIEOFF_X1Y40 NUM_BELS 2 SITEPROP TIEOFF_X1Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y40 NUM_PINS 2 SITEPROP TIEOFF_X1Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y40 PROHIBIT 0 SITEPROP TIEOFF_X1Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y40 RPM_X 8 SITEPROP TIEOFF_X1Y40 RPM_Y 80 SITEPROP TIEOFF_X1Y40 SITE_PIPS SITEPROP TIEOFF_X1Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y41 CLASS site SITEPROP TIEOFF_X1Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y41 IS_BONDED 0 SITEPROP TIEOFF_X1Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y41 IS_PAD 0 SITEPROP TIEOFF_X1Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y41 IS_RESERVED 0 SITEPROP TIEOFF_X1Y41 IS_TEST 0 SITEPROP TIEOFF_X1Y41 IS_USED 0 SITEPROP TIEOFF_X1Y41 MANUAL_ROUTING SITEPROP TIEOFF_X1Y41 NAME TIEOFF_X1Y41 SITEPROP TIEOFF_X1Y41 NUM_ARCS 0 SITEPROP TIEOFF_X1Y41 NUM_BELS 2 SITEPROP TIEOFF_X1Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y41 NUM_PINS 2 SITEPROP TIEOFF_X1Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y41 PROHIBIT 0 SITEPROP TIEOFF_X1Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y41 RPM_X 8 SITEPROP TIEOFF_X1Y41 RPM_Y 82 SITEPROP TIEOFF_X1Y41 SITE_PIPS SITEPROP TIEOFF_X1Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y42 CLASS site SITEPROP TIEOFF_X1Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y42 IS_BONDED 0 SITEPROP TIEOFF_X1Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y42 IS_PAD 0 SITEPROP TIEOFF_X1Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y42 IS_RESERVED 0 SITEPROP TIEOFF_X1Y42 IS_TEST 0 SITEPROP TIEOFF_X1Y42 IS_USED 0 SITEPROP TIEOFF_X1Y42 MANUAL_ROUTING SITEPROP TIEOFF_X1Y42 NAME TIEOFF_X1Y42 SITEPROP TIEOFF_X1Y42 NUM_ARCS 0 SITEPROP TIEOFF_X1Y42 NUM_BELS 2 SITEPROP TIEOFF_X1Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y42 NUM_PINS 2 SITEPROP TIEOFF_X1Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y42 PROHIBIT 0 SITEPROP TIEOFF_X1Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y42 RPM_X 8 SITEPROP TIEOFF_X1Y42 RPM_Y 84 SITEPROP TIEOFF_X1Y42 SITE_PIPS SITEPROP TIEOFF_X1Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y43 CLASS site SITEPROP TIEOFF_X1Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y43 IS_BONDED 0 SITEPROP TIEOFF_X1Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y43 IS_PAD 0 SITEPROP TIEOFF_X1Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y43 IS_RESERVED 0 SITEPROP TIEOFF_X1Y43 IS_TEST 0 SITEPROP TIEOFF_X1Y43 IS_USED 0 SITEPROP TIEOFF_X1Y43 MANUAL_ROUTING SITEPROP TIEOFF_X1Y43 NAME TIEOFF_X1Y43 SITEPROP TIEOFF_X1Y43 NUM_ARCS 0 SITEPROP TIEOFF_X1Y43 NUM_BELS 2 SITEPROP TIEOFF_X1Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y43 NUM_PINS 2 SITEPROP TIEOFF_X1Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y43 PROHIBIT 0 SITEPROP TIEOFF_X1Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y43 RPM_X 8 SITEPROP TIEOFF_X1Y43 RPM_Y 86 SITEPROP TIEOFF_X1Y43 SITE_PIPS SITEPROP TIEOFF_X1Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y44 CLASS site SITEPROP TIEOFF_X1Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y44 IS_BONDED 0 SITEPROP TIEOFF_X1Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y44 IS_PAD 0 SITEPROP TIEOFF_X1Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y44 IS_RESERVED 0 SITEPROP TIEOFF_X1Y44 IS_TEST 0 SITEPROP TIEOFF_X1Y44 IS_USED 0 SITEPROP TIEOFF_X1Y44 MANUAL_ROUTING SITEPROP TIEOFF_X1Y44 NAME TIEOFF_X1Y44 SITEPROP TIEOFF_X1Y44 NUM_ARCS 0 SITEPROP TIEOFF_X1Y44 NUM_BELS 2 SITEPROP TIEOFF_X1Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y44 NUM_PINS 2 SITEPROP TIEOFF_X1Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y44 PROHIBIT 0 SITEPROP TIEOFF_X1Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y44 RPM_X 8 SITEPROP TIEOFF_X1Y44 RPM_Y 88 SITEPROP TIEOFF_X1Y44 SITE_PIPS SITEPROP TIEOFF_X1Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y45 CLASS site SITEPROP TIEOFF_X1Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y45 IS_BONDED 0 SITEPROP TIEOFF_X1Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y45 IS_PAD 0 SITEPROP TIEOFF_X1Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y45 IS_RESERVED 0 SITEPROP TIEOFF_X1Y45 IS_TEST 0 SITEPROP TIEOFF_X1Y45 IS_USED 0 SITEPROP TIEOFF_X1Y45 MANUAL_ROUTING SITEPROP TIEOFF_X1Y45 NAME TIEOFF_X1Y45 SITEPROP TIEOFF_X1Y45 NUM_ARCS 0 SITEPROP TIEOFF_X1Y45 NUM_BELS 2 SITEPROP TIEOFF_X1Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y45 NUM_PINS 2 SITEPROP TIEOFF_X1Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y45 PROHIBIT 0 SITEPROP TIEOFF_X1Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y45 RPM_X 8 SITEPROP TIEOFF_X1Y45 RPM_Y 90 SITEPROP TIEOFF_X1Y45 SITE_PIPS SITEPROP TIEOFF_X1Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y46 CLASS site SITEPROP TIEOFF_X1Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y46 IS_BONDED 0 SITEPROP TIEOFF_X1Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y46 IS_PAD 0 SITEPROP TIEOFF_X1Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y46 IS_RESERVED 0 SITEPROP TIEOFF_X1Y46 IS_TEST 0 SITEPROP TIEOFF_X1Y46 IS_USED 0 SITEPROP TIEOFF_X1Y46 MANUAL_ROUTING SITEPROP TIEOFF_X1Y46 NAME TIEOFF_X1Y46 SITEPROP TIEOFF_X1Y46 NUM_ARCS 0 SITEPROP TIEOFF_X1Y46 NUM_BELS 2 SITEPROP TIEOFF_X1Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y46 NUM_PINS 2 SITEPROP TIEOFF_X1Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y46 PROHIBIT 0 SITEPROP TIEOFF_X1Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y46 RPM_X 8 SITEPROP TIEOFF_X1Y46 RPM_Y 92 SITEPROP TIEOFF_X1Y46 SITE_PIPS SITEPROP TIEOFF_X1Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y47 CLASS site SITEPROP TIEOFF_X1Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y47 IS_BONDED 0 SITEPROP TIEOFF_X1Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y47 IS_PAD 0 SITEPROP TIEOFF_X1Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y47 IS_RESERVED 0 SITEPROP TIEOFF_X1Y47 IS_TEST 0 SITEPROP TIEOFF_X1Y47 IS_USED 0 SITEPROP TIEOFF_X1Y47 MANUAL_ROUTING SITEPROP TIEOFF_X1Y47 NAME TIEOFF_X1Y47 SITEPROP TIEOFF_X1Y47 NUM_ARCS 0 SITEPROP TIEOFF_X1Y47 NUM_BELS 2 SITEPROP TIEOFF_X1Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y47 NUM_PINS 2 SITEPROP TIEOFF_X1Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y47 PROHIBIT 0 SITEPROP TIEOFF_X1Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y47 RPM_X 8 SITEPROP TIEOFF_X1Y47 RPM_Y 94 SITEPROP TIEOFF_X1Y47 SITE_PIPS SITEPROP TIEOFF_X1Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y48 CLASS site SITEPROP TIEOFF_X1Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y48 IS_BONDED 0 SITEPROP TIEOFF_X1Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y48 IS_PAD 0 SITEPROP TIEOFF_X1Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y48 IS_RESERVED 0 SITEPROP TIEOFF_X1Y48 IS_TEST 0 SITEPROP TIEOFF_X1Y48 IS_USED 0 SITEPROP TIEOFF_X1Y48 MANUAL_ROUTING SITEPROP TIEOFF_X1Y48 NAME TIEOFF_X1Y48 SITEPROP TIEOFF_X1Y48 NUM_ARCS 0 SITEPROP TIEOFF_X1Y48 NUM_BELS 2 SITEPROP TIEOFF_X1Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y48 NUM_PINS 2 SITEPROP TIEOFF_X1Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y48 PROHIBIT 0 SITEPROP TIEOFF_X1Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y48 RPM_X 8 SITEPROP TIEOFF_X1Y48 RPM_Y 96 SITEPROP TIEOFF_X1Y48 SITE_PIPS SITEPROP TIEOFF_X1Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y49 CLASS site SITEPROP TIEOFF_X1Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X1Y49 IS_BONDED 0 SITEPROP TIEOFF_X1Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y49 IS_PAD 0 SITEPROP TIEOFF_X1Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y49 IS_RESERVED 0 SITEPROP TIEOFF_X1Y49 IS_TEST 0 SITEPROP TIEOFF_X1Y49 IS_USED 0 SITEPROP TIEOFF_X1Y49 MANUAL_ROUTING SITEPROP TIEOFF_X1Y49 NAME TIEOFF_X1Y49 SITEPROP TIEOFF_X1Y49 NUM_ARCS 0 SITEPROP TIEOFF_X1Y49 NUM_BELS 2 SITEPROP TIEOFF_X1Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y49 NUM_PINS 2 SITEPROP TIEOFF_X1Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y49 PROHIBIT 0 SITEPROP TIEOFF_X1Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y49 RPM_X 8 SITEPROP TIEOFF_X1Y49 RPM_Y 98 SITEPROP TIEOFF_X1Y49 SITE_PIPS SITEPROP TIEOFF_X1Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y50 CLASS site SITEPROP TIEOFF_X1Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y50 IS_BONDED 0 SITEPROP TIEOFF_X1Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y50 IS_PAD 0 SITEPROP TIEOFF_X1Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y50 IS_RESERVED 0 SITEPROP TIEOFF_X1Y50 IS_TEST 0 SITEPROP TIEOFF_X1Y50 IS_USED 0 SITEPROP TIEOFF_X1Y50 MANUAL_ROUTING SITEPROP TIEOFF_X1Y50 NAME TIEOFF_X1Y50 SITEPROP TIEOFF_X1Y50 NUM_ARCS 0 SITEPROP TIEOFF_X1Y50 NUM_BELS 2 SITEPROP TIEOFF_X1Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y50 NUM_PINS 2 SITEPROP TIEOFF_X1Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y50 PROHIBIT 0 SITEPROP TIEOFF_X1Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y50 RPM_X 8 SITEPROP TIEOFF_X1Y50 RPM_Y 100 SITEPROP TIEOFF_X1Y50 SITE_PIPS SITEPROP TIEOFF_X1Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y51 CLASS site SITEPROP TIEOFF_X1Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y51 IS_BONDED 0 SITEPROP TIEOFF_X1Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y51 IS_PAD 0 SITEPROP TIEOFF_X1Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y51 IS_RESERVED 0 SITEPROP TIEOFF_X1Y51 IS_TEST 0 SITEPROP TIEOFF_X1Y51 IS_USED 0 SITEPROP TIEOFF_X1Y51 MANUAL_ROUTING SITEPROP TIEOFF_X1Y51 NAME TIEOFF_X1Y51 SITEPROP TIEOFF_X1Y51 NUM_ARCS 0 SITEPROP TIEOFF_X1Y51 NUM_BELS 2 SITEPROP TIEOFF_X1Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y51 NUM_PINS 2 SITEPROP TIEOFF_X1Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y51 PROHIBIT 0 SITEPROP TIEOFF_X1Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y51 RPM_X 8 SITEPROP TIEOFF_X1Y51 RPM_Y 102 SITEPROP TIEOFF_X1Y51 SITE_PIPS SITEPROP TIEOFF_X1Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y52 CLASS site SITEPROP TIEOFF_X1Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y52 IS_BONDED 0 SITEPROP TIEOFF_X1Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y52 IS_PAD 0 SITEPROP TIEOFF_X1Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y52 IS_RESERVED 0 SITEPROP TIEOFF_X1Y52 IS_TEST 0 SITEPROP TIEOFF_X1Y52 IS_USED 0 SITEPROP TIEOFF_X1Y52 MANUAL_ROUTING SITEPROP TIEOFF_X1Y52 NAME TIEOFF_X1Y52 SITEPROP TIEOFF_X1Y52 NUM_ARCS 0 SITEPROP TIEOFF_X1Y52 NUM_BELS 2 SITEPROP TIEOFF_X1Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y52 NUM_PINS 2 SITEPROP TIEOFF_X1Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y52 PROHIBIT 0 SITEPROP TIEOFF_X1Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y52 RPM_X 8 SITEPROP TIEOFF_X1Y52 RPM_Y 104 SITEPROP TIEOFF_X1Y52 SITE_PIPS SITEPROP TIEOFF_X1Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y53 CLASS site SITEPROP TIEOFF_X1Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y53 IS_BONDED 0 SITEPROP TIEOFF_X1Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y53 IS_PAD 0 SITEPROP TIEOFF_X1Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y53 IS_RESERVED 0 SITEPROP TIEOFF_X1Y53 IS_TEST 0 SITEPROP TIEOFF_X1Y53 IS_USED 0 SITEPROP TIEOFF_X1Y53 MANUAL_ROUTING SITEPROP TIEOFF_X1Y53 NAME TIEOFF_X1Y53 SITEPROP TIEOFF_X1Y53 NUM_ARCS 0 SITEPROP TIEOFF_X1Y53 NUM_BELS 2 SITEPROP TIEOFF_X1Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y53 NUM_PINS 2 SITEPROP TIEOFF_X1Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y53 PROHIBIT 0 SITEPROP TIEOFF_X1Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y53 RPM_X 8 SITEPROP TIEOFF_X1Y53 RPM_Y 106 SITEPROP TIEOFF_X1Y53 SITE_PIPS SITEPROP TIEOFF_X1Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y54 CLASS site SITEPROP TIEOFF_X1Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y54 IS_BONDED 0 SITEPROP TIEOFF_X1Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y54 IS_PAD 0 SITEPROP TIEOFF_X1Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y54 IS_RESERVED 0 SITEPROP TIEOFF_X1Y54 IS_TEST 0 SITEPROP TIEOFF_X1Y54 IS_USED 0 SITEPROP TIEOFF_X1Y54 MANUAL_ROUTING SITEPROP TIEOFF_X1Y54 NAME TIEOFF_X1Y54 SITEPROP TIEOFF_X1Y54 NUM_ARCS 0 SITEPROP TIEOFF_X1Y54 NUM_BELS 2 SITEPROP TIEOFF_X1Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y54 NUM_PINS 2 SITEPROP TIEOFF_X1Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y54 PROHIBIT 0 SITEPROP TIEOFF_X1Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y54 RPM_X 8 SITEPROP TIEOFF_X1Y54 RPM_Y 108 SITEPROP TIEOFF_X1Y54 SITE_PIPS SITEPROP TIEOFF_X1Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y55 CLASS site SITEPROP TIEOFF_X1Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y55 IS_BONDED 0 SITEPROP TIEOFF_X1Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y55 IS_PAD 0 SITEPROP TIEOFF_X1Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y55 IS_RESERVED 0 SITEPROP TIEOFF_X1Y55 IS_TEST 0 SITEPROP TIEOFF_X1Y55 IS_USED 0 SITEPROP TIEOFF_X1Y55 MANUAL_ROUTING SITEPROP TIEOFF_X1Y55 NAME TIEOFF_X1Y55 SITEPROP TIEOFF_X1Y55 NUM_ARCS 0 SITEPROP TIEOFF_X1Y55 NUM_BELS 2 SITEPROP TIEOFF_X1Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y55 NUM_PINS 2 SITEPROP TIEOFF_X1Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y55 PROHIBIT 0 SITEPROP TIEOFF_X1Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y55 RPM_X 8 SITEPROP TIEOFF_X1Y55 RPM_Y 110 SITEPROP TIEOFF_X1Y55 SITE_PIPS SITEPROP TIEOFF_X1Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y56 CLASS site SITEPROP TIEOFF_X1Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y56 IS_BONDED 0 SITEPROP TIEOFF_X1Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y56 IS_PAD 0 SITEPROP TIEOFF_X1Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y56 IS_RESERVED 0 SITEPROP TIEOFF_X1Y56 IS_TEST 0 SITEPROP TIEOFF_X1Y56 IS_USED 0 SITEPROP TIEOFF_X1Y56 MANUAL_ROUTING SITEPROP TIEOFF_X1Y56 NAME TIEOFF_X1Y56 SITEPROP TIEOFF_X1Y56 NUM_ARCS 0 SITEPROP TIEOFF_X1Y56 NUM_BELS 2 SITEPROP TIEOFF_X1Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y56 NUM_PINS 2 SITEPROP TIEOFF_X1Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y56 PROHIBIT 0 SITEPROP TIEOFF_X1Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y56 RPM_X 8 SITEPROP TIEOFF_X1Y56 RPM_Y 112 SITEPROP TIEOFF_X1Y56 SITE_PIPS SITEPROP TIEOFF_X1Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y57 CLASS site SITEPROP TIEOFF_X1Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y57 IS_BONDED 0 SITEPROP TIEOFF_X1Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y57 IS_PAD 0 SITEPROP TIEOFF_X1Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y57 IS_RESERVED 0 SITEPROP TIEOFF_X1Y57 IS_TEST 0 SITEPROP TIEOFF_X1Y57 IS_USED 0 SITEPROP TIEOFF_X1Y57 MANUAL_ROUTING SITEPROP TIEOFF_X1Y57 NAME TIEOFF_X1Y57 SITEPROP TIEOFF_X1Y57 NUM_ARCS 0 SITEPROP TIEOFF_X1Y57 NUM_BELS 2 SITEPROP TIEOFF_X1Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y57 NUM_PINS 2 SITEPROP TIEOFF_X1Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y57 PROHIBIT 0 SITEPROP TIEOFF_X1Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y57 RPM_X 8 SITEPROP TIEOFF_X1Y57 RPM_Y 114 SITEPROP TIEOFF_X1Y57 SITE_PIPS SITEPROP TIEOFF_X1Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y58 CLASS site SITEPROP TIEOFF_X1Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y58 IS_BONDED 0 SITEPROP TIEOFF_X1Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y58 IS_PAD 0 SITEPROP TIEOFF_X1Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y58 IS_RESERVED 0 SITEPROP TIEOFF_X1Y58 IS_TEST 0 SITEPROP TIEOFF_X1Y58 IS_USED 0 SITEPROP TIEOFF_X1Y58 MANUAL_ROUTING SITEPROP TIEOFF_X1Y58 NAME TIEOFF_X1Y58 SITEPROP TIEOFF_X1Y58 NUM_ARCS 0 SITEPROP TIEOFF_X1Y58 NUM_BELS 2 SITEPROP TIEOFF_X1Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y58 NUM_PINS 2 SITEPROP TIEOFF_X1Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y58 PROHIBIT 0 SITEPROP TIEOFF_X1Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y58 RPM_X 8 SITEPROP TIEOFF_X1Y58 RPM_Y 116 SITEPROP TIEOFF_X1Y58 SITE_PIPS SITEPROP TIEOFF_X1Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y59 CLASS site SITEPROP TIEOFF_X1Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y59 IS_BONDED 0 SITEPROP TIEOFF_X1Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y59 IS_PAD 0 SITEPROP TIEOFF_X1Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y59 IS_RESERVED 0 SITEPROP TIEOFF_X1Y59 IS_TEST 0 SITEPROP TIEOFF_X1Y59 IS_USED 0 SITEPROP TIEOFF_X1Y59 MANUAL_ROUTING SITEPROP TIEOFF_X1Y59 NAME TIEOFF_X1Y59 SITEPROP TIEOFF_X1Y59 NUM_ARCS 0 SITEPROP TIEOFF_X1Y59 NUM_BELS 2 SITEPROP TIEOFF_X1Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y59 NUM_PINS 2 SITEPROP TIEOFF_X1Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y59 PROHIBIT 0 SITEPROP TIEOFF_X1Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y59 RPM_X 8 SITEPROP TIEOFF_X1Y59 RPM_Y 118 SITEPROP TIEOFF_X1Y59 SITE_PIPS SITEPROP TIEOFF_X1Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y60 CLASS site SITEPROP TIEOFF_X1Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y60 IS_BONDED 0 SITEPROP TIEOFF_X1Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y60 IS_PAD 0 SITEPROP TIEOFF_X1Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y60 IS_RESERVED 0 SITEPROP TIEOFF_X1Y60 IS_TEST 0 SITEPROP TIEOFF_X1Y60 IS_USED 0 SITEPROP TIEOFF_X1Y60 MANUAL_ROUTING SITEPROP TIEOFF_X1Y60 NAME TIEOFF_X1Y60 SITEPROP TIEOFF_X1Y60 NUM_ARCS 0 SITEPROP TIEOFF_X1Y60 NUM_BELS 2 SITEPROP TIEOFF_X1Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y60 NUM_PINS 2 SITEPROP TIEOFF_X1Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y60 PROHIBIT 0 SITEPROP TIEOFF_X1Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y60 RPM_X 8 SITEPROP TIEOFF_X1Y60 RPM_Y 120 SITEPROP TIEOFF_X1Y60 SITE_PIPS SITEPROP TIEOFF_X1Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y61 CLASS site SITEPROP TIEOFF_X1Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y61 IS_BONDED 0 SITEPROP TIEOFF_X1Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y61 IS_PAD 0 SITEPROP TIEOFF_X1Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y61 IS_RESERVED 0 SITEPROP TIEOFF_X1Y61 IS_TEST 0 SITEPROP TIEOFF_X1Y61 IS_USED 0 SITEPROP TIEOFF_X1Y61 MANUAL_ROUTING SITEPROP TIEOFF_X1Y61 NAME TIEOFF_X1Y61 SITEPROP TIEOFF_X1Y61 NUM_ARCS 0 SITEPROP TIEOFF_X1Y61 NUM_BELS 2 SITEPROP TIEOFF_X1Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y61 NUM_PINS 2 SITEPROP TIEOFF_X1Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y61 PROHIBIT 0 SITEPROP TIEOFF_X1Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y61 RPM_X 8 SITEPROP TIEOFF_X1Y61 RPM_Y 122 SITEPROP TIEOFF_X1Y61 SITE_PIPS SITEPROP TIEOFF_X1Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y62 CLASS site SITEPROP TIEOFF_X1Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y62 IS_BONDED 0 SITEPROP TIEOFF_X1Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y62 IS_PAD 0 SITEPROP TIEOFF_X1Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y62 IS_RESERVED 0 SITEPROP TIEOFF_X1Y62 IS_TEST 0 SITEPROP TIEOFF_X1Y62 IS_USED 0 SITEPROP TIEOFF_X1Y62 MANUAL_ROUTING SITEPROP TIEOFF_X1Y62 NAME TIEOFF_X1Y62 SITEPROP TIEOFF_X1Y62 NUM_ARCS 0 SITEPROP TIEOFF_X1Y62 NUM_BELS 2 SITEPROP TIEOFF_X1Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y62 NUM_PINS 2 SITEPROP TIEOFF_X1Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y62 PROHIBIT 0 SITEPROP TIEOFF_X1Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y62 RPM_X 8 SITEPROP TIEOFF_X1Y62 RPM_Y 124 SITEPROP TIEOFF_X1Y62 SITE_PIPS SITEPROP TIEOFF_X1Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y63 CLASS site SITEPROP TIEOFF_X1Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y63 IS_BONDED 0 SITEPROP TIEOFF_X1Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y63 IS_PAD 0 SITEPROP TIEOFF_X1Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y63 IS_RESERVED 0 SITEPROP TIEOFF_X1Y63 IS_TEST 0 SITEPROP TIEOFF_X1Y63 IS_USED 0 SITEPROP TIEOFF_X1Y63 MANUAL_ROUTING SITEPROP TIEOFF_X1Y63 NAME TIEOFF_X1Y63 SITEPROP TIEOFF_X1Y63 NUM_ARCS 0 SITEPROP TIEOFF_X1Y63 NUM_BELS 2 SITEPROP TIEOFF_X1Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y63 NUM_PINS 2 SITEPROP TIEOFF_X1Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y63 PROHIBIT 0 SITEPROP TIEOFF_X1Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y63 RPM_X 8 SITEPROP TIEOFF_X1Y63 RPM_Y 126 SITEPROP TIEOFF_X1Y63 SITE_PIPS SITEPROP TIEOFF_X1Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y64 CLASS site SITEPROP TIEOFF_X1Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y64 IS_BONDED 0 SITEPROP TIEOFF_X1Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y64 IS_PAD 0 SITEPROP TIEOFF_X1Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y64 IS_RESERVED 0 SITEPROP TIEOFF_X1Y64 IS_TEST 0 SITEPROP TIEOFF_X1Y64 IS_USED 0 SITEPROP TIEOFF_X1Y64 MANUAL_ROUTING SITEPROP TIEOFF_X1Y64 NAME TIEOFF_X1Y64 SITEPROP TIEOFF_X1Y64 NUM_ARCS 0 SITEPROP TIEOFF_X1Y64 NUM_BELS 2 SITEPROP TIEOFF_X1Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y64 NUM_PINS 2 SITEPROP TIEOFF_X1Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y64 PROHIBIT 0 SITEPROP TIEOFF_X1Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y64 RPM_X 8 SITEPROP TIEOFF_X1Y64 RPM_Y 128 SITEPROP TIEOFF_X1Y64 SITE_PIPS SITEPROP TIEOFF_X1Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y65 CLASS site SITEPROP TIEOFF_X1Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y65 IS_BONDED 0 SITEPROP TIEOFF_X1Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y65 IS_PAD 0 SITEPROP TIEOFF_X1Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y65 IS_RESERVED 0 SITEPROP TIEOFF_X1Y65 IS_TEST 0 SITEPROP TIEOFF_X1Y65 IS_USED 0 SITEPROP TIEOFF_X1Y65 MANUAL_ROUTING SITEPROP TIEOFF_X1Y65 NAME TIEOFF_X1Y65 SITEPROP TIEOFF_X1Y65 NUM_ARCS 0 SITEPROP TIEOFF_X1Y65 NUM_BELS 2 SITEPROP TIEOFF_X1Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y65 NUM_PINS 2 SITEPROP TIEOFF_X1Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y65 PROHIBIT 0 SITEPROP TIEOFF_X1Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y65 RPM_X 8 SITEPROP TIEOFF_X1Y65 RPM_Y 130 SITEPROP TIEOFF_X1Y65 SITE_PIPS SITEPROP TIEOFF_X1Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y66 CLASS site SITEPROP TIEOFF_X1Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y66 IS_BONDED 0 SITEPROP TIEOFF_X1Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y66 IS_PAD 0 SITEPROP TIEOFF_X1Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y66 IS_RESERVED 0 SITEPROP TIEOFF_X1Y66 IS_TEST 0 SITEPROP TIEOFF_X1Y66 IS_USED 0 SITEPROP TIEOFF_X1Y66 MANUAL_ROUTING SITEPROP TIEOFF_X1Y66 NAME TIEOFF_X1Y66 SITEPROP TIEOFF_X1Y66 NUM_ARCS 0 SITEPROP TIEOFF_X1Y66 NUM_BELS 2 SITEPROP TIEOFF_X1Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y66 NUM_PINS 2 SITEPROP TIEOFF_X1Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y66 PROHIBIT 0 SITEPROP TIEOFF_X1Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y66 RPM_X 8 SITEPROP TIEOFF_X1Y66 RPM_Y 132 SITEPROP TIEOFF_X1Y66 SITE_PIPS SITEPROP TIEOFF_X1Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y67 CLASS site SITEPROP TIEOFF_X1Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y67 IS_BONDED 0 SITEPROP TIEOFF_X1Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y67 IS_PAD 0 SITEPROP TIEOFF_X1Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y67 IS_RESERVED 0 SITEPROP TIEOFF_X1Y67 IS_TEST 0 SITEPROP TIEOFF_X1Y67 IS_USED 0 SITEPROP TIEOFF_X1Y67 MANUAL_ROUTING SITEPROP TIEOFF_X1Y67 NAME TIEOFF_X1Y67 SITEPROP TIEOFF_X1Y67 NUM_ARCS 0 SITEPROP TIEOFF_X1Y67 NUM_BELS 2 SITEPROP TIEOFF_X1Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y67 NUM_PINS 2 SITEPROP TIEOFF_X1Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y67 PROHIBIT 0 SITEPROP TIEOFF_X1Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y67 RPM_X 8 SITEPROP TIEOFF_X1Y67 RPM_Y 134 SITEPROP TIEOFF_X1Y67 SITE_PIPS SITEPROP TIEOFF_X1Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y68 CLASS site SITEPROP TIEOFF_X1Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y68 IS_BONDED 0 SITEPROP TIEOFF_X1Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y68 IS_PAD 0 SITEPROP TIEOFF_X1Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y68 IS_RESERVED 0 SITEPROP TIEOFF_X1Y68 IS_TEST 0 SITEPROP TIEOFF_X1Y68 IS_USED 0 SITEPROP TIEOFF_X1Y68 MANUAL_ROUTING SITEPROP TIEOFF_X1Y68 NAME TIEOFF_X1Y68 SITEPROP TIEOFF_X1Y68 NUM_ARCS 0 SITEPROP TIEOFF_X1Y68 NUM_BELS 2 SITEPROP TIEOFF_X1Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y68 NUM_PINS 2 SITEPROP TIEOFF_X1Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y68 PROHIBIT 0 SITEPROP TIEOFF_X1Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y68 RPM_X 8 SITEPROP TIEOFF_X1Y68 RPM_Y 136 SITEPROP TIEOFF_X1Y68 SITE_PIPS SITEPROP TIEOFF_X1Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y69 CLASS site SITEPROP TIEOFF_X1Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y69 IS_BONDED 0 SITEPROP TIEOFF_X1Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y69 IS_PAD 0 SITEPROP TIEOFF_X1Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y69 IS_RESERVED 0 SITEPROP TIEOFF_X1Y69 IS_TEST 0 SITEPROP TIEOFF_X1Y69 IS_USED 0 SITEPROP TIEOFF_X1Y69 MANUAL_ROUTING SITEPROP TIEOFF_X1Y69 NAME TIEOFF_X1Y69 SITEPROP TIEOFF_X1Y69 NUM_ARCS 0 SITEPROP TIEOFF_X1Y69 NUM_BELS 2 SITEPROP TIEOFF_X1Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y69 NUM_PINS 2 SITEPROP TIEOFF_X1Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y69 PROHIBIT 0 SITEPROP TIEOFF_X1Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y69 RPM_X 8 SITEPROP TIEOFF_X1Y69 RPM_Y 138 SITEPROP TIEOFF_X1Y69 SITE_PIPS SITEPROP TIEOFF_X1Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y70 CLASS site SITEPROP TIEOFF_X1Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y70 IS_BONDED 0 SITEPROP TIEOFF_X1Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y70 IS_PAD 0 SITEPROP TIEOFF_X1Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y70 IS_RESERVED 0 SITEPROP TIEOFF_X1Y70 IS_TEST 0 SITEPROP TIEOFF_X1Y70 IS_USED 0 SITEPROP TIEOFF_X1Y70 MANUAL_ROUTING SITEPROP TIEOFF_X1Y70 NAME TIEOFF_X1Y70 SITEPROP TIEOFF_X1Y70 NUM_ARCS 0 SITEPROP TIEOFF_X1Y70 NUM_BELS 2 SITEPROP TIEOFF_X1Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y70 NUM_PINS 2 SITEPROP TIEOFF_X1Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y70 PROHIBIT 0 SITEPROP TIEOFF_X1Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y70 RPM_X 8 SITEPROP TIEOFF_X1Y70 RPM_Y 140 SITEPROP TIEOFF_X1Y70 SITE_PIPS SITEPROP TIEOFF_X1Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y71 CLASS site SITEPROP TIEOFF_X1Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y71 IS_BONDED 0 SITEPROP TIEOFF_X1Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y71 IS_PAD 0 SITEPROP TIEOFF_X1Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y71 IS_RESERVED 0 SITEPROP TIEOFF_X1Y71 IS_TEST 0 SITEPROP TIEOFF_X1Y71 IS_USED 0 SITEPROP TIEOFF_X1Y71 MANUAL_ROUTING SITEPROP TIEOFF_X1Y71 NAME TIEOFF_X1Y71 SITEPROP TIEOFF_X1Y71 NUM_ARCS 0 SITEPROP TIEOFF_X1Y71 NUM_BELS 2 SITEPROP TIEOFF_X1Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y71 NUM_PINS 2 SITEPROP TIEOFF_X1Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y71 PROHIBIT 0 SITEPROP TIEOFF_X1Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y71 RPM_X 8 SITEPROP TIEOFF_X1Y71 RPM_Y 142 SITEPROP TIEOFF_X1Y71 SITE_PIPS SITEPROP TIEOFF_X1Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y72 CLASS site SITEPROP TIEOFF_X1Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y72 IS_BONDED 0 SITEPROP TIEOFF_X1Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y72 IS_PAD 0 SITEPROP TIEOFF_X1Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y72 IS_RESERVED 0 SITEPROP TIEOFF_X1Y72 IS_TEST 0 SITEPROP TIEOFF_X1Y72 IS_USED 0 SITEPROP TIEOFF_X1Y72 MANUAL_ROUTING SITEPROP TIEOFF_X1Y72 NAME TIEOFF_X1Y72 SITEPROP TIEOFF_X1Y72 NUM_ARCS 0 SITEPROP TIEOFF_X1Y72 NUM_BELS 2 SITEPROP TIEOFF_X1Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y72 NUM_PINS 2 SITEPROP TIEOFF_X1Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y72 PROHIBIT 0 SITEPROP TIEOFF_X1Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y72 RPM_X 8 SITEPROP TIEOFF_X1Y72 RPM_Y 144 SITEPROP TIEOFF_X1Y72 SITE_PIPS SITEPROP TIEOFF_X1Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y73 CLASS site SITEPROP TIEOFF_X1Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y73 IS_BONDED 0 SITEPROP TIEOFF_X1Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y73 IS_PAD 0 SITEPROP TIEOFF_X1Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y73 IS_RESERVED 0 SITEPROP TIEOFF_X1Y73 IS_TEST 0 SITEPROP TIEOFF_X1Y73 IS_USED 0 SITEPROP TIEOFF_X1Y73 MANUAL_ROUTING SITEPROP TIEOFF_X1Y73 NAME TIEOFF_X1Y73 SITEPROP TIEOFF_X1Y73 NUM_ARCS 0 SITEPROP TIEOFF_X1Y73 NUM_BELS 2 SITEPROP TIEOFF_X1Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y73 NUM_PINS 2 SITEPROP TIEOFF_X1Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y73 PROHIBIT 0 SITEPROP TIEOFF_X1Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y73 RPM_X 8 SITEPROP TIEOFF_X1Y73 RPM_Y 146 SITEPROP TIEOFF_X1Y73 SITE_PIPS SITEPROP TIEOFF_X1Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y74 CLASS site SITEPROP TIEOFF_X1Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y74 IS_BONDED 0 SITEPROP TIEOFF_X1Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y74 IS_PAD 0 SITEPROP TIEOFF_X1Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y74 IS_RESERVED 0 SITEPROP TIEOFF_X1Y74 IS_TEST 0 SITEPROP TIEOFF_X1Y74 IS_USED 0 SITEPROP TIEOFF_X1Y74 MANUAL_ROUTING SITEPROP TIEOFF_X1Y74 NAME TIEOFF_X1Y74 SITEPROP TIEOFF_X1Y74 NUM_ARCS 0 SITEPROP TIEOFF_X1Y74 NUM_BELS 2 SITEPROP TIEOFF_X1Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y74 NUM_PINS 2 SITEPROP TIEOFF_X1Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y74 PROHIBIT 0 SITEPROP TIEOFF_X1Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y74 RPM_X 8 SITEPROP TIEOFF_X1Y74 RPM_Y 148 SITEPROP TIEOFF_X1Y74 SITE_PIPS SITEPROP TIEOFF_X1Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y75 CLASS site SITEPROP TIEOFF_X1Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y75 IS_BONDED 0 SITEPROP TIEOFF_X1Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y75 IS_PAD 0 SITEPROP TIEOFF_X1Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y75 IS_RESERVED 0 SITEPROP TIEOFF_X1Y75 IS_TEST 0 SITEPROP TIEOFF_X1Y75 IS_USED 0 SITEPROP TIEOFF_X1Y75 MANUAL_ROUTING SITEPROP TIEOFF_X1Y75 NAME TIEOFF_X1Y75 SITEPROP TIEOFF_X1Y75 NUM_ARCS 0 SITEPROP TIEOFF_X1Y75 NUM_BELS 2 SITEPROP TIEOFF_X1Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y75 NUM_PINS 2 SITEPROP TIEOFF_X1Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y75 PROHIBIT 0 SITEPROP TIEOFF_X1Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y75 RPM_X 8 SITEPROP TIEOFF_X1Y75 RPM_Y 150 SITEPROP TIEOFF_X1Y75 SITE_PIPS SITEPROP TIEOFF_X1Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y76 CLASS site SITEPROP TIEOFF_X1Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y76 IS_BONDED 0 SITEPROP TIEOFF_X1Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y76 IS_PAD 0 SITEPROP TIEOFF_X1Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y76 IS_RESERVED 0 SITEPROP TIEOFF_X1Y76 IS_TEST 0 SITEPROP TIEOFF_X1Y76 IS_USED 0 SITEPROP TIEOFF_X1Y76 MANUAL_ROUTING SITEPROP TIEOFF_X1Y76 NAME TIEOFF_X1Y76 SITEPROP TIEOFF_X1Y76 NUM_ARCS 0 SITEPROP TIEOFF_X1Y76 NUM_BELS 2 SITEPROP TIEOFF_X1Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y76 NUM_PINS 2 SITEPROP TIEOFF_X1Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y76 PROHIBIT 0 SITEPROP TIEOFF_X1Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y76 RPM_X 8 SITEPROP TIEOFF_X1Y76 RPM_Y 152 SITEPROP TIEOFF_X1Y76 SITE_PIPS SITEPROP TIEOFF_X1Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y77 CLASS site SITEPROP TIEOFF_X1Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y77 IS_BONDED 0 SITEPROP TIEOFF_X1Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y77 IS_PAD 0 SITEPROP TIEOFF_X1Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y77 IS_RESERVED 0 SITEPROP TIEOFF_X1Y77 IS_TEST 0 SITEPROP TIEOFF_X1Y77 IS_USED 0 SITEPROP TIEOFF_X1Y77 MANUAL_ROUTING SITEPROP TIEOFF_X1Y77 NAME TIEOFF_X1Y77 SITEPROP TIEOFF_X1Y77 NUM_ARCS 0 SITEPROP TIEOFF_X1Y77 NUM_BELS 2 SITEPROP TIEOFF_X1Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y77 NUM_PINS 2 SITEPROP TIEOFF_X1Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y77 PROHIBIT 0 SITEPROP TIEOFF_X1Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y77 RPM_X 8 SITEPROP TIEOFF_X1Y77 RPM_Y 154 SITEPROP TIEOFF_X1Y77 SITE_PIPS SITEPROP TIEOFF_X1Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y78 CLASS site SITEPROP TIEOFF_X1Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y78 IS_BONDED 0 SITEPROP TIEOFF_X1Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y78 IS_PAD 0 SITEPROP TIEOFF_X1Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y78 IS_RESERVED 0 SITEPROP TIEOFF_X1Y78 IS_TEST 0 SITEPROP TIEOFF_X1Y78 IS_USED 0 SITEPROP TIEOFF_X1Y78 MANUAL_ROUTING SITEPROP TIEOFF_X1Y78 NAME TIEOFF_X1Y78 SITEPROP TIEOFF_X1Y78 NUM_ARCS 0 SITEPROP TIEOFF_X1Y78 NUM_BELS 2 SITEPROP TIEOFF_X1Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y78 NUM_PINS 2 SITEPROP TIEOFF_X1Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y78 PROHIBIT 0 SITEPROP TIEOFF_X1Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y78 RPM_X 8 SITEPROP TIEOFF_X1Y78 RPM_Y 156 SITEPROP TIEOFF_X1Y78 SITE_PIPS SITEPROP TIEOFF_X1Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y79 CLASS site SITEPROP TIEOFF_X1Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y79 IS_BONDED 0 SITEPROP TIEOFF_X1Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y79 IS_PAD 0 SITEPROP TIEOFF_X1Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y79 IS_RESERVED 0 SITEPROP TIEOFF_X1Y79 IS_TEST 0 SITEPROP TIEOFF_X1Y79 IS_USED 0 SITEPROP TIEOFF_X1Y79 MANUAL_ROUTING SITEPROP TIEOFF_X1Y79 NAME TIEOFF_X1Y79 SITEPROP TIEOFF_X1Y79 NUM_ARCS 0 SITEPROP TIEOFF_X1Y79 NUM_BELS 2 SITEPROP TIEOFF_X1Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y79 NUM_PINS 2 SITEPROP TIEOFF_X1Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y79 PROHIBIT 0 SITEPROP TIEOFF_X1Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y79 RPM_X 8 SITEPROP TIEOFF_X1Y79 RPM_Y 158 SITEPROP TIEOFF_X1Y79 SITE_PIPS SITEPROP TIEOFF_X1Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y80 CLASS site SITEPROP TIEOFF_X1Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y80 IS_BONDED 0 SITEPROP TIEOFF_X1Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y80 IS_PAD 0 SITEPROP TIEOFF_X1Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y80 IS_RESERVED 0 SITEPROP TIEOFF_X1Y80 IS_TEST 0 SITEPROP TIEOFF_X1Y80 IS_USED 0 SITEPROP TIEOFF_X1Y80 MANUAL_ROUTING SITEPROP TIEOFF_X1Y80 NAME TIEOFF_X1Y80 SITEPROP TIEOFF_X1Y80 NUM_ARCS 0 SITEPROP TIEOFF_X1Y80 NUM_BELS 2 SITEPROP TIEOFF_X1Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y80 NUM_PINS 2 SITEPROP TIEOFF_X1Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y80 PROHIBIT 0 SITEPROP TIEOFF_X1Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y80 RPM_X 8 SITEPROP TIEOFF_X1Y80 RPM_Y 160 SITEPROP TIEOFF_X1Y80 SITE_PIPS SITEPROP TIEOFF_X1Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y81 CLASS site SITEPROP TIEOFF_X1Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y81 IS_BONDED 0 SITEPROP TIEOFF_X1Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y81 IS_PAD 0 SITEPROP TIEOFF_X1Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y81 IS_RESERVED 0 SITEPROP TIEOFF_X1Y81 IS_TEST 0 SITEPROP TIEOFF_X1Y81 IS_USED 0 SITEPROP TIEOFF_X1Y81 MANUAL_ROUTING SITEPROP TIEOFF_X1Y81 NAME TIEOFF_X1Y81 SITEPROP TIEOFF_X1Y81 NUM_ARCS 0 SITEPROP TIEOFF_X1Y81 NUM_BELS 2 SITEPROP TIEOFF_X1Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y81 NUM_PINS 2 SITEPROP TIEOFF_X1Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y81 PROHIBIT 0 SITEPROP TIEOFF_X1Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y81 RPM_X 8 SITEPROP TIEOFF_X1Y81 RPM_Y 162 SITEPROP TIEOFF_X1Y81 SITE_PIPS SITEPROP TIEOFF_X1Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y82 CLASS site SITEPROP TIEOFF_X1Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y82 IS_BONDED 0 SITEPROP TIEOFF_X1Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y82 IS_PAD 0 SITEPROP TIEOFF_X1Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y82 IS_RESERVED 0 SITEPROP TIEOFF_X1Y82 IS_TEST 0 SITEPROP TIEOFF_X1Y82 IS_USED 0 SITEPROP TIEOFF_X1Y82 MANUAL_ROUTING SITEPROP TIEOFF_X1Y82 NAME TIEOFF_X1Y82 SITEPROP TIEOFF_X1Y82 NUM_ARCS 0 SITEPROP TIEOFF_X1Y82 NUM_BELS 2 SITEPROP TIEOFF_X1Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y82 NUM_PINS 2 SITEPROP TIEOFF_X1Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y82 PROHIBIT 0 SITEPROP TIEOFF_X1Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y82 RPM_X 8 SITEPROP TIEOFF_X1Y82 RPM_Y 164 SITEPROP TIEOFF_X1Y82 SITE_PIPS SITEPROP TIEOFF_X1Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y83 CLASS site SITEPROP TIEOFF_X1Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y83 IS_BONDED 0 SITEPROP TIEOFF_X1Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y83 IS_PAD 0 SITEPROP TIEOFF_X1Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y83 IS_RESERVED 0 SITEPROP TIEOFF_X1Y83 IS_TEST 0 SITEPROP TIEOFF_X1Y83 IS_USED 0 SITEPROP TIEOFF_X1Y83 MANUAL_ROUTING SITEPROP TIEOFF_X1Y83 NAME TIEOFF_X1Y83 SITEPROP TIEOFF_X1Y83 NUM_ARCS 0 SITEPROP TIEOFF_X1Y83 NUM_BELS 2 SITEPROP TIEOFF_X1Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y83 NUM_PINS 2 SITEPROP TIEOFF_X1Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y83 PROHIBIT 0 SITEPROP TIEOFF_X1Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y83 RPM_X 8 SITEPROP TIEOFF_X1Y83 RPM_Y 166 SITEPROP TIEOFF_X1Y83 SITE_PIPS SITEPROP TIEOFF_X1Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y84 CLASS site SITEPROP TIEOFF_X1Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y84 IS_BONDED 0 SITEPROP TIEOFF_X1Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y84 IS_PAD 0 SITEPROP TIEOFF_X1Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y84 IS_RESERVED 0 SITEPROP TIEOFF_X1Y84 IS_TEST 0 SITEPROP TIEOFF_X1Y84 IS_USED 0 SITEPROP TIEOFF_X1Y84 MANUAL_ROUTING SITEPROP TIEOFF_X1Y84 NAME TIEOFF_X1Y84 SITEPROP TIEOFF_X1Y84 NUM_ARCS 0 SITEPROP TIEOFF_X1Y84 NUM_BELS 2 SITEPROP TIEOFF_X1Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y84 NUM_PINS 2 SITEPROP TIEOFF_X1Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y84 PROHIBIT 0 SITEPROP TIEOFF_X1Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y84 RPM_X 8 SITEPROP TIEOFF_X1Y84 RPM_Y 168 SITEPROP TIEOFF_X1Y84 SITE_PIPS SITEPROP TIEOFF_X1Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y85 CLASS site SITEPROP TIEOFF_X1Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y85 IS_BONDED 0 SITEPROP TIEOFF_X1Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y85 IS_PAD 0 SITEPROP TIEOFF_X1Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y85 IS_RESERVED 0 SITEPROP TIEOFF_X1Y85 IS_TEST 0 SITEPROP TIEOFF_X1Y85 IS_USED 0 SITEPROP TIEOFF_X1Y85 MANUAL_ROUTING SITEPROP TIEOFF_X1Y85 NAME TIEOFF_X1Y85 SITEPROP TIEOFF_X1Y85 NUM_ARCS 0 SITEPROP TIEOFF_X1Y85 NUM_BELS 2 SITEPROP TIEOFF_X1Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y85 NUM_PINS 2 SITEPROP TIEOFF_X1Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y85 PROHIBIT 0 SITEPROP TIEOFF_X1Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y85 RPM_X 8 SITEPROP TIEOFF_X1Y85 RPM_Y 170 SITEPROP TIEOFF_X1Y85 SITE_PIPS SITEPROP TIEOFF_X1Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y86 CLASS site SITEPROP TIEOFF_X1Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y86 IS_BONDED 0 SITEPROP TIEOFF_X1Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y86 IS_PAD 0 SITEPROP TIEOFF_X1Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y86 IS_RESERVED 0 SITEPROP TIEOFF_X1Y86 IS_TEST 0 SITEPROP TIEOFF_X1Y86 IS_USED 0 SITEPROP TIEOFF_X1Y86 MANUAL_ROUTING SITEPROP TIEOFF_X1Y86 NAME TIEOFF_X1Y86 SITEPROP TIEOFF_X1Y86 NUM_ARCS 0 SITEPROP TIEOFF_X1Y86 NUM_BELS 2 SITEPROP TIEOFF_X1Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y86 NUM_PINS 2 SITEPROP TIEOFF_X1Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y86 PROHIBIT 0 SITEPROP TIEOFF_X1Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y86 RPM_X 8 SITEPROP TIEOFF_X1Y86 RPM_Y 172 SITEPROP TIEOFF_X1Y86 SITE_PIPS SITEPROP TIEOFF_X1Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y87 CLASS site SITEPROP TIEOFF_X1Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y87 IS_BONDED 0 SITEPROP TIEOFF_X1Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y87 IS_PAD 0 SITEPROP TIEOFF_X1Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y87 IS_RESERVED 0 SITEPROP TIEOFF_X1Y87 IS_TEST 0 SITEPROP TIEOFF_X1Y87 IS_USED 0 SITEPROP TIEOFF_X1Y87 MANUAL_ROUTING SITEPROP TIEOFF_X1Y87 NAME TIEOFF_X1Y87 SITEPROP TIEOFF_X1Y87 NUM_ARCS 0 SITEPROP TIEOFF_X1Y87 NUM_BELS 2 SITEPROP TIEOFF_X1Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y87 NUM_PINS 2 SITEPROP TIEOFF_X1Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y87 PROHIBIT 0 SITEPROP TIEOFF_X1Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y87 RPM_X 8 SITEPROP TIEOFF_X1Y87 RPM_Y 174 SITEPROP TIEOFF_X1Y87 SITE_PIPS SITEPROP TIEOFF_X1Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y88 CLASS site SITEPROP TIEOFF_X1Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y88 IS_BONDED 0 SITEPROP TIEOFF_X1Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y88 IS_PAD 0 SITEPROP TIEOFF_X1Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y88 IS_RESERVED 0 SITEPROP TIEOFF_X1Y88 IS_TEST 0 SITEPROP TIEOFF_X1Y88 IS_USED 0 SITEPROP TIEOFF_X1Y88 MANUAL_ROUTING SITEPROP TIEOFF_X1Y88 NAME TIEOFF_X1Y88 SITEPROP TIEOFF_X1Y88 NUM_ARCS 0 SITEPROP TIEOFF_X1Y88 NUM_BELS 2 SITEPROP TIEOFF_X1Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y88 NUM_PINS 2 SITEPROP TIEOFF_X1Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y88 PROHIBIT 0 SITEPROP TIEOFF_X1Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y88 RPM_X 8 SITEPROP TIEOFF_X1Y88 RPM_Y 176 SITEPROP TIEOFF_X1Y88 SITE_PIPS SITEPROP TIEOFF_X1Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y89 CLASS site SITEPROP TIEOFF_X1Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y89 IS_BONDED 0 SITEPROP TIEOFF_X1Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y89 IS_PAD 0 SITEPROP TIEOFF_X1Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y89 IS_RESERVED 0 SITEPROP TIEOFF_X1Y89 IS_TEST 0 SITEPROP TIEOFF_X1Y89 IS_USED 0 SITEPROP TIEOFF_X1Y89 MANUAL_ROUTING SITEPROP TIEOFF_X1Y89 NAME TIEOFF_X1Y89 SITEPROP TIEOFF_X1Y89 NUM_ARCS 0 SITEPROP TIEOFF_X1Y89 NUM_BELS 2 SITEPROP TIEOFF_X1Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y89 NUM_PINS 2 SITEPROP TIEOFF_X1Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y89 PROHIBIT 0 SITEPROP TIEOFF_X1Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y89 RPM_X 8 SITEPROP TIEOFF_X1Y89 RPM_Y 178 SITEPROP TIEOFF_X1Y89 SITE_PIPS SITEPROP TIEOFF_X1Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y90 CLASS site SITEPROP TIEOFF_X1Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y90 IS_BONDED 0 SITEPROP TIEOFF_X1Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y90 IS_PAD 0 SITEPROP TIEOFF_X1Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y90 IS_RESERVED 0 SITEPROP TIEOFF_X1Y90 IS_TEST 0 SITEPROP TIEOFF_X1Y90 IS_USED 0 SITEPROP TIEOFF_X1Y90 MANUAL_ROUTING SITEPROP TIEOFF_X1Y90 NAME TIEOFF_X1Y90 SITEPROP TIEOFF_X1Y90 NUM_ARCS 0 SITEPROP TIEOFF_X1Y90 NUM_BELS 2 SITEPROP TIEOFF_X1Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y90 NUM_PINS 2 SITEPROP TIEOFF_X1Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y90 PROHIBIT 0 SITEPROP TIEOFF_X1Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y90 RPM_X 8 SITEPROP TIEOFF_X1Y90 RPM_Y 180 SITEPROP TIEOFF_X1Y90 SITE_PIPS SITEPROP TIEOFF_X1Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y91 CLASS site SITEPROP TIEOFF_X1Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y91 IS_BONDED 0 SITEPROP TIEOFF_X1Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y91 IS_PAD 0 SITEPROP TIEOFF_X1Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y91 IS_RESERVED 0 SITEPROP TIEOFF_X1Y91 IS_TEST 0 SITEPROP TIEOFF_X1Y91 IS_USED 0 SITEPROP TIEOFF_X1Y91 MANUAL_ROUTING SITEPROP TIEOFF_X1Y91 NAME TIEOFF_X1Y91 SITEPROP TIEOFF_X1Y91 NUM_ARCS 0 SITEPROP TIEOFF_X1Y91 NUM_BELS 2 SITEPROP TIEOFF_X1Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y91 NUM_PINS 2 SITEPROP TIEOFF_X1Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y91 PROHIBIT 0 SITEPROP TIEOFF_X1Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y91 RPM_X 8 SITEPROP TIEOFF_X1Y91 RPM_Y 182 SITEPROP TIEOFF_X1Y91 SITE_PIPS SITEPROP TIEOFF_X1Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y92 CLASS site SITEPROP TIEOFF_X1Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y92 IS_BONDED 0 SITEPROP TIEOFF_X1Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y92 IS_PAD 0 SITEPROP TIEOFF_X1Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y92 IS_RESERVED 0 SITEPROP TIEOFF_X1Y92 IS_TEST 0 SITEPROP TIEOFF_X1Y92 IS_USED 0 SITEPROP TIEOFF_X1Y92 MANUAL_ROUTING SITEPROP TIEOFF_X1Y92 NAME TIEOFF_X1Y92 SITEPROP TIEOFF_X1Y92 NUM_ARCS 0 SITEPROP TIEOFF_X1Y92 NUM_BELS 2 SITEPROP TIEOFF_X1Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y92 NUM_PINS 2 SITEPROP TIEOFF_X1Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y92 PROHIBIT 0 SITEPROP TIEOFF_X1Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y92 RPM_X 8 SITEPROP TIEOFF_X1Y92 RPM_Y 184 SITEPROP TIEOFF_X1Y92 SITE_PIPS SITEPROP TIEOFF_X1Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y93 CLASS site SITEPROP TIEOFF_X1Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y93 IS_BONDED 0 SITEPROP TIEOFF_X1Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y93 IS_PAD 0 SITEPROP TIEOFF_X1Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y93 IS_RESERVED 0 SITEPROP TIEOFF_X1Y93 IS_TEST 0 SITEPROP TIEOFF_X1Y93 IS_USED 0 SITEPROP TIEOFF_X1Y93 MANUAL_ROUTING SITEPROP TIEOFF_X1Y93 NAME TIEOFF_X1Y93 SITEPROP TIEOFF_X1Y93 NUM_ARCS 0 SITEPROP TIEOFF_X1Y93 NUM_BELS 2 SITEPROP TIEOFF_X1Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y93 NUM_PINS 2 SITEPROP TIEOFF_X1Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y93 PROHIBIT 0 SITEPROP TIEOFF_X1Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y93 RPM_X 8 SITEPROP TIEOFF_X1Y93 RPM_Y 186 SITEPROP TIEOFF_X1Y93 SITE_PIPS SITEPROP TIEOFF_X1Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y94 CLASS site SITEPROP TIEOFF_X1Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y94 IS_BONDED 0 SITEPROP TIEOFF_X1Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y94 IS_PAD 0 SITEPROP TIEOFF_X1Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y94 IS_RESERVED 0 SITEPROP TIEOFF_X1Y94 IS_TEST 0 SITEPROP TIEOFF_X1Y94 IS_USED 0 SITEPROP TIEOFF_X1Y94 MANUAL_ROUTING SITEPROP TIEOFF_X1Y94 NAME TIEOFF_X1Y94 SITEPROP TIEOFF_X1Y94 NUM_ARCS 0 SITEPROP TIEOFF_X1Y94 NUM_BELS 2 SITEPROP TIEOFF_X1Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y94 NUM_PINS 2 SITEPROP TIEOFF_X1Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y94 PROHIBIT 0 SITEPROP TIEOFF_X1Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y94 RPM_X 8 SITEPROP TIEOFF_X1Y94 RPM_Y 188 SITEPROP TIEOFF_X1Y94 SITE_PIPS SITEPROP TIEOFF_X1Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y95 CLASS site SITEPROP TIEOFF_X1Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y95 IS_BONDED 0 SITEPROP TIEOFF_X1Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y95 IS_PAD 0 SITEPROP TIEOFF_X1Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y95 IS_RESERVED 0 SITEPROP TIEOFF_X1Y95 IS_TEST 0 SITEPROP TIEOFF_X1Y95 IS_USED 0 SITEPROP TIEOFF_X1Y95 MANUAL_ROUTING SITEPROP TIEOFF_X1Y95 NAME TIEOFF_X1Y95 SITEPROP TIEOFF_X1Y95 NUM_ARCS 0 SITEPROP TIEOFF_X1Y95 NUM_BELS 2 SITEPROP TIEOFF_X1Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y95 NUM_PINS 2 SITEPROP TIEOFF_X1Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y95 PROHIBIT 0 SITEPROP TIEOFF_X1Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y95 RPM_X 8 SITEPROP TIEOFF_X1Y95 RPM_Y 190 SITEPROP TIEOFF_X1Y95 SITE_PIPS SITEPROP TIEOFF_X1Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y96 CLASS site SITEPROP TIEOFF_X1Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y96 IS_BONDED 0 SITEPROP TIEOFF_X1Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y96 IS_PAD 0 SITEPROP TIEOFF_X1Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y96 IS_RESERVED 0 SITEPROP TIEOFF_X1Y96 IS_TEST 0 SITEPROP TIEOFF_X1Y96 IS_USED 0 SITEPROP TIEOFF_X1Y96 MANUAL_ROUTING SITEPROP TIEOFF_X1Y96 NAME TIEOFF_X1Y96 SITEPROP TIEOFF_X1Y96 NUM_ARCS 0 SITEPROP TIEOFF_X1Y96 NUM_BELS 2 SITEPROP TIEOFF_X1Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y96 NUM_PINS 2 SITEPROP TIEOFF_X1Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y96 PROHIBIT 0 SITEPROP TIEOFF_X1Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y96 RPM_X 8 SITEPROP TIEOFF_X1Y96 RPM_Y 192 SITEPROP TIEOFF_X1Y96 SITE_PIPS SITEPROP TIEOFF_X1Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y97 CLASS site SITEPROP TIEOFF_X1Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y97 IS_BONDED 0 SITEPROP TIEOFF_X1Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y97 IS_PAD 0 SITEPROP TIEOFF_X1Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y97 IS_RESERVED 0 SITEPROP TIEOFF_X1Y97 IS_TEST 0 SITEPROP TIEOFF_X1Y97 IS_USED 0 SITEPROP TIEOFF_X1Y97 MANUAL_ROUTING SITEPROP TIEOFF_X1Y97 NAME TIEOFF_X1Y97 SITEPROP TIEOFF_X1Y97 NUM_ARCS 0 SITEPROP TIEOFF_X1Y97 NUM_BELS 2 SITEPROP TIEOFF_X1Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y97 NUM_PINS 2 SITEPROP TIEOFF_X1Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y97 PROHIBIT 0 SITEPROP TIEOFF_X1Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y97 RPM_X 8 SITEPROP TIEOFF_X1Y97 RPM_Y 194 SITEPROP TIEOFF_X1Y97 SITE_PIPS SITEPROP TIEOFF_X1Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y98 CLASS site SITEPROP TIEOFF_X1Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y98 IS_BONDED 0 SITEPROP TIEOFF_X1Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y98 IS_PAD 0 SITEPROP TIEOFF_X1Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y98 IS_RESERVED 0 SITEPROP TIEOFF_X1Y98 IS_TEST 0 SITEPROP TIEOFF_X1Y98 IS_USED 0 SITEPROP TIEOFF_X1Y98 MANUAL_ROUTING SITEPROP TIEOFF_X1Y98 NAME TIEOFF_X1Y98 SITEPROP TIEOFF_X1Y98 NUM_ARCS 0 SITEPROP TIEOFF_X1Y98 NUM_BELS 2 SITEPROP TIEOFF_X1Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y98 NUM_PINS 2 SITEPROP TIEOFF_X1Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y98 PROHIBIT 0 SITEPROP TIEOFF_X1Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y98 RPM_X 8 SITEPROP TIEOFF_X1Y98 RPM_Y 196 SITEPROP TIEOFF_X1Y98 SITE_PIPS SITEPROP TIEOFF_X1Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y99 CLASS site SITEPROP TIEOFF_X1Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X1Y99 IS_BONDED 0 SITEPROP TIEOFF_X1Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y99 IS_PAD 0 SITEPROP TIEOFF_X1Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y99 IS_RESERVED 0 SITEPROP TIEOFF_X1Y99 IS_TEST 0 SITEPROP TIEOFF_X1Y99 IS_USED 0 SITEPROP TIEOFF_X1Y99 MANUAL_ROUTING SITEPROP TIEOFF_X1Y99 NAME TIEOFF_X1Y99 SITEPROP TIEOFF_X1Y99 NUM_ARCS 0 SITEPROP TIEOFF_X1Y99 NUM_BELS 2 SITEPROP TIEOFF_X1Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y99 NUM_PINS 2 SITEPROP TIEOFF_X1Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y99 PROHIBIT 0 SITEPROP TIEOFF_X1Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y99 RPM_X 8 SITEPROP TIEOFF_X1Y99 RPM_Y 198 SITEPROP TIEOFF_X1Y99 SITE_PIPS SITEPROP TIEOFF_X1Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y100 CLASS site SITEPROP TIEOFF_X1Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y100 IS_BONDED 0 SITEPROP TIEOFF_X1Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y100 IS_PAD 0 SITEPROP TIEOFF_X1Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y100 IS_RESERVED 0 SITEPROP TIEOFF_X1Y100 IS_TEST 0 SITEPROP TIEOFF_X1Y100 IS_USED 0 SITEPROP TIEOFF_X1Y100 MANUAL_ROUTING SITEPROP TIEOFF_X1Y100 NAME TIEOFF_X1Y100 SITEPROP TIEOFF_X1Y100 NUM_ARCS 0 SITEPROP TIEOFF_X1Y100 NUM_BELS 2 SITEPROP TIEOFF_X1Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y100 NUM_PINS 2 SITEPROP TIEOFF_X1Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y100 PROHIBIT 0 SITEPROP TIEOFF_X1Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y100 RPM_X 8 SITEPROP TIEOFF_X1Y100 RPM_Y 200 SITEPROP TIEOFF_X1Y100 SITE_PIPS SITEPROP TIEOFF_X1Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y101 CLASS site SITEPROP TIEOFF_X1Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y101 IS_BONDED 0 SITEPROP TIEOFF_X1Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y101 IS_PAD 0 SITEPROP TIEOFF_X1Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y101 IS_RESERVED 0 SITEPROP TIEOFF_X1Y101 IS_TEST 0 SITEPROP TIEOFF_X1Y101 IS_USED 0 SITEPROP TIEOFF_X1Y101 MANUAL_ROUTING SITEPROP TIEOFF_X1Y101 NAME TIEOFF_X1Y101 SITEPROP TIEOFF_X1Y101 NUM_ARCS 0 SITEPROP TIEOFF_X1Y101 NUM_BELS 2 SITEPROP TIEOFF_X1Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y101 NUM_PINS 2 SITEPROP TIEOFF_X1Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y101 PROHIBIT 0 SITEPROP TIEOFF_X1Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y101 RPM_X 8 SITEPROP TIEOFF_X1Y101 RPM_Y 202 SITEPROP TIEOFF_X1Y101 SITE_PIPS SITEPROP TIEOFF_X1Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y102 CLASS site SITEPROP TIEOFF_X1Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y102 IS_BONDED 0 SITEPROP TIEOFF_X1Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y102 IS_PAD 0 SITEPROP TIEOFF_X1Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y102 IS_RESERVED 0 SITEPROP TIEOFF_X1Y102 IS_TEST 0 SITEPROP TIEOFF_X1Y102 IS_USED 0 SITEPROP TIEOFF_X1Y102 MANUAL_ROUTING SITEPROP TIEOFF_X1Y102 NAME TIEOFF_X1Y102 SITEPROP TIEOFF_X1Y102 NUM_ARCS 0 SITEPROP TIEOFF_X1Y102 NUM_BELS 2 SITEPROP TIEOFF_X1Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y102 NUM_PINS 2 SITEPROP TIEOFF_X1Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y102 PROHIBIT 0 SITEPROP TIEOFF_X1Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y102 RPM_X 8 SITEPROP TIEOFF_X1Y102 RPM_Y 204 SITEPROP TIEOFF_X1Y102 SITE_PIPS SITEPROP TIEOFF_X1Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y103 CLASS site SITEPROP TIEOFF_X1Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y103 IS_BONDED 0 SITEPROP TIEOFF_X1Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y103 IS_PAD 0 SITEPROP TIEOFF_X1Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y103 IS_RESERVED 0 SITEPROP TIEOFF_X1Y103 IS_TEST 0 SITEPROP TIEOFF_X1Y103 IS_USED 0 SITEPROP TIEOFF_X1Y103 MANUAL_ROUTING SITEPROP TIEOFF_X1Y103 NAME TIEOFF_X1Y103 SITEPROP TIEOFF_X1Y103 NUM_ARCS 0 SITEPROP TIEOFF_X1Y103 NUM_BELS 2 SITEPROP TIEOFF_X1Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y103 NUM_PINS 2 SITEPROP TIEOFF_X1Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y103 PROHIBIT 0 SITEPROP TIEOFF_X1Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y103 RPM_X 8 SITEPROP TIEOFF_X1Y103 RPM_Y 206 SITEPROP TIEOFF_X1Y103 SITE_PIPS SITEPROP TIEOFF_X1Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y104 CLASS site SITEPROP TIEOFF_X1Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y104 IS_BONDED 0 SITEPROP TIEOFF_X1Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y104 IS_PAD 0 SITEPROP TIEOFF_X1Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y104 IS_RESERVED 0 SITEPROP TIEOFF_X1Y104 IS_TEST 0 SITEPROP TIEOFF_X1Y104 IS_USED 0 SITEPROP TIEOFF_X1Y104 MANUAL_ROUTING SITEPROP TIEOFF_X1Y104 NAME TIEOFF_X1Y104 SITEPROP TIEOFF_X1Y104 NUM_ARCS 0 SITEPROP TIEOFF_X1Y104 NUM_BELS 2 SITEPROP TIEOFF_X1Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y104 NUM_PINS 2 SITEPROP TIEOFF_X1Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y104 PROHIBIT 0 SITEPROP TIEOFF_X1Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y104 RPM_X 8 SITEPROP TIEOFF_X1Y104 RPM_Y 208 SITEPROP TIEOFF_X1Y104 SITE_PIPS SITEPROP TIEOFF_X1Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y105 CLASS site SITEPROP TIEOFF_X1Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y105 IS_BONDED 0 SITEPROP TIEOFF_X1Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y105 IS_PAD 0 SITEPROP TIEOFF_X1Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y105 IS_RESERVED 0 SITEPROP TIEOFF_X1Y105 IS_TEST 0 SITEPROP TIEOFF_X1Y105 IS_USED 0 SITEPROP TIEOFF_X1Y105 MANUAL_ROUTING SITEPROP TIEOFF_X1Y105 NAME TIEOFF_X1Y105 SITEPROP TIEOFF_X1Y105 NUM_ARCS 0 SITEPROP TIEOFF_X1Y105 NUM_BELS 2 SITEPROP TIEOFF_X1Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y105 NUM_PINS 2 SITEPROP TIEOFF_X1Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y105 PROHIBIT 0 SITEPROP TIEOFF_X1Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y105 RPM_X 8 SITEPROP TIEOFF_X1Y105 RPM_Y 210 SITEPROP TIEOFF_X1Y105 SITE_PIPS SITEPROP TIEOFF_X1Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y106 CLASS site SITEPROP TIEOFF_X1Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y106 IS_BONDED 0 SITEPROP TIEOFF_X1Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y106 IS_PAD 0 SITEPROP TIEOFF_X1Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y106 IS_RESERVED 0 SITEPROP TIEOFF_X1Y106 IS_TEST 0 SITEPROP TIEOFF_X1Y106 IS_USED 0 SITEPROP TIEOFF_X1Y106 MANUAL_ROUTING SITEPROP TIEOFF_X1Y106 NAME TIEOFF_X1Y106 SITEPROP TIEOFF_X1Y106 NUM_ARCS 0 SITEPROP TIEOFF_X1Y106 NUM_BELS 2 SITEPROP TIEOFF_X1Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y106 NUM_PINS 2 SITEPROP TIEOFF_X1Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y106 PROHIBIT 0 SITEPROP TIEOFF_X1Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y106 RPM_X 8 SITEPROP TIEOFF_X1Y106 RPM_Y 212 SITEPROP TIEOFF_X1Y106 SITE_PIPS SITEPROP TIEOFF_X1Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y107 CLASS site SITEPROP TIEOFF_X1Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y107 IS_BONDED 0 SITEPROP TIEOFF_X1Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y107 IS_PAD 0 SITEPROP TIEOFF_X1Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y107 IS_RESERVED 0 SITEPROP TIEOFF_X1Y107 IS_TEST 0 SITEPROP TIEOFF_X1Y107 IS_USED 0 SITEPROP TIEOFF_X1Y107 MANUAL_ROUTING SITEPROP TIEOFF_X1Y107 NAME TIEOFF_X1Y107 SITEPROP TIEOFF_X1Y107 NUM_ARCS 0 SITEPROP TIEOFF_X1Y107 NUM_BELS 2 SITEPROP TIEOFF_X1Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y107 NUM_PINS 2 SITEPROP TIEOFF_X1Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y107 PROHIBIT 0 SITEPROP TIEOFF_X1Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y107 RPM_X 8 SITEPROP TIEOFF_X1Y107 RPM_Y 214 SITEPROP TIEOFF_X1Y107 SITE_PIPS SITEPROP TIEOFF_X1Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y108 CLASS site SITEPROP TIEOFF_X1Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y108 IS_BONDED 0 SITEPROP TIEOFF_X1Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y108 IS_PAD 0 SITEPROP TIEOFF_X1Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y108 IS_RESERVED 0 SITEPROP TIEOFF_X1Y108 IS_TEST 0 SITEPROP TIEOFF_X1Y108 IS_USED 0 SITEPROP TIEOFF_X1Y108 MANUAL_ROUTING SITEPROP TIEOFF_X1Y108 NAME TIEOFF_X1Y108 SITEPROP TIEOFF_X1Y108 NUM_ARCS 0 SITEPROP TIEOFF_X1Y108 NUM_BELS 2 SITEPROP TIEOFF_X1Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y108 NUM_PINS 2 SITEPROP TIEOFF_X1Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y108 PROHIBIT 0 SITEPROP TIEOFF_X1Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y108 RPM_X 8 SITEPROP TIEOFF_X1Y108 RPM_Y 216 SITEPROP TIEOFF_X1Y108 SITE_PIPS SITEPROP TIEOFF_X1Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y109 CLASS site SITEPROP TIEOFF_X1Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y109 IS_BONDED 0 SITEPROP TIEOFF_X1Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y109 IS_PAD 0 SITEPROP TIEOFF_X1Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y109 IS_RESERVED 0 SITEPROP TIEOFF_X1Y109 IS_TEST 0 SITEPROP TIEOFF_X1Y109 IS_USED 0 SITEPROP TIEOFF_X1Y109 MANUAL_ROUTING SITEPROP TIEOFF_X1Y109 NAME TIEOFF_X1Y109 SITEPROP TIEOFF_X1Y109 NUM_ARCS 0 SITEPROP TIEOFF_X1Y109 NUM_BELS 2 SITEPROP TIEOFF_X1Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y109 NUM_PINS 2 SITEPROP TIEOFF_X1Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y109 PROHIBIT 0 SITEPROP TIEOFF_X1Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y109 RPM_X 8 SITEPROP TIEOFF_X1Y109 RPM_Y 218 SITEPROP TIEOFF_X1Y109 SITE_PIPS SITEPROP TIEOFF_X1Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y110 CLASS site SITEPROP TIEOFF_X1Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y110 IS_BONDED 0 SITEPROP TIEOFF_X1Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y110 IS_PAD 0 SITEPROP TIEOFF_X1Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y110 IS_RESERVED 0 SITEPROP TIEOFF_X1Y110 IS_TEST 0 SITEPROP TIEOFF_X1Y110 IS_USED 0 SITEPROP TIEOFF_X1Y110 MANUAL_ROUTING SITEPROP TIEOFF_X1Y110 NAME TIEOFF_X1Y110 SITEPROP TIEOFF_X1Y110 NUM_ARCS 0 SITEPROP TIEOFF_X1Y110 NUM_BELS 2 SITEPROP TIEOFF_X1Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y110 NUM_PINS 2 SITEPROP TIEOFF_X1Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y110 PROHIBIT 0 SITEPROP TIEOFF_X1Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y110 RPM_X 8 SITEPROP TIEOFF_X1Y110 RPM_Y 220 SITEPROP TIEOFF_X1Y110 SITE_PIPS SITEPROP TIEOFF_X1Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y111 CLASS site SITEPROP TIEOFF_X1Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y111 IS_BONDED 0 SITEPROP TIEOFF_X1Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y111 IS_PAD 0 SITEPROP TIEOFF_X1Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y111 IS_RESERVED 0 SITEPROP TIEOFF_X1Y111 IS_TEST 0 SITEPROP TIEOFF_X1Y111 IS_USED 0 SITEPROP TIEOFF_X1Y111 MANUAL_ROUTING SITEPROP TIEOFF_X1Y111 NAME TIEOFF_X1Y111 SITEPROP TIEOFF_X1Y111 NUM_ARCS 0 SITEPROP TIEOFF_X1Y111 NUM_BELS 2 SITEPROP TIEOFF_X1Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y111 NUM_PINS 2 SITEPROP TIEOFF_X1Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y111 PROHIBIT 0 SITEPROP TIEOFF_X1Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y111 RPM_X 8 SITEPROP TIEOFF_X1Y111 RPM_Y 222 SITEPROP TIEOFF_X1Y111 SITE_PIPS SITEPROP TIEOFF_X1Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y112 CLASS site SITEPROP TIEOFF_X1Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y112 IS_BONDED 0 SITEPROP TIEOFF_X1Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y112 IS_PAD 0 SITEPROP TIEOFF_X1Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y112 IS_RESERVED 0 SITEPROP TIEOFF_X1Y112 IS_TEST 0 SITEPROP TIEOFF_X1Y112 IS_USED 0 SITEPROP TIEOFF_X1Y112 MANUAL_ROUTING SITEPROP TIEOFF_X1Y112 NAME TIEOFF_X1Y112 SITEPROP TIEOFF_X1Y112 NUM_ARCS 0 SITEPROP TIEOFF_X1Y112 NUM_BELS 2 SITEPROP TIEOFF_X1Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y112 NUM_PINS 2 SITEPROP TIEOFF_X1Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y112 PROHIBIT 0 SITEPROP TIEOFF_X1Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y112 RPM_X 8 SITEPROP TIEOFF_X1Y112 RPM_Y 224 SITEPROP TIEOFF_X1Y112 SITE_PIPS SITEPROP TIEOFF_X1Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y113 CLASS site SITEPROP TIEOFF_X1Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y113 IS_BONDED 0 SITEPROP TIEOFF_X1Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y113 IS_PAD 0 SITEPROP TIEOFF_X1Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y113 IS_RESERVED 0 SITEPROP TIEOFF_X1Y113 IS_TEST 0 SITEPROP TIEOFF_X1Y113 IS_USED 0 SITEPROP TIEOFF_X1Y113 MANUAL_ROUTING SITEPROP TIEOFF_X1Y113 NAME TIEOFF_X1Y113 SITEPROP TIEOFF_X1Y113 NUM_ARCS 0 SITEPROP TIEOFF_X1Y113 NUM_BELS 2 SITEPROP TIEOFF_X1Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y113 NUM_PINS 2 SITEPROP TIEOFF_X1Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y113 PROHIBIT 0 SITEPROP TIEOFF_X1Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y113 RPM_X 8 SITEPROP TIEOFF_X1Y113 RPM_Y 226 SITEPROP TIEOFF_X1Y113 SITE_PIPS SITEPROP TIEOFF_X1Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y114 CLASS site SITEPROP TIEOFF_X1Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y114 IS_BONDED 0 SITEPROP TIEOFF_X1Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y114 IS_PAD 0 SITEPROP TIEOFF_X1Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y114 IS_RESERVED 0 SITEPROP TIEOFF_X1Y114 IS_TEST 0 SITEPROP TIEOFF_X1Y114 IS_USED 0 SITEPROP TIEOFF_X1Y114 MANUAL_ROUTING SITEPROP TIEOFF_X1Y114 NAME TIEOFF_X1Y114 SITEPROP TIEOFF_X1Y114 NUM_ARCS 0 SITEPROP TIEOFF_X1Y114 NUM_BELS 2 SITEPROP TIEOFF_X1Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y114 NUM_PINS 2 SITEPROP TIEOFF_X1Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y114 PROHIBIT 0 SITEPROP TIEOFF_X1Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y114 RPM_X 8 SITEPROP TIEOFF_X1Y114 RPM_Y 228 SITEPROP TIEOFF_X1Y114 SITE_PIPS SITEPROP TIEOFF_X1Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y115 CLASS site SITEPROP TIEOFF_X1Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y115 IS_BONDED 0 SITEPROP TIEOFF_X1Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y115 IS_PAD 0 SITEPROP TIEOFF_X1Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y115 IS_RESERVED 0 SITEPROP TIEOFF_X1Y115 IS_TEST 0 SITEPROP TIEOFF_X1Y115 IS_USED 0 SITEPROP TIEOFF_X1Y115 MANUAL_ROUTING SITEPROP TIEOFF_X1Y115 NAME TIEOFF_X1Y115 SITEPROP TIEOFF_X1Y115 NUM_ARCS 0 SITEPROP TIEOFF_X1Y115 NUM_BELS 2 SITEPROP TIEOFF_X1Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y115 NUM_PINS 2 SITEPROP TIEOFF_X1Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y115 PROHIBIT 0 SITEPROP TIEOFF_X1Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y115 RPM_X 8 SITEPROP TIEOFF_X1Y115 RPM_Y 230 SITEPROP TIEOFF_X1Y115 SITE_PIPS SITEPROP TIEOFF_X1Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y116 CLASS site SITEPROP TIEOFF_X1Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y116 IS_BONDED 0 SITEPROP TIEOFF_X1Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y116 IS_PAD 0 SITEPROP TIEOFF_X1Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y116 IS_RESERVED 0 SITEPROP TIEOFF_X1Y116 IS_TEST 0 SITEPROP TIEOFF_X1Y116 IS_USED 0 SITEPROP TIEOFF_X1Y116 MANUAL_ROUTING SITEPROP TIEOFF_X1Y116 NAME TIEOFF_X1Y116 SITEPROP TIEOFF_X1Y116 NUM_ARCS 0 SITEPROP TIEOFF_X1Y116 NUM_BELS 2 SITEPROP TIEOFF_X1Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y116 NUM_PINS 2 SITEPROP TIEOFF_X1Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y116 PROHIBIT 0 SITEPROP TIEOFF_X1Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y116 RPM_X 8 SITEPROP TIEOFF_X1Y116 RPM_Y 232 SITEPROP TIEOFF_X1Y116 SITE_PIPS SITEPROP TIEOFF_X1Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y117 CLASS site SITEPROP TIEOFF_X1Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y117 IS_BONDED 0 SITEPROP TIEOFF_X1Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y117 IS_PAD 0 SITEPROP TIEOFF_X1Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y117 IS_RESERVED 0 SITEPROP TIEOFF_X1Y117 IS_TEST 0 SITEPROP TIEOFF_X1Y117 IS_USED 0 SITEPROP TIEOFF_X1Y117 MANUAL_ROUTING SITEPROP TIEOFF_X1Y117 NAME TIEOFF_X1Y117 SITEPROP TIEOFF_X1Y117 NUM_ARCS 0 SITEPROP TIEOFF_X1Y117 NUM_BELS 2 SITEPROP TIEOFF_X1Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y117 NUM_PINS 2 SITEPROP TIEOFF_X1Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y117 PROHIBIT 0 SITEPROP TIEOFF_X1Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y117 RPM_X 8 SITEPROP TIEOFF_X1Y117 RPM_Y 234 SITEPROP TIEOFF_X1Y117 SITE_PIPS SITEPROP TIEOFF_X1Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y118 CLASS site SITEPROP TIEOFF_X1Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y118 IS_BONDED 0 SITEPROP TIEOFF_X1Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y118 IS_PAD 0 SITEPROP TIEOFF_X1Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y118 IS_RESERVED 0 SITEPROP TIEOFF_X1Y118 IS_TEST 0 SITEPROP TIEOFF_X1Y118 IS_USED 0 SITEPROP TIEOFF_X1Y118 MANUAL_ROUTING SITEPROP TIEOFF_X1Y118 NAME TIEOFF_X1Y118 SITEPROP TIEOFF_X1Y118 NUM_ARCS 0 SITEPROP TIEOFF_X1Y118 NUM_BELS 2 SITEPROP TIEOFF_X1Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y118 NUM_PINS 2 SITEPROP TIEOFF_X1Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y118 PROHIBIT 0 SITEPROP TIEOFF_X1Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y118 RPM_X 8 SITEPROP TIEOFF_X1Y118 RPM_Y 236 SITEPROP TIEOFF_X1Y118 SITE_PIPS SITEPROP TIEOFF_X1Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y119 CLASS site SITEPROP TIEOFF_X1Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y119 IS_BONDED 0 SITEPROP TIEOFF_X1Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y119 IS_PAD 0 SITEPROP TIEOFF_X1Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y119 IS_RESERVED 0 SITEPROP TIEOFF_X1Y119 IS_TEST 0 SITEPROP TIEOFF_X1Y119 IS_USED 0 SITEPROP TIEOFF_X1Y119 MANUAL_ROUTING SITEPROP TIEOFF_X1Y119 NAME TIEOFF_X1Y119 SITEPROP TIEOFF_X1Y119 NUM_ARCS 0 SITEPROP TIEOFF_X1Y119 NUM_BELS 2 SITEPROP TIEOFF_X1Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y119 NUM_PINS 2 SITEPROP TIEOFF_X1Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y119 PROHIBIT 0 SITEPROP TIEOFF_X1Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y119 RPM_X 8 SITEPROP TIEOFF_X1Y119 RPM_Y 238 SITEPROP TIEOFF_X1Y119 SITE_PIPS SITEPROP TIEOFF_X1Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y120 CLASS site SITEPROP TIEOFF_X1Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y120 IS_BONDED 0 SITEPROP TIEOFF_X1Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y120 IS_PAD 0 SITEPROP TIEOFF_X1Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y120 IS_RESERVED 0 SITEPROP TIEOFF_X1Y120 IS_TEST 0 SITEPROP TIEOFF_X1Y120 IS_USED 0 SITEPROP TIEOFF_X1Y120 MANUAL_ROUTING SITEPROP TIEOFF_X1Y120 NAME TIEOFF_X1Y120 SITEPROP TIEOFF_X1Y120 NUM_ARCS 0 SITEPROP TIEOFF_X1Y120 NUM_BELS 2 SITEPROP TIEOFF_X1Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y120 NUM_PINS 2 SITEPROP TIEOFF_X1Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y120 PROHIBIT 0 SITEPROP TIEOFF_X1Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y120 RPM_X 8 SITEPROP TIEOFF_X1Y120 RPM_Y 240 SITEPROP TIEOFF_X1Y120 SITE_PIPS SITEPROP TIEOFF_X1Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y121 CLASS site SITEPROP TIEOFF_X1Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y121 IS_BONDED 0 SITEPROP TIEOFF_X1Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y121 IS_PAD 0 SITEPROP TIEOFF_X1Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y121 IS_RESERVED 0 SITEPROP TIEOFF_X1Y121 IS_TEST 0 SITEPROP TIEOFF_X1Y121 IS_USED 0 SITEPROP TIEOFF_X1Y121 MANUAL_ROUTING SITEPROP TIEOFF_X1Y121 NAME TIEOFF_X1Y121 SITEPROP TIEOFF_X1Y121 NUM_ARCS 0 SITEPROP TIEOFF_X1Y121 NUM_BELS 2 SITEPROP TIEOFF_X1Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y121 NUM_PINS 2 SITEPROP TIEOFF_X1Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y121 PROHIBIT 0 SITEPROP TIEOFF_X1Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y121 RPM_X 8 SITEPROP TIEOFF_X1Y121 RPM_Y 242 SITEPROP TIEOFF_X1Y121 SITE_PIPS SITEPROP TIEOFF_X1Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y122 CLASS site SITEPROP TIEOFF_X1Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y122 IS_BONDED 0 SITEPROP TIEOFF_X1Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y122 IS_PAD 0 SITEPROP TIEOFF_X1Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y122 IS_RESERVED 0 SITEPROP TIEOFF_X1Y122 IS_TEST 0 SITEPROP TIEOFF_X1Y122 IS_USED 0 SITEPROP TIEOFF_X1Y122 MANUAL_ROUTING SITEPROP TIEOFF_X1Y122 NAME TIEOFF_X1Y122 SITEPROP TIEOFF_X1Y122 NUM_ARCS 0 SITEPROP TIEOFF_X1Y122 NUM_BELS 2 SITEPROP TIEOFF_X1Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y122 NUM_PINS 2 SITEPROP TIEOFF_X1Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y122 PROHIBIT 0 SITEPROP TIEOFF_X1Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y122 RPM_X 8 SITEPROP TIEOFF_X1Y122 RPM_Y 244 SITEPROP TIEOFF_X1Y122 SITE_PIPS SITEPROP TIEOFF_X1Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y123 CLASS site SITEPROP TIEOFF_X1Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y123 IS_BONDED 0 SITEPROP TIEOFF_X1Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y123 IS_PAD 0 SITEPROP TIEOFF_X1Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y123 IS_RESERVED 0 SITEPROP TIEOFF_X1Y123 IS_TEST 0 SITEPROP TIEOFF_X1Y123 IS_USED 0 SITEPROP TIEOFF_X1Y123 MANUAL_ROUTING SITEPROP TIEOFF_X1Y123 NAME TIEOFF_X1Y123 SITEPROP TIEOFF_X1Y123 NUM_ARCS 0 SITEPROP TIEOFF_X1Y123 NUM_BELS 2 SITEPROP TIEOFF_X1Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y123 NUM_PINS 2 SITEPROP TIEOFF_X1Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y123 PROHIBIT 0 SITEPROP TIEOFF_X1Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y123 RPM_X 8 SITEPROP TIEOFF_X1Y123 RPM_Y 246 SITEPROP TIEOFF_X1Y123 SITE_PIPS SITEPROP TIEOFF_X1Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y124 CLASS site SITEPROP TIEOFF_X1Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y124 IS_BONDED 0 SITEPROP TIEOFF_X1Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y124 IS_PAD 0 SITEPROP TIEOFF_X1Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y124 IS_RESERVED 0 SITEPROP TIEOFF_X1Y124 IS_TEST 0 SITEPROP TIEOFF_X1Y124 IS_USED 0 SITEPROP TIEOFF_X1Y124 MANUAL_ROUTING SITEPROP TIEOFF_X1Y124 NAME TIEOFF_X1Y124 SITEPROP TIEOFF_X1Y124 NUM_ARCS 0 SITEPROP TIEOFF_X1Y124 NUM_BELS 2 SITEPROP TIEOFF_X1Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y124 NUM_PINS 2 SITEPROP TIEOFF_X1Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y124 PROHIBIT 0 SITEPROP TIEOFF_X1Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y124 RPM_X 8 SITEPROP TIEOFF_X1Y124 RPM_Y 248 SITEPROP TIEOFF_X1Y124 SITE_PIPS SITEPROP TIEOFF_X1Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y125 CLASS site SITEPROP TIEOFF_X1Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y125 IS_BONDED 0 SITEPROP TIEOFF_X1Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y125 IS_PAD 0 SITEPROP TIEOFF_X1Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y125 IS_RESERVED 0 SITEPROP TIEOFF_X1Y125 IS_TEST 0 SITEPROP TIEOFF_X1Y125 IS_USED 0 SITEPROP TIEOFF_X1Y125 MANUAL_ROUTING SITEPROP TIEOFF_X1Y125 NAME TIEOFF_X1Y125 SITEPROP TIEOFF_X1Y125 NUM_ARCS 0 SITEPROP TIEOFF_X1Y125 NUM_BELS 2 SITEPROP TIEOFF_X1Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y125 NUM_PINS 2 SITEPROP TIEOFF_X1Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y125 PROHIBIT 0 SITEPROP TIEOFF_X1Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y125 RPM_X 8 SITEPROP TIEOFF_X1Y125 RPM_Y 250 SITEPROP TIEOFF_X1Y125 SITE_PIPS SITEPROP TIEOFF_X1Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y126 CLASS site SITEPROP TIEOFF_X1Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y126 IS_BONDED 0 SITEPROP TIEOFF_X1Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y126 IS_PAD 0 SITEPROP TIEOFF_X1Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y126 IS_RESERVED 0 SITEPROP TIEOFF_X1Y126 IS_TEST 0 SITEPROP TIEOFF_X1Y126 IS_USED 0 SITEPROP TIEOFF_X1Y126 MANUAL_ROUTING SITEPROP TIEOFF_X1Y126 NAME TIEOFF_X1Y126 SITEPROP TIEOFF_X1Y126 NUM_ARCS 0 SITEPROP TIEOFF_X1Y126 NUM_BELS 2 SITEPROP TIEOFF_X1Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y126 NUM_PINS 2 SITEPROP TIEOFF_X1Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y126 PROHIBIT 0 SITEPROP TIEOFF_X1Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y126 RPM_X 8 SITEPROP TIEOFF_X1Y126 RPM_Y 252 SITEPROP TIEOFF_X1Y126 SITE_PIPS SITEPROP TIEOFF_X1Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y127 CLASS site SITEPROP TIEOFF_X1Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y127 IS_BONDED 0 SITEPROP TIEOFF_X1Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y127 IS_PAD 0 SITEPROP TIEOFF_X1Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y127 IS_RESERVED 0 SITEPROP TIEOFF_X1Y127 IS_TEST 0 SITEPROP TIEOFF_X1Y127 IS_USED 0 SITEPROP TIEOFF_X1Y127 MANUAL_ROUTING SITEPROP TIEOFF_X1Y127 NAME TIEOFF_X1Y127 SITEPROP TIEOFF_X1Y127 NUM_ARCS 0 SITEPROP TIEOFF_X1Y127 NUM_BELS 2 SITEPROP TIEOFF_X1Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y127 NUM_PINS 2 SITEPROP TIEOFF_X1Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y127 PROHIBIT 0 SITEPROP TIEOFF_X1Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y127 RPM_X 8 SITEPROP TIEOFF_X1Y127 RPM_Y 254 SITEPROP TIEOFF_X1Y127 SITE_PIPS SITEPROP TIEOFF_X1Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y128 CLASS site SITEPROP TIEOFF_X1Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y128 IS_BONDED 0 SITEPROP TIEOFF_X1Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y128 IS_PAD 0 SITEPROP TIEOFF_X1Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y128 IS_RESERVED 0 SITEPROP TIEOFF_X1Y128 IS_TEST 0 SITEPROP TIEOFF_X1Y128 IS_USED 0 SITEPROP TIEOFF_X1Y128 MANUAL_ROUTING SITEPROP TIEOFF_X1Y128 NAME TIEOFF_X1Y128 SITEPROP TIEOFF_X1Y128 NUM_ARCS 0 SITEPROP TIEOFF_X1Y128 NUM_BELS 2 SITEPROP TIEOFF_X1Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y128 NUM_PINS 2 SITEPROP TIEOFF_X1Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y128 PROHIBIT 0 SITEPROP TIEOFF_X1Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y128 RPM_X 8 SITEPROP TIEOFF_X1Y128 RPM_Y 256 SITEPROP TIEOFF_X1Y128 SITE_PIPS SITEPROP TIEOFF_X1Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y129 CLASS site SITEPROP TIEOFF_X1Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y129 IS_BONDED 0 SITEPROP TIEOFF_X1Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y129 IS_PAD 0 SITEPROP TIEOFF_X1Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y129 IS_RESERVED 0 SITEPROP TIEOFF_X1Y129 IS_TEST 0 SITEPROP TIEOFF_X1Y129 IS_USED 0 SITEPROP TIEOFF_X1Y129 MANUAL_ROUTING SITEPROP TIEOFF_X1Y129 NAME TIEOFF_X1Y129 SITEPROP TIEOFF_X1Y129 NUM_ARCS 0 SITEPROP TIEOFF_X1Y129 NUM_BELS 2 SITEPROP TIEOFF_X1Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y129 NUM_PINS 2 SITEPROP TIEOFF_X1Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y129 PROHIBIT 0 SITEPROP TIEOFF_X1Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y129 RPM_X 8 SITEPROP TIEOFF_X1Y129 RPM_Y 258 SITEPROP TIEOFF_X1Y129 SITE_PIPS SITEPROP TIEOFF_X1Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y130 CLASS site SITEPROP TIEOFF_X1Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y130 IS_BONDED 0 SITEPROP TIEOFF_X1Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y130 IS_PAD 0 SITEPROP TIEOFF_X1Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y130 IS_RESERVED 0 SITEPROP TIEOFF_X1Y130 IS_TEST 0 SITEPROP TIEOFF_X1Y130 IS_USED 0 SITEPROP TIEOFF_X1Y130 MANUAL_ROUTING SITEPROP TIEOFF_X1Y130 NAME TIEOFF_X1Y130 SITEPROP TIEOFF_X1Y130 NUM_ARCS 0 SITEPROP TIEOFF_X1Y130 NUM_BELS 2 SITEPROP TIEOFF_X1Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y130 NUM_PINS 2 SITEPROP TIEOFF_X1Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y130 PROHIBIT 0 SITEPROP TIEOFF_X1Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y130 RPM_X 8 SITEPROP TIEOFF_X1Y130 RPM_Y 260 SITEPROP TIEOFF_X1Y130 SITE_PIPS SITEPROP TIEOFF_X1Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y131 CLASS site SITEPROP TIEOFF_X1Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y131 IS_BONDED 0 SITEPROP TIEOFF_X1Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y131 IS_PAD 0 SITEPROP TIEOFF_X1Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y131 IS_RESERVED 0 SITEPROP TIEOFF_X1Y131 IS_TEST 0 SITEPROP TIEOFF_X1Y131 IS_USED 0 SITEPROP TIEOFF_X1Y131 MANUAL_ROUTING SITEPROP TIEOFF_X1Y131 NAME TIEOFF_X1Y131 SITEPROP TIEOFF_X1Y131 NUM_ARCS 0 SITEPROP TIEOFF_X1Y131 NUM_BELS 2 SITEPROP TIEOFF_X1Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y131 NUM_PINS 2 SITEPROP TIEOFF_X1Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y131 PROHIBIT 0 SITEPROP TIEOFF_X1Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y131 RPM_X 8 SITEPROP TIEOFF_X1Y131 RPM_Y 262 SITEPROP TIEOFF_X1Y131 SITE_PIPS SITEPROP TIEOFF_X1Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y132 CLASS site SITEPROP TIEOFF_X1Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y132 IS_BONDED 0 SITEPROP TIEOFF_X1Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y132 IS_PAD 0 SITEPROP TIEOFF_X1Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y132 IS_RESERVED 0 SITEPROP TIEOFF_X1Y132 IS_TEST 0 SITEPROP TIEOFF_X1Y132 IS_USED 0 SITEPROP TIEOFF_X1Y132 MANUAL_ROUTING SITEPROP TIEOFF_X1Y132 NAME TIEOFF_X1Y132 SITEPROP TIEOFF_X1Y132 NUM_ARCS 0 SITEPROP TIEOFF_X1Y132 NUM_BELS 2 SITEPROP TIEOFF_X1Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y132 NUM_PINS 2 SITEPROP TIEOFF_X1Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y132 PROHIBIT 0 SITEPROP TIEOFF_X1Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y132 RPM_X 8 SITEPROP TIEOFF_X1Y132 RPM_Y 264 SITEPROP TIEOFF_X1Y132 SITE_PIPS SITEPROP TIEOFF_X1Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y133 CLASS site SITEPROP TIEOFF_X1Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y133 IS_BONDED 0 SITEPROP TIEOFF_X1Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y133 IS_PAD 0 SITEPROP TIEOFF_X1Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y133 IS_RESERVED 0 SITEPROP TIEOFF_X1Y133 IS_TEST 0 SITEPROP TIEOFF_X1Y133 IS_USED 0 SITEPROP TIEOFF_X1Y133 MANUAL_ROUTING SITEPROP TIEOFF_X1Y133 NAME TIEOFF_X1Y133 SITEPROP TIEOFF_X1Y133 NUM_ARCS 0 SITEPROP TIEOFF_X1Y133 NUM_BELS 2 SITEPROP TIEOFF_X1Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y133 NUM_PINS 2 SITEPROP TIEOFF_X1Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y133 PROHIBIT 0 SITEPROP TIEOFF_X1Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y133 RPM_X 8 SITEPROP TIEOFF_X1Y133 RPM_Y 266 SITEPROP TIEOFF_X1Y133 SITE_PIPS SITEPROP TIEOFF_X1Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y134 CLASS site SITEPROP TIEOFF_X1Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y134 IS_BONDED 0 SITEPROP TIEOFF_X1Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y134 IS_PAD 0 SITEPROP TIEOFF_X1Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y134 IS_RESERVED 0 SITEPROP TIEOFF_X1Y134 IS_TEST 0 SITEPROP TIEOFF_X1Y134 IS_USED 0 SITEPROP TIEOFF_X1Y134 MANUAL_ROUTING SITEPROP TIEOFF_X1Y134 NAME TIEOFF_X1Y134 SITEPROP TIEOFF_X1Y134 NUM_ARCS 0 SITEPROP TIEOFF_X1Y134 NUM_BELS 2 SITEPROP TIEOFF_X1Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y134 NUM_PINS 2 SITEPROP TIEOFF_X1Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y134 PROHIBIT 0 SITEPROP TIEOFF_X1Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y134 RPM_X 8 SITEPROP TIEOFF_X1Y134 RPM_Y 268 SITEPROP TIEOFF_X1Y134 SITE_PIPS SITEPROP TIEOFF_X1Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y135 CLASS site SITEPROP TIEOFF_X1Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y135 IS_BONDED 0 SITEPROP TIEOFF_X1Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y135 IS_PAD 0 SITEPROP TIEOFF_X1Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y135 IS_RESERVED 0 SITEPROP TIEOFF_X1Y135 IS_TEST 0 SITEPROP TIEOFF_X1Y135 IS_USED 0 SITEPROP TIEOFF_X1Y135 MANUAL_ROUTING SITEPROP TIEOFF_X1Y135 NAME TIEOFF_X1Y135 SITEPROP TIEOFF_X1Y135 NUM_ARCS 0 SITEPROP TIEOFF_X1Y135 NUM_BELS 2 SITEPROP TIEOFF_X1Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y135 NUM_PINS 2 SITEPROP TIEOFF_X1Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y135 PROHIBIT 0 SITEPROP TIEOFF_X1Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y135 RPM_X 8 SITEPROP TIEOFF_X1Y135 RPM_Y 270 SITEPROP TIEOFF_X1Y135 SITE_PIPS SITEPROP TIEOFF_X1Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y136 CLASS site SITEPROP TIEOFF_X1Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y136 IS_BONDED 0 SITEPROP TIEOFF_X1Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y136 IS_PAD 0 SITEPROP TIEOFF_X1Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y136 IS_RESERVED 0 SITEPROP TIEOFF_X1Y136 IS_TEST 0 SITEPROP TIEOFF_X1Y136 IS_USED 0 SITEPROP TIEOFF_X1Y136 MANUAL_ROUTING SITEPROP TIEOFF_X1Y136 NAME TIEOFF_X1Y136 SITEPROP TIEOFF_X1Y136 NUM_ARCS 0 SITEPROP TIEOFF_X1Y136 NUM_BELS 2 SITEPROP TIEOFF_X1Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y136 NUM_PINS 2 SITEPROP TIEOFF_X1Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y136 PROHIBIT 0 SITEPROP TIEOFF_X1Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y136 RPM_X 8 SITEPROP TIEOFF_X1Y136 RPM_Y 272 SITEPROP TIEOFF_X1Y136 SITE_PIPS SITEPROP TIEOFF_X1Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y137 CLASS site SITEPROP TIEOFF_X1Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y137 IS_BONDED 0 SITEPROP TIEOFF_X1Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y137 IS_PAD 0 SITEPROP TIEOFF_X1Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y137 IS_RESERVED 0 SITEPROP TIEOFF_X1Y137 IS_TEST 0 SITEPROP TIEOFF_X1Y137 IS_USED 0 SITEPROP TIEOFF_X1Y137 MANUAL_ROUTING SITEPROP TIEOFF_X1Y137 NAME TIEOFF_X1Y137 SITEPROP TIEOFF_X1Y137 NUM_ARCS 0 SITEPROP TIEOFF_X1Y137 NUM_BELS 2 SITEPROP TIEOFF_X1Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y137 NUM_PINS 2 SITEPROP TIEOFF_X1Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y137 PROHIBIT 0 SITEPROP TIEOFF_X1Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y137 RPM_X 8 SITEPROP TIEOFF_X1Y137 RPM_Y 274 SITEPROP TIEOFF_X1Y137 SITE_PIPS SITEPROP TIEOFF_X1Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y138 CLASS site SITEPROP TIEOFF_X1Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y138 IS_BONDED 0 SITEPROP TIEOFF_X1Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y138 IS_PAD 0 SITEPROP TIEOFF_X1Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y138 IS_RESERVED 0 SITEPROP TIEOFF_X1Y138 IS_TEST 0 SITEPROP TIEOFF_X1Y138 IS_USED 0 SITEPROP TIEOFF_X1Y138 MANUAL_ROUTING SITEPROP TIEOFF_X1Y138 NAME TIEOFF_X1Y138 SITEPROP TIEOFF_X1Y138 NUM_ARCS 0 SITEPROP TIEOFF_X1Y138 NUM_BELS 2 SITEPROP TIEOFF_X1Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y138 NUM_PINS 2 SITEPROP TIEOFF_X1Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y138 PROHIBIT 0 SITEPROP TIEOFF_X1Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y138 RPM_X 8 SITEPROP TIEOFF_X1Y138 RPM_Y 276 SITEPROP TIEOFF_X1Y138 SITE_PIPS SITEPROP TIEOFF_X1Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y139 CLASS site SITEPROP TIEOFF_X1Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y139 IS_BONDED 0 SITEPROP TIEOFF_X1Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y139 IS_PAD 0 SITEPROP TIEOFF_X1Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y139 IS_RESERVED 0 SITEPROP TIEOFF_X1Y139 IS_TEST 0 SITEPROP TIEOFF_X1Y139 IS_USED 0 SITEPROP TIEOFF_X1Y139 MANUAL_ROUTING SITEPROP TIEOFF_X1Y139 NAME TIEOFF_X1Y139 SITEPROP TIEOFF_X1Y139 NUM_ARCS 0 SITEPROP TIEOFF_X1Y139 NUM_BELS 2 SITEPROP TIEOFF_X1Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y139 NUM_PINS 2 SITEPROP TIEOFF_X1Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y139 PROHIBIT 0 SITEPROP TIEOFF_X1Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y139 RPM_X 8 SITEPROP TIEOFF_X1Y139 RPM_Y 278 SITEPROP TIEOFF_X1Y139 SITE_PIPS SITEPROP TIEOFF_X1Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y140 CLASS site SITEPROP TIEOFF_X1Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y140 IS_BONDED 0 SITEPROP TIEOFF_X1Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y140 IS_PAD 0 SITEPROP TIEOFF_X1Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y140 IS_RESERVED 0 SITEPROP TIEOFF_X1Y140 IS_TEST 0 SITEPROP TIEOFF_X1Y140 IS_USED 0 SITEPROP TIEOFF_X1Y140 MANUAL_ROUTING SITEPROP TIEOFF_X1Y140 NAME TIEOFF_X1Y140 SITEPROP TIEOFF_X1Y140 NUM_ARCS 0 SITEPROP TIEOFF_X1Y140 NUM_BELS 2 SITEPROP TIEOFF_X1Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y140 NUM_PINS 2 SITEPROP TIEOFF_X1Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y140 PROHIBIT 0 SITEPROP TIEOFF_X1Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y140 RPM_X 8 SITEPROP TIEOFF_X1Y140 RPM_Y 280 SITEPROP TIEOFF_X1Y140 SITE_PIPS SITEPROP TIEOFF_X1Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y141 CLASS site SITEPROP TIEOFF_X1Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y141 IS_BONDED 0 SITEPROP TIEOFF_X1Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y141 IS_PAD 0 SITEPROP TIEOFF_X1Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y141 IS_RESERVED 0 SITEPROP TIEOFF_X1Y141 IS_TEST 0 SITEPROP TIEOFF_X1Y141 IS_USED 0 SITEPROP TIEOFF_X1Y141 MANUAL_ROUTING SITEPROP TIEOFF_X1Y141 NAME TIEOFF_X1Y141 SITEPROP TIEOFF_X1Y141 NUM_ARCS 0 SITEPROP TIEOFF_X1Y141 NUM_BELS 2 SITEPROP TIEOFF_X1Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y141 NUM_PINS 2 SITEPROP TIEOFF_X1Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y141 PROHIBIT 0 SITEPROP TIEOFF_X1Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y141 RPM_X 8 SITEPROP TIEOFF_X1Y141 RPM_Y 282 SITEPROP TIEOFF_X1Y141 SITE_PIPS SITEPROP TIEOFF_X1Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y142 CLASS site SITEPROP TIEOFF_X1Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y142 IS_BONDED 0 SITEPROP TIEOFF_X1Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y142 IS_PAD 0 SITEPROP TIEOFF_X1Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y142 IS_RESERVED 0 SITEPROP TIEOFF_X1Y142 IS_TEST 0 SITEPROP TIEOFF_X1Y142 IS_USED 0 SITEPROP TIEOFF_X1Y142 MANUAL_ROUTING SITEPROP TIEOFF_X1Y142 NAME TIEOFF_X1Y142 SITEPROP TIEOFF_X1Y142 NUM_ARCS 0 SITEPROP TIEOFF_X1Y142 NUM_BELS 2 SITEPROP TIEOFF_X1Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y142 NUM_PINS 2 SITEPROP TIEOFF_X1Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y142 PROHIBIT 0 SITEPROP TIEOFF_X1Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y142 RPM_X 8 SITEPROP TIEOFF_X1Y142 RPM_Y 284 SITEPROP TIEOFF_X1Y142 SITE_PIPS SITEPROP TIEOFF_X1Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y143 CLASS site SITEPROP TIEOFF_X1Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y143 IS_BONDED 0 SITEPROP TIEOFF_X1Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y143 IS_PAD 0 SITEPROP TIEOFF_X1Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y143 IS_RESERVED 0 SITEPROP TIEOFF_X1Y143 IS_TEST 0 SITEPROP TIEOFF_X1Y143 IS_USED 0 SITEPROP TIEOFF_X1Y143 MANUAL_ROUTING SITEPROP TIEOFF_X1Y143 NAME TIEOFF_X1Y143 SITEPROP TIEOFF_X1Y143 NUM_ARCS 0 SITEPROP TIEOFF_X1Y143 NUM_BELS 2 SITEPROP TIEOFF_X1Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y143 NUM_PINS 2 SITEPROP TIEOFF_X1Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y143 PROHIBIT 0 SITEPROP TIEOFF_X1Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y143 RPM_X 8 SITEPROP TIEOFF_X1Y143 RPM_Y 286 SITEPROP TIEOFF_X1Y143 SITE_PIPS SITEPROP TIEOFF_X1Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y144 CLASS site SITEPROP TIEOFF_X1Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y144 IS_BONDED 0 SITEPROP TIEOFF_X1Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y144 IS_PAD 0 SITEPROP TIEOFF_X1Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y144 IS_RESERVED 0 SITEPROP TIEOFF_X1Y144 IS_TEST 0 SITEPROP TIEOFF_X1Y144 IS_USED 0 SITEPROP TIEOFF_X1Y144 MANUAL_ROUTING SITEPROP TIEOFF_X1Y144 NAME TIEOFF_X1Y144 SITEPROP TIEOFF_X1Y144 NUM_ARCS 0 SITEPROP TIEOFF_X1Y144 NUM_BELS 2 SITEPROP TIEOFF_X1Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y144 NUM_PINS 2 SITEPROP TIEOFF_X1Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y144 PROHIBIT 0 SITEPROP TIEOFF_X1Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y144 RPM_X 8 SITEPROP TIEOFF_X1Y144 RPM_Y 288 SITEPROP TIEOFF_X1Y144 SITE_PIPS SITEPROP TIEOFF_X1Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y145 CLASS site SITEPROP TIEOFF_X1Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y145 IS_BONDED 0 SITEPROP TIEOFF_X1Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y145 IS_PAD 0 SITEPROP TIEOFF_X1Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y145 IS_RESERVED 0 SITEPROP TIEOFF_X1Y145 IS_TEST 0 SITEPROP TIEOFF_X1Y145 IS_USED 0 SITEPROP TIEOFF_X1Y145 MANUAL_ROUTING SITEPROP TIEOFF_X1Y145 NAME TIEOFF_X1Y145 SITEPROP TIEOFF_X1Y145 NUM_ARCS 0 SITEPROP TIEOFF_X1Y145 NUM_BELS 2 SITEPROP TIEOFF_X1Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y145 NUM_PINS 2 SITEPROP TIEOFF_X1Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y145 PROHIBIT 0 SITEPROP TIEOFF_X1Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y145 RPM_X 8 SITEPROP TIEOFF_X1Y145 RPM_Y 290 SITEPROP TIEOFF_X1Y145 SITE_PIPS SITEPROP TIEOFF_X1Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y146 CLASS site SITEPROP TIEOFF_X1Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y146 IS_BONDED 0 SITEPROP TIEOFF_X1Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y146 IS_PAD 0 SITEPROP TIEOFF_X1Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y146 IS_RESERVED 0 SITEPROP TIEOFF_X1Y146 IS_TEST 0 SITEPROP TIEOFF_X1Y146 IS_USED 0 SITEPROP TIEOFF_X1Y146 MANUAL_ROUTING SITEPROP TIEOFF_X1Y146 NAME TIEOFF_X1Y146 SITEPROP TIEOFF_X1Y146 NUM_ARCS 0 SITEPROP TIEOFF_X1Y146 NUM_BELS 2 SITEPROP TIEOFF_X1Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y146 NUM_PINS 2 SITEPROP TIEOFF_X1Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y146 PROHIBIT 0 SITEPROP TIEOFF_X1Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y146 RPM_X 8 SITEPROP TIEOFF_X1Y146 RPM_Y 292 SITEPROP TIEOFF_X1Y146 SITE_PIPS SITEPROP TIEOFF_X1Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y147 CLASS site SITEPROP TIEOFF_X1Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y147 IS_BONDED 0 SITEPROP TIEOFF_X1Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y147 IS_PAD 0 SITEPROP TIEOFF_X1Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y147 IS_RESERVED 0 SITEPROP TIEOFF_X1Y147 IS_TEST 0 SITEPROP TIEOFF_X1Y147 IS_USED 0 SITEPROP TIEOFF_X1Y147 MANUAL_ROUTING SITEPROP TIEOFF_X1Y147 NAME TIEOFF_X1Y147 SITEPROP TIEOFF_X1Y147 NUM_ARCS 0 SITEPROP TIEOFF_X1Y147 NUM_BELS 2 SITEPROP TIEOFF_X1Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y147 NUM_PINS 2 SITEPROP TIEOFF_X1Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y147 PROHIBIT 0 SITEPROP TIEOFF_X1Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y147 RPM_X 8 SITEPROP TIEOFF_X1Y147 RPM_Y 294 SITEPROP TIEOFF_X1Y147 SITE_PIPS SITEPROP TIEOFF_X1Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y148 CLASS site SITEPROP TIEOFF_X1Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y148 IS_BONDED 0 SITEPROP TIEOFF_X1Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y148 IS_PAD 0 SITEPROP TIEOFF_X1Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y148 IS_RESERVED 0 SITEPROP TIEOFF_X1Y148 IS_TEST 0 SITEPROP TIEOFF_X1Y148 IS_USED 0 SITEPROP TIEOFF_X1Y148 MANUAL_ROUTING SITEPROP TIEOFF_X1Y148 NAME TIEOFF_X1Y148 SITEPROP TIEOFF_X1Y148 NUM_ARCS 0 SITEPROP TIEOFF_X1Y148 NUM_BELS 2 SITEPROP TIEOFF_X1Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y148 NUM_PINS 2 SITEPROP TIEOFF_X1Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y148 PROHIBIT 0 SITEPROP TIEOFF_X1Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y148 RPM_X 8 SITEPROP TIEOFF_X1Y148 RPM_Y 296 SITEPROP TIEOFF_X1Y148 SITE_PIPS SITEPROP TIEOFF_X1Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X1Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X1Y149 CLASS site SITEPROP TIEOFF_X1Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X1Y149 IS_BONDED 0 SITEPROP TIEOFF_X1Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y149 IS_PAD 0 SITEPROP TIEOFF_X1Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X1Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X1Y149 IS_RESERVED 0 SITEPROP TIEOFF_X1Y149 IS_TEST 0 SITEPROP TIEOFF_X1Y149 IS_USED 0 SITEPROP TIEOFF_X1Y149 MANUAL_ROUTING SITEPROP TIEOFF_X1Y149 NAME TIEOFF_X1Y149 SITEPROP TIEOFF_X1Y149 NUM_ARCS 0 SITEPROP TIEOFF_X1Y149 NUM_BELS 2 SITEPROP TIEOFF_X1Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X1Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X1Y149 NUM_PINS 2 SITEPROP TIEOFF_X1Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X1Y149 PROHIBIT 0 SITEPROP TIEOFF_X1Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X1Y149 RPM_X 8 SITEPROP TIEOFF_X1Y149 RPM_Y 298 SITEPROP TIEOFF_X1Y149 SITE_PIPS SITEPROP TIEOFF_X1Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y0 CLASS site SITEPROP TIEOFF_X20Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y0 IS_BONDED 0 SITEPROP TIEOFF_X20Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y0 IS_PAD 0 SITEPROP TIEOFF_X20Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y0 IS_RESERVED 0 SITEPROP TIEOFF_X20Y0 IS_TEST 0 SITEPROP TIEOFF_X20Y0 IS_USED 0 SITEPROP TIEOFF_X20Y0 MANUAL_ROUTING SITEPROP TIEOFF_X20Y0 NAME TIEOFF_X20Y0 SITEPROP TIEOFF_X20Y0 NUM_ARCS 0 SITEPROP TIEOFF_X20Y0 NUM_BELS 2 SITEPROP TIEOFF_X20Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y0 NUM_PINS 2 SITEPROP TIEOFF_X20Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y0 PROHIBIT 0 SITEPROP TIEOFF_X20Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y0 RPM_X 80 SITEPROP TIEOFF_X20Y0 RPM_Y 0 SITEPROP TIEOFF_X20Y0 SITE_PIPS SITEPROP TIEOFF_X20Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y1 CLASS site SITEPROP TIEOFF_X20Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y1 IS_BONDED 0 SITEPROP TIEOFF_X20Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y1 IS_PAD 0 SITEPROP TIEOFF_X20Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y1 IS_RESERVED 0 SITEPROP TIEOFF_X20Y1 IS_TEST 0 SITEPROP TIEOFF_X20Y1 IS_USED 0 SITEPROP TIEOFF_X20Y1 MANUAL_ROUTING SITEPROP TIEOFF_X20Y1 NAME TIEOFF_X20Y1 SITEPROP TIEOFF_X20Y1 NUM_ARCS 0 SITEPROP TIEOFF_X20Y1 NUM_BELS 2 SITEPROP TIEOFF_X20Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y1 NUM_PINS 2 SITEPROP TIEOFF_X20Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y1 PROHIBIT 0 SITEPROP TIEOFF_X20Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y1 RPM_X 80 SITEPROP TIEOFF_X20Y1 RPM_Y 2 SITEPROP TIEOFF_X20Y1 SITE_PIPS SITEPROP TIEOFF_X20Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y2 CLASS site SITEPROP TIEOFF_X20Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y2 IS_BONDED 0 SITEPROP TIEOFF_X20Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y2 IS_PAD 0 SITEPROP TIEOFF_X20Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y2 IS_RESERVED 0 SITEPROP TIEOFF_X20Y2 IS_TEST 0 SITEPROP TIEOFF_X20Y2 IS_USED 0 SITEPROP TIEOFF_X20Y2 MANUAL_ROUTING SITEPROP TIEOFF_X20Y2 NAME TIEOFF_X20Y2 SITEPROP TIEOFF_X20Y2 NUM_ARCS 0 SITEPROP TIEOFF_X20Y2 NUM_BELS 2 SITEPROP TIEOFF_X20Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y2 NUM_PINS 2 SITEPROP TIEOFF_X20Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y2 PROHIBIT 0 SITEPROP TIEOFF_X20Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y2 RPM_X 80 SITEPROP TIEOFF_X20Y2 RPM_Y 4 SITEPROP TIEOFF_X20Y2 SITE_PIPS SITEPROP TIEOFF_X20Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y3 CLASS site SITEPROP TIEOFF_X20Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y3 IS_BONDED 0 SITEPROP TIEOFF_X20Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y3 IS_PAD 0 SITEPROP TIEOFF_X20Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y3 IS_RESERVED 0 SITEPROP TIEOFF_X20Y3 IS_TEST 0 SITEPROP TIEOFF_X20Y3 IS_USED 0 SITEPROP TIEOFF_X20Y3 MANUAL_ROUTING SITEPROP TIEOFF_X20Y3 NAME TIEOFF_X20Y3 SITEPROP TIEOFF_X20Y3 NUM_ARCS 0 SITEPROP TIEOFF_X20Y3 NUM_BELS 2 SITEPROP TIEOFF_X20Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y3 NUM_PINS 2 SITEPROP TIEOFF_X20Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y3 PROHIBIT 0 SITEPROP TIEOFF_X20Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y3 RPM_X 80 SITEPROP TIEOFF_X20Y3 RPM_Y 6 SITEPROP TIEOFF_X20Y3 SITE_PIPS SITEPROP TIEOFF_X20Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y4 CLASS site SITEPROP TIEOFF_X20Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y4 IS_BONDED 0 SITEPROP TIEOFF_X20Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y4 IS_PAD 0 SITEPROP TIEOFF_X20Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y4 IS_RESERVED 0 SITEPROP TIEOFF_X20Y4 IS_TEST 0 SITEPROP TIEOFF_X20Y4 IS_USED 0 SITEPROP TIEOFF_X20Y4 MANUAL_ROUTING SITEPROP TIEOFF_X20Y4 NAME TIEOFF_X20Y4 SITEPROP TIEOFF_X20Y4 NUM_ARCS 0 SITEPROP TIEOFF_X20Y4 NUM_BELS 2 SITEPROP TIEOFF_X20Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y4 NUM_PINS 2 SITEPROP TIEOFF_X20Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y4 PROHIBIT 0 SITEPROP TIEOFF_X20Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y4 RPM_X 80 SITEPROP TIEOFF_X20Y4 RPM_Y 8 SITEPROP TIEOFF_X20Y4 SITE_PIPS SITEPROP TIEOFF_X20Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y5 CLASS site SITEPROP TIEOFF_X20Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y5 IS_BONDED 0 SITEPROP TIEOFF_X20Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y5 IS_PAD 0 SITEPROP TIEOFF_X20Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y5 IS_RESERVED 0 SITEPROP TIEOFF_X20Y5 IS_TEST 0 SITEPROP TIEOFF_X20Y5 IS_USED 0 SITEPROP TIEOFF_X20Y5 MANUAL_ROUTING SITEPROP TIEOFF_X20Y5 NAME TIEOFF_X20Y5 SITEPROP TIEOFF_X20Y5 NUM_ARCS 0 SITEPROP TIEOFF_X20Y5 NUM_BELS 2 SITEPROP TIEOFF_X20Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y5 NUM_PINS 2 SITEPROP TIEOFF_X20Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y5 PROHIBIT 0 SITEPROP TIEOFF_X20Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y5 RPM_X 80 SITEPROP TIEOFF_X20Y5 RPM_Y 10 SITEPROP TIEOFF_X20Y5 SITE_PIPS SITEPROP TIEOFF_X20Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y6 CLASS site SITEPROP TIEOFF_X20Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y6 IS_BONDED 0 SITEPROP TIEOFF_X20Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y6 IS_PAD 0 SITEPROP TIEOFF_X20Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y6 IS_RESERVED 0 SITEPROP TIEOFF_X20Y6 IS_TEST 0 SITEPROP TIEOFF_X20Y6 IS_USED 0 SITEPROP TIEOFF_X20Y6 MANUAL_ROUTING SITEPROP TIEOFF_X20Y6 NAME TIEOFF_X20Y6 SITEPROP TIEOFF_X20Y6 NUM_ARCS 0 SITEPROP TIEOFF_X20Y6 NUM_BELS 2 SITEPROP TIEOFF_X20Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y6 NUM_PINS 2 SITEPROP TIEOFF_X20Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y6 PROHIBIT 0 SITEPROP TIEOFF_X20Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y6 RPM_X 80 SITEPROP TIEOFF_X20Y6 RPM_Y 12 SITEPROP TIEOFF_X20Y6 SITE_PIPS SITEPROP TIEOFF_X20Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y7 CLASS site SITEPROP TIEOFF_X20Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y7 IS_BONDED 0 SITEPROP TIEOFF_X20Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y7 IS_PAD 0 SITEPROP TIEOFF_X20Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y7 IS_RESERVED 0 SITEPROP TIEOFF_X20Y7 IS_TEST 0 SITEPROP TIEOFF_X20Y7 IS_USED 0 SITEPROP TIEOFF_X20Y7 MANUAL_ROUTING SITEPROP TIEOFF_X20Y7 NAME TIEOFF_X20Y7 SITEPROP TIEOFF_X20Y7 NUM_ARCS 0 SITEPROP TIEOFF_X20Y7 NUM_BELS 2 SITEPROP TIEOFF_X20Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y7 NUM_PINS 2 SITEPROP TIEOFF_X20Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y7 PROHIBIT 0 SITEPROP TIEOFF_X20Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y7 RPM_X 80 SITEPROP TIEOFF_X20Y7 RPM_Y 14 SITEPROP TIEOFF_X20Y7 SITE_PIPS SITEPROP TIEOFF_X20Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y8 CLASS site SITEPROP TIEOFF_X20Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y8 IS_BONDED 0 SITEPROP TIEOFF_X20Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y8 IS_PAD 0 SITEPROP TIEOFF_X20Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y8 IS_RESERVED 0 SITEPROP TIEOFF_X20Y8 IS_TEST 0 SITEPROP TIEOFF_X20Y8 IS_USED 0 SITEPROP TIEOFF_X20Y8 MANUAL_ROUTING SITEPROP TIEOFF_X20Y8 NAME TIEOFF_X20Y8 SITEPROP TIEOFF_X20Y8 NUM_ARCS 0 SITEPROP TIEOFF_X20Y8 NUM_BELS 2 SITEPROP TIEOFF_X20Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y8 NUM_PINS 2 SITEPROP TIEOFF_X20Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y8 PROHIBIT 0 SITEPROP TIEOFF_X20Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y8 RPM_X 80 SITEPROP TIEOFF_X20Y8 RPM_Y 16 SITEPROP TIEOFF_X20Y8 SITE_PIPS SITEPROP TIEOFF_X20Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y9 CLASS site SITEPROP TIEOFF_X20Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y9 IS_BONDED 0 SITEPROP TIEOFF_X20Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y9 IS_PAD 0 SITEPROP TIEOFF_X20Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y9 IS_RESERVED 0 SITEPROP TIEOFF_X20Y9 IS_TEST 0 SITEPROP TIEOFF_X20Y9 IS_USED 0 SITEPROP TIEOFF_X20Y9 MANUAL_ROUTING SITEPROP TIEOFF_X20Y9 NAME TIEOFF_X20Y9 SITEPROP TIEOFF_X20Y9 NUM_ARCS 0 SITEPROP TIEOFF_X20Y9 NUM_BELS 2 SITEPROP TIEOFF_X20Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y9 NUM_PINS 2 SITEPROP TIEOFF_X20Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y9 PROHIBIT 0 SITEPROP TIEOFF_X20Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y9 RPM_X 80 SITEPROP TIEOFF_X20Y9 RPM_Y 18 SITEPROP TIEOFF_X20Y9 SITE_PIPS SITEPROP TIEOFF_X20Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y10 CLASS site SITEPROP TIEOFF_X20Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y10 IS_BONDED 0 SITEPROP TIEOFF_X20Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y10 IS_PAD 0 SITEPROP TIEOFF_X20Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y10 IS_RESERVED 0 SITEPROP TIEOFF_X20Y10 IS_TEST 0 SITEPROP TIEOFF_X20Y10 IS_USED 0 SITEPROP TIEOFF_X20Y10 MANUAL_ROUTING SITEPROP TIEOFF_X20Y10 NAME TIEOFF_X20Y10 SITEPROP TIEOFF_X20Y10 NUM_ARCS 0 SITEPROP TIEOFF_X20Y10 NUM_BELS 2 SITEPROP TIEOFF_X20Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y10 NUM_PINS 2 SITEPROP TIEOFF_X20Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y10 PROHIBIT 0 SITEPROP TIEOFF_X20Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y10 RPM_X 80 SITEPROP TIEOFF_X20Y10 RPM_Y 20 SITEPROP TIEOFF_X20Y10 SITE_PIPS SITEPROP TIEOFF_X20Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y11 CLASS site SITEPROP TIEOFF_X20Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y11 IS_BONDED 0 SITEPROP TIEOFF_X20Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y11 IS_PAD 0 SITEPROP TIEOFF_X20Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y11 IS_RESERVED 0 SITEPROP TIEOFF_X20Y11 IS_TEST 0 SITEPROP TIEOFF_X20Y11 IS_USED 0 SITEPROP TIEOFF_X20Y11 MANUAL_ROUTING SITEPROP TIEOFF_X20Y11 NAME TIEOFF_X20Y11 SITEPROP TIEOFF_X20Y11 NUM_ARCS 0 SITEPROP TIEOFF_X20Y11 NUM_BELS 2 SITEPROP TIEOFF_X20Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y11 NUM_PINS 2 SITEPROP TIEOFF_X20Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y11 PROHIBIT 0 SITEPROP TIEOFF_X20Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y11 RPM_X 80 SITEPROP TIEOFF_X20Y11 RPM_Y 22 SITEPROP TIEOFF_X20Y11 SITE_PIPS SITEPROP TIEOFF_X20Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y12 CLASS site SITEPROP TIEOFF_X20Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y12 IS_BONDED 0 SITEPROP TIEOFF_X20Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y12 IS_PAD 0 SITEPROP TIEOFF_X20Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y12 IS_RESERVED 0 SITEPROP TIEOFF_X20Y12 IS_TEST 0 SITEPROP TIEOFF_X20Y12 IS_USED 0 SITEPROP TIEOFF_X20Y12 MANUAL_ROUTING SITEPROP TIEOFF_X20Y12 NAME TIEOFF_X20Y12 SITEPROP TIEOFF_X20Y12 NUM_ARCS 0 SITEPROP TIEOFF_X20Y12 NUM_BELS 2 SITEPROP TIEOFF_X20Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y12 NUM_PINS 2 SITEPROP TIEOFF_X20Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y12 PROHIBIT 0 SITEPROP TIEOFF_X20Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y12 RPM_X 80 SITEPROP TIEOFF_X20Y12 RPM_Y 24 SITEPROP TIEOFF_X20Y12 SITE_PIPS SITEPROP TIEOFF_X20Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y13 CLASS site SITEPROP TIEOFF_X20Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y13 IS_BONDED 0 SITEPROP TIEOFF_X20Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y13 IS_PAD 0 SITEPROP TIEOFF_X20Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y13 IS_RESERVED 0 SITEPROP TIEOFF_X20Y13 IS_TEST 0 SITEPROP TIEOFF_X20Y13 IS_USED 0 SITEPROP TIEOFF_X20Y13 MANUAL_ROUTING SITEPROP TIEOFF_X20Y13 NAME TIEOFF_X20Y13 SITEPROP TIEOFF_X20Y13 NUM_ARCS 0 SITEPROP TIEOFF_X20Y13 NUM_BELS 2 SITEPROP TIEOFF_X20Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y13 NUM_PINS 2 SITEPROP TIEOFF_X20Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y13 PROHIBIT 0 SITEPROP TIEOFF_X20Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y13 RPM_X 80 SITEPROP TIEOFF_X20Y13 RPM_Y 26 SITEPROP TIEOFF_X20Y13 SITE_PIPS SITEPROP TIEOFF_X20Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y14 CLASS site SITEPROP TIEOFF_X20Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y14 IS_BONDED 0 SITEPROP TIEOFF_X20Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y14 IS_PAD 0 SITEPROP TIEOFF_X20Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y14 IS_RESERVED 0 SITEPROP TIEOFF_X20Y14 IS_TEST 0 SITEPROP TIEOFF_X20Y14 IS_USED 0 SITEPROP TIEOFF_X20Y14 MANUAL_ROUTING SITEPROP TIEOFF_X20Y14 NAME TIEOFF_X20Y14 SITEPROP TIEOFF_X20Y14 NUM_ARCS 0 SITEPROP TIEOFF_X20Y14 NUM_BELS 2 SITEPROP TIEOFF_X20Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y14 NUM_PINS 2 SITEPROP TIEOFF_X20Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y14 PROHIBIT 0 SITEPROP TIEOFF_X20Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y14 RPM_X 80 SITEPROP TIEOFF_X20Y14 RPM_Y 28 SITEPROP TIEOFF_X20Y14 SITE_PIPS SITEPROP TIEOFF_X20Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y15 CLASS site SITEPROP TIEOFF_X20Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y15 IS_BONDED 0 SITEPROP TIEOFF_X20Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y15 IS_PAD 0 SITEPROP TIEOFF_X20Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y15 IS_RESERVED 0 SITEPROP TIEOFF_X20Y15 IS_TEST 0 SITEPROP TIEOFF_X20Y15 IS_USED 0 SITEPROP TIEOFF_X20Y15 MANUAL_ROUTING SITEPROP TIEOFF_X20Y15 NAME TIEOFF_X20Y15 SITEPROP TIEOFF_X20Y15 NUM_ARCS 0 SITEPROP TIEOFF_X20Y15 NUM_BELS 2 SITEPROP TIEOFF_X20Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y15 NUM_PINS 2 SITEPROP TIEOFF_X20Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y15 PROHIBIT 0 SITEPROP TIEOFF_X20Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y15 RPM_X 80 SITEPROP TIEOFF_X20Y15 RPM_Y 30 SITEPROP TIEOFF_X20Y15 SITE_PIPS SITEPROP TIEOFF_X20Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y16 CLASS site SITEPROP TIEOFF_X20Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y16 IS_BONDED 0 SITEPROP TIEOFF_X20Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y16 IS_PAD 0 SITEPROP TIEOFF_X20Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y16 IS_RESERVED 0 SITEPROP TIEOFF_X20Y16 IS_TEST 0 SITEPROP TIEOFF_X20Y16 IS_USED 0 SITEPROP TIEOFF_X20Y16 MANUAL_ROUTING SITEPROP TIEOFF_X20Y16 NAME TIEOFF_X20Y16 SITEPROP TIEOFF_X20Y16 NUM_ARCS 0 SITEPROP TIEOFF_X20Y16 NUM_BELS 2 SITEPROP TIEOFF_X20Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y16 NUM_PINS 2 SITEPROP TIEOFF_X20Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y16 PROHIBIT 0 SITEPROP TIEOFF_X20Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y16 RPM_X 80 SITEPROP TIEOFF_X20Y16 RPM_Y 32 SITEPROP TIEOFF_X20Y16 SITE_PIPS SITEPROP TIEOFF_X20Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y17 CLASS site SITEPROP TIEOFF_X20Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y17 IS_BONDED 0 SITEPROP TIEOFF_X20Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y17 IS_PAD 0 SITEPROP TIEOFF_X20Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y17 IS_RESERVED 0 SITEPROP TIEOFF_X20Y17 IS_TEST 0 SITEPROP TIEOFF_X20Y17 IS_USED 0 SITEPROP TIEOFF_X20Y17 MANUAL_ROUTING SITEPROP TIEOFF_X20Y17 NAME TIEOFF_X20Y17 SITEPROP TIEOFF_X20Y17 NUM_ARCS 0 SITEPROP TIEOFF_X20Y17 NUM_BELS 2 SITEPROP TIEOFF_X20Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y17 NUM_PINS 2 SITEPROP TIEOFF_X20Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y17 PROHIBIT 0 SITEPROP TIEOFF_X20Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y17 RPM_X 80 SITEPROP TIEOFF_X20Y17 RPM_Y 34 SITEPROP TIEOFF_X20Y17 SITE_PIPS SITEPROP TIEOFF_X20Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y18 CLASS site SITEPROP TIEOFF_X20Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y18 IS_BONDED 0 SITEPROP TIEOFF_X20Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y18 IS_PAD 0 SITEPROP TIEOFF_X20Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y18 IS_RESERVED 0 SITEPROP TIEOFF_X20Y18 IS_TEST 0 SITEPROP TIEOFF_X20Y18 IS_USED 0 SITEPROP TIEOFF_X20Y18 MANUAL_ROUTING SITEPROP TIEOFF_X20Y18 NAME TIEOFF_X20Y18 SITEPROP TIEOFF_X20Y18 NUM_ARCS 0 SITEPROP TIEOFF_X20Y18 NUM_BELS 2 SITEPROP TIEOFF_X20Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y18 NUM_PINS 2 SITEPROP TIEOFF_X20Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y18 PROHIBIT 0 SITEPROP TIEOFF_X20Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y18 RPM_X 80 SITEPROP TIEOFF_X20Y18 RPM_Y 36 SITEPROP TIEOFF_X20Y18 SITE_PIPS SITEPROP TIEOFF_X20Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y19 CLASS site SITEPROP TIEOFF_X20Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y19 IS_BONDED 0 SITEPROP TIEOFF_X20Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y19 IS_PAD 0 SITEPROP TIEOFF_X20Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y19 IS_RESERVED 0 SITEPROP TIEOFF_X20Y19 IS_TEST 0 SITEPROP TIEOFF_X20Y19 IS_USED 0 SITEPROP TIEOFF_X20Y19 MANUAL_ROUTING SITEPROP TIEOFF_X20Y19 NAME TIEOFF_X20Y19 SITEPROP TIEOFF_X20Y19 NUM_ARCS 0 SITEPROP TIEOFF_X20Y19 NUM_BELS 2 SITEPROP TIEOFF_X20Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y19 NUM_PINS 2 SITEPROP TIEOFF_X20Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y19 PROHIBIT 0 SITEPROP TIEOFF_X20Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y19 RPM_X 80 SITEPROP TIEOFF_X20Y19 RPM_Y 38 SITEPROP TIEOFF_X20Y19 SITE_PIPS SITEPROP TIEOFF_X20Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y20 CLASS site SITEPROP TIEOFF_X20Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y20 IS_BONDED 0 SITEPROP TIEOFF_X20Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y20 IS_PAD 0 SITEPROP TIEOFF_X20Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y20 IS_RESERVED 0 SITEPROP TIEOFF_X20Y20 IS_TEST 0 SITEPROP TIEOFF_X20Y20 IS_USED 0 SITEPROP TIEOFF_X20Y20 MANUAL_ROUTING SITEPROP TIEOFF_X20Y20 NAME TIEOFF_X20Y20 SITEPROP TIEOFF_X20Y20 NUM_ARCS 0 SITEPROP TIEOFF_X20Y20 NUM_BELS 2 SITEPROP TIEOFF_X20Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y20 NUM_PINS 2 SITEPROP TIEOFF_X20Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y20 PROHIBIT 0 SITEPROP TIEOFF_X20Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y20 RPM_X 80 SITEPROP TIEOFF_X20Y20 RPM_Y 40 SITEPROP TIEOFF_X20Y20 SITE_PIPS SITEPROP TIEOFF_X20Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y21 CLASS site SITEPROP TIEOFF_X20Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y21 IS_BONDED 0 SITEPROP TIEOFF_X20Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y21 IS_PAD 0 SITEPROP TIEOFF_X20Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y21 IS_RESERVED 0 SITEPROP TIEOFF_X20Y21 IS_TEST 0 SITEPROP TIEOFF_X20Y21 IS_USED 0 SITEPROP TIEOFF_X20Y21 MANUAL_ROUTING SITEPROP TIEOFF_X20Y21 NAME TIEOFF_X20Y21 SITEPROP TIEOFF_X20Y21 NUM_ARCS 0 SITEPROP TIEOFF_X20Y21 NUM_BELS 2 SITEPROP TIEOFF_X20Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y21 NUM_PINS 2 SITEPROP TIEOFF_X20Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y21 PROHIBIT 0 SITEPROP TIEOFF_X20Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y21 RPM_X 80 SITEPROP TIEOFF_X20Y21 RPM_Y 42 SITEPROP TIEOFF_X20Y21 SITE_PIPS SITEPROP TIEOFF_X20Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y22 CLASS site SITEPROP TIEOFF_X20Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y22 IS_BONDED 0 SITEPROP TIEOFF_X20Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y22 IS_PAD 0 SITEPROP TIEOFF_X20Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y22 IS_RESERVED 0 SITEPROP TIEOFF_X20Y22 IS_TEST 0 SITEPROP TIEOFF_X20Y22 IS_USED 0 SITEPROP TIEOFF_X20Y22 MANUAL_ROUTING SITEPROP TIEOFF_X20Y22 NAME TIEOFF_X20Y22 SITEPROP TIEOFF_X20Y22 NUM_ARCS 0 SITEPROP TIEOFF_X20Y22 NUM_BELS 2 SITEPROP TIEOFF_X20Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y22 NUM_PINS 2 SITEPROP TIEOFF_X20Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y22 PROHIBIT 0 SITEPROP TIEOFF_X20Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y22 RPM_X 80 SITEPROP TIEOFF_X20Y22 RPM_Y 44 SITEPROP TIEOFF_X20Y22 SITE_PIPS SITEPROP TIEOFF_X20Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y23 CLASS site SITEPROP TIEOFF_X20Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y23 IS_BONDED 0 SITEPROP TIEOFF_X20Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y23 IS_PAD 0 SITEPROP TIEOFF_X20Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y23 IS_RESERVED 0 SITEPROP TIEOFF_X20Y23 IS_TEST 0 SITEPROP TIEOFF_X20Y23 IS_USED 0 SITEPROP TIEOFF_X20Y23 MANUAL_ROUTING SITEPROP TIEOFF_X20Y23 NAME TIEOFF_X20Y23 SITEPROP TIEOFF_X20Y23 NUM_ARCS 0 SITEPROP TIEOFF_X20Y23 NUM_BELS 2 SITEPROP TIEOFF_X20Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y23 NUM_PINS 2 SITEPROP TIEOFF_X20Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y23 PROHIBIT 0 SITEPROP TIEOFF_X20Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y23 RPM_X 80 SITEPROP TIEOFF_X20Y23 RPM_Y 46 SITEPROP TIEOFF_X20Y23 SITE_PIPS SITEPROP TIEOFF_X20Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y24 CLASS site SITEPROP TIEOFF_X20Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y24 IS_BONDED 0 SITEPROP TIEOFF_X20Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y24 IS_PAD 0 SITEPROP TIEOFF_X20Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y24 IS_RESERVED 0 SITEPROP TIEOFF_X20Y24 IS_TEST 0 SITEPROP TIEOFF_X20Y24 IS_USED 0 SITEPROP TIEOFF_X20Y24 MANUAL_ROUTING SITEPROP TIEOFF_X20Y24 NAME TIEOFF_X20Y24 SITEPROP TIEOFF_X20Y24 NUM_ARCS 0 SITEPROP TIEOFF_X20Y24 NUM_BELS 2 SITEPROP TIEOFF_X20Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y24 NUM_PINS 2 SITEPROP TIEOFF_X20Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y24 PROHIBIT 0 SITEPROP TIEOFF_X20Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y24 RPM_X 80 SITEPROP TIEOFF_X20Y24 RPM_Y 48 SITEPROP TIEOFF_X20Y24 SITE_PIPS SITEPROP TIEOFF_X20Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y25 CLASS site SITEPROP TIEOFF_X20Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y25 IS_BONDED 0 SITEPROP TIEOFF_X20Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y25 IS_PAD 0 SITEPROP TIEOFF_X20Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y25 IS_RESERVED 0 SITEPROP TIEOFF_X20Y25 IS_TEST 0 SITEPROP TIEOFF_X20Y25 IS_USED 0 SITEPROP TIEOFF_X20Y25 MANUAL_ROUTING SITEPROP TIEOFF_X20Y25 NAME TIEOFF_X20Y25 SITEPROP TIEOFF_X20Y25 NUM_ARCS 0 SITEPROP TIEOFF_X20Y25 NUM_BELS 2 SITEPROP TIEOFF_X20Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y25 NUM_PINS 2 SITEPROP TIEOFF_X20Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y25 PROHIBIT 0 SITEPROP TIEOFF_X20Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y25 RPM_X 80 SITEPROP TIEOFF_X20Y25 RPM_Y 50 SITEPROP TIEOFF_X20Y25 SITE_PIPS SITEPROP TIEOFF_X20Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y26 CLASS site SITEPROP TIEOFF_X20Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y26 IS_BONDED 0 SITEPROP TIEOFF_X20Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y26 IS_PAD 0 SITEPROP TIEOFF_X20Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y26 IS_RESERVED 0 SITEPROP TIEOFF_X20Y26 IS_TEST 0 SITEPROP TIEOFF_X20Y26 IS_USED 0 SITEPROP TIEOFF_X20Y26 MANUAL_ROUTING SITEPROP TIEOFF_X20Y26 NAME TIEOFF_X20Y26 SITEPROP TIEOFF_X20Y26 NUM_ARCS 0 SITEPROP TIEOFF_X20Y26 NUM_BELS 2 SITEPROP TIEOFF_X20Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y26 NUM_PINS 2 SITEPROP TIEOFF_X20Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y26 PROHIBIT 0 SITEPROP TIEOFF_X20Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y26 RPM_X 80 SITEPROP TIEOFF_X20Y26 RPM_Y 52 SITEPROP TIEOFF_X20Y26 SITE_PIPS SITEPROP TIEOFF_X20Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y27 CLASS site SITEPROP TIEOFF_X20Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y27 IS_BONDED 0 SITEPROP TIEOFF_X20Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y27 IS_PAD 0 SITEPROP TIEOFF_X20Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y27 IS_RESERVED 0 SITEPROP TIEOFF_X20Y27 IS_TEST 0 SITEPROP TIEOFF_X20Y27 IS_USED 0 SITEPROP TIEOFF_X20Y27 MANUAL_ROUTING SITEPROP TIEOFF_X20Y27 NAME TIEOFF_X20Y27 SITEPROP TIEOFF_X20Y27 NUM_ARCS 0 SITEPROP TIEOFF_X20Y27 NUM_BELS 2 SITEPROP TIEOFF_X20Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y27 NUM_PINS 2 SITEPROP TIEOFF_X20Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y27 PROHIBIT 0 SITEPROP TIEOFF_X20Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y27 RPM_X 80 SITEPROP TIEOFF_X20Y27 RPM_Y 54 SITEPROP TIEOFF_X20Y27 SITE_PIPS SITEPROP TIEOFF_X20Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y28 CLASS site SITEPROP TIEOFF_X20Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y28 IS_BONDED 0 SITEPROP TIEOFF_X20Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y28 IS_PAD 0 SITEPROP TIEOFF_X20Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y28 IS_RESERVED 0 SITEPROP TIEOFF_X20Y28 IS_TEST 0 SITEPROP TIEOFF_X20Y28 IS_USED 0 SITEPROP TIEOFF_X20Y28 MANUAL_ROUTING SITEPROP TIEOFF_X20Y28 NAME TIEOFF_X20Y28 SITEPROP TIEOFF_X20Y28 NUM_ARCS 0 SITEPROP TIEOFF_X20Y28 NUM_BELS 2 SITEPROP TIEOFF_X20Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y28 NUM_PINS 2 SITEPROP TIEOFF_X20Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y28 PROHIBIT 0 SITEPROP TIEOFF_X20Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y28 RPM_X 80 SITEPROP TIEOFF_X20Y28 RPM_Y 56 SITEPROP TIEOFF_X20Y28 SITE_PIPS SITEPROP TIEOFF_X20Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y29 CLASS site SITEPROP TIEOFF_X20Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y29 IS_BONDED 0 SITEPROP TIEOFF_X20Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y29 IS_PAD 0 SITEPROP TIEOFF_X20Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y29 IS_RESERVED 0 SITEPROP TIEOFF_X20Y29 IS_TEST 0 SITEPROP TIEOFF_X20Y29 IS_USED 0 SITEPROP TIEOFF_X20Y29 MANUAL_ROUTING SITEPROP TIEOFF_X20Y29 NAME TIEOFF_X20Y29 SITEPROP TIEOFF_X20Y29 NUM_ARCS 0 SITEPROP TIEOFF_X20Y29 NUM_BELS 2 SITEPROP TIEOFF_X20Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y29 NUM_PINS 2 SITEPROP TIEOFF_X20Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y29 PROHIBIT 0 SITEPROP TIEOFF_X20Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y29 RPM_X 80 SITEPROP TIEOFF_X20Y29 RPM_Y 58 SITEPROP TIEOFF_X20Y29 SITE_PIPS SITEPROP TIEOFF_X20Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y30 CLASS site SITEPROP TIEOFF_X20Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y30 IS_BONDED 0 SITEPROP TIEOFF_X20Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y30 IS_PAD 0 SITEPROP TIEOFF_X20Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y30 IS_RESERVED 0 SITEPROP TIEOFF_X20Y30 IS_TEST 0 SITEPROP TIEOFF_X20Y30 IS_USED 0 SITEPROP TIEOFF_X20Y30 MANUAL_ROUTING SITEPROP TIEOFF_X20Y30 NAME TIEOFF_X20Y30 SITEPROP TIEOFF_X20Y30 NUM_ARCS 0 SITEPROP TIEOFF_X20Y30 NUM_BELS 2 SITEPROP TIEOFF_X20Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y30 NUM_PINS 2 SITEPROP TIEOFF_X20Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y30 PROHIBIT 0 SITEPROP TIEOFF_X20Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y30 RPM_X 80 SITEPROP TIEOFF_X20Y30 RPM_Y 60 SITEPROP TIEOFF_X20Y30 SITE_PIPS SITEPROP TIEOFF_X20Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y31 CLASS site SITEPROP TIEOFF_X20Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y31 IS_BONDED 0 SITEPROP TIEOFF_X20Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y31 IS_PAD 0 SITEPROP TIEOFF_X20Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y31 IS_RESERVED 0 SITEPROP TIEOFF_X20Y31 IS_TEST 0 SITEPROP TIEOFF_X20Y31 IS_USED 0 SITEPROP TIEOFF_X20Y31 MANUAL_ROUTING SITEPROP TIEOFF_X20Y31 NAME TIEOFF_X20Y31 SITEPROP TIEOFF_X20Y31 NUM_ARCS 0 SITEPROP TIEOFF_X20Y31 NUM_BELS 2 SITEPROP TIEOFF_X20Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y31 NUM_PINS 2 SITEPROP TIEOFF_X20Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y31 PROHIBIT 0 SITEPROP TIEOFF_X20Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y31 RPM_X 80 SITEPROP TIEOFF_X20Y31 RPM_Y 62 SITEPROP TIEOFF_X20Y31 SITE_PIPS SITEPROP TIEOFF_X20Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y32 CLASS site SITEPROP TIEOFF_X20Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y32 IS_BONDED 0 SITEPROP TIEOFF_X20Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y32 IS_PAD 0 SITEPROP TIEOFF_X20Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y32 IS_RESERVED 0 SITEPROP TIEOFF_X20Y32 IS_TEST 0 SITEPROP TIEOFF_X20Y32 IS_USED 0 SITEPROP TIEOFF_X20Y32 MANUAL_ROUTING SITEPROP TIEOFF_X20Y32 NAME TIEOFF_X20Y32 SITEPROP TIEOFF_X20Y32 NUM_ARCS 0 SITEPROP TIEOFF_X20Y32 NUM_BELS 2 SITEPROP TIEOFF_X20Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y32 NUM_PINS 2 SITEPROP TIEOFF_X20Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y32 PROHIBIT 0 SITEPROP TIEOFF_X20Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y32 RPM_X 80 SITEPROP TIEOFF_X20Y32 RPM_Y 64 SITEPROP TIEOFF_X20Y32 SITE_PIPS SITEPROP TIEOFF_X20Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y33 CLASS site SITEPROP TIEOFF_X20Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y33 IS_BONDED 0 SITEPROP TIEOFF_X20Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y33 IS_PAD 0 SITEPROP TIEOFF_X20Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y33 IS_RESERVED 0 SITEPROP TIEOFF_X20Y33 IS_TEST 0 SITEPROP TIEOFF_X20Y33 IS_USED 0 SITEPROP TIEOFF_X20Y33 MANUAL_ROUTING SITEPROP TIEOFF_X20Y33 NAME TIEOFF_X20Y33 SITEPROP TIEOFF_X20Y33 NUM_ARCS 0 SITEPROP TIEOFF_X20Y33 NUM_BELS 2 SITEPROP TIEOFF_X20Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y33 NUM_PINS 2 SITEPROP TIEOFF_X20Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y33 PROHIBIT 0 SITEPROP TIEOFF_X20Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y33 RPM_X 80 SITEPROP TIEOFF_X20Y33 RPM_Y 66 SITEPROP TIEOFF_X20Y33 SITE_PIPS SITEPROP TIEOFF_X20Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y34 CLASS site SITEPROP TIEOFF_X20Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y34 IS_BONDED 0 SITEPROP TIEOFF_X20Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y34 IS_PAD 0 SITEPROP TIEOFF_X20Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y34 IS_RESERVED 0 SITEPROP TIEOFF_X20Y34 IS_TEST 0 SITEPROP TIEOFF_X20Y34 IS_USED 0 SITEPROP TIEOFF_X20Y34 MANUAL_ROUTING SITEPROP TIEOFF_X20Y34 NAME TIEOFF_X20Y34 SITEPROP TIEOFF_X20Y34 NUM_ARCS 0 SITEPROP TIEOFF_X20Y34 NUM_BELS 2 SITEPROP TIEOFF_X20Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y34 NUM_PINS 2 SITEPROP TIEOFF_X20Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y34 PROHIBIT 0 SITEPROP TIEOFF_X20Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y34 RPM_X 80 SITEPROP TIEOFF_X20Y34 RPM_Y 68 SITEPROP TIEOFF_X20Y34 SITE_PIPS SITEPROP TIEOFF_X20Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y35 CLASS site SITEPROP TIEOFF_X20Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y35 IS_BONDED 0 SITEPROP TIEOFF_X20Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y35 IS_PAD 0 SITEPROP TIEOFF_X20Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y35 IS_RESERVED 0 SITEPROP TIEOFF_X20Y35 IS_TEST 0 SITEPROP TIEOFF_X20Y35 IS_USED 0 SITEPROP TIEOFF_X20Y35 MANUAL_ROUTING SITEPROP TIEOFF_X20Y35 NAME TIEOFF_X20Y35 SITEPROP TIEOFF_X20Y35 NUM_ARCS 0 SITEPROP TIEOFF_X20Y35 NUM_BELS 2 SITEPROP TIEOFF_X20Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y35 NUM_PINS 2 SITEPROP TIEOFF_X20Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y35 PROHIBIT 0 SITEPROP TIEOFF_X20Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y35 RPM_X 80 SITEPROP TIEOFF_X20Y35 RPM_Y 70 SITEPROP TIEOFF_X20Y35 SITE_PIPS SITEPROP TIEOFF_X20Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y36 CLASS site SITEPROP TIEOFF_X20Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y36 IS_BONDED 0 SITEPROP TIEOFF_X20Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y36 IS_PAD 0 SITEPROP TIEOFF_X20Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y36 IS_RESERVED 0 SITEPROP TIEOFF_X20Y36 IS_TEST 0 SITEPROP TIEOFF_X20Y36 IS_USED 0 SITEPROP TIEOFF_X20Y36 MANUAL_ROUTING SITEPROP TIEOFF_X20Y36 NAME TIEOFF_X20Y36 SITEPROP TIEOFF_X20Y36 NUM_ARCS 0 SITEPROP TIEOFF_X20Y36 NUM_BELS 2 SITEPROP TIEOFF_X20Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y36 NUM_PINS 2 SITEPROP TIEOFF_X20Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y36 PROHIBIT 0 SITEPROP TIEOFF_X20Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y36 RPM_X 80 SITEPROP TIEOFF_X20Y36 RPM_Y 72 SITEPROP TIEOFF_X20Y36 SITE_PIPS SITEPROP TIEOFF_X20Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y37 CLASS site SITEPROP TIEOFF_X20Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y37 IS_BONDED 0 SITEPROP TIEOFF_X20Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y37 IS_PAD 0 SITEPROP TIEOFF_X20Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y37 IS_RESERVED 0 SITEPROP TIEOFF_X20Y37 IS_TEST 0 SITEPROP TIEOFF_X20Y37 IS_USED 0 SITEPROP TIEOFF_X20Y37 MANUAL_ROUTING SITEPROP TIEOFF_X20Y37 NAME TIEOFF_X20Y37 SITEPROP TIEOFF_X20Y37 NUM_ARCS 0 SITEPROP TIEOFF_X20Y37 NUM_BELS 2 SITEPROP TIEOFF_X20Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y37 NUM_PINS 2 SITEPROP TIEOFF_X20Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y37 PROHIBIT 0 SITEPROP TIEOFF_X20Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y37 RPM_X 80 SITEPROP TIEOFF_X20Y37 RPM_Y 74 SITEPROP TIEOFF_X20Y37 SITE_PIPS SITEPROP TIEOFF_X20Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y38 CLASS site SITEPROP TIEOFF_X20Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y38 IS_BONDED 0 SITEPROP TIEOFF_X20Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y38 IS_PAD 0 SITEPROP TIEOFF_X20Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y38 IS_RESERVED 0 SITEPROP TIEOFF_X20Y38 IS_TEST 0 SITEPROP TIEOFF_X20Y38 IS_USED 0 SITEPROP TIEOFF_X20Y38 MANUAL_ROUTING SITEPROP TIEOFF_X20Y38 NAME TIEOFF_X20Y38 SITEPROP TIEOFF_X20Y38 NUM_ARCS 0 SITEPROP TIEOFF_X20Y38 NUM_BELS 2 SITEPROP TIEOFF_X20Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y38 NUM_PINS 2 SITEPROP TIEOFF_X20Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y38 PROHIBIT 0 SITEPROP TIEOFF_X20Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y38 RPM_X 80 SITEPROP TIEOFF_X20Y38 RPM_Y 76 SITEPROP TIEOFF_X20Y38 SITE_PIPS SITEPROP TIEOFF_X20Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y39 CLASS site SITEPROP TIEOFF_X20Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y39 IS_BONDED 0 SITEPROP TIEOFF_X20Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y39 IS_PAD 0 SITEPROP TIEOFF_X20Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y39 IS_RESERVED 0 SITEPROP TIEOFF_X20Y39 IS_TEST 0 SITEPROP TIEOFF_X20Y39 IS_USED 0 SITEPROP TIEOFF_X20Y39 MANUAL_ROUTING SITEPROP TIEOFF_X20Y39 NAME TIEOFF_X20Y39 SITEPROP TIEOFF_X20Y39 NUM_ARCS 0 SITEPROP TIEOFF_X20Y39 NUM_BELS 2 SITEPROP TIEOFF_X20Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y39 NUM_PINS 2 SITEPROP TIEOFF_X20Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y39 PROHIBIT 0 SITEPROP TIEOFF_X20Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y39 RPM_X 80 SITEPROP TIEOFF_X20Y39 RPM_Y 78 SITEPROP TIEOFF_X20Y39 SITE_PIPS SITEPROP TIEOFF_X20Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y40 CLASS site SITEPROP TIEOFF_X20Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y40 IS_BONDED 0 SITEPROP TIEOFF_X20Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y40 IS_PAD 0 SITEPROP TIEOFF_X20Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y40 IS_RESERVED 0 SITEPROP TIEOFF_X20Y40 IS_TEST 0 SITEPROP TIEOFF_X20Y40 IS_USED 0 SITEPROP TIEOFF_X20Y40 MANUAL_ROUTING SITEPROP TIEOFF_X20Y40 NAME TIEOFF_X20Y40 SITEPROP TIEOFF_X20Y40 NUM_ARCS 0 SITEPROP TIEOFF_X20Y40 NUM_BELS 2 SITEPROP TIEOFF_X20Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y40 NUM_PINS 2 SITEPROP TIEOFF_X20Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y40 PROHIBIT 0 SITEPROP TIEOFF_X20Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y40 RPM_X 80 SITEPROP TIEOFF_X20Y40 RPM_Y 80 SITEPROP TIEOFF_X20Y40 SITE_PIPS SITEPROP TIEOFF_X20Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y41 CLASS site SITEPROP TIEOFF_X20Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y41 IS_BONDED 0 SITEPROP TIEOFF_X20Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y41 IS_PAD 0 SITEPROP TIEOFF_X20Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y41 IS_RESERVED 0 SITEPROP TIEOFF_X20Y41 IS_TEST 0 SITEPROP TIEOFF_X20Y41 IS_USED 0 SITEPROP TIEOFF_X20Y41 MANUAL_ROUTING SITEPROP TIEOFF_X20Y41 NAME TIEOFF_X20Y41 SITEPROP TIEOFF_X20Y41 NUM_ARCS 0 SITEPROP TIEOFF_X20Y41 NUM_BELS 2 SITEPROP TIEOFF_X20Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y41 NUM_PINS 2 SITEPROP TIEOFF_X20Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y41 PROHIBIT 0 SITEPROP TIEOFF_X20Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y41 RPM_X 80 SITEPROP TIEOFF_X20Y41 RPM_Y 82 SITEPROP TIEOFF_X20Y41 SITE_PIPS SITEPROP TIEOFF_X20Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y42 CLASS site SITEPROP TIEOFF_X20Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y42 IS_BONDED 0 SITEPROP TIEOFF_X20Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y42 IS_PAD 0 SITEPROP TIEOFF_X20Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y42 IS_RESERVED 0 SITEPROP TIEOFF_X20Y42 IS_TEST 0 SITEPROP TIEOFF_X20Y42 IS_USED 0 SITEPROP TIEOFF_X20Y42 MANUAL_ROUTING SITEPROP TIEOFF_X20Y42 NAME TIEOFF_X20Y42 SITEPROP TIEOFF_X20Y42 NUM_ARCS 0 SITEPROP TIEOFF_X20Y42 NUM_BELS 2 SITEPROP TIEOFF_X20Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y42 NUM_PINS 2 SITEPROP TIEOFF_X20Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y42 PROHIBIT 0 SITEPROP TIEOFF_X20Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y42 RPM_X 80 SITEPROP TIEOFF_X20Y42 RPM_Y 84 SITEPROP TIEOFF_X20Y42 SITE_PIPS SITEPROP TIEOFF_X20Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y43 CLASS site SITEPROP TIEOFF_X20Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y43 IS_BONDED 0 SITEPROP TIEOFF_X20Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y43 IS_PAD 0 SITEPROP TIEOFF_X20Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y43 IS_RESERVED 0 SITEPROP TIEOFF_X20Y43 IS_TEST 0 SITEPROP TIEOFF_X20Y43 IS_USED 0 SITEPROP TIEOFF_X20Y43 MANUAL_ROUTING SITEPROP TIEOFF_X20Y43 NAME TIEOFF_X20Y43 SITEPROP TIEOFF_X20Y43 NUM_ARCS 0 SITEPROP TIEOFF_X20Y43 NUM_BELS 2 SITEPROP TIEOFF_X20Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y43 NUM_PINS 2 SITEPROP TIEOFF_X20Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y43 PROHIBIT 0 SITEPROP TIEOFF_X20Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y43 RPM_X 80 SITEPROP TIEOFF_X20Y43 RPM_Y 86 SITEPROP TIEOFF_X20Y43 SITE_PIPS SITEPROP TIEOFF_X20Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y44 CLASS site SITEPROP TIEOFF_X20Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y44 IS_BONDED 0 SITEPROP TIEOFF_X20Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y44 IS_PAD 0 SITEPROP TIEOFF_X20Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y44 IS_RESERVED 0 SITEPROP TIEOFF_X20Y44 IS_TEST 0 SITEPROP TIEOFF_X20Y44 IS_USED 0 SITEPROP TIEOFF_X20Y44 MANUAL_ROUTING SITEPROP TIEOFF_X20Y44 NAME TIEOFF_X20Y44 SITEPROP TIEOFF_X20Y44 NUM_ARCS 0 SITEPROP TIEOFF_X20Y44 NUM_BELS 2 SITEPROP TIEOFF_X20Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y44 NUM_PINS 2 SITEPROP TIEOFF_X20Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y44 PROHIBIT 0 SITEPROP TIEOFF_X20Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y44 RPM_X 80 SITEPROP TIEOFF_X20Y44 RPM_Y 88 SITEPROP TIEOFF_X20Y44 SITE_PIPS SITEPROP TIEOFF_X20Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y45 CLASS site SITEPROP TIEOFF_X20Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y45 IS_BONDED 0 SITEPROP TIEOFF_X20Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y45 IS_PAD 0 SITEPROP TIEOFF_X20Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y45 IS_RESERVED 0 SITEPROP TIEOFF_X20Y45 IS_TEST 0 SITEPROP TIEOFF_X20Y45 IS_USED 0 SITEPROP TIEOFF_X20Y45 MANUAL_ROUTING SITEPROP TIEOFF_X20Y45 NAME TIEOFF_X20Y45 SITEPROP TIEOFF_X20Y45 NUM_ARCS 0 SITEPROP TIEOFF_X20Y45 NUM_BELS 2 SITEPROP TIEOFF_X20Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y45 NUM_PINS 2 SITEPROP TIEOFF_X20Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y45 PROHIBIT 0 SITEPROP TIEOFF_X20Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y45 RPM_X 80 SITEPROP TIEOFF_X20Y45 RPM_Y 90 SITEPROP TIEOFF_X20Y45 SITE_PIPS SITEPROP TIEOFF_X20Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y46 CLASS site SITEPROP TIEOFF_X20Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y46 IS_BONDED 0 SITEPROP TIEOFF_X20Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y46 IS_PAD 0 SITEPROP TIEOFF_X20Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y46 IS_RESERVED 0 SITEPROP TIEOFF_X20Y46 IS_TEST 0 SITEPROP TIEOFF_X20Y46 IS_USED 0 SITEPROP TIEOFF_X20Y46 MANUAL_ROUTING SITEPROP TIEOFF_X20Y46 NAME TIEOFF_X20Y46 SITEPROP TIEOFF_X20Y46 NUM_ARCS 0 SITEPROP TIEOFF_X20Y46 NUM_BELS 2 SITEPROP TIEOFF_X20Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y46 NUM_PINS 2 SITEPROP TIEOFF_X20Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y46 PROHIBIT 0 SITEPROP TIEOFF_X20Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y46 RPM_X 80 SITEPROP TIEOFF_X20Y46 RPM_Y 92 SITEPROP TIEOFF_X20Y46 SITE_PIPS SITEPROP TIEOFF_X20Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y47 CLASS site SITEPROP TIEOFF_X20Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y47 IS_BONDED 0 SITEPROP TIEOFF_X20Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y47 IS_PAD 0 SITEPROP TIEOFF_X20Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y47 IS_RESERVED 0 SITEPROP TIEOFF_X20Y47 IS_TEST 0 SITEPROP TIEOFF_X20Y47 IS_USED 0 SITEPROP TIEOFF_X20Y47 MANUAL_ROUTING SITEPROP TIEOFF_X20Y47 NAME TIEOFF_X20Y47 SITEPROP TIEOFF_X20Y47 NUM_ARCS 0 SITEPROP TIEOFF_X20Y47 NUM_BELS 2 SITEPROP TIEOFF_X20Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y47 NUM_PINS 2 SITEPROP TIEOFF_X20Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y47 PROHIBIT 0 SITEPROP TIEOFF_X20Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y47 RPM_X 80 SITEPROP TIEOFF_X20Y47 RPM_Y 94 SITEPROP TIEOFF_X20Y47 SITE_PIPS SITEPROP TIEOFF_X20Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y48 CLASS site SITEPROP TIEOFF_X20Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y48 IS_BONDED 0 SITEPROP TIEOFF_X20Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y48 IS_PAD 0 SITEPROP TIEOFF_X20Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y48 IS_RESERVED 0 SITEPROP TIEOFF_X20Y48 IS_TEST 0 SITEPROP TIEOFF_X20Y48 IS_USED 0 SITEPROP TIEOFF_X20Y48 MANUAL_ROUTING SITEPROP TIEOFF_X20Y48 NAME TIEOFF_X20Y48 SITEPROP TIEOFF_X20Y48 NUM_ARCS 0 SITEPROP TIEOFF_X20Y48 NUM_BELS 2 SITEPROP TIEOFF_X20Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y48 NUM_PINS 2 SITEPROP TIEOFF_X20Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y48 PROHIBIT 0 SITEPROP TIEOFF_X20Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y48 RPM_X 80 SITEPROP TIEOFF_X20Y48 RPM_Y 96 SITEPROP TIEOFF_X20Y48 SITE_PIPS SITEPROP TIEOFF_X20Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y49 CLASS site SITEPROP TIEOFF_X20Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X20Y49 IS_BONDED 0 SITEPROP TIEOFF_X20Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y49 IS_PAD 0 SITEPROP TIEOFF_X20Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y49 IS_RESERVED 0 SITEPROP TIEOFF_X20Y49 IS_TEST 0 SITEPROP TIEOFF_X20Y49 IS_USED 0 SITEPROP TIEOFF_X20Y49 MANUAL_ROUTING SITEPROP TIEOFF_X20Y49 NAME TIEOFF_X20Y49 SITEPROP TIEOFF_X20Y49 NUM_ARCS 0 SITEPROP TIEOFF_X20Y49 NUM_BELS 2 SITEPROP TIEOFF_X20Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y49 NUM_PINS 2 SITEPROP TIEOFF_X20Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y49 PROHIBIT 0 SITEPROP TIEOFF_X20Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y49 RPM_X 80 SITEPROP TIEOFF_X20Y49 RPM_Y 98 SITEPROP TIEOFF_X20Y49 SITE_PIPS SITEPROP TIEOFF_X20Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y50 CLASS site SITEPROP TIEOFF_X20Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y50 IS_BONDED 0 SITEPROP TIEOFF_X20Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y50 IS_PAD 0 SITEPROP TIEOFF_X20Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y50 IS_RESERVED 0 SITEPROP TIEOFF_X20Y50 IS_TEST 0 SITEPROP TIEOFF_X20Y50 IS_USED 0 SITEPROP TIEOFF_X20Y50 MANUAL_ROUTING SITEPROP TIEOFF_X20Y50 NAME TIEOFF_X20Y50 SITEPROP TIEOFF_X20Y50 NUM_ARCS 0 SITEPROP TIEOFF_X20Y50 NUM_BELS 2 SITEPROP TIEOFF_X20Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y50 NUM_PINS 2 SITEPROP TIEOFF_X20Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y50 PROHIBIT 0 SITEPROP TIEOFF_X20Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y50 RPM_X 80 SITEPROP TIEOFF_X20Y50 RPM_Y 100 SITEPROP TIEOFF_X20Y50 SITE_PIPS SITEPROP TIEOFF_X20Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y51 CLASS site SITEPROP TIEOFF_X20Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y51 IS_BONDED 0 SITEPROP TIEOFF_X20Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y51 IS_PAD 0 SITEPROP TIEOFF_X20Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y51 IS_RESERVED 0 SITEPROP TIEOFF_X20Y51 IS_TEST 0 SITEPROP TIEOFF_X20Y51 IS_USED 0 SITEPROP TIEOFF_X20Y51 MANUAL_ROUTING SITEPROP TIEOFF_X20Y51 NAME TIEOFF_X20Y51 SITEPROP TIEOFF_X20Y51 NUM_ARCS 0 SITEPROP TIEOFF_X20Y51 NUM_BELS 2 SITEPROP TIEOFF_X20Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y51 NUM_PINS 2 SITEPROP TIEOFF_X20Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y51 PROHIBIT 0 SITEPROP TIEOFF_X20Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y51 RPM_X 80 SITEPROP TIEOFF_X20Y51 RPM_Y 102 SITEPROP TIEOFF_X20Y51 SITE_PIPS SITEPROP TIEOFF_X20Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y52 CLASS site SITEPROP TIEOFF_X20Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y52 IS_BONDED 0 SITEPROP TIEOFF_X20Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y52 IS_PAD 0 SITEPROP TIEOFF_X20Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y52 IS_RESERVED 0 SITEPROP TIEOFF_X20Y52 IS_TEST 0 SITEPROP TIEOFF_X20Y52 IS_USED 0 SITEPROP TIEOFF_X20Y52 MANUAL_ROUTING SITEPROP TIEOFF_X20Y52 NAME TIEOFF_X20Y52 SITEPROP TIEOFF_X20Y52 NUM_ARCS 0 SITEPROP TIEOFF_X20Y52 NUM_BELS 2 SITEPROP TIEOFF_X20Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y52 NUM_PINS 2 SITEPROP TIEOFF_X20Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y52 PROHIBIT 0 SITEPROP TIEOFF_X20Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y52 RPM_X 80 SITEPROP TIEOFF_X20Y52 RPM_Y 104 SITEPROP TIEOFF_X20Y52 SITE_PIPS SITEPROP TIEOFF_X20Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y53 CLASS site SITEPROP TIEOFF_X20Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y53 IS_BONDED 0 SITEPROP TIEOFF_X20Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y53 IS_PAD 0 SITEPROP TIEOFF_X20Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y53 IS_RESERVED 0 SITEPROP TIEOFF_X20Y53 IS_TEST 0 SITEPROP TIEOFF_X20Y53 IS_USED 0 SITEPROP TIEOFF_X20Y53 MANUAL_ROUTING SITEPROP TIEOFF_X20Y53 NAME TIEOFF_X20Y53 SITEPROP TIEOFF_X20Y53 NUM_ARCS 0 SITEPROP TIEOFF_X20Y53 NUM_BELS 2 SITEPROP TIEOFF_X20Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y53 NUM_PINS 2 SITEPROP TIEOFF_X20Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y53 PROHIBIT 0 SITEPROP TIEOFF_X20Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y53 RPM_X 80 SITEPROP TIEOFF_X20Y53 RPM_Y 106 SITEPROP TIEOFF_X20Y53 SITE_PIPS SITEPROP TIEOFF_X20Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y54 CLASS site SITEPROP TIEOFF_X20Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y54 IS_BONDED 0 SITEPROP TIEOFF_X20Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y54 IS_PAD 0 SITEPROP TIEOFF_X20Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y54 IS_RESERVED 0 SITEPROP TIEOFF_X20Y54 IS_TEST 0 SITEPROP TIEOFF_X20Y54 IS_USED 0 SITEPROP TIEOFF_X20Y54 MANUAL_ROUTING SITEPROP TIEOFF_X20Y54 NAME TIEOFF_X20Y54 SITEPROP TIEOFF_X20Y54 NUM_ARCS 0 SITEPROP TIEOFF_X20Y54 NUM_BELS 2 SITEPROP TIEOFF_X20Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y54 NUM_PINS 2 SITEPROP TIEOFF_X20Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y54 PROHIBIT 0 SITEPROP TIEOFF_X20Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y54 RPM_X 80 SITEPROP TIEOFF_X20Y54 RPM_Y 108 SITEPROP TIEOFF_X20Y54 SITE_PIPS SITEPROP TIEOFF_X20Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y55 CLASS site SITEPROP TIEOFF_X20Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y55 IS_BONDED 0 SITEPROP TIEOFF_X20Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y55 IS_PAD 0 SITEPROP TIEOFF_X20Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y55 IS_RESERVED 0 SITEPROP TIEOFF_X20Y55 IS_TEST 0 SITEPROP TIEOFF_X20Y55 IS_USED 0 SITEPROP TIEOFF_X20Y55 MANUAL_ROUTING SITEPROP TIEOFF_X20Y55 NAME TIEOFF_X20Y55 SITEPROP TIEOFF_X20Y55 NUM_ARCS 0 SITEPROP TIEOFF_X20Y55 NUM_BELS 2 SITEPROP TIEOFF_X20Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y55 NUM_PINS 2 SITEPROP TIEOFF_X20Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y55 PROHIBIT 0 SITEPROP TIEOFF_X20Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y55 RPM_X 80 SITEPROP TIEOFF_X20Y55 RPM_Y 110 SITEPROP TIEOFF_X20Y55 SITE_PIPS SITEPROP TIEOFF_X20Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y56 CLASS site SITEPROP TIEOFF_X20Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y56 IS_BONDED 0 SITEPROP TIEOFF_X20Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y56 IS_PAD 0 SITEPROP TIEOFF_X20Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y56 IS_RESERVED 0 SITEPROP TIEOFF_X20Y56 IS_TEST 0 SITEPROP TIEOFF_X20Y56 IS_USED 0 SITEPROP TIEOFF_X20Y56 MANUAL_ROUTING SITEPROP TIEOFF_X20Y56 NAME TIEOFF_X20Y56 SITEPROP TIEOFF_X20Y56 NUM_ARCS 0 SITEPROP TIEOFF_X20Y56 NUM_BELS 2 SITEPROP TIEOFF_X20Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y56 NUM_PINS 2 SITEPROP TIEOFF_X20Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y56 PROHIBIT 0 SITEPROP TIEOFF_X20Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y56 RPM_X 80 SITEPROP TIEOFF_X20Y56 RPM_Y 112 SITEPROP TIEOFF_X20Y56 SITE_PIPS SITEPROP TIEOFF_X20Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y57 CLASS site SITEPROP TIEOFF_X20Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y57 IS_BONDED 0 SITEPROP TIEOFF_X20Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y57 IS_PAD 0 SITEPROP TIEOFF_X20Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y57 IS_RESERVED 0 SITEPROP TIEOFF_X20Y57 IS_TEST 0 SITEPROP TIEOFF_X20Y57 IS_USED 0 SITEPROP TIEOFF_X20Y57 MANUAL_ROUTING SITEPROP TIEOFF_X20Y57 NAME TIEOFF_X20Y57 SITEPROP TIEOFF_X20Y57 NUM_ARCS 0 SITEPROP TIEOFF_X20Y57 NUM_BELS 2 SITEPROP TIEOFF_X20Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y57 NUM_PINS 2 SITEPROP TIEOFF_X20Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y57 PROHIBIT 0 SITEPROP TIEOFF_X20Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y57 RPM_X 80 SITEPROP TIEOFF_X20Y57 RPM_Y 114 SITEPROP TIEOFF_X20Y57 SITE_PIPS SITEPROP TIEOFF_X20Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y58 CLASS site SITEPROP TIEOFF_X20Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y58 IS_BONDED 0 SITEPROP TIEOFF_X20Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y58 IS_PAD 0 SITEPROP TIEOFF_X20Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y58 IS_RESERVED 0 SITEPROP TIEOFF_X20Y58 IS_TEST 0 SITEPROP TIEOFF_X20Y58 IS_USED 0 SITEPROP TIEOFF_X20Y58 MANUAL_ROUTING SITEPROP TIEOFF_X20Y58 NAME TIEOFF_X20Y58 SITEPROP TIEOFF_X20Y58 NUM_ARCS 0 SITEPROP TIEOFF_X20Y58 NUM_BELS 2 SITEPROP TIEOFF_X20Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y58 NUM_PINS 2 SITEPROP TIEOFF_X20Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y58 PROHIBIT 0 SITEPROP TIEOFF_X20Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y58 RPM_X 80 SITEPROP TIEOFF_X20Y58 RPM_Y 116 SITEPROP TIEOFF_X20Y58 SITE_PIPS SITEPROP TIEOFF_X20Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y59 CLASS site SITEPROP TIEOFF_X20Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y59 IS_BONDED 0 SITEPROP TIEOFF_X20Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y59 IS_PAD 0 SITEPROP TIEOFF_X20Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y59 IS_RESERVED 0 SITEPROP TIEOFF_X20Y59 IS_TEST 0 SITEPROP TIEOFF_X20Y59 IS_USED 0 SITEPROP TIEOFF_X20Y59 MANUAL_ROUTING SITEPROP TIEOFF_X20Y59 NAME TIEOFF_X20Y59 SITEPROP TIEOFF_X20Y59 NUM_ARCS 0 SITEPROP TIEOFF_X20Y59 NUM_BELS 2 SITEPROP TIEOFF_X20Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y59 NUM_PINS 2 SITEPROP TIEOFF_X20Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y59 PROHIBIT 0 SITEPROP TIEOFF_X20Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y59 RPM_X 80 SITEPROP TIEOFF_X20Y59 RPM_Y 118 SITEPROP TIEOFF_X20Y59 SITE_PIPS SITEPROP TIEOFF_X20Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y60 CLASS site SITEPROP TIEOFF_X20Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y60 IS_BONDED 0 SITEPROP TIEOFF_X20Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y60 IS_PAD 0 SITEPROP TIEOFF_X20Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y60 IS_RESERVED 0 SITEPROP TIEOFF_X20Y60 IS_TEST 0 SITEPROP TIEOFF_X20Y60 IS_USED 0 SITEPROP TIEOFF_X20Y60 MANUAL_ROUTING SITEPROP TIEOFF_X20Y60 NAME TIEOFF_X20Y60 SITEPROP TIEOFF_X20Y60 NUM_ARCS 0 SITEPROP TIEOFF_X20Y60 NUM_BELS 2 SITEPROP TIEOFF_X20Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y60 NUM_PINS 2 SITEPROP TIEOFF_X20Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y60 PROHIBIT 0 SITEPROP TIEOFF_X20Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y60 RPM_X 80 SITEPROP TIEOFF_X20Y60 RPM_Y 120 SITEPROP TIEOFF_X20Y60 SITE_PIPS SITEPROP TIEOFF_X20Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y61 CLASS site SITEPROP TIEOFF_X20Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y61 IS_BONDED 0 SITEPROP TIEOFF_X20Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y61 IS_PAD 0 SITEPROP TIEOFF_X20Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y61 IS_RESERVED 0 SITEPROP TIEOFF_X20Y61 IS_TEST 0 SITEPROP TIEOFF_X20Y61 IS_USED 0 SITEPROP TIEOFF_X20Y61 MANUAL_ROUTING SITEPROP TIEOFF_X20Y61 NAME TIEOFF_X20Y61 SITEPROP TIEOFF_X20Y61 NUM_ARCS 0 SITEPROP TIEOFF_X20Y61 NUM_BELS 2 SITEPROP TIEOFF_X20Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y61 NUM_PINS 2 SITEPROP TIEOFF_X20Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y61 PROHIBIT 0 SITEPROP TIEOFF_X20Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y61 RPM_X 80 SITEPROP TIEOFF_X20Y61 RPM_Y 122 SITEPROP TIEOFF_X20Y61 SITE_PIPS SITEPROP TIEOFF_X20Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y62 CLASS site SITEPROP TIEOFF_X20Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y62 IS_BONDED 0 SITEPROP TIEOFF_X20Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y62 IS_PAD 0 SITEPROP TIEOFF_X20Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y62 IS_RESERVED 0 SITEPROP TIEOFF_X20Y62 IS_TEST 0 SITEPROP TIEOFF_X20Y62 IS_USED 0 SITEPROP TIEOFF_X20Y62 MANUAL_ROUTING SITEPROP TIEOFF_X20Y62 NAME TIEOFF_X20Y62 SITEPROP TIEOFF_X20Y62 NUM_ARCS 0 SITEPROP TIEOFF_X20Y62 NUM_BELS 2 SITEPROP TIEOFF_X20Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y62 NUM_PINS 2 SITEPROP TIEOFF_X20Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y62 PROHIBIT 0 SITEPROP TIEOFF_X20Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y62 RPM_X 80 SITEPROP TIEOFF_X20Y62 RPM_Y 124 SITEPROP TIEOFF_X20Y62 SITE_PIPS SITEPROP TIEOFF_X20Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y63 CLASS site SITEPROP TIEOFF_X20Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y63 IS_BONDED 0 SITEPROP TIEOFF_X20Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y63 IS_PAD 0 SITEPROP TIEOFF_X20Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y63 IS_RESERVED 0 SITEPROP TIEOFF_X20Y63 IS_TEST 0 SITEPROP TIEOFF_X20Y63 IS_USED 0 SITEPROP TIEOFF_X20Y63 MANUAL_ROUTING SITEPROP TIEOFF_X20Y63 NAME TIEOFF_X20Y63 SITEPROP TIEOFF_X20Y63 NUM_ARCS 0 SITEPROP TIEOFF_X20Y63 NUM_BELS 2 SITEPROP TIEOFF_X20Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y63 NUM_PINS 2 SITEPROP TIEOFF_X20Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y63 PROHIBIT 0 SITEPROP TIEOFF_X20Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y63 RPM_X 80 SITEPROP TIEOFF_X20Y63 RPM_Y 126 SITEPROP TIEOFF_X20Y63 SITE_PIPS SITEPROP TIEOFF_X20Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y64 CLASS site SITEPROP TIEOFF_X20Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y64 IS_BONDED 0 SITEPROP TIEOFF_X20Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y64 IS_PAD 0 SITEPROP TIEOFF_X20Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y64 IS_RESERVED 0 SITEPROP TIEOFF_X20Y64 IS_TEST 0 SITEPROP TIEOFF_X20Y64 IS_USED 0 SITEPROP TIEOFF_X20Y64 MANUAL_ROUTING SITEPROP TIEOFF_X20Y64 NAME TIEOFF_X20Y64 SITEPROP TIEOFF_X20Y64 NUM_ARCS 0 SITEPROP TIEOFF_X20Y64 NUM_BELS 2 SITEPROP TIEOFF_X20Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y64 NUM_PINS 2 SITEPROP TIEOFF_X20Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y64 PROHIBIT 0 SITEPROP TIEOFF_X20Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y64 RPM_X 80 SITEPROP TIEOFF_X20Y64 RPM_Y 128 SITEPROP TIEOFF_X20Y64 SITE_PIPS SITEPROP TIEOFF_X20Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y65 CLASS site SITEPROP TIEOFF_X20Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y65 IS_BONDED 0 SITEPROP TIEOFF_X20Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y65 IS_PAD 0 SITEPROP TIEOFF_X20Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y65 IS_RESERVED 0 SITEPROP TIEOFF_X20Y65 IS_TEST 0 SITEPROP TIEOFF_X20Y65 IS_USED 0 SITEPROP TIEOFF_X20Y65 MANUAL_ROUTING SITEPROP TIEOFF_X20Y65 NAME TIEOFF_X20Y65 SITEPROP TIEOFF_X20Y65 NUM_ARCS 0 SITEPROP TIEOFF_X20Y65 NUM_BELS 2 SITEPROP TIEOFF_X20Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y65 NUM_PINS 2 SITEPROP TIEOFF_X20Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y65 PROHIBIT 0 SITEPROP TIEOFF_X20Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y65 RPM_X 80 SITEPROP TIEOFF_X20Y65 RPM_Y 130 SITEPROP TIEOFF_X20Y65 SITE_PIPS SITEPROP TIEOFF_X20Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y66 CLASS site SITEPROP TIEOFF_X20Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y66 IS_BONDED 0 SITEPROP TIEOFF_X20Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y66 IS_PAD 0 SITEPROP TIEOFF_X20Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y66 IS_RESERVED 0 SITEPROP TIEOFF_X20Y66 IS_TEST 0 SITEPROP TIEOFF_X20Y66 IS_USED 0 SITEPROP TIEOFF_X20Y66 MANUAL_ROUTING SITEPROP TIEOFF_X20Y66 NAME TIEOFF_X20Y66 SITEPROP TIEOFF_X20Y66 NUM_ARCS 0 SITEPROP TIEOFF_X20Y66 NUM_BELS 2 SITEPROP TIEOFF_X20Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y66 NUM_PINS 2 SITEPROP TIEOFF_X20Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y66 PROHIBIT 0 SITEPROP TIEOFF_X20Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y66 RPM_X 80 SITEPROP TIEOFF_X20Y66 RPM_Y 132 SITEPROP TIEOFF_X20Y66 SITE_PIPS SITEPROP TIEOFF_X20Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y67 CLASS site SITEPROP TIEOFF_X20Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y67 IS_BONDED 0 SITEPROP TIEOFF_X20Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y67 IS_PAD 0 SITEPROP TIEOFF_X20Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y67 IS_RESERVED 0 SITEPROP TIEOFF_X20Y67 IS_TEST 0 SITEPROP TIEOFF_X20Y67 IS_USED 0 SITEPROP TIEOFF_X20Y67 MANUAL_ROUTING SITEPROP TIEOFF_X20Y67 NAME TIEOFF_X20Y67 SITEPROP TIEOFF_X20Y67 NUM_ARCS 0 SITEPROP TIEOFF_X20Y67 NUM_BELS 2 SITEPROP TIEOFF_X20Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y67 NUM_PINS 2 SITEPROP TIEOFF_X20Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y67 PROHIBIT 0 SITEPROP TIEOFF_X20Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y67 RPM_X 80 SITEPROP TIEOFF_X20Y67 RPM_Y 134 SITEPROP TIEOFF_X20Y67 SITE_PIPS SITEPROP TIEOFF_X20Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y68 CLASS site SITEPROP TIEOFF_X20Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y68 IS_BONDED 0 SITEPROP TIEOFF_X20Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y68 IS_PAD 0 SITEPROP TIEOFF_X20Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y68 IS_RESERVED 0 SITEPROP TIEOFF_X20Y68 IS_TEST 0 SITEPROP TIEOFF_X20Y68 IS_USED 0 SITEPROP TIEOFF_X20Y68 MANUAL_ROUTING SITEPROP TIEOFF_X20Y68 NAME TIEOFF_X20Y68 SITEPROP TIEOFF_X20Y68 NUM_ARCS 0 SITEPROP TIEOFF_X20Y68 NUM_BELS 2 SITEPROP TIEOFF_X20Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y68 NUM_PINS 2 SITEPROP TIEOFF_X20Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y68 PROHIBIT 0 SITEPROP TIEOFF_X20Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y68 RPM_X 80 SITEPROP TIEOFF_X20Y68 RPM_Y 136 SITEPROP TIEOFF_X20Y68 SITE_PIPS SITEPROP TIEOFF_X20Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y69 CLASS site SITEPROP TIEOFF_X20Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y69 IS_BONDED 0 SITEPROP TIEOFF_X20Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y69 IS_PAD 0 SITEPROP TIEOFF_X20Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y69 IS_RESERVED 0 SITEPROP TIEOFF_X20Y69 IS_TEST 0 SITEPROP TIEOFF_X20Y69 IS_USED 0 SITEPROP TIEOFF_X20Y69 MANUAL_ROUTING SITEPROP TIEOFF_X20Y69 NAME TIEOFF_X20Y69 SITEPROP TIEOFF_X20Y69 NUM_ARCS 0 SITEPROP TIEOFF_X20Y69 NUM_BELS 2 SITEPROP TIEOFF_X20Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y69 NUM_PINS 2 SITEPROP TIEOFF_X20Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y69 PROHIBIT 0 SITEPROP TIEOFF_X20Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y69 RPM_X 80 SITEPROP TIEOFF_X20Y69 RPM_Y 138 SITEPROP TIEOFF_X20Y69 SITE_PIPS SITEPROP TIEOFF_X20Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y70 CLASS site SITEPROP TIEOFF_X20Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y70 IS_BONDED 0 SITEPROP TIEOFF_X20Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y70 IS_PAD 0 SITEPROP TIEOFF_X20Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y70 IS_RESERVED 0 SITEPROP TIEOFF_X20Y70 IS_TEST 0 SITEPROP TIEOFF_X20Y70 IS_USED 0 SITEPROP TIEOFF_X20Y70 MANUAL_ROUTING SITEPROP TIEOFF_X20Y70 NAME TIEOFF_X20Y70 SITEPROP TIEOFF_X20Y70 NUM_ARCS 0 SITEPROP TIEOFF_X20Y70 NUM_BELS 2 SITEPROP TIEOFF_X20Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y70 NUM_PINS 2 SITEPROP TIEOFF_X20Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y70 PROHIBIT 0 SITEPROP TIEOFF_X20Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y70 RPM_X 80 SITEPROP TIEOFF_X20Y70 RPM_Y 140 SITEPROP TIEOFF_X20Y70 SITE_PIPS SITEPROP TIEOFF_X20Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y71 CLASS site SITEPROP TIEOFF_X20Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y71 IS_BONDED 0 SITEPROP TIEOFF_X20Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y71 IS_PAD 0 SITEPROP TIEOFF_X20Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y71 IS_RESERVED 0 SITEPROP TIEOFF_X20Y71 IS_TEST 0 SITEPROP TIEOFF_X20Y71 IS_USED 0 SITEPROP TIEOFF_X20Y71 MANUAL_ROUTING SITEPROP TIEOFF_X20Y71 NAME TIEOFF_X20Y71 SITEPROP TIEOFF_X20Y71 NUM_ARCS 0 SITEPROP TIEOFF_X20Y71 NUM_BELS 2 SITEPROP TIEOFF_X20Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y71 NUM_PINS 2 SITEPROP TIEOFF_X20Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y71 PROHIBIT 0 SITEPROP TIEOFF_X20Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y71 RPM_X 80 SITEPROP TIEOFF_X20Y71 RPM_Y 142 SITEPROP TIEOFF_X20Y71 SITE_PIPS SITEPROP TIEOFF_X20Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y72 CLASS site SITEPROP TIEOFF_X20Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y72 IS_BONDED 0 SITEPROP TIEOFF_X20Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y72 IS_PAD 0 SITEPROP TIEOFF_X20Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y72 IS_RESERVED 0 SITEPROP TIEOFF_X20Y72 IS_TEST 0 SITEPROP TIEOFF_X20Y72 IS_USED 0 SITEPROP TIEOFF_X20Y72 MANUAL_ROUTING SITEPROP TIEOFF_X20Y72 NAME TIEOFF_X20Y72 SITEPROP TIEOFF_X20Y72 NUM_ARCS 0 SITEPROP TIEOFF_X20Y72 NUM_BELS 2 SITEPROP TIEOFF_X20Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y72 NUM_PINS 2 SITEPROP TIEOFF_X20Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y72 PROHIBIT 0 SITEPROP TIEOFF_X20Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y72 RPM_X 80 SITEPROP TIEOFF_X20Y72 RPM_Y 144 SITEPROP TIEOFF_X20Y72 SITE_PIPS SITEPROP TIEOFF_X20Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y73 CLASS site SITEPROP TIEOFF_X20Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y73 IS_BONDED 0 SITEPROP TIEOFF_X20Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y73 IS_PAD 0 SITEPROP TIEOFF_X20Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y73 IS_RESERVED 0 SITEPROP TIEOFF_X20Y73 IS_TEST 0 SITEPROP TIEOFF_X20Y73 IS_USED 0 SITEPROP TIEOFF_X20Y73 MANUAL_ROUTING SITEPROP TIEOFF_X20Y73 NAME TIEOFF_X20Y73 SITEPROP TIEOFF_X20Y73 NUM_ARCS 0 SITEPROP TIEOFF_X20Y73 NUM_BELS 2 SITEPROP TIEOFF_X20Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y73 NUM_PINS 2 SITEPROP TIEOFF_X20Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y73 PROHIBIT 0 SITEPROP TIEOFF_X20Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y73 RPM_X 80 SITEPROP TIEOFF_X20Y73 RPM_Y 146 SITEPROP TIEOFF_X20Y73 SITE_PIPS SITEPROP TIEOFF_X20Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y74 CLASS site SITEPROP TIEOFF_X20Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y74 IS_BONDED 0 SITEPROP TIEOFF_X20Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y74 IS_PAD 0 SITEPROP TIEOFF_X20Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y74 IS_RESERVED 0 SITEPROP TIEOFF_X20Y74 IS_TEST 0 SITEPROP TIEOFF_X20Y74 IS_USED 0 SITEPROP TIEOFF_X20Y74 MANUAL_ROUTING SITEPROP TIEOFF_X20Y74 NAME TIEOFF_X20Y74 SITEPROP TIEOFF_X20Y74 NUM_ARCS 0 SITEPROP TIEOFF_X20Y74 NUM_BELS 2 SITEPROP TIEOFF_X20Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y74 NUM_PINS 2 SITEPROP TIEOFF_X20Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y74 PROHIBIT 0 SITEPROP TIEOFF_X20Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y74 RPM_X 80 SITEPROP TIEOFF_X20Y74 RPM_Y 148 SITEPROP TIEOFF_X20Y74 SITE_PIPS SITEPROP TIEOFF_X20Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y75 CLASS site SITEPROP TIEOFF_X20Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y75 IS_BONDED 0 SITEPROP TIEOFF_X20Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y75 IS_PAD 0 SITEPROP TIEOFF_X20Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y75 IS_RESERVED 0 SITEPROP TIEOFF_X20Y75 IS_TEST 0 SITEPROP TIEOFF_X20Y75 IS_USED 0 SITEPROP TIEOFF_X20Y75 MANUAL_ROUTING SITEPROP TIEOFF_X20Y75 NAME TIEOFF_X20Y75 SITEPROP TIEOFF_X20Y75 NUM_ARCS 0 SITEPROP TIEOFF_X20Y75 NUM_BELS 2 SITEPROP TIEOFF_X20Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y75 NUM_PINS 2 SITEPROP TIEOFF_X20Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y75 PROHIBIT 0 SITEPROP TIEOFF_X20Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y75 RPM_X 80 SITEPROP TIEOFF_X20Y75 RPM_Y 150 SITEPROP TIEOFF_X20Y75 SITE_PIPS SITEPROP TIEOFF_X20Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y76 CLASS site SITEPROP TIEOFF_X20Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y76 IS_BONDED 0 SITEPROP TIEOFF_X20Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y76 IS_PAD 0 SITEPROP TIEOFF_X20Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y76 IS_RESERVED 0 SITEPROP TIEOFF_X20Y76 IS_TEST 0 SITEPROP TIEOFF_X20Y76 IS_USED 0 SITEPROP TIEOFF_X20Y76 MANUAL_ROUTING SITEPROP TIEOFF_X20Y76 NAME TIEOFF_X20Y76 SITEPROP TIEOFF_X20Y76 NUM_ARCS 0 SITEPROP TIEOFF_X20Y76 NUM_BELS 2 SITEPROP TIEOFF_X20Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y76 NUM_PINS 2 SITEPROP TIEOFF_X20Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y76 PROHIBIT 0 SITEPROP TIEOFF_X20Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y76 RPM_X 80 SITEPROP TIEOFF_X20Y76 RPM_Y 152 SITEPROP TIEOFF_X20Y76 SITE_PIPS SITEPROP TIEOFF_X20Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y77 CLASS site SITEPROP TIEOFF_X20Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y77 IS_BONDED 0 SITEPROP TIEOFF_X20Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y77 IS_PAD 0 SITEPROP TIEOFF_X20Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y77 IS_RESERVED 0 SITEPROP TIEOFF_X20Y77 IS_TEST 0 SITEPROP TIEOFF_X20Y77 IS_USED 0 SITEPROP TIEOFF_X20Y77 MANUAL_ROUTING SITEPROP TIEOFF_X20Y77 NAME TIEOFF_X20Y77 SITEPROP TIEOFF_X20Y77 NUM_ARCS 0 SITEPROP TIEOFF_X20Y77 NUM_BELS 2 SITEPROP TIEOFF_X20Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y77 NUM_PINS 2 SITEPROP TIEOFF_X20Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y77 PROHIBIT 0 SITEPROP TIEOFF_X20Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y77 RPM_X 80 SITEPROP TIEOFF_X20Y77 RPM_Y 154 SITEPROP TIEOFF_X20Y77 SITE_PIPS SITEPROP TIEOFF_X20Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y78 CLASS site SITEPROP TIEOFF_X20Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y78 IS_BONDED 0 SITEPROP TIEOFF_X20Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y78 IS_PAD 0 SITEPROP TIEOFF_X20Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y78 IS_RESERVED 0 SITEPROP TIEOFF_X20Y78 IS_TEST 0 SITEPROP TIEOFF_X20Y78 IS_USED 0 SITEPROP TIEOFF_X20Y78 MANUAL_ROUTING SITEPROP TIEOFF_X20Y78 NAME TIEOFF_X20Y78 SITEPROP TIEOFF_X20Y78 NUM_ARCS 0 SITEPROP TIEOFF_X20Y78 NUM_BELS 2 SITEPROP TIEOFF_X20Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y78 NUM_PINS 2 SITEPROP TIEOFF_X20Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y78 PROHIBIT 0 SITEPROP TIEOFF_X20Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y78 RPM_X 80 SITEPROP TIEOFF_X20Y78 RPM_Y 156 SITEPROP TIEOFF_X20Y78 SITE_PIPS SITEPROP TIEOFF_X20Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y79 CLASS site SITEPROP TIEOFF_X20Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y79 IS_BONDED 0 SITEPROP TIEOFF_X20Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y79 IS_PAD 0 SITEPROP TIEOFF_X20Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y79 IS_RESERVED 0 SITEPROP TIEOFF_X20Y79 IS_TEST 0 SITEPROP TIEOFF_X20Y79 IS_USED 0 SITEPROP TIEOFF_X20Y79 MANUAL_ROUTING SITEPROP TIEOFF_X20Y79 NAME TIEOFF_X20Y79 SITEPROP TIEOFF_X20Y79 NUM_ARCS 0 SITEPROP TIEOFF_X20Y79 NUM_BELS 2 SITEPROP TIEOFF_X20Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y79 NUM_PINS 2 SITEPROP TIEOFF_X20Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y79 PROHIBIT 0 SITEPROP TIEOFF_X20Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y79 RPM_X 80 SITEPROP TIEOFF_X20Y79 RPM_Y 158 SITEPROP TIEOFF_X20Y79 SITE_PIPS SITEPROP TIEOFF_X20Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y80 CLASS site SITEPROP TIEOFF_X20Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y80 IS_BONDED 0 SITEPROP TIEOFF_X20Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y80 IS_PAD 0 SITEPROP TIEOFF_X20Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y80 IS_RESERVED 0 SITEPROP TIEOFF_X20Y80 IS_TEST 0 SITEPROP TIEOFF_X20Y80 IS_USED 0 SITEPROP TIEOFF_X20Y80 MANUAL_ROUTING SITEPROP TIEOFF_X20Y80 NAME TIEOFF_X20Y80 SITEPROP TIEOFF_X20Y80 NUM_ARCS 0 SITEPROP TIEOFF_X20Y80 NUM_BELS 2 SITEPROP TIEOFF_X20Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y80 NUM_PINS 2 SITEPROP TIEOFF_X20Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y80 PROHIBIT 0 SITEPROP TIEOFF_X20Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y80 RPM_X 80 SITEPROP TIEOFF_X20Y80 RPM_Y 160 SITEPROP TIEOFF_X20Y80 SITE_PIPS SITEPROP TIEOFF_X20Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y81 CLASS site SITEPROP TIEOFF_X20Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y81 IS_BONDED 0 SITEPROP TIEOFF_X20Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y81 IS_PAD 0 SITEPROP TIEOFF_X20Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y81 IS_RESERVED 0 SITEPROP TIEOFF_X20Y81 IS_TEST 0 SITEPROP TIEOFF_X20Y81 IS_USED 0 SITEPROP TIEOFF_X20Y81 MANUAL_ROUTING SITEPROP TIEOFF_X20Y81 NAME TIEOFF_X20Y81 SITEPROP TIEOFF_X20Y81 NUM_ARCS 0 SITEPROP TIEOFF_X20Y81 NUM_BELS 2 SITEPROP TIEOFF_X20Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y81 NUM_PINS 2 SITEPROP TIEOFF_X20Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y81 PROHIBIT 0 SITEPROP TIEOFF_X20Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y81 RPM_X 80 SITEPROP TIEOFF_X20Y81 RPM_Y 162 SITEPROP TIEOFF_X20Y81 SITE_PIPS SITEPROP TIEOFF_X20Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y82 CLASS site SITEPROP TIEOFF_X20Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y82 IS_BONDED 0 SITEPROP TIEOFF_X20Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y82 IS_PAD 0 SITEPROP TIEOFF_X20Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y82 IS_RESERVED 0 SITEPROP TIEOFF_X20Y82 IS_TEST 0 SITEPROP TIEOFF_X20Y82 IS_USED 0 SITEPROP TIEOFF_X20Y82 MANUAL_ROUTING SITEPROP TIEOFF_X20Y82 NAME TIEOFF_X20Y82 SITEPROP TIEOFF_X20Y82 NUM_ARCS 0 SITEPROP TIEOFF_X20Y82 NUM_BELS 2 SITEPROP TIEOFF_X20Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y82 NUM_PINS 2 SITEPROP TIEOFF_X20Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y82 PROHIBIT 0 SITEPROP TIEOFF_X20Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y82 RPM_X 80 SITEPROP TIEOFF_X20Y82 RPM_Y 164 SITEPROP TIEOFF_X20Y82 SITE_PIPS SITEPROP TIEOFF_X20Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y83 CLASS site SITEPROP TIEOFF_X20Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y83 IS_BONDED 0 SITEPROP TIEOFF_X20Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y83 IS_PAD 0 SITEPROP TIEOFF_X20Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y83 IS_RESERVED 0 SITEPROP TIEOFF_X20Y83 IS_TEST 0 SITEPROP TIEOFF_X20Y83 IS_USED 0 SITEPROP TIEOFF_X20Y83 MANUAL_ROUTING SITEPROP TIEOFF_X20Y83 NAME TIEOFF_X20Y83 SITEPROP TIEOFF_X20Y83 NUM_ARCS 0 SITEPROP TIEOFF_X20Y83 NUM_BELS 2 SITEPROP TIEOFF_X20Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y83 NUM_PINS 2 SITEPROP TIEOFF_X20Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y83 PROHIBIT 0 SITEPROP TIEOFF_X20Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y83 RPM_X 80 SITEPROP TIEOFF_X20Y83 RPM_Y 166 SITEPROP TIEOFF_X20Y83 SITE_PIPS SITEPROP TIEOFF_X20Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y84 CLASS site SITEPROP TIEOFF_X20Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y84 IS_BONDED 0 SITEPROP TIEOFF_X20Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y84 IS_PAD 0 SITEPROP TIEOFF_X20Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y84 IS_RESERVED 0 SITEPROP TIEOFF_X20Y84 IS_TEST 0 SITEPROP TIEOFF_X20Y84 IS_USED 0 SITEPROP TIEOFF_X20Y84 MANUAL_ROUTING SITEPROP TIEOFF_X20Y84 NAME TIEOFF_X20Y84 SITEPROP TIEOFF_X20Y84 NUM_ARCS 0 SITEPROP TIEOFF_X20Y84 NUM_BELS 2 SITEPROP TIEOFF_X20Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y84 NUM_PINS 2 SITEPROP TIEOFF_X20Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y84 PROHIBIT 0 SITEPROP TIEOFF_X20Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y84 RPM_X 80 SITEPROP TIEOFF_X20Y84 RPM_Y 168 SITEPROP TIEOFF_X20Y84 SITE_PIPS SITEPROP TIEOFF_X20Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y85 CLASS site SITEPROP TIEOFF_X20Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y85 IS_BONDED 0 SITEPROP TIEOFF_X20Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y85 IS_PAD 0 SITEPROP TIEOFF_X20Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y85 IS_RESERVED 0 SITEPROP TIEOFF_X20Y85 IS_TEST 0 SITEPROP TIEOFF_X20Y85 IS_USED 0 SITEPROP TIEOFF_X20Y85 MANUAL_ROUTING SITEPROP TIEOFF_X20Y85 NAME TIEOFF_X20Y85 SITEPROP TIEOFF_X20Y85 NUM_ARCS 0 SITEPROP TIEOFF_X20Y85 NUM_BELS 2 SITEPROP TIEOFF_X20Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y85 NUM_PINS 2 SITEPROP TIEOFF_X20Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y85 PROHIBIT 0 SITEPROP TIEOFF_X20Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y85 RPM_X 80 SITEPROP TIEOFF_X20Y85 RPM_Y 170 SITEPROP TIEOFF_X20Y85 SITE_PIPS SITEPROP TIEOFF_X20Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y86 CLASS site SITEPROP TIEOFF_X20Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y86 IS_BONDED 0 SITEPROP TIEOFF_X20Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y86 IS_PAD 0 SITEPROP TIEOFF_X20Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y86 IS_RESERVED 0 SITEPROP TIEOFF_X20Y86 IS_TEST 0 SITEPROP TIEOFF_X20Y86 IS_USED 0 SITEPROP TIEOFF_X20Y86 MANUAL_ROUTING SITEPROP TIEOFF_X20Y86 NAME TIEOFF_X20Y86 SITEPROP TIEOFF_X20Y86 NUM_ARCS 0 SITEPROP TIEOFF_X20Y86 NUM_BELS 2 SITEPROP TIEOFF_X20Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y86 NUM_PINS 2 SITEPROP TIEOFF_X20Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y86 PROHIBIT 0 SITEPROP TIEOFF_X20Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y86 RPM_X 80 SITEPROP TIEOFF_X20Y86 RPM_Y 172 SITEPROP TIEOFF_X20Y86 SITE_PIPS SITEPROP TIEOFF_X20Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y87 CLASS site SITEPROP TIEOFF_X20Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y87 IS_BONDED 0 SITEPROP TIEOFF_X20Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y87 IS_PAD 0 SITEPROP TIEOFF_X20Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y87 IS_RESERVED 0 SITEPROP TIEOFF_X20Y87 IS_TEST 0 SITEPROP TIEOFF_X20Y87 IS_USED 0 SITEPROP TIEOFF_X20Y87 MANUAL_ROUTING SITEPROP TIEOFF_X20Y87 NAME TIEOFF_X20Y87 SITEPROP TIEOFF_X20Y87 NUM_ARCS 0 SITEPROP TIEOFF_X20Y87 NUM_BELS 2 SITEPROP TIEOFF_X20Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y87 NUM_PINS 2 SITEPROP TIEOFF_X20Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y87 PROHIBIT 0 SITEPROP TIEOFF_X20Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y87 RPM_X 80 SITEPROP TIEOFF_X20Y87 RPM_Y 174 SITEPROP TIEOFF_X20Y87 SITE_PIPS SITEPROP TIEOFF_X20Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y88 CLASS site SITEPROP TIEOFF_X20Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y88 IS_BONDED 0 SITEPROP TIEOFF_X20Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y88 IS_PAD 0 SITEPROP TIEOFF_X20Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y88 IS_RESERVED 0 SITEPROP TIEOFF_X20Y88 IS_TEST 0 SITEPROP TIEOFF_X20Y88 IS_USED 0 SITEPROP TIEOFF_X20Y88 MANUAL_ROUTING SITEPROP TIEOFF_X20Y88 NAME TIEOFF_X20Y88 SITEPROP TIEOFF_X20Y88 NUM_ARCS 0 SITEPROP TIEOFF_X20Y88 NUM_BELS 2 SITEPROP TIEOFF_X20Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y88 NUM_PINS 2 SITEPROP TIEOFF_X20Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y88 PROHIBIT 0 SITEPROP TIEOFF_X20Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y88 RPM_X 80 SITEPROP TIEOFF_X20Y88 RPM_Y 176 SITEPROP TIEOFF_X20Y88 SITE_PIPS SITEPROP TIEOFF_X20Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y89 CLASS site SITEPROP TIEOFF_X20Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y89 IS_BONDED 0 SITEPROP TIEOFF_X20Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y89 IS_PAD 0 SITEPROP TIEOFF_X20Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y89 IS_RESERVED 0 SITEPROP TIEOFF_X20Y89 IS_TEST 0 SITEPROP TIEOFF_X20Y89 IS_USED 0 SITEPROP TIEOFF_X20Y89 MANUAL_ROUTING SITEPROP TIEOFF_X20Y89 NAME TIEOFF_X20Y89 SITEPROP TIEOFF_X20Y89 NUM_ARCS 0 SITEPROP TIEOFF_X20Y89 NUM_BELS 2 SITEPROP TIEOFF_X20Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y89 NUM_PINS 2 SITEPROP TIEOFF_X20Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y89 PROHIBIT 0 SITEPROP TIEOFF_X20Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y89 RPM_X 80 SITEPROP TIEOFF_X20Y89 RPM_Y 178 SITEPROP TIEOFF_X20Y89 SITE_PIPS SITEPROP TIEOFF_X20Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y90 CLASS site SITEPROP TIEOFF_X20Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y90 IS_BONDED 0 SITEPROP TIEOFF_X20Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y90 IS_PAD 0 SITEPROP TIEOFF_X20Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y90 IS_RESERVED 0 SITEPROP TIEOFF_X20Y90 IS_TEST 0 SITEPROP TIEOFF_X20Y90 IS_USED 0 SITEPROP TIEOFF_X20Y90 MANUAL_ROUTING SITEPROP TIEOFF_X20Y90 NAME TIEOFF_X20Y90 SITEPROP TIEOFF_X20Y90 NUM_ARCS 0 SITEPROP TIEOFF_X20Y90 NUM_BELS 2 SITEPROP TIEOFF_X20Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y90 NUM_PINS 2 SITEPROP TIEOFF_X20Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y90 PROHIBIT 0 SITEPROP TIEOFF_X20Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y90 RPM_X 80 SITEPROP TIEOFF_X20Y90 RPM_Y 180 SITEPROP TIEOFF_X20Y90 SITE_PIPS SITEPROP TIEOFF_X20Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y91 CLASS site SITEPROP TIEOFF_X20Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y91 IS_BONDED 0 SITEPROP TIEOFF_X20Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y91 IS_PAD 0 SITEPROP TIEOFF_X20Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y91 IS_RESERVED 0 SITEPROP TIEOFF_X20Y91 IS_TEST 0 SITEPROP TIEOFF_X20Y91 IS_USED 0 SITEPROP TIEOFF_X20Y91 MANUAL_ROUTING SITEPROP TIEOFF_X20Y91 NAME TIEOFF_X20Y91 SITEPROP TIEOFF_X20Y91 NUM_ARCS 0 SITEPROP TIEOFF_X20Y91 NUM_BELS 2 SITEPROP TIEOFF_X20Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y91 NUM_PINS 2 SITEPROP TIEOFF_X20Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y91 PROHIBIT 0 SITEPROP TIEOFF_X20Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y91 RPM_X 80 SITEPROP TIEOFF_X20Y91 RPM_Y 182 SITEPROP TIEOFF_X20Y91 SITE_PIPS SITEPROP TIEOFF_X20Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y92 CLASS site SITEPROP TIEOFF_X20Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y92 IS_BONDED 0 SITEPROP TIEOFF_X20Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y92 IS_PAD 0 SITEPROP TIEOFF_X20Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y92 IS_RESERVED 0 SITEPROP TIEOFF_X20Y92 IS_TEST 0 SITEPROP TIEOFF_X20Y92 IS_USED 0 SITEPROP TIEOFF_X20Y92 MANUAL_ROUTING SITEPROP TIEOFF_X20Y92 NAME TIEOFF_X20Y92 SITEPROP TIEOFF_X20Y92 NUM_ARCS 0 SITEPROP TIEOFF_X20Y92 NUM_BELS 2 SITEPROP TIEOFF_X20Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y92 NUM_PINS 2 SITEPROP TIEOFF_X20Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y92 PROHIBIT 0 SITEPROP TIEOFF_X20Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y92 RPM_X 80 SITEPROP TIEOFF_X20Y92 RPM_Y 184 SITEPROP TIEOFF_X20Y92 SITE_PIPS SITEPROP TIEOFF_X20Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y93 CLASS site SITEPROP TIEOFF_X20Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y93 IS_BONDED 0 SITEPROP TIEOFF_X20Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y93 IS_PAD 0 SITEPROP TIEOFF_X20Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y93 IS_RESERVED 0 SITEPROP TIEOFF_X20Y93 IS_TEST 0 SITEPROP TIEOFF_X20Y93 IS_USED 0 SITEPROP TIEOFF_X20Y93 MANUAL_ROUTING SITEPROP TIEOFF_X20Y93 NAME TIEOFF_X20Y93 SITEPROP TIEOFF_X20Y93 NUM_ARCS 0 SITEPROP TIEOFF_X20Y93 NUM_BELS 2 SITEPROP TIEOFF_X20Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y93 NUM_PINS 2 SITEPROP TIEOFF_X20Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y93 PROHIBIT 0 SITEPROP TIEOFF_X20Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y93 RPM_X 80 SITEPROP TIEOFF_X20Y93 RPM_Y 186 SITEPROP TIEOFF_X20Y93 SITE_PIPS SITEPROP TIEOFF_X20Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y94 CLASS site SITEPROP TIEOFF_X20Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y94 IS_BONDED 0 SITEPROP TIEOFF_X20Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y94 IS_PAD 0 SITEPROP TIEOFF_X20Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y94 IS_RESERVED 0 SITEPROP TIEOFF_X20Y94 IS_TEST 0 SITEPROP TIEOFF_X20Y94 IS_USED 0 SITEPROP TIEOFF_X20Y94 MANUAL_ROUTING SITEPROP TIEOFF_X20Y94 NAME TIEOFF_X20Y94 SITEPROP TIEOFF_X20Y94 NUM_ARCS 0 SITEPROP TIEOFF_X20Y94 NUM_BELS 2 SITEPROP TIEOFF_X20Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y94 NUM_PINS 2 SITEPROP TIEOFF_X20Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y94 PROHIBIT 0 SITEPROP TIEOFF_X20Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y94 RPM_X 80 SITEPROP TIEOFF_X20Y94 RPM_Y 188 SITEPROP TIEOFF_X20Y94 SITE_PIPS SITEPROP TIEOFF_X20Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y95 CLASS site SITEPROP TIEOFF_X20Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y95 IS_BONDED 0 SITEPROP TIEOFF_X20Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y95 IS_PAD 0 SITEPROP TIEOFF_X20Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y95 IS_RESERVED 0 SITEPROP TIEOFF_X20Y95 IS_TEST 0 SITEPROP TIEOFF_X20Y95 IS_USED 0 SITEPROP TIEOFF_X20Y95 MANUAL_ROUTING SITEPROP TIEOFF_X20Y95 NAME TIEOFF_X20Y95 SITEPROP TIEOFF_X20Y95 NUM_ARCS 0 SITEPROP TIEOFF_X20Y95 NUM_BELS 2 SITEPROP TIEOFF_X20Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y95 NUM_PINS 2 SITEPROP TIEOFF_X20Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y95 PROHIBIT 0 SITEPROP TIEOFF_X20Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y95 RPM_X 80 SITEPROP TIEOFF_X20Y95 RPM_Y 190 SITEPROP TIEOFF_X20Y95 SITE_PIPS SITEPROP TIEOFF_X20Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y96 CLASS site SITEPROP TIEOFF_X20Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y96 IS_BONDED 0 SITEPROP TIEOFF_X20Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y96 IS_PAD 0 SITEPROP TIEOFF_X20Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y96 IS_RESERVED 0 SITEPROP TIEOFF_X20Y96 IS_TEST 0 SITEPROP TIEOFF_X20Y96 IS_USED 0 SITEPROP TIEOFF_X20Y96 MANUAL_ROUTING SITEPROP TIEOFF_X20Y96 NAME TIEOFF_X20Y96 SITEPROP TIEOFF_X20Y96 NUM_ARCS 0 SITEPROP TIEOFF_X20Y96 NUM_BELS 2 SITEPROP TIEOFF_X20Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y96 NUM_PINS 2 SITEPROP TIEOFF_X20Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y96 PROHIBIT 0 SITEPROP TIEOFF_X20Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y96 RPM_X 80 SITEPROP TIEOFF_X20Y96 RPM_Y 192 SITEPROP TIEOFF_X20Y96 SITE_PIPS SITEPROP TIEOFF_X20Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y97 CLASS site SITEPROP TIEOFF_X20Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y97 IS_BONDED 0 SITEPROP TIEOFF_X20Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y97 IS_PAD 0 SITEPROP TIEOFF_X20Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y97 IS_RESERVED 0 SITEPROP TIEOFF_X20Y97 IS_TEST 0 SITEPROP TIEOFF_X20Y97 IS_USED 0 SITEPROP TIEOFF_X20Y97 MANUAL_ROUTING SITEPROP TIEOFF_X20Y97 NAME TIEOFF_X20Y97 SITEPROP TIEOFF_X20Y97 NUM_ARCS 0 SITEPROP TIEOFF_X20Y97 NUM_BELS 2 SITEPROP TIEOFF_X20Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y97 NUM_PINS 2 SITEPROP TIEOFF_X20Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y97 PROHIBIT 0 SITEPROP TIEOFF_X20Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y97 RPM_X 80 SITEPROP TIEOFF_X20Y97 RPM_Y 194 SITEPROP TIEOFF_X20Y97 SITE_PIPS SITEPROP TIEOFF_X20Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y98 CLASS site SITEPROP TIEOFF_X20Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y98 IS_BONDED 0 SITEPROP TIEOFF_X20Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y98 IS_PAD 0 SITEPROP TIEOFF_X20Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y98 IS_RESERVED 0 SITEPROP TIEOFF_X20Y98 IS_TEST 0 SITEPROP TIEOFF_X20Y98 IS_USED 0 SITEPROP TIEOFF_X20Y98 MANUAL_ROUTING SITEPROP TIEOFF_X20Y98 NAME TIEOFF_X20Y98 SITEPROP TIEOFF_X20Y98 NUM_ARCS 0 SITEPROP TIEOFF_X20Y98 NUM_BELS 2 SITEPROP TIEOFF_X20Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y98 NUM_PINS 2 SITEPROP TIEOFF_X20Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y98 PROHIBIT 0 SITEPROP TIEOFF_X20Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y98 RPM_X 80 SITEPROP TIEOFF_X20Y98 RPM_Y 196 SITEPROP TIEOFF_X20Y98 SITE_PIPS SITEPROP TIEOFF_X20Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y99 CLASS site SITEPROP TIEOFF_X20Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X20Y99 IS_BONDED 0 SITEPROP TIEOFF_X20Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y99 IS_PAD 0 SITEPROP TIEOFF_X20Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y99 IS_RESERVED 0 SITEPROP TIEOFF_X20Y99 IS_TEST 0 SITEPROP TIEOFF_X20Y99 IS_USED 0 SITEPROP TIEOFF_X20Y99 MANUAL_ROUTING SITEPROP TIEOFF_X20Y99 NAME TIEOFF_X20Y99 SITEPROP TIEOFF_X20Y99 NUM_ARCS 0 SITEPROP TIEOFF_X20Y99 NUM_BELS 2 SITEPROP TIEOFF_X20Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y99 NUM_PINS 2 SITEPROP TIEOFF_X20Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y99 PROHIBIT 0 SITEPROP TIEOFF_X20Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y99 RPM_X 80 SITEPROP TIEOFF_X20Y99 RPM_Y 198 SITEPROP TIEOFF_X20Y99 SITE_PIPS SITEPROP TIEOFF_X20Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y100 CLASS site SITEPROP TIEOFF_X20Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y100 IS_BONDED 0 SITEPROP TIEOFF_X20Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y100 IS_PAD 0 SITEPROP TIEOFF_X20Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y100 IS_RESERVED 0 SITEPROP TIEOFF_X20Y100 IS_TEST 0 SITEPROP TIEOFF_X20Y100 IS_USED 0 SITEPROP TIEOFF_X20Y100 MANUAL_ROUTING SITEPROP TIEOFF_X20Y100 NAME TIEOFF_X20Y100 SITEPROP TIEOFF_X20Y100 NUM_ARCS 0 SITEPROP TIEOFF_X20Y100 NUM_BELS 2 SITEPROP TIEOFF_X20Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y100 NUM_PINS 2 SITEPROP TIEOFF_X20Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y100 PROHIBIT 0 SITEPROP TIEOFF_X20Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y100 RPM_X 80 SITEPROP TIEOFF_X20Y100 RPM_Y 200 SITEPROP TIEOFF_X20Y100 SITE_PIPS SITEPROP TIEOFF_X20Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y101 CLASS site SITEPROP TIEOFF_X20Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y101 IS_BONDED 0 SITEPROP TIEOFF_X20Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y101 IS_PAD 0 SITEPROP TIEOFF_X20Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y101 IS_RESERVED 0 SITEPROP TIEOFF_X20Y101 IS_TEST 0 SITEPROP TIEOFF_X20Y101 IS_USED 0 SITEPROP TIEOFF_X20Y101 MANUAL_ROUTING SITEPROP TIEOFF_X20Y101 NAME TIEOFF_X20Y101 SITEPROP TIEOFF_X20Y101 NUM_ARCS 0 SITEPROP TIEOFF_X20Y101 NUM_BELS 2 SITEPROP TIEOFF_X20Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y101 NUM_PINS 2 SITEPROP TIEOFF_X20Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y101 PROHIBIT 0 SITEPROP TIEOFF_X20Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y101 RPM_X 80 SITEPROP TIEOFF_X20Y101 RPM_Y 202 SITEPROP TIEOFF_X20Y101 SITE_PIPS SITEPROP TIEOFF_X20Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y102 CLASS site SITEPROP TIEOFF_X20Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y102 IS_BONDED 0 SITEPROP TIEOFF_X20Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y102 IS_PAD 0 SITEPROP TIEOFF_X20Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y102 IS_RESERVED 0 SITEPROP TIEOFF_X20Y102 IS_TEST 0 SITEPROP TIEOFF_X20Y102 IS_USED 0 SITEPROP TIEOFF_X20Y102 MANUAL_ROUTING SITEPROP TIEOFF_X20Y102 NAME TIEOFF_X20Y102 SITEPROP TIEOFF_X20Y102 NUM_ARCS 0 SITEPROP TIEOFF_X20Y102 NUM_BELS 2 SITEPROP TIEOFF_X20Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y102 NUM_PINS 2 SITEPROP TIEOFF_X20Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y102 PROHIBIT 0 SITEPROP TIEOFF_X20Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y102 RPM_X 80 SITEPROP TIEOFF_X20Y102 RPM_Y 204 SITEPROP TIEOFF_X20Y102 SITE_PIPS SITEPROP TIEOFF_X20Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y103 CLASS site SITEPROP TIEOFF_X20Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y103 IS_BONDED 0 SITEPROP TIEOFF_X20Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y103 IS_PAD 0 SITEPROP TIEOFF_X20Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y103 IS_RESERVED 0 SITEPROP TIEOFF_X20Y103 IS_TEST 0 SITEPROP TIEOFF_X20Y103 IS_USED 0 SITEPROP TIEOFF_X20Y103 MANUAL_ROUTING SITEPROP TIEOFF_X20Y103 NAME TIEOFF_X20Y103 SITEPROP TIEOFF_X20Y103 NUM_ARCS 0 SITEPROP TIEOFF_X20Y103 NUM_BELS 2 SITEPROP TIEOFF_X20Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y103 NUM_PINS 2 SITEPROP TIEOFF_X20Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y103 PROHIBIT 0 SITEPROP TIEOFF_X20Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y103 RPM_X 80 SITEPROP TIEOFF_X20Y103 RPM_Y 206 SITEPROP TIEOFF_X20Y103 SITE_PIPS SITEPROP TIEOFF_X20Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y104 CLASS site SITEPROP TIEOFF_X20Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y104 IS_BONDED 0 SITEPROP TIEOFF_X20Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y104 IS_PAD 0 SITEPROP TIEOFF_X20Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y104 IS_RESERVED 0 SITEPROP TIEOFF_X20Y104 IS_TEST 0 SITEPROP TIEOFF_X20Y104 IS_USED 0 SITEPROP TIEOFF_X20Y104 MANUAL_ROUTING SITEPROP TIEOFF_X20Y104 NAME TIEOFF_X20Y104 SITEPROP TIEOFF_X20Y104 NUM_ARCS 0 SITEPROP TIEOFF_X20Y104 NUM_BELS 2 SITEPROP TIEOFF_X20Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y104 NUM_PINS 2 SITEPROP TIEOFF_X20Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y104 PROHIBIT 0 SITEPROP TIEOFF_X20Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y104 RPM_X 80 SITEPROP TIEOFF_X20Y104 RPM_Y 208 SITEPROP TIEOFF_X20Y104 SITE_PIPS SITEPROP TIEOFF_X20Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y105 CLASS site SITEPROP TIEOFF_X20Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y105 IS_BONDED 0 SITEPROP TIEOFF_X20Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y105 IS_PAD 0 SITEPROP TIEOFF_X20Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y105 IS_RESERVED 0 SITEPROP TIEOFF_X20Y105 IS_TEST 0 SITEPROP TIEOFF_X20Y105 IS_USED 0 SITEPROP TIEOFF_X20Y105 MANUAL_ROUTING SITEPROP TIEOFF_X20Y105 NAME TIEOFF_X20Y105 SITEPROP TIEOFF_X20Y105 NUM_ARCS 0 SITEPROP TIEOFF_X20Y105 NUM_BELS 2 SITEPROP TIEOFF_X20Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y105 NUM_PINS 2 SITEPROP TIEOFF_X20Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y105 PROHIBIT 0 SITEPROP TIEOFF_X20Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y105 RPM_X 80 SITEPROP TIEOFF_X20Y105 RPM_Y 210 SITEPROP TIEOFF_X20Y105 SITE_PIPS SITEPROP TIEOFF_X20Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y106 CLASS site SITEPROP TIEOFF_X20Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y106 IS_BONDED 0 SITEPROP TIEOFF_X20Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y106 IS_PAD 0 SITEPROP TIEOFF_X20Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y106 IS_RESERVED 0 SITEPROP TIEOFF_X20Y106 IS_TEST 0 SITEPROP TIEOFF_X20Y106 IS_USED 0 SITEPROP TIEOFF_X20Y106 MANUAL_ROUTING SITEPROP TIEOFF_X20Y106 NAME TIEOFF_X20Y106 SITEPROP TIEOFF_X20Y106 NUM_ARCS 0 SITEPROP TIEOFF_X20Y106 NUM_BELS 2 SITEPROP TIEOFF_X20Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y106 NUM_PINS 2 SITEPROP TIEOFF_X20Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y106 PROHIBIT 0 SITEPROP TIEOFF_X20Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y106 RPM_X 80 SITEPROP TIEOFF_X20Y106 RPM_Y 212 SITEPROP TIEOFF_X20Y106 SITE_PIPS SITEPROP TIEOFF_X20Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y107 CLASS site SITEPROP TIEOFF_X20Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y107 IS_BONDED 0 SITEPROP TIEOFF_X20Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y107 IS_PAD 0 SITEPROP TIEOFF_X20Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y107 IS_RESERVED 0 SITEPROP TIEOFF_X20Y107 IS_TEST 0 SITEPROP TIEOFF_X20Y107 IS_USED 0 SITEPROP TIEOFF_X20Y107 MANUAL_ROUTING SITEPROP TIEOFF_X20Y107 NAME TIEOFF_X20Y107 SITEPROP TIEOFF_X20Y107 NUM_ARCS 0 SITEPROP TIEOFF_X20Y107 NUM_BELS 2 SITEPROP TIEOFF_X20Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y107 NUM_PINS 2 SITEPROP TIEOFF_X20Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y107 PROHIBIT 0 SITEPROP TIEOFF_X20Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y107 RPM_X 80 SITEPROP TIEOFF_X20Y107 RPM_Y 214 SITEPROP TIEOFF_X20Y107 SITE_PIPS SITEPROP TIEOFF_X20Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y108 CLASS site SITEPROP TIEOFF_X20Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y108 IS_BONDED 0 SITEPROP TIEOFF_X20Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y108 IS_PAD 0 SITEPROP TIEOFF_X20Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y108 IS_RESERVED 0 SITEPROP TIEOFF_X20Y108 IS_TEST 0 SITEPROP TIEOFF_X20Y108 IS_USED 0 SITEPROP TIEOFF_X20Y108 MANUAL_ROUTING SITEPROP TIEOFF_X20Y108 NAME TIEOFF_X20Y108 SITEPROP TIEOFF_X20Y108 NUM_ARCS 0 SITEPROP TIEOFF_X20Y108 NUM_BELS 2 SITEPROP TIEOFF_X20Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y108 NUM_PINS 2 SITEPROP TIEOFF_X20Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y108 PROHIBIT 0 SITEPROP TIEOFF_X20Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y108 RPM_X 80 SITEPROP TIEOFF_X20Y108 RPM_Y 216 SITEPROP TIEOFF_X20Y108 SITE_PIPS SITEPROP TIEOFF_X20Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y109 CLASS site SITEPROP TIEOFF_X20Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y109 IS_BONDED 0 SITEPROP TIEOFF_X20Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y109 IS_PAD 0 SITEPROP TIEOFF_X20Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y109 IS_RESERVED 0 SITEPROP TIEOFF_X20Y109 IS_TEST 0 SITEPROP TIEOFF_X20Y109 IS_USED 0 SITEPROP TIEOFF_X20Y109 MANUAL_ROUTING SITEPROP TIEOFF_X20Y109 NAME TIEOFF_X20Y109 SITEPROP TIEOFF_X20Y109 NUM_ARCS 0 SITEPROP TIEOFF_X20Y109 NUM_BELS 2 SITEPROP TIEOFF_X20Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y109 NUM_PINS 2 SITEPROP TIEOFF_X20Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y109 PROHIBIT 0 SITEPROP TIEOFF_X20Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y109 RPM_X 80 SITEPROP TIEOFF_X20Y109 RPM_Y 218 SITEPROP TIEOFF_X20Y109 SITE_PIPS SITEPROP TIEOFF_X20Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y110 CLASS site SITEPROP TIEOFF_X20Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y110 IS_BONDED 0 SITEPROP TIEOFF_X20Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y110 IS_PAD 0 SITEPROP TIEOFF_X20Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y110 IS_RESERVED 0 SITEPROP TIEOFF_X20Y110 IS_TEST 0 SITEPROP TIEOFF_X20Y110 IS_USED 0 SITEPROP TIEOFF_X20Y110 MANUAL_ROUTING SITEPROP TIEOFF_X20Y110 NAME TIEOFF_X20Y110 SITEPROP TIEOFF_X20Y110 NUM_ARCS 0 SITEPROP TIEOFF_X20Y110 NUM_BELS 2 SITEPROP TIEOFF_X20Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y110 NUM_PINS 2 SITEPROP TIEOFF_X20Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y110 PROHIBIT 0 SITEPROP TIEOFF_X20Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y110 RPM_X 80 SITEPROP TIEOFF_X20Y110 RPM_Y 220 SITEPROP TIEOFF_X20Y110 SITE_PIPS SITEPROP TIEOFF_X20Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y111 CLASS site SITEPROP TIEOFF_X20Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y111 IS_BONDED 0 SITEPROP TIEOFF_X20Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y111 IS_PAD 0 SITEPROP TIEOFF_X20Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y111 IS_RESERVED 0 SITEPROP TIEOFF_X20Y111 IS_TEST 0 SITEPROP TIEOFF_X20Y111 IS_USED 0 SITEPROP TIEOFF_X20Y111 MANUAL_ROUTING SITEPROP TIEOFF_X20Y111 NAME TIEOFF_X20Y111 SITEPROP TIEOFF_X20Y111 NUM_ARCS 0 SITEPROP TIEOFF_X20Y111 NUM_BELS 2 SITEPROP TIEOFF_X20Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y111 NUM_PINS 2 SITEPROP TIEOFF_X20Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y111 PROHIBIT 0 SITEPROP TIEOFF_X20Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y111 RPM_X 80 SITEPROP TIEOFF_X20Y111 RPM_Y 222 SITEPROP TIEOFF_X20Y111 SITE_PIPS SITEPROP TIEOFF_X20Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y112 CLASS site SITEPROP TIEOFF_X20Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y112 IS_BONDED 0 SITEPROP TIEOFF_X20Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y112 IS_PAD 0 SITEPROP TIEOFF_X20Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y112 IS_RESERVED 0 SITEPROP TIEOFF_X20Y112 IS_TEST 0 SITEPROP TIEOFF_X20Y112 IS_USED 0 SITEPROP TIEOFF_X20Y112 MANUAL_ROUTING SITEPROP TIEOFF_X20Y112 NAME TIEOFF_X20Y112 SITEPROP TIEOFF_X20Y112 NUM_ARCS 0 SITEPROP TIEOFF_X20Y112 NUM_BELS 2 SITEPROP TIEOFF_X20Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y112 NUM_PINS 2 SITEPROP TIEOFF_X20Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y112 PROHIBIT 0 SITEPROP TIEOFF_X20Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y112 RPM_X 80 SITEPROP TIEOFF_X20Y112 RPM_Y 224 SITEPROP TIEOFF_X20Y112 SITE_PIPS SITEPROP TIEOFF_X20Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y113 CLASS site SITEPROP TIEOFF_X20Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y113 IS_BONDED 0 SITEPROP TIEOFF_X20Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y113 IS_PAD 0 SITEPROP TIEOFF_X20Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y113 IS_RESERVED 0 SITEPROP TIEOFF_X20Y113 IS_TEST 0 SITEPROP TIEOFF_X20Y113 IS_USED 0 SITEPROP TIEOFF_X20Y113 MANUAL_ROUTING SITEPROP TIEOFF_X20Y113 NAME TIEOFF_X20Y113 SITEPROP TIEOFF_X20Y113 NUM_ARCS 0 SITEPROP TIEOFF_X20Y113 NUM_BELS 2 SITEPROP TIEOFF_X20Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y113 NUM_PINS 2 SITEPROP TIEOFF_X20Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y113 PROHIBIT 0 SITEPROP TIEOFF_X20Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y113 RPM_X 80 SITEPROP TIEOFF_X20Y113 RPM_Y 226 SITEPROP TIEOFF_X20Y113 SITE_PIPS SITEPROP TIEOFF_X20Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y114 CLASS site SITEPROP TIEOFF_X20Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y114 IS_BONDED 0 SITEPROP TIEOFF_X20Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y114 IS_PAD 0 SITEPROP TIEOFF_X20Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y114 IS_RESERVED 0 SITEPROP TIEOFF_X20Y114 IS_TEST 0 SITEPROP TIEOFF_X20Y114 IS_USED 0 SITEPROP TIEOFF_X20Y114 MANUAL_ROUTING SITEPROP TIEOFF_X20Y114 NAME TIEOFF_X20Y114 SITEPROP TIEOFF_X20Y114 NUM_ARCS 0 SITEPROP TIEOFF_X20Y114 NUM_BELS 2 SITEPROP TIEOFF_X20Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y114 NUM_PINS 2 SITEPROP TIEOFF_X20Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y114 PROHIBIT 0 SITEPROP TIEOFF_X20Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y114 RPM_X 80 SITEPROP TIEOFF_X20Y114 RPM_Y 228 SITEPROP TIEOFF_X20Y114 SITE_PIPS SITEPROP TIEOFF_X20Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y115 CLASS site SITEPROP TIEOFF_X20Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y115 IS_BONDED 0 SITEPROP TIEOFF_X20Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y115 IS_PAD 0 SITEPROP TIEOFF_X20Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y115 IS_RESERVED 0 SITEPROP TIEOFF_X20Y115 IS_TEST 0 SITEPROP TIEOFF_X20Y115 IS_USED 0 SITEPROP TIEOFF_X20Y115 MANUAL_ROUTING SITEPROP TIEOFF_X20Y115 NAME TIEOFF_X20Y115 SITEPROP TIEOFF_X20Y115 NUM_ARCS 0 SITEPROP TIEOFF_X20Y115 NUM_BELS 2 SITEPROP TIEOFF_X20Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y115 NUM_PINS 2 SITEPROP TIEOFF_X20Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y115 PROHIBIT 0 SITEPROP TIEOFF_X20Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y115 RPM_X 80 SITEPROP TIEOFF_X20Y115 RPM_Y 230 SITEPROP TIEOFF_X20Y115 SITE_PIPS SITEPROP TIEOFF_X20Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y116 CLASS site SITEPROP TIEOFF_X20Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y116 IS_BONDED 0 SITEPROP TIEOFF_X20Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y116 IS_PAD 0 SITEPROP TIEOFF_X20Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y116 IS_RESERVED 0 SITEPROP TIEOFF_X20Y116 IS_TEST 0 SITEPROP TIEOFF_X20Y116 IS_USED 0 SITEPROP TIEOFF_X20Y116 MANUAL_ROUTING SITEPROP TIEOFF_X20Y116 NAME TIEOFF_X20Y116 SITEPROP TIEOFF_X20Y116 NUM_ARCS 0 SITEPROP TIEOFF_X20Y116 NUM_BELS 2 SITEPROP TIEOFF_X20Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y116 NUM_PINS 2 SITEPROP TIEOFF_X20Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y116 PROHIBIT 0 SITEPROP TIEOFF_X20Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y116 RPM_X 80 SITEPROP TIEOFF_X20Y116 RPM_Y 232 SITEPROP TIEOFF_X20Y116 SITE_PIPS SITEPROP TIEOFF_X20Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y117 CLASS site SITEPROP TIEOFF_X20Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y117 IS_BONDED 0 SITEPROP TIEOFF_X20Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y117 IS_PAD 0 SITEPROP TIEOFF_X20Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y117 IS_RESERVED 0 SITEPROP TIEOFF_X20Y117 IS_TEST 0 SITEPROP TIEOFF_X20Y117 IS_USED 0 SITEPROP TIEOFF_X20Y117 MANUAL_ROUTING SITEPROP TIEOFF_X20Y117 NAME TIEOFF_X20Y117 SITEPROP TIEOFF_X20Y117 NUM_ARCS 0 SITEPROP TIEOFF_X20Y117 NUM_BELS 2 SITEPROP TIEOFF_X20Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y117 NUM_PINS 2 SITEPROP TIEOFF_X20Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y117 PROHIBIT 0 SITEPROP TIEOFF_X20Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y117 RPM_X 80 SITEPROP TIEOFF_X20Y117 RPM_Y 234 SITEPROP TIEOFF_X20Y117 SITE_PIPS SITEPROP TIEOFF_X20Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y118 CLASS site SITEPROP TIEOFF_X20Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y118 IS_BONDED 0 SITEPROP TIEOFF_X20Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y118 IS_PAD 0 SITEPROP TIEOFF_X20Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y118 IS_RESERVED 0 SITEPROP TIEOFF_X20Y118 IS_TEST 0 SITEPROP TIEOFF_X20Y118 IS_USED 0 SITEPROP TIEOFF_X20Y118 MANUAL_ROUTING SITEPROP TIEOFF_X20Y118 NAME TIEOFF_X20Y118 SITEPROP TIEOFF_X20Y118 NUM_ARCS 0 SITEPROP TIEOFF_X20Y118 NUM_BELS 2 SITEPROP TIEOFF_X20Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y118 NUM_PINS 2 SITEPROP TIEOFF_X20Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y118 PROHIBIT 0 SITEPROP TIEOFF_X20Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y118 RPM_X 80 SITEPROP TIEOFF_X20Y118 RPM_Y 236 SITEPROP TIEOFF_X20Y118 SITE_PIPS SITEPROP TIEOFF_X20Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y119 CLASS site SITEPROP TIEOFF_X20Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y119 IS_BONDED 0 SITEPROP TIEOFF_X20Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y119 IS_PAD 0 SITEPROP TIEOFF_X20Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y119 IS_RESERVED 0 SITEPROP TIEOFF_X20Y119 IS_TEST 0 SITEPROP TIEOFF_X20Y119 IS_USED 0 SITEPROP TIEOFF_X20Y119 MANUAL_ROUTING SITEPROP TIEOFF_X20Y119 NAME TIEOFF_X20Y119 SITEPROP TIEOFF_X20Y119 NUM_ARCS 0 SITEPROP TIEOFF_X20Y119 NUM_BELS 2 SITEPROP TIEOFF_X20Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y119 NUM_PINS 2 SITEPROP TIEOFF_X20Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y119 PROHIBIT 0 SITEPROP TIEOFF_X20Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y119 RPM_X 80 SITEPROP TIEOFF_X20Y119 RPM_Y 238 SITEPROP TIEOFF_X20Y119 SITE_PIPS SITEPROP TIEOFF_X20Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y120 CLASS site SITEPROP TIEOFF_X20Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y120 IS_BONDED 0 SITEPROP TIEOFF_X20Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y120 IS_PAD 0 SITEPROP TIEOFF_X20Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y120 IS_RESERVED 0 SITEPROP TIEOFF_X20Y120 IS_TEST 0 SITEPROP TIEOFF_X20Y120 IS_USED 0 SITEPROP TIEOFF_X20Y120 MANUAL_ROUTING SITEPROP TIEOFF_X20Y120 NAME TIEOFF_X20Y120 SITEPROP TIEOFF_X20Y120 NUM_ARCS 0 SITEPROP TIEOFF_X20Y120 NUM_BELS 2 SITEPROP TIEOFF_X20Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y120 NUM_PINS 2 SITEPROP TIEOFF_X20Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y120 PROHIBIT 0 SITEPROP TIEOFF_X20Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y120 RPM_X 80 SITEPROP TIEOFF_X20Y120 RPM_Y 240 SITEPROP TIEOFF_X20Y120 SITE_PIPS SITEPROP TIEOFF_X20Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y121 CLASS site SITEPROP TIEOFF_X20Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y121 IS_BONDED 0 SITEPROP TIEOFF_X20Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y121 IS_PAD 0 SITEPROP TIEOFF_X20Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y121 IS_RESERVED 0 SITEPROP TIEOFF_X20Y121 IS_TEST 0 SITEPROP TIEOFF_X20Y121 IS_USED 0 SITEPROP TIEOFF_X20Y121 MANUAL_ROUTING SITEPROP TIEOFF_X20Y121 NAME TIEOFF_X20Y121 SITEPROP TIEOFF_X20Y121 NUM_ARCS 0 SITEPROP TIEOFF_X20Y121 NUM_BELS 2 SITEPROP TIEOFF_X20Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y121 NUM_PINS 2 SITEPROP TIEOFF_X20Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y121 PROHIBIT 0 SITEPROP TIEOFF_X20Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y121 RPM_X 80 SITEPROP TIEOFF_X20Y121 RPM_Y 242 SITEPROP TIEOFF_X20Y121 SITE_PIPS SITEPROP TIEOFF_X20Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y122 CLASS site SITEPROP TIEOFF_X20Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y122 IS_BONDED 0 SITEPROP TIEOFF_X20Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y122 IS_PAD 0 SITEPROP TIEOFF_X20Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y122 IS_RESERVED 0 SITEPROP TIEOFF_X20Y122 IS_TEST 0 SITEPROP TIEOFF_X20Y122 IS_USED 0 SITEPROP TIEOFF_X20Y122 MANUAL_ROUTING SITEPROP TIEOFF_X20Y122 NAME TIEOFF_X20Y122 SITEPROP TIEOFF_X20Y122 NUM_ARCS 0 SITEPROP TIEOFF_X20Y122 NUM_BELS 2 SITEPROP TIEOFF_X20Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y122 NUM_PINS 2 SITEPROP TIEOFF_X20Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y122 PROHIBIT 0 SITEPROP TIEOFF_X20Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y122 RPM_X 80 SITEPROP TIEOFF_X20Y122 RPM_Y 244 SITEPROP TIEOFF_X20Y122 SITE_PIPS SITEPROP TIEOFF_X20Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y123 CLASS site SITEPROP TIEOFF_X20Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y123 IS_BONDED 0 SITEPROP TIEOFF_X20Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y123 IS_PAD 0 SITEPROP TIEOFF_X20Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y123 IS_RESERVED 0 SITEPROP TIEOFF_X20Y123 IS_TEST 0 SITEPROP TIEOFF_X20Y123 IS_USED 0 SITEPROP TIEOFF_X20Y123 MANUAL_ROUTING SITEPROP TIEOFF_X20Y123 NAME TIEOFF_X20Y123 SITEPROP TIEOFF_X20Y123 NUM_ARCS 0 SITEPROP TIEOFF_X20Y123 NUM_BELS 2 SITEPROP TIEOFF_X20Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y123 NUM_PINS 2 SITEPROP TIEOFF_X20Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y123 PROHIBIT 0 SITEPROP TIEOFF_X20Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y123 RPM_X 80 SITEPROP TIEOFF_X20Y123 RPM_Y 246 SITEPROP TIEOFF_X20Y123 SITE_PIPS SITEPROP TIEOFF_X20Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y124 CLASS site SITEPROP TIEOFF_X20Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y124 IS_BONDED 0 SITEPROP TIEOFF_X20Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y124 IS_PAD 0 SITEPROP TIEOFF_X20Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y124 IS_RESERVED 0 SITEPROP TIEOFF_X20Y124 IS_TEST 0 SITEPROP TIEOFF_X20Y124 IS_USED 0 SITEPROP TIEOFF_X20Y124 MANUAL_ROUTING SITEPROP TIEOFF_X20Y124 NAME TIEOFF_X20Y124 SITEPROP TIEOFF_X20Y124 NUM_ARCS 0 SITEPROP TIEOFF_X20Y124 NUM_BELS 2 SITEPROP TIEOFF_X20Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y124 NUM_PINS 2 SITEPROP TIEOFF_X20Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y124 PROHIBIT 0 SITEPROP TIEOFF_X20Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y124 RPM_X 80 SITEPROP TIEOFF_X20Y124 RPM_Y 248 SITEPROP TIEOFF_X20Y124 SITE_PIPS SITEPROP TIEOFF_X20Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y125 CLASS site SITEPROP TIEOFF_X20Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y125 IS_BONDED 0 SITEPROP TIEOFF_X20Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y125 IS_PAD 0 SITEPROP TIEOFF_X20Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y125 IS_RESERVED 0 SITEPROP TIEOFF_X20Y125 IS_TEST 0 SITEPROP TIEOFF_X20Y125 IS_USED 0 SITEPROP TIEOFF_X20Y125 MANUAL_ROUTING SITEPROP TIEOFF_X20Y125 NAME TIEOFF_X20Y125 SITEPROP TIEOFF_X20Y125 NUM_ARCS 0 SITEPROP TIEOFF_X20Y125 NUM_BELS 2 SITEPROP TIEOFF_X20Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y125 NUM_PINS 2 SITEPROP TIEOFF_X20Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y125 PROHIBIT 0 SITEPROP TIEOFF_X20Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y125 RPM_X 80 SITEPROP TIEOFF_X20Y125 RPM_Y 250 SITEPROP TIEOFF_X20Y125 SITE_PIPS SITEPROP TIEOFF_X20Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y126 CLASS site SITEPROP TIEOFF_X20Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y126 IS_BONDED 0 SITEPROP TIEOFF_X20Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y126 IS_PAD 0 SITEPROP TIEOFF_X20Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y126 IS_RESERVED 0 SITEPROP TIEOFF_X20Y126 IS_TEST 0 SITEPROP TIEOFF_X20Y126 IS_USED 0 SITEPROP TIEOFF_X20Y126 MANUAL_ROUTING SITEPROP TIEOFF_X20Y126 NAME TIEOFF_X20Y126 SITEPROP TIEOFF_X20Y126 NUM_ARCS 0 SITEPROP TIEOFF_X20Y126 NUM_BELS 2 SITEPROP TIEOFF_X20Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y126 NUM_PINS 2 SITEPROP TIEOFF_X20Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y126 PROHIBIT 0 SITEPROP TIEOFF_X20Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y126 RPM_X 80 SITEPROP TIEOFF_X20Y126 RPM_Y 252 SITEPROP TIEOFF_X20Y126 SITE_PIPS SITEPROP TIEOFF_X20Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y127 CLASS site SITEPROP TIEOFF_X20Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y127 IS_BONDED 0 SITEPROP TIEOFF_X20Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y127 IS_PAD 0 SITEPROP TIEOFF_X20Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y127 IS_RESERVED 0 SITEPROP TIEOFF_X20Y127 IS_TEST 0 SITEPROP TIEOFF_X20Y127 IS_USED 0 SITEPROP TIEOFF_X20Y127 MANUAL_ROUTING SITEPROP TIEOFF_X20Y127 NAME TIEOFF_X20Y127 SITEPROP TIEOFF_X20Y127 NUM_ARCS 0 SITEPROP TIEOFF_X20Y127 NUM_BELS 2 SITEPROP TIEOFF_X20Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y127 NUM_PINS 2 SITEPROP TIEOFF_X20Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y127 PROHIBIT 0 SITEPROP TIEOFF_X20Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y127 RPM_X 80 SITEPROP TIEOFF_X20Y127 RPM_Y 254 SITEPROP TIEOFF_X20Y127 SITE_PIPS SITEPROP TIEOFF_X20Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y128 CLASS site SITEPROP TIEOFF_X20Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y128 IS_BONDED 0 SITEPROP TIEOFF_X20Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y128 IS_PAD 0 SITEPROP TIEOFF_X20Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y128 IS_RESERVED 0 SITEPROP TIEOFF_X20Y128 IS_TEST 0 SITEPROP TIEOFF_X20Y128 IS_USED 0 SITEPROP TIEOFF_X20Y128 MANUAL_ROUTING SITEPROP TIEOFF_X20Y128 NAME TIEOFF_X20Y128 SITEPROP TIEOFF_X20Y128 NUM_ARCS 0 SITEPROP TIEOFF_X20Y128 NUM_BELS 2 SITEPROP TIEOFF_X20Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y128 NUM_PINS 2 SITEPROP TIEOFF_X20Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y128 PROHIBIT 0 SITEPROP TIEOFF_X20Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y128 RPM_X 80 SITEPROP TIEOFF_X20Y128 RPM_Y 256 SITEPROP TIEOFF_X20Y128 SITE_PIPS SITEPROP TIEOFF_X20Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y129 CLASS site SITEPROP TIEOFF_X20Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y129 IS_BONDED 0 SITEPROP TIEOFF_X20Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y129 IS_PAD 0 SITEPROP TIEOFF_X20Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y129 IS_RESERVED 0 SITEPROP TIEOFF_X20Y129 IS_TEST 0 SITEPROP TIEOFF_X20Y129 IS_USED 0 SITEPROP TIEOFF_X20Y129 MANUAL_ROUTING SITEPROP TIEOFF_X20Y129 NAME TIEOFF_X20Y129 SITEPROP TIEOFF_X20Y129 NUM_ARCS 0 SITEPROP TIEOFF_X20Y129 NUM_BELS 2 SITEPROP TIEOFF_X20Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y129 NUM_PINS 2 SITEPROP TIEOFF_X20Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y129 PROHIBIT 0 SITEPROP TIEOFF_X20Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y129 RPM_X 80 SITEPROP TIEOFF_X20Y129 RPM_Y 258 SITEPROP TIEOFF_X20Y129 SITE_PIPS SITEPROP TIEOFF_X20Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y130 CLASS site SITEPROP TIEOFF_X20Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y130 IS_BONDED 0 SITEPROP TIEOFF_X20Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y130 IS_PAD 0 SITEPROP TIEOFF_X20Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y130 IS_RESERVED 0 SITEPROP TIEOFF_X20Y130 IS_TEST 0 SITEPROP TIEOFF_X20Y130 IS_USED 0 SITEPROP TIEOFF_X20Y130 MANUAL_ROUTING SITEPROP TIEOFF_X20Y130 NAME TIEOFF_X20Y130 SITEPROP TIEOFF_X20Y130 NUM_ARCS 0 SITEPROP TIEOFF_X20Y130 NUM_BELS 2 SITEPROP TIEOFF_X20Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y130 NUM_PINS 2 SITEPROP TIEOFF_X20Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y130 PROHIBIT 0 SITEPROP TIEOFF_X20Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y130 RPM_X 80 SITEPROP TIEOFF_X20Y130 RPM_Y 260 SITEPROP TIEOFF_X20Y130 SITE_PIPS SITEPROP TIEOFF_X20Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y131 CLASS site SITEPROP TIEOFF_X20Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y131 IS_BONDED 0 SITEPROP TIEOFF_X20Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y131 IS_PAD 0 SITEPROP TIEOFF_X20Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y131 IS_RESERVED 0 SITEPROP TIEOFF_X20Y131 IS_TEST 0 SITEPROP TIEOFF_X20Y131 IS_USED 0 SITEPROP TIEOFF_X20Y131 MANUAL_ROUTING SITEPROP TIEOFF_X20Y131 NAME TIEOFF_X20Y131 SITEPROP TIEOFF_X20Y131 NUM_ARCS 0 SITEPROP TIEOFF_X20Y131 NUM_BELS 2 SITEPROP TIEOFF_X20Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y131 NUM_PINS 2 SITEPROP TIEOFF_X20Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y131 PROHIBIT 0 SITEPROP TIEOFF_X20Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y131 RPM_X 80 SITEPROP TIEOFF_X20Y131 RPM_Y 262 SITEPROP TIEOFF_X20Y131 SITE_PIPS SITEPROP TIEOFF_X20Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y132 CLASS site SITEPROP TIEOFF_X20Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y132 IS_BONDED 0 SITEPROP TIEOFF_X20Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y132 IS_PAD 0 SITEPROP TIEOFF_X20Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y132 IS_RESERVED 0 SITEPROP TIEOFF_X20Y132 IS_TEST 0 SITEPROP TIEOFF_X20Y132 IS_USED 0 SITEPROP TIEOFF_X20Y132 MANUAL_ROUTING SITEPROP TIEOFF_X20Y132 NAME TIEOFF_X20Y132 SITEPROP TIEOFF_X20Y132 NUM_ARCS 0 SITEPROP TIEOFF_X20Y132 NUM_BELS 2 SITEPROP TIEOFF_X20Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y132 NUM_PINS 2 SITEPROP TIEOFF_X20Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y132 PROHIBIT 0 SITEPROP TIEOFF_X20Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y132 RPM_X 80 SITEPROP TIEOFF_X20Y132 RPM_Y 264 SITEPROP TIEOFF_X20Y132 SITE_PIPS SITEPROP TIEOFF_X20Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y133 CLASS site SITEPROP TIEOFF_X20Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y133 IS_BONDED 0 SITEPROP TIEOFF_X20Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y133 IS_PAD 0 SITEPROP TIEOFF_X20Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y133 IS_RESERVED 0 SITEPROP TIEOFF_X20Y133 IS_TEST 0 SITEPROP TIEOFF_X20Y133 IS_USED 0 SITEPROP TIEOFF_X20Y133 MANUAL_ROUTING SITEPROP TIEOFF_X20Y133 NAME TIEOFF_X20Y133 SITEPROP TIEOFF_X20Y133 NUM_ARCS 0 SITEPROP TIEOFF_X20Y133 NUM_BELS 2 SITEPROP TIEOFF_X20Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y133 NUM_PINS 2 SITEPROP TIEOFF_X20Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y133 PROHIBIT 0 SITEPROP TIEOFF_X20Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y133 RPM_X 80 SITEPROP TIEOFF_X20Y133 RPM_Y 266 SITEPROP TIEOFF_X20Y133 SITE_PIPS SITEPROP TIEOFF_X20Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y134 CLASS site SITEPROP TIEOFF_X20Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y134 IS_BONDED 0 SITEPROP TIEOFF_X20Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y134 IS_PAD 0 SITEPROP TIEOFF_X20Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y134 IS_RESERVED 0 SITEPROP TIEOFF_X20Y134 IS_TEST 0 SITEPROP TIEOFF_X20Y134 IS_USED 0 SITEPROP TIEOFF_X20Y134 MANUAL_ROUTING SITEPROP TIEOFF_X20Y134 NAME TIEOFF_X20Y134 SITEPROP TIEOFF_X20Y134 NUM_ARCS 0 SITEPROP TIEOFF_X20Y134 NUM_BELS 2 SITEPROP TIEOFF_X20Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y134 NUM_PINS 2 SITEPROP TIEOFF_X20Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y134 PROHIBIT 0 SITEPROP TIEOFF_X20Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y134 RPM_X 80 SITEPROP TIEOFF_X20Y134 RPM_Y 268 SITEPROP TIEOFF_X20Y134 SITE_PIPS SITEPROP TIEOFF_X20Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y135 CLASS site SITEPROP TIEOFF_X20Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y135 IS_BONDED 0 SITEPROP TIEOFF_X20Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y135 IS_PAD 0 SITEPROP TIEOFF_X20Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y135 IS_RESERVED 0 SITEPROP TIEOFF_X20Y135 IS_TEST 0 SITEPROP TIEOFF_X20Y135 IS_USED 0 SITEPROP TIEOFF_X20Y135 MANUAL_ROUTING SITEPROP TIEOFF_X20Y135 NAME TIEOFF_X20Y135 SITEPROP TIEOFF_X20Y135 NUM_ARCS 0 SITEPROP TIEOFF_X20Y135 NUM_BELS 2 SITEPROP TIEOFF_X20Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y135 NUM_PINS 2 SITEPROP TIEOFF_X20Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y135 PROHIBIT 0 SITEPROP TIEOFF_X20Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y135 RPM_X 80 SITEPROP TIEOFF_X20Y135 RPM_Y 270 SITEPROP TIEOFF_X20Y135 SITE_PIPS SITEPROP TIEOFF_X20Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y136 CLASS site SITEPROP TIEOFF_X20Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y136 IS_BONDED 0 SITEPROP TIEOFF_X20Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y136 IS_PAD 0 SITEPROP TIEOFF_X20Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y136 IS_RESERVED 0 SITEPROP TIEOFF_X20Y136 IS_TEST 0 SITEPROP TIEOFF_X20Y136 IS_USED 0 SITEPROP TIEOFF_X20Y136 MANUAL_ROUTING SITEPROP TIEOFF_X20Y136 NAME TIEOFF_X20Y136 SITEPROP TIEOFF_X20Y136 NUM_ARCS 0 SITEPROP TIEOFF_X20Y136 NUM_BELS 2 SITEPROP TIEOFF_X20Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y136 NUM_PINS 2 SITEPROP TIEOFF_X20Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y136 PROHIBIT 0 SITEPROP TIEOFF_X20Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y136 RPM_X 80 SITEPROP TIEOFF_X20Y136 RPM_Y 272 SITEPROP TIEOFF_X20Y136 SITE_PIPS SITEPROP TIEOFF_X20Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y137 CLASS site SITEPROP TIEOFF_X20Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y137 IS_BONDED 0 SITEPROP TIEOFF_X20Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y137 IS_PAD 0 SITEPROP TIEOFF_X20Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y137 IS_RESERVED 0 SITEPROP TIEOFF_X20Y137 IS_TEST 0 SITEPROP TIEOFF_X20Y137 IS_USED 0 SITEPROP TIEOFF_X20Y137 MANUAL_ROUTING SITEPROP TIEOFF_X20Y137 NAME TIEOFF_X20Y137 SITEPROP TIEOFF_X20Y137 NUM_ARCS 0 SITEPROP TIEOFF_X20Y137 NUM_BELS 2 SITEPROP TIEOFF_X20Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y137 NUM_PINS 2 SITEPROP TIEOFF_X20Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y137 PROHIBIT 0 SITEPROP TIEOFF_X20Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y137 RPM_X 80 SITEPROP TIEOFF_X20Y137 RPM_Y 274 SITEPROP TIEOFF_X20Y137 SITE_PIPS SITEPROP TIEOFF_X20Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y138 CLASS site SITEPROP TIEOFF_X20Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y138 IS_BONDED 0 SITEPROP TIEOFF_X20Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y138 IS_PAD 0 SITEPROP TIEOFF_X20Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y138 IS_RESERVED 0 SITEPROP TIEOFF_X20Y138 IS_TEST 0 SITEPROP TIEOFF_X20Y138 IS_USED 0 SITEPROP TIEOFF_X20Y138 MANUAL_ROUTING SITEPROP TIEOFF_X20Y138 NAME TIEOFF_X20Y138 SITEPROP TIEOFF_X20Y138 NUM_ARCS 0 SITEPROP TIEOFF_X20Y138 NUM_BELS 2 SITEPROP TIEOFF_X20Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y138 NUM_PINS 2 SITEPROP TIEOFF_X20Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y138 PROHIBIT 0 SITEPROP TIEOFF_X20Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y138 RPM_X 80 SITEPROP TIEOFF_X20Y138 RPM_Y 276 SITEPROP TIEOFF_X20Y138 SITE_PIPS SITEPROP TIEOFF_X20Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y139 CLASS site SITEPROP TIEOFF_X20Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y139 IS_BONDED 0 SITEPROP TIEOFF_X20Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y139 IS_PAD 0 SITEPROP TIEOFF_X20Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y139 IS_RESERVED 0 SITEPROP TIEOFF_X20Y139 IS_TEST 0 SITEPROP TIEOFF_X20Y139 IS_USED 0 SITEPROP TIEOFF_X20Y139 MANUAL_ROUTING SITEPROP TIEOFF_X20Y139 NAME TIEOFF_X20Y139 SITEPROP TIEOFF_X20Y139 NUM_ARCS 0 SITEPROP TIEOFF_X20Y139 NUM_BELS 2 SITEPROP TIEOFF_X20Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y139 NUM_PINS 2 SITEPROP TIEOFF_X20Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y139 PROHIBIT 0 SITEPROP TIEOFF_X20Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y139 RPM_X 80 SITEPROP TIEOFF_X20Y139 RPM_Y 278 SITEPROP TIEOFF_X20Y139 SITE_PIPS SITEPROP TIEOFF_X20Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y140 CLASS site SITEPROP TIEOFF_X20Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y140 IS_BONDED 0 SITEPROP TIEOFF_X20Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y140 IS_PAD 0 SITEPROP TIEOFF_X20Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y140 IS_RESERVED 0 SITEPROP TIEOFF_X20Y140 IS_TEST 0 SITEPROP TIEOFF_X20Y140 IS_USED 0 SITEPROP TIEOFF_X20Y140 MANUAL_ROUTING SITEPROP TIEOFF_X20Y140 NAME TIEOFF_X20Y140 SITEPROP TIEOFF_X20Y140 NUM_ARCS 0 SITEPROP TIEOFF_X20Y140 NUM_BELS 2 SITEPROP TIEOFF_X20Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y140 NUM_PINS 2 SITEPROP TIEOFF_X20Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y140 PROHIBIT 0 SITEPROP TIEOFF_X20Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y140 RPM_X 80 SITEPROP TIEOFF_X20Y140 RPM_Y 280 SITEPROP TIEOFF_X20Y140 SITE_PIPS SITEPROP TIEOFF_X20Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y141 CLASS site SITEPROP TIEOFF_X20Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y141 IS_BONDED 0 SITEPROP TIEOFF_X20Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y141 IS_PAD 0 SITEPROP TIEOFF_X20Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y141 IS_RESERVED 0 SITEPROP TIEOFF_X20Y141 IS_TEST 0 SITEPROP TIEOFF_X20Y141 IS_USED 0 SITEPROP TIEOFF_X20Y141 MANUAL_ROUTING SITEPROP TIEOFF_X20Y141 NAME TIEOFF_X20Y141 SITEPROP TIEOFF_X20Y141 NUM_ARCS 0 SITEPROP TIEOFF_X20Y141 NUM_BELS 2 SITEPROP TIEOFF_X20Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y141 NUM_PINS 2 SITEPROP TIEOFF_X20Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y141 PROHIBIT 0 SITEPROP TIEOFF_X20Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y141 RPM_X 80 SITEPROP TIEOFF_X20Y141 RPM_Y 282 SITEPROP TIEOFF_X20Y141 SITE_PIPS SITEPROP TIEOFF_X20Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y142 CLASS site SITEPROP TIEOFF_X20Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y142 IS_BONDED 0 SITEPROP TIEOFF_X20Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y142 IS_PAD 0 SITEPROP TIEOFF_X20Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y142 IS_RESERVED 0 SITEPROP TIEOFF_X20Y142 IS_TEST 0 SITEPROP TIEOFF_X20Y142 IS_USED 0 SITEPROP TIEOFF_X20Y142 MANUAL_ROUTING SITEPROP TIEOFF_X20Y142 NAME TIEOFF_X20Y142 SITEPROP TIEOFF_X20Y142 NUM_ARCS 0 SITEPROP TIEOFF_X20Y142 NUM_BELS 2 SITEPROP TIEOFF_X20Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y142 NUM_PINS 2 SITEPROP TIEOFF_X20Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y142 PROHIBIT 0 SITEPROP TIEOFF_X20Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y142 RPM_X 80 SITEPROP TIEOFF_X20Y142 RPM_Y 284 SITEPROP TIEOFF_X20Y142 SITE_PIPS SITEPROP TIEOFF_X20Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y143 CLASS site SITEPROP TIEOFF_X20Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y143 IS_BONDED 0 SITEPROP TIEOFF_X20Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y143 IS_PAD 0 SITEPROP TIEOFF_X20Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y143 IS_RESERVED 0 SITEPROP TIEOFF_X20Y143 IS_TEST 0 SITEPROP TIEOFF_X20Y143 IS_USED 0 SITEPROP TIEOFF_X20Y143 MANUAL_ROUTING SITEPROP TIEOFF_X20Y143 NAME TIEOFF_X20Y143 SITEPROP TIEOFF_X20Y143 NUM_ARCS 0 SITEPROP TIEOFF_X20Y143 NUM_BELS 2 SITEPROP TIEOFF_X20Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y143 NUM_PINS 2 SITEPROP TIEOFF_X20Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y143 PROHIBIT 0 SITEPROP TIEOFF_X20Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y143 RPM_X 80 SITEPROP TIEOFF_X20Y143 RPM_Y 286 SITEPROP TIEOFF_X20Y143 SITE_PIPS SITEPROP TIEOFF_X20Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y144 CLASS site SITEPROP TIEOFF_X20Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y144 IS_BONDED 0 SITEPROP TIEOFF_X20Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y144 IS_PAD 0 SITEPROP TIEOFF_X20Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y144 IS_RESERVED 0 SITEPROP TIEOFF_X20Y144 IS_TEST 0 SITEPROP TIEOFF_X20Y144 IS_USED 0 SITEPROP TIEOFF_X20Y144 MANUAL_ROUTING SITEPROP TIEOFF_X20Y144 NAME TIEOFF_X20Y144 SITEPROP TIEOFF_X20Y144 NUM_ARCS 0 SITEPROP TIEOFF_X20Y144 NUM_BELS 2 SITEPROP TIEOFF_X20Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y144 NUM_PINS 2 SITEPROP TIEOFF_X20Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y144 PROHIBIT 0 SITEPROP TIEOFF_X20Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y144 RPM_X 80 SITEPROP TIEOFF_X20Y144 RPM_Y 288 SITEPROP TIEOFF_X20Y144 SITE_PIPS SITEPROP TIEOFF_X20Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y145 CLASS site SITEPROP TIEOFF_X20Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y145 IS_BONDED 0 SITEPROP TIEOFF_X20Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y145 IS_PAD 0 SITEPROP TIEOFF_X20Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y145 IS_RESERVED 0 SITEPROP TIEOFF_X20Y145 IS_TEST 0 SITEPROP TIEOFF_X20Y145 IS_USED 0 SITEPROP TIEOFF_X20Y145 MANUAL_ROUTING SITEPROP TIEOFF_X20Y145 NAME TIEOFF_X20Y145 SITEPROP TIEOFF_X20Y145 NUM_ARCS 0 SITEPROP TIEOFF_X20Y145 NUM_BELS 2 SITEPROP TIEOFF_X20Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y145 NUM_PINS 2 SITEPROP TIEOFF_X20Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y145 PROHIBIT 0 SITEPROP TIEOFF_X20Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y145 RPM_X 80 SITEPROP TIEOFF_X20Y145 RPM_Y 290 SITEPROP TIEOFF_X20Y145 SITE_PIPS SITEPROP TIEOFF_X20Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y146 CLASS site SITEPROP TIEOFF_X20Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y146 IS_BONDED 0 SITEPROP TIEOFF_X20Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y146 IS_PAD 0 SITEPROP TIEOFF_X20Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y146 IS_RESERVED 0 SITEPROP TIEOFF_X20Y146 IS_TEST 0 SITEPROP TIEOFF_X20Y146 IS_USED 0 SITEPROP TIEOFF_X20Y146 MANUAL_ROUTING SITEPROP TIEOFF_X20Y146 NAME TIEOFF_X20Y146 SITEPROP TIEOFF_X20Y146 NUM_ARCS 0 SITEPROP TIEOFF_X20Y146 NUM_BELS 2 SITEPROP TIEOFF_X20Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y146 NUM_PINS 2 SITEPROP TIEOFF_X20Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y146 PROHIBIT 0 SITEPROP TIEOFF_X20Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y146 RPM_X 80 SITEPROP TIEOFF_X20Y146 RPM_Y 292 SITEPROP TIEOFF_X20Y146 SITE_PIPS SITEPROP TIEOFF_X20Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y147 CLASS site SITEPROP TIEOFF_X20Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y147 IS_BONDED 0 SITEPROP TIEOFF_X20Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y147 IS_PAD 0 SITEPROP TIEOFF_X20Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y147 IS_RESERVED 0 SITEPROP TIEOFF_X20Y147 IS_TEST 0 SITEPROP TIEOFF_X20Y147 IS_USED 0 SITEPROP TIEOFF_X20Y147 MANUAL_ROUTING SITEPROP TIEOFF_X20Y147 NAME TIEOFF_X20Y147 SITEPROP TIEOFF_X20Y147 NUM_ARCS 0 SITEPROP TIEOFF_X20Y147 NUM_BELS 2 SITEPROP TIEOFF_X20Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y147 NUM_PINS 2 SITEPROP TIEOFF_X20Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y147 PROHIBIT 0 SITEPROP TIEOFF_X20Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y147 RPM_X 80 SITEPROP TIEOFF_X20Y147 RPM_Y 294 SITEPROP TIEOFF_X20Y147 SITE_PIPS SITEPROP TIEOFF_X20Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y148 CLASS site SITEPROP TIEOFF_X20Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y148 IS_BONDED 0 SITEPROP TIEOFF_X20Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y148 IS_PAD 0 SITEPROP TIEOFF_X20Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y148 IS_RESERVED 0 SITEPROP TIEOFF_X20Y148 IS_TEST 0 SITEPROP TIEOFF_X20Y148 IS_USED 0 SITEPROP TIEOFF_X20Y148 MANUAL_ROUTING SITEPROP TIEOFF_X20Y148 NAME TIEOFF_X20Y148 SITEPROP TIEOFF_X20Y148 NUM_ARCS 0 SITEPROP TIEOFF_X20Y148 NUM_BELS 2 SITEPROP TIEOFF_X20Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y148 NUM_PINS 2 SITEPROP TIEOFF_X20Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y148 PROHIBIT 0 SITEPROP TIEOFF_X20Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y148 RPM_X 80 SITEPROP TIEOFF_X20Y148 RPM_Y 296 SITEPROP TIEOFF_X20Y148 SITE_PIPS SITEPROP TIEOFF_X20Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X20Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X20Y149 CLASS site SITEPROP TIEOFF_X20Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X20Y149 IS_BONDED 0 SITEPROP TIEOFF_X20Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y149 IS_PAD 0 SITEPROP TIEOFF_X20Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X20Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X20Y149 IS_RESERVED 0 SITEPROP TIEOFF_X20Y149 IS_TEST 0 SITEPROP TIEOFF_X20Y149 IS_USED 0 SITEPROP TIEOFF_X20Y149 MANUAL_ROUTING SITEPROP TIEOFF_X20Y149 NAME TIEOFF_X20Y149 SITEPROP TIEOFF_X20Y149 NUM_ARCS 0 SITEPROP TIEOFF_X20Y149 NUM_BELS 2 SITEPROP TIEOFF_X20Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X20Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X20Y149 NUM_PINS 2 SITEPROP TIEOFF_X20Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X20Y149 PROHIBIT 0 SITEPROP TIEOFF_X20Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X20Y149 RPM_X 80 SITEPROP TIEOFF_X20Y149 RPM_Y 298 SITEPROP TIEOFF_X20Y149 SITE_PIPS SITEPROP TIEOFF_X20Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y0 CLASS site SITEPROP TIEOFF_X21Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y0 IS_BONDED 0 SITEPROP TIEOFF_X21Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y0 IS_PAD 0 SITEPROP TIEOFF_X21Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y0 IS_RESERVED 0 SITEPROP TIEOFF_X21Y0 IS_TEST 0 SITEPROP TIEOFF_X21Y0 IS_USED 0 SITEPROP TIEOFF_X21Y0 MANUAL_ROUTING SITEPROP TIEOFF_X21Y0 NAME TIEOFF_X21Y0 SITEPROP TIEOFF_X21Y0 NUM_ARCS 0 SITEPROP TIEOFF_X21Y0 NUM_BELS 2 SITEPROP TIEOFF_X21Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y0 NUM_PINS 2 SITEPROP TIEOFF_X21Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y0 PROHIBIT 0 SITEPROP TIEOFF_X21Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y0 RPM_X 84 SITEPROP TIEOFF_X21Y0 RPM_Y 0 SITEPROP TIEOFF_X21Y0 SITE_PIPS SITEPROP TIEOFF_X21Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y1 CLASS site SITEPROP TIEOFF_X21Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y1 IS_BONDED 0 SITEPROP TIEOFF_X21Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y1 IS_PAD 0 SITEPROP TIEOFF_X21Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y1 IS_RESERVED 0 SITEPROP TIEOFF_X21Y1 IS_TEST 0 SITEPROP TIEOFF_X21Y1 IS_USED 0 SITEPROP TIEOFF_X21Y1 MANUAL_ROUTING SITEPROP TIEOFF_X21Y1 NAME TIEOFF_X21Y1 SITEPROP TIEOFF_X21Y1 NUM_ARCS 0 SITEPROP TIEOFF_X21Y1 NUM_BELS 2 SITEPROP TIEOFF_X21Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y1 NUM_PINS 2 SITEPROP TIEOFF_X21Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y1 PROHIBIT 0 SITEPROP TIEOFF_X21Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y1 RPM_X 84 SITEPROP TIEOFF_X21Y1 RPM_Y 2 SITEPROP TIEOFF_X21Y1 SITE_PIPS SITEPROP TIEOFF_X21Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y2 CLASS site SITEPROP TIEOFF_X21Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y2 IS_BONDED 0 SITEPROP TIEOFF_X21Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y2 IS_PAD 0 SITEPROP TIEOFF_X21Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y2 IS_RESERVED 0 SITEPROP TIEOFF_X21Y2 IS_TEST 0 SITEPROP TIEOFF_X21Y2 IS_USED 0 SITEPROP TIEOFF_X21Y2 MANUAL_ROUTING SITEPROP TIEOFF_X21Y2 NAME TIEOFF_X21Y2 SITEPROP TIEOFF_X21Y2 NUM_ARCS 0 SITEPROP TIEOFF_X21Y2 NUM_BELS 2 SITEPROP TIEOFF_X21Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y2 NUM_PINS 2 SITEPROP TIEOFF_X21Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y2 PROHIBIT 0 SITEPROP TIEOFF_X21Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y2 RPM_X 84 SITEPROP TIEOFF_X21Y2 RPM_Y 4 SITEPROP TIEOFF_X21Y2 SITE_PIPS SITEPROP TIEOFF_X21Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y3 CLASS site SITEPROP TIEOFF_X21Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y3 IS_BONDED 0 SITEPROP TIEOFF_X21Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y3 IS_PAD 0 SITEPROP TIEOFF_X21Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y3 IS_RESERVED 0 SITEPROP TIEOFF_X21Y3 IS_TEST 0 SITEPROP TIEOFF_X21Y3 IS_USED 0 SITEPROP TIEOFF_X21Y3 MANUAL_ROUTING SITEPROP TIEOFF_X21Y3 NAME TIEOFF_X21Y3 SITEPROP TIEOFF_X21Y3 NUM_ARCS 0 SITEPROP TIEOFF_X21Y3 NUM_BELS 2 SITEPROP TIEOFF_X21Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y3 NUM_PINS 2 SITEPROP TIEOFF_X21Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y3 PROHIBIT 0 SITEPROP TIEOFF_X21Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y3 RPM_X 84 SITEPROP TIEOFF_X21Y3 RPM_Y 6 SITEPROP TIEOFF_X21Y3 SITE_PIPS SITEPROP TIEOFF_X21Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y4 CLASS site SITEPROP TIEOFF_X21Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y4 IS_BONDED 0 SITEPROP TIEOFF_X21Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y4 IS_PAD 0 SITEPROP TIEOFF_X21Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y4 IS_RESERVED 0 SITEPROP TIEOFF_X21Y4 IS_TEST 0 SITEPROP TIEOFF_X21Y4 IS_USED 0 SITEPROP TIEOFF_X21Y4 MANUAL_ROUTING SITEPROP TIEOFF_X21Y4 NAME TIEOFF_X21Y4 SITEPROP TIEOFF_X21Y4 NUM_ARCS 0 SITEPROP TIEOFF_X21Y4 NUM_BELS 2 SITEPROP TIEOFF_X21Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y4 NUM_PINS 2 SITEPROP TIEOFF_X21Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y4 PROHIBIT 0 SITEPROP TIEOFF_X21Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y4 RPM_X 84 SITEPROP TIEOFF_X21Y4 RPM_Y 8 SITEPROP TIEOFF_X21Y4 SITE_PIPS SITEPROP TIEOFF_X21Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y5 CLASS site SITEPROP TIEOFF_X21Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y5 IS_BONDED 0 SITEPROP TIEOFF_X21Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y5 IS_PAD 0 SITEPROP TIEOFF_X21Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y5 IS_RESERVED 0 SITEPROP TIEOFF_X21Y5 IS_TEST 0 SITEPROP TIEOFF_X21Y5 IS_USED 0 SITEPROP TIEOFF_X21Y5 MANUAL_ROUTING SITEPROP TIEOFF_X21Y5 NAME TIEOFF_X21Y5 SITEPROP TIEOFF_X21Y5 NUM_ARCS 0 SITEPROP TIEOFF_X21Y5 NUM_BELS 2 SITEPROP TIEOFF_X21Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y5 NUM_PINS 2 SITEPROP TIEOFF_X21Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y5 PROHIBIT 0 SITEPROP TIEOFF_X21Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y5 RPM_X 84 SITEPROP TIEOFF_X21Y5 RPM_Y 10 SITEPROP TIEOFF_X21Y5 SITE_PIPS SITEPROP TIEOFF_X21Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y6 CLASS site SITEPROP TIEOFF_X21Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y6 IS_BONDED 0 SITEPROP TIEOFF_X21Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y6 IS_PAD 0 SITEPROP TIEOFF_X21Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y6 IS_RESERVED 0 SITEPROP TIEOFF_X21Y6 IS_TEST 0 SITEPROP TIEOFF_X21Y6 IS_USED 0 SITEPROP TIEOFF_X21Y6 MANUAL_ROUTING SITEPROP TIEOFF_X21Y6 NAME TIEOFF_X21Y6 SITEPROP TIEOFF_X21Y6 NUM_ARCS 0 SITEPROP TIEOFF_X21Y6 NUM_BELS 2 SITEPROP TIEOFF_X21Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y6 NUM_PINS 2 SITEPROP TIEOFF_X21Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y6 PROHIBIT 0 SITEPROP TIEOFF_X21Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y6 RPM_X 84 SITEPROP TIEOFF_X21Y6 RPM_Y 12 SITEPROP TIEOFF_X21Y6 SITE_PIPS SITEPROP TIEOFF_X21Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y7 CLASS site SITEPROP TIEOFF_X21Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y7 IS_BONDED 0 SITEPROP TIEOFF_X21Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y7 IS_PAD 0 SITEPROP TIEOFF_X21Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y7 IS_RESERVED 0 SITEPROP TIEOFF_X21Y7 IS_TEST 0 SITEPROP TIEOFF_X21Y7 IS_USED 0 SITEPROP TIEOFF_X21Y7 MANUAL_ROUTING SITEPROP TIEOFF_X21Y7 NAME TIEOFF_X21Y7 SITEPROP TIEOFF_X21Y7 NUM_ARCS 0 SITEPROP TIEOFF_X21Y7 NUM_BELS 2 SITEPROP TIEOFF_X21Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y7 NUM_PINS 2 SITEPROP TIEOFF_X21Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y7 PROHIBIT 0 SITEPROP TIEOFF_X21Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y7 RPM_X 84 SITEPROP TIEOFF_X21Y7 RPM_Y 14 SITEPROP TIEOFF_X21Y7 SITE_PIPS SITEPROP TIEOFF_X21Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y8 CLASS site SITEPROP TIEOFF_X21Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y8 IS_BONDED 0 SITEPROP TIEOFF_X21Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y8 IS_PAD 0 SITEPROP TIEOFF_X21Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y8 IS_RESERVED 0 SITEPROP TIEOFF_X21Y8 IS_TEST 0 SITEPROP TIEOFF_X21Y8 IS_USED 0 SITEPROP TIEOFF_X21Y8 MANUAL_ROUTING SITEPROP TIEOFF_X21Y8 NAME TIEOFF_X21Y8 SITEPROP TIEOFF_X21Y8 NUM_ARCS 0 SITEPROP TIEOFF_X21Y8 NUM_BELS 2 SITEPROP TIEOFF_X21Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y8 NUM_PINS 2 SITEPROP TIEOFF_X21Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y8 PROHIBIT 0 SITEPROP TIEOFF_X21Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y8 RPM_X 84 SITEPROP TIEOFF_X21Y8 RPM_Y 16 SITEPROP TIEOFF_X21Y8 SITE_PIPS SITEPROP TIEOFF_X21Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y9 CLASS site SITEPROP TIEOFF_X21Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y9 IS_BONDED 0 SITEPROP TIEOFF_X21Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y9 IS_PAD 0 SITEPROP TIEOFF_X21Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y9 IS_RESERVED 0 SITEPROP TIEOFF_X21Y9 IS_TEST 0 SITEPROP TIEOFF_X21Y9 IS_USED 0 SITEPROP TIEOFF_X21Y9 MANUAL_ROUTING SITEPROP TIEOFF_X21Y9 NAME TIEOFF_X21Y9 SITEPROP TIEOFF_X21Y9 NUM_ARCS 0 SITEPROP TIEOFF_X21Y9 NUM_BELS 2 SITEPROP TIEOFF_X21Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y9 NUM_PINS 2 SITEPROP TIEOFF_X21Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y9 PROHIBIT 0 SITEPROP TIEOFF_X21Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y9 RPM_X 84 SITEPROP TIEOFF_X21Y9 RPM_Y 18 SITEPROP TIEOFF_X21Y9 SITE_PIPS SITEPROP TIEOFF_X21Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y10 CLASS site SITEPROP TIEOFF_X21Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y10 IS_BONDED 0 SITEPROP TIEOFF_X21Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y10 IS_PAD 0 SITEPROP TIEOFF_X21Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y10 IS_RESERVED 0 SITEPROP TIEOFF_X21Y10 IS_TEST 0 SITEPROP TIEOFF_X21Y10 IS_USED 0 SITEPROP TIEOFF_X21Y10 MANUAL_ROUTING SITEPROP TIEOFF_X21Y10 NAME TIEOFF_X21Y10 SITEPROP TIEOFF_X21Y10 NUM_ARCS 0 SITEPROP TIEOFF_X21Y10 NUM_BELS 2 SITEPROP TIEOFF_X21Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y10 NUM_PINS 2 SITEPROP TIEOFF_X21Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y10 PROHIBIT 0 SITEPROP TIEOFF_X21Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y10 RPM_X 84 SITEPROP TIEOFF_X21Y10 RPM_Y 20 SITEPROP TIEOFF_X21Y10 SITE_PIPS SITEPROP TIEOFF_X21Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y11 CLASS site SITEPROP TIEOFF_X21Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y11 IS_BONDED 0 SITEPROP TIEOFF_X21Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y11 IS_PAD 0 SITEPROP TIEOFF_X21Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y11 IS_RESERVED 0 SITEPROP TIEOFF_X21Y11 IS_TEST 0 SITEPROP TIEOFF_X21Y11 IS_USED 0 SITEPROP TIEOFF_X21Y11 MANUAL_ROUTING SITEPROP TIEOFF_X21Y11 NAME TIEOFF_X21Y11 SITEPROP TIEOFF_X21Y11 NUM_ARCS 0 SITEPROP TIEOFF_X21Y11 NUM_BELS 2 SITEPROP TIEOFF_X21Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y11 NUM_PINS 2 SITEPROP TIEOFF_X21Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y11 PROHIBIT 0 SITEPROP TIEOFF_X21Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y11 RPM_X 84 SITEPROP TIEOFF_X21Y11 RPM_Y 22 SITEPROP TIEOFF_X21Y11 SITE_PIPS SITEPROP TIEOFF_X21Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y12 CLASS site SITEPROP TIEOFF_X21Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y12 IS_BONDED 0 SITEPROP TIEOFF_X21Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y12 IS_PAD 0 SITEPROP TIEOFF_X21Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y12 IS_RESERVED 0 SITEPROP TIEOFF_X21Y12 IS_TEST 0 SITEPROP TIEOFF_X21Y12 IS_USED 0 SITEPROP TIEOFF_X21Y12 MANUAL_ROUTING SITEPROP TIEOFF_X21Y12 NAME TIEOFF_X21Y12 SITEPROP TIEOFF_X21Y12 NUM_ARCS 0 SITEPROP TIEOFF_X21Y12 NUM_BELS 2 SITEPROP TIEOFF_X21Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y12 NUM_PINS 2 SITEPROP TIEOFF_X21Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y12 PROHIBIT 0 SITEPROP TIEOFF_X21Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y12 RPM_X 84 SITEPROP TIEOFF_X21Y12 RPM_Y 24 SITEPROP TIEOFF_X21Y12 SITE_PIPS SITEPROP TIEOFF_X21Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y13 CLASS site SITEPROP TIEOFF_X21Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y13 IS_BONDED 0 SITEPROP TIEOFF_X21Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y13 IS_PAD 0 SITEPROP TIEOFF_X21Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y13 IS_RESERVED 0 SITEPROP TIEOFF_X21Y13 IS_TEST 0 SITEPROP TIEOFF_X21Y13 IS_USED 0 SITEPROP TIEOFF_X21Y13 MANUAL_ROUTING SITEPROP TIEOFF_X21Y13 NAME TIEOFF_X21Y13 SITEPROP TIEOFF_X21Y13 NUM_ARCS 0 SITEPROP TIEOFF_X21Y13 NUM_BELS 2 SITEPROP TIEOFF_X21Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y13 NUM_PINS 2 SITEPROP TIEOFF_X21Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y13 PROHIBIT 0 SITEPROP TIEOFF_X21Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y13 RPM_X 84 SITEPROP TIEOFF_X21Y13 RPM_Y 26 SITEPROP TIEOFF_X21Y13 SITE_PIPS SITEPROP TIEOFF_X21Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y14 CLASS site SITEPROP TIEOFF_X21Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y14 IS_BONDED 0 SITEPROP TIEOFF_X21Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y14 IS_PAD 0 SITEPROP TIEOFF_X21Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y14 IS_RESERVED 0 SITEPROP TIEOFF_X21Y14 IS_TEST 0 SITEPROP TIEOFF_X21Y14 IS_USED 0 SITEPROP TIEOFF_X21Y14 MANUAL_ROUTING SITEPROP TIEOFF_X21Y14 NAME TIEOFF_X21Y14 SITEPROP TIEOFF_X21Y14 NUM_ARCS 0 SITEPROP TIEOFF_X21Y14 NUM_BELS 2 SITEPROP TIEOFF_X21Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y14 NUM_PINS 2 SITEPROP TIEOFF_X21Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y14 PROHIBIT 0 SITEPROP TIEOFF_X21Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y14 RPM_X 84 SITEPROP TIEOFF_X21Y14 RPM_Y 28 SITEPROP TIEOFF_X21Y14 SITE_PIPS SITEPROP TIEOFF_X21Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y15 CLASS site SITEPROP TIEOFF_X21Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y15 IS_BONDED 0 SITEPROP TIEOFF_X21Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y15 IS_PAD 0 SITEPROP TIEOFF_X21Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y15 IS_RESERVED 0 SITEPROP TIEOFF_X21Y15 IS_TEST 0 SITEPROP TIEOFF_X21Y15 IS_USED 0 SITEPROP TIEOFF_X21Y15 MANUAL_ROUTING SITEPROP TIEOFF_X21Y15 NAME TIEOFF_X21Y15 SITEPROP TIEOFF_X21Y15 NUM_ARCS 0 SITEPROP TIEOFF_X21Y15 NUM_BELS 2 SITEPROP TIEOFF_X21Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y15 NUM_PINS 2 SITEPROP TIEOFF_X21Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y15 PROHIBIT 0 SITEPROP TIEOFF_X21Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y15 RPM_X 84 SITEPROP TIEOFF_X21Y15 RPM_Y 30 SITEPROP TIEOFF_X21Y15 SITE_PIPS SITEPROP TIEOFF_X21Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y16 CLASS site SITEPROP TIEOFF_X21Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y16 IS_BONDED 0 SITEPROP TIEOFF_X21Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y16 IS_PAD 0 SITEPROP TIEOFF_X21Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y16 IS_RESERVED 0 SITEPROP TIEOFF_X21Y16 IS_TEST 0 SITEPROP TIEOFF_X21Y16 IS_USED 0 SITEPROP TIEOFF_X21Y16 MANUAL_ROUTING SITEPROP TIEOFF_X21Y16 NAME TIEOFF_X21Y16 SITEPROP TIEOFF_X21Y16 NUM_ARCS 0 SITEPROP TIEOFF_X21Y16 NUM_BELS 2 SITEPROP TIEOFF_X21Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y16 NUM_PINS 2 SITEPROP TIEOFF_X21Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y16 PROHIBIT 0 SITEPROP TIEOFF_X21Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y16 RPM_X 84 SITEPROP TIEOFF_X21Y16 RPM_Y 32 SITEPROP TIEOFF_X21Y16 SITE_PIPS SITEPROP TIEOFF_X21Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y17 CLASS site SITEPROP TIEOFF_X21Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y17 IS_BONDED 0 SITEPROP TIEOFF_X21Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y17 IS_PAD 0 SITEPROP TIEOFF_X21Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y17 IS_RESERVED 0 SITEPROP TIEOFF_X21Y17 IS_TEST 0 SITEPROP TIEOFF_X21Y17 IS_USED 0 SITEPROP TIEOFF_X21Y17 MANUAL_ROUTING SITEPROP TIEOFF_X21Y17 NAME TIEOFF_X21Y17 SITEPROP TIEOFF_X21Y17 NUM_ARCS 0 SITEPROP TIEOFF_X21Y17 NUM_BELS 2 SITEPROP TIEOFF_X21Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y17 NUM_PINS 2 SITEPROP TIEOFF_X21Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y17 PROHIBIT 0 SITEPROP TIEOFF_X21Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y17 RPM_X 84 SITEPROP TIEOFF_X21Y17 RPM_Y 34 SITEPROP TIEOFF_X21Y17 SITE_PIPS SITEPROP TIEOFF_X21Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y18 CLASS site SITEPROP TIEOFF_X21Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y18 IS_BONDED 0 SITEPROP TIEOFF_X21Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y18 IS_PAD 0 SITEPROP TIEOFF_X21Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y18 IS_RESERVED 0 SITEPROP TIEOFF_X21Y18 IS_TEST 0 SITEPROP TIEOFF_X21Y18 IS_USED 0 SITEPROP TIEOFF_X21Y18 MANUAL_ROUTING SITEPROP TIEOFF_X21Y18 NAME TIEOFF_X21Y18 SITEPROP TIEOFF_X21Y18 NUM_ARCS 0 SITEPROP TIEOFF_X21Y18 NUM_BELS 2 SITEPROP TIEOFF_X21Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y18 NUM_PINS 2 SITEPROP TIEOFF_X21Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y18 PROHIBIT 0 SITEPROP TIEOFF_X21Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y18 RPM_X 84 SITEPROP TIEOFF_X21Y18 RPM_Y 36 SITEPROP TIEOFF_X21Y18 SITE_PIPS SITEPROP TIEOFF_X21Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y19 CLASS site SITEPROP TIEOFF_X21Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y19 IS_BONDED 0 SITEPROP TIEOFF_X21Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y19 IS_PAD 0 SITEPROP TIEOFF_X21Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y19 IS_RESERVED 0 SITEPROP TIEOFF_X21Y19 IS_TEST 0 SITEPROP TIEOFF_X21Y19 IS_USED 0 SITEPROP TIEOFF_X21Y19 MANUAL_ROUTING SITEPROP TIEOFF_X21Y19 NAME TIEOFF_X21Y19 SITEPROP TIEOFF_X21Y19 NUM_ARCS 0 SITEPROP TIEOFF_X21Y19 NUM_BELS 2 SITEPROP TIEOFF_X21Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y19 NUM_PINS 2 SITEPROP TIEOFF_X21Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y19 PROHIBIT 0 SITEPROP TIEOFF_X21Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y19 RPM_X 84 SITEPROP TIEOFF_X21Y19 RPM_Y 38 SITEPROP TIEOFF_X21Y19 SITE_PIPS SITEPROP TIEOFF_X21Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y20 CLASS site SITEPROP TIEOFF_X21Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y20 IS_BONDED 0 SITEPROP TIEOFF_X21Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y20 IS_PAD 0 SITEPROP TIEOFF_X21Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y20 IS_RESERVED 0 SITEPROP TIEOFF_X21Y20 IS_TEST 0 SITEPROP TIEOFF_X21Y20 IS_USED 0 SITEPROP TIEOFF_X21Y20 MANUAL_ROUTING SITEPROP TIEOFF_X21Y20 NAME TIEOFF_X21Y20 SITEPROP TIEOFF_X21Y20 NUM_ARCS 0 SITEPROP TIEOFF_X21Y20 NUM_BELS 2 SITEPROP TIEOFF_X21Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y20 NUM_PINS 2 SITEPROP TIEOFF_X21Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y20 PROHIBIT 0 SITEPROP TIEOFF_X21Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y20 RPM_X 84 SITEPROP TIEOFF_X21Y20 RPM_Y 40 SITEPROP TIEOFF_X21Y20 SITE_PIPS SITEPROP TIEOFF_X21Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y21 CLASS site SITEPROP TIEOFF_X21Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y21 IS_BONDED 0 SITEPROP TIEOFF_X21Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y21 IS_PAD 0 SITEPROP TIEOFF_X21Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y21 IS_RESERVED 0 SITEPROP TIEOFF_X21Y21 IS_TEST 0 SITEPROP TIEOFF_X21Y21 IS_USED 0 SITEPROP TIEOFF_X21Y21 MANUAL_ROUTING SITEPROP TIEOFF_X21Y21 NAME TIEOFF_X21Y21 SITEPROP TIEOFF_X21Y21 NUM_ARCS 0 SITEPROP TIEOFF_X21Y21 NUM_BELS 2 SITEPROP TIEOFF_X21Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y21 NUM_PINS 2 SITEPROP TIEOFF_X21Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y21 PROHIBIT 0 SITEPROP TIEOFF_X21Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y21 RPM_X 84 SITEPROP TIEOFF_X21Y21 RPM_Y 42 SITEPROP TIEOFF_X21Y21 SITE_PIPS SITEPROP TIEOFF_X21Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y22 CLASS site SITEPROP TIEOFF_X21Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y22 IS_BONDED 0 SITEPROP TIEOFF_X21Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y22 IS_PAD 0 SITEPROP TIEOFF_X21Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y22 IS_RESERVED 0 SITEPROP TIEOFF_X21Y22 IS_TEST 0 SITEPROP TIEOFF_X21Y22 IS_USED 0 SITEPROP TIEOFF_X21Y22 MANUAL_ROUTING SITEPROP TIEOFF_X21Y22 NAME TIEOFF_X21Y22 SITEPROP TIEOFF_X21Y22 NUM_ARCS 0 SITEPROP TIEOFF_X21Y22 NUM_BELS 2 SITEPROP TIEOFF_X21Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y22 NUM_PINS 2 SITEPROP TIEOFF_X21Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y22 PROHIBIT 0 SITEPROP TIEOFF_X21Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y22 RPM_X 84 SITEPROP TIEOFF_X21Y22 RPM_Y 44 SITEPROP TIEOFF_X21Y22 SITE_PIPS SITEPROP TIEOFF_X21Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y23 CLASS site SITEPROP TIEOFF_X21Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y23 IS_BONDED 0 SITEPROP TIEOFF_X21Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y23 IS_PAD 0 SITEPROP TIEOFF_X21Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y23 IS_RESERVED 0 SITEPROP TIEOFF_X21Y23 IS_TEST 0 SITEPROP TIEOFF_X21Y23 IS_USED 0 SITEPROP TIEOFF_X21Y23 MANUAL_ROUTING SITEPROP TIEOFF_X21Y23 NAME TIEOFF_X21Y23 SITEPROP TIEOFF_X21Y23 NUM_ARCS 0 SITEPROP TIEOFF_X21Y23 NUM_BELS 2 SITEPROP TIEOFF_X21Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y23 NUM_PINS 2 SITEPROP TIEOFF_X21Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y23 PROHIBIT 0 SITEPROP TIEOFF_X21Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y23 RPM_X 84 SITEPROP TIEOFF_X21Y23 RPM_Y 46 SITEPROP TIEOFF_X21Y23 SITE_PIPS SITEPROP TIEOFF_X21Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y24 CLASS site SITEPROP TIEOFF_X21Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y24 IS_BONDED 0 SITEPROP TIEOFF_X21Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y24 IS_PAD 0 SITEPROP TIEOFF_X21Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y24 IS_RESERVED 0 SITEPROP TIEOFF_X21Y24 IS_TEST 0 SITEPROP TIEOFF_X21Y24 IS_USED 0 SITEPROP TIEOFF_X21Y24 MANUAL_ROUTING SITEPROP TIEOFF_X21Y24 NAME TIEOFF_X21Y24 SITEPROP TIEOFF_X21Y24 NUM_ARCS 0 SITEPROP TIEOFF_X21Y24 NUM_BELS 2 SITEPROP TIEOFF_X21Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y24 NUM_PINS 2 SITEPROP TIEOFF_X21Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y24 PROHIBIT 0 SITEPROP TIEOFF_X21Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y24 RPM_X 84 SITEPROP TIEOFF_X21Y24 RPM_Y 48 SITEPROP TIEOFF_X21Y24 SITE_PIPS SITEPROP TIEOFF_X21Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y25 CLASS site SITEPROP TIEOFF_X21Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y25 IS_BONDED 0 SITEPROP TIEOFF_X21Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y25 IS_PAD 0 SITEPROP TIEOFF_X21Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y25 IS_RESERVED 0 SITEPROP TIEOFF_X21Y25 IS_TEST 0 SITEPROP TIEOFF_X21Y25 IS_USED 0 SITEPROP TIEOFF_X21Y25 MANUAL_ROUTING SITEPROP TIEOFF_X21Y25 NAME TIEOFF_X21Y25 SITEPROP TIEOFF_X21Y25 NUM_ARCS 0 SITEPROP TIEOFF_X21Y25 NUM_BELS 2 SITEPROP TIEOFF_X21Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y25 NUM_PINS 2 SITEPROP TIEOFF_X21Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y25 PROHIBIT 0 SITEPROP TIEOFF_X21Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y25 RPM_X 84 SITEPROP TIEOFF_X21Y25 RPM_Y 50 SITEPROP TIEOFF_X21Y25 SITE_PIPS SITEPROP TIEOFF_X21Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y26 CLASS site SITEPROP TIEOFF_X21Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y26 IS_BONDED 0 SITEPROP TIEOFF_X21Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y26 IS_PAD 0 SITEPROP TIEOFF_X21Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y26 IS_RESERVED 0 SITEPROP TIEOFF_X21Y26 IS_TEST 0 SITEPROP TIEOFF_X21Y26 IS_USED 0 SITEPROP TIEOFF_X21Y26 MANUAL_ROUTING SITEPROP TIEOFF_X21Y26 NAME TIEOFF_X21Y26 SITEPROP TIEOFF_X21Y26 NUM_ARCS 0 SITEPROP TIEOFF_X21Y26 NUM_BELS 2 SITEPROP TIEOFF_X21Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y26 NUM_PINS 2 SITEPROP TIEOFF_X21Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y26 PROHIBIT 0 SITEPROP TIEOFF_X21Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y26 RPM_X 84 SITEPROP TIEOFF_X21Y26 RPM_Y 52 SITEPROP TIEOFF_X21Y26 SITE_PIPS SITEPROP TIEOFF_X21Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y27 CLASS site SITEPROP TIEOFF_X21Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y27 IS_BONDED 0 SITEPROP TIEOFF_X21Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y27 IS_PAD 0 SITEPROP TIEOFF_X21Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y27 IS_RESERVED 0 SITEPROP TIEOFF_X21Y27 IS_TEST 0 SITEPROP TIEOFF_X21Y27 IS_USED 0 SITEPROP TIEOFF_X21Y27 MANUAL_ROUTING SITEPROP TIEOFF_X21Y27 NAME TIEOFF_X21Y27 SITEPROP TIEOFF_X21Y27 NUM_ARCS 0 SITEPROP TIEOFF_X21Y27 NUM_BELS 2 SITEPROP TIEOFF_X21Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y27 NUM_PINS 2 SITEPROP TIEOFF_X21Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y27 PROHIBIT 0 SITEPROP TIEOFF_X21Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y27 RPM_X 84 SITEPROP TIEOFF_X21Y27 RPM_Y 54 SITEPROP TIEOFF_X21Y27 SITE_PIPS SITEPROP TIEOFF_X21Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y28 CLASS site SITEPROP TIEOFF_X21Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y28 IS_BONDED 0 SITEPROP TIEOFF_X21Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y28 IS_PAD 0 SITEPROP TIEOFF_X21Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y28 IS_RESERVED 0 SITEPROP TIEOFF_X21Y28 IS_TEST 0 SITEPROP TIEOFF_X21Y28 IS_USED 0 SITEPROP TIEOFF_X21Y28 MANUAL_ROUTING SITEPROP TIEOFF_X21Y28 NAME TIEOFF_X21Y28 SITEPROP TIEOFF_X21Y28 NUM_ARCS 0 SITEPROP TIEOFF_X21Y28 NUM_BELS 2 SITEPROP TIEOFF_X21Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y28 NUM_PINS 2 SITEPROP TIEOFF_X21Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y28 PROHIBIT 0 SITEPROP TIEOFF_X21Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y28 RPM_X 84 SITEPROP TIEOFF_X21Y28 RPM_Y 56 SITEPROP TIEOFF_X21Y28 SITE_PIPS SITEPROP TIEOFF_X21Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y29 CLASS site SITEPROP TIEOFF_X21Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y29 IS_BONDED 0 SITEPROP TIEOFF_X21Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y29 IS_PAD 0 SITEPROP TIEOFF_X21Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y29 IS_RESERVED 0 SITEPROP TIEOFF_X21Y29 IS_TEST 0 SITEPROP TIEOFF_X21Y29 IS_USED 0 SITEPROP TIEOFF_X21Y29 MANUAL_ROUTING SITEPROP TIEOFF_X21Y29 NAME TIEOFF_X21Y29 SITEPROP TIEOFF_X21Y29 NUM_ARCS 0 SITEPROP TIEOFF_X21Y29 NUM_BELS 2 SITEPROP TIEOFF_X21Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y29 NUM_PINS 2 SITEPROP TIEOFF_X21Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y29 PROHIBIT 0 SITEPROP TIEOFF_X21Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y29 RPM_X 84 SITEPROP TIEOFF_X21Y29 RPM_Y 58 SITEPROP TIEOFF_X21Y29 SITE_PIPS SITEPROP TIEOFF_X21Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y30 CLASS site SITEPROP TIEOFF_X21Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y30 IS_BONDED 0 SITEPROP TIEOFF_X21Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y30 IS_PAD 0 SITEPROP TIEOFF_X21Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y30 IS_RESERVED 0 SITEPROP TIEOFF_X21Y30 IS_TEST 0 SITEPROP TIEOFF_X21Y30 IS_USED 0 SITEPROP TIEOFF_X21Y30 MANUAL_ROUTING SITEPROP TIEOFF_X21Y30 NAME TIEOFF_X21Y30 SITEPROP TIEOFF_X21Y30 NUM_ARCS 0 SITEPROP TIEOFF_X21Y30 NUM_BELS 2 SITEPROP TIEOFF_X21Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y30 NUM_PINS 2 SITEPROP TIEOFF_X21Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y30 PROHIBIT 0 SITEPROP TIEOFF_X21Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y30 RPM_X 84 SITEPROP TIEOFF_X21Y30 RPM_Y 60 SITEPROP TIEOFF_X21Y30 SITE_PIPS SITEPROP TIEOFF_X21Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y31 CLASS site SITEPROP TIEOFF_X21Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y31 IS_BONDED 0 SITEPROP TIEOFF_X21Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y31 IS_PAD 0 SITEPROP TIEOFF_X21Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y31 IS_RESERVED 0 SITEPROP TIEOFF_X21Y31 IS_TEST 0 SITEPROP TIEOFF_X21Y31 IS_USED 0 SITEPROP TIEOFF_X21Y31 MANUAL_ROUTING SITEPROP TIEOFF_X21Y31 NAME TIEOFF_X21Y31 SITEPROP TIEOFF_X21Y31 NUM_ARCS 0 SITEPROP TIEOFF_X21Y31 NUM_BELS 2 SITEPROP TIEOFF_X21Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y31 NUM_PINS 2 SITEPROP TIEOFF_X21Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y31 PROHIBIT 0 SITEPROP TIEOFF_X21Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y31 RPM_X 84 SITEPROP TIEOFF_X21Y31 RPM_Y 62 SITEPROP TIEOFF_X21Y31 SITE_PIPS SITEPROP TIEOFF_X21Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y32 CLASS site SITEPROP TIEOFF_X21Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y32 IS_BONDED 0 SITEPROP TIEOFF_X21Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y32 IS_PAD 0 SITEPROP TIEOFF_X21Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y32 IS_RESERVED 0 SITEPROP TIEOFF_X21Y32 IS_TEST 0 SITEPROP TIEOFF_X21Y32 IS_USED 0 SITEPROP TIEOFF_X21Y32 MANUAL_ROUTING SITEPROP TIEOFF_X21Y32 NAME TIEOFF_X21Y32 SITEPROP TIEOFF_X21Y32 NUM_ARCS 0 SITEPROP TIEOFF_X21Y32 NUM_BELS 2 SITEPROP TIEOFF_X21Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y32 NUM_PINS 2 SITEPROP TIEOFF_X21Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y32 PROHIBIT 0 SITEPROP TIEOFF_X21Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y32 RPM_X 84 SITEPROP TIEOFF_X21Y32 RPM_Y 64 SITEPROP TIEOFF_X21Y32 SITE_PIPS SITEPROP TIEOFF_X21Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y33 CLASS site SITEPROP TIEOFF_X21Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y33 IS_BONDED 0 SITEPROP TIEOFF_X21Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y33 IS_PAD 0 SITEPROP TIEOFF_X21Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y33 IS_RESERVED 0 SITEPROP TIEOFF_X21Y33 IS_TEST 0 SITEPROP TIEOFF_X21Y33 IS_USED 0 SITEPROP TIEOFF_X21Y33 MANUAL_ROUTING SITEPROP TIEOFF_X21Y33 NAME TIEOFF_X21Y33 SITEPROP TIEOFF_X21Y33 NUM_ARCS 0 SITEPROP TIEOFF_X21Y33 NUM_BELS 2 SITEPROP TIEOFF_X21Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y33 NUM_PINS 2 SITEPROP TIEOFF_X21Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y33 PROHIBIT 0 SITEPROP TIEOFF_X21Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y33 RPM_X 84 SITEPROP TIEOFF_X21Y33 RPM_Y 66 SITEPROP TIEOFF_X21Y33 SITE_PIPS SITEPROP TIEOFF_X21Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y34 CLASS site SITEPROP TIEOFF_X21Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y34 IS_BONDED 0 SITEPROP TIEOFF_X21Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y34 IS_PAD 0 SITEPROP TIEOFF_X21Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y34 IS_RESERVED 0 SITEPROP TIEOFF_X21Y34 IS_TEST 0 SITEPROP TIEOFF_X21Y34 IS_USED 0 SITEPROP TIEOFF_X21Y34 MANUAL_ROUTING SITEPROP TIEOFF_X21Y34 NAME TIEOFF_X21Y34 SITEPROP TIEOFF_X21Y34 NUM_ARCS 0 SITEPROP TIEOFF_X21Y34 NUM_BELS 2 SITEPROP TIEOFF_X21Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y34 NUM_PINS 2 SITEPROP TIEOFF_X21Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y34 PROHIBIT 0 SITEPROP TIEOFF_X21Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y34 RPM_X 84 SITEPROP TIEOFF_X21Y34 RPM_Y 68 SITEPROP TIEOFF_X21Y34 SITE_PIPS SITEPROP TIEOFF_X21Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y35 CLASS site SITEPROP TIEOFF_X21Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y35 IS_BONDED 0 SITEPROP TIEOFF_X21Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y35 IS_PAD 0 SITEPROP TIEOFF_X21Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y35 IS_RESERVED 0 SITEPROP TIEOFF_X21Y35 IS_TEST 0 SITEPROP TIEOFF_X21Y35 IS_USED 0 SITEPROP TIEOFF_X21Y35 MANUAL_ROUTING SITEPROP TIEOFF_X21Y35 NAME TIEOFF_X21Y35 SITEPROP TIEOFF_X21Y35 NUM_ARCS 0 SITEPROP TIEOFF_X21Y35 NUM_BELS 2 SITEPROP TIEOFF_X21Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y35 NUM_PINS 2 SITEPROP TIEOFF_X21Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y35 PROHIBIT 0 SITEPROP TIEOFF_X21Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y35 RPM_X 84 SITEPROP TIEOFF_X21Y35 RPM_Y 70 SITEPROP TIEOFF_X21Y35 SITE_PIPS SITEPROP TIEOFF_X21Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y36 CLASS site SITEPROP TIEOFF_X21Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y36 IS_BONDED 0 SITEPROP TIEOFF_X21Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y36 IS_PAD 0 SITEPROP TIEOFF_X21Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y36 IS_RESERVED 0 SITEPROP TIEOFF_X21Y36 IS_TEST 0 SITEPROP TIEOFF_X21Y36 IS_USED 0 SITEPROP TIEOFF_X21Y36 MANUAL_ROUTING SITEPROP TIEOFF_X21Y36 NAME TIEOFF_X21Y36 SITEPROP TIEOFF_X21Y36 NUM_ARCS 0 SITEPROP TIEOFF_X21Y36 NUM_BELS 2 SITEPROP TIEOFF_X21Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y36 NUM_PINS 2 SITEPROP TIEOFF_X21Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y36 PROHIBIT 0 SITEPROP TIEOFF_X21Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y36 RPM_X 84 SITEPROP TIEOFF_X21Y36 RPM_Y 72 SITEPROP TIEOFF_X21Y36 SITE_PIPS SITEPROP TIEOFF_X21Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y37 CLASS site SITEPROP TIEOFF_X21Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y37 IS_BONDED 0 SITEPROP TIEOFF_X21Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y37 IS_PAD 0 SITEPROP TIEOFF_X21Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y37 IS_RESERVED 0 SITEPROP TIEOFF_X21Y37 IS_TEST 0 SITEPROP TIEOFF_X21Y37 IS_USED 0 SITEPROP TIEOFF_X21Y37 MANUAL_ROUTING SITEPROP TIEOFF_X21Y37 NAME TIEOFF_X21Y37 SITEPROP TIEOFF_X21Y37 NUM_ARCS 0 SITEPROP TIEOFF_X21Y37 NUM_BELS 2 SITEPROP TIEOFF_X21Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y37 NUM_PINS 2 SITEPROP TIEOFF_X21Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y37 PROHIBIT 0 SITEPROP TIEOFF_X21Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y37 RPM_X 84 SITEPROP TIEOFF_X21Y37 RPM_Y 74 SITEPROP TIEOFF_X21Y37 SITE_PIPS SITEPROP TIEOFF_X21Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y38 CLASS site SITEPROP TIEOFF_X21Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y38 IS_BONDED 0 SITEPROP TIEOFF_X21Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y38 IS_PAD 0 SITEPROP TIEOFF_X21Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y38 IS_RESERVED 0 SITEPROP TIEOFF_X21Y38 IS_TEST 0 SITEPROP TIEOFF_X21Y38 IS_USED 0 SITEPROP TIEOFF_X21Y38 MANUAL_ROUTING SITEPROP TIEOFF_X21Y38 NAME TIEOFF_X21Y38 SITEPROP TIEOFF_X21Y38 NUM_ARCS 0 SITEPROP TIEOFF_X21Y38 NUM_BELS 2 SITEPROP TIEOFF_X21Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y38 NUM_PINS 2 SITEPROP TIEOFF_X21Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y38 PROHIBIT 0 SITEPROP TIEOFF_X21Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y38 RPM_X 84 SITEPROP TIEOFF_X21Y38 RPM_Y 76 SITEPROP TIEOFF_X21Y38 SITE_PIPS SITEPROP TIEOFF_X21Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y39 CLASS site SITEPROP TIEOFF_X21Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y39 IS_BONDED 0 SITEPROP TIEOFF_X21Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y39 IS_PAD 0 SITEPROP TIEOFF_X21Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y39 IS_RESERVED 0 SITEPROP TIEOFF_X21Y39 IS_TEST 0 SITEPROP TIEOFF_X21Y39 IS_USED 0 SITEPROP TIEOFF_X21Y39 MANUAL_ROUTING SITEPROP TIEOFF_X21Y39 NAME TIEOFF_X21Y39 SITEPROP TIEOFF_X21Y39 NUM_ARCS 0 SITEPROP TIEOFF_X21Y39 NUM_BELS 2 SITEPROP TIEOFF_X21Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y39 NUM_PINS 2 SITEPROP TIEOFF_X21Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y39 PROHIBIT 0 SITEPROP TIEOFF_X21Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y39 RPM_X 84 SITEPROP TIEOFF_X21Y39 RPM_Y 78 SITEPROP TIEOFF_X21Y39 SITE_PIPS SITEPROP TIEOFF_X21Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y40 CLASS site SITEPROP TIEOFF_X21Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y40 IS_BONDED 0 SITEPROP TIEOFF_X21Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y40 IS_PAD 0 SITEPROP TIEOFF_X21Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y40 IS_RESERVED 0 SITEPROP TIEOFF_X21Y40 IS_TEST 0 SITEPROP TIEOFF_X21Y40 IS_USED 0 SITEPROP TIEOFF_X21Y40 MANUAL_ROUTING SITEPROP TIEOFF_X21Y40 NAME TIEOFF_X21Y40 SITEPROP TIEOFF_X21Y40 NUM_ARCS 0 SITEPROP TIEOFF_X21Y40 NUM_BELS 2 SITEPROP TIEOFF_X21Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y40 NUM_PINS 2 SITEPROP TIEOFF_X21Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y40 PROHIBIT 0 SITEPROP TIEOFF_X21Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y40 RPM_X 84 SITEPROP TIEOFF_X21Y40 RPM_Y 80 SITEPROP TIEOFF_X21Y40 SITE_PIPS SITEPROP TIEOFF_X21Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y41 CLASS site SITEPROP TIEOFF_X21Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y41 IS_BONDED 0 SITEPROP TIEOFF_X21Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y41 IS_PAD 0 SITEPROP TIEOFF_X21Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y41 IS_RESERVED 0 SITEPROP TIEOFF_X21Y41 IS_TEST 0 SITEPROP TIEOFF_X21Y41 IS_USED 0 SITEPROP TIEOFF_X21Y41 MANUAL_ROUTING SITEPROP TIEOFF_X21Y41 NAME TIEOFF_X21Y41 SITEPROP TIEOFF_X21Y41 NUM_ARCS 0 SITEPROP TIEOFF_X21Y41 NUM_BELS 2 SITEPROP TIEOFF_X21Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y41 NUM_PINS 2 SITEPROP TIEOFF_X21Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y41 PROHIBIT 0 SITEPROP TIEOFF_X21Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y41 RPM_X 84 SITEPROP TIEOFF_X21Y41 RPM_Y 82 SITEPROP TIEOFF_X21Y41 SITE_PIPS SITEPROP TIEOFF_X21Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y42 CLASS site SITEPROP TIEOFF_X21Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y42 IS_BONDED 0 SITEPROP TIEOFF_X21Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y42 IS_PAD 0 SITEPROP TIEOFF_X21Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y42 IS_RESERVED 0 SITEPROP TIEOFF_X21Y42 IS_TEST 0 SITEPROP TIEOFF_X21Y42 IS_USED 0 SITEPROP TIEOFF_X21Y42 MANUAL_ROUTING SITEPROP TIEOFF_X21Y42 NAME TIEOFF_X21Y42 SITEPROP TIEOFF_X21Y42 NUM_ARCS 0 SITEPROP TIEOFF_X21Y42 NUM_BELS 2 SITEPROP TIEOFF_X21Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y42 NUM_PINS 2 SITEPROP TIEOFF_X21Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y42 PROHIBIT 0 SITEPROP TIEOFF_X21Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y42 RPM_X 84 SITEPROP TIEOFF_X21Y42 RPM_Y 84 SITEPROP TIEOFF_X21Y42 SITE_PIPS SITEPROP TIEOFF_X21Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y43 CLASS site SITEPROP TIEOFF_X21Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y43 IS_BONDED 0 SITEPROP TIEOFF_X21Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y43 IS_PAD 0 SITEPROP TIEOFF_X21Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y43 IS_RESERVED 0 SITEPROP TIEOFF_X21Y43 IS_TEST 0 SITEPROP TIEOFF_X21Y43 IS_USED 0 SITEPROP TIEOFF_X21Y43 MANUAL_ROUTING SITEPROP TIEOFF_X21Y43 NAME TIEOFF_X21Y43 SITEPROP TIEOFF_X21Y43 NUM_ARCS 0 SITEPROP TIEOFF_X21Y43 NUM_BELS 2 SITEPROP TIEOFF_X21Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y43 NUM_PINS 2 SITEPROP TIEOFF_X21Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y43 PROHIBIT 0 SITEPROP TIEOFF_X21Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y43 RPM_X 84 SITEPROP TIEOFF_X21Y43 RPM_Y 86 SITEPROP TIEOFF_X21Y43 SITE_PIPS SITEPROP TIEOFF_X21Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y44 CLASS site SITEPROP TIEOFF_X21Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y44 IS_BONDED 0 SITEPROP TIEOFF_X21Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y44 IS_PAD 0 SITEPROP TIEOFF_X21Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y44 IS_RESERVED 0 SITEPROP TIEOFF_X21Y44 IS_TEST 0 SITEPROP TIEOFF_X21Y44 IS_USED 0 SITEPROP TIEOFF_X21Y44 MANUAL_ROUTING SITEPROP TIEOFF_X21Y44 NAME TIEOFF_X21Y44 SITEPROP TIEOFF_X21Y44 NUM_ARCS 0 SITEPROP TIEOFF_X21Y44 NUM_BELS 2 SITEPROP TIEOFF_X21Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y44 NUM_PINS 2 SITEPROP TIEOFF_X21Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y44 PROHIBIT 0 SITEPROP TIEOFF_X21Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y44 RPM_X 84 SITEPROP TIEOFF_X21Y44 RPM_Y 88 SITEPROP TIEOFF_X21Y44 SITE_PIPS SITEPROP TIEOFF_X21Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y45 CLASS site SITEPROP TIEOFF_X21Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y45 IS_BONDED 0 SITEPROP TIEOFF_X21Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y45 IS_PAD 0 SITEPROP TIEOFF_X21Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y45 IS_RESERVED 0 SITEPROP TIEOFF_X21Y45 IS_TEST 0 SITEPROP TIEOFF_X21Y45 IS_USED 0 SITEPROP TIEOFF_X21Y45 MANUAL_ROUTING SITEPROP TIEOFF_X21Y45 NAME TIEOFF_X21Y45 SITEPROP TIEOFF_X21Y45 NUM_ARCS 0 SITEPROP TIEOFF_X21Y45 NUM_BELS 2 SITEPROP TIEOFF_X21Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y45 NUM_PINS 2 SITEPROP TIEOFF_X21Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y45 PROHIBIT 0 SITEPROP TIEOFF_X21Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y45 RPM_X 84 SITEPROP TIEOFF_X21Y45 RPM_Y 90 SITEPROP TIEOFF_X21Y45 SITE_PIPS SITEPROP TIEOFF_X21Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y46 CLASS site SITEPROP TIEOFF_X21Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y46 IS_BONDED 0 SITEPROP TIEOFF_X21Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y46 IS_PAD 0 SITEPROP TIEOFF_X21Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y46 IS_RESERVED 0 SITEPROP TIEOFF_X21Y46 IS_TEST 0 SITEPROP TIEOFF_X21Y46 IS_USED 0 SITEPROP TIEOFF_X21Y46 MANUAL_ROUTING SITEPROP TIEOFF_X21Y46 NAME TIEOFF_X21Y46 SITEPROP TIEOFF_X21Y46 NUM_ARCS 0 SITEPROP TIEOFF_X21Y46 NUM_BELS 2 SITEPROP TIEOFF_X21Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y46 NUM_PINS 2 SITEPROP TIEOFF_X21Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y46 PROHIBIT 0 SITEPROP TIEOFF_X21Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y46 RPM_X 84 SITEPROP TIEOFF_X21Y46 RPM_Y 92 SITEPROP TIEOFF_X21Y46 SITE_PIPS SITEPROP TIEOFF_X21Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y47 CLASS site SITEPROP TIEOFF_X21Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y47 IS_BONDED 0 SITEPROP TIEOFF_X21Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y47 IS_PAD 0 SITEPROP TIEOFF_X21Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y47 IS_RESERVED 0 SITEPROP TIEOFF_X21Y47 IS_TEST 0 SITEPROP TIEOFF_X21Y47 IS_USED 0 SITEPROP TIEOFF_X21Y47 MANUAL_ROUTING SITEPROP TIEOFF_X21Y47 NAME TIEOFF_X21Y47 SITEPROP TIEOFF_X21Y47 NUM_ARCS 0 SITEPROP TIEOFF_X21Y47 NUM_BELS 2 SITEPROP TIEOFF_X21Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y47 NUM_PINS 2 SITEPROP TIEOFF_X21Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y47 PROHIBIT 0 SITEPROP TIEOFF_X21Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y47 RPM_X 84 SITEPROP TIEOFF_X21Y47 RPM_Y 94 SITEPROP TIEOFF_X21Y47 SITE_PIPS SITEPROP TIEOFF_X21Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y48 CLASS site SITEPROP TIEOFF_X21Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y48 IS_BONDED 0 SITEPROP TIEOFF_X21Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y48 IS_PAD 0 SITEPROP TIEOFF_X21Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y48 IS_RESERVED 0 SITEPROP TIEOFF_X21Y48 IS_TEST 0 SITEPROP TIEOFF_X21Y48 IS_USED 0 SITEPROP TIEOFF_X21Y48 MANUAL_ROUTING SITEPROP TIEOFF_X21Y48 NAME TIEOFF_X21Y48 SITEPROP TIEOFF_X21Y48 NUM_ARCS 0 SITEPROP TIEOFF_X21Y48 NUM_BELS 2 SITEPROP TIEOFF_X21Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y48 NUM_PINS 2 SITEPROP TIEOFF_X21Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y48 PROHIBIT 0 SITEPROP TIEOFF_X21Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y48 RPM_X 84 SITEPROP TIEOFF_X21Y48 RPM_Y 96 SITEPROP TIEOFF_X21Y48 SITE_PIPS SITEPROP TIEOFF_X21Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y49 CLASS site SITEPROP TIEOFF_X21Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X21Y49 IS_BONDED 0 SITEPROP TIEOFF_X21Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y49 IS_PAD 0 SITEPROP TIEOFF_X21Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y49 IS_RESERVED 0 SITEPROP TIEOFF_X21Y49 IS_TEST 0 SITEPROP TIEOFF_X21Y49 IS_USED 0 SITEPROP TIEOFF_X21Y49 MANUAL_ROUTING SITEPROP TIEOFF_X21Y49 NAME TIEOFF_X21Y49 SITEPROP TIEOFF_X21Y49 NUM_ARCS 0 SITEPROP TIEOFF_X21Y49 NUM_BELS 2 SITEPROP TIEOFF_X21Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y49 NUM_PINS 2 SITEPROP TIEOFF_X21Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y49 PROHIBIT 0 SITEPROP TIEOFF_X21Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y49 RPM_X 84 SITEPROP TIEOFF_X21Y49 RPM_Y 98 SITEPROP TIEOFF_X21Y49 SITE_PIPS SITEPROP TIEOFF_X21Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y50 CLASS site SITEPROP TIEOFF_X21Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y50 IS_BONDED 0 SITEPROP TIEOFF_X21Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y50 IS_PAD 0 SITEPROP TIEOFF_X21Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y50 IS_RESERVED 0 SITEPROP TIEOFF_X21Y50 IS_TEST 0 SITEPROP TIEOFF_X21Y50 IS_USED 0 SITEPROP TIEOFF_X21Y50 MANUAL_ROUTING SITEPROP TIEOFF_X21Y50 NAME TIEOFF_X21Y50 SITEPROP TIEOFF_X21Y50 NUM_ARCS 0 SITEPROP TIEOFF_X21Y50 NUM_BELS 2 SITEPROP TIEOFF_X21Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y50 NUM_PINS 2 SITEPROP TIEOFF_X21Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y50 PROHIBIT 0 SITEPROP TIEOFF_X21Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y50 RPM_X 84 SITEPROP TIEOFF_X21Y50 RPM_Y 100 SITEPROP TIEOFF_X21Y50 SITE_PIPS SITEPROP TIEOFF_X21Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y51 CLASS site SITEPROP TIEOFF_X21Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y51 IS_BONDED 0 SITEPROP TIEOFF_X21Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y51 IS_PAD 0 SITEPROP TIEOFF_X21Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y51 IS_RESERVED 0 SITEPROP TIEOFF_X21Y51 IS_TEST 0 SITEPROP TIEOFF_X21Y51 IS_USED 0 SITEPROP TIEOFF_X21Y51 MANUAL_ROUTING SITEPROP TIEOFF_X21Y51 NAME TIEOFF_X21Y51 SITEPROP TIEOFF_X21Y51 NUM_ARCS 0 SITEPROP TIEOFF_X21Y51 NUM_BELS 2 SITEPROP TIEOFF_X21Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y51 NUM_PINS 2 SITEPROP TIEOFF_X21Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y51 PROHIBIT 0 SITEPROP TIEOFF_X21Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y51 RPM_X 84 SITEPROP TIEOFF_X21Y51 RPM_Y 102 SITEPROP TIEOFF_X21Y51 SITE_PIPS SITEPROP TIEOFF_X21Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y52 CLASS site SITEPROP TIEOFF_X21Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y52 IS_BONDED 0 SITEPROP TIEOFF_X21Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y52 IS_PAD 0 SITEPROP TIEOFF_X21Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y52 IS_RESERVED 0 SITEPROP TIEOFF_X21Y52 IS_TEST 0 SITEPROP TIEOFF_X21Y52 IS_USED 0 SITEPROP TIEOFF_X21Y52 MANUAL_ROUTING SITEPROP TIEOFF_X21Y52 NAME TIEOFF_X21Y52 SITEPROP TIEOFF_X21Y52 NUM_ARCS 0 SITEPROP TIEOFF_X21Y52 NUM_BELS 2 SITEPROP TIEOFF_X21Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y52 NUM_PINS 2 SITEPROP TIEOFF_X21Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y52 PROHIBIT 0 SITEPROP TIEOFF_X21Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y52 RPM_X 84 SITEPROP TIEOFF_X21Y52 RPM_Y 104 SITEPROP TIEOFF_X21Y52 SITE_PIPS SITEPROP TIEOFF_X21Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y53 CLASS site SITEPROP TIEOFF_X21Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y53 IS_BONDED 0 SITEPROP TIEOFF_X21Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y53 IS_PAD 0 SITEPROP TIEOFF_X21Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y53 IS_RESERVED 0 SITEPROP TIEOFF_X21Y53 IS_TEST 0 SITEPROP TIEOFF_X21Y53 IS_USED 0 SITEPROP TIEOFF_X21Y53 MANUAL_ROUTING SITEPROP TIEOFF_X21Y53 NAME TIEOFF_X21Y53 SITEPROP TIEOFF_X21Y53 NUM_ARCS 0 SITEPROP TIEOFF_X21Y53 NUM_BELS 2 SITEPROP TIEOFF_X21Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y53 NUM_PINS 2 SITEPROP TIEOFF_X21Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y53 PROHIBIT 0 SITEPROP TIEOFF_X21Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y53 RPM_X 84 SITEPROP TIEOFF_X21Y53 RPM_Y 106 SITEPROP TIEOFF_X21Y53 SITE_PIPS SITEPROP TIEOFF_X21Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y54 CLASS site SITEPROP TIEOFF_X21Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y54 IS_BONDED 0 SITEPROP TIEOFF_X21Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y54 IS_PAD 0 SITEPROP TIEOFF_X21Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y54 IS_RESERVED 0 SITEPROP TIEOFF_X21Y54 IS_TEST 0 SITEPROP TIEOFF_X21Y54 IS_USED 0 SITEPROP TIEOFF_X21Y54 MANUAL_ROUTING SITEPROP TIEOFF_X21Y54 NAME TIEOFF_X21Y54 SITEPROP TIEOFF_X21Y54 NUM_ARCS 0 SITEPROP TIEOFF_X21Y54 NUM_BELS 2 SITEPROP TIEOFF_X21Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y54 NUM_PINS 2 SITEPROP TIEOFF_X21Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y54 PROHIBIT 0 SITEPROP TIEOFF_X21Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y54 RPM_X 84 SITEPROP TIEOFF_X21Y54 RPM_Y 108 SITEPROP TIEOFF_X21Y54 SITE_PIPS SITEPROP TIEOFF_X21Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y55 CLASS site SITEPROP TIEOFF_X21Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y55 IS_BONDED 0 SITEPROP TIEOFF_X21Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y55 IS_PAD 0 SITEPROP TIEOFF_X21Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y55 IS_RESERVED 0 SITEPROP TIEOFF_X21Y55 IS_TEST 0 SITEPROP TIEOFF_X21Y55 IS_USED 0 SITEPROP TIEOFF_X21Y55 MANUAL_ROUTING SITEPROP TIEOFF_X21Y55 NAME TIEOFF_X21Y55 SITEPROP TIEOFF_X21Y55 NUM_ARCS 0 SITEPROP TIEOFF_X21Y55 NUM_BELS 2 SITEPROP TIEOFF_X21Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y55 NUM_PINS 2 SITEPROP TIEOFF_X21Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y55 PROHIBIT 0 SITEPROP TIEOFF_X21Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y55 RPM_X 84 SITEPROP TIEOFF_X21Y55 RPM_Y 110 SITEPROP TIEOFF_X21Y55 SITE_PIPS SITEPROP TIEOFF_X21Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y56 CLASS site SITEPROP TIEOFF_X21Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y56 IS_BONDED 0 SITEPROP TIEOFF_X21Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y56 IS_PAD 0 SITEPROP TIEOFF_X21Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y56 IS_RESERVED 0 SITEPROP TIEOFF_X21Y56 IS_TEST 0 SITEPROP TIEOFF_X21Y56 IS_USED 0 SITEPROP TIEOFF_X21Y56 MANUAL_ROUTING SITEPROP TIEOFF_X21Y56 NAME TIEOFF_X21Y56 SITEPROP TIEOFF_X21Y56 NUM_ARCS 0 SITEPROP TIEOFF_X21Y56 NUM_BELS 2 SITEPROP TIEOFF_X21Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y56 NUM_PINS 2 SITEPROP TIEOFF_X21Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y56 PROHIBIT 0 SITEPROP TIEOFF_X21Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y56 RPM_X 84 SITEPROP TIEOFF_X21Y56 RPM_Y 112 SITEPROP TIEOFF_X21Y56 SITE_PIPS SITEPROP TIEOFF_X21Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y57 CLASS site SITEPROP TIEOFF_X21Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y57 IS_BONDED 0 SITEPROP TIEOFF_X21Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y57 IS_PAD 0 SITEPROP TIEOFF_X21Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y57 IS_RESERVED 0 SITEPROP TIEOFF_X21Y57 IS_TEST 0 SITEPROP TIEOFF_X21Y57 IS_USED 0 SITEPROP TIEOFF_X21Y57 MANUAL_ROUTING SITEPROP TIEOFF_X21Y57 NAME TIEOFF_X21Y57 SITEPROP TIEOFF_X21Y57 NUM_ARCS 0 SITEPROP TIEOFF_X21Y57 NUM_BELS 2 SITEPROP TIEOFF_X21Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y57 NUM_PINS 2 SITEPROP TIEOFF_X21Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y57 PROHIBIT 0 SITEPROP TIEOFF_X21Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y57 RPM_X 84 SITEPROP TIEOFF_X21Y57 RPM_Y 114 SITEPROP TIEOFF_X21Y57 SITE_PIPS SITEPROP TIEOFF_X21Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y58 CLASS site SITEPROP TIEOFF_X21Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y58 IS_BONDED 0 SITEPROP TIEOFF_X21Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y58 IS_PAD 0 SITEPROP TIEOFF_X21Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y58 IS_RESERVED 0 SITEPROP TIEOFF_X21Y58 IS_TEST 0 SITEPROP TIEOFF_X21Y58 IS_USED 0 SITEPROP TIEOFF_X21Y58 MANUAL_ROUTING SITEPROP TIEOFF_X21Y58 NAME TIEOFF_X21Y58 SITEPROP TIEOFF_X21Y58 NUM_ARCS 0 SITEPROP TIEOFF_X21Y58 NUM_BELS 2 SITEPROP TIEOFF_X21Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y58 NUM_PINS 2 SITEPROP TIEOFF_X21Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y58 PROHIBIT 0 SITEPROP TIEOFF_X21Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y58 RPM_X 84 SITEPROP TIEOFF_X21Y58 RPM_Y 116 SITEPROP TIEOFF_X21Y58 SITE_PIPS SITEPROP TIEOFF_X21Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y59 CLASS site SITEPROP TIEOFF_X21Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y59 IS_BONDED 0 SITEPROP TIEOFF_X21Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y59 IS_PAD 0 SITEPROP TIEOFF_X21Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y59 IS_RESERVED 0 SITEPROP TIEOFF_X21Y59 IS_TEST 0 SITEPROP TIEOFF_X21Y59 IS_USED 0 SITEPROP TIEOFF_X21Y59 MANUAL_ROUTING SITEPROP TIEOFF_X21Y59 NAME TIEOFF_X21Y59 SITEPROP TIEOFF_X21Y59 NUM_ARCS 0 SITEPROP TIEOFF_X21Y59 NUM_BELS 2 SITEPROP TIEOFF_X21Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y59 NUM_PINS 2 SITEPROP TIEOFF_X21Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y59 PROHIBIT 0 SITEPROP TIEOFF_X21Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y59 RPM_X 84 SITEPROP TIEOFF_X21Y59 RPM_Y 118 SITEPROP TIEOFF_X21Y59 SITE_PIPS SITEPROP TIEOFF_X21Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y60 CLASS site SITEPROP TIEOFF_X21Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y60 IS_BONDED 0 SITEPROP TIEOFF_X21Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y60 IS_PAD 0 SITEPROP TIEOFF_X21Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y60 IS_RESERVED 0 SITEPROP TIEOFF_X21Y60 IS_TEST 0 SITEPROP TIEOFF_X21Y60 IS_USED 0 SITEPROP TIEOFF_X21Y60 MANUAL_ROUTING SITEPROP TIEOFF_X21Y60 NAME TIEOFF_X21Y60 SITEPROP TIEOFF_X21Y60 NUM_ARCS 0 SITEPROP TIEOFF_X21Y60 NUM_BELS 2 SITEPROP TIEOFF_X21Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y60 NUM_PINS 2 SITEPROP TIEOFF_X21Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y60 PROHIBIT 0 SITEPROP TIEOFF_X21Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y60 RPM_X 84 SITEPROP TIEOFF_X21Y60 RPM_Y 120 SITEPROP TIEOFF_X21Y60 SITE_PIPS SITEPROP TIEOFF_X21Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y61 CLASS site SITEPROP TIEOFF_X21Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y61 IS_BONDED 0 SITEPROP TIEOFF_X21Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y61 IS_PAD 0 SITEPROP TIEOFF_X21Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y61 IS_RESERVED 0 SITEPROP TIEOFF_X21Y61 IS_TEST 0 SITEPROP TIEOFF_X21Y61 IS_USED 0 SITEPROP TIEOFF_X21Y61 MANUAL_ROUTING SITEPROP TIEOFF_X21Y61 NAME TIEOFF_X21Y61 SITEPROP TIEOFF_X21Y61 NUM_ARCS 0 SITEPROP TIEOFF_X21Y61 NUM_BELS 2 SITEPROP TIEOFF_X21Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y61 NUM_PINS 2 SITEPROP TIEOFF_X21Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y61 PROHIBIT 0 SITEPROP TIEOFF_X21Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y61 RPM_X 84 SITEPROP TIEOFF_X21Y61 RPM_Y 122 SITEPROP TIEOFF_X21Y61 SITE_PIPS SITEPROP TIEOFF_X21Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y62 CLASS site SITEPROP TIEOFF_X21Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y62 IS_BONDED 0 SITEPROP TIEOFF_X21Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y62 IS_PAD 0 SITEPROP TIEOFF_X21Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y62 IS_RESERVED 0 SITEPROP TIEOFF_X21Y62 IS_TEST 0 SITEPROP TIEOFF_X21Y62 IS_USED 0 SITEPROP TIEOFF_X21Y62 MANUAL_ROUTING SITEPROP TIEOFF_X21Y62 NAME TIEOFF_X21Y62 SITEPROP TIEOFF_X21Y62 NUM_ARCS 0 SITEPROP TIEOFF_X21Y62 NUM_BELS 2 SITEPROP TIEOFF_X21Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y62 NUM_PINS 2 SITEPROP TIEOFF_X21Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y62 PROHIBIT 0 SITEPROP TIEOFF_X21Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y62 RPM_X 84 SITEPROP TIEOFF_X21Y62 RPM_Y 124 SITEPROP TIEOFF_X21Y62 SITE_PIPS SITEPROP TIEOFF_X21Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y63 CLASS site SITEPROP TIEOFF_X21Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y63 IS_BONDED 0 SITEPROP TIEOFF_X21Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y63 IS_PAD 0 SITEPROP TIEOFF_X21Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y63 IS_RESERVED 0 SITEPROP TIEOFF_X21Y63 IS_TEST 0 SITEPROP TIEOFF_X21Y63 IS_USED 0 SITEPROP TIEOFF_X21Y63 MANUAL_ROUTING SITEPROP TIEOFF_X21Y63 NAME TIEOFF_X21Y63 SITEPROP TIEOFF_X21Y63 NUM_ARCS 0 SITEPROP TIEOFF_X21Y63 NUM_BELS 2 SITEPROP TIEOFF_X21Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y63 NUM_PINS 2 SITEPROP TIEOFF_X21Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y63 PROHIBIT 0 SITEPROP TIEOFF_X21Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y63 RPM_X 84 SITEPROP TIEOFF_X21Y63 RPM_Y 126 SITEPROP TIEOFF_X21Y63 SITE_PIPS SITEPROP TIEOFF_X21Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y64 CLASS site SITEPROP TIEOFF_X21Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y64 IS_BONDED 0 SITEPROP TIEOFF_X21Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y64 IS_PAD 0 SITEPROP TIEOFF_X21Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y64 IS_RESERVED 0 SITEPROP TIEOFF_X21Y64 IS_TEST 0 SITEPROP TIEOFF_X21Y64 IS_USED 0 SITEPROP TIEOFF_X21Y64 MANUAL_ROUTING SITEPROP TIEOFF_X21Y64 NAME TIEOFF_X21Y64 SITEPROP TIEOFF_X21Y64 NUM_ARCS 0 SITEPROP TIEOFF_X21Y64 NUM_BELS 2 SITEPROP TIEOFF_X21Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y64 NUM_PINS 2 SITEPROP TIEOFF_X21Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y64 PROHIBIT 0 SITEPROP TIEOFF_X21Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y64 RPM_X 84 SITEPROP TIEOFF_X21Y64 RPM_Y 128 SITEPROP TIEOFF_X21Y64 SITE_PIPS SITEPROP TIEOFF_X21Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y65 CLASS site SITEPROP TIEOFF_X21Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y65 IS_BONDED 0 SITEPROP TIEOFF_X21Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y65 IS_PAD 0 SITEPROP TIEOFF_X21Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y65 IS_RESERVED 0 SITEPROP TIEOFF_X21Y65 IS_TEST 0 SITEPROP TIEOFF_X21Y65 IS_USED 0 SITEPROP TIEOFF_X21Y65 MANUAL_ROUTING SITEPROP TIEOFF_X21Y65 NAME TIEOFF_X21Y65 SITEPROP TIEOFF_X21Y65 NUM_ARCS 0 SITEPROP TIEOFF_X21Y65 NUM_BELS 2 SITEPROP TIEOFF_X21Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y65 NUM_PINS 2 SITEPROP TIEOFF_X21Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y65 PROHIBIT 0 SITEPROP TIEOFF_X21Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y65 RPM_X 84 SITEPROP TIEOFF_X21Y65 RPM_Y 130 SITEPROP TIEOFF_X21Y65 SITE_PIPS SITEPROP TIEOFF_X21Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y66 CLASS site SITEPROP TIEOFF_X21Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y66 IS_BONDED 0 SITEPROP TIEOFF_X21Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y66 IS_PAD 0 SITEPROP TIEOFF_X21Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y66 IS_RESERVED 0 SITEPROP TIEOFF_X21Y66 IS_TEST 0 SITEPROP TIEOFF_X21Y66 IS_USED 0 SITEPROP TIEOFF_X21Y66 MANUAL_ROUTING SITEPROP TIEOFF_X21Y66 NAME TIEOFF_X21Y66 SITEPROP TIEOFF_X21Y66 NUM_ARCS 0 SITEPROP TIEOFF_X21Y66 NUM_BELS 2 SITEPROP TIEOFF_X21Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y66 NUM_PINS 2 SITEPROP TIEOFF_X21Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y66 PROHIBIT 0 SITEPROP TIEOFF_X21Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y66 RPM_X 84 SITEPROP TIEOFF_X21Y66 RPM_Y 132 SITEPROP TIEOFF_X21Y66 SITE_PIPS SITEPROP TIEOFF_X21Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y67 CLASS site SITEPROP TIEOFF_X21Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y67 IS_BONDED 0 SITEPROP TIEOFF_X21Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y67 IS_PAD 0 SITEPROP TIEOFF_X21Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y67 IS_RESERVED 0 SITEPROP TIEOFF_X21Y67 IS_TEST 0 SITEPROP TIEOFF_X21Y67 IS_USED 0 SITEPROP TIEOFF_X21Y67 MANUAL_ROUTING SITEPROP TIEOFF_X21Y67 NAME TIEOFF_X21Y67 SITEPROP TIEOFF_X21Y67 NUM_ARCS 0 SITEPROP TIEOFF_X21Y67 NUM_BELS 2 SITEPROP TIEOFF_X21Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y67 NUM_PINS 2 SITEPROP TIEOFF_X21Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y67 PROHIBIT 0 SITEPROP TIEOFF_X21Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y67 RPM_X 84 SITEPROP TIEOFF_X21Y67 RPM_Y 134 SITEPROP TIEOFF_X21Y67 SITE_PIPS SITEPROP TIEOFF_X21Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y68 CLASS site SITEPROP TIEOFF_X21Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y68 IS_BONDED 0 SITEPROP TIEOFF_X21Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y68 IS_PAD 0 SITEPROP TIEOFF_X21Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y68 IS_RESERVED 0 SITEPROP TIEOFF_X21Y68 IS_TEST 0 SITEPROP TIEOFF_X21Y68 IS_USED 0 SITEPROP TIEOFF_X21Y68 MANUAL_ROUTING SITEPROP TIEOFF_X21Y68 NAME TIEOFF_X21Y68 SITEPROP TIEOFF_X21Y68 NUM_ARCS 0 SITEPROP TIEOFF_X21Y68 NUM_BELS 2 SITEPROP TIEOFF_X21Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y68 NUM_PINS 2 SITEPROP TIEOFF_X21Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y68 PROHIBIT 0 SITEPROP TIEOFF_X21Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y68 RPM_X 84 SITEPROP TIEOFF_X21Y68 RPM_Y 136 SITEPROP TIEOFF_X21Y68 SITE_PIPS SITEPROP TIEOFF_X21Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y69 CLASS site SITEPROP TIEOFF_X21Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y69 IS_BONDED 0 SITEPROP TIEOFF_X21Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y69 IS_PAD 0 SITEPROP TIEOFF_X21Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y69 IS_RESERVED 0 SITEPROP TIEOFF_X21Y69 IS_TEST 0 SITEPROP TIEOFF_X21Y69 IS_USED 0 SITEPROP TIEOFF_X21Y69 MANUAL_ROUTING SITEPROP TIEOFF_X21Y69 NAME TIEOFF_X21Y69 SITEPROP TIEOFF_X21Y69 NUM_ARCS 0 SITEPROP TIEOFF_X21Y69 NUM_BELS 2 SITEPROP TIEOFF_X21Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y69 NUM_PINS 2 SITEPROP TIEOFF_X21Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y69 PROHIBIT 0 SITEPROP TIEOFF_X21Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y69 RPM_X 84 SITEPROP TIEOFF_X21Y69 RPM_Y 138 SITEPROP TIEOFF_X21Y69 SITE_PIPS SITEPROP TIEOFF_X21Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y70 CLASS site SITEPROP TIEOFF_X21Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y70 IS_BONDED 0 SITEPROP TIEOFF_X21Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y70 IS_PAD 0 SITEPROP TIEOFF_X21Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y70 IS_RESERVED 0 SITEPROP TIEOFF_X21Y70 IS_TEST 0 SITEPROP TIEOFF_X21Y70 IS_USED 0 SITEPROP TIEOFF_X21Y70 MANUAL_ROUTING SITEPROP TIEOFF_X21Y70 NAME TIEOFF_X21Y70 SITEPROP TIEOFF_X21Y70 NUM_ARCS 0 SITEPROP TIEOFF_X21Y70 NUM_BELS 2 SITEPROP TIEOFF_X21Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y70 NUM_PINS 2 SITEPROP TIEOFF_X21Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y70 PROHIBIT 0 SITEPROP TIEOFF_X21Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y70 RPM_X 84 SITEPROP TIEOFF_X21Y70 RPM_Y 140 SITEPROP TIEOFF_X21Y70 SITE_PIPS SITEPROP TIEOFF_X21Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y71 CLASS site SITEPROP TIEOFF_X21Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y71 IS_BONDED 0 SITEPROP TIEOFF_X21Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y71 IS_PAD 0 SITEPROP TIEOFF_X21Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y71 IS_RESERVED 0 SITEPROP TIEOFF_X21Y71 IS_TEST 0 SITEPROP TIEOFF_X21Y71 IS_USED 0 SITEPROP TIEOFF_X21Y71 MANUAL_ROUTING SITEPROP TIEOFF_X21Y71 NAME TIEOFF_X21Y71 SITEPROP TIEOFF_X21Y71 NUM_ARCS 0 SITEPROP TIEOFF_X21Y71 NUM_BELS 2 SITEPROP TIEOFF_X21Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y71 NUM_PINS 2 SITEPROP TIEOFF_X21Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y71 PROHIBIT 0 SITEPROP TIEOFF_X21Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y71 RPM_X 84 SITEPROP TIEOFF_X21Y71 RPM_Y 142 SITEPROP TIEOFF_X21Y71 SITE_PIPS SITEPROP TIEOFF_X21Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y72 CLASS site SITEPROP TIEOFF_X21Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y72 IS_BONDED 0 SITEPROP TIEOFF_X21Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y72 IS_PAD 0 SITEPROP TIEOFF_X21Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y72 IS_RESERVED 0 SITEPROP TIEOFF_X21Y72 IS_TEST 0 SITEPROP TIEOFF_X21Y72 IS_USED 0 SITEPROP TIEOFF_X21Y72 MANUAL_ROUTING SITEPROP TIEOFF_X21Y72 NAME TIEOFF_X21Y72 SITEPROP TIEOFF_X21Y72 NUM_ARCS 0 SITEPROP TIEOFF_X21Y72 NUM_BELS 2 SITEPROP TIEOFF_X21Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y72 NUM_PINS 2 SITEPROP TIEOFF_X21Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y72 PROHIBIT 0 SITEPROP TIEOFF_X21Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y72 RPM_X 84 SITEPROP TIEOFF_X21Y72 RPM_Y 144 SITEPROP TIEOFF_X21Y72 SITE_PIPS SITEPROP TIEOFF_X21Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y73 CLASS site SITEPROP TIEOFF_X21Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y73 IS_BONDED 0 SITEPROP TIEOFF_X21Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y73 IS_PAD 0 SITEPROP TIEOFF_X21Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y73 IS_RESERVED 0 SITEPROP TIEOFF_X21Y73 IS_TEST 0 SITEPROP TIEOFF_X21Y73 IS_USED 0 SITEPROP TIEOFF_X21Y73 MANUAL_ROUTING SITEPROP TIEOFF_X21Y73 NAME TIEOFF_X21Y73 SITEPROP TIEOFF_X21Y73 NUM_ARCS 0 SITEPROP TIEOFF_X21Y73 NUM_BELS 2 SITEPROP TIEOFF_X21Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y73 NUM_PINS 2 SITEPROP TIEOFF_X21Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y73 PROHIBIT 0 SITEPROP TIEOFF_X21Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y73 RPM_X 84 SITEPROP TIEOFF_X21Y73 RPM_Y 146 SITEPROP TIEOFF_X21Y73 SITE_PIPS SITEPROP TIEOFF_X21Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y74 CLASS site SITEPROP TIEOFF_X21Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y74 IS_BONDED 0 SITEPROP TIEOFF_X21Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y74 IS_PAD 0 SITEPROP TIEOFF_X21Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y74 IS_RESERVED 0 SITEPROP TIEOFF_X21Y74 IS_TEST 0 SITEPROP TIEOFF_X21Y74 IS_USED 0 SITEPROP TIEOFF_X21Y74 MANUAL_ROUTING SITEPROP TIEOFF_X21Y74 NAME TIEOFF_X21Y74 SITEPROP TIEOFF_X21Y74 NUM_ARCS 0 SITEPROP TIEOFF_X21Y74 NUM_BELS 2 SITEPROP TIEOFF_X21Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y74 NUM_PINS 2 SITEPROP TIEOFF_X21Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y74 PROHIBIT 0 SITEPROP TIEOFF_X21Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y74 RPM_X 84 SITEPROP TIEOFF_X21Y74 RPM_Y 148 SITEPROP TIEOFF_X21Y74 SITE_PIPS SITEPROP TIEOFF_X21Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y75 CLASS site SITEPROP TIEOFF_X21Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y75 IS_BONDED 0 SITEPROP TIEOFF_X21Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y75 IS_PAD 0 SITEPROP TIEOFF_X21Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y75 IS_RESERVED 0 SITEPROP TIEOFF_X21Y75 IS_TEST 0 SITEPROP TIEOFF_X21Y75 IS_USED 0 SITEPROP TIEOFF_X21Y75 MANUAL_ROUTING SITEPROP TIEOFF_X21Y75 NAME TIEOFF_X21Y75 SITEPROP TIEOFF_X21Y75 NUM_ARCS 0 SITEPROP TIEOFF_X21Y75 NUM_BELS 2 SITEPROP TIEOFF_X21Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y75 NUM_PINS 2 SITEPROP TIEOFF_X21Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y75 PROHIBIT 0 SITEPROP TIEOFF_X21Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y75 RPM_X 84 SITEPROP TIEOFF_X21Y75 RPM_Y 150 SITEPROP TIEOFF_X21Y75 SITE_PIPS SITEPROP TIEOFF_X21Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y76 CLASS site SITEPROP TIEOFF_X21Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y76 IS_BONDED 0 SITEPROP TIEOFF_X21Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y76 IS_PAD 0 SITEPROP TIEOFF_X21Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y76 IS_RESERVED 0 SITEPROP TIEOFF_X21Y76 IS_TEST 0 SITEPROP TIEOFF_X21Y76 IS_USED 0 SITEPROP TIEOFF_X21Y76 MANUAL_ROUTING SITEPROP TIEOFF_X21Y76 NAME TIEOFF_X21Y76 SITEPROP TIEOFF_X21Y76 NUM_ARCS 0 SITEPROP TIEOFF_X21Y76 NUM_BELS 2 SITEPROP TIEOFF_X21Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y76 NUM_PINS 2 SITEPROP TIEOFF_X21Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y76 PROHIBIT 0 SITEPROP TIEOFF_X21Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y76 RPM_X 84 SITEPROP TIEOFF_X21Y76 RPM_Y 152 SITEPROP TIEOFF_X21Y76 SITE_PIPS SITEPROP TIEOFF_X21Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y77 CLASS site SITEPROP TIEOFF_X21Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y77 IS_BONDED 0 SITEPROP TIEOFF_X21Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y77 IS_PAD 0 SITEPROP TIEOFF_X21Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y77 IS_RESERVED 0 SITEPROP TIEOFF_X21Y77 IS_TEST 0 SITEPROP TIEOFF_X21Y77 IS_USED 0 SITEPROP TIEOFF_X21Y77 MANUAL_ROUTING SITEPROP TIEOFF_X21Y77 NAME TIEOFF_X21Y77 SITEPROP TIEOFF_X21Y77 NUM_ARCS 0 SITEPROP TIEOFF_X21Y77 NUM_BELS 2 SITEPROP TIEOFF_X21Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y77 NUM_PINS 2 SITEPROP TIEOFF_X21Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y77 PROHIBIT 0 SITEPROP TIEOFF_X21Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y77 RPM_X 84 SITEPROP TIEOFF_X21Y77 RPM_Y 154 SITEPROP TIEOFF_X21Y77 SITE_PIPS SITEPROP TIEOFF_X21Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y78 CLASS site SITEPROP TIEOFF_X21Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y78 IS_BONDED 0 SITEPROP TIEOFF_X21Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y78 IS_PAD 0 SITEPROP TIEOFF_X21Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y78 IS_RESERVED 0 SITEPROP TIEOFF_X21Y78 IS_TEST 0 SITEPROP TIEOFF_X21Y78 IS_USED 0 SITEPROP TIEOFF_X21Y78 MANUAL_ROUTING SITEPROP TIEOFF_X21Y78 NAME TIEOFF_X21Y78 SITEPROP TIEOFF_X21Y78 NUM_ARCS 0 SITEPROP TIEOFF_X21Y78 NUM_BELS 2 SITEPROP TIEOFF_X21Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y78 NUM_PINS 2 SITEPROP TIEOFF_X21Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y78 PROHIBIT 0 SITEPROP TIEOFF_X21Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y78 RPM_X 84 SITEPROP TIEOFF_X21Y78 RPM_Y 156 SITEPROP TIEOFF_X21Y78 SITE_PIPS SITEPROP TIEOFF_X21Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y79 CLASS site SITEPROP TIEOFF_X21Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y79 IS_BONDED 0 SITEPROP TIEOFF_X21Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y79 IS_PAD 0 SITEPROP TIEOFF_X21Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y79 IS_RESERVED 0 SITEPROP TIEOFF_X21Y79 IS_TEST 0 SITEPROP TIEOFF_X21Y79 IS_USED 0 SITEPROP TIEOFF_X21Y79 MANUAL_ROUTING SITEPROP TIEOFF_X21Y79 NAME TIEOFF_X21Y79 SITEPROP TIEOFF_X21Y79 NUM_ARCS 0 SITEPROP TIEOFF_X21Y79 NUM_BELS 2 SITEPROP TIEOFF_X21Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y79 NUM_PINS 2 SITEPROP TIEOFF_X21Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y79 PROHIBIT 0 SITEPROP TIEOFF_X21Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y79 RPM_X 84 SITEPROP TIEOFF_X21Y79 RPM_Y 158 SITEPROP TIEOFF_X21Y79 SITE_PIPS SITEPROP TIEOFF_X21Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y80 CLASS site SITEPROP TIEOFF_X21Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y80 IS_BONDED 0 SITEPROP TIEOFF_X21Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y80 IS_PAD 0 SITEPROP TIEOFF_X21Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y80 IS_RESERVED 0 SITEPROP TIEOFF_X21Y80 IS_TEST 0 SITEPROP TIEOFF_X21Y80 IS_USED 0 SITEPROP TIEOFF_X21Y80 MANUAL_ROUTING SITEPROP TIEOFF_X21Y80 NAME TIEOFF_X21Y80 SITEPROP TIEOFF_X21Y80 NUM_ARCS 0 SITEPROP TIEOFF_X21Y80 NUM_BELS 2 SITEPROP TIEOFF_X21Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y80 NUM_PINS 2 SITEPROP TIEOFF_X21Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y80 PROHIBIT 0 SITEPROP TIEOFF_X21Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y80 RPM_X 84 SITEPROP TIEOFF_X21Y80 RPM_Y 160 SITEPROP TIEOFF_X21Y80 SITE_PIPS SITEPROP TIEOFF_X21Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y81 CLASS site SITEPROP TIEOFF_X21Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y81 IS_BONDED 0 SITEPROP TIEOFF_X21Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y81 IS_PAD 0 SITEPROP TIEOFF_X21Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y81 IS_RESERVED 0 SITEPROP TIEOFF_X21Y81 IS_TEST 0 SITEPROP TIEOFF_X21Y81 IS_USED 0 SITEPROP TIEOFF_X21Y81 MANUAL_ROUTING SITEPROP TIEOFF_X21Y81 NAME TIEOFF_X21Y81 SITEPROP TIEOFF_X21Y81 NUM_ARCS 0 SITEPROP TIEOFF_X21Y81 NUM_BELS 2 SITEPROP TIEOFF_X21Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y81 NUM_PINS 2 SITEPROP TIEOFF_X21Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y81 PROHIBIT 0 SITEPROP TIEOFF_X21Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y81 RPM_X 84 SITEPROP TIEOFF_X21Y81 RPM_Y 162 SITEPROP TIEOFF_X21Y81 SITE_PIPS SITEPROP TIEOFF_X21Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y82 CLASS site SITEPROP TIEOFF_X21Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y82 IS_BONDED 0 SITEPROP TIEOFF_X21Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y82 IS_PAD 0 SITEPROP TIEOFF_X21Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y82 IS_RESERVED 0 SITEPROP TIEOFF_X21Y82 IS_TEST 0 SITEPROP TIEOFF_X21Y82 IS_USED 0 SITEPROP TIEOFF_X21Y82 MANUAL_ROUTING SITEPROP TIEOFF_X21Y82 NAME TIEOFF_X21Y82 SITEPROP TIEOFF_X21Y82 NUM_ARCS 0 SITEPROP TIEOFF_X21Y82 NUM_BELS 2 SITEPROP TIEOFF_X21Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y82 NUM_PINS 2 SITEPROP TIEOFF_X21Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y82 PROHIBIT 0 SITEPROP TIEOFF_X21Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y82 RPM_X 84 SITEPROP TIEOFF_X21Y82 RPM_Y 164 SITEPROP TIEOFF_X21Y82 SITE_PIPS SITEPROP TIEOFF_X21Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y83 CLASS site SITEPROP TIEOFF_X21Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y83 IS_BONDED 0 SITEPROP TIEOFF_X21Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y83 IS_PAD 0 SITEPROP TIEOFF_X21Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y83 IS_RESERVED 0 SITEPROP TIEOFF_X21Y83 IS_TEST 0 SITEPROP TIEOFF_X21Y83 IS_USED 0 SITEPROP TIEOFF_X21Y83 MANUAL_ROUTING SITEPROP TIEOFF_X21Y83 NAME TIEOFF_X21Y83 SITEPROP TIEOFF_X21Y83 NUM_ARCS 0 SITEPROP TIEOFF_X21Y83 NUM_BELS 2 SITEPROP TIEOFF_X21Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y83 NUM_PINS 2 SITEPROP TIEOFF_X21Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y83 PROHIBIT 0 SITEPROP TIEOFF_X21Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y83 RPM_X 84 SITEPROP TIEOFF_X21Y83 RPM_Y 166 SITEPROP TIEOFF_X21Y83 SITE_PIPS SITEPROP TIEOFF_X21Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y84 CLASS site SITEPROP TIEOFF_X21Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y84 IS_BONDED 0 SITEPROP TIEOFF_X21Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y84 IS_PAD 0 SITEPROP TIEOFF_X21Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y84 IS_RESERVED 0 SITEPROP TIEOFF_X21Y84 IS_TEST 0 SITEPROP TIEOFF_X21Y84 IS_USED 0 SITEPROP TIEOFF_X21Y84 MANUAL_ROUTING SITEPROP TIEOFF_X21Y84 NAME TIEOFF_X21Y84 SITEPROP TIEOFF_X21Y84 NUM_ARCS 0 SITEPROP TIEOFF_X21Y84 NUM_BELS 2 SITEPROP TIEOFF_X21Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y84 NUM_PINS 2 SITEPROP TIEOFF_X21Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y84 PROHIBIT 0 SITEPROP TIEOFF_X21Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y84 RPM_X 84 SITEPROP TIEOFF_X21Y84 RPM_Y 168 SITEPROP TIEOFF_X21Y84 SITE_PIPS SITEPROP TIEOFF_X21Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y85 CLASS site SITEPROP TIEOFF_X21Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y85 IS_BONDED 0 SITEPROP TIEOFF_X21Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y85 IS_PAD 0 SITEPROP TIEOFF_X21Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y85 IS_RESERVED 0 SITEPROP TIEOFF_X21Y85 IS_TEST 0 SITEPROP TIEOFF_X21Y85 IS_USED 0 SITEPROP TIEOFF_X21Y85 MANUAL_ROUTING SITEPROP TIEOFF_X21Y85 NAME TIEOFF_X21Y85 SITEPROP TIEOFF_X21Y85 NUM_ARCS 0 SITEPROP TIEOFF_X21Y85 NUM_BELS 2 SITEPROP TIEOFF_X21Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y85 NUM_PINS 2 SITEPROP TIEOFF_X21Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y85 PROHIBIT 0 SITEPROP TIEOFF_X21Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y85 RPM_X 84 SITEPROP TIEOFF_X21Y85 RPM_Y 170 SITEPROP TIEOFF_X21Y85 SITE_PIPS SITEPROP TIEOFF_X21Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y86 CLASS site SITEPROP TIEOFF_X21Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y86 IS_BONDED 0 SITEPROP TIEOFF_X21Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y86 IS_PAD 0 SITEPROP TIEOFF_X21Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y86 IS_RESERVED 0 SITEPROP TIEOFF_X21Y86 IS_TEST 0 SITEPROP TIEOFF_X21Y86 IS_USED 0 SITEPROP TIEOFF_X21Y86 MANUAL_ROUTING SITEPROP TIEOFF_X21Y86 NAME TIEOFF_X21Y86 SITEPROP TIEOFF_X21Y86 NUM_ARCS 0 SITEPROP TIEOFF_X21Y86 NUM_BELS 2 SITEPROP TIEOFF_X21Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y86 NUM_PINS 2 SITEPROP TIEOFF_X21Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y86 PROHIBIT 0 SITEPROP TIEOFF_X21Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y86 RPM_X 84 SITEPROP TIEOFF_X21Y86 RPM_Y 172 SITEPROP TIEOFF_X21Y86 SITE_PIPS SITEPROP TIEOFF_X21Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y87 CLASS site SITEPROP TIEOFF_X21Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y87 IS_BONDED 0 SITEPROP TIEOFF_X21Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y87 IS_PAD 0 SITEPROP TIEOFF_X21Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y87 IS_RESERVED 0 SITEPROP TIEOFF_X21Y87 IS_TEST 0 SITEPROP TIEOFF_X21Y87 IS_USED 0 SITEPROP TIEOFF_X21Y87 MANUAL_ROUTING SITEPROP TIEOFF_X21Y87 NAME TIEOFF_X21Y87 SITEPROP TIEOFF_X21Y87 NUM_ARCS 0 SITEPROP TIEOFF_X21Y87 NUM_BELS 2 SITEPROP TIEOFF_X21Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y87 NUM_PINS 2 SITEPROP TIEOFF_X21Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y87 PROHIBIT 0 SITEPROP TIEOFF_X21Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y87 RPM_X 84 SITEPROP TIEOFF_X21Y87 RPM_Y 174 SITEPROP TIEOFF_X21Y87 SITE_PIPS SITEPROP TIEOFF_X21Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y88 CLASS site SITEPROP TIEOFF_X21Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y88 IS_BONDED 0 SITEPROP TIEOFF_X21Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y88 IS_PAD 0 SITEPROP TIEOFF_X21Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y88 IS_RESERVED 0 SITEPROP TIEOFF_X21Y88 IS_TEST 0 SITEPROP TIEOFF_X21Y88 IS_USED 0 SITEPROP TIEOFF_X21Y88 MANUAL_ROUTING SITEPROP TIEOFF_X21Y88 NAME TIEOFF_X21Y88 SITEPROP TIEOFF_X21Y88 NUM_ARCS 0 SITEPROP TIEOFF_X21Y88 NUM_BELS 2 SITEPROP TIEOFF_X21Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y88 NUM_PINS 2 SITEPROP TIEOFF_X21Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y88 PROHIBIT 0 SITEPROP TIEOFF_X21Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y88 RPM_X 84 SITEPROP TIEOFF_X21Y88 RPM_Y 176 SITEPROP TIEOFF_X21Y88 SITE_PIPS SITEPROP TIEOFF_X21Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y89 CLASS site SITEPROP TIEOFF_X21Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y89 IS_BONDED 0 SITEPROP TIEOFF_X21Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y89 IS_PAD 0 SITEPROP TIEOFF_X21Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y89 IS_RESERVED 0 SITEPROP TIEOFF_X21Y89 IS_TEST 0 SITEPROP TIEOFF_X21Y89 IS_USED 0 SITEPROP TIEOFF_X21Y89 MANUAL_ROUTING SITEPROP TIEOFF_X21Y89 NAME TIEOFF_X21Y89 SITEPROP TIEOFF_X21Y89 NUM_ARCS 0 SITEPROP TIEOFF_X21Y89 NUM_BELS 2 SITEPROP TIEOFF_X21Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y89 NUM_PINS 2 SITEPROP TIEOFF_X21Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y89 PROHIBIT 0 SITEPROP TIEOFF_X21Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y89 RPM_X 84 SITEPROP TIEOFF_X21Y89 RPM_Y 178 SITEPROP TIEOFF_X21Y89 SITE_PIPS SITEPROP TIEOFF_X21Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y90 CLASS site SITEPROP TIEOFF_X21Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y90 IS_BONDED 0 SITEPROP TIEOFF_X21Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y90 IS_PAD 0 SITEPROP TIEOFF_X21Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y90 IS_RESERVED 0 SITEPROP TIEOFF_X21Y90 IS_TEST 0 SITEPROP TIEOFF_X21Y90 IS_USED 0 SITEPROP TIEOFF_X21Y90 MANUAL_ROUTING SITEPROP TIEOFF_X21Y90 NAME TIEOFF_X21Y90 SITEPROP TIEOFF_X21Y90 NUM_ARCS 0 SITEPROP TIEOFF_X21Y90 NUM_BELS 2 SITEPROP TIEOFF_X21Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y90 NUM_PINS 2 SITEPROP TIEOFF_X21Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y90 PROHIBIT 0 SITEPROP TIEOFF_X21Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y90 RPM_X 84 SITEPROP TIEOFF_X21Y90 RPM_Y 180 SITEPROP TIEOFF_X21Y90 SITE_PIPS SITEPROP TIEOFF_X21Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y91 CLASS site SITEPROP TIEOFF_X21Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y91 IS_BONDED 0 SITEPROP TIEOFF_X21Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y91 IS_PAD 0 SITEPROP TIEOFF_X21Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y91 IS_RESERVED 0 SITEPROP TIEOFF_X21Y91 IS_TEST 0 SITEPROP TIEOFF_X21Y91 IS_USED 0 SITEPROP TIEOFF_X21Y91 MANUAL_ROUTING SITEPROP TIEOFF_X21Y91 NAME TIEOFF_X21Y91 SITEPROP TIEOFF_X21Y91 NUM_ARCS 0 SITEPROP TIEOFF_X21Y91 NUM_BELS 2 SITEPROP TIEOFF_X21Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y91 NUM_PINS 2 SITEPROP TIEOFF_X21Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y91 PROHIBIT 0 SITEPROP TIEOFF_X21Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y91 RPM_X 84 SITEPROP TIEOFF_X21Y91 RPM_Y 182 SITEPROP TIEOFF_X21Y91 SITE_PIPS SITEPROP TIEOFF_X21Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y92 CLASS site SITEPROP TIEOFF_X21Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y92 IS_BONDED 0 SITEPROP TIEOFF_X21Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y92 IS_PAD 0 SITEPROP TIEOFF_X21Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y92 IS_RESERVED 0 SITEPROP TIEOFF_X21Y92 IS_TEST 0 SITEPROP TIEOFF_X21Y92 IS_USED 0 SITEPROP TIEOFF_X21Y92 MANUAL_ROUTING SITEPROP TIEOFF_X21Y92 NAME TIEOFF_X21Y92 SITEPROP TIEOFF_X21Y92 NUM_ARCS 0 SITEPROP TIEOFF_X21Y92 NUM_BELS 2 SITEPROP TIEOFF_X21Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y92 NUM_PINS 2 SITEPROP TIEOFF_X21Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y92 PROHIBIT 0 SITEPROP TIEOFF_X21Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y92 RPM_X 84 SITEPROP TIEOFF_X21Y92 RPM_Y 184 SITEPROP TIEOFF_X21Y92 SITE_PIPS SITEPROP TIEOFF_X21Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y93 CLASS site SITEPROP TIEOFF_X21Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y93 IS_BONDED 0 SITEPROP TIEOFF_X21Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y93 IS_PAD 0 SITEPROP TIEOFF_X21Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y93 IS_RESERVED 0 SITEPROP TIEOFF_X21Y93 IS_TEST 0 SITEPROP TIEOFF_X21Y93 IS_USED 0 SITEPROP TIEOFF_X21Y93 MANUAL_ROUTING SITEPROP TIEOFF_X21Y93 NAME TIEOFF_X21Y93 SITEPROP TIEOFF_X21Y93 NUM_ARCS 0 SITEPROP TIEOFF_X21Y93 NUM_BELS 2 SITEPROP TIEOFF_X21Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y93 NUM_PINS 2 SITEPROP TIEOFF_X21Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y93 PROHIBIT 0 SITEPROP TIEOFF_X21Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y93 RPM_X 84 SITEPROP TIEOFF_X21Y93 RPM_Y 186 SITEPROP TIEOFF_X21Y93 SITE_PIPS SITEPROP TIEOFF_X21Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y94 CLASS site SITEPROP TIEOFF_X21Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y94 IS_BONDED 0 SITEPROP TIEOFF_X21Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y94 IS_PAD 0 SITEPROP TIEOFF_X21Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y94 IS_RESERVED 0 SITEPROP TIEOFF_X21Y94 IS_TEST 0 SITEPROP TIEOFF_X21Y94 IS_USED 0 SITEPROP TIEOFF_X21Y94 MANUAL_ROUTING SITEPROP TIEOFF_X21Y94 NAME TIEOFF_X21Y94 SITEPROP TIEOFF_X21Y94 NUM_ARCS 0 SITEPROP TIEOFF_X21Y94 NUM_BELS 2 SITEPROP TIEOFF_X21Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y94 NUM_PINS 2 SITEPROP TIEOFF_X21Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y94 PROHIBIT 0 SITEPROP TIEOFF_X21Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y94 RPM_X 84 SITEPROP TIEOFF_X21Y94 RPM_Y 188 SITEPROP TIEOFF_X21Y94 SITE_PIPS SITEPROP TIEOFF_X21Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y95 CLASS site SITEPROP TIEOFF_X21Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y95 IS_BONDED 0 SITEPROP TIEOFF_X21Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y95 IS_PAD 0 SITEPROP TIEOFF_X21Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y95 IS_RESERVED 0 SITEPROP TIEOFF_X21Y95 IS_TEST 0 SITEPROP TIEOFF_X21Y95 IS_USED 0 SITEPROP TIEOFF_X21Y95 MANUAL_ROUTING SITEPROP TIEOFF_X21Y95 NAME TIEOFF_X21Y95 SITEPROP TIEOFF_X21Y95 NUM_ARCS 0 SITEPROP TIEOFF_X21Y95 NUM_BELS 2 SITEPROP TIEOFF_X21Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y95 NUM_PINS 2 SITEPROP TIEOFF_X21Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y95 PROHIBIT 0 SITEPROP TIEOFF_X21Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y95 RPM_X 84 SITEPROP TIEOFF_X21Y95 RPM_Y 190 SITEPROP TIEOFF_X21Y95 SITE_PIPS SITEPROP TIEOFF_X21Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y96 CLASS site SITEPROP TIEOFF_X21Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y96 IS_BONDED 0 SITEPROP TIEOFF_X21Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y96 IS_PAD 0 SITEPROP TIEOFF_X21Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y96 IS_RESERVED 0 SITEPROP TIEOFF_X21Y96 IS_TEST 0 SITEPROP TIEOFF_X21Y96 IS_USED 0 SITEPROP TIEOFF_X21Y96 MANUAL_ROUTING SITEPROP TIEOFF_X21Y96 NAME TIEOFF_X21Y96 SITEPROP TIEOFF_X21Y96 NUM_ARCS 0 SITEPROP TIEOFF_X21Y96 NUM_BELS 2 SITEPROP TIEOFF_X21Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y96 NUM_PINS 2 SITEPROP TIEOFF_X21Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y96 PROHIBIT 0 SITEPROP TIEOFF_X21Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y96 RPM_X 84 SITEPROP TIEOFF_X21Y96 RPM_Y 192 SITEPROP TIEOFF_X21Y96 SITE_PIPS SITEPROP TIEOFF_X21Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y97 CLASS site SITEPROP TIEOFF_X21Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y97 IS_BONDED 0 SITEPROP TIEOFF_X21Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y97 IS_PAD 0 SITEPROP TIEOFF_X21Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y97 IS_RESERVED 0 SITEPROP TIEOFF_X21Y97 IS_TEST 0 SITEPROP TIEOFF_X21Y97 IS_USED 0 SITEPROP TIEOFF_X21Y97 MANUAL_ROUTING SITEPROP TIEOFF_X21Y97 NAME TIEOFF_X21Y97 SITEPROP TIEOFF_X21Y97 NUM_ARCS 0 SITEPROP TIEOFF_X21Y97 NUM_BELS 2 SITEPROP TIEOFF_X21Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y97 NUM_PINS 2 SITEPROP TIEOFF_X21Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y97 PROHIBIT 0 SITEPROP TIEOFF_X21Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y97 RPM_X 84 SITEPROP TIEOFF_X21Y97 RPM_Y 194 SITEPROP TIEOFF_X21Y97 SITE_PIPS SITEPROP TIEOFF_X21Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y98 CLASS site SITEPROP TIEOFF_X21Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y98 IS_BONDED 0 SITEPROP TIEOFF_X21Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y98 IS_PAD 0 SITEPROP TIEOFF_X21Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y98 IS_RESERVED 0 SITEPROP TIEOFF_X21Y98 IS_TEST 0 SITEPROP TIEOFF_X21Y98 IS_USED 0 SITEPROP TIEOFF_X21Y98 MANUAL_ROUTING SITEPROP TIEOFF_X21Y98 NAME TIEOFF_X21Y98 SITEPROP TIEOFF_X21Y98 NUM_ARCS 0 SITEPROP TIEOFF_X21Y98 NUM_BELS 2 SITEPROP TIEOFF_X21Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y98 NUM_PINS 2 SITEPROP TIEOFF_X21Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y98 PROHIBIT 0 SITEPROP TIEOFF_X21Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y98 RPM_X 84 SITEPROP TIEOFF_X21Y98 RPM_Y 196 SITEPROP TIEOFF_X21Y98 SITE_PIPS SITEPROP TIEOFF_X21Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y99 CLASS site SITEPROP TIEOFF_X21Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X21Y99 IS_BONDED 0 SITEPROP TIEOFF_X21Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y99 IS_PAD 0 SITEPROP TIEOFF_X21Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y99 IS_RESERVED 0 SITEPROP TIEOFF_X21Y99 IS_TEST 0 SITEPROP TIEOFF_X21Y99 IS_USED 0 SITEPROP TIEOFF_X21Y99 MANUAL_ROUTING SITEPROP TIEOFF_X21Y99 NAME TIEOFF_X21Y99 SITEPROP TIEOFF_X21Y99 NUM_ARCS 0 SITEPROP TIEOFF_X21Y99 NUM_BELS 2 SITEPROP TIEOFF_X21Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y99 NUM_PINS 2 SITEPROP TIEOFF_X21Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y99 PROHIBIT 0 SITEPROP TIEOFF_X21Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y99 RPM_X 84 SITEPROP TIEOFF_X21Y99 RPM_Y 198 SITEPROP TIEOFF_X21Y99 SITE_PIPS SITEPROP TIEOFF_X21Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y100 CLASS site SITEPROP TIEOFF_X21Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y100 IS_BONDED 0 SITEPROP TIEOFF_X21Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y100 IS_PAD 0 SITEPROP TIEOFF_X21Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y100 IS_RESERVED 0 SITEPROP TIEOFF_X21Y100 IS_TEST 0 SITEPROP TIEOFF_X21Y100 IS_USED 0 SITEPROP TIEOFF_X21Y100 MANUAL_ROUTING SITEPROP TIEOFF_X21Y100 NAME TIEOFF_X21Y100 SITEPROP TIEOFF_X21Y100 NUM_ARCS 0 SITEPROP TIEOFF_X21Y100 NUM_BELS 2 SITEPROP TIEOFF_X21Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y100 NUM_PINS 2 SITEPROP TIEOFF_X21Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y100 PROHIBIT 0 SITEPROP TIEOFF_X21Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y100 RPM_X 84 SITEPROP TIEOFF_X21Y100 RPM_Y 200 SITEPROP TIEOFF_X21Y100 SITE_PIPS SITEPROP TIEOFF_X21Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y101 CLASS site SITEPROP TIEOFF_X21Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y101 IS_BONDED 0 SITEPROP TIEOFF_X21Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y101 IS_PAD 0 SITEPROP TIEOFF_X21Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y101 IS_RESERVED 0 SITEPROP TIEOFF_X21Y101 IS_TEST 0 SITEPROP TIEOFF_X21Y101 IS_USED 0 SITEPROP TIEOFF_X21Y101 MANUAL_ROUTING SITEPROP TIEOFF_X21Y101 NAME TIEOFF_X21Y101 SITEPROP TIEOFF_X21Y101 NUM_ARCS 0 SITEPROP TIEOFF_X21Y101 NUM_BELS 2 SITEPROP TIEOFF_X21Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y101 NUM_PINS 2 SITEPROP TIEOFF_X21Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y101 PROHIBIT 0 SITEPROP TIEOFF_X21Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y101 RPM_X 84 SITEPROP TIEOFF_X21Y101 RPM_Y 202 SITEPROP TIEOFF_X21Y101 SITE_PIPS SITEPROP TIEOFF_X21Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y102 CLASS site SITEPROP TIEOFF_X21Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y102 IS_BONDED 0 SITEPROP TIEOFF_X21Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y102 IS_PAD 0 SITEPROP TIEOFF_X21Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y102 IS_RESERVED 0 SITEPROP TIEOFF_X21Y102 IS_TEST 0 SITEPROP TIEOFF_X21Y102 IS_USED 0 SITEPROP TIEOFF_X21Y102 MANUAL_ROUTING SITEPROP TIEOFF_X21Y102 NAME TIEOFF_X21Y102 SITEPROP TIEOFF_X21Y102 NUM_ARCS 0 SITEPROP TIEOFF_X21Y102 NUM_BELS 2 SITEPROP TIEOFF_X21Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y102 NUM_PINS 2 SITEPROP TIEOFF_X21Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y102 PROHIBIT 0 SITEPROP TIEOFF_X21Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y102 RPM_X 84 SITEPROP TIEOFF_X21Y102 RPM_Y 204 SITEPROP TIEOFF_X21Y102 SITE_PIPS SITEPROP TIEOFF_X21Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y103 CLASS site SITEPROP TIEOFF_X21Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y103 IS_BONDED 0 SITEPROP TIEOFF_X21Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y103 IS_PAD 0 SITEPROP TIEOFF_X21Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y103 IS_RESERVED 0 SITEPROP TIEOFF_X21Y103 IS_TEST 0 SITEPROP TIEOFF_X21Y103 IS_USED 0 SITEPROP TIEOFF_X21Y103 MANUAL_ROUTING SITEPROP TIEOFF_X21Y103 NAME TIEOFF_X21Y103 SITEPROP TIEOFF_X21Y103 NUM_ARCS 0 SITEPROP TIEOFF_X21Y103 NUM_BELS 2 SITEPROP TIEOFF_X21Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y103 NUM_PINS 2 SITEPROP TIEOFF_X21Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y103 PROHIBIT 0 SITEPROP TIEOFF_X21Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y103 RPM_X 84 SITEPROP TIEOFF_X21Y103 RPM_Y 206 SITEPROP TIEOFF_X21Y103 SITE_PIPS SITEPROP TIEOFF_X21Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y104 CLASS site SITEPROP TIEOFF_X21Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y104 IS_BONDED 0 SITEPROP TIEOFF_X21Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y104 IS_PAD 0 SITEPROP TIEOFF_X21Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y104 IS_RESERVED 0 SITEPROP TIEOFF_X21Y104 IS_TEST 0 SITEPROP TIEOFF_X21Y104 IS_USED 0 SITEPROP TIEOFF_X21Y104 MANUAL_ROUTING SITEPROP TIEOFF_X21Y104 NAME TIEOFF_X21Y104 SITEPROP TIEOFF_X21Y104 NUM_ARCS 0 SITEPROP TIEOFF_X21Y104 NUM_BELS 2 SITEPROP TIEOFF_X21Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y104 NUM_PINS 2 SITEPROP TIEOFF_X21Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y104 PROHIBIT 0 SITEPROP TIEOFF_X21Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y104 RPM_X 84 SITEPROP TIEOFF_X21Y104 RPM_Y 208 SITEPROP TIEOFF_X21Y104 SITE_PIPS SITEPROP TIEOFF_X21Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y105 CLASS site SITEPROP TIEOFF_X21Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y105 IS_BONDED 0 SITEPROP TIEOFF_X21Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y105 IS_PAD 0 SITEPROP TIEOFF_X21Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y105 IS_RESERVED 0 SITEPROP TIEOFF_X21Y105 IS_TEST 0 SITEPROP TIEOFF_X21Y105 IS_USED 0 SITEPROP TIEOFF_X21Y105 MANUAL_ROUTING SITEPROP TIEOFF_X21Y105 NAME TIEOFF_X21Y105 SITEPROP TIEOFF_X21Y105 NUM_ARCS 0 SITEPROP TIEOFF_X21Y105 NUM_BELS 2 SITEPROP TIEOFF_X21Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y105 NUM_PINS 2 SITEPROP TIEOFF_X21Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y105 PROHIBIT 0 SITEPROP TIEOFF_X21Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y105 RPM_X 84 SITEPROP TIEOFF_X21Y105 RPM_Y 210 SITEPROP TIEOFF_X21Y105 SITE_PIPS SITEPROP TIEOFF_X21Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y106 CLASS site SITEPROP TIEOFF_X21Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y106 IS_BONDED 0 SITEPROP TIEOFF_X21Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y106 IS_PAD 0 SITEPROP TIEOFF_X21Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y106 IS_RESERVED 0 SITEPROP TIEOFF_X21Y106 IS_TEST 0 SITEPROP TIEOFF_X21Y106 IS_USED 0 SITEPROP TIEOFF_X21Y106 MANUAL_ROUTING SITEPROP TIEOFF_X21Y106 NAME TIEOFF_X21Y106 SITEPROP TIEOFF_X21Y106 NUM_ARCS 0 SITEPROP TIEOFF_X21Y106 NUM_BELS 2 SITEPROP TIEOFF_X21Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y106 NUM_PINS 2 SITEPROP TIEOFF_X21Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y106 PROHIBIT 0 SITEPROP TIEOFF_X21Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y106 RPM_X 84 SITEPROP TIEOFF_X21Y106 RPM_Y 212 SITEPROP TIEOFF_X21Y106 SITE_PIPS SITEPROP TIEOFF_X21Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y107 CLASS site SITEPROP TIEOFF_X21Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y107 IS_BONDED 0 SITEPROP TIEOFF_X21Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y107 IS_PAD 0 SITEPROP TIEOFF_X21Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y107 IS_RESERVED 0 SITEPROP TIEOFF_X21Y107 IS_TEST 0 SITEPROP TIEOFF_X21Y107 IS_USED 0 SITEPROP TIEOFF_X21Y107 MANUAL_ROUTING SITEPROP TIEOFF_X21Y107 NAME TIEOFF_X21Y107 SITEPROP TIEOFF_X21Y107 NUM_ARCS 0 SITEPROP TIEOFF_X21Y107 NUM_BELS 2 SITEPROP TIEOFF_X21Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y107 NUM_PINS 2 SITEPROP TIEOFF_X21Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y107 PROHIBIT 0 SITEPROP TIEOFF_X21Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y107 RPM_X 84 SITEPROP TIEOFF_X21Y107 RPM_Y 214 SITEPROP TIEOFF_X21Y107 SITE_PIPS SITEPROP TIEOFF_X21Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y108 CLASS site SITEPROP TIEOFF_X21Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y108 IS_BONDED 0 SITEPROP TIEOFF_X21Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y108 IS_PAD 0 SITEPROP TIEOFF_X21Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y108 IS_RESERVED 0 SITEPROP TIEOFF_X21Y108 IS_TEST 0 SITEPROP TIEOFF_X21Y108 IS_USED 0 SITEPROP TIEOFF_X21Y108 MANUAL_ROUTING SITEPROP TIEOFF_X21Y108 NAME TIEOFF_X21Y108 SITEPROP TIEOFF_X21Y108 NUM_ARCS 0 SITEPROP TIEOFF_X21Y108 NUM_BELS 2 SITEPROP TIEOFF_X21Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y108 NUM_PINS 2 SITEPROP TIEOFF_X21Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y108 PROHIBIT 0 SITEPROP TIEOFF_X21Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y108 RPM_X 84 SITEPROP TIEOFF_X21Y108 RPM_Y 216 SITEPROP TIEOFF_X21Y108 SITE_PIPS SITEPROP TIEOFF_X21Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y109 CLASS site SITEPROP TIEOFF_X21Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y109 IS_BONDED 0 SITEPROP TIEOFF_X21Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y109 IS_PAD 0 SITEPROP TIEOFF_X21Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y109 IS_RESERVED 0 SITEPROP TIEOFF_X21Y109 IS_TEST 0 SITEPROP TIEOFF_X21Y109 IS_USED 0 SITEPROP TIEOFF_X21Y109 MANUAL_ROUTING SITEPROP TIEOFF_X21Y109 NAME TIEOFF_X21Y109 SITEPROP TIEOFF_X21Y109 NUM_ARCS 0 SITEPROP TIEOFF_X21Y109 NUM_BELS 2 SITEPROP TIEOFF_X21Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y109 NUM_PINS 2 SITEPROP TIEOFF_X21Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y109 PROHIBIT 0 SITEPROP TIEOFF_X21Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y109 RPM_X 84 SITEPROP TIEOFF_X21Y109 RPM_Y 218 SITEPROP TIEOFF_X21Y109 SITE_PIPS SITEPROP TIEOFF_X21Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y110 CLASS site SITEPROP TIEOFF_X21Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y110 IS_BONDED 0 SITEPROP TIEOFF_X21Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y110 IS_PAD 0 SITEPROP TIEOFF_X21Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y110 IS_RESERVED 0 SITEPROP TIEOFF_X21Y110 IS_TEST 0 SITEPROP TIEOFF_X21Y110 IS_USED 0 SITEPROP TIEOFF_X21Y110 MANUAL_ROUTING SITEPROP TIEOFF_X21Y110 NAME TIEOFF_X21Y110 SITEPROP TIEOFF_X21Y110 NUM_ARCS 0 SITEPROP TIEOFF_X21Y110 NUM_BELS 2 SITEPROP TIEOFF_X21Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y110 NUM_PINS 2 SITEPROP TIEOFF_X21Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y110 PROHIBIT 0 SITEPROP TIEOFF_X21Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y110 RPM_X 84 SITEPROP TIEOFF_X21Y110 RPM_Y 220 SITEPROP TIEOFF_X21Y110 SITE_PIPS SITEPROP TIEOFF_X21Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y111 CLASS site SITEPROP TIEOFF_X21Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y111 IS_BONDED 0 SITEPROP TIEOFF_X21Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y111 IS_PAD 0 SITEPROP TIEOFF_X21Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y111 IS_RESERVED 0 SITEPROP TIEOFF_X21Y111 IS_TEST 0 SITEPROP TIEOFF_X21Y111 IS_USED 0 SITEPROP TIEOFF_X21Y111 MANUAL_ROUTING SITEPROP TIEOFF_X21Y111 NAME TIEOFF_X21Y111 SITEPROP TIEOFF_X21Y111 NUM_ARCS 0 SITEPROP TIEOFF_X21Y111 NUM_BELS 2 SITEPROP TIEOFF_X21Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y111 NUM_PINS 2 SITEPROP TIEOFF_X21Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y111 PROHIBIT 0 SITEPROP TIEOFF_X21Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y111 RPM_X 84 SITEPROP TIEOFF_X21Y111 RPM_Y 222 SITEPROP TIEOFF_X21Y111 SITE_PIPS SITEPROP TIEOFF_X21Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y112 CLASS site SITEPROP TIEOFF_X21Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y112 IS_BONDED 0 SITEPROP TIEOFF_X21Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y112 IS_PAD 0 SITEPROP TIEOFF_X21Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y112 IS_RESERVED 0 SITEPROP TIEOFF_X21Y112 IS_TEST 0 SITEPROP TIEOFF_X21Y112 IS_USED 0 SITEPROP TIEOFF_X21Y112 MANUAL_ROUTING SITEPROP TIEOFF_X21Y112 NAME TIEOFF_X21Y112 SITEPROP TIEOFF_X21Y112 NUM_ARCS 0 SITEPROP TIEOFF_X21Y112 NUM_BELS 2 SITEPROP TIEOFF_X21Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y112 NUM_PINS 2 SITEPROP TIEOFF_X21Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y112 PROHIBIT 0 SITEPROP TIEOFF_X21Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y112 RPM_X 84 SITEPROP TIEOFF_X21Y112 RPM_Y 224 SITEPROP TIEOFF_X21Y112 SITE_PIPS SITEPROP TIEOFF_X21Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y113 CLASS site SITEPROP TIEOFF_X21Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y113 IS_BONDED 0 SITEPROP TIEOFF_X21Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y113 IS_PAD 0 SITEPROP TIEOFF_X21Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y113 IS_RESERVED 0 SITEPROP TIEOFF_X21Y113 IS_TEST 0 SITEPROP TIEOFF_X21Y113 IS_USED 0 SITEPROP TIEOFF_X21Y113 MANUAL_ROUTING SITEPROP TIEOFF_X21Y113 NAME TIEOFF_X21Y113 SITEPROP TIEOFF_X21Y113 NUM_ARCS 0 SITEPROP TIEOFF_X21Y113 NUM_BELS 2 SITEPROP TIEOFF_X21Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y113 NUM_PINS 2 SITEPROP TIEOFF_X21Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y113 PROHIBIT 0 SITEPROP TIEOFF_X21Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y113 RPM_X 84 SITEPROP TIEOFF_X21Y113 RPM_Y 226 SITEPROP TIEOFF_X21Y113 SITE_PIPS SITEPROP TIEOFF_X21Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y114 CLASS site SITEPROP TIEOFF_X21Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y114 IS_BONDED 0 SITEPROP TIEOFF_X21Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y114 IS_PAD 0 SITEPROP TIEOFF_X21Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y114 IS_RESERVED 0 SITEPROP TIEOFF_X21Y114 IS_TEST 0 SITEPROP TIEOFF_X21Y114 IS_USED 0 SITEPROP TIEOFF_X21Y114 MANUAL_ROUTING SITEPROP TIEOFF_X21Y114 NAME TIEOFF_X21Y114 SITEPROP TIEOFF_X21Y114 NUM_ARCS 0 SITEPROP TIEOFF_X21Y114 NUM_BELS 2 SITEPROP TIEOFF_X21Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y114 NUM_PINS 2 SITEPROP TIEOFF_X21Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y114 PROHIBIT 0 SITEPROP TIEOFF_X21Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y114 RPM_X 84 SITEPROP TIEOFF_X21Y114 RPM_Y 228 SITEPROP TIEOFF_X21Y114 SITE_PIPS SITEPROP TIEOFF_X21Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y115 CLASS site SITEPROP TIEOFF_X21Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y115 IS_BONDED 0 SITEPROP TIEOFF_X21Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y115 IS_PAD 0 SITEPROP TIEOFF_X21Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y115 IS_RESERVED 0 SITEPROP TIEOFF_X21Y115 IS_TEST 0 SITEPROP TIEOFF_X21Y115 IS_USED 0 SITEPROP TIEOFF_X21Y115 MANUAL_ROUTING SITEPROP TIEOFF_X21Y115 NAME TIEOFF_X21Y115 SITEPROP TIEOFF_X21Y115 NUM_ARCS 0 SITEPROP TIEOFF_X21Y115 NUM_BELS 2 SITEPROP TIEOFF_X21Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y115 NUM_PINS 2 SITEPROP TIEOFF_X21Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y115 PROHIBIT 0 SITEPROP TIEOFF_X21Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y115 RPM_X 84 SITEPROP TIEOFF_X21Y115 RPM_Y 230 SITEPROP TIEOFF_X21Y115 SITE_PIPS SITEPROP TIEOFF_X21Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y116 CLASS site SITEPROP TIEOFF_X21Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y116 IS_BONDED 0 SITEPROP TIEOFF_X21Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y116 IS_PAD 0 SITEPROP TIEOFF_X21Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y116 IS_RESERVED 0 SITEPROP TIEOFF_X21Y116 IS_TEST 0 SITEPROP TIEOFF_X21Y116 IS_USED 0 SITEPROP TIEOFF_X21Y116 MANUAL_ROUTING SITEPROP TIEOFF_X21Y116 NAME TIEOFF_X21Y116 SITEPROP TIEOFF_X21Y116 NUM_ARCS 0 SITEPROP TIEOFF_X21Y116 NUM_BELS 2 SITEPROP TIEOFF_X21Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y116 NUM_PINS 2 SITEPROP TIEOFF_X21Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y116 PROHIBIT 0 SITEPROP TIEOFF_X21Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y116 RPM_X 84 SITEPROP TIEOFF_X21Y116 RPM_Y 232 SITEPROP TIEOFF_X21Y116 SITE_PIPS SITEPROP TIEOFF_X21Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y117 CLASS site SITEPROP TIEOFF_X21Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y117 IS_BONDED 0 SITEPROP TIEOFF_X21Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y117 IS_PAD 0 SITEPROP TIEOFF_X21Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y117 IS_RESERVED 0 SITEPROP TIEOFF_X21Y117 IS_TEST 0 SITEPROP TIEOFF_X21Y117 IS_USED 0 SITEPROP TIEOFF_X21Y117 MANUAL_ROUTING SITEPROP TIEOFF_X21Y117 NAME TIEOFF_X21Y117 SITEPROP TIEOFF_X21Y117 NUM_ARCS 0 SITEPROP TIEOFF_X21Y117 NUM_BELS 2 SITEPROP TIEOFF_X21Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y117 NUM_PINS 2 SITEPROP TIEOFF_X21Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y117 PROHIBIT 0 SITEPROP TIEOFF_X21Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y117 RPM_X 84 SITEPROP TIEOFF_X21Y117 RPM_Y 234 SITEPROP TIEOFF_X21Y117 SITE_PIPS SITEPROP TIEOFF_X21Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y118 CLASS site SITEPROP TIEOFF_X21Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y118 IS_BONDED 0 SITEPROP TIEOFF_X21Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y118 IS_PAD 0 SITEPROP TIEOFF_X21Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y118 IS_RESERVED 0 SITEPROP TIEOFF_X21Y118 IS_TEST 0 SITEPROP TIEOFF_X21Y118 IS_USED 0 SITEPROP TIEOFF_X21Y118 MANUAL_ROUTING SITEPROP TIEOFF_X21Y118 NAME TIEOFF_X21Y118 SITEPROP TIEOFF_X21Y118 NUM_ARCS 0 SITEPROP TIEOFF_X21Y118 NUM_BELS 2 SITEPROP TIEOFF_X21Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y118 NUM_PINS 2 SITEPROP TIEOFF_X21Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y118 PROHIBIT 0 SITEPROP TIEOFF_X21Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y118 RPM_X 84 SITEPROP TIEOFF_X21Y118 RPM_Y 236 SITEPROP TIEOFF_X21Y118 SITE_PIPS SITEPROP TIEOFF_X21Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y119 CLASS site SITEPROP TIEOFF_X21Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y119 IS_BONDED 0 SITEPROP TIEOFF_X21Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y119 IS_PAD 0 SITEPROP TIEOFF_X21Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y119 IS_RESERVED 0 SITEPROP TIEOFF_X21Y119 IS_TEST 0 SITEPROP TIEOFF_X21Y119 IS_USED 0 SITEPROP TIEOFF_X21Y119 MANUAL_ROUTING SITEPROP TIEOFF_X21Y119 NAME TIEOFF_X21Y119 SITEPROP TIEOFF_X21Y119 NUM_ARCS 0 SITEPROP TIEOFF_X21Y119 NUM_BELS 2 SITEPROP TIEOFF_X21Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y119 NUM_PINS 2 SITEPROP TIEOFF_X21Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y119 PROHIBIT 0 SITEPROP TIEOFF_X21Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y119 RPM_X 84 SITEPROP TIEOFF_X21Y119 RPM_Y 238 SITEPROP TIEOFF_X21Y119 SITE_PIPS SITEPROP TIEOFF_X21Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y120 CLASS site SITEPROP TIEOFF_X21Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y120 IS_BONDED 0 SITEPROP TIEOFF_X21Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y120 IS_PAD 0 SITEPROP TIEOFF_X21Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y120 IS_RESERVED 0 SITEPROP TIEOFF_X21Y120 IS_TEST 0 SITEPROP TIEOFF_X21Y120 IS_USED 0 SITEPROP TIEOFF_X21Y120 MANUAL_ROUTING SITEPROP TIEOFF_X21Y120 NAME TIEOFF_X21Y120 SITEPROP TIEOFF_X21Y120 NUM_ARCS 0 SITEPROP TIEOFF_X21Y120 NUM_BELS 2 SITEPROP TIEOFF_X21Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y120 NUM_PINS 2 SITEPROP TIEOFF_X21Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y120 PROHIBIT 0 SITEPROP TIEOFF_X21Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y120 RPM_X 84 SITEPROP TIEOFF_X21Y120 RPM_Y 240 SITEPROP TIEOFF_X21Y120 SITE_PIPS SITEPROP TIEOFF_X21Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y121 CLASS site SITEPROP TIEOFF_X21Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y121 IS_BONDED 0 SITEPROP TIEOFF_X21Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y121 IS_PAD 0 SITEPROP TIEOFF_X21Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y121 IS_RESERVED 0 SITEPROP TIEOFF_X21Y121 IS_TEST 0 SITEPROP TIEOFF_X21Y121 IS_USED 0 SITEPROP TIEOFF_X21Y121 MANUAL_ROUTING SITEPROP TIEOFF_X21Y121 NAME TIEOFF_X21Y121 SITEPROP TIEOFF_X21Y121 NUM_ARCS 0 SITEPROP TIEOFF_X21Y121 NUM_BELS 2 SITEPROP TIEOFF_X21Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y121 NUM_PINS 2 SITEPROP TIEOFF_X21Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y121 PROHIBIT 0 SITEPROP TIEOFF_X21Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y121 RPM_X 84 SITEPROP TIEOFF_X21Y121 RPM_Y 242 SITEPROP TIEOFF_X21Y121 SITE_PIPS SITEPROP TIEOFF_X21Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y122 CLASS site SITEPROP TIEOFF_X21Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y122 IS_BONDED 0 SITEPROP TIEOFF_X21Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y122 IS_PAD 0 SITEPROP TIEOFF_X21Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y122 IS_RESERVED 0 SITEPROP TIEOFF_X21Y122 IS_TEST 0 SITEPROP TIEOFF_X21Y122 IS_USED 0 SITEPROP TIEOFF_X21Y122 MANUAL_ROUTING SITEPROP TIEOFF_X21Y122 NAME TIEOFF_X21Y122 SITEPROP TIEOFF_X21Y122 NUM_ARCS 0 SITEPROP TIEOFF_X21Y122 NUM_BELS 2 SITEPROP TIEOFF_X21Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y122 NUM_PINS 2 SITEPROP TIEOFF_X21Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y122 PROHIBIT 0 SITEPROP TIEOFF_X21Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y122 RPM_X 84 SITEPROP TIEOFF_X21Y122 RPM_Y 244 SITEPROP TIEOFF_X21Y122 SITE_PIPS SITEPROP TIEOFF_X21Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y123 CLASS site SITEPROP TIEOFF_X21Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y123 IS_BONDED 0 SITEPROP TIEOFF_X21Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y123 IS_PAD 0 SITEPROP TIEOFF_X21Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y123 IS_RESERVED 0 SITEPROP TIEOFF_X21Y123 IS_TEST 0 SITEPROP TIEOFF_X21Y123 IS_USED 0 SITEPROP TIEOFF_X21Y123 MANUAL_ROUTING SITEPROP TIEOFF_X21Y123 NAME TIEOFF_X21Y123 SITEPROP TIEOFF_X21Y123 NUM_ARCS 0 SITEPROP TIEOFF_X21Y123 NUM_BELS 2 SITEPROP TIEOFF_X21Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y123 NUM_PINS 2 SITEPROP TIEOFF_X21Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y123 PROHIBIT 0 SITEPROP TIEOFF_X21Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y123 RPM_X 84 SITEPROP TIEOFF_X21Y123 RPM_Y 246 SITEPROP TIEOFF_X21Y123 SITE_PIPS SITEPROP TIEOFF_X21Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y124 CLASS site SITEPROP TIEOFF_X21Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y124 IS_BONDED 0 SITEPROP TIEOFF_X21Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y124 IS_PAD 0 SITEPROP TIEOFF_X21Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y124 IS_RESERVED 0 SITEPROP TIEOFF_X21Y124 IS_TEST 0 SITEPROP TIEOFF_X21Y124 IS_USED 0 SITEPROP TIEOFF_X21Y124 MANUAL_ROUTING SITEPROP TIEOFF_X21Y124 NAME TIEOFF_X21Y124 SITEPROP TIEOFF_X21Y124 NUM_ARCS 0 SITEPROP TIEOFF_X21Y124 NUM_BELS 2 SITEPROP TIEOFF_X21Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y124 NUM_PINS 2 SITEPROP TIEOFF_X21Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y124 PROHIBIT 0 SITEPROP TIEOFF_X21Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y124 RPM_X 84 SITEPROP TIEOFF_X21Y124 RPM_Y 248 SITEPROP TIEOFF_X21Y124 SITE_PIPS SITEPROP TIEOFF_X21Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y125 CLASS site SITEPROP TIEOFF_X21Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y125 IS_BONDED 0 SITEPROP TIEOFF_X21Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y125 IS_PAD 0 SITEPROP TIEOFF_X21Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y125 IS_RESERVED 0 SITEPROP TIEOFF_X21Y125 IS_TEST 0 SITEPROP TIEOFF_X21Y125 IS_USED 0 SITEPROP TIEOFF_X21Y125 MANUAL_ROUTING SITEPROP TIEOFF_X21Y125 NAME TIEOFF_X21Y125 SITEPROP TIEOFF_X21Y125 NUM_ARCS 0 SITEPROP TIEOFF_X21Y125 NUM_BELS 2 SITEPROP TIEOFF_X21Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y125 NUM_PINS 2 SITEPROP TIEOFF_X21Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y125 PROHIBIT 0 SITEPROP TIEOFF_X21Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y125 RPM_X 84 SITEPROP TIEOFF_X21Y125 RPM_Y 250 SITEPROP TIEOFF_X21Y125 SITE_PIPS SITEPROP TIEOFF_X21Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y126 CLASS site SITEPROP TIEOFF_X21Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y126 IS_BONDED 0 SITEPROP TIEOFF_X21Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y126 IS_PAD 0 SITEPROP TIEOFF_X21Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y126 IS_RESERVED 0 SITEPROP TIEOFF_X21Y126 IS_TEST 0 SITEPROP TIEOFF_X21Y126 IS_USED 0 SITEPROP TIEOFF_X21Y126 MANUAL_ROUTING SITEPROP TIEOFF_X21Y126 NAME TIEOFF_X21Y126 SITEPROP TIEOFF_X21Y126 NUM_ARCS 0 SITEPROP TIEOFF_X21Y126 NUM_BELS 2 SITEPROP TIEOFF_X21Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y126 NUM_PINS 2 SITEPROP TIEOFF_X21Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y126 PROHIBIT 0 SITEPROP TIEOFF_X21Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y126 RPM_X 84 SITEPROP TIEOFF_X21Y126 RPM_Y 252 SITEPROP TIEOFF_X21Y126 SITE_PIPS SITEPROP TIEOFF_X21Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y127 CLASS site SITEPROP TIEOFF_X21Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y127 IS_BONDED 0 SITEPROP TIEOFF_X21Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y127 IS_PAD 0 SITEPROP TIEOFF_X21Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y127 IS_RESERVED 0 SITEPROP TIEOFF_X21Y127 IS_TEST 0 SITEPROP TIEOFF_X21Y127 IS_USED 0 SITEPROP TIEOFF_X21Y127 MANUAL_ROUTING SITEPROP TIEOFF_X21Y127 NAME TIEOFF_X21Y127 SITEPROP TIEOFF_X21Y127 NUM_ARCS 0 SITEPROP TIEOFF_X21Y127 NUM_BELS 2 SITEPROP TIEOFF_X21Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y127 NUM_PINS 2 SITEPROP TIEOFF_X21Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y127 PROHIBIT 0 SITEPROP TIEOFF_X21Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y127 RPM_X 84 SITEPROP TIEOFF_X21Y127 RPM_Y 254 SITEPROP TIEOFF_X21Y127 SITE_PIPS SITEPROP TIEOFF_X21Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y128 CLASS site SITEPROP TIEOFF_X21Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y128 IS_BONDED 0 SITEPROP TIEOFF_X21Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y128 IS_PAD 0 SITEPROP TIEOFF_X21Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y128 IS_RESERVED 0 SITEPROP TIEOFF_X21Y128 IS_TEST 0 SITEPROP TIEOFF_X21Y128 IS_USED 0 SITEPROP TIEOFF_X21Y128 MANUAL_ROUTING SITEPROP TIEOFF_X21Y128 NAME TIEOFF_X21Y128 SITEPROP TIEOFF_X21Y128 NUM_ARCS 0 SITEPROP TIEOFF_X21Y128 NUM_BELS 2 SITEPROP TIEOFF_X21Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y128 NUM_PINS 2 SITEPROP TIEOFF_X21Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y128 PROHIBIT 0 SITEPROP TIEOFF_X21Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y128 RPM_X 84 SITEPROP TIEOFF_X21Y128 RPM_Y 256 SITEPROP TIEOFF_X21Y128 SITE_PIPS SITEPROP TIEOFF_X21Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y129 CLASS site SITEPROP TIEOFF_X21Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y129 IS_BONDED 0 SITEPROP TIEOFF_X21Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y129 IS_PAD 0 SITEPROP TIEOFF_X21Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y129 IS_RESERVED 0 SITEPROP TIEOFF_X21Y129 IS_TEST 0 SITEPROP TIEOFF_X21Y129 IS_USED 0 SITEPROP TIEOFF_X21Y129 MANUAL_ROUTING SITEPROP TIEOFF_X21Y129 NAME TIEOFF_X21Y129 SITEPROP TIEOFF_X21Y129 NUM_ARCS 0 SITEPROP TIEOFF_X21Y129 NUM_BELS 2 SITEPROP TIEOFF_X21Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y129 NUM_PINS 2 SITEPROP TIEOFF_X21Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y129 PROHIBIT 0 SITEPROP TIEOFF_X21Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y129 RPM_X 84 SITEPROP TIEOFF_X21Y129 RPM_Y 258 SITEPROP TIEOFF_X21Y129 SITE_PIPS SITEPROP TIEOFF_X21Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y130 CLASS site SITEPROP TIEOFF_X21Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y130 IS_BONDED 0 SITEPROP TIEOFF_X21Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y130 IS_PAD 0 SITEPROP TIEOFF_X21Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y130 IS_RESERVED 0 SITEPROP TIEOFF_X21Y130 IS_TEST 0 SITEPROP TIEOFF_X21Y130 IS_USED 0 SITEPROP TIEOFF_X21Y130 MANUAL_ROUTING SITEPROP TIEOFF_X21Y130 NAME TIEOFF_X21Y130 SITEPROP TIEOFF_X21Y130 NUM_ARCS 0 SITEPROP TIEOFF_X21Y130 NUM_BELS 2 SITEPROP TIEOFF_X21Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y130 NUM_PINS 2 SITEPROP TIEOFF_X21Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y130 PROHIBIT 0 SITEPROP TIEOFF_X21Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y130 RPM_X 84 SITEPROP TIEOFF_X21Y130 RPM_Y 260 SITEPROP TIEOFF_X21Y130 SITE_PIPS SITEPROP TIEOFF_X21Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y131 CLASS site SITEPROP TIEOFF_X21Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y131 IS_BONDED 0 SITEPROP TIEOFF_X21Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y131 IS_PAD 0 SITEPROP TIEOFF_X21Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y131 IS_RESERVED 0 SITEPROP TIEOFF_X21Y131 IS_TEST 0 SITEPROP TIEOFF_X21Y131 IS_USED 0 SITEPROP TIEOFF_X21Y131 MANUAL_ROUTING SITEPROP TIEOFF_X21Y131 NAME TIEOFF_X21Y131 SITEPROP TIEOFF_X21Y131 NUM_ARCS 0 SITEPROP TIEOFF_X21Y131 NUM_BELS 2 SITEPROP TIEOFF_X21Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y131 NUM_PINS 2 SITEPROP TIEOFF_X21Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y131 PROHIBIT 0 SITEPROP TIEOFF_X21Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y131 RPM_X 84 SITEPROP TIEOFF_X21Y131 RPM_Y 262 SITEPROP TIEOFF_X21Y131 SITE_PIPS SITEPROP TIEOFF_X21Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y132 CLASS site SITEPROP TIEOFF_X21Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y132 IS_BONDED 0 SITEPROP TIEOFF_X21Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y132 IS_PAD 0 SITEPROP TIEOFF_X21Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y132 IS_RESERVED 0 SITEPROP TIEOFF_X21Y132 IS_TEST 0 SITEPROP TIEOFF_X21Y132 IS_USED 0 SITEPROP TIEOFF_X21Y132 MANUAL_ROUTING SITEPROP TIEOFF_X21Y132 NAME TIEOFF_X21Y132 SITEPROP TIEOFF_X21Y132 NUM_ARCS 0 SITEPROP TIEOFF_X21Y132 NUM_BELS 2 SITEPROP TIEOFF_X21Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y132 NUM_PINS 2 SITEPROP TIEOFF_X21Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y132 PROHIBIT 0 SITEPROP TIEOFF_X21Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y132 RPM_X 84 SITEPROP TIEOFF_X21Y132 RPM_Y 264 SITEPROP TIEOFF_X21Y132 SITE_PIPS SITEPROP TIEOFF_X21Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y133 CLASS site SITEPROP TIEOFF_X21Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y133 IS_BONDED 0 SITEPROP TIEOFF_X21Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y133 IS_PAD 0 SITEPROP TIEOFF_X21Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y133 IS_RESERVED 0 SITEPROP TIEOFF_X21Y133 IS_TEST 0 SITEPROP TIEOFF_X21Y133 IS_USED 0 SITEPROP TIEOFF_X21Y133 MANUAL_ROUTING SITEPROP TIEOFF_X21Y133 NAME TIEOFF_X21Y133 SITEPROP TIEOFF_X21Y133 NUM_ARCS 0 SITEPROP TIEOFF_X21Y133 NUM_BELS 2 SITEPROP TIEOFF_X21Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y133 NUM_PINS 2 SITEPROP TIEOFF_X21Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y133 PROHIBIT 0 SITEPROP TIEOFF_X21Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y133 RPM_X 84 SITEPROP TIEOFF_X21Y133 RPM_Y 266 SITEPROP TIEOFF_X21Y133 SITE_PIPS SITEPROP TIEOFF_X21Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y134 CLASS site SITEPROP TIEOFF_X21Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y134 IS_BONDED 0 SITEPROP TIEOFF_X21Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y134 IS_PAD 0 SITEPROP TIEOFF_X21Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y134 IS_RESERVED 0 SITEPROP TIEOFF_X21Y134 IS_TEST 0 SITEPROP TIEOFF_X21Y134 IS_USED 0 SITEPROP TIEOFF_X21Y134 MANUAL_ROUTING SITEPROP TIEOFF_X21Y134 NAME TIEOFF_X21Y134 SITEPROP TIEOFF_X21Y134 NUM_ARCS 0 SITEPROP TIEOFF_X21Y134 NUM_BELS 2 SITEPROP TIEOFF_X21Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y134 NUM_PINS 2 SITEPROP TIEOFF_X21Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y134 PROHIBIT 0 SITEPROP TIEOFF_X21Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y134 RPM_X 84 SITEPROP TIEOFF_X21Y134 RPM_Y 268 SITEPROP TIEOFF_X21Y134 SITE_PIPS SITEPROP TIEOFF_X21Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y135 CLASS site SITEPROP TIEOFF_X21Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y135 IS_BONDED 0 SITEPROP TIEOFF_X21Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y135 IS_PAD 0 SITEPROP TIEOFF_X21Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y135 IS_RESERVED 0 SITEPROP TIEOFF_X21Y135 IS_TEST 0 SITEPROP TIEOFF_X21Y135 IS_USED 0 SITEPROP TIEOFF_X21Y135 MANUAL_ROUTING SITEPROP TIEOFF_X21Y135 NAME TIEOFF_X21Y135 SITEPROP TIEOFF_X21Y135 NUM_ARCS 0 SITEPROP TIEOFF_X21Y135 NUM_BELS 2 SITEPROP TIEOFF_X21Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y135 NUM_PINS 2 SITEPROP TIEOFF_X21Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y135 PROHIBIT 0 SITEPROP TIEOFF_X21Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y135 RPM_X 84 SITEPROP TIEOFF_X21Y135 RPM_Y 270 SITEPROP TIEOFF_X21Y135 SITE_PIPS SITEPROP TIEOFF_X21Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y136 CLASS site SITEPROP TIEOFF_X21Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y136 IS_BONDED 0 SITEPROP TIEOFF_X21Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y136 IS_PAD 0 SITEPROP TIEOFF_X21Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y136 IS_RESERVED 0 SITEPROP TIEOFF_X21Y136 IS_TEST 0 SITEPROP TIEOFF_X21Y136 IS_USED 0 SITEPROP TIEOFF_X21Y136 MANUAL_ROUTING SITEPROP TIEOFF_X21Y136 NAME TIEOFF_X21Y136 SITEPROP TIEOFF_X21Y136 NUM_ARCS 0 SITEPROP TIEOFF_X21Y136 NUM_BELS 2 SITEPROP TIEOFF_X21Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y136 NUM_PINS 2 SITEPROP TIEOFF_X21Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y136 PROHIBIT 0 SITEPROP TIEOFF_X21Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y136 RPM_X 84 SITEPROP TIEOFF_X21Y136 RPM_Y 272 SITEPROP TIEOFF_X21Y136 SITE_PIPS SITEPROP TIEOFF_X21Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y137 CLASS site SITEPROP TIEOFF_X21Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y137 IS_BONDED 0 SITEPROP TIEOFF_X21Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y137 IS_PAD 0 SITEPROP TIEOFF_X21Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y137 IS_RESERVED 0 SITEPROP TIEOFF_X21Y137 IS_TEST 0 SITEPROP TIEOFF_X21Y137 IS_USED 0 SITEPROP TIEOFF_X21Y137 MANUAL_ROUTING SITEPROP TIEOFF_X21Y137 NAME TIEOFF_X21Y137 SITEPROP TIEOFF_X21Y137 NUM_ARCS 0 SITEPROP TIEOFF_X21Y137 NUM_BELS 2 SITEPROP TIEOFF_X21Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y137 NUM_PINS 2 SITEPROP TIEOFF_X21Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y137 PROHIBIT 0 SITEPROP TIEOFF_X21Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y137 RPM_X 84 SITEPROP TIEOFF_X21Y137 RPM_Y 274 SITEPROP TIEOFF_X21Y137 SITE_PIPS SITEPROP TIEOFF_X21Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y138 CLASS site SITEPROP TIEOFF_X21Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y138 IS_BONDED 0 SITEPROP TIEOFF_X21Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y138 IS_PAD 0 SITEPROP TIEOFF_X21Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y138 IS_RESERVED 0 SITEPROP TIEOFF_X21Y138 IS_TEST 0 SITEPROP TIEOFF_X21Y138 IS_USED 0 SITEPROP TIEOFF_X21Y138 MANUAL_ROUTING SITEPROP TIEOFF_X21Y138 NAME TIEOFF_X21Y138 SITEPROP TIEOFF_X21Y138 NUM_ARCS 0 SITEPROP TIEOFF_X21Y138 NUM_BELS 2 SITEPROP TIEOFF_X21Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y138 NUM_PINS 2 SITEPROP TIEOFF_X21Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y138 PROHIBIT 0 SITEPROP TIEOFF_X21Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y138 RPM_X 84 SITEPROP TIEOFF_X21Y138 RPM_Y 276 SITEPROP TIEOFF_X21Y138 SITE_PIPS SITEPROP TIEOFF_X21Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y139 CLASS site SITEPROP TIEOFF_X21Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y139 IS_BONDED 0 SITEPROP TIEOFF_X21Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y139 IS_PAD 0 SITEPROP TIEOFF_X21Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y139 IS_RESERVED 0 SITEPROP TIEOFF_X21Y139 IS_TEST 0 SITEPROP TIEOFF_X21Y139 IS_USED 0 SITEPROP TIEOFF_X21Y139 MANUAL_ROUTING SITEPROP TIEOFF_X21Y139 NAME TIEOFF_X21Y139 SITEPROP TIEOFF_X21Y139 NUM_ARCS 0 SITEPROP TIEOFF_X21Y139 NUM_BELS 2 SITEPROP TIEOFF_X21Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y139 NUM_PINS 2 SITEPROP TIEOFF_X21Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y139 PROHIBIT 0 SITEPROP TIEOFF_X21Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y139 RPM_X 84 SITEPROP TIEOFF_X21Y139 RPM_Y 278 SITEPROP TIEOFF_X21Y139 SITE_PIPS SITEPROP TIEOFF_X21Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y140 CLASS site SITEPROP TIEOFF_X21Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y140 IS_BONDED 0 SITEPROP TIEOFF_X21Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y140 IS_PAD 0 SITEPROP TIEOFF_X21Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y140 IS_RESERVED 0 SITEPROP TIEOFF_X21Y140 IS_TEST 0 SITEPROP TIEOFF_X21Y140 IS_USED 0 SITEPROP TIEOFF_X21Y140 MANUAL_ROUTING SITEPROP TIEOFF_X21Y140 NAME TIEOFF_X21Y140 SITEPROP TIEOFF_X21Y140 NUM_ARCS 0 SITEPROP TIEOFF_X21Y140 NUM_BELS 2 SITEPROP TIEOFF_X21Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y140 NUM_PINS 2 SITEPROP TIEOFF_X21Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y140 PROHIBIT 0 SITEPROP TIEOFF_X21Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y140 RPM_X 84 SITEPROP TIEOFF_X21Y140 RPM_Y 280 SITEPROP TIEOFF_X21Y140 SITE_PIPS SITEPROP TIEOFF_X21Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y141 CLASS site SITEPROP TIEOFF_X21Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y141 IS_BONDED 0 SITEPROP TIEOFF_X21Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y141 IS_PAD 0 SITEPROP TIEOFF_X21Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y141 IS_RESERVED 0 SITEPROP TIEOFF_X21Y141 IS_TEST 0 SITEPROP TIEOFF_X21Y141 IS_USED 0 SITEPROP TIEOFF_X21Y141 MANUAL_ROUTING SITEPROP TIEOFF_X21Y141 NAME TIEOFF_X21Y141 SITEPROP TIEOFF_X21Y141 NUM_ARCS 0 SITEPROP TIEOFF_X21Y141 NUM_BELS 2 SITEPROP TIEOFF_X21Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y141 NUM_PINS 2 SITEPROP TIEOFF_X21Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y141 PROHIBIT 0 SITEPROP TIEOFF_X21Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y141 RPM_X 84 SITEPROP TIEOFF_X21Y141 RPM_Y 282 SITEPROP TIEOFF_X21Y141 SITE_PIPS SITEPROP TIEOFF_X21Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y142 CLASS site SITEPROP TIEOFF_X21Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y142 IS_BONDED 0 SITEPROP TIEOFF_X21Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y142 IS_PAD 0 SITEPROP TIEOFF_X21Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y142 IS_RESERVED 0 SITEPROP TIEOFF_X21Y142 IS_TEST 0 SITEPROP TIEOFF_X21Y142 IS_USED 0 SITEPROP TIEOFF_X21Y142 MANUAL_ROUTING SITEPROP TIEOFF_X21Y142 NAME TIEOFF_X21Y142 SITEPROP TIEOFF_X21Y142 NUM_ARCS 0 SITEPROP TIEOFF_X21Y142 NUM_BELS 2 SITEPROP TIEOFF_X21Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y142 NUM_PINS 2 SITEPROP TIEOFF_X21Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y142 PROHIBIT 0 SITEPROP TIEOFF_X21Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y142 RPM_X 84 SITEPROP TIEOFF_X21Y142 RPM_Y 284 SITEPROP TIEOFF_X21Y142 SITE_PIPS SITEPROP TIEOFF_X21Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y143 CLASS site SITEPROP TIEOFF_X21Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y143 IS_BONDED 0 SITEPROP TIEOFF_X21Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y143 IS_PAD 0 SITEPROP TIEOFF_X21Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y143 IS_RESERVED 0 SITEPROP TIEOFF_X21Y143 IS_TEST 0 SITEPROP TIEOFF_X21Y143 IS_USED 0 SITEPROP TIEOFF_X21Y143 MANUAL_ROUTING SITEPROP TIEOFF_X21Y143 NAME TIEOFF_X21Y143 SITEPROP TIEOFF_X21Y143 NUM_ARCS 0 SITEPROP TIEOFF_X21Y143 NUM_BELS 2 SITEPROP TIEOFF_X21Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y143 NUM_PINS 2 SITEPROP TIEOFF_X21Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y143 PROHIBIT 0 SITEPROP TIEOFF_X21Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y143 RPM_X 84 SITEPROP TIEOFF_X21Y143 RPM_Y 286 SITEPROP TIEOFF_X21Y143 SITE_PIPS SITEPROP TIEOFF_X21Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y144 CLASS site SITEPROP TIEOFF_X21Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y144 IS_BONDED 0 SITEPROP TIEOFF_X21Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y144 IS_PAD 0 SITEPROP TIEOFF_X21Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y144 IS_RESERVED 0 SITEPROP TIEOFF_X21Y144 IS_TEST 0 SITEPROP TIEOFF_X21Y144 IS_USED 0 SITEPROP TIEOFF_X21Y144 MANUAL_ROUTING SITEPROP TIEOFF_X21Y144 NAME TIEOFF_X21Y144 SITEPROP TIEOFF_X21Y144 NUM_ARCS 0 SITEPROP TIEOFF_X21Y144 NUM_BELS 2 SITEPROP TIEOFF_X21Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y144 NUM_PINS 2 SITEPROP TIEOFF_X21Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y144 PROHIBIT 0 SITEPROP TIEOFF_X21Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y144 RPM_X 84 SITEPROP TIEOFF_X21Y144 RPM_Y 288 SITEPROP TIEOFF_X21Y144 SITE_PIPS SITEPROP TIEOFF_X21Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y145 CLASS site SITEPROP TIEOFF_X21Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y145 IS_BONDED 0 SITEPROP TIEOFF_X21Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y145 IS_PAD 0 SITEPROP TIEOFF_X21Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y145 IS_RESERVED 0 SITEPROP TIEOFF_X21Y145 IS_TEST 0 SITEPROP TIEOFF_X21Y145 IS_USED 0 SITEPROP TIEOFF_X21Y145 MANUAL_ROUTING SITEPROP TIEOFF_X21Y145 NAME TIEOFF_X21Y145 SITEPROP TIEOFF_X21Y145 NUM_ARCS 0 SITEPROP TIEOFF_X21Y145 NUM_BELS 2 SITEPROP TIEOFF_X21Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y145 NUM_PINS 2 SITEPROP TIEOFF_X21Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y145 PROHIBIT 0 SITEPROP TIEOFF_X21Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y145 RPM_X 84 SITEPROP TIEOFF_X21Y145 RPM_Y 290 SITEPROP TIEOFF_X21Y145 SITE_PIPS SITEPROP TIEOFF_X21Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y146 CLASS site SITEPROP TIEOFF_X21Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y146 IS_BONDED 0 SITEPROP TIEOFF_X21Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y146 IS_PAD 0 SITEPROP TIEOFF_X21Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y146 IS_RESERVED 0 SITEPROP TIEOFF_X21Y146 IS_TEST 0 SITEPROP TIEOFF_X21Y146 IS_USED 0 SITEPROP TIEOFF_X21Y146 MANUAL_ROUTING SITEPROP TIEOFF_X21Y146 NAME TIEOFF_X21Y146 SITEPROP TIEOFF_X21Y146 NUM_ARCS 0 SITEPROP TIEOFF_X21Y146 NUM_BELS 2 SITEPROP TIEOFF_X21Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y146 NUM_PINS 2 SITEPROP TIEOFF_X21Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y146 PROHIBIT 0 SITEPROP TIEOFF_X21Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y146 RPM_X 84 SITEPROP TIEOFF_X21Y146 RPM_Y 292 SITEPROP TIEOFF_X21Y146 SITE_PIPS SITEPROP TIEOFF_X21Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y147 CLASS site SITEPROP TIEOFF_X21Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y147 IS_BONDED 0 SITEPROP TIEOFF_X21Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y147 IS_PAD 0 SITEPROP TIEOFF_X21Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y147 IS_RESERVED 0 SITEPROP TIEOFF_X21Y147 IS_TEST 0 SITEPROP TIEOFF_X21Y147 IS_USED 0 SITEPROP TIEOFF_X21Y147 MANUAL_ROUTING SITEPROP TIEOFF_X21Y147 NAME TIEOFF_X21Y147 SITEPROP TIEOFF_X21Y147 NUM_ARCS 0 SITEPROP TIEOFF_X21Y147 NUM_BELS 2 SITEPROP TIEOFF_X21Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y147 NUM_PINS 2 SITEPROP TIEOFF_X21Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y147 PROHIBIT 0 SITEPROP TIEOFF_X21Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y147 RPM_X 84 SITEPROP TIEOFF_X21Y147 RPM_Y 294 SITEPROP TIEOFF_X21Y147 SITE_PIPS SITEPROP TIEOFF_X21Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y148 CLASS site SITEPROP TIEOFF_X21Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y148 IS_BONDED 0 SITEPROP TIEOFF_X21Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y148 IS_PAD 0 SITEPROP TIEOFF_X21Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y148 IS_RESERVED 0 SITEPROP TIEOFF_X21Y148 IS_TEST 0 SITEPROP TIEOFF_X21Y148 IS_USED 0 SITEPROP TIEOFF_X21Y148 MANUAL_ROUTING SITEPROP TIEOFF_X21Y148 NAME TIEOFF_X21Y148 SITEPROP TIEOFF_X21Y148 NUM_ARCS 0 SITEPROP TIEOFF_X21Y148 NUM_BELS 2 SITEPROP TIEOFF_X21Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y148 NUM_PINS 2 SITEPROP TIEOFF_X21Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y148 PROHIBIT 0 SITEPROP TIEOFF_X21Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y148 RPM_X 84 SITEPROP TIEOFF_X21Y148 RPM_Y 296 SITEPROP TIEOFF_X21Y148 SITE_PIPS SITEPROP TIEOFF_X21Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X21Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X21Y149 CLASS site SITEPROP TIEOFF_X21Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X21Y149 IS_BONDED 0 SITEPROP TIEOFF_X21Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y149 IS_PAD 0 SITEPROP TIEOFF_X21Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X21Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X21Y149 IS_RESERVED 0 SITEPROP TIEOFF_X21Y149 IS_TEST 0 SITEPROP TIEOFF_X21Y149 IS_USED 0 SITEPROP TIEOFF_X21Y149 MANUAL_ROUTING SITEPROP TIEOFF_X21Y149 NAME TIEOFF_X21Y149 SITEPROP TIEOFF_X21Y149 NUM_ARCS 0 SITEPROP TIEOFF_X21Y149 NUM_BELS 2 SITEPROP TIEOFF_X21Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X21Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X21Y149 NUM_PINS 2 SITEPROP TIEOFF_X21Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X21Y149 PROHIBIT 0 SITEPROP TIEOFF_X21Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X21Y149 RPM_X 84 SITEPROP TIEOFF_X21Y149 RPM_Y 298 SITEPROP TIEOFF_X21Y149 SITE_PIPS SITEPROP TIEOFF_X21Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y0 CLASS site SITEPROP TIEOFF_X22Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y0 IS_BONDED 0 SITEPROP TIEOFF_X22Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y0 IS_PAD 0 SITEPROP TIEOFF_X22Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y0 IS_RESERVED 0 SITEPROP TIEOFF_X22Y0 IS_TEST 0 SITEPROP TIEOFF_X22Y0 IS_USED 0 SITEPROP TIEOFF_X22Y0 MANUAL_ROUTING SITEPROP TIEOFF_X22Y0 NAME TIEOFF_X22Y0 SITEPROP TIEOFF_X22Y0 NUM_ARCS 0 SITEPROP TIEOFF_X22Y0 NUM_BELS 2 SITEPROP TIEOFF_X22Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y0 NUM_PINS 2 SITEPROP TIEOFF_X22Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y0 PROHIBIT 0 SITEPROP TIEOFF_X22Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y0 RPM_X 88 SITEPROP TIEOFF_X22Y0 RPM_Y 0 SITEPROP TIEOFF_X22Y0 SITE_PIPS SITEPROP TIEOFF_X22Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y1 CLASS site SITEPROP TIEOFF_X22Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y1 IS_BONDED 0 SITEPROP TIEOFF_X22Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y1 IS_PAD 0 SITEPROP TIEOFF_X22Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y1 IS_RESERVED 0 SITEPROP TIEOFF_X22Y1 IS_TEST 0 SITEPROP TIEOFF_X22Y1 IS_USED 0 SITEPROP TIEOFF_X22Y1 MANUAL_ROUTING SITEPROP TIEOFF_X22Y1 NAME TIEOFF_X22Y1 SITEPROP TIEOFF_X22Y1 NUM_ARCS 0 SITEPROP TIEOFF_X22Y1 NUM_BELS 2 SITEPROP TIEOFF_X22Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y1 NUM_PINS 2 SITEPROP TIEOFF_X22Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y1 PROHIBIT 0 SITEPROP TIEOFF_X22Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y1 RPM_X 88 SITEPROP TIEOFF_X22Y1 RPM_Y 2 SITEPROP TIEOFF_X22Y1 SITE_PIPS SITEPROP TIEOFF_X22Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y2 CLASS site SITEPROP TIEOFF_X22Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y2 IS_BONDED 0 SITEPROP TIEOFF_X22Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y2 IS_PAD 0 SITEPROP TIEOFF_X22Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y2 IS_RESERVED 0 SITEPROP TIEOFF_X22Y2 IS_TEST 0 SITEPROP TIEOFF_X22Y2 IS_USED 0 SITEPROP TIEOFF_X22Y2 MANUAL_ROUTING SITEPROP TIEOFF_X22Y2 NAME TIEOFF_X22Y2 SITEPROP TIEOFF_X22Y2 NUM_ARCS 0 SITEPROP TIEOFF_X22Y2 NUM_BELS 2 SITEPROP TIEOFF_X22Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y2 NUM_PINS 2 SITEPROP TIEOFF_X22Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y2 PROHIBIT 0 SITEPROP TIEOFF_X22Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y2 RPM_X 88 SITEPROP TIEOFF_X22Y2 RPM_Y 4 SITEPROP TIEOFF_X22Y2 SITE_PIPS SITEPROP TIEOFF_X22Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y3 CLASS site SITEPROP TIEOFF_X22Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y3 IS_BONDED 0 SITEPROP TIEOFF_X22Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y3 IS_PAD 0 SITEPROP TIEOFF_X22Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y3 IS_RESERVED 0 SITEPROP TIEOFF_X22Y3 IS_TEST 0 SITEPROP TIEOFF_X22Y3 IS_USED 0 SITEPROP TIEOFF_X22Y3 MANUAL_ROUTING SITEPROP TIEOFF_X22Y3 NAME TIEOFF_X22Y3 SITEPROP TIEOFF_X22Y3 NUM_ARCS 0 SITEPROP TIEOFF_X22Y3 NUM_BELS 2 SITEPROP TIEOFF_X22Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y3 NUM_PINS 2 SITEPROP TIEOFF_X22Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y3 PROHIBIT 0 SITEPROP TIEOFF_X22Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y3 RPM_X 88 SITEPROP TIEOFF_X22Y3 RPM_Y 6 SITEPROP TIEOFF_X22Y3 SITE_PIPS SITEPROP TIEOFF_X22Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y4 CLASS site SITEPROP TIEOFF_X22Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y4 IS_BONDED 0 SITEPROP TIEOFF_X22Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y4 IS_PAD 0 SITEPROP TIEOFF_X22Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y4 IS_RESERVED 0 SITEPROP TIEOFF_X22Y4 IS_TEST 0 SITEPROP TIEOFF_X22Y4 IS_USED 0 SITEPROP TIEOFF_X22Y4 MANUAL_ROUTING SITEPROP TIEOFF_X22Y4 NAME TIEOFF_X22Y4 SITEPROP TIEOFF_X22Y4 NUM_ARCS 0 SITEPROP TIEOFF_X22Y4 NUM_BELS 2 SITEPROP TIEOFF_X22Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y4 NUM_PINS 2 SITEPROP TIEOFF_X22Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y4 PROHIBIT 0 SITEPROP TIEOFF_X22Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y4 RPM_X 88 SITEPROP TIEOFF_X22Y4 RPM_Y 8 SITEPROP TIEOFF_X22Y4 SITE_PIPS SITEPROP TIEOFF_X22Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y5 CLASS site SITEPROP TIEOFF_X22Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y5 IS_BONDED 0 SITEPROP TIEOFF_X22Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y5 IS_PAD 0 SITEPROP TIEOFF_X22Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y5 IS_RESERVED 0 SITEPROP TIEOFF_X22Y5 IS_TEST 0 SITEPROP TIEOFF_X22Y5 IS_USED 0 SITEPROP TIEOFF_X22Y5 MANUAL_ROUTING SITEPROP TIEOFF_X22Y5 NAME TIEOFF_X22Y5 SITEPROP TIEOFF_X22Y5 NUM_ARCS 0 SITEPROP TIEOFF_X22Y5 NUM_BELS 2 SITEPROP TIEOFF_X22Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y5 NUM_PINS 2 SITEPROP TIEOFF_X22Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y5 PROHIBIT 0 SITEPROP TIEOFF_X22Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y5 RPM_X 88 SITEPROP TIEOFF_X22Y5 RPM_Y 10 SITEPROP TIEOFF_X22Y5 SITE_PIPS SITEPROP TIEOFF_X22Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y6 CLASS site SITEPROP TIEOFF_X22Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y6 IS_BONDED 0 SITEPROP TIEOFF_X22Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y6 IS_PAD 0 SITEPROP TIEOFF_X22Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y6 IS_RESERVED 0 SITEPROP TIEOFF_X22Y6 IS_TEST 0 SITEPROP TIEOFF_X22Y6 IS_USED 0 SITEPROP TIEOFF_X22Y6 MANUAL_ROUTING SITEPROP TIEOFF_X22Y6 NAME TIEOFF_X22Y6 SITEPROP TIEOFF_X22Y6 NUM_ARCS 0 SITEPROP TIEOFF_X22Y6 NUM_BELS 2 SITEPROP TIEOFF_X22Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y6 NUM_PINS 2 SITEPROP TIEOFF_X22Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y6 PROHIBIT 0 SITEPROP TIEOFF_X22Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y6 RPM_X 88 SITEPROP TIEOFF_X22Y6 RPM_Y 12 SITEPROP TIEOFF_X22Y6 SITE_PIPS SITEPROP TIEOFF_X22Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y7 CLASS site SITEPROP TIEOFF_X22Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y7 IS_BONDED 0 SITEPROP TIEOFF_X22Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y7 IS_PAD 0 SITEPROP TIEOFF_X22Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y7 IS_RESERVED 0 SITEPROP TIEOFF_X22Y7 IS_TEST 0 SITEPROP TIEOFF_X22Y7 IS_USED 0 SITEPROP TIEOFF_X22Y7 MANUAL_ROUTING SITEPROP TIEOFF_X22Y7 NAME TIEOFF_X22Y7 SITEPROP TIEOFF_X22Y7 NUM_ARCS 0 SITEPROP TIEOFF_X22Y7 NUM_BELS 2 SITEPROP TIEOFF_X22Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y7 NUM_PINS 2 SITEPROP TIEOFF_X22Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y7 PROHIBIT 0 SITEPROP TIEOFF_X22Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y7 RPM_X 88 SITEPROP TIEOFF_X22Y7 RPM_Y 14 SITEPROP TIEOFF_X22Y7 SITE_PIPS SITEPROP TIEOFF_X22Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y8 CLASS site SITEPROP TIEOFF_X22Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y8 IS_BONDED 0 SITEPROP TIEOFF_X22Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y8 IS_PAD 0 SITEPROP TIEOFF_X22Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y8 IS_RESERVED 0 SITEPROP TIEOFF_X22Y8 IS_TEST 0 SITEPROP TIEOFF_X22Y8 IS_USED 0 SITEPROP TIEOFF_X22Y8 MANUAL_ROUTING SITEPROP TIEOFF_X22Y8 NAME TIEOFF_X22Y8 SITEPROP TIEOFF_X22Y8 NUM_ARCS 0 SITEPROP TIEOFF_X22Y8 NUM_BELS 2 SITEPROP TIEOFF_X22Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y8 NUM_PINS 2 SITEPROP TIEOFF_X22Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y8 PROHIBIT 0 SITEPROP TIEOFF_X22Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y8 RPM_X 88 SITEPROP TIEOFF_X22Y8 RPM_Y 16 SITEPROP TIEOFF_X22Y8 SITE_PIPS SITEPROP TIEOFF_X22Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y9 CLASS site SITEPROP TIEOFF_X22Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y9 IS_BONDED 0 SITEPROP TIEOFF_X22Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y9 IS_PAD 0 SITEPROP TIEOFF_X22Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y9 IS_RESERVED 0 SITEPROP TIEOFF_X22Y9 IS_TEST 0 SITEPROP TIEOFF_X22Y9 IS_USED 0 SITEPROP TIEOFF_X22Y9 MANUAL_ROUTING SITEPROP TIEOFF_X22Y9 NAME TIEOFF_X22Y9 SITEPROP TIEOFF_X22Y9 NUM_ARCS 0 SITEPROP TIEOFF_X22Y9 NUM_BELS 2 SITEPROP TIEOFF_X22Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y9 NUM_PINS 2 SITEPROP TIEOFF_X22Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y9 PROHIBIT 0 SITEPROP TIEOFF_X22Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y9 RPM_X 88 SITEPROP TIEOFF_X22Y9 RPM_Y 18 SITEPROP TIEOFF_X22Y9 SITE_PIPS SITEPROP TIEOFF_X22Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y10 CLASS site SITEPROP TIEOFF_X22Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y10 IS_BONDED 0 SITEPROP TIEOFF_X22Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y10 IS_PAD 0 SITEPROP TIEOFF_X22Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y10 IS_RESERVED 0 SITEPROP TIEOFF_X22Y10 IS_TEST 0 SITEPROP TIEOFF_X22Y10 IS_USED 0 SITEPROP TIEOFF_X22Y10 MANUAL_ROUTING SITEPROP TIEOFF_X22Y10 NAME TIEOFF_X22Y10 SITEPROP TIEOFF_X22Y10 NUM_ARCS 0 SITEPROP TIEOFF_X22Y10 NUM_BELS 2 SITEPROP TIEOFF_X22Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y10 NUM_PINS 2 SITEPROP TIEOFF_X22Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y10 PROHIBIT 0 SITEPROP TIEOFF_X22Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y10 RPM_X 88 SITEPROP TIEOFF_X22Y10 RPM_Y 20 SITEPROP TIEOFF_X22Y10 SITE_PIPS SITEPROP TIEOFF_X22Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y11 CLASS site SITEPROP TIEOFF_X22Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y11 IS_BONDED 0 SITEPROP TIEOFF_X22Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y11 IS_PAD 0 SITEPROP TIEOFF_X22Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y11 IS_RESERVED 0 SITEPROP TIEOFF_X22Y11 IS_TEST 0 SITEPROP TIEOFF_X22Y11 IS_USED 0 SITEPROP TIEOFF_X22Y11 MANUAL_ROUTING SITEPROP TIEOFF_X22Y11 NAME TIEOFF_X22Y11 SITEPROP TIEOFF_X22Y11 NUM_ARCS 0 SITEPROP TIEOFF_X22Y11 NUM_BELS 2 SITEPROP TIEOFF_X22Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y11 NUM_PINS 2 SITEPROP TIEOFF_X22Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y11 PROHIBIT 0 SITEPROP TIEOFF_X22Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y11 RPM_X 88 SITEPROP TIEOFF_X22Y11 RPM_Y 22 SITEPROP TIEOFF_X22Y11 SITE_PIPS SITEPROP TIEOFF_X22Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y12 CLASS site SITEPROP TIEOFF_X22Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y12 IS_BONDED 0 SITEPROP TIEOFF_X22Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y12 IS_PAD 0 SITEPROP TIEOFF_X22Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y12 IS_RESERVED 0 SITEPROP TIEOFF_X22Y12 IS_TEST 0 SITEPROP TIEOFF_X22Y12 IS_USED 0 SITEPROP TIEOFF_X22Y12 MANUAL_ROUTING SITEPROP TIEOFF_X22Y12 NAME TIEOFF_X22Y12 SITEPROP TIEOFF_X22Y12 NUM_ARCS 0 SITEPROP TIEOFF_X22Y12 NUM_BELS 2 SITEPROP TIEOFF_X22Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y12 NUM_PINS 2 SITEPROP TIEOFF_X22Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y12 PROHIBIT 0 SITEPROP TIEOFF_X22Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y12 RPM_X 88 SITEPROP TIEOFF_X22Y12 RPM_Y 24 SITEPROP TIEOFF_X22Y12 SITE_PIPS SITEPROP TIEOFF_X22Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y13 CLASS site SITEPROP TIEOFF_X22Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y13 IS_BONDED 0 SITEPROP TIEOFF_X22Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y13 IS_PAD 0 SITEPROP TIEOFF_X22Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y13 IS_RESERVED 0 SITEPROP TIEOFF_X22Y13 IS_TEST 0 SITEPROP TIEOFF_X22Y13 IS_USED 0 SITEPROP TIEOFF_X22Y13 MANUAL_ROUTING SITEPROP TIEOFF_X22Y13 NAME TIEOFF_X22Y13 SITEPROP TIEOFF_X22Y13 NUM_ARCS 0 SITEPROP TIEOFF_X22Y13 NUM_BELS 2 SITEPROP TIEOFF_X22Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y13 NUM_PINS 2 SITEPROP TIEOFF_X22Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y13 PROHIBIT 0 SITEPROP TIEOFF_X22Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y13 RPM_X 88 SITEPROP TIEOFF_X22Y13 RPM_Y 26 SITEPROP TIEOFF_X22Y13 SITE_PIPS SITEPROP TIEOFF_X22Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y14 CLASS site SITEPROP TIEOFF_X22Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y14 IS_BONDED 0 SITEPROP TIEOFF_X22Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y14 IS_PAD 0 SITEPROP TIEOFF_X22Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y14 IS_RESERVED 0 SITEPROP TIEOFF_X22Y14 IS_TEST 0 SITEPROP TIEOFF_X22Y14 IS_USED 0 SITEPROP TIEOFF_X22Y14 MANUAL_ROUTING SITEPROP TIEOFF_X22Y14 NAME TIEOFF_X22Y14 SITEPROP TIEOFF_X22Y14 NUM_ARCS 0 SITEPROP TIEOFF_X22Y14 NUM_BELS 2 SITEPROP TIEOFF_X22Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y14 NUM_PINS 2 SITEPROP TIEOFF_X22Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y14 PROHIBIT 0 SITEPROP TIEOFF_X22Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y14 RPM_X 88 SITEPROP TIEOFF_X22Y14 RPM_Y 28 SITEPROP TIEOFF_X22Y14 SITE_PIPS SITEPROP TIEOFF_X22Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y15 CLASS site SITEPROP TIEOFF_X22Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y15 IS_BONDED 0 SITEPROP TIEOFF_X22Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y15 IS_PAD 0 SITEPROP TIEOFF_X22Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y15 IS_RESERVED 0 SITEPROP TIEOFF_X22Y15 IS_TEST 0 SITEPROP TIEOFF_X22Y15 IS_USED 0 SITEPROP TIEOFF_X22Y15 MANUAL_ROUTING SITEPROP TIEOFF_X22Y15 NAME TIEOFF_X22Y15 SITEPROP TIEOFF_X22Y15 NUM_ARCS 0 SITEPROP TIEOFF_X22Y15 NUM_BELS 2 SITEPROP TIEOFF_X22Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y15 NUM_PINS 2 SITEPROP TIEOFF_X22Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y15 PROHIBIT 0 SITEPROP TIEOFF_X22Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y15 RPM_X 88 SITEPROP TIEOFF_X22Y15 RPM_Y 30 SITEPROP TIEOFF_X22Y15 SITE_PIPS SITEPROP TIEOFF_X22Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y16 CLASS site SITEPROP TIEOFF_X22Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y16 IS_BONDED 0 SITEPROP TIEOFF_X22Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y16 IS_PAD 0 SITEPROP TIEOFF_X22Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y16 IS_RESERVED 0 SITEPROP TIEOFF_X22Y16 IS_TEST 0 SITEPROP TIEOFF_X22Y16 IS_USED 0 SITEPROP TIEOFF_X22Y16 MANUAL_ROUTING SITEPROP TIEOFF_X22Y16 NAME TIEOFF_X22Y16 SITEPROP TIEOFF_X22Y16 NUM_ARCS 0 SITEPROP TIEOFF_X22Y16 NUM_BELS 2 SITEPROP TIEOFF_X22Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y16 NUM_PINS 2 SITEPROP TIEOFF_X22Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y16 PROHIBIT 0 SITEPROP TIEOFF_X22Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y16 RPM_X 88 SITEPROP TIEOFF_X22Y16 RPM_Y 32 SITEPROP TIEOFF_X22Y16 SITE_PIPS SITEPROP TIEOFF_X22Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y17 CLASS site SITEPROP TIEOFF_X22Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y17 IS_BONDED 0 SITEPROP TIEOFF_X22Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y17 IS_PAD 0 SITEPROP TIEOFF_X22Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y17 IS_RESERVED 0 SITEPROP TIEOFF_X22Y17 IS_TEST 0 SITEPROP TIEOFF_X22Y17 IS_USED 0 SITEPROP TIEOFF_X22Y17 MANUAL_ROUTING SITEPROP TIEOFF_X22Y17 NAME TIEOFF_X22Y17 SITEPROP TIEOFF_X22Y17 NUM_ARCS 0 SITEPROP TIEOFF_X22Y17 NUM_BELS 2 SITEPROP TIEOFF_X22Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y17 NUM_PINS 2 SITEPROP TIEOFF_X22Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y17 PROHIBIT 0 SITEPROP TIEOFF_X22Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y17 RPM_X 88 SITEPROP TIEOFF_X22Y17 RPM_Y 34 SITEPROP TIEOFF_X22Y17 SITE_PIPS SITEPROP TIEOFF_X22Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y18 CLASS site SITEPROP TIEOFF_X22Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y18 IS_BONDED 0 SITEPROP TIEOFF_X22Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y18 IS_PAD 0 SITEPROP TIEOFF_X22Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y18 IS_RESERVED 0 SITEPROP TIEOFF_X22Y18 IS_TEST 0 SITEPROP TIEOFF_X22Y18 IS_USED 0 SITEPROP TIEOFF_X22Y18 MANUAL_ROUTING SITEPROP TIEOFF_X22Y18 NAME TIEOFF_X22Y18 SITEPROP TIEOFF_X22Y18 NUM_ARCS 0 SITEPROP TIEOFF_X22Y18 NUM_BELS 2 SITEPROP TIEOFF_X22Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y18 NUM_PINS 2 SITEPROP TIEOFF_X22Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y18 PROHIBIT 0 SITEPROP TIEOFF_X22Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y18 RPM_X 88 SITEPROP TIEOFF_X22Y18 RPM_Y 36 SITEPROP TIEOFF_X22Y18 SITE_PIPS SITEPROP TIEOFF_X22Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y19 CLASS site SITEPROP TIEOFF_X22Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y19 IS_BONDED 0 SITEPROP TIEOFF_X22Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y19 IS_PAD 0 SITEPROP TIEOFF_X22Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y19 IS_RESERVED 0 SITEPROP TIEOFF_X22Y19 IS_TEST 0 SITEPROP TIEOFF_X22Y19 IS_USED 0 SITEPROP TIEOFF_X22Y19 MANUAL_ROUTING SITEPROP TIEOFF_X22Y19 NAME TIEOFF_X22Y19 SITEPROP TIEOFF_X22Y19 NUM_ARCS 0 SITEPROP TIEOFF_X22Y19 NUM_BELS 2 SITEPROP TIEOFF_X22Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y19 NUM_PINS 2 SITEPROP TIEOFF_X22Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y19 PROHIBIT 0 SITEPROP TIEOFF_X22Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y19 RPM_X 88 SITEPROP TIEOFF_X22Y19 RPM_Y 38 SITEPROP TIEOFF_X22Y19 SITE_PIPS SITEPROP TIEOFF_X22Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y20 CLASS site SITEPROP TIEOFF_X22Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y20 IS_BONDED 0 SITEPROP TIEOFF_X22Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y20 IS_PAD 0 SITEPROP TIEOFF_X22Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y20 IS_RESERVED 0 SITEPROP TIEOFF_X22Y20 IS_TEST 0 SITEPROP TIEOFF_X22Y20 IS_USED 0 SITEPROP TIEOFF_X22Y20 MANUAL_ROUTING SITEPROP TIEOFF_X22Y20 NAME TIEOFF_X22Y20 SITEPROP TIEOFF_X22Y20 NUM_ARCS 0 SITEPROP TIEOFF_X22Y20 NUM_BELS 2 SITEPROP TIEOFF_X22Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y20 NUM_PINS 2 SITEPROP TIEOFF_X22Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y20 PROHIBIT 0 SITEPROP TIEOFF_X22Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y20 RPM_X 88 SITEPROP TIEOFF_X22Y20 RPM_Y 40 SITEPROP TIEOFF_X22Y20 SITE_PIPS SITEPROP TIEOFF_X22Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y21 CLASS site SITEPROP TIEOFF_X22Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y21 IS_BONDED 0 SITEPROP TIEOFF_X22Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y21 IS_PAD 0 SITEPROP TIEOFF_X22Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y21 IS_RESERVED 0 SITEPROP TIEOFF_X22Y21 IS_TEST 0 SITEPROP TIEOFF_X22Y21 IS_USED 0 SITEPROP TIEOFF_X22Y21 MANUAL_ROUTING SITEPROP TIEOFF_X22Y21 NAME TIEOFF_X22Y21 SITEPROP TIEOFF_X22Y21 NUM_ARCS 0 SITEPROP TIEOFF_X22Y21 NUM_BELS 2 SITEPROP TIEOFF_X22Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y21 NUM_PINS 2 SITEPROP TIEOFF_X22Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y21 PROHIBIT 0 SITEPROP TIEOFF_X22Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y21 RPM_X 88 SITEPROP TIEOFF_X22Y21 RPM_Y 42 SITEPROP TIEOFF_X22Y21 SITE_PIPS SITEPROP TIEOFF_X22Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y22 CLASS site SITEPROP TIEOFF_X22Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y22 IS_BONDED 0 SITEPROP TIEOFF_X22Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y22 IS_PAD 0 SITEPROP TIEOFF_X22Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y22 IS_RESERVED 0 SITEPROP TIEOFF_X22Y22 IS_TEST 0 SITEPROP TIEOFF_X22Y22 IS_USED 0 SITEPROP TIEOFF_X22Y22 MANUAL_ROUTING SITEPROP TIEOFF_X22Y22 NAME TIEOFF_X22Y22 SITEPROP TIEOFF_X22Y22 NUM_ARCS 0 SITEPROP TIEOFF_X22Y22 NUM_BELS 2 SITEPROP TIEOFF_X22Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y22 NUM_PINS 2 SITEPROP TIEOFF_X22Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y22 PROHIBIT 0 SITEPROP TIEOFF_X22Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y22 RPM_X 88 SITEPROP TIEOFF_X22Y22 RPM_Y 44 SITEPROP TIEOFF_X22Y22 SITE_PIPS SITEPROP TIEOFF_X22Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y23 CLASS site SITEPROP TIEOFF_X22Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y23 IS_BONDED 0 SITEPROP TIEOFF_X22Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y23 IS_PAD 0 SITEPROP TIEOFF_X22Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y23 IS_RESERVED 0 SITEPROP TIEOFF_X22Y23 IS_TEST 0 SITEPROP TIEOFF_X22Y23 IS_USED 0 SITEPROP TIEOFF_X22Y23 MANUAL_ROUTING SITEPROP TIEOFF_X22Y23 NAME TIEOFF_X22Y23 SITEPROP TIEOFF_X22Y23 NUM_ARCS 0 SITEPROP TIEOFF_X22Y23 NUM_BELS 2 SITEPROP TIEOFF_X22Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y23 NUM_PINS 2 SITEPROP TIEOFF_X22Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y23 PROHIBIT 0 SITEPROP TIEOFF_X22Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y23 RPM_X 88 SITEPROP TIEOFF_X22Y23 RPM_Y 46 SITEPROP TIEOFF_X22Y23 SITE_PIPS SITEPROP TIEOFF_X22Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y24 CLASS site SITEPROP TIEOFF_X22Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y24 IS_BONDED 0 SITEPROP TIEOFF_X22Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y24 IS_PAD 0 SITEPROP TIEOFF_X22Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y24 IS_RESERVED 0 SITEPROP TIEOFF_X22Y24 IS_TEST 0 SITEPROP TIEOFF_X22Y24 IS_USED 0 SITEPROP TIEOFF_X22Y24 MANUAL_ROUTING SITEPROP TIEOFF_X22Y24 NAME TIEOFF_X22Y24 SITEPROP TIEOFF_X22Y24 NUM_ARCS 0 SITEPROP TIEOFF_X22Y24 NUM_BELS 2 SITEPROP TIEOFF_X22Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y24 NUM_PINS 2 SITEPROP TIEOFF_X22Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y24 PROHIBIT 0 SITEPROP TIEOFF_X22Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y24 RPM_X 88 SITEPROP TIEOFF_X22Y24 RPM_Y 48 SITEPROP TIEOFF_X22Y24 SITE_PIPS SITEPROP TIEOFF_X22Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y25 CLASS site SITEPROP TIEOFF_X22Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y25 IS_BONDED 0 SITEPROP TIEOFF_X22Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y25 IS_PAD 0 SITEPROP TIEOFF_X22Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y25 IS_RESERVED 0 SITEPROP TIEOFF_X22Y25 IS_TEST 0 SITEPROP TIEOFF_X22Y25 IS_USED 0 SITEPROP TIEOFF_X22Y25 MANUAL_ROUTING SITEPROP TIEOFF_X22Y25 NAME TIEOFF_X22Y25 SITEPROP TIEOFF_X22Y25 NUM_ARCS 0 SITEPROP TIEOFF_X22Y25 NUM_BELS 2 SITEPROP TIEOFF_X22Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y25 NUM_PINS 2 SITEPROP TIEOFF_X22Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y25 PROHIBIT 0 SITEPROP TIEOFF_X22Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y25 RPM_X 88 SITEPROP TIEOFF_X22Y25 RPM_Y 50 SITEPROP TIEOFF_X22Y25 SITE_PIPS SITEPROP TIEOFF_X22Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y26 CLASS site SITEPROP TIEOFF_X22Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y26 IS_BONDED 0 SITEPROP TIEOFF_X22Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y26 IS_PAD 0 SITEPROP TIEOFF_X22Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y26 IS_RESERVED 0 SITEPROP TIEOFF_X22Y26 IS_TEST 0 SITEPROP TIEOFF_X22Y26 IS_USED 0 SITEPROP TIEOFF_X22Y26 MANUAL_ROUTING SITEPROP TIEOFF_X22Y26 NAME TIEOFF_X22Y26 SITEPROP TIEOFF_X22Y26 NUM_ARCS 0 SITEPROP TIEOFF_X22Y26 NUM_BELS 2 SITEPROP TIEOFF_X22Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y26 NUM_PINS 2 SITEPROP TIEOFF_X22Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y26 PROHIBIT 0 SITEPROP TIEOFF_X22Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y26 RPM_X 88 SITEPROP TIEOFF_X22Y26 RPM_Y 52 SITEPROP TIEOFF_X22Y26 SITE_PIPS SITEPROP TIEOFF_X22Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y27 CLASS site SITEPROP TIEOFF_X22Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y27 IS_BONDED 0 SITEPROP TIEOFF_X22Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y27 IS_PAD 0 SITEPROP TIEOFF_X22Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y27 IS_RESERVED 0 SITEPROP TIEOFF_X22Y27 IS_TEST 0 SITEPROP TIEOFF_X22Y27 IS_USED 0 SITEPROP TIEOFF_X22Y27 MANUAL_ROUTING SITEPROP TIEOFF_X22Y27 NAME TIEOFF_X22Y27 SITEPROP TIEOFF_X22Y27 NUM_ARCS 0 SITEPROP TIEOFF_X22Y27 NUM_BELS 2 SITEPROP TIEOFF_X22Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y27 NUM_PINS 2 SITEPROP TIEOFF_X22Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y27 PROHIBIT 0 SITEPROP TIEOFF_X22Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y27 RPM_X 88 SITEPROP TIEOFF_X22Y27 RPM_Y 54 SITEPROP TIEOFF_X22Y27 SITE_PIPS SITEPROP TIEOFF_X22Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y28 CLASS site SITEPROP TIEOFF_X22Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y28 IS_BONDED 0 SITEPROP TIEOFF_X22Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y28 IS_PAD 0 SITEPROP TIEOFF_X22Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y28 IS_RESERVED 0 SITEPROP TIEOFF_X22Y28 IS_TEST 0 SITEPROP TIEOFF_X22Y28 IS_USED 0 SITEPROP TIEOFF_X22Y28 MANUAL_ROUTING SITEPROP TIEOFF_X22Y28 NAME TIEOFF_X22Y28 SITEPROP TIEOFF_X22Y28 NUM_ARCS 0 SITEPROP TIEOFF_X22Y28 NUM_BELS 2 SITEPROP TIEOFF_X22Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y28 NUM_PINS 2 SITEPROP TIEOFF_X22Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y28 PROHIBIT 0 SITEPROP TIEOFF_X22Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y28 RPM_X 88 SITEPROP TIEOFF_X22Y28 RPM_Y 56 SITEPROP TIEOFF_X22Y28 SITE_PIPS SITEPROP TIEOFF_X22Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y29 CLASS site SITEPROP TIEOFF_X22Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y29 IS_BONDED 0 SITEPROP TIEOFF_X22Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y29 IS_PAD 0 SITEPROP TIEOFF_X22Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y29 IS_RESERVED 0 SITEPROP TIEOFF_X22Y29 IS_TEST 0 SITEPROP TIEOFF_X22Y29 IS_USED 0 SITEPROP TIEOFF_X22Y29 MANUAL_ROUTING SITEPROP TIEOFF_X22Y29 NAME TIEOFF_X22Y29 SITEPROP TIEOFF_X22Y29 NUM_ARCS 0 SITEPROP TIEOFF_X22Y29 NUM_BELS 2 SITEPROP TIEOFF_X22Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y29 NUM_PINS 2 SITEPROP TIEOFF_X22Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y29 PROHIBIT 0 SITEPROP TIEOFF_X22Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y29 RPM_X 88 SITEPROP TIEOFF_X22Y29 RPM_Y 58 SITEPROP TIEOFF_X22Y29 SITE_PIPS SITEPROP TIEOFF_X22Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y30 CLASS site SITEPROP TIEOFF_X22Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y30 IS_BONDED 0 SITEPROP TIEOFF_X22Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y30 IS_PAD 0 SITEPROP TIEOFF_X22Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y30 IS_RESERVED 0 SITEPROP TIEOFF_X22Y30 IS_TEST 0 SITEPROP TIEOFF_X22Y30 IS_USED 0 SITEPROP TIEOFF_X22Y30 MANUAL_ROUTING SITEPROP TIEOFF_X22Y30 NAME TIEOFF_X22Y30 SITEPROP TIEOFF_X22Y30 NUM_ARCS 0 SITEPROP TIEOFF_X22Y30 NUM_BELS 2 SITEPROP TIEOFF_X22Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y30 NUM_PINS 2 SITEPROP TIEOFF_X22Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y30 PROHIBIT 0 SITEPROP TIEOFF_X22Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y30 RPM_X 88 SITEPROP TIEOFF_X22Y30 RPM_Y 60 SITEPROP TIEOFF_X22Y30 SITE_PIPS SITEPROP TIEOFF_X22Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y31 CLASS site SITEPROP TIEOFF_X22Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y31 IS_BONDED 0 SITEPROP TIEOFF_X22Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y31 IS_PAD 0 SITEPROP TIEOFF_X22Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y31 IS_RESERVED 0 SITEPROP TIEOFF_X22Y31 IS_TEST 0 SITEPROP TIEOFF_X22Y31 IS_USED 0 SITEPROP TIEOFF_X22Y31 MANUAL_ROUTING SITEPROP TIEOFF_X22Y31 NAME TIEOFF_X22Y31 SITEPROP TIEOFF_X22Y31 NUM_ARCS 0 SITEPROP TIEOFF_X22Y31 NUM_BELS 2 SITEPROP TIEOFF_X22Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y31 NUM_PINS 2 SITEPROP TIEOFF_X22Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y31 PROHIBIT 0 SITEPROP TIEOFF_X22Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y31 RPM_X 88 SITEPROP TIEOFF_X22Y31 RPM_Y 62 SITEPROP TIEOFF_X22Y31 SITE_PIPS SITEPROP TIEOFF_X22Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y32 CLASS site SITEPROP TIEOFF_X22Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y32 IS_BONDED 0 SITEPROP TIEOFF_X22Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y32 IS_PAD 0 SITEPROP TIEOFF_X22Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y32 IS_RESERVED 0 SITEPROP TIEOFF_X22Y32 IS_TEST 0 SITEPROP TIEOFF_X22Y32 IS_USED 0 SITEPROP TIEOFF_X22Y32 MANUAL_ROUTING SITEPROP TIEOFF_X22Y32 NAME TIEOFF_X22Y32 SITEPROP TIEOFF_X22Y32 NUM_ARCS 0 SITEPROP TIEOFF_X22Y32 NUM_BELS 2 SITEPROP TIEOFF_X22Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y32 NUM_PINS 2 SITEPROP TIEOFF_X22Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y32 PROHIBIT 0 SITEPROP TIEOFF_X22Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y32 RPM_X 88 SITEPROP TIEOFF_X22Y32 RPM_Y 64 SITEPROP TIEOFF_X22Y32 SITE_PIPS SITEPROP TIEOFF_X22Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y33 CLASS site SITEPROP TIEOFF_X22Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y33 IS_BONDED 0 SITEPROP TIEOFF_X22Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y33 IS_PAD 0 SITEPROP TIEOFF_X22Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y33 IS_RESERVED 0 SITEPROP TIEOFF_X22Y33 IS_TEST 0 SITEPROP TIEOFF_X22Y33 IS_USED 0 SITEPROP TIEOFF_X22Y33 MANUAL_ROUTING SITEPROP TIEOFF_X22Y33 NAME TIEOFF_X22Y33 SITEPROP TIEOFF_X22Y33 NUM_ARCS 0 SITEPROP TIEOFF_X22Y33 NUM_BELS 2 SITEPROP TIEOFF_X22Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y33 NUM_PINS 2 SITEPROP TIEOFF_X22Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y33 PROHIBIT 0 SITEPROP TIEOFF_X22Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y33 RPM_X 88 SITEPROP TIEOFF_X22Y33 RPM_Y 66 SITEPROP TIEOFF_X22Y33 SITE_PIPS SITEPROP TIEOFF_X22Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y34 CLASS site SITEPROP TIEOFF_X22Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y34 IS_BONDED 0 SITEPROP TIEOFF_X22Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y34 IS_PAD 0 SITEPROP TIEOFF_X22Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y34 IS_RESERVED 0 SITEPROP TIEOFF_X22Y34 IS_TEST 0 SITEPROP TIEOFF_X22Y34 IS_USED 0 SITEPROP TIEOFF_X22Y34 MANUAL_ROUTING SITEPROP TIEOFF_X22Y34 NAME TIEOFF_X22Y34 SITEPROP TIEOFF_X22Y34 NUM_ARCS 0 SITEPROP TIEOFF_X22Y34 NUM_BELS 2 SITEPROP TIEOFF_X22Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y34 NUM_PINS 2 SITEPROP TIEOFF_X22Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y34 PROHIBIT 0 SITEPROP TIEOFF_X22Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y34 RPM_X 88 SITEPROP TIEOFF_X22Y34 RPM_Y 68 SITEPROP TIEOFF_X22Y34 SITE_PIPS SITEPROP TIEOFF_X22Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y35 CLASS site SITEPROP TIEOFF_X22Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y35 IS_BONDED 0 SITEPROP TIEOFF_X22Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y35 IS_PAD 0 SITEPROP TIEOFF_X22Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y35 IS_RESERVED 0 SITEPROP TIEOFF_X22Y35 IS_TEST 0 SITEPROP TIEOFF_X22Y35 IS_USED 0 SITEPROP TIEOFF_X22Y35 MANUAL_ROUTING SITEPROP TIEOFF_X22Y35 NAME TIEOFF_X22Y35 SITEPROP TIEOFF_X22Y35 NUM_ARCS 0 SITEPROP TIEOFF_X22Y35 NUM_BELS 2 SITEPROP TIEOFF_X22Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y35 NUM_PINS 2 SITEPROP TIEOFF_X22Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y35 PROHIBIT 0 SITEPROP TIEOFF_X22Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y35 RPM_X 88 SITEPROP TIEOFF_X22Y35 RPM_Y 70 SITEPROP TIEOFF_X22Y35 SITE_PIPS SITEPROP TIEOFF_X22Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y36 CLASS site SITEPROP TIEOFF_X22Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y36 IS_BONDED 0 SITEPROP TIEOFF_X22Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y36 IS_PAD 0 SITEPROP TIEOFF_X22Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y36 IS_RESERVED 0 SITEPROP TIEOFF_X22Y36 IS_TEST 0 SITEPROP TIEOFF_X22Y36 IS_USED 0 SITEPROP TIEOFF_X22Y36 MANUAL_ROUTING SITEPROP TIEOFF_X22Y36 NAME TIEOFF_X22Y36 SITEPROP TIEOFF_X22Y36 NUM_ARCS 0 SITEPROP TIEOFF_X22Y36 NUM_BELS 2 SITEPROP TIEOFF_X22Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y36 NUM_PINS 2 SITEPROP TIEOFF_X22Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y36 PROHIBIT 0 SITEPROP TIEOFF_X22Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y36 RPM_X 88 SITEPROP TIEOFF_X22Y36 RPM_Y 72 SITEPROP TIEOFF_X22Y36 SITE_PIPS SITEPROP TIEOFF_X22Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y37 CLASS site SITEPROP TIEOFF_X22Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y37 IS_BONDED 0 SITEPROP TIEOFF_X22Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y37 IS_PAD 0 SITEPROP TIEOFF_X22Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y37 IS_RESERVED 0 SITEPROP TIEOFF_X22Y37 IS_TEST 0 SITEPROP TIEOFF_X22Y37 IS_USED 0 SITEPROP TIEOFF_X22Y37 MANUAL_ROUTING SITEPROP TIEOFF_X22Y37 NAME TIEOFF_X22Y37 SITEPROP TIEOFF_X22Y37 NUM_ARCS 0 SITEPROP TIEOFF_X22Y37 NUM_BELS 2 SITEPROP TIEOFF_X22Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y37 NUM_PINS 2 SITEPROP TIEOFF_X22Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y37 PROHIBIT 0 SITEPROP TIEOFF_X22Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y37 RPM_X 88 SITEPROP TIEOFF_X22Y37 RPM_Y 74 SITEPROP TIEOFF_X22Y37 SITE_PIPS SITEPROP TIEOFF_X22Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y38 CLASS site SITEPROP TIEOFF_X22Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y38 IS_BONDED 0 SITEPROP TIEOFF_X22Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y38 IS_PAD 0 SITEPROP TIEOFF_X22Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y38 IS_RESERVED 0 SITEPROP TIEOFF_X22Y38 IS_TEST 0 SITEPROP TIEOFF_X22Y38 IS_USED 0 SITEPROP TIEOFF_X22Y38 MANUAL_ROUTING SITEPROP TIEOFF_X22Y38 NAME TIEOFF_X22Y38 SITEPROP TIEOFF_X22Y38 NUM_ARCS 0 SITEPROP TIEOFF_X22Y38 NUM_BELS 2 SITEPROP TIEOFF_X22Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y38 NUM_PINS 2 SITEPROP TIEOFF_X22Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y38 PROHIBIT 0 SITEPROP TIEOFF_X22Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y38 RPM_X 88 SITEPROP TIEOFF_X22Y38 RPM_Y 76 SITEPROP TIEOFF_X22Y38 SITE_PIPS SITEPROP TIEOFF_X22Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y39 CLASS site SITEPROP TIEOFF_X22Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y39 IS_BONDED 0 SITEPROP TIEOFF_X22Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y39 IS_PAD 0 SITEPROP TIEOFF_X22Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y39 IS_RESERVED 0 SITEPROP TIEOFF_X22Y39 IS_TEST 0 SITEPROP TIEOFF_X22Y39 IS_USED 0 SITEPROP TIEOFF_X22Y39 MANUAL_ROUTING SITEPROP TIEOFF_X22Y39 NAME TIEOFF_X22Y39 SITEPROP TIEOFF_X22Y39 NUM_ARCS 0 SITEPROP TIEOFF_X22Y39 NUM_BELS 2 SITEPROP TIEOFF_X22Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y39 NUM_PINS 2 SITEPROP TIEOFF_X22Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y39 PROHIBIT 0 SITEPROP TIEOFF_X22Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y39 RPM_X 88 SITEPROP TIEOFF_X22Y39 RPM_Y 78 SITEPROP TIEOFF_X22Y39 SITE_PIPS SITEPROP TIEOFF_X22Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y40 CLASS site SITEPROP TIEOFF_X22Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y40 IS_BONDED 0 SITEPROP TIEOFF_X22Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y40 IS_PAD 0 SITEPROP TIEOFF_X22Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y40 IS_RESERVED 0 SITEPROP TIEOFF_X22Y40 IS_TEST 0 SITEPROP TIEOFF_X22Y40 IS_USED 0 SITEPROP TIEOFF_X22Y40 MANUAL_ROUTING SITEPROP TIEOFF_X22Y40 NAME TIEOFF_X22Y40 SITEPROP TIEOFF_X22Y40 NUM_ARCS 0 SITEPROP TIEOFF_X22Y40 NUM_BELS 2 SITEPROP TIEOFF_X22Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y40 NUM_PINS 2 SITEPROP TIEOFF_X22Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y40 PROHIBIT 0 SITEPROP TIEOFF_X22Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y40 RPM_X 88 SITEPROP TIEOFF_X22Y40 RPM_Y 80 SITEPROP TIEOFF_X22Y40 SITE_PIPS SITEPROP TIEOFF_X22Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y41 CLASS site SITEPROP TIEOFF_X22Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y41 IS_BONDED 0 SITEPROP TIEOFF_X22Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y41 IS_PAD 0 SITEPROP TIEOFF_X22Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y41 IS_RESERVED 0 SITEPROP TIEOFF_X22Y41 IS_TEST 0 SITEPROP TIEOFF_X22Y41 IS_USED 0 SITEPROP TIEOFF_X22Y41 MANUAL_ROUTING SITEPROP TIEOFF_X22Y41 NAME TIEOFF_X22Y41 SITEPROP TIEOFF_X22Y41 NUM_ARCS 0 SITEPROP TIEOFF_X22Y41 NUM_BELS 2 SITEPROP TIEOFF_X22Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y41 NUM_PINS 2 SITEPROP TIEOFF_X22Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y41 PROHIBIT 0 SITEPROP TIEOFF_X22Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y41 RPM_X 88 SITEPROP TIEOFF_X22Y41 RPM_Y 82 SITEPROP TIEOFF_X22Y41 SITE_PIPS SITEPROP TIEOFF_X22Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y42 CLASS site SITEPROP TIEOFF_X22Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y42 IS_BONDED 0 SITEPROP TIEOFF_X22Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y42 IS_PAD 0 SITEPROP TIEOFF_X22Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y42 IS_RESERVED 0 SITEPROP TIEOFF_X22Y42 IS_TEST 0 SITEPROP TIEOFF_X22Y42 IS_USED 0 SITEPROP TIEOFF_X22Y42 MANUAL_ROUTING SITEPROP TIEOFF_X22Y42 NAME TIEOFF_X22Y42 SITEPROP TIEOFF_X22Y42 NUM_ARCS 0 SITEPROP TIEOFF_X22Y42 NUM_BELS 2 SITEPROP TIEOFF_X22Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y42 NUM_PINS 2 SITEPROP TIEOFF_X22Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y42 PROHIBIT 0 SITEPROP TIEOFF_X22Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y42 RPM_X 88 SITEPROP TIEOFF_X22Y42 RPM_Y 84 SITEPROP TIEOFF_X22Y42 SITE_PIPS SITEPROP TIEOFF_X22Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y43 CLASS site SITEPROP TIEOFF_X22Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y43 IS_BONDED 0 SITEPROP TIEOFF_X22Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y43 IS_PAD 0 SITEPROP TIEOFF_X22Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y43 IS_RESERVED 0 SITEPROP TIEOFF_X22Y43 IS_TEST 0 SITEPROP TIEOFF_X22Y43 IS_USED 0 SITEPROP TIEOFF_X22Y43 MANUAL_ROUTING SITEPROP TIEOFF_X22Y43 NAME TIEOFF_X22Y43 SITEPROP TIEOFF_X22Y43 NUM_ARCS 0 SITEPROP TIEOFF_X22Y43 NUM_BELS 2 SITEPROP TIEOFF_X22Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y43 NUM_PINS 2 SITEPROP TIEOFF_X22Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y43 PROHIBIT 0 SITEPROP TIEOFF_X22Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y43 RPM_X 88 SITEPROP TIEOFF_X22Y43 RPM_Y 86 SITEPROP TIEOFF_X22Y43 SITE_PIPS SITEPROP TIEOFF_X22Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y44 CLASS site SITEPROP TIEOFF_X22Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y44 IS_BONDED 0 SITEPROP TIEOFF_X22Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y44 IS_PAD 0 SITEPROP TIEOFF_X22Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y44 IS_RESERVED 0 SITEPROP TIEOFF_X22Y44 IS_TEST 0 SITEPROP TIEOFF_X22Y44 IS_USED 0 SITEPROP TIEOFF_X22Y44 MANUAL_ROUTING SITEPROP TIEOFF_X22Y44 NAME TIEOFF_X22Y44 SITEPROP TIEOFF_X22Y44 NUM_ARCS 0 SITEPROP TIEOFF_X22Y44 NUM_BELS 2 SITEPROP TIEOFF_X22Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y44 NUM_PINS 2 SITEPROP TIEOFF_X22Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y44 PROHIBIT 0 SITEPROP TIEOFF_X22Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y44 RPM_X 88 SITEPROP TIEOFF_X22Y44 RPM_Y 88 SITEPROP TIEOFF_X22Y44 SITE_PIPS SITEPROP TIEOFF_X22Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y45 CLASS site SITEPROP TIEOFF_X22Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y45 IS_BONDED 0 SITEPROP TIEOFF_X22Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y45 IS_PAD 0 SITEPROP TIEOFF_X22Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y45 IS_RESERVED 0 SITEPROP TIEOFF_X22Y45 IS_TEST 0 SITEPROP TIEOFF_X22Y45 IS_USED 0 SITEPROP TIEOFF_X22Y45 MANUAL_ROUTING SITEPROP TIEOFF_X22Y45 NAME TIEOFF_X22Y45 SITEPROP TIEOFF_X22Y45 NUM_ARCS 0 SITEPROP TIEOFF_X22Y45 NUM_BELS 2 SITEPROP TIEOFF_X22Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y45 NUM_PINS 2 SITEPROP TIEOFF_X22Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y45 PROHIBIT 0 SITEPROP TIEOFF_X22Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y45 RPM_X 88 SITEPROP TIEOFF_X22Y45 RPM_Y 90 SITEPROP TIEOFF_X22Y45 SITE_PIPS SITEPROP TIEOFF_X22Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y46 CLASS site SITEPROP TIEOFF_X22Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y46 IS_BONDED 0 SITEPROP TIEOFF_X22Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y46 IS_PAD 0 SITEPROP TIEOFF_X22Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y46 IS_RESERVED 0 SITEPROP TIEOFF_X22Y46 IS_TEST 0 SITEPROP TIEOFF_X22Y46 IS_USED 0 SITEPROP TIEOFF_X22Y46 MANUAL_ROUTING SITEPROP TIEOFF_X22Y46 NAME TIEOFF_X22Y46 SITEPROP TIEOFF_X22Y46 NUM_ARCS 0 SITEPROP TIEOFF_X22Y46 NUM_BELS 2 SITEPROP TIEOFF_X22Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y46 NUM_PINS 2 SITEPROP TIEOFF_X22Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y46 PROHIBIT 0 SITEPROP TIEOFF_X22Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y46 RPM_X 88 SITEPROP TIEOFF_X22Y46 RPM_Y 92 SITEPROP TIEOFF_X22Y46 SITE_PIPS SITEPROP TIEOFF_X22Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y47 CLASS site SITEPROP TIEOFF_X22Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y47 IS_BONDED 0 SITEPROP TIEOFF_X22Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y47 IS_PAD 0 SITEPROP TIEOFF_X22Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y47 IS_RESERVED 0 SITEPROP TIEOFF_X22Y47 IS_TEST 0 SITEPROP TIEOFF_X22Y47 IS_USED 0 SITEPROP TIEOFF_X22Y47 MANUAL_ROUTING SITEPROP TIEOFF_X22Y47 NAME TIEOFF_X22Y47 SITEPROP TIEOFF_X22Y47 NUM_ARCS 0 SITEPROP TIEOFF_X22Y47 NUM_BELS 2 SITEPROP TIEOFF_X22Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y47 NUM_PINS 2 SITEPROP TIEOFF_X22Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y47 PROHIBIT 0 SITEPROP TIEOFF_X22Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y47 RPM_X 88 SITEPROP TIEOFF_X22Y47 RPM_Y 94 SITEPROP TIEOFF_X22Y47 SITE_PIPS SITEPROP TIEOFF_X22Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y48 CLASS site SITEPROP TIEOFF_X22Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y48 IS_BONDED 0 SITEPROP TIEOFF_X22Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y48 IS_PAD 0 SITEPROP TIEOFF_X22Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y48 IS_RESERVED 0 SITEPROP TIEOFF_X22Y48 IS_TEST 0 SITEPROP TIEOFF_X22Y48 IS_USED 0 SITEPROP TIEOFF_X22Y48 MANUAL_ROUTING SITEPROP TIEOFF_X22Y48 NAME TIEOFF_X22Y48 SITEPROP TIEOFF_X22Y48 NUM_ARCS 0 SITEPROP TIEOFF_X22Y48 NUM_BELS 2 SITEPROP TIEOFF_X22Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y48 NUM_PINS 2 SITEPROP TIEOFF_X22Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y48 PROHIBIT 0 SITEPROP TIEOFF_X22Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y48 RPM_X 88 SITEPROP TIEOFF_X22Y48 RPM_Y 96 SITEPROP TIEOFF_X22Y48 SITE_PIPS SITEPROP TIEOFF_X22Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y49 CLASS site SITEPROP TIEOFF_X22Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X22Y49 IS_BONDED 0 SITEPROP TIEOFF_X22Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y49 IS_PAD 0 SITEPROP TIEOFF_X22Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y49 IS_RESERVED 0 SITEPROP TIEOFF_X22Y49 IS_TEST 0 SITEPROP TIEOFF_X22Y49 IS_USED 0 SITEPROP TIEOFF_X22Y49 MANUAL_ROUTING SITEPROP TIEOFF_X22Y49 NAME TIEOFF_X22Y49 SITEPROP TIEOFF_X22Y49 NUM_ARCS 0 SITEPROP TIEOFF_X22Y49 NUM_BELS 2 SITEPROP TIEOFF_X22Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y49 NUM_PINS 2 SITEPROP TIEOFF_X22Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y49 PROHIBIT 0 SITEPROP TIEOFF_X22Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y49 RPM_X 88 SITEPROP TIEOFF_X22Y49 RPM_Y 98 SITEPROP TIEOFF_X22Y49 SITE_PIPS SITEPROP TIEOFF_X22Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y50 CLASS site SITEPROP TIEOFF_X22Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y50 IS_BONDED 0 SITEPROP TIEOFF_X22Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y50 IS_PAD 0 SITEPROP TIEOFF_X22Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y50 IS_RESERVED 0 SITEPROP TIEOFF_X22Y50 IS_TEST 0 SITEPROP TIEOFF_X22Y50 IS_USED 0 SITEPROP TIEOFF_X22Y50 MANUAL_ROUTING SITEPROP TIEOFF_X22Y50 NAME TIEOFF_X22Y50 SITEPROP TIEOFF_X22Y50 NUM_ARCS 0 SITEPROP TIEOFF_X22Y50 NUM_BELS 2 SITEPROP TIEOFF_X22Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y50 NUM_PINS 2 SITEPROP TIEOFF_X22Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y50 PROHIBIT 0 SITEPROP TIEOFF_X22Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y50 RPM_X 88 SITEPROP TIEOFF_X22Y50 RPM_Y 100 SITEPROP TIEOFF_X22Y50 SITE_PIPS SITEPROP TIEOFF_X22Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y51 CLASS site SITEPROP TIEOFF_X22Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y51 IS_BONDED 0 SITEPROP TIEOFF_X22Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y51 IS_PAD 0 SITEPROP TIEOFF_X22Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y51 IS_RESERVED 0 SITEPROP TIEOFF_X22Y51 IS_TEST 0 SITEPROP TIEOFF_X22Y51 IS_USED 0 SITEPROP TIEOFF_X22Y51 MANUAL_ROUTING SITEPROP TIEOFF_X22Y51 NAME TIEOFF_X22Y51 SITEPROP TIEOFF_X22Y51 NUM_ARCS 0 SITEPROP TIEOFF_X22Y51 NUM_BELS 2 SITEPROP TIEOFF_X22Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y51 NUM_PINS 2 SITEPROP TIEOFF_X22Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y51 PROHIBIT 0 SITEPROP TIEOFF_X22Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y51 RPM_X 88 SITEPROP TIEOFF_X22Y51 RPM_Y 102 SITEPROP TIEOFF_X22Y51 SITE_PIPS SITEPROP TIEOFF_X22Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y52 CLASS site SITEPROP TIEOFF_X22Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y52 IS_BONDED 0 SITEPROP TIEOFF_X22Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y52 IS_PAD 0 SITEPROP TIEOFF_X22Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y52 IS_RESERVED 0 SITEPROP TIEOFF_X22Y52 IS_TEST 0 SITEPROP TIEOFF_X22Y52 IS_USED 0 SITEPROP TIEOFF_X22Y52 MANUAL_ROUTING SITEPROP TIEOFF_X22Y52 NAME TIEOFF_X22Y52 SITEPROP TIEOFF_X22Y52 NUM_ARCS 0 SITEPROP TIEOFF_X22Y52 NUM_BELS 2 SITEPROP TIEOFF_X22Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y52 NUM_PINS 2 SITEPROP TIEOFF_X22Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y52 PROHIBIT 0 SITEPROP TIEOFF_X22Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y52 RPM_X 88 SITEPROP TIEOFF_X22Y52 RPM_Y 104 SITEPROP TIEOFF_X22Y52 SITE_PIPS SITEPROP TIEOFF_X22Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y53 CLASS site SITEPROP TIEOFF_X22Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y53 IS_BONDED 0 SITEPROP TIEOFF_X22Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y53 IS_PAD 0 SITEPROP TIEOFF_X22Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y53 IS_RESERVED 0 SITEPROP TIEOFF_X22Y53 IS_TEST 0 SITEPROP TIEOFF_X22Y53 IS_USED 0 SITEPROP TIEOFF_X22Y53 MANUAL_ROUTING SITEPROP TIEOFF_X22Y53 NAME TIEOFF_X22Y53 SITEPROP TIEOFF_X22Y53 NUM_ARCS 0 SITEPROP TIEOFF_X22Y53 NUM_BELS 2 SITEPROP TIEOFF_X22Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y53 NUM_PINS 2 SITEPROP TIEOFF_X22Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y53 PROHIBIT 0 SITEPROP TIEOFF_X22Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y53 RPM_X 88 SITEPROP TIEOFF_X22Y53 RPM_Y 106 SITEPROP TIEOFF_X22Y53 SITE_PIPS SITEPROP TIEOFF_X22Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y54 CLASS site SITEPROP TIEOFF_X22Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y54 IS_BONDED 0 SITEPROP TIEOFF_X22Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y54 IS_PAD 0 SITEPROP TIEOFF_X22Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y54 IS_RESERVED 0 SITEPROP TIEOFF_X22Y54 IS_TEST 0 SITEPROP TIEOFF_X22Y54 IS_USED 0 SITEPROP TIEOFF_X22Y54 MANUAL_ROUTING SITEPROP TIEOFF_X22Y54 NAME TIEOFF_X22Y54 SITEPROP TIEOFF_X22Y54 NUM_ARCS 0 SITEPROP TIEOFF_X22Y54 NUM_BELS 2 SITEPROP TIEOFF_X22Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y54 NUM_PINS 2 SITEPROP TIEOFF_X22Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y54 PROHIBIT 0 SITEPROP TIEOFF_X22Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y54 RPM_X 88 SITEPROP TIEOFF_X22Y54 RPM_Y 108 SITEPROP TIEOFF_X22Y54 SITE_PIPS SITEPROP TIEOFF_X22Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y55 CLASS site SITEPROP TIEOFF_X22Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y55 IS_BONDED 0 SITEPROP TIEOFF_X22Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y55 IS_PAD 0 SITEPROP TIEOFF_X22Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y55 IS_RESERVED 0 SITEPROP TIEOFF_X22Y55 IS_TEST 0 SITEPROP TIEOFF_X22Y55 IS_USED 0 SITEPROP TIEOFF_X22Y55 MANUAL_ROUTING SITEPROP TIEOFF_X22Y55 NAME TIEOFF_X22Y55 SITEPROP TIEOFF_X22Y55 NUM_ARCS 0 SITEPROP TIEOFF_X22Y55 NUM_BELS 2 SITEPROP TIEOFF_X22Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y55 NUM_PINS 2 SITEPROP TIEOFF_X22Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y55 PROHIBIT 0 SITEPROP TIEOFF_X22Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y55 RPM_X 88 SITEPROP TIEOFF_X22Y55 RPM_Y 110 SITEPROP TIEOFF_X22Y55 SITE_PIPS SITEPROP TIEOFF_X22Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y56 CLASS site SITEPROP TIEOFF_X22Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y56 IS_BONDED 0 SITEPROP TIEOFF_X22Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y56 IS_PAD 0 SITEPROP TIEOFF_X22Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y56 IS_RESERVED 0 SITEPROP TIEOFF_X22Y56 IS_TEST 0 SITEPROP TIEOFF_X22Y56 IS_USED 0 SITEPROP TIEOFF_X22Y56 MANUAL_ROUTING SITEPROP TIEOFF_X22Y56 NAME TIEOFF_X22Y56 SITEPROP TIEOFF_X22Y56 NUM_ARCS 0 SITEPROP TIEOFF_X22Y56 NUM_BELS 2 SITEPROP TIEOFF_X22Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y56 NUM_PINS 2 SITEPROP TIEOFF_X22Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y56 PROHIBIT 0 SITEPROP TIEOFF_X22Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y56 RPM_X 88 SITEPROP TIEOFF_X22Y56 RPM_Y 112 SITEPROP TIEOFF_X22Y56 SITE_PIPS SITEPROP TIEOFF_X22Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y57 CLASS site SITEPROP TIEOFF_X22Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y57 IS_BONDED 0 SITEPROP TIEOFF_X22Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y57 IS_PAD 0 SITEPROP TIEOFF_X22Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y57 IS_RESERVED 0 SITEPROP TIEOFF_X22Y57 IS_TEST 0 SITEPROP TIEOFF_X22Y57 IS_USED 0 SITEPROP TIEOFF_X22Y57 MANUAL_ROUTING SITEPROP TIEOFF_X22Y57 NAME TIEOFF_X22Y57 SITEPROP TIEOFF_X22Y57 NUM_ARCS 0 SITEPROP TIEOFF_X22Y57 NUM_BELS 2 SITEPROP TIEOFF_X22Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y57 NUM_PINS 2 SITEPROP TIEOFF_X22Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y57 PROHIBIT 0 SITEPROP TIEOFF_X22Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y57 RPM_X 88 SITEPROP TIEOFF_X22Y57 RPM_Y 114 SITEPROP TIEOFF_X22Y57 SITE_PIPS SITEPROP TIEOFF_X22Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y58 CLASS site SITEPROP TIEOFF_X22Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y58 IS_BONDED 0 SITEPROP TIEOFF_X22Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y58 IS_PAD 0 SITEPROP TIEOFF_X22Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y58 IS_RESERVED 0 SITEPROP TIEOFF_X22Y58 IS_TEST 0 SITEPROP TIEOFF_X22Y58 IS_USED 0 SITEPROP TIEOFF_X22Y58 MANUAL_ROUTING SITEPROP TIEOFF_X22Y58 NAME TIEOFF_X22Y58 SITEPROP TIEOFF_X22Y58 NUM_ARCS 0 SITEPROP TIEOFF_X22Y58 NUM_BELS 2 SITEPROP TIEOFF_X22Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y58 NUM_PINS 2 SITEPROP TIEOFF_X22Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y58 PROHIBIT 0 SITEPROP TIEOFF_X22Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y58 RPM_X 88 SITEPROP TIEOFF_X22Y58 RPM_Y 116 SITEPROP TIEOFF_X22Y58 SITE_PIPS SITEPROP TIEOFF_X22Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y59 CLASS site SITEPROP TIEOFF_X22Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y59 IS_BONDED 0 SITEPROP TIEOFF_X22Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y59 IS_PAD 0 SITEPROP TIEOFF_X22Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y59 IS_RESERVED 0 SITEPROP TIEOFF_X22Y59 IS_TEST 0 SITEPROP TIEOFF_X22Y59 IS_USED 0 SITEPROP TIEOFF_X22Y59 MANUAL_ROUTING SITEPROP TIEOFF_X22Y59 NAME TIEOFF_X22Y59 SITEPROP TIEOFF_X22Y59 NUM_ARCS 0 SITEPROP TIEOFF_X22Y59 NUM_BELS 2 SITEPROP TIEOFF_X22Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y59 NUM_PINS 2 SITEPROP TIEOFF_X22Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y59 PROHIBIT 0 SITEPROP TIEOFF_X22Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y59 RPM_X 88 SITEPROP TIEOFF_X22Y59 RPM_Y 118 SITEPROP TIEOFF_X22Y59 SITE_PIPS SITEPROP TIEOFF_X22Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y60 CLASS site SITEPROP TIEOFF_X22Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y60 IS_BONDED 0 SITEPROP TIEOFF_X22Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y60 IS_PAD 0 SITEPROP TIEOFF_X22Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y60 IS_RESERVED 0 SITEPROP TIEOFF_X22Y60 IS_TEST 0 SITEPROP TIEOFF_X22Y60 IS_USED 0 SITEPROP TIEOFF_X22Y60 MANUAL_ROUTING SITEPROP TIEOFF_X22Y60 NAME TIEOFF_X22Y60 SITEPROP TIEOFF_X22Y60 NUM_ARCS 0 SITEPROP TIEOFF_X22Y60 NUM_BELS 2 SITEPROP TIEOFF_X22Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y60 NUM_PINS 2 SITEPROP TIEOFF_X22Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y60 PROHIBIT 0 SITEPROP TIEOFF_X22Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y60 RPM_X 88 SITEPROP TIEOFF_X22Y60 RPM_Y 120 SITEPROP TIEOFF_X22Y60 SITE_PIPS SITEPROP TIEOFF_X22Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y61 CLASS site SITEPROP TIEOFF_X22Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y61 IS_BONDED 0 SITEPROP TIEOFF_X22Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y61 IS_PAD 0 SITEPROP TIEOFF_X22Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y61 IS_RESERVED 0 SITEPROP TIEOFF_X22Y61 IS_TEST 0 SITEPROP TIEOFF_X22Y61 IS_USED 0 SITEPROP TIEOFF_X22Y61 MANUAL_ROUTING SITEPROP TIEOFF_X22Y61 NAME TIEOFF_X22Y61 SITEPROP TIEOFF_X22Y61 NUM_ARCS 0 SITEPROP TIEOFF_X22Y61 NUM_BELS 2 SITEPROP TIEOFF_X22Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y61 NUM_PINS 2 SITEPROP TIEOFF_X22Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y61 PROHIBIT 0 SITEPROP TIEOFF_X22Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y61 RPM_X 88 SITEPROP TIEOFF_X22Y61 RPM_Y 122 SITEPROP TIEOFF_X22Y61 SITE_PIPS SITEPROP TIEOFF_X22Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y62 CLASS site SITEPROP TIEOFF_X22Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y62 IS_BONDED 0 SITEPROP TIEOFF_X22Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y62 IS_PAD 0 SITEPROP TIEOFF_X22Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y62 IS_RESERVED 0 SITEPROP TIEOFF_X22Y62 IS_TEST 0 SITEPROP TIEOFF_X22Y62 IS_USED 0 SITEPROP TIEOFF_X22Y62 MANUAL_ROUTING SITEPROP TIEOFF_X22Y62 NAME TIEOFF_X22Y62 SITEPROP TIEOFF_X22Y62 NUM_ARCS 0 SITEPROP TIEOFF_X22Y62 NUM_BELS 2 SITEPROP TIEOFF_X22Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y62 NUM_PINS 2 SITEPROP TIEOFF_X22Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y62 PROHIBIT 0 SITEPROP TIEOFF_X22Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y62 RPM_X 88 SITEPROP TIEOFF_X22Y62 RPM_Y 124 SITEPROP TIEOFF_X22Y62 SITE_PIPS SITEPROP TIEOFF_X22Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y63 CLASS site SITEPROP TIEOFF_X22Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y63 IS_BONDED 0 SITEPROP TIEOFF_X22Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y63 IS_PAD 0 SITEPROP TIEOFF_X22Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y63 IS_RESERVED 0 SITEPROP TIEOFF_X22Y63 IS_TEST 0 SITEPROP TIEOFF_X22Y63 IS_USED 0 SITEPROP TIEOFF_X22Y63 MANUAL_ROUTING SITEPROP TIEOFF_X22Y63 NAME TIEOFF_X22Y63 SITEPROP TIEOFF_X22Y63 NUM_ARCS 0 SITEPROP TIEOFF_X22Y63 NUM_BELS 2 SITEPROP TIEOFF_X22Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y63 NUM_PINS 2 SITEPROP TIEOFF_X22Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y63 PROHIBIT 0 SITEPROP TIEOFF_X22Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y63 RPM_X 88 SITEPROP TIEOFF_X22Y63 RPM_Y 126 SITEPROP TIEOFF_X22Y63 SITE_PIPS SITEPROP TIEOFF_X22Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y64 CLASS site SITEPROP TIEOFF_X22Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y64 IS_BONDED 0 SITEPROP TIEOFF_X22Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y64 IS_PAD 0 SITEPROP TIEOFF_X22Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y64 IS_RESERVED 0 SITEPROP TIEOFF_X22Y64 IS_TEST 0 SITEPROP TIEOFF_X22Y64 IS_USED 0 SITEPROP TIEOFF_X22Y64 MANUAL_ROUTING SITEPROP TIEOFF_X22Y64 NAME TIEOFF_X22Y64 SITEPROP TIEOFF_X22Y64 NUM_ARCS 0 SITEPROP TIEOFF_X22Y64 NUM_BELS 2 SITEPROP TIEOFF_X22Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y64 NUM_PINS 2 SITEPROP TIEOFF_X22Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y64 PROHIBIT 0 SITEPROP TIEOFF_X22Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y64 RPM_X 88 SITEPROP TIEOFF_X22Y64 RPM_Y 128 SITEPROP TIEOFF_X22Y64 SITE_PIPS SITEPROP TIEOFF_X22Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y65 CLASS site SITEPROP TIEOFF_X22Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y65 IS_BONDED 0 SITEPROP TIEOFF_X22Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y65 IS_PAD 0 SITEPROP TIEOFF_X22Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y65 IS_RESERVED 0 SITEPROP TIEOFF_X22Y65 IS_TEST 0 SITEPROP TIEOFF_X22Y65 IS_USED 0 SITEPROP TIEOFF_X22Y65 MANUAL_ROUTING SITEPROP TIEOFF_X22Y65 NAME TIEOFF_X22Y65 SITEPROP TIEOFF_X22Y65 NUM_ARCS 0 SITEPROP TIEOFF_X22Y65 NUM_BELS 2 SITEPROP TIEOFF_X22Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y65 NUM_PINS 2 SITEPROP TIEOFF_X22Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y65 PROHIBIT 0 SITEPROP TIEOFF_X22Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y65 RPM_X 88 SITEPROP TIEOFF_X22Y65 RPM_Y 130 SITEPROP TIEOFF_X22Y65 SITE_PIPS SITEPROP TIEOFF_X22Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y66 CLASS site SITEPROP TIEOFF_X22Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y66 IS_BONDED 0 SITEPROP TIEOFF_X22Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y66 IS_PAD 0 SITEPROP TIEOFF_X22Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y66 IS_RESERVED 0 SITEPROP TIEOFF_X22Y66 IS_TEST 0 SITEPROP TIEOFF_X22Y66 IS_USED 0 SITEPROP TIEOFF_X22Y66 MANUAL_ROUTING SITEPROP TIEOFF_X22Y66 NAME TIEOFF_X22Y66 SITEPROP TIEOFF_X22Y66 NUM_ARCS 0 SITEPROP TIEOFF_X22Y66 NUM_BELS 2 SITEPROP TIEOFF_X22Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y66 NUM_PINS 2 SITEPROP TIEOFF_X22Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y66 PROHIBIT 0 SITEPROP TIEOFF_X22Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y66 RPM_X 88 SITEPROP TIEOFF_X22Y66 RPM_Y 132 SITEPROP TIEOFF_X22Y66 SITE_PIPS SITEPROP TIEOFF_X22Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y67 CLASS site SITEPROP TIEOFF_X22Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y67 IS_BONDED 0 SITEPROP TIEOFF_X22Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y67 IS_PAD 0 SITEPROP TIEOFF_X22Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y67 IS_RESERVED 0 SITEPROP TIEOFF_X22Y67 IS_TEST 0 SITEPROP TIEOFF_X22Y67 IS_USED 0 SITEPROP TIEOFF_X22Y67 MANUAL_ROUTING SITEPROP TIEOFF_X22Y67 NAME TIEOFF_X22Y67 SITEPROP TIEOFF_X22Y67 NUM_ARCS 0 SITEPROP TIEOFF_X22Y67 NUM_BELS 2 SITEPROP TIEOFF_X22Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y67 NUM_PINS 2 SITEPROP TIEOFF_X22Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y67 PROHIBIT 0 SITEPROP TIEOFF_X22Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y67 RPM_X 88 SITEPROP TIEOFF_X22Y67 RPM_Y 134 SITEPROP TIEOFF_X22Y67 SITE_PIPS SITEPROP TIEOFF_X22Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y68 CLASS site SITEPROP TIEOFF_X22Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y68 IS_BONDED 0 SITEPROP TIEOFF_X22Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y68 IS_PAD 0 SITEPROP TIEOFF_X22Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y68 IS_RESERVED 0 SITEPROP TIEOFF_X22Y68 IS_TEST 0 SITEPROP TIEOFF_X22Y68 IS_USED 0 SITEPROP TIEOFF_X22Y68 MANUAL_ROUTING SITEPROP TIEOFF_X22Y68 NAME TIEOFF_X22Y68 SITEPROP TIEOFF_X22Y68 NUM_ARCS 0 SITEPROP TIEOFF_X22Y68 NUM_BELS 2 SITEPROP TIEOFF_X22Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y68 NUM_PINS 2 SITEPROP TIEOFF_X22Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y68 PROHIBIT 0 SITEPROP TIEOFF_X22Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y68 RPM_X 88 SITEPROP TIEOFF_X22Y68 RPM_Y 136 SITEPROP TIEOFF_X22Y68 SITE_PIPS SITEPROP TIEOFF_X22Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y69 CLASS site SITEPROP TIEOFF_X22Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y69 IS_BONDED 0 SITEPROP TIEOFF_X22Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y69 IS_PAD 0 SITEPROP TIEOFF_X22Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y69 IS_RESERVED 0 SITEPROP TIEOFF_X22Y69 IS_TEST 0 SITEPROP TIEOFF_X22Y69 IS_USED 0 SITEPROP TIEOFF_X22Y69 MANUAL_ROUTING SITEPROP TIEOFF_X22Y69 NAME TIEOFF_X22Y69 SITEPROP TIEOFF_X22Y69 NUM_ARCS 0 SITEPROP TIEOFF_X22Y69 NUM_BELS 2 SITEPROP TIEOFF_X22Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y69 NUM_PINS 2 SITEPROP TIEOFF_X22Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y69 PROHIBIT 0 SITEPROP TIEOFF_X22Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y69 RPM_X 88 SITEPROP TIEOFF_X22Y69 RPM_Y 138 SITEPROP TIEOFF_X22Y69 SITE_PIPS SITEPROP TIEOFF_X22Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y70 CLASS site SITEPROP TIEOFF_X22Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y70 IS_BONDED 0 SITEPROP TIEOFF_X22Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y70 IS_PAD 0 SITEPROP TIEOFF_X22Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y70 IS_RESERVED 0 SITEPROP TIEOFF_X22Y70 IS_TEST 0 SITEPROP TIEOFF_X22Y70 IS_USED 0 SITEPROP TIEOFF_X22Y70 MANUAL_ROUTING SITEPROP TIEOFF_X22Y70 NAME TIEOFF_X22Y70 SITEPROP TIEOFF_X22Y70 NUM_ARCS 0 SITEPROP TIEOFF_X22Y70 NUM_BELS 2 SITEPROP TIEOFF_X22Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y70 NUM_PINS 2 SITEPROP TIEOFF_X22Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y70 PROHIBIT 0 SITEPROP TIEOFF_X22Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y70 RPM_X 88 SITEPROP TIEOFF_X22Y70 RPM_Y 140 SITEPROP TIEOFF_X22Y70 SITE_PIPS SITEPROP TIEOFF_X22Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y71 CLASS site SITEPROP TIEOFF_X22Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y71 IS_BONDED 0 SITEPROP TIEOFF_X22Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y71 IS_PAD 0 SITEPROP TIEOFF_X22Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y71 IS_RESERVED 0 SITEPROP TIEOFF_X22Y71 IS_TEST 0 SITEPROP TIEOFF_X22Y71 IS_USED 0 SITEPROP TIEOFF_X22Y71 MANUAL_ROUTING SITEPROP TIEOFF_X22Y71 NAME TIEOFF_X22Y71 SITEPROP TIEOFF_X22Y71 NUM_ARCS 0 SITEPROP TIEOFF_X22Y71 NUM_BELS 2 SITEPROP TIEOFF_X22Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y71 NUM_PINS 2 SITEPROP TIEOFF_X22Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y71 PROHIBIT 0 SITEPROP TIEOFF_X22Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y71 RPM_X 88 SITEPROP TIEOFF_X22Y71 RPM_Y 142 SITEPROP TIEOFF_X22Y71 SITE_PIPS SITEPROP TIEOFF_X22Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y72 CLASS site SITEPROP TIEOFF_X22Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y72 IS_BONDED 0 SITEPROP TIEOFF_X22Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y72 IS_PAD 0 SITEPROP TIEOFF_X22Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y72 IS_RESERVED 0 SITEPROP TIEOFF_X22Y72 IS_TEST 0 SITEPROP TIEOFF_X22Y72 IS_USED 0 SITEPROP TIEOFF_X22Y72 MANUAL_ROUTING SITEPROP TIEOFF_X22Y72 NAME TIEOFF_X22Y72 SITEPROP TIEOFF_X22Y72 NUM_ARCS 0 SITEPROP TIEOFF_X22Y72 NUM_BELS 2 SITEPROP TIEOFF_X22Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y72 NUM_PINS 2 SITEPROP TIEOFF_X22Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y72 PROHIBIT 0 SITEPROP TIEOFF_X22Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y72 RPM_X 88 SITEPROP TIEOFF_X22Y72 RPM_Y 144 SITEPROP TIEOFF_X22Y72 SITE_PIPS SITEPROP TIEOFF_X22Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y73 CLASS site SITEPROP TIEOFF_X22Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y73 IS_BONDED 0 SITEPROP TIEOFF_X22Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y73 IS_PAD 0 SITEPROP TIEOFF_X22Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y73 IS_RESERVED 0 SITEPROP TIEOFF_X22Y73 IS_TEST 0 SITEPROP TIEOFF_X22Y73 IS_USED 0 SITEPROP TIEOFF_X22Y73 MANUAL_ROUTING SITEPROP TIEOFF_X22Y73 NAME TIEOFF_X22Y73 SITEPROP TIEOFF_X22Y73 NUM_ARCS 0 SITEPROP TIEOFF_X22Y73 NUM_BELS 2 SITEPROP TIEOFF_X22Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y73 NUM_PINS 2 SITEPROP TIEOFF_X22Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y73 PROHIBIT 0 SITEPROP TIEOFF_X22Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y73 RPM_X 88 SITEPROP TIEOFF_X22Y73 RPM_Y 146 SITEPROP TIEOFF_X22Y73 SITE_PIPS SITEPROP TIEOFF_X22Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y74 CLASS site SITEPROP TIEOFF_X22Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y74 IS_BONDED 0 SITEPROP TIEOFF_X22Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y74 IS_PAD 0 SITEPROP TIEOFF_X22Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y74 IS_RESERVED 0 SITEPROP TIEOFF_X22Y74 IS_TEST 0 SITEPROP TIEOFF_X22Y74 IS_USED 0 SITEPROP TIEOFF_X22Y74 MANUAL_ROUTING SITEPROP TIEOFF_X22Y74 NAME TIEOFF_X22Y74 SITEPROP TIEOFF_X22Y74 NUM_ARCS 0 SITEPROP TIEOFF_X22Y74 NUM_BELS 2 SITEPROP TIEOFF_X22Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y74 NUM_PINS 2 SITEPROP TIEOFF_X22Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y74 PROHIBIT 0 SITEPROP TIEOFF_X22Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y74 RPM_X 88 SITEPROP TIEOFF_X22Y74 RPM_Y 148 SITEPROP TIEOFF_X22Y74 SITE_PIPS SITEPROP TIEOFF_X22Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y75 CLASS site SITEPROP TIEOFF_X22Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y75 IS_BONDED 0 SITEPROP TIEOFF_X22Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y75 IS_PAD 0 SITEPROP TIEOFF_X22Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y75 IS_RESERVED 0 SITEPROP TIEOFF_X22Y75 IS_TEST 0 SITEPROP TIEOFF_X22Y75 IS_USED 0 SITEPROP TIEOFF_X22Y75 MANUAL_ROUTING SITEPROP TIEOFF_X22Y75 NAME TIEOFF_X22Y75 SITEPROP TIEOFF_X22Y75 NUM_ARCS 0 SITEPROP TIEOFF_X22Y75 NUM_BELS 2 SITEPROP TIEOFF_X22Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y75 NUM_PINS 2 SITEPROP TIEOFF_X22Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y75 PROHIBIT 0 SITEPROP TIEOFF_X22Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y75 RPM_X 88 SITEPROP TIEOFF_X22Y75 RPM_Y 150 SITEPROP TIEOFF_X22Y75 SITE_PIPS SITEPROP TIEOFF_X22Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y76 CLASS site SITEPROP TIEOFF_X22Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y76 IS_BONDED 0 SITEPROP TIEOFF_X22Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y76 IS_PAD 0 SITEPROP TIEOFF_X22Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y76 IS_RESERVED 0 SITEPROP TIEOFF_X22Y76 IS_TEST 0 SITEPROP TIEOFF_X22Y76 IS_USED 0 SITEPROP TIEOFF_X22Y76 MANUAL_ROUTING SITEPROP TIEOFF_X22Y76 NAME TIEOFF_X22Y76 SITEPROP TIEOFF_X22Y76 NUM_ARCS 0 SITEPROP TIEOFF_X22Y76 NUM_BELS 2 SITEPROP TIEOFF_X22Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y76 NUM_PINS 2 SITEPROP TIEOFF_X22Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y76 PROHIBIT 0 SITEPROP TIEOFF_X22Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y76 RPM_X 88 SITEPROP TIEOFF_X22Y76 RPM_Y 152 SITEPROP TIEOFF_X22Y76 SITE_PIPS SITEPROP TIEOFF_X22Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y77 CLASS site SITEPROP TIEOFF_X22Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y77 IS_BONDED 0 SITEPROP TIEOFF_X22Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y77 IS_PAD 0 SITEPROP TIEOFF_X22Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y77 IS_RESERVED 0 SITEPROP TIEOFF_X22Y77 IS_TEST 0 SITEPROP TIEOFF_X22Y77 IS_USED 0 SITEPROP TIEOFF_X22Y77 MANUAL_ROUTING SITEPROP TIEOFF_X22Y77 NAME TIEOFF_X22Y77 SITEPROP TIEOFF_X22Y77 NUM_ARCS 0 SITEPROP TIEOFF_X22Y77 NUM_BELS 2 SITEPROP TIEOFF_X22Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y77 NUM_PINS 2 SITEPROP TIEOFF_X22Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y77 PROHIBIT 0 SITEPROP TIEOFF_X22Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y77 RPM_X 88 SITEPROP TIEOFF_X22Y77 RPM_Y 154 SITEPROP TIEOFF_X22Y77 SITE_PIPS SITEPROP TIEOFF_X22Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y78 CLASS site SITEPROP TIEOFF_X22Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y78 IS_BONDED 0 SITEPROP TIEOFF_X22Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y78 IS_PAD 0 SITEPROP TIEOFF_X22Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y78 IS_RESERVED 0 SITEPROP TIEOFF_X22Y78 IS_TEST 0 SITEPROP TIEOFF_X22Y78 IS_USED 0 SITEPROP TIEOFF_X22Y78 MANUAL_ROUTING SITEPROP TIEOFF_X22Y78 NAME TIEOFF_X22Y78 SITEPROP TIEOFF_X22Y78 NUM_ARCS 0 SITEPROP TIEOFF_X22Y78 NUM_BELS 2 SITEPROP TIEOFF_X22Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y78 NUM_PINS 2 SITEPROP TIEOFF_X22Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y78 PROHIBIT 0 SITEPROP TIEOFF_X22Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y78 RPM_X 88 SITEPROP TIEOFF_X22Y78 RPM_Y 156 SITEPROP TIEOFF_X22Y78 SITE_PIPS SITEPROP TIEOFF_X22Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y79 CLASS site SITEPROP TIEOFF_X22Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y79 IS_BONDED 0 SITEPROP TIEOFF_X22Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y79 IS_PAD 0 SITEPROP TIEOFF_X22Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y79 IS_RESERVED 0 SITEPROP TIEOFF_X22Y79 IS_TEST 0 SITEPROP TIEOFF_X22Y79 IS_USED 0 SITEPROP TIEOFF_X22Y79 MANUAL_ROUTING SITEPROP TIEOFF_X22Y79 NAME TIEOFF_X22Y79 SITEPROP TIEOFF_X22Y79 NUM_ARCS 0 SITEPROP TIEOFF_X22Y79 NUM_BELS 2 SITEPROP TIEOFF_X22Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y79 NUM_PINS 2 SITEPROP TIEOFF_X22Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y79 PROHIBIT 0 SITEPROP TIEOFF_X22Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y79 RPM_X 88 SITEPROP TIEOFF_X22Y79 RPM_Y 158 SITEPROP TIEOFF_X22Y79 SITE_PIPS SITEPROP TIEOFF_X22Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y80 CLASS site SITEPROP TIEOFF_X22Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y80 IS_BONDED 0 SITEPROP TIEOFF_X22Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y80 IS_PAD 0 SITEPROP TIEOFF_X22Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y80 IS_RESERVED 0 SITEPROP TIEOFF_X22Y80 IS_TEST 0 SITEPROP TIEOFF_X22Y80 IS_USED 0 SITEPROP TIEOFF_X22Y80 MANUAL_ROUTING SITEPROP TIEOFF_X22Y80 NAME TIEOFF_X22Y80 SITEPROP TIEOFF_X22Y80 NUM_ARCS 0 SITEPROP TIEOFF_X22Y80 NUM_BELS 2 SITEPROP TIEOFF_X22Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y80 NUM_PINS 2 SITEPROP TIEOFF_X22Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y80 PROHIBIT 0 SITEPROP TIEOFF_X22Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y80 RPM_X 88 SITEPROP TIEOFF_X22Y80 RPM_Y 160 SITEPROP TIEOFF_X22Y80 SITE_PIPS SITEPROP TIEOFF_X22Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y81 CLASS site SITEPROP TIEOFF_X22Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y81 IS_BONDED 0 SITEPROP TIEOFF_X22Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y81 IS_PAD 0 SITEPROP TIEOFF_X22Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y81 IS_RESERVED 0 SITEPROP TIEOFF_X22Y81 IS_TEST 0 SITEPROP TIEOFF_X22Y81 IS_USED 0 SITEPROP TIEOFF_X22Y81 MANUAL_ROUTING SITEPROP TIEOFF_X22Y81 NAME TIEOFF_X22Y81 SITEPROP TIEOFF_X22Y81 NUM_ARCS 0 SITEPROP TIEOFF_X22Y81 NUM_BELS 2 SITEPROP TIEOFF_X22Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y81 NUM_PINS 2 SITEPROP TIEOFF_X22Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y81 PROHIBIT 0 SITEPROP TIEOFF_X22Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y81 RPM_X 88 SITEPROP TIEOFF_X22Y81 RPM_Y 162 SITEPROP TIEOFF_X22Y81 SITE_PIPS SITEPROP TIEOFF_X22Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y82 CLASS site SITEPROP TIEOFF_X22Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y82 IS_BONDED 0 SITEPROP TIEOFF_X22Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y82 IS_PAD 0 SITEPROP TIEOFF_X22Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y82 IS_RESERVED 0 SITEPROP TIEOFF_X22Y82 IS_TEST 0 SITEPROP TIEOFF_X22Y82 IS_USED 0 SITEPROP TIEOFF_X22Y82 MANUAL_ROUTING SITEPROP TIEOFF_X22Y82 NAME TIEOFF_X22Y82 SITEPROP TIEOFF_X22Y82 NUM_ARCS 0 SITEPROP TIEOFF_X22Y82 NUM_BELS 2 SITEPROP TIEOFF_X22Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y82 NUM_PINS 2 SITEPROP TIEOFF_X22Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y82 PROHIBIT 0 SITEPROP TIEOFF_X22Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y82 RPM_X 88 SITEPROP TIEOFF_X22Y82 RPM_Y 164 SITEPROP TIEOFF_X22Y82 SITE_PIPS SITEPROP TIEOFF_X22Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y83 CLASS site SITEPROP TIEOFF_X22Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y83 IS_BONDED 0 SITEPROP TIEOFF_X22Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y83 IS_PAD 0 SITEPROP TIEOFF_X22Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y83 IS_RESERVED 0 SITEPROP TIEOFF_X22Y83 IS_TEST 0 SITEPROP TIEOFF_X22Y83 IS_USED 0 SITEPROP TIEOFF_X22Y83 MANUAL_ROUTING SITEPROP TIEOFF_X22Y83 NAME TIEOFF_X22Y83 SITEPROP TIEOFF_X22Y83 NUM_ARCS 0 SITEPROP TIEOFF_X22Y83 NUM_BELS 2 SITEPROP TIEOFF_X22Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y83 NUM_PINS 2 SITEPROP TIEOFF_X22Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y83 PROHIBIT 0 SITEPROP TIEOFF_X22Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y83 RPM_X 88 SITEPROP TIEOFF_X22Y83 RPM_Y 166 SITEPROP TIEOFF_X22Y83 SITE_PIPS SITEPROP TIEOFF_X22Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y84 CLASS site SITEPROP TIEOFF_X22Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y84 IS_BONDED 0 SITEPROP TIEOFF_X22Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y84 IS_PAD 0 SITEPROP TIEOFF_X22Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y84 IS_RESERVED 0 SITEPROP TIEOFF_X22Y84 IS_TEST 0 SITEPROP TIEOFF_X22Y84 IS_USED 0 SITEPROP TIEOFF_X22Y84 MANUAL_ROUTING SITEPROP TIEOFF_X22Y84 NAME TIEOFF_X22Y84 SITEPROP TIEOFF_X22Y84 NUM_ARCS 0 SITEPROP TIEOFF_X22Y84 NUM_BELS 2 SITEPROP TIEOFF_X22Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y84 NUM_PINS 2 SITEPROP TIEOFF_X22Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y84 PROHIBIT 0 SITEPROP TIEOFF_X22Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y84 RPM_X 88 SITEPROP TIEOFF_X22Y84 RPM_Y 168 SITEPROP TIEOFF_X22Y84 SITE_PIPS SITEPROP TIEOFF_X22Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y85 CLASS site SITEPROP TIEOFF_X22Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y85 IS_BONDED 0 SITEPROP TIEOFF_X22Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y85 IS_PAD 0 SITEPROP TIEOFF_X22Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y85 IS_RESERVED 0 SITEPROP TIEOFF_X22Y85 IS_TEST 0 SITEPROP TIEOFF_X22Y85 IS_USED 0 SITEPROP TIEOFF_X22Y85 MANUAL_ROUTING SITEPROP TIEOFF_X22Y85 NAME TIEOFF_X22Y85 SITEPROP TIEOFF_X22Y85 NUM_ARCS 0 SITEPROP TIEOFF_X22Y85 NUM_BELS 2 SITEPROP TIEOFF_X22Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y85 NUM_PINS 2 SITEPROP TIEOFF_X22Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y85 PROHIBIT 0 SITEPROP TIEOFF_X22Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y85 RPM_X 88 SITEPROP TIEOFF_X22Y85 RPM_Y 170 SITEPROP TIEOFF_X22Y85 SITE_PIPS SITEPROP TIEOFF_X22Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y86 CLASS site SITEPROP TIEOFF_X22Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y86 IS_BONDED 0 SITEPROP TIEOFF_X22Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y86 IS_PAD 0 SITEPROP TIEOFF_X22Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y86 IS_RESERVED 0 SITEPROP TIEOFF_X22Y86 IS_TEST 0 SITEPROP TIEOFF_X22Y86 IS_USED 0 SITEPROP TIEOFF_X22Y86 MANUAL_ROUTING SITEPROP TIEOFF_X22Y86 NAME TIEOFF_X22Y86 SITEPROP TIEOFF_X22Y86 NUM_ARCS 0 SITEPROP TIEOFF_X22Y86 NUM_BELS 2 SITEPROP TIEOFF_X22Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y86 NUM_PINS 2 SITEPROP TIEOFF_X22Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y86 PROHIBIT 0 SITEPROP TIEOFF_X22Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y86 RPM_X 88 SITEPROP TIEOFF_X22Y86 RPM_Y 172 SITEPROP TIEOFF_X22Y86 SITE_PIPS SITEPROP TIEOFF_X22Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y87 CLASS site SITEPROP TIEOFF_X22Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y87 IS_BONDED 0 SITEPROP TIEOFF_X22Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y87 IS_PAD 0 SITEPROP TIEOFF_X22Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y87 IS_RESERVED 0 SITEPROP TIEOFF_X22Y87 IS_TEST 0 SITEPROP TIEOFF_X22Y87 IS_USED 0 SITEPROP TIEOFF_X22Y87 MANUAL_ROUTING SITEPROP TIEOFF_X22Y87 NAME TIEOFF_X22Y87 SITEPROP TIEOFF_X22Y87 NUM_ARCS 0 SITEPROP TIEOFF_X22Y87 NUM_BELS 2 SITEPROP TIEOFF_X22Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y87 NUM_PINS 2 SITEPROP TIEOFF_X22Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y87 PROHIBIT 0 SITEPROP TIEOFF_X22Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y87 RPM_X 88 SITEPROP TIEOFF_X22Y87 RPM_Y 174 SITEPROP TIEOFF_X22Y87 SITE_PIPS SITEPROP TIEOFF_X22Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y88 CLASS site SITEPROP TIEOFF_X22Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y88 IS_BONDED 0 SITEPROP TIEOFF_X22Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y88 IS_PAD 0 SITEPROP TIEOFF_X22Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y88 IS_RESERVED 0 SITEPROP TIEOFF_X22Y88 IS_TEST 0 SITEPROP TIEOFF_X22Y88 IS_USED 0 SITEPROP TIEOFF_X22Y88 MANUAL_ROUTING SITEPROP TIEOFF_X22Y88 NAME TIEOFF_X22Y88 SITEPROP TIEOFF_X22Y88 NUM_ARCS 0 SITEPROP TIEOFF_X22Y88 NUM_BELS 2 SITEPROP TIEOFF_X22Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y88 NUM_PINS 2 SITEPROP TIEOFF_X22Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y88 PROHIBIT 0 SITEPROP TIEOFF_X22Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y88 RPM_X 88 SITEPROP TIEOFF_X22Y88 RPM_Y 176 SITEPROP TIEOFF_X22Y88 SITE_PIPS SITEPROP TIEOFF_X22Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y89 CLASS site SITEPROP TIEOFF_X22Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y89 IS_BONDED 0 SITEPROP TIEOFF_X22Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y89 IS_PAD 0 SITEPROP TIEOFF_X22Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y89 IS_RESERVED 0 SITEPROP TIEOFF_X22Y89 IS_TEST 0 SITEPROP TIEOFF_X22Y89 IS_USED 0 SITEPROP TIEOFF_X22Y89 MANUAL_ROUTING SITEPROP TIEOFF_X22Y89 NAME TIEOFF_X22Y89 SITEPROP TIEOFF_X22Y89 NUM_ARCS 0 SITEPROP TIEOFF_X22Y89 NUM_BELS 2 SITEPROP TIEOFF_X22Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y89 NUM_PINS 2 SITEPROP TIEOFF_X22Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y89 PROHIBIT 0 SITEPROP TIEOFF_X22Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y89 RPM_X 88 SITEPROP TIEOFF_X22Y89 RPM_Y 178 SITEPROP TIEOFF_X22Y89 SITE_PIPS SITEPROP TIEOFF_X22Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y90 CLASS site SITEPROP TIEOFF_X22Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y90 IS_BONDED 0 SITEPROP TIEOFF_X22Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y90 IS_PAD 0 SITEPROP TIEOFF_X22Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y90 IS_RESERVED 0 SITEPROP TIEOFF_X22Y90 IS_TEST 0 SITEPROP TIEOFF_X22Y90 IS_USED 0 SITEPROP TIEOFF_X22Y90 MANUAL_ROUTING SITEPROP TIEOFF_X22Y90 NAME TIEOFF_X22Y90 SITEPROP TIEOFF_X22Y90 NUM_ARCS 0 SITEPROP TIEOFF_X22Y90 NUM_BELS 2 SITEPROP TIEOFF_X22Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y90 NUM_PINS 2 SITEPROP TIEOFF_X22Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y90 PROHIBIT 0 SITEPROP TIEOFF_X22Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y90 RPM_X 88 SITEPROP TIEOFF_X22Y90 RPM_Y 180 SITEPROP TIEOFF_X22Y90 SITE_PIPS SITEPROP TIEOFF_X22Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y91 CLASS site SITEPROP TIEOFF_X22Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y91 IS_BONDED 0 SITEPROP TIEOFF_X22Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y91 IS_PAD 0 SITEPROP TIEOFF_X22Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y91 IS_RESERVED 0 SITEPROP TIEOFF_X22Y91 IS_TEST 0 SITEPROP TIEOFF_X22Y91 IS_USED 0 SITEPROP TIEOFF_X22Y91 MANUAL_ROUTING SITEPROP TIEOFF_X22Y91 NAME TIEOFF_X22Y91 SITEPROP TIEOFF_X22Y91 NUM_ARCS 0 SITEPROP TIEOFF_X22Y91 NUM_BELS 2 SITEPROP TIEOFF_X22Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y91 NUM_PINS 2 SITEPROP TIEOFF_X22Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y91 PROHIBIT 0 SITEPROP TIEOFF_X22Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y91 RPM_X 88 SITEPROP TIEOFF_X22Y91 RPM_Y 182 SITEPROP TIEOFF_X22Y91 SITE_PIPS SITEPROP TIEOFF_X22Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y92 CLASS site SITEPROP TIEOFF_X22Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y92 IS_BONDED 0 SITEPROP TIEOFF_X22Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y92 IS_PAD 0 SITEPROP TIEOFF_X22Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y92 IS_RESERVED 0 SITEPROP TIEOFF_X22Y92 IS_TEST 0 SITEPROP TIEOFF_X22Y92 IS_USED 0 SITEPROP TIEOFF_X22Y92 MANUAL_ROUTING SITEPROP TIEOFF_X22Y92 NAME TIEOFF_X22Y92 SITEPROP TIEOFF_X22Y92 NUM_ARCS 0 SITEPROP TIEOFF_X22Y92 NUM_BELS 2 SITEPROP TIEOFF_X22Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y92 NUM_PINS 2 SITEPROP TIEOFF_X22Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y92 PROHIBIT 0 SITEPROP TIEOFF_X22Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y92 RPM_X 88 SITEPROP TIEOFF_X22Y92 RPM_Y 184 SITEPROP TIEOFF_X22Y92 SITE_PIPS SITEPROP TIEOFF_X22Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y93 CLASS site SITEPROP TIEOFF_X22Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y93 IS_BONDED 0 SITEPROP TIEOFF_X22Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y93 IS_PAD 0 SITEPROP TIEOFF_X22Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y93 IS_RESERVED 0 SITEPROP TIEOFF_X22Y93 IS_TEST 0 SITEPROP TIEOFF_X22Y93 IS_USED 0 SITEPROP TIEOFF_X22Y93 MANUAL_ROUTING SITEPROP TIEOFF_X22Y93 NAME TIEOFF_X22Y93 SITEPROP TIEOFF_X22Y93 NUM_ARCS 0 SITEPROP TIEOFF_X22Y93 NUM_BELS 2 SITEPROP TIEOFF_X22Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y93 NUM_PINS 2 SITEPROP TIEOFF_X22Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y93 PROHIBIT 0 SITEPROP TIEOFF_X22Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y93 RPM_X 88 SITEPROP TIEOFF_X22Y93 RPM_Y 186 SITEPROP TIEOFF_X22Y93 SITE_PIPS SITEPROP TIEOFF_X22Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y94 CLASS site SITEPROP TIEOFF_X22Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y94 IS_BONDED 0 SITEPROP TIEOFF_X22Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y94 IS_PAD 0 SITEPROP TIEOFF_X22Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y94 IS_RESERVED 0 SITEPROP TIEOFF_X22Y94 IS_TEST 0 SITEPROP TIEOFF_X22Y94 IS_USED 0 SITEPROP TIEOFF_X22Y94 MANUAL_ROUTING SITEPROP TIEOFF_X22Y94 NAME TIEOFF_X22Y94 SITEPROP TIEOFF_X22Y94 NUM_ARCS 0 SITEPROP TIEOFF_X22Y94 NUM_BELS 2 SITEPROP TIEOFF_X22Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y94 NUM_PINS 2 SITEPROP TIEOFF_X22Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y94 PROHIBIT 0 SITEPROP TIEOFF_X22Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y94 RPM_X 88 SITEPROP TIEOFF_X22Y94 RPM_Y 188 SITEPROP TIEOFF_X22Y94 SITE_PIPS SITEPROP TIEOFF_X22Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y95 CLASS site SITEPROP TIEOFF_X22Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y95 IS_BONDED 0 SITEPROP TIEOFF_X22Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y95 IS_PAD 0 SITEPROP TIEOFF_X22Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y95 IS_RESERVED 0 SITEPROP TIEOFF_X22Y95 IS_TEST 0 SITEPROP TIEOFF_X22Y95 IS_USED 0 SITEPROP TIEOFF_X22Y95 MANUAL_ROUTING SITEPROP TIEOFF_X22Y95 NAME TIEOFF_X22Y95 SITEPROP TIEOFF_X22Y95 NUM_ARCS 0 SITEPROP TIEOFF_X22Y95 NUM_BELS 2 SITEPROP TIEOFF_X22Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y95 NUM_PINS 2 SITEPROP TIEOFF_X22Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y95 PROHIBIT 0 SITEPROP TIEOFF_X22Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y95 RPM_X 88 SITEPROP TIEOFF_X22Y95 RPM_Y 190 SITEPROP TIEOFF_X22Y95 SITE_PIPS SITEPROP TIEOFF_X22Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y96 CLASS site SITEPROP TIEOFF_X22Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y96 IS_BONDED 0 SITEPROP TIEOFF_X22Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y96 IS_PAD 0 SITEPROP TIEOFF_X22Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y96 IS_RESERVED 0 SITEPROP TIEOFF_X22Y96 IS_TEST 0 SITEPROP TIEOFF_X22Y96 IS_USED 0 SITEPROP TIEOFF_X22Y96 MANUAL_ROUTING SITEPROP TIEOFF_X22Y96 NAME TIEOFF_X22Y96 SITEPROP TIEOFF_X22Y96 NUM_ARCS 0 SITEPROP TIEOFF_X22Y96 NUM_BELS 2 SITEPROP TIEOFF_X22Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y96 NUM_PINS 2 SITEPROP TIEOFF_X22Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y96 PROHIBIT 0 SITEPROP TIEOFF_X22Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y96 RPM_X 88 SITEPROP TIEOFF_X22Y96 RPM_Y 192 SITEPROP TIEOFF_X22Y96 SITE_PIPS SITEPROP TIEOFF_X22Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y97 CLASS site SITEPROP TIEOFF_X22Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y97 IS_BONDED 0 SITEPROP TIEOFF_X22Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y97 IS_PAD 0 SITEPROP TIEOFF_X22Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y97 IS_RESERVED 0 SITEPROP TIEOFF_X22Y97 IS_TEST 0 SITEPROP TIEOFF_X22Y97 IS_USED 0 SITEPROP TIEOFF_X22Y97 MANUAL_ROUTING SITEPROP TIEOFF_X22Y97 NAME TIEOFF_X22Y97 SITEPROP TIEOFF_X22Y97 NUM_ARCS 0 SITEPROP TIEOFF_X22Y97 NUM_BELS 2 SITEPROP TIEOFF_X22Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y97 NUM_PINS 2 SITEPROP TIEOFF_X22Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y97 PROHIBIT 0 SITEPROP TIEOFF_X22Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y97 RPM_X 88 SITEPROP TIEOFF_X22Y97 RPM_Y 194 SITEPROP TIEOFF_X22Y97 SITE_PIPS SITEPROP TIEOFF_X22Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y98 CLASS site SITEPROP TIEOFF_X22Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y98 IS_BONDED 0 SITEPROP TIEOFF_X22Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y98 IS_PAD 0 SITEPROP TIEOFF_X22Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y98 IS_RESERVED 0 SITEPROP TIEOFF_X22Y98 IS_TEST 0 SITEPROP TIEOFF_X22Y98 IS_USED 0 SITEPROP TIEOFF_X22Y98 MANUAL_ROUTING SITEPROP TIEOFF_X22Y98 NAME TIEOFF_X22Y98 SITEPROP TIEOFF_X22Y98 NUM_ARCS 0 SITEPROP TIEOFF_X22Y98 NUM_BELS 2 SITEPROP TIEOFF_X22Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y98 NUM_PINS 2 SITEPROP TIEOFF_X22Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y98 PROHIBIT 0 SITEPROP TIEOFF_X22Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y98 RPM_X 88 SITEPROP TIEOFF_X22Y98 RPM_Y 196 SITEPROP TIEOFF_X22Y98 SITE_PIPS SITEPROP TIEOFF_X22Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y99 CLASS site SITEPROP TIEOFF_X22Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X22Y99 IS_BONDED 0 SITEPROP TIEOFF_X22Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y99 IS_PAD 0 SITEPROP TIEOFF_X22Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y99 IS_RESERVED 0 SITEPROP TIEOFF_X22Y99 IS_TEST 0 SITEPROP TIEOFF_X22Y99 IS_USED 0 SITEPROP TIEOFF_X22Y99 MANUAL_ROUTING SITEPROP TIEOFF_X22Y99 NAME TIEOFF_X22Y99 SITEPROP TIEOFF_X22Y99 NUM_ARCS 0 SITEPROP TIEOFF_X22Y99 NUM_BELS 2 SITEPROP TIEOFF_X22Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y99 NUM_PINS 2 SITEPROP TIEOFF_X22Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y99 PROHIBIT 0 SITEPROP TIEOFF_X22Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y99 RPM_X 88 SITEPROP TIEOFF_X22Y99 RPM_Y 198 SITEPROP TIEOFF_X22Y99 SITE_PIPS SITEPROP TIEOFF_X22Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y100 CLASS site SITEPROP TIEOFF_X22Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y100 IS_BONDED 0 SITEPROP TIEOFF_X22Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y100 IS_PAD 0 SITEPROP TIEOFF_X22Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y100 IS_RESERVED 0 SITEPROP TIEOFF_X22Y100 IS_TEST 0 SITEPROP TIEOFF_X22Y100 IS_USED 0 SITEPROP TIEOFF_X22Y100 MANUAL_ROUTING SITEPROP TIEOFF_X22Y100 NAME TIEOFF_X22Y100 SITEPROP TIEOFF_X22Y100 NUM_ARCS 0 SITEPROP TIEOFF_X22Y100 NUM_BELS 2 SITEPROP TIEOFF_X22Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y100 NUM_PINS 2 SITEPROP TIEOFF_X22Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y100 PROHIBIT 0 SITEPROP TIEOFF_X22Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y100 RPM_X 88 SITEPROP TIEOFF_X22Y100 RPM_Y 200 SITEPROP TIEOFF_X22Y100 SITE_PIPS SITEPROP TIEOFF_X22Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y101 CLASS site SITEPROP TIEOFF_X22Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y101 IS_BONDED 0 SITEPROP TIEOFF_X22Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y101 IS_PAD 0 SITEPROP TIEOFF_X22Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y101 IS_RESERVED 0 SITEPROP TIEOFF_X22Y101 IS_TEST 0 SITEPROP TIEOFF_X22Y101 IS_USED 0 SITEPROP TIEOFF_X22Y101 MANUAL_ROUTING SITEPROP TIEOFF_X22Y101 NAME TIEOFF_X22Y101 SITEPROP TIEOFF_X22Y101 NUM_ARCS 0 SITEPROP TIEOFF_X22Y101 NUM_BELS 2 SITEPROP TIEOFF_X22Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y101 NUM_PINS 2 SITEPROP TIEOFF_X22Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y101 PROHIBIT 0 SITEPROP TIEOFF_X22Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y101 RPM_X 88 SITEPROP TIEOFF_X22Y101 RPM_Y 202 SITEPROP TIEOFF_X22Y101 SITE_PIPS SITEPROP TIEOFF_X22Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y102 CLASS site SITEPROP TIEOFF_X22Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y102 IS_BONDED 0 SITEPROP TIEOFF_X22Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y102 IS_PAD 0 SITEPROP TIEOFF_X22Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y102 IS_RESERVED 0 SITEPROP TIEOFF_X22Y102 IS_TEST 0 SITEPROP TIEOFF_X22Y102 IS_USED 0 SITEPROP TIEOFF_X22Y102 MANUAL_ROUTING SITEPROP TIEOFF_X22Y102 NAME TIEOFF_X22Y102 SITEPROP TIEOFF_X22Y102 NUM_ARCS 0 SITEPROP TIEOFF_X22Y102 NUM_BELS 2 SITEPROP TIEOFF_X22Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y102 NUM_PINS 2 SITEPROP TIEOFF_X22Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y102 PROHIBIT 0 SITEPROP TIEOFF_X22Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y102 RPM_X 88 SITEPROP TIEOFF_X22Y102 RPM_Y 204 SITEPROP TIEOFF_X22Y102 SITE_PIPS SITEPROP TIEOFF_X22Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y103 CLASS site SITEPROP TIEOFF_X22Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y103 IS_BONDED 0 SITEPROP TIEOFF_X22Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y103 IS_PAD 0 SITEPROP TIEOFF_X22Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y103 IS_RESERVED 0 SITEPROP TIEOFF_X22Y103 IS_TEST 0 SITEPROP TIEOFF_X22Y103 IS_USED 0 SITEPROP TIEOFF_X22Y103 MANUAL_ROUTING SITEPROP TIEOFF_X22Y103 NAME TIEOFF_X22Y103 SITEPROP TIEOFF_X22Y103 NUM_ARCS 0 SITEPROP TIEOFF_X22Y103 NUM_BELS 2 SITEPROP TIEOFF_X22Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y103 NUM_PINS 2 SITEPROP TIEOFF_X22Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y103 PROHIBIT 0 SITEPROP TIEOFF_X22Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y103 RPM_X 88 SITEPROP TIEOFF_X22Y103 RPM_Y 206 SITEPROP TIEOFF_X22Y103 SITE_PIPS SITEPROP TIEOFF_X22Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y104 CLASS site SITEPROP TIEOFF_X22Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y104 IS_BONDED 0 SITEPROP TIEOFF_X22Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y104 IS_PAD 0 SITEPROP TIEOFF_X22Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y104 IS_RESERVED 0 SITEPROP TIEOFF_X22Y104 IS_TEST 0 SITEPROP TIEOFF_X22Y104 IS_USED 0 SITEPROP TIEOFF_X22Y104 MANUAL_ROUTING SITEPROP TIEOFF_X22Y104 NAME TIEOFF_X22Y104 SITEPROP TIEOFF_X22Y104 NUM_ARCS 0 SITEPROP TIEOFF_X22Y104 NUM_BELS 2 SITEPROP TIEOFF_X22Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y104 NUM_PINS 2 SITEPROP TIEOFF_X22Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y104 PROHIBIT 0 SITEPROP TIEOFF_X22Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y104 RPM_X 88 SITEPROP TIEOFF_X22Y104 RPM_Y 208 SITEPROP TIEOFF_X22Y104 SITE_PIPS SITEPROP TIEOFF_X22Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y105 CLASS site SITEPROP TIEOFF_X22Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y105 IS_BONDED 0 SITEPROP TIEOFF_X22Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y105 IS_PAD 0 SITEPROP TIEOFF_X22Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y105 IS_RESERVED 0 SITEPROP TIEOFF_X22Y105 IS_TEST 0 SITEPROP TIEOFF_X22Y105 IS_USED 0 SITEPROP TIEOFF_X22Y105 MANUAL_ROUTING SITEPROP TIEOFF_X22Y105 NAME TIEOFF_X22Y105 SITEPROP TIEOFF_X22Y105 NUM_ARCS 0 SITEPROP TIEOFF_X22Y105 NUM_BELS 2 SITEPROP TIEOFF_X22Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y105 NUM_PINS 2 SITEPROP TIEOFF_X22Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y105 PROHIBIT 0 SITEPROP TIEOFF_X22Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y105 RPM_X 88 SITEPROP TIEOFF_X22Y105 RPM_Y 210 SITEPROP TIEOFF_X22Y105 SITE_PIPS SITEPROP TIEOFF_X22Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y106 CLASS site SITEPROP TIEOFF_X22Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y106 IS_BONDED 0 SITEPROP TIEOFF_X22Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y106 IS_PAD 0 SITEPROP TIEOFF_X22Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y106 IS_RESERVED 0 SITEPROP TIEOFF_X22Y106 IS_TEST 0 SITEPROP TIEOFF_X22Y106 IS_USED 0 SITEPROP TIEOFF_X22Y106 MANUAL_ROUTING SITEPROP TIEOFF_X22Y106 NAME TIEOFF_X22Y106 SITEPROP TIEOFF_X22Y106 NUM_ARCS 0 SITEPROP TIEOFF_X22Y106 NUM_BELS 2 SITEPROP TIEOFF_X22Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y106 NUM_PINS 2 SITEPROP TIEOFF_X22Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y106 PROHIBIT 0 SITEPROP TIEOFF_X22Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y106 RPM_X 88 SITEPROP TIEOFF_X22Y106 RPM_Y 212 SITEPROP TIEOFF_X22Y106 SITE_PIPS SITEPROP TIEOFF_X22Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y107 CLASS site SITEPROP TIEOFF_X22Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y107 IS_BONDED 0 SITEPROP TIEOFF_X22Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y107 IS_PAD 0 SITEPROP TIEOFF_X22Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y107 IS_RESERVED 0 SITEPROP TIEOFF_X22Y107 IS_TEST 0 SITEPROP TIEOFF_X22Y107 IS_USED 0 SITEPROP TIEOFF_X22Y107 MANUAL_ROUTING SITEPROP TIEOFF_X22Y107 NAME TIEOFF_X22Y107 SITEPROP TIEOFF_X22Y107 NUM_ARCS 0 SITEPROP TIEOFF_X22Y107 NUM_BELS 2 SITEPROP TIEOFF_X22Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y107 NUM_PINS 2 SITEPROP TIEOFF_X22Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y107 PROHIBIT 0 SITEPROP TIEOFF_X22Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y107 RPM_X 88 SITEPROP TIEOFF_X22Y107 RPM_Y 214 SITEPROP TIEOFF_X22Y107 SITE_PIPS SITEPROP TIEOFF_X22Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y108 CLASS site SITEPROP TIEOFF_X22Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y108 IS_BONDED 0 SITEPROP TIEOFF_X22Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y108 IS_PAD 0 SITEPROP TIEOFF_X22Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y108 IS_RESERVED 0 SITEPROP TIEOFF_X22Y108 IS_TEST 0 SITEPROP TIEOFF_X22Y108 IS_USED 0 SITEPROP TIEOFF_X22Y108 MANUAL_ROUTING SITEPROP TIEOFF_X22Y108 NAME TIEOFF_X22Y108 SITEPROP TIEOFF_X22Y108 NUM_ARCS 0 SITEPROP TIEOFF_X22Y108 NUM_BELS 2 SITEPROP TIEOFF_X22Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y108 NUM_PINS 2 SITEPROP TIEOFF_X22Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y108 PROHIBIT 0 SITEPROP TIEOFF_X22Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y108 RPM_X 88 SITEPROP TIEOFF_X22Y108 RPM_Y 216 SITEPROP TIEOFF_X22Y108 SITE_PIPS SITEPROP TIEOFF_X22Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y109 CLASS site SITEPROP TIEOFF_X22Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y109 IS_BONDED 0 SITEPROP TIEOFF_X22Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y109 IS_PAD 0 SITEPROP TIEOFF_X22Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y109 IS_RESERVED 0 SITEPROP TIEOFF_X22Y109 IS_TEST 0 SITEPROP TIEOFF_X22Y109 IS_USED 0 SITEPROP TIEOFF_X22Y109 MANUAL_ROUTING SITEPROP TIEOFF_X22Y109 NAME TIEOFF_X22Y109 SITEPROP TIEOFF_X22Y109 NUM_ARCS 0 SITEPROP TIEOFF_X22Y109 NUM_BELS 2 SITEPROP TIEOFF_X22Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y109 NUM_PINS 2 SITEPROP TIEOFF_X22Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y109 PROHIBIT 0 SITEPROP TIEOFF_X22Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y109 RPM_X 88 SITEPROP TIEOFF_X22Y109 RPM_Y 218 SITEPROP TIEOFF_X22Y109 SITE_PIPS SITEPROP TIEOFF_X22Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y110 CLASS site SITEPROP TIEOFF_X22Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y110 IS_BONDED 0 SITEPROP TIEOFF_X22Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y110 IS_PAD 0 SITEPROP TIEOFF_X22Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y110 IS_RESERVED 0 SITEPROP TIEOFF_X22Y110 IS_TEST 0 SITEPROP TIEOFF_X22Y110 IS_USED 0 SITEPROP TIEOFF_X22Y110 MANUAL_ROUTING SITEPROP TIEOFF_X22Y110 NAME TIEOFF_X22Y110 SITEPROP TIEOFF_X22Y110 NUM_ARCS 0 SITEPROP TIEOFF_X22Y110 NUM_BELS 2 SITEPROP TIEOFF_X22Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y110 NUM_PINS 2 SITEPROP TIEOFF_X22Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y110 PROHIBIT 0 SITEPROP TIEOFF_X22Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y110 RPM_X 88 SITEPROP TIEOFF_X22Y110 RPM_Y 220 SITEPROP TIEOFF_X22Y110 SITE_PIPS SITEPROP TIEOFF_X22Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y111 CLASS site SITEPROP TIEOFF_X22Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y111 IS_BONDED 0 SITEPROP TIEOFF_X22Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y111 IS_PAD 0 SITEPROP TIEOFF_X22Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y111 IS_RESERVED 0 SITEPROP TIEOFF_X22Y111 IS_TEST 0 SITEPROP TIEOFF_X22Y111 IS_USED 0 SITEPROP TIEOFF_X22Y111 MANUAL_ROUTING SITEPROP TIEOFF_X22Y111 NAME TIEOFF_X22Y111 SITEPROP TIEOFF_X22Y111 NUM_ARCS 0 SITEPROP TIEOFF_X22Y111 NUM_BELS 2 SITEPROP TIEOFF_X22Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y111 NUM_PINS 2 SITEPROP TIEOFF_X22Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y111 PROHIBIT 0 SITEPROP TIEOFF_X22Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y111 RPM_X 88 SITEPROP TIEOFF_X22Y111 RPM_Y 222 SITEPROP TIEOFF_X22Y111 SITE_PIPS SITEPROP TIEOFF_X22Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y112 CLASS site SITEPROP TIEOFF_X22Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y112 IS_BONDED 0 SITEPROP TIEOFF_X22Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y112 IS_PAD 0 SITEPROP TIEOFF_X22Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y112 IS_RESERVED 0 SITEPROP TIEOFF_X22Y112 IS_TEST 0 SITEPROP TIEOFF_X22Y112 IS_USED 0 SITEPROP TIEOFF_X22Y112 MANUAL_ROUTING SITEPROP TIEOFF_X22Y112 NAME TIEOFF_X22Y112 SITEPROP TIEOFF_X22Y112 NUM_ARCS 0 SITEPROP TIEOFF_X22Y112 NUM_BELS 2 SITEPROP TIEOFF_X22Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y112 NUM_PINS 2 SITEPROP TIEOFF_X22Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y112 PROHIBIT 0 SITEPROP TIEOFF_X22Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y112 RPM_X 88 SITEPROP TIEOFF_X22Y112 RPM_Y 224 SITEPROP TIEOFF_X22Y112 SITE_PIPS SITEPROP TIEOFF_X22Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y113 CLASS site SITEPROP TIEOFF_X22Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y113 IS_BONDED 0 SITEPROP TIEOFF_X22Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y113 IS_PAD 0 SITEPROP TIEOFF_X22Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y113 IS_RESERVED 0 SITEPROP TIEOFF_X22Y113 IS_TEST 0 SITEPROP TIEOFF_X22Y113 IS_USED 0 SITEPROP TIEOFF_X22Y113 MANUAL_ROUTING SITEPROP TIEOFF_X22Y113 NAME TIEOFF_X22Y113 SITEPROP TIEOFF_X22Y113 NUM_ARCS 0 SITEPROP TIEOFF_X22Y113 NUM_BELS 2 SITEPROP TIEOFF_X22Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y113 NUM_PINS 2 SITEPROP TIEOFF_X22Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y113 PROHIBIT 0 SITEPROP TIEOFF_X22Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y113 RPM_X 88 SITEPROP TIEOFF_X22Y113 RPM_Y 226 SITEPROP TIEOFF_X22Y113 SITE_PIPS SITEPROP TIEOFF_X22Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y114 CLASS site SITEPROP TIEOFF_X22Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y114 IS_BONDED 0 SITEPROP TIEOFF_X22Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y114 IS_PAD 0 SITEPROP TIEOFF_X22Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y114 IS_RESERVED 0 SITEPROP TIEOFF_X22Y114 IS_TEST 0 SITEPROP TIEOFF_X22Y114 IS_USED 0 SITEPROP TIEOFF_X22Y114 MANUAL_ROUTING SITEPROP TIEOFF_X22Y114 NAME TIEOFF_X22Y114 SITEPROP TIEOFF_X22Y114 NUM_ARCS 0 SITEPROP TIEOFF_X22Y114 NUM_BELS 2 SITEPROP TIEOFF_X22Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y114 NUM_PINS 2 SITEPROP TIEOFF_X22Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y114 PROHIBIT 0 SITEPROP TIEOFF_X22Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y114 RPM_X 88 SITEPROP TIEOFF_X22Y114 RPM_Y 228 SITEPROP TIEOFF_X22Y114 SITE_PIPS SITEPROP TIEOFF_X22Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y115 CLASS site SITEPROP TIEOFF_X22Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y115 IS_BONDED 0 SITEPROP TIEOFF_X22Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y115 IS_PAD 0 SITEPROP TIEOFF_X22Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y115 IS_RESERVED 0 SITEPROP TIEOFF_X22Y115 IS_TEST 0 SITEPROP TIEOFF_X22Y115 IS_USED 0 SITEPROP TIEOFF_X22Y115 MANUAL_ROUTING SITEPROP TIEOFF_X22Y115 NAME TIEOFF_X22Y115 SITEPROP TIEOFF_X22Y115 NUM_ARCS 0 SITEPROP TIEOFF_X22Y115 NUM_BELS 2 SITEPROP TIEOFF_X22Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y115 NUM_PINS 2 SITEPROP TIEOFF_X22Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y115 PROHIBIT 0 SITEPROP TIEOFF_X22Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y115 RPM_X 88 SITEPROP TIEOFF_X22Y115 RPM_Y 230 SITEPROP TIEOFF_X22Y115 SITE_PIPS SITEPROP TIEOFF_X22Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y116 CLASS site SITEPROP TIEOFF_X22Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y116 IS_BONDED 0 SITEPROP TIEOFF_X22Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y116 IS_PAD 0 SITEPROP TIEOFF_X22Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y116 IS_RESERVED 0 SITEPROP TIEOFF_X22Y116 IS_TEST 0 SITEPROP TIEOFF_X22Y116 IS_USED 0 SITEPROP TIEOFF_X22Y116 MANUAL_ROUTING SITEPROP TIEOFF_X22Y116 NAME TIEOFF_X22Y116 SITEPROP TIEOFF_X22Y116 NUM_ARCS 0 SITEPROP TIEOFF_X22Y116 NUM_BELS 2 SITEPROP TIEOFF_X22Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y116 NUM_PINS 2 SITEPROP TIEOFF_X22Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y116 PROHIBIT 0 SITEPROP TIEOFF_X22Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y116 RPM_X 88 SITEPROP TIEOFF_X22Y116 RPM_Y 232 SITEPROP TIEOFF_X22Y116 SITE_PIPS SITEPROP TIEOFF_X22Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y117 CLASS site SITEPROP TIEOFF_X22Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y117 IS_BONDED 0 SITEPROP TIEOFF_X22Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y117 IS_PAD 0 SITEPROP TIEOFF_X22Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y117 IS_RESERVED 0 SITEPROP TIEOFF_X22Y117 IS_TEST 0 SITEPROP TIEOFF_X22Y117 IS_USED 0 SITEPROP TIEOFF_X22Y117 MANUAL_ROUTING SITEPROP TIEOFF_X22Y117 NAME TIEOFF_X22Y117 SITEPROP TIEOFF_X22Y117 NUM_ARCS 0 SITEPROP TIEOFF_X22Y117 NUM_BELS 2 SITEPROP TIEOFF_X22Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y117 NUM_PINS 2 SITEPROP TIEOFF_X22Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y117 PROHIBIT 0 SITEPROP TIEOFF_X22Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y117 RPM_X 88 SITEPROP TIEOFF_X22Y117 RPM_Y 234 SITEPROP TIEOFF_X22Y117 SITE_PIPS SITEPROP TIEOFF_X22Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y118 CLASS site SITEPROP TIEOFF_X22Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y118 IS_BONDED 0 SITEPROP TIEOFF_X22Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y118 IS_PAD 0 SITEPROP TIEOFF_X22Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y118 IS_RESERVED 0 SITEPROP TIEOFF_X22Y118 IS_TEST 0 SITEPROP TIEOFF_X22Y118 IS_USED 0 SITEPROP TIEOFF_X22Y118 MANUAL_ROUTING SITEPROP TIEOFF_X22Y118 NAME TIEOFF_X22Y118 SITEPROP TIEOFF_X22Y118 NUM_ARCS 0 SITEPROP TIEOFF_X22Y118 NUM_BELS 2 SITEPROP TIEOFF_X22Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y118 NUM_PINS 2 SITEPROP TIEOFF_X22Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y118 PROHIBIT 0 SITEPROP TIEOFF_X22Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y118 RPM_X 88 SITEPROP TIEOFF_X22Y118 RPM_Y 236 SITEPROP TIEOFF_X22Y118 SITE_PIPS SITEPROP TIEOFF_X22Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y119 CLASS site SITEPROP TIEOFF_X22Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y119 IS_BONDED 0 SITEPROP TIEOFF_X22Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y119 IS_PAD 0 SITEPROP TIEOFF_X22Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y119 IS_RESERVED 0 SITEPROP TIEOFF_X22Y119 IS_TEST 0 SITEPROP TIEOFF_X22Y119 IS_USED 0 SITEPROP TIEOFF_X22Y119 MANUAL_ROUTING SITEPROP TIEOFF_X22Y119 NAME TIEOFF_X22Y119 SITEPROP TIEOFF_X22Y119 NUM_ARCS 0 SITEPROP TIEOFF_X22Y119 NUM_BELS 2 SITEPROP TIEOFF_X22Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y119 NUM_PINS 2 SITEPROP TIEOFF_X22Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y119 PROHIBIT 0 SITEPROP TIEOFF_X22Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y119 RPM_X 88 SITEPROP TIEOFF_X22Y119 RPM_Y 238 SITEPROP TIEOFF_X22Y119 SITE_PIPS SITEPROP TIEOFF_X22Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y120 CLASS site SITEPROP TIEOFF_X22Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y120 IS_BONDED 0 SITEPROP TIEOFF_X22Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y120 IS_PAD 0 SITEPROP TIEOFF_X22Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y120 IS_RESERVED 0 SITEPROP TIEOFF_X22Y120 IS_TEST 0 SITEPROP TIEOFF_X22Y120 IS_USED 0 SITEPROP TIEOFF_X22Y120 MANUAL_ROUTING SITEPROP TIEOFF_X22Y120 NAME TIEOFF_X22Y120 SITEPROP TIEOFF_X22Y120 NUM_ARCS 0 SITEPROP TIEOFF_X22Y120 NUM_BELS 2 SITEPROP TIEOFF_X22Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y120 NUM_PINS 2 SITEPROP TIEOFF_X22Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y120 PROHIBIT 0 SITEPROP TIEOFF_X22Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y120 RPM_X 88 SITEPROP TIEOFF_X22Y120 RPM_Y 240 SITEPROP TIEOFF_X22Y120 SITE_PIPS SITEPROP TIEOFF_X22Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y121 CLASS site SITEPROP TIEOFF_X22Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y121 IS_BONDED 0 SITEPROP TIEOFF_X22Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y121 IS_PAD 0 SITEPROP TIEOFF_X22Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y121 IS_RESERVED 0 SITEPROP TIEOFF_X22Y121 IS_TEST 0 SITEPROP TIEOFF_X22Y121 IS_USED 0 SITEPROP TIEOFF_X22Y121 MANUAL_ROUTING SITEPROP TIEOFF_X22Y121 NAME TIEOFF_X22Y121 SITEPROP TIEOFF_X22Y121 NUM_ARCS 0 SITEPROP TIEOFF_X22Y121 NUM_BELS 2 SITEPROP TIEOFF_X22Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y121 NUM_PINS 2 SITEPROP TIEOFF_X22Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y121 PROHIBIT 0 SITEPROP TIEOFF_X22Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y121 RPM_X 88 SITEPROP TIEOFF_X22Y121 RPM_Y 242 SITEPROP TIEOFF_X22Y121 SITE_PIPS SITEPROP TIEOFF_X22Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y122 CLASS site SITEPROP TIEOFF_X22Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y122 IS_BONDED 0 SITEPROP TIEOFF_X22Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y122 IS_PAD 0 SITEPROP TIEOFF_X22Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y122 IS_RESERVED 0 SITEPROP TIEOFF_X22Y122 IS_TEST 0 SITEPROP TIEOFF_X22Y122 IS_USED 0 SITEPROP TIEOFF_X22Y122 MANUAL_ROUTING SITEPROP TIEOFF_X22Y122 NAME TIEOFF_X22Y122 SITEPROP TIEOFF_X22Y122 NUM_ARCS 0 SITEPROP TIEOFF_X22Y122 NUM_BELS 2 SITEPROP TIEOFF_X22Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y122 NUM_PINS 2 SITEPROP TIEOFF_X22Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y122 PROHIBIT 0 SITEPROP TIEOFF_X22Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y122 RPM_X 88 SITEPROP TIEOFF_X22Y122 RPM_Y 244 SITEPROP TIEOFF_X22Y122 SITE_PIPS SITEPROP TIEOFF_X22Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y123 CLASS site SITEPROP TIEOFF_X22Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y123 IS_BONDED 0 SITEPROP TIEOFF_X22Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y123 IS_PAD 0 SITEPROP TIEOFF_X22Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y123 IS_RESERVED 0 SITEPROP TIEOFF_X22Y123 IS_TEST 0 SITEPROP TIEOFF_X22Y123 IS_USED 0 SITEPROP TIEOFF_X22Y123 MANUAL_ROUTING SITEPROP TIEOFF_X22Y123 NAME TIEOFF_X22Y123 SITEPROP TIEOFF_X22Y123 NUM_ARCS 0 SITEPROP TIEOFF_X22Y123 NUM_BELS 2 SITEPROP TIEOFF_X22Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y123 NUM_PINS 2 SITEPROP TIEOFF_X22Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y123 PROHIBIT 0 SITEPROP TIEOFF_X22Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y123 RPM_X 88 SITEPROP TIEOFF_X22Y123 RPM_Y 246 SITEPROP TIEOFF_X22Y123 SITE_PIPS SITEPROP TIEOFF_X22Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y124 CLASS site SITEPROP TIEOFF_X22Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y124 IS_BONDED 0 SITEPROP TIEOFF_X22Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y124 IS_PAD 0 SITEPROP TIEOFF_X22Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y124 IS_RESERVED 0 SITEPROP TIEOFF_X22Y124 IS_TEST 0 SITEPROP TIEOFF_X22Y124 IS_USED 0 SITEPROP TIEOFF_X22Y124 MANUAL_ROUTING SITEPROP TIEOFF_X22Y124 NAME TIEOFF_X22Y124 SITEPROP TIEOFF_X22Y124 NUM_ARCS 0 SITEPROP TIEOFF_X22Y124 NUM_BELS 2 SITEPROP TIEOFF_X22Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y124 NUM_PINS 2 SITEPROP TIEOFF_X22Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y124 PROHIBIT 0 SITEPROP TIEOFF_X22Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y124 RPM_X 88 SITEPROP TIEOFF_X22Y124 RPM_Y 248 SITEPROP TIEOFF_X22Y124 SITE_PIPS SITEPROP TIEOFF_X22Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y125 CLASS site SITEPROP TIEOFF_X22Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y125 IS_BONDED 0 SITEPROP TIEOFF_X22Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y125 IS_PAD 0 SITEPROP TIEOFF_X22Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y125 IS_RESERVED 0 SITEPROP TIEOFF_X22Y125 IS_TEST 0 SITEPROP TIEOFF_X22Y125 IS_USED 0 SITEPROP TIEOFF_X22Y125 MANUAL_ROUTING SITEPROP TIEOFF_X22Y125 NAME TIEOFF_X22Y125 SITEPROP TIEOFF_X22Y125 NUM_ARCS 0 SITEPROP TIEOFF_X22Y125 NUM_BELS 2 SITEPROP TIEOFF_X22Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y125 NUM_PINS 2 SITEPROP TIEOFF_X22Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y125 PROHIBIT 0 SITEPROP TIEOFF_X22Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y125 RPM_X 88 SITEPROP TIEOFF_X22Y125 RPM_Y 250 SITEPROP TIEOFF_X22Y125 SITE_PIPS SITEPROP TIEOFF_X22Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y126 CLASS site SITEPROP TIEOFF_X22Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y126 IS_BONDED 0 SITEPROP TIEOFF_X22Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y126 IS_PAD 0 SITEPROP TIEOFF_X22Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y126 IS_RESERVED 0 SITEPROP TIEOFF_X22Y126 IS_TEST 0 SITEPROP TIEOFF_X22Y126 IS_USED 0 SITEPROP TIEOFF_X22Y126 MANUAL_ROUTING SITEPROP TIEOFF_X22Y126 NAME TIEOFF_X22Y126 SITEPROP TIEOFF_X22Y126 NUM_ARCS 0 SITEPROP TIEOFF_X22Y126 NUM_BELS 2 SITEPROP TIEOFF_X22Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y126 NUM_PINS 2 SITEPROP TIEOFF_X22Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y126 PROHIBIT 0 SITEPROP TIEOFF_X22Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y126 RPM_X 88 SITEPROP TIEOFF_X22Y126 RPM_Y 252 SITEPROP TIEOFF_X22Y126 SITE_PIPS SITEPROP TIEOFF_X22Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y127 CLASS site SITEPROP TIEOFF_X22Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y127 IS_BONDED 0 SITEPROP TIEOFF_X22Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y127 IS_PAD 0 SITEPROP TIEOFF_X22Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y127 IS_RESERVED 0 SITEPROP TIEOFF_X22Y127 IS_TEST 0 SITEPROP TIEOFF_X22Y127 IS_USED 0 SITEPROP TIEOFF_X22Y127 MANUAL_ROUTING SITEPROP TIEOFF_X22Y127 NAME TIEOFF_X22Y127 SITEPROP TIEOFF_X22Y127 NUM_ARCS 0 SITEPROP TIEOFF_X22Y127 NUM_BELS 2 SITEPROP TIEOFF_X22Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y127 NUM_PINS 2 SITEPROP TIEOFF_X22Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y127 PROHIBIT 0 SITEPROP TIEOFF_X22Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y127 RPM_X 88 SITEPROP TIEOFF_X22Y127 RPM_Y 254 SITEPROP TIEOFF_X22Y127 SITE_PIPS SITEPROP TIEOFF_X22Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y128 CLASS site SITEPROP TIEOFF_X22Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y128 IS_BONDED 0 SITEPROP TIEOFF_X22Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y128 IS_PAD 0 SITEPROP TIEOFF_X22Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y128 IS_RESERVED 0 SITEPROP TIEOFF_X22Y128 IS_TEST 0 SITEPROP TIEOFF_X22Y128 IS_USED 0 SITEPROP TIEOFF_X22Y128 MANUAL_ROUTING SITEPROP TIEOFF_X22Y128 NAME TIEOFF_X22Y128 SITEPROP TIEOFF_X22Y128 NUM_ARCS 0 SITEPROP TIEOFF_X22Y128 NUM_BELS 2 SITEPROP TIEOFF_X22Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y128 NUM_PINS 2 SITEPROP TIEOFF_X22Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y128 PROHIBIT 0 SITEPROP TIEOFF_X22Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y128 RPM_X 88 SITEPROP TIEOFF_X22Y128 RPM_Y 256 SITEPROP TIEOFF_X22Y128 SITE_PIPS SITEPROP TIEOFF_X22Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y129 CLASS site SITEPROP TIEOFF_X22Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y129 IS_BONDED 0 SITEPROP TIEOFF_X22Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y129 IS_PAD 0 SITEPROP TIEOFF_X22Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y129 IS_RESERVED 0 SITEPROP TIEOFF_X22Y129 IS_TEST 0 SITEPROP TIEOFF_X22Y129 IS_USED 0 SITEPROP TIEOFF_X22Y129 MANUAL_ROUTING SITEPROP TIEOFF_X22Y129 NAME TIEOFF_X22Y129 SITEPROP TIEOFF_X22Y129 NUM_ARCS 0 SITEPROP TIEOFF_X22Y129 NUM_BELS 2 SITEPROP TIEOFF_X22Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y129 NUM_PINS 2 SITEPROP TIEOFF_X22Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y129 PROHIBIT 0 SITEPROP TIEOFF_X22Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y129 RPM_X 88 SITEPROP TIEOFF_X22Y129 RPM_Y 258 SITEPROP TIEOFF_X22Y129 SITE_PIPS SITEPROP TIEOFF_X22Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y130 CLASS site SITEPROP TIEOFF_X22Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y130 IS_BONDED 0 SITEPROP TIEOFF_X22Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y130 IS_PAD 0 SITEPROP TIEOFF_X22Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y130 IS_RESERVED 0 SITEPROP TIEOFF_X22Y130 IS_TEST 0 SITEPROP TIEOFF_X22Y130 IS_USED 0 SITEPROP TIEOFF_X22Y130 MANUAL_ROUTING SITEPROP TIEOFF_X22Y130 NAME TIEOFF_X22Y130 SITEPROP TIEOFF_X22Y130 NUM_ARCS 0 SITEPROP TIEOFF_X22Y130 NUM_BELS 2 SITEPROP TIEOFF_X22Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y130 NUM_PINS 2 SITEPROP TIEOFF_X22Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y130 PROHIBIT 0 SITEPROP TIEOFF_X22Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y130 RPM_X 88 SITEPROP TIEOFF_X22Y130 RPM_Y 260 SITEPROP TIEOFF_X22Y130 SITE_PIPS SITEPROP TIEOFF_X22Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y131 CLASS site SITEPROP TIEOFF_X22Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y131 IS_BONDED 0 SITEPROP TIEOFF_X22Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y131 IS_PAD 0 SITEPROP TIEOFF_X22Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y131 IS_RESERVED 0 SITEPROP TIEOFF_X22Y131 IS_TEST 0 SITEPROP TIEOFF_X22Y131 IS_USED 0 SITEPROP TIEOFF_X22Y131 MANUAL_ROUTING SITEPROP TIEOFF_X22Y131 NAME TIEOFF_X22Y131 SITEPROP TIEOFF_X22Y131 NUM_ARCS 0 SITEPROP TIEOFF_X22Y131 NUM_BELS 2 SITEPROP TIEOFF_X22Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y131 NUM_PINS 2 SITEPROP TIEOFF_X22Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y131 PROHIBIT 0 SITEPROP TIEOFF_X22Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y131 RPM_X 88 SITEPROP TIEOFF_X22Y131 RPM_Y 262 SITEPROP TIEOFF_X22Y131 SITE_PIPS SITEPROP TIEOFF_X22Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y132 CLASS site SITEPROP TIEOFF_X22Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y132 IS_BONDED 0 SITEPROP TIEOFF_X22Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y132 IS_PAD 0 SITEPROP TIEOFF_X22Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y132 IS_RESERVED 0 SITEPROP TIEOFF_X22Y132 IS_TEST 0 SITEPROP TIEOFF_X22Y132 IS_USED 0 SITEPROP TIEOFF_X22Y132 MANUAL_ROUTING SITEPROP TIEOFF_X22Y132 NAME TIEOFF_X22Y132 SITEPROP TIEOFF_X22Y132 NUM_ARCS 0 SITEPROP TIEOFF_X22Y132 NUM_BELS 2 SITEPROP TIEOFF_X22Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y132 NUM_PINS 2 SITEPROP TIEOFF_X22Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y132 PROHIBIT 0 SITEPROP TIEOFF_X22Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y132 RPM_X 88 SITEPROP TIEOFF_X22Y132 RPM_Y 264 SITEPROP TIEOFF_X22Y132 SITE_PIPS SITEPROP TIEOFF_X22Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y133 CLASS site SITEPROP TIEOFF_X22Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y133 IS_BONDED 0 SITEPROP TIEOFF_X22Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y133 IS_PAD 0 SITEPROP TIEOFF_X22Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y133 IS_RESERVED 0 SITEPROP TIEOFF_X22Y133 IS_TEST 0 SITEPROP TIEOFF_X22Y133 IS_USED 0 SITEPROP TIEOFF_X22Y133 MANUAL_ROUTING SITEPROP TIEOFF_X22Y133 NAME TIEOFF_X22Y133 SITEPROP TIEOFF_X22Y133 NUM_ARCS 0 SITEPROP TIEOFF_X22Y133 NUM_BELS 2 SITEPROP TIEOFF_X22Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y133 NUM_PINS 2 SITEPROP TIEOFF_X22Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y133 PROHIBIT 0 SITEPROP TIEOFF_X22Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y133 RPM_X 88 SITEPROP TIEOFF_X22Y133 RPM_Y 266 SITEPROP TIEOFF_X22Y133 SITE_PIPS SITEPROP TIEOFF_X22Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y134 CLASS site SITEPROP TIEOFF_X22Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y134 IS_BONDED 0 SITEPROP TIEOFF_X22Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y134 IS_PAD 0 SITEPROP TIEOFF_X22Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y134 IS_RESERVED 0 SITEPROP TIEOFF_X22Y134 IS_TEST 0 SITEPROP TIEOFF_X22Y134 IS_USED 0 SITEPROP TIEOFF_X22Y134 MANUAL_ROUTING SITEPROP TIEOFF_X22Y134 NAME TIEOFF_X22Y134 SITEPROP TIEOFF_X22Y134 NUM_ARCS 0 SITEPROP TIEOFF_X22Y134 NUM_BELS 2 SITEPROP TIEOFF_X22Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y134 NUM_PINS 2 SITEPROP TIEOFF_X22Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y134 PROHIBIT 0 SITEPROP TIEOFF_X22Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y134 RPM_X 88 SITEPROP TIEOFF_X22Y134 RPM_Y 268 SITEPROP TIEOFF_X22Y134 SITE_PIPS SITEPROP TIEOFF_X22Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y135 CLASS site SITEPROP TIEOFF_X22Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y135 IS_BONDED 0 SITEPROP TIEOFF_X22Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y135 IS_PAD 0 SITEPROP TIEOFF_X22Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y135 IS_RESERVED 0 SITEPROP TIEOFF_X22Y135 IS_TEST 0 SITEPROP TIEOFF_X22Y135 IS_USED 0 SITEPROP TIEOFF_X22Y135 MANUAL_ROUTING SITEPROP TIEOFF_X22Y135 NAME TIEOFF_X22Y135 SITEPROP TIEOFF_X22Y135 NUM_ARCS 0 SITEPROP TIEOFF_X22Y135 NUM_BELS 2 SITEPROP TIEOFF_X22Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y135 NUM_PINS 2 SITEPROP TIEOFF_X22Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y135 PROHIBIT 0 SITEPROP TIEOFF_X22Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y135 RPM_X 88 SITEPROP TIEOFF_X22Y135 RPM_Y 270 SITEPROP TIEOFF_X22Y135 SITE_PIPS SITEPROP TIEOFF_X22Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y136 CLASS site SITEPROP TIEOFF_X22Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y136 IS_BONDED 0 SITEPROP TIEOFF_X22Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y136 IS_PAD 0 SITEPROP TIEOFF_X22Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y136 IS_RESERVED 0 SITEPROP TIEOFF_X22Y136 IS_TEST 0 SITEPROP TIEOFF_X22Y136 IS_USED 0 SITEPROP TIEOFF_X22Y136 MANUAL_ROUTING SITEPROP TIEOFF_X22Y136 NAME TIEOFF_X22Y136 SITEPROP TIEOFF_X22Y136 NUM_ARCS 0 SITEPROP TIEOFF_X22Y136 NUM_BELS 2 SITEPROP TIEOFF_X22Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y136 NUM_PINS 2 SITEPROP TIEOFF_X22Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y136 PROHIBIT 0 SITEPROP TIEOFF_X22Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y136 RPM_X 88 SITEPROP TIEOFF_X22Y136 RPM_Y 272 SITEPROP TIEOFF_X22Y136 SITE_PIPS SITEPROP TIEOFF_X22Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y137 CLASS site SITEPROP TIEOFF_X22Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y137 IS_BONDED 0 SITEPROP TIEOFF_X22Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y137 IS_PAD 0 SITEPROP TIEOFF_X22Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y137 IS_RESERVED 0 SITEPROP TIEOFF_X22Y137 IS_TEST 0 SITEPROP TIEOFF_X22Y137 IS_USED 0 SITEPROP TIEOFF_X22Y137 MANUAL_ROUTING SITEPROP TIEOFF_X22Y137 NAME TIEOFF_X22Y137 SITEPROP TIEOFF_X22Y137 NUM_ARCS 0 SITEPROP TIEOFF_X22Y137 NUM_BELS 2 SITEPROP TIEOFF_X22Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y137 NUM_PINS 2 SITEPROP TIEOFF_X22Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y137 PROHIBIT 0 SITEPROP TIEOFF_X22Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y137 RPM_X 88 SITEPROP TIEOFF_X22Y137 RPM_Y 274 SITEPROP TIEOFF_X22Y137 SITE_PIPS SITEPROP TIEOFF_X22Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y138 CLASS site SITEPROP TIEOFF_X22Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y138 IS_BONDED 0 SITEPROP TIEOFF_X22Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y138 IS_PAD 0 SITEPROP TIEOFF_X22Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y138 IS_RESERVED 0 SITEPROP TIEOFF_X22Y138 IS_TEST 0 SITEPROP TIEOFF_X22Y138 IS_USED 0 SITEPROP TIEOFF_X22Y138 MANUAL_ROUTING SITEPROP TIEOFF_X22Y138 NAME TIEOFF_X22Y138 SITEPROP TIEOFF_X22Y138 NUM_ARCS 0 SITEPROP TIEOFF_X22Y138 NUM_BELS 2 SITEPROP TIEOFF_X22Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y138 NUM_PINS 2 SITEPROP TIEOFF_X22Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y138 PROHIBIT 0 SITEPROP TIEOFF_X22Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y138 RPM_X 88 SITEPROP TIEOFF_X22Y138 RPM_Y 276 SITEPROP TIEOFF_X22Y138 SITE_PIPS SITEPROP TIEOFF_X22Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y139 CLASS site SITEPROP TIEOFF_X22Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y139 IS_BONDED 0 SITEPROP TIEOFF_X22Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y139 IS_PAD 0 SITEPROP TIEOFF_X22Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y139 IS_RESERVED 0 SITEPROP TIEOFF_X22Y139 IS_TEST 0 SITEPROP TIEOFF_X22Y139 IS_USED 0 SITEPROP TIEOFF_X22Y139 MANUAL_ROUTING SITEPROP TIEOFF_X22Y139 NAME TIEOFF_X22Y139 SITEPROP TIEOFF_X22Y139 NUM_ARCS 0 SITEPROP TIEOFF_X22Y139 NUM_BELS 2 SITEPROP TIEOFF_X22Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y139 NUM_PINS 2 SITEPROP TIEOFF_X22Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y139 PROHIBIT 0 SITEPROP TIEOFF_X22Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y139 RPM_X 88 SITEPROP TIEOFF_X22Y139 RPM_Y 278 SITEPROP TIEOFF_X22Y139 SITE_PIPS SITEPROP TIEOFF_X22Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y140 CLASS site SITEPROP TIEOFF_X22Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y140 IS_BONDED 0 SITEPROP TIEOFF_X22Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y140 IS_PAD 0 SITEPROP TIEOFF_X22Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y140 IS_RESERVED 0 SITEPROP TIEOFF_X22Y140 IS_TEST 0 SITEPROP TIEOFF_X22Y140 IS_USED 0 SITEPROP TIEOFF_X22Y140 MANUAL_ROUTING SITEPROP TIEOFF_X22Y140 NAME TIEOFF_X22Y140 SITEPROP TIEOFF_X22Y140 NUM_ARCS 0 SITEPROP TIEOFF_X22Y140 NUM_BELS 2 SITEPROP TIEOFF_X22Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y140 NUM_PINS 2 SITEPROP TIEOFF_X22Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y140 PROHIBIT 0 SITEPROP TIEOFF_X22Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y140 RPM_X 88 SITEPROP TIEOFF_X22Y140 RPM_Y 280 SITEPROP TIEOFF_X22Y140 SITE_PIPS SITEPROP TIEOFF_X22Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y141 CLASS site SITEPROP TIEOFF_X22Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y141 IS_BONDED 0 SITEPROP TIEOFF_X22Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y141 IS_PAD 0 SITEPROP TIEOFF_X22Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y141 IS_RESERVED 0 SITEPROP TIEOFF_X22Y141 IS_TEST 0 SITEPROP TIEOFF_X22Y141 IS_USED 0 SITEPROP TIEOFF_X22Y141 MANUAL_ROUTING SITEPROP TIEOFF_X22Y141 NAME TIEOFF_X22Y141 SITEPROP TIEOFF_X22Y141 NUM_ARCS 0 SITEPROP TIEOFF_X22Y141 NUM_BELS 2 SITEPROP TIEOFF_X22Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y141 NUM_PINS 2 SITEPROP TIEOFF_X22Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y141 PROHIBIT 0 SITEPROP TIEOFF_X22Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y141 RPM_X 88 SITEPROP TIEOFF_X22Y141 RPM_Y 282 SITEPROP TIEOFF_X22Y141 SITE_PIPS SITEPROP TIEOFF_X22Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y142 CLASS site SITEPROP TIEOFF_X22Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y142 IS_BONDED 0 SITEPROP TIEOFF_X22Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y142 IS_PAD 0 SITEPROP TIEOFF_X22Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y142 IS_RESERVED 0 SITEPROP TIEOFF_X22Y142 IS_TEST 0 SITEPROP TIEOFF_X22Y142 IS_USED 0 SITEPROP TIEOFF_X22Y142 MANUAL_ROUTING SITEPROP TIEOFF_X22Y142 NAME TIEOFF_X22Y142 SITEPROP TIEOFF_X22Y142 NUM_ARCS 0 SITEPROP TIEOFF_X22Y142 NUM_BELS 2 SITEPROP TIEOFF_X22Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y142 NUM_PINS 2 SITEPROP TIEOFF_X22Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y142 PROHIBIT 0 SITEPROP TIEOFF_X22Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y142 RPM_X 88 SITEPROP TIEOFF_X22Y142 RPM_Y 284 SITEPROP TIEOFF_X22Y142 SITE_PIPS SITEPROP TIEOFF_X22Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y143 CLASS site SITEPROP TIEOFF_X22Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y143 IS_BONDED 0 SITEPROP TIEOFF_X22Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y143 IS_PAD 0 SITEPROP TIEOFF_X22Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y143 IS_RESERVED 0 SITEPROP TIEOFF_X22Y143 IS_TEST 0 SITEPROP TIEOFF_X22Y143 IS_USED 0 SITEPROP TIEOFF_X22Y143 MANUAL_ROUTING SITEPROP TIEOFF_X22Y143 NAME TIEOFF_X22Y143 SITEPROP TIEOFF_X22Y143 NUM_ARCS 0 SITEPROP TIEOFF_X22Y143 NUM_BELS 2 SITEPROP TIEOFF_X22Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y143 NUM_PINS 2 SITEPROP TIEOFF_X22Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y143 PROHIBIT 0 SITEPROP TIEOFF_X22Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y143 RPM_X 88 SITEPROP TIEOFF_X22Y143 RPM_Y 286 SITEPROP TIEOFF_X22Y143 SITE_PIPS SITEPROP TIEOFF_X22Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y144 CLASS site SITEPROP TIEOFF_X22Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y144 IS_BONDED 0 SITEPROP TIEOFF_X22Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y144 IS_PAD 0 SITEPROP TIEOFF_X22Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y144 IS_RESERVED 0 SITEPROP TIEOFF_X22Y144 IS_TEST 0 SITEPROP TIEOFF_X22Y144 IS_USED 0 SITEPROP TIEOFF_X22Y144 MANUAL_ROUTING SITEPROP TIEOFF_X22Y144 NAME TIEOFF_X22Y144 SITEPROP TIEOFF_X22Y144 NUM_ARCS 0 SITEPROP TIEOFF_X22Y144 NUM_BELS 2 SITEPROP TIEOFF_X22Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y144 NUM_PINS 2 SITEPROP TIEOFF_X22Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y144 PROHIBIT 0 SITEPROP TIEOFF_X22Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y144 RPM_X 88 SITEPROP TIEOFF_X22Y144 RPM_Y 288 SITEPROP TIEOFF_X22Y144 SITE_PIPS SITEPROP TIEOFF_X22Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y145 CLASS site SITEPROP TIEOFF_X22Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y145 IS_BONDED 0 SITEPROP TIEOFF_X22Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y145 IS_PAD 0 SITEPROP TIEOFF_X22Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y145 IS_RESERVED 0 SITEPROP TIEOFF_X22Y145 IS_TEST 0 SITEPROP TIEOFF_X22Y145 IS_USED 0 SITEPROP TIEOFF_X22Y145 MANUAL_ROUTING SITEPROP TIEOFF_X22Y145 NAME TIEOFF_X22Y145 SITEPROP TIEOFF_X22Y145 NUM_ARCS 0 SITEPROP TIEOFF_X22Y145 NUM_BELS 2 SITEPROP TIEOFF_X22Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y145 NUM_PINS 2 SITEPROP TIEOFF_X22Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y145 PROHIBIT 0 SITEPROP TIEOFF_X22Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y145 RPM_X 88 SITEPROP TIEOFF_X22Y145 RPM_Y 290 SITEPROP TIEOFF_X22Y145 SITE_PIPS SITEPROP TIEOFF_X22Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y146 CLASS site SITEPROP TIEOFF_X22Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y146 IS_BONDED 0 SITEPROP TIEOFF_X22Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y146 IS_PAD 0 SITEPROP TIEOFF_X22Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y146 IS_RESERVED 0 SITEPROP TIEOFF_X22Y146 IS_TEST 0 SITEPROP TIEOFF_X22Y146 IS_USED 0 SITEPROP TIEOFF_X22Y146 MANUAL_ROUTING SITEPROP TIEOFF_X22Y146 NAME TIEOFF_X22Y146 SITEPROP TIEOFF_X22Y146 NUM_ARCS 0 SITEPROP TIEOFF_X22Y146 NUM_BELS 2 SITEPROP TIEOFF_X22Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y146 NUM_PINS 2 SITEPROP TIEOFF_X22Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y146 PROHIBIT 0 SITEPROP TIEOFF_X22Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y146 RPM_X 88 SITEPROP TIEOFF_X22Y146 RPM_Y 292 SITEPROP TIEOFF_X22Y146 SITE_PIPS SITEPROP TIEOFF_X22Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y147 CLASS site SITEPROP TIEOFF_X22Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y147 IS_BONDED 0 SITEPROP TIEOFF_X22Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y147 IS_PAD 0 SITEPROP TIEOFF_X22Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y147 IS_RESERVED 0 SITEPROP TIEOFF_X22Y147 IS_TEST 0 SITEPROP TIEOFF_X22Y147 IS_USED 0 SITEPROP TIEOFF_X22Y147 MANUAL_ROUTING SITEPROP TIEOFF_X22Y147 NAME TIEOFF_X22Y147 SITEPROP TIEOFF_X22Y147 NUM_ARCS 0 SITEPROP TIEOFF_X22Y147 NUM_BELS 2 SITEPROP TIEOFF_X22Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y147 NUM_PINS 2 SITEPROP TIEOFF_X22Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y147 PROHIBIT 0 SITEPROP TIEOFF_X22Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y147 RPM_X 88 SITEPROP TIEOFF_X22Y147 RPM_Y 294 SITEPROP TIEOFF_X22Y147 SITE_PIPS SITEPROP TIEOFF_X22Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y148 CLASS site SITEPROP TIEOFF_X22Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y148 IS_BONDED 0 SITEPROP TIEOFF_X22Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y148 IS_PAD 0 SITEPROP TIEOFF_X22Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y148 IS_RESERVED 0 SITEPROP TIEOFF_X22Y148 IS_TEST 0 SITEPROP TIEOFF_X22Y148 IS_USED 0 SITEPROP TIEOFF_X22Y148 MANUAL_ROUTING SITEPROP TIEOFF_X22Y148 NAME TIEOFF_X22Y148 SITEPROP TIEOFF_X22Y148 NUM_ARCS 0 SITEPROP TIEOFF_X22Y148 NUM_BELS 2 SITEPROP TIEOFF_X22Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y148 NUM_PINS 2 SITEPROP TIEOFF_X22Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y148 PROHIBIT 0 SITEPROP TIEOFF_X22Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y148 RPM_X 88 SITEPROP TIEOFF_X22Y148 RPM_Y 296 SITEPROP TIEOFF_X22Y148 SITE_PIPS SITEPROP TIEOFF_X22Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X22Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X22Y149 CLASS site SITEPROP TIEOFF_X22Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X22Y149 IS_BONDED 0 SITEPROP TIEOFF_X22Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y149 IS_PAD 0 SITEPROP TIEOFF_X22Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X22Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X22Y149 IS_RESERVED 0 SITEPROP TIEOFF_X22Y149 IS_TEST 0 SITEPROP TIEOFF_X22Y149 IS_USED 0 SITEPROP TIEOFF_X22Y149 MANUAL_ROUTING SITEPROP TIEOFF_X22Y149 NAME TIEOFF_X22Y149 SITEPROP TIEOFF_X22Y149 NUM_ARCS 0 SITEPROP TIEOFF_X22Y149 NUM_BELS 2 SITEPROP TIEOFF_X22Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X22Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X22Y149 NUM_PINS 2 SITEPROP TIEOFF_X22Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X22Y149 PROHIBIT 0 SITEPROP TIEOFF_X22Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X22Y149 RPM_X 88 SITEPROP TIEOFF_X22Y149 RPM_Y 298 SITEPROP TIEOFF_X22Y149 SITE_PIPS SITEPROP TIEOFF_X22Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y0 CLASS site SITEPROP TIEOFF_X23Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y0 IS_BONDED 0 SITEPROP TIEOFF_X23Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y0 IS_PAD 0 SITEPROP TIEOFF_X23Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y0 IS_RESERVED 0 SITEPROP TIEOFF_X23Y0 IS_TEST 0 SITEPROP TIEOFF_X23Y0 IS_USED 0 SITEPROP TIEOFF_X23Y0 MANUAL_ROUTING SITEPROP TIEOFF_X23Y0 NAME TIEOFF_X23Y0 SITEPROP TIEOFF_X23Y0 NUM_ARCS 0 SITEPROP TIEOFF_X23Y0 NUM_BELS 2 SITEPROP TIEOFF_X23Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y0 NUM_PINS 2 SITEPROP TIEOFF_X23Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y0 PROHIBIT 0 SITEPROP TIEOFF_X23Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y0 RPM_X 92 SITEPROP TIEOFF_X23Y0 RPM_Y 0 SITEPROP TIEOFF_X23Y0 SITE_PIPS SITEPROP TIEOFF_X23Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y1 CLASS site SITEPROP TIEOFF_X23Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y1 IS_BONDED 0 SITEPROP TIEOFF_X23Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y1 IS_PAD 0 SITEPROP TIEOFF_X23Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y1 IS_RESERVED 0 SITEPROP TIEOFF_X23Y1 IS_TEST 0 SITEPROP TIEOFF_X23Y1 IS_USED 0 SITEPROP TIEOFF_X23Y1 MANUAL_ROUTING SITEPROP TIEOFF_X23Y1 NAME TIEOFF_X23Y1 SITEPROP TIEOFF_X23Y1 NUM_ARCS 0 SITEPROP TIEOFF_X23Y1 NUM_BELS 2 SITEPROP TIEOFF_X23Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y1 NUM_PINS 2 SITEPROP TIEOFF_X23Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y1 PROHIBIT 0 SITEPROP TIEOFF_X23Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y1 RPM_X 92 SITEPROP TIEOFF_X23Y1 RPM_Y 2 SITEPROP TIEOFF_X23Y1 SITE_PIPS SITEPROP TIEOFF_X23Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y2 CLASS site SITEPROP TIEOFF_X23Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y2 IS_BONDED 0 SITEPROP TIEOFF_X23Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y2 IS_PAD 0 SITEPROP TIEOFF_X23Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y2 IS_RESERVED 0 SITEPROP TIEOFF_X23Y2 IS_TEST 0 SITEPROP TIEOFF_X23Y2 IS_USED 0 SITEPROP TIEOFF_X23Y2 MANUAL_ROUTING SITEPROP TIEOFF_X23Y2 NAME TIEOFF_X23Y2 SITEPROP TIEOFF_X23Y2 NUM_ARCS 0 SITEPROP TIEOFF_X23Y2 NUM_BELS 2 SITEPROP TIEOFF_X23Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y2 NUM_PINS 2 SITEPROP TIEOFF_X23Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y2 PROHIBIT 0 SITEPROP TIEOFF_X23Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y2 RPM_X 92 SITEPROP TIEOFF_X23Y2 RPM_Y 4 SITEPROP TIEOFF_X23Y2 SITE_PIPS SITEPROP TIEOFF_X23Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y3 CLASS site SITEPROP TIEOFF_X23Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y3 IS_BONDED 0 SITEPROP TIEOFF_X23Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y3 IS_PAD 0 SITEPROP TIEOFF_X23Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y3 IS_RESERVED 0 SITEPROP TIEOFF_X23Y3 IS_TEST 0 SITEPROP TIEOFF_X23Y3 IS_USED 0 SITEPROP TIEOFF_X23Y3 MANUAL_ROUTING SITEPROP TIEOFF_X23Y3 NAME TIEOFF_X23Y3 SITEPROP TIEOFF_X23Y3 NUM_ARCS 0 SITEPROP TIEOFF_X23Y3 NUM_BELS 2 SITEPROP TIEOFF_X23Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y3 NUM_PINS 2 SITEPROP TIEOFF_X23Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y3 PROHIBIT 0 SITEPROP TIEOFF_X23Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y3 RPM_X 92 SITEPROP TIEOFF_X23Y3 RPM_Y 6 SITEPROP TIEOFF_X23Y3 SITE_PIPS SITEPROP TIEOFF_X23Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y4 CLASS site SITEPROP TIEOFF_X23Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y4 IS_BONDED 0 SITEPROP TIEOFF_X23Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y4 IS_PAD 0 SITEPROP TIEOFF_X23Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y4 IS_RESERVED 0 SITEPROP TIEOFF_X23Y4 IS_TEST 0 SITEPROP TIEOFF_X23Y4 IS_USED 0 SITEPROP TIEOFF_X23Y4 MANUAL_ROUTING SITEPROP TIEOFF_X23Y4 NAME TIEOFF_X23Y4 SITEPROP TIEOFF_X23Y4 NUM_ARCS 0 SITEPROP TIEOFF_X23Y4 NUM_BELS 2 SITEPROP TIEOFF_X23Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y4 NUM_PINS 2 SITEPROP TIEOFF_X23Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y4 PROHIBIT 0 SITEPROP TIEOFF_X23Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y4 RPM_X 92 SITEPROP TIEOFF_X23Y4 RPM_Y 8 SITEPROP TIEOFF_X23Y4 SITE_PIPS SITEPROP TIEOFF_X23Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y5 CLASS site SITEPROP TIEOFF_X23Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y5 IS_BONDED 0 SITEPROP TIEOFF_X23Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y5 IS_PAD 0 SITEPROP TIEOFF_X23Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y5 IS_RESERVED 0 SITEPROP TIEOFF_X23Y5 IS_TEST 0 SITEPROP TIEOFF_X23Y5 IS_USED 0 SITEPROP TIEOFF_X23Y5 MANUAL_ROUTING SITEPROP TIEOFF_X23Y5 NAME TIEOFF_X23Y5 SITEPROP TIEOFF_X23Y5 NUM_ARCS 0 SITEPROP TIEOFF_X23Y5 NUM_BELS 2 SITEPROP TIEOFF_X23Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y5 NUM_PINS 2 SITEPROP TIEOFF_X23Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y5 PROHIBIT 0 SITEPROP TIEOFF_X23Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y5 RPM_X 92 SITEPROP TIEOFF_X23Y5 RPM_Y 10 SITEPROP TIEOFF_X23Y5 SITE_PIPS SITEPROP TIEOFF_X23Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y6 CLASS site SITEPROP TIEOFF_X23Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y6 IS_BONDED 0 SITEPROP TIEOFF_X23Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y6 IS_PAD 0 SITEPROP TIEOFF_X23Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y6 IS_RESERVED 0 SITEPROP TIEOFF_X23Y6 IS_TEST 0 SITEPROP TIEOFF_X23Y6 IS_USED 0 SITEPROP TIEOFF_X23Y6 MANUAL_ROUTING SITEPROP TIEOFF_X23Y6 NAME TIEOFF_X23Y6 SITEPROP TIEOFF_X23Y6 NUM_ARCS 0 SITEPROP TIEOFF_X23Y6 NUM_BELS 2 SITEPROP TIEOFF_X23Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y6 NUM_PINS 2 SITEPROP TIEOFF_X23Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y6 PROHIBIT 0 SITEPROP TIEOFF_X23Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y6 RPM_X 92 SITEPROP TIEOFF_X23Y6 RPM_Y 12 SITEPROP TIEOFF_X23Y6 SITE_PIPS SITEPROP TIEOFF_X23Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y7 CLASS site SITEPROP TIEOFF_X23Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y7 IS_BONDED 0 SITEPROP TIEOFF_X23Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y7 IS_PAD 0 SITEPROP TIEOFF_X23Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y7 IS_RESERVED 0 SITEPROP TIEOFF_X23Y7 IS_TEST 0 SITEPROP TIEOFF_X23Y7 IS_USED 0 SITEPROP TIEOFF_X23Y7 MANUAL_ROUTING SITEPROP TIEOFF_X23Y7 NAME TIEOFF_X23Y7 SITEPROP TIEOFF_X23Y7 NUM_ARCS 0 SITEPROP TIEOFF_X23Y7 NUM_BELS 2 SITEPROP TIEOFF_X23Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y7 NUM_PINS 2 SITEPROP TIEOFF_X23Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y7 PROHIBIT 0 SITEPROP TIEOFF_X23Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y7 RPM_X 92 SITEPROP TIEOFF_X23Y7 RPM_Y 14 SITEPROP TIEOFF_X23Y7 SITE_PIPS SITEPROP TIEOFF_X23Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y8 CLASS site SITEPROP TIEOFF_X23Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y8 IS_BONDED 0 SITEPROP TIEOFF_X23Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y8 IS_PAD 0 SITEPROP TIEOFF_X23Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y8 IS_RESERVED 0 SITEPROP TIEOFF_X23Y8 IS_TEST 0 SITEPROP TIEOFF_X23Y8 IS_USED 0 SITEPROP TIEOFF_X23Y8 MANUAL_ROUTING SITEPROP TIEOFF_X23Y8 NAME TIEOFF_X23Y8 SITEPROP TIEOFF_X23Y8 NUM_ARCS 0 SITEPROP TIEOFF_X23Y8 NUM_BELS 2 SITEPROP TIEOFF_X23Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y8 NUM_PINS 2 SITEPROP TIEOFF_X23Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y8 PROHIBIT 0 SITEPROP TIEOFF_X23Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y8 RPM_X 92 SITEPROP TIEOFF_X23Y8 RPM_Y 16 SITEPROP TIEOFF_X23Y8 SITE_PIPS SITEPROP TIEOFF_X23Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y9 CLASS site SITEPROP TIEOFF_X23Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y9 IS_BONDED 0 SITEPROP TIEOFF_X23Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y9 IS_PAD 0 SITEPROP TIEOFF_X23Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y9 IS_RESERVED 0 SITEPROP TIEOFF_X23Y9 IS_TEST 0 SITEPROP TIEOFF_X23Y9 IS_USED 0 SITEPROP TIEOFF_X23Y9 MANUAL_ROUTING SITEPROP TIEOFF_X23Y9 NAME TIEOFF_X23Y9 SITEPROP TIEOFF_X23Y9 NUM_ARCS 0 SITEPROP TIEOFF_X23Y9 NUM_BELS 2 SITEPROP TIEOFF_X23Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y9 NUM_PINS 2 SITEPROP TIEOFF_X23Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y9 PROHIBIT 0 SITEPROP TIEOFF_X23Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y9 RPM_X 92 SITEPROP TIEOFF_X23Y9 RPM_Y 18 SITEPROP TIEOFF_X23Y9 SITE_PIPS SITEPROP TIEOFF_X23Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y10 CLASS site SITEPROP TIEOFF_X23Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y10 IS_BONDED 0 SITEPROP TIEOFF_X23Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y10 IS_PAD 0 SITEPROP TIEOFF_X23Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y10 IS_RESERVED 0 SITEPROP TIEOFF_X23Y10 IS_TEST 0 SITEPROP TIEOFF_X23Y10 IS_USED 0 SITEPROP TIEOFF_X23Y10 MANUAL_ROUTING SITEPROP TIEOFF_X23Y10 NAME TIEOFF_X23Y10 SITEPROP TIEOFF_X23Y10 NUM_ARCS 0 SITEPROP TIEOFF_X23Y10 NUM_BELS 2 SITEPROP TIEOFF_X23Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y10 NUM_PINS 2 SITEPROP TIEOFF_X23Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y10 PROHIBIT 0 SITEPROP TIEOFF_X23Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y10 RPM_X 92 SITEPROP TIEOFF_X23Y10 RPM_Y 20 SITEPROP TIEOFF_X23Y10 SITE_PIPS SITEPROP TIEOFF_X23Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y11 CLASS site SITEPROP TIEOFF_X23Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y11 IS_BONDED 0 SITEPROP TIEOFF_X23Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y11 IS_PAD 0 SITEPROP TIEOFF_X23Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y11 IS_RESERVED 0 SITEPROP TIEOFF_X23Y11 IS_TEST 0 SITEPROP TIEOFF_X23Y11 IS_USED 0 SITEPROP TIEOFF_X23Y11 MANUAL_ROUTING SITEPROP TIEOFF_X23Y11 NAME TIEOFF_X23Y11 SITEPROP TIEOFF_X23Y11 NUM_ARCS 0 SITEPROP TIEOFF_X23Y11 NUM_BELS 2 SITEPROP TIEOFF_X23Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y11 NUM_PINS 2 SITEPROP TIEOFF_X23Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y11 PROHIBIT 0 SITEPROP TIEOFF_X23Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y11 RPM_X 92 SITEPROP TIEOFF_X23Y11 RPM_Y 22 SITEPROP TIEOFF_X23Y11 SITE_PIPS SITEPROP TIEOFF_X23Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y12 CLASS site SITEPROP TIEOFF_X23Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y12 IS_BONDED 0 SITEPROP TIEOFF_X23Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y12 IS_PAD 0 SITEPROP TIEOFF_X23Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y12 IS_RESERVED 0 SITEPROP TIEOFF_X23Y12 IS_TEST 0 SITEPROP TIEOFF_X23Y12 IS_USED 0 SITEPROP TIEOFF_X23Y12 MANUAL_ROUTING SITEPROP TIEOFF_X23Y12 NAME TIEOFF_X23Y12 SITEPROP TIEOFF_X23Y12 NUM_ARCS 0 SITEPROP TIEOFF_X23Y12 NUM_BELS 2 SITEPROP TIEOFF_X23Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y12 NUM_PINS 2 SITEPROP TIEOFF_X23Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y12 PROHIBIT 0 SITEPROP TIEOFF_X23Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y12 RPM_X 92 SITEPROP TIEOFF_X23Y12 RPM_Y 24 SITEPROP TIEOFF_X23Y12 SITE_PIPS SITEPROP TIEOFF_X23Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y13 CLASS site SITEPROP TIEOFF_X23Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y13 IS_BONDED 0 SITEPROP TIEOFF_X23Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y13 IS_PAD 0 SITEPROP TIEOFF_X23Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y13 IS_RESERVED 0 SITEPROP TIEOFF_X23Y13 IS_TEST 0 SITEPROP TIEOFF_X23Y13 IS_USED 0 SITEPROP TIEOFF_X23Y13 MANUAL_ROUTING SITEPROP TIEOFF_X23Y13 NAME TIEOFF_X23Y13 SITEPROP TIEOFF_X23Y13 NUM_ARCS 0 SITEPROP TIEOFF_X23Y13 NUM_BELS 2 SITEPROP TIEOFF_X23Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y13 NUM_PINS 2 SITEPROP TIEOFF_X23Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y13 PROHIBIT 0 SITEPROP TIEOFF_X23Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y13 RPM_X 92 SITEPROP TIEOFF_X23Y13 RPM_Y 26 SITEPROP TIEOFF_X23Y13 SITE_PIPS SITEPROP TIEOFF_X23Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y14 CLASS site SITEPROP TIEOFF_X23Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y14 IS_BONDED 0 SITEPROP TIEOFF_X23Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y14 IS_PAD 0 SITEPROP TIEOFF_X23Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y14 IS_RESERVED 0 SITEPROP TIEOFF_X23Y14 IS_TEST 0 SITEPROP TIEOFF_X23Y14 IS_USED 0 SITEPROP TIEOFF_X23Y14 MANUAL_ROUTING SITEPROP TIEOFF_X23Y14 NAME TIEOFF_X23Y14 SITEPROP TIEOFF_X23Y14 NUM_ARCS 0 SITEPROP TIEOFF_X23Y14 NUM_BELS 2 SITEPROP TIEOFF_X23Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y14 NUM_PINS 2 SITEPROP TIEOFF_X23Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y14 PROHIBIT 0 SITEPROP TIEOFF_X23Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y14 RPM_X 92 SITEPROP TIEOFF_X23Y14 RPM_Y 28 SITEPROP TIEOFF_X23Y14 SITE_PIPS SITEPROP TIEOFF_X23Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y15 CLASS site SITEPROP TIEOFF_X23Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y15 IS_BONDED 0 SITEPROP TIEOFF_X23Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y15 IS_PAD 0 SITEPROP TIEOFF_X23Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y15 IS_RESERVED 0 SITEPROP TIEOFF_X23Y15 IS_TEST 0 SITEPROP TIEOFF_X23Y15 IS_USED 0 SITEPROP TIEOFF_X23Y15 MANUAL_ROUTING SITEPROP TIEOFF_X23Y15 NAME TIEOFF_X23Y15 SITEPROP TIEOFF_X23Y15 NUM_ARCS 0 SITEPROP TIEOFF_X23Y15 NUM_BELS 2 SITEPROP TIEOFF_X23Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y15 NUM_PINS 2 SITEPROP TIEOFF_X23Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y15 PROHIBIT 0 SITEPROP TIEOFF_X23Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y15 RPM_X 92 SITEPROP TIEOFF_X23Y15 RPM_Y 30 SITEPROP TIEOFF_X23Y15 SITE_PIPS SITEPROP TIEOFF_X23Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y16 CLASS site SITEPROP TIEOFF_X23Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y16 IS_BONDED 0 SITEPROP TIEOFF_X23Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y16 IS_PAD 0 SITEPROP TIEOFF_X23Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y16 IS_RESERVED 0 SITEPROP TIEOFF_X23Y16 IS_TEST 0 SITEPROP TIEOFF_X23Y16 IS_USED 0 SITEPROP TIEOFF_X23Y16 MANUAL_ROUTING SITEPROP TIEOFF_X23Y16 NAME TIEOFF_X23Y16 SITEPROP TIEOFF_X23Y16 NUM_ARCS 0 SITEPROP TIEOFF_X23Y16 NUM_BELS 2 SITEPROP TIEOFF_X23Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y16 NUM_PINS 2 SITEPROP TIEOFF_X23Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y16 PROHIBIT 0 SITEPROP TIEOFF_X23Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y16 RPM_X 92 SITEPROP TIEOFF_X23Y16 RPM_Y 32 SITEPROP TIEOFF_X23Y16 SITE_PIPS SITEPROP TIEOFF_X23Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y17 CLASS site SITEPROP TIEOFF_X23Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y17 IS_BONDED 0 SITEPROP TIEOFF_X23Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y17 IS_PAD 0 SITEPROP TIEOFF_X23Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y17 IS_RESERVED 0 SITEPROP TIEOFF_X23Y17 IS_TEST 0 SITEPROP TIEOFF_X23Y17 IS_USED 0 SITEPROP TIEOFF_X23Y17 MANUAL_ROUTING SITEPROP TIEOFF_X23Y17 NAME TIEOFF_X23Y17 SITEPROP TIEOFF_X23Y17 NUM_ARCS 0 SITEPROP TIEOFF_X23Y17 NUM_BELS 2 SITEPROP TIEOFF_X23Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y17 NUM_PINS 2 SITEPROP TIEOFF_X23Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y17 PROHIBIT 0 SITEPROP TIEOFF_X23Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y17 RPM_X 92 SITEPROP TIEOFF_X23Y17 RPM_Y 34 SITEPROP TIEOFF_X23Y17 SITE_PIPS SITEPROP TIEOFF_X23Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y18 CLASS site SITEPROP TIEOFF_X23Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y18 IS_BONDED 0 SITEPROP TIEOFF_X23Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y18 IS_PAD 0 SITEPROP TIEOFF_X23Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y18 IS_RESERVED 0 SITEPROP TIEOFF_X23Y18 IS_TEST 0 SITEPROP TIEOFF_X23Y18 IS_USED 0 SITEPROP TIEOFF_X23Y18 MANUAL_ROUTING SITEPROP TIEOFF_X23Y18 NAME TIEOFF_X23Y18 SITEPROP TIEOFF_X23Y18 NUM_ARCS 0 SITEPROP TIEOFF_X23Y18 NUM_BELS 2 SITEPROP TIEOFF_X23Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y18 NUM_PINS 2 SITEPROP TIEOFF_X23Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y18 PROHIBIT 0 SITEPROP TIEOFF_X23Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y18 RPM_X 92 SITEPROP TIEOFF_X23Y18 RPM_Y 36 SITEPROP TIEOFF_X23Y18 SITE_PIPS SITEPROP TIEOFF_X23Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y19 CLASS site SITEPROP TIEOFF_X23Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y19 IS_BONDED 0 SITEPROP TIEOFF_X23Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y19 IS_PAD 0 SITEPROP TIEOFF_X23Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y19 IS_RESERVED 0 SITEPROP TIEOFF_X23Y19 IS_TEST 0 SITEPROP TIEOFF_X23Y19 IS_USED 0 SITEPROP TIEOFF_X23Y19 MANUAL_ROUTING SITEPROP TIEOFF_X23Y19 NAME TIEOFF_X23Y19 SITEPROP TIEOFF_X23Y19 NUM_ARCS 0 SITEPROP TIEOFF_X23Y19 NUM_BELS 2 SITEPROP TIEOFF_X23Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y19 NUM_PINS 2 SITEPROP TIEOFF_X23Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y19 PROHIBIT 0 SITEPROP TIEOFF_X23Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y19 RPM_X 92 SITEPROP TIEOFF_X23Y19 RPM_Y 38 SITEPROP TIEOFF_X23Y19 SITE_PIPS SITEPROP TIEOFF_X23Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y20 CLASS site SITEPROP TIEOFF_X23Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y20 IS_BONDED 0 SITEPROP TIEOFF_X23Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y20 IS_PAD 0 SITEPROP TIEOFF_X23Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y20 IS_RESERVED 0 SITEPROP TIEOFF_X23Y20 IS_TEST 0 SITEPROP TIEOFF_X23Y20 IS_USED 0 SITEPROP TIEOFF_X23Y20 MANUAL_ROUTING SITEPROP TIEOFF_X23Y20 NAME TIEOFF_X23Y20 SITEPROP TIEOFF_X23Y20 NUM_ARCS 0 SITEPROP TIEOFF_X23Y20 NUM_BELS 2 SITEPROP TIEOFF_X23Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y20 NUM_PINS 2 SITEPROP TIEOFF_X23Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y20 PROHIBIT 0 SITEPROP TIEOFF_X23Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y20 RPM_X 92 SITEPROP TIEOFF_X23Y20 RPM_Y 40 SITEPROP TIEOFF_X23Y20 SITE_PIPS SITEPROP TIEOFF_X23Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y21 CLASS site SITEPROP TIEOFF_X23Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y21 IS_BONDED 0 SITEPROP TIEOFF_X23Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y21 IS_PAD 0 SITEPROP TIEOFF_X23Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y21 IS_RESERVED 0 SITEPROP TIEOFF_X23Y21 IS_TEST 0 SITEPROP TIEOFF_X23Y21 IS_USED 0 SITEPROP TIEOFF_X23Y21 MANUAL_ROUTING SITEPROP TIEOFF_X23Y21 NAME TIEOFF_X23Y21 SITEPROP TIEOFF_X23Y21 NUM_ARCS 0 SITEPROP TIEOFF_X23Y21 NUM_BELS 2 SITEPROP TIEOFF_X23Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y21 NUM_PINS 2 SITEPROP TIEOFF_X23Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y21 PROHIBIT 0 SITEPROP TIEOFF_X23Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y21 RPM_X 92 SITEPROP TIEOFF_X23Y21 RPM_Y 42 SITEPROP TIEOFF_X23Y21 SITE_PIPS SITEPROP TIEOFF_X23Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y22 CLASS site SITEPROP TIEOFF_X23Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y22 IS_BONDED 0 SITEPROP TIEOFF_X23Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y22 IS_PAD 0 SITEPROP TIEOFF_X23Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y22 IS_RESERVED 0 SITEPROP TIEOFF_X23Y22 IS_TEST 0 SITEPROP TIEOFF_X23Y22 IS_USED 0 SITEPROP TIEOFF_X23Y22 MANUAL_ROUTING SITEPROP TIEOFF_X23Y22 NAME TIEOFF_X23Y22 SITEPROP TIEOFF_X23Y22 NUM_ARCS 0 SITEPROP TIEOFF_X23Y22 NUM_BELS 2 SITEPROP TIEOFF_X23Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y22 NUM_PINS 2 SITEPROP TIEOFF_X23Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y22 PROHIBIT 0 SITEPROP TIEOFF_X23Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y22 RPM_X 92 SITEPROP TIEOFF_X23Y22 RPM_Y 44 SITEPROP TIEOFF_X23Y22 SITE_PIPS SITEPROP TIEOFF_X23Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y23 CLASS site SITEPROP TIEOFF_X23Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y23 IS_BONDED 0 SITEPROP TIEOFF_X23Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y23 IS_PAD 0 SITEPROP TIEOFF_X23Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y23 IS_RESERVED 0 SITEPROP TIEOFF_X23Y23 IS_TEST 0 SITEPROP TIEOFF_X23Y23 IS_USED 0 SITEPROP TIEOFF_X23Y23 MANUAL_ROUTING SITEPROP TIEOFF_X23Y23 NAME TIEOFF_X23Y23 SITEPROP TIEOFF_X23Y23 NUM_ARCS 0 SITEPROP TIEOFF_X23Y23 NUM_BELS 2 SITEPROP TIEOFF_X23Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y23 NUM_PINS 2 SITEPROP TIEOFF_X23Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y23 PROHIBIT 0 SITEPROP TIEOFF_X23Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y23 RPM_X 92 SITEPROP TIEOFF_X23Y23 RPM_Y 46 SITEPROP TIEOFF_X23Y23 SITE_PIPS SITEPROP TIEOFF_X23Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y24 CLASS site SITEPROP TIEOFF_X23Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y24 IS_BONDED 0 SITEPROP TIEOFF_X23Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y24 IS_PAD 0 SITEPROP TIEOFF_X23Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y24 IS_RESERVED 0 SITEPROP TIEOFF_X23Y24 IS_TEST 0 SITEPROP TIEOFF_X23Y24 IS_USED 0 SITEPROP TIEOFF_X23Y24 MANUAL_ROUTING SITEPROP TIEOFF_X23Y24 NAME TIEOFF_X23Y24 SITEPROP TIEOFF_X23Y24 NUM_ARCS 0 SITEPROP TIEOFF_X23Y24 NUM_BELS 2 SITEPROP TIEOFF_X23Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y24 NUM_PINS 2 SITEPROP TIEOFF_X23Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y24 PROHIBIT 0 SITEPROP TIEOFF_X23Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y24 RPM_X 92 SITEPROP TIEOFF_X23Y24 RPM_Y 48 SITEPROP TIEOFF_X23Y24 SITE_PIPS SITEPROP TIEOFF_X23Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y25 CLASS site SITEPROP TIEOFF_X23Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y25 IS_BONDED 0 SITEPROP TIEOFF_X23Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y25 IS_PAD 0 SITEPROP TIEOFF_X23Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y25 IS_RESERVED 0 SITEPROP TIEOFF_X23Y25 IS_TEST 0 SITEPROP TIEOFF_X23Y25 IS_USED 0 SITEPROP TIEOFF_X23Y25 MANUAL_ROUTING SITEPROP TIEOFF_X23Y25 NAME TIEOFF_X23Y25 SITEPROP TIEOFF_X23Y25 NUM_ARCS 0 SITEPROP TIEOFF_X23Y25 NUM_BELS 2 SITEPROP TIEOFF_X23Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y25 NUM_PINS 2 SITEPROP TIEOFF_X23Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y25 PROHIBIT 0 SITEPROP TIEOFF_X23Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y25 RPM_X 92 SITEPROP TIEOFF_X23Y25 RPM_Y 50 SITEPROP TIEOFF_X23Y25 SITE_PIPS SITEPROP TIEOFF_X23Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y26 CLASS site SITEPROP TIEOFF_X23Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y26 IS_BONDED 0 SITEPROP TIEOFF_X23Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y26 IS_PAD 0 SITEPROP TIEOFF_X23Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y26 IS_RESERVED 0 SITEPROP TIEOFF_X23Y26 IS_TEST 0 SITEPROP TIEOFF_X23Y26 IS_USED 0 SITEPROP TIEOFF_X23Y26 MANUAL_ROUTING SITEPROP TIEOFF_X23Y26 NAME TIEOFF_X23Y26 SITEPROP TIEOFF_X23Y26 NUM_ARCS 0 SITEPROP TIEOFF_X23Y26 NUM_BELS 2 SITEPROP TIEOFF_X23Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y26 NUM_PINS 2 SITEPROP TIEOFF_X23Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y26 PROHIBIT 0 SITEPROP TIEOFF_X23Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y26 RPM_X 92 SITEPROP TIEOFF_X23Y26 RPM_Y 52 SITEPROP TIEOFF_X23Y26 SITE_PIPS SITEPROP TIEOFF_X23Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y27 CLASS site SITEPROP TIEOFF_X23Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y27 IS_BONDED 0 SITEPROP TIEOFF_X23Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y27 IS_PAD 0 SITEPROP TIEOFF_X23Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y27 IS_RESERVED 0 SITEPROP TIEOFF_X23Y27 IS_TEST 0 SITEPROP TIEOFF_X23Y27 IS_USED 0 SITEPROP TIEOFF_X23Y27 MANUAL_ROUTING SITEPROP TIEOFF_X23Y27 NAME TIEOFF_X23Y27 SITEPROP TIEOFF_X23Y27 NUM_ARCS 0 SITEPROP TIEOFF_X23Y27 NUM_BELS 2 SITEPROP TIEOFF_X23Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y27 NUM_PINS 2 SITEPROP TIEOFF_X23Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y27 PROHIBIT 0 SITEPROP TIEOFF_X23Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y27 RPM_X 92 SITEPROP TIEOFF_X23Y27 RPM_Y 54 SITEPROP TIEOFF_X23Y27 SITE_PIPS SITEPROP TIEOFF_X23Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y28 CLASS site SITEPROP TIEOFF_X23Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y28 IS_BONDED 0 SITEPROP TIEOFF_X23Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y28 IS_PAD 0 SITEPROP TIEOFF_X23Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y28 IS_RESERVED 0 SITEPROP TIEOFF_X23Y28 IS_TEST 0 SITEPROP TIEOFF_X23Y28 IS_USED 0 SITEPROP TIEOFF_X23Y28 MANUAL_ROUTING SITEPROP TIEOFF_X23Y28 NAME TIEOFF_X23Y28 SITEPROP TIEOFF_X23Y28 NUM_ARCS 0 SITEPROP TIEOFF_X23Y28 NUM_BELS 2 SITEPROP TIEOFF_X23Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y28 NUM_PINS 2 SITEPROP TIEOFF_X23Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y28 PROHIBIT 0 SITEPROP TIEOFF_X23Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y28 RPM_X 92 SITEPROP TIEOFF_X23Y28 RPM_Y 56 SITEPROP TIEOFF_X23Y28 SITE_PIPS SITEPROP TIEOFF_X23Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y29 CLASS site SITEPROP TIEOFF_X23Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y29 IS_BONDED 0 SITEPROP TIEOFF_X23Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y29 IS_PAD 0 SITEPROP TIEOFF_X23Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y29 IS_RESERVED 0 SITEPROP TIEOFF_X23Y29 IS_TEST 0 SITEPROP TIEOFF_X23Y29 IS_USED 0 SITEPROP TIEOFF_X23Y29 MANUAL_ROUTING SITEPROP TIEOFF_X23Y29 NAME TIEOFF_X23Y29 SITEPROP TIEOFF_X23Y29 NUM_ARCS 0 SITEPROP TIEOFF_X23Y29 NUM_BELS 2 SITEPROP TIEOFF_X23Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y29 NUM_PINS 2 SITEPROP TIEOFF_X23Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y29 PROHIBIT 0 SITEPROP TIEOFF_X23Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y29 RPM_X 92 SITEPROP TIEOFF_X23Y29 RPM_Y 58 SITEPROP TIEOFF_X23Y29 SITE_PIPS SITEPROP TIEOFF_X23Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y30 CLASS site SITEPROP TIEOFF_X23Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y30 IS_BONDED 0 SITEPROP TIEOFF_X23Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y30 IS_PAD 0 SITEPROP TIEOFF_X23Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y30 IS_RESERVED 0 SITEPROP TIEOFF_X23Y30 IS_TEST 0 SITEPROP TIEOFF_X23Y30 IS_USED 0 SITEPROP TIEOFF_X23Y30 MANUAL_ROUTING SITEPROP TIEOFF_X23Y30 NAME TIEOFF_X23Y30 SITEPROP TIEOFF_X23Y30 NUM_ARCS 0 SITEPROP TIEOFF_X23Y30 NUM_BELS 2 SITEPROP TIEOFF_X23Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y30 NUM_PINS 2 SITEPROP TIEOFF_X23Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y30 PROHIBIT 0 SITEPROP TIEOFF_X23Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y30 RPM_X 92 SITEPROP TIEOFF_X23Y30 RPM_Y 60 SITEPROP TIEOFF_X23Y30 SITE_PIPS SITEPROP TIEOFF_X23Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y31 CLASS site SITEPROP TIEOFF_X23Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y31 IS_BONDED 0 SITEPROP TIEOFF_X23Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y31 IS_PAD 0 SITEPROP TIEOFF_X23Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y31 IS_RESERVED 0 SITEPROP TIEOFF_X23Y31 IS_TEST 0 SITEPROP TIEOFF_X23Y31 IS_USED 0 SITEPROP TIEOFF_X23Y31 MANUAL_ROUTING SITEPROP TIEOFF_X23Y31 NAME TIEOFF_X23Y31 SITEPROP TIEOFF_X23Y31 NUM_ARCS 0 SITEPROP TIEOFF_X23Y31 NUM_BELS 2 SITEPROP TIEOFF_X23Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y31 NUM_PINS 2 SITEPROP TIEOFF_X23Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y31 PROHIBIT 0 SITEPROP TIEOFF_X23Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y31 RPM_X 92 SITEPROP TIEOFF_X23Y31 RPM_Y 62 SITEPROP TIEOFF_X23Y31 SITE_PIPS SITEPROP TIEOFF_X23Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y32 CLASS site SITEPROP TIEOFF_X23Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y32 IS_BONDED 0 SITEPROP TIEOFF_X23Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y32 IS_PAD 0 SITEPROP TIEOFF_X23Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y32 IS_RESERVED 0 SITEPROP TIEOFF_X23Y32 IS_TEST 0 SITEPROP TIEOFF_X23Y32 IS_USED 0 SITEPROP TIEOFF_X23Y32 MANUAL_ROUTING SITEPROP TIEOFF_X23Y32 NAME TIEOFF_X23Y32 SITEPROP TIEOFF_X23Y32 NUM_ARCS 0 SITEPROP TIEOFF_X23Y32 NUM_BELS 2 SITEPROP TIEOFF_X23Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y32 NUM_PINS 2 SITEPROP TIEOFF_X23Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y32 PROHIBIT 0 SITEPROP TIEOFF_X23Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y32 RPM_X 92 SITEPROP TIEOFF_X23Y32 RPM_Y 64 SITEPROP TIEOFF_X23Y32 SITE_PIPS SITEPROP TIEOFF_X23Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y33 CLASS site SITEPROP TIEOFF_X23Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y33 IS_BONDED 0 SITEPROP TIEOFF_X23Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y33 IS_PAD 0 SITEPROP TIEOFF_X23Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y33 IS_RESERVED 0 SITEPROP TIEOFF_X23Y33 IS_TEST 0 SITEPROP TIEOFF_X23Y33 IS_USED 0 SITEPROP TIEOFF_X23Y33 MANUAL_ROUTING SITEPROP TIEOFF_X23Y33 NAME TIEOFF_X23Y33 SITEPROP TIEOFF_X23Y33 NUM_ARCS 0 SITEPROP TIEOFF_X23Y33 NUM_BELS 2 SITEPROP TIEOFF_X23Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y33 NUM_PINS 2 SITEPROP TIEOFF_X23Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y33 PROHIBIT 0 SITEPROP TIEOFF_X23Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y33 RPM_X 92 SITEPROP TIEOFF_X23Y33 RPM_Y 66 SITEPROP TIEOFF_X23Y33 SITE_PIPS SITEPROP TIEOFF_X23Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y34 CLASS site SITEPROP TIEOFF_X23Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y34 IS_BONDED 0 SITEPROP TIEOFF_X23Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y34 IS_PAD 0 SITEPROP TIEOFF_X23Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y34 IS_RESERVED 0 SITEPROP TIEOFF_X23Y34 IS_TEST 0 SITEPROP TIEOFF_X23Y34 IS_USED 0 SITEPROP TIEOFF_X23Y34 MANUAL_ROUTING SITEPROP TIEOFF_X23Y34 NAME TIEOFF_X23Y34 SITEPROP TIEOFF_X23Y34 NUM_ARCS 0 SITEPROP TIEOFF_X23Y34 NUM_BELS 2 SITEPROP TIEOFF_X23Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y34 NUM_PINS 2 SITEPROP TIEOFF_X23Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y34 PROHIBIT 0 SITEPROP TIEOFF_X23Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y34 RPM_X 92 SITEPROP TIEOFF_X23Y34 RPM_Y 68 SITEPROP TIEOFF_X23Y34 SITE_PIPS SITEPROP TIEOFF_X23Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y35 CLASS site SITEPROP TIEOFF_X23Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y35 IS_BONDED 0 SITEPROP TIEOFF_X23Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y35 IS_PAD 0 SITEPROP TIEOFF_X23Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y35 IS_RESERVED 0 SITEPROP TIEOFF_X23Y35 IS_TEST 0 SITEPROP TIEOFF_X23Y35 IS_USED 0 SITEPROP TIEOFF_X23Y35 MANUAL_ROUTING SITEPROP TIEOFF_X23Y35 NAME TIEOFF_X23Y35 SITEPROP TIEOFF_X23Y35 NUM_ARCS 0 SITEPROP TIEOFF_X23Y35 NUM_BELS 2 SITEPROP TIEOFF_X23Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y35 NUM_PINS 2 SITEPROP TIEOFF_X23Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y35 PROHIBIT 0 SITEPROP TIEOFF_X23Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y35 RPM_X 92 SITEPROP TIEOFF_X23Y35 RPM_Y 70 SITEPROP TIEOFF_X23Y35 SITE_PIPS SITEPROP TIEOFF_X23Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y36 CLASS site SITEPROP TIEOFF_X23Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y36 IS_BONDED 0 SITEPROP TIEOFF_X23Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y36 IS_PAD 0 SITEPROP TIEOFF_X23Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y36 IS_RESERVED 0 SITEPROP TIEOFF_X23Y36 IS_TEST 0 SITEPROP TIEOFF_X23Y36 IS_USED 0 SITEPROP TIEOFF_X23Y36 MANUAL_ROUTING SITEPROP TIEOFF_X23Y36 NAME TIEOFF_X23Y36 SITEPROP TIEOFF_X23Y36 NUM_ARCS 0 SITEPROP TIEOFF_X23Y36 NUM_BELS 2 SITEPROP TIEOFF_X23Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y36 NUM_PINS 2 SITEPROP TIEOFF_X23Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y36 PROHIBIT 0 SITEPROP TIEOFF_X23Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y36 RPM_X 92 SITEPROP TIEOFF_X23Y36 RPM_Y 72 SITEPROP TIEOFF_X23Y36 SITE_PIPS SITEPROP TIEOFF_X23Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y37 CLASS site SITEPROP TIEOFF_X23Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y37 IS_BONDED 0 SITEPROP TIEOFF_X23Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y37 IS_PAD 0 SITEPROP TIEOFF_X23Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y37 IS_RESERVED 0 SITEPROP TIEOFF_X23Y37 IS_TEST 0 SITEPROP TIEOFF_X23Y37 IS_USED 0 SITEPROP TIEOFF_X23Y37 MANUAL_ROUTING SITEPROP TIEOFF_X23Y37 NAME TIEOFF_X23Y37 SITEPROP TIEOFF_X23Y37 NUM_ARCS 0 SITEPROP TIEOFF_X23Y37 NUM_BELS 2 SITEPROP TIEOFF_X23Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y37 NUM_PINS 2 SITEPROP TIEOFF_X23Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y37 PROHIBIT 0 SITEPROP TIEOFF_X23Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y37 RPM_X 92 SITEPROP TIEOFF_X23Y37 RPM_Y 74 SITEPROP TIEOFF_X23Y37 SITE_PIPS SITEPROP TIEOFF_X23Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y38 CLASS site SITEPROP TIEOFF_X23Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y38 IS_BONDED 0 SITEPROP TIEOFF_X23Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y38 IS_PAD 0 SITEPROP TIEOFF_X23Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y38 IS_RESERVED 0 SITEPROP TIEOFF_X23Y38 IS_TEST 0 SITEPROP TIEOFF_X23Y38 IS_USED 0 SITEPROP TIEOFF_X23Y38 MANUAL_ROUTING SITEPROP TIEOFF_X23Y38 NAME TIEOFF_X23Y38 SITEPROP TIEOFF_X23Y38 NUM_ARCS 0 SITEPROP TIEOFF_X23Y38 NUM_BELS 2 SITEPROP TIEOFF_X23Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y38 NUM_PINS 2 SITEPROP TIEOFF_X23Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y38 PROHIBIT 0 SITEPROP TIEOFF_X23Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y38 RPM_X 92 SITEPROP TIEOFF_X23Y38 RPM_Y 76 SITEPROP TIEOFF_X23Y38 SITE_PIPS SITEPROP TIEOFF_X23Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y39 CLASS site SITEPROP TIEOFF_X23Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y39 IS_BONDED 0 SITEPROP TIEOFF_X23Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y39 IS_PAD 0 SITEPROP TIEOFF_X23Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y39 IS_RESERVED 0 SITEPROP TIEOFF_X23Y39 IS_TEST 0 SITEPROP TIEOFF_X23Y39 IS_USED 0 SITEPROP TIEOFF_X23Y39 MANUAL_ROUTING SITEPROP TIEOFF_X23Y39 NAME TIEOFF_X23Y39 SITEPROP TIEOFF_X23Y39 NUM_ARCS 0 SITEPROP TIEOFF_X23Y39 NUM_BELS 2 SITEPROP TIEOFF_X23Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y39 NUM_PINS 2 SITEPROP TIEOFF_X23Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y39 PROHIBIT 0 SITEPROP TIEOFF_X23Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y39 RPM_X 92 SITEPROP TIEOFF_X23Y39 RPM_Y 78 SITEPROP TIEOFF_X23Y39 SITE_PIPS SITEPROP TIEOFF_X23Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y40 CLASS site SITEPROP TIEOFF_X23Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y40 IS_BONDED 0 SITEPROP TIEOFF_X23Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y40 IS_PAD 0 SITEPROP TIEOFF_X23Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y40 IS_RESERVED 0 SITEPROP TIEOFF_X23Y40 IS_TEST 0 SITEPROP TIEOFF_X23Y40 IS_USED 0 SITEPROP TIEOFF_X23Y40 MANUAL_ROUTING SITEPROP TIEOFF_X23Y40 NAME TIEOFF_X23Y40 SITEPROP TIEOFF_X23Y40 NUM_ARCS 0 SITEPROP TIEOFF_X23Y40 NUM_BELS 2 SITEPROP TIEOFF_X23Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y40 NUM_PINS 2 SITEPROP TIEOFF_X23Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y40 PROHIBIT 0 SITEPROP TIEOFF_X23Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y40 RPM_X 92 SITEPROP TIEOFF_X23Y40 RPM_Y 80 SITEPROP TIEOFF_X23Y40 SITE_PIPS SITEPROP TIEOFF_X23Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y41 CLASS site SITEPROP TIEOFF_X23Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y41 IS_BONDED 0 SITEPROP TIEOFF_X23Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y41 IS_PAD 0 SITEPROP TIEOFF_X23Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y41 IS_RESERVED 0 SITEPROP TIEOFF_X23Y41 IS_TEST 0 SITEPROP TIEOFF_X23Y41 IS_USED 0 SITEPROP TIEOFF_X23Y41 MANUAL_ROUTING SITEPROP TIEOFF_X23Y41 NAME TIEOFF_X23Y41 SITEPROP TIEOFF_X23Y41 NUM_ARCS 0 SITEPROP TIEOFF_X23Y41 NUM_BELS 2 SITEPROP TIEOFF_X23Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y41 NUM_PINS 2 SITEPROP TIEOFF_X23Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y41 PROHIBIT 0 SITEPROP TIEOFF_X23Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y41 RPM_X 92 SITEPROP TIEOFF_X23Y41 RPM_Y 82 SITEPROP TIEOFF_X23Y41 SITE_PIPS SITEPROP TIEOFF_X23Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y42 CLASS site SITEPROP TIEOFF_X23Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y42 IS_BONDED 0 SITEPROP TIEOFF_X23Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y42 IS_PAD 0 SITEPROP TIEOFF_X23Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y42 IS_RESERVED 0 SITEPROP TIEOFF_X23Y42 IS_TEST 0 SITEPROP TIEOFF_X23Y42 IS_USED 0 SITEPROP TIEOFF_X23Y42 MANUAL_ROUTING SITEPROP TIEOFF_X23Y42 NAME TIEOFF_X23Y42 SITEPROP TIEOFF_X23Y42 NUM_ARCS 0 SITEPROP TIEOFF_X23Y42 NUM_BELS 2 SITEPROP TIEOFF_X23Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y42 NUM_PINS 2 SITEPROP TIEOFF_X23Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y42 PROHIBIT 0 SITEPROP TIEOFF_X23Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y42 RPM_X 92 SITEPROP TIEOFF_X23Y42 RPM_Y 84 SITEPROP TIEOFF_X23Y42 SITE_PIPS SITEPROP TIEOFF_X23Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y43 CLASS site SITEPROP TIEOFF_X23Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y43 IS_BONDED 0 SITEPROP TIEOFF_X23Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y43 IS_PAD 0 SITEPROP TIEOFF_X23Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y43 IS_RESERVED 0 SITEPROP TIEOFF_X23Y43 IS_TEST 0 SITEPROP TIEOFF_X23Y43 IS_USED 0 SITEPROP TIEOFF_X23Y43 MANUAL_ROUTING SITEPROP TIEOFF_X23Y43 NAME TIEOFF_X23Y43 SITEPROP TIEOFF_X23Y43 NUM_ARCS 0 SITEPROP TIEOFF_X23Y43 NUM_BELS 2 SITEPROP TIEOFF_X23Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y43 NUM_PINS 2 SITEPROP TIEOFF_X23Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y43 PROHIBIT 0 SITEPROP TIEOFF_X23Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y43 RPM_X 92 SITEPROP TIEOFF_X23Y43 RPM_Y 86 SITEPROP TIEOFF_X23Y43 SITE_PIPS SITEPROP TIEOFF_X23Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y44 CLASS site SITEPROP TIEOFF_X23Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y44 IS_BONDED 0 SITEPROP TIEOFF_X23Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y44 IS_PAD 0 SITEPROP TIEOFF_X23Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y44 IS_RESERVED 0 SITEPROP TIEOFF_X23Y44 IS_TEST 0 SITEPROP TIEOFF_X23Y44 IS_USED 0 SITEPROP TIEOFF_X23Y44 MANUAL_ROUTING SITEPROP TIEOFF_X23Y44 NAME TIEOFF_X23Y44 SITEPROP TIEOFF_X23Y44 NUM_ARCS 0 SITEPROP TIEOFF_X23Y44 NUM_BELS 2 SITEPROP TIEOFF_X23Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y44 NUM_PINS 2 SITEPROP TIEOFF_X23Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y44 PROHIBIT 0 SITEPROP TIEOFF_X23Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y44 RPM_X 92 SITEPROP TIEOFF_X23Y44 RPM_Y 88 SITEPROP TIEOFF_X23Y44 SITE_PIPS SITEPROP TIEOFF_X23Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y45 CLASS site SITEPROP TIEOFF_X23Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y45 IS_BONDED 0 SITEPROP TIEOFF_X23Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y45 IS_PAD 0 SITEPROP TIEOFF_X23Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y45 IS_RESERVED 0 SITEPROP TIEOFF_X23Y45 IS_TEST 0 SITEPROP TIEOFF_X23Y45 IS_USED 0 SITEPROP TIEOFF_X23Y45 MANUAL_ROUTING SITEPROP TIEOFF_X23Y45 NAME TIEOFF_X23Y45 SITEPROP TIEOFF_X23Y45 NUM_ARCS 0 SITEPROP TIEOFF_X23Y45 NUM_BELS 2 SITEPROP TIEOFF_X23Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y45 NUM_PINS 2 SITEPROP TIEOFF_X23Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y45 PROHIBIT 0 SITEPROP TIEOFF_X23Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y45 RPM_X 92 SITEPROP TIEOFF_X23Y45 RPM_Y 90 SITEPROP TIEOFF_X23Y45 SITE_PIPS SITEPROP TIEOFF_X23Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y46 CLASS site SITEPROP TIEOFF_X23Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y46 IS_BONDED 0 SITEPROP TIEOFF_X23Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y46 IS_PAD 0 SITEPROP TIEOFF_X23Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y46 IS_RESERVED 0 SITEPROP TIEOFF_X23Y46 IS_TEST 0 SITEPROP TIEOFF_X23Y46 IS_USED 0 SITEPROP TIEOFF_X23Y46 MANUAL_ROUTING SITEPROP TIEOFF_X23Y46 NAME TIEOFF_X23Y46 SITEPROP TIEOFF_X23Y46 NUM_ARCS 0 SITEPROP TIEOFF_X23Y46 NUM_BELS 2 SITEPROP TIEOFF_X23Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y46 NUM_PINS 2 SITEPROP TIEOFF_X23Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y46 PROHIBIT 0 SITEPROP TIEOFF_X23Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y46 RPM_X 92 SITEPROP TIEOFF_X23Y46 RPM_Y 92 SITEPROP TIEOFF_X23Y46 SITE_PIPS SITEPROP TIEOFF_X23Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y47 CLASS site SITEPROP TIEOFF_X23Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y47 IS_BONDED 0 SITEPROP TIEOFF_X23Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y47 IS_PAD 0 SITEPROP TIEOFF_X23Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y47 IS_RESERVED 0 SITEPROP TIEOFF_X23Y47 IS_TEST 0 SITEPROP TIEOFF_X23Y47 IS_USED 0 SITEPROP TIEOFF_X23Y47 MANUAL_ROUTING SITEPROP TIEOFF_X23Y47 NAME TIEOFF_X23Y47 SITEPROP TIEOFF_X23Y47 NUM_ARCS 0 SITEPROP TIEOFF_X23Y47 NUM_BELS 2 SITEPROP TIEOFF_X23Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y47 NUM_PINS 2 SITEPROP TIEOFF_X23Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y47 PROHIBIT 0 SITEPROP TIEOFF_X23Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y47 RPM_X 92 SITEPROP TIEOFF_X23Y47 RPM_Y 94 SITEPROP TIEOFF_X23Y47 SITE_PIPS SITEPROP TIEOFF_X23Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y48 CLASS site SITEPROP TIEOFF_X23Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y48 IS_BONDED 0 SITEPROP TIEOFF_X23Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y48 IS_PAD 0 SITEPROP TIEOFF_X23Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y48 IS_RESERVED 0 SITEPROP TIEOFF_X23Y48 IS_TEST 0 SITEPROP TIEOFF_X23Y48 IS_USED 0 SITEPROP TIEOFF_X23Y48 MANUAL_ROUTING SITEPROP TIEOFF_X23Y48 NAME TIEOFF_X23Y48 SITEPROP TIEOFF_X23Y48 NUM_ARCS 0 SITEPROP TIEOFF_X23Y48 NUM_BELS 2 SITEPROP TIEOFF_X23Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y48 NUM_PINS 2 SITEPROP TIEOFF_X23Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y48 PROHIBIT 0 SITEPROP TIEOFF_X23Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y48 RPM_X 92 SITEPROP TIEOFF_X23Y48 RPM_Y 96 SITEPROP TIEOFF_X23Y48 SITE_PIPS SITEPROP TIEOFF_X23Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y49 CLASS site SITEPROP TIEOFF_X23Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X23Y49 IS_BONDED 0 SITEPROP TIEOFF_X23Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y49 IS_PAD 0 SITEPROP TIEOFF_X23Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y49 IS_RESERVED 0 SITEPROP TIEOFF_X23Y49 IS_TEST 0 SITEPROP TIEOFF_X23Y49 IS_USED 0 SITEPROP TIEOFF_X23Y49 MANUAL_ROUTING SITEPROP TIEOFF_X23Y49 NAME TIEOFF_X23Y49 SITEPROP TIEOFF_X23Y49 NUM_ARCS 0 SITEPROP TIEOFF_X23Y49 NUM_BELS 2 SITEPROP TIEOFF_X23Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y49 NUM_PINS 2 SITEPROP TIEOFF_X23Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y49 PROHIBIT 0 SITEPROP TIEOFF_X23Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y49 RPM_X 92 SITEPROP TIEOFF_X23Y49 RPM_Y 98 SITEPROP TIEOFF_X23Y49 SITE_PIPS SITEPROP TIEOFF_X23Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y50 CLASS site SITEPROP TIEOFF_X23Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y50 IS_BONDED 0 SITEPROP TIEOFF_X23Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y50 IS_PAD 0 SITEPROP TIEOFF_X23Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y50 IS_RESERVED 0 SITEPROP TIEOFF_X23Y50 IS_TEST 0 SITEPROP TIEOFF_X23Y50 IS_USED 0 SITEPROP TIEOFF_X23Y50 MANUAL_ROUTING SITEPROP TIEOFF_X23Y50 NAME TIEOFF_X23Y50 SITEPROP TIEOFF_X23Y50 NUM_ARCS 0 SITEPROP TIEOFF_X23Y50 NUM_BELS 2 SITEPROP TIEOFF_X23Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y50 NUM_PINS 2 SITEPROP TIEOFF_X23Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y50 PROHIBIT 0 SITEPROP TIEOFF_X23Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y50 RPM_X 92 SITEPROP TIEOFF_X23Y50 RPM_Y 100 SITEPROP TIEOFF_X23Y50 SITE_PIPS SITEPROP TIEOFF_X23Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y51 CLASS site SITEPROP TIEOFF_X23Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y51 IS_BONDED 0 SITEPROP TIEOFF_X23Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y51 IS_PAD 0 SITEPROP TIEOFF_X23Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y51 IS_RESERVED 0 SITEPROP TIEOFF_X23Y51 IS_TEST 0 SITEPROP TIEOFF_X23Y51 IS_USED 0 SITEPROP TIEOFF_X23Y51 MANUAL_ROUTING SITEPROP TIEOFF_X23Y51 NAME TIEOFF_X23Y51 SITEPROP TIEOFF_X23Y51 NUM_ARCS 0 SITEPROP TIEOFF_X23Y51 NUM_BELS 2 SITEPROP TIEOFF_X23Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y51 NUM_PINS 2 SITEPROP TIEOFF_X23Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y51 PROHIBIT 0 SITEPROP TIEOFF_X23Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y51 RPM_X 92 SITEPROP TIEOFF_X23Y51 RPM_Y 102 SITEPROP TIEOFF_X23Y51 SITE_PIPS SITEPROP TIEOFF_X23Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y52 CLASS site SITEPROP TIEOFF_X23Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y52 IS_BONDED 0 SITEPROP TIEOFF_X23Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y52 IS_PAD 0 SITEPROP TIEOFF_X23Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y52 IS_RESERVED 0 SITEPROP TIEOFF_X23Y52 IS_TEST 0 SITEPROP TIEOFF_X23Y52 IS_USED 0 SITEPROP TIEOFF_X23Y52 MANUAL_ROUTING SITEPROP TIEOFF_X23Y52 NAME TIEOFF_X23Y52 SITEPROP TIEOFF_X23Y52 NUM_ARCS 0 SITEPROP TIEOFF_X23Y52 NUM_BELS 2 SITEPROP TIEOFF_X23Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y52 NUM_PINS 2 SITEPROP TIEOFF_X23Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y52 PROHIBIT 0 SITEPROP TIEOFF_X23Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y52 RPM_X 92 SITEPROP TIEOFF_X23Y52 RPM_Y 104 SITEPROP TIEOFF_X23Y52 SITE_PIPS SITEPROP TIEOFF_X23Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y53 CLASS site SITEPROP TIEOFF_X23Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y53 IS_BONDED 0 SITEPROP TIEOFF_X23Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y53 IS_PAD 0 SITEPROP TIEOFF_X23Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y53 IS_RESERVED 0 SITEPROP TIEOFF_X23Y53 IS_TEST 0 SITEPROP TIEOFF_X23Y53 IS_USED 0 SITEPROP TIEOFF_X23Y53 MANUAL_ROUTING SITEPROP TIEOFF_X23Y53 NAME TIEOFF_X23Y53 SITEPROP TIEOFF_X23Y53 NUM_ARCS 0 SITEPROP TIEOFF_X23Y53 NUM_BELS 2 SITEPROP TIEOFF_X23Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y53 NUM_PINS 2 SITEPROP TIEOFF_X23Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y53 PROHIBIT 0 SITEPROP TIEOFF_X23Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y53 RPM_X 92 SITEPROP TIEOFF_X23Y53 RPM_Y 106 SITEPROP TIEOFF_X23Y53 SITE_PIPS SITEPROP TIEOFF_X23Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y54 CLASS site SITEPROP TIEOFF_X23Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y54 IS_BONDED 0 SITEPROP TIEOFF_X23Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y54 IS_PAD 0 SITEPROP TIEOFF_X23Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y54 IS_RESERVED 0 SITEPROP TIEOFF_X23Y54 IS_TEST 0 SITEPROP TIEOFF_X23Y54 IS_USED 0 SITEPROP TIEOFF_X23Y54 MANUAL_ROUTING SITEPROP TIEOFF_X23Y54 NAME TIEOFF_X23Y54 SITEPROP TIEOFF_X23Y54 NUM_ARCS 0 SITEPROP TIEOFF_X23Y54 NUM_BELS 2 SITEPROP TIEOFF_X23Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y54 NUM_PINS 2 SITEPROP TIEOFF_X23Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y54 PROHIBIT 0 SITEPROP TIEOFF_X23Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y54 RPM_X 92 SITEPROP TIEOFF_X23Y54 RPM_Y 108 SITEPROP TIEOFF_X23Y54 SITE_PIPS SITEPROP TIEOFF_X23Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y55 CLASS site SITEPROP TIEOFF_X23Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y55 IS_BONDED 0 SITEPROP TIEOFF_X23Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y55 IS_PAD 0 SITEPROP TIEOFF_X23Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y55 IS_RESERVED 0 SITEPROP TIEOFF_X23Y55 IS_TEST 0 SITEPROP TIEOFF_X23Y55 IS_USED 0 SITEPROP TIEOFF_X23Y55 MANUAL_ROUTING SITEPROP TIEOFF_X23Y55 NAME TIEOFF_X23Y55 SITEPROP TIEOFF_X23Y55 NUM_ARCS 0 SITEPROP TIEOFF_X23Y55 NUM_BELS 2 SITEPROP TIEOFF_X23Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y55 NUM_PINS 2 SITEPROP TIEOFF_X23Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y55 PROHIBIT 0 SITEPROP TIEOFF_X23Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y55 RPM_X 92 SITEPROP TIEOFF_X23Y55 RPM_Y 110 SITEPROP TIEOFF_X23Y55 SITE_PIPS SITEPROP TIEOFF_X23Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y56 CLASS site SITEPROP TIEOFF_X23Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y56 IS_BONDED 0 SITEPROP TIEOFF_X23Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y56 IS_PAD 0 SITEPROP TIEOFF_X23Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y56 IS_RESERVED 0 SITEPROP TIEOFF_X23Y56 IS_TEST 0 SITEPROP TIEOFF_X23Y56 IS_USED 0 SITEPROP TIEOFF_X23Y56 MANUAL_ROUTING SITEPROP TIEOFF_X23Y56 NAME TIEOFF_X23Y56 SITEPROP TIEOFF_X23Y56 NUM_ARCS 0 SITEPROP TIEOFF_X23Y56 NUM_BELS 2 SITEPROP TIEOFF_X23Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y56 NUM_PINS 2 SITEPROP TIEOFF_X23Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y56 PROHIBIT 0 SITEPROP TIEOFF_X23Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y56 RPM_X 92 SITEPROP TIEOFF_X23Y56 RPM_Y 112 SITEPROP TIEOFF_X23Y56 SITE_PIPS SITEPROP TIEOFF_X23Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y57 CLASS site SITEPROP TIEOFF_X23Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y57 IS_BONDED 0 SITEPROP TIEOFF_X23Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y57 IS_PAD 0 SITEPROP TIEOFF_X23Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y57 IS_RESERVED 0 SITEPROP TIEOFF_X23Y57 IS_TEST 0 SITEPROP TIEOFF_X23Y57 IS_USED 0 SITEPROP TIEOFF_X23Y57 MANUAL_ROUTING SITEPROP TIEOFF_X23Y57 NAME TIEOFF_X23Y57 SITEPROP TIEOFF_X23Y57 NUM_ARCS 0 SITEPROP TIEOFF_X23Y57 NUM_BELS 2 SITEPROP TIEOFF_X23Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y57 NUM_PINS 2 SITEPROP TIEOFF_X23Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y57 PROHIBIT 0 SITEPROP TIEOFF_X23Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y57 RPM_X 92 SITEPROP TIEOFF_X23Y57 RPM_Y 114 SITEPROP TIEOFF_X23Y57 SITE_PIPS SITEPROP TIEOFF_X23Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y58 CLASS site SITEPROP TIEOFF_X23Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y58 IS_BONDED 0 SITEPROP TIEOFF_X23Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y58 IS_PAD 0 SITEPROP TIEOFF_X23Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y58 IS_RESERVED 0 SITEPROP TIEOFF_X23Y58 IS_TEST 0 SITEPROP TIEOFF_X23Y58 IS_USED 0 SITEPROP TIEOFF_X23Y58 MANUAL_ROUTING SITEPROP TIEOFF_X23Y58 NAME TIEOFF_X23Y58 SITEPROP TIEOFF_X23Y58 NUM_ARCS 0 SITEPROP TIEOFF_X23Y58 NUM_BELS 2 SITEPROP TIEOFF_X23Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y58 NUM_PINS 2 SITEPROP TIEOFF_X23Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y58 PROHIBIT 0 SITEPROP TIEOFF_X23Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y58 RPM_X 92 SITEPROP TIEOFF_X23Y58 RPM_Y 116 SITEPROP TIEOFF_X23Y58 SITE_PIPS SITEPROP TIEOFF_X23Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y59 CLASS site SITEPROP TIEOFF_X23Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y59 IS_BONDED 0 SITEPROP TIEOFF_X23Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y59 IS_PAD 0 SITEPROP TIEOFF_X23Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y59 IS_RESERVED 0 SITEPROP TIEOFF_X23Y59 IS_TEST 0 SITEPROP TIEOFF_X23Y59 IS_USED 0 SITEPROP TIEOFF_X23Y59 MANUAL_ROUTING SITEPROP TIEOFF_X23Y59 NAME TIEOFF_X23Y59 SITEPROP TIEOFF_X23Y59 NUM_ARCS 0 SITEPROP TIEOFF_X23Y59 NUM_BELS 2 SITEPROP TIEOFF_X23Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y59 NUM_PINS 2 SITEPROP TIEOFF_X23Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y59 PROHIBIT 0 SITEPROP TIEOFF_X23Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y59 RPM_X 92 SITEPROP TIEOFF_X23Y59 RPM_Y 118 SITEPROP TIEOFF_X23Y59 SITE_PIPS SITEPROP TIEOFF_X23Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y60 CLASS site SITEPROP TIEOFF_X23Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y60 IS_BONDED 0 SITEPROP TIEOFF_X23Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y60 IS_PAD 0 SITEPROP TIEOFF_X23Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y60 IS_RESERVED 0 SITEPROP TIEOFF_X23Y60 IS_TEST 0 SITEPROP TIEOFF_X23Y60 IS_USED 0 SITEPROP TIEOFF_X23Y60 MANUAL_ROUTING SITEPROP TIEOFF_X23Y60 NAME TIEOFF_X23Y60 SITEPROP TIEOFF_X23Y60 NUM_ARCS 0 SITEPROP TIEOFF_X23Y60 NUM_BELS 2 SITEPROP TIEOFF_X23Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y60 NUM_PINS 2 SITEPROP TIEOFF_X23Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y60 PROHIBIT 0 SITEPROP TIEOFF_X23Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y60 RPM_X 92 SITEPROP TIEOFF_X23Y60 RPM_Y 120 SITEPROP TIEOFF_X23Y60 SITE_PIPS SITEPROP TIEOFF_X23Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y61 CLASS site SITEPROP TIEOFF_X23Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y61 IS_BONDED 0 SITEPROP TIEOFF_X23Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y61 IS_PAD 0 SITEPROP TIEOFF_X23Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y61 IS_RESERVED 0 SITEPROP TIEOFF_X23Y61 IS_TEST 0 SITEPROP TIEOFF_X23Y61 IS_USED 0 SITEPROP TIEOFF_X23Y61 MANUAL_ROUTING SITEPROP TIEOFF_X23Y61 NAME TIEOFF_X23Y61 SITEPROP TIEOFF_X23Y61 NUM_ARCS 0 SITEPROP TIEOFF_X23Y61 NUM_BELS 2 SITEPROP TIEOFF_X23Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y61 NUM_PINS 2 SITEPROP TIEOFF_X23Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y61 PROHIBIT 0 SITEPROP TIEOFF_X23Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y61 RPM_X 92 SITEPROP TIEOFF_X23Y61 RPM_Y 122 SITEPROP TIEOFF_X23Y61 SITE_PIPS SITEPROP TIEOFF_X23Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y62 CLASS site SITEPROP TIEOFF_X23Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y62 IS_BONDED 0 SITEPROP TIEOFF_X23Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y62 IS_PAD 0 SITEPROP TIEOFF_X23Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y62 IS_RESERVED 0 SITEPROP TIEOFF_X23Y62 IS_TEST 0 SITEPROP TIEOFF_X23Y62 IS_USED 0 SITEPROP TIEOFF_X23Y62 MANUAL_ROUTING SITEPROP TIEOFF_X23Y62 NAME TIEOFF_X23Y62 SITEPROP TIEOFF_X23Y62 NUM_ARCS 0 SITEPROP TIEOFF_X23Y62 NUM_BELS 2 SITEPROP TIEOFF_X23Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y62 NUM_PINS 2 SITEPROP TIEOFF_X23Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y62 PROHIBIT 0 SITEPROP TIEOFF_X23Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y62 RPM_X 92 SITEPROP TIEOFF_X23Y62 RPM_Y 124 SITEPROP TIEOFF_X23Y62 SITE_PIPS SITEPROP TIEOFF_X23Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y63 CLASS site SITEPROP TIEOFF_X23Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y63 IS_BONDED 0 SITEPROP TIEOFF_X23Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y63 IS_PAD 0 SITEPROP TIEOFF_X23Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y63 IS_RESERVED 0 SITEPROP TIEOFF_X23Y63 IS_TEST 0 SITEPROP TIEOFF_X23Y63 IS_USED 0 SITEPROP TIEOFF_X23Y63 MANUAL_ROUTING SITEPROP TIEOFF_X23Y63 NAME TIEOFF_X23Y63 SITEPROP TIEOFF_X23Y63 NUM_ARCS 0 SITEPROP TIEOFF_X23Y63 NUM_BELS 2 SITEPROP TIEOFF_X23Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y63 NUM_PINS 2 SITEPROP TIEOFF_X23Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y63 PROHIBIT 0 SITEPROP TIEOFF_X23Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y63 RPM_X 92 SITEPROP TIEOFF_X23Y63 RPM_Y 126 SITEPROP TIEOFF_X23Y63 SITE_PIPS SITEPROP TIEOFF_X23Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y64 CLASS site SITEPROP TIEOFF_X23Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y64 IS_BONDED 0 SITEPROP TIEOFF_X23Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y64 IS_PAD 0 SITEPROP TIEOFF_X23Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y64 IS_RESERVED 0 SITEPROP TIEOFF_X23Y64 IS_TEST 0 SITEPROP TIEOFF_X23Y64 IS_USED 0 SITEPROP TIEOFF_X23Y64 MANUAL_ROUTING SITEPROP TIEOFF_X23Y64 NAME TIEOFF_X23Y64 SITEPROP TIEOFF_X23Y64 NUM_ARCS 0 SITEPROP TIEOFF_X23Y64 NUM_BELS 2 SITEPROP TIEOFF_X23Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y64 NUM_PINS 2 SITEPROP TIEOFF_X23Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y64 PROHIBIT 0 SITEPROP TIEOFF_X23Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y64 RPM_X 92 SITEPROP TIEOFF_X23Y64 RPM_Y 128 SITEPROP TIEOFF_X23Y64 SITE_PIPS SITEPROP TIEOFF_X23Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y65 CLASS site SITEPROP TIEOFF_X23Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y65 IS_BONDED 0 SITEPROP TIEOFF_X23Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y65 IS_PAD 0 SITEPROP TIEOFF_X23Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y65 IS_RESERVED 0 SITEPROP TIEOFF_X23Y65 IS_TEST 0 SITEPROP TIEOFF_X23Y65 IS_USED 0 SITEPROP TIEOFF_X23Y65 MANUAL_ROUTING SITEPROP TIEOFF_X23Y65 NAME TIEOFF_X23Y65 SITEPROP TIEOFF_X23Y65 NUM_ARCS 0 SITEPROP TIEOFF_X23Y65 NUM_BELS 2 SITEPROP TIEOFF_X23Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y65 NUM_PINS 2 SITEPROP TIEOFF_X23Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y65 PROHIBIT 0 SITEPROP TIEOFF_X23Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y65 RPM_X 92 SITEPROP TIEOFF_X23Y65 RPM_Y 130 SITEPROP TIEOFF_X23Y65 SITE_PIPS SITEPROP TIEOFF_X23Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y66 CLASS site SITEPROP TIEOFF_X23Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y66 IS_BONDED 0 SITEPROP TIEOFF_X23Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y66 IS_PAD 0 SITEPROP TIEOFF_X23Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y66 IS_RESERVED 0 SITEPROP TIEOFF_X23Y66 IS_TEST 0 SITEPROP TIEOFF_X23Y66 IS_USED 0 SITEPROP TIEOFF_X23Y66 MANUAL_ROUTING SITEPROP TIEOFF_X23Y66 NAME TIEOFF_X23Y66 SITEPROP TIEOFF_X23Y66 NUM_ARCS 0 SITEPROP TIEOFF_X23Y66 NUM_BELS 2 SITEPROP TIEOFF_X23Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y66 NUM_PINS 2 SITEPROP TIEOFF_X23Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y66 PROHIBIT 0 SITEPROP TIEOFF_X23Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y66 RPM_X 92 SITEPROP TIEOFF_X23Y66 RPM_Y 132 SITEPROP TIEOFF_X23Y66 SITE_PIPS SITEPROP TIEOFF_X23Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y67 CLASS site SITEPROP TIEOFF_X23Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y67 IS_BONDED 0 SITEPROP TIEOFF_X23Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y67 IS_PAD 0 SITEPROP TIEOFF_X23Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y67 IS_RESERVED 0 SITEPROP TIEOFF_X23Y67 IS_TEST 0 SITEPROP TIEOFF_X23Y67 IS_USED 0 SITEPROP TIEOFF_X23Y67 MANUAL_ROUTING SITEPROP TIEOFF_X23Y67 NAME TIEOFF_X23Y67 SITEPROP TIEOFF_X23Y67 NUM_ARCS 0 SITEPROP TIEOFF_X23Y67 NUM_BELS 2 SITEPROP TIEOFF_X23Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y67 NUM_PINS 2 SITEPROP TIEOFF_X23Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y67 PROHIBIT 0 SITEPROP TIEOFF_X23Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y67 RPM_X 92 SITEPROP TIEOFF_X23Y67 RPM_Y 134 SITEPROP TIEOFF_X23Y67 SITE_PIPS SITEPROP TIEOFF_X23Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y68 CLASS site SITEPROP TIEOFF_X23Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y68 IS_BONDED 0 SITEPROP TIEOFF_X23Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y68 IS_PAD 0 SITEPROP TIEOFF_X23Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y68 IS_RESERVED 0 SITEPROP TIEOFF_X23Y68 IS_TEST 0 SITEPROP TIEOFF_X23Y68 IS_USED 0 SITEPROP TIEOFF_X23Y68 MANUAL_ROUTING SITEPROP TIEOFF_X23Y68 NAME TIEOFF_X23Y68 SITEPROP TIEOFF_X23Y68 NUM_ARCS 0 SITEPROP TIEOFF_X23Y68 NUM_BELS 2 SITEPROP TIEOFF_X23Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y68 NUM_PINS 2 SITEPROP TIEOFF_X23Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y68 PROHIBIT 0 SITEPROP TIEOFF_X23Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y68 RPM_X 92 SITEPROP TIEOFF_X23Y68 RPM_Y 136 SITEPROP TIEOFF_X23Y68 SITE_PIPS SITEPROP TIEOFF_X23Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y69 CLASS site SITEPROP TIEOFF_X23Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y69 IS_BONDED 0 SITEPROP TIEOFF_X23Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y69 IS_PAD 0 SITEPROP TIEOFF_X23Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y69 IS_RESERVED 0 SITEPROP TIEOFF_X23Y69 IS_TEST 0 SITEPROP TIEOFF_X23Y69 IS_USED 0 SITEPROP TIEOFF_X23Y69 MANUAL_ROUTING SITEPROP TIEOFF_X23Y69 NAME TIEOFF_X23Y69 SITEPROP TIEOFF_X23Y69 NUM_ARCS 0 SITEPROP TIEOFF_X23Y69 NUM_BELS 2 SITEPROP TIEOFF_X23Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y69 NUM_PINS 2 SITEPROP TIEOFF_X23Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y69 PROHIBIT 0 SITEPROP TIEOFF_X23Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y69 RPM_X 92 SITEPROP TIEOFF_X23Y69 RPM_Y 138 SITEPROP TIEOFF_X23Y69 SITE_PIPS SITEPROP TIEOFF_X23Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y70 CLASS site SITEPROP TIEOFF_X23Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y70 IS_BONDED 0 SITEPROP TIEOFF_X23Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y70 IS_PAD 0 SITEPROP TIEOFF_X23Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y70 IS_RESERVED 0 SITEPROP TIEOFF_X23Y70 IS_TEST 0 SITEPROP TIEOFF_X23Y70 IS_USED 0 SITEPROP TIEOFF_X23Y70 MANUAL_ROUTING SITEPROP TIEOFF_X23Y70 NAME TIEOFF_X23Y70 SITEPROP TIEOFF_X23Y70 NUM_ARCS 0 SITEPROP TIEOFF_X23Y70 NUM_BELS 2 SITEPROP TIEOFF_X23Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y70 NUM_PINS 2 SITEPROP TIEOFF_X23Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y70 PROHIBIT 0 SITEPROP TIEOFF_X23Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y70 RPM_X 92 SITEPROP TIEOFF_X23Y70 RPM_Y 140 SITEPROP TIEOFF_X23Y70 SITE_PIPS SITEPROP TIEOFF_X23Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y71 CLASS site SITEPROP TIEOFF_X23Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y71 IS_BONDED 0 SITEPROP TIEOFF_X23Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y71 IS_PAD 0 SITEPROP TIEOFF_X23Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y71 IS_RESERVED 0 SITEPROP TIEOFF_X23Y71 IS_TEST 0 SITEPROP TIEOFF_X23Y71 IS_USED 0 SITEPROP TIEOFF_X23Y71 MANUAL_ROUTING SITEPROP TIEOFF_X23Y71 NAME TIEOFF_X23Y71 SITEPROP TIEOFF_X23Y71 NUM_ARCS 0 SITEPROP TIEOFF_X23Y71 NUM_BELS 2 SITEPROP TIEOFF_X23Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y71 NUM_PINS 2 SITEPROP TIEOFF_X23Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y71 PROHIBIT 0 SITEPROP TIEOFF_X23Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y71 RPM_X 92 SITEPROP TIEOFF_X23Y71 RPM_Y 142 SITEPROP TIEOFF_X23Y71 SITE_PIPS SITEPROP TIEOFF_X23Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y72 CLASS site SITEPROP TIEOFF_X23Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y72 IS_BONDED 0 SITEPROP TIEOFF_X23Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y72 IS_PAD 0 SITEPROP TIEOFF_X23Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y72 IS_RESERVED 0 SITEPROP TIEOFF_X23Y72 IS_TEST 0 SITEPROP TIEOFF_X23Y72 IS_USED 0 SITEPROP TIEOFF_X23Y72 MANUAL_ROUTING SITEPROP TIEOFF_X23Y72 NAME TIEOFF_X23Y72 SITEPROP TIEOFF_X23Y72 NUM_ARCS 0 SITEPROP TIEOFF_X23Y72 NUM_BELS 2 SITEPROP TIEOFF_X23Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y72 NUM_PINS 2 SITEPROP TIEOFF_X23Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y72 PROHIBIT 0 SITEPROP TIEOFF_X23Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y72 RPM_X 92 SITEPROP TIEOFF_X23Y72 RPM_Y 144 SITEPROP TIEOFF_X23Y72 SITE_PIPS SITEPROP TIEOFF_X23Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y73 CLASS site SITEPROP TIEOFF_X23Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y73 IS_BONDED 0 SITEPROP TIEOFF_X23Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y73 IS_PAD 0 SITEPROP TIEOFF_X23Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y73 IS_RESERVED 0 SITEPROP TIEOFF_X23Y73 IS_TEST 0 SITEPROP TIEOFF_X23Y73 IS_USED 0 SITEPROP TIEOFF_X23Y73 MANUAL_ROUTING SITEPROP TIEOFF_X23Y73 NAME TIEOFF_X23Y73 SITEPROP TIEOFF_X23Y73 NUM_ARCS 0 SITEPROP TIEOFF_X23Y73 NUM_BELS 2 SITEPROP TIEOFF_X23Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y73 NUM_PINS 2 SITEPROP TIEOFF_X23Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y73 PROHIBIT 0 SITEPROP TIEOFF_X23Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y73 RPM_X 92 SITEPROP TIEOFF_X23Y73 RPM_Y 146 SITEPROP TIEOFF_X23Y73 SITE_PIPS SITEPROP TIEOFF_X23Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y74 CLASS site SITEPROP TIEOFF_X23Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y74 IS_BONDED 0 SITEPROP TIEOFF_X23Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y74 IS_PAD 0 SITEPROP TIEOFF_X23Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y74 IS_RESERVED 0 SITEPROP TIEOFF_X23Y74 IS_TEST 0 SITEPROP TIEOFF_X23Y74 IS_USED 0 SITEPROP TIEOFF_X23Y74 MANUAL_ROUTING SITEPROP TIEOFF_X23Y74 NAME TIEOFF_X23Y74 SITEPROP TIEOFF_X23Y74 NUM_ARCS 0 SITEPROP TIEOFF_X23Y74 NUM_BELS 2 SITEPROP TIEOFF_X23Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y74 NUM_PINS 2 SITEPROP TIEOFF_X23Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y74 PROHIBIT 0 SITEPROP TIEOFF_X23Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y74 RPM_X 92 SITEPROP TIEOFF_X23Y74 RPM_Y 148 SITEPROP TIEOFF_X23Y74 SITE_PIPS SITEPROP TIEOFF_X23Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y75 CLASS site SITEPROP TIEOFF_X23Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y75 IS_BONDED 0 SITEPROP TIEOFF_X23Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y75 IS_PAD 0 SITEPROP TIEOFF_X23Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y75 IS_RESERVED 0 SITEPROP TIEOFF_X23Y75 IS_TEST 0 SITEPROP TIEOFF_X23Y75 IS_USED 0 SITEPROP TIEOFF_X23Y75 MANUAL_ROUTING SITEPROP TIEOFF_X23Y75 NAME TIEOFF_X23Y75 SITEPROP TIEOFF_X23Y75 NUM_ARCS 0 SITEPROP TIEOFF_X23Y75 NUM_BELS 2 SITEPROP TIEOFF_X23Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y75 NUM_PINS 2 SITEPROP TIEOFF_X23Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y75 PROHIBIT 0 SITEPROP TIEOFF_X23Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y75 RPM_X 92 SITEPROP TIEOFF_X23Y75 RPM_Y 150 SITEPROP TIEOFF_X23Y75 SITE_PIPS SITEPROP TIEOFF_X23Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y76 CLASS site SITEPROP TIEOFF_X23Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y76 IS_BONDED 0 SITEPROP TIEOFF_X23Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y76 IS_PAD 0 SITEPROP TIEOFF_X23Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y76 IS_RESERVED 0 SITEPROP TIEOFF_X23Y76 IS_TEST 0 SITEPROP TIEOFF_X23Y76 IS_USED 0 SITEPROP TIEOFF_X23Y76 MANUAL_ROUTING SITEPROP TIEOFF_X23Y76 NAME TIEOFF_X23Y76 SITEPROP TIEOFF_X23Y76 NUM_ARCS 0 SITEPROP TIEOFF_X23Y76 NUM_BELS 2 SITEPROP TIEOFF_X23Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y76 NUM_PINS 2 SITEPROP TIEOFF_X23Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y76 PROHIBIT 0 SITEPROP TIEOFF_X23Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y76 RPM_X 92 SITEPROP TIEOFF_X23Y76 RPM_Y 152 SITEPROP TIEOFF_X23Y76 SITE_PIPS SITEPROP TIEOFF_X23Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y77 CLASS site SITEPROP TIEOFF_X23Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y77 IS_BONDED 0 SITEPROP TIEOFF_X23Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y77 IS_PAD 0 SITEPROP TIEOFF_X23Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y77 IS_RESERVED 0 SITEPROP TIEOFF_X23Y77 IS_TEST 0 SITEPROP TIEOFF_X23Y77 IS_USED 0 SITEPROP TIEOFF_X23Y77 MANUAL_ROUTING SITEPROP TIEOFF_X23Y77 NAME TIEOFF_X23Y77 SITEPROP TIEOFF_X23Y77 NUM_ARCS 0 SITEPROP TIEOFF_X23Y77 NUM_BELS 2 SITEPROP TIEOFF_X23Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y77 NUM_PINS 2 SITEPROP TIEOFF_X23Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y77 PROHIBIT 0 SITEPROP TIEOFF_X23Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y77 RPM_X 92 SITEPROP TIEOFF_X23Y77 RPM_Y 154 SITEPROP TIEOFF_X23Y77 SITE_PIPS SITEPROP TIEOFF_X23Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y78 CLASS site SITEPROP TIEOFF_X23Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y78 IS_BONDED 0 SITEPROP TIEOFF_X23Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y78 IS_PAD 0 SITEPROP TIEOFF_X23Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y78 IS_RESERVED 0 SITEPROP TIEOFF_X23Y78 IS_TEST 0 SITEPROP TIEOFF_X23Y78 IS_USED 0 SITEPROP TIEOFF_X23Y78 MANUAL_ROUTING SITEPROP TIEOFF_X23Y78 NAME TIEOFF_X23Y78 SITEPROP TIEOFF_X23Y78 NUM_ARCS 0 SITEPROP TIEOFF_X23Y78 NUM_BELS 2 SITEPROP TIEOFF_X23Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y78 NUM_PINS 2 SITEPROP TIEOFF_X23Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y78 PROHIBIT 0 SITEPROP TIEOFF_X23Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y78 RPM_X 92 SITEPROP TIEOFF_X23Y78 RPM_Y 156 SITEPROP TIEOFF_X23Y78 SITE_PIPS SITEPROP TIEOFF_X23Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y79 CLASS site SITEPROP TIEOFF_X23Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y79 IS_BONDED 0 SITEPROP TIEOFF_X23Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y79 IS_PAD 0 SITEPROP TIEOFF_X23Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y79 IS_RESERVED 0 SITEPROP TIEOFF_X23Y79 IS_TEST 0 SITEPROP TIEOFF_X23Y79 IS_USED 0 SITEPROP TIEOFF_X23Y79 MANUAL_ROUTING SITEPROP TIEOFF_X23Y79 NAME TIEOFF_X23Y79 SITEPROP TIEOFF_X23Y79 NUM_ARCS 0 SITEPROP TIEOFF_X23Y79 NUM_BELS 2 SITEPROP TIEOFF_X23Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y79 NUM_PINS 2 SITEPROP TIEOFF_X23Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y79 PROHIBIT 0 SITEPROP TIEOFF_X23Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y79 RPM_X 92 SITEPROP TIEOFF_X23Y79 RPM_Y 158 SITEPROP TIEOFF_X23Y79 SITE_PIPS SITEPROP TIEOFF_X23Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y80 CLASS site SITEPROP TIEOFF_X23Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y80 IS_BONDED 0 SITEPROP TIEOFF_X23Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y80 IS_PAD 0 SITEPROP TIEOFF_X23Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y80 IS_RESERVED 0 SITEPROP TIEOFF_X23Y80 IS_TEST 0 SITEPROP TIEOFF_X23Y80 IS_USED 0 SITEPROP TIEOFF_X23Y80 MANUAL_ROUTING SITEPROP TIEOFF_X23Y80 NAME TIEOFF_X23Y80 SITEPROP TIEOFF_X23Y80 NUM_ARCS 0 SITEPROP TIEOFF_X23Y80 NUM_BELS 2 SITEPROP TIEOFF_X23Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y80 NUM_PINS 2 SITEPROP TIEOFF_X23Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y80 PROHIBIT 0 SITEPROP TIEOFF_X23Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y80 RPM_X 92 SITEPROP TIEOFF_X23Y80 RPM_Y 160 SITEPROP TIEOFF_X23Y80 SITE_PIPS SITEPROP TIEOFF_X23Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y81 CLASS site SITEPROP TIEOFF_X23Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y81 IS_BONDED 0 SITEPROP TIEOFF_X23Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y81 IS_PAD 0 SITEPROP TIEOFF_X23Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y81 IS_RESERVED 0 SITEPROP TIEOFF_X23Y81 IS_TEST 0 SITEPROP TIEOFF_X23Y81 IS_USED 0 SITEPROP TIEOFF_X23Y81 MANUAL_ROUTING SITEPROP TIEOFF_X23Y81 NAME TIEOFF_X23Y81 SITEPROP TIEOFF_X23Y81 NUM_ARCS 0 SITEPROP TIEOFF_X23Y81 NUM_BELS 2 SITEPROP TIEOFF_X23Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y81 NUM_PINS 2 SITEPROP TIEOFF_X23Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y81 PROHIBIT 0 SITEPROP TIEOFF_X23Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y81 RPM_X 92 SITEPROP TIEOFF_X23Y81 RPM_Y 162 SITEPROP TIEOFF_X23Y81 SITE_PIPS SITEPROP TIEOFF_X23Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y82 CLASS site SITEPROP TIEOFF_X23Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y82 IS_BONDED 0 SITEPROP TIEOFF_X23Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y82 IS_PAD 0 SITEPROP TIEOFF_X23Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y82 IS_RESERVED 0 SITEPROP TIEOFF_X23Y82 IS_TEST 0 SITEPROP TIEOFF_X23Y82 IS_USED 0 SITEPROP TIEOFF_X23Y82 MANUAL_ROUTING SITEPROP TIEOFF_X23Y82 NAME TIEOFF_X23Y82 SITEPROP TIEOFF_X23Y82 NUM_ARCS 0 SITEPROP TIEOFF_X23Y82 NUM_BELS 2 SITEPROP TIEOFF_X23Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y82 NUM_PINS 2 SITEPROP TIEOFF_X23Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y82 PROHIBIT 0 SITEPROP TIEOFF_X23Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y82 RPM_X 92 SITEPROP TIEOFF_X23Y82 RPM_Y 164 SITEPROP TIEOFF_X23Y82 SITE_PIPS SITEPROP TIEOFF_X23Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y83 CLASS site SITEPROP TIEOFF_X23Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y83 IS_BONDED 0 SITEPROP TIEOFF_X23Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y83 IS_PAD 0 SITEPROP TIEOFF_X23Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y83 IS_RESERVED 0 SITEPROP TIEOFF_X23Y83 IS_TEST 0 SITEPROP TIEOFF_X23Y83 IS_USED 0 SITEPROP TIEOFF_X23Y83 MANUAL_ROUTING SITEPROP TIEOFF_X23Y83 NAME TIEOFF_X23Y83 SITEPROP TIEOFF_X23Y83 NUM_ARCS 0 SITEPROP TIEOFF_X23Y83 NUM_BELS 2 SITEPROP TIEOFF_X23Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y83 NUM_PINS 2 SITEPROP TIEOFF_X23Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y83 PROHIBIT 0 SITEPROP TIEOFF_X23Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y83 RPM_X 92 SITEPROP TIEOFF_X23Y83 RPM_Y 166 SITEPROP TIEOFF_X23Y83 SITE_PIPS SITEPROP TIEOFF_X23Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y84 CLASS site SITEPROP TIEOFF_X23Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y84 IS_BONDED 0 SITEPROP TIEOFF_X23Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y84 IS_PAD 0 SITEPROP TIEOFF_X23Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y84 IS_RESERVED 0 SITEPROP TIEOFF_X23Y84 IS_TEST 0 SITEPROP TIEOFF_X23Y84 IS_USED 0 SITEPROP TIEOFF_X23Y84 MANUAL_ROUTING SITEPROP TIEOFF_X23Y84 NAME TIEOFF_X23Y84 SITEPROP TIEOFF_X23Y84 NUM_ARCS 0 SITEPROP TIEOFF_X23Y84 NUM_BELS 2 SITEPROP TIEOFF_X23Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y84 NUM_PINS 2 SITEPROP TIEOFF_X23Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y84 PROHIBIT 0 SITEPROP TIEOFF_X23Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y84 RPM_X 92 SITEPROP TIEOFF_X23Y84 RPM_Y 168 SITEPROP TIEOFF_X23Y84 SITE_PIPS SITEPROP TIEOFF_X23Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y85 CLASS site SITEPROP TIEOFF_X23Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y85 IS_BONDED 0 SITEPROP TIEOFF_X23Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y85 IS_PAD 0 SITEPROP TIEOFF_X23Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y85 IS_RESERVED 0 SITEPROP TIEOFF_X23Y85 IS_TEST 0 SITEPROP TIEOFF_X23Y85 IS_USED 0 SITEPROP TIEOFF_X23Y85 MANUAL_ROUTING SITEPROP TIEOFF_X23Y85 NAME TIEOFF_X23Y85 SITEPROP TIEOFF_X23Y85 NUM_ARCS 0 SITEPROP TIEOFF_X23Y85 NUM_BELS 2 SITEPROP TIEOFF_X23Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y85 NUM_PINS 2 SITEPROP TIEOFF_X23Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y85 PROHIBIT 0 SITEPROP TIEOFF_X23Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y85 RPM_X 92 SITEPROP TIEOFF_X23Y85 RPM_Y 170 SITEPROP TIEOFF_X23Y85 SITE_PIPS SITEPROP TIEOFF_X23Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y86 CLASS site SITEPROP TIEOFF_X23Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y86 IS_BONDED 0 SITEPROP TIEOFF_X23Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y86 IS_PAD 0 SITEPROP TIEOFF_X23Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y86 IS_RESERVED 0 SITEPROP TIEOFF_X23Y86 IS_TEST 0 SITEPROP TIEOFF_X23Y86 IS_USED 0 SITEPROP TIEOFF_X23Y86 MANUAL_ROUTING SITEPROP TIEOFF_X23Y86 NAME TIEOFF_X23Y86 SITEPROP TIEOFF_X23Y86 NUM_ARCS 0 SITEPROP TIEOFF_X23Y86 NUM_BELS 2 SITEPROP TIEOFF_X23Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y86 NUM_PINS 2 SITEPROP TIEOFF_X23Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y86 PROHIBIT 0 SITEPROP TIEOFF_X23Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y86 RPM_X 92 SITEPROP TIEOFF_X23Y86 RPM_Y 172 SITEPROP TIEOFF_X23Y86 SITE_PIPS SITEPROP TIEOFF_X23Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y87 CLASS site SITEPROP TIEOFF_X23Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y87 IS_BONDED 0 SITEPROP TIEOFF_X23Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y87 IS_PAD 0 SITEPROP TIEOFF_X23Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y87 IS_RESERVED 0 SITEPROP TIEOFF_X23Y87 IS_TEST 0 SITEPROP TIEOFF_X23Y87 IS_USED 0 SITEPROP TIEOFF_X23Y87 MANUAL_ROUTING SITEPROP TIEOFF_X23Y87 NAME TIEOFF_X23Y87 SITEPROP TIEOFF_X23Y87 NUM_ARCS 0 SITEPROP TIEOFF_X23Y87 NUM_BELS 2 SITEPROP TIEOFF_X23Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y87 NUM_PINS 2 SITEPROP TIEOFF_X23Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y87 PROHIBIT 0 SITEPROP TIEOFF_X23Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y87 RPM_X 92 SITEPROP TIEOFF_X23Y87 RPM_Y 174 SITEPROP TIEOFF_X23Y87 SITE_PIPS SITEPROP TIEOFF_X23Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y88 CLASS site SITEPROP TIEOFF_X23Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y88 IS_BONDED 0 SITEPROP TIEOFF_X23Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y88 IS_PAD 0 SITEPROP TIEOFF_X23Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y88 IS_RESERVED 0 SITEPROP TIEOFF_X23Y88 IS_TEST 0 SITEPROP TIEOFF_X23Y88 IS_USED 0 SITEPROP TIEOFF_X23Y88 MANUAL_ROUTING SITEPROP TIEOFF_X23Y88 NAME TIEOFF_X23Y88 SITEPROP TIEOFF_X23Y88 NUM_ARCS 0 SITEPROP TIEOFF_X23Y88 NUM_BELS 2 SITEPROP TIEOFF_X23Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y88 NUM_PINS 2 SITEPROP TIEOFF_X23Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y88 PROHIBIT 0 SITEPROP TIEOFF_X23Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y88 RPM_X 92 SITEPROP TIEOFF_X23Y88 RPM_Y 176 SITEPROP TIEOFF_X23Y88 SITE_PIPS SITEPROP TIEOFF_X23Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y89 CLASS site SITEPROP TIEOFF_X23Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y89 IS_BONDED 0 SITEPROP TIEOFF_X23Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y89 IS_PAD 0 SITEPROP TIEOFF_X23Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y89 IS_RESERVED 0 SITEPROP TIEOFF_X23Y89 IS_TEST 0 SITEPROP TIEOFF_X23Y89 IS_USED 0 SITEPROP TIEOFF_X23Y89 MANUAL_ROUTING SITEPROP TIEOFF_X23Y89 NAME TIEOFF_X23Y89 SITEPROP TIEOFF_X23Y89 NUM_ARCS 0 SITEPROP TIEOFF_X23Y89 NUM_BELS 2 SITEPROP TIEOFF_X23Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y89 NUM_PINS 2 SITEPROP TIEOFF_X23Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y89 PROHIBIT 0 SITEPROP TIEOFF_X23Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y89 RPM_X 92 SITEPROP TIEOFF_X23Y89 RPM_Y 178 SITEPROP TIEOFF_X23Y89 SITE_PIPS SITEPROP TIEOFF_X23Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y90 CLASS site SITEPROP TIEOFF_X23Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y90 IS_BONDED 0 SITEPROP TIEOFF_X23Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y90 IS_PAD 0 SITEPROP TIEOFF_X23Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y90 IS_RESERVED 0 SITEPROP TIEOFF_X23Y90 IS_TEST 0 SITEPROP TIEOFF_X23Y90 IS_USED 0 SITEPROP TIEOFF_X23Y90 MANUAL_ROUTING SITEPROP TIEOFF_X23Y90 NAME TIEOFF_X23Y90 SITEPROP TIEOFF_X23Y90 NUM_ARCS 0 SITEPROP TIEOFF_X23Y90 NUM_BELS 2 SITEPROP TIEOFF_X23Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y90 NUM_PINS 2 SITEPROP TIEOFF_X23Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y90 PROHIBIT 0 SITEPROP TIEOFF_X23Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y90 RPM_X 92 SITEPROP TIEOFF_X23Y90 RPM_Y 180 SITEPROP TIEOFF_X23Y90 SITE_PIPS SITEPROP TIEOFF_X23Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y91 CLASS site SITEPROP TIEOFF_X23Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y91 IS_BONDED 0 SITEPROP TIEOFF_X23Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y91 IS_PAD 0 SITEPROP TIEOFF_X23Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y91 IS_RESERVED 0 SITEPROP TIEOFF_X23Y91 IS_TEST 0 SITEPROP TIEOFF_X23Y91 IS_USED 0 SITEPROP TIEOFF_X23Y91 MANUAL_ROUTING SITEPROP TIEOFF_X23Y91 NAME TIEOFF_X23Y91 SITEPROP TIEOFF_X23Y91 NUM_ARCS 0 SITEPROP TIEOFF_X23Y91 NUM_BELS 2 SITEPROP TIEOFF_X23Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y91 NUM_PINS 2 SITEPROP TIEOFF_X23Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y91 PROHIBIT 0 SITEPROP TIEOFF_X23Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y91 RPM_X 92 SITEPROP TIEOFF_X23Y91 RPM_Y 182 SITEPROP TIEOFF_X23Y91 SITE_PIPS SITEPROP TIEOFF_X23Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y92 CLASS site SITEPROP TIEOFF_X23Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y92 IS_BONDED 0 SITEPROP TIEOFF_X23Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y92 IS_PAD 0 SITEPROP TIEOFF_X23Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y92 IS_RESERVED 0 SITEPROP TIEOFF_X23Y92 IS_TEST 0 SITEPROP TIEOFF_X23Y92 IS_USED 0 SITEPROP TIEOFF_X23Y92 MANUAL_ROUTING SITEPROP TIEOFF_X23Y92 NAME TIEOFF_X23Y92 SITEPROP TIEOFF_X23Y92 NUM_ARCS 0 SITEPROP TIEOFF_X23Y92 NUM_BELS 2 SITEPROP TIEOFF_X23Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y92 NUM_PINS 2 SITEPROP TIEOFF_X23Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y92 PROHIBIT 0 SITEPROP TIEOFF_X23Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y92 RPM_X 92 SITEPROP TIEOFF_X23Y92 RPM_Y 184 SITEPROP TIEOFF_X23Y92 SITE_PIPS SITEPROP TIEOFF_X23Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y93 CLASS site SITEPROP TIEOFF_X23Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y93 IS_BONDED 0 SITEPROP TIEOFF_X23Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y93 IS_PAD 0 SITEPROP TIEOFF_X23Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y93 IS_RESERVED 0 SITEPROP TIEOFF_X23Y93 IS_TEST 0 SITEPROP TIEOFF_X23Y93 IS_USED 0 SITEPROP TIEOFF_X23Y93 MANUAL_ROUTING SITEPROP TIEOFF_X23Y93 NAME TIEOFF_X23Y93 SITEPROP TIEOFF_X23Y93 NUM_ARCS 0 SITEPROP TIEOFF_X23Y93 NUM_BELS 2 SITEPROP TIEOFF_X23Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y93 NUM_PINS 2 SITEPROP TIEOFF_X23Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y93 PROHIBIT 0 SITEPROP TIEOFF_X23Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y93 RPM_X 92 SITEPROP TIEOFF_X23Y93 RPM_Y 186 SITEPROP TIEOFF_X23Y93 SITE_PIPS SITEPROP TIEOFF_X23Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y94 CLASS site SITEPROP TIEOFF_X23Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y94 IS_BONDED 0 SITEPROP TIEOFF_X23Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y94 IS_PAD 0 SITEPROP TIEOFF_X23Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y94 IS_RESERVED 0 SITEPROP TIEOFF_X23Y94 IS_TEST 0 SITEPROP TIEOFF_X23Y94 IS_USED 0 SITEPROP TIEOFF_X23Y94 MANUAL_ROUTING SITEPROP TIEOFF_X23Y94 NAME TIEOFF_X23Y94 SITEPROP TIEOFF_X23Y94 NUM_ARCS 0 SITEPROP TIEOFF_X23Y94 NUM_BELS 2 SITEPROP TIEOFF_X23Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y94 NUM_PINS 2 SITEPROP TIEOFF_X23Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y94 PROHIBIT 0 SITEPROP TIEOFF_X23Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y94 RPM_X 92 SITEPROP TIEOFF_X23Y94 RPM_Y 188 SITEPROP TIEOFF_X23Y94 SITE_PIPS SITEPROP TIEOFF_X23Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y95 CLASS site SITEPROP TIEOFF_X23Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y95 IS_BONDED 0 SITEPROP TIEOFF_X23Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y95 IS_PAD 0 SITEPROP TIEOFF_X23Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y95 IS_RESERVED 0 SITEPROP TIEOFF_X23Y95 IS_TEST 0 SITEPROP TIEOFF_X23Y95 IS_USED 0 SITEPROP TIEOFF_X23Y95 MANUAL_ROUTING SITEPROP TIEOFF_X23Y95 NAME TIEOFF_X23Y95 SITEPROP TIEOFF_X23Y95 NUM_ARCS 0 SITEPROP TIEOFF_X23Y95 NUM_BELS 2 SITEPROP TIEOFF_X23Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y95 NUM_PINS 2 SITEPROP TIEOFF_X23Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y95 PROHIBIT 0 SITEPROP TIEOFF_X23Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y95 RPM_X 92 SITEPROP TIEOFF_X23Y95 RPM_Y 190 SITEPROP TIEOFF_X23Y95 SITE_PIPS SITEPROP TIEOFF_X23Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y96 CLASS site SITEPROP TIEOFF_X23Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y96 IS_BONDED 0 SITEPROP TIEOFF_X23Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y96 IS_PAD 0 SITEPROP TIEOFF_X23Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y96 IS_RESERVED 0 SITEPROP TIEOFF_X23Y96 IS_TEST 0 SITEPROP TIEOFF_X23Y96 IS_USED 0 SITEPROP TIEOFF_X23Y96 MANUAL_ROUTING SITEPROP TIEOFF_X23Y96 NAME TIEOFF_X23Y96 SITEPROP TIEOFF_X23Y96 NUM_ARCS 0 SITEPROP TIEOFF_X23Y96 NUM_BELS 2 SITEPROP TIEOFF_X23Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y96 NUM_PINS 2 SITEPROP TIEOFF_X23Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y96 PROHIBIT 0 SITEPROP TIEOFF_X23Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y96 RPM_X 92 SITEPROP TIEOFF_X23Y96 RPM_Y 192 SITEPROP TIEOFF_X23Y96 SITE_PIPS SITEPROP TIEOFF_X23Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y97 CLASS site SITEPROP TIEOFF_X23Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y97 IS_BONDED 0 SITEPROP TIEOFF_X23Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y97 IS_PAD 0 SITEPROP TIEOFF_X23Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y97 IS_RESERVED 0 SITEPROP TIEOFF_X23Y97 IS_TEST 0 SITEPROP TIEOFF_X23Y97 IS_USED 0 SITEPROP TIEOFF_X23Y97 MANUAL_ROUTING SITEPROP TIEOFF_X23Y97 NAME TIEOFF_X23Y97 SITEPROP TIEOFF_X23Y97 NUM_ARCS 0 SITEPROP TIEOFF_X23Y97 NUM_BELS 2 SITEPROP TIEOFF_X23Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y97 NUM_PINS 2 SITEPROP TIEOFF_X23Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y97 PROHIBIT 0 SITEPROP TIEOFF_X23Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y97 RPM_X 92 SITEPROP TIEOFF_X23Y97 RPM_Y 194 SITEPROP TIEOFF_X23Y97 SITE_PIPS SITEPROP TIEOFF_X23Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y98 CLASS site SITEPROP TIEOFF_X23Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y98 IS_BONDED 0 SITEPROP TIEOFF_X23Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y98 IS_PAD 0 SITEPROP TIEOFF_X23Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y98 IS_RESERVED 0 SITEPROP TIEOFF_X23Y98 IS_TEST 0 SITEPROP TIEOFF_X23Y98 IS_USED 0 SITEPROP TIEOFF_X23Y98 MANUAL_ROUTING SITEPROP TIEOFF_X23Y98 NAME TIEOFF_X23Y98 SITEPROP TIEOFF_X23Y98 NUM_ARCS 0 SITEPROP TIEOFF_X23Y98 NUM_BELS 2 SITEPROP TIEOFF_X23Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y98 NUM_PINS 2 SITEPROP TIEOFF_X23Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y98 PROHIBIT 0 SITEPROP TIEOFF_X23Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y98 RPM_X 92 SITEPROP TIEOFF_X23Y98 RPM_Y 196 SITEPROP TIEOFF_X23Y98 SITE_PIPS SITEPROP TIEOFF_X23Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y99 CLASS site SITEPROP TIEOFF_X23Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X23Y99 IS_BONDED 0 SITEPROP TIEOFF_X23Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y99 IS_PAD 0 SITEPROP TIEOFF_X23Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y99 IS_RESERVED 0 SITEPROP TIEOFF_X23Y99 IS_TEST 0 SITEPROP TIEOFF_X23Y99 IS_USED 0 SITEPROP TIEOFF_X23Y99 MANUAL_ROUTING SITEPROP TIEOFF_X23Y99 NAME TIEOFF_X23Y99 SITEPROP TIEOFF_X23Y99 NUM_ARCS 0 SITEPROP TIEOFF_X23Y99 NUM_BELS 2 SITEPROP TIEOFF_X23Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y99 NUM_PINS 2 SITEPROP TIEOFF_X23Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y99 PROHIBIT 0 SITEPROP TIEOFF_X23Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y99 RPM_X 92 SITEPROP TIEOFF_X23Y99 RPM_Y 198 SITEPROP TIEOFF_X23Y99 SITE_PIPS SITEPROP TIEOFF_X23Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y100 CLASS site SITEPROP TIEOFF_X23Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y100 IS_BONDED 0 SITEPROP TIEOFF_X23Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y100 IS_PAD 0 SITEPROP TIEOFF_X23Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y100 IS_RESERVED 0 SITEPROP TIEOFF_X23Y100 IS_TEST 0 SITEPROP TIEOFF_X23Y100 IS_USED 0 SITEPROP TIEOFF_X23Y100 MANUAL_ROUTING SITEPROP TIEOFF_X23Y100 NAME TIEOFF_X23Y100 SITEPROP TIEOFF_X23Y100 NUM_ARCS 0 SITEPROP TIEOFF_X23Y100 NUM_BELS 2 SITEPROP TIEOFF_X23Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y100 NUM_PINS 2 SITEPROP TIEOFF_X23Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y100 PROHIBIT 0 SITEPROP TIEOFF_X23Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y100 RPM_X 92 SITEPROP TIEOFF_X23Y100 RPM_Y 200 SITEPROP TIEOFF_X23Y100 SITE_PIPS SITEPROP TIEOFF_X23Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y101 CLASS site SITEPROP TIEOFF_X23Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y101 IS_BONDED 0 SITEPROP TIEOFF_X23Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y101 IS_PAD 0 SITEPROP TIEOFF_X23Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y101 IS_RESERVED 0 SITEPROP TIEOFF_X23Y101 IS_TEST 0 SITEPROP TIEOFF_X23Y101 IS_USED 0 SITEPROP TIEOFF_X23Y101 MANUAL_ROUTING SITEPROP TIEOFF_X23Y101 NAME TIEOFF_X23Y101 SITEPROP TIEOFF_X23Y101 NUM_ARCS 0 SITEPROP TIEOFF_X23Y101 NUM_BELS 2 SITEPROP TIEOFF_X23Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y101 NUM_PINS 2 SITEPROP TIEOFF_X23Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y101 PROHIBIT 0 SITEPROP TIEOFF_X23Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y101 RPM_X 92 SITEPROP TIEOFF_X23Y101 RPM_Y 202 SITEPROP TIEOFF_X23Y101 SITE_PIPS SITEPROP TIEOFF_X23Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y102 CLASS site SITEPROP TIEOFF_X23Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y102 IS_BONDED 0 SITEPROP TIEOFF_X23Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y102 IS_PAD 0 SITEPROP TIEOFF_X23Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y102 IS_RESERVED 0 SITEPROP TIEOFF_X23Y102 IS_TEST 0 SITEPROP TIEOFF_X23Y102 IS_USED 0 SITEPROP TIEOFF_X23Y102 MANUAL_ROUTING SITEPROP TIEOFF_X23Y102 NAME TIEOFF_X23Y102 SITEPROP TIEOFF_X23Y102 NUM_ARCS 0 SITEPROP TIEOFF_X23Y102 NUM_BELS 2 SITEPROP TIEOFF_X23Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y102 NUM_PINS 2 SITEPROP TIEOFF_X23Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y102 PROHIBIT 0 SITEPROP TIEOFF_X23Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y102 RPM_X 92 SITEPROP TIEOFF_X23Y102 RPM_Y 204 SITEPROP TIEOFF_X23Y102 SITE_PIPS SITEPROP TIEOFF_X23Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y103 CLASS site SITEPROP TIEOFF_X23Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y103 IS_BONDED 0 SITEPROP TIEOFF_X23Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y103 IS_PAD 0 SITEPROP TIEOFF_X23Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y103 IS_RESERVED 0 SITEPROP TIEOFF_X23Y103 IS_TEST 0 SITEPROP TIEOFF_X23Y103 IS_USED 0 SITEPROP TIEOFF_X23Y103 MANUAL_ROUTING SITEPROP TIEOFF_X23Y103 NAME TIEOFF_X23Y103 SITEPROP TIEOFF_X23Y103 NUM_ARCS 0 SITEPROP TIEOFF_X23Y103 NUM_BELS 2 SITEPROP TIEOFF_X23Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y103 NUM_PINS 2 SITEPROP TIEOFF_X23Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y103 PROHIBIT 0 SITEPROP TIEOFF_X23Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y103 RPM_X 92 SITEPROP TIEOFF_X23Y103 RPM_Y 206 SITEPROP TIEOFF_X23Y103 SITE_PIPS SITEPROP TIEOFF_X23Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y104 CLASS site SITEPROP TIEOFF_X23Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y104 IS_BONDED 0 SITEPROP TIEOFF_X23Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y104 IS_PAD 0 SITEPROP TIEOFF_X23Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y104 IS_RESERVED 0 SITEPROP TIEOFF_X23Y104 IS_TEST 0 SITEPROP TIEOFF_X23Y104 IS_USED 0 SITEPROP TIEOFF_X23Y104 MANUAL_ROUTING SITEPROP TIEOFF_X23Y104 NAME TIEOFF_X23Y104 SITEPROP TIEOFF_X23Y104 NUM_ARCS 0 SITEPROP TIEOFF_X23Y104 NUM_BELS 2 SITEPROP TIEOFF_X23Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y104 NUM_PINS 2 SITEPROP TIEOFF_X23Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y104 PROHIBIT 0 SITEPROP TIEOFF_X23Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y104 RPM_X 92 SITEPROP TIEOFF_X23Y104 RPM_Y 208 SITEPROP TIEOFF_X23Y104 SITE_PIPS SITEPROP TIEOFF_X23Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y105 CLASS site SITEPROP TIEOFF_X23Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y105 IS_BONDED 0 SITEPROP TIEOFF_X23Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y105 IS_PAD 0 SITEPROP TIEOFF_X23Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y105 IS_RESERVED 0 SITEPROP TIEOFF_X23Y105 IS_TEST 0 SITEPROP TIEOFF_X23Y105 IS_USED 0 SITEPROP TIEOFF_X23Y105 MANUAL_ROUTING SITEPROP TIEOFF_X23Y105 NAME TIEOFF_X23Y105 SITEPROP TIEOFF_X23Y105 NUM_ARCS 0 SITEPROP TIEOFF_X23Y105 NUM_BELS 2 SITEPROP TIEOFF_X23Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y105 NUM_PINS 2 SITEPROP TIEOFF_X23Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y105 PROHIBIT 0 SITEPROP TIEOFF_X23Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y105 RPM_X 92 SITEPROP TIEOFF_X23Y105 RPM_Y 210 SITEPROP TIEOFF_X23Y105 SITE_PIPS SITEPROP TIEOFF_X23Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y106 CLASS site SITEPROP TIEOFF_X23Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y106 IS_BONDED 0 SITEPROP TIEOFF_X23Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y106 IS_PAD 0 SITEPROP TIEOFF_X23Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y106 IS_RESERVED 0 SITEPROP TIEOFF_X23Y106 IS_TEST 0 SITEPROP TIEOFF_X23Y106 IS_USED 0 SITEPROP TIEOFF_X23Y106 MANUAL_ROUTING SITEPROP TIEOFF_X23Y106 NAME TIEOFF_X23Y106 SITEPROP TIEOFF_X23Y106 NUM_ARCS 0 SITEPROP TIEOFF_X23Y106 NUM_BELS 2 SITEPROP TIEOFF_X23Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y106 NUM_PINS 2 SITEPROP TIEOFF_X23Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y106 PROHIBIT 0 SITEPROP TIEOFF_X23Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y106 RPM_X 92 SITEPROP TIEOFF_X23Y106 RPM_Y 212 SITEPROP TIEOFF_X23Y106 SITE_PIPS SITEPROP TIEOFF_X23Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y107 CLASS site SITEPROP TIEOFF_X23Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y107 IS_BONDED 0 SITEPROP TIEOFF_X23Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y107 IS_PAD 0 SITEPROP TIEOFF_X23Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y107 IS_RESERVED 0 SITEPROP TIEOFF_X23Y107 IS_TEST 0 SITEPROP TIEOFF_X23Y107 IS_USED 0 SITEPROP TIEOFF_X23Y107 MANUAL_ROUTING SITEPROP TIEOFF_X23Y107 NAME TIEOFF_X23Y107 SITEPROP TIEOFF_X23Y107 NUM_ARCS 0 SITEPROP TIEOFF_X23Y107 NUM_BELS 2 SITEPROP TIEOFF_X23Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y107 NUM_PINS 2 SITEPROP TIEOFF_X23Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y107 PROHIBIT 0 SITEPROP TIEOFF_X23Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y107 RPM_X 92 SITEPROP TIEOFF_X23Y107 RPM_Y 214 SITEPROP TIEOFF_X23Y107 SITE_PIPS SITEPROP TIEOFF_X23Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y108 CLASS site SITEPROP TIEOFF_X23Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y108 IS_BONDED 0 SITEPROP TIEOFF_X23Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y108 IS_PAD 0 SITEPROP TIEOFF_X23Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y108 IS_RESERVED 0 SITEPROP TIEOFF_X23Y108 IS_TEST 0 SITEPROP TIEOFF_X23Y108 IS_USED 0 SITEPROP TIEOFF_X23Y108 MANUAL_ROUTING SITEPROP TIEOFF_X23Y108 NAME TIEOFF_X23Y108 SITEPROP TIEOFF_X23Y108 NUM_ARCS 0 SITEPROP TIEOFF_X23Y108 NUM_BELS 2 SITEPROP TIEOFF_X23Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y108 NUM_PINS 2 SITEPROP TIEOFF_X23Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y108 PROHIBIT 0 SITEPROP TIEOFF_X23Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y108 RPM_X 92 SITEPROP TIEOFF_X23Y108 RPM_Y 216 SITEPROP TIEOFF_X23Y108 SITE_PIPS SITEPROP TIEOFF_X23Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y109 CLASS site SITEPROP TIEOFF_X23Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y109 IS_BONDED 0 SITEPROP TIEOFF_X23Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y109 IS_PAD 0 SITEPROP TIEOFF_X23Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y109 IS_RESERVED 0 SITEPROP TIEOFF_X23Y109 IS_TEST 0 SITEPROP TIEOFF_X23Y109 IS_USED 0 SITEPROP TIEOFF_X23Y109 MANUAL_ROUTING SITEPROP TIEOFF_X23Y109 NAME TIEOFF_X23Y109 SITEPROP TIEOFF_X23Y109 NUM_ARCS 0 SITEPROP TIEOFF_X23Y109 NUM_BELS 2 SITEPROP TIEOFF_X23Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y109 NUM_PINS 2 SITEPROP TIEOFF_X23Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y109 PROHIBIT 0 SITEPROP TIEOFF_X23Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y109 RPM_X 92 SITEPROP TIEOFF_X23Y109 RPM_Y 218 SITEPROP TIEOFF_X23Y109 SITE_PIPS SITEPROP TIEOFF_X23Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y110 CLASS site SITEPROP TIEOFF_X23Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y110 IS_BONDED 0 SITEPROP TIEOFF_X23Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y110 IS_PAD 0 SITEPROP TIEOFF_X23Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y110 IS_RESERVED 0 SITEPROP TIEOFF_X23Y110 IS_TEST 0 SITEPROP TIEOFF_X23Y110 IS_USED 0 SITEPROP TIEOFF_X23Y110 MANUAL_ROUTING SITEPROP TIEOFF_X23Y110 NAME TIEOFF_X23Y110 SITEPROP TIEOFF_X23Y110 NUM_ARCS 0 SITEPROP TIEOFF_X23Y110 NUM_BELS 2 SITEPROP TIEOFF_X23Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y110 NUM_PINS 2 SITEPROP TIEOFF_X23Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y110 PROHIBIT 0 SITEPROP TIEOFF_X23Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y110 RPM_X 92 SITEPROP TIEOFF_X23Y110 RPM_Y 220 SITEPROP TIEOFF_X23Y110 SITE_PIPS SITEPROP TIEOFF_X23Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y111 CLASS site SITEPROP TIEOFF_X23Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y111 IS_BONDED 0 SITEPROP TIEOFF_X23Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y111 IS_PAD 0 SITEPROP TIEOFF_X23Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y111 IS_RESERVED 0 SITEPROP TIEOFF_X23Y111 IS_TEST 0 SITEPROP TIEOFF_X23Y111 IS_USED 0 SITEPROP TIEOFF_X23Y111 MANUAL_ROUTING SITEPROP TIEOFF_X23Y111 NAME TIEOFF_X23Y111 SITEPROP TIEOFF_X23Y111 NUM_ARCS 0 SITEPROP TIEOFF_X23Y111 NUM_BELS 2 SITEPROP TIEOFF_X23Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y111 NUM_PINS 2 SITEPROP TIEOFF_X23Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y111 PROHIBIT 0 SITEPROP TIEOFF_X23Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y111 RPM_X 92 SITEPROP TIEOFF_X23Y111 RPM_Y 222 SITEPROP TIEOFF_X23Y111 SITE_PIPS SITEPROP TIEOFF_X23Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y112 CLASS site SITEPROP TIEOFF_X23Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y112 IS_BONDED 0 SITEPROP TIEOFF_X23Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y112 IS_PAD 0 SITEPROP TIEOFF_X23Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y112 IS_RESERVED 0 SITEPROP TIEOFF_X23Y112 IS_TEST 0 SITEPROP TIEOFF_X23Y112 IS_USED 0 SITEPROP TIEOFF_X23Y112 MANUAL_ROUTING SITEPROP TIEOFF_X23Y112 NAME TIEOFF_X23Y112 SITEPROP TIEOFF_X23Y112 NUM_ARCS 0 SITEPROP TIEOFF_X23Y112 NUM_BELS 2 SITEPROP TIEOFF_X23Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y112 NUM_PINS 2 SITEPROP TIEOFF_X23Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y112 PROHIBIT 0 SITEPROP TIEOFF_X23Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y112 RPM_X 92 SITEPROP TIEOFF_X23Y112 RPM_Y 224 SITEPROP TIEOFF_X23Y112 SITE_PIPS SITEPROP TIEOFF_X23Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y113 CLASS site SITEPROP TIEOFF_X23Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y113 IS_BONDED 0 SITEPROP TIEOFF_X23Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y113 IS_PAD 0 SITEPROP TIEOFF_X23Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y113 IS_RESERVED 0 SITEPROP TIEOFF_X23Y113 IS_TEST 0 SITEPROP TIEOFF_X23Y113 IS_USED 0 SITEPROP TIEOFF_X23Y113 MANUAL_ROUTING SITEPROP TIEOFF_X23Y113 NAME TIEOFF_X23Y113 SITEPROP TIEOFF_X23Y113 NUM_ARCS 0 SITEPROP TIEOFF_X23Y113 NUM_BELS 2 SITEPROP TIEOFF_X23Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y113 NUM_PINS 2 SITEPROP TIEOFF_X23Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y113 PROHIBIT 0 SITEPROP TIEOFF_X23Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y113 RPM_X 92 SITEPROP TIEOFF_X23Y113 RPM_Y 226 SITEPROP TIEOFF_X23Y113 SITE_PIPS SITEPROP TIEOFF_X23Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y114 CLASS site SITEPROP TIEOFF_X23Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y114 IS_BONDED 0 SITEPROP TIEOFF_X23Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y114 IS_PAD 0 SITEPROP TIEOFF_X23Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y114 IS_RESERVED 0 SITEPROP TIEOFF_X23Y114 IS_TEST 0 SITEPROP TIEOFF_X23Y114 IS_USED 0 SITEPROP TIEOFF_X23Y114 MANUAL_ROUTING SITEPROP TIEOFF_X23Y114 NAME TIEOFF_X23Y114 SITEPROP TIEOFF_X23Y114 NUM_ARCS 0 SITEPROP TIEOFF_X23Y114 NUM_BELS 2 SITEPROP TIEOFF_X23Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y114 NUM_PINS 2 SITEPROP TIEOFF_X23Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y114 PROHIBIT 0 SITEPROP TIEOFF_X23Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y114 RPM_X 92 SITEPROP TIEOFF_X23Y114 RPM_Y 228 SITEPROP TIEOFF_X23Y114 SITE_PIPS SITEPROP TIEOFF_X23Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y115 CLASS site SITEPROP TIEOFF_X23Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y115 IS_BONDED 0 SITEPROP TIEOFF_X23Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y115 IS_PAD 0 SITEPROP TIEOFF_X23Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y115 IS_RESERVED 0 SITEPROP TIEOFF_X23Y115 IS_TEST 0 SITEPROP TIEOFF_X23Y115 IS_USED 0 SITEPROP TIEOFF_X23Y115 MANUAL_ROUTING SITEPROP TIEOFF_X23Y115 NAME TIEOFF_X23Y115 SITEPROP TIEOFF_X23Y115 NUM_ARCS 0 SITEPROP TIEOFF_X23Y115 NUM_BELS 2 SITEPROP TIEOFF_X23Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y115 NUM_PINS 2 SITEPROP TIEOFF_X23Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y115 PROHIBIT 0 SITEPROP TIEOFF_X23Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y115 RPM_X 92 SITEPROP TIEOFF_X23Y115 RPM_Y 230 SITEPROP TIEOFF_X23Y115 SITE_PIPS SITEPROP TIEOFF_X23Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y116 CLASS site SITEPROP TIEOFF_X23Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y116 IS_BONDED 0 SITEPROP TIEOFF_X23Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y116 IS_PAD 0 SITEPROP TIEOFF_X23Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y116 IS_RESERVED 0 SITEPROP TIEOFF_X23Y116 IS_TEST 0 SITEPROP TIEOFF_X23Y116 IS_USED 0 SITEPROP TIEOFF_X23Y116 MANUAL_ROUTING SITEPROP TIEOFF_X23Y116 NAME TIEOFF_X23Y116 SITEPROP TIEOFF_X23Y116 NUM_ARCS 0 SITEPROP TIEOFF_X23Y116 NUM_BELS 2 SITEPROP TIEOFF_X23Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y116 NUM_PINS 2 SITEPROP TIEOFF_X23Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y116 PROHIBIT 0 SITEPROP TIEOFF_X23Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y116 RPM_X 92 SITEPROP TIEOFF_X23Y116 RPM_Y 232 SITEPROP TIEOFF_X23Y116 SITE_PIPS SITEPROP TIEOFF_X23Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y117 CLASS site SITEPROP TIEOFF_X23Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y117 IS_BONDED 0 SITEPROP TIEOFF_X23Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y117 IS_PAD 0 SITEPROP TIEOFF_X23Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y117 IS_RESERVED 0 SITEPROP TIEOFF_X23Y117 IS_TEST 0 SITEPROP TIEOFF_X23Y117 IS_USED 0 SITEPROP TIEOFF_X23Y117 MANUAL_ROUTING SITEPROP TIEOFF_X23Y117 NAME TIEOFF_X23Y117 SITEPROP TIEOFF_X23Y117 NUM_ARCS 0 SITEPROP TIEOFF_X23Y117 NUM_BELS 2 SITEPROP TIEOFF_X23Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y117 NUM_PINS 2 SITEPROP TIEOFF_X23Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y117 PROHIBIT 0 SITEPROP TIEOFF_X23Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y117 RPM_X 92 SITEPROP TIEOFF_X23Y117 RPM_Y 234 SITEPROP TIEOFF_X23Y117 SITE_PIPS SITEPROP TIEOFF_X23Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y118 CLASS site SITEPROP TIEOFF_X23Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y118 IS_BONDED 0 SITEPROP TIEOFF_X23Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y118 IS_PAD 0 SITEPROP TIEOFF_X23Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y118 IS_RESERVED 0 SITEPROP TIEOFF_X23Y118 IS_TEST 0 SITEPROP TIEOFF_X23Y118 IS_USED 0 SITEPROP TIEOFF_X23Y118 MANUAL_ROUTING SITEPROP TIEOFF_X23Y118 NAME TIEOFF_X23Y118 SITEPROP TIEOFF_X23Y118 NUM_ARCS 0 SITEPROP TIEOFF_X23Y118 NUM_BELS 2 SITEPROP TIEOFF_X23Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y118 NUM_PINS 2 SITEPROP TIEOFF_X23Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y118 PROHIBIT 0 SITEPROP TIEOFF_X23Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y118 RPM_X 92 SITEPROP TIEOFF_X23Y118 RPM_Y 236 SITEPROP TIEOFF_X23Y118 SITE_PIPS SITEPROP TIEOFF_X23Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y119 CLASS site SITEPROP TIEOFF_X23Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y119 IS_BONDED 0 SITEPROP TIEOFF_X23Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y119 IS_PAD 0 SITEPROP TIEOFF_X23Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y119 IS_RESERVED 0 SITEPROP TIEOFF_X23Y119 IS_TEST 0 SITEPROP TIEOFF_X23Y119 IS_USED 0 SITEPROP TIEOFF_X23Y119 MANUAL_ROUTING SITEPROP TIEOFF_X23Y119 NAME TIEOFF_X23Y119 SITEPROP TIEOFF_X23Y119 NUM_ARCS 0 SITEPROP TIEOFF_X23Y119 NUM_BELS 2 SITEPROP TIEOFF_X23Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y119 NUM_PINS 2 SITEPROP TIEOFF_X23Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y119 PROHIBIT 0 SITEPROP TIEOFF_X23Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y119 RPM_X 92 SITEPROP TIEOFF_X23Y119 RPM_Y 238 SITEPROP TIEOFF_X23Y119 SITE_PIPS SITEPROP TIEOFF_X23Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y120 CLASS site SITEPROP TIEOFF_X23Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y120 IS_BONDED 0 SITEPROP TIEOFF_X23Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y120 IS_PAD 0 SITEPROP TIEOFF_X23Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y120 IS_RESERVED 0 SITEPROP TIEOFF_X23Y120 IS_TEST 0 SITEPROP TIEOFF_X23Y120 IS_USED 0 SITEPROP TIEOFF_X23Y120 MANUAL_ROUTING SITEPROP TIEOFF_X23Y120 NAME TIEOFF_X23Y120 SITEPROP TIEOFF_X23Y120 NUM_ARCS 0 SITEPROP TIEOFF_X23Y120 NUM_BELS 2 SITEPROP TIEOFF_X23Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y120 NUM_PINS 2 SITEPROP TIEOFF_X23Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y120 PROHIBIT 0 SITEPROP TIEOFF_X23Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y120 RPM_X 92 SITEPROP TIEOFF_X23Y120 RPM_Y 240 SITEPROP TIEOFF_X23Y120 SITE_PIPS SITEPROP TIEOFF_X23Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y121 CLASS site SITEPROP TIEOFF_X23Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y121 IS_BONDED 0 SITEPROP TIEOFF_X23Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y121 IS_PAD 0 SITEPROP TIEOFF_X23Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y121 IS_RESERVED 0 SITEPROP TIEOFF_X23Y121 IS_TEST 0 SITEPROP TIEOFF_X23Y121 IS_USED 0 SITEPROP TIEOFF_X23Y121 MANUAL_ROUTING SITEPROP TIEOFF_X23Y121 NAME TIEOFF_X23Y121 SITEPROP TIEOFF_X23Y121 NUM_ARCS 0 SITEPROP TIEOFF_X23Y121 NUM_BELS 2 SITEPROP TIEOFF_X23Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y121 NUM_PINS 2 SITEPROP TIEOFF_X23Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y121 PROHIBIT 0 SITEPROP TIEOFF_X23Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y121 RPM_X 92 SITEPROP TIEOFF_X23Y121 RPM_Y 242 SITEPROP TIEOFF_X23Y121 SITE_PIPS SITEPROP TIEOFF_X23Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y122 CLASS site SITEPROP TIEOFF_X23Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y122 IS_BONDED 0 SITEPROP TIEOFF_X23Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y122 IS_PAD 0 SITEPROP TIEOFF_X23Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y122 IS_RESERVED 0 SITEPROP TIEOFF_X23Y122 IS_TEST 0 SITEPROP TIEOFF_X23Y122 IS_USED 0 SITEPROP TIEOFF_X23Y122 MANUAL_ROUTING SITEPROP TIEOFF_X23Y122 NAME TIEOFF_X23Y122 SITEPROP TIEOFF_X23Y122 NUM_ARCS 0 SITEPROP TIEOFF_X23Y122 NUM_BELS 2 SITEPROP TIEOFF_X23Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y122 NUM_PINS 2 SITEPROP TIEOFF_X23Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y122 PROHIBIT 0 SITEPROP TIEOFF_X23Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y122 RPM_X 92 SITEPROP TIEOFF_X23Y122 RPM_Y 244 SITEPROP TIEOFF_X23Y122 SITE_PIPS SITEPROP TIEOFF_X23Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y123 CLASS site SITEPROP TIEOFF_X23Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y123 IS_BONDED 0 SITEPROP TIEOFF_X23Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y123 IS_PAD 0 SITEPROP TIEOFF_X23Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y123 IS_RESERVED 0 SITEPROP TIEOFF_X23Y123 IS_TEST 0 SITEPROP TIEOFF_X23Y123 IS_USED 0 SITEPROP TIEOFF_X23Y123 MANUAL_ROUTING SITEPROP TIEOFF_X23Y123 NAME TIEOFF_X23Y123 SITEPROP TIEOFF_X23Y123 NUM_ARCS 0 SITEPROP TIEOFF_X23Y123 NUM_BELS 2 SITEPROP TIEOFF_X23Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y123 NUM_PINS 2 SITEPROP TIEOFF_X23Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y123 PROHIBIT 0 SITEPROP TIEOFF_X23Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y123 RPM_X 92 SITEPROP TIEOFF_X23Y123 RPM_Y 246 SITEPROP TIEOFF_X23Y123 SITE_PIPS SITEPROP TIEOFF_X23Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y124 CLASS site SITEPROP TIEOFF_X23Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y124 IS_BONDED 0 SITEPROP TIEOFF_X23Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y124 IS_PAD 0 SITEPROP TIEOFF_X23Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y124 IS_RESERVED 0 SITEPROP TIEOFF_X23Y124 IS_TEST 0 SITEPROP TIEOFF_X23Y124 IS_USED 0 SITEPROP TIEOFF_X23Y124 MANUAL_ROUTING SITEPROP TIEOFF_X23Y124 NAME TIEOFF_X23Y124 SITEPROP TIEOFF_X23Y124 NUM_ARCS 0 SITEPROP TIEOFF_X23Y124 NUM_BELS 2 SITEPROP TIEOFF_X23Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y124 NUM_PINS 2 SITEPROP TIEOFF_X23Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y124 PROHIBIT 0 SITEPROP TIEOFF_X23Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y124 RPM_X 92 SITEPROP TIEOFF_X23Y124 RPM_Y 248 SITEPROP TIEOFF_X23Y124 SITE_PIPS SITEPROP TIEOFF_X23Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y125 CLASS site SITEPROP TIEOFF_X23Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y125 IS_BONDED 0 SITEPROP TIEOFF_X23Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y125 IS_PAD 0 SITEPROP TIEOFF_X23Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y125 IS_RESERVED 0 SITEPROP TIEOFF_X23Y125 IS_TEST 0 SITEPROP TIEOFF_X23Y125 IS_USED 0 SITEPROP TIEOFF_X23Y125 MANUAL_ROUTING SITEPROP TIEOFF_X23Y125 NAME TIEOFF_X23Y125 SITEPROP TIEOFF_X23Y125 NUM_ARCS 0 SITEPROP TIEOFF_X23Y125 NUM_BELS 2 SITEPROP TIEOFF_X23Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y125 NUM_PINS 2 SITEPROP TIEOFF_X23Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y125 PROHIBIT 0 SITEPROP TIEOFF_X23Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y125 RPM_X 92 SITEPROP TIEOFF_X23Y125 RPM_Y 250 SITEPROP TIEOFF_X23Y125 SITE_PIPS SITEPROP TIEOFF_X23Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y126 CLASS site SITEPROP TIEOFF_X23Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y126 IS_BONDED 0 SITEPROP TIEOFF_X23Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y126 IS_PAD 0 SITEPROP TIEOFF_X23Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y126 IS_RESERVED 0 SITEPROP TIEOFF_X23Y126 IS_TEST 0 SITEPROP TIEOFF_X23Y126 IS_USED 0 SITEPROP TIEOFF_X23Y126 MANUAL_ROUTING SITEPROP TIEOFF_X23Y126 NAME TIEOFF_X23Y126 SITEPROP TIEOFF_X23Y126 NUM_ARCS 0 SITEPROP TIEOFF_X23Y126 NUM_BELS 2 SITEPROP TIEOFF_X23Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y126 NUM_PINS 2 SITEPROP TIEOFF_X23Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y126 PROHIBIT 0 SITEPROP TIEOFF_X23Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y126 RPM_X 92 SITEPROP TIEOFF_X23Y126 RPM_Y 252 SITEPROP TIEOFF_X23Y126 SITE_PIPS SITEPROP TIEOFF_X23Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y127 CLASS site SITEPROP TIEOFF_X23Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y127 IS_BONDED 0 SITEPROP TIEOFF_X23Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y127 IS_PAD 0 SITEPROP TIEOFF_X23Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y127 IS_RESERVED 0 SITEPROP TIEOFF_X23Y127 IS_TEST 0 SITEPROP TIEOFF_X23Y127 IS_USED 0 SITEPROP TIEOFF_X23Y127 MANUAL_ROUTING SITEPROP TIEOFF_X23Y127 NAME TIEOFF_X23Y127 SITEPROP TIEOFF_X23Y127 NUM_ARCS 0 SITEPROP TIEOFF_X23Y127 NUM_BELS 2 SITEPROP TIEOFF_X23Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y127 NUM_PINS 2 SITEPROP TIEOFF_X23Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y127 PROHIBIT 0 SITEPROP TIEOFF_X23Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y127 RPM_X 92 SITEPROP TIEOFF_X23Y127 RPM_Y 254 SITEPROP TIEOFF_X23Y127 SITE_PIPS SITEPROP TIEOFF_X23Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y128 CLASS site SITEPROP TIEOFF_X23Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y128 IS_BONDED 0 SITEPROP TIEOFF_X23Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y128 IS_PAD 0 SITEPROP TIEOFF_X23Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y128 IS_RESERVED 0 SITEPROP TIEOFF_X23Y128 IS_TEST 0 SITEPROP TIEOFF_X23Y128 IS_USED 0 SITEPROP TIEOFF_X23Y128 MANUAL_ROUTING SITEPROP TIEOFF_X23Y128 NAME TIEOFF_X23Y128 SITEPROP TIEOFF_X23Y128 NUM_ARCS 0 SITEPROP TIEOFF_X23Y128 NUM_BELS 2 SITEPROP TIEOFF_X23Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y128 NUM_PINS 2 SITEPROP TIEOFF_X23Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y128 PROHIBIT 0 SITEPROP TIEOFF_X23Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y128 RPM_X 92 SITEPROP TIEOFF_X23Y128 RPM_Y 256 SITEPROP TIEOFF_X23Y128 SITE_PIPS SITEPROP TIEOFF_X23Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y129 CLASS site SITEPROP TIEOFF_X23Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y129 IS_BONDED 0 SITEPROP TIEOFF_X23Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y129 IS_PAD 0 SITEPROP TIEOFF_X23Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y129 IS_RESERVED 0 SITEPROP TIEOFF_X23Y129 IS_TEST 0 SITEPROP TIEOFF_X23Y129 IS_USED 0 SITEPROP TIEOFF_X23Y129 MANUAL_ROUTING SITEPROP TIEOFF_X23Y129 NAME TIEOFF_X23Y129 SITEPROP TIEOFF_X23Y129 NUM_ARCS 0 SITEPROP TIEOFF_X23Y129 NUM_BELS 2 SITEPROP TIEOFF_X23Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y129 NUM_PINS 2 SITEPROP TIEOFF_X23Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y129 PROHIBIT 0 SITEPROP TIEOFF_X23Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y129 RPM_X 92 SITEPROP TIEOFF_X23Y129 RPM_Y 258 SITEPROP TIEOFF_X23Y129 SITE_PIPS SITEPROP TIEOFF_X23Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y130 CLASS site SITEPROP TIEOFF_X23Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y130 IS_BONDED 0 SITEPROP TIEOFF_X23Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y130 IS_PAD 0 SITEPROP TIEOFF_X23Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y130 IS_RESERVED 0 SITEPROP TIEOFF_X23Y130 IS_TEST 0 SITEPROP TIEOFF_X23Y130 IS_USED 0 SITEPROP TIEOFF_X23Y130 MANUAL_ROUTING SITEPROP TIEOFF_X23Y130 NAME TIEOFF_X23Y130 SITEPROP TIEOFF_X23Y130 NUM_ARCS 0 SITEPROP TIEOFF_X23Y130 NUM_BELS 2 SITEPROP TIEOFF_X23Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y130 NUM_PINS 2 SITEPROP TIEOFF_X23Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y130 PROHIBIT 0 SITEPROP TIEOFF_X23Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y130 RPM_X 92 SITEPROP TIEOFF_X23Y130 RPM_Y 260 SITEPROP TIEOFF_X23Y130 SITE_PIPS SITEPROP TIEOFF_X23Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y131 CLASS site SITEPROP TIEOFF_X23Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y131 IS_BONDED 0 SITEPROP TIEOFF_X23Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y131 IS_PAD 0 SITEPROP TIEOFF_X23Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y131 IS_RESERVED 0 SITEPROP TIEOFF_X23Y131 IS_TEST 0 SITEPROP TIEOFF_X23Y131 IS_USED 0 SITEPROP TIEOFF_X23Y131 MANUAL_ROUTING SITEPROP TIEOFF_X23Y131 NAME TIEOFF_X23Y131 SITEPROP TIEOFF_X23Y131 NUM_ARCS 0 SITEPROP TIEOFF_X23Y131 NUM_BELS 2 SITEPROP TIEOFF_X23Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y131 NUM_PINS 2 SITEPROP TIEOFF_X23Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y131 PROHIBIT 0 SITEPROP TIEOFF_X23Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y131 RPM_X 92 SITEPROP TIEOFF_X23Y131 RPM_Y 262 SITEPROP TIEOFF_X23Y131 SITE_PIPS SITEPROP TIEOFF_X23Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y132 CLASS site SITEPROP TIEOFF_X23Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y132 IS_BONDED 0 SITEPROP TIEOFF_X23Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y132 IS_PAD 0 SITEPROP TIEOFF_X23Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y132 IS_RESERVED 0 SITEPROP TIEOFF_X23Y132 IS_TEST 0 SITEPROP TIEOFF_X23Y132 IS_USED 0 SITEPROP TIEOFF_X23Y132 MANUAL_ROUTING SITEPROP TIEOFF_X23Y132 NAME TIEOFF_X23Y132 SITEPROP TIEOFF_X23Y132 NUM_ARCS 0 SITEPROP TIEOFF_X23Y132 NUM_BELS 2 SITEPROP TIEOFF_X23Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y132 NUM_PINS 2 SITEPROP TIEOFF_X23Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y132 PROHIBIT 0 SITEPROP TIEOFF_X23Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y132 RPM_X 92 SITEPROP TIEOFF_X23Y132 RPM_Y 264 SITEPROP TIEOFF_X23Y132 SITE_PIPS SITEPROP TIEOFF_X23Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y133 CLASS site SITEPROP TIEOFF_X23Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y133 IS_BONDED 0 SITEPROP TIEOFF_X23Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y133 IS_PAD 0 SITEPROP TIEOFF_X23Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y133 IS_RESERVED 0 SITEPROP TIEOFF_X23Y133 IS_TEST 0 SITEPROP TIEOFF_X23Y133 IS_USED 0 SITEPROP TIEOFF_X23Y133 MANUAL_ROUTING SITEPROP TIEOFF_X23Y133 NAME TIEOFF_X23Y133 SITEPROP TIEOFF_X23Y133 NUM_ARCS 0 SITEPROP TIEOFF_X23Y133 NUM_BELS 2 SITEPROP TIEOFF_X23Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y133 NUM_PINS 2 SITEPROP TIEOFF_X23Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y133 PROHIBIT 0 SITEPROP TIEOFF_X23Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y133 RPM_X 92 SITEPROP TIEOFF_X23Y133 RPM_Y 266 SITEPROP TIEOFF_X23Y133 SITE_PIPS SITEPROP TIEOFF_X23Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y134 CLASS site SITEPROP TIEOFF_X23Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y134 IS_BONDED 0 SITEPROP TIEOFF_X23Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y134 IS_PAD 0 SITEPROP TIEOFF_X23Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y134 IS_RESERVED 0 SITEPROP TIEOFF_X23Y134 IS_TEST 0 SITEPROP TIEOFF_X23Y134 IS_USED 0 SITEPROP TIEOFF_X23Y134 MANUAL_ROUTING SITEPROP TIEOFF_X23Y134 NAME TIEOFF_X23Y134 SITEPROP TIEOFF_X23Y134 NUM_ARCS 0 SITEPROP TIEOFF_X23Y134 NUM_BELS 2 SITEPROP TIEOFF_X23Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y134 NUM_PINS 2 SITEPROP TIEOFF_X23Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y134 PROHIBIT 0 SITEPROP TIEOFF_X23Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y134 RPM_X 92 SITEPROP TIEOFF_X23Y134 RPM_Y 268 SITEPROP TIEOFF_X23Y134 SITE_PIPS SITEPROP TIEOFF_X23Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y135 CLASS site SITEPROP TIEOFF_X23Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y135 IS_BONDED 0 SITEPROP TIEOFF_X23Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y135 IS_PAD 0 SITEPROP TIEOFF_X23Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y135 IS_RESERVED 0 SITEPROP TIEOFF_X23Y135 IS_TEST 0 SITEPROP TIEOFF_X23Y135 IS_USED 0 SITEPROP TIEOFF_X23Y135 MANUAL_ROUTING SITEPROP TIEOFF_X23Y135 NAME TIEOFF_X23Y135 SITEPROP TIEOFF_X23Y135 NUM_ARCS 0 SITEPROP TIEOFF_X23Y135 NUM_BELS 2 SITEPROP TIEOFF_X23Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y135 NUM_PINS 2 SITEPROP TIEOFF_X23Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y135 PROHIBIT 0 SITEPROP TIEOFF_X23Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y135 RPM_X 92 SITEPROP TIEOFF_X23Y135 RPM_Y 270 SITEPROP TIEOFF_X23Y135 SITE_PIPS SITEPROP TIEOFF_X23Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y136 CLASS site SITEPROP TIEOFF_X23Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y136 IS_BONDED 0 SITEPROP TIEOFF_X23Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y136 IS_PAD 0 SITEPROP TIEOFF_X23Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y136 IS_RESERVED 0 SITEPROP TIEOFF_X23Y136 IS_TEST 0 SITEPROP TIEOFF_X23Y136 IS_USED 0 SITEPROP TIEOFF_X23Y136 MANUAL_ROUTING SITEPROP TIEOFF_X23Y136 NAME TIEOFF_X23Y136 SITEPROP TIEOFF_X23Y136 NUM_ARCS 0 SITEPROP TIEOFF_X23Y136 NUM_BELS 2 SITEPROP TIEOFF_X23Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y136 NUM_PINS 2 SITEPROP TIEOFF_X23Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y136 PROHIBIT 0 SITEPROP TIEOFF_X23Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y136 RPM_X 92 SITEPROP TIEOFF_X23Y136 RPM_Y 272 SITEPROP TIEOFF_X23Y136 SITE_PIPS SITEPROP TIEOFF_X23Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y137 CLASS site SITEPROP TIEOFF_X23Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y137 IS_BONDED 0 SITEPROP TIEOFF_X23Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y137 IS_PAD 0 SITEPROP TIEOFF_X23Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y137 IS_RESERVED 0 SITEPROP TIEOFF_X23Y137 IS_TEST 0 SITEPROP TIEOFF_X23Y137 IS_USED 0 SITEPROP TIEOFF_X23Y137 MANUAL_ROUTING SITEPROP TIEOFF_X23Y137 NAME TIEOFF_X23Y137 SITEPROP TIEOFF_X23Y137 NUM_ARCS 0 SITEPROP TIEOFF_X23Y137 NUM_BELS 2 SITEPROP TIEOFF_X23Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y137 NUM_PINS 2 SITEPROP TIEOFF_X23Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y137 PROHIBIT 0 SITEPROP TIEOFF_X23Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y137 RPM_X 92 SITEPROP TIEOFF_X23Y137 RPM_Y 274 SITEPROP TIEOFF_X23Y137 SITE_PIPS SITEPROP TIEOFF_X23Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y138 CLASS site SITEPROP TIEOFF_X23Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y138 IS_BONDED 0 SITEPROP TIEOFF_X23Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y138 IS_PAD 0 SITEPROP TIEOFF_X23Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y138 IS_RESERVED 0 SITEPROP TIEOFF_X23Y138 IS_TEST 0 SITEPROP TIEOFF_X23Y138 IS_USED 0 SITEPROP TIEOFF_X23Y138 MANUAL_ROUTING SITEPROP TIEOFF_X23Y138 NAME TIEOFF_X23Y138 SITEPROP TIEOFF_X23Y138 NUM_ARCS 0 SITEPROP TIEOFF_X23Y138 NUM_BELS 2 SITEPROP TIEOFF_X23Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y138 NUM_PINS 2 SITEPROP TIEOFF_X23Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y138 PROHIBIT 0 SITEPROP TIEOFF_X23Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y138 RPM_X 92 SITEPROP TIEOFF_X23Y138 RPM_Y 276 SITEPROP TIEOFF_X23Y138 SITE_PIPS SITEPROP TIEOFF_X23Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y139 CLASS site SITEPROP TIEOFF_X23Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y139 IS_BONDED 0 SITEPROP TIEOFF_X23Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y139 IS_PAD 0 SITEPROP TIEOFF_X23Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y139 IS_RESERVED 0 SITEPROP TIEOFF_X23Y139 IS_TEST 0 SITEPROP TIEOFF_X23Y139 IS_USED 0 SITEPROP TIEOFF_X23Y139 MANUAL_ROUTING SITEPROP TIEOFF_X23Y139 NAME TIEOFF_X23Y139 SITEPROP TIEOFF_X23Y139 NUM_ARCS 0 SITEPROP TIEOFF_X23Y139 NUM_BELS 2 SITEPROP TIEOFF_X23Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y139 NUM_PINS 2 SITEPROP TIEOFF_X23Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y139 PROHIBIT 0 SITEPROP TIEOFF_X23Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y139 RPM_X 92 SITEPROP TIEOFF_X23Y139 RPM_Y 278 SITEPROP TIEOFF_X23Y139 SITE_PIPS SITEPROP TIEOFF_X23Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y140 CLASS site SITEPROP TIEOFF_X23Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y140 IS_BONDED 0 SITEPROP TIEOFF_X23Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y140 IS_PAD 0 SITEPROP TIEOFF_X23Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y140 IS_RESERVED 0 SITEPROP TIEOFF_X23Y140 IS_TEST 0 SITEPROP TIEOFF_X23Y140 IS_USED 0 SITEPROP TIEOFF_X23Y140 MANUAL_ROUTING SITEPROP TIEOFF_X23Y140 NAME TIEOFF_X23Y140 SITEPROP TIEOFF_X23Y140 NUM_ARCS 0 SITEPROP TIEOFF_X23Y140 NUM_BELS 2 SITEPROP TIEOFF_X23Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y140 NUM_PINS 2 SITEPROP TIEOFF_X23Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y140 PROHIBIT 0 SITEPROP TIEOFF_X23Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y140 RPM_X 92 SITEPROP TIEOFF_X23Y140 RPM_Y 280 SITEPROP TIEOFF_X23Y140 SITE_PIPS SITEPROP TIEOFF_X23Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y141 CLASS site SITEPROP TIEOFF_X23Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y141 IS_BONDED 0 SITEPROP TIEOFF_X23Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y141 IS_PAD 0 SITEPROP TIEOFF_X23Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y141 IS_RESERVED 0 SITEPROP TIEOFF_X23Y141 IS_TEST 0 SITEPROP TIEOFF_X23Y141 IS_USED 0 SITEPROP TIEOFF_X23Y141 MANUAL_ROUTING SITEPROP TIEOFF_X23Y141 NAME TIEOFF_X23Y141 SITEPROP TIEOFF_X23Y141 NUM_ARCS 0 SITEPROP TIEOFF_X23Y141 NUM_BELS 2 SITEPROP TIEOFF_X23Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y141 NUM_PINS 2 SITEPROP TIEOFF_X23Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y141 PROHIBIT 0 SITEPROP TIEOFF_X23Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y141 RPM_X 92 SITEPROP TIEOFF_X23Y141 RPM_Y 282 SITEPROP TIEOFF_X23Y141 SITE_PIPS SITEPROP TIEOFF_X23Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y142 CLASS site SITEPROP TIEOFF_X23Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y142 IS_BONDED 0 SITEPROP TIEOFF_X23Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y142 IS_PAD 0 SITEPROP TIEOFF_X23Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y142 IS_RESERVED 0 SITEPROP TIEOFF_X23Y142 IS_TEST 0 SITEPROP TIEOFF_X23Y142 IS_USED 0 SITEPROP TIEOFF_X23Y142 MANUAL_ROUTING SITEPROP TIEOFF_X23Y142 NAME TIEOFF_X23Y142 SITEPROP TIEOFF_X23Y142 NUM_ARCS 0 SITEPROP TIEOFF_X23Y142 NUM_BELS 2 SITEPROP TIEOFF_X23Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y142 NUM_PINS 2 SITEPROP TIEOFF_X23Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y142 PROHIBIT 0 SITEPROP TIEOFF_X23Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y142 RPM_X 92 SITEPROP TIEOFF_X23Y142 RPM_Y 284 SITEPROP TIEOFF_X23Y142 SITE_PIPS SITEPROP TIEOFF_X23Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y143 CLASS site SITEPROP TIEOFF_X23Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y143 IS_BONDED 0 SITEPROP TIEOFF_X23Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y143 IS_PAD 0 SITEPROP TIEOFF_X23Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y143 IS_RESERVED 0 SITEPROP TIEOFF_X23Y143 IS_TEST 0 SITEPROP TIEOFF_X23Y143 IS_USED 0 SITEPROP TIEOFF_X23Y143 MANUAL_ROUTING SITEPROP TIEOFF_X23Y143 NAME TIEOFF_X23Y143 SITEPROP TIEOFF_X23Y143 NUM_ARCS 0 SITEPROP TIEOFF_X23Y143 NUM_BELS 2 SITEPROP TIEOFF_X23Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y143 NUM_PINS 2 SITEPROP TIEOFF_X23Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y143 PROHIBIT 0 SITEPROP TIEOFF_X23Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y143 RPM_X 92 SITEPROP TIEOFF_X23Y143 RPM_Y 286 SITEPROP TIEOFF_X23Y143 SITE_PIPS SITEPROP TIEOFF_X23Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y144 CLASS site SITEPROP TIEOFF_X23Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y144 IS_BONDED 0 SITEPROP TIEOFF_X23Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y144 IS_PAD 0 SITEPROP TIEOFF_X23Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y144 IS_RESERVED 0 SITEPROP TIEOFF_X23Y144 IS_TEST 0 SITEPROP TIEOFF_X23Y144 IS_USED 0 SITEPROP TIEOFF_X23Y144 MANUAL_ROUTING SITEPROP TIEOFF_X23Y144 NAME TIEOFF_X23Y144 SITEPROP TIEOFF_X23Y144 NUM_ARCS 0 SITEPROP TIEOFF_X23Y144 NUM_BELS 2 SITEPROP TIEOFF_X23Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y144 NUM_PINS 2 SITEPROP TIEOFF_X23Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y144 PROHIBIT 0 SITEPROP TIEOFF_X23Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y144 RPM_X 92 SITEPROP TIEOFF_X23Y144 RPM_Y 288 SITEPROP TIEOFF_X23Y144 SITE_PIPS SITEPROP TIEOFF_X23Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y145 CLASS site SITEPROP TIEOFF_X23Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y145 IS_BONDED 0 SITEPROP TIEOFF_X23Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y145 IS_PAD 0 SITEPROP TIEOFF_X23Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y145 IS_RESERVED 0 SITEPROP TIEOFF_X23Y145 IS_TEST 0 SITEPROP TIEOFF_X23Y145 IS_USED 0 SITEPROP TIEOFF_X23Y145 MANUAL_ROUTING SITEPROP TIEOFF_X23Y145 NAME TIEOFF_X23Y145 SITEPROP TIEOFF_X23Y145 NUM_ARCS 0 SITEPROP TIEOFF_X23Y145 NUM_BELS 2 SITEPROP TIEOFF_X23Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y145 NUM_PINS 2 SITEPROP TIEOFF_X23Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y145 PROHIBIT 0 SITEPROP TIEOFF_X23Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y145 RPM_X 92 SITEPROP TIEOFF_X23Y145 RPM_Y 290 SITEPROP TIEOFF_X23Y145 SITE_PIPS SITEPROP TIEOFF_X23Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y146 CLASS site SITEPROP TIEOFF_X23Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y146 IS_BONDED 0 SITEPROP TIEOFF_X23Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y146 IS_PAD 0 SITEPROP TIEOFF_X23Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y146 IS_RESERVED 0 SITEPROP TIEOFF_X23Y146 IS_TEST 0 SITEPROP TIEOFF_X23Y146 IS_USED 0 SITEPROP TIEOFF_X23Y146 MANUAL_ROUTING SITEPROP TIEOFF_X23Y146 NAME TIEOFF_X23Y146 SITEPROP TIEOFF_X23Y146 NUM_ARCS 0 SITEPROP TIEOFF_X23Y146 NUM_BELS 2 SITEPROP TIEOFF_X23Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y146 NUM_PINS 2 SITEPROP TIEOFF_X23Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y146 PROHIBIT 0 SITEPROP TIEOFF_X23Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y146 RPM_X 92 SITEPROP TIEOFF_X23Y146 RPM_Y 292 SITEPROP TIEOFF_X23Y146 SITE_PIPS SITEPROP TIEOFF_X23Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y147 CLASS site SITEPROP TIEOFF_X23Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y147 IS_BONDED 0 SITEPROP TIEOFF_X23Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y147 IS_PAD 0 SITEPROP TIEOFF_X23Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y147 IS_RESERVED 0 SITEPROP TIEOFF_X23Y147 IS_TEST 0 SITEPROP TIEOFF_X23Y147 IS_USED 0 SITEPROP TIEOFF_X23Y147 MANUAL_ROUTING SITEPROP TIEOFF_X23Y147 NAME TIEOFF_X23Y147 SITEPROP TIEOFF_X23Y147 NUM_ARCS 0 SITEPROP TIEOFF_X23Y147 NUM_BELS 2 SITEPROP TIEOFF_X23Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y147 NUM_PINS 2 SITEPROP TIEOFF_X23Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y147 PROHIBIT 0 SITEPROP TIEOFF_X23Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y147 RPM_X 92 SITEPROP TIEOFF_X23Y147 RPM_Y 294 SITEPROP TIEOFF_X23Y147 SITE_PIPS SITEPROP TIEOFF_X23Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y148 CLASS site SITEPROP TIEOFF_X23Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y148 IS_BONDED 0 SITEPROP TIEOFF_X23Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y148 IS_PAD 0 SITEPROP TIEOFF_X23Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y148 IS_RESERVED 0 SITEPROP TIEOFF_X23Y148 IS_TEST 0 SITEPROP TIEOFF_X23Y148 IS_USED 0 SITEPROP TIEOFF_X23Y148 MANUAL_ROUTING SITEPROP TIEOFF_X23Y148 NAME TIEOFF_X23Y148 SITEPROP TIEOFF_X23Y148 NUM_ARCS 0 SITEPROP TIEOFF_X23Y148 NUM_BELS 2 SITEPROP TIEOFF_X23Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y148 NUM_PINS 2 SITEPROP TIEOFF_X23Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y148 PROHIBIT 0 SITEPROP TIEOFF_X23Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y148 RPM_X 92 SITEPROP TIEOFF_X23Y148 RPM_Y 296 SITEPROP TIEOFF_X23Y148 SITE_PIPS SITEPROP TIEOFF_X23Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X23Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X23Y149 CLASS site SITEPROP TIEOFF_X23Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X23Y149 IS_BONDED 0 SITEPROP TIEOFF_X23Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y149 IS_PAD 0 SITEPROP TIEOFF_X23Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X23Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X23Y149 IS_RESERVED 0 SITEPROP TIEOFF_X23Y149 IS_TEST 0 SITEPROP TIEOFF_X23Y149 IS_USED 0 SITEPROP TIEOFF_X23Y149 MANUAL_ROUTING SITEPROP TIEOFF_X23Y149 NAME TIEOFF_X23Y149 SITEPROP TIEOFF_X23Y149 NUM_ARCS 0 SITEPROP TIEOFF_X23Y149 NUM_BELS 2 SITEPROP TIEOFF_X23Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X23Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X23Y149 NUM_PINS 2 SITEPROP TIEOFF_X23Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X23Y149 PROHIBIT 0 SITEPROP TIEOFF_X23Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X23Y149 RPM_X 92 SITEPROP TIEOFF_X23Y149 RPM_Y 298 SITEPROP TIEOFF_X23Y149 SITE_PIPS SITEPROP TIEOFF_X23Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y0 CLASS site SITEPROP TIEOFF_X24Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y0 IS_BONDED 0 SITEPROP TIEOFF_X24Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y0 IS_PAD 0 SITEPROP TIEOFF_X24Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y0 IS_RESERVED 0 SITEPROP TIEOFF_X24Y0 IS_TEST 0 SITEPROP TIEOFF_X24Y0 IS_USED 0 SITEPROP TIEOFF_X24Y0 MANUAL_ROUTING SITEPROP TIEOFF_X24Y0 NAME TIEOFF_X24Y0 SITEPROP TIEOFF_X24Y0 NUM_ARCS 0 SITEPROP TIEOFF_X24Y0 NUM_BELS 2 SITEPROP TIEOFF_X24Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y0 NUM_PINS 2 SITEPROP TIEOFF_X24Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y0 PROHIBIT 0 SITEPROP TIEOFF_X24Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y0 RPM_X 96 SITEPROP TIEOFF_X24Y0 RPM_Y 0 SITEPROP TIEOFF_X24Y0 SITE_PIPS SITEPROP TIEOFF_X24Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y1 CLASS site SITEPROP TIEOFF_X24Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y1 IS_BONDED 0 SITEPROP TIEOFF_X24Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y1 IS_PAD 0 SITEPROP TIEOFF_X24Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y1 IS_RESERVED 0 SITEPROP TIEOFF_X24Y1 IS_TEST 0 SITEPROP TIEOFF_X24Y1 IS_USED 0 SITEPROP TIEOFF_X24Y1 MANUAL_ROUTING SITEPROP TIEOFF_X24Y1 NAME TIEOFF_X24Y1 SITEPROP TIEOFF_X24Y1 NUM_ARCS 0 SITEPROP TIEOFF_X24Y1 NUM_BELS 2 SITEPROP TIEOFF_X24Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y1 NUM_PINS 2 SITEPROP TIEOFF_X24Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y1 PROHIBIT 0 SITEPROP TIEOFF_X24Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y1 RPM_X 96 SITEPROP TIEOFF_X24Y1 RPM_Y 2 SITEPROP TIEOFF_X24Y1 SITE_PIPS SITEPROP TIEOFF_X24Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y2 CLASS site SITEPROP TIEOFF_X24Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y2 IS_BONDED 0 SITEPROP TIEOFF_X24Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y2 IS_PAD 0 SITEPROP TIEOFF_X24Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y2 IS_RESERVED 0 SITEPROP TIEOFF_X24Y2 IS_TEST 0 SITEPROP TIEOFF_X24Y2 IS_USED 0 SITEPROP TIEOFF_X24Y2 MANUAL_ROUTING SITEPROP TIEOFF_X24Y2 NAME TIEOFF_X24Y2 SITEPROP TIEOFF_X24Y2 NUM_ARCS 0 SITEPROP TIEOFF_X24Y2 NUM_BELS 2 SITEPROP TIEOFF_X24Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y2 NUM_PINS 2 SITEPROP TIEOFF_X24Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y2 PROHIBIT 0 SITEPROP TIEOFF_X24Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y2 RPM_X 96 SITEPROP TIEOFF_X24Y2 RPM_Y 4 SITEPROP TIEOFF_X24Y2 SITE_PIPS SITEPROP TIEOFF_X24Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y3 CLASS site SITEPROP TIEOFF_X24Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y3 IS_BONDED 0 SITEPROP TIEOFF_X24Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y3 IS_PAD 0 SITEPROP TIEOFF_X24Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y3 IS_RESERVED 0 SITEPROP TIEOFF_X24Y3 IS_TEST 0 SITEPROP TIEOFF_X24Y3 IS_USED 0 SITEPROP TIEOFF_X24Y3 MANUAL_ROUTING SITEPROP TIEOFF_X24Y3 NAME TIEOFF_X24Y3 SITEPROP TIEOFF_X24Y3 NUM_ARCS 0 SITEPROP TIEOFF_X24Y3 NUM_BELS 2 SITEPROP TIEOFF_X24Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y3 NUM_PINS 2 SITEPROP TIEOFF_X24Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y3 PROHIBIT 0 SITEPROP TIEOFF_X24Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y3 RPM_X 96 SITEPROP TIEOFF_X24Y3 RPM_Y 6 SITEPROP TIEOFF_X24Y3 SITE_PIPS SITEPROP TIEOFF_X24Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y4 CLASS site SITEPROP TIEOFF_X24Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y4 IS_BONDED 0 SITEPROP TIEOFF_X24Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y4 IS_PAD 0 SITEPROP TIEOFF_X24Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y4 IS_RESERVED 0 SITEPROP TIEOFF_X24Y4 IS_TEST 0 SITEPROP TIEOFF_X24Y4 IS_USED 0 SITEPROP TIEOFF_X24Y4 MANUAL_ROUTING SITEPROP TIEOFF_X24Y4 NAME TIEOFF_X24Y4 SITEPROP TIEOFF_X24Y4 NUM_ARCS 0 SITEPROP TIEOFF_X24Y4 NUM_BELS 2 SITEPROP TIEOFF_X24Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y4 NUM_PINS 2 SITEPROP TIEOFF_X24Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y4 PROHIBIT 0 SITEPROP TIEOFF_X24Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y4 RPM_X 96 SITEPROP TIEOFF_X24Y4 RPM_Y 8 SITEPROP TIEOFF_X24Y4 SITE_PIPS SITEPROP TIEOFF_X24Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y5 CLASS site SITEPROP TIEOFF_X24Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y5 IS_BONDED 0 SITEPROP TIEOFF_X24Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y5 IS_PAD 0 SITEPROP TIEOFF_X24Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y5 IS_RESERVED 0 SITEPROP TIEOFF_X24Y5 IS_TEST 0 SITEPROP TIEOFF_X24Y5 IS_USED 0 SITEPROP TIEOFF_X24Y5 MANUAL_ROUTING SITEPROP TIEOFF_X24Y5 NAME TIEOFF_X24Y5 SITEPROP TIEOFF_X24Y5 NUM_ARCS 0 SITEPROP TIEOFF_X24Y5 NUM_BELS 2 SITEPROP TIEOFF_X24Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y5 NUM_PINS 2 SITEPROP TIEOFF_X24Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y5 PROHIBIT 0 SITEPROP TIEOFF_X24Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y5 RPM_X 96 SITEPROP TIEOFF_X24Y5 RPM_Y 10 SITEPROP TIEOFF_X24Y5 SITE_PIPS SITEPROP TIEOFF_X24Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y6 CLASS site SITEPROP TIEOFF_X24Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y6 IS_BONDED 0 SITEPROP TIEOFF_X24Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y6 IS_PAD 0 SITEPROP TIEOFF_X24Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y6 IS_RESERVED 0 SITEPROP TIEOFF_X24Y6 IS_TEST 0 SITEPROP TIEOFF_X24Y6 IS_USED 0 SITEPROP TIEOFF_X24Y6 MANUAL_ROUTING SITEPROP TIEOFF_X24Y6 NAME TIEOFF_X24Y6 SITEPROP TIEOFF_X24Y6 NUM_ARCS 0 SITEPROP TIEOFF_X24Y6 NUM_BELS 2 SITEPROP TIEOFF_X24Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y6 NUM_PINS 2 SITEPROP TIEOFF_X24Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y6 PROHIBIT 0 SITEPROP TIEOFF_X24Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y6 RPM_X 96 SITEPROP TIEOFF_X24Y6 RPM_Y 12 SITEPROP TIEOFF_X24Y6 SITE_PIPS SITEPROP TIEOFF_X24Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y7 CLASS site SITEPROP TIEOFF_X24Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y7 IS_BONDED 0 SITEPROP TIEOFF_X24Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y7 IS_PAD 0 SITEPROP TIEOFF_X24Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y7 IS_RESERVED 0 SITEPROP TIEOFF_X24Y7 IS_TEST 0 SITEPROP TIEOFF_X24Y7 IS_USED 0 SITEPROP TIEOFF_X24Y7 MANUAL_ROUTING SITEPROP TIEOFF_X24Y7 NAME TIEOFF_X24Y7 SITEPROP TIEOFF_X24Y7 NUM_ARCS 0 SITEPROP TIEOFF_X24Y7 NUM_BELS 2 SITEPROP TIEOFF_X24Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y7 NUM_PINS 2 SITEPROP TIEOFF_X24Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y7 PROHIBIT 0 SITEPROP TIEOFF_X24Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y7 RPM_X 96 SITEPROP TIEOFF_X24Y7 RPM_Y 14 SITEPROP TIEOFF_X24Y7 SITE_PIPS SITEPROP TIEOFF_X24Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y8 CLASS site SITEPROP TIEOFF_X24Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y8 IS_BONDED 0 SITEPROP TIEOFF_X24Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y8 IS_PAD 0 SITEPROP TIEOFF_X24Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y8 IS_RESERVED 0 SITEPROP TIEOFF_X24Y8 IS_TEST 0 SITEPROP TIEOFF_X24Y8 IS_USED 0 SITEPROP TIEOFF_X24Y8 MANUAL_ROUTING SITEPROP TIEOFF_X24Y8 NAME TIEOFF_X24Y8 SITEPROP TIEOFF_X24Y8 NUM_ARCS 0 SITEPROP TIEOFF_X24Y8 NUM_BELS 2 SITEPROP TIEOFF_X24Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y8 NUM_PINS 2 SITEPROP TIEOFF_X24Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y8 PROHIBIT 0 SITEPROP TIEOFF_X24Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y8 RPM_X 96 SITEPROP TIEOFF_X24Y8 RPM_Y 16 SITEPROP TIEOFF_X24Y8 SITE_PIPS SITEPROP TIEOFF_X24Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y9 CLASS site SITEPROP TIEOFF_X24Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y9 IS_BONDED 0 SITEPROP TIEOFF_X24Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y9 IS_PAD 0 SITEPROP TIEOFF_X24Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y9 IS_RESERVED 0 SITEPROP TIEOFF_X24Y9 IS_TEST 0 SITEPROP TIEOFF_X24Y9 IS_USED 0 SITEPROP TIEOFF_X24Y9 MANUAL_ROUTING SITEPROP TIEOFF_X24Y9 NAME TIEOFF_X24Y9 SITEPROP TIEOFF_X24Y9 NUM_ARCS 0 SITEPROP TIEOFF_X24Y9 NUM_BELS 2 SITEPROP TIEOFF_X24Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y9 NUM_PINS 2 SITEPROP TIEOFF_X24Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y9 PROHIBIT 0 SITEPROP TIEOFF_X24Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y9 RPM_X 96 SITEPROP TIEOFF_X24Y9 RPM_Y 18 SITEPROP TIEOFF_X24Y9 SITE_PIPS SITEPROP TIEOFF_X24Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y10 CLASS site SITEPROP TIEOFF_X24Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y10 IS_BONDED 0 SITEPROP TIEOFF_X24Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y10 IS_PAD 0 SITEPROP TIEOFF_X24Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y10 IS_RESERVED 0 SITEPROP TIEOFF_X24Y10 IS_TEST 0 SITEPROP TIEOFF_X24Y10 IS_USED 0 SITEPROP TIEOFF_X24Y10 MANUAL_ROUTING SITEPROP TIEOFF_X24Y10 NAME TIEOFF_X24Y10 SITEPROP TIEOFF_X24Y10 NUM_ARCS 0 SITEPROP TIEOFF_X24Y10 NUM_BELS 2 SITEPROP TIEOFF_X24Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y10 NUM_PINS 2 SITEPROP TIEOFF_X24Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y10 PROHIBIT 0 SITEPROP TIEOFF_X24Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y10 RPM_X 96 SITEPROP TIEOFF_X24Y10 RPM_Y 20 SITEPROP TIEOFF_X24Y10 SITE_PIPS SITEPROP TIEOFF_X24Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y11 CLASS site SITEPROP TIEOFF_X24Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y11 IS_BONDED 0 SITEPROP TIEOFF_X24Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y11 IS_PAD 0 SITEPROP TIEOFF_X24Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y11 IS_RESERVED 0 SITEPROP TIEOFF_X24Y11 IS_TEST 0 SITEPROP TIEOFF_X24Y11 IS_USED 0 SITEPROP TIEOFF_X24Y11 MANUAL_ROUTING SITEPROP TIEOFF_X24Y11 NAME TIEOFF_X24Y11 SITEPROP TIEOFF_X24Y11 NUM_ARCS 0 SITEPROP TIEOFF_X24Y11 NUM_BELS 2 SITEPROP TIEOFF_X24Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y11 NUM_PINS 2 SITEPROP TIEOFF_X24Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y11 PROHIBIT 0 SITEPROP TIEOFF_X24Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y11 RPM_X 96 SITEPROP TIEOFF_X24Y11 RPM_Y 22 SITEPROP TIEOFF_X24Y11 SITE_PIPS SITEPROP TIEOFF_X24Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y12 CLASS site SITEPROP TIEOFF_X24Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y12 IS_BONDED 0 SITEPROP TIEOFF_X24Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y12 IS_PAD 0 SITEPROP TIEOFF_X24Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y12 IS_RESERVED 0 SITEPROP TIEOFF_X24Y12 IS_TEST 0 SITEPROP TIEOFF_X24Y12 IS_USED 0 SITEPROP TIEOFF_X24Y12 MANUAL_ROUTING SITEPROP TIEOFF_X24Y12 NAME TIEOFF_X24Y12 SITEPROP TIEOFF_X24Y12 NUM_ARCS 0 SITEPROP TIEOFF_X24Y12 NUM_BELS 2 SITEPROP TIEOFF_X24Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y12 NUM_PINS 2 SITEPROP TIEOFF_X24Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y12 PROHIBIT 0 SITEPROP TIEOFF_X24Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y12 RPM_X 96 SITEPROP TIEOFF_X24Y12 RPM_Y 24 SITEPROP TIEOFF_X24Y12 SITE_PIPS SITEPROP TIEOFF_X24Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y13 CLASS site SITEPROP TIEOFF_X24Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y13 IS_BONDED 0 SITEPROP TIEOFF_X24Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y13 IS_PAD 0 SITEPROP TIEOFF_X24Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y13 IS_RESERVED 0 SITEPROP TIEOFF_X24Y13 IS_TEST 0 SITEPROP TIEOFF_X24Y13 IS_USED 0 SITEPROP TIEOFF_X24Y13 MANUAL_ROUTING SITEPROP TIEOFF_X24Y13 NAME TIEOFF_X24Y13 SITEPROP TIEOFF_X24Y13 NUM_ARCS 0 SITEPROP TIEOFF_X24Y13 NUM_BELS 2 SITEPROP TIEOFF_X24Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y13 NUM_PINS 2 SITEPROP TIEOFF_X24Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y13 PROHIBIT 0 SITEPROP TIEOFF_X24Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y13 RPM_X 96 SITEPROP TIEOFF_X24Y13 RPM_Y 26 SITEPROP TIEOFF_X24Y13 SITE_PIPS SITEPROP TIEOFF_X24Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y14 CLASS site SITEPROP TIEOFF_X24Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y14 IS_BONDED 0 SITEPROP TIEOFF_X24Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y14 IS_PAD 0 SITEPROP TIEOFF_X24Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y14 IS_RESERVED 0 SITEPROP TIEOFF_X24Y14 IS_TEST 0 SITEPROP TIEOFF_X24Y14 IS_USED 0 SITEPROP TIEOFF_X24Y14 MANUAL_ROUTING SITEPROP TIEOFF_X24Y14 NAME TIEOFF_X24Y14 SITEPROP TIEOFF_X24Y14 NUM_ARCS 0 SITEPROP TIEOFF_X24Y14 NUM_BELS 2 SITEPROP TIEOFF_X24Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y14 NUM_PINS 2 SITEPROP TIEOFF_X24Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y14 PROHIBIT 0 SITEPROP TIEOFF_X24Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y14 RPM_X 96 SITEPROP TIEOFF_X24Y14 RPM_Y 28 SITEPROP TIEOFF_X24Y14 SITE_PIPS SITEPROP TIEOFF_X24Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y15 CLASS site SITEPROP TIEOFF_X24Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y15 IS_BONDED 0 SITEPROP TIEOFF_X24Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y15 IS_PAD 0 SITEPROP TIEOFF_X24Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y15 IS_RESERVED 0 SITEPROP TIEOFF_X24Y15 IS_TEST 0 SITEPROP TIEOFF_X24Y15 IS_USED 0 SITEPROP TIEOFF_X24Y15 MANUAL_ROUTING SITEPROP TIEOFF_X24Y15 NAME TIEOFF_X24Y15 SITEPROP TIEOFF_X24Y15 NUM_ARCS 0 SITEPROP TIEOFF_X24Y15 NUM_BELS 2 SITEPROP TIEOFF_X24Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y15 NUM_PINS 2 SITEPROP TIEOFF_X24Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y15 PROHIBIT 0 SITEPROP TIEOFF_X24Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y15 RPM_X 96 SITEPROP TIEOFF_X24Y15 RPM_Y 30 SITEPROP TIEOFF_X24Y15 SITE_PIPS SITEPROP TIEOFF_X24Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y16 CLASS site SITEPROP TIEOFF_X24Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y16 IS_BONDED 0 SITEPROP TIEOFF_X24Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y16 IS_PAD 0 SITEPROP TIEOFF_X24Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y16 IS_RESERVED 0 SITEPROP TIEOFF_X24Y16 IS_TEST 0 SITEPROP TIEOFF_X24Y16 IS_USED 0 SITEPROP TIEOFF_X24Y16 MANUAL_ROUTING SITEPROP TIEOFF_X24Y16 NAME TIEOFF_X24Y16 SITEPROP TIEOFF_X24Y16 NUM_ARCS 0 SITEPROP TIEOFF_X24Y16 NUM_BELS 2 SITEPROP TIEOFF_X24Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y16 NUM_PINS 2 SITEPROP TIEOFF_X24Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y16 PROHIBIT 0 SITEPROP TIEOFF_X24Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y16 RPM_X 96 SITEPROP TIEOFF_X24Y16 RPM_Y 32 SITEPROP TIEOFF_X24Y16 SITE_PIPS SITEPROP TIEOFF_X24Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y17 CLASS site SITEPROP TIEOFF_X24Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y17 IS_BONDED 0 SITEPROP TIEOFF_X24Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y17 IS_PAD 0 SITEPROP TIEOFF_X24Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y17 IS_RESERVED 0 SITEPROP TIEOFF_X24Y17 IS_TEST 0 SITEPROP TIEOFF_X24Y17 IS_USED 0 SITEPROP TIEOFF_X24Y17 MANUAL_ROUTING SITEPROP TIEOFF_X24Y17 NAME TIEOFF_X24Y17 SITEPROP TIEOFF_X24Y17 NUM_ARCS 0 SITEPROP TIEOFF_X24Y17 NUM_BELS 2 SITEPROP TIEOFF_X24Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y17 NUM_PINS 2 SITEPROP TIEOFF_X24Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y17 PROHIBIT 0 SITEPROP TIEOFF_X24Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y17 RPM_X 96 SITEPROP TIEOFF_X24Y17 RPM_Y 34 SITEPROP TIEOFF_X24Y17 SITE_PIPS SITEPROP TIEOFF_X24Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y18 CLASS site SITEPROP TIEOFF_X24Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y18 IS_BONDED 0 SITEPROP TIEOFF_X24Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y18 IS_PAD 0 SITEPROP TIEOFF_X24Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y18 IS_RESERVED 0 SITEPROP TIEOFF_X24Y18 IS_TEST 0 SITEPROP TIEOFF_X24Y18 IS_USED 0 SITEPROP TIEOFF_X24Y18 MANUAL_ROUTING SITEPROP TIEOFF_X24Y18 NAME TIEOFF_X24Y18 SITEPROP TIEOFF_X24Y18 NUM_ARCS 0 SITEPROP TIEOFF_X24Y18 NUM_BELS 2 SITEPROP TIEOFF_X24Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y18 NUM_PINS 2 SITEPROP TIEOFF_X24Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y18 PROHIBIT 0 SITEPROP TIEOFF_X24Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y18 RPM_X 96 SITEPROP TIEOFF_X24Y18 RPM_Y 36 SITEPROP TIEOFF_X24Y18 SITE_PIPS SITEPROP TIEOFF_X24Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y19 CLASS site SITEPROP TIEOFF_X24Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y19 IS_BONDED 0 SITEPROP TIEOFF_X24Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y19 IS_PAD 0 SITEPROP TIEOFF_X24Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y19 IS_RESERVED 0 SITEPROP TIEOFF_X24Y19 IS_TEST 0 SITEPROP TIEOFF_X24Y19 IS_USED 0 SITEPROP TIEOFF_X24Y19 MANUAL_ROUTING SITEPROP TIEOFF_X24Y19 NAME TIEOFF_X24Y19 SITEPROP TIEOFF_X24Y19 NUM_ARCS 0 SITEPROP TIEOFF_X24Y19 NUM_BELS 2 SITEPROP TIEOFF_X24Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y19 NUM_PINS 2 SITEPROP TIEOFF_X24Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y19 PROHIBIT 0 SITEPROP TIEOFF_X24Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y19 RPM_X 96 SITEPROP TIEOFF_X24Y19 RPM_Y 38 SITEPROP TIEOFF_X24Y19 SITE_PIPS SITEPROP TIEOFF_X24Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y20 CLASS site SITEPROP TIEOFF_X24Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y20 IS_BONDED 0 SITEPROP TIEOFF_X24Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y20 IS_PAD 0 SITEPROP TIEOFF_X24Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y20 IS_RESERVED 0 SITEPROP TIEOFF_X24Y20 IS_TEST 0 SITEPROP TIEOFF_X24Y20 IS_USED 0 SITEPROP TIEOFF_X24Y20 MANUAL_ROUTING SITEPROP TIEOFF_X24Y20 NAME TIEOFF_X24Y20 SITEPROP TIEOFF_X24Y20 NUM_ARCS 0 SITEPROP TIEOFF_X24Y20 NUM_BELS 2 SITEPROP TIEOFF_X24Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y20 NUM_PINS 2 SITEPROP TIEOFF_X24Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y20 PROHIBIT 0 SITEPROP TIEOFF_X24Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y20 RPM_X 96 SITEPROP TIEOFF_X24Y20 RPM_Y 40 SITEPROP TIEOFF_X24Y20 SITE_PIPS SITEPROP TIEOFF_X24Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y21 CLASS site SITEPROP TIEOFF_X24Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y21 IS_BONDED 0 SITEPROP TIEOFF_X24Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y21 IS_PAD 0 SITEPROP TIEOFF_X24Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y21 IS_RESERVED 0 SITEPROP TIEOFF_X24Y21 IS_TEST 0 SITEPROP TIEOFF_X24Y21 IS_USED 0 SITEPROP TIEOFF_X24Y21 MANUAL_ROUTING SITEPROP TIEOFF_X24Y21 NAME TIEOFF_X24Y21 SITEPROP TIEOFF_X24Y21 NUM_ARCS 0 SITEPROP TIEOFF_X24Y21 NUM_BELS 2 SITEPROP TIEOFF_X24Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y21 NUM_PINS 2 SITEPROP TIEOFF_X24Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y21 PROHIBIT 0 SITEPROP TIEOFF_X24Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y21 RPM_X 96 SITEPROP TIEOFF_X24Y21 RPM_Y 42 SITEPROP TIEOFF_X24Y21 SITE_PIPS SITEPROP TIEOFF_X24Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y22 CLASS site SITEPROP TIEOFF_X24Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y22 IS_BONDED 0 SITEPROP TIEOFF_X24Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y22 IS_PAD 0 SITEPROP TIEOFF_X24Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y22 IS_RESERVED 0 SITEPROP TIEOFF_X24Y22 IS_TEST 0 SITEPROP TIEOFF_X24Y22 IS_USED 0 SITEPROP TIEOFF_X24Y22 MANUAL_ROUTING SITEPROP TIEOFF_X24Y22 NAME TIEOFF_X24Y22 SITEPROP TIEOFF_X24Y22 NUM_ARCS 0 SITEPROP TIEOFF_X24Y22 NUM_BELS 2 SITEPROP TIEOFF_X24Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y22 NUM_PINS 2 SITEPROP TIEOFF_X24Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y22 PROHIBIT 0 SITEPROP TIEOFF_X24Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y22 RPM_X 96 SITEPROP TIEOFF_X24Y22 RPM_Y 44 SITEPROP TIEOFF_X24Y22 SITE_PIPS SITEPROP TIEOFF_X24Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y23 CLASS site SITEPROP TIEOFF_X24Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y23 IS_BONDED 0 SITEPROP TIEOFF_X24Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y23 IS_PAD 0 SITEPROP TIEOFF_X24Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y23 IS_RESERVED 0 SITEPROP TIEOFF_X24Y23 IS_TEST 0 SITEPROP TIEOFF_X24Y23 IS_USED 0 SITEPROP TIEOFF_X24Y23 MANUAL_ROUTING SITEPROP TIEOFF_X24Y23 NAME TIEOFF_X24Y23 SITEPROP TIEOFF_X24Y23 NUM_ARCS 0 SITEPROP TIEOFF_X24Y23 NUM_BELS 2 SITEPROP TIEOFF_X24Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y23 NUM_PINS 2 SITEPROP TIEOFF_X24Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y23 PROHIBIT 0 SITEPROP TIEOFF_X24Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y23 RPM_X 96 SITEPROP TIEOFF_X24Y23 RPM_Y 46 SITEPROP TIEOFF_X24Y23 SITE_PIPS SITEPROP TIEOFF_X24Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y24 CLASS site SITEPROP TIEOFF_X24Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y24 IS_BONDED 0 SITEPROP TIEOFF_X24Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y24 IS_PAD 0 SITEPROP TIEOFF_X24Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y24 IS_RESERVED 0 SITEPROP TIEOFF_X24Y24 IS_TEST 0 SITEPROP TIEOFF_X24Y24 IS_USED 0 SITEPROP TIEOFF_X24Y24 MANUAL_ROUTING SITEPROP TIEOFF_X24Y24 NAME TIEOFF_X24Y24 SITEPROP TIEOFF_X24Y24 NUM_ARCS 0 SITEPROP TIEOFF_X24Y24 NUM_BELS 2 SITEPROP TIEOFF_X24Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y24 NUM_PINS 2 SITEPROP TIEOFF_X24Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y24 PROHIBIT 0 SITEPROP TIEOFF_X24Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y24 RPM_X 96 SITEPROP TIEOFF_X24Y24 RPM_Y 48 SITEPROP TIEOFF_X24Y24 SITE_PIPS SITEPROP TIEOFF_X24Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y25 CLASS site SITEPROP TIEOFF_X24Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y25 IS_BONDED 0 SITEPROP TIEOFF_X24Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y25 IS_PAD 0 SITEPROP TIEOFF_X24Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y25 IS_RESERVED 0 SITEPROP TIEOFF_X24Y25 IS_TEST 0 SITEPROP TIEOFF_X24Y25 IS_USED 0 SITEPROP TIEOFF_X24Y25 MANUAL_ROUTING SITEPROP TIEOFF_X24Y25 NAME TIEOFF_X24Y25 SITEPROP TIEOFF_X24Y25 NUM_ARCS 0 SITEPROP TIEOFF_X24Y25 NUM_BELS 2 SITEPROP TIEOFF_X24Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y25 NUM_PINS 2 SITEPROP TIEOFF_X24Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y25 PROHIBIT 0 SITEPROP TIEOFF_X24Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y25 RPM_X 96 SITEPROP TIEOFF_X24Y25 RPM_Y 50 SITEPROP TIEOFF_X24Y25 SITE_PIPS SITEPROP TIEOFF_X24Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y26 CLASS site SITEPROP TIEOFF_X24Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y26 IS_BONDED 0 SITEPROP TIEOFF_X24Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y26 IS_PAD 0 SITEPROP TIEOFF_X24Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y26 IS_RESERVED 0 SITEPROP TIEOFF_X24Y26 IS_TEST 0 SITEPROP TIEOFF_X24Y26 IS_USED 0 SITEPROP TIEOFF_X24Y26 MANUAL_ROUTING SITEPROP TIEOFF_X24Y26 NAME TIEOFF_X24Y26 SITEPROP TIEOFF_X24Y26 NUM_ARCS 0 SITEPROP TIEOFF_X24Y26 NUM_BELS 2 SITEPROP TIEOFF_X24Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y26 NUM_PINS 2 SITEPROP TIEOFF_X24Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y26 PROHIBIT 0 SITEPROP TIEOFF_X24Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y26 RPM_X 96 SITEPROP TIEOFF_X24Y26 RPM_Y 52 SITEPROP TIEOFF_X24Y26 SITE_PIPS SITEPROP TIEOFF_X24Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y27 CLASS site SITEPROP TIEOFF_X24Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y27 IS_BONDED 0 SITEPROP TIEOFF_X24Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y27 IS_PAD 0 SITEPROP TIEOFF_X24Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y27 IS_RESERVED 0 SITEPROP TIEOFF_X24Y27 IS_TEST 0 SITEPROP TIEOFF_X24Y27 IS_USED 0 SITEPROP TIEOFF_X24Y27 MANUAL_ROUTING SITEPROP TIEOFF_X24Y27 NAME TIEOFF_X24Y27 SITEPROP TIEOFF_X24Y27 NUM_ARCS 0 SITEPROP TIEOFF_X24Y27 NUM_BELS 2 SITEPROP TIEOFF_X24Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y27 NUM_PINS 2 SITEPROP TIEOFF_X24Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y27 PROHIBIT 0 SITEPROP TIEOFF_X24Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y27 RPM_X 96 SITEPROP TIEOFF_X24Y27 RPM_Y 54 SITEPROP TIEOFF_X24Y27 SITE_PIPS SITEPROP TIEOFF_X24Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y28 CLASS site SITEPROP TIEOFF_X24Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y28 IS_BONDED 0 SITEPROP TIEOFF_X24Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y28 IS_PAD 0 SITEPROP TIEOFF_X24Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y28 IS_RESERVED 0 SITEPROP TIEOFF_X24Y28 IS_TEST 0 SITEPROP TIEOFF_X24Y28 IS_USED 0 SITEPROP TIEOFF_X24Y28 MANUAL_ROUTING SITEPROP TIEOFF_X24Y28 NAME TIEOFF_X24Y28 SITEPROP TIEOFF_X24Y28 NUM_ARCS 0 SITEPROP TIEOFF_X24Y28 NUM_BELS 2 SITEPROP TIEOFF_X24Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y28 NUM_PINS 2 SITEPROP TIEOFF_X24Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y28 PROHIBIT 0 SITEPROP TIEOFF_X24Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y28 RPM_X 96 SITEPROP TIEOFF_X24Y28 RPM_Y 56 SITEPROP TIEOFF_X24Y28 SITE_PIPS SITEPROP TIEOFF_X24Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y29 CLASS site SITEPROP TIEOFF_X24Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y29 IS_BONDED 0 SITEPROP TIEOFF_X24Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y29 IS_PAD 0 SITEPROP TIEOFF_X24Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y29 IS_RESERVED 0 SITEPROP TIEOFF_X24Y29 IS_TEST 0 SITEPROP TIEOFF_X24Y29 IS_USED 0 SITEPROP TIEOFF_X24Y29 MANUAL_ROUTING SITEPROP TIEOFF_X24Y29 NAME TIEOFF_X24Y29 SITEPROP TIEOFF_X24Y29 NUM_ARCS 0 SITEPROP TIEOFF_X24Y29 NUM_BELS 2 SITEPROP TIEOFF_X24Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y29 NUM_PINS 2 SITEPROP TIEOFF_X24Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y29 PROHIBIT 0 SITEPROP TIEOFF_X24Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y29 RPM_X 96 SITEPROP TIEOFF_X24Y29 RPM_Y 58 SITEPROP TIEOFF_X24Y29 SITE_PIPS SITEPROP TIEOFF_X24Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y30 CLASS site SITEPROP TIEOFF_X24Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y30 IS_BONDED 0 SITEPROP TIEOFF_X24Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y30 IS_PAD 0 SITEPROP TIEOFF_X24Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y30 IS_RESERVED 0 SITEPROP TIEOFF_X24Y30 IS_TEST 0 SITEPROP TIEOFF_X24Y30 IS_USED 0 SITEPROP TIEOFF_X24Y30 MANUAL_ROUTING SITEPROP TIEOFF_X24Y30 NAME TIEOFF_X24Y30 SITEPROP TIEOFF_X24Y30 NUM_ARCS 0 SITEPROP TIEOFF_X24Y30 NUM_BELS 2 SITEPROP TIEOFF_X24Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y30 NUM_PINS 2 SITEPROP TIEOFF_X24Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y30 PROHIBIT 0 SITEPROP TIEOFF_X24Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y30 RPM_X 96 SITEPROP TIEOFF_X24Y30 RPM_Y 60 SITEPROP TIEOFF_X24Y30 SITE_PIPS SITEPROP TIEOFF_X24Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y31 CLASS site SITEPROP TIEOFF_X24Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y31 IS_BONDED 0 SITEPROP TIEOFF_X24Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y31 IS_PAD 0 SITEPROP TIEOFF_X24Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y31 IS_RESERVED 0 SITEPROP TIEOFF_X24Y31 IS_TEST 0 SITEPROP TIEOFF_X24Y31 IS_USED 0 SITEPROP TIEOFF_X24Y31 MANUAL_ROUTING SITEPROP TIEOFF_X24Y31 NAME TIEOFF_X24Y31 SITEPROP TIEOFF_X24Y31 NUM_ARCS 0 SITEPROP TIEOFF_X24Y31 NUM_BELS 2 SITEPROP TIEOFF_X24Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y31 NUM_PINS 2 SITEPROP TIEOFF_X24Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y31 PROHIBIT 0 SITEPROP TIEOFF_X24Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y31 RPM_X 96 SITEPROP TIEOFF_X24Y31 RPM_Y 62 SITEPROP TIEOFF_X24Y31 SITE_PIPS SITEPROP TIEOFF_X24Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y32 CLASS site SITEPROP TIEOFF_X24Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y32 IS_BONDED 0 SITEPROP TIEOFF_X24Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y32 IS_PAD 0 SITEPROP TIEOFF_X24Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y32 IS_RESERVED 0 SITEPROP TIEOFF_X24Y32 IS_TEST 0 SITEPROP TIEOFF_X24Y32 IS_USED 0 SITEPROP TIEOFF_X24Y32 MANUAL_ROUTING SITEPROP TIEOFF_X24Y32 NAME TIEOFF_X24Y32 SITEPROP TIEOFF_X24Y32 NUM_ARCS 0 SITEPROP TIEOFF_X24Y32 NUM_BELS 2 SITEPROP TIEOFF_X24Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y32 NUM_PINS 2 SITEPROP TIEOFF_X24Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y32 PROHIBIT 0 SITEPROP TIEOFF_X24Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y32 RPM_X 96 SITEPROP TIEOFF_X24Y32 RPM_Y 64 SITEPROP TIEOFF_X24Y32 SITE_PIPS SITEPROP TIEOFF_X24Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y33 CLASS site SITEPROP TIEOFF_X24Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y33 IS_BONDED 0 SITEPROP TIEOFF_X24Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y33 IS_PAD 0 SITEPROP TIEOFF_X24Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y33 IS_RESERVED 0 SITEPROP TIEOFF_X24Y33 IS_TEST 0 SITEPROP TIEOFF_X24Y33 IS_USED 0 SITEPROP TIEOFF_X24Y33 MANUAL_ROUTING SITEPROP TIEOFF_X24Y33 NAME TIEOFF_X24Y33 SITEPROP TIEOFF_X24Y33 NUM_ARCS 0 SITEPROP TIEOFF_X24Y33 NUM_BELS 2 SITEPROP TIEOFF_X24Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y33 NUM_PINS 2 SITEPROP TIEOFF_X24Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y33 PROHIBIT 0 SITEPROP TIEOFF_X24Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y33 RPM_X 96 SITEPROP TIEOFF_X24Y33 RPM_Y 66 SITEPROP TIEOFF_X24Y33 SITE_PIPS SITEPROP TIEOFF_X24Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y34 CLASS site SITEPROP TIEOFF_X24Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y34 IS_BONDED 0 SITEPROP TIEOFF_X24Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y34 IS_PAD 0 SITEPROP TIEOFF_X24Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y34 IS_RESERVED 0 SITEPROP TIEOFF_X24Y34 IS_TEST 0 SITEPROP TIEOFF_X24Y34 IS_USED 0 SITEPROP TIEOFF_X24Y34 MANUAL_ROUTING SITEPROP TIEOFF_X24Y34 NAME TIEOFF_X24Y34 SITEPROP TIEOFF_X24Y34 NUM_ARCS 0 SITEPROP TIEOFF_X24Y34 NUM_BELS 2 SITEPROP TIEOFF_X24Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y34 NUM_PINS 2 SITEPROP TIEOFF_X24Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y34 PROHIBIT 0 SITEPROP TIEOFF_X24Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y34 RPM_X 96 SITEPROP TIEOFF_X24Y34 RPM_Y 68 SITEPROP TIEOFF_X24Y34 SITE_PIPS SITEPROP TIEOFF_X24Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y35 CLASS site SITEPROP TIEOFF_X24Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y35 IS_BONDED 0 SITEPROP TIEOFF_X24Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y35 IS_PAD 0 SITEPROP TIEOFF_X24Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y35 IS_RESERVED 0 SITEPROP TIEOFF_X24Y35 IS_TEST 0 SITEPROP TIEOFF_X24Y35 IS_USED 0 SITEPROP TIEOFF_X24Y35 MANUAL_ROUTING SITEPROP TIEOFF_X24Y35 NAME TIEOFF_X24Y35 SITEPROP TIEOFF_X24Y35 NUM_ARCS 0 SITEPROP TIEOFF_X24Y35 NUM_BELS 2 SITEPROP TIEOFF_X24Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y35 NUM_PINS 2 SITEPROP TIEOFF_X24Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y35 PROHIBIT 0 SITEPROP TIEOFF_X24Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y35 RPM_X 96 SITEPROP TIEOFF_X24Y35 RPM_Y 70 SITEPROP TIEOFF_X24Y35 SITE_PIPS SITEPROP TIEOFF_X24Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y36 CLASS site SITEPROP TIEOFF_X24Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y36 IS_BONDED 0 SITEPROP TIEOFF_X24Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y36 IS_PAD 0 SITEPROP TIEOFF_X24Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y36 IS_RESERVED 0 SITEPROP TIEOFF_X24Y36 IS_TEST 0 SITEPROP TIEOFF_X24Y36 IS_USED 0 SITEPROP TIEOFF_X24Y36 MANUAL_ROUTING SITEPROP TIEOFF_X24Y36 NAME TIEOFF_X24Y36 SITEPROP TIEOFF_X24Y36 NUM_ARCS 0 SITEPROP TIEOFF_X24Y36 NUM_BELS 2 SITEPROP TIEOFF_X24Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y36 NUM_PINS 2 SITEPROP TIEOFF_X24Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y36 PROHIBIT 0 SITEPROP TIEOFF_X24Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y36 RPM_X 96 SITEPROP TIEOFF_X24Y36 RPM_Y 72 SITEPROP TIEOFF_X24Y36 SITE_PIPS SITEPROP TIEOFF_X24Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y37 CLASS site SITEPROP TIEOFF_X24Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y37 IS_BONDED 0 SITEPROP TIEOFF_X24Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y37 IS_PAD 0 SITEPROP TIEOFF_X24Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y37 IS_RESERVED 0 SITEPROP TIEOFF_X24Y37 IS_TEST 0 SITEPROP TIEOFF_X24Y37 IS_USED 0 SITEPROP TIEOFF_X24Y37 MANUAL_ROUTING SITEPROP TIEOFF_X24Y37 NAME TIEOFF_X24Y37 SITEPROP TIEOFF_X24Y37 NUM_ARCS 0 SITEPROP TIEOFF_X24Y37 NUM_BELS 2 SITEPROP TIEOFF_X24Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y37 NUM_PINS 2 SITEPROP TIEOFF_X24Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y37 PROHIBIT 0 SITEPROP TIEOFF_X24Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y37 RPM_X 96 SITEPROP TIEOFF_X24Y37 RPM_Y 74 SITEPROP TIEOFF_X24Y37 SITE_PIPS SITEPROP TIEOFF_X24Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y38 CLASS site SITEPROP TIEOFF_X24Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y38 IS_BONDED 0 SITEPROP TIEOFF_X24Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y38 IS_PAD 0 SITEPROP TIEOFF_X24Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y38 IS_RESERVED 0 SITEPROP TIEOFF_X24Y38 IS_TEST 0 SITEPROP TIEOFF_X24Y38 IS_USED 0 SITEPROP TIEOFF_X24Y38 MANUAL_ROUTING SITEPROP TIEOFF_X24Y38 NAME TIEOFF_X24Y38 SITEPROP TIEOFF_X24Y38 NUM_ARCS 0 SITEPROP TIEOFF_X24Y38 NUM_BELS 2 SITEPROP TIEOFF_X24Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y38 NUM_PINS 2 SITEPROP TIEOFF_X24Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y38 PROHIBIT 0 SITEPROP TIEOFF_X24Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y38 RPM_X 96 SITEPROP TIEOFF_X24Y38 RPM_Y 76 SITEPROP TIEOFF_X24Y38 SITE_PIPS SITEPROP TIEOFF_X24Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y39 CLASS site SITEPROP TIEOFF_X24Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y39 IS_BONDED 0 SITEPROP TIEOFF_X24Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y39 IS_PAD 0 SITEPROP TIEOFF_X24Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y39 IS_RESERVED 0 SITEPROP TIEOFF_X24Y39 IS_TEST 0 SITEPROP TIEOFF_X24Y39 IS_USED 0 SITEPROP TIEOFF_X24Y39 MANUAL_ROUTING SITEPROP TIEOFF_X24Y39 NAME TIEOFF_X24Y39 SITEPROP TIEOFF_X24Y39 NUM_ARCS 0 SITEPROP TIEOFF_X24Y39 NUM_BELS 2 SITEPROP TIEOFF_X24Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y39 NUM_PINS 2 SITEPROP TIEOFF_X24Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y39 PROHIBIT 0 SITEPROP TIEOFF_X24Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y39 RPM_X 96 SITEPROP TIEOFF_X24Y39 RPM_Y 78 SITEPROP TIEOFF_X24Y39 SITE_PIPS SITEPROP TIEOFF_X24Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y40 CLASS site SITEPROP TIEOFF_X24Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y40 IS_BONDED 0 SITEPROP TIEOFF_X24Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y40 IS_PAD 0 SITEPROP TIEOFF_X24Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y40 IS_RESERVED 0 SITEPROP TIEOFF_X24Y40 IS_TEST 0 SITEPROP TIEOFF_X24Y40 IS_USED 0 SITEPROP TIEOFF_X24Y40 MANUAL_ROUTING SITEPROP TIEOFF_X24Y40 NAME TIEOFF_X24Y40 SITEPROP TIEOFF_X24Y40 NUM_ARCS 0 SITEPROP TIEOFF_X24Y40 NUM_BELS 2 SITEPROP TIEOFF_X24Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y40 NUM_PINS 2 SITEPROP TIEOFF_X24Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y40 PROHIBIT 0 SITEPROP TIEOFF_X24Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y40 RPM_X 96 SITEPROP TIEOFF_X24Y40 RPM_Y 80 SITEPROP TIEOFF_X24Y40 SITE_PIPS SITEPROP TIEOFF_X24Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y41 CLASS site SITEPROP TIEOFF_X24Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y41 IS_BONDED 0 SITEPROP TIEOFF_X24Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y41 IS_PAD 0 SITEPROP TIEOFF_X24Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y41 IS_RESERVED 0 SITEPROP TIEOFF_X24Y41 IS_TEST 0 SITEPROP TIEOFF_X24Y41 IS_USED 0 SITEPROP TIEOFF_X24Y41 MANUAL_ROUTING SITEPROP TIEOFF_X24Y41 NAME TIEOFF_X24Y41 SITEPROP TIEOFF_X24Y41 NUM_ARCS 0 SITEPROP TIEOFF_X24Y41 NUM_BELS 2 SITEPROP TIEOFF_X24Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y41 NUM_PINS 2 SITEPROP TIEOFF_X24Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y41 PROHIBIT 0 SITEPROP TIEOFF_X24Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y41 RPM_X 96 SITEPROP TIEOFF_X24Y41 RPM_Y 82 SITEPROP TIEOFF_X24Y41 SITE_PIPS SITEPROP TIEOFF_X24Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y42 CLASS site SITEPROP TIEOFF_X24Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y42 IS_BONDED 0 SITEPROP TIEOFF_X24Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y42 IS_PAD 0 SITEPROP TIEOFF_X24Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y42 IS_RESERVED 0 SITEPROP TIEOFF_X24Y42 IS_TEST 0 SITEPROP TIEOFF_X24Y42 IS_USED 0 SITEPROP TIEOFF_X24Y42 MANUAL_ROUTING SITEPROP TIEOFF_X24Y42 NAME TIEOFF_X24Y42 SITEPROP TIEOFF_X24Y42 NUM_ARCS 0 SITEPROP TIEOFF_X24Y42 NUM_BELS 2 SITEPROP TIEOFF_X24Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y42 NUM_PINS 2 SITEPROP TIEOFF_X24Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y42 PROHIBIT 0 SITEPROP TIEOFF_X24Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y42 RPM_X 96 SITEPROP TIEOFF_X24Y42 RPM_Y 84 SITEPROP TIEOFF_X24Y42 SITE_PIPS SITEPROP TIEOFF_X24Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y43 CLASS site SITEPROP TIEOFF_X24Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y43 IS_BONDED 0 SITEPROP TIEOFF_X24Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y43 IS_PAD 0 SITEPROP TIEOFF_X24Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y43 IS_RESERVED 0 SITEPROP TIEOFF_X24Y43 IS_TEST 0 SITEPROP TIEOFF_X24Y43 IS_USED 0 SITEPROP TIEOFF_X24Y43 MANUAL_ROUTING SITEPROP TIEOFF_X24Y43 NAME TIEOFF_X24Y43 SITEPROP TIEOFF_X24Y43 NUM_ARCS 0 SITEPROP TIEOFF_X24Y43 NUM_BELS 2 SITEPROP TIEOFF_X24Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y43 NUM_PINS 2 SITEPROP TIEOFF_X24Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y43 PROHIBIT 0 SITEPROP TIEOFF_X24Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y43 RPM_X 96 SITEPROP TIEOFF_X24Y43 RPM_Y 86 SITEPROP TIEOFF_X24Y43 SITE_PIPS SITEPROP TIEOFF_X24Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y44 CLASS site SITEPROP TIEOFF_X24Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y44 IS_BONDED 0 SITEPROP TIEOFF_X24Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y44 IS_PAD 0 SITEPROP TIEOFF_X24Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y44 IS_RESERVED 0 SITEPROP TIEOFF_X24Y44 IS_TEST 0 SITEPROP TIEOFF_X24Y44 IS_USED 0 SITEPROP TIEOFF_X24Y44 MANUAL_ROUTING SITEPROP TIEOFF_X24Y44 NAME TIEOFF_X24Y44 SITEPROP TIEOFF_X24Y44 NUM_ARCS 0 SITEPROP TIEOFF_X24Y44 NUM_BELS 2 SITEPROP TIEOFF_X24Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y44 NUM_PINS 2 SITEPROP TIEOFF_X24Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y44 PROHIBIT 0 SITEPROP TIEOFF_X24Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y44 RPM_X 96 SITEPROP TIEOFF_X24Y44 RPM_Y 88 SITEPROP TIEOFF_X24Y44 SITE_PIPS SITEPROP TIEOFF_X24Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y45 CLASS site SITEPROP TIEOFF_X24Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y45 IS_BONDED 0 SITEPROP TIEOFF_X24Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y45 IS_PAD 0 SITEPROP TIEOFF_X24Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y45 IS_RESERVED 0 SITEPROP TIEOFF_X24Y45 IS_TEST 0 SITEPROP TIEOFF_X24Y45 IS_USED 0 SITEPROP TIEOFF_X24Y45 MANUAL_ROUTING SITEPROP TIEOFF_X24Y45 NAME TIEOFF_X24Y45 SITEPROP TIEOFF_X24Y45 NUM_ARCS 0 SITEPROP TIEOFF_X24Y45 NUM_BELS 2 SITEPROP TIEOFF_X24Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y45 NUM_PINS 2 SITEPROP TIEOFF_X24Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y45 PROHIBIT 0 SITEPROP TIEOFF_X24Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y45 RPM_X 96 SITEPROP TIEOFF_X24Y45 RPM_Y 90 SITEPROP TIEOFF_X24Y45 SITE_PIPS SITEPROP TIEOFF_X24Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y46 CLASS site SITEPROP TIEOFF_X24Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y46 IS_BONDED 0 SITEPROP TIEOFF_X24Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y46 IS_PAD 0 SITEPROP TIEOFF_X24Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y46 IS_RESERVED 0 SITEPROP TIEOFF_X24Y46 IS_TEST 0 SITEPROP TIEOFF_X24Y46 IS_USED 0 SITEPROP TIEOFF_X24Y46 MANUAL_ROUTING SITEPROP TIEOFF_X24Y46 NAME TIEOFF_X24Y46 SITEPROP TIEOFF_X24Y46 NUM_ARCS 0 SITEPROP TIEOFF_X24Y46 NUM_BELS 2 SITEPROP TIEOFF_X24Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y46 NUM_PINS 2 SITEPROP TIEOFF_X24Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y46 PROHIBIT 0 SITEPROP TIEOFF_X24Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y46 RPM_X 96 SITEPROP TIEOFF_X24Y46 RPM_Y 92 SITEPROP TIEOFF_X24Y46 SITE_PIPS SITEPROP TIEOFF_X24Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y47 CLASS site SITEPROP TIEOFF_X24Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y47 IS_BONDED 0 SITEPROP TIEOFF_X24Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y47 IS_PAD 0 SITEPROP TIEOFF_X24Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y47 IS_RESERVED 0 SITEPROP TIEOFF_X24Y47 IS_TEST 0 SITEPROP TIEOFF_X24Y47 IS_USED 0 SITEPROP TIEOFF_X24Y47 MANUAL_ROUTING SITEPROP TIEOFF_X24Y47 NAME TIEOFF_X24Y47 SITEPROP TIEOFF_X24Y47 NUM_ARCS 0 SITEPROP TIEOFF_X24Y47 NUM_BELS 2 SITEPROP TIEOFF_X24Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y47 NUM_PINS 2 SITEPROP TIEOFF_X24Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y47 PROHIBIT 0 SITEPROP TIEOFF_X24Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y47 RPM_X 96 SITEPROP TIEOFF_X24Y47 RPM_Y 94 SITEPROP TIEOFF_X24Y47 SITE_PIPS SITEPROP TIEOFF_X24Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y48 CLASS site SITEPROP TIEOFF_X24Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y48 IS_BONDED 0 SITEPROP TIEOFF_X24Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y48 IS_PAD 0 SITEPROP TIEOFF_X24Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y48 IS_RESERVED 0 SITEPROP TIEOFF_X24Y48 IS_TEST 0 SITEPROP TIEOFF_X24Y48 IS_USED 0 SITEPROP TIEOFF_X24Y48 MANUAL_ROUTING SITEPROP TIEOFF_X24Y48 NAME TIEOFF_X24Y48 SITEPROP TIEOFF_X24Y48 NUM_ARCS 0 SITEPROP TIEOFF_X24Y48 NUM_BELS 2 SITEPROP TIEOFF_X24Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y48 NUM_PINS 2 SITEPROP TIEOFF_X24Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y48 PROHIBIT 0 SITEPROP TIEOFF_X24Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y48 RPM_X 96 SITEPROP TIEOFF_X24Y48 RPM_Y 96 SITEPROP TIEOFF_X24Y48 SITE_PIPS SITEPROP TIEOFF_X24Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y49 CLASS site SITEPROP TIEOFF_X24Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X24Y49 IS_BONDED 0 SITEPROP TIEOFF_X24Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y49 IS_PAD 0 SITEPROP TIEOFF_X24Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y49 IS_RESERVED 0 SITEPROP TIEOFF_X24Y49 IS_TEST 0 SITEPROP TIEOFF_X24Y49 IS_USED 0 SITEPROP TIEOFF_X24Y49 MANUAL_ROUTING SITEPROP TIEOFF_X24Y49 NAME TIEOFF_X24Y49 SITEPROP TIEOFF_X24Y49 NUM_ARCS 0 SITEPROP TIEOFF_X24Y49 NUM_BELS 2 SITEPROP TIEOFF_X24Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y49 NUM_PINS 2 SITEPROP TIEOFF_X24Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y49 PROHIBIT 0 SITEPROP TIEOFF_X24Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y49 RPM_X 96 SITEPROP TIEOFF_X24Y49 RPM_Y 98 SITEPROP TIEOFF_X24Y49 SITE_PIPS SITEPROP TIEOFF_X24Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y50 CLASS site SITEPROP TIEOFF_X24Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y50 IS_BONDED 0 SITEPROP TIEOFF_X24Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y50 IS_PAD 0 SITEPROP TIEOFF_X24Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y50 IS_RESERVED 0 SITEPROP TIEOFF_X24Y50 IS_TEST 0 SITEPROP TIEOFF_X24Y50 IS_USED 0 SITEPROP TIEOFF_X24Y50 MANUAL_ROUTING SITEPROP TIEOFF_X24Y50 NAME TIEOFF_X24Y50 SITEPROP TIEOFF_X24Y50 NUM_ARCS 0 SITEPROP TIEOFF_X24Y50 NUM_BELS 2 SITEPROP TIEOFF_X24Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y50 NUM_PINS 2 SITEPROP TIEOFF_X24Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y50 PROHIBIT 0 SITEPROP TIEOFF_X24Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y50 RPM_X 96 SITEPROP TIEOFF_X24Y50 RPM_Y 100 SITEPROP TIEOFF_X24Y50 SITE_PIPS SITEPROP TIEOFF_X24Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y51 CLASS site SITEPROP TIEOFF_X24Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y51 IS_BONDED 0 SITEPROP TIEOFF_X24Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y51 IS_PAD 0 SITEPROP TIEOFF_X24Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y51 IS_RESERVED 0 SITEPROP TIEOFF_X24Y51 IS_TEST 0 SITEPROP TIEOFF_X24Y51 IS_USED 0 SITEPROP TIEOFF_X24Y51 MANUAL_ROUTING SITEPROP TIEOFF_X24Y51 NAME TIEOFF_X24Y51 SITEPROP TIEOFF_X24Y51 NUM_ARCS 0 SITEPROP TIEOFF_X24Y51 NUM_BELS 2 SITEPROP TIEOFF_X24Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y51 NUM_PINS 2 SITEPROP TIEOFF_X24Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y51 PROHIBIT 0 SITEPROP TIEOFF_X24Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y51 RPM_X 96 SITEPROP TIEOFF_X24Y51 RPM_Y 102 SITEPROP TIEOFF_X24Y51 SITE_PIPS SITEPROP TIEOFF_X24Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y52 CLASS site SITEPROP TIEOFF_X24Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y52 IS_BONDED 0 SITEPROP TIEOFF_X24Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y52 IS_PAD 0 SITEPROP TIEOFF_X24Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y52 IS_RESERVED 0 SITEPROP TIEOFF_X24Y52 IS_TEST 0 SITEPROP TIEOFF_X24Y52 IS_USED 0 SITEPROP TIEOFF_X24Y52 MANUAL_ROUTING SITEPROP TIEOFF_X24Y52 NAME TIEOFF_X24Y52 SITEPROP TIEOFF_X24Y52 NUM_ARCS 0 SITEPROP TIEOFF_X24Y52 NUM_BELS 2 SITEPROP TIEOFF_X24Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y52 NUM_PINS 2 SITEPROP TIEOFF_X24Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y52 PROHIBIT 0 SITEPROP TIEOFF_X24Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y52 RPM_X 96 SITEPROP TIEOFF_X24Y52 RPM_Y 104 SITEPROP TIEOFF_X24Y52 SITE_PIPS SITEPROP TIEOFF_X24Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y53 CLASS site SITEPROP TIEOFF_X24Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y53 IS_BONDED 0 SITEPROP TIEOFF_X24Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y53 IS_PAD 0 SITEPROP TIEOFF_X24Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y53 IS_RESERVED 0 SITEPROP TIEOFF_X24Y53 IS_TEST 0 SITEPROP TIEOFF_X24Y53 IS_USED 0 SITEPROP TIEOFF_X24Y53 MANUAL_ROUTING SITEPROP TIEOFF_X24Y53 NAME TIEOFF_X24Y53 SITEPROP TIEOFF_X24Y53 NUM_ARCS 0 SITEPROP TIEOFF_X24Y53 NUM_BELS 2 SITEPROP TIEOFF_X24Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y53 NUM_PINS 2 SITEPROP TIEOFF_X24Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y53 PROHIBIT 0 SITEPROP TIEOFF_X24Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y53 RPM_X 96 SITEPROP TIEOFF_X24Y53 RPM_Y 106 SITEPROP TIEOFF_X24Y53 SITE_PIPS SITEPROP TIEOFF_X24Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y54 CLASS site SITEPROP TIEOFF_X24Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y54 IS_BONDED 0 SITEPROP TIEOFF_X24Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y54 IS_PAD 0 SITEPROP TIEOFF_X24Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y54 IS_RESERVED 0 SITEPROP TIEOFF_X24Y54 IS_TEST 0 SITEPROP TIEOFF_X24Y54 IS_USED 0 SITEPROP TIEOFF_X24Y54 MANUAL_ROUTING SITEPROP TIEOFF_X24Y54 NAME TIEOFF_X24Y54 SITEPROP TIEOFF_X24Y54 NUM_ARCS 0 SITEPROP TIEOFF_X24Y54 NUM_BELS 2 SITEPROP TIEOFF_X24Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y54 NUM_PINS 2 SITEPROP TIEOFF_X24Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y54 PROHIBIT 0 SITEPROP TIEOFF_X24Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y54 RPM_X 96 SITEPROP TIEOFF_X24Y54 RPM_Y 108 SITEPROP TIEOFF_X24Y54 SITE_PIPS SITEPROP TIEOFF_X24Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y55 CLASS site SITEPROP TIEOFF_X24Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y55 IS_BONDED 0 SITEPROP TIEOFF_X24Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y55 IS_PAD 0 SITEPROP TIEOFF_X24Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y55 IS_RESERVED 0 SITEPROP TIEOFF_X24Y55 IS_TEST 0 SITEPROP TIEOFF_X24Y55 IS_USED 0 SITEPROP TIEOFF_X24Y55 MANUAL_ROUTING SITEPROP TIEOFF_X24Y55 NAME TIEOFF_X24Y55 SITEPROP TIEOFF_X24Y55 NUM_ARCS 0 SITEPROP TIEOFF_X24Y55 NUM_BELS 2 SITEPROP TIEOFF_X24Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y55 NUM_PINS 2 SITEPROP TIEOFF_X24Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y55 PROHIBIT 0 SITEPROP TIEOFF_X24Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y55 RPM_X 96 SITEPROP TIEOFF_X24Y55 RPM_Y 110 SITEPROP TIEOFF_X24Y55 SITE_PIPS SITEPROP TIEOFF_X24Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y56 CLASS site SITEPROP TIEOFF_X24Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y56 IS_BONDED 0 SITEPROP TIEOFF_X24Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y56 IS_PAD 0 SITEPROP TIEOFF_X24Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y56 IS_RESERVED 0 SITEPROP TIEOFF_X24Y56 IS_TEST 0 SITEPROP TIEOFF_X24Y56 IS_USED 0 SITEPROP TIEOFF_X24Y56 MANUAL_ROUTING SITEPROP TIEOFF_X24Y56 NAME TIEOFF_X24Y56 SITEPROP TIEOFF_X24Y56 NUM_ARCS 0 SITEPROP TIEOFF_X24Y56 NUM_BELS 2 SITEPROP TIEOFF_X24Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y56 NUM_PINS 2 SITEPROP TIEOFF_X24Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y56 PROHIBIT 0 SITEPROP TIEOFF_X24Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y56 RPM_X 96 SITEPROP TIEOFF_X24Y56 RPM_Y 112 SITEPROP TIEOFF_X24Y56 SITE_PIPS SITEPROP TIEOFF_X24Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y57 CLASS site SITEPROP TIEOFF_X24Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y57 IS_BONDED 0 SITEPROP TIEOFF_X24Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y57 IS_PAD 0 SITEPROP TIEOFF_X24Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y57 IS_RESERVED 0 SITEPROP TIEOFF_X24Y57 IS_TEST 0 SITEPROP TIEOFF_X24Y57 IS_USED 0 SITEPROP TIEOFF_X24Y57 MANUAL_ROUTING SITEPROP TIEOFF_X24Y57 NAME TIEOFF_X24Y57 SITEPROP TIEOFF_X24Y57 NUM_ARCS 0 SITEPROP TIEOFF_X24Y57 NUM_BELS 2 SITEPROP TIEOFF_X24Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y57 NUM_PINS 2 SITEPROP TIEOFF_X24Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y57 PROHIBIT 0 SITEPROP TIEOFF_X24Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y57 RPM_X 96 SITEPROP TIEOFF_X24Y57 RPM_Y 114 SITEPROP TIEOFF_X24Y57 SITE_PIPS SITEPROP TIEOFF_X24Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y58 CLASS site SITEPROP TIEOFF_X24Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y58 IS_BONDED 0 SITEPROP TIEOFF_X24Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y58 IS_PAD 0 SITEPROP TIEOFF_X24Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y58 IS_RESERVED 0 SITEPROP TIEOFF_X24Y58 IS_TEST 0 SITEPROP TIEOFF_X24Y58 IS_USED 0 SITEPROP TIEOFF_X24Y58 MANUAL_ROUTING SITEPROP TIEOFF_X24Y58 NAME TIEOFF_X24Y58 SITEPROP TIEOFF_X24Y58 NUM_ARCS 0 SITEPROP TIEOFF_X24Y58 NUM_BELS 2 SITEPROP TIEOFF_X24Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y58 NUM_PINS 2 SITEPROP TIEOFF_X24Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y58 PROHIBIT 0 SITEPROP TIEOFF_X24Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y58 RPM_X 96 SITEPROP TIEOFF_X24Y58 RPM_Y 116 SITEPROP TIEOFF_X24Y58 SITE_PIPS SITEPROP TIEOFF_X24Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y59 CLASS site SITEPROP TIEOFF_X24Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y59 IS_BONDED 0 SITEPROP TIEOFF_X24Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y59 IS_PAD 0 SITEPROP TIEOFF_X24Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y59 IS_RESERVED 0 SITEPROP TIEOFF_X24Y59 IS_TEST 0 SITEPROP TIEOFF_X24Y59 IS_USED 0 SITEPROP TIEOFF_X24Y59 MANUAL_ROUTING SITEPROP TIEOFF_X24Y59 NAME TIEOFF_X24Y59 SITEPROP TIEOFF_X24Y59 NUM_ARCS 0 SITEPROP TIEOFF_X24Y59 NUM_BELS 2 SITEPROP TIEOFF_X24Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y59 NUM_PINS 2 SITEPROP TIEOFF_X24Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y59 PROHIBIT 0 SITEPROP TIEOFF_X24Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y59 RPM_X 96 SITEPROP TIEOFF_X24Y59 RPM_Y 118 SITEPROP TIEOFF_X24Y59 SITE_PIPS SITEPROP TIEOFF_X24Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y60 CLASS site SITEPROP TIEOFF_X24Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y60 IS_BONDED 0 SITEPROP TIEOFF_X24Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y60 IS_PAD 0 SITEPROP TIEOFF_X24Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y60 IS_RESERVED 0 SITEPROP TIEOFF_X24Y60 IS_TEST 0 SITEPROP TIEOFF_X24Y60 IS_USED 0 SITEPROP TIEOFF_X24Y60 MANUAL_ROUTING SITEPROP TIEOFF_X24Y60 NAME TIEOFF_X24Y60 SITEPROP TIEOFF_X24Y60 NUM_ARCS 0 SITEPROP TIEOFF_X24Y60 NUM_BELS 2 SITEPROP TIEOFF_X24Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y60 NUM_PINS 2 SITEPROP TIEOFF_X24Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y60 PROHIBIT 0 SITEPROP TIEOFF_X24Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y60 RPM_X 96 SITEPROP TIEOFF_X24Y60 RPM_Y 120 SITEPROP TIEOFF_X24Y60 SITE_PIPS SITEPROP TIEOFF_X24Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y61 CLASS site SITEPROP TIEOFF_X24Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y61 IS_BONDED 0 SITEPROP TIEOFF_X24Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y61 IS_PAD 0 SITEPROP TIEOFF_X24Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y61 IS_RESERVED 0 SITEPROP TIEOFF_X24Y61 IS_TEST 0 SITEPROP TIEOFF_X24Y61 IS_USED 0 SITEPROP TIEOFF_X24Y61 MANUAL_ROUTING SITEPROP TIEOFF_X24Y61 NAME TIEOFF_X24Y61 SITEPROP TIEOFF_X24Y61 NUM_ARCS 0 SITEPROP TIEOFF_X24Y61 NUM_BELS 2 SITEPROP TIEOFF_X24Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y61 NUM_PINS 2 SITEPROP TIEOFF_X24Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y61 PROHIBIT 0 SITEPROP TIEOFF_X24Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y61 RPM_X 96 SITEPROP TIEOFF_X24Y61 RPM_Y 122 SITEPROP TIEOFF_X24Y61 SITE_PIPS SITEPROP TIEOFF_X24Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y62 CLASS site SITEPROP TIEOFF_X24Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y62 IS_BONDED 0 SITEPROP TIEOFF_X24Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y62 IS_PAD 0 SITEPROP TIEOFF_X24Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y62 IS_RESERVED 0 SITEPROP TIEOFF_X24Y62 IS_TEST 0 SITEPROP TIEOFF_X24Y62 IS_USED 0 SITEPROP TIEOFF_X24Y62 MANUAL_ROUTING SITEPROP TIEOFF_X24Y62 NAME TIEOFF_X24Y62 SITEPROP TIEOFF_X24Y62 NUM_ARCS 0 SITEPROP TIEOFF_X24Y62 NUM_BELS 2 SITEPROP TIEOFF_X24Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y62 NUM_PINS 2 SITEPROP TIEOFF_X24Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y62 PROHIBIT 0 SITEPROP TIEOFF_X24Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y62 RPM_X 96 SITEPROP TIEOFF_X24Y62 RPM_Y 124 SITEPROP TIEOFF_X24Y62 SITE_PIPS SITEPROP TIEOFF_X24Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y63 CLASS site SITEPROP TIEOFF_X24Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y63 IS_BONDED 0 SITEPROP TIEOFF_X24Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y63 IS_PAD 0 SITEPROP TIEOFF_X24Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y63 IS_RESERVED 0 SITEPROP TIEOFF_X24Y63 IS_TEST 0 SITEPROP TIEOFF_X24Y63 IS_USED 0 SITEPROP TIEOFF_X24Y63 MANUAL_ROUTING SITEPROP TIEOFF_X24Y63 NAME TIEOFF_X24Y63 SITEPROP TIEOFF_X24Y63 NUM_ARCS 0 SITEPROP TIEOFF_X24Y63 NUM_BELS 2 SITEPROP TIEOFF_X24Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y63 NUM_PINS 2 SITEPROP TIEOFF_X24Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y63 PROHIBIT 0 SITEPROP TIEOFF_X24Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y63 RPM_X 96 SITEPROP TIEOFF_X24Y63 RPM_Y 126 SITEPROP TIEOFF_X24Y63 SITE_PIPS SITEPROP TIEOFF_X24Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y64 CLASS site SITEPROP TIEOFF_X24Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y64 IS_BONDED 0 SITEPROP TIEOFF_X24Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y64 IS_PAD 0 SITEPROP TIEOFF_X24Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y64 IS_RESERVED 0 SITEPROP TIEOFF_X24Y64 IS_TEST 0 SITEPROP TIEOFF_X24Y64 IS_USED 0 SITEPROP TIEOFF_X24Y64 MANUAL_ROUTING SITEPROP TIEOFF_X24Y64 NAME TIEOFF_X24Y64 SITEPROP TIEOFF_X24Y64 NUM_ARCS 0 SITEPROP TIEOFF_X24Y64 NUM_BELS 2 SITEPROP TIEOFF_X24Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y64 NUM_PINS 2 SITEPROP TIEOFF_X24Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y64 PROHIBIT 0 SITEPROP TIEOFF_X24Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y64 RPM_X 96 SITEPROP TIEOFF_X24Y64 RPM_Y 128 SITEPROP TIEOFF_X24Y64 SITE_PIPS SITEPROP TIEOFF_X24Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y65 CLASS site SITEPROP TIEOFF_X24Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y65 IS_BONDED 0 SITEPROP TIEOFF_X24Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y65 IS_PAD 0 SITEPROP TIEOFF_X24Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y65 IS_RESERVED 0 SITEPROP TIEOFF_X24Y65 IS_TEST 0 SITEPROP TIEOFF_X24Y65 IS_USED 0 SITEPROP TIEOFF_X24Y65 MANUAL_ROUTING SITEPROP TIEOFF_X24Y65 NAME TIEOFF_X24Y65 SITEPROP TIEOFF_X24Y65 NUM_ARCS 0 SITEPROP TIEOFF_X24Y65 NUM_BELS 2 SITEPROP TIEOFF_X24Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y65 NUM_PINS 2 SITEPROP TIEOFF_X24Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y65 PROHIBIT 0 SITEPROP TIEOFF_X24Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y65 RPM_X 96 SITEPROP TIEOFF_X24Y65 RPM_Y 130 SITEPROP TIEOFF_X24Y65 SITE_PIPS SITEPROP TIEOFF_X24Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y66 CLASS site SITEPROP TIEOFF_X24Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y66 IS_BONDED 0 SITEPROP TIEOFF_X24Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y66 IS_PAD 0 SITEPROP TIEOFF_X24Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y66 IS_RESERVED 0 SITEPROP TIEOFF_X24Y66 IS_TEST 0 SITEPROP TIEOFF_X24Y66 IS_USED 0 SITEPROP TIEOFF_X24Y66 MANUAL_ROUTING SITEPROP TIEOFF_X24Y66 NAME TIEOFF_X24Y66 SITEPROP TIEOFF_X24Y66 NUM_ARCS 0 SITEPROP TIEOFF_X24Y66 NUM_BELS 2 SITEPROP TIEOFF_X24Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y66 NUM_PINS 2 SITEPROP TIEOFF_X24Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y66 PROHIBIT 0 SITEPROP TIEOFF_X24Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y66 RPM_X 96 SITEPROP TIEOFF_X24Y66 RPM_Y 132 SITEPROP TIEOFF_X24Y66 SITE_PIPS SITEPROP TIEOFF_X24Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y67 CLASS site SITEPROP TIEOFF_X24Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y67 IS_BONDED 0 SITEPROP TIEOFF_X24Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y67 IS_PAD 0 SITEPROP TIEOFF_X24Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y67 IS_RESERVED 0 SITEPROP TIEOFF_X24Y67 IS_TEST 0 SITEPROP TIEOFF_X24Y67 IS_USED 0 SITEPROP TIEOFF_X24Y67 MANUAL_ROUTING SITEPROP TIEOFF_X24Y67 NAME TIEOFF_X24Y67 SITEPROP TIEOFF_X24Y67 NUM_ARCS 0 SITEPROP TIEOFF_X24Y67 NUM_BELS 2 SITEPROP TIEOFF_X24Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y67 NUM_PINS 2 SITEPROP TIEOFF_X24Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y67 PROHIBIT 0 SITEPROP TIEOFF_X24Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y67 RPM_X 96 SITEPROP TIEOFF_X24Y67 RPM_Y 134 SITEPROP TIEOFF_X24Y67 SITE_PIPS SITEPROP TIEOFF_X24Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y68 CLASS site SITEPROP TIEOFF_X24Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y68 IS_BONDED 0 SITEPROP TIEOFF_X24Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y68 IS_PAD 0 SITEPROP TIEOFF_X24Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y68 IS_RESERVED 0 SITEPROP TIEOFF_X24Y68 IS_TEST 0 SITEPROP TIEOFF_X24Y68 IS_USED 0 SITEPROP TIEOFF_X24Y68 MANUAL_ROUTING SITEPROP TIEOFF_X24Y68 NAME TIEOFF_X24Y68 SITEPROP TIEOFF_X24Y68 NUM_ARCS 0 SITEPROP TIEOFF_X24Y68 NUM_BELS 2 SITEPROP TIEOFF_X24Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y68 NUM_PINS 2 SITEPROP TIEOFF_X24Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y68 PROHIBIT 0 SITEPROP TIEOFF_X24Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y68 RPM_X 96 SITEPROP TIEOFF_X24Y68 RPM_Y 136 SITEPROP TIEOFF_X24Y68 SITE_PIPS SITEPROP TIEOFF_X24Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y69 CLASS site SITEPROP TIEOFF_X24Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y69 IS_BONDED 0 SITEPROP TIEOFF_X24Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y69 IS_PAD 0 SITEPROP TIEOFF_X24Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y69 IS_RESERVED 0 SITEPROP TIEOFF_X24Y69 IS_TEST 0 SITEPROP TIEOFF_X24Y69 IS_USED 0 SITEPROP TIEOFF_X24Y69 MANUAL_ROUTING SITEPROP TIEOFF_X24Y69 NAME TIEOFF_X24Y69 SITEPROP TIEOFF_X24Y69 NUM_ARCS 0 SITEPROP TIEOFF_X24Y69 NUM_BELS 2 SITEPROP TIEOFF_X24Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y69 NUM_PINS 2 SITEPROP TIEOFF_X24Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y69 PROHIBIT 0 SITEPROP TIEOFF_X24Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y69 RPM_X 96 SITEPROP TIEOFF_X24Y69 RPM_Y 138 SITEPROP TIEOFF_X24Y69 SITE_PIPS SITEPROP TIEOFF_X24Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y70 CLASS site SITEPROP TIEOFF_X24Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y70 IS_BONDED 0 SITEPROP TIEOFF_X24Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y70 IS_PAD 0 SITEPROP TIEOFF_X24Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y70 IS_RESERVED 0 SITEPROP TIEOFF_X24Y70 IS_TEST 0 SITEPROP TIEOFF_X24Y70 IS_USED 0 SITEPROP TIEOFF_X24Y70 MANUAL_ROUTING SITEPROP TIEOFF_X24Y70 NAME TIEOFF_X24Y70 SITEPROP TIEOFF_X24Y70 NUM_ARCS 0 SITEPROP TIEOFF_X24Y70 NUM_BELS 2 SITEPROP TIEOFF_X24Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y70 NUM_PINS 2 SITEPROP TIEOFF_X24Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y70 PROHIBIT 0 SITEPROP TIEOFF_X24Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y70 RPM_X 96 SITEPROP TIEOFF_X24Y70 RPM_Y 140 SITEPROP TIEOFF_X24Y70 SITE_PIPS SITEPROP TIEOFF_X24Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y71 CLASS site SITEPROP TIEOFF_X24Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y71 IS_BONDED 0 SITEPROP TIEOFF_X24Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y71 IS_PAD 0 SITEPROP TIEOFF_X24Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y71 IS_RESERVED 0 SITEPROP TIEOFF_X24Y71 IS_TEST 0 SITEPROP TIEOFF_X24Y71 IS_USED 0 SITEPROP TIEOFF_X24Y71 MANUAL_ROUTING SITEPROP TIEOFF_X24Y71 NAME TIEOFF_X24Y71 SITEPROP TIEOFF_X24Y71 NUM_ARCS 0 SITEPROP TIEOFF_X24Y71 NUM_BELS 2 SITEPROP TIEOFF_X24Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y71 NUM_PINS 2 SITEPROP TIEOFF_X24Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y71 PROHIBIT 0 SITEPROP TIEOFF_X24Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y71 RPM_X 96 SITEPROP TIEOFF_X24Y71 RPM_Y 142 SITEPROP TIEOFF_X24Y71 SITE_PIPS SITEPROP TIEOFF_X24Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y72 CLASS site SITEPROP TIEOFF_X24Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y72 IS_BONDED 0 SITEPROP TIEOFF_X24Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y72 IS_PAD 0 SITEPROP TIEOFF_X24Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y72 IS_RESERVED 0 SITEPROP TIEOFF_X24Y72 IS_TEST 0 SITEPROP TIEOFF_X24Y72 IS_USED 0 SITEPROP TIEOFF_X24Y72 MANUAL_ROUTING SITEPROP TIEOFF_X24Y72 NAME TIEOFF_X24Y72 SITEPROP TIEOFF_X24Y72 NUM_ARCS 0 SITEPROP TIEOFF_X24Y72 NUM_BELS 2 SITEPROP TIEOFF_X24Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y72 NUM_PINS 2 SITEPROP TIEOFF_X24Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y72 PROHIBIT 0 SITEPROP TIEOFF_X24Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y72 RPM_X 96 SITEPROP TIEOFF_X24Y72 RPM_Y 144 SITEPROP TIEOFF_X24Y72 SITE_PIPS SITEPROP TIEOFF_X24Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y73 CLASS site SITEPROP TIEOFF_X24Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y73 IS_BONDED 0 SITEPROP TIEOFF_X24Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y73 IS_PAD 0 SITEPROP TIEOFF_X24Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y73 IS_RESERVED 0 SITEPROP TIEOFF_X24Y73 IS_TEST 0 SITEPROP TIEOFF_X24Y73 IS_USED 0 SITEPROP TIEOFF_X24Y73 MANUAL_ROUTING SITEPROP TIEOFF_X24Y73 NAME TIEOFF_X24Y73 SITEPROP TIEOFF_X24Y73 NUM_ARCS 0 SITEPROP TIEOFF_X24Y73 NUM_BELS 2 SITEPROP TIEOFF_X24Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y73 NUM_PINS 2 SITEPROP TIEOFF_X24Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y73 PROHIBIT 0 SITEPROP TIEOFF_X24Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y73 RPM_X 96 SITEPROP TIEOFF_X24Y73 RPM_Y 146 SITEPROP TIEOFF_X24Y73 SITE_PIPS SITEPROP TIEOFF_X24Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y74 CLASS site SITEPROP TIEOFF_X24Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y74 IS_BONDED 0 SITEPROP TIEOFF_X24Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y74 IS_PAD 0 SITEPROP TIEOFF_X24Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y74 IS_RESERVED 0 SITEPROP TIEOFF_X24Y74 IS_TEST 0 SITEPROP TIEOFF_X24Y74 IS_USED 0 SITEPROP TIEOFF_X24Y74 MANUAL_ROUTING SITEPROP TIEOFF_X24Y74 NAME TIEOFF_X24Y74 SITEPROP TIEOFF_X24Y74 NUM_ARCS 0 SITEPROP TIEOFF_X24Y74 NUM_BELS 2 SITEPROP TIEOFF_X24Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y74 NUM_PINS 2 SITEPROP TIEOFF_X24Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y74 PROHIBIT 0 SITEPROP TIEOFF_X24Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y74 RPM_X 96 SITEPROP TIEOFF_X24Y74 RPM_Y 148 SITEPROP TIEOFF_X24Y74 SITE_PIPS SITEPROP TIEOFF_X24Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y75 CLASS site SITEPROP TIEOFF_X24Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y75 IS_BONDED 0 SITEPROP TIEOFF_X24Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y75 IS_PAD 0 SITEPROP TIEOFF_X24Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y75 IS_RESERVED 0 SITEPROP TIEOFF_X24Y75 IS_TEST 0 SITEPROP TIEOFF_X24Y75 IS_USED 0 SITEPROP TIEOFF_X24Y75 MANUAL_ROUTING SITEPROP TIEOFF_X24Y75 NAME TIEOFF_X24Y75 SITEPROP TIEOFF_X24Y75 NUM_ARCS 0 SITEPROP TIEOFF_X24Y75 NUM_BELS 2 SITEPROP TIEOFF_X24Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y75 NUM_PINS 2 SITEPROP TIEOFF_X24Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y75 PROHIBIT 0 SITEPROP TIEOFF_X24Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y75 RPM_X 96 SITEPROP TIEOFF_X24Y75 RPM_Y 150 SITEPROP TIEOFF_X24Y75 SITE_PIPS SITEPROP TIEOFF_X24Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y76 CLASS site SITEPROP TIEOFF_X24Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y76 IS_BONDED 0 SITEPROP TIEOFF_X24Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y76 IS_PAD 0 SITEPROP TIEOFF_X24Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y76 IS_RESERVED 0 SITEPROP TIEOFF_X24Y76 IS_TEST 0 SITEPROP TIEOFF_X24Y76 IS_USED 0 SITEPROP TIEOFF_X24Y76 MANUAL_ROUTING SITEPROP TIEOFF_X24Y76 NAME TIEOFF_X24Y76 SITEPROP TIEOFF_X24Y76 NUM_ARCS 0 SITEPROP TIEOFF_X24Y76 NUM_BELS 2 SITEPROP TIEOFF_X24Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y76 NUM_PINS 2 SITEPROP TIEOFF_X24Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y76 PROHIBIT 0 SITEPROP TIEOFF_X24Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y76 RPM_X 96 SITEPROP TIEOFF_X24Y76 RPM_Y 152 SITEPROP TIEOFF_X24Y76 SITE_PIPS SITEPROP TIEOFF_X24Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y77 CLASS site SITEPROP TIEOFF_X24Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y77 IS_BONDED 0 SITEPROP TIEOFF_X24Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y77 IS_PAD 0 SITEPROP TIEOFF_X24Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y77 IS_RESERVED 0 SITEPROP TIEOFF_X24Y77 IS_TEST 0 SITEPROP TIEOFF_X24Y77 IS_USED 0 SITEPROP TIEOFF_X24Y77 MANUAL_ROUTING SITEPROP TIEOFF_X24Y77 NAME TIEOFF_X24Y77 SITEPROP TIEOFF_X24Y77 NUM_ARCS 0 SITEPROP TIEOFF_X24Y77 NUM_BELS 2 SITEPROP TIEOFF_X24Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y77 NUM_PINS 2 SITEPROP TIEOFF_X24Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y77 PROHIBIT 0 SITEPROP TIEOFF_X24Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y77 RPM_X 96 SITEPROP TIEOFF_X24Y77 RPM_Y 154 SITEPROP TIEOFF_X24Y77 SITE_PIPS SITEPROP TIEOFF_X24Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y78 CLASS site SITEPROP TIEOFF_X24Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y78 IS_BONDED 0 SITEPROP TIEOFF_X24Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y78 IS_PAD 0 SITEPROP TIEOFF_X24Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y78 IS_RESERVED 0 SITEPROP TIEOFF_X24Y78 IS_TEST 0 SITEPROP TIEOFF_X24Y78 IS_USED 0 SITEPROP TIEOFF_X24Y78 MANUAL_ROUTING SITEPROP TIEOFF_X24Y78 NAME TIEOFF_X24Y78 SITEPROP TIEOFF_X24Y78 NUM_ARCS 0 SITEPROP TIEOFF_X24Y78 NUM_BELS 2 SITEPROP TIEOFF_X24Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y78 NUM_PINS 2 SITEPROP TIEOFF_X24Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y78 PROHIBIT 0 SITEPROP TIEOFF_X24Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y78 RPM_X 96 SITEPROP TIEOFF_X24Y78 RPM_Y 156 SITEPROP TIEOFF_X24Y78 SITE_PIPS SITEPROP TIEOFF_X24Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y79 CLASS site SITEPROP TIEOFF_X24Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y79 IS_BONDED 0 SITEPROP TIEOFF_X24Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y79 IS_PAD 0 SITEPROP TIEOFF_X24Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y79 IS_RESERVED 0 SITEPROP TIEOFF_X24Y79 IS_TEST 0 SITEPROP TIEOFF_X24Y79 IS_USED 0 SITEPROP TIEOFF_X24Y79 MANUAL_ROUTING SITEPROP TIEOFF_X24Y79 NAME TIEOFF_X24Y79 SITEPROP TIEOFF_X24Y79 NUM_ARCS 0 SITEPROP TIEOFF_X24Y79 NUM_BELS 2 SITEPROP TIEOFF_X24Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y79 NUM_PINS 2 SITEPROP TIEOFF_X24Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y79 PROHIBIT 0 SITEPROP TIEOFF_X24Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y79 RPM_X 96 SITEPROP TIEOFF_X24Y79 RPM_Y 158 SITEPROP TIEOFF_X24Y79 SITE_PIPS SITEPROP TIEOFF_X24Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y80 CLASS site SITEPROP TIEOFF_X24Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y80 IS_BONDED 0 SITEPROP TIEOFF_X24Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y80 IS_PAD 0 SITEPROP TIEOFF_X24Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y80 IS_RESERVED 0 SITEPROP TIEOFF_X24Y80 IS_TEST 0 SITEPROP TIEOFF_X24Y80 IS_USED 0 SITEPROP TIEOFF_X24Y80 MANUAL_ROUTING SITEPROP TIEOFF_X24Y80 NAME TIEOFF_X24Y80 SITEPROP TIEOFF_X24Y80 NUM_ARCS 0 SITEPROP TIEOFF_X24Y80 NUM_BELS 2 SITEPROP TIEOFF_X24Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y80 NUM_PINS 2 SITEPROP TIEOFF_X24Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y80 PROHIBIT 0 SITEPROP TIEOFF_X24Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y80 RPM_X 96 SITEPROP TIEOFF_X24Y80 RPM_Y 160 SITEPROP TIEOFF_X24Y80 SITE_PIPS SITEPROP TIEOFF_X24Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y81 CLASS site SITEPROP TIEOFF_X24Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y81 IS_BONDED 0 SITEPROP TIEOFF_X24Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y81 IS_PAD 0 SITEPROP TIEOFF_X24Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y81 IS_RESERVED 0 SITEPROP TIEOFF_X24Y81 IS_TEST 0 SITEPROP TIEOFF_X24Y81 IS_USED 0 SITEPROP TIEOFF_X24Y81 MANUAL_ROUTING SITEPROP TIEOFF_X24Y81 NAME TIEOFF_X24Y81 SITEPROP TIEOFF_X24Y81 NUM_ARCS 0 SITEPROP TIEOFF_X24Y81 NUM_BELS 2 SITEPROP TIEOFF_X24Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y81 NUM_PINS 2 SITEPROP TIEOFF_X24Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y81 PROHIBIT 0 SITEPROP TIEOFF_X24Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y81 RPM_X 96 SITEPROP TIEOFF_X24Y81 RPM_Y 162 SITEPROP TIEOFF_X24Y81 SITE_PIPS SITEPROP TIEOFF_X24Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y82 CLASS site SITEPROP TIEOFF_X24Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y82 IS_BONDED 0 SITEPROP TIEOFF_X24Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y82 IS_PAD 0 SITEPROP TIEOFF_X24Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y82 IS_RESERVED 0 SITEPROP TIEOFF_X24Y82 IS_TEST 0 SITEPROP TIEOFF_X24Y82 IS_USED 0 SITEPROP TIEOFF_X24Y82 MANUAL_ROUTING SITEPROP TIEOFF_X24Y82 NAME TIEOFF_X24Y82 SITEPROP TIEOFF_X24Y82 NUM_ARCS 0 SITEPROP TIEOFF_X24Y82 NUM_BELS 2 SITEPROP TIEOFF_X24Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y82 NUM_PINS 2 SITEPROP TIEOFF_X24Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y82 PROHIBIT 0 SITEPROP TIEOFF_X24Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y82 RPM_X 96 SITEPROP TIEOFF_X24Y82 RPM_Y 164 SITEPROP TIEOFF_X24Y82 SITE_PIPS SITEPROP TIEOFF_X24Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y83 CLASS site SITEPROP TIEOFF_X24Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y83 IS_BONDED 0 SITEPROP TIEOFF_X24Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y83 IS_PAD 0 SITEPROP TIEOFF_X24Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y83 IS_RESERVED 0 SITEPROP TIEOFF_X24Y83 IS_TEST 0 SITEPROP TIEOFF_X24Y83 IS_USED 0 SITEPROP TIEOFF_X24Y83 MANUAL_ROUTING SITEPROP TIEOFF_X24Y83 NAME TIEOFF_X24Y83 SITEPROP TIEOFF_X24Y83 NUM_ARCS 0 SITEPROP TIEOFF_X24Y83 NUM_BELS 2 SITEPROP TIEOFF_X24Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y83 NUM_PINS 2 SITEPROP TIEOFF_X24Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y83 PROHIBIT 0 SITEPROP TIEOFF_X24Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y83 RPM_X 96 SITEPROP TIEOFF_X24Y83 RPM_Y 166 SITEPROP TIEOFF_X24Y83 SITE_PIPS SITEPROP TIEOFF_X24Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y84 CLASS site SITEPROP TIEOFF_X24Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y84 IS_BONDED 0 SITEPROP TIEOFF_X24Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y84 IS_PAD 0 SITEPROP TIEOFF_X24Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y84 IS_RESERVED 0 SITEPROP TIEOFF_X24Y84 IS_TEST 0 SITEPROP TIEOFF_X24Y84 IS_USED 0 SITEPROP TIEOFF_X24Y84 MANUAL_ROUTING SITEPROP TIEOFF_X24Y84 NAME TIEOFF_X24Y84 SITEPROP TIEOFF_X24Y84 NUM_ARCS 0 SITEPROP TIEOFF_X24Y84 NUM_BELS 2 SITEPROP TIEOFF_X24Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y84 NUM_PINS 2 SITEPROP TIEOFF_X24Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y84 PROHIBIT 0 SITEPROP TIEOFF_X24Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y84 RPM_X 96 SITEPROP TIEOFF_X24Y84 RPM_Y 168 SITEPROP TIEOFF_X24Y84 SITE_PIPS SITEPROP TIEOFF_X24Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y85 CLASS site SITEPROP TIEOFF_X24Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y85 IS_BONDED 0 SITEPROP TIEOFF_X24Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y85 IS_PAD 0 SITEPROP TIEOFF_X24Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y85 IS_RESERVED 0 SITEPROP TIEOFF_X24Y85 IS_TEST 0 SITEPROP TIEOFF_X24Y85 IS_USED 0 SITEPROP TIEOFF_X24Y85 MANUAL_ROUTING SITEPROP TIEOFF_X24Y85 NAME TIEOFF_X24Y85 SITEPROP TIEOFF_X24Y85 NUM_ARCS 0 SITEPROP TIEOFF_X24Y85 NUM_BELS 2 SITEPROP TIEOFF_X24Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y85 NUM_PINS 2 SITEPROP TIEOFF_X24Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y85 PROHIBIT 0 SITEPROP TIEOFF_X24Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y85 RPM_X 96 SITEPROP TIEOFF_X24Y85 RPM_Y 170 SITEPROP TIEOFF_X24Y85 SITE_PIPS SITEPROP TIEOFF_X24Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y86 CLASS site SITEPROP TIEOFF_X24Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y86 IS_BONDED 0 SITEPROP TIEOFF_X24Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y86 IS_PAD 0 SITEPROP TIEOFF_X24Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y86 IS_RESERVED 0 SITEPROP TIEOFF_X24Y86 IS_TEST 0 SITEPROP TIEOFF_X24Y86 IS_USED 0 SITEPROP TIEOFF_X24Y86 MANUAL_ROUTING SITEPROP TIEOFF_X24Y86 NAME TIEOFF_X24Y86 SITEPROP TIEOFF_X24Y86 NUM_ARCS 0 SITEPROP TIEOFF_X24Y86 NUM_BELS 2 SITEPROP TIEOFF_X24Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y86 NUM_PINS 2 SITEPROP TIEOFF_X24Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y86 PROHIBIT 0 SITEPROP TIEOFF_X24Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y86 RPM_X 96 SITEPROP TIEOFF_X24Y86 RPM_Y 172 SITEPROP TIEOFF_X24Y86 SITE_PIPS SITEPROP TIEOFF_X24Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y87 CLASS site SITEPROP TIEOFF_X24Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y87 IS_BONDED 0 SITEPROP TIEOFF_X24Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y87 IS_PAD 0 SITEPROP TIEOFF_X24Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y87 IS_RESERVED 0 SITEPROP TIEOFF_X24Y87 IS_TEST 0 SITEPROP TIEOFF_X24Y87 IS_USED 0 SITEPROP TIEOFF_X24Y87 MANUAL_ROUTING SITEPROP TIEOFF_X24Y87 NAME TIEOFF_X24Y87 SITEPROP TIEOFF_X24Y87 NUM_ARCS 0 SITEPROP TIEOFF_X24Y87 NUM_BELS 2 SITEPROP TIEOFF_X24Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y87 NUM_PINS 2 SITEPROP TIEOFF_X24Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y87 PROHIBIT 0 SITEPROP TIEOFF_X24Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y87 RPM_X 96 SITEPROP TIEOFF_X24Y87 RPM_Y 174 SITEPROP TIEOFF_X24Y87 SITE_PIPS SITEPROP TIEOFF_X24Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y88 CLASS site SITEPROP TIEOFF_X24Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y88 IS_BONDED 0 SITEPROP TIEOFF_X24Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y88 IS_PAD 0 SITEPROP TIEOFF_X24Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y88 IS_RESERVED 0 SITEPROP TIEOFF_X24Y88 IS_TEST 0 SITEPROP TIEOFF_X24Y88 IS_USED 0 SITEPROP TIEOFF_X24Y88 MANUAL_ROUTING SITEPROP TIEOFF_X24Y88 NAME TIEOFF_X24Y88 SITEPROP TIEOFF_X24Y88 NUM_ARCS 0 SITEPROP TIEOFF_X24Y88 NUM_BELS 2 SITEPROP TIEOFF_X24Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y88 NUM_PINS 2 SITEPROP TIEOFF_X24Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y88 PROHIBIT 0 SITEPROP TIEOFF_X24Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y88 RPM_X 96 SITEPROP TIEOFF_X24Y88 RPM_Y 176 SITEPROP TIEOFF_X24Y88 SITE_PIPS SITEPROP TIEOFF_X24Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y89 CLASS site SITEPROP TIEOFF_X24Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y89 IS_BONDED 0 SITEPROP TIEOFF_X24Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y89 IS_PAD 0 SITEPROP TIEOFF_X24Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y89 IS_RESERVED 0 SITEPROP TIEOFF_X24Y89 IS_TEST 0 SITEPROP TIEOFF_X24Y89 IS_USED 0 SITEPROP TIEOFF_X24Y89 MANUAL_ROUTING SITEPROP TIEOFF_X24Y89 NAME TIEOFF_X24Y89 SITEPROP TIEOFF_X24Y89 NUM_ARCS 0 SITEPROP TIEOFF_X24Y89 NUM_BELS 2 SITEPROP TIEOFF_X24Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y89 NUM_PINS 2 SITEPROP TIEOFF_X24Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y89 PROHIBIT 0 SITEPROP TIEOFF_X24Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y89 RPM_X 96 SITEPROP TIEOFF_X24Y89 RPM_Y 178 SITEPROP TIEOFF_X24Y89 SITE_PIPS SITEPROP TIEOFF_X24Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y90 CLASS site SITEPROP TIEOFF_X24Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y90 IS_BONDED 0 SITEPROP TIEOFF_X24Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y90 IS_PAD 0 SITEPROP TIEOFF_X24Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y90 IS_RESERVED 0 SITEPROP TIEOFF_X24Y90 IS_TEST 0 SITEPROP TIEOFF_X24Y90 IS_USED 0 SITEPROP TIEOFF_X24Y90 MANUAL_ROUTING SITEPROP TIEOFF_X24Y90 NAME TIEOFF_X24Y90 SITEPROP TIEOFF_X24Y90 NUM_ARCS 0 SITEPROP TIEOFF_X24Y90 NUM_BELS 2 SITEPROP TIEOFF_X24Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y90 NUM_PINS 2 SITEPROP TIEOFF_X24Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y90 PROHIBIT 0 SITEPROP TIEOFF_X24Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y90 RPM_X 96 SITEPROP TIEOFF_X24Y90 RPM_Y 180 SITEPROP TIEOFF_X24Y90 SITE_PIPS SITEPROP TIEOFF_X24Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y91 CLASS site SITEPROP TIEOFF_X24Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y91 IS_BONDED 0 SITEPROP TIEOFF_X24Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y91 IS_PAD 0 SITEPROP TIEOFF_X24Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y91 IS_RESERVED 0 SITEPROP TIEOFF_X24Y91 IS_TEST 0 SITEPROP TIEOFF_X24Y91 IS_USED 0 SITEPROP TIEOFF_X24Y91 MANUAL_ROUTING SITEPROP TIEOFF_X24Y91 NAME TIEOFF_X24Y91 SITEPROP TIEOFF_X24Y91 NUM_ARCS 0 SITEPROP TIEOFF_X24Y91 NUM_BELS 2 SITEPROP TIEOFF_X24Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y91 NUM_PINS 2 SITEPROP TIEOFF_X24Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y91 PROHIBIT 0 SITEPROP TIEOFF_X24Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y91 RPM_X 96 SITEPROP TIEOFF_X24Y91 RPM_Y 182 SITEPROP TIEOFF_X24Y91 SITE_PIPS SITEPROP TIEOFF_X24Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y92 CLASS site SITEPROP TIEOFF_X24Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y92 IS_BONDED 0 SITEPROP TIEOFF_X24Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y92 IS_PAD 0 SITEPROP TIEOFF_X24Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y92 IS_RESERVED 0 SITEPROP TIEOFF_X24Y92 IS_TEST 0 SITEPROP TIEOFF_X24Y92 IS_USED 0 SITEPROP TIEOFF_X24Y92 MANUAL_ROUTING SITEPROP TIEOFF_X24Y92 NAME TIEOFF_X24Y92 SITEPROP TIEOFF_X24Y92 NUM_ARCS 0 SITEPROP TIEOFF_X24Y92 NUM_BELS 2 SITEPROP TIEOFF_X24Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y92 NUM_PINS 2 SITEPROP TIEOFF_X24Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y92 PROHIBIT 0 SITEPROP TIEOFF_X24Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y92 RPM_X 96 SITEPROP TIEOFF_X24Y92 RPM_Y 184 SITEPROP TIEOFF_X24Y92 SITE_PIPS SITEPROP TIEOFF_X24Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y93 CLASS site SITEPROP TIEOFF_X24Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y93 IS_BONDED 0 SITEPROP TIEOFF_X24Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y93 IS_PAD 0 SITEPROP TIEOFF_X24Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y93 IS_RESERVED 0 SITEPROP TIEOFF_X24Y93 IS_TEST 0 SITEPROP TIEOFF_X24Y93 IS_USED 0 SITEPROP TIEOFF_X24Y93 MANUAL_ROUTING SITEPROP TIEOFF_X24Y93 NAME TIEOFF_X24Y93 SITEPROP TIEOFF_X24Y93 NUM_ARCS 0 SITEPROP TIEOFF_X24Y93 NUM_BELS 2 SITEPROP TIEOFF_X24Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y93 NUM_PINS 2 SITEPROP TIEOFF_X24Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y93 PROHIBIT 0 SITEPROP TIEOFF_X24Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y93 RPM_X 96 SITEPROP TIEOFF_X24Y93 RPM_Y 186 SITEPROP TIEOFF_X24Y93 SITE_PIPS SITEPROP TIEOFF_X24Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y94 CLASS site SITEPROP TIEOFF_X24Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y94 IS_BONDED 0 SITEPROP TIEOFF_X24Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y94 IS_PAD 0 SITEPROP TIEOFF_X24Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y94 IS_RESERVED 0 SITEPROP TIEOFF_X24Y94 IS_TEST 0 SITEPROP TIEOFF_X24Y94 IS_USED 0 SITEPROP TIEOFF_X24Y94 MANUAL_ROUTING SITEPROP TIEOFF_X24Y94 NAME TIEOFF_X24Y94 SITEPROP TIEOFF_X24Y94 NUM_ARCS 0 SITEPROP TIEOFF_X24Y94 NUM_BELS 2 SITEPROP TIEOFF_X24Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y94 NUM_PINS 2 SITEPROP TIEOFF_X24Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y94 PROHIBIT 0 SITEPROP TIEOFF_X24Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y94 RPM_X 96 SITEPROP TIEOFF_X24Y94 RPM_Y 188 SITEPROP TIEOFF_X24Y94 SITE_PIPS SITEPROP TIEOFF_X24Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y95 CLASS site SITEPROP TIEOFF_X24Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y95 IS_BONDED 0 SITEPROP TIEOFF_X24Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y95 IS_PAD 0 SITEPROP TIEOFF_X24Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y95 IS_RESERVED 0 SITEPROP TIEOFF_X24Y95 IS_TEST 0 SITEPROP TIEOFF_X24Y95 IS_USED 0 SITEPROP TIEOFF_X24Y95 MANUAL_ROUTING SITEPROP TIEOFF_X24Y95 NAME TIEOFF_X24Y95 SITEPROP TIEOFF_X24Y95 NUM_ARCS 0 SITEPROP TIEOFF_X24Y95 NUM_BELS 2 SITEPROP TIEOFF_X24Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y95 NUM_PINS 2 SITEPROP TIEOFF_X24Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y95 PROHIBIT 0 SITEPROP TIEOFF_X24Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y95 RPM_X 96 SITEPROP TIEOFF_X24Y95 RPM_Y 190 SITEPROP TIEOFF_X24Y95 SITE_PIPS SITEPROP TIEOFF_X24Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y96 CLASS site SITEPROP TIEOFF_X24Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y96 IS_BONDED 0 SITEPROP TIEOFF_X24Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y96 IS_PAD 0 SITEPROP TIEOFF_X24Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y96 IS_RESERVED 0 SITEPROP TIEOFF_X24Y96 IS_TEST 0 SITEPROP TIEOFF_X24Y96 IS_USED 0 SITEPROP TIEOFF_X24Y96 MANUAL_ROUTING SITEPROP TIEOFF_X24Y96 NAME TIEOFF_X24Y96 SITEPROP TIEOFF_X24Y96 NUM_ARCS 0 SITEPROP TIEOFF_X24Y96 NUM_BELS 2 SITEPROP TIEOFF_X24Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y96 NUM_PINS 2 SITEPROP TIEOFF_X24Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y96 PROHIBIT 0 SITEPROP TIEOFF_X24Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y96 RPM_X 96 SITEPROP TIEOFF_X24Y96 RPM_Y 192 SITEPROP TIEOFF_X24Y96 SITE_PIPS SITEPROP TIEOFF_X24Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y97 CLASS site SITEPROP TIEOFF_X24Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y97 IS_BONDED 0 SITEPROP TIEOFF_X24Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y97 IS_PAD 0 SITEPROP TIEOFF_X24Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y97 IS_RESERVED 0 SITEPROP TIEOFF_X24Y97 IS_TEST 0 SITEPROP TIEOFF_X24Y97 IS_USED 0 SITEPROP TIEOFF_X24Y97 MANUAL_ROUTING SITEPROP TIEOFF_X24Y97 NAME TIEOFF_X24Y97 SITEPROP TIEOFF_X24Y97 NUM_ARCS 0 SITEPROP TIEOFF_X24Y97 NUM_BELS 2 SITEPROP TIEOFF_X24Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y97 NUM_PINS 2 SITEPROP TIEOFF_X24Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y97 PROHIBIT 0 SITEPROP TIEOFF_X24Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y97 RPM_X 96 SITEPROP TIEOFF_X24Y97 RPM_Y 194 SITEPROP TIEOFF_X24Y97 SITE_PIPS SITEPROP TIEOFF_X24Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y98 CLASS site SITEPROP TIEOFF_X24Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y98 IS_BONDED 0 SITEPROP TIEOFF_X24Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y98 IS_PAD 0 SITEPROP TIEOFF_X24Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y98 IS_RESERVED 0 SITEPROP TIEOFF_X24Y98 IS_TEST 0 SITEPROP TIEOFF_X24Y98 IS_USED 0 SITEPROP TIEOFF_X24Y98 MANUAL_ROUTING SITEPROP TIEOFF_X24Y98 NAME TIEOFF_X24Y98 SITEPROP TIEOFF_X24Y98 NUM_ARCS 0 SITEPROP TIEOFF_X24Y98 NUM_BELS 2 SITEPROP TIEOFF_X24Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y98 NUM_PINS 2 SITEPROP TIEOFF_X24Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y98 PROHIBIT 0 SITEPROP TIEOFF_X24Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y98 RPM_X 96 SITEPROP TIEOFF_X24Y98 RPM_Y 196 SITEPROP TIEOFF_X24Y98 SITE_PIPS SITEPROP TIEOFF_X24Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y99 CLASS site SITEPROP TIEOFF_X24Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X24Y99 IS_BONDED 0 SITEPROP TIEOFF_X24Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y99 IS_PAD 0 SITEPROP TIEOFF_X24Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y99 IS_RESERVED 0 SITEPROP TIEOFF_X24Y99 IS_TEST 0 SITEPROP TIEOFF_X24Y99 IS_USED 0 SITEPROP TIEOFF_X24Y99 MANUAL_ROUTING SITEPROP TIEOFF_X24Y99 NAME TIEOFF_X24Y99 SITEPROP TIEOFF_X24Y99 NUM_ARCS 0 SITEPROP TIEOFF_X24Y99 NUM_BELS 2 SITEPROP TIEOFF_X24Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y99 NUM_PINS 2 SITEPROP TIEOFF_X24Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y99 PROHIBIT 0 SITEPROP TIEOFF_X24Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y99 RPM_X 96 SITEPROP TIEOFF_X24Y99 RPM_Y 198 SITEPROP TIEOFF_X24Y99 SITE_PIPS SITEPROP TIEOFF_X24Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y100 CLASS site SITEPROP TIEOFF_X24Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y100 IS_BONDED 0 SITEPROP TIEOFF_X24Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y100 IS_PAD 0 SITEPROP TIEOFF_X24Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y100 IS_RESERVED 0 SITEPROP TIEOFF_X24Y100 IS_TEST 0 SITEPROP TIEOFF_X24Y100 IS_USED 0 SITEPROP TIEOFF_X24Y100 MANUAL_ROUTING SITEPROP TIEOFF_X24Y100 NAME TIEOFF_X24Y100 SITEPROP TIEOFF_X24Y100 NUM_ARCS 0 SITEPROP TIEOFF_X24Y100 NUM_BELS 2 SITEPROP TIEOFF_X24Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y100 NUM_PINS 2 SITEPROP TIEOFF_X24Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y100 PROHIBIT 0 SITEPROP TIEOFF_X24Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y100 RPM_X 96 SITEPROP TIEOFF_X24Y100 RPM_Y 200 SITEPROP TIEOFF_X24Y100 SITE_PIPS SITEPROP TIEOFF_X24Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y101 CLASS site SITEPROP TIEOFF_X24Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y101 IS_BONDED 0 SITEPROP TIEOFF_X24Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y101 IS_PAD 0 SITEPROP TIEOFF_X24Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y101 IS_RESERVED 0 SITEPROP TIEOFF_X24Y101 IS_TEST 0 SITEPROP TIEOFF_X24Y101 IS_USED 0 SITEPROP TIEOFF_X24Y101 MANUAL_ROUTING SITEPROP TIEOFF_X24Y101 NAME TIEOFF_X24Y101 SITEPROP TIEOFF_X24Y101 NUM_ARCS 0 SITEPROP TIEOFF_X24Y101 NUM_BELS 2 SITEPROP TIEOFF_X24Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y101 NUM_PINS 2 SITEPROP TIEOFF_X24Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y101 PROHIBIT 0 SITEPROP TIEOFF_X24Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y101 RPM_X 96 SITEPROP TIEOFF_X24Y101 RPM_Y 202 SITEPROP TIEOFF_X24Y101 SITE_PIPS SITEPROP TIEOFF_X24Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y102 CLASS site SITEPROP TIEOFF_X24Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y102 IS_BONDED 0 SITEPROP TIEOFF_X24Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y102 IS_PAD 0 SITEPROP TIEOFF_X24Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y102 IS_RESERVED 0 SITEPROP TIEOFF_X24Y102 IS_TEST 0 SITEPROP TIEOFF_X24Y102 IS_USED 0 SITEPROP TIEOFF_X24Y102 MANUAL_ROUTING SITEPROP TIEOFF_X24Y102 NAME TIEOFF_X24Y102 SITEPROP TIEOFF_X24Y102 NUM_ARCS 0 SITEPROP TIEOFF_X24Y102 NUM_BELS 2 SITEPROP TIEOFF_X24Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y102 NUM_PINS 2 SITEPROP TIEOFF_X24Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y102 PROHIBIT 0 SITEPROP TIEOFF_X24Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y102 RPM_X 96 SITEPROP TIEOFF_X24Y102 RPM_Y 204 SITEPROP TIEOFF_X24Y102 SITE_PIPS SITEPROP TIEOFF_X24Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y103 CLASS site SITEPROP TIEOFF_X24Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y103 IS_BONDED 0 SITEPROP TIEOFF_X24Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y103 IS_PAD 0 SITEPROP TIEOFF_X24Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y103 IS_RESERVED 0 SITEPROP TIEOFF_X24Y103 IS_TEST 0 SITEPROP TIEOFF_X24Y103 IS_USED 0 SITEPROP TIEOFF_X24Y103 MANUAL_ROUTING SITEPROP TIEOFF_X24Y103 NAME TIEOFF_X24Y103 SITEPROP TIEOFF_X24Y103 NUM_ARCS 0 SITEPROP TIEOFF_X24Y103 NUM_BELS 2 SITEPROP TIEOFF_X24Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y103 NUM_PINS 2 SITEPROP TIEOFF_X24Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y103 PROHIBIT 0 SITEPROP TIEOFF_X24Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y103 RPM_X 96 SITEPROP TIEOFF_X24Y103 RPM_Y 206 SITEPROP TIEOFF_X24Y103 SITE_PIPS SITEPROP TIEOFF_X24Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y104 CLASS site SITEPROP TIEOFF_X24Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y104 IS_BONDED 0 SITEPROP TIEOFF_X24Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y104 IS_PAD 0 SITEPROP TIEOFF_X24Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y104 IS_RESERVED 0 SITEPROP TIEOFF_X24Y104 IS_TEST 0 SITEPROP TIEOFF_X24Y104 IS_USED 0 SITEPROP TIEOFF_X24Y104 MANUAL_ROUTING SITEPROP TIEOFF_X24Y104 NAME TIEOFF_X24Y104 SITEPROP TIEOFF_X24Y104 NUM_ARCS 0 SITEPROP TIEOFF_X24Y104 NUM_BELS 2 SITEPROP TIEOFF_X24Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y104 NUM_PINS 2 SITEPROP TIEOFF_X24Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y104 PROHIBIT 0 SITEPROP TIEOFF_X24Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y104 RPM_X 96 SITEPROP TIEOFF_X24Y104 RPM_Y 208 SITEPROP TIEOFF_X24Y104 SITE_PIPS SITEPROP TIEOFF_X24Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y105 CLASS site SITEPROP TIEOFF_X24Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y105 IS_BONDED 0 SITEPROP TIEOFF_X24Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y105 IS_PAD 0 SITEPROP TIEOFF_X24Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y105 IS_RESERVED 0 SITEPROP TIEOFF_X24Y105 IS_TEST 0 SITEPROP TIEOFF_X24Y105 IS_USED 0 SITEPROP TIEOFF_X24Y105 MANUAL_ROUTING SITEPROP TIEOFF_X24Y105 NAME TIEOFF_X24Y105 SITEPROP TIEOFF_X24Y105 NUM_ARCS 0 SITEPROP TIEOFF_X24Y105 NUM_BELS 2 SITEPROP TIEOFF_X24Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y105 NUM_PINS 2 SITEPROP TIEOFF_X24Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y105 PROHIBIT 0 SITEPROP TIEOFF_X24Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y105 RPM_X 96 SITEPROP TIEOFF_X24Y105 RPM_Y 210 SITEPROP TIEOFF_X24Y105 SITE_PIPS SITEPROP TIEOFF_X24Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y106 CLASS site SITEPROP TIEOFF_X24Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y106 IS_BONDED 0 SITEPROP TIEOFF_X24Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y106 IS_PAD 0 SITEPROP TIEOFF_X24Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y106 IS_RESERVED 0 SITEPROP TIEOFF_X24Y106 IS_TEST 0 SITEPROP TIEOFF_X24Y106 IS_USED 0 SITEPROP TIEOFF_X24Y106 MANUAL_ROUTING SITEPROP TIEOFF_X24Y106 NAME TIEOFF_X24Y106 SITEPROP TIEOFF_X24Y106 NUM_ARCS 0 SITEPROP TIEOFF_X24Y106 NUM_BELS 2 SITEPROP TIEOFF_X24Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y106 NUM_PINS 2 SITEPROP TIEOFF_X24Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y106 PROHIBIT 0 SITEPROP TIEOFF_X24Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y106 RPM_X 96 SITEPROP TIEOFF_X24Y106 RPM_Y 212 SITEPROP TIEOFF_X24Y106 SITE_PIPS SITEPROP TIEOFF_X24Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y107 CLASS site SITEPROP TIEOFF_X24Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y107 IS_BONDED 0 SITEPROP TIEOFF_X24Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y107 IS_PAD 0 SITEPROP TIEOFF_X24Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y107 IS_RESERVED 0 SITEPROP TIEOFF_X24Y107 IS_TEST 0 SITEPROP TIEOFF_X24Y107 IS_USED 0 SITEPROP TIEOFF_X24Y107 MANUAL_ROUTING SITEPROP TIEOFF_X24Y107 NAME TIEOFF_X24Y107 SITEPROP TIEOFF_X24Y107 NUM_ARCS 0 SITEPROP TIEOFF_X24Y107 NUM_BELS 2 SITEPROP TIEOFF_X24Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y107 NUM_PINS 2 SITEPROP TIEOFF_X24Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y107 PROHIBIT 0 SITEPROP TIEOFF_X24Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y107 RPM_X 96 SITEPROP TIEOFF_X24Y107 RPM_Y 214 SITEPROP TIEOFF_X24Y107 SITE_PIPS SITEPROP TIEOFF_X24Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y108 CLASS site SITEPROP TIEOFF_X24Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y108 IS_BONDED 0 SITEPROP TIEOFF_X24Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y108 IS_PAD 0 SITEPROP TIEOFF_X24Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y108 IS_RESERVED 0 SITEPROP TIEOFF_X24Y108 IS_TEST 0 SITEPROP TIEOFF_X24Y108 IS_USED 0 SITEPROP TIEOFF_X24Y108 MANUAL_ROUTING SITEPROP TIEOFF_X24Y108 NAME TIEOFF_X24Y108 SITEPROP TIEOFF_X24Y108 NUM_ARCS 0 SITEPROP TIEOFF_X24Y108 NUM_BELS 2 SITEPROP TIEOFF_X24Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y108 NUM_PINS 2 SITEPROP TIEOFF_X24Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y108 PROHIBIT 0 SITEPROP TIEOFF_X24Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y108 RPM_X 96 SITEPROP TIEOFF_X24Y108 RPM_Y 216 SITEPROP TIEOFF_X24Y108 SITE_PIPS SITEPROP TIEOFF_X24Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y109 CLASS site SITEPROP TIEOFF_X24Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y109 IS_BONDED 0 SITEPROP TIEOFF_X24Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y109 IS_PAD 0 SITEPROP TIEOFF_X24Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y109 IS_RESERVED 0 SITEPROP TIEOFF_X24Y109 IS_TEST 0 SITEPROP TIEOFF_X24Y109 IS_USED 0 SITEPROP TIEOFF_X24Y109 MANUAL_ROUTING SITEPROP TIEOFF_X24Y109 NAME TIEOFF_X24Y109 SITEPROP TIEOFF_X24Y109 NUM_ARCS 0 SITEPROP TIEOFF_X24Y109 NUM_BELS 2 SITEPROP TIEOFF_X24Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y109 NUM_PINS 2 SITEPROP TIEOFF_X24Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y109 PROHIBIT 0 SITEPROP TIEOFF_X24Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y109 RPM_X 96 SITEPROP TIEOFF_X24Y109 RPM_Y 218 SITEPROP TIEOFF_X24Y109 SITE_PIPS SITEPROP TIEOFF_X24Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y110 CLASS site SITEPROP TIEOFF_X24Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y110 IS_BONDED 0 SITEPROP TIEOFF_X24Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y110 IS_PAD 0 SITEPROP TIEOFF_X24Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y110 IS_RESERVED 0 SITEPROP TIEOFF_X24Y110 IS_TEST 0 SITEPROP TIEOFF_X24Y110 IS_USED 0 SITEPROP TIEOFF_X24Y110 MANUAL_ROUTING SITEPROP TIEOFF_X24Y110 NAME TIEOFF_X24Y110 SITEPROP TIEOFF_X24Y110 NUM_ARCS 0 SITEPROP TIEOFF_X24Y110 NUM_BELS 2 SITEPROP TIEOFF_X24Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y110 NUM_PINS 2 SITEPROP TIEOFF_X24Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y110 PROHIBIT 0 SITEPROP TIEOFF_X24Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y110 RPM_X 96 SITEPROP TIEOFF_X24Y110 RPM_Y 220 SITEPROP TIEOFF_X24Y110 SITE_PIPS SITEPROP TIEOFF_X24Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y111 CLASS site SITEPROP TIEOFF_X24Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y111 IS_BONDED 0 SITEPROP TIEOFF_X24Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y111 IS_PAD 0 SITEPROP TIEOFF_X24Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y111 IS_RESERVED 0 SITEPROP TIEOFF_X24Y111 IS_TEST 0 SITEPROP TIEOFF_X24Y111 IS_USED 0 SITEPROP TIEOFF_X24Y111 MANUAL_ROUTING SITEPROP TIEOFF_X24Y111 NAME TIEOFF_X24Y111 SITEPROP TIEOFF_X24Y111 NUM_ARCS 0 SITEPROP TIEOFF_X24Y111 NUM_BELS 2 SITEPROP TIEOFF_X24Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y111 NUM_PINS 2 SITEPROP TIEOFF_X24Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y111 PROHIBIT 0 SITEPROP TIEOFF_X24Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y111 RPM_X 96 SITEPROP TIEOFF_X24Y111 RPM_Y 222 SITEPROP TIEOFF_X24Y111 SITE_PIPS SITEPROP TIEOFF_X24Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y112 CLASS site SITEPROP TIEOFF_X24Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y112 IS_BONDED 0 SITEPROP TIEOFF_X24Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y112 IS_PAD 0 SITEPROP TIEOFF_X24Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y112 IS_RESERVED 0 SITEPROP TIEOFF_X24Y112 IS_TEST 0 SITEPROP TIEOFF_X24Y112 IS_USED 0 SITEPROP TIEOFF_X24Y112 MANUAL_ROUTING SITEPROP TIEOFF_X24Y112 NAME TIEOFF_X24Y112 SITEPROP TIEOFF_X24Y112 NUM_ARCS 0 SITEPROP TIEOFF_X24Y112 NUM_BELS 2 SITEPROP TIEOFF_X24Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y112 NUM_PINS 2 SITEPROP TIEOFF_X24Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y112 PROHIBIT 0 SITEPROP TIEOFF_X24Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y112 RPM_X 96 SITEPROP TIEOFF_X24Y112 RPM_Y 224 SITEPROP TIEOFF_X24Y112 SITE_PIPS SITEPROP TIEOFF_X24Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y113 CLASS site SITEPROP TIEOFF_X24Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y113 IS_BONDED 0 SITEPROP TIEOFF_X24Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y113 IS_PAD 0 SITEPROP TIEOFF_X24Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y113 IS_RESERVED 0 SITEPROP TIEOFF_X24Y113 IS_TEST 0 SITEPROP TIEOFF_X24Y113 IS_USED 0 SITEPROP TIEOFF_X24Y113 MANUAL_ROUTING SITEPROP TIEOFF_X24Y113 NAME TIEOFF_X24Y113 SITEPROP TIEOFF_X24Y113 NUM_ARCS 0 SITEPROP TIEOFF_X24Y113 NUM_BELS 2 SITEPROP TIEOFF_X24Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y113 NUM_PINS 2 SITEPROP TIEOFF_X24Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y113 PROHIBIT 0 SITEPROP TIEOFF_X24Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y113 RPM_X 96 SITEPROP TIEOFF_X24Y113 RPM_Y 226 SITEPROP TIEOFF_X24Y113 SITE_PIPS SITEPROP TIEOFF_X24Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y114 CLASS site SITEPROP TIEOFF_X24Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y114 IS_BONDED 0 SITEPROP TIEOFF_X24Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y114 IS_PAD 0 SITEPROP TIEOFF_X24Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y114 IS_RESERVED 0 SITEPROP TIEOFF_X24Y114 IS_TEST 0 SITEPROP TIEOFF_X24Y114 IS_USED 0 SITEPROP TIEOFF_X24Y114 MANUAL_ROUTING SITEPROP TIEOFF_X24Y114 NAME TIEOFF_X24Y114 SITEPROP TIEOFF_X24Y114 NUM_ARCS 0 SITEPROP TIEOFF_X24Y114 NUM_BELS 2 SITEPROP TIEOFF_X24Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y114 NUM_PINS 2 SITEPROP TIEOFF_X24Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y114 PROHIBIT 0 SITEPROP TIEOFF_X24Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y114 RPM_X 96 SITEPROP TIEOFF_X24Y114 RPM_Y 228 SITEPROP TIEOFF_X24Y114 SITE_PIPS SITEPROP TIEOFF_X24Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y115 CLASS site SITEPROP TIEOFF_X24Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y115 IS_BONDED 0 SITEPROP TIEOFF_X24Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y115 IS_PAD 0 SITEPROP TIEOFF_X24Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y115 IS_RESERVED 0 SITEPROP TIEOFF_X24Y115 IS_TEST 0 SITEPROP TIEOFF_X24Y115 IS_USED 0 SITEPROP TIEOFF_X24Y115 MANUAL_ROUTING SITEPROP TIEOFF_X24Y115 NAME TIEOFF_X24Y115 SITEPROP TIEOFF_X24Y115 NUM_ARCS 0 SITEPROP TIEOFF_X24Y115 NUM_BELS 2 SITEPROP TIEOFF_X24Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y115 NUM_PINS 2 SITEPROP TIEOFF_X24Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y115 PROHIBIT 0 SITEPROP TIEOFF_X24Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y115 RPM_X 96 SITEPROP TIEOFF_X24Y115 RPM_Y 230 SITEPROP TIEOFF_X24Y115 SITE_PIPS SITEPROP TIEOFF_X24Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y116 CLASS site SITEPROP TIEOFF_X24Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y116 IS_BONDED 0 SITEPROP TIEOFF_X24Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y116 IS_PAD 0 SITEPROP TIEOFF_X24Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y116 IS_RESERVED 0 SITEPROP TIEOFF_X24Y116 IS_TEST 0 SITEPROP TIEOFF_X24Y116 IS_USED 0 SITEPROP TIEOFF_X24Y116 MANUAL_ROUTING SITEPROP TIEOFF_X24Y116 NAME TIEOFF_X24Y116 SITEPROP TIEOFF_X24Y116 NUM_ARCS 0 SITEPROP TIEOFF_X24Y116 NUM_BELS 2 SITEPROP TIEOFF_X24Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y116 NUM_PINS 2 SITEPROP TIEOFF_X24Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y116 PROHIBIT 0 SITEPROP TIEOFF_X24Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y116 RPM_X 96 SITEPROP TIEOFF_X24Y116 RPM_Y 232 SITEPROP TIEOFF_X24Y116 SITE_PIPS SITEPROP TIEOFF_X24Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y117 CLASS site SITEPROP TIEOFF_X24Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y117 IS_BONDED 0 SITEPROP TIEOFF_X24Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y117 IS_PAD 0 SITEPROP TIEOFF_X24Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y117 IS_RESERVED 0 SITEPROP TIEOFF_X24Y117 IS_TEST 0 SITEPROP TIEOFF_X24Y117 IS_USED 0 SITEPROP TIEOFF_X24Y117 MANUAL_ROUTING SITEPROP TIEOFF_X24Y117 NAME TIEOFF_X24Y117 SITEPROP TIEOFF_X24Y117 NUM_ARCS 0 SITEPROP TIEOFF_X24Y117 NUM_BELS 2 SITEPROP TIEOFF_X24Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y117 NUM_PINS 2 SITEPROP TIEOFF_X24Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y117 PROHIBIT 0 SITEPROP TIEOFF_X24Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y117 RPM_X 96 SITEPROP TIEOFF_X24Y117 RPM_Y 234 SITEPROP TIEOFF_X24Y117 SITE_PIPS SITEPROP TIEOFF_X24Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y118 CLASS site SITEPROP TIEOFF_X24Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y118 IS_BONDED 0 SITEPROP TIEOFF_X24Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y118 IS_PAD 0 SITEPROP TIEOFF_X24Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y118 IS_RESERVED 0 SITEPROP TIEOFF_X24Y118 IS_TEST 0 SITEPROP TIEOFF_X24Y118 IS_USED 0 SITEPROP TIEOFF_X24Y118 MANUAL_ROUTING SITEPROP TIEOFF_X24Y118 NAME TIEOFF_X24Y118 SITEPROP TIEOFF_X24Y118 NUM_ARCS 0 SITEPROP TIEOFF_X24Y118 NUM_BELS 2 SITEPROP TIEOFF_X24Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y118 NUM_PINS 2 SITEPROP TIEOFF_X24Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y118 PROHIBIT 0 SITEPROP TIEOFF_X24Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y118 RPM_X 96 SITEPROP TIEOFF_X24Y118 RPM_Y 236 SITEPROP TIEOFF_X24Y118 SITE_PIPS SITEPROP TIEOFF_X24Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y119 CLASS site SITEPROP TIEOFF_X24Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y119 IS_BONDED 0 SITEPROP TIEOFF_X24Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y119 IS_PAD 0 SITEPROP TIEOFF_X24Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y119 IS_RESERVED 0 SITEPROP TIEOFF_X24Y119 IS_TEST 0 SITEPROP TIEOFF_X24Y119 IS_USED 0 SITEPROP TIEOFF_X24Y119 MANUAL_ROUTING SITEPROP TIEOFF_X24Y119 NAME TIEOFF_X24Y119 SITEPROP TIEOFF_X24Y119 NUM_ARCS 0 SITEPROP TIEOFF_X24Y119 NUM_BELS 2 SITEPROP TIEOFF_X24Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y119 NUM_PINS 2 SITEPROP TIEOFF_X24Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y119 PROHIBIT 0 SITEPROP TIEOFF_X24Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y119 RPM_X 96 SITEPROP TIEOFF_X24Y119 RPM_Y 238 SITEPROP TIEOFF_X24Y119 SITE_PIPS SITEPROP TIEOFF_X24Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y120 CLASS site SITEPROP TIEOFF_X24Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y120 IS_BONDED 0 SITEPROP TIEOFF_X24Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y120 IS_PAD 0 SITEPROP TIEOFF_X24Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y120 IS_RESERVED 0 SITEPROP TIEOFF_X24Y120 IS_TEST 0 SITEPROP TIEOFF_X24Y120 IS_USED 0 SITEPROP TIEOFF_X24Y120 MANUAL_ROUTING SITEPROP TIEOFF_X24Y120 NAME TIEOFF_X24Y120 SITEPROP TIEOFF_X24Y120 NUM_ARCS 0 SITEPROP TIEOFF_X24Y120 NUM_BELS 2 SITEPROP TIEOFF_X24Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y120 NUM_PINS 2 SITEPROP TIEOFF_X24Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y120 PROHIBIT 0 SITEPROP TIEOFF_X24Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y120 RPM_X 96 SITEPROP TIEOFF_X24Y120 RPM_Y 240 SITEPROP TIEOFF_X24Y120 SITE_PIPS SITEPROP TIEOFF_X24Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y121 CLASS site SITEPROP TIEOFF_X24Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y121 IS_BONDED 0 SITEPROP TIEOFF_X24Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y121 IS_PAD 0 SITEPROP TIEOFF_X24Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y121 IS_RESERVED 0 SITEPROP TIEOFF_X24Y121 IS_TEST 0 SITEPROP TIEOFF_X24Y121 IS_USED 0 SITEPROP TIEOFF_X24Y121 MANUAL_ROUTING SITEPROP TIEOFF_X24Y121 NAME TIEOFF_X24Y121 SITEPROP TIEOFF_X24Y121 NUM_ARCS 0 SITEPROP TIEOFF_X24Y121 NUM_BELS 2 SITEPROP TIEOFF_X24Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y121 NUM_PINS 2 SITEPROP TIEOFF_X24Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y121 PROHIBIT 0 SITEPROP TIEOFF_X24Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y121 RPM_X 96 SITEPROP TIEOFF_X24Y121 RPM_Y 242 SITEPROP TIEOFF_X24Y121 SITE_PIPS SITEPROP TIEOFF_X24Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y122 CLASS site SITEPROP TIEOFF_X24Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y122 IS_BONDED 0 SITEPROP TIEOFF_X24Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y122 IS_PAD 0 SITEPROP TIEOFF_X24Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y122 IS_RESERVED 0 SITEPROP TIEOFF_X24Y122 IS_TEST 0 SITEPROP TIEOFF_X24Y122 IS_USED 0 SITEPROP TIEOFF_X24Y122 MANUAL_ROUTING SITEPROP TIEOFF_X24Y122 NAME TIEOFF_X24Y122 SITEPROP TIEOFF_X24Y122 NUM_ARCS 0 SITEPROP TIEOFF_X24Y122 NUM_BELS 2 SITEPROP TIEOFF_X24Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y122 NUM_PINS 2 SITEPROP TIEOFF_X24Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y122 PROHIBIT 0 SITEPROP TIEOFF_X24Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y122 RPM_X 96 SITEPROP TIEOFF_X24Y122 RPM_Y 244 SITEPROP TIEOFF_X24Y122 SITE_PIPS SITEPROP TIEOFF_X24Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y123 CLASS site SITEPROP TIEOFF_X24Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y123 IS_BONDED 0 SITEPROP TIEOFF_X24Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y123 IS_PAD 0 SITEPROP TIEOFF_X24Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y123 IS_RESERVED 0 SITEPROP TIEOFF_X24Y123 IS_TEST 0 SITEPROP TIEOFF_X24Y123 IS_USED 0 SITEPROP TIEOFF_X24Y123 MANUAL_ROUTING SITEPROP TIEOFF_X24Y123 NAME TIEOFF_X24Y123 SITEPROP TIEOFF_X24Y123 NUM_ARCS 0 SITEPROP TIEOFF_X24Y123 NUM_BELS 2 SITEPROP TIEOFF_X24Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y123 NUM_PINS 2 SITEPROP TIEOFF_X24Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y123 PROHIBIT 0 SITEPROP TIEOFF_X24Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y123 RPM_X 96 SITEPROP TIEOFF_X24Y123 RPM_Y 246 SITEPROP TIEOFF_X24Y123 SITE_PIPS SITEPROP TIEOFF_X24Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y124 CLASS site SITEPROP TIEOFF_X24Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y124 IS_BONDED 0 SITEPROP TIEOFF_X24Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y124 IS_PAD 0 SITEPROP TIEOFF_X24Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y124 IS_RESERVED 0 SITEPROP TIEOFF_X24Y124 IS_TEST 0 SITEPROP TIEOFF_X24Y124 IS_USED 0 SITEPROP TIEOFF_X24Y124 MANUAL_ROUTING SITEPROP TIEOFF_X24Y124 NAME TIEOFF_X24Y124 SITEPROP TIEOFF_X24Y124 NUM_ARCS 0 SITEPROP TIEOFF_X24Y124 NUM_BELS 2 SITEPROP TIEOFF_X24Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y124 NUM_PINS 2 SITEPROP TIEOFF_X24Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y124 PROHIBIT 0 SITEPROP TIEOFF_X24Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y124 RPM_X 96 SITEPROP TIEOFF_X24Y124 RPM_Y 248 SITEPROP TIEOFF_X24Y124 SITE_PIPS SITEPROP TIEOFF_X24Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y125 CLASS site SITEPROP TIEOFF_X24Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y125 IS_BONDED 0 SITEPROP TIEOFF_X24Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y125 IS_PAD 0 SITEPROP TIEOFF_X24Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y125 IS_RESERVED 0 SITEPROP TIEOFF_X24Y125 IS_TEST 0 SITEPROP TIEOFF_X24Y125 IS_USED 0 SITEPROP TIEOFF_X24Y125 MANUAL_ROUTING SITEPROP TIEOFF_X24Y125 NAME TIEOFF_X24Y125 SITEPROP TIEOFF_X24Y125 NUM_ARCS 0 SITEPROP TIEOFF_X24Y125 NUM_BELS 2 SITEPROP TIEOFF_X24Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y125 NUM_PINS 2 SITEPROP TIEOFF_X24Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y125 PROHIBIT 0 SITEPROP TIEOFF_X24Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y125 RPM_X 96 SITEPROP TIEOFF_X24Y125 RPM_Y 250 SITEPROP TIEOFF_X24Y125 SITE_PIPS SITEPROP TIEOFF_X24Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y126 CLASS site SITEPROP TIEOFF_X24Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y126 IS_BONDED 0 SITEPROP TIEOFF_X24Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y126 IS_PAD 0 SITEPROP TIEOFF_X24Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y126 IS_RESERVED 0 SITEPROP TIEOFF_X24Y126 IS_TEST 0 SITEPROP TIEOFF_X24Y126 IS_USED 0 SITEPROP TIEOFF_X24Y126 MANUAL_ROUTING SITEPROP TIEOFF_X24Y126 NAME TIEOFF_X24Y126 SITEPROP TIEOFF_X24Y126 NUM_ARCS 0 SITEPROP TIEOFF_X24Y126 NUM_BELS 2 SITEPROP TIEOFF_X24Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y126 NUM_PINS 2 SITEPROP TIEOFF_X24Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y126 PROHIBIT 0 SITEPROP TIEOFF_X24Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y126 RPM_X 96 SITEPROP TIEOFF_X24Y126 RPM_Y 252 SITEPROP TIEOFF_X24Y126 SITE_PIPS SITEPROP TIEOFF_X24Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y127 CLASS site SITEPROP TIEOFF_X24Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y127 IS_BONDED 0 SITEPROP TIEOFF_X24Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y127 IS_PAD 0 SITEPROP TIEOFF_X24Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y127 IS_RESERVED 0 SITEPROP TIEOFF_X24Y127 IS_TEST 0 SITEPROP TIEOFF_X24Y127 IS_USED 0 SITEPROP TIEOFF_X24Y127 MANUAL_ROUTING SITEPROP TIEOFF_X24Y127 NAME TIEOFF_X24Y127 SITEPROP TIEOFF_X24Y127 NUM_ARCS 0 SITEPROP TIEOFF_X24Y127 NUM_BELS 2 SITEPROP TIEOFF_X24Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y127 NUM_PINS 2 SITEPROP TIEOFF_X24Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y127 PROHIBIT 0 SITEPROP TIEOFF_X24Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y127 RPM_X 96 SITEPROP TIEOFF_X24Y127 RPM_Y 254 SITEPROP TIEOFF_X24Y127 SITE_PIPS SITEPROP TIEOFF_X24Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y128 CLASS site SITEPROP TIEOFF_X24Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y128 IS_BONDED 0 SITEPROP TIEOFF_X24Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y128 IS_PAD 0 SITEPROP TIEOFF_X24Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y128 IS_RESERVED 0 SITEPROP TIEOFF_X24Y128 IS_TEST 0 SITEPROP TIEOFF_X24Y128 IS_USED 0 SITEPROP TIEOFF_X24Y128 MANUAL_ROUTING SITEPROP TIEOFF_X24Y128 NAME TIEOFF_X24Y128 SITEPROP TIEOFF_X24Y128 NUM_ARCS 0 SITEPROP TIEOFF_X24Y128 NUM_BELS 2 SITEPROP TIEOFF_X24Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y128 NUM_PINS 2 SITEPROP TIEOFF_X24Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y128 PROHIBIT 0 SITEPROP TIEOFF_X24Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y128 RPM_X 96 SITEPROP TIEOFF_X24Y128 RPM_Y 256 SITEPROP TIEOFF_X24Y128 SITE_PIPS SITEPROP TIEOFF_X24Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y129 CLASS site SITEPROP TIEOFF_X24Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y129 IS_BONDED 0 SITEPROP TIEOFF_X24Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y129 IS_PAD 0 SITEPROP TIEOFF_X24Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y129 IS_RESERVED 0 SITEPROP TIEOFF_X24Y129 IS_TEST 0 SITEPROP TIEOFF_X24Y129 IS_USED 0 SITEPROP TIEOFF_X24Y129 MANUAL_ROUTING SITEPROP TIEOFF_X24Y129 NAME TIEOFF_X24Y129 SITEPROP TIEOFF_X24Y129 NUM_ARCS 0 SITEPROP TIEOFF_X24Y129 NUM_BELS 2 SITEPROP TIEOFF_X24Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y129 NUM_PINS 2 SITEPROP TIEOFF_X24Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y129 PROHIBIT 0 SITEPROP TIEOFF_X24Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y129 RPM_X 96 SITEPROP TIEOFF_X24Y129 RPM_Y 258 SITEPROP TIEOFF_X24Y129 SITE_PIPS SITEPROP TIEOFF_X24Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y130 CLASS site SITEPROP TIEOFF_X24Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y130 IS_BONDED 0 SITEPROP TIEOFF_X24Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y130 IS_PAD 0 SITEPROP TIEOFF_X24Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y130 IS_RESERVED 0 SITEPROP TIEOFF_X24Y130 IS_TEST 0 SITEPROP TIEOFF_X24Y130 IS_USED 0 SITEPROP TIEOFF_X24Y130 MANUAL_ROUTING SITEPROP TIEOFF_X24Y130 NAME TIEOFF_X24Y130 SITEPROP TIEOFF_X24Y130 NUM_ARCS 0 SITEPROP TIEOFF_X24Y130 NUM_BELS 2 SITEPROP TIEOFF_X24Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y130 NUM_PINS 2 SITEPROP TIEOFF_X24Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y130 PROHIBIT 0 SITEPROP TIEOFF_X24Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y130 RPM_X 96 SITEPROP TIEOFF_X24Y130 RPM_Y 260 SITEPROP TIEOFF_X24Y130 SITE_PIPS SITEPROP TIEOFF_X24Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y131 CLASS site SITEPROP TIEOFF_X24Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y131 IS_BONDED 0 SITEPROP TIEOFF_X24Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y131 IS_PAD 0 SITEPROP TIEOFF_X24Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y131 IS_RESERVED 0 SITEPROP TIEOFF_X24Y131 IS_TEST 0 SITEPROP TIEOFF_X24Y131 IS_USED 0 SITEPROP TIEOFF_X24Y131 MANUAL_ROUTING SITEPROP TIEOFF_X24Y131 NAME TIEOFF_X24Y131 SITEPROP TIEOFF_X24Y131 NUM_ARCS 0 SITEPROP TIEOFF_X24Y131 NUM_BELS 2 SITEPROP TIEOFF_X24Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y131 NUM_PINS 2 SITEPROP TIEOFF_X24Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y131 PROHIBIT 0 SITEPROP TIEOFF_X24Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y131 RPM_X 96 SITEPROP TIEOFF_X24Y131 RPM_Y 262 SITEPROP TIEOFF_X24Y131 SITE_PIPS SITEPROP TIEOFF_X24Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y132 CLASS site SITEPROP TIEOFF_X24Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y132 IS_BONDED 0 SITEPROP TIEOFF_X24Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y132 IS_PAD 0 SITEPROP TIEOFF_X24Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y132 IS_RESERVED 0 SITEPROP TIEOFF_X24Y132 IS_TEST 0 SITEPROP TIEOFF_X24Y132 IS_USED 0 SITEPROP TIEOFF_X24Y132 MANUAL_ROUTING SITEPROP TIEOFF_X24Y132 NAME TIEOFF_X24Y132 SITEPROP TIEOFF_X24Y132 NUM_ARCS 0 SITEPROP TIEOFF_X24Y132 NUM_BELS 2 SITEPROP TIEOFF_X24Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y132 NUM_PINS 2 SITEPROP TIEOFF_X24Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y132 PROHIBIT 0 SITEPROP TIEOFF_X24Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y132 RPM_X 96 SITEPROP TIEOFF_X24Y132 RPM_Y 264 SITEPROP TIEOFF_X24Y132 SITE_PIPS SITEPROP TIEOFF_X24Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y133 CLASS site SITEPROP TIEOFF_X24Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y133 IS_BONDED 0 SITEPROP TIEOFF_X24Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y133 IS_PAD 0 SITEPROP TIEOFF_X24Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y133 IS_RESERVED 0 SITEPROP TIEOFF_X24Y133 IS_TEST 0 SITEPROP TIEOFF_X24Y133 IS_USED 0 SITEPROP TIEOFF_X24Y133 MANUAL_ROUTING SITEPROP TIEOFF_X24Y133 NAME TIEOFF_X24Y133 SITEPROP TIEOFF_X24Y133 NUM_ARCS 0 SITEPROP TIEOFF_X24Y133 NUM_BELS 2 SITEPROP TIEOFF_X24Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y133 NUM_PINS 2 SITEPROP TIEOFF_X24Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y133 PROHIBIT 0 SITEPROP TIEOFF_X24Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y133 RPM_X 96 SITEPROP TIEOFF_X24Y133 RPM_Y 266 SITEPROP TIEOFF_X24Y133 SITE_PIPS SITEPROP TIEOFF_X24Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y134 CLASS site SITEPROP TIEOFF_X24Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y134 IS_BONDED 0 SITEPROP TIEOFF_X24Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y134 IS_PAD 0 SITEPROP TIEOFF_X24Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y134 IS_RESERVED 0 SITEPROP TIEOFF_X24Y134 IS_TEST 0 SITEPROP TIEOFF_X24Y134 IS_USED 0 SITEPROP TIEOFF_X24Y134 MANUAL_ROUTING SITEPROP TIEOFF_X24Y134 NAME TIEOFF_X24Y134 SITEPROP TIEOFF_X24Y134 NUM_ARCS 0 SITEPROP TIEOFF_X24Y134 NUM_BELS 2 SITEPROP TIEOFF_X24Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y134 NUM_PINS 2 SITEPROP TIEOFF_X24Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y134 PROHIBIT 0 SITEPROP TIEOFF_X24Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y134 RPM_X 96 SITEPROP TIEOFF_X24Y134 RPM_Y 268 SITEPROP TIEOFF_X24Y134 SITE_PIPS SITEPROP TIEOFF_X24Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y135 CLASS site SITEPROP TIEOFF_X24Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y135 IS_BONDED 0 SITEPROP TIEOFF_X24Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y135 IS_PAD 0 SITEPROP TIEOFF_X24Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y135 IS_RESERVED 0 SITEPROP TIEOFF_X24Y135 IS_TEST 0 SITEPROP TIEOFF_X24Y135 IS_USED 0 SITEPROP TIEOFF_X24Y135 MANUAL_ROUTING SITEPROP TIEOFF_X24Y135 NAME TIEOFF_X24Y135 SITEPROP TIEOFF_X24Y135 NUM_ARCS 0 SITEPROP TIEOFF_X24Y135 NUM_BELS 2 SITEPROP TIEOFF_X24Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y135 NUM_PINS 2 SITEPROP TIEOFF_X24Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y135 PROHIBIT 0 SITEPROP TIEOFF_X24Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y135 RPM_X 96 SITEPROP TIEOFF_X24Y135 RPM_Y 270 SITEPROP TIEOFF_X24Y135 SITE_PIPS SITEPROP TIEOFF_X24Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y136 CLASS site SITEPROP TIEOFF_X24Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y136 IS_BONDED 0 SITEPROP TIEOFF_X24Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y136 IS_PAD 0 SITEPROP TIEOFF_X24Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y136 IS_RESERVED 0 SITEPROP TIEOFF_X24Y136 IS_TEST 0 SITEPROP TIEOFF_X24Y136 IS_USED 0 SITEPROP TIEOFF_X24Y136 MANUAL_ROUTING SITEPROP TIEOFF_X24Y136 NAME TIEOFF_X24Y136 SITEPROP TIEOFF_X24Y136 NUM_ARCS 0 SITEPROP TIEOFF_X24Y136 NUM_BELS 2 SITEPROP TIEOFF_X24Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y136 NUM_PINS 2 SITEPROP TIEOFF_X24Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y136 PROHIBIT 0 SITEPROP TIEOFF_X24Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y136 RPM_X 96 SITEPROP TIEOFF_X24Y136 RPM_Y 272 SITEPROP TIEOFF_X24Y136 SITE_PIPS SITEPROP TIEOFF_X24Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y137 CLASS site SITEPROP TIEOFF_X24Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y137 IS_BONDED 0 SITEPROP TIEOFF_X24Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y137 IS_PAD 0 SITEPROP TIEOFF_X24Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y137 IS_RESERVED 0 SITEPROP TIEOFF_X24Y137 IS_TEST 0 SITEPROP TIEOFF_X24Y137 IS_USED 0 SITEPROP TIEOFF_X24Y137 MANUAL_ROUTING SITEPROP TIEOFF_X24Y137 NAME TIEOFF_X24Y137 SITEPROP TIEOFF_X24Y137 NUM_ARCS 0 SITEPROP TIEOFF_X24Y137 NUM_BELS 2 SITEPROP TIEOFF_X24Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y137 NUM_PINS 2 SITEPROP TIEOFF_X24Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y137 PROHIBIT 0 SITEPROP TIEOFF_X24Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y137 RPM_X 96 SITEPROP TIEOFF_X24Y137 RPM_Y 274 SITEPROP TIEOFF_X24Y137 SITE_PIPS SITEPROP TIEOFF_X24Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y138 CLASS site SITEPROP TIEOFF_X24Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y138 IS_BONDED 0 SITEPROP TIEOFF_X24Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y138 IS_PAD 0 SITEPROP TIEOFF_X24Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y138 IS_RESERVED 0 SITEPROP TIEOFF_X24Y138 IS_TEST 0 SITEPROP TIEOFF_X24Y138 IS_USED 0 SITEPROP TIEOFF_X24Y138 MANUAL_ROUTING SITEPROP TIEOFF_X24Y138 NAME TIEOFF_X24Y138 SITEPROP TIEOFF_X24Y138 NUM_ARCS 0 SITEPROP TIEOFF_X24Y138 NUM_BELS 2 SITEPROP TIEOFF_X24Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y138 NUM_PINS 2 SITEPROP TIEOFF_X24Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y138 PROHIBIT 0 SITEPROP TIEOFF_X24Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y138 RPM_X 96 SITEPROP TIEOFF_X24Y138 RPM_Y 276 SITEPROP TIEOFF_X24Y138 SITE_PIPS SITEPROP TIEOFF_X24Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y139 CLASS site SITEPROP TIEOFF_X24Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y139 IS_BONDED 0 SITEPROP TIEOFF_X24Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y139 IS_PAD 0 SITEPROP TIEOFF_X24Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y139 IS_RESERVED 0 SITEPROP TIEOFF_X24Y139 IS_TEST 0 SITEPROP TIEOFF_X24Y139 IS_USED 0 SITEPROP TIEOFF_X24Y139 MANUAL_ROUTING SITEPROP TIEOFF_X24Y139 NAME TIEOFF_X24Y139 SITEPROP TIEOFF_X24Y139 NUM_ARCS 0 SITEPROP TIEOFF_X24Y139 NUM_BELS 2 SITEPROP TIEOFF_X24Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y139 NUM_PINS 2 SITEPROP TIEOFF_X24Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y139 PROHIBIT 0 SITEPROP TIEOFF_X24Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y139 RPM_X 96 SITEPROP TIEOFF_X24Y139 RPM_Y 278 SITEPROP TIEOFF_X24Y139 SITE_PIPS SITEPROP TIEOFF_X24Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y140 CLASS site SITEPROP TIEOFF_X24Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y140 IS_BONDED 0 SITEPROP TIEOFF_X24Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y140 IS_PAD 0 SITEPROP TIEOFF_X24Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y140 IS_RESERVED 0 SITEPROP TIEOFF_X24Y140 IS_TEST 0 SITEPROP TIEOFF_X24Y140 IS_USED 0 SITEPROP TIEOFF_X24Y140 MANUAL_ROUTING SITEPROP TIEOFF_X24Y140 NAME TIEOFF_X24Y140 SITEPROP TIEOFF_X24Y140 NUM_ARCS 0 SITEPROP TIEOFF_X24Y140 NUM_BELS 2 SITEPROP TIEOFF_X24Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y140 NUM_PINS 2 SITEPROP TIEOFF_X24Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y140 PROHIBIT 0 SITEPROP TIEOFF_X24Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y140 RPM_X 96 SITEPROP TIEOFF_X24Y140 RPM_Y 280 SITEPROP TIEOFF_X24Y140 SITE_PIPS SITEPROP TIEOFF_X24Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y141 CLASS site SITEPROP TIEOFF_X24Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y141 IS_BONDED 0 SITEPROP TIEOFF_X24Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y141 IS_PAD 0 SITEPROP TIEOFF_X24Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y141 IS_RESERVED 0 SITEPROP TIEOFF_X24Y141 IS_TEST 0 SITEPROP TIEOFF_X24Y141 IS_USED 0 SITEPROP TIEOFF_X24Y141 MANUAL_ROUTING SITEPROP TIEOFF_X24Y141 NAME TIEOFF_X24Y141 SITEPROP TIEOFF_X24Y141 NUM_ARCS 0 SITEPROP TIEOFF_X24Y141 NUM_BELS 2 SITEPROP TIEOFF_X24Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y141 NUM_PINS 2 SITEPROP TIEOFF_X24Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y141 PROHIBIT 0 SITEPROP TIEOFF_X24Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y141 RPM_X 96 SITEPROP TIEOFF_X24Y141 RPM_Y 282 SITEPROP TIEOFF_X24Y141 SITE_PIPS SITEPROP TIEOFF_X24Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y142 CLASS site SITEPROP TIEOFF_X24Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y142 IS_BONDED 0 SITEPROP TIEOFF_X24Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y142 IS_PAD 0 SITEPROP TIEOFF_X24Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y142 IS_RESERVED 0 SITEPROP TIEOFF_X24Y142 IS_TEST 0 SITEPROP TIEOFF_X24Y142 IS_USED 0 SITEPROP TIEOFF_X24Y142 MANUAL_ROUTING SITEPROP TIEOFF_X24Y142 NAME TIEOFF_X24Y142 SITEPROP TIEOFF_X24Y142 NUM_ARCS 0 SITEPROP TIEOFF_X24Y142 NUM_BELS 2 SITEPROP TIEOFF_X24Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y142 NUM_PINS 2 SITEPROP TIEOFF_X24Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y142 PROHIBIT 0 SITEPROP TIEOFF_X24Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y142 RPM_X 96 SITEPROP TIEOFF_X24Y142 RPM_Y 284 SITEPROP TIEOFF_X24Y142 SITE_PIPS SITEPROP TIEOFF_X24Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y143 CLASS site SITEPROP TIEOFF_X24Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y143 IS_BONDED 0 SITEPROP TIEOFF_X24Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y143 IS_PAD 0 SITEPROP TIEOFF_X24Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y143 IS_RESERVED 0 SITEPROP TIEOFF_X24Y143 IS_TEST 0 SITEPROP TIEOFF_X24Y143 IS_USED 0 SITEPROP TIEOFF_X24Y143 MANUAL_ROUTING SITEPROP TIEOFF_X24Y143 NAME TIEOFF_X24Y143 SITEPROP TIEOFF_X24Y143 NUM_ARCS 0 SITEPROP TIEOFF_X24Y143 NUM_BELS 2 SITEPROP TIEOFF_X24Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y143 NUM_PINS 2 SITEPROP TIEOFF_X24Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y143 PROHIBIT 0 SITEPROP TIEOFF_X24Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y143 RPM_X 96 SITEPROP TIEOFF_X24Y143 RPM_Y 286 SITEPROP TIEOFF_X24Y143 SITE_PIPS SITEPROP TIEOFF_X24Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y144 CLASS site SITEPROP TIEOFF_X24Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y144 IS_BONDED 0 SITEPROP TIEOFF_X24Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y144 IS_PAD 0 SITEPROP TIEOFF_X24Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y144 IS_RESERVED 0 SITEPROP TIEOFF_X24Y144 IS_TEST 0 SITEPROP TIEOFF_X24Y144 IS_USED 0 SITEPROP TIEOFF_X24Y144 MANUAL_ROUTING SITEPROP TIEOFF_X24Y144 NAME TIEOFF_X24Y144 SITEPROP TIEOFF_X24Y144 NUM_ARCS 0 SITEPROP TIEOFF_X24Y144 NUM_BELS 2 SITEPROP TIEOFF_X24Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y144 NUM_PINS 2 SITEPROP TIEOFF_X24Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y144 PROHIBIT 0 SITEPROP TIEOFF_X24Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y144 RPM_X 96 SITEPROP TIEOFF_X24Y144 RPM_Y 288 SITEPROP TIEOFF_X24Y144 SITE_PIPS SITEPROP TIEOFF_X24Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y145 CLASS site SITEPROP TIEOFF_X24Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y145 IS_BONDED 0 SITEPROP TIEOFF_X24Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y145 IS_PAD 0 SITEPROP TIEOFF_X24Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y145 IS_RESERVED 0 SITEPROP TIEOFF_X24Y145 IS_TEST 0 SITEPROP TIEOFF_X24Y145 IS_USED 0 SITEPROP TIEOFF_X24Y145 MANUAL_ROUTING SITEPROP TIEOFF_X24Y145 NAME TIEOFF_X24Y145 SITEPROP TIEOFF_X24Y145 NUM_ARCS 0 SITEPROP TIEOFF_X24Y145 NUM_BELS 2 SITEPROP TIEOFF_X24Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y145 NUM_PINS 2 SITEPROP TIEOFF_X24Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y145 PROHIBIT 0 SITEPROP TIEOFF_X24Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y145 RPM_X 96 SITEPROP TIEOFF_X24Y145 RPM_Y 290 SITEPROP TIEOFF_X24Y145 SITE_PIPS SITEPROP TIEOFF_X24Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y146 CLASS site SITEPROP TIEOFF_X24Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y146 IS_BONDED 0 SITEPROP TIEOFF_X24Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y146 IS_PAD 0 SITEPROP TIEOFF_X24Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y146 IS_RESERVED 0 SITEPROP TIEOFF_X24Y146 IS_TEST 0 SITEPROP TIEOFF_X24Y146 IS_USED 0 SITEPROP TIEOFF_X24Y146 MANUAL_ROUTING SITEPROP TIEOFF_X24Y146 NAME TIEOFF_X24Y146 SITEPROP TIEOFF_X24Y146 NUM_ARCS 0 SITEPROP TIEOFF_X24Y146 NUM_BELS 2 SITEPROP TIEOFF_X24Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y146 NUM_PINS 2 SITEPROP TIEOFF_X24Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y146 PROHIBIT 0 SITEPROP TIEOFF_X24Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y146 RPM_X 96 SITEPROP TIEOFF_X24Y146 RPM_Y 292 SITEPROP TIEOFF_X24Y146 SITE_PIPS SITEPROP TIEOFF_X24Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y147 CLASS site SITEPROP TIEOFF_X24Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y147 IS_BONDED 0 SITEPROP TIEOFF_X24Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y147 IS_PAD 0 SITEPROP TIEOFF_X24Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y147 IS_RESERVED 0 SITEPROP TIEOFF_X24Y147 IS_TEST 0 SITEPROP TIEOFF_X24Y147 IS_USED 0 SITEPROP TIEOFF_X24Y147 MANUAL_ROUTING SITEPROP TIEOFF_X24Y147 NAME TIEOFF_X24Y147 SITEPROP TIEOFF_X24Y147 NUM_ARCS 0 SITEPROP TIEOFF_X24Y147 NUM_BELS 2 SITEPROP TIEOFF_X24Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y147 NUM_PINS 2 SITEPROP TIEOFF_X24Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y147 PROHIBIT 0 SITEPROP TIEOFF_X24Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y147 RPM_X 96 SITEPROP TIEOFF_X24Y147 RPM_Y 294 SITEPROP TIEOFF_X24Y147 SITE_PIPS SITEPROP TIEOFF_X24Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y148 CLASS site SITEPROP TIEOFF_X24Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y148 IS_BONDED 0 SITEPROP TIEOFF_X24Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y148 IS_PAD 0 SITEPROP TIEOFF_X24Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y148 IS_RESERVED 0 SITEPROP TIEOFF_X24Y148 IS_TEST 0 SITEPROP TIEOFF_X24Y148 IS_USED 0 SITEPROP TIEOFF_X24Y148 MANUAL_ROUTING SITEPROP TIEOFF_X24Y148 NAME TIEOFF_X24Y148 SITEPROP TIEOFF_X24Y148 NUM_ARCS 0 SITEPROP TIEOFF_X24Y148 NUM_BELS 2 SITEPROP TIEOFF_X24Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y148 NUM_PINS 2 SITEPROP TIEOFF_X24Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y148 PROHIBIT 0 SITEPROP TIEOFF_X24Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y148 RPM_X 96 SITEPROP TIEOFF_X24Y148 RPM_Y 296 SITEPROP TIEOFF_X24Y148 SITE_PIPS SITEPROP TIEOFF_X24Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X24Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X24Y149 CLASS site SITEPROP TIEOFF_X24Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X24Y149 IS_BONDED 0 SITEPROP TIEOFF_X24Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y149 IS_PAD 0 SITEPROP TIEOFF_X24Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X24Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X24Y149 IS_RESERVED 0 SITEPROP TIEOFF_X24Y149 IS_TEST 0 SITEPROP TIEOFF_X24Y149 IS_USED 0 SITEPROP TIEOFF_X24Y149 MANUAL_ROUTING SITEPROP TIEOFF_X24Y149 NAME TIEOFF_X24Y149 SITEPROP TIEOFF_X24Y149 NUM_ARCS 0 SITEPROP TIEOFF_X24Y149 NUM_BELS 2 SITEPROP TIEOFF_X24Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X24Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X24Y149 NUM_PINS 2 SITEPROP TIEOFF_X24Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X24Y149 PROHIBIT 0 SITEPROP TIEOFF_X24Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X24Y149 RPM_X 96 SITEPROP TIEOFF_X24Y149 RPM_Y 298 SITEPROP TIEOFF_X24Y149 SITE_PIPS SITEPROP TIEOFF_X24Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y0 CLASS site SITEPROP TIEOFF_X25Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y0 IS_BONDED 0 SITEPROP TIEOFF_X25Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y0 IS_PAD 0 SITEPROP TIEOFF_X25Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y0 IS_RESERVED 0 SITEPROP TIEOFF_X25Y0 IS_TEST 0 SITEPROP TIEOFF_X25Y0 IS_USED 0 SITEPROP TIEOFF_X25Y0 MANUAL_ROUTING SITEPROP TIEOFF_X25Y0 NAME TIEOFF_X25Y0 SITEPROP TIEOFF_X25Y0 NUM_ARCS 0 SITEPROP TIEOFF_X25Y0 NUM_BELS 2 SITEPROP TIEOFF_X25Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y0 NUM_PINS 2 SITEPROP TIEOFF_X25Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y0 PROHIBIT 0 SITEPROP TIEOFF_X25Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y0 RPM_X 100 SITEPROP TIEOFF_X25Y0 RPM_Y 0 SITEPROP TIEOFF_X25Y0 SITE_PIPS SITEPROP TIEOFF_X25Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y1 CLASS site SITEPROP TIEOFF_X25Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y1 IS_BONDED 0 SITEPROP TIEOFF_X25Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y1 IS_PAD 0 SITEPROP TIEOFF_X25Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y1 IS_RESERVED 0 SITEPROP TIEOFF_X25Y1 IS_TEST 0 SITEPROP TIEOFF_X25Y1 IS_USED 0 SITEPROP TIEOFF_X25Y1 MANUAL_ROUTING SITEPROP TIEOFF_X25Y1 NAME TIEOFF_X25Y1 SITEPROP TIEOFF_X25Y1 NUM_ARCS 0 SITEPROP TIEOFF_X25Y1 NUM_BELS 2 SITEPROP TIEOFF_X25Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y1 NUM_PINS 2 SITEPROP TIEOFF_X25Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y1 PROHIBIT 0 SITEPROP TIEOFF_X25Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y1 RPM_X 100 SITEPROP TIEOFF_X25Y1 RPM_Y 2 SITEPROP TIEOFF_X25Y1 SITE_PIPS SITEPROP TIEOFF_X25Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y2 CLASS site SITEPROP TIEOFF_X25Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y2 IS_BONDED 0 SITEPROP TIEOFF_X25Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y2 IS_PAD 0 SITEPROP TIEOFF_X25Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y2 IS_RESERVED 0 SITEPROP TIEOFF_X25Y2 IS_TEST 0 SITEPROP TIEOFF_X25Y2 IS_USED 0 SITEPROP TIEOFF_X25Y2 MANUAL_ROUTING SITEPROP TIEOFF_X25Y2 NAME TIEOFF_X25Y2 SITEPROP TIEOFF_X25Y2 NUM_ARCS 0 SITEPROP TIEOFF_X25Y2 NUM_BELS 2 SITEPROP TIEOFF_X25Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y2 NUM_PINS 2 SITEPROP TIEOFF_X25Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y2 PROHIBIT 0 SITEPROP TIEOFF_X25Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y2 RPM_X 100 SITEPROP TIEOFF_X25Y2 RPM_Y 4 SITEPROP TIEOFF_X25Y2 SITE_PIPS SITEPROP TIEOFF_X25Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y3 CLASS site SITEPROP TIEOFF_X25Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y3 IS_BONDED 0 SITEPROP TIEOFF_X25Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y3 IS_PAD 0 SITEPROP TIEOFF_X25Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y3 IS_RESERVED 0 SITEPROP TIEOFF_X25Y3 IS_TEST 0 SITEPROP TIEOFF_X25Y3 IS_USED 0 SITEPROP TIEOFF_X25Y3 MANUAL_ROUTING SITEPROP TIEOFF_X25Y3 NAME TIEOFF_X25Y3 SITEPROP TIEOFF_X25Y3 NUM_ARCS 0 SITEPROP TIEOFF_X25Y3 NUM_BELS 2 SITEPROP TIEOFF_X25Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y3 NUM_PINS 2 SITEPROP TIEOFF_X25Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y3 PROHIBIT 0 SITEPROP TIEOFF_X25Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y3 RPM_X 100 SITEPROP TIEOFF_X25Y3 RPM_Y 6 SITEPROP TIEOFF_X25Y3 SITE_PIPS SITEPROP TIEOFF_X25Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y4 CLASS site SITEPROP TIEOFF_X25Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y4 IS_BONDED 0 SITEPROP TIEOFF_X25Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y4 IS_PAD 0 SITEPROP TIEOFF_X25Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y4 IS_RESERVED 0 SITEPROP TIEOFF_X25Y4 IS_TEST 0 SITEPROP TIEOFF_X25Y4 IS_USED 0 SITEPROP TIEOFF_X25Y4 MANUAL_ROUTING SITEPROP TIEOFF_X25Y4 NAME TIEOFF_X25Y4 SITEPROP TIEOFF_X25Y4 NUM_ARCS 0 SITEPROP TIEOFF_X25Y4 NUM_BELS 2 SITEPROP TIEOFF_X25Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y4 NUM_PINS 2 SITEPROP TIEOFF_X25Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y4 PROHIBIT 0 SITEPROP TIEOFF_X25Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y4 RPM_X 100 SITEPROP TIEOFF_X25Y4 RPM_Y 8 SITEPROP TIEOFF_X25Y4 SITE_PIPS SITEPROP TIEOFF_X25Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y5 CLASS site SITEPROP TIEOFF_X25Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y5 IS_BONDED 0 SITEPROP TIEOFF_X25Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y5 IS_PAD 0 SITEPROP TIEOFF_X25Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y5 IS_RESERVED 0 SITEPROP TIEOFF_X25Y5 IS_TEST 0 SITEPROP TIEOFF_X25Y5 IS_USED 0 SITEPROP TIEOFF_X25Y5 MANUAL_ROUTING SITEPROP TIEOFF_X25Y5 NAME TIEOFF_X25Y5 SITEPROP TIEOFF_X25Y5 NUM_ARCS 0 SITEPROP TIEOFF_X25Y5 NUM_BELS 2 SITEPROP TIEOFF_X25Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y5 NUM_PINS 2 SITEPROP TIEOFF_X25Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y5 PROHIBIT 0 SITEPROP TIEOFF_X25Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y5 RPM_X 100 SITEPROP TIEOFF_X25Y5 RPM_Y 10 SITEPROP TIEOFF_X25Y5 SITE_PIPS SITEPROP TIEOFF_X25Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y6 CLASS site SITEPROP TIEOFF_X25Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y6 IS_BONDED 0 SITEPROP TIEOFF_X25Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y6 IS_PAD 0 SITEPROP TIEOFF_X25Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y6 IS_RESERVED 0 SITEPROP TIEOFF_X25Y6 IS_TEST 0 SITEPROP TIEOFF_X25Y6 IS_USED 0 SITEPROP TIEOFF_X25Y6 MANUAL_ROUTING SITEPROP TIEOFF_X25Y6 NAME TIEOFF_X25Y6 SITEPROP TIEOFF_X25Y6 NUM_ARCS 0 SITEPROP TIEOFF_X25Y6 NUM_BELS 2 SITEPROP TIEOFF_X25Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y6 NUM_PINS 2 SITEPROP TIEOFF_X25Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y6 PROHIBIT 0 SITEPROP TIEOFF_X25Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y6 RPM_X 100 SITEPROP TIEOFF_X25Y6 RPM_Y 12 SITEPROP TIEOFF_X25Y6 SITE_PIPS SITEPROP TIEOFF_X25Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y7 CLASS site SITEPROP TIEOFF_X25Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y7 IS_BONDED 0 SITEPROP TIEOFF_X25Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y7 IS_PAD 0 SITEPROP TIEOFF_X25Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y7 IS_RESERVED 0 SITEPROP TIEOFF_X25Y7 IS_TEST 0 SITEPROP TIEOFF_X25Y7 IS_USED 0 SITEPROP TIEOFF_X25Y7 MANUAL_ROUTING SITEPROP TIEOFF_X25Y7 NAME TIEOFF_X25Y7 SITEPROP TIEOFF_X25Y7 NUM_ARCS 0 SITEPROP TIEOFF_X25Y7 NUM_BELS 2 SITEPROP TIEOFF_X25Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y7 NUM_PINS 2 SITEPROP TIEOFF_X25Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y7 PROHIBIT 0 SITEPROP TIEOFF_X25Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y7 RPM_X 100 SITEPROP TIEOFF_X25Y7 RPM_Y 14 SITEPROP TIEOFF_X25Y7 SITE_PIPS SITEPROP TIEOFF_X25Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y8 CLASS site SITEPROP TIEOFF_X25Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y8 IS_BONDED 0 SITEPROP TIEOFF_X25Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y8 IS_PAD 0 SITEPROP TIEOFF_X25Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y8 IS_RESERVED 0 SITEPROP TIEOFF_X25Y8 IS_TEST 0 SITEPROP TIEOFF_X25Y8 IS_USED 0 SITEPROP TIEOFF_X25Y8 MANUAL_ROUTING SITEPROP TIEOFF_X25Y8 NAME TIEOFF_X25Y8 SITEPROP TIEOFF_X25Y8 NUM_ARCS 0 SITEPROP TIEOFF_X25Y8 NUM_BELS 2 SITEPROP TIEOFF_X25Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y8 NUM_PINS 2 SITEPROP TIEOFF_X25Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y8 PROHIBIT 0 SITEPROP TIEOFF_X25Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y8 RPM_X 100 SITEPROP TIEOFF_X25Y8 RPM_Y 16 SITEPROP TIEOFF_X25Y8 SITE_PIPS SITEPROP TIEOFF_X25Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y9 CLASS site SITEPROP TIEOFF_X25Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y9 IS_BONDED 0 SITEPROP TIEOFF_X25Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y9 IS_PAD 0 SITEPROP TIEOFF_X25Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y9 IS_RESERVED 0 SITEPROP TIEOFF_X25Y9 IS_TEST 0 SITEPROP TIEOFF_X25Y9 IS_USED 0 SITEPROP TIEOFF_X25Y9 MANUAL_ROUTING SITEPROP TIEOFF_X25Y9 NAME TIEOFF_X25Y9 SITEPROP TIEOFF_X25Y9 NUM_ARCS 0 SITEPROP TIEOFF_X25Y9 NUM_BELS 2 SITEPROP TIEOFF_X25Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y9 NUM_PINS 2 SITEPROP TIEOFF_X25Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y9 PROHIBIT 0 SITEPROP TIEOFF_X25Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y9 RPM_X 100 SITEPROP TIEOFF_X25Y9 RPM_Y 18 SITEPROP TIEOFF_X25Y9 SITE_PIPS SITEPROP TIEOFF_X25Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y10 CLASS site SITEPROP TIEOFF_X25Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y10 IS_BONDED 0 SITEPROP TIEOFF_X25Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y10 IS_PAD 0 SITEPROP TIEOFF_X25Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y10 IS_RESERVED 0 SITEPROP TIEOFF_X25Y10 IS_TEST 0 SITEPROP TIEOFF_X25Y10 IS_USED 0 SITEPROP TIEOFF_X25Y10 MANUAL_ROUTING SITEPROP TIEOFF_X25Y10 NAME TIEOFF_X25Y10 SITEPROP TIEOFF_X25Y10 NUM_ARCS 0 SITEPROP TIEOFF_X25Y10 NUM_BELS 2 SITEPROP TIEOFF_X25Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y10 NUM_PINS 2 SITEPROP TIEOFF_X25Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y10 PROHIBIT 0 SITEPROP TIEOFF_X25Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y10 RPM_X 100 SITEPROP TIEOFF_X25Y10 RPM_Y 20 SITEPROP TIEOFF_X25Y10 SITE_PIPS SITEPROP TIEOFF_X25Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y11 CLASS site SITEPROP TIEOFF_X25Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y11 IS_BONDED 0 SITEPROP TIEOFF_X25Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y11 IS_PAD 0 SITEPROP TIEOFF_X25Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y11 IS_RESERVED 0 SITEPROP TIEOFF_X25Y11 IS_TEST 0 SITEPROP TIEOFF_X25Y11 IS_USED 0 SITEPROP TIEOFF_X25Y11 MANUAL_ROUTING SITEPROP TIEOFF_X25Y11 NAME TIEOFF_X25Y11 SITEPROP TIEOFF_X25Y11 NUM_ARCS 0 SITEPROP TIEOFF_X25Y11 NUM_BELS 2 SITEPROP TIEOFF_X25Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y11 NUM_PINS 2 SITEPROP TIEOFF_X25Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y11 PROHIBIT 0 SITEPROP TIEOFF_X25Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y11 RPM_X 100 SITEPROP TIEOFF_X25Y11 RPM_Y 22 SITEPROP TIEOFF_X25Y11 SITE_PIPS SITEPROP TIEOFF_X25Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y12 CLASS site SITEPROP TIEOFF_X25Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y12 IS_BONDED 0 SITEPROP TIEOFF_X25Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y12 IS_PAD 0 SITEPROP TIEOFF_X25Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y12 IS_RESERVED 0 SITEPROP TIEOFF_X25Y12 IS_TEST 0 SITEPROP TIEOFF_X25Y12 IS_USED 0 SITEPROP TIEOFF_X25Y12 MANUAL_ROUTING SITEPROP TIEOFF_X25Y12 NAME TIEOFF_X25Y12 SITEPROP TIEOFF_X25Y12 NUM_ARCS 0 SITEPROP TIEOFF_X25Y12 NUM_BELS 2 SITEPROP TIEOFF_X25Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y12 NUM_PINS 2 SITEPROP TIEOFF_X25Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y12 PROHIBIT 0 SITEPROP TIEOFF_X25Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y12 RPM_X 100 SITEPROP TIEOFF_X25Y12 RPM_Y 24 SITEPROP TIEOFF_X25Y12 SITE_PIPS SITEPROP TIEOFF_X25Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y13 CLASS site SITEPROP TIEOFF_X25Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y13 IS_BONDED 0 SITEPROP TIEOFF_X25Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y13 IS_PAD 0 SITEPROP TIEOFF_X25Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y13 IS_RESERVED 0 SITEPROP TIEOFF_X25Y13 IS_TEST 0 SITEPROP TIEOFF_X25Y13 IS_USED 0 SITEPROP TIEOFF_X25Y13 MANUAL_ROUTING SITEPROP TIEOFF_X25Y13 NAME TIEOFF_X25Y13 SITEPROP TIEOFF_X25Y13 NUM_ARCS 0 SITEPROP TIEOFF_X25Y13 NUM_BELS 2 SITEPROP TIEOFF_X25Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y13 NUM_PINS 2 SITEPROP TIEOFF_X25Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y13 PROHIBIT 0 SITEPROP TIEOFF_X25Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y13 RPM_X 100 SITEPROP TIEOFF_X25Y13 RPM_Y 26 SITEPROP TIEOFF_X25Y13 SITE_PIPS SITEPROP TIEOFF_X25Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y14 CLASS site SITEPROP TIEOFF_X25Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y14 IS_BONDED 0 SITEPROP TIEOFF_X25Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y14 IS_PAD 0 SITEPROP TIEOFF_X25Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y14 IS_RESERVED 0 SITEPROP TIEOFF_X25Y14 IS_TEST 0 SITEPROP TIEOFF_X25Y14 IS_USED 0 SITEPROP TIEOFF_X25Y14 MANUAL_ROUTING SITEPROP TIEOFF_X25Y14 NAME TIEOFF_X25Y14 SITEPROP TIEOFF_X25Y14 NUM_ARCS 0 SITEPROP TIEOFF_X25Y14 NUM_BELS 2 SITEPROP TIEOFF_X25Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y14 NUM_PINS 2 SITEPROP TIEOFF_X25Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y14 PROHIBIT 0 SITEPROP TIEOFF_X25Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y14 RPM_X 100 SITEPROP TIEOFF_X25Y14 RPM_Y 28 SITEPROP TIEOFF_X25Y14 SITE_PIPS SITEPROP TIEOFF_X25Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y15 CLASS site SITEPROP TIEOFF_X25Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y15 IS_BONDED 0 SITEPROP TIEOFF_X25Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y15 IS_PAD 0 SITEPROP TIEOFF_X25Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y15 IS_RESERVED 0 SITEPROP TIEOFF_X25Y15 IS_TEST 0 SITEPROP TIEOFF_X25Y15 IS_USED 0 SITEPROP TIEOFF_X25Y15 MANUAL_ROUTING SITEPROP TIEOFF_X25Y15 NAME TIEOFF_X25Y15 SITEPROP TIEOFF_X25Y15 NUM_ARCS 0 SITEPROP TIEOFF_X25Y15 NUM_BELS 2 SITEPROP TIEOFF_X25Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y15 NUM_PINS 2 SITEPROP TIEOFF_X25Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y15 PROHIBIT 0 SITEPROP TIEOFF_X25Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y15 RPM_X 100 SITEPROP TIEOFF_X25Y15 RPM_Y 30 SITEPROP TIEOFF_X25Y15 SITE_PIPS SITEPROP TIEOFF_X25Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y16 CLASS site SITEPROP TIEOFF_X25Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y16 IS_BONDED 0 SITEPROP TIEOFF_X25Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y16 IS_PAD 0 SITEPROP TIEOFF_X25Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y16 IS_RESERVED 0 SITEPROP TIEOFF_X25Y16 IS_TEST 0 SITEPROP TIEOFF_X25Y16 IS_USED 0 SITEPROP TIEOFF_X25Y16 MANUAL_ROUTING SITEPROP TIEOFF_X25Y16 NAME TIEOFF_X25Y16 SITEPROP TIEOFF_X25Y16 NUM_ARCS 0 SITEPROP TIEOFF_X25Y16 NUM_BELS 2 SITEPROP TIEOFF_X25Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y16 NUM_PINS 2 SITEPROP TIEOFF_X25Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y16 PROHIBIT 0 SITEPROP TIEOFF_X25Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y16 RPM_X 100 SITEPROP TIEOFF_X25Y16 RPM_Y 32 SITEPROP TIEOFF_X25Y16 SITE_PIPS SITEPROP TIEOFF_X25Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y17 CLASS site SITEPROP TIEOFF_X25Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y17 IS_BONDED 0 SITEPROP TIEOFF_X25Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y17 IS_PAD 0 SITEPROP TIEOFF_X25Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y17 IS_RESERVED 0 SITEPROP TIEOFF_X25Y17 IS_TEST 0 SITEPROP TIEOFF_X25Y17 IS_USED 0 SITEPROP TIEOFF_X25Y17 MANUAL_ROUTING SITEPROP TIEOFF_X25Y17 NAME TIEOFF_X25Y17 SITEPROP TIEOFF_X25Y17 NUM_ARCS 0 SITEPROP TIEOFF_X25Y17 NUM_BELS 2 SITEPROP TIEOFF_X25Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y17 NUM_PINS 2 SITEPROP TIEOFF_X25Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y17 PROHIBIT 0 SITEPROP TIEOFF_X25Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y17 RPM_X 100 SITEPROP TIEOFF_X25Y17 RPM_Y 34 SITEPROP TIEOFF_X25Y17 SITE_PIPS SITEPROP TIEOFF_X25Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y18 CLASS site SITEPROP TIEOFF_X25Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y18 IS_BONDED 0 SITEPROP TIEOFF_X25Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y18 IS_PAD 0 SITEPROP TIEOFF_X25Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y18 IS_RESERVED 0 SITEPROP TIEOFF_X25Y18 IS_TEST 0 SITEPROP TIEOFF_X25Y18 IS_USED 0 SITEPROP TIEOFF_X25Y18 MANUAL_ROUTING SITEPROP TIEOFF_X25Y18 NAME TIEOFF_X25Y18 SITEPROP TIEOFF_X25Y18 NUM_ARCS 0 SITEPROP TIEOFF_X25Y18 NUM_BELS 2 SITEPROP TIEOFF_X25Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y18 NUM_PINS 2 SITEPROP TIEOFF_X25Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y18 PROHIBIT 0 SITEPROP TIEOFF_X25Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y18 RPM_X 100 SITEPROP TIEOFF_X25Y18 RPM_Y 36 SITEPROP TIEOFF_X25Y18 SITE_PIPS SITEPROP TIEOFF_X25Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y19 CLASS site SITEPROP TIEOFF_X25Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y19 IS_BONDED 0 SITEPROP TIEOFF_X25Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y19 IS_PAD 0 SITEPROP TIEOFF_X25Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y19 IS_RESERVED 0 SITEPROP TIEOFF_X25Y19 IS_TEST 0 SITEPROP TIEOFF_X25Y19 IS_USED 0 SITEPROP TIEOFF_X25Y19 MANUAL_ROUTING SITEPROP TIEOFF_X25Y19 NAME TIEOFF_X25Y19 SITEPROP TIEOFF_X25Y19 NUM_ARCS 0 SITEPROP TIEOFF_X25Y19 NUM_BELS 2 SITEPROP TIEOFF_X25Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y19 NUM_PINS 2 SITEPROP TIEOFF_X25Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y19 PROHIBIT 0 SITEPROP TIEOFF_X25Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y19 RPM_X 100 SITEPROP TIEOFF_X25Y19 RPM_Y 38 SITEPROP TIEOFF_X25Y19 SITE_PIPS SITEPROP TIEOFF_X25Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y20 CLASS site SITEPROP TIEOFF_X25Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y20 IS_BONDED 0 SITEPROP TIEOFF_X25Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y20 IS_PAD 0 SITEPROP TIEOFF_X25Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y20 IS_RESERVED 0 SITEPROP TIEOFF_X25Y20 IS_TEST 0 SITEPROP TIEOFF_X25Y20 IS_USED 0 SITEPROP TIEOFF_X25Y20 MANUAL_ROUTING SITEPROP TIEOFF_X25Y20 NAME TIEOFF_X25Y20 SITEPROP TIEOFF_X25Y20 NUM_ARCS 0 SITEPROP TIEOFF_X25Y20 NUM_BELS 2 SITEPROP TIEOFF_X25Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y20 NUM_PINS 2 SITEPROP TIEOFF_X25Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y20 PROHIBIT 0 SITEPROP TIEOFF_X25Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y20 RPM_X 100 SITEPROP TIEOFF_X25Y20 RPM_Y 40 SITEPROP TIEOFF_X25Y20 SITE_PIPS SITEPROP TIEOFF_X25Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y21 CLASS site SITEPROP TIEOFF_X25Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y21 IS_BONDED 0 SITEPROP TIEOFF_X25Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y21 IS_PAD 0 SITEPROP TIEOFF_X25Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y21 IS_RESERVED 0 SITEPROP TIEOFF_X25Y21 IS_TEST 0 SITEPROP TIEOFF_X25Y21 IS_USED 0 SITEPROP TIEOFF_X25Y21 MANUAL_ROUTING SITEPROP TIEOFF_X25Y21 NAME TIEOFF_X25Y21 SITEPROP TIEOFF_X25Y21 NUM_ARCS 0 SITEPROP TIEOFF_X25Y21 NUM_BELS 2 SITEPROP TIEOFF_X25Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y21 NUM_PINS 2 SITEPROP TIEOFF_X25Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y21 PROHIBIT 0 SITEPROP TIEOFF_X25Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y21 RPM_X 100 SITEPROP TIEOFF_X25Y21 RPM_Y 42 SITEPROP TIEOFF_X25Y21 SITE_PIPS SITEPROP TIEOFF_X25Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y22 CLASS site SITEPROP TIEOFF_X25Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y22 IS_BONDED 0 SITEPROP TIEOFF_X25Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y22 IS_PAD 0 SITEPROP TIEOFF_X25Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y22 IS_RESERVED 0 SITEPROP TIEOFF_X25Y22 IS_TEST 0 SITEPROP TIEOFF_X25Y22 IS_USED 0 SITEPROP TIEOFF_X25Y22 MANUAL_ROUTING SITEPROP TIEOFF_X25Y22 NAME TIEOFF_X25Y22 SITEPROP TIEOFF_X25Y22 NUM_ARCS 0 SITEPROP TIEOFF_X25Y22 NUM_BELS 2 SITEPROP TIEOFF_X25Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y22 NUM_PINS 2 SITEPROP TIEOFF_X25Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y22 PROHIBIT 0 SITEPROP TIEOFF_X25Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y22 RPM_X 100 SITEPROP TIEOFF_X25Y22 RPM_Y 44 SITEPROP TIEOFF_X25Y22 SITE_PIPS SITEPROP TIEOFF_X25Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y23 CLASS site SITEPROP TIEOFF_X25Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y23 IS_BONDED 0 SITEPROP TIEOFF_X25Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y23 IS_PAD 0 SITEPROP TIEOFF_X25Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y23 IS_RESERVED 0 SITEPROP TIEOFF_X25Y23 IS_TEST 0 SITEPROP TIEOFF_X25Y23 IS_USED 0 SITEPROP TIEOFF_X25Y23 MANUAL_ROUTING SITEPROP TIEOFF_X25Y23 NAME TIEOFF_X25Y23 SITEPROP TIEOFF_X25Y23 NUM_ARCS 0 SITEPROP TIEOFF_X25Y23 NUM_BELS 2 SITEPROP TIEOFF_X25Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y23 NUM_PINS 2 SITEPROP TIEOFF_X25Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y23 PROHIBIT 0 SITEPROP TIEOFF_X25Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y23 RPM_X 100 SITEPROP TIEOFF_X25Y23 RPM_Y 46 SITEPROP TIEOFF_X25Y23 SITE_PIPS SITEPROP TIEOFF_X25Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y24 CLASS site SITEPROP TIEOFF_X25Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y24 IS_BONDED 0 SITEPROP TIEOFF_X25Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y24 IS_PAD 0 SITEPROP TIEOFF_X25Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y24 IS_RESERVED 0 SITEPROP TIEOFF_X25Y24 IS_TEST 0 SITEPROP TIEOFF_X25Y24 IS_USED 0 SITEPROP TIEOFF_X25Y24 MANUAL_ROUTING SITEPROP TIEOFF_X25Y24 NAME TIEOFF_X25Y24 SITEPROP TIEOFF_X25Y24 NUM_ARCS 0 SITEPROP TIEOFF_X25Y24 NUM_BELS 2 SITEPROP TIEOFF_X25Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y24 NUM_PINS 2 SITEPROP TIEOFF_X25Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y24 PROHIBIT 0 SITEPROP TIEOFF_X25Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y24 RPM_X 100 SITEPROP TIEOFF_X25Y24 RPM_Y 48 SITEPROP TIEOFF_X25Y24 SITE_PIPS SITEPROP TIEOFF_X25Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y25 CLASS site SITEPROP TIEOFF_X25Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y25 IS_BONDED 0 SITEPROP TIEOFF_X25Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y25 IS_PAD 0 SITEPROP TIEOFF_X25Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y25 IS_RESERVED 0 SITEPROP TIEOFF_X25Y25 IS_TEST 0 SITEPROP TIEOFF_X25Y25 IS_USED 0 SITEPROP TIEOFF_X25Y25 MANUAL_ROUTING SITEPROP TIEOFF_X25Y25 NAME TIEOFF_X25Y25 SITEPROP TIEOFF_X25Y25 NUM_ARCS 0 SITEPROP TIEOFF_X25Y25 NUM_BELS 2 SITEPROP TIEOFF_X25Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y25 NUM_PINS 2 SITEPROP TIEOFF_X25Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y25 PROHIBIT 0 SITEPROP TIEOFF_X25Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y25 RPM_X 100 SITEPROP TIEOFF_X25Y25 RPM_Y 50 SITEPROP TIEOFF_X25Y25 SITE_PIPS SITEPROP TIEOFF_X25Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y26 CLASS site SITEPROP TIEOFF_X25Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y26 IS_BONDED 0 SITEPROP TIEOFF_X25Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y26 IS_PAD 0 SITEPROP TIEOFF_X25Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y26 IS_RESERVED 0 SITEPROP TIEOFF_X25Y26 IS_TEST 0 SITEPROP TIEOFF_X25Y26 IS_USED 0 SITEPROP TIEOFF_X25Y26 MANUAL_ROUTING SITEPROP TIEOFF_X25Y26 NAME TIEOFF_X25Y26 SITEPROP TIEOFF_X25Y26 NUM_ARCS 0 SITEPROP TIEOFF_X25Y26 NUM_BELS 2 SITEPROP TIEOFF_X25Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y26 NUM_PINS 2 SITEPROP TIEOFF_X25Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y26 PROHIBIT 0 SITEPROP TIEOFF_X25Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y26 RPM_X 100 SITEPROP TIEOFF_X25Y26 RPM_Y 52 SITEPROP TIEOFF_X25Y26 SITE_PIPS SITEPROP TIEOFF_X25Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y27 CLASS site SITEPROP TIEOFF_X25Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y27 IS_BONDED 0 SITEPROP TIEOFF_X25Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y27 IS_PAD 0 SITEPROP TIEOFF_X25Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y27 IS_RESERVED 0 SITEPROP TIEOFF_X25Y27 IS_TEST 0 SITEPROP TIEOFF_X25Y27 IS_USED 0 SITEPROP TIEOFF_X25Y27 MANUAL_ROUTING SITEPROP TIEOFF_X25Y27 NAME TIEOFF_X25Y27 SITEPROP TIEOFF_X25Y27 NUM_ARCS 0 SITEPROP TIEOFF_X25Y27 NUM_BELS 2 SITEPROP TIEOFF_X25Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y27 NUM_PINS 2 SITEPROP TIEOFF_X25Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y27 PROHIBIT 0 SITEPROP TIEOFF_X25Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y27 RPM_X 100 SITEPROP TIEOFF_X25Y27 RPM_Y 54 SITEPROP TIEOFF_X25Y27 SITE_PIPS SITEPROP TIEOFF_X25Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y28 CLASS site SITEPROP TIEOFF_X25Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y28 IS_BONDED 0 SITEPROP TIEOFF_X25Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y28 IS_PAD 0 SITEPROP TIEOFF_X25Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y28 IS_RESERVED 0 SITEPROP TIEOFF_X25Y28 IS_TEST 0 SITEPROP TIEOFF_X25Y28 IS_USED 0 SITEPROP TIEOFF_X25Y28 MANUAL_ROUTING SITEPROP TIEOFF_X25Y28 NAME TIEOFF_X25Y28 SITEPROP TIEOFF_X25Y28 NUM_ARCS 0 SITEPROP TIEOFF_X25Y28 NUM_BELS 2 SITEPROP TIEOFF_X25Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y28 NUM_PINS 2 SITEPROP TIEOFF_X25Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y28 PROHIBIT 0 SITEPROP TIEOFF_X25Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y28 RPM_X 100 SITEPROP TIEOFF_X25Y28 RPM_Y 56 SITEPROP TIEOFF_X25Y28 SITE_PIPS SITEPROP TIEOFF_X25Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y29 CLASS site SITEPROP TIEOFF_X25Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y29 IS_BONDED 0 SITEPROP TIEOFF_X25Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y29 IS_PAD 0 SITEPROP TIEOFF_X25Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y29 IS_RESERVED 0 SITEPROP TIEOFF_X25Y29 IS_TEST 0 SITEPROP TIEOFF_X25Y29 IS_USED 0 SITEPROP TIEOFF_X25Y29 MANUAL_ROUTING SITEPROP TIEOFF_X25Y29 NAME TIEOFF_X25Y29 SITEPROP TIEOFF_X25Y29 NUM_ARCS 0 SITEPROP TIEOFF_X25Y29 NUM_BELS 2 SITEPROP TIEOFF_X25Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y29 NUM_PINS 2 SITEPROP TIEOFF_X25Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y29 PROHIBIT 0 SITEPROP TIEOFF_X25Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y29 RPM_X 100 SITEPROP TIEOFF_X25Y29 RPM_Y 58 SITEPROP TIEOFF_X25Y29 SITE_PIPS SITEPROP TIEOFF_X25Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y30 CLASS site SITEPROP TIEOFF_X25Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y30 IS_BONDED 0 SITEPROP TIEOFF_X25Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y30 IS_PAD 0 SITEPROP TIEOFF_X25Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y30 IS_RESERVED 0 SITEPROP TIEOFF_X25Y30 IS_TEST 0 SITEPROP TIEOFF_X25Y30 IS_USED 0 SITEPROP TIEOFF_X25Y30 MANUAL_ROUTING SITEPROP TIEOFF_X25Y30 NAME TIEOFF_X25Y30 SITEPROP TIEOFF_X25Y30 NUM_ARCS 0 SITEPROP TIEOFF_X25Y30 NUM_BELS 2 SITEPROP TIEOFF_X25Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y30 NUM_PINS 2 SITEPROP TIEOFF_X25Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y30 PROHIBIT 0 SITEPROP TIEOFF_X25Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y30 RPM_X 100 SITEPROP TIEOFF_X25Y30 RPM_Y 60 SITEPROP TIEOFF_X25Y30 SITE_PIPS SITEPROP TIEOFF_X25Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y31 CLASS site SITEPROP TIEOFF_X25Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y31 IS_BONDED 0 SITEPROP TIEOFF_X25Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y31 IS_PAD 0 SITEPROP TIEOFF_X25Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y31 IS_RESERVED 0 SITEPROP TIEOFF_X25Y31 IS_TEST 0 SITEPROP TIEOFF_X25Y31 IS_USED 0 SITEPROP TIEOFF_X25Y31 MANUAL_ROUTING SITEPROP TIEOFF_X25Y31 NAME TIEOFF_X25Y31 SITEPROP TIEOFF_X25Y31 NUM_ARCS 0 SITEPROP TIEOFF_X25Y31 NUM_BELS 2 SITEPROP TIEOFF_X25Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y31 NUM_PINS 2 SITEPROP TIEOFF_X25Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y31 PROHIBIT 0 SITEPROP TIEOFF_X25Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y31 RPM_X 100 SITEPROP TIEOFF_X25Y31 RPM_Y 62 SITEPROP TIEOFF_X25Y31 SITE_PIPS SITEPROP TIEOFF_X25Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y32 CLASS site SITEPROP TIEOFF_X25Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y32 IS_BONDED 0 SITEPROP TIEOFF_X25Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y32 IS_PAD 0 SITEPROP TIEOFF_X25Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y32 IS_RESERVED 0 SITEPROP TIEOFF_X25Y32 IS_TEST 0 SITEPROP TIEOFF_X25Y32 IS_USED 0 SITEPROP TIEOFF_X25Y32 MANUAL_ROUTING SITEPROP TIEOFF_X25Y32 NAME TIEOFF_X25Y32 SITEPROP TIEOFF_X25Y32 NUM_ARCS 0 SITEPROP TIEOFF_X25Y32 NUM_BELS 2 SITEPROP TIEOFF_X25Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y32 NUM_PINS 2 SITEPROP TIEOFF_X25Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y32 PROHIBIT 0 SITEPROP TIEOFF_X25Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y32 RPM_X 100 SITEPROP TIEOFF_X25Y32 RPM_Y 64 SITEPROP TIEOFF_X25Y32 SITE_PIPS SITEPROP TIEOFF_X25Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y33 CLASS site SITEPROP TIEOFF_X25Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y33 IS_BONDED 0 SITEPROP TIEOFF_X25Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y33 IS_PAD 0 SITEPROP TIEOFF_X25Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y33 IS_RESERVED 0 SITEPROP TIEOFF_X25Y33 IS_TEST 0 SITEPROP TIEOFF_X25Y33 IS_USED 0 SITEPROP TIEOFF_X25Y33 MANUAL_ROUTING SITEPROP TIEOFF_X25Y33 NAME TIEOFF_X25Y33 SITEPROP TIEOFF_X25Y33 NUM_ARCS 0 SITEPROP TIEOFF_X25Y33 NUM_BELS 2 SITEPROP TIEOFF_X25Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y33 NUM_PINS 2 SITEPROP TIEOFF_X25Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y33 PROHIBIT 0 SITEPROP TIEOFF_X25Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y33 RPM_X 100 SITEPROP TIEOFF_X25Y33 RPM_Y 66 SITEPROP TIEOFF_X25Y33 SITE_PIPS SITEPROP TIEOFF_X25Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y34 CLASS site SITEPROP TIEOFF_X25Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y34 IS_BONDED 0 SITEPROP TIEOFF_X25Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y34 IS_PAD 0 SITEPROP TIEOFF_X25Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y34 IS_RESERVED 0 SITEPROP TIEOFF_X25Y34 IS_TEST 0 SITEPROP TIEOFF_X25Y34 IS_USED 0 SITEPROP TIEOFF_X25Y34 MANUAL_ROUTING SITEPROP TIEOFF_X25Y34 NAME TIEOFF_X25Y34 SITEPROP TIEOFF_X25Y34 NUM_ARCS 0 SITEPROP TIEOFF_X25Y34 NUM_BELS 2 SITEPROP TIEOFF_X25Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y34 NUM_PINS 2 SITEPROP TIEOFF_X25Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y34 PROHIBIT 0 SITEPROP TIEOFF_X25Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y34 RPM_X 100 SITEPROP TIEOFF_X25Y34 RPM_Y 68 SITEPROP TIEOFF_X25Y34 SITE_PIPS SITEPROP TIEOFF_X25Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y35 CLASS site SITEPROP TIEOFF_X25Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y35 IS_BONDED 0 SITEPROP TIEOFF_X25Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y35 IS_PAD 0 SITEPROP TIEOFF_X25Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y35 IS_RESERVED 0 SITEPROP TIEOFF_X25Y35 IS_TEST 0 SITEPROP TIEOFF_X25Y35 IS_USED 0 SITEPROP TIEOFF_X25Y35 MANUAL_ROUTING SITEPROP TIEOFF_X25Y35 NAME TIEOFF_X25Y35 SITEPROP TIEOFF_X25Y35 NUM_ARCS 0 SITEPROP TIEOFF_X25Y35 NUM_BELS 2 SITEPROP TIEOFF_X25Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y35 NUM_PINS 2 SITEPROP TIEOFF_X25Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y35 PROHIBIT 0 SITEPROP TIEOFF_X25Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y35 RPM_X 100 SITEPROP TIEOFF_X25Y35 RPM_Y 70 SITEPROP TIEOFF_X25Y35 SITE_PIPS SITEPROP TIEOFF_X25Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y36 CLASS site SITEPROP TIEOFF_X25Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y36 IS_BONDED 0 SITEPROP TIEOFF_X25Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y36 IS_PAD 0 SITEPROP TIEOFF_X25Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y36 IS_RESERVED 0 SITEPROP TIEOFF_X25Y36 IS_TEST 0 SITEPROP TIEOFF_X25Y36 IS_USED 0 SITEPROP TIEOFF_X25Y36 MANUAL_ROUTING SITEPROP TIEOFF_X25Y36 NAME TIEOFF_X25Y36 SITEPROP TIEOFF_X25Y36 NUM_ARCS 0 SITEPROP TIEOFF_X25Y36 NUM_BELS 2 SITEPROP TIEOFF_X25Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y36 NUM_PINS 2 SITEPROP TIEOFF_X25Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y36 PROHIBIT 0 SITEPROP TIEOFF_X25Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y36 RPM_X 100 SITEPROP TIEOFF_X25Y36 RPM_Y 72 SITEPROP TIEOFF_X25Y36 SITE_PIPS SITEPROP TIEOFF_X25Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y37 CLASS site SITEPROP TIEOFF_X25Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y37 IS_BONDED 0 SITEPROP TIEOFF_X25Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y37 IS_PAD 0 SITEPROP TIEOFF_X25Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y37 IS_RESERVED 0 SITEPROP TIEOFF_X25Y37 IS_TEST 0 SITEPROP TIEOFF_X25Y37 IS_USED 0 SITEPROP TIEOFF_X25Y37 MANUAL_ROUTING SITEPROP TIEOFF_X25Y37 NAME TIEOFF_X25Y37 SITEPROP TIEOFF_X25Y37 NUM_ARCS 0 SITEPROP TIEOFF_X25Y37 NUM_BELS 2 SITEPROP TIEOFF_X25Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y37 NUM_PINS 2 SITEPROP TIEOFF_X25Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y37 PROHIBIT 0 SITEPROP TIEOFF_X25Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y37 RPM_X 100 SITEPROP TIEOFF_X25Y37 RPM_Y 74 SITEPROP TIEOFF_X25Y37 SITE_PIPS SITEPROP TIEOFF_X25Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y38 CLASS site SITEPROP TIEOFF_X25Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y38 IS_BONDED 0 SITEPROP TIEOFF_X25Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y38 IS_PAD 0 SITEPROP TIEOFF_X25Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y38 IS_RESERVED 0 SITEPROP TIEOFF_X25Y38 IS_TEST 0 SITEPROP TIEOFF_X25Y38 IS_USED 0 SITEPROP TIEOFF_X25Y38 MANUAL_ROUTING SITEPROP TIEOFF_X25Y38 NAME TIEOFF_X25Y38 SITEPROP TIEOFF_X25Y38 NUM_ARCS 0 SITEPROP TIEOFF_X25Y38 NUM_BELS 2 SITEPROP TIEOFF_X25Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y38 NUM_PINS 2 SITEPROP TIEOFF_X25Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y38 PROHIBIT 0 SITEPROP TIEOFF_X25Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y38 RPM_X 100 SITEPROP TIEOFF_X25Y38 RPM_Y 76 SITEPROP TIEOFF_X25Y38 SITE_PIPS SITEPROP TIEOFF_X25Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y39 CLASS site SITEPROP TIEOFF_X25Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y39 IS_BONDED 0 SITEPROP TIEOFF_X25Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y39 IS_PAD 0 SITEPROP TIEOFF_X25Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y39 IS_RESERVED 0 SITEPROP TIEOFF_X25Y39 IS_TEST 0 SITEPROP TIEOFF_X25Y39 IS_USED 0 SITEPROP TIEOFF_X25Y39 MANUAL_ROUTING SITEPROP TIEOFF_X25Y39 NAME TIEOFF_X25Y39 SITEPROP TIEOFF_X25Y39 NUM_ARCS 0 SITEPROP TIEOFF_X25Y39 NUM_BELS 2 SITEPROP TIEOFF_X25Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y39 NUM_PINS 2 SITEPROP TIEOFF_X25Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y39 PROHIBIT 0 SITEPROP TIEOFF_X25Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y39 RPM_X 100 SITEPROP TIEOFF_X25Y39 RPM_Y 78 SITEPROP TIEOFF_X25Y39 SITE_PIPS SITEPROP TIEOFF_X25Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y40 CLASS site SITEPROP TIEOFF_X25Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y40 IS_BONDED 0 SITEPROP TIEOFF_X25Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y40 IS_PAD 0 SITEPROP TIEOFF_X25Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y40 IS_RESERVED 0 SITEPROP TIEOFF_X25Y40 IS_TEST 0 SITEPROP TIEOFF_X25Y40 IS_USED 0 SITEPROP TIEOFF_X25Y40 MANUAL_ROUTING SITEPROP TIEOFF_X25Y40 NAME TIEOFF_X25Y40 SITEPROP TIEOFF_X25Y40 NUM_ARCS 0 SITEPROP TIEOFF_X25Y40 NUM_BELS 2 SITEPROP TIEOFF_X25Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y40 NUM_PINS 2 SITEPROP TIEOFF_X25Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y40 PROHIBIT 0 SITEPROP TIEOFF_X25Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y40 RPM_X 100 SITEPROP TIEOFF_X25Y40 RPM_Y 80 SITEPROP TIEOFF_X25Y40 SITE_PIPS SITEPROP TIEOFF_X25Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y41 CLASS site SITEPROP TIEOFF_X25Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y41 IS_BONDED 0 SITEPROP TIEOFF_X25Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y41 IS_PAD 0 SITEPROP TIEOFF_X25Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y41 IS_RESERVED 0 SITEPROP TIEOFF_X25Y41 IS_TEST 0 SITEPROP TIEOFF_X25Y41 IS_USED 0 SITEPROP TIEOFF_X25Y41 MANUAL_ROUTING SITEPROP TIEOFF_X25Y41 NAME TIEOFF_X25Y41 SITEPROP TIEOFF_X25Y41 NUM_ARCS 0 SITEPROP TIEOFF_X25Y41 NUM_BELS 2 SITEPROP TIEOFF_X25Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y41 NUM_PINS 2 SITEPROP TIEOFF_X25Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y41 PROHIBIT 0 SITEPROP TIEOFF_X25Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y41 RPM_X 100 SITEPROP TIEOFF_X25Y41 RPM_Y 82 SITEPROP TIEOFF_X25Y41 SITE_PIPS SITEPROP TIEOFF_X25Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y42 CLASS site SITEPROP TIEOFF_X25Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y42 IS_BONDED 0 SITEPROP TIEOFF_X25Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y42 IS_PAD 0 SITEPROP TIEOFF_X25Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y42 IS_RESERVED 0 SITEPROP TIEOFF_X25Y42 IS_TEST 0 SITEPROP TIEOFF_X25Y42 IS_USED 0 SITEPROP TIEOFF_X25Y42 MANUAL_ROUTING SITEPROP TIEOFF_X25Y42 NAME TIEOFF_X25Y42 SITEPROP TIEOFF_X25Y42 NUM_ARCS 0 SITEPROP TIEOFF_X25Y42 NUM_BELS 2 SITEPROP TIEOFF_X25Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y42 NUM_PINS 2 SITEPROP TIEOFF_X25Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y42 PROHIBIT 0 SITEPROP TIEOFF_X25Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y42 RPM_X 100 SITEPROP TIEOFF_X25Y42 RPM_Y 84 SITEPROP TIEOFF_X25Y42 SITE_PIPS SITEPROP TIEOFF_X25Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y43 CLASS site SITEPROP TIEOFF_X25Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y43 IS_BONDED 0 SITEPROP TIEOFF_X25Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y43 IS_PAD 0 SITEPROP TIEOFF_X25Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y43 IS_RESERVED 0 SITEPROP TIEOFF_X25Y43 IS_TEST 0 SITEPROP TIEOFF_X25Y43 IS_USED 0 SITEPROP TIEOFF_X25Y43 MANUAL_ROUTING SITEPROP TIEOFF_X25Y43 NAME TIEOFF_X25Y43 SITEPROP TIEOFF_X25Y43 NUM_ARCS 0 SITEPROP TIEOFF_X25Y43 NUM_BELS 2 SITEPROP TIEOFF_X25Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y43 NUM_PINS 2 SITEPROP TIEOFF_X25Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y43 PROHIBIT 0 SITEPROP TIEOFF_X25Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y43 RPM_X 100 SITEPROP TIEOFF_X25Y43 RPM_Y 86 SITEPROP TIEOFF_X25Y43 SITE_PIPS SITEPROP TIEOFF_X25Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y44 CLASS site SITEPROP TIEOFF_X25Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y44 IS_BONDED 0 SITEPROP TIEOFF_X25Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y44 IS_PAD 0 SITEPROP TIEOFF_X25Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y44 IS_RESERVED 0 SITEPROP TIEOFF_X25Y44 IS_TEST 0 SITEPROP TIEOFF_X25Y44 IS_USED 0 SITEPROP TIEOFF_X25Y44 MANUAL_ROUTING SITEPROP TIEOFF_X25Y44 NAME TIEOFF_X25Y44 SITEPROP TIEOFF_X25Y44 NUM_ARCS 0 SITEPROP TIEOFF_X25Y44 NUM_BELS 2 SITEPROP TIEOFF_X25Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y44 NUM_PINS 2 SITEPROP TIEOFF_X25Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y44 PROHIBIT 0 SITEPROP TIEOFF_X25Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y44 RPM_X 100 SITEPROP TIEOFF_X25Y44 RPM_Y 88 SITEPROP TIEOFF_X25Y44 SITE_PIPS SITEPROP TIEOFF_X25Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y45 CLASS site SITEPROP TIEOFF_X25Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y45 IS_BONDED 0 SITEPROP TIEOFF_X25Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y45 IS_PAD 0 SITEPROP TIEOFF_X25Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y45 IS_RESERVED 0 SITEPROP TIEOFF_X25Y45 IS_TEST 0 SITEPROP TIEOFF_X25Y45 IS_USED 0 SITEPROP TIEOFF_X25Y45 MANUAL_ROUTING SITEPROP TIEOFF_X25Y45 NAME TIEOFF_X25Y45 SITEPROP TIEOFF_X25Y45 NUM_ARCS 0 SITEPROP TIEOFF_X25Y45 NUM_BELS 2 SITEPROP TIEOFF_X25Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y45 NUM_PINS 2 SITEPROP TIEOFF_X25Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y45 PROHIBIT 0 SITEPROP TIEOFF_X25Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y45 RPM_X 100 SITEPROP TIEOFF_X25Y45 RPM_Y 90 SITEPROP TIEOFF_X25Y45 SITE_PIPS SITEPROP TIEOFF_X25Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y46 CLASS site SITEPROP TIEOFF_X25Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y46 IS_BONDED 0 SITEPROP TIEOFF_X25Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y46 IS_PAD 0 SITEPROP TIEOFF_X25Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y46 IS_RESERVED 0 SITEPROP TIEOFF_X25Y46 IS_TEST 0 SITEPROP TIEOFF_X25Y46 IS_USED 0 SITEPROP TIEOFF_X25Y46 MANUAL_ROUTING SITEPROP TIEOFF_X25Y46 NAME TIEOFF_X25Y46 SITEPROP TIEOFF_X25Y46 NUM_ARCS 0 SITEPROP TIEOFF_X25Y46 NUM_BELS 2 SITEPROP TIEOFF_X25Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y46 NUM_PINS 2 SITEPROP TIEOFF_X25Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y46 PROHIBIT 0 SITEPROP TIEOFF_X25Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y46 RPM_X 100 SITEPROP TIEOFF_X25Y46 RPM_Y 92 SITEPROP TIEOFF_X25Y46 SITE_PIPS SITEPROP TIEOFF_X25Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y47 CLASS site SITEPROP TIEOFF_X25Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y47 IS_BONDED 0 SITEPROP TIEOFF_X25Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y47 IS_PAD 0 SITEPROP TIEOFF_X25Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y47 IS_RESERVED 0 SITEPROP TIEOFF_X25Y47 IS_TEST 0 SITEPROP TIEOFF_X25Y47 IS_USED 0 SITEPROP TIEOFF_X25Y47 MANUAL_ROUTING SITEPROP TIEOFF_X25Y47 NAME TIEOFF_X25Y47 SITEPROP TIEOFF_X25Y47 NUM_ARCS 0 SITEPROP TIEOFF_X25Y47 NUM_BELS 2 SITEPROP TIEOFF_X25Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y47 NUM_PINS 2 SITEPROP TIEOFF_X25Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y47 PROHIBIT 0 SITEPROP TIEOFF_X25Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y47 RPM_X 100 SITEPROP TIEOFF_X25Y47 RPM_Y 94 SITEPROP TIEOFF_X25Y47 SITE_PIPS SITEPROP TIEOFF_X25Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y48 CLASS site SITEPROP TIEOFF_X25Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y48 IS_BONDED 0 SITEPROP TIEOFF_X25Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y48 IS_PAD 0 SITEPROP TIEOFF_X25Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y48 IS_RESERVED 0 SITEPROP TIEOFF_X25Y48 IS_TEST 0 SITEPROP TIEOFF_X25Y48 IS_USED 0 SITEPROP TIEOFF_X25Y48 MANUAL_ROUTING SITEPROP TIEOFF_X25Y48 NAME TIEOFF_X25Y48 SITEPROP TIEOFF_X25Y48 NUM_ARCS 0 SITEPROP TIEOFF_X25Y48 NUM_BELS 2 SITEPROP TIEOFF_X25Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y48 NUM_PINS 2 SITEPROP TIEOFF_X25Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y48 PROHIBIT 0 SITEPROP TIEOFF_X25Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y48 RPM_X 100 SITEPROP TIEOFF_X25Y48 RPM_Y 96 SITEPROP TIEOFF_X25Y48 SITE_PIPS SITEPROP TIEOFF_X25Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y49 CLASS site SITEPROP TIEOFF_X25Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X25Y49 IS_BONDED 0 SITEPROP TIEOFF_X25Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y49 IS_PAD 0 SITEPROP TIEOFF_X25Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y49 IS_RESERVED 0 SITEPROP TIEOFF_X25Y49 IS_TEST 0 SITEPROP TIEOFF_X25Y49 IS_USED 0 SITEPROP TIEOFF_X25Y49 MANUAL_ROUTING SITEPROP TIEOFF_X25Y49 NAME TIEOFF_X25Y49 SITEPROP TIEOFF_X25Y49 NUM_ARCS 0 SITEPROP TIEOFF_X25Y49 NUM_BELS 2 SITEPROP TIEOFF_X25Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y49 NUM_PINS 2 SITEPROP TIEOFF_X25Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y49 PROHIBIT 0 SITEPROP TIEOFF_X25Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y49 RPM_X 100 SITEPROP TIEOFF_X25Y49 RPM_Y 98 SITEPROP TIEOFF_X25Y49 SITE_PIPS SITEPROP TIEOFF_X25Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y50 CLASS site SITEPROP TIEOFF_X25Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y50 IS_BONDED 0 SITEPROP TIEOFF_X25Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y50 IS_PAD 0 SITEPROP TIEOFF_X25Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y50 IS_RESERVED 0 SITEPROP TIEOFF_X25Y50 IS_TEST 0 SITEPROP TIEOFF_X25Y50 IS_USED 0 SITEPROP TIEOFF_X25Y50 MANUAL_ROUTING SITEPROP TIEOFF_X25Y50 NAME TIEOFF_X25Y50 SITEPROP TIEOFF_X25Y50 NUM_ARCS 0 SITEPROP TIEOFF_X25Y50 NUM_BELS 2 SITEPROP TIEOFF_X25Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y50 NUM_PINS 2 SITEPROP TIEOFF_X25Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y50 PROHIBIT 0 SITEPROP TIEOFF_X25Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y50 RPM_X 100 SITEPROP TIEOFF_X25Y50 RPM_Y 100 SITEPROP TIEOFF_X25Y50 SITE_PIPS SITEPROP TIEOFF_X25Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y51 CLASS site SITEPROP TIEOFF_X25Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y51 IS_BONDED 0 SITEPROP TIEOFF_X25Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y51 IS_PAD 0 SITEPROP TIEOFF_X25Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y51 IS_RESERVED 0 SITEPROP TIEOFF_X25Y51 IS_TEST 0 SITEPROP TIEOFF_X25Y51 IS_USED 0 SITEPROP TIEOFF_X25Y51 MANUAL_ROUTING SITEPROP TIEOFF_X25Y51 NAME TIEOFF_X25Y51 SITEPROP TIEOFF_X25Y51 NUM_ARCS 0 SITEPROP TIEOFF_X25Y51 NUM_BELS 2 SITEPROP TIEOFF_X25Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y51 NUM_PINS 2 SITEPROP TIEOFF_X25Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y51 PROHIBIT 0 SITEPROP TIEOFF_X25Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y51 RPM_X 100 SITEPROP TIEOFF_X25Y51 RPM_Y 102 SITEPROP TIEOFF_X25Y51 SITE_PIPS SITEPROP TIEOFF_X25Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y52 CLASS site SITEPROP TIEOFF_X25Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y52 IS_BONDED 0 SITEPROP TIEOFF_X25Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y52 IS_PAD 0 SITEPROP TIEOFF_X25Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y52 IS_RESERVED 0 SITEPROP TIEOFF_X25Y52 IS_TEST 0 SITEPROP TIEOFF_X25Y52 IS_USED 0 SITEPROP TIEOFF_X25Y52 MANUAL_ROUTING SITEPROP TIEOFF_X25Y52 NAME TIEOFF_X25Y52 SITEPROP TIEOFF_X25Y52 NUM_ARCS 0 SITEPROP TIEOFF_X25Y52 NUM_BELS 2 SITEPROP TIEOFF_X25Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y52 NUM_PINS 2 SITEPROP TIEOFF_X25Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y52 PROHIBIT 0 SITEPROP TIEOFF_X25Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y52 RPM_X 100 SITEPROP TIEOFF_X25Y52 RPM_Y 104 SITEPROP TIEOFF_X25Y52 SITE_PIPS SITEPROP TIEOFF_X25Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y53 CLASS site SITEPROP TIEOFF_X25Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y53 IS_BONDED 0 SITEPROP TIEOFF_X25Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y53 IS_PAD 0 SITEPROP TIEOFF_X25Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y53 IS_RESERVED 0 SITEPROP TIEOFF_X25Y53 IS_TEST 0 SITEPROP TIEOFF_X25Y53 IS_USED 0 SITEPROP TIEOFF_X25Y53 MANUAL_ROUTING SITEPROP TIEOFF_X25Y53 NAME TIEOFF_X25Y53 SITEPROP TIEOFF_X25Y53 NUM_ARCS 0 SITEPROP TIEOFF_X25Y53 NUM_BELS 2 SITEPROP TIEOFF_X25Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y53 NUM_PINS 2 SITEPROP TIEOFF_X25Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y53 PROHIBIT 0 SITEPROP TIEOFF_X25Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y53 RPM_X 100 SITEPROP TIEOFF_X25Y53 RPM_Y 106 SITEPROP TIEOFF_X25Y53 SITE_PIPS SITEPROP TIEOFF_X25Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y54 CLASS site SITEPROP TIEOFF_X25Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y54 IS_BONDED 0 SITEPROP TIEOFF_X25Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y54 IS_PAD 0 SITEPROP TIEOFF_X25Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y54 IS_RESERVED 0 SITEPROP TIEOFF_X25Y54 IS_TEST 0 SITEPROP TIEOFF_X25Y54 IS_USED 0 SITEPROP TIEOFF_X25Y54 MANUAL_ROUTING SITEPROP TIEOFF_X25Y54 NAME TIEOFF_X25Y54 SITEPROP TIEOFF_X25Y54 NUM_ARCS 0 SITEPROP TIEOFF_X25Y54 NUM_BELS 2 SITEPROP TIEOFF_X25Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y54 NUM_PINS 2 SITEPROP TIEOFF_X25Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y54 PROHIBIT 0 SITEPROP TIEOFF_X25Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y54 RPM_X 100 SITEPROP TIEOFF_X25Y54 RPM_Y 108 SITEPROP TIEOFF_X25Y54 SITE_PIPS SITEPROP TIEOFF_X25Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y55 CLASS site SITEPROP TIEOFF_X25Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y55 IS_BONDED 0 SITEPROP TIEOFF_X25Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y55 IS_PAD 0 SITEPROP TIEOFF_X25Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y55 IS_RESERVED 0 SITEPROP TIEOFF_X25Y55 IS_TEST 0 SITEPROP TIEOFF_X25Y55 IS_USED 0 SITEPROP TIEOFF_X25Y55 MANUAL_ROUTING SITEPROP TIEOFF_X25Y55 NAME TIEOFF_X25Y55 SITEPROP TIEOFF_X25Y55 NUM_ARCS 0 SITEPROP TIEOFF_X25Y55 NUM_BELS 2 SITEPROP TIEOFF_X25Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y55 NUM_PINS 2 SITEPROP TIEOFF_X25Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y55 PROHIBIT 0 SITEPROP TIEOFF_X25Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y55 RPM_X 100 SITEPROP TIEOFF_X25Y55 RPM_Y 110 SITEPROP TIEOFF_X25Y55 SITE_PIPS SITEPROP TIEOFF_X25Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y56 CLASS site SITEPROP TIEOFF_X25Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y56 IS_BONDED 0 SITEPROP TIEOFF_X25Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y56 IS_PAD 0 SITEPROP TIEOFF_X25Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y56 IS_RESERVED 0 SITEPROP TIEOFF_X25Y56 IS_TEST 0 SITEPROP TIEOFF_X25Y56 IS_USED 0 SITEPROP TIEOFF_X25Y56 MANUAL_ROUTING SITEPROP TIEOFF_X25Y56 NAME TIEOFF_X25Y56 SITEPROP TIEOFF_X25Y56 NUM_ARCS 0 SITEPROP TIEOFF_X25Y56 NUM_BELS 2 SITEPROP TIEOFF_X25Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y56 NUM_PINS 2 SITEPROP TIEOFF_X25Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y56 PROHIBIT 0 SITEPROP TIEOFF_X25Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y56 RPM_X 100 SITEPROP TIEOFF_X25Y56 RPM_Y 112 SITEPROP TIEOFF_X25Y56 SITE_PIPS SITEPROP TIEOFF_X25Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y57 CLASS site SITEPROP TIEOFF_X25Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y57 IS_BONDED 0 SITEPROP TIEOFF_X25Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y57 IS_PAD 0 SITEPROP TIEOFF_X25Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y57 IS_RESERVED 0 SITEPROP TIEOFF_X25Y57 IS_TEST 0 SITEPROP TIEOFF_X25Y57 IS_USED 0 SITEPROP TIEOFF_X25Y57 MANUAL_ROUTING SITEPROP TIEOFF_X25Y57 NAME TIEOFF_X25Y57 SITEPROP TIEOFF_X25Y57 NUM_ARCS 0 SITEPROP TIEOFF_X25Y57 NUM_BELS 2 SITEPROP TIEOFF_X25Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y57 NUM_PINS 2 SITEPROP TIEOFF_X25Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y57 PROHIBIT 0 SITEPROP TIEOFF_X25Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y57 RPM_X 100 SITEPROP TIEOFF_X25Y57 RPM_Y 114 SITEPROP TIEOFF_X25Y57 SITE_PIPS SITEPROP TIEOFF_X25Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y58 CLASS site SITEPROP TIEOFF_X25Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y58 IS_BONDED 0 SITEPROP TIEOFF_X25Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y58 IS_PAD 0 SITEPROP TIEOFF_X25Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y58 IS_RESERVED 0 SITEPROP TIEOFF_X25Y58 IS_TEST 0 SITEPROP TIEOFF_X25Y58 IS_USED 0 SITEPROP TIEOFF_X25Y58 MANUAL_ROUTING SITEPROP TIEOFF_X25Y58 NAME TIEOFF_X25Y58 SITEPROP TIEOFF_X25Y58 NUM_ARCS 0 SITEPROP TIEOFF_X25Y58 NUM_BELS 2 SITEPROP TIEOFF_X25Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y58 NUM_PINS 2 SITEPROP TIEOFF_X25Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y58 PROHIBIT 0 SITEPROP TIEOFF_X25Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y58 RPM_X 100 SITEPROP TIEOFF_X25Y58 RPM_Y 116 SITEPROP TIEOFF_X25Y58 SITE_PIPS SITEPROP TIEOFF_X25Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y59 CLASS site SITEPROP TIEOFF_X25Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y59 IS_BONDED 0 SITEPROP TIEOFF_X25Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y59 IS_PAD 0 SITEPROP TIEOFF_X25Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y59 IS_RESERVED 0 SITEPROP TIEOFF_X25Y59 IS_TEST 0 SITEPROP TIEOFF_X25Y59 IS_USED 0 SITEPROP TIEOFF_X25Y59 MANUAL_ROUTING SITEPROP TIEOFF_X25Y59 NAME TIEOFF_X25Y59 SITEPROP TIEOFF_X25Y59 NUM_ARCS 0 SITEPROP TIEOFF_X25Y59 NUM_BELS 2 SITEPROP TIEOFF_X25Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y59 NUM_PINS 2 SITEPROP TIEOFF_X25Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y59 PROHIBIT 0 SITEPROP TIEOFF_X25Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y59 RPM_X 100 SITEPROP TIEOFF_X25Y59 RPM_Y 118 SITEPROP TIEOFF_X25Y59 SITE_PIPS SITEPROP TIEOFF_X25Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y60 CLASS site SITEPROP TIEOFF_X25Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y60 IS_BONDED 0 SITEPROP TIEOFF_X25Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y60 IS_PAD 0 SITEPROP TIEOFF_X25Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y60 IS_RESERVED 0 SITEPROP TIEOFF_X25Y60 IS_TEST 0 SITEPROP TIEOFF_X25Y60 IS_USED 0 SITEPROP TIEOFF_X25Y60 MANUAL_ROUTING SITEPROP TIEOFF_X25Y60 NAME TIEOFF_X25Y60 SITEPROP TIEOFF_X25Y60 NUM_ARCS 0 SITEPROP TIEOFF_X25Y60 NUM_BELS 2 SITEPROP TIEOFF_X25Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y60 NUM_PINS 2 SITEPROP TIEOFF_X25Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y60 PROHIBIT 0 SITEPROP TIEOFF_X25Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y60 RPM_X 100 SITEPROP TIEOFF_X25Y60 RPM_Y 120 SITEPROP TIEOFF_X25Y60 SITE_PIPS SITEPROP TIEOFF_X25Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y61 CLASS site SITEPROP TIEOFF_X25Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y61 IS_BONDED 0 SITEPROP TIEOFF_X25Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y61 IS_PAD 0 SITEPROP TIEOFF_X25Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y61 IS_RESERVED 0 SITEPROP TIEOFF_X25Y61 IS_TEST 0 SITEPROP TIEOFF_X25Y61 IS_USED 0 SITEPROP TIEOFF_X25Y61 MANUAL_ROUTING SITEPROP TIEOFF_X25Y61 NAME TIEOFF_X25Y61 SITEPROP TIEOFF_X25Y61 NUM_ARCS 0 SITEPROP TIEOFF_X25Y61 NUM_BELS 2 SITEPROP TIEOFF_X25Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y61 NUM_PINS 2 SITEPROP TIEOFF_X25Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y61 PROHIBIT 0 SITEPROP TIEOFF_X25Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y61 RPM_X 100 SITEPROP TIEOFF_X25Y61 RPM_Y 122 SITEPROP TIEOFF_X25Y61 SITE_PIPS SITEPROP TIEOFF_X25Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y62 CLASS site SITEPROP TIEOFF_X25Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y62 IS_BONDED 0 SITEPROP TIEOFF_X25Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y62 IS_PAD 0 SITEPROP TIEOFF_X25Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y62 IS_RESERVED 0 SITEPROP TIEOFF_X25Y62 IS_TEST 0 SITEPROP TIEOFF_X25Y62 IS_USED 0 SITEPROP TIEOFF_X25Y62 MANUAL_ROUTING SITEPROP TIEOFF_X25Y62 NAME TIEOFF_X25Y62 SITEPROP TIEOFF_X25Y62 NUM_ARCS 0 SITEPROP TIEOFF_X25Y62 NUM_BELS 2 SITEPROP TIEOFF_X25Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y62 NUM_PINS 2 SITEPROP TIEOFF_X25Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y62 PROHIBIT 0 SITEPROP TIEOFF_X25Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y62 RPM_X 100 SITEPROP TIEOFF_X25Y62 RPM_Y 124 SITEPROP TIEOFF_X25Y62 SITE_PIPS SITEPROP TIEOFF_X25Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y63 CLASS site SITEPROP TIEOFF_X25Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y63 IS_BONDED 0 SITEPROP TIEOFF_X25Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y63 IS_PAD 0 SITEPROP TIEOFF_X25Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y63 IS_RESERVED 0 SITEPROP TIEOFF_X25Y63 IS_TEST 0 SITEPROP TIEOFF_X25Y63 IS_USED 0 SITEPROP TIEOFF_X25Y63 MANUAL_ROUTING SITEPROP TIEOFF_X25Y63 NAME TIEOFF_X25Y63 SITEPROP TIEOFF_X25Y63 NUM_ARCS 0 SITEPROP TIEOFF_X25Y63 NUM_BELS 2 SITEPROP TIEOFF_X25Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y63 NUM_PINS 2 SITEPROP TIEOFF_X25Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y63 PROHIBIT 0 SITEPROP TIEOFF_X25Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y63 RPM_X 100 SITEPROP TIEOFF_X25Y63 RPM_Y 126 SITEPROP TIEOFF_X25Y63 SITE_PIPS SITEPROP TIEOFF_X25Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y64 CLASS site SITEPROP TIEOFF_X25Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y64 IS_BONDED 0 SITEPROP TIEOFF_X25Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y64 IS_PAD 0 SITEPROP TIEOFF_X25Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y64 IS_RESERVED 0 SITEPROP TIEOFF_X25Y64 IS_TEST 0 SITEPROP TIEOFF_X25Y64 IS_USED 0 SITEPROP TIEOFF_X25Y64 MANUAL_ROUTING SITEPROP TIEOFF_X25Y64 NAME TIEOFF_X25Y64 SITEPROP TIEOFF_X25Y64 NUM_ARCS 0 SITEPROP TIEOFF_X25Y64 NUM_BELS 2 SITEPROP TIEOFF_X25Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y64 NUM_PINS 2 SITEPROP TIEOFF_X25Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y64 PROHIBIT 0 SITEPROP TIEOFF_X25Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y64 RPM_X 100 SITEPROP TIEOFF_X25Y64 RPM_Y 128 SITEPROP TIEOFF_X25Y64 SITE_PIPS SITEPROP TIEOFF_X25Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y65 CLASS site SITEPROP TIEOFF_X25Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y65 IS_BONDED 0 SITEPROP TIEOFF_X25Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y65 IS_PAD 0 SITEPROP TIEOFF_X25Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y65 IS_RESERVED 0 SITEPROP TIEOFF_X25Y65 IS_TEST 0 SITEPROP TIEOFF_X25Y65 IS_USED 0 SITEPROP TIEOFF_X25Y65 MANUAL_ROUTING SITEPROP TIEOFF_X25Y65 NAME TIEOFF_X25Y65 SITEPROP TIEOFF_X25Y65 NUM_ARCS 0 SITEPROP TIEOFF_X25Y65 NUM_BELS 2 SITEPROP TIEOFF_X25Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y65 NUM_PINS 2 SITEPROP TIEOFF_X25Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y65 PROHIBIT 0 SITEPROP TIEOFF_X25Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y65 RPM_X 100 SITEPROP TIEOFF_X25Y65 RPM_Y 130 SITEPROP TIEOFF_X25Y65 SITE_PIPS SITEPROP TIEOFF_X25Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y66 CLASS site SITEPROP TIEOFF_X25Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y66 IS_BONDED 0 SITEPROP TIEOFF_X25Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y66 IS_PAD 0 SITEPROP TIEOFF_X25Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y66 IS_RESERVED 0 SITEPROP TIEOFF_X25Y66 IS_TEST 0 SITEPROP TIEOFF_X25Y66 IS_USED 0 SITEPROP TIEOFF_X25Y66 MANUAL_ROUTING SITEPROP TIEOFF_X25Y66 NAME TIEOFF_X25Y66 SITEPROP TIEOFF_X25Y66 NUM_ARCS 0 SITEPROP TIEOFF_X25Y66 NUM_BELS 2 SITEPROP TIEOFF_X25Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y66 NUM_PINS 2 SITEPROP TIEOFF_X25Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y66 PROHIBIT 0 SITEPROP TIEOFF_X25Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y66 RPM_X 100 SITEPROP TIEOFF_X25Y66 RPM_Y 132 SITEPROP TIEOFF_X25Y66 SITE_PIPS SITEPROP TIEOFF_X25Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y67 CLASS site SITEPROP TIEOFF_X25Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y67 IS_BONDED 0 SITEPROP TIEOFF_X25Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y67 IS_PAD 0 SITEPROP TIEOFF_X25Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y67 IS_RESERVED 0 SITEPROP TIEOFF_X25Y67 IS_TEST 0 SITEPROP TIEOFF_X25Y67 IS_USED 0 SITEPROP TIEOFF_X25Y67 MANUAL_ROUTING SITEPROP TIEOFF_X25Y67 NAME TIEOFF_X25Y67 SITEPROP TIEOFF_X25Y67 NUM_ARCS 0 SITEPROP TIEOFF_X25Y67 NUM_BELS 2 SITEPROP TIEOFF_X25Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y67 NUM_PINS 2 SITEPROP TIEOFF_X25Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y67 PROHIBIT 0 SITEPROP TIEOFF_X25Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y67 RPM_X 100 SITEPROP TIEOFF_X25Y67 RPM_Y 134 SITEPROP TIEOFF_X25Y67 SITE_PIPS SITEPROP TIEOFF_X25Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y68 CLASS site SITEPROP TIEOFF_X25Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y68 IS_BONDED 0 SITEPROP TIEOFF_X25Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y68 IS_PAD 0 SITEPROP TIEOFF_X25Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y68 IS_RESERVED 0 SITEPROP TIEOFF_X25Y68 IS_TEST 0 SITEPROP TIEOFF_X25Y68 IS_USED 0 SITEPROP TIEOFF_X25Y68 MANUAL_ROUTING SITEPROP TIEOFF_X25Y68 NAME TIEOFF_X25Y68 SITEPROP TIEOFF_X25Y68 NUM_ARCS 0 SITEPROP TIEOFF_X25Y68 NUM_BELS 2 SITEPROP TIEOFF_X25Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y68 NUM_PINS 2 SITEPROP TIEOFF_X25Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y68 PROHIBIT 0 SITEPROP TIEOFF_X25Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y68 RPM_X 100 SITEPROP TIEOFF_X25Y68 RPM_Y 136 SITEPROP TIEOFF_X25Y68 SITE_PIPS SITEPROP TIEOFF_X25Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y69 CLASS site SITEPROP TIEOFF_X25Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y69 IS_BONDED 0 SITEPROP TIEOFF_X25Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y69 IS_PAD 0 SITEPROP TIEOFF_X25Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y69 IS_RESERVED 0 SITEPROP TIEOFF_X25Y69 IS_TEST 0 SITEPROP TIEOFF_X25Y69 IS_USED 0 SITEPROP TIEOFF_X25Y69 MANUAL_ROUTING SITEPROP TIEOFF_X25Y69 NAME TIEOFF_X25Y69 SITEPROP TIEOFF_X25Y69 NUM_ARCS 0 SITEPROP TIEOFF_X25Y69 NUM_BELS 2 SITEPROP TIEOFF_X25Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y69 NUM_PINS 2 SITEPROP TIEOFF_X25Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y69 PROHIBIT 0 SITEPROP TIEOFF_X25Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y69 RPM_X 100 SITEPROP TIEOFF_X25Y69 RPM_Y 138 SITEPROP TIEOFF_X25Y69 SITE_PIPS SITEPROP TIEOFF_X25Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y70 CLASS site SITEPROP TIEOFF_X25Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y70 IS_BONDED 0 SITEPROP TIEOFF_X25Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y70 IS_PAD 0 SITEPROP TIEOFF_X25Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y70 IS_RESERVED 0 SITEPROP TIEOFF_X25Y70 IS_TEST 0 SITEPROP TIEOFF_X25Y70 IS_USED 0 SITEPROP TIEOFF_X25Y70 MANUAL_ROUTING SITEPROP TIEOFF_X25Y70 NAME TIEOFF_X25Y70 SITEPROP TIEOFF_X25Y70 NUM_ARCS 0 SITEPROP TIEOFF_X25Y70 NUM_BELS 2 SITEPROP TIEOFF_X25Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y70 NUM_PINS 2 SITEPROP TIEOFF_X25Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y70 PROHIBIT 0 SITEPROP TIEOFF_X25Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y70 RPM_X 100 SITEPROP TIEOFF_X25Y70 RPM_Y 140 SITEPROP TIEOFF_X25Y70 SITE_PIPS SITEPROP TIEOFF_X25Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y71 CLASS site SITEPROP TIEOFF_X25Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y71 IS_BONDED 0 SITEPROP TIEOFF_X25Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y71 IS_PAD 0 SITEPROP TIEOFF_X25Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y71 IS_RESERVED 0 SITEPROP TIEOFF_X25Y71 IS_TEST 0 SITEPROP TIEOFF_X25Y71 IS_USED 0 SITEPROP TIEOFF_X25Y71 MANUAL_ROUTING SITEPROP TIEOFF_X25Y71 NAME TIEOFF_X25Y71 SITEPROP TIEOFF_X25Y71 NUM_ARCS 0 SITEPROP TIEOFF_X25Y71 NUM_BELS 2 SITEPROP TIEOFF_X25Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y71 NUM_PINS 2 SITEPROP TIEOFF_X25Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y71 PROHIBIT 0 SITEPROP TIEOFF_X25Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y71 RPM_X 100 SITEPROP TIEOFF_X25Y71 RPM_Y 142 SITEPROP TIEOFF_X25Y71 SITE_PIPS SITEPROP TIEOFF_X25Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y72 CLASS site SITEPROP TIEOFF_X25Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y72 IS_BONDED 0 SITEPROP TIEOFF_X25Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y72 IS_PAD 0 SITEPROP TIEOFF_X25Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y72 IS_RESERVED 0 SITEPROP TIEOFF_X25Y72 IS_TEST 0 SITEPROP TIEOFF_X25Y72 IS_USED 0 SITEPROP TIEOFF_X25Y72 MANUAL_ROUTING SITEPROP TIEOFF_X25Y72 NAME TIEOFF_X25Y72 SITEPROP TIEOFF_X25Y72 NUM_ARCS 0 SITEPROP TIEOFF_X25Y72 NUM_BELS 2 SITEPROP TIEOFF_X25Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y72 NUM_PINS 2 SITEPROP TIEOFF_X25Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y72 PROHIBIT 0 SITEPROP TIEOFF_X25Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y72 RPM_X 100 SITEPROP TIEOFF_X25Y72 RPM_Y 144 SITEPROP TIEOFF_X25Y72 SITE_PIPS SITEPROP TIEOFF_X25Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y73 CLASS site SITEPROP TIEOFF_X25Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y73 IS_BONDED 0 SITEPROP TIEOFF_X25Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y73 IS_PAD 0 SITEPROP TIEOFF_X25Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y73 IS_RESERVED 0 SITEPROP TIEOFF_X25Y73 IS_TEST 0 SITEPROP TIEOFF_X25Y73 IS_USED 0 SITEPROP TIEOFF_X25Y73 MANUAL_ROUTING SITEPROP TIEOFF_X25Y73 NAME TIEOFF_X25Y73 SITEPROP TIEOFF_X25Y73 NUM_ARCS 0 SITEPROP TIEOFF_X25Y73 NUM_BELS 2 SITEPROP TIEOFF_X25Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y73 NUM_PINS 2 SITEPROP TIEOFF_X25Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y73 PROHIBIT 0 SITEPROP TIEOFF_X25Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y73 RPM_X 100 SITEPROP TIEOFF_X25Y73 RPM_Y 146 SITEPROP TIEOFF_X25Y73 SITE_PIPS SITEPROP TIEOFF_X25Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y74 CLASS site SITEPROP TIEOFF_X25Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y74 IS_BONDED 0 SITEPROP TIEOFF_X25Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y74 IS_PAD 0 SITEPROP TIEOFF_X25Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y74 IS_RESERVED 0 SITEPROP TIEOFF_X25Y74 IS_TEST 0 SITEPROP TIEOFF_X25Y74 IS_USED 0 SITEPROP TIEOFF_X25Y74 MANUAL_ROUTING SITEPROP TIEOFF_X25Y74 NAME TIEOFF_X25Y74 SITEPROP TIEOFF_X25Y74 NUM_ARCS 0 SITEPROP TIEOFF_X25Y74 NUM_BELS 2 SITEPROP TIEOFF_X25Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y74 NUM_PINS 2 SITEPROP TIEOFF_X25Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y74 PROHIBIT 0 SITEPROP TIEOFF_X25Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y74 RPM_X 100 SITEPROP TIEOFF_X25Y74 RPM_Y 148 SITEPROP TIEOFF_X25Y74 SITE_PIPS SITEPROP TIEOFF_X25Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y75 CLASS site SITEPROP TIEOFF_X25Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y75 IS_BONDED 0 SITEPROP TIEOFF_X25Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y75 IS_PAD 0 SITEPROP TIEOFF_X25Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y75 IS_RESERVED 0 SITEPROP TIEOFF_X25Y75 IS_TEST 0 SITEPROP TIEOFF_X25Y75 IS_USED 0 SITEPROP TIEOFF_X25Y75 MANUAL_ROUTING SITEPROP TIEOFF_X25Y75 NAME TIEOFF_X25Y75 SITEPROP TIEOFF_X25Y75 NUM_ARCS 0 SITEPROP TIEOFF_X25Y75 NUM_BELS 2 SITEPROP TIEOFF_X25Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y75 NUM_PINS 2 SITEPROP TIEOFF_X25Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y75 PROHIBIT 0 SITEPROP TIEOFF_X25Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y75 RPM_X 100 SITEPROP TIEOFF_X25Y75 RPM_Y 150 SITEPROP TIEOFF_X25Y75 SITE_PIPS SITEPROP TIEOFF_X25Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y76 CLASS site SITEPROP TIEOFF_X25Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y76 IS_BONDED 0 SITEPROP TIEOFF_X25Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y76 IS_PAD 0 SITEPROP TIEOFF_X25Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y76 IS_RESERVED 0 SITEPROP TIEOFF_X25Y76 IS_TEST 0 SITEPROP TIEOFF_X25Y76 IS_USED 0 SITEPROP TIEOFF_X25Y76 MANUAL_ROUTING SITEPROP TIEOFF_X25Y76 NAME TIEOFF_X25Y76 SITEPROP TIEOFF_X25Y76 NUM_ARCS 0 SITEPROP TIEOFF_X25Y76 NUM_BELS 2 SITEPROP TIEOFF_X25Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y76 NUM_PINS 2 SITEPROP TIEOFF_X25Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y76 PROHIBIT 0 SITEPROP TIEOFF_X25Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y76 RPM_X 100 SITEPROP TIEOFF_X25Y76 RPM_Y 152 SITEPROP TIEOFF_X25Y76 SITE_PIPS SITEPROP TIEOFF_X25Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y77 CLASS site SITEPROP TIEOFF_X25Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y77 IS_BONDED 0 SITEPROP TIEOFF_X25Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y77 IS_PAD 0 SITEPROP TIEOFF_X25Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y77 IS_RESERVED 0 SITEPROP TIEOFF_X25Y77 IS_TEST 0 SITEPROP TIEOFF_X25Y77 IS_USED 0 SITEPROP TIEOFF_X25Y77 MANUAL_ROUTING SITEPROP TIEOFF_X25Y77 NAME TIEOFF_X25Y77 SITEPROP TIEOFF_X25Y77 NUM_ARCS 0 SITEPROP TIEOFF_X25Y77 NUM_BELS 2 SITEPROP TIEOFF_X25Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y77 NUM_PINS 2 SITEPROP TIEOFF_X25Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y77 PROHIBIT 0 SITEPROP TIEOFF_X25Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y77 RPM_X 100 SITEPROP TIEOFF_X25Y77 RPM_Y 154 SITEPROP TIEOFF_X25Y77 SITE_PIPS SITEPROP TIEOFF_X25Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y78 CLASS site SITEPROP TIEOFF_X25Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y78 IS_BONDED 0 SITEPROP TIEOFF_X25Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y78 IS_PAD 0 SITEPROP TIEOFF_X25Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y78 IS_RESERVED 0 SITEPROP TIEOFF_X25Y78 IS_TEST 0 SITEPROP TIEOFF_X25Y78 IS_USED 0 SITEPROP TIEOFF_X25Y78 MANUAL_ROUTING SITEPROP TIEOFF_X25Y78 NAME TIEOFF_X25Y78 SITEPROP TIEOFF_X25Y78 NUM_ARCS 0 SITEPROP TIEOFF_X25Y78 NUM_BELS 2 SITEPROP TIEOFF_X25Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y78 NUM_PINS 2 SITEPROP TIEOFF_X25Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y78 PROHIBIT 0 SITEPROP TIEOFF_X25Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y78 RPM_X 100 SITEPROP TIEOFF_X25Y78 RPM_Y 156 SITEPROP TIEOFF_X25Y78 SITE_PIPS SITEPROP TIEOFF_X25Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y79 CLASS site SITEPROP TIEOFF_X25Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y79 IS_BONDED 0 SITEPROP TIEOFF_X25Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y79 IS_PAD 0 SITEPROP TIEOFF_X25Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y79 IS_RESERVED 0 SITEPROP TIEOFF_X25Y79 IS_TEST 0 SITEPROP TIEOFF_X25Y79 IS_USED 0 SITEPROP TIEOFF_X25Y79 MANUAL_ROUTING SITEPROP TIEOFF_X25Y79 NAME TIEOFF_X25Y79 SITEPROP TIEOFF_X25Y79 NUM_ARCS 0 SITEPROP TIEOFF_X25Y79 NUM_BELS 2 SITEPROP TIEOFF_X25Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y79 NUM_PINS 2 SITEPROP TIEOFF_X25Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y79 PROHIBIT 0 SITEPROP TIEOFF_X25Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y79 RPM_X 100 SITEPROP TIEOFF_X25Y79 RPM_Y 158 SITEPROP TIEOFF_X25Y79 SITE_PIPS SITEPROP TIEOFF_X25Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y80 CLASS site SITEPROP TIEOFF_X25Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y80 IS_BONDED 0 SITEPROP TIEOFF_X25Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y80 IS_PAD 0 SITEPROP TIEOFF_X25Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y80 IS_RESERVED 0 SITEPROP TIEOFF_X25Y80 IS_TEST 0 SITEPROP TIEOFF_X25Y80 IS_USED 0 SITEPROP TIEOFF_X25Y80 MANUAL_ROUTING SITEPROP TIEOFF_X25Y80 NAME TIEOFF_X25Y80 SITEPROP TIEOFF_X25Y80 NUM_ARCS 0 SITEPROP TIEOFF_X25Y80 NUM_BELS 2 SITEPROP TIEOFF_X25Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y80 NUM_PINS 2 SITEPROP TIEOFF_X25Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y80 PROHIBIT 0 SITEPROP TIEOFF_X25Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y80 RPM_X 100 SITEPROP TIEOFF_X25Y80 RPM_Y 160 SITEPROP TIEOFF_X25Y80 SITE_PIPS SITEPROP TIEOFF_X25Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y81 CLASS site SITEPROP TIEOFF_X25Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y81 IS_BONDED 0 SITEPROP TIEOFF_X25Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y81 IS_PAD 0 SITEPROP TIEOFF_X25Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y81 IS_RESERVED 0 SITEPROP TIEOFF_X25Y81 IS_TEST 0 SITEPROP TIEOFF_X25Y81 IS_USED 0 SITEPROP TIEOFF_X25Y81 MANUAL_ROUTING SITEPROP TIEOFF_X25Y81 NAME TIEOFF_X25Y81 SITEPROP TIEOFF_X25Y81 NUM_ARCS 0 SITEPROP TIEOFF_X25Y81 NUM_BELS 2 SITEPROP TIEOFF_X25Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y81 NUM_PINS 2 SITEPROP TIEOFF_X25Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y81 PROHIBIT 0 SITEPROP TIEOFF_X25Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y81 RPM_X 100 SITEPROP TIEOFF_X25Y81 RPM_Y 162 SITEPROP TIEOFF_X25Y81 SITE_PIPS SITEPROP TIEOFF_X25Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y82 CLASS site SITEPROP TIEOFF_X25Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y82 IS_BONDED 0 SITEPROP TIEOFF_X25Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y82 IS_PAD 0 SITEPROP TIEOFF_X25Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y82 IS_RESERVED 0 SITEPROP TIEOFF_X25Y82 IS_TEST 0 SITEPROP TIEOFF_X25Y82 IS_USED 0 SITEPROP TIEOFF_X25Y82 MANUAL_ROUTING SITEPROP TIEOFF_X25Y82 NAME TIEOFF_X25Y82 SITEPROP TIEOFF_X25Y82 NUM_ARCS 0 SITEPROP TIEOFF_X25Y82 NUM_BELS 2 SITEPROP TIEOFF_X25Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y82 NUM_PINS 2 SITEPROP TIEOFF_X25Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y82 PROHIBIT 0 SITEPROP TIEOFF_X25Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y82 RPM_X 100 SITEPROP TIEOFF_X25Y82 RPM_Y 164 SITEPROP TIEOFF_X25Y82 SITE_PIPS SITEPROP TIEOFF_X25Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y83 CLASS site SITEPROP TIEOFF_X25Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y83 IS_BONDED 0 SITEPROP TIEOFF_X25Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y83 IS_PAD 0 SITEPROP TIEOFF_X25Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y83 IS_RESERVED 0 SITEPROP TIEOFF_X25Y83 IS_TEST 0 SITEPROP TIEOFF_X25Y83 IS_USED 0 SITEPROP TIEOFF_X25Y83 MANUAL_ROUTING SITEPROP TIEOFF_X25Y83 NAME TIEOFF_X25Y83 SITEPROP TIEOFF_X25Y83 NUM_ARCS 0 SITEPROP TIEOFF_X25Y83 NUM_BELS 2 SITEPROP TIEOFF_X25Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y83 NUM_PINS 2 SITEPROP TIEOFF_X25Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y83 PROHIBIT 0 SITEPROP TIEOFF_X25Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y83 RPM_X 100 SITEPROP TIEOFF_X25Y83 RPM_Y 166 SITEPROP TIEOFF_X25Y83 SITE_PIPS SITEPROP TIEOFF_X25Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y84 CLASS site SITEPROP TIEOFF_X25Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y84 IS_BONDED 0 SITEPROP TIEOFF_X25Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y84 IS_PAD 0 SITEPROP TIEOFF_X25Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y84 IS_RESERVED 0 SITEPROP TIEOFF_X25Y84 IS_TEST 0 SITEPROP TIEOFF_X25Y84 IS_USED 0 SITEPROP TIEOFF_X25Y84 MANUAL_ROUTING SITEPROP TIEOFF_X25Y84 NAME TIEOFF_X25Y84 SITEPROP TIEOFF_X25Y84 NUM_ARCS 0 SITEPROP TIEOFF_X25Y84 NUM_BELS 2 SITEPROP TIEOFF_X25Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y84 NUM_PINS 2 SITEPROP TIEOFF_X25Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y84 PROHIBIT 0 SITEPROP TIEOFF_X25Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y84 RPM_X 100 SITEPROP TIEOFF_X25Y84 RPM_Y 168 SITEPROP TIEOFF_X25Y84 SITE_PIPS SITEPROP TIEOFF_X25Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y85 CLASS site SITEPROP TIEOFF_X25Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y85 IS_BONDED 0 SITEPROP TIEOFF_X25Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y85 IS_PAD 0 SITEPROP TIEOFF_X25Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y85 IS_RESERVED 0 SITEPROP TIEOFF_X25Y85 IS_TEST 0 SITEPROP TIEOFF_X25Y85 IS_USED 0 SITEPROP TIEOFF_X25Y85 MANUAL_ROUTING SITEPROP TIEOFF_X25Y85 NAME TIEOFF_X25Y85 SITEPROP TIEOFF_X25Y85 NUM_ARCS 0 SITEPROP TIEOFF_X25Y85 NUM_BELS 2 SITEPROP TIEOFF_X25Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y85 NUM_PINS 2 SITEPROP TIEOFF_X25Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y85 PROHIBIT 0 SITEPROP TIEOFF_X25Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y85 RPM_X 100 SITEPROP TIEOFF_X25Y85 RPM_Y 170 SITEPROP TIEOFF_X25Y85 SITE_PIPS SITEPROP TIEOFF_X25Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y86 CLASS site SITEPROP TIEOFF_X25Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y86 IS_BONDED 0 SITEPROP TIEOFF_X25Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y86 IS_PAD 0 SITEPROP TIEOFF_X25Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y86 IS_RESERVED 0 SITEPROP TIEOFF_X25Y86 IS_TEST 0 SITEPROP TIEOFF_X25Y86 IS_USED 0 SITEPROP TIEOFF_X25Y86 MANUAL_ROUTING SITEPROP TIEOFF_X25Y86 NAME TIEOFF_X25Y86 SITEPROP TIEOFF_X25Y86 NUM_ARCS 0 SITEPROP TIEOFF_X25Y86 NUM_BELS 2 SITEPROP TIEOFF_X25Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y86 NUM_PINS 2 SITEPROP TIEOFF_X25Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y86 PROHIBIT 0 SITEPROP TIEOFF_X25Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y86 RPM_X 100 SITEPROP TIEOFF_X25Y86 RPM_Y 172 SITEPROP TIEOFF_X25Y86 SITE_PIPS SITEPROP TIEOFF_X25Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y87 CLASS site SITEPROP TIEOFF_X25Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y87 IS_BONDED 0 SITEPROP TIEOFF_X25Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y87 IS_PAD 0 SITEPROP TIEOFF_X25Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y87 IS_RESERVED 0 SITEPROP TIEOFF_X25Y87 IS_TEST 0 SITEPROP TIEOFF_X25Y87 IS_USED 0 SITEPROP TIEOFF_X25Y87 MANUAL_ROUTING SITEPROP TIEOFF_X25Y87 NAME TIEOFF_X25Y87 SITEPROP TIEOFF_X25Y87 NUM_ARCS 0 SITEPROP TIEOFF_X25Y87 NUM_BELS 2 SITEPROP TIEOFF_X25Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y87 NUM_PINS 2 SITEPROP TIEOFF_X25Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y87 PROHIBIT 0 SITEPROP TIEOFF_X25Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y87 RPM_X 100 SITEPROP TIEOFF_X25Y87 RPM_Y 174 SITEPROP TIEOFF_X25Y87 SITE_PIPS SITEPROP TIEOFF_X25Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y88 CLASS site SITEPROP TIEOFF_X25Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y88 IS_BONDED 0 SITEPROP TIEOFF_X25Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y88 IS_PAD 0 SITEPROP TIEOFF_X25Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y88 IS_RESERVED 0 SITEPROP TIEOFF_X25Y88 IS_TEST 0 SITEPROP TIEOFF_X25Y88 IS_USED 0 SITEPROP TIEOFF_X25Y88 MANUAL_ROUTING SITEPROP TIEOFF_X25Y88 NAME TIEOFF_X25Y88 SITEPROP TIEOFF_X25Y88 NUM_ARCS 0 SITEPROP TIEOFF_X25Y88 NUM_BELS 2 SITEPROP TIEOFF_X25Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y88 NUM_PINS 2 SITEPROP TIEOFF_X25Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y88 PROHIBIT 0 SITEPROP TIEOFF_X25Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y88 RPM_X 100 SITEPROP TIEOFF_X25Y88 RPM_Y 176 SITEPROP TIEOFF_X25Y88 SITE_PIPS SITEPROP TIEOFF_X25Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y89 CLASS site SITEPROP TIEOFF_X25Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y89 IS_BONDED 0 SITEPROP TIEOFF_X25Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y89 IS_PAD 0 SITEPROP TIEOFF_X25Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y89 IS_RESERVED 0 SITEPROP TIEOFF_X25Y89 IS_TEST 0 SITEPROP TIEOFF_X25Y89 IS_USED 0 SITEPROP TIEOFF_X25Y89 MANUAL_ROUTING SITEPROP TIEOFF_X25Y89 NAME TIEOFF_X25Y89 SITEPROP TIEOFF_X25Y89 NUM_ARCS 0 SITEPROP TIEOFF_X25Y89 NUM_BELS 2 SITEPROP TIEOFF_X25Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y89 NUM_PINS 2 SITEPROP TIEOFF_X25Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y89 PROHIBIT 0 SITEPROP TIEOFF_X25Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y89 RPM_X 100 SITEPROP TIEOFF_X25Y89 RPM_Y 178 SITEPROP TIEOFF_X25Y89 SITE_PIPS SITEPROP TIEOFF_X25Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y90 CLASS site SITEPROP TIEOFF_X25Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y90 IS_BONDED 0 SITEPROP TIEOFF_X25Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y90 IS_PAD 0 SITEPROP TIEOFF_X25Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y90 IS_RESERVED 0 SITEPROP TIEOFF_X25Y90 IS_TEST 0 SITEPROP TIEOFF_X25Y90 IS_USED 0 SITEPROP TIEOFF_X25Y90 MANUAL_ROUTING SITEPROP TIEOFF_X25Y90 NAME TIEOFF_X25Y90 SITEPROP TIEOFF_X25Y90 NUM_ARCS 0 SITEPROP TIEOFF_X25Y90 NUM_BELS 2 SITEPROP TIEOFF_X25Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y90 NUM_PINS 2 SITEPROP TIEOFF_X25Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y90 PROHIBIT 0 SITEPROP TIEOFF_X25Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y90 RPM_X 100 SITEPROP TIEOFF_X25Y90 RPM_Y 180 SITEPROP TIEOFF_X25Y90 SITE_PIPS SITEPROP TIEOFF_X25Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y91 CLASS site SITEPROP TIEOFF_X25Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y91 IS_BONDED 0 SITEPROP TIEOFF_X25Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y91 IS_PAD 0 SITEPROP TIEOFF_X25Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y91 IS_RESERVED 0 SITEPROP TIEOFF_X25Y91 IS_TEST 0 SITEPROP TIEOFF_X25Y91 IS_USED 0 SITEPROP TIEOFF_X25Y91 MANUAL_ROUTING SITEPROP TIEOFF_X25Y91 NAME TIEOFF_X25Y91 SITEPROP TIEOFF_X25Y91 NUM_ARCS 0 SITEPROP TIEOFF_X25Y91 NUM_BELS 2 SITEPROP TIEOFF_X25Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y91 NUM_PINS 2 SITEPROP TIEOFF_X25Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y91 PROHIBIT 0 SITEPROP TIEOFF_X25Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y91 RPM_X 100 SITEPROP TIEOFF_X25Y91 RPM_Y 182 SITEPROP TIEOFF_X25Y91 SITE_PIPS SITEPROP TIEOFF_X25Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y92 CLASS site SITEPROP TIEOFF_X25Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y92 IS_BONDED 0 SITEPROP TIEOFF_X25Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y92 IS_PAD 0 SITEPROP TIEOFF_X25Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y92 IS_RESERVED 0 SITEPROP TIEOFF_X25Y92 IS_TEST 0 SITEPROP TIEOFF_X25Y92 IS_USED 0 SITEPROP TIEOFF_X25Y92 MANUAL_ROUTING SITEPROP TIEOFF_X25Y92 NAME TIEOFF_X25Y92 SITEPROP TIEOFF_X25Y92 NUM_ARCS 0 SITEPROP TIEOFF_X25Y92 NUM_BELS 2 SITEPROP TIEOFF_X25Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y92 NUM_PINS 2 SITEPROP TIEOFF_X25Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y92 PROHIBIT 0 SITEPROP TIEOFF_X25Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y92 RPM_X 100 SITEPROP TIEOFF_X25Y92 RPM_Y 184 SITEPROP TIEOFF_X25Y92 SITE_PIPS SITEPROP TIEOFF_X25Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y93 CLASS site SITEPROP TIEOFF_X25Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y93 IS_BONDED 0 SITEPROP TIEOFF_X25Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y93 IS_PAD 0 SITEPROP TIEOFF_X25Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y93 IS_RESERVED 0 SITEPROP TIEOFF_X25Y93 IS_TEST 0 SITEPROP TIEOFF_X25Y93 IS_USED 0 SITEPROP TIEOFF_X25Y93 MANUAL_ROUTING SITEPROP TIEOFF_X25Y93 NAME TIEOFF_X25Y93 SITEPROP TIEOFF_X25Y93 NUM_ARCS 0 SITEPROP TIEOFF_X25Y93 NUM_BELS 2 SITEPROP TIEOFF_X25Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y93 NUM_PINS 2 SITEPROP TIEOFF_X25Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y93 PROHIBIT 0 SITEPROP TIEOFF_X25Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y93 RPM_X 100 SITEPROP TIEOFF_X25Y93 RPM_Y 186 SITEPROP TIEOFF_X25Y93 SITE_PIPS SITEPROP TIEOFF_X25Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y94 CLASS site SITEPROP TIEOFF_X25Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y94 IS_BONDED 0 SITEPROP TIEOFF_X25Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y94 IS_PAD 0 SITEPROP TIEOFF_X25Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y94 IS_RESERVED 0 SITEPROP TIEOFF_X25Y94 IS_TEST 0 SITEPROP TIEOFF_X25Y94 IS_USED 0 SITEPROP TIEOFF_X25Y94 MANUAL_ROUTING SITEPROP TIEOFF_X25Y94 NAME TIEOFF_X25Y94 SITEPROP TIEOFF_X25Y94 NUM_ARCS 0 SITEPROP TIEOFF_X25Y94 NUM_BELS 2 SITEPROP TIEOFF_X25Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y94 NUM_PINS 2 SITEPROP TIEOFF_X25Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y94 PROHIBIT 0 SITEPROP TIEOFF_X25Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y94 RPM_X 100 SITEPROP TIEOFF_X25Y94 RPM_Y 188 SITEPROP TIEOFF_X25Y94 SITE_PIPS SITEPROP TIEOFF_X25Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y95 CLASS site SITEPROP TIEOFF_X25Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y95 IS_BONDED 0 SITEPROP TIEOFF_X25Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y95 IS_PAD 0 SITEPROP TIEOFF_X25Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y95 IS_RESERVED 0 SITEPROP TIEOFF_X25Y95 IS_TEST 0 SITEPROP TIEOFF_X25Y95 IS_USED 0 SITEPROP TIEOFF_X25Y95 MANUAL_ROUTING SITEPROP TIEOFF_X25Y95 NAME TIEOFF_X25Y95 SITEPROP TIEOFF_X25Y95 NUM_ARCS 0 SITEPROP TIEOFF_X25Y95 NUM_BELS 2 SITEPROP TIEOFF_X25Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y95 NUM_PINS 2 SITEPROP TIEOFF_X25Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y95 PROHIBIT 0 SITEPROP TIEOFF_X25Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y95 RPM_X 100 SITEPROP TIEOFF_X25Y95 RPM_Y 190 SITEPROP TIEOFF_X25Y95 SITE_PIPS SITEPROP TIEOFF_X25Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y96 CLASS site SITEPROP TIEOFF_X25Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y96 IS_BONDED 0 SITEPROP TIEOFF_X25Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y96 IS_PAD 0 SITEPROP TIEOFF_X25Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y96 IS_RESERVED 0 SITEPROP TIEOFF_X25Y96 IS_TEST 0 SITEPROP TIEOFF_X25Y96 IS_USED 0 SITEPROP TIEOFF_X25Y96 MANUAL_ROUTING SITEPROP TIEOFF_X25Y96 NAME TIEOFF_X25Y96 SITEPROP TIEOFF_X25Y96 NUM_ARCS 0 SITEPROP TIEOFF_X25Y96 NUM_BELS 2 SITEPROP TIEOFF_X25Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y96 NUM_PINS 2 SITEPROP TIEOFF_X25Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y96 PROHIBIT 0 SITEPROP TIEOFF_X25Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y96 RPM_X 100 SITEPROP TIEOFF_X25Y96 RPM_Y 192 SITEPROP TIEOFF_X25Y96 SITE_PIPS SITEPROP TIEOFF_X25Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y97 CLASS site SITEPROP TIEOFF_X25Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y97 IS_BONDED 0 SITEPROP TIEOFF_X25Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y97 IS_PAD 0 SITEPROP TIEOFF_X25Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y97 IS_RESERVED 0 SITEPROP TIEOFF_X25Y97 IS_TEST 0 SITEPROP TIEOFF_X25Y97 IS_USED 0 SITEPROP TIEOFF_X25Y97 MANUAL_ROUTING SITEPROP TIEOFF_X25Y97 NAME TIEOFF_X25Y97 SITEPROP TIEOFF_X25Y97 NUM_ARCS 0 SITEPROP TIEOFF_X25Y97 NUM_BELS 2 SITEPROP TIEOFF_X25Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y97 NUM_PINS 2 SITEPROP TIEOFF_X25Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y97 PROHIBIT 0 SITEPROP TIEOFF_X25Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y97 RPM_X 100 SITEPROP TIEOFF_X25Y97 RPM_Y 194 SITEPROP TIEOFF_X25Y97 SITE_PIPS SITEPROP TIEOFF_X25Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y98 CLASS site SITEPROP TIEOFF_X25Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y98 IS_BONDED 0 SITEPROP TIEOFF_X25Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y98 IS_PAD 0 SITEPROP TIEOFF_X25Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y98 IS_RESERVED 0 SITEPROP TIEOFF_X25Y98 IS_TEST 0 SITEPROP TIEOFF_X25Y98 IS_USED 0 SITEPROP TIEOFF_X25Y98 MANUAL_ROUTING SITEPROP TIEOFF_X25Y98 NAME TIEOFF_X25Y98 SITEPROP TIEOFF_X25Y98 NUM_ARCS 0 SITEPROP TIEOFF_X25Y98 NUM_BELS 2 SITEPROP TIEOFF_X25Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y98 NUM_PINS 2 SITEPROP TIEOFF_X25Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y98 PROHIBIT 0 SITEPROP TIEOFF_X25Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y98 RPM_X 100 SITEPROP TIEOFF_X25Y98 RPM_Y 196 SITEPROP TIEOFF_X25Y98 SITE_PIPS SITEPROP TIEOFF_X25Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y99 CLASS site SITEPROP TIEOFF_X25Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X25Y99 IS_BONDED 0 SITEPROP TIEOFF_X25Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y99 IS_PAD 0 SITEPROP TIEOFF_X25Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y99 IS_RESERVED 0 SITEPROP TIEOFF_X25Y99 IS_TEST 0 SITEPROP TIEOFF_X25Y99 IS_USED 0 SITEPROP TIEOFF_X25Y99 MANUAL_ROUTING SITEPROP TIEOFF_X25Y99 NAME TIEOFF_X25Y99 SITEPROP TIEOFF_X25Y99 NUM_ARCS 0 SITEPROP TIEOFF_X25Y99 NUM_BELS 2 SITEPROP TIEOFF_X25Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y99 NUM_PINS 2 SITEPROP TIEOFF_X25Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y99 PROHIBIT 0 SITEPROP TIEOFF_X25Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y99 RPM_X 100 SITEPROP TIEOFF_X25Y99 RPM_Y 198 SITEPROP TIEOFF_X25Y99 SITE_PIPS SITEPROP TIEOFF_X25Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y100 CLASS site SITEPROP TIEOFF_X25Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y100 IS_BONDED 0 SITEPROP TIEOFF_X25Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y100 IS_PAD 0 SITEPROP TIEOFF_X25Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y100 IS_RESERVED 0 SITEPROP TIEOFF_X25Y100 IS_TEST 0 SITEPROP TIEOFF_X25Y100 IS_USED 0 SITEPROP TIEOFF_X25Y100 MANUAL_ROUTING SITEPROP TIEOFF_X25Y100 NAME TIEOFF_X25Y100 SITEPROP TIEOFF_X25Y100 NUM_ARCS 0 SITEPROP TIEOFF_X25Y100 NUM_BELS 2 SITEPROP TIEOFF_X25Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y100 NUM_PINS 2 SITEPROP TIEOFF_X25Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y100 PROHIBIT 0 SITEPROP TIEOFF_X25Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y100 RPM_X 100 SITEPROP TIEOFF_X25Y100 RPM_Y 200 SITEPROP TIEOFF_X25Y100 SITE_PIPS SITEPROP TIEOFF_X25Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y101 CLASS site SITEPROP TIEOFF_X25Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y101 IS_BONDED 0 SITEPROP TIEOFF_X25Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y101 IS_PAD 0 SITEPROP TIEOFF_X25Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y101 IS_RESERVED 0 SITEPROP TIEOFF_X25Y101 IS_TEST 0 SITEPROP TIEOFF_X25Y101 IS_USED 0 SITEPROP TIEOFF_X25Y101 MANUAL_ROUTING SITEPROP TIEOFF_X25Y101 NAME TIEOFF_X25Y101 SITEPROP TIEOFF_X25Y101 NUM_ARCS 0 SITEPROP TIEOFF_X25Y101 NUM_BELS 2 SITEPROP TIEOFF_X25Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y101 NUM_PINS 2 SITEPROP TIEOFF_X25Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y101 PROHIBIT 0 SITEPROP TIEOFF_X25Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y101 RPM_X 100 SITEPROP TIEOFF_X25Y101 RPM_Y 202 SITEPROP TIEOFF_X25Y101 SITE_PIPS SITEPROP TIEOFF_X25Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y102 CLASS site SITEPROP TIEOFF_X25Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y102 IS_BONDED 0 SITEPROP TIEOFF_X25Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y102 IS_PAD 0 SITEPROP TIEOFF_X25Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y102 IS_RESERVED 0 SITEPROP TIEOFF_X25Y102 IS_TEST 0 SITEPROP TIEOFF_X25Y102 IS_USED 0 SITEPROP TIEOFF_X25Y102 MANUAL_ROUTING SITEPROP TIEOFF_X25Y102 NAME TIEOFF_X25Y102 SITEPROP TIEOFF_X25Y102 NUM_ARCS 0 SITEPROP TIEOFF_X25Y102 NUM_BELS 2 SITEPROP TIEOFF_X25Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y102 NUM_PINS 2 SITEPROP TIEOFF_X25Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y102 PROHIBIT 0 SITEPROP TIEOFF_X25Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y102 RPM_X 100 SITEPROP TIEOFF_X25Y102 RPM_Y 204 SITEPROP TIEOFF_X25Y102 SITE_PIPS SITEPROP TIEOFF_X25Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y103 CLASS site SITEPROP TIEOFF_X25Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y103 IS_BONDED 0 SITEPROP TIEOFF_X25Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y103 IS_PAD 0 SITEPROP TIEOFF_X25Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y103 IS_RESERVED 0 SITEPROP TIEOFF_X25Y103 IS_TEST 0 SITEPROP TIEOFF_X25Y103 IS_USED 0 SITEPROP TIEOFF_X25Y103 MANUAL_ROUTING SITEPROP TIEOFF_X25Y103 NAME TIEOFF_X25Y103 SITEPROP TIEOFF_X25Y103 NUM_ARCS 0 SITEPROP TIEOFF_X25Y103 NUM_BELS 2 SITEPROP TIEOFF_X25Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y103 NUM_PINS 2 SITEPROP TIEOFF_X25Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y103 PROHIBIT 0 SITEPROP TIEOFF_X25Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y103 RPM_X 100 SITEPROP TIEOFF_X25Y103 RPM_Y 206 SITEPROP TIEOFF_X25Y103 SITE_PIPS SITEPROP TIEOFF_X25Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y104 CLASS site SITEPROP TIEOFF_X25Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y104 IS_BONDED 0 SITEPROP TIEOFF_X25Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y104 IS_PAD 0 SITEPROP TIEOFF_X25Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y104 IS_RESERVED 0 SITEPROP TIEOFF_X25Y104 IS_TEST 0 SITEPROP TIEOFF_X25Y104 IS_USED 0 SITEPROP TIEOFF_X25Y104 MANUAL_ROUTING SITEPROP TIEOFF_X25Y104 NAME TIEOFF_X25Y104 SITEPROP TIEOFF_X25Y104 NUM_ARCS 0 SITEPROP TIEOFF_X25Y104 NUM_BELS 2 SITEPROP TIEOFF_X25Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y104 NUM_PINS 2 SITEPROP TIEOFF_X25Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y104 PROHIBIT 0 SITEPROP TIEOFF_X25Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y104 RPM_X 100 SITEPROP TIEOFF_X25Y104 RPM_Y 208 SITEPROP TIEOFF_X25Y104 SITE_PIPS SITEPROP TIEOFF_X25Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y105 CLASS site SITEPROP TIEOFF_X25Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y105 IS_BONDED 0 SITEPROP TIEOFF_X25Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y105 IS_PAD 0 SITEPROP TIEOFF_X25Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y105 IS_RESERVED 0 SITEPROP TIEOFF_X25Y105 IS_TEST 0 SITEPROP TIEOFF_X25Y105 IS_USED 0 SITEPROP TIEOFF_X25Y105 MANUAL_ROUTING SITEPROP TIEOFF_X25Y105 NAME TIEOFF_X25Y105 SITEPROP TIEOFF_X25Y105 NUM_ARCS 0 SITEPROP TIEOFF_X25Y105 NUM_BELS 2 SITEPROP TIEOFF_X25Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y105 NUM_PINS 2 SITEPROP TIEOFF_X25Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y105 PROHIBIT 0 SITEPROP TIEOFF_X25Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y105 RPM_X 100 SITEPROP TIEOFF_X25Y105 RPM_Y 210 SITEPROP TIEOFF_X25Y105 SITE_PIPS SITEPROP TIEOFF_X25Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y106 CLASS site SITEPROP TIEOFF_X25Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y106 IS_BONDED 0 SITEPROP TIEOFF_X25Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y106 IS_PAD 0 SITEPROP TIEOFF_X25Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y106 IS_RESERVED 0 SITEPROP TIEOFF_X25Y106 IS_TEST 0 SITEPROP TIEOFF_X25Y106 IS_USED 0 SITEPROP TIEOFF_X25Y106 MANUAL_ROUTING SITEPROP TIEOFF_X25Y106 NAME TIEOFF_X25Y106 SITEPROP TIEOFF_X25Y106 NUM_ARCS 0 SITEPROP TIEOFF_X25Y106 NUM_BELS 2 SITEPROP TIEOFF_X25Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y106 NUM_PINS 2 SITEPROP TIEOFF_X25Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y106 PROHIBIT 0 SITEPROP TIEOFF_X25Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y106 RPM_X 100 SITEPROP TIEOFF_X25Y106 RPM_Y 212 SITEPROP TIEOFF_X25Y106 SITE_PIPS SITEPROP TIEOFF_X25Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y107 CLASS site SITEPROP TIEOFF_X25Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y107 IS_BONDED 0 SITEPROP TIEOFF_X25Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y107 IS_PAD 0 SITEPROP TIEOFF_X25Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y107 IS_RESERVED 0 SITEPROP TIEOFF_X25Y107 IS_TEST 0 SITEPROP TIEOFF_X25Y107 IS_USED 0 SITEPROP TIEOFF_X25Y107 MANUAL_ROUTING SITEPROP TIEOFF_X25Y107 NAME TIEOFF_X25Y107 SITEPROP TIEOFF_X25Y107 NUM_ARCS 0 SITEPROP TIEOFF_X25Y107 NUM_BELS 2 SITEPROP TIEOFF_X25Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y107 NUM_PINS 2 SITEPROP TIEOFF_X25Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y107 PROHIBIT 0 SITEPROP TIEOFF_X25Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y107 RPM_X 100 SITEPROP TIEOFF_X25Y107 RPM_Y 214 SITEPROP TIEOFF_X25Y107 SITE_PIPS SITEPROP TIEOFF_X25Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y108 CLASS site SITEPROP TIEOFF_X25Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y108 IS_BONDED 0 SITEPROP TIEOFF_X25Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y108 IS_PAD 0 SITEPROP TIEOFF_X25Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y108 IS_RESERVED 0 SITEPROP TIEOFF_X25Y108 IS_TEST 0 SITEPROP TIEOFF_X25Y108 IS_USED 0 SITEPROP TIEOFF_X25Y108 MANUAL_ROUTING SITEPROP TIEOFF_X25Y108 NAME TIEOFF_X25Y108 SITEPROP TIEOFF_X25Y108 NUM_ARCS 0 SITEPROP TIEOFF_X25Y108 NUM_BELS 2 SITEPROP TIEOFF_X25Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y108 NUM_PINS 2 SITEPROP TIEOFF_X25Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y108 PROHIBIT 0 SITEPROP TIEOFF_X25Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y108 RPM_X 100 SITEPROP TIEOFF_X25Y108 RPM_Y 216 SITEPROP TIEOFF_X25Y108 SITE_PIPS SITEPROP TIEOFF_X25Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y109 CLASS site SITEPROP TIEOFF_X25Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y109 IS_BONDED 0 SITEPROP TIEOFF_X25Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y109 IS_PAD 0 SITEPROP TIEOFF_X25Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y109 IS_RESERVED 0 SITEPROP TIEOFF_X25Y109 IS_TEST 0 SITEPROP TIEOFF_X25Y109 IS_USED 0 SITEPROP TIEOFF_X25Y109 MANUAL_ROUTING SITEPROP TIEOFF_X25Y109 NAME TIEOFF_X25Y109 SITEPROP TIEOFF_X25Y109 NUM_ARCS 0 SITEPROP TIEOFF_X25Y109 NUM_BELS 2 SITEPROP TIEOFF_X25Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y109 NUM_PINS 2 SITEPROP TIEOFF_X25Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y109 PROHIBIT 0 SITEPROP TIEOFF_X25Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y109 RPM_X 100 SITEPROP TIEOFF_X25Y109 RPM_Y 218 SITEPROP TIEOFF_X25Y109 SITE_PIPS SITEPROP TIEOFF_X25Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y110 CLASS site SITEPROP TIEOFF_X25Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y110 IS_BONDED 0 SITEPROP TIEOFF_X25Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y110 IS_PAD 0 SITEPROP TIEOFF_X25Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y110 IS_RESERVED 0 SITEPROP TIEOFF_X25Y110 IS_TEST 0 SITEPROP TIEOFF_X25Y110 IS_USED 0 SITEPROP TIEOFF_X25Y110 MANUAL_ROUTING SITEPROP TIEOFF_X25Y110 NAME TIEOFF_X25Y110 SITEPROP TIEOFF_X25Y110 NUM_ARCS 0 SITEPROP TIEOFF_X25Y110 NUM_BELS 2 SITEPROP TIEOFF_X25Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y110 NUM_PINS 2 SITEPROP TIEOFF_X25Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y110 PROHIBIT 0 SITEPROP TIEOFF_X25Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y110 RPM_X 100 SITEPROP TIEOFF_X25Y110 RPM_Y 220 SITEPROP TIEOFF_X25Y110 SITE_PIPS SITEPROP TIEOFF_X25Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y111 CLASS site SITEPROP TIEOFF_X25Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y111 IS_BONDED 0 SITEPROP TIEOFF_X25Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y111 IS_PAD 0 SITEPROP TIEOFF_X25Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y111 IS_RESERVED 0 SITEPROP TIEOFF_X25Y111 IS_TEST 0 SITEPROP TIEOFF_X25Y111 IS_USED 0 SITEPROP TIEOFF_X25Y111 MANUAL_ROUTING SITEPROP TIEOFF_X25Y111 NAME TIEOFF_X25Y111 SITEPROP TIEOFF_X25Y111 NUM_ARCS 0 SITEPROP TIEOFF_X25Y111 NUM_BELS 2 SITEPROP TIEOFF_X25Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y111 NUM_PINS 2 SITEPROP TIEOFF_X25Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y111 PROHIBIT 0 SITEPROP TIEOFF_X25Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y111 RPM_X 100 SITEPROP TIEOFF_X25Y111 RPM_Y 222 SITEPROP TIEOFF_X25Y111 SITE_PIPS SITEPROP TIEOFF_X25Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y112 CLASS site SITEPROP TIEOFF_X25Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y112 IS_BONDED 0 SITEPROP TIEOFF_X25Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y112 IS_PAD 0 SITEPROP TIEOFF_X25Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y112 IS_RESERVED 0 SITEPROP TIEOFF_X25Y112 IS_TEST 0 SITEPROP TIEOFF_X25Y112 IS_USED 0 SITEPROP TIEOFF_X25Y112 MANUAL_ROUTING SITEPROP TIEOFF_X25Y112 NAME TIEOFF_X25Y112 SITEPROP TIEOFF_X25Y112 NUM_ARCS 0 SITEPROP TIEOFF_X25Y112 NUM_BELS 2 SITEPROP TIEOFF_X25Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y112 NUM_PINS 2 SITEPROP TIEOFF_X25Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y112 PROHIBIT 0 SITEPROP TIEOFF_X25Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y112 RPM_X 100 SITEPROP TIEOFF_X25Y112 RPM_Y 224 SITEPROP TIEOFF_X25Y112 SITE_PIPS SITEPROP TIEOFF_X25Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y113 CLASS site SITEPROP TIEOFF_X25Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y113 IS_BONDED 0 SITEPROP TIEOFF_X25Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y113 IS_PAD 0 SITEPROP TIEOFF_X25Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y113 IS_RESERVED 0 SITEPROP TIEOFF_X25Y113 IS_TEST 0 SITEPROP TIEOFF_X25Y113 IS_USED 0 SITEPROP TIEOFF_X25Y113 MANUAL_ROUTING SITEPROP TIEOFF_X25Y113 NAME TIEOFF_X25Y113 SITEPROP TIEOFF_X25Y113 NUM_ARCS 0 SITEPROP TIEOFF_X25Y113 NUM_BELS 2 SITEPROP TIEOFF_X25Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y113 NUM_PINS 2 SITEPROP TIEOFF_X25Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y113 PROHIBIT 0 SITEPROP TIEOFF_X25Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y113 RPM_X 100 SITEPROP TIEOFF_X25Y113 RPM_Y 226 SITEPROP TIEOFF_X25Y113 SITE_PIPS SITEPROP TIEOFF_X25Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y114 CLASS site SITEPROP TIEOFF_X25Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y114 IS_BONDED 0 SITEPROP TIEOFF_X25Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y114 IS_PAD 0 SITEPROP TIEOFF_X25Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y114 IS_RESERVED 0 SITEPROP TIEOFF_X25Y114 IS_TEST 0 SITEPROP TIEOFF_X25Y114 IS_USED 0 SITEPROP TIEOFF_X25Y114 MANUAL_ROUTING SITEPROP TIEOFF_X25Y114 NAME TIEOFF_X25Y114 SITEPROP TIEOFF_X25Y114 NUM_ARCS 0 SITEPROP TIEOFF_X25Y114 NUM_BELS 2 SITEPROP TIEOFF_X25Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y114 NUM_PINS 2 SITEPROP TIEOFF_X25Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y114 PROHIBIT 0 SITEPROP TIEOFF_X25Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y114 RPM_X 100 SITEPROP TIEOFF_X25Y114 RPM_Y 228 SITEPROP TIEOFF_X25Y114 SITE_PIPS SITEPROP TIEOFF_X25Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y115 CLASS site SITEPROP TIEOFF_X25Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y115 IS_BONDED 0 SITEPROP TIEOFF_X25Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y115 IS_PAD 0 SITEPROP TIEOFF_X25Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y115 IS_RESERVED 0 SITEPROP TIEOFF_X25Y115 IS_TEST 0 SITEPROP TIEOFF_X25Y115 IS_USED 0 SITEPROP TIEOFF_X25Y115 MANUAL_ROUTING SITEPROP TIEOFF_X25Y115 NAME TIEOFF_X25Y115 SITEPROP TIEOFF_X25Y115 NUM_ARCS 0 SITEPROP TIEOFF_X25Y115 NUM_BELS 2 SITEPROP TIEOFF_X25Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y115 NUM_PINS 2 SITEPROP TIEOFF_X25Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y115 PROHIBIT 0 SITEPROP TIEOFF_X25Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y115 RPM_X 100 SITEPROP TIEOFF_X25Y115 RPM_Y 230 SITEPROP TIEOFF_X25Y115 SITE_PIPS SITEPROP TIEOFF_X25Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y116 CLASS site SITEPROP TIEOFF_X25Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y116 IS_BONDED 0 SITEPROP TIEOFF_X25Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y116 IS_PAD 0 SITEPROP TIEOFF_X25Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y116 IS_RESERVED 0 SITEPROP TIEOFF_X25Y116 IS_TEST 0 SITEPROP TIEOFF_X25Y116 IS_USED 0 SITEPROP TIEOFF_X25Y116 MANUAL_ROUTING SITEPROP TIEOFF_X25Y116 NAME TIEOFF_X25Y116 SITEPROP TIEOFF_X25Y116 NUM_ARCS 0 SITEPROP TIEOFF_X25Y116 NUM_BELS 2 SITEPROP TIEOFF_X25Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y116 NUM_PINS 2 SITEPROP TIEOFF_X25Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y116 PROHIBIT 0 SITEPROP TIEOFF_X25Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y116 RPM_X 100 SITEPROP TIEOFF_X25Y116 RPM_Y 232 SITEPROP TIEOFF_X25Y116 SITE_PIPS SITEPROP TIEOFF_X25Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y117 CLASS site SITEPROP TIEOFF_X25Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y117 IS_BONDED 0 SITEPROP TIEOFF_X25Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y117 IS_PAD 0 SITEPROP TIEOFF_X25Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y117 IS_RESERVED 0 SITEPROP TIEOFF_X25Y117 IS_TEST 0 SITEPROP TIEOFF_X25Y117 IS_USED 0 SITEPROP TIEOFF_X25Y117 MANUAL_ROUTING SITEPROP TIEOFF_X25Y117 NAME TIEOFF_X25Y117 SITEPROP TIEOFF_X25Y117 NUM_ARCS 0 SITEPROP TIEOFF_X25Y117 NUM_BELS 2 SITEPROP TIEOFF_X25Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y117 NUM_PINS 2 SITEPROP TIEOFF_X25Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y117 PROHIBIT 0 SITEPROP TIEOFF_X25Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y117 RPM_X 100 SITEPROP TIEOFF_X25Y117 RPM_Y 234 SITEPROP TIEOFF_X25Y117 SITE_PIPS SITEPROP TIEOFF_X25Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y118 CLASS site SITEPROP TIEOFF_X25Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y118 IS_BONDED 0 SITEPROP TIEOFF_X25Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y118 IS_PAD 0 SITEPROP TIEOFF_X25Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y118 IS_RESERVED 0 SITEPROP TIEOFF_X25Y118 IS_TEST 0 SITEPROP TIEOFF_X25Y118 IS_USED 0 SITEPROP TIEOFF_X25Y118 MANUAL_ROUTING SITEPROP TIEOFF_X25Y118 NAME TIEOFF_X25Y118 SITEPROP TIEOFF_X25Y118 NUM_ARCS 0 SITEPROP TIEOFF_X25Y118 NUM_BELS 2 SITEPROP TIEOFF_X25Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y118 NUM_PINS 2 SITEPROP TIEOFF_X25Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y118 PROHIBIT 0 SITEPROP TIEOFF_X25Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y118 RPM_X 100 SITEPROP TIEOFF_X25Y118 RPM_Y 236 SITEPROP TIEOFF_X25Y118 SITE_PIPS SITEPROP TIEOFF_X25Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y119 CLASS site SITEPROP TIEOFF_X25Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y119 IS_BONDED 0 SITEPROP TIEOFF_X25Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y119 IS_PAD 0 SITEPROP TIEOFF_X25Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y119 IS_RESERVED 0 SITEPROP TIEOFF_X25Y119 IS_TEST 0 SITEPROP TIEOFF_X25Y119 IS_USED 0 SITEPROP TIEOFF_X25Y119 MANUAL_ROUTING SITEPROP TIEOFF_X25Y119 NAME TIEOFF_X25Y119 SITEPROP TIEOFF_X25Y119 NUM_ARCS 0 SITEPROP TIEOFF_X25Y119 NUM_BELS 2 SITEPROP TIEOFF_X25Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y119 NUM_PINS 2 SITEPROP TIEOFF_X25Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y119 PROHIBIT 0 SITEPROP TIEOFF_X25Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y119 RPM_X 100 SITEPROP TIEOFF_X25Y119 RPM_Y 238 SITEPROP TIEOFF_X25Y119 SITE_PIPS SITEPROP TIEOFF_X25Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y120 CLASS site SITEPROP TIEOFF_X25Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y120 IS_BONDED 0 SITEPROP TIEOFF_X25Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y120 IS_PAD 0 SITEPROP TIEOFF_X25Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y120 IS_RESERVED 0 SITEPROP TIEOFF_X25Y120 IS_TEST 0 SITEPROP TIEOFF_X25Y120 IS_USED 0 SITEPROP TIEOFF_X25Y120 MANUAL_ROUTING SITEPROP TIEOFF_X25Y120 NAME TIEOFF_X25Y120 SITEPROP TIEOFF_X25Y120 NUM_ARCS 0 SITEPROP TIEOFF_X25Y120 NUM_BELS 2 SITEPROP TIEOFF_X25Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y120 NUM_PINS 2 SITEPROP TIEOFF_X25Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y120 PROHIBIT 0 SITEPROP TIEOFF_X25Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y120 RPM_X 100 SITEPROP TIEOFF_X25Y120 RPM_Y 240 SITEPROP TIEOFF_X25Y120 SITE_PIPS SITEPROP TIEOFF_X25Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y121 CLASS site SITEPROP TIEOFF_X25Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y121 IS_BONDED 0 SITEPROP TIEOFF_X25Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y121 IS_PAD 0 SITEPROP TIEOFF_X25Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y121 IS_RESERVED 0 SITEPROP TIEOFF_X25Y121 IS_TEST 0 SITEPROP TIEOFF_X25Y121 IS_USED 0 SITEPROP TIEOFF_X25Y121 MANUAL_ROUTING SITEPROP TIEOFF_X25Y121 NAME TIEOFF_X25Y121 SITEPROP TIEOFF_X25Y121 NUM_ARCS 0 SITEPROP TIEOFF_X25Y121 NUM_BELS 2 SITEPROP TIEOFF_X25Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y121 NUM_PINS 2 SITEPROP TIEOFF_X25Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y121 PROHIBIT 0 SITEPROP TIEOFF_X25Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y121 RPM_X 100 SITEPROP TIEOFF_X25Y121 RPM_Y 242 SITEPROP TIEOFF_X25Y121 SITE_PIPS SITEPROP TIEOFF_X25Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y122 CLASS site SITEPROP TIEOFF_X25Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y122 IS_BONDED 0 SITEPROP TIEOFF_X25Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y122 IS_PAD 0 SITEPROP TIEOFF_X25Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y122 IS_RESERVED 0 SITEPROP TIEOFF_X25Y122 IS_TEST 0 SITEPROP TIEOFF_X25Y122 IS_USED 0 SITEPROP TIEOFF_X25Y122 MANUAL_ROUTING SITEPROP TIEOFF_X25Y122 NAME TIEOFF_X25Y122 SITEPROP TIEOFF_X25Y122 NUM_ARCS 0 SITEPROP TIEOFF_X25Y122 NUM_BELS 2 SITEPROP TIEOFF_X25Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y122 NUM_PINS 2 SITEPROP TIEOFF_X25Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y122 PROHIBIT 0 SITEPROP TIEOFF_X25Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y122 RPM_X 100 SITEPROP TIEOFF_X25Y122 RPM_Y 244 SITEPROP TIEOFF_X25Y122 SITE_PIPS SITEPROP TIEOFF_X25Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y123 CLASS site SITEPROP TIEOFF_X25Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y123 IS_BONDED 0 SITEPROP TIEOFF_X25Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y123 IS_PAD 0 SITEPROP TIEOFF_X25Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y123 IS_RESERVED 0 SITEPROP TIEOFF_X25Y123 IS_TEST 0 SITEPROP TIEOFF_X25Y123 IS_USED 0 SITEPROP TIEOFF_X25Y123 MANUAL_ROUTING SITEPROP TIEOFF_X25Y123 NAME TIEOFF_X25Y123 SITEPROP TIEOFF_X25Y123 NUM_ARCS 0 SITEPROP TIEOFF_X25Y123 NUM_BELS 2 SITEPROP TIEOFF_X25Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y123 NUM_PINS 2 SITEPROP TIEOFF_X25Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y123 PROHIBIT 0 SITEPROP TIEOFF_X25Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y123 RPM_X 100 SITEPROP TIEOFF_X25Y123 RPM_Y 246 SITEPROP TIEOFF_X25Y123 SITE_PIPS SITEPROP TIEOFF_X25Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y124 CLASS site SITEPROP TIEOFF_X25Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y124 IS_BONDED 0 SITEPROP TIEOFF_X25Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y124 IS_PAD 0 SITEPROP TIEOFF_X25Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y124 IS_RESERVED 0 SITEPROP TIEOFF_X25Y124 IS_TEST 0 SITEPROP TIEOFF_X25Y124 IS_USED 0 SITEPROP TIEOFF_X25Y124 MANUAL_ROUTING SITEPROP TIEOFF_X25Y124 NAME TIEOFF_X25Y124 SITEPROP TIEOFF_X25Y124 NUM_ARCS 0 SITEPROP TIEOFF_X25Y124 NUM_BELS 2 SITEPROP TIEOFF_X25Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y124 NUM_PINS 2 SITEPROP TIEOFF_X25Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y124 PROHIBIT 0 SITEPROP TIEOFF_X25Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y124 RPM_X 100 SITEPROP TIEOFF_X25Y124 RPM_Y 248 SITEPROP TIEOFF_X25Y124 SITE_PIPS SITEPROP TIEOFF_X25Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y125 CLASS site SITEPROP TIEOFF_X25Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y125 IS_BONDED 0 SITEPROP TIEOFF_X25Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y125 IS_PAD 0 SITEPROP TIEOFF_X25Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y125 IS_RESERVED 0 SITEPROP TIEOFF_X25Y125 IS_TEST 0 SITEPROP TIEOFF_X25Y125 IS_USED 0 SITEPROP TIEOFF_X25Y125 MANUAL_ROUTING SITEPROP TIEOFF_X25Y125 NAME TIEOFF_X25Y125 SITEPROP TIEOFF_X25Y125 NUM_ARCS 0 SITEPROP TIEOFF_X25Y125 NUM_BELS 2 SITEPROP TIEOFF_X25Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y125 NUM_PINS 2 SITEPROP TIEOFF_X25Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y125 PROHIBIT 0 SITEPROP TIEOFF_X25Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y125 RPM_X 100 SITEPROP TIEOFF_X25Y125 RPM_Y 250 SITEPROP TIEOFF_X25Y125 SITE_PIPS SITEPROP TIEOFF_X25Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y126 CLASS site SITEPROP TIEOFF_X25Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y126 IS_BONDED 0 SITEPROP TIEOFF_X25Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y126 IS_PAD 0 SITEPROP TIEOFF_X25Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y126 IS_RESERVED 0 SITEPROP TIEOFF_X25Y126 IS_TEST 0 SITEPROP TIEOFF_X25Y126 IS_USED 0 SITEPROP TIEOFF_X25Y126 MANUAL_ROUTING SITEPROP TIEOFF_X25Y126 NAME TIEOFF_X25Y126 SITEPROP TIEOFF_X25Y126 NUM_ARCS 0 SITEPROP TIEOFF_X25Y126 NUM_BELS 2 SITEPROP TIEOFF_X25Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y126 NUM_PINS 2 SITEPROP TIEOFF_X25Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y126 PROHIBIT 0 SITEPROP TIEOFF_X25Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y126 RPM_X 100 SITEPROP TIEOFF_X25Y126 RPM_Y 252 SITEPROP TIEOFF_X25Y126 SITE_PIPS SITEPROP TIEOFF_X25Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y127 CLASS site SITEPROP TIEOFF_X25Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y127 IS_BONDED 0 SITEPROP TIEOFF_X25Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y127 IS_PAD 0 SITEPROP TIEOFF_X25Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y127 IS_RESERVED 0 SITEPROP TIEOFF_X25Y127 IS_TEST 0 SITEPROP TIEOFF_X25Y127 IS_USED 0 SITEPROP TIEOFF_X25Y127 MANUAL_ROUTING SITEPROP TIEOFF_X25Y127 NAME TIEOFF_X25Y127 SITEPROP TIEOFF_X25Y127 NUM_ARCS 0 SITEPROP TIEOFF_X25Y127 NUM_BELS 2 SITEPROP TIEOFF_X25Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y127 NUM_PINS 2 SITEPROP TIEOFF_X25Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y127 PROHIBIT 0 SITEPROP TIEOFF_X25Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y127 RPM_X 100 SITEPROP TIEOFF_X25Y127 RPM_Y 254 SITEPROP TIEOFF_X25Y127 SITE_PIPS SITEPROP TIEOFF_X25Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y128 CLASS site SITEPROP TIEOFF_X25Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y128 IS_BONDED 0 SITEPROP TIEOFF_X25Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y128 IS_PAD 0 SITEPROP TIEOFF_X25Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y128 IS_RESERVED 0 SITEPROP TIEOFF_X25Y128 IS_TEST 0 SITEPROP TIEOFF_X25Y128 IS_USED 0 SITEPROP TIEOFF_X25Y128 MANUAL_ROUTING SITEPROP TIEOFF_X25Y128 NAME TIEOFF_X25Y128 SITEPROP TIEOFF_X25Y128 NUM_ARCS 0 SITEPROP TIEOFF_X25Y128 NUM_BELS 2 SITEPROP TIEOFF_X25Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y128 NUM_PINS 2 SITEPROP TIEOFF_X25Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y128 PROHIBIT 0 SITEPROP TIEOFF_X25Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y128 RPM_X 100 SITEPROP TIEOFF_X25Y128 RPM_Y 256 SITEPROP TIEOFF_X25Y128 SITE_PIPS SITEPROP TIEOFF_X25Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y129 CLASS site SITEPROP TIEOFF_X25Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y129 IS_BONDED 0 SITEPROP TIEOFF_X25Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y129 IS_PAD 0 SITEPROP TIEOFF_X25Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y129 IS_RESERVED 0 SITEPROP TIEOFF_X25Y129 IS_TEST 0 SITEPROP TIEOFF_X25Y129 IS_USED 0 SITEPROP TIEOFF_X25Y129 MANUAL_ROUTING SITEPROP TIEOFF_X25Y129 NAME TIEOFF_X25Y129 SITEPROP TIEOFF_X25Y129 NUM_ARCS 0 SITEPROP TIEOFF_X25Y129 NUM_BELS 2 SITEPROP TIEOFF_X25Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y129 NUM_PINS 2 SITEPROP TIEOFF_X25Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y129 PROHIBIT 0 SITEPROP TIEOFF_X25Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y129 RPM_X 100 SITEPROP TIEOFF_X25Y129 RPM_Y 258 SITEPROP TIEOFF_X25Y129 SITE_PIPS SITEPROP TIEOFF_X25Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y130 CLASS site SITEPROP TIEOFF_X25Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y130 IS_BONDED 0 SITEPROP TIEOFF_X25Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y130 IS_PAD 0 SITEPROP TIEOFF_X25Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y130 IS_RESERVED 0 SITEPROP TIEOFF_X25Y130 IS_TEST 0 SITEPROP TIEOFF_X25Y130 IS_USED 0 SITEPROP TIEOFF_X25Y130 MANUAL_ROUTING SITEPROP TIEOFF_X25Y130 NAME TIEOFF_X25Y130 SITEPROP TIEOFF_X25Y130 NUM_ARCS 0 SITEPROP TIEOFF_X25Y130 NUM_BELS 2 SITEPROP TIEOFF_X25Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y130 NUM_PINS 2 SITEPROP TIEOFF_X25Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y130 PROHIBIT 0 SITEPROP TIEOFF_X25Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y130 RPM_X 100 SITEPROP TIEOFF_X25Y130 RPM_Y 260 SITEPROP TIEOFF_X25Y130 SITE_PIPS SITEPROP TIEOFF_X25Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y131 CLASS site SITEPROP TIEOFF_X25Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y131 IS_BONDED 0 SITEPROP TIEOFF_X25Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y131 IS_PAD 0 SITEPROP TIEOFF_X25Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y131 IS_RESERVED 0 SITEPROP TIEOFF_X25Y131 IS_TEST 0 SITEPROP TIEOFF_X25Y131 IS_USED 0 SITEPROP TIEOFF_X25Y131 MANUAL_ROUTING SITEPROP TIEOFF_X25Y131 NAME TIEOFF_X25Y131 SITEPROP TIEOFF_X25Y131 NUM_ARCS 0 SITEPROP TIEOFF_X25Y131 NUM_BELS 2 SITEPROP TIEOFF_X25Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y131 NUM_PINS 2 SITEPROP TIEOFF_X25Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y131 PROHIBIT 0 SITEPROP TIEOFF_X25Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y131 RPM_X 100 SITEPROP TIEOFF_X25Y131 RPM_Y 262 SITEPROP TIEOFF_X25Y131 SITE_PIPS SITEPROP TIEOFF_X25Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y132 CLASS site SITEPROP TIEOFF_X25Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y132 IS_BONDED 0 SITEPROP TIEOFF_X25Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y132 IS_PAD 0 SITEPROP TIEOFF_X25Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y132 IS_RESERVED 0 SITEPROP TIEOFF_X25Y132 IS_TEST 0 SITEPROP TIEOFF_X25Y132 IS_USED 0 SITEPROP TIEOFF_X25Y132 MANUAL_ROUTING SITEPROP TIEOFF_X25Y132 NAME TIEOFF_X25Y132 SITEPROP TIEOFF_X25Y132 NUM_ARCS 0 SITEPROP TIEOFF_X25Y132 NUM_BELS 2 SITEPROP TIEOFF_X25Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y132 NUM_PINS 2 SITEPROP TIEOFF_X25Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y132 PROHIBIT 0 SITEPROP TIEOFF_X25Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y132 RPM_X 100 SITEPROP TIEOFF_X25Y132 RPM_Y 264 SITEPROP TIEOFF_X25Y132 SITE_PIPS SITEPROP TIEOFF_X25Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y133 CLASS site SITEPROP TIEOFF_X25Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y133 IS_BONDED 0 SITEPROP TIEOFF_X25Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y133 IS_PAD 0 SITEPROP TIEOFF_X25Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y133 IS_RESERVED 0 SITEPROP TIEOFF_X25Y133 IS_TEST 0 SITEPROP TIEOFF_X25Y133 IS_USED 0 SITEPROP TIEOFF_X25Y133 MANUAL_ROUTING SITEPROP TIEOFF_X25Y133 NAME TIEOFF_X25Y133 SITEPROP TIEOFF_X25Y133 NUM_ARCS 0 SITEPROP TIEOFF_X25Y133 NUM_BELS 2 SITEPROP TIEOFF_X25Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y133 NUM_PINS 2 SITEPROP TIEOFF_X25Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y133 PROHIBIT 0 SITEPROP TIEOFF_X25Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y133 RPM_X 100 SITEPROP TIEOFF_X25Y133 RPM_Y 266 SITEPROP TIEOFF_X25Y133 SITE_PIPS SITEPROP TIEOFF_X25Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y134 CLASS site SITEPROP TIEOFF_X25Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y134 IS_BONDED 0 SITEPROP TIEOFF_X25Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y134 IS_PAD 0 SITEPROP TIEOFF_X25Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y134 IS_RESERVED 0 SITEPROP TIEOFF_X25Y134 IS_TEST 0 SITEPROP TIEOFF_X25Y134 IS_USED 0 SITEPROP TIEOFF_X25Y134 MANUAL_ROUTING SITEPROP TIEOFF_X25Y134 NAME TIEOFF_X25Y134 SITEPROP TIEOFF_X25Y134 NUM_ARCS 0 SITEPROP TIEOFF_X25Y134 NUM_BELS 2 SITEPROP TIEOFF_X25Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y134 NUM_PINS 2 SITEPROP TIEOFF_X25Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y134 PROHIBIT 0 SITEPROP TIEOFF_X25Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y134 RPM_X 100 SITEPROP TIEOFF_X25Y134 RPM_Y 268 SITEPROP TIEOFF_X25Y134 SITE_PIPS SITEPROP TIEOFF_X25Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y135 CLASS site SITEPROP TIEOFF_X25Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y135 IS_BONDED 0 SITEPROP TIEOFF_X25Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y135 IS_PAD 0 SITEPROP TIEOFF_X25Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y135 IS_RESERVED 0 SITEPROP TIEOFF_X25Y135 IS_TEST 0 SITEPROP TIEOFF_X25Y135 IS_USED 0 SITEPROP TIEOFF_X25Y135 MANUAL_ROUTING SITEPROP TIEOFF_X25Y135 NAME TIEOFF_X25Y135 SITEPROP TIEOFF_X25Y135 NUM_ARCS 0 SITEPROP TIEOFF_X25Y135 NUM_BELS 2 SITEPROP TIEOFF_X25Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y135 NUM_PINS 2 SITEPROP TIEOFF_X25Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y135 PROHIBIT 0 SITEPROP TIEOFF_X25Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y135 RPM_X 100 SITEPROP TIEOFF_X25Y135 RPM_Y 270 SITEPROP TIEOFF_X25Y135 SITE_PIPS SITEPROP TIEOFF_X25Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y136 CLASS site SITEPROP TIEOFF_X25Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y136 IS_BONDED 0 SITEPROP TIEOFF_X25Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y136 IS_PAD 0 SITEPROP TIEOFF_X25Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y136 IS_RESERVED 0 SITEPROP TIEOFF_X25Y136 IS_TEST 0 SITEPROP TIEOFF_X25Y136 IS_USED 0 SITEPROP TIEOFF_X25Y136 MANUAL_ROUTING SITEPROP TIEOFF_X25Y136 NAME TIEOFF_X25Y136 SITEPROP TIEOFF_X25Y136 NUM_ARCS 0 SITEPROP TIEOFF_X25Y136 NUM_BELS 2 SITEPROP TIEOFF_X25Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y136 NUM_PINS 2 SITEPROP TIEOFF_X25Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y136 PROHIBIT 0 SITEPROP TIEOFF_X25Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y136 RPM_X 100 SITEPROP TIEOFF_X25Y136 RPM_Y 272 SITEPROP TIEOFF_X25Y136 SITE_PIPS SITEPROP TIEOFF_X25Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y137 CLASS site SITEPROP TIEOFF_X25Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y137 IS_BONDED 0 SITEPROP TIEOFF_X25Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y137 IS_PAD 0 SITEPROP TIEOFF_X25Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y137 IS_RESERVED 0 SITEPROP TIEOFF_X25Y137 IS_TEST 0 SITEPROP TIEOFF_X25Y137 IS_USED 0 SITEPROP TIEOFF_X25Y137 MANUAL_ROUTING SITEPROP TIEOFF_X25Y137 NAME TIEOFF_X25Y137 SITEPROP TIEOFF_X25Y137 NUM_ARCS 0 SITEPROP TIEOFF_X25Y137 NUM_BELS 2 SITEPROP TIEOFF_X25Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y137 NUM_PINS 2 SITEPROP TIEOFF_X25Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y137 PROHIBIT 0 SITEPROP TIEOFF_X25Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y137 RPM_X 100 SITEPROP TIEOFF_X25Y137 RPM_Y 274 SITEPROP TIEOFF_X25Y137 SITE_PIPS SITEPROP TIEOFF_X25Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y138 CLASS site SITEPROP TIEOFF_X25Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y138 IS_BONDED 0 SITEPROP TIEOFF_X25Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y138 IS_PAD 0 SITEPROP TIEOFF_X25Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y138 IS_RESERVED 0 SITEPROP TIEOFF_X25Y138 IS_TEST 0 SITEPROP TIEOFF_X25Y138 IS_USED 0 SITEPROP TIEOFF_X25Y138 MANUAL_ROUTING SITEPROP TIEOFF_X25Y138 NAME TIEOFF_X25Y138 SITEPROP TIEOFF_X25Y138 NUM_ARCS 0 SITEPROP TIEOFF_X25Y138 NUM_BELS 2 SITEPROP TIEOFF_X25Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y138 NUM_PINS 2 SITEPROP TIEOFF_X25Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y138 PROHIBIT 0 SITEPROP TIEOFF_X25Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y138 RPM_X 100 SITEPROP TIEOFF_X25Y138 RPM_Y 276 SITEPROP TIEOFF_X25Y138 SITE_PIPS SITEPROP TIEOFF_X25Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y139 CLASS site SITEPROP TIEOFF_X25Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y139 IS_BONDED 0 SITEPROP TIEOFF_X25Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y139 IS_PAD 0 SITEPROP TIEOFF_X25Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y139 IS_RESERVED 0 SITEPROP TIEOFF_X25Y139 IS_TEST 0 SITEPROP TIEOFF_X25Y139 IS_USED 0 SITEPROP TIEOFF_X25Y139 MANUAL_ROUTING SITEPROP TIEOFF_X25Y139 NAME TIEOFF_X25Y139 SITEPROP TIEOFF_X25Y139 NUM_ARCS 0 SITEPROP TIEOFF_X25Y139 NUM_BELS 2 SITEPROP TIEOFF_X25Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y139 NUM_PINS 2 SITEPROP TIEOFF_X25Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y139 PROHIBIT 0 SITEPROP TIEOFF_X25Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y139 RPM_X 100 SITEPROP TIEOFF_X25Y139 RPM_Y 278 SITEPROP TIEOFF_X25Y139 SITE_PIPS SITEPROP TIEOFF_X25Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y140 CLASS site SITEPROP TIEOFF_X25Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y140 IS_BONDED 0 SITEPROP TIEOFF_X25Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y140 IS_PAD 0 SITEPROP TIEOFF_X25Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y140 IS_RESERVED 0 SITEPROP TIEOFF_X25Y140 IS_TEST 0 SITEPROP TIEOFF_X25Y140 IS_USED 0 SITEPROP TIEOFF_X25Y140 MANUAL_ROUTING SITEPROP TIEOFF_X25Y140 NAME TIEOFF_X25Y140 SITEPROP TIEOFF_X25Y140 NUM_ARCS 0 SITEPROP TIEOFF_X25Y140 NUM_BELS 2 SITEPROP TIEOFF_X25Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y140 NUM_PINS 2 SITEPROP TIEOFF_X25Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y140 PROHIBIT 0 SITEPROP TIEOFF_X25Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y140 RPM_X 100 SITEPROP TIEOFF_X25Y140 RPM_Y 280 SITEPROP TIEOFF_X25Y140 SITE_PIPS SITEPROP TIEOFF_X25Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y141 CLASS site SITEPROP TIEOFF_X25Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y141 IS_BONDED 0 SITEPROP TIEOFF_X25Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y141 IS_PAD 0 SITEPROP TIEOFF_X25Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y141 IS_RESERVED 0 SITEPROP TIEOFF_X25Y141 IS_TEST 0 SITEPROP TIEOFF_X25Y141 IS_USED 0 SITEPROP TIEOFF_X25Y141 MANUAL_ROUTING SITEPROP TIEOFF_X25Y141 NAME TIEOFF_X25Y141 SITEPROP TIEOFF_X25Y141 NUM_ARCS 0 SITEPROP TIEOFF_X25Y141 NUM_BELS 2 SITEPROP TIEOFF_X25Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y141 NUM_PINS 2 SITEPROP TIEOFF_X25Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y141 PROHIBIT 0 SITEPROP TIEOFF_X25Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y141 RPM_X 100 SITEPROP TIEOFF_X25Y141 RPM_Y 282 SITEPROP TIEOFF_X25Y141 SITE_PIPS SITEPROP TIEOFF_X25Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y142 CLASS site SITEPROP TIEOFF_X25Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y142 IS_BONDED 0 SITEPROP TIEOFF_X25Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y142 IS_PAD 0 SITEPROP TIEOFF_X25Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y142 IS_RESERVED 0 SITEPROP TIEOFF_X25Y142 IS_TEST 0 SITEPROP TIEOFF_X25Y142 IS_USED 0 SITEPROP TIEOFF_X25Y142 MANUAL_ROUTING SITEPROP TIEOFF_X25Y142 NAME TIEOFF_X25Y142 SITEPROP TIEOFF_X25Y142 NUM_ARCS 0 SITEPROP TIEOFF_X25Y142 NUM_BELS 2 SITEPROP TIEOFF_X25Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y142 NUM_PINS 2 SITEPROP TIEOFF_X25Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y142 PROHIBIT 0 SITEPROP TIEOFF_X25Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y142 RPM_X 100 SITEPROP TIEOFF_X25Y142 RPM_Y 284 SITEPROP TIEOFF_X25Y142 SITE_PIPS SITEPROP TIEOFF_X25Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y143 CLASS site SITEPROP TIEOFF_X25Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y143 IS_BONDED 0 SITEPROP TIEOFF_X25Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y143 IS_PAD 0 SITEPROP TIEOFF_X25Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y143 IS_RESERVED 0 SITEPROP TIEOFF_X25Y143 IS_TEST 0 SITEPROP TIEOFF_X25Y143 IS_USED 0 SITEPROP TIEOFF_X25Y143 MANUAL_ROUTING SITEPROP TIEOFF_X25Y143 NAME TIEOFF_X25Y143 SITEPROP TIEOFF_X25Y143 NUM_ARCS 0 SITEPROP TIEOFF_X25Y143 NUM_BELS 2 SITEPROP TIEOFF_X25Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y143 NUM_PINS 2 SITEPROP TIEOFF_X25Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y143 PROHIBIT 0 SITEPROP TIEOFF_X25Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y143 RPM_X 100 SITEPROP TIEOFF_X25Y143 RPM_Y 286 SITEPROP TIEOFF_X25Y143 SITE_PIPS SITEPROP TIEOFF_X25Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y144 CLASS site SITEPROP TIEOFF_X25Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y144 IS_BONDED 0 SITEPROP TIEOFF_X25Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y144 IS_PAD 0 SITEPROP TIEOFF_X25Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y144 IS_RESERVED 0 SITEPROP TIEOFF_X25Y144 IS_TEST 0 SITEPROP TIEOFF_X25Y144 IS_USED 0 SITEPROP TIEOFF_X25Y144 MANUAL_ROUTING SITEPROP TIEOFF_X25Y144 NAME TIEOFF_X25Y144 SITEPROP TIEOFF_X25Y144 NUM_ARCS 0 SITEPROP TIEOFF_X25Y144 NUM_BELS 2 SITEPROP TIEOFF_X25Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y144 NUM_PINS 2 SITEPROP TIEOFF_X25Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y144 PROHIBIT 0 SITEPROP TIEOFF_X25Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y144 RPM_X 100 SITEPROP TIEOFF_X25Y144 RPM_Y 288 SITEPROP TIEOFF_X25Y144 SITE_PIPS SITEPROP TIEOFF_X25Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y145 CLASS site SITEPROP TIEOFF_X25Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y145 IS_BONDED 0 SITEPROP TIEOFF_X25Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y145 IS_PAD 0 SITEPROP TIEOFF_X25Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y145 IS_RESERVED 0 SITEPROP TIEOFF_X25Y145 IS_TEST 0 SITEPROP TIEOFF_X25Y145 IS_USED 0 SITEPROP TIEOFF_X25Y145 MANUAL_ROUTING SITEPROP TIEOFF_X25Y145 NAME TIEOFF_X25Y145 SITEPROP TIEOFF_X25Y145 NUM_ARCS 0 SITEPROP TIEOFF_X25Y145 NUM_BELS 2 SITEPROP TIEOFF_X25Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y145 NUM_PINS 2 SITEPROP TIEOFF_X25Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y145 PROHIBIT 0 SITEPROP TIEOFF_X25Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y145 RPM_X 100 SITEPROP TIEOFF_X25Y145 RPM_Y 290 SITEPROP TIEOFF_X25Y145 SITE_PIPS SITEPROP TIEOFF_X25Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y146 CLASS site SITEPROP TIEOFF_X25Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y146 IS_BONDED 0 SITEPROP TIEOFF_X25Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y146 IS_PAD 0 SITEPROP TIEOFF_X25Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y146 IS_RESERVED 0 SITEPROP TIEOFF_X25Y146 IS_TEST 0 SITEPROP TIEOFF_X25Y146 IS_USED 0 SITEPROP TIEOFF_X25Y146 MANUAL_ROUTING SITEPROP TIEOFF_X25Y146 NAME TIEOFF_X25Y146 SITEPROP TIEOFF_X25Y146 NUM_ARCS 0 SITEPROP TIEOFF_X25Y146 NUM_BELS 2 SITEPROP TIEOFF_X25Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y146 NUM_PINS 2 SITEPROP TIEOFF_X25Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y146 PROHIBIT 0 SITEPROP TIEOFF_X25Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y146 RPM_X 100 SITEPROP TIEOFF_X25Y146 RPM_Y 292 SITEPROP TIEOFF_X25Y146 SITE_PIPS SITEPROP TIEOFF_X25Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y147 CLASS site SITEPROP TIEOFF_X25Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y147 IS_BONDED 0 SITEPROP TIEOFF_X25Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y147 IS_PAD 0 SITEPROP TIEOFF_X25Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y147 IS_RESERVED 0 SITEPROP TIEOFF_X25Y147 IS_TEST 0 SITEPROP TIEOFF_X25Y147 IS_USED 0 SITEPROP TIEOFF_X25Y147 MANUAL_ROUTING SITEPROP TIEOFF_X25Y147 NAME TIEOFF_X25Y147 SITEPROP TIEOFF_X25Y147 NUM_ARCS 0 SITEPROP TIEOFF_X25Y147 NUM_BELS 2 SITEPROP TIEOFF_X25Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y147 NUM_PINS 2 SITEPROP TIEOFF_X25Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y147 PROHIBIT 0 SITEPROP TIEOFF_X25Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y147 RPM_X 100 SITEPROP TIEOFF_X25Y147 RPM_Y 294 SITEPROP TIEOFF_X25Y147 SITE_PIPS SITEPROP TIEOFF_X25Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y148 CLASS site SITEPROP TIEOFF_X25Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y148 IS_BONDED 0 SITEPROP TIEOFF_X25Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y148 IS_PAD 0 SITEPROP TIEOFF_X25Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y148 IS_RESERVED 0 SITEPROP TIEOFF_X25Y148 IS_TEST 0 SITEPROP TIEOFF_X25Y148 IS_USED 0 SITEPROP TIEOFF_X25Y148 MANUAL_ROUTING SITEPROP TIEOFF_X25Y148 NAME TIEOFF_X25Y148 SITEPROP TIEOFF_X25Y148 NUM_ARCS 0 SITEPROP TIEOFF_X25Y148 NUM_BELS 2 SITEPROP TIEOFF_X25Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y148 NUM_PINS 2 SITEPROP TIEOFF_X25Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y148 PROHIBIT 0 SITEPROP TIEOFF_X25Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y148 RPM_X 100 SITEPROP TIEOFF_X25Y148 RPM_Y 296 SITEPROP TIEOFF_X25Y148 SITE_PIPS SITEPROP TIEOFF_X25Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X25Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X25Y149 CLASS site SITEPROP TIEOFF_X25Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X25Y149 IS_BONDED 0 SITEPROP TIEOFF_X25Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y149 IS_PAD 0 SITEPROP TIEOFF_X25Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X25Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X25Y149 IS_RESERVED 0 SITEPROP TIEOFF_X25Y149 IS_TEST 0 SITEPROP TIEOFF_X25Y149 IS_USED 0 SITEPROP TIEOFF_X25Y149 MANUAL_ROUTING SITEPROP TIEOFF_X25Y149 NAME TIEOFF_X25Y149 SITEPROP TIEOFF_X25Y149 NUM_ARCS 0 SITEPROP TIEOFF_X25Y149 NUM_BELS 2 SITEPROP TIEOFF_X25Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X25Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X25Y149 NUM_PINS 2 SITEPROP TIEOFF_X25Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X25Y149 PROHIBIT 0 SITEPROP TIEOFF_X25Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X25Y149 RPM_X 100 SITEPROP TIEOFF_X25Y149 RPM_Y 298 SITEPROP TIEOFF_X25Y149 SITE_PIPS SITEPROP TIEOFF_X25Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y0 CLASS site SITEPROP TIEOFF_X26Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y0 IS_BONDED 0 SITEPROP TIEOFF_X26Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y0 IS_PAD 0 SITEPROP TIEOFF_X26Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y0 IS_RESERVED 0 SITEPROP TIEOFF_X26Y0 IS_TEST 0 SITEPROP TIEOFF_X26Y0 IS_USED 0 SITEPROP TIEOFF_X26Y0 MANUAL_ROUTING SITEPROP TIEOFF_X26Y0 NAME TIEOFF_X26Y0 SITEPROP TIEOFF_X26Y0 NUM_ARCS 0 SITEPROP TIEOFF_X26Y0 NUM_BELS 2 SITEPROP TIEOFF_X26Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y0 NUM_PINS 2 SITEPROP TIEOFF_X26Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y0 PROHIBIT 0 SITEPROP TIEOFF_X26Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y0 RPM_X 104 SITEPROP TIEOFF_X26Y0 RPM_Y 0 SITEPROP TIEOFF_X26Y0 SITE_PIPS SITEPROP TIEOFF_X26Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y1 CLASS site SITEPROP TIEOFF_X26Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y1 IS_BONDED 0 SITEPROP TIEOFF_X26Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y1 IS_PAD 0 SITEPROP TIEOFF_X26Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y1 IS_RESERVED 0 SITEPROP TIEOFF_X26Y1 IS_TEST 0 SITEPROP TIEOFF_X26Y1 IS_USED 0 SITEPROP TIEOFF_X26Y1 MANUAL_ROUTING SITEPROP TIEOFF_X26Y1 NAME TIEOFF_X26Y1 SITEPROP TIEOFF_X26Y1 NUM_ARCS 0 SITEPROP TIEOFF_X26Y1 NUM_BELS 2 SITEPROP TIEOFF_X26Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y1 NUM_PINS 2 SITEPROP TIEOFF_X26Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y1 PROHIBIT 0 SITEPROP TIEOFF_X26Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y1 RPM_X 104 SITEPROP TIEOFF_X26Y1 RPM_Y 2 SITEPROP TIEOFF_X26Y1 SITE_PIPS SITEPROP TIEOFF_X26Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y2 CLASS site SITEPROP TIEOFF_X26Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y2 IS_BONDED 0 SITEPROP TIEOFF_X26Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y2 IS_PAD 0 SITEPROP TIEOFF_X26Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y2 IS_RESERVED 0 SITEPROP TIEOFF_X26Y2 IS_TEST 0 SITEPROP TIEOFF_X26Y2 IS_USED 0 SITEPROP TIEOFF_X26Y2 MANUAL_ROUTING SITEPROP TIEOFF_X26Y2 NAME TIEOFF_X26Y2 SITEPROP TIEOFF_X26Y2 NUM_ARCS 0 SITEPROP TIEOFF_X26Y2 NUM_BELS 2 SITEPROP TIEOFF_X26Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y2 NUM_PINS 2 SITEPROP TIEOFF_X26Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y2 PROHIBIT 0 SITEPROP TIEOFF_X26Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y2 RPM_X 104 SITEPROP TIEOFF_X26Y2 RPM_Y 4 SITEPROP TIEOFF_X26Y2 SITE_PIPS SITEPROP TIEOFF_X26Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y3 CLASS site SITEPROP TIEOFF_X26Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y3 IS_BONDED 0 SITEPROP TIEOFF_X26Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y3 IS_PAD 0 SITEPROP TIEOFF_X26Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y3 IS_RESERVED 0 SITEPROP TIEOFF_X26Y3 IS_TEST 0 SITEPROP TIEOFF_X26Y3 IS_USED 0 SITEPROP TIEOFF_X26Y3 MANUAL_ROUTING SITEPROP TIEOFF_X26Y3 NAME TIEOFF_X26Y3 SITEPROP TIEOFF_X26Y3 NUM_ARCS 0 SITEPROP TIEOFF_X26Y3 NUM_BELS 2 SITEPROP TIEOFF_X26Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y3 NUM_PINS 2 SITEPROP TIEOFF_X26Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y3 PROHIBIT 0 SITEPROP TIEOFF_X26Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y3 RPM_X 104 SITEPROP TIEOFF_X26Y3 RPM_Y 6 SITEPROP TIEOFF_X26Y3 SITE_PIPS SITEPROP TIEOFF_X26Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y4 CLASS site SITEPROP TIEOFF_X26Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y4 IS_BONDED 0 SITEPROP TIEOFF_X26Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y4 IS_PAD 0 SITEPROP TIEOFF_X26Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y4 IS_RESERVED 0 SITEPROP TIEOFF_X26Y4 IS_TEST 0 SITEPROP TIEOFF_X26Y4 IS_USED 0 SITEPROP TIEOFF_X26Y4 MANUAL_ROUTING SITEPROP TIEOFF_X26Y4 NAME TIEOFF_X26Y4 SITEPROP TIEOFF_X26Y4 NUM_ARCS 0 SITEPROP TIEOFF_X26Y4 NUM_BELS 2 SITEPROP TIEOFF_X26Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y4 NUM_PINS 2 SITEPROP TIEOFF_X26Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y4 PROHIBIT 0 SITEPROP TIEOFF_X26Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y4 RPM_X 104 SITEPROP TIEOFF_X26Y4 RPM_Y 8 SITEPROP TIEOFF_X26Y4 SITE_PIPS SITEPROP TIEOFF_X26Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y5 CLASS site SITEPROP TIEOFF_X26Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y5 IS_BONDED 0 SITEPROP TIEOFF_X26Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y5 IS_PAD 0 SITEPROP TIEOFF_X26Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y5 IS_RESERVED 0 SITEPROP TIEOFF_X26Y5 IS_TEST 0 SITEPROP TIEOFF_X26Y5 IS_USED 0 SITEPROP TIEOFF_X26Y5 MANUAL_ROUTING SITEPROP TIEOFF_X26Y5 NAME TIEOFF_X26Y5 SITEPROP TIEOFF_X26Y5 NUM_ARCS 0 SITEPROP TIEOFF_X26Y5 NUM_BELS 2 SITEPROP TIEOFF_X26Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y5 NUM_PINS 2 SITEPROP TIEOFF_X26Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y5 PROHIBIT 0 SITEPROP TIEOFF_X26Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y5 RPM_X 104 SITEPROP TIEOFF_X26Y5 RPM_Y 10 SITEPROP TIEOFF_X26Y5 SITE_PIPS SITEPROP TIEOFF_X26Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y6 CLASS site SITEPROP TIEOFF_X26Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y6 IS_BONDED 0 SITEPROP TIEOFF_X26Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y6 IS_PAD 0 SITEPROP TIEOFF_X26Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y6 IS_RESERVED 0 SITEPROP TIEOFF_X26Y6 IS_TEST 0 SITEPROP TIEOFF_X26Y6 IS_USED 0 SITEPROP TIEOFF_X26Y6 MANUAL_ROUTING SITEPROP TIEOFF_X26Y6 NAME TIEOFF_X26Y6 SITEPROP TIEOFF_X26Y6 NUM_ARCS 0 SITEPROP TIEOFF_X26Y6 NUM_BELS 2 SITEPROP TIEOFF_X26Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y6 NUM_PINS 2 SITEPROP TIEOFF_X26Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y6 PROHIBIT 0 SITEPROP TIEOFF_X26Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y6 RPM_X 104 SITEPROP TIEOFF_X26Y6 RPM_Y 12 SITEPROP TIEOFF_X26Y6 SITE_PIPS SITEPROP TIEOFF_X26Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y7 CLASS site SITEPROP TIEOFF_X26Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y7 IS_BONDED 0 SITEPROP TIEOFF_X26Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y7 IS_PAD 0 SITEPROP TIEOFF_X26Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y7 IS_RESERVED 0 SITEPROP TIEOFF_X26Y7 IS_TEST 0 SITEPROP TIEOFF_X26Y7 IS_USED 0 SITEPROP TIEOFF_X26Y7 MANUAL_ROUTING SITEPROP TIEOFF_X26Y7 NAME TIEOFF_X26Y7 SITEPROP TIEOFF_X26Y7 NUM_ARCS 0 SITEPROP TIEOFF_X26Y7 NUM_BELS 2 SITEPROP TIEOFF_X26Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y7 NUM_PINS 2 SITEPROP TIEOFF_X26Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y7 PROHIBIT 0 SITEPROP TIEOFF_X26Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y7 RPM_X 104 SITEPROP TIEOFF_X26Y7 RPM_Y 14 SITEPROP TIEOFF_X26Y7 SITE_PIPS SITEPROP TIEOFF_X26Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y8 CLASS site SITEPROP TIEOFF_X26Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y8 IS_BONDED 0 SITEPROP TIEOFF_X26Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y8 IS_PAD 0 SITEPROP TIEOFF_X26Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y8 IS_RESERVED 0 SITEPROP TIEOFF_X26Y8 IS_TEST 0 SITEPROP TIEOFF_X26Y8 IS_USED 0 SITEPROP TIEOFF_X26Y8 MANUAL_ROUTING SITEPROP TIEOFF_X26Y8 NAME TIEOFF_X26Y8 SITEPROP TIEOFF_X26Y8 NUM_ARCS 0 SITEPROP TIEOFF_X26Y8 NUM_BELS 2 SITEPROP TIEOFF_X26Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y8 NUM_PINS 2 SITEPROP TIEOFF_X26Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y8 PROHIBIT 0 SITEPROP TIEOFF_X26Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y8 RPM_X 104 SITEPROP TIEOFF_X26Y8 RPM_Y 16 SITEPROP TIEOFF_X26Y8 SITE_PIPS SITEPROP TIEOFF_X26Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y9 CLASS site SITEPROP TIEOFF_X26Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y9 IS_BONDED 0 SITEPROP TIEOFF_X26Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y9 IS_PAD 0 SITEPROP TIEOFF_X26Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y9 IS_RESERVED 0 SITEPROP TIEOFF_X26Y9 IS_TEST 0 SITEPROP TIEOFF_X26Y9 IS_USED 0 SITEPROP TIEOFF_X26Y9 MANUAL_ROUTING SITEPROP TIEOFF_X26Y9 NAME TIEOFF_X26Y9 SITEPROP TIEOFF_X26Y9 NUM_ARCS 0 SITEPROP TIEOFF_X26Y9 NUM_BELS 2 SITEPROP TIEOFF_X26Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y9 NUM_PINS 2 SITEPROP TIEOFF_X26Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y9 PROHIBIT 0 SITEPROP TIEOFF_X26Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y9 RPM_X 104 SITEPROP TIEOFF_X26Y9 RPM_Y 18 SITEPROP TIEOFF_X26Y9 SITE_PIPS SITEPROP TIEOFF_X26Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y10 CLASS site SITEPROP TIEOFF_X26Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y10 IS_BONDED 0 SITEPROP TIEOFF_X26Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y10 IS_PAD 0 SITEPROP TIEOFF_X26Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y10 IS_RESERVED 0 SITEPROP TIEOFF_X26Y10 IS_TEST 0 SITEPROP TIEOFF_X26Y10 IS_USED 0 SITEPROP TIEOFF_X26Y10 MANUAL_ROUTING SITEPROP TIEOFF_X26Y10 NAME TIEOFF_X26Y10 SITEPROP TIEOFF_X26Y10 NUM_ARCS 0 SITEPROP TIEOFF_X26Y10 NUM_BELS 2 SITEPROP TIEOFF_X26Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y10 NUM_PINS 2 SITEPROP TIEOFF_X26Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y10 PROHIBIT 0 SITEPROP TIEOFF_X26Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y10 RPM_X 104 SITEPROP TIEOFF_X26Y10 RPM_Y 20 SITEPROP TIEOFF_X26Y10 SITE_PIPS SITEPROP TIEOFF_X26Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y11 CLASS site SITEPROP TIEOFF_X26Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y11 IS_BONDED 0 SITEPROP TIEOFF_X26Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y11 IS_PAD 0 SITEPROP TIEOFF_X26Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y11 IS_RESERVED 0 SITEPROP TIEOFF_X26Y11 IS_TEST 0 SITEPROP TIEOFF_X26Y11 IS_USED 0 SITEPROP TIEOFF_X26Y11 MANUAL_ROUTING SITEPROP TIEOFF_X26Y11 NAME TIEOFF_X26Y11 SITEPROP TIEOFF_X26Y11 NUM_ARCS 0 SITEPROP TIEOFF_X26Y11 NUM_BELS 2 SITEPROP TIEOFF_X26Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y11 NUM_PINS 2 SITEPROP TIEOFF_X26Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y11 PROHIBIT 0 SITEPROP TIEOFF_X26Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y11 RPM_X 104 SITEPROP TIEOFF_X26Y11 RPM_Y 22 SITEPROP TIEOFF_X26Y11 SITE_PIPS SITEPROP TIEOFF_X26Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y12 CLASS site SITEPROP TIEOFF_X26Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y12 IS_BONDED 0 SITEPROP TIEOFF_X26Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y12 IS_PAD 0 SITEPROP TIEOFF_X26Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y12 IS_RESERVED 0 SITEPROP TIEOFF_X26Y12 IS_TEST 0 SITEPROP TIEOFF_X26Y12 IS_USED 0 SITEPROP TIEOFF_X26Y12 MANUAL_ROUTING SITEPROP TIEOFF_X26Y12 NAME TIEOFF_X26Y12 SITEPROP TIEOFF_X26Y12 NUM_ARCS 0 SITEPROP TIEOFF_X26Y12 NUM_BELS 2 SITEPROP TIEOFF_X26Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y12 NUM_PINS 2 SITEPROP TIEOFF_X26Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y12 PROHIBIT 0 SITEPROP TIEOFF_X26Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y12 RPM_X 104 SITEPROP TIEOFF_X26Y12 RPM_Y 24 SITEPROP TIEOFF_X26Y12 SITE_PIPS SITEPROP TIEOFF_X26Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y13 CLASS site SITEPROP TIEOFF_X26Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y13 IS_BONDED 0 SITEPROP TIEOFF_X26Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y13 IS_PAD 0 SITEPROP TIEOFF_X26Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y13 IS_RESERVED 0 SITEPROP TIEOFF_X26Y13 IS_TEST 0 SITEPROP TIEOFF_X26Y13 IS_USED 0 SITEPROP TIEOFF_X26Y13 MANUAL_ROUTING SITEPROP TIEOFF_X26Y13 NAME TIEOFF_X26Y13 SITEPROP TIEOFF_X26Y13 NUM_ARCS 0 SITEPROP TIEOFF_X26Y13 NUM_BELS 2 SITEPROP TIEOFF_X26Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y13 NUM_PINS 2 SITEPROP TIEOFF_X26Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y13 PROHIBIT 0 SITEPROP TIEOFF_X26Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y13 RPM_X 104 SITEPROP TIEOFF_X26Y13 RPM_Y 26 SITEPROP TIEOFF_X26Y13 SITE_PIPS SITEPROP TIEOFF_X26Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y14 CLASS site SITEPROP TIEOFF_X26Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y14 IS_BONDED 0 SITEPROP TIEOFF_X26Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y14 IS_PAD 0 SITEPROP TIEOFF_X26Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y14 IS_RESERVED 0 SITEPROP TIEOFF_X26Y14 IS_TEST 0 SITEPROP TIEOFF_X26Y14 IS_USED 0 SITEPROP TIEOFF_X26Y14 MANUAL_ROUTING SITEPROP TIEOFF_X26Y14 NAME TIEOFF_X26Y14 SITEPROP TIEOFF_X26Y14 NUM_ARCS 0 SITEPROP TIEOFF_X26Y14 NUM_BELS 2 SITEPROP TIEOFF_X26Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y14 NUM_PINS 2 SITEPROP TIEOFF_X26Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y14 PROHIBIT 0 SITEPROP TIEOFF_X26Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y14 RPM_X 104 SITEPROP TIEOFF_X26Y14 RPM_Y 28 SITEPROP TIEOFF_X26Y14 SITE_PIPS SITEPROP TIEOFF_X26Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y15 CLASS site SITEPROP TIEOFF_X26Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y15 IS_BONDED 0 SITEPROP TIEOFF_X26Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y15 IS_PAD 0 SITEPROP TIEOFF_X26Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y15 IS_RESERVED 0 SITEPROP TIEOFF_X26Y15 IS_TEST 0 SITEPROP TIEOFF_X26Y15 IS_USED 0 SITEPROP TIEOFF_X26Y15 MANUAL_ROUTING SITEPROP TIEOFF_X26Y15 NAME TIEOFF_X26Y15 SITEPROP TIEOFF_X26Y15 NUM_ARCS 0 SITEPROP TIEOFF_X26Y15 NUM_BELS 2 SITEPROP TIEOFF_X26Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y15 NUM_PINS 2 SITEPROP TIEOFF_X26Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y15 PROHIBIT 0 SITEPROP TIEOFF_X26Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y15 RPM_X 104 SITEPROP TIEOFF_X26Y15 RPM_Y 30 SITEPROP TIEOFF_X26Y15 SITE_PIPS SITEPROP TIEOFF_X26Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y16 CLASS site SITEPROP TIEOFF_X26Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y16 IS_BONDED 0 SITEPROP TIEOFF_X26Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y16 IS_PAD 0 SITEPROP TIEOFF_X26Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y16 IS_RESERVED 0 SITEPROP TIEOFF_X26Y16 IS_TEST 0 SITEPROP TIEOFF_X26Y16 IS_USED 0 SITEPROP TIEOFF_X26Y16 MANUAL_ROUTING SITEPROP TIEOFF_X26Y16 NAME TIEOFF_X26Y16 SITEPROP TIEOFF_X26Y16 NUM_ARCS 0 SITEPROP TIEOFF_X26Y16 NUM_BELS 2 SITEPROP TIEOFF_X26Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y16 NUM_PINS 2 SITEPROP TIEOFF_X26Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y16 PROHIBIT 0 SITEPROP TIEOFF_X26Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y16 RPM_X 104 SITEPROP TIEOFF_X26Y16 RPM_Y 32 SITEPROP TIEOFF_X26Y16 SITE_PIPS SITEPROP TIEOFF_X26Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y17 CLASS site SITEPROP TIEOFF_X26Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y17 IS_BONDED 0 SITEPROP TIEOFF_X26Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y17 IS_PAD 0 SITEPROP TIEOFF_X26Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y17 IS_RESERVED 0 SITEPROP TIEOFF_X26Y17 IS_TEST 0 SITEPROP TIEOFF_X26Y17 IS_USED 0 SITEPROP TIEOFF_X26Y17 MANUAL_ROUTING SITEPROP TIEOFF_X26Y17 NAME TIEOFF_X26Y17 SITEPROP TIEOFF_X26Y17 NUM_ARCS 0 SITEPROP TIEOFF_X26Y17 NUM_BELS 2 SITEPROP TIEOFF_X26Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y17 NUM_PINS 2 SITEPROP TIEOFF_X26Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y17 PROHIBIT 0 SITEPROP TIEOFF_X26Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y17 RPM_X 104 SITEPROP TIEOFF_X26Y17 RPM_Y 34 SITEPROP TIEOFF_X26Y17 SITE_PIPS SITEPROP TIEOFF_X26Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y18 CLASS site SITEPROP TIEOFF_X26Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y18 IS_BONDED 0 SITEPROP TIEOFF_X26Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y18 IS_PAD 0 SITEPROP TIEOFF_X26Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y18 IS_RESERVED 0 SITEPROP TIEOFF_X26Y18 IS_TEST 0 SITEPROP TIEOFF_X26Y18 IS_USED 0 SITEPROP TIEOFF_X26Y18 MANUAL_ROUTING SITEPROP TIEOFF_X26Y18 NAME TIEOFF_X26Y18 SITEPROP TIEOFF_X26Y18 NUM_ARCS 0 SITEPROP TIEOFF_X26Y18 NUM_BELS 2 SITEPROP TIEOFF_X26Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y18 NUM_PINS 2 SITEPROP TIEOFF_X26Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y18 PROHIBIT 0 SITEPROP TIEOFF_X26Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y18 RPM_X 104 SITEPROP TIEOFF_X26Y18 RPM_Y 36 SITEPROP TIEOFF_X26Y18 SITE_PIPS SITEPROP TIEOFF_X26Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y19 CLASS site SITEPROP TIEOFF_X26Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y19 IS_BONDED 0 SITEPROP TIEOFF_X26Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y19 IS_PAD 0 SITEPROP TIEOFF_X26Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y19 IS_RESERVED 0 SITEPROP TIEOFF_X26Y19 IS_TEST 0 SITEPROP TIEOFF_X26Y19 IS_USED 0 SITEPROP TIEOFF_X26Y19 MANUAL_ROUTING SITEPROP TIEOFF_X26Y19 NAME TIEOFF_X26Y19 SITEPROP TIEOFF_X26Y19 NUM_ARCS 0 SITEPROP TIEOFF_X26Y19 NUM_BELS 2 SITEPROP TIEOFF_X26Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y19 NUM_PINS 2 SITEPROP TIEOFF_X26Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y19 PROHIBIT 0 SITEPROP TIEOFF_X26Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y19 RPM_X 104 SITEPROP TIEOFF_X26Y19 RPM_Y 38 SITEPROP TIEOFF_X26Y19 SITE_PIPS SITEPROP TIEOFF_X26Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y20 CLASS site SITEPROP TIEOFF_X26Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y20 IS_BONDED 0 SITEPROP TIEOFF_X26Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y20 IS_PAD 0 SITEPROP TIEOFF_X26Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y20 IS_RESERVED 0 SITEPROP TIEOFF_X26Y20 IS_TEST 0 SITEPROP TIEOFF_X26Y20 IS_USED 0 SITEPROP TIEOFF_X26Y20 MANUAL_ROUTING SITEPROP TIEOFF_X26Y20 NAME TIEOFF_X26Y20 SITEPROP TIEOFF_X26Y20 NUM_ARCS 0 SITEPROP TIEOFF_X26Y20 NUM_BELS 2 SITEPROP TIEOFF_X26Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y20 NUM_PINS 2 SITEPROP TIEOFF_X26Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y20 PROHIBIT 0 SITEPROP TIEOFF_X26Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y20 RPM_X 104 SITEPROP TIEOFF_X26Y20 RPM_Y 40 SITEPROP TIEOFF_X26Y20 SITE_PIPS SITEPROP TIEOFF_X26Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y21 CLASS site SITEPROP TIEOFF_X26Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y21 IS_BONDED 0 SITEPROP TIEOFF_X26Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y21 IS_PAD 0 SITEPROP TIEOFF_X26Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y21 IS_RESERVED 0 SITEPROP TIEOFF_X26Y21 IS_TEST 0 SITEPROP TIEOFF_X26Y21 IS_USED 0 SITEPROP TIEOFF_X26Y21 MANUAL_ROUTING SITEPROP TIEOFF_X26Y21 NAME TIEOFF_X26Y21 SITEPROP TIEOFF_X26Y21 NUM_ARCS 0 SITEPROP TIEOFF_X26Y21 NUM_BELS 2 SITEPROP TIEOFF_X26Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y21 NUM_PINS 2 SITEPROP TIEOFF_X26Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y21 PROHIBIT 0 SITEPROP TIEOFF_X26Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y21 RPM_X 104 SITEPROP TIEOFF_X26Y21 RPM_Y 42 SITEPROP TIEOFF_X26Y21 SITE_PIPS SITEPROP TIEOFF_X26Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y22 CLASS site SITEPROP TIEOFF_X26Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y22 IS_BONDED 0 SITEPROP TIEOFF_X26Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y22 IS_PAD 0 SITEPROP TIEOFF_X26Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y22 IS_RESERVED 0 SITEPROP TIEOFF_X26Y22 IS_TEST 0 SITEPROP TIEOFF_X26Y22 IS_USED 0 SITEPROP TIEOFF_X26Y22 MANUAL_ROUTING SITEPROP TIEOFF_X26Y22 NAME TIEOFF_X26Y22 SITEPROP TIEOFF_X26Y22 NUM_ARCS 0 SITEPROP TIEOFF_X26Y22 NUM_BELS 2 SITEPROP TIEOFF_X26Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y22 NUM_PINS 2 SITEPROP TIEOFF_X26Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y22 PROHIBIT 0 SITEPROP TIEOFF_X26Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y22 RPM_X 104 SITEPROP TIEOFF_X26Y22 RPM_Y 44 SITEPROP TIEOFF_X26Y22 SITE_PIPS SITEPROP TIEOFF_X26Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y23 CLASS site SITEPROP TIEOFF_X26Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y23 IS_BONDED 0 SITEPROP TIEOFF_X26Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y23 IS_PAD 0 SITEPROP TIEOFF_X26Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y23 IS_RESERVED 0 SITEPROP TIEOFF_X26Y23 IS_TEST 0 SITEPROP TIEOFF_X26Y23 IS_USED 0 SITEPROP TIEOFF_X26Y23 MANUAL_ROUTING SITEPROP TIEOFF_X26Y23 NAME TIEOFF_X26Y23 SITEPROP TIEOFF_X26Y23 NUM_ARCS 0 SITEPROP TIEOFF_X26Y23 NUM_BELS 2 SITEPROP TIEOFF_X26Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y23 NUM_PINS 2 SITEPROP TIEOFF_X26Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y23 PROHIBIT 0 SITEPROP TIEOFF_X26Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y23 RPM_X 104 SITEPROP TIEOFF_X26Y23 RPM_Y 46 SITEPROP TIEOFF_X26Y23 SITE_PIPS SITEPROP TIEOFF_X26Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y24 CLASS site SITEPROP TIEOFF_X26Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y24 IS_BONDED 0 SITEPROP TIEOFF_X26Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y24 IS_PAD 0 SITEPROP TIEOFF_X26Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y24 IS_RESERVED 0 SITEPROP TIEOFF_X26Y24 IS_TEST 0 SITEPROP TIEOFF_X26Y24 IS_USED 0 SITEPROP TIEOFF_X26Y24 MANUAL_ROUTING SITEPROP TIEOFF_X26Y24 NAME TIEOFF_X26Y24 SITEPROP TIEOFF_X26Y24 NUM_ARCS 0 SITEPROP TIEOFF_X26Y24 NUM_BELS 2 SITEPROP TIEOFF_X26Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y24 NUM_PINS 2 SITEPROP TIEOFF_X26Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y24 PROHIBIT 0 SITEPROP TIEOFF_X26Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y24 RPM_X 104 SITEPROP TIEOFF_X26Y24 RPM_Y 48 SITEPROP TIEOFF_X26Y24 SITE_PIPS SITEPROP TIEOFF_X26Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y25 CLASS site SITEPROP TIEOFF_X26Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y25 IS_BONDED 0 SITEPROP TIEOFF_X26Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y25 IS_PAD 0 SITEPROP TIEOFF_X26Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y25 IS_RESERVED 0 SITEPROP TIEOFF_X26Y25 IS_TEST 0 SITEPROP TIEOFF_X26Y25 IS_USED 0 SITEPROP TIEOFF_X26Y25 MANUAL_ROUTING SITEPROP TIEOFF_X26Y25 NAME TIEOFF_X26Y25 SITEPROP TIEOFF_X26Y25 NUM_ARCS 0 SITEPROP TIEOFF_X26Y25 NUM_BELS 2 SITEPROP TIEOFF_X26Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y25 NUM_PINS 2 SITEPROP TIEOFF_X26Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y25 PROHIBIT 0 SITEPROP TIEOFF_X26Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y25 RPM_X 104 SITEPROP TIEOFF_X26Y25 RPM_Y 50 SITEPROP TIEOFF_X26Y25 SITE_PIPS SITEPROP TIEOFF_X26Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y26 CLASS site SITEPROP TIEOFF_X26Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y26 IS_BONDED 0 SITEPROP TIEOFF_X26Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y26 IS_PAD 0 SITEPROP TIEOFF_X26Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y26 IS_RESERVED 0 SITEPROP TIEOFF_X26Y26 IS_TEST 0 SITEPROP TIEOFF_X26Y26 IS_USED 0 SITEPROP TIEOFF_X26Y26 MANUAL_ROUTING SITEPROP TIEOFF_X26Y26 NAME TIEOFF_X26Y26 SITEPROP TIEOFF_X26Y26 NUM_ARCS 0 SITEPROP TIEOFF_X26Y26 NUM_BELS 2 SITEPROP TIEOFF_X26Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y26 NUM_PINS 2 SITEPROP TIEOFF_X26Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y26 PROHIBIT 0 SITEPROP TIEOFF_X26Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y26 RPM_X 104 SITEPROP TIEOFF_X26Y26 RPM_Y 52 SITEPROP TIEOFF_X26Y26 SITE_PIPS SITEPROP TIEOFF_X26Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y27 CLASS site SITEPROP TIEOFF_X26Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y27 IS_BONDED 0 SITEPROP TIEOFF_X26Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y27 IS_PAD 0 SITEPROP TIEOFF_X26Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y27 IS_RESERVED 0 SITEPROP TIEOFF_X26Y27 IS_TEST 0 SITEPROP TIEOFF_X26Y27 IS_USED 0 SITEPROP TIEOFF_X26Y27 MANUAL_ROUTING SITEPROP TIEOFF_X26Y27 NAME TIEOFF_X26Y27 SITEPROP TIEOFF_X26Y27 NUM_ARCS 0 SITEPROP TIEOFF_X26Y27 NUM_BELS 2 SITEPROP TIEOFF_X26Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y27 NUM_PINS 2 SITEPROP TIEOFF_X26Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y27 PROHIBIT 0 SITEPROP TIEOFF_X26Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y27 RPM_X 104 SITEPROP TIEOFF_X26Y27 RPM_Y 54 SITEPROP TIEOFF_X26Y27 SITE_PIPS SITEPROP TIEOFF_X26Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y28 CLASS site SITEPROP TIEOFF_X26Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y28 IS_BONDED 0 SITEPROP TIEOFF_X26Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y28 IS_PAD 0 SITEPROP TIEOFF_X26Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y28 IS_RESERVED 0 SITEPROP TIEOFF_X26Y28 IS_TEST 0 SITEPROP TIEOFF_X26Y28 IS_USED 0 SITEPROP TIEOFF_X26Y28 MANUAL_ROUTING SITEPROP TIEOFF_X26Y28 NAME TIEOFF_X26Y28 SITEPROP TIEOFF_X26Y28 NUM_ARCS 0 SITEPROP TIEOFF_X26Y28 NUM_BELS 2 SITEPROP TIEOFF_X26Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y28 NUM_PINS 2 SITEPROP TIEOFF_X26Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y28 PROHIBIT 0 SITEPROP TIEOFF_X26Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y28 RPM_X 104 SITEPROP TIEOFF_X26Y28 RPM_Y 56 SITEPROP TIEOFF_X26Y28 SITE_PIPS SITEPROP TIEOFF_X26Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y29 CLASS site SITEPROP TIEOFF_X26Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y29 IS_BONDED 0 SITEPROP TIEOFF_X26Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y29 IS_PAD 0 SITEPROP TIEOFF_X26Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y29 IS_RESERVED 0 SITEPROP TIEOFF_X26Y29 IS_TEST 0 SITEPROP TIEOFF_X26Y29 IS_USED 0 SITEPROP TIEOFF_X26Y29 MANUAL_ROUTING SITEPROP TIEOFF_X26Y29 NAME TIEOFF_X26Y29 SITEPROP TIEOFF_X26Y29 NUM_ARCS 0 SITEPROP TIEOFF_X26Y29 NUM_BELS 2 SITEPROP TIEOFF_X26Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y29 NUM_PINS 2 SITEPROP TIEOFF_X26Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y29 PROHIBIT 0 SITEPROP TIEOFF_X26Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y29 RPM_X 104 SITEPROP TIEOFF_X26Y29 RPM_Y 58 SITEPROP TIEOFF_X26Y29 SITE_PIPS SITEPROP TIEOFF_X26Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y30 CLASS site SITEPROP TIEOFF_X26Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y30 IS_BONDED 0 SITEPROP TIEOFF_X26Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y30 IS_PAD 0 SITEPROP TIEOFF_X26Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y30 IS_RESERVED 0 SITEPROP TIEOFF_X26Y30 IS_TEST 0 SITEPROP TIEOFF_X26Y30 IS_USED 0 SITEPROP TIEOFF_X26Y30 MANUAL_ROUTING SITEPROP TIEOFF_X26Y30 NAME TIEOFF_X26Y30 SITEPROP TIEOFF_X26Y30 NUM_ARCS 0 SITEPROP TIEOFF_X26Y30 NUM_BELS 2 SITEPROP TIEOFF_X26Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y30 NUM_PINS 2 SITEPROP TIEOFF_X26Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y30 PROHIBIT 0 SITEPROP TIEOFF_X26Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y30 RPM_X 104 SITEPROP TIEOFF_X26Y30 RPM_Y 60 SITEPROP TIEOFF_X26Y30 SITE_PIPS SITEPROP TIEOFF_X26Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y31 CLASS site SITEPROP TIEOFF_X26Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y31 IS_BONDED 0 SITEPROP TIEOFF_X26Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y31 IS_PAD 0 SITEPROP TIEOFF_X26Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y31 IS_RESERVED 0 SITEPROP TIEOFF_X26Y31 IS_TEST 0 SITEPROP TIEOFF_X26Y31 IS_USED 0 SITEPROP TIEOFF_X26Y31 MANUAL_ROUTING SITEPROP TIEOFF_X26Y31 NAME TIEOFF_X26Y31 SITEPROP TIEOFF_X26Y31 NUM_ARCS 0 SITEPROP TIEOFF_X26Y31 NUM_BELS 2 SITEPROP TIEOFF_X26Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y31 NUM_PINS 2 SITEPROP TIEOFF_X26Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y31 PROHIBIT 0 SITEPROP TIEOFF_X26Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y31 RPM_X 104 SITEPROP TIEOFF_X26Y31 RPM_Y 62 SITEPROP TIEOFF_X26Y31 SITE_PIPS SITEPROP TIEOFF_X26Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y32 CLASS site SITEPROP TIEOFF_X26Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y32 IS_BONDED 0 SITEPROP TIEOFF_X26Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y32 IS_PAD 0 SITEPROP TIEOFF_X26Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y32 IS_RESERVED 0 SITEPROP TIEOFF_X26Y32 IS_TEST 0 SITEPROP TIEOFF_X26Y32 IS_USED 0 SITEPROP TIEOFF_X26Y32 MANUAL_ROUTING SITEPROP TIEOFF_X26Y32 NAME TIEOFF_X26Y32 SITEPROP TIEOFF_X26Y32 NUM_ARCS 0 SITEPROP TIEOFF_X26Y32 NUM_BELS 2 SITEPROP TIEOFF_X26Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y32 NUM_PINS 2 SITEPROP TIEOFF_X26Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y32 PROHIBIT 0 SITEPROP TIEOFF_X26Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y32 RPM_X 104 SITEPROP TIEOFF_X26Y32 RPM_Y 64 SITEPROP TIEOFF_X26Y32 SITE_PIPS SITEPROP TIEOFF_X26Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y33 CLASS site SITEPROP TIEOFF_X26Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y33 IS_BONDED 0 SITEPROP TIEOFF_X26Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y33 IS_PAD 0 SITEPROP TIEOFF_X26Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y33 IS_RESERVED 0 SITEPROP TIEOFF_X26Y33 IS_TEST 0 SITEPROP TIEOFF_X26Y33 IS_USED 0 SITEPROP TIEOFF_X26Y33 MANUAL_ROUTING SITEPROP TIEOFF_X26Y33 NAME TIEOFF_X26Y33 SITEPROP TIEOFF_X26Y33 NUM_ARCS 0 SITEPROP TIEOFF_X26Y33 NUM_BELS 2 SITEPROP TIEOFF_X26Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y33 NUM_PINS 2 SITEPROP TIEOFF_X26Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y33 PROHIBIT 0 SITEPROP TIEOFF_X26Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y33 RPM_X 104 SITEPROP TIEOFF_X26Y33 RPM_Y 66 SITEPROP TIEOFF_X26Y33 SITE_PIPS SITEPROP TIEOFF_X26Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y34 CLASS site SITEPROP TIEOFF_X26Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y34 IS_BONDED 0 SITEPROP TIEOFF_X26Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y34 IS_PAD 0 SITEPROP TIEOFF_X26Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y34 IS_RESERVED 0 SITEPROP TIEOFF_X26Y34 IS_TEST 0 SITEPROP TIEOFF_X26Y34 IS_USED 0 SITEPROP TIEOFF_X26Y34 MANUAL_ROUTING SITEPROP TIEOFF_X26Y34 NAME TIEOFF_X26Y34 SITEPROP TIEOFF_X26Y34 NUM_ARCS 0 SITEPROP TIEOFF_X26Y34 NUM_BELS 2 SITEPROP TIEOFF_X26Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y34 NUM_PINS 2 SITEPROP TIEOFF_X26Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y34 PROHIBIT 0 SITEPROP TIEOFF_X26Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y34 RPM_X 104 SITEPROP TIEOFF_X26Y34 RPM_Y 68 SITEPROP TIEOFF_X26Y34 SITE_PIPS SITEPROP TIEOFF_X26Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y35 CLASS site SITEPROP TIEOFF_X26Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y35 IS_BONDED 0 SITEPROP TIEOFF_X26Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y35 IS_PAD 0 SITEPROP TIEOFF_X26Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y35 IS_RESERVED 0 SITEPROP TIEOFF_X26Y35 IS_TEST 0 SITEPROP TIEOFF_X26Y35 IS_USED 0 SITEPROP TIEOFF_X26Y35 MANUAL_ROUTING SITEPROP TIEOFF_X26Y35 NAME TIEOFF_X26Y35 SITEPROP TIEOFF_X26Y35 NUM_ARCS 0 SITEPROP TIEOFF_X26Y35 NUM_BELS 2 SITEPROP TIEOFF_X26Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y35 NUM_PINS 2 SITEPROP TIEOFF_X26Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y35 PROHIBIT 0 SITEPROP TIEOFF_X26Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y35 RPM_X 104 SITEPROP TIEOFF_X26Y35 RPM_Y 70 SITEPROP TIEOFF_X26Y35 SITE_PIPS SITEPROP TIEOFF_X26Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y36 CLASS site SITEPROP TIEOFF_X26Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y36 IS_BONDED 0 SITEPROP TIEOFF_X26Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y36 IS_PAD 0 SITEPROP TIEOFF_X26Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y36 IS_RESERVED 0 SITEPROP TIEOFF_X26Y36 IS_TEST 0 SITEPROP TIEOFF_X26Y36 IS_USED 0 SITEPROP TIEOFF_X26Y36 MANUAL_ROUTING SITEPROP TIEOFF_X26Y36 NAME TIEOFF_X26Y36 SITEPROP TIEOFF_X26Y36 NUM_ARCS 0 SITEPROP TIEOFF_X26Y36 NUM_BELS 2 SITEPROP TIEOFF_X26Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y36 NUM_PINS 2 SITEPROP TIEOFF_X26Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y36 PROHIBIT 0 SITEPROP TIEOFF_X26Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y36 RPM_X 104 SITEPROP TIEOFF_X26Y36 RPM_Y 72 SITEPROP TIEOFF_X26Y36 SITE_PIPS SITEPROP TIEOFF_X26Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y37 CLASS site SITEPROP TIEOFF_X26Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y37 IS_BONDED 0 SITEPROP TIEOFF_X26Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y37 IS_PAD 0 SITEPROP TIEOFF_X26Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y37 IS_RESERVED 0 SITEPROP TIEOFF_X26Y37 IS_TEST 0 SITEPROP TIEOFF_X26Y37 IS_USED 0 SITEPROP TIEOFF_X26Y37 MANUAL_ROUTING SITEPROP TIEOFF_X26Y37 NAME TIEOFF_X26Y37 SITEPROP TIEOFF_X26Y37 NUM_ARCS 0 SITEPROP TIEOFF_X26Y37 NUM_BELS 2 SITEPROP TIEOFF_X26Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y37 NUM_PINS 2 SITEPROP TIEOFF_X26Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y37 PROHIBIT 0 SITEPROP TIEOFF_X26Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y37 RPM_X 104 SITEPROP TIEOFF_X26Y37 RPM_Y 74 SITEPROP TIEOFF_X26Y37 SITE_PIPS SITEPROP TIEOFF_X26Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y38 CLASS site SITEPROP TIEOFF_X26Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y38 IS_BONDED 0 SITEPROP TIEOFF_X26Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y38 IS_PAD 0 SITEPROP TIEOFF_X26Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y38 IS_RESERVED 0 SITEPROP TIEOFF_X26Y38 IS_TEST 0 SITEPROP TIEOFF_X26Y38 IS_USED 0 SITEPROP TIEOFF_X26Y38 MANUAL_ROUTING SITEPROP TIEOFF_X26Y38 NAME TIEOFF_X26Y38 SITEPROP TIEOFF_X26Y38 NUM_ARCS 0 SITEPROP TIEOFF_X26Y38 NUM_BELS 2 SITEPROP TIEOFF_X26Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y38 NUM_PINS 2 SITEPROP TIEOFF_X26Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y38 PROHIBIT 0 SITEPROP TIEOFF_X26Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y38 RPM_X 104 SITEPROP TIEOFF_X26Y38 RPM_Y 76 SITEPROP TIEOFF_X26Y38 SITE_PIPS SITEPROP TIEOFF_X26Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y39 CLASS site SITEPROP TIEOFF_X26Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y39 IS_BONDED 0 SITEPROP TIEOFF_X26Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y39 IS_PAD 0 SITEPROP TIEOFF_X26Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y39 IS_RESERVED 0 SITEPROP TIEOFF_X26Y39 IS_TEST 0 SITEPROP TIEOFF_X26Y39 IS_USED 0 SITEPROP TIEOFF_X26Y39 MANUAL_ROUTING SITEPROP TIEOFF_X26Y39 NAME TIEOFF_X26Y39 SITEPROP TIEOFF_X26Y39 NUM_ARCS 0 SITEPROP TIEOFF_X26Y39 NUM_BELS 2 SITEPROP TIEOFF_X26Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y39 NUM_PINS 2 SITEPROP TIEOFF_X26Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y39 PROHIBIT 0 SITEPROP TIEOFF_X26Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y39 RPM_X 104 SITEPROP TIEOFF_X26Y39 RPM_Y 78 SITEPROP TIEOFF_X26Y39 SITE_PIPS SITEPROP TIEOFF_X26Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y40 CLASS site SITEPROP TIEOFF_X26Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y40 IS_BONDED 0 SITEPROP TIEOFF_X26Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y40 IS_PAD 0 SITEPROP TIEOFF_X26Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y40 IS_RESERVED 0 SITEPROP TIEOFF_X26Y40 IS_TEST 0 SITEPROP TIEOFF_X26Y40 IS_USED 0 SITEPROP TIEOFF_X26Y40 MANUAL_ROUTING SITEPROP TIEOFF_X26Y40 NAME TIEOFF_X26Y40 SITEPROP TIEOFF_X26Y40 NUM_ARCS 0 SITEPROP TIEOFF_X26Y40 NUM_BELS 2 SITEPROP TIEOFF_X26Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y40 NUM_PINS 2 SITEPROP TIEOFF_X26Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y40 PROHIBIT 0 SITEPROP TIEOFF_X26Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y40 RPM_X 104 SITEPROP TIEOFF_X26Y40 RPM_Y 80 SITEPROP TIEOFF_X26Y40 SITE_PIPS SITEPROP TIEOFF_X26Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y41 CLASS site SITEPROP TIEOFF_X26Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y41 IS_BONDED 0 SITEPROP TIEOFF_X26Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y41 IS_PAD 0 SITEPROP TIEOFF_X26Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y41 IS_RESERVED 0 SITEPROP TIEOFF_X26Y41 IS_TEST 0 SITEPROP TIEOFF_X26Y41 IS_USED 0 SITEPROP TIEOFF_X26Y41 MANUAL_ROUTING SITEPROP TIEOFF_X26Y41 NAME TIEOFF_X26Y41 SITEPROP TIEOFF_X26Y41 NUM_ARCS 0 SITEPROP TIEOFF_X26Y41 NUM_BELS 2 SITEPROP TIEOFF_X26Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y41 NUM_PINS 2 SITEPROP TIEOFF_X26Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y41 PROHIBIT 0 SITEPROP TIEOFF_X26Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y41 RPM_X 104 SITEPROP TIEOFF_X26Y41 RPM_Y 82 SITEPROP TIEOFF_X26Y41 SITE_PIPS SITEPROP TIEOFF_X26Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y42 CLASS site SITEPROP TIEOFF_X26Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y42 IS_BONDED 0 SITEPROP TIEOFF_X26Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y42 IS_PAD 0 SITEPROP TIEOFF_X26Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y42 IS_RESERVED 0 SITEPROP TIEOFF_X26Y42 IS_TEST 0 SITEPROP TIEOFF_X26Y42 IS_USED 0 SITEPROP TIEOFF_X26Y42 MANUAL_ROUTING SITEPROP TIEOFF_X26Y42 NAME TIEOFF_X26Y42 SITEPROP TIEOFF_X26Y42 NUM_ARCS 0 SITEPROP TIEOFF_X26Y42 NUM_BELS 2 SITEPROP TIEOFF_X26Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y42 NUM_PINS 2 SITEPROP TIEOFF_X26Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y42 PROHIBIT 0 SITEPROP TIEOFF_X26Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y42 RPM_X 104 SITEPROP TIEOFF_X26Y42 RPM_Y 84 SITEPROP TIEOFF_X26Y42 SITE_PIPS SITEPROP TIEOFF_X26Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y43 CLASS site SITEPROP TIEOFF_X26Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y43 IS_BONDED 0 SITEPROP TIEOFF_X26Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y43 IS_PAD 0 SITEPROP TIEOFF_X26Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y43 IS_RESERVED 0 SITEPROP TIEOFF_X26Y43 IS_TEST 0 SITEPROP TIEOFF_X26Y43 IS_USED 0 SITEPROP TIEOFF_X26Y43 MANUAL_ROUTING SITEPROP TIEOFF_X26Y43 NAME TIEOFF_X26Y43 SITEPROP TIEOFF_X26Y43 NUM_ARCS 0 SITEPROP TIEOFF_X26Y43 NUM_BELS 2 SITEPROP TIEOFF_X26Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y43 NUM_PINS 2 SITEPROP TIEOFF_X26Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y43 PROHIBIT 0 SITEPROP TIEOFF_X26Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y43 RPM_X 104 SITEPROP TIEOFF_X26Y43 RPM_Y 86 SITEPROP TIEOFF_X26Y43 SITE_PIPS SITEPROP TIEOFF_X26Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y44 CLASS site SITEPROP TIEOFF_X26Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y44 IS_BONDED 0 SITEPROP TIEOFF_X26Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y44 IS_PAD 0 SITEPROP TIEOFF_X26Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y44 IS_RESERVED 0 SITEPROP TIEOFF_X26Y44 IS_TEST 0 SITEPROP TIEOFF_X26Y44 IS_USED 0 SITEPROP TIEOFF_X26Y44 MANUAL_ROUTING SITEPROP TIEOFF_X26Y44 NAME TIEOFF_X26Y44 SITEPROP TIEOFF_X26Y44 NUM_ARCS 0 SITEPROP TIEOFF_X26Y44 NUM_BELS 2 SITEPROP TIEOFF_X26Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y44 NUM_PINS 2 SITEPROP TIEOFF_X26Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y44 PROHIBIT 0 SITEPROP TIEOFF_X26Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y44 RPM_X 104 SITEPROP TIEOFF_X26Y44 RPM_Y 88 SITEPROP TIEOFF_X26Y44 SITE_PIPS SITEPROP TIEOFF_X26Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y45 CLASS site SITEPROP TIEOFF_X26Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y45 IS_BONDED 0 SITEPROP TIEOFF_X26Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y45 IS_PAD 0 SITEPROP TIEOFF_X26Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y45 IS_RESERVED 0 SITEPROP TIEOFF_X26Y45 IS_TEST 0 SITEPROP TIEOFF_X26Y45 IS_USED 0 SITEPROP TIEOFF_X26Y45 MANUAL_ROUTING SITEPROP TIEOFF_X26Y45 NAME TIEOFF_X26Y45 SITEPROP TIEOFF_X26Y45 NUM_ARCS 0 SITEPROP TIEOFF_X26Y45 NUM_BELS 2 SITEPROP TIEOFF_X26Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y45 NUM_PINS 2 SITEPROP TIEOFF_X26Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y45 PROHIBIT 0 SITEPROP TIEOFF_X26Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y45 RPM_X 104 SITEPROP TIEOFF_X26Y45 RPM_Y 90 SITEPROP TIEOFF_X26Y45 SITE_PIPS SITEPROP TIEOFF_X26Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y46 CLASS site SITEPROP TIEOFF_X26Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y46 IS_BONDED 0 SITEPROP TIEOFF_X26Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y46 IS_PAD 0 SITEPROP TIEOFF_X26Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y46 IS_RESERVED 0 SITEPROP TIEOFF_X26Y46 IS_TEST 0 SITEPROP TIEOFF_X26Y46 IS_USED 0 SITEPROP TIEOFF_X26Y46 MANUAL_ROUTING SITEPROP TIEOFF_X26Y46 NAME TIEOFF_X26Y46 SITEPROP TIEOFF_X26Y46 NUM_ARCS 0 SITEPROP TIEOFF_X26Y46 NUM_BELS 2 SITEPROP TIEOFF_X26Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y46 NUM_PINS 2 SITEPROP TIEOFF_X26Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y46 PROHIBIT 0 SITEPROP TIEOFF_X26Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y46 RPM_X 104 SITEPROP TIEOFF_X26Y46 RPM_Y 92 SITEPROP TIEOFF_X26Y46 SITE_PIPS SITEPROP TIEOFF_X26Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y47 CLASS site SITEPROP TIEOFF_X26Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y47 IS_BONDED 0 SITEPROP TIEOFF_X26Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y47 IS_PAD 0 SITEPROP TIEOFF_X26Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y47 IS_RESERVED 0 SITEPROP TIEOFF_X26Y47 IS_TEST 0 SITEPROP TIEOFF_X26Y47 IS_USED 0 SITEPROP TIEOFF_X26Y47 MANUAL_ROUTING SITEPROP TIEOFF_X26Y47 NAME TIEOFF_X26Y47 SITEPROP TIEOFF_X26Y47 NUM_ARCS 0 SITEPROP TIEOFF_X26Y47 NUM_BELS 2 SITEPROP TIEOFF_X26Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y47 NUM_PINS 2 SITEPROP TIEOFF_X26Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y47 PROHIBIT 0 SITEPROP TIEOFF_X26Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y47 RPM_X 104 SITEPROP TIEOFF_X26Y47 RPM_Y 94 SITEPROP TIEOFF_X26Y47 SITE_PIPS SITEPROP TIEOFF_X26Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y48 CLASS site SITEPROP TIEOFF_X26Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y48 IS_BONDED 0 SITEPROP TIEOFF_X26Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y48 IS_PAD 0 SITEPROP TIEOFF_X26Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y48 IS_RESERVED 0 SITEPROP TIEOFF_X26Y48 IS_TEST 0 SITEPROP TIEOFF_X26Y48 IS_USED 0 SITEPROP TIEOFF_X26Y48 MANUAL_ROUTING SITEPROP TIEOFF_X26Y48 NAME TIEOFF_X26Y48 SITEPROP TIEOFF_X26Y48 NUM_ARCS 0 SITEPROP TIEOFF_X26Y48 NUM_BELS 2 SITEPROP TIEOFF_X26Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y48 NUM_PINS 2 SITEPROP TIEOFF_X26Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y48 PROHIBIT 0 SITEPROP TIEOFF_X26Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y48 RPM_X 104 SITEPROP TIEOFF_X26Y48 RPM_Y 96 SITEPROP TIEOFF_X26Y48 SITE_PIPS SITEPROP TIEOFF_X26Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y49 CLASS site SITEPROP TIEOFF_X26Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X26Y49 IS_BONDED 0 SITEPROP TIEOFF_X26Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y49 IS_PAD 0 SITEPROP TIEOFF_X26Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y49 IS_RESERVED 0 SITEPROP TIEOFF_X26Y49 IS_TEST 0 SITEPROP TIEOFF_X26Y49 IS_USED 0 SITEPROP TIEOFF_X26Y49 MANUAL_ROUTING SITEPROP TIEOFF_X26Y49 NAME TIEOFF_X26Y49 SITEPROP TIEOFF_X26Y49 NUM_ARCS 0 SITEPROP TIEOFF_X26Y49 NUM_BELS 2 SITEPROP TIEOFF_X26Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y49 NUM_PINS 2 SITEPROP TIEOFF_X26Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y49 PROHIBIT 0 SITEPROP TIEOFF_X26Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y49 RPM_X 104 SITEPROP TIEOFF_X26Y49 RPM_Y 98 SITEPROP TIEOFF_X26Y49 SITE_PIPS SITEPROP TIEOFF_X26Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y50 CLASS site SITEPROP TIEOFF_X26Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y50 IS_BONDED 0 SITEPROP TIEOFF_X26Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y50 IS_PAD 0 SITEPROP TIEOFF_X26Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y50 IS_RESERVED 0 SITEPROP TIEOFF_X26Y50 IS_TEST 0 SITEPROP TIEOFF_X26Y50 IS_USED 0 SITEPROP TIEOFF_X26Y50 MANUAL_ROUTING SITEPROP TIEOFF_X26Y50 NAME TIEOFF_X26Y50 SITEPROP TIEOFF_X26Y50 NUM_ARCS 0 SITEPROP TIEOFF_X26Y50 NUM_BELS 2 SITEPROP TIEOFF_X26Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y50 NUM_PINS 2 SITEPROP TIEOFF_X26Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y50 PROHIBIT 0 SITEPROP TIEOFF_X26Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y50 RPM_X 104 SITEPROP TIEOFF_X26Y50 RPM_Y 100 SITEPROP TIEOFF_X26Y50 SITE_PIPS SITEPROP TIEOFF_X26Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y51 CLASS site SITEPROP TIEOFF_X26Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y51 IS_BONDED 0 SITEPROP TIEOFF_X26Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y51 IS_PAD 0 SITEPROP TIEOFF_X26Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y51 IS_RESERVED 0 SITEPROP TIEOFF_X26Y51 IS_TEST 0 SITEPROP TIEOFF_X26Y51 IS_USED 0 SITEPROP TIEOFF_X26Y51 MANUAL_ROUTING SITEPROP TIEOFF_X26Y51 NAME TIEOFF_X26Y51 SITEPROP TIEOFF_X26Y51 NUM_ARCS 0 SITEPROP TIEOFF_X26Y51 NUM_BELS 2 SITEPROP TIEOFF_X26Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y51 NUM_PINS 2 SITEPROP TIEOFF_X26Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y51 PROHIBIT 0 SITEPROP TIEOFF_X26Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y51 RPM_X 104 SITEPROP TIEOFF_X26Y51 RPM_Y 102 SITEPROP TIEOFF_X26Y51 SITE_PIPS SITEPROP TIEOFF_X26Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y52 CLASS site SITEPROP TIEOFF_X26Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y52 IS_BONDED 0 SITEPROP TIEOFF_X26Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y52 IS_PAD 0 SITEPROP TIEOFF_X26Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y52 IS_RESERVED 0 SITEPROP TIEOFF_X26Y52 IS_TEST 0 SITEPROP TIEOFF_X26Y52 IS_USED 0 SITEPROP TIEOFF_X26Y52 MANUAL_ROUTING SITEPROP TIEOFF_X26Y52 NAME TIEOFF_X26Y52 SITEPROP TIEOFF_X26Y52 NUM_ARCS 0 SITEPROP TIEOFF_X26Y52 NUM_BELS 2 SITEPROP TIEOFF_X26Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y52 NUM_PINS 2 SITEPROP TIEOFF_X26Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y52 PROHIBIT 0 SITEPROP TIEOFF_X26Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y52 RPM_X 104 SITEPROP TIEOFF_X26Y52 RPM_Y 104 SITEPROP TIEOFF_X26Y52 SITE_PIPS SITEPROP TIEOFF_X26Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y53 CLASS site SITEPROP TIEOFF_X26Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y53 IS_BONDED 0 SITEPROP TIEOFF_X26Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y53 IS_PAD 0 SITEPROP TIEOFF_X26Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y53 IS_RESERVED 0 SITEPROP TIEOFF_X26Y53 IS_TEST 0 SITEPROP TIEOFF_X26Y53 IS_USED 0 SITEPROP TIEOFF_X26Y53 MANUAL_ROUTING SITEPROP TIEOFF_X26Y53 NAME TIEOFF_X26Y53 SITEPROP TIEOFF_X26Y53 NUM_ARCS 0 SITEPROP TIEOFF_X26Y53 NUM_BELS 2 SITEPROP TIEOFF_X26Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y53 NUM_PINS 2 SITEPROP TIEOFF_X26Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y53 PROHIBIT 0 SITEPROP TIEOFF_X26Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y53 RPM_X 104 SITEPROP TIEOFF_X26Y53 RPM_Y 106 SITEPROP TIEOFF_X26Y53 SITE_PIPS SITEPROP TIEOFF_X26Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y54 CLASS site SITEPROP TIEOFF_X26Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y54 IS_BONDED 0 SITEPROP TIEOFF_X26Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y54 IS_PAD 0 SITEPROP TIEOFF_X26Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y54 IS_RESERVED 0 SITEPROP TIEOFF_X26Y54 IS_TEST 0 SITEPROP TIEOFF_X26Y54 IS_USED 0 SITEPROP TIEOFF_X26Y54 MANUAL_ROUTING SITEPROP TIEOFF_X26Y54 NAME TIEOFF_X26Y54 SITEPROP TIEOFF_X26Y54 NUM_ARCS 0 SITEPROP TIEOFF_X26Y54 NUM_BELS 2 SITEPROP TIEOFF_X26Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y54 NUM_PINS 2 SITEPROP TIEOFF_X26Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y54 PROHIBIT 0 SITEPROP TIEOFF_X26Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y54 RPM_X 104 SITEPROP TIEOFF_X26Y54 RPM_Y 108 SITEPROP TIEOFF_X26Y54 SITE_PIPS SITEPROP TIEOFF_X26Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y55 CLASS site SITEPROP TIEOFF_X26Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y55 IS_BONDED 0 SITEPROP TIEOFF_X26Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y55 IS_PAD 0 SITEPROP TIEOFF_X26Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y55 IS_RESERVED 0 SITEPROP TIEOFF_X26Y55 IS_TEST 0 SITEPROP TIEOFF_X26Y55 IS_USED 0 SITEPROP TIEOFF_X26Y55 MANUAL_ROUTING SITEPROP TIEOFF_X26Y55 NAME TIEOFF_X26Y55 SITEPROP TIEOFF_X26Y55 NUM_ARCS 0 SITEPROP TIEOFF_X26Y55 NUM_BELS 2 SITEPROP TIEOFF_X26Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y55 NUM_PINS 2 SITEPROP TIEOFF_X26Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y55 PROHIBIT 0 SITEPROP TIEOFF_X26Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y55 RPM_X 104 SITEPROP TIEOFF_X26Y55 RPM_Y 110 SITEPROP TIEOFF_X26Y55 SITE_PIPS SITEPROP TIEOFF_X26Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y56 CLASS site SITEPROP TIEOFF_X26Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y56 IS_BONDED 0 SITEPROP TIEOFF_X26Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y56 IS_PAD 0 SITEPROP TIEOFF_X26Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y56 IS_RESERVED 0 SITEPROP TIEOFF_X26Y56 IS_TEST 0 SITEPROP TIEOFF_X26Y56 IS_USED 0 SITEPROP TIEOFF_X26Y56 MANUAL_ROUTING SITEPROP TIEOFF_X26Y56 NAME TIEOFF_X26Y56 SITEPROP TIEOFF_X26Y56 NUM_ARCS 0 SITEPROP TIEOFF_X26Y56 NUM_BELS 2 SITEPROP TIEOFF_X26Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y56 NUM_PINS 2 SITEPROP TIEOFF_X26Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y56 PROHIBIT 0 SITEPROP TIEOFF_X26Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y56 RPM_X 104 SITEPROP TIEOFF_X26Y56 RPM_Y 112 SITEPROP TIEOFF_X26Y56 SITE_PIPS SITEPROP TIEOFF_X26Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y57 CLASS site SITEPROP TIEOFF_X26Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y57 IS_BONDED 0 SITEPROP TIEOFF_X26Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y57 IS_PAD 0 SITEPROP TIEOFF_X26Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y57 IS_RESERVED 0 SITEPROP TIEOFF_X26Y57 IS_TEST 0 SITEPROP TIEOFF_X26Y57 IS_USED 0 SITEPROP TIEOFF_X26Y57 MANUAL_ROUTING SITEPROP TIEOFF_X26Y57 NAME TIEOFF_X26Y57 SITEPROP TIEOFF_X26Y57 NUM_ARCS 0 SITEPROP TIEOFF_X26Y57 NUM_BELS 2 SITEPROP TIEOFF_X26Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y57 NUM_PINS 2 SITEPROP TIEOFF_X26Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y57 PROHIBIT 0 SITEPROP TIEOFF_X26Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y57 RPM_X 104 SITEPROP TIEOFF_X26Y57 RPM_Y 114 SITEPROP TIEOFF_X26Y57 SITE_PIPS SITEPROP TIEOFF_X26Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y58 CLASS site SITEPROP TIEOFF_X26Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y58 IS_BONDED 0 SITEPROP TIEOFF_X26Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y58 IS_PAD 0 SITEPROP TIEOFF_X26Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y58 IS_RESERVED 0 SITEPROP TIEOFF_X26Y58 IS_TEST 0 SITEPROP TIEOFF_X26Y58 IS_USED 0 SITEPROP TIEOFF_X26Y58 MANUAL_ROUTING SITEPROP TIEOFF_X26Y58 NAME TIEOFF_X26Y58 SITEPROP TIEOFF_X26Y58 NUM_ARCS 0 SITEPROP TIEOFF_X26Y58 NUM_BELS 2 SITEPROP TIEOFF_X26Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y58 NUM_PINS 2 SITEPROP TIEOFF_X26Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y58 PROHIBIT 0 SITEPROP TIEOFF_X26Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y58 RPM_X 104 SITEPROP TIEOFF_X26Y58 RPM_Y 116 SITEPROP TIEOFF_X26Y58 SITE_PIPS SITEPROP TIEOFF_X26Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y59 CLASS site SITEPROP TIEOFF_X26Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y59 IS_BONDED 0 SITEPROP TIEOFF_X26Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y59 IS_PAD 0 SITEPROP TIEOFF_X26Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y59 IS_RESERVED 0 SITEPROP TIEOFF_X26Y59 IS_TEST 0 SITEPROP TIEOFF_X26Y59 IS_USED 0 SITEPROP TIEOFF_X26Y59 MANUAL_ROUTING SITEPROP TIEOFF_X26Y59 NAME TIEOFF_X26Y59 SITEPROP TIEOFF_X26Y59 NUM_ARCS 0 SITEPROP TIEOFF_X26Y59 NUM_BELS 2 SITEPROP TIEOFF_X26Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y59 NUM_PINS 2 SITEPROP TIEOFF_X26Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y59 PROHIBIT 0 SITEPROP TIEOFF_X26Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y59 RPM_X 104 SITEPROP TIEOFF_X26Y59 RPM_Y 118 SITEPROP TIEOFF_X26Y59 SITE_PIPS SITEPROP TIEOFF_X26Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y60 CLASS site SITEPROP TIEOFF_X26Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y60 IS_BONDED 0 SITEPROP TIEOFF_X26Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y60 IS_PAD 0 SITEPROP TIEOFF_X26Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y60 IS_RESERVED 0 SITEPROP TIEOFF_X26Y60 IS_TEST 0 SITEPROP TIEOFF_X26Y60 IS_USED 0 SITEPROP TIEOFF_X26Y60 MANUAL_ROUTING SITEPROP TIEOFF_X26Y60 NAME TIEOFF_X26Y60 SITEPROP TIEOFF_X26Y60 NUM_ARCS 0 SITEPROP TIEOFF_X26Y60 NUM_BELS 2 SITEPROP TIEOFF_X26Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y60 NUM_PINS 2 SITEPROP TIEOFF_X26Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y60 PROHIBIT 0 SITEPROP TIEOFF_X26Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y60 RPM_X 104 SITEPROP TIEOFF_X26Y60 RPM_Y 120 SITEPROP TIEOFF_X26Y60 SITE_PIPS SITEPROP TIEOFF_X26Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y61 CLASS site SITEPROP TIEOFF_X26Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y61 IS_BONDED 0 SITEPROP TIEOFF_X26Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y61 IS_PAD 0 SITEPROP TIEOFF_X26Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y61 IS_RESERVED 0 SITEPROP TIEOFF_X26Y61 IS_TEST 0 SITEPROP TIEOFF_X26Y61 IS_USED 0 SITEPROP TIEOFF_X26Y61 MANUAL_ROUTING SITEPROP TIEOFF_X26Y61 NAME TIEOFF_X26Y61 SITEPROP TIEOFF_X26Y61 NUM_ARCS 0 SITEPROP TIEOFF_X26Y61 NUM_BELS 2 SITEPROP TIEOFF_X26Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y61 NUM_PINS 2 SITEPROP TIEOFF_X26Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y61 PROHIBIT 0 SITEPROP TIEOFF_X26Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y61 RPM_X 104 SITEPROP TIEOFF_X26Y61 RPM_Y 122 SITEPROP TIEOFF_X26Y61 SITE_PIPS SITEPROP TIEOFF_X26Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y62 CLASS site SITEPROP TIEOFF_X26Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y62 IS_BONDED 0 SITEPROP TIEOFF_X26Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y62 IS_PAD 0 SITEPROP TIEOFF_X26Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y62 IS_RESERVED 0 SITEPROP TIEOFF_X26Y62 IS_TEST 0 SITEPROP TIEOFF_X26Y62 IS_USED 0 SITEPROP TIEOFF_X26Y62 MANUAL_ROUTING SITEPROP TIEOFF_X26Y62 NAME TIEOFF_X26Y62 SITEPROP TIEOFF_X26Y62 NUM_ARCS 0 SITEPROP TIEOFF_X26Y62 NUM_BELS 2 SITEPROP TIEOFF_X26Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y62 NUM_PINS 2 SITEPROP TIEOFF_X26Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y62 PROHIBIT 0 SITEPROP TIEOFF_X26Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y62 RPM_X 104 SITEPROP TIEOFF_X26Y62 RPM_Y 124 SITEPROP TIEOFF_X26Y62 SITE_PIPS SITEPROP TIEOFF_X26Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y63 CLASS site SITEPROP TIEOFF_X26Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y63 IS_BONDED 0 SITEPROP TIEOFF_X26Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y63 IS_PAD 0 SITEPROP TIEOFF_X26Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y63 IS_RESERVED 0 SITEPROP TIEOFF_X26Y63 IS_TEST 0 SITEPROP TIEOFF_X26Y63 IS_USED 0 SITEPROP TIEOFF_X26Y63 MANUAL_ROUTING SITEPROP TIEOFF_X26Y63 NAME TIEOFF_X26Y63 SITEPROP TIEOFF_X26Y63 NUM_ARCS 0 SITEPROP TIEOFF_X26Y63 NUM_BELS 2 SITEPROP TIEOFF_X26Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y63 NUM_PINS 2 SITEPROP TIEOFF_X26Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y63 PROHIBIT 0 SITEPROP TIEOFF_X26Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y63 RPM_X 104 SITEPROP TIEOFF_X26Y63 RPM_Y 126 SITEPROP TIEOFF_X26Y63 SITE_PIPS SITEPROP TIEOFF_X26Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y64 CLASS site SITEPROP TIEOFF_X26Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y64 IS_BONDED 0 SITEPROP TIEOFF_X26Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y64 IS_PAD 0 SITEPROP TIEOFF_X26Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y64 IS_RESERVED 0 SITEPROP TIEOFF_X26Y64 IS_TEST 0 SITEPROP TIEOFF_X26Y64 IS_USED 0 SITEPROP TIEOFF_X26Y64 MANUAL_ROUTING SITEPROP TIEOFF_X26Y64 NAME TIEOFF_X26Y64 SITEPROP TIEOFF_X26Y64 NUM_ARCS 0 SITEPROP TIEOFF_X26Y64 NUM_BELS 2 SITEPROP TIEOFF_X26Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y64 NUM_PINS 2 SITEPROP TIEOFF_X26Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y64 PROHIBIT 0 SITEPROP TIEOFF_X26Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y64 RPM_X 104 SITEPROP TIEOFF_X26Y64 RPM_Y 128 SITEPROP TIEOFF_X26Y64 SITE_PIPS SITEPROP TIEOFF_X26Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y65 CLASS site SITEPROP TIEOFF_X26Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y65 IS_BONDED 0 SITEPROP TIEOFF_X26Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y65 IS_PAD 0 SITEPROP TIEOFF_X26Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y65 IS_RESERVED 0 SITEPROP TIEOFF_X26Y65 IS_TEST 0 SITEPROP TIEOFF_X26Y65 IS_USED 0 SITEPROP TIEOFF_X26Y65 MANUAL_ROUTING SITEPROP TIEOFF_X26Y65 NAME TIEOFF_X26Y65 SITEPROP TIEOFF_X26Y65 NUM_ARCS 0 SITEPROP TIEOFF_X26Y65 NUM_BELS 2 SITEPROP TIEOFF_X26Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y65 NUM_PINS 2 SITEPROP TIEOFF_X26Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y65 PROHIBIT 0 SITEPROP TIEOFF_X26Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y65 RPM_X 104 SITEPROP TIEOFF_X26Y65 RPM_Y 130 SITEPROP TIEOFF_X26Y65 SITE_PIPS SITEPROP TIEOFF_X26Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y66 CLASS site SITEPROP TIEOFF_X26Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y66 IS_BONDED 0 SITEPROP TIEOFF_X26Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y66 IS_PAD 0 SITEPROP TIEOFF_X26Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y66 IS_RESERVED 0 SITEPROP TIEOFF_X26Y66 IS_TEST 0 SITEPROP TIEOFF_X26Y66 IS_USED 0 SITEPROP TIEOFF_X26Y66 MANUAL_ROUTING SITEPROP TIEOFF_X26Y66 NAME TIEOFF_X26Y66 SITEPROP TIEOFF_X26Y66 NUM_ARCS 0 SITEPROP TIEOFF_X26Y66 NUM_BELS 2 SITEPROP TIEOFF_X26Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y66 NUM_PINS 2 SITEPROP TIEOFF_X26Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y66 PROHIBIT 0 SITEPROP TIEOFF_X26Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y66 RPM_X 104 SITEPROP TIEOFF_X26Y66 RPM_Y 132 SITEPROP TIEOFF_X26Y66 SITE_PIPS SITEPROP TIEOFF_X26Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y67 CLASS site SITEPROP TIEOFF_X26Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y67 IS_BONDED 0 SITEPROP TIEOFF_X26Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y67 IS_PAD 0 SITEPROP TIEOFF_X26Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y67 IS_RESERVED 0 SITEPROP TIEOFF_X26Y67 IS_TEST 0 SITEPROP TIEOFF_X26Y67 IS_USED 0 SITEPROP TIEOFF_X26Y67 MANUAL_ROUTING SITEPROP TIEOFF_X26Y67 NAME TIEOFF_X26Y67 SITEPROP TIEOFF_X26Y67 NUM_ARCS 0 SITEPROP TIEOFF_X26Y67 NUM_BELS 2 SITEPROP TIEOFF_X26Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y67 NUM_PINS 2 SITEPROP TIEOFF_X26Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y67 PROHIBIT 0 SITEPROP TIEOFF_X26Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y67 RPM_X 104 SITEPROP TIEOFF_X26Y67 RPM_Y 134 SITEPROP TIEOFF_X26Y67 SITE_PIPS SITEPROP TIEOFF_X26Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y68 CLASS site SITEPROP TIEOFF_X26Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y68 IS_BONDED 0 SITEPROP TIEOFF_X26Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y68 IS_PAD 0 SITEPROP TIEOFF_X26Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y68 IS_RESERVED 0 SITEPROP TIEOFF_X26Y68 IS_TEST 0 SITEPROP TIEOFF_X26Y68 IS_USED 0 SITEPROP TIEOFF_X26Y68 MANUAL_ROUTING SITEPROP TIEOFF_X26Y68 NAME TIEOFF_X26Y68 SITEPROP TIEOFF_X26Y68 NUM_ARCS 0 SITEPROP TIEOFF_X26Y68 NUM_BELS 2 SITEPROP TIEOFF_X26Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y68 NUM_PINS 2 SITEPROP TIEOFF_X26Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y68 PROHIBIT 0 SITEPROP TIEOFF_X26Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y68 RPM_X 104 SITEPROP TIEOFF_X26Y68 RPM_Y 136 SITEPROP TIEOFF_X26Y68 SITE_PIPS SITEPROP TIEOFF_X26Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y69 CLASS site SITEPROP TIEOFF_X26Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y69 IS_BONDED 0 SITEPROP TIEOFF_X26Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y69 IS_PAD 0 SITEPROP TIEOFF_X26Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y69 IS_RESERVED 0 SITEPROP TIEOFF_X26Y69 IS_TEST 0 SITEPROP TIEOFF_X26Y69 IS_USED 0 SITEPROP TIEOFF_X26Y69 MANUAL_ROUTING SITEPROP TIEOFF_X26Y69 NAME TIEOFF_X26Y69 SITEPROP TIEOFF_X26Y69 NUM_ARCS 0 SITEPROP TIEOFF_X26Y69 NUM_BELS 2 SITEPROP TIEOFF_X26Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y69 NUM_PINS 2 SITEPROP TIEOFF_X26Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y69 PROHIBIT 0 SITEPROP TIEOFF_X26Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y69 RPM_X 104 SITEPROP TIEOFF_X26Y69 RPM_Y 138 SITEPROP TIEOFF_X26Y69 SITE_PIPS SITEPROP TIEOFF_X26Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y70 CLASS site SITEPROP TIEOFF_X26Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y70 IS_BONDED 0 SITEPROP TIEOFF_X26Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y70 IS_PAD 0 SITEPROP TIEOFF_X26Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y70 IS_RESERVED 0 SITEPROP TIEOFF_X26Y70 IS_TEST 0 SITEPROP TIEOFF_X26Y70 IS_USED 0 SITEPROP TIEOFF_X26Y70 MANUAL_ROUTING SITEPROP TIEOFF_X26Y70 NAME TIEOFF_X26Y70 SITEPROP TIEOFF_X26Y70 NUM_ARCS 0 SITEPROP TIEOFF_X26Y70 NUM_BELS 2 SITEPROP TIEOFF_X26Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y70 NUM_PINS 2 SITEPROP TIEOFF_X26Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y70 PROHIBIT 0 SITEPROP TIEOFF_X26Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y70 RPM_X 104 SITEPROP TIEOFF_X26Y70 RPM_Y 140 SITEPROP TIEOFF_X26Y70 SITE_PIPS SITEPROP TIEOFF_X26Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y71 CLASS site SITEPROP TIEOFF_X26Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y71 IS_BONDED 0 SITEPROP TIEOFF_X26Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y71 IS_PAD 0 SITEPROP TIEOFF_X26Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y71 IS_RESERVED 0 SITEPROP TIEOFF_X26Y71 IS_TEST 0 SITEPROP TIEOFF_X26Y71 IS_USED 0 SITEPROP TIEOFF_X26Y71 MANUAL_ROUTING SITEPROP TIEOFF_X26Y71 NAME TIEOFF_X26Y71 SITEPROP TIEOFF_X26Y71 NUM_ARCS 0 SITEPROP TIEOFF_X26Y71 NUM_BELS 2 SITEPROP TIEOFF_X26Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y71 NUM_PINS 2 SITEPROP TIEOFF_X26Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y71 PROHIBIT 0 SITEPROP TIEOFF_X26Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y71 RPM_X 104 SITEPROP TIEOFF_X26Y71 RPM_Y 142 SITEPROP TIEOFF_X26Y71 SITE_PIPS SITEPROP TIEOFF_X26Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y72 CLASS site SITEPROP TIEOFF_X26Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y72 IS_BONDED 0 SITEPROP TIEOFF_X26Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y72 IS_PAD 0 SITEPROP TIEOFF_X26Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y72 IS_RESERVED 0 SITEPROP TIEOFF_X26Y72 IS_TEST 0 SITEPROP TIEOFF_X26Y72 IS_USED 0 SITEPROP TIEOFF_X26Y72 MANUAL_ROUTING SITEPROP TIEOFF_X26Y72 NAME TIEOFF_X26Y72 SITEPROP TIEOFF_X26Y72 NUM_ARCS 0 SITEPROP TIEOFF_X26Y72 NUM_BELS 2 SITEPROP TIEOFF_X26Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y72 NUM_PINS 2 SITEPROP TIEOFF_X26Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y72 PROHIBIT 0 SITEPROP TIEOFF_X26Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y72 RPM_X 104 SITEPROP TIEOFF_X26Y72 RPM_Y 144 SITEPROP TIEOFF_X26Y72 SITE_PIPS SITEPROP TIEOFF_X26Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y73 CLASS site SITEPROP TIEOFF_X26Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y73 IS_BONDED 0 SITEPROP TIEOFF_X26Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y73 IS_PAD 0 SITEPROP TIEOFF_X26Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y73 IS_RESERVED 0 SITEPROP TIEOFF_X26Y73 IS_TEST 0 SITEPROP TIEOFF_X26Y73 IS_USED 0 SITEPROP TIEOFF_X26Y73 MANUAL_ROUTING SITEPROP TIEOFF_X26Y73 NAME TIEOFF_X26Y73 SITEPROP TIEOFF_X26Y73 NUM_ARCS 0 SITEPROP TIEOFF_X26Y73 NUM_BELS 2 SITEPROP TIEOFF_X26Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y73 NUM_PINS 2 SITEPROP TIEOFF_X26Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y73 PROHIBIT 0 SITEPROP TIEOFF_X26Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y73 RPM_X 104 SITEPROP TIEOFF_X26Y73 RPM_Y 146 SITEPROP TIEOFF_X26Y73 SITE_PIPS SITEPROP TIEOFF_X26Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y74 CLASS site SITEPROP TIEOFF_X26Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y74 IS_BONDED 0 SITEPROP TIEOFF_X26Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y74 IS_PAD 0 SITEPROP TIEOFF_X26Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y74 IS_RESERVED 0 SITEPROP TIEOFF_X26Y74 IS_TEST 0 SITEPROP TIEOFF_X26Y74 IS_USED 0 SITEPROP TIEOFF_X26Y74 MANUAL_ROUTING SITEPROP TIEOFF_X26Y74 NAME TIEOFF_X26Y74 SITEPROP TIEOFF_X26Y74 NUM_ARCS 0 SITEPROP TIEOFF_X26Y74 NUM_BELS 2 SITEPROP TIEOFF_X26Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y74 NUM_PINS 2 SITEPROP TIEOFF_X26Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y74 PROHIBIT 0 SITEPROP TIEOFF_X26Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y74 RPM_X 104 SITEPROP TIEOFF_X26Y74 RPM_Y 148 SITEPROP TIEOFF_X26Y74 SITE_PIPS SITEPROP TIEOFF_X26Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y75 CLASS site SITEPROP TIEOFF_X26Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y75 IS_BONDED 0 SITEPROP TIEOFF_X26Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y75 IS_PAD 0 SITEPROP TIEOFF_X26Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y75 IS_RESERVED 0 SITEPROP TIEOFF_X26Y75 IS_TEST 0 SITEPROP TIEOFF_X26Y75 IS_USED 0 SITEPROP TIEOFF_X26Y75 MANUAL_ROUTING SITEPROP TIEOFF_X26Y75 NAME TIEOFF_X26Y75 SITEPROP TIEOFF_X26Y75 NUM_ARCS 0 SITEPROP TIEOFF_X26Y75 NUM_BELS 2 SITEPROP TIEOFF_X26Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y75 NUM_PINS 2 SITEPROP TIEOFF_X26Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y75 PROHIBIT 0 SITEPROP TIEOFF_X26Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y75 RPM_X 104 SITEPROP TIEOFF_X26Y75 RPM_Y 150 SITEPROP TIEOFF_X26Y75 SITE_PIPS SITEPROP TIEOFF_X26Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y76 CLASS site SITEPROP TIEOFF_X26Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y76 IS_BONDED 0 SITEPROP TIEOFF_X26Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y76 IS_PAD 0 SITEPROP TIEOFF_X26Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y76 IS_RESERVED 0 SITEPROP TIEOFF_X26Y76 IS_TEST 0 SITEPROP TIEOFF_X26Y76 IS_USED 0 SITEPROP TIEOFF_X26Y76 MANUAL_ROUTING SITEPROP TIEOFF_X26Y76 NAME TIEOFF_X26Y76 SITEPROP TIEOFF_X26Y76 NUM_ARCS 0 SITEPROP TIEOFF_X26Y76 NUM_BELS 2 SITEPROP TIEOFF_X26Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y76 NUM_PINS 2 SITEPROP TIEOFF_X26Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y76 PROHIBIT 0 SITEPROP TIEOFF_X26Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y76 RPM_X 104 SITEPROP TIEOFF_X26Y76 RPM_Y 152 SITEPROP TIEOFF_X26Y76 SITE_PIPS SITEPROP TIEOFF_X26Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y77 CLASS site SITEPROP TIEOFF_X26Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y77 IS_BONDED 0 SITEPROP TIEOFF_X26Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y77 IS_PAD 0 SITEPROP TIEOFF_X26Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y77 IS_RESERVED 0 SITEPROP TIEOFF_X26Y77 IS_TEST 0 SITEPROP TIEOFF_X26Y77 IS_USED 0 SITEPROP TIEOFF_X26Y77 MANUAL_ROUTING SITEPROP TIEOFF_X26Y77 NAME TIEOFF_X26Y77 SITEPROP TIEOFF_X26Y77 NUM_ARCS 0 SITEPROP TIEOFF_X26Y77 NUM_BELS 2 SITEPROP TIEOFF_X26Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y77 NUM_PINS 2 SITEPROP TIEOFF_X26Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y77 PROHIBIT 0 SITEPROP TIEOFF_X26Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y77 RPM_X 104 SITEPROP TIEOFF_X26Y77 RPM_Y 154 SITEPROP TIEOFF_X26Y77 SITE_PIPS SITEPROP TIEOFF_X26Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y78 CLASS site SITEPROP TIEOFF_X26Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y78 IS_BONDED 0 SITEPROP TIEOFF_X26Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y78 IS_PAD 0 SITEPROP TIEOFF_X26Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y78 IS_RESERVED 0 SITEPROP TIEOFF_X26Y78 IS_TEST 0 SITEPROP TIEOFF_X26Y78 IS_USED 0 SITEPROP TIEOFF_X26Y78 MANUAL_ROUTING SITEPROP TIEOFF_X26Y78 NAME TIEOFF_X26Y78 SITEPROP TIEOFF_X26Y78 NUM_ARCS 0 SITEPROP TIEOFF_X26Y78 NUM_BELS 2 SITEPROP TIEOFF_X26Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y78 NUM_PINS 2 SITEPROP TIEOFF_X26Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y78 PROHIBIT 0 SITEPROP TIEOFF_X26Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y78 RPM_X 104 SITEPROP TIEOFF_X26Y78 RPM_Y 156 SITEPROP TIEOFF_X26Y78 SITE_PIPS SITEPROP TIEOFF_X26Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y79 CLASS site SITEPROP TIEOFF_X26Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y79 IS_BONDED 0 SITEPROP TIEOFF_X26Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y79 IS_PAD 0 SITEPROP TIEOFF_X26Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y79 IS_RESERVED 0 SITEPROP TIEOFF_X26Y79 IS_TEST 0 SITEPROP TIEOFF_X26Y79 IS_USED 0 SITEPROP TIEOFF_X26Y79 MANUAL_ROUTING SITEPROP TIEOFF_X26Y79 NAME TIEOFF_X26Y79 SITEPROP TIEOFF_X26Y79 NUM_ARCS 0 SITEPROP TIEOFF_X26Y79 NUM_BELS 2 SITEPROP TIEOFF_X26Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y79 NUM_PINS 2 SITEPROP TIEOFF_X26Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y79 PROHIBIT 0 SITEPROP TIEOFF_X26Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y79 RPM_X 104 SITEPROP TIEOFF_X26Y79 RPM_Y 158 SITEPROP TIEOFF_X26Y79 SITE_PIPS SITEPROP TIEOFF_X26Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y80 CLASS site SITEPROP TIEOFF_X26Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y80 IS_BONDED 0 SITEPROP TIEOFF_X26Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y80 IS_PAD 0 SITEPROP TIEOFF_X26Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y80 IS_RESERVED 0 SITEPROP TIEOFF_X26Y80 IS_TEST 0 SITEPROP TIEOFF_X26Y80 IS_USED 0 SITEPROP TIEOFF_X26Y80 MANUAL_ROUTING SITEPROP TIEOFF_X26Y80 NAME TIEOFF_X26Y80 SITEPROP TIEOFF_X26Y80 NUM_ARCS 0 SITEPROP TIEOFF_X26Y80 NUM_BELS 2 SITEPROP TIEOFF_X26Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y80 NUM_PINS 2 SITEPROP TIEOFF_X26Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y80 PROHIBIT 0 SITEPROP TIEOFF_X26Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y80 RPM_X 104 SITEPROP TIEOFF_X26Y80 RPM_Y 160 SITEPROP TIEOFF_X26Y80 SITE_PIPS SITEPROP TIEOFF_X26Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y81 CLASS site SITEPROP TIEOFF_X26Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y81 IS_BONDED 0 SITEPROP TIEOFF_X26Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y81 IS_PAD 0 SITEPROP TIEOFF_X26Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y81 IS_RESERVED 0 SITEPROP TIEOFF_X26Y81 IS_TEST 0 SITEPROP TIEOFF_X26Y81 IS_USED 0 SITEPROP TIEOFF_X26Y81 MANUAL_ROUTING SITEPROP TIEOFF_X26Y81 NAME TIEOFF_X26Y81 SITEPROP TIEOFF_X26Y81 NUM_ARCS 0 SITEPROP TIEOFF_X26Y81 NUM_BELS 2 SITEPROP TIEOFF_X26Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y81 NUM_PINS 2 SITEPROP TIEOFF_X26Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y81 PROHIBIT 0 SITEPROP TIEOFF_X26Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y81 RPM_X 104 SITEPROP TIEOFF_X26Y81 RPM_Y 162 SITEPROP TIEOFF_X26Y81 SITE_PIPS SITEPROP TIEOFF_X26Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y82 CLASS site SITEPROP TIEOFF_X26Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y82 IS_BONDED 0 SITEPROP TIEOFF_X26Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y82 IS_PAD 0 SITEPROP TIEOFF_X26Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y82 IS_RESERVED 0 SITEPROP TIEOFF_X26Y82 IS_TEST 0 SITEPROP TIEOFF_X26Y82 IS_USED 0 SITEPROP TIEOFF_X26Y82 MANUAL_ROUTING SITEPROP TIEOFF_X26Y82 NAME TIEOFF_X26Y82 SITEPROP TIEOFF_X26Y82 NUM_ARCS 0 SITEPROP TIEOFF_X26Y82 NUM_BELS 2 SITEPROP TIEOFF_X26Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y82 NUM_PINS 2 SITEPROP TIEOFF_X26Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y82 PROHIBIT 0 SITEPROP TIEOFF_X26Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y82 RPM_X 104 SITEPROP TIEOFF_X26Y82 RPM_Y 164 SITEPROP TIEOFF_X26Y82 SITE_PIPS SITEPROP TIEOFF_X26Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y83 CLASS site SITEPROP TIEOFF_X26Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y83 IS_BONDED 0 SITEPROP TIEOFF_X26Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y83 IS_PAD 0 SITEPROP TIEOFF_X26Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y83 IS_RESERVED 0 SITEPROP TIEOFF_X26Y83 IS_TEST 0 SITEPROP TIEOFF_X26Y83 IS_USED 0 SITEPROP TIEOFF_X26Y83 MANUAL_ROUTING SITEPROP TIEOFF_X26Y83 NAME TIEOFF_X26Y83 SITEPROP TIEOFF_X26Y83 NUM_ARCS 0 SITEPROP TIEOFF_X26Y83 NUM_BELS 2 SITEPROP TIEOFF_X26Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y83 NUM_PINS 2 SITEPROP TIEOFF_X26Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y83 PROHIBIT 0 SITEPROP TIEOFF_X26Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y83 RPM_X 104 SITEPROP TIEOFF_X26Y83 RPM_Y 166 SITEPROP TIEOFF_X26Y83 SITE_PIPS SITEPROP TIEOFF_X26Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y84 CLASS site SITEPROP TIEOFF_X26Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y84 IS_BONDED 0 SITEPROP TIEOFF_X26Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y84 IS_PAD 0 SITEPROP TIEOFF_X26Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y84 IS_RESERVED 0 SITEPROP TIEOFF_X26Y84 IS_TEST 0 SITEPROP TIEOFF_X26Y84 IS_USED 0 SITEPROP TIEOFF_X26Y84 MANUAL_ROUTING SITEPROP TIEOFF_X26Y84 NAME TIEOFF_X26Y84 SITEPROP TIEOFF_X26Y84 NUM_ARCS 0 SITEPROP TIEOFF_X26Y84 NUM_BELS 2 SITEPROP TIEOFF_X26Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y84 NUM_PINS 2 SITEPROP TIEOFF_X26Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y84 PROHIBIT 0 SITEPROP TIEOFF_X26Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y84 RPM_X 104 SITEPROP TIEOFF_X26Y84 RPM_Y 168 SITEPROP TIEOFF_X26Y84 SITE_PIPS SITEPROP TIEOFF_X26Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y85 CLASS site SITEPROP TIEOFF_X26Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y85 IS_BONDED 0 SITEPROP TIEOFF_X26Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y85 IS_PAD 0 SITEPROP TIEOFF_X26Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y85 IS_RESERVED 0 SITEPROP TIEOFF_X26Y85 IS_TEST 0 SITEPROP TIEOFF_X26Y85 IS_USED 0 SITEPROP TIEOFF_X26Y85 MANUAL_ROUTING SITEPROP TIEOFF_X26Y85 NAME TIEOFF_X26Y85 SITEPROP TIEOFF_X26Y85 NUM_ARCS 0 SITEPROP TIEOFF_X26Y85 NUM_BELS 2 SITEPROP TIEOFF_X26Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y85 NUM_PINS 2 SITEPROP TIEOFF_X26Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y85 PROHIBIT 0 SITEPROP TIEOFF_X26Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y85 RPM_X 104 SITEPROP TIEOFF_X26Y85 RPM_Y 170 SITEPROP TIEOFF_X26Y85 SITE_PIPS SITEPROP TIEOFF_X26Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y86 CLASS site SITEPROP TIEOFF_X26Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y86 IS_BONDED 0 SITEPROP TIEOFF_X26Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y86 IS_PAD 0 SITEPROP TIEOFF_X26Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y86 IS_RESERVED 0 SITEPROP TIEOFF_X26Y86 IS_TEST 0 SITEPROP TIEOFF_X26Y86 IS_USED 0 SITEPROP TIEOFF_X26Y86 MANUAL_ROUTING SITEPROP TIEOFF_X26Y86 NAME TIEOFF_X26Y86 SITEPROP TIEOFF_X26Y86 NUM_ARCS 0 SITEPROP TIEOFF_X26Y86 NUM_BELS 2 SITEPROP TIEOFF_X26Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y86 NUM_PINS 2 SITEPROP TIEOFF_X26Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y86 PROHIBIT 0 SITEPROP TIEOFF_X26Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y86 RPM_X 104 SITEPROP TIEOFF_X26Y86 RPM_Y 172 SITEPROP TIEOFF_X26Y86 SITE_PIPS SITEPROP TIEOFF_X26Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y87 CLASS site SITEPROP TIEOFF_X26Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y87 IS_BONDED 0 SITEPROP TIEOFF_X26Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y87 IS_PAD 0 SITEPROP TIEOFF_X26Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y87 IS_RESERVED 0 SITEPROP TIEOFF_X26Y87 IS_TEST 0 SITEPROP TIEOFF_X26Y87 IS_USED 0 SITEPROP TIEOFF_X26Y87 MANUAL_ROUTING SITEPROP TIEOFF_X26Y87 NAME TIEOFF_X26Y87 SITEPROP TIEOFF_X26Y87 NUM_ARCS 0 SITEPROP TIEOFF_X26Y87 NUM_BELS 2 SITEPROP TIEOFF_X26Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y87 NUM_PINS 2 SITEPROP TIEOFF_X26Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y87 PROHIBIT 0 SITEPROP TIEOFF_X26Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y87 RPM_X 104 SITEPROP TIEOFF_X26Y87 RPM_Y 174 SITEPROP TIEOFF_X26Y87 SITE_PIPS SITEPROP TIEOFF_X26Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y88 CLASS site SITEPROP TIEOFF_X26Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y88 IS_BONDED 0 SITEPROP TIEOFF_X26Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y88 IS_PAD 0 SITEPROP TIEOFF_X26Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y88 IS_RESERVED 0 SITEPROP TIEOFF_X26Y88 IS_TEST 0 SITEPROP TIEOFF_X26Y88 IS_USED 0 SITEPROP TIEOFF_X26Y88 MANUAL_ROUTING SITEPROP TIEOFF_X26Y88 NAME TIEOFF_X26Y88 SITEPROP TIEOFF_X26Y88 NUM_ARCS 0 SITEPROP TIEOFF_X26Y88 NUM_BELS 2 SITEPROP TIEOFF_X26Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y88 NUM_PINS 2 SITEPROP TIEOFF_X26Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y88 PROHIBIT 0 SITEPROP TIEOFF_X26Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y88 RPM_X 104 SITEPROP TIEOFF_X26Y88 RPM_Y 176 SITEPROP TIEOFF_X26Y88 SITE_PIPS SITEPROP TIEOFF_X26Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y89 CLASS site SITEPROP TIEOFF_X26Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y89 IS_BONDED 0 SITEPROP TIEOFF_X26Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y89 IS_PAD 0 SITEPROP TIEOFF_X26Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y89 IS_RESERVED 0 SITEPROP TIEOFF_X26Y89 IS_TEST 0 SITEPROP TIEOFF_X26Y89 IS_USED 0 SITEPROP TIEOFF_X26Y89 MANUAL_ROUTING SITEPROP TIEOFF_X26Y89 NAME TIEOFF_X26Y89 SITEPROP TIEOFF_X26Y89 NUM_ARCS 0 SITEPROP TIEOFF_X26Y89 NUM_BELS 2 SITEPROP TIEOFF_X26Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y89 NUM_PINS 2 SITEPROP TIEOFF_X26Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y89 PROHIBIT 0 SITEPROP TIEOFF_X26Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y89 RPM_X 104 SITEPROP TIEOFF_X26Y89 RPM_Y 178 SITEPROP TIEOFF_X26Y89 SITE_PIPS SITEPROP TIEOFF_X26Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y90 CLASS site SITEPROP TIEOFF_X26Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y90 IS_BONDED 0 SITEPROP TIEOFF_X26Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y90 IS_PAD 0 SITEPROP TIEOFF_X26Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y90 IS_RESERVED 0 SITEPROP TIEOFF_X26Y90 IS_TEST 0 SITEPROP TIEOFF_X26Y90 IS_USED 0 SITEPROP TIEOFF_X26Y90 MANUAL_ROUTING SITEPROP TIEOFF_X26Y90 NAME TIEOFF_X26Y90 SITEPROP TIEOFF_X26Y90 NUM_ARCS 0 SITEPROP TIEOFF_X26Y90 NUM_BELS 2 SITEPROP TIEOFF_X26Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y90 NUM_PINS 2 SITEPROP TIEOFF_X26Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y90 PROHIBIT 0 SITEPROP TIEOFF_X26Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y90 RPM_X 104 SITEPROP TIEOFF_X26Y90 RPM_Y 180 SITEPROP TIEOFF_X26Y90 SITE_PIPS SITEPROP TIEOFF_X26Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y91 CLASS site SITEPROP TIEOFF_X26Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y91 IS_BONDED 0 SITEPROP TIEOFF_X26Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y91 IS_PAD 0 SITEPROP TIEOFF_X26Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y91 IS_RESERVED 0 SITEPROP TIEOFF_X26Y91 IS_TEST 0 SITEPROP TIEOFF_X26Y91 IS_USED 0 SITEPROP TIEOFF_X26Y91 MANUAL_ROUTING SITEPROP TIEOFF_X26Y91 NAME TIEOFF_X26Y91 SITEPROP TIEOFF_X26Y91 NUM_ARCS 0 SITEPROP TIEOFF_X26Y91 NUM_BELS 2 SITEPROP TIEOFF_X26Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y91 NUM_PINS 2 SITEPROP TIEOFF_X26Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y91 PROHIBIT 0 SITEPROP TIEOFF_X26Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y91 RPM_X 104 SITEPROP TIEOFF_X26Y91 RPM_Y 182 SITEPROP TIEOFF_X26Y91 SITE_PIPS SITEPROP TIEOFF_X26Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y92 CLASS site SITEPROP TIEOFF_X26Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y92 IS_BONDED 0 SITEPROP TIEOFF_X26Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y92 IS_PAD 0 SITEPROP TIEOFF_X26Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y92 IS_RESERVED 0 SITEPROP TIEOFF_X26Y92 IS_TEST 0 SITEPROP TIEOFF_X26Y92 IS_USED 0 SITEPROP TIEOFF_X26Y92 MANUAL_ROUTING SITEPROP TIEOFF_X26Y92 NAME TIEOFF_X26Y92 SITEPROP TIEOFF_X26Y92 NUM_ARCS 0 SITEPROP TIEOFF_X26Y92 NUM_BELS 2 SITEPROP TIEOFF_X26Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y92 NUM_PINS 2 SITEPROP TIEOFF_X26Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y92 PROHIBIT 0 SITEPROP TIEOFF_X26Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y92 RPM_X 104 SITEPROP TIEOFF_X26Y92 RPM_Y 184 SITEPROP TIEOFF_X26Y92 SITE_PIPS SITEPROP TIEOFF_X26Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y93 CLASS site SITEPROP TIEOFF_X26Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y93 IS_BONDED 0 SITEPROP TIEOFF_X26Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y93 IS_PAD 0 SITEPROP TIEOFF_X26Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y93 IS_RESERVED 0 SITEPROP TIEOFF_X26Y93 IS_TEST 0 SITEPROP TIEOFF_X26Y93 IS_USED 0 SITEPROP TIEOFF_X26Y93 MANUAL_ROUTING SITEPROP TIEOFF_X26Y93 NAME TIEOFF_X26Y93 SITEPROP TIEOFF_X26Y93 NUM_ARCS 0 SITEPROP TIEOFF_X26Y93 NUM_BELS 2 SITEPROP TIEOFF_X26Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y93 NUM_PINS 2 SITEPROP TIEOFF_X26Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y93 PROHIBIT 0 SITEPROP TIEOFF_X26Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y93 RPM_X 104 SITEPROP TIEOFF_X26Y93 RPM_Y 186 SITEPROP TIEOFF_X26Y93 SITE_PIPS SITEPROP TIEOFF_X26Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y94 CLASS site SITEPROP TIEOFF_X26Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y94 IS_BONDED 0 SITEPROP TIEOFF_X26Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y94 IS_PAD 0 SITEPROP TIEOFF_X26Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y94 IS_RESERVED 0 SITEPROP TIEOFF_X26Y94 IS_TEST 0 SITEPROP TIEOFF_X26Y94 IS_USED 0 SITEPROP TIEOFF_X26Y94 MANUAL_ROUTING SITEPROP TIEOFF_X26Y94 NAME TIEOFF_X26Y94 SITEPROP TIEOFF_X26Y94 NUM_ARCS 0 SITEPROP TIEOFF_X26Y94 NUM_BELS 2 SITEPROP TIEOFF_X26Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y94 NUM_PINS 2 SITEPROP TIEOFF_X26Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y94 PROHIBIT 0 SITEPROP TIEOFF_X26Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y94 RPM_X 104 SITEPROP TIEOFF_X26Y94 RPM_Y 188 SITEPROP TIEOFF_X26Y94 SITE_PIPS SITEPROP TIEOFF_X26Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y95 CLASS site SITEPROP TIEOFF_X26Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y95 IS_BONDED 0 SITEPROP TIEOFF_X26Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y95 IS_PAD 0 SITEPROP TIEOFF_X26Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y95 IS_RESERVED 0 SITEPROP TIEOFF_X26Y95 IS_TEST 0 SITEPROP TIEOFF_X26Y95 IS_USED 0 SITEPROP TIEOFF_X26Y95 MANUAL_ROUTING SITEPROP TIEOFF_X26Y95 NAME TIEOFF_X26Y95 SITEPROP TIEOFF_X26Y95 NUM_ARCS 0 SITEPROP TIEOFF_X26Y95 NUM_BELS 2 SITEPROP TIEOFF_X26Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y95 NUM_PINS 2 SITEPROP TIEOFF_X26Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y95 PROHIBIT 0 SITEPROP TIEOFF_X26Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y95 RPM_X 104 SITEPROP TIEOFF_X26Y95 RPM_Y 190 SITEPROP TIEOFF_X26Y95 SITE_PIPS SITEPROP TIEOFF_X26Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y96 CLASS site SITEPROP TIEOFF_X26Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y96 IS_BONDED 0 SITEPROP TIEOFF_X26Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y96 IS_PAD 0 SITEPROP TIEOFF_X26Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y96 IS_RESERVED 0 SITEPROP TIEOFF_X26Y96 IS_TEST 0 SITEPROP TIEOFF_X26Y96 IS_USED 0 SITEPROP TIEOFF_X26Y96 MANUAL_ROUTING SITEPROP TIEOFF_X26Y96 NAME TIEOFF_X26Y96 SITEPROP TIEOFF_X26Y96 NUM_ARCS 0 SITEPROP TIEOFF_X26Y96 NUM_BELS 2 SITEPROP TIEOFF_X26Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y96 NUM_PINS 2 SITEPROP TIEOFF_X26Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y96 PROHIBIT 0 SITEPROP TIEOFF_X26Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y96 RPM_X 104 SITEPROP TIEOFF_X26Y96 RPM_Y 192 SITEPROP TIEOFF_X26Y96 SITE_PIPS SITEPROP TIEOFF_X26Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y97 CLASS site SITEPROP TIEOFF_X26Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y97 IS_BONDED 0 SITEPROP TIEOFF_X26Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y97 IS_PAD 0 SITEPROP TIEOFF_X26Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y97 IS_RESERVED 0 SITEPROP TIEOFF_X26Y97 IS_TEST 0 SITEPROP TIEOFF_X26Y97 IS_USED 0 SITEPROP TIEOFF_X26Y97 MANUAL_ROUTING SITEPROP TIEOFF_X26Y97 NAME TIEOFF_X26Y97 SITEPROP TIEOFF_X26Y97 NUM_ARCS 0 SITEPROP TIEOFF_X26Y97 NUM_BELS 2 SITEPROP TIEOFF_X26Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y97 NUM_PINS 2 SITEPROP TIEOFF_X26Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y97 PROHIBIT 0 SITEPROP TIEOFF_X26Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y97 RPM_X 104 SITEPROP TIEOFF_X26Y97 RPM_Y 194 SITEPROP TIEOFF_X26Y97 SITE_PIPS SITEPROP TIEOFF_X26Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y98 CLASS site SITEPROP TIEOFF_X26Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y98 IS_BONDED 0 SITEPROP TIEOFF_X26Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y98 IS_PAD 0 SITEPROP TIEOFF_X26Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y98 IS_RESERVED 0 SITEPROP TIEOFF_X26Y98 IS_TEST 0 SITEPROP TIEOFF_X26Y98 IS_USED 0 SITEPROP TIEOFF_X26Y98 MANUAL_ROUTING SITEPROP TIEOFF_X26Y98 NAME TIEOFF_X26Y98 SITEPROP TIEOFF_X26Y98 NUM_ARCS 0 SITEPROP TIEOFF_X26Y98 NUM_BELS 2 SITEPROP TIEOFF_X26Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y98 NUM_PINS 2 SITEPROP TIEOFF_X26Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y98 PROHIBIT 0 SITEPROP TIEOFF_X26Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y98 RPM_X 104 SITEPROP TIEOFF_X26Y98 RPM_Y 196 SITEPROP TIEOFF_X26Y98 SITE_PIPS SITEPROP TIEOFF_X26Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y99 CLASS site SITEPROP TIEOFF_X26Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X26Y99 IS_BONDED 0 SITEPROP TIEOFF_X26Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y99 IS_PAD 0 SITEPROP TIEOFF_X26Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y99 IS_RESERVED 0 SITEPROP TIEOFF_X26Y99 IS_TEST 0 SITEPROP TIEOFF_X26Y99 IS_USED 0 SITEPROP TIEOFF_X26Y99 MANUAL_ROUTING SITEPROP TIEOFF_X26Y99 NAME TIEOFF_X26Y99 SITEPROP TIEOFF_X26Y99 NUM_ARCS 0 SITEPROP TIEOFF_X26Y99 NUM_BELS 2 SITEPROP TIEOFF_X26Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y99 NUM_PINS 2 SITEPROP TIEOFF_X26Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y99 PROHIBIT 0 SITEPROP TIEOFF_X26Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y99 RPM_X 104 SITEPROP TIEOFF_X26Y99 RPM_Y 198 SITEPROP TIEOFF_X26Y99 SITE_PIPS SITEPROP TIEOFF_X26Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y100 CLASS site SITEPROP TIEOFF_X26Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y100 IS_BONDED 0 SITEPROP TIEOFF_X26Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y100 IS_PAD 0 SITEPROP TIEOFF_X26Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y100 IS_RESERVED 0 SITEPROP TIEOFF_X26Y100 IS_TEST 0 SITEPROP TIEOFF_X26Y100 IS_USED 0 SITEPROP TIEOFF_X26Y100 MANUAL_ROUTING SITEPROP TIEOFF_X26Y100 NAME TIEOFF_X26Y100 SITEPROP TIEOFF_X26Y100 NUM_ARCS 0 SITEPROP TIEOFF_X26Y100 NUM_BELS 2 SITEPROP TIEOFF_X26Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y100 NUM_PINS 2 SITEPROP TIEOFF_X26Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y100 PROHIBIT 0 SITEPROP TIEOFF_X26Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y100 RPM_X 104 SITEPROP TIEOFF_X26Y100 RPM_Y 200 SITEPROP TIEOFF_X26Y100 SITE_PIPS SITEPROP TIEOFF_X26Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y101 CLASS site SITEPROP TIEOFF_X26Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y101 IS_BONDED 0 SITEPROP TIEOFF_X26Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y101 IS_PAD 0 SITEPROP TIEOFF_X26Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y101 IS_RESERVED 0 SITEPROP TIEOFF_X26Y101 IS_TEST 0 SITEPROP TIEOFF_X26Y101 IS_USED 0 SITEPROP TIEOFF_X26Y101 MANUAL_ROUTING SITEPROP TIEOFF_X26Y101 NAME TIEOFF_X26Y101 SITEPROP TIEOFF_X26Y101 NUM_ARCS 0 SITEPROP TIEOFF_X26Y101 NUM_BELS 2 SITEPROP TIEOFF_X26Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y101 NUM_PINS 2 SITEPROP TIEOFF_X26Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y101 PROHIBIT 0 SITEPROP TIEOFF_X26Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y101 RPM_X 104 SITEPROP TIEOFF_X26Y101 RPM_Y 202 SITEPROP TIEOFF_X26Y101 SITE_PIPS SITEPROP TIEOFF_X26Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y102 CLASS site SITEPROP TIEOFF_X26Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y102 IS_BONDED 0 SITEPROP TIEOFF_X26Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y102 IS_PAD 0 SITEPROP TIEOFF_X26Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y102 IS_RESERVED 0 SITEPROP TIEOFF_X26Y102 IS_TEST 0 SITEPROP TIEOFF_X26Y102 IS_USED 0 SITEPROP TIEOFF_X26Y102 MANUAL_ROUTING SITEPROP TIEOFF_X26Y102 NAME TIEOFF_X26Y102 SITEPROP TIEOFF_X26Y102 NUM_ARCS 0 SITEPROP TIEOFF_X26Y102 NUM_BELS 2 SITEPROP TIEOFF_X26Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y102 NUM_PINS 2 SITEPROP TIEOFF_X26Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y102 PROHIBIT 0 SITEPROP TIEOFF_X26Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y102 RPM_X 104 SITEPROP TIEOFF_X26Y102 RPM_Y 204 SITEPROP TIEOFF_X26Y102 SITE_PIPS SITEPROP TIEOFF_X26Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y103 CLASS site SITEPROP TIEOFF_X26Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y103 IS_BONDED 0 SITEPROP TIEOFF_X26Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y103 IS_PAD 0 SITEPROP TIEOFF_X26Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y103 IS_RESERVED 0 SITEPROP TIEOFF_X26Y103 IS_TEST 0 SITEPROP TIEOFF_X26Y103 IS_USED 0 SITEPROP TIEOFF_X26Y103 MANUAL_ROUTING SITEPROP TIEOFF_X26Y103 NAME TIEOFF_X26Y103 SITEPROP TIEOFF_X26Y103 NUM_ARCS 0 SITEPROP TIEOFF_X26Y103 NUM_BELS 2 SITEPROP TIEOFF_X26Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y103 NUM_PINS 2 SITEPROP TIEOFF_X26Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y103 PROHIBIT 0 SITEPROP TIEOFF_X26Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y103 RPM_X 104 SITEPROP TIEOFF_X26Y103 RPM_Y 206 SITEPROP TIEOFF_X26Y103 SITE_PIPS SITEPROP TIEOFF_X26Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y104 CLASS site SITEPROP TIEOFF_X26Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y104 IS_BONDED 0 SITEPROP TIEOFF_X26Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y104 IS_PAD 0 SITEPROP TIEOFF_X26Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y104 IS_RESERVED 0 SITEPROP TIEOFF_X26Y104 IS_TEST 0 SITEPROP TIEOFF_X26Y104 IS_USED 0 SITEPROP TIEOFF_X26Y104 MANUAL_ROUTING SITEPROP TIEOFF_X26Y104 NAME TIEOFF_X26Y104 SITEPROP TIEOFF_X26Y104 NUM_ARCS 0 SITEPROP TIEOFF_X26Y104 NUM_BELS 2 SITEPROP TIEOFF_X26Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y104 NUM_PINS 2 SITEPROP TIEOFF_X26Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y104 PROHIBIT 0 SITEPROP TIEOFF_X26Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y104 RPM_X 104 SITEPROP TIEOFF_X26Y104 RPM_Y 208 SITEPROP TIEOFF_X26Y104 SITE_PIPS SITEPROP TIEOFF_X26Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y105 CLASS site SITEPROP TIEOFF_X26Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y105 IS_BONDED 0 SITEPROP TIEOFF_X26Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y105 IS_PAD 0 SITEPROP TIEOFF_X26Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y105 IS_RESERVED 0 SITEPROP TIEOFF_X26Y105 IS_TEST 0 SITEPROP TIEOFF_X26Y105 IS_USED 0 SITEPROP TIEOFF_X26Y105 MANUAL_ROUTING SITEPROP TIEOFF_X26Y105 NAME TIEOFF_X26Y105 SITEPROP TIEOFF_X26Y105 NUM_ARCS 0 SITEPROP TIEOFF_X26Y105 NUM_BELS 2 SITEPROP TIEOFF_X26Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y105 NUM_PINS 2 SITEPROP TIEOFF_X26Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y105 PROHIBIT 0 SITEPROP TIEOFF_X26Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y105 RPM_X 104 SITEPROP TIEOFF_X26Y105 RPM_Y 210 SITEPROP TIEOFF_X26Y105 SITE_PIPS SITEPROP TIEOFF_X26Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y106 CLASS site SITEPROP TIEOFF_X26Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y106 IS_BONDED 0 SITEPROP TIEOFF_X26Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y106 IS_PAD 0 SITEPROP TIEOFF_X26Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y106 IS_RESERVED 0 SITEPROP TIEOFF_X26Y106 IS_TEST 0 SITEPROP TIEOFF_X26Y106 IS_USED 0 SITEPROP TIEOFF_X26Y106 MANUAL_ROUTING SITEPROP TIEOFF_X26Y106 NAME TIEOFF_X26Y106 SITEPROP TIEOFF_X26Y106 NUM_ARCS 0 SITEPROP TIEOFF_X26Y106 NUM_BELS 2 SITEPROP TIEOFF_X26Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y106 NUM_PINS 2 SITEPROP TIEOFF_X26Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y106 PROHIBIT 0 SITEPROP TIEOFF_X26Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y106 RPM_X 104 SITEPROP TIEOFF_X26Y106 RPM_Y 212 SITEPROP TIEOFF_X26Y106 SITE_PIPS SITEPROP TIEOFF_X26Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y107 CLASS site SITEPROP TIEOFF_X26Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y107 IS_BONDED 0 SITEPROP TIEOFF_X26Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y107 IS_PAD 0 SITEPROP TIEOFF_X26Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y107 IS_RESERVED 0 SITEPROP TIEOFF_X26Y107 IS_TEST 0 SITEPROP TIEOFF_X26Y107 IS_USED 0 SITEPROP TIEOFF_X26Y107 MANUAL_ROUTING SITEPROP TIEOFF_X26Y107 NAME TIEOFF_X26Y107 SITEPROP TIEOFF_X26Y107 NUM_ARCS 0 SITEPROP TIEOFF_X26Y107 NUM_BELS 2 SITEPROP TIEOFF_X26Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y107 NUM_PINS 2 SITEPROP TIEOFF_X26Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y107 PROHIBIT 0 SITEPROP TIEOFF_X26Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y107 RPM_X 104 SITEPROP TIEOFF_X26Y107 RPM_Y 214 SITEPROP TIEOFF_X26Y107 SITE_PIPS SITEPROP TIEOFF_X26Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y108 CLASS site SITEPROP TIEOFF_X26Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y108 IS_BONDED 0 SITEPROP TIEOFF_X26Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y108 IS_PAD 0 SITEPROP TIEOFF_X26Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y108 IS_RESERVED 0 SITEPROP TIEOFF_X26Y108 IS_TEST 0 SITEPROP TIEOFF_X26Y108 IS_USED 0 SITEPROP TIEOFF_X26Y108 MANUAL_ROUTING SITEPROP TIEOFF_X26Y108 NAME TIEOFF_X26Y108 SITEPROP TIEOFF_X26Y108 NUM_ARCS 0 SITEPROP TIEOFF_X26Y108 NUM_BELS 2 SITEPROP TIEOFF_X26Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y108 NUM_PINS 2 SITEPROP TIEOFF_X26Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y108 PROHIBIT 0 SITEPROP TIEOFF_X26Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y108 RPM_X 104 SITEPROP TIEOFF_X26Y108 RPM_Y 216 SITEPROP TIEOFF_X26Y108 SITE_PIPS SITEPROP TIEOFF_X26Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y109 CLASS site SITEPROP TIEOFF_X26Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y109 IS_BONDED 0 SITEPROP TIEOFF_X26Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y109 IS_PAD 0 SITEPROP TIEOFF_X26Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y109 IS_RESERVED 0 SITEPROP TIEOFF_X26Y109 IS_TEST 0 SITEPROP TIEOFF_X26Y109 IS_USED 0 SITEPROP TIEOFF_X26Y109 MANUAL_ROUTING SITEPROP TIEOFF_X26Y109 NAME TIEOFF_X26Y109 SITEPROP TIEOFF_X26Y109 NUM_ARCS 0 SITEPROP TIEOFF_X26Y109 NUM_BELS 2 SITEPROP TIEOFF_X26Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y109 NUM_PINS 2 SITEPROP TIEOFF_X26Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y109 PROHIBIT 0 SITEPROP TIEOFF_X26Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y109 RPM_X 104 SITEPROP TIEOFF_X26Y109 RPM_Y 218 SITEPROP TIEOFF_X26Y109 SITE_PIPS SITEPROP TIEOFF_X26Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y110 CLASS site SITEPROP TIEOFF_X26Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y110 IS_BONDED 0 SITEPROP TIEOFF_X26Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y110 IS_PAD 0 SITEPROP TIEOFF_X26Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y110 IS_RESERVED 0 SITEPROP TIEOFF_X26Y110 IS_TEST 0 SITEPROP TIEOFF_X26Y110 IS_USED 0 SITEPROP TIEOFF_X26Y110 MANUAL_ROUTING SITEPROP TIEOFF_X26Y110 NAME TIEOFF_X26Y110 SITEPROP TIEOFF_X26Y110 NUM_ARCS 0 SITEPROP TIEOFF_X26Y110 NUM_BELS 2 SITEPROP TIEOFF_X26Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y110 NUM_PINS 2 SITEPROP TIEOFF_X26Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y110 PROHIBIT 0 SITEPROP TIEOFF_X26Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y110 RPM_X 104 SITEPROP TIEOFF_X26Y110 RPM_Y 220 SITEPROP TIEOFF_X26Y110 SITE_PIPS SITEPROP TIEOFF_X26Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y111 CLASS site SITEPROP TIEOFF_X26Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y111 IS_BONDED 0 SITEPROP TIEOFF_X26Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y111 IS_PAD 0 SITEPROP TIEOFF_X26Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y111 IS_RESERVED 0 SITEPROP TIEOFF_X26Y111 IS_TEST 0 SITEPROP TIEOFF_X26Y111 IS_USED 0 SITEPROP TIEOFF_X26Y111 MANUAL_ROUTING SITEPROP TIEOFF_X26Y111 NAME TIEOFF_X26Y111 SITEPROP TIEOFF_X26Y111 NUM_ARCS 0 SITEPROP TIEOFF_X26Y111 NUM_BELS 2 SITEPROP TIEOFF_X26Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y111 NUM_PINS 2 SITEPROP TIEOFF_X26Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y111 PROHIBIT 0 SITEPROP TIEOFF_X26Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y111 RPM_X 104 SITEPROP TIEOFF_X26Y111 RPM_Y 222 SITEPROP TIEOFF_X26Y111 SITE_PIPS SITEPROP TIEOFF_X26Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y112 CLASS site SITEPROP TIEOFF_X26Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y112 IS_BONDED 0 SITEPROP TIEOFF_X26Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y112 IS_PAD 0 SITEPROP TIEOFF_X26Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y112 IS_RESERVED 0 SITEPROP TIEOFF_X26Y112 IS_TEST 0 SITEPROP TIEOFF_X26Y112 IS_USED 0 SITEPROP TIEOFF_X26Y112 MANUAL_ROUTING SITEPROP TIEOFF_X26Y112 NAME TIEOFF_X26Y112 SITEPROP TIEOFF_X26Y112 NUM_ARCS 0 SITEPROP TIEOFF_X26Y112 NUM_BELS 2 SITEPROP TIEOFF_X26Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y112 NUM_PINS 2 SITEPROP TIEOFF_X26Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y112 PROHIBIT 0 SITEPROP TIEOFF_X26Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y112 RPM_X 104 SITEPROP TIEOFF_X26Y112 RPM_Y 224 SITEPROP TIEOFF_X26Y112 SITE_PIPS SITEPROP TIEOFF_X26Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y113 CLASS site SITEPROP TIEOFF_X26Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y113 IS_BONDED 0 SITEPROP TIEOFF_X26Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y113 IS_PAD 0 SITEPROP TIEOFF_X26Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y113 IS_RESERVED 0 SITEPROP TIEOFF_X26Y113 IS_TEST 0 SITEPROP TIEOFF_X26Y113 IS_USED 0 SITEPROP TIEOFF_X26Y113 MANUAL_ROUTING SITEPROP TIEOFF_X26Y113 NAME TIEOFF_X26Y113 SITEPROP TIEOFF_X26Y113 NUM_ARCS 0 SITEPROP TIEOFF_X26Y113 NUM_BELS 2 SITEPROP TIEOFF_X26Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y113 NUM_PINS 2 SITEPROP TIEOFF_X26Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y113 PROHIBIT 0 SITEPROP TIEOFF_X26Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y113 RPM_X 104 SITEPROP TIEOFF_X26Y113 RPM_Y 226 SITEPROP TIEOFF_X26Y113 SITE_PIPS SITEPROP TIEOFF_X26Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y114 CLASS site SITEPROP TIEOFF_X26Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y114 IS_BONDED 0 SITEPROP TIEOFF_X26Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y114 IS_PAD 0 SITEPROP TIEOFF_X26Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y114 IS_RESERVED 0 SITEPROP TIEOFF_X26Y114 IS_TEST 0 SITEPROP TIEOFF_X26Y114 IS_USED 0 SITEPROP TIEOFF_X26Y114 MANUAL_ROUTING SITEPROP TIEOFF_X26Y114 NAME TIEOFF_X26Y114 SITEPROP TIEOFF_X26Y114 NUM_ARCS 0 SITEPROP TIEOFF_X26Y114 NUM_BELS 2 SITEPROP TIEOFF_X26Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y114 NUM_PINS 2 SITEPROP TIEOFF_X26Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y114 PROHIBIT 0 SITEPROP TIEOFF_X26Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y114 RPM_X 104 SITEPROP TIEOFF_X26Y114 RPM_Y 228 SITEPROP TIEOFF_X26Y114 SITE_PIPS SITEPROP TIEOFF_X26Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y115 CLASS site SITEPROP TIEOFF_X26Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y115 IS_BONDED 0 SITEPROP TIEOFF_X26Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y115 IS_PAD 0 SITEPROP TIEOFF_X26Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y115 IS_RESERVED 0 SITEPROP TIEOFF_X26Y115 IS_TEST 0 SITEPROP TIEOFF_X26Y115 IS_USED 0 SITEPROP TIEOFF_X26Y115 MANUAL_ROUTING SITEPROP TIEOFF_X26Y115 NAME TIEOFF_X26Y115 SITEPROP TIEOFF_X26Y115 NUM_ARCS 0 SITEPROP TIEOFF_X26Y115 NUM_BELS 2 SITEPROP TIEOFF_X26Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y115 NUM_PINS 2 SITEPROP TIEOFF_X26Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y115 PROHIBIT 0 SITEPROP TIEOFF_X26Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y115 RPM_X 104 SITEPROP TIEOFF_X26Y115 RPM_Y 230 SITEPROP TIEOFF_X26Y115 SITE_PIPS SITEPROP TIEOFF_X26Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y116 CLASS site SITEPROP TIEOFF_X26Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y116 IS_BONDED 0 SITEPROP TIEOFF_X26Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y116 IS_PAD 0 SITEPROP TIEOFF_X26Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y116 IS_RESERVED 0 SITEPROP TIEOFF_X26Y116 IS_TEST 0 SITEPROP TIEOFF_X26Y116 IS_USED 0 SITEPROP TIEOFF_X26Y116 MANUAL_ROUTING SITEPROP TIEOFF_X26Y116 NAME TIEOFF_X26Y116 SITEPROP TIEOFF_X26Y116 NUM_ARCS 0 SITEPROP TIEOFF_X26Y116 NUM_BELS 2 SITEPROP TIEOFF_X26Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y116 NUM_PINS 2 SITEPROP TIEOFF_X26Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y116 PROHIBIT 0 SITEPROP TIEOFF_X26Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y116 RPM_X 104 SITEPROP TIEOFF_X26Y116 RPM_Y 232 SITEPROP TIEOFF_X26Y116 SITE_PIPS SITEPROP TIEOFF_X26Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y117 CLASS site SITEPROP TIEOFF_X26Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y117 IS_BONDED 0 SITEPROP TIEOFF_X26Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y117 IS_PAD 0 SITEPROP TIEOFF_X26Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y117 IS_RESERVED 0 SITEPROP TIEOFF_X26Y117 IS_TEST 0 SITEPROP TIEOFF_X26Y117 IS_USED 0 SITEPROP TIEOFF_X26Y117 MANUAL_ROUTING SITEPROP TIEOFF_X26Y117 NAME TIEOFF_X26Y117 SITEPROP TIEOFF_X26Y117 NUM_ARCS 0 SITEPROP TIEOFF_X26Y117 NUM_BELS 2 SITEPROP TIEOFF_X26Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y117 NUM_PINS 2 SITEPROP TIEOFF_X26Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y117 PROHIBIT 0 SITEPROP TIEOFF_X26Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y117 RPM_X 104 SITEPROP TIEOFF_X26Y117 RPM_Y 234 SITEPROP TIEOFF_X26Y117 SITE_PIPS SITEPROP TIEOFF_X26Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y118 CLASS site SITEPROP TIEOFF_X26Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y118 IS_BONDED 0 SITEPROP TIEOFF_X26Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y118 IS_PAD 0 SITEPROP TIEOFF_X26Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y118 IS_RESERVED 0 SITEPROP TIEOFF_X26Y118 IS_TEST 0 SITEPROP TIEOFF_X26Y118 IS_USED 0 SITEPROP TIEOFF_X26Y118 MANUAL_ROUTING SITEPROP TIEOFF_X26Y118 NAME TIEOFF_X26Y118 SITEPROP TIEOFF_X26Y118 NUM_ARCS 0 SITEPROP TIEOFF_X26Y118 NUM_BELS 2 SITEPROP TIEOFF_X26Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y118 NUM_PINS 2 SITEPROP TIEOFF_X26Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y118 PROHIBIT 0 SITEPROP TIEOFF_X26Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y118 RPM_X 104 SITEPROP TIEOFF_X26Y118 RPM_Y 236 SITEPROP TIEOFF_X26Y118 SITE_PIPS SITEPROP TIEOFF_X26Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y119 CLASS site SITEPROP TIEOFF_X26Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y119 IS_BONDED 0 SITEPROP TIEOFF_X26Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y119 IS_PAD 0 SITEPROP TIEOFF_X26Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y119 IS_RESERVED 0 SITEPROP TIEOFF_X26Y119 IS_TEST 0 SITEPROP TIEOFF_X26Y119 IS_USED 0 SITEPROP TIEOFF_X26Y119 MANUAL_ROUTING SITEPROP TIEOFF_X26Y119 NAME TIEOFF_X26Y119 SITEPROP TIEOFF_X26Y119 NUM_ARCS 0 SITEPROP TIEOFF_X26Y119 NUM_BELS 2 SITEPROP TIEOFF_X26Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y119 NUM_PINS 2 SITEPROP TIEOFF_X26Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y119 PROHIBIT 0 SITEPROP TIEOFF_X26Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y119 RPM_X 104 SITEPROP TIEOFF_X26Y119 RPM_Y 238 SITEPROP TIEOFF_X26Y119 SITE_PIPS SITEPROP TIEOFF_X26Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y120 CLASS site SITEPROP TIEOFF_X26Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y120 IS_BONDED 0 SITEPROP TIEOFF_X26Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y120 IS_PAD 0 SITEPROP TIEOFF_X26Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y120 IS_RESERVED 0 SITEPROP TIEOFF_X26Y120 IS_TEST 0 SITEPROP TIEOFF_X26Y120 IS_USED 0 SITEPROP TIEOFF_X26Y120 MANUAL_ROUTING SITEPROP TIEOFF_X26Y120 NAME TIEOFF_X26Y120 SITEPROP TIEOFF_X26Y120 NUM_ARCS 0 SITEPROP TIEOFF_X26Y120 NUM_BELS 2 SITEPROP TIEOFF_X26Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y120 NUM_PINS 2 SITEPROP TIEOFF_X26Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y120 PROHIBIT 0 SITEPROP TIEOFF_X26Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y120 RPM_X 104 SITEPROP TIEOFF_X26Y120 RPM_Y 240 SITEPROP TIEOFF_X26Y120 SITE_PIPS SITEPROP TIEOFF_X26Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y121 CLASS site SITEPROP TIEOFF_X26Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y121 IS_BONDED 0 SITEPROP TIEOFF_X26Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y121 IS_PAD 0 SITEPROP TIEOFF_X26Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y121 IS_RESERVED 0 SITEPROP TIEOFF_X26Y121 IS_TEST 0 SITEPROP TIEOFF_X26Y121 IS_USED 0 SITEPROP TIEOFF_X26Y121 MANUAL_ROUTING SITEPROP TIEOFF_X26Y121 NAME TIEOFF_X26Y121 SITEPROP TIEOFF_X26Y121 NUM_ARCS 0 SITEPROP TIEOFF_X26Y121 NUM_BELS 2 SITEPROP TIEOFF_X26Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y121 NUM_PINS 2 SITEPROP TIEOFF_X26Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y121 PROHIBIT 0 SITEPROP TIEOFF_X26Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y121 RPM_X 104 SITEPROP TIEOFF_X26Y121 RPM_Y 242 SITEPROP TIEOFF_X26Y121 SITE_PIPS SITEPROP TIEOFF_X26Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y122 CLASS site SITEPROP TIEOFF_X26Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y122 IS_BONDED 0 SITEPROP TIEOFF_X26Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y122 IS_PAD 0 SITEPROP TIEOFF_X26Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y122 IS_RESERVED 0 SITEPROP TIEOFF_X26Y122 IS_TEST 0 SITEPROP TIEOFF_X26Y122 IS_USED 0 SITEPROP TIEOFF_X26Y122 MANUAL_ROUTING SITEPROP TIEOFF_X26Y122 NAME TIEOFF_X26Y122 SITEPROP TIEOFF_X26Y122 NUM_ARCS 0 SITEPROP TIEOFF_X26Y122 NUM_BELS 2 SITEPROP TIEOFF_X26Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y122 NUM_PINS 2 SITEPROP TIEOFF_X26Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y122 PROHIBIT 0 SITEPROP TIEOFF_X26Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y122 RPM_X 104 SITEPROP TIEOFF_X26Y122 RPM_Y 244 SITEPROP TIEOFF_X26Y122 SITE_PIPS SITEPROP TIEOFF_X26Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y123 CLASS site SITEPROP TIEOFF_X26Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y123 IS_BONDED 0 SITEPROP TIEOFF_X26Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y123 IS_PAD 0 SITEPROP TIEOFF_X26Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y123 IS_RESERVED 0 SITEPROP TIEOFF_X26Y123 IS_TEST 0 SITEPROP TIEOFF_X26Y123 IS_USED 0 SITEPROP TIEOFF_X26Y123 MANUAL_ROUTING SITEPROP TIEOFF_X26Y123 NAME TIEOFF_X26Y123 SITEPROP TIEOFF_X26Y123 NUM_ARCS 0 SITEPROP TIEOFF_X26Y123 NUM_BELS 2 SITEPROP TIEOFF_X26Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y123 NUM_PINS 2 SITEPROP TIEOFF_X26Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y123 PROHIBIT 0 SITEPROP TIEOFF_X26Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y123 RPM_X 104 SITEPROP TIEOFF_X26Y123 RPM_Y 246 SITEPROP TIEOFF_X26Y123 SITE_PIPS SITEPROP TIEOFF_X26Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y124 CLASS site SITEPROP TIEOFF_X26Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y124 IS_BONDED 0 SITEPROP TIEOFF_X26Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y124 IS_PAD 0 SITEPROP TIEOFF_X26Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y124 IS_RESERVED 0 SITEPROP TIEOFF_X26Y124 IS_TEST 0 SITEPROP TIEOFF_X26Y124 IS_USED 0 SITEPROP TIEOFF_X26Y124 MANUAL_ROUTING SITEPROP TIEOFF_X26Y124 NAME TIEOFF_X26Y124 SITEPROP TIEOFF_X26Y124 NUM_ARCS 0 SITEPROP TIEOFF_X26Y124 NUM_BELS 2 SITEPROP TIEOFF_X26Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y124 NUM_PINS 2 SITEPROP TIEOFF_X26Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y124 PROHIBIT 0 SITEPROP TIEOFF_X26Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y124 RPM_X 104 SITEPROP TIEOFF_X26Y124 RPM_Y 248 SITEPROP TIEOFF_X26Y124 SITE_PIPS SITEPROP TIEOFF_X26Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y125 CLASS site SITEPROP TIEOFF_X26Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y125 IS_BONDED 0 SITEPROP TIEOFF_X26Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y125 IS_PAD 0 SITEPROP TIEOFF_X26Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y125 IS_RESERVED 0 SITEPROP TIEOFF_X26Y125 IS_TEST 0 SITEPROP TIEOFF_X26Y125 IS_USED 0 SITEPROP TIEOFF_X26Y125 MANUAL_ROUTING SITEPROP TIEOFF_X26Y125 NAME TIEOFF_X26Y125 SITEPROP TIEOFF_X26Y125 NUM_ARCS 0 SITEPROP TIEOFF_X26Y125 NUM_BELS 2 SITEPROP TIEOFF_X26Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y125 NUM_PINS 2 SITEPROP TIEOFF_X26Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y125 PROHIBIT 0 SITEPROP TIEOFF_X26Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y125 RPM_X 104 SITEPROP TIEOFF_X26Y125 RPM_Y 250 SITEPROP TIEOFF_X26Y125 SITE_PIPS SITEPROP TIEOFF_X26Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y126 CLASS site SITEPROP TIEOFF_X26Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y126 IS_BONDED 0 SITEPROP TIEOFF_X26Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y126 IS_PAD 0 SITEPROP TIEOFF_X26Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y126 IS_RESERVED 0 SITEPROP TIEOFF_X26Y126 IS_TEST 0 SITEPROP TIEOFF_X26Y126 IS_USED 0 SITEPROP TIEOFF_X26Y126 MANUAL_ROUTING SITEPROP TIEOFF_X26Y126 NAME TIEOFF_X26Y126 SITEPROP TIEOFF_X26Y126 NUM_ARCS 0 SITEPROP TIEOFF_X26Y126 NUM_BELS 2 SITEPROP TIEOFF_X26Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y126 NUM_PINS 2 SITEPROP TIEOFF_X26Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y126 PROHIBIT 0 SITEPROP TIEOFF_X26Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y126 RPM_X 104 SITEPROP TIEOFF_X26Y126 RPM_Y 252 SITEPROP TIEOFF_X26Y126 SITE_PIPS SITEPROP TIEOFF_X26Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y127 CLASS site SITEPROP TIEOFF_X26Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y127 IS_BONDED 0 SITEPROP TIEOFF_X26Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y127 IS_PAD 0 SITEPROP TIEOFF_X26Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y127 IS_RESERVED 0 SITEPROP TIEOFF_X26Y127 IS_TEST 0 SITEPROP TIEOFF_X26Y127 IS_USED 0 SITEPROP TIEOFF_X26Y127 MANUAL_ROUTING SITEPROP TIEOFF_X26Y127 NAME TIEOFF_X26Y127 SITEPROP TIEOFF_X26Y127 NUM_ARCS 0 SITEPROP TIEOFF_X26Y127 NUM_BELS 2 SITEPROP TIEOFF_X26Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y127 NUM_PINS 2 SITEPROP TIEOFF_X26Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y127 PROHIBIT 0 SITEPROP TIEOFF_X26Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y127 RPM_X 104 SITEPROP TIEOFF_X26Y127 RPM_Y 254 SITEPROP TIEOFF_X26Y127 SITE_PIPS SITEPROP TIEOFF_X26Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y128 CLASS site SITEPROP TIEOFF_X26Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y128 IS_BONDED 0 SITEPROP TIEOFF_X26Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y128 IS_PAD 0 SITEPROP TIEOFF_X26Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y128 IS_RESERVED 0 SITEPROP TIEOFF_X26Y128 IS_TEST 0 SITEPROP TIEOFF_X26Y128 IS_USED 0 SITEPROP TIEOFF_X26Y128 MANUAL_ROUTING SITEPROP TIEOFF_X26Y128 NAME TIEOFF_X26Y128 SITEPROP TIEOFF_X26Y128 NUM_ARCS 0 SITEPROP TIEOFF_X26Y128 NUM_BELS 2 SITEPROP TIEOFF_X26Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y128 NUM_PINS 2 SITEPROP TIEOFF_X26Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y128 PROHIBIT 0 SITEPROP TIEOFF_X26Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y128 RPM_X 104 SITEPROP TIEOFF_X26Y128 RPM_Y 256 SITEPROP TIEOFF_X26Y128 SITE_PIPS SITEPROP TIEOFF_X26Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y129 CLASS site SITEPROP TIEOFF_X26Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y129 IS_BONDED 0 SITEPROP TIEOFF_X26Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y129 IS_PAD 0 SITEPROP TIEOFF_X26Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y129 IS_RESERVED 0 SITEPROP TIEOFF_X26Y129 IS_TEST 0 SITEPROP TIEOFF_X26Y129 IS_USED 0 SITEPROP TIEOFF_X26Y129 MANUAL_ROUTING SITEPROP TIEOFF_X26Y129 NAME TIEOFF_X26Y129 SITEPROP TIEOFF_X26Y129 NUM_ARCS 0 SITEPROP TIEOFF_X26Y129 NUM_BELS 2 SITEPROP TIEOFF_X26Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y129 NUM_PINS 2 SITEPROP TIEOFF_X26Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y129 PROHIBIT 0 SITEPROP TIEOFF_X26Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y129 RPM_X 104 SITEPROP TIEOFF_X26Y129 RPM_Y 258 SITEPROP TIEOFF_X26Y129 SITE_PIPS SITEPROP TIEOFF_X26Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y130 CLASS site SITEPROP TIEOFF_X26Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y130 IS_BONDED 0 SITEPROP TIEOFF_X26Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y130 IS_PAD 0 SITEPROP TIEOFF_X26Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y130 IS_RESERVED 0 SITEPROP TIEOFF_X26Y130 IS_TEST 0 SITEPROP TIEOFF_X26Y130 IS_USED 0 SITEPROP TIEOFF_X26Y130 MANUAL_ROUTING SITEPROP TIEOFF_X26Y130 NAME TIEOFF_X26Y130 SITEPROP TIEOFF_X26Y130 NUM_ARCS 0 SITEPROP TIEOFF_X26Y130 NUM_BELS 2 SITEPROP TIEOFF_X26Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y130 NUM_PINS 2 SITEPROP TIEOFF_X26Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y130 PROHIBIT 0 SITEPROP TIEOFF_X26Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y130 RPM_X 104 SITEPROP TIEOFF_X26Y130 RPM_Y 260 SITEPROP TIEOFF_X26Y130 SITE_PIPS SITEPROP TIEOFF_X26Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y131 CLASS site SITEPROP TIEOFF_X26Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y131 IS_BONDED 0 SITEPROP TIEOFF_X26Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y131 IS_PAD 0 SITEPROP TIEOFF_X26Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y131 IS_RESERVED 0 SITEPROP TIEOFF_X26Y131 IS_TEST 0 SITEPROP TIEOFF_X26Y131 IS_USED 0 SITEPROP TIEOFF_X26Y131 MANUAL_ROUTING SITEPROP TIEOFF_X26Y131 NAME TIEOFF_X26Y131 SITEPROP TIEOFF_X26Y131 NUM_ARCS 0 SITEPROP TIEOFF_X26Y131 NUM_BELS 2 SITEPROP TIEOFF_X26Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y131 NUM_PINS 2 SITEPROP TIEOFF_X26Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y131 PROHIBIT 0 SITEPROP TIEOFF_X26Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y131 RPM_X 104 SITEPROP TIEOFF_X26Y131 RPM_Y 262 SITEPROP TIEOFF_X26Y131 SITE_PIPS SITEPROP TIEOFF_X26Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y132 CLASS site SITEPROP TIEOFF_X26Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y132 IS_BONDED 0 SITEPROP TIEOFF_X26Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y132 IS_PAD 0 SITEPROP TIEOFF_X26Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y132 IS_RESERVED 0 SITEPROP TIEOFF_X26Y132 IS_TEST 0 SITEPROP TIEOFF_X26Y132 IS_USED 0 SITEPROP TIEOFF_X26Y132 MANUAL_ROUTING SITEPROP TIEOFF_X26Y132 NAME TIEOFF_X26Y132 SITEPROP TIEOFF_X26Y132 NUM_ARCS 0 SITEPROP TIEOFF_X26Y132 NUM_BELS 2 SITEPROP TIEOFF_X26Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y132 NUM_PINS 2 SITEPROP TIEOFF_X26Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y132 PROHIBIT 0 SITEPROP TIEOFF_X26Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y132 RPM_X 104 SITEPROP TIEOFF_X26Y132 RPM_Y 264 SITEPROP TIEOFF_X26Y132 SITE_PIPS SITEPROP TIEOFF_X26Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y133 CLASS site SITEPROP TIEOFF_X26Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y133 IS_BONDED 0 SITEPROP TIEOFF_X26Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y133 IS_PAD 0 SITEPROP TIEOFF_X26Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y133 IS_RESERVED 0 SITEPROP TIEOFF_X26Y133 IS_TEST 0 SITEPROP TIEOFF_X26Y133 IS_USED 0 SITEPROP TIEOFF_X26Y133 MANUAL_ROUTING SITEPROP TIEOFF_X26Y133 NAME TIEOFF_X26Y133 SITEPROP TIEOFF_X26Y133 NUM_ARCS 0 SITEPROP TIEOFF_X26Y133 NUM_BELS 2 SITEPROP TIEOFF_X26Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y133 NUM_PINS 2 SITEPROP TIEOFF_X26Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y133 PROHIBIT 0 SITEPROP TIEOFF_X26Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y133 RPM_X 104 SITEPROP TIEOFF_X26Y133 RPM_Y 266 SITEPROP TIEOFF_X26Y133 SITE_PIPS SITEPROP TIEOFF_X26Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y134 CLASS site SITEPROP TIEOFF_X26Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y134 IS_BONDED 0 SITEPROP TIEOFF_X26Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y134 IS_PAD 0 SITEPROP TIEOFF_X26Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y134 IS_RESERVED 0 SITEPROP TIEOFF_X26Y134 IS_TEST 0 SITEPROP TIEOFF_X26Y134 IS_USED 0 SITEPROP TIEOFF_X26Y134 MANUAL_ROUTING SITEPROP TIEOFF_X26Y134 NAME TIEOFF_X26Y134 SITEPROP TIEOFF_X26Y134 NUM_ARCS 0 SITEPROP TIEOFF_X26Y134 NUM_BELS 2 SITEPROP TIEOFF_X26Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y134 NUM_PINS 2 SITEPROP TIEOFF_X26Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y134 PROHIBIT 0 SITEPROP TIEOFF_X26Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y134 RPM_X 104 SITEPROP TIEOFF_X26Y134 RPM_Y 268 SITEPROP TIEOFF_X26Y134 SITE_PIPS SITEPROP TIEOFF_X26Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y135 CLASS site SITEPROP TIEOFF_X26Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y135 IS_BONDED 0 SITEPROP TIEOFF_X26Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y135 IS_PAD 0 SITEPROP TIEOFF_X26Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y135 IS_RESERVED 0 SITEPROP TIEOFF_X26Y135 IS_TEST 0 SITEPROP TIEOFF_X26Y135 IS_USED 0 SITEPROP TIEOFF_X26Y135 MANUAL_ROUTING SITEPROP TIEOFF_X26Y135 NAME TIEOFF_X26Y135 SITEPROP TIEOFF_X26Y135 NUM_ARCS 0 SITEPROP TIEOFF_X26Y135 NUM_BELS 2 SITEPROP TIEOFF_X26Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y135 NUM_PINS 2 SITEPROP TIEOFF_X26Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y135 PROHIBIT 0 SITEPROP TIEOFF_X26Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y135 RPM_X 104 SITEPROP TIEOFF_X26Y135 RPM_Y 270 SITEPROP TIEOFF_X26Y135 SITE_PIPS SITEPROP TIEOFF_X26Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y136 CLASS site SITEPROP TIEOFF_X26Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y136 IS_BONDED 0 SITEPROP TIEOFF_X26Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y136 IS_PAD 0 SITEPROP TIEOFF_X26Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y136 IS_RESERVED 0 SITEPROP TIEOFF_X26Y136 IS_TEST 0 SITEPROP TIEOFF_X26Y136 IS_USED 0 SITEPROP TIEOFF_X26Y136 MANUAL_ROUTING SITEPROP TIEOFF_X26Y136 NAME TIEOFF_X26Y136 SITEPROP TIEOFF_X26Y136 NUM_ARCS 0 SITEPROP TIEOFF_X26Y136 NUM_BELS 2 SITEPROP TIEOFF_X26Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y136 NUM_PINS 2 SITEPROP TIEOFF_X26Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y136 PROHIBIT 0 SITEPROP TIEOFF_X26Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y136 RPM_X 104 SITEPROP TIEOFF_X26Y136 RPM_Y 272 SITEPROP TIEOFF_X26Y136 SITE_PIPS SITEPROP TIEOFF_X26Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y137 CLASS site SITEPROP TIEOFF_X26Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y137 IS_BONDED 0 SITEPROP TIEOFF_X26Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y137 IS_PAD 0 SITEPROP TIEOFF_X26Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y137 IS_RESERVED 0 SITEPROP TIEOFF_X26Y137 IS_TEST 0 SITEPROP TIEOFF_X26Y137 IS_USED 0 SITEPROP TIEOFF_X26Y137 MANUAL_ROUTING SITEPROP TIEOFF_X26Y137 NAME TIEOFF_X26Y137 SITEPROP TIEOFF_X26Y137 NUM_ARCS 0 SITEPROP TIEOFF_X26Y137 NUM_BELS 2 SITEPROP TIEOFF_X26Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y137 NUM_PINS 2 SITEPROP TIEOFF_X26Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y137 PROHIBIT 0 SITEPROP TIEOFF_X26Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y137 RPM_X 104 SITEPROP TIEOFF_X26Y137 RPM_Y 274 SITEPROP TIEOFF_X26Y137 SITE_PIPS SITEPROP TIEOFF_X26Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y138 CLASS site SITEPROP TIEOFF_X26Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y138 IS_BONDED 0 SITEPROP TIEOFF_X26Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y138 IS_PAD 0 SITEPROP TIEOFF_X26Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y138 IS_RESERVED 0 SITEPROP TIEOFF_X26Y138 IS_TEST 0 SITEPROP TIEOFF_X26Y138 IS_USED 0 SITEPROP TIEOFF_X26Y138 MANUAL_ROUTING SITEPROP TIEOFF_X26Y138 NAME TIEOFF_X26Y138 SITEPROP TIEOFF_X26Y138 NUM_ARCS 0 SITEPROP TIEOFF_X26Y138 NUM_BELS 2 SITEPROP TIEOFF_X26Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y138 NUM_PINS 2 SITEPROP TIEOFF_X26Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y138 PROHIBIT 0 SITEPROP TIEOFF_X26Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y138 RPM_X 104 SITEPROP TIEOFF_X26Y138 RPM_Y 276 SITEPROP TIEOFF_X26Y138 SITE_PIPS SITEPROP TIEOFF_X26Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y139 CLASS site SITEPROP TIEOFF_X26Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y139 IS_BONDED 0 SITEPROP TIEOFF_X26Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y139 IS_PAD 0 SITEPROP TIEOFF_X26Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y139 IS_RESERVED 0 SITEPROP TIEOFF_X26Y139 IS_TEST 0 SITEPROP TIEOFF_X26Y139 IS_USED 0 SITEPROP TIEOFF_X26Y139 MANUAL_ROUTING SITEPROP TIEOFF_X26Y139 NAME TIEOFF_X26Y139 SITEPROP TIEOFF_X26Y139 NUM_ARCS 0 SITEPROP TIEOFF_X26Y139 NUM_BELS 2 SITEPROP TIEOFF_X26Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y139 NUM_PINS 2 SITEPROP TIEOFF_X26Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y139 PROHIBIT 0 SITEPROP TIEOFF_X26Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y139 RPM_X 104 SITEPROP TIEOFF_X26Y139 RPM_Y 278 SITEPROP TIEOFF_X26Y139 SITE_PIPS SITEPROP TIEOFF_X26Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y140 CLASS site SITEPROP TIEOFF_X26Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y140 IS_BONDED 0 SITEPROP TIEOFF_X26Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y140 IS_PAD 0 SITEPROP TIEOFF_X26Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y140 IS_RESERVED 0 SITEPROP TIEOFF_X26Y140 IS_TEST 0 SITEPROP TIEOFF_X26Y140 IS_USED 0 SITEPROP TIEOFF_X26Y140 MANUAL_ROUTING SITEPROP TIEOFF_X26Y140 NAME TIEOFF_X26Y140 SITEPROP TIEOFF_X26Y140 NUM_ARCS 0 SITEPROP TIEOFF_X26Y140 NUM_BELS 2 SITEPROP TIEOFF_X26Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y140 NUM_PINS 2 SITEPROP TIEOFF_X26Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y140 PROHIBIT 0 SITEPROP TIEOFF_X26Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y140 RPM_X 104 SITEPROP TIEOFF_X26Y140 RPM_Y 280 SITEPROP TIEOFF_X26Y140 SITE_PIPS SITEPROP TIEOFF_X26Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y141 CLASS site SITEPROP TIEOFF_X26Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y141 IS_BONDED 0 SITEPROP TIEOFF_X26Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y141 IS_PAD 0 SITEPROP TIEOFF_X26Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y141 IS_RESERVED 0 SITEPROP TIEOFF_X26Y141 IS_TEST 0 SITEPROP TIEOFF_X26Y141 IS_USED 0 SITEPROP TIEOFF_X26Y141 MANUAL_ROUTING SITEPROP TIEOFF_X26Y141 NAME TIEOFF_X26Y141 SITEPROP TIEOFF_X26Y141 NUM_ARCS 0 SITEPROP TIEOFF_X26Y141 NUM_BELS 2 SITEPROP TIEOFF_X26Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y141 NUM_PINS 2 SITEPROP TIEOFF_X26Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y141 PROHIBIT 0 SITEPROP TIEOFF_X26Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y141 RPM_X 104 SITEPROP TIEOFF_X26Y141 RPM_Y 282 SITEPROP TIEOFF_X26Y141 SITE_PIPS SITEPROP TIEOFF_X26Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y142 CLASS site SITEPROP TIEOFF_X26Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y142 IS_BONDED 0 SITEPROP TIEOFF_X26Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y142 IS_PAD 0 SITEPROP TIEOFF_X26Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y142 IS_RESERVED 0 SITEPROP TIEOFF_X26Y142 IS_TEST 0 SITEPROP TIEOFF_X26Y142 IS_USED 0 SITEPROP TIEOFF_X26Y142 MANUAL_ROUTING SITEPROP TIEOFF_X26Y142 NAME TIEOFF_X26Y142 SITEPROP TIEOFF_X26Y142 NUM_ARCS 0 SITEPROP TIEOFF_X26Y142 NUM_BELS 2 SITEPROP TIEOFF_X26Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y142 NUM_PINS 2 SITEPROP TIEOFF_X26Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y142 PROHIBIT 0 SITEPROP TIEOFF_X26Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y142 RPM_X 104 SITEPROP TIEOFF_X26Y142 RPM_Y 284 SITEPROP TIEOFF_X26Y142 SITE_PIPS SITEPROP TIEOFF_X26Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y143 CLASS site SITEPROP TIEOFF_X26Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y143 IS_BONDED 0 SITEPROP TIEOFF_X26Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y143 IS_PAD 0 SITEPROP TIEOFF_X26Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y143 IS_RESERVED 0 SITEPROP TIEOFF_X26Y143 IS_TEST 0 SITEPROP TIEOFF_X26Y143 IS_USED 0 SITEPROP TIEOFF_X26Y143 MANUAL_ROUTING SITEPROP TIEOFF_X26Y143 NAME TIEOFF_X26Y143 SITEPROP TIEOFF_X26Y143 NUM_ARCS 0 SITEPROP TIEOFF_X26Y143 NUM_BELS 2 SITEPROP TIEOFF_X26Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y143 NUM_PINS 2 SITEPROP TIEOFF_X26Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y143 PROHIBIT 0 SITEPROP TIEOFF_X26Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y143 RPM_X 104 SITEPROP TIEOFF_X26Y143 RPM_Y 286 SITEPROP TIEOFF_X26Y143 SITE_PIPS SITEPROP TIEOFF_X26Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y144 CLASS site SITEPROP TIEOFF_X26Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y144 IS_BONDED 0 SITEPROP TIEOFF_X26Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y144 IS_PAD 0 SITEPROP TIEOFF_X26Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y144 IS_RESERVED 0 SITEPROP TIEOFF_X26Y144 IS_TEST 0 SITEPROP TIEOFF_X26Y144 IS_USED 0 SITEPROP TIEOFF_X26Y144 MANUAL_ROUTING SITEPROP TIEOFF_X26Y144 NAME TIEOFF_X26Y144 SITEPROP TIEOFF_X26Y144 NUM_ARCS 0 SITEPROP TIEOFF_X26Y144 NUM_BELS 2 SITEPROP TIEOFF_X26Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y144 NUM_PINS 2 SITEPROP TIEOFF_X26Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y144 PROHIBIT 0 SITEPROP TIEOFF_X26Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y144 RPM_X 104 SITEPROP TIEOFF_X26Y144 RPM_Y 288 SITEPROP TIEOFF_X26Y144 SITE_PIPS SITEPROP TIEOFF_X26Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y145 CLASS site SITEPROP TIEOFF_X26Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y145 IS_BONDED 0 SITEPROP TIEOFF_X26Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y145 IS_PAD 0 SITEPROP TIEOFF_X26Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y145 IS_RESERVED 0 SITEPROP TIEOFF_X26Y145 IS_TEST 0 SITEPROP TIEOFF_X26Y145 IS_USED 0 SITEPROP TIEOFF_X26Y145 MANUAL_ROUTING SITEPROP TIEOFF_X26Y145 NAME TIEOFF_X26Y145 SITEPROP TIEOFF_X26Y145 NUM_ARCS 0 SITEPROP TIEOFF_X26Y145 NUM_BELS 2 SITEPROP TIEOFF_X26Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y145 NUM_PINS 2 SITEPROP TIEOFF_X26Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y145 PROHIBIT 0 SITEPROP TIEOFF_X26Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y145 RPM_X 104 SITEPROP TIEOFF_X26Y145 RPM_Y 290 SITEPROP TIEOFF_X26Y145 SITE_PIPS SITEPROP TIEOFF_X26Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y146 CLASS site SITEPROP TIEOFF_X26Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y146 IS_BONDED 0 SITEPROP TIEOFF_X26Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y146 IS_PAD 0 SITEPROP TIEOFF_X26Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y146 IS_RESERVED 0 SITEPROP TIEOFF_X26Y146 IS_TEST 0 SITEPROP TIEOFF_X26Y146 IS_USED 0 SITEPROP TIEOFF_X26Y146 MANUAL_ROUTING SITEPROP TIEOFF_X26Y146 NAME TIEOFF_X26Y146 SITEPROP TIEOFF_X26Y146 NUM_ARCS 0 SITEPROP TIEOFF_X26Y146 NUM_BELS 2 SITEPROP TIEOFF_X26Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y146 NUM_PINS 2 SITEPROP TIEOFF_X26Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y146 PROHIBIT 0 SITEPROP TIEOFF_X26Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y146 RPM_X 104 SITEPROP TIEOFF_X26Y146 RPM_Y 292 SITEPROP TIEOFF_X26Y146 SITE_PIPS SITEPROP TIEOFF_X26Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y147 CLASS site SITEPROP TIEOFF_X26Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y147 IS_BONDED 0 SITEPROP TIEOFF_X26Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y147 IS_PAD 0 SITEPROP TIEOFF_X26Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y147 IS_RESERVED 0 SITEPROP TIEOFF_X26Y147 IS_TEST 0 SITEPROP TIEOFF_X26Y147 IS_USED 0 SITEPROP TIEOFF_X26Y147 MANUAL_ROUTING SITEPROP TIEOFF_X26Y147 NAME TIEOFF_X26Y147 SITEPROP TIEOFF_X26Y147 NUM_ARCS 0 SITEPROP TIEOFF_X26Y147 NUM_BELS 2 SITEPROP TIEOFF_X26Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y147 NUM_PINS 2 SITEPROP TIEOFF_X26Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y147 PROHIBIT 0 SITEPROP TIEOFF_X26Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y147 RPM_X 104 SITEPROP TIEOFF_X26Y147 RPM_Y 294 SITEPROP TIEOFF_X26Y147 SITE_PIPS SITEPROP TIEOFF_X26Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y148 CLASS site SITEPROP TIEOFF_X26Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y148 IS_BONDED 0 SITEPROP TIEOFF_X26Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y148 IS_PAD 0 SITEPROP TIEOFF_X26Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y148 IS_RESERVED 0 SITEPROP TIEOFF_X26Y148 IS_TEST 0 SITEPROP TIEOFF_X26Y148 IS_USED 0 SITEPROP TIEOFF_X26Y148 MANUAL_ROUTING SITEPROP TIEOFF_X26Y148 NAME TIEOFF_X26Y148 SITEPROP TIEOFF_X26Y148 NUM_ARCS 0 SITEPROP TIEOFF_X26Y148 NUM_BELS 2 SITEPROP TIEOFF_X26Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y148 NUM_PINS 2 SITEPROP TIEOFF_X26Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y148 PROHIBIT 0 SITEPROP TIEOFF_X26Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y148 RPM_X 104 SITEPROP TIEOFF_X26Y148 RPM_Y 296 SITEPROP TIEOFF_X26Y148 SITE_PIPS SITEPROP TIEOFF_X26Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X26Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X26Y149 CLASS site SITEPROP TIEOFF_X26Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X26Y149 IS_BONDED 0 SITEPROP TIEOFF_X26Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y149 IS_PAD 0 SITEPROP TIEOFF_X26Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X26Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X26Y149 IS_RESERVED 0 SITEPROP TIEOFF_X26Y149 IS_TEST 0 SITEPROP TIEOFF_X26Y149 IS_USED 0 SITEPROP TIEOFF_X26Y149 MANUAL_ROUTING SITEPROP TIEOFF_X26Y149 NAME TIEOFF_X26Y149 SITEPROP TIEOFF_X26Y149 NUM_ARCS 0 SITEPROP TIEOFF_X26Y149 NUM_BELS 2 SITEPROP TIEOFF_X26Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X26Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X26Y149 NUM_PINS 2 SITEPROP TIEOFF_X26Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X26Y149 PROHIBIT 0 SITEPROP TIEOFF_X26Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X26Y149 RPM_X 104 SITEPROP TIEOFF_X26Y149 RPM_Y 298 SITEPROP TIEOFF_X26Y149 SITE_PIPS SITEPROP TIEOFF_X26Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y0 CLASS site SITEPROP TIEOFF_X27Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y0 IS_BONDED 0 SITEPROP TIEOFF_X27Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y0 IS_PAD 0 SITEPROP TIEOFF_X27Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y0 IS_RESERVED 0 SITEPROP TIEOFF_X27Y0 IS_TEST 0 SITEPROP TIEOFF_X27Y0 IS_USED 0 SITEPROP TIEOFF_X27Y0 MANUAL_ROUTING SITEPROP TIEOFF_X27Y0 NAME TIEOFF_X27Y0 SITEPROP TIEOFF_X27Y0 NUM_ARCS 0 SITEPROP TIEOFF_X27Y0 NUM_BELS 2 SITEPROP TIEOFF_X27Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y0 NUM_PINS 2 SITEPROP TIEOFF_X27Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y0 PROHIBIT 0 SITEPROP TIEOFF_X27Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y0 RPM_X 108 SITEPROP TIEOFF_X27Y0 RPM_Y 0 SITEPROP TIEOFF_X27Y0 SITE_PIPS SITEPROP TIEOFF_X27Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y1 CLASS site SITEPROP TIEOFF_X27Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y1 IS_BONDED 0 SITEPROP TIEOFF_X27Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y1 IS_PAD 0 SITEPROP TIEOFF_X27Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y1 IS_RESERVED 0 SITEPROP TIEOFF_X27Y1 IS_TEST 0 SITEPROP TIEOFF_X27Y1 IS_USED 0 SITEPROP TIEOFF_X27Y1 MANUAL_ROUTING SITEPROP TIEOFF_X27Y1 NAME TIEOFF_X27Y1 SITEPROP TIEOFF_X27Y1 NUM_ARCS 0 SITEPROP TIEOFF_X27Y1 NUM_BELS 2 SITEPROP TIEOFF_X27Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y1 NUM_PINS 2 SITEPROP TIEOFF_X27Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y1 PROHIBIT 0 SITEPROP TIEOFF_X27Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y1 RPM_X 108 SITEPROP TIEOFF_X27Y1 RPM_Y 2 SITEPROP TIEOFF_X27Y1 SITE_PIPS SITEPROP TIEOFF_X27Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y2 CLASS site SITEPROP TIEOFF_X27Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y2 IS_BONDED 0 SITEPROP TIEOFF_X27Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y2 IS_PAD 0 SITEPROP TIEOFF_X27Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y2 IS_RESERVED 0 SITEPROP TIEOFF_X27Y2 IS_TEST 0 SITEPROP TIEOFF_X27Y2 IS_USED 0 SITEPROP TIEOFF_X27Y2 MANUAL_ROUTING SITEPROP TIEOFF_X27Y2 NAME TIEOFF_X27Y2 SITEPROP TIEOFF_X27Y2 NUM_ARCS 0 SITEPROP TIEOFF_X27Y2 NUM_BELS 2 SITEPROP TIEOFF_X27Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y2 NUM_PINS 2 SITEPROP TIEOFF_X27Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y2 PROHIBIT 0 SITEPROP TIEOFF_X27Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y2 RPM_X 108 SITEPROP TIEOFF_X27Y2 RPM_Y 4 SITEPROP TIEOFF_X27Y2 SITE_PIPS SITEPROP TIEOFF_X27Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y3 CLASS site SITEPROP TIEOFF_X27Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y3 IS_BONDED 0 SITEPROP TIEOFF_X27Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y3 IS_PAD 0 SITEPROP TIEOFF_X27Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y3 IS_RESERVED 0 SITEPROP TIEOFF_X27Y3 IS_TEST 0 SITEPROP TIEOFF_X27Y3 IS_USED 0 SITEPROP TIEOFF_X27Y3 MANUAL_ROUTING SITEPROP TIEOFF_X27Y3 NAME TIEOFF_X27Y3 SITEPROP TIEOFF_X27Y3 NUM_ARCS 0 SITEPROP TIEOFF_X27Y3 NUM_BELS 2 SITEPROP TIEOFF_X27Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y3 NUM_PINS 2 SITEPROP TIEOFF_X27Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y3 PROHIBIT 0 SITEPROP TIEOFF_X27Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y3 RPM_X 108 SITEPROP TIEOFF_X27Y3 RPM_Y 6 SITEPROP TIEOFF_X27Y3 SITE_PIPS SITEPROP TIEOFF_X27Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y4 CLASS site SITEPROP TIEOFF_X27Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y4 IS_BONDED 0 SITEPROP TIEOFF_X27Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y4 IS_PAD 0 SITEPROP TIEOFF_X27Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y4 IS_RESERVED 0 SITEPROP TIEOFF_X27Y4 IS_TEST 0 SITEPROP TIEOFF_X27Y4 IS_USED 0 SITEPROP TIEOFF_X27Y4 MANUAL_ROUTING SITEPROP TIEOFF_X27Y4 NAME TIEOFF_X27Y4 SITEPROP TIEOFF_X27Y4 NUM_ARCS 0 SITEPROP TIEOFF_X27Y4 NUM_BELS 2 SITEPROP TIEOFF_X27Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y4 NUM_PINS 2 SITEPROP TIEOFF_X27Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y4 PROHIBIT 0 SITEPROP TIEOFF_X27Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y4 RPM_X 108 SITEPROP TIEOFF_X27Y4 RPM_Y 8 SITEPROP TIEOFF_X27Y4 SITE_PIPS SITEPROP TIEOFF_X27Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y5 CLASS site SITEPROP TIEOFF_X27Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y5 IS_BONDED 0 SITEPROP TIEOFF_X27Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y5 IS_PAD 0 SITEPROP TIEOFF_X27Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y5 IS_RESERVED 0 SITEPROP TIEOFF_X27Y5 IS_TEST 0 SITEPROP TIEOFF_X27Y5 IS_USED 0 SITEPROP TIEOFF_X27Y5 MANUAL_ROUTING SITEPROP TIEOFF_X27Y5 NAME TIEOFF_X27Y5 SITEPROP TIEOFF_X27Y5 NUM_ARCS 0 SITEPROP TIEOFF_X27Y5 NUM_BELS 2 SITEPROP TIEOFF_X27Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y5 NUM_PINS 2 SITEPROP TIEOFF_X27Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y5 PROHIBIT 0 SITEPROP TIEOFF_X27Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y5 RPM_X 108 SITEPROP TIEOFF_X27Y5 RPM_Y 10 SITEPROP TIEOFF_X27Y5 SITE_PIPS SITEPROP TIEOFF_X27Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y6 CLASS site SITEPROP TIEOFF_X27Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y6 IS_BONDED 0 SITEPROP TIEOFF_X27Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y6 IS_PAD 0 SITEPROP TIEOFF_X27Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y6 IS_RESERVED 0 SITEPROP TIEOFF_X27Y6 IS_TEST 0 SITEPROP TIEOFF_X27Y6 IS_USED 0 SITEPROP TIEOFF_X27Y6 MANUAL_ROUTING SITEPROP TIEOFF_X27Y6 NAME TIEOFF_X27Y6 SITEPROP TIEOFF_X27Y6 NUM_ARCS 0 SITEPROP TIEOFF_X27Y6 NUM_BELS 2 SITEPROP TIEOFF_X27Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y6 NUM_PINS 2 SITEPROP TIEOFF_X27Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y6 PROHIBIT 0 SITEPROP TIEOFF_X27Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y6 RPM_X 108 SITEPROP TIEOFF_X27Y6 RPM_Y 12 SITEPROP TIEOFF_X27Y6 SITE_PIPS SITEPROP TIEOFF_X27Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y7 CLASS site SITEPROP TIEOFF_X27Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y7 IS_BONDED 0 SITEPROP TIEOFF_X27Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y7 IS_PAD 0 SITEPROP TIEOFF_X27Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y7 IS_RESERVED 0 SITEPROP TIEOFF_X27Y7 IS_TEST 0 SITEPROP TIEOFF_X27Y7 IS_USED 0 SITEPROP TIEOFF_X27Y7 MANUAL_ROUTING SITEPROP TIEOFF_X27Y7 NAME TIEOFF_X27Y7 SITEPROP TIEOFF_X27Y7 NUM_ARCS 0 SITEPROP TIEOFF_X27Y7 NUM_BELS 2 SITEPROP TIEOFF_X27Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y7 NUM_PINS 2 SITEPROP TIEOFF_X27Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y7 PROHIBIT 0 SITEPROP TIEOFF_X27Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y7 RPM_X 108 SITEPROP TIEOFF_X27Y7 RPM_Y 14 SITEPROP TIEOFF_X27Y7 SITE_PIPS SITEPROP TIEOFF_X27Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y8 CLASS site SITEPROP TIEOFF_X27Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y8 IS_BONDED 0 SITEPROP TIEOFF_X27Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y8 IS_PAD 0 SITEPROP TIEOFF_X27Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y8 IS_RESERVED 0 SITEPROP TIEOFF_X27Y8 IS_TEST 0 SITEPROP TIEOFF_X27Y8 IS_USED 0 SITEPROP TIEOFF_X27Y8 MANUAL_ROUTING SITEPROP TIEOFF_X27Y8 NAME TIEOFF_X27Y8 SITEPROP TIEOFF_X27Y8 NUM_ARCS 0 SITEPROP TIEOFF_X27Y8 NUM_BELS 2 SITEPROP TIEOFF_X27Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y8 NUM_PINS 2 SITEPROP TIEOFF_X27Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y8 PROHIBIT 0 SITEPROP TIEOFF_X27Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y8 RPM_X 108 SITEPROP TIEOFF_X27Y8 RPM_Y 16 SITEPROP TIEOFF_X27Y8 SITE_PIPS SITEPROP TIEOFF_X27Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y9 CLASS site SITEPROP TIEOFF_X27Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y9 IS_BONDED 0 SITEPROP TIEOFF_X27Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y9 IS_PAD 0 SITEPROP TIEOFF_X27Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y9 IS_RESERVED 0 SITEPROP TIEOFF_X27Y9 IS_TEST 0 SITEPROP TIEOFF_X27Y9 IS_USED 0 SITEPROP TIEOFF_X27Y9 MANUAL_ROUTING SITEPROP TIEOFF_X27Y9 NAME TIEOFF_X27Y9 SITEPROP TIEOFF_X27Y9 NUM_ARCS 0 SITEPROP TIEOFF_X27Y9 NUM_BELS 2 SITEPROP TIEOFF_X27Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y9 NUM_PINS 2 SITEPROP TIEOFF_X27Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y9 PROHIBIT 0 SITEPROP TIEOFF_X27Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y9 RPM_X 108 SITEPROP TIEOFF_X27Y9 RPM_Y 18 SITEPROP TIEOFF_X27Y9 SITE_PIPS SITEPROP TIEOFF_X27Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y10 CLASS site SITEPROP TIEOFF_X27Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y10 IS_BONDED 0 SITEPROP TIEOFF_X27Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y10 IS_PAD 0 SITEPROP TIEOFF_X27Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y10 IS_RESERVED 0 SITEPROP TIEOFF_X27Y10 IS_TEST 0 SITEPROP TIEOFF_X27Y10 IS_USED 0 SITEPROP TIEOFF_X27Y10 MANUAL_ROUTING SITEPROP TIEOFF_X27Y10 NAME TIEOFF_X27Y10 SITEPROP TIEOFF_X27Y10 NUM_ARCS 0 SITEPROP TIEOFF_X27Y10 NUM_BELS 2 SITEPROP TIEOFF_X27Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y10 NUM_PINS 2 SITEPROP TIEOFF_X27Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y10 PROHIBIT 0 SITEPROP TIEOFF_X27Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y10 RPM_X 108 SITEPROP TIEOFF_X27Y10 RPM_Y 20 SITEPROP TIEOFF_X27Y10 SITE_PIPS SITEPROP TIEOFF_X27Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y11 CLASS site SITEPROP TIEOFF_X27Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y11 IS_BONDED 0 SITEPROP TIEOFF_X27Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y11 IS_PAD 0 SITEPROP TIEOFF_X27Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y11 IS_RESERVED 0 SITEPROP TIEOFF_X27Y11 IS_TEST 0 SITEPROP TIEOFF_X27Y11 IS_USED 0 SITEPROP TIEOFF_X27Y11 MANUAL_ROUTING SITEPROP TIEOFF_X27Y11 NAME TIEOFF_X27Y11 SITEPROP TIEOFF_X27Y11 NUM_ARCS 0 SITEPROP TIEOFF_X27Y11 NUM_BELS 2 SITEPROP TIEOFF_X27Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y11 NUM_PINS 2 SITEPROP TIEOFF_X27Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y11 PROHIBIT 0 SITEPROP TIEOFF_X27Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y11 RPM_X 108 SITEPROP TIEOFF_X27Y11 RPM_Y 22 SITEPROP TIEOFF_X27Y11 SITE_PIPS SITEPROP TIEOFF_X27Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y12 CLASS site SITEPROP TIEOFF_X27Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y12 IS_BONDED 0 SITEPROP TIEOFF_X27Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y12 IS_PAD 0 SITEPROP TIEOFF_X27Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y12 IS_RESERVED 0 SITEPROP TIEOFF_X27Y12 IS_TEST 0 SITEPROP TIEOFF_X27Y12 IS_USED 0 SITEPROP TIEOFF_X27Y12 MANUAL_ROUTING SITEPROP TIEOFF_X27Y12 NAME TIEOFF_X27Y12 SITEPROP TIEOFF_X27Y12 NUM_ARCS 0 SITEPROP TIEOFF_X27Y12 NUM_BELS 2 SITEPROP TIEOFF_X27Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y12 NUM_PINS 2 SITEPROP TIEOFF_X27Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y12 PROHIBIT 0 SITEPROP TIEOFF_X27Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y12 RPM_X 108 SITEPROP TIEOFF_X27Y12 RPM_Y 24 SITEPROP TIEOFF_X27Y12 SITE_PIPS SITEPROP TIEOFF_X27Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y13 CLASS site SITEPROP TIEOFF_X27Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y13 IS_BONDED 0 SITEPROP TIEOFF_X27Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y13 IS_PAD 0 SITEPROP TIEOFF_X27Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y13 IS_RESERVED 0 SITEPROP TIEOFF_X27Y13 IS_TEST 0 SITEPROP TIEOFF_X27Y13 IS_USED 0 SITEPROP TIEOFF_X27Y13 MANUAL_ROUTING SITEPROP TIEOFF_X27Y13 NAME TIEOFF_X27Y13 SITEPROP TIEOFF_X27Y13 NUM_ARCS 0 SITEPROP TIEOFF_X27Y13 NUM_BELS 2 SITEPROP TIEOFF_X27Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y13 NUM_PINS 2 SITEPROP TIEOFF_X27Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y13 PROHIBIT 0 SITEPROP TIEOFF_X27Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y13 RPM_X 108 SITEPROP TIEOFF_X27Y13 RPM_Y 26 SITEPROP TIEOFF_X27Y13 SITE_PIPS SITEPROP TIEOFF_X27Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y14 CLASS site SITEPROP TIEOFF_X27Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y14 IS_BONDED 0 SITEPROP TIEOFF_X27Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y14 IS_PAD 0 SITEPROP TIEOFF_X27Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y14 IS_RESERVED 0 SITEPROP TIEOFF_X27Y14 IS_TEST 0 SITEPROP TIEOFF_X27Y14 IS_USED 0 SITEPROP TIEOFF_X27Y14 MANUAL_ROUTING SITEPROP TIEOFF_X27Y14 NAME TIEOFF_X27Y14 SITEPROP TIEOFF_X27Y14 NUM_ARCS 0 SITEPROP TIEOFF_X27Y14 NUM_BELS 2 SITEPROP TIEOFF_X27Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y14 NUM_PINS 2 SITEPROP TIEOFF_X27Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y14 PROHIBIT 0 SITEPROP TIEOFF_X27Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y14 RPM_X 108 SITEPROP TIEOFF_X27Y14 RPM_Y 28 SITEPROP TIEOFF_X27Y14 SITE_PIPS SITEPROP TIEOFF_X27Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y15 CLASS site SITEPROP TIEOFF_X27Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y15 IS_BONDED 0 SITEPROP TIEOFF_X27Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y15 IS_PAD 0 SITEPROP TIEOFF_X27Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y15 IS_RESERVED 0 SITEPROP TIEOFF_X27Y15 IS_TEST 0 SITEPROP TIEOFF_X27Y15 IS_USED 0 SITEPROP TIEOFF_X27Y15 MANUAL_ROUTING SITEPROP TIEOFF_X27Y15 NAME TIEOFF_X27Y15 SITEPROP TIEOFF_X27Y15 NUM_ARCS 0 SITEPROP TIEOFF_X27Y15 NUM_BELS 2 SITEPROP TIEOFF_X27Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y15 NUM_PINS 2 SITEPROP TIEOFF_X27Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y15 PROHIBIT 0 SITEPROP TIEOFF_X27Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y15 RPM_X 108 SITEPROP TIEOFF_X27Y15 RPM_Y 30 SITEPROP TIEOFF_X27Y15 SITE_PIPS SITEPROP TIEOFF_X27Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y16 CLASS site SITEPROP TIEOFF_X27Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y16 IS_BONDED 0 SITEPROP TIEOFF_X27Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y16 IS_PAD 0 SITEPROP TIEOFF_X27Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y16 IS_RESERVED 0 SITEPROP TIEOFF_X27Y16 IS_TEST 0 SITEPROP TIEOFF_X27Y16 IS_USED 0 SITEPROP TIEOFF_X27Y16 MANUAL_ROUTING SITEPROP TIEOFF_X27Y16 NAME TIEOFF_X27Y16 SITEPROP TIEOFF_X27Y16 NUM_ARCS 0 SITEPROP TIEOFF_X27Y16 NUM_BELS 2 SITEPROP TIEOFF_X27Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y16 NUM_PINS 2 SITEPROP TIEOFF_X27Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y16 PROHIBIT 0 SITEPROP TIEOFF_X27Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y16 RPM_X 108 SITEPROP TIEOFF_X27Y16 RPM_Y 32 SITEPROP TIEOFF_X27Y16 SITE_PIPS SITEPROP TIEOFF_X27Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y17 CLASS site SITEPROP TIEOFF_X27Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y17 IS_BONDED 0 SITEPROP TIEOFF_X27Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y17 IS_PAD 0 SITEPROP TIEOFF_X27Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y17 IS_RESERVED 0 SITEPROP TIEOFF_X27Y17 IS_TEST 0 SITEPROP TIEOFF_X27Y17 IS_USED 0 SITEPROP TIEOFF_X27Y17 MANUAL_ROUTING SITEPROP TIEOFF_X27Y17 NAME TIEOFF_X27Y17 SITEPROP TIEOFF_X27Y17 NUM_ARCS 0 SITEPROP TIEOFF_X27Y17 NUM_BELS 2 SITEPROP TIEOFF_X27Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y17 NUM_PINS 2 SITEPROP TIEOFF_X27Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y17 PROHIBIT 0 SITEPROP TIEOFF_X27Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y17 RPM_X 108 SITEPROP TIEOFF_X27Y17 RPM_Y 34 SITEPROP TIEOFF_X27Y17 SITE_PIPS SITEPROP TIEOFF_X27Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y18 CLASS site SITEPROP TIEOFF_X27Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y18 IS_BONDED 0 SITEPROP TIEOFF_X27Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y18 IS_PAD 0 SITEPROP TIEOFF_X27Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y18 IS_RESERVED 0 SITEPROP TIEOFF_X27Y18 IS_TEST 0 SITEPROP TIEOFF_X27Y18 IS_USED 0 SITEPROP TIEOFF_X27Y18 MANUAL_ROUTING SITEPROP TIEOFF_X27Y18 NAME TIEOFF_X27Y18 SITEPROP TIEOFF_X27Y18 NUM_ARCS 0 SITEPROP TIEOFF_X27Y18 NUM_BELS 2 SITEPROP TIEOFF_X27Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y18 NUM_PINS 2 SITEPROP TIEOFF_X27Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y18 PROHIBIT 0 SITEPROP TIEOFF_X27Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y18 RPM_X 108 SITEPROP TIEOFF_X27Y18 RPM_Y 36 SITEPROP TIEOFF_X27Y18 SITE_PIPS SITEPROP TIEOFF_X27Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y19 CLASS site SITEPROP TIEOFF_X27Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y19 IS_BONDED 0 SITEPROP TIEOFF_X27Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y19 IS_PAD 0 SITEPROP TIEOFF_X27Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y19 IS_RESERVED 0 SITEPROP TIEOFF_X27Y19 IS_TEST 0 SITEPROP TIEOFF_X27Y19 IS_USED 0 SITEPROP TIEOFF_X27Y19 MANUAL_ROUTING SITEPROP TIEOFF_X27Y19 NAME TIEOFF_X27Y19 SITEPROP TIEOFF_X27Y19 NUM_ARCS 0 SITEPROP TIEOFF_X27Y19 NUM_BELS 2 SITEPROP TIEOFF_X27Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y19 NUM_PINS 2 SITEPROP TIEOFF_X27Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y19 PROHIBIT 0 SITEPROP TIEOFF_X27Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y19 RPM_X 108 SITEPROP TIEOFF_X27Y19 RPM_Y 38 SITEPROP TIEOFF_X27Y19 SITE_PIPS SITEPROP TIEOFF_X27Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y20 CLASS site SITEPROP TIEOFF_X27Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y20 IS_BONDED 0 SITEPROP TIEOFF_X27Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y20 IS_PAD 0 SITEPROP TIEOFF_X27Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y20 IS_RESERVED 0 SITEPROP TIEOFF_X27Y20 IS_TEST 0 SITEPROP TIEOFF_X27Y20 IS_USED 0 SITEPROP TIEOFF_X27Y20 MANUAL_ROUTING SITEPROP TIEOFF_X27Y20 NAME TIEOFF_X27Y20 SITEPROP TIEOFF_X27Y20 NUM_ARCS 0 SITEPROP TIEOFF_X27Y20 NUM_BELS 2 SITEPROP TIEOFF_X27Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y20 NUM_PINS 2 SITEPROP TIEOFF_X27Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y20 PROHIBIT 0 SITEPROP TIEOFF_X27Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y20 RPM_X 108 SITEPROP TIEOFF_X27Y20 RPM_Y 40 SITEPROP TIEOFF_X27Y20 SITE_PIPS SITEPROP TIEOFF_X27Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y21 CLASS site SITEPROP TIEOFF_X27Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y21 IS_BONDED 0 SITEPROP TIEOFF_X27Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y21 IS_PAD 0 SITEPROP TIEOFF_X27Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y21 IS_RESERVED 0 SITEPROP TIEOFF_X27Y21 IS_TEST 0 SITEPROP TIEOFF_X27Y21 IS_USED 0 SITEPROP TIEOFF_X27Y21 MANUAL_ROUTING SITEPROP TIEOFF_X27Y21 NAME TIEOFF_X27Y21 SITEPROP TIEOFF_X27Y21 NUM_ARCS 0 SITEPROP TIEOFF_X27Y21 NUM_BELS 2 SITEPROP TIEOFF_X27Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y21 NUM_PINS 2 SITEPROP TIEOFF_X27Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y21 PROHIBIT 0 SITEPROP TIEOFF_X27Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y21 RPM_X 108 SITEPROP TIEOFF_X27Y21 RPM_Y 42 SITEPROP TIEOFF_X27Y21 SITE_PIPS SITEPROP TIEOFF_X27Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y22 CLASS site SITEPROP TIEOFF_X27Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y22 IS_BONDED 0 SITEPROP TIEOFF_X27Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y22 IS_PAD 0 SITEPROP TIEOFF_X27Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y22 IS_RESERVED 0 SITEPROP TIEOFF_X27Y22 IS_TEST 0 SITEPROP TIEOFF_X27Y22 IS_USED 0 SITEPROP TIEOFF_X27Y22 MANUAL_ROUTING SITEPROP TIEOFF_X27Y22 NAME TIEOFF_X27Y22 SITEPROP TIEOFF_X27Y22 NUM_ARCS 0 SITEPROP TIEOFF_X27Y22 NUM_BELS 2 SITEPROP TIEOFF_X27Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y22 NUM_PINS 2 SITEPROP TIEOFF_X27Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y22 PROHIBIT 0 SITEPROP TIEOFF_X27Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y22 RPM_X 108 SITEPROP TIEOFF_X27Y22 RPM_Y 44 SITEPROP TIEOFF_X27Y22 SITE_PIPS SITEPROP TIEOFF_X27Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y23 CLASS site SITEPROP TIEOFF_X27Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y23 IS_BONDED 0 SITEPROP TIEOFF_X27Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y23 IS_PAD 0 SITEPROP TIEOFF_X27Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y23 IS_RESERVED 0 SITEPROP TIEOFF_X27Y23 IS_TEST 0 SITEPROP TIEOFF_X27Y23 IS_USED 0 SITEPROP TIEOFF_X27Y23 MANUAL_ROUTING SITEPROP TIEOFF_X27Y23 NAME TIEOFF_X27Y23 SITEPROP TIEOFF_X27Y23 NUM_ARCS 0 SITEPROP TIEOFF_X27Y23 NUM_BELS 2 SITEPROP TIEOFF_X27Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y23 NUM_PINS 2 SITEPROP TIEOFF_X27Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y23 PROHIBIT 0 SITEPROP TIEOFF_X27Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y23 RPM_X 108 SITEPROP TIEOFF_X27Y23 RPM_Y 46 SITEPROP TIEOFF_X27Y23 SITE_PIPS SITEPROP TIEOFF_X27Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y24 CLASS site SITEPROP TIEOFF_X27Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y24 IS_BONDED 0 SITEPROP TIEOFF_X27Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y24 IS_PAD 0 SITEPROP TIEOFF_X27Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y24 IS_RESERVED 0 SITEPROP TIEOFF_X27Y24 IS_TEST 0 SITEPROP TIEOFF_X27Y24 IS_USED 0 SITEPROP TIEOFF_X27Y24 MANUAL_ROUTING SITEPROP TIEOFF_X27Y24 NAME TIEOFF_X27Y24 SITEPROP TIEOFF_X27Y24 NUM_ARCS 0 SITEPROP TIEOFF_X27Y24 NUM_BELS 2 SITEPROP TIEOFF_X27Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y24 NUM_PINS 2 SITEPROP TIEOFF_X27Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y24 PROHIBIT 0 SITEPROP TIEOFF_X27Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y24 RPM_X 108 SITEPROP TIEOFF_X27Y24 RPM_Y 48 SITEPROP TIEOFF_X27Y24 SITE_PIPS SITEPROP TIEOFF_X27Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y25 CLASS site SITEPROP TIEOFF_X27Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y25 IS_BONDED 0 SITEPROP TIEOFF_X27Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y25 IS_PAD 0 SITEPROP TIEOFF_X27Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y25 IS_RESERVED 0 SITEPROP TIEOFF_X27Y25 IS_TEST 0 SITEPROP TIEOFF_X27Y25 IS_USED 0 SITEPROP TIEOFF_X27Y25 MANUAL_ROUTING SITEPROP TIEOFF_X27Y25 NAME TIEOFF_X27Y25 SITEPROP TIEOFF_X27Y25 NUM_ARCS 0 SITEPROP TIEOFF_X27Y25 NUM_BELS 2 SITEPROP TIEOFF_X27Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y25 NUM_PINS 2 SITEPROP TIEOFF_X27Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y25 PROHIBIT 0 SITEPROP TIEOFF_X27Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y25 RPM_X 108 SITEPROP TIEOFF_X27Y25 RPM_Y 50 SITEPROP TIEOFF_X27Y25 SITE_PIPS SITEPROP TIEOFF_X27Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y26 CLASS site SITEPROP TIEOFF_X27Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y26 IS_BONDED 0 SITEPROP TIEOFF_X27Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y26 IS_PAD 0 SITEPROP TIEOFF_X27Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y26 IS_RESERVED 0 SITEPROP TIEOFF_X27Y26 IS_TEST 0 SITEPROP TIEOFF_X27Y26 IS_USED 0 SITEPROP TIEOFF_X27Y26 MANUAL_ROUTING SITEPROP TIEOFF_X27Y26 NAME TIEOFF_X27Y26 SITEPROP TIEOFF_X27Y26 NUM_ARCS 0 SITEPROP TIEOFF_X27Y26 NUM_BELS 2 SITEPROP TIEOFF_X27Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y26 NUM_PINS 2 SITEPROP TIEOFF_X27Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y26 PROHIBIT 0 SITEPROP TIEOFF_X27Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y26 RPM_X 108 SITEPROP TIEOFF_X27Y26 RPM_Y 52 SITEPROP TIEOFF_X27Y26 SITE_PIPS SITEPROP TIEOFF_X27Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y27 CLASS site SITEPROP TIEOFF_X27Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y27 IS_BONDED 0 SITEPROP TIEOFF_X27Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y27 IS_PAD 0 SITEPROP TIEOFF_X27Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y27 IS_RESERVED 0 SITEPROP TIEOFF_X27Y27 IS_TEST 0 SITEPROP TIEOFF_X27Y27 IS_USED 0 SITEPROP TIEOFF_X27Y27 MANUAL_ROUTING SITEPROP TIEOFF_X27Y27 NAME TIEOFF_X27Y27 SITEPROP TIEOFF_X27Y27 NUM_ARCS 0 SITEPROP TIEOFF_X27Y27 NUM_BELS 2 SITEPROP TIEOFF_X27Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y27 NUM_PINS 2 SITEPROP TIEOFF_X27Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y27 PROHIBIT 0 SITEPROP TIEOFF_X27Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y27 RPM_X 108 SITEPROP TIEOFF_X27Y27 RPM_Y 54 SITEPROP TIEOFF_X27Y27 SITE_PIPS SITEPROP TIEOFF_X27Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y28 CLASS site SITEPROP TIEOFF_X27Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y28 IS_BONDED 0 SITEPROP TIEOFF_X27Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y28 IS_PAD 0 SITEPROP TIEOFF_X27Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y28 IS_RESERVED 0 SITEPROP TIEOFF_X27Y28 IS_TEST 0 SITEPROP TIEOFF_X27Y28 IS_USED 0 SITEPROP TIEOFF_X27Y28 MANUAL_ROUTING SITEPROP TIEOFF_X27Y28 NAME TIEOFF_X27Y28 SITEPROP TIEOFF_X27Y28 NUM_ARCS 0 SITEPROP TIEOFF_X27Y28 NUM_BELS 2 SITEPROP TIEOFF_X27Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y28 NUM_PINS 2 SITEPROP TIEOFF_X27Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y28 PROHIBIT 0 SITEPROP TIEOFF_X27Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y28 RPM_X 108 SITEPROP TIEOFF_X27Y28 RPM_Y 56 SITEPROP TIEOFF_X27Y28 SITE_PIPS SITEPROP TIEOFF_X27Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y29 CLASS site SITEPROP TIEOFF_X27Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y29 IS_BONDED 0 SITEPROP TIEOFF_X27Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y29 IS_PAD 0 SITEPROP TIEOFF_X27Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y29 IS_RESERVED 0 SITEPROP TIEOFF_X27Y29 IS_TEST 0 SITEPROP TIEOFF_X27Y29 IS_USED 0 SITEPROP TIEOFF_X27Y29 MANUAL_ROUTING SITEPROP TIEOFF_X27Y29 NAME TIEOFF_X27Y29 SITEPROP TIEOFF_X27Y29 NUM_ARCS 0 SITEPROP TIEOFF_X27Y29 NUM_BELS 2 SITEPROP TIEOFF_X27Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y29 NUM_PINS 2 SITEPROP TIEOFF_X27Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y29 PROHIBIT 0 SITEPROP TIEOFF_X27Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y29 RPM_X 108 SITEPROP TIEOFF_X27Y29 RPM_Y 58 SITEPROP TIEOFF_X27Y29 SITE_PIPS SITEPROP TIEOFF_X27Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y30 CLASS site SITEPROP TIEOFF_X27Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y30 IS_BONDED 0 SITEPROP TIEOFF_X27Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y30 IS_PAD 0 SITEPROP TIEOFF_X27Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y30 IS_RESERVED 0 SITEPROP TIEOFF_X27Y30 IS_TEST 0 SITEPROP TIEOFF_X27Y30 IS_USED 0 SITEPROP TIEOFF_X27Y30 MANUAL_ROUTING SITEPROP TIEOFF_X27Y30 NAME TIEOFF_X27Y30 SITEPROP TIEOFF_X27Y30 NUM_ARCS 0 SITEPROP TIEOFF_X27Y30 NUM_BELS 2 SITEPROP TIEOFF_X27Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y30 NUM_PINS 2 SITEPROP TIEOFF_X27Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y30 PROHIBIT 0 SITEPROP TIEOFF_X27Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y30 RPM_X 108 SITEPROP TIEOFF_X27Y30 RPM_Y 60 SITEPROP TIEOFF_X27Y30 SITE_PIPS SITEPROP TIEOFF_X27Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y31 CLASS site SITEPROP TIEOFF_X27Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y31 IS_BONDED 0 SITEPROP TIEOFF_X27Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y31 IS_PAD 0 SITEPROP TIEOFF_X27Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y31 IS_RESERVED 0 SITEPROP TIEOFF_X27Y31 IS_TEST 0 SITEPROP TIEOFF_X27Y31 IS_USED 0 SITEPROP TIEOFF_X27Y31 MANUAL_ROUTING SITEPROP TIEOFF_X27Y31 NAME TIEOFF_X27Y31 SITEPROP TIEOFF_X27Y31 NUM_ARCS 0 SITEPROP TIEOFF_X27Y31 NUM_BELS 2 SITEPROP TIEOFF_X27Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y31 NUM_PINS 2 SITEPROP TIEOFF_X27Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y31 PROHIBIT 0 SITEPROP TIEOFF_X27Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y31 RPM_X 108 SITEPROP TIEOFF_X27Y31 RPM_Y 62 SITEPROP TIEOFF_X27Y31 SITE_PIPS SITEPROP TIEOFF_X27Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y32 CLASS site SITEPROP TIEOFF_X27Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y32 IS_BONDED 0 SITEPROP TIEOFF_X27Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y32 IS_PAD 0 SITEPROP TIEOFF_X27Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y32 IS_RESERVED 0 SITEPROP TIEOFF_X27Y32 IS_TEST 0 SITEPROP TIEOFF_X27Y32 IS_USED 0 SITEPROP TIEOFF_X27Y32 MANUAL_ROUTING SITEPROP TIEOFF_X27Y32 NAME TIEOFF_X27Y32 SITEPROP TIEOFF_X27Y32 NUM_ARCS 0 SITEPROP TIEOFF_X27Y32 NUM_BELS 2 SITEPROP TIEOFF_X27Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y32 NUM_PINS 2 SITEPROP TIEOFF_X27Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y32 PROHIBIT 0 SITEPROP TIEOFF_X27Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y32 RPM_X 108 SITEPROP TIEOFF_X27Y32 RPM_Y 64 SITEPROP TIEOFF_X27Y32 SITE_PIPS SITEPROP TIEOFF_X27Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y33 CLASS site SITEPROP TIEOFF_X27Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y33 IS_BONDED 0 SITEPROP TIEOFF_X27Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y33 IS_PAD 0 SITEPROP TIEOFF_X27Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y33 IS_RESERVED 0 SITEPROP TIEOFF_X27Y33 IS_TEST 0 SITEPROP TIEOFF_X27Y33 IS_USED 0 SITEPROP TIEOFF_X27Y33 MANUAL_ROUTING SITEPROP TIEOFF_X27Y33 NAME TIEOFF_X27Y33 SITEPROP TIEOFF_X27Y33 NUM_ARCS 0 SITEPROP TIEOFF_X27Y33 NUM_BELS 2 SITEPROP TIEOFF_X27Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y33 NUM_PINS 2 SITEPROP TIEOFF_X27Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y33 PROHIBIT 0 SITEPROP TIEOFF_X27Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y33 RPM_X 108 SITEPROP TIEOFF_X27Y33 RPM_Y 66 SITEPROP TIEOFF_X27Y33 SITE_PIPS SITEPROP TIEOFF_X27Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y34 CLASS site SITEPROP TIEOFF_X27Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y34 IS_BONDED 0 SITEPROP TIEOFF_X27Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y34 IS_PAD 0 SITEPROP TIEOFF_X27Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y34 IS_RESERVED 0 SITEPROP TIEOFF_X27Y34 IS_TEST 0 SITEPROP TIEOFF_X27Y34 IS_USED 0 SITEPROP TIEOFF_X27Y34 MANUAL_ROUTING SITEPROP TIEOFF_X27Y34 NAME TIEOFF_X27Y34 SITEPROP TIEOFF_X27Y34 NUM_ARCS 0 SITEPROP TIEOFF_X27Y34 NUM_BELS 2 SITEPROP TIEOFF_X27Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y34 NUM_PINS 2 SITEPROP TIEOFF_X27Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y34 PROHIBIT 0 SITEPROP TIEOFF_X27Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y34 RPM_X 108 SITEPROP TIEOFF_X27Y34 RPM_Y 68 SITEPROP TIEOFF_X27Y34 SITE_PIPS SITEPROP TIEOFF_X27Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y35 CLASS site SITEPROP TIEOFF_X27Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y35 IS_BONDED 0 SITEPROP TIEOFF_X27Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y35 IS_PAD 0 SITEPROP TIEOFF_X27Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y35 IS_RESERVED 0 SITEPROP TIEOFF_X27Y35 IS_TEST 0 SITEPROP TIEOFF_X27Y35 IS_USED 0 SITEPROP TIEOFF_X27Y35 MANUAL_ROUTING SITEPROP TIEOFF_X27Y35 NAME TIEOFF_X27Y35 SITEPROP TIEOFF_X27Y35 NUM_ARCS 0 SITEPROP TIEOFF_X27Y35 NUM_BELS 2 SITEPROP TIEOFF_X27Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y35 NUM_PINS 2 SITEPROP TIEOFF_X27Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y35 PROHIBIT 0 SITEPROP TIEOFF_X27Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y35 RPM_X 108 SITEPROP TIEOFF_X27Y35 RPM_Y 70 SITEPROP TIEOFF_X27Y35 SITE_PIPS SITEPROP TIEOFF_X27Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y36 CLASS site SITEPROP TIEOFF_X27Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y36 IS_BONDED 0 SITEPROP TIEOFF_X27Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y36 IS_PAD 0 SITEPROP TIEOFF_X27Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y36 IS_RESERVED 0 SITEPROP TIEOFF_X27Y36 IS_TEST 0 SITEPROP TIEOFF_X27Y36 IS_USED 0 SITEPROP TIEOFF_X27Y36 MANUAL_ROUTING SITEPROP TIEOFF_X27Y36 NAME TIEOFF_X27Y36 SITEPROP TIEOFF_X27Y36 NUM_ARCS 0 SITEPROP TIEOFF_X27Y36 NUM_BELS 2 SITEPROP TIEOFF_X27Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y36 NUM_PINS 2 SITEPROP TIEOFF_X27Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y36 PROHIBIT 0 SITEPROP TIEOFF_X27Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y36 RPM_X 108 SITEPROP TIEOFF_X27Y36 RPM_Y 72 SITEPROP TIEOFF_X27Y36 SITE_PIPS SITEPROP TIEOFF_X27Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y37 CLASS site SITEPROP TIEOFF_X27Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y37 IS_BONDED 0 SITEPROP TIEOFF_X27Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y37 IS_PAD 0 SITEPROP TIEOFF_X27Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y37 IS_RESERVED 0 SITEPROP TIEOFF_X27Y37 IS_TEST 0 SITEPROP TIEOFF_X27Y37 IS_USED 0 SITEPROP TIEOFF_X27Y37 MANUAL_ROUTING SITEPROP TIEOFF_X27Y37 NAME TIEOFF_X27Y37 SITEPROP TIEOFF_X27Y37 NUM_ARCS 0 SITEPROP TIEOFF_X27Y37 NUM_BELS 2 SITEPROP TIEOFF_X27Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y37 NUM_PINS 2 SITEPROP TIEOFF_X27Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y37 PROHIBIT 0 SITEPROP TIEOFF_X27Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y37 RPM_X 108 SITEPROP TIEOFF_X27Y37 RPM_Y 74 SITEPROP TIEOFF_X27Y37 SITE_PIPS SITEPROP TIEOFF_X27Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y38 CLASS site SITEPROP TIEOFF_X27Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y38 IS_BONDED 0 SITEPROP TIEOFF_X27Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y38 IS_PAD 0 SITEPROP TIEOFF_X27Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y38 IS_RESERVED 0 SITEPROP TIEOFF_X27Y38 IS_TEST 0 SITEPROP TIEOFF_X27Y38 IS_USED 0 SITEPROP TIEOFF_X27Y38 MANUAL_ROUTING SITEPROP TIEOFF_X27Y38 NAME TIEOFF_X27Y38 SITEPROP TIEOFF_X27Y38 NUM_ARCS 0 SITEPROP TIEOFF_X27Y38 NUM_BELS 2 SITEPROP TIEOFF_X27Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y38 NUM_PINS 2 SITEPROP TIEOFF_X27Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y38 PROHIBIT 0 SITEPROP TIEOFF_X27Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y38 RPM_X 108 SITEPROP TIEOFF_X27Y38 RPM_Y 76 SITEPROP TIEOFF_X27Y38 SITE_PIPS SITEPROP TIEOFF_X27Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y39 CLASS site SITEPROP TIEOFF_X27Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y39 IS_BONDED 0 SITEPROP TIEOFF_X27Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y39 IS_PAD 0 SITEPROP TIEOFF_X27Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y39 IS_RESERVED 0 SITEPROP TIEOFF_X27Y39 IS_TEST 0 SITEPROP TIEOFF_X27Y39 IS_USED 0 SITEPROP TIEOFF_X27Y39 MANUAL_ROUTING SITEPROP TIEOFF_X27Y39 NAME TIEOFF_X27Y39 SITEPROP TIEOFF_X27Y39 NUM_ARCS 0 SITEPROP TIEOFF_X27Y39 NUM_BELS 2 SITEPROP TIEOFF_X27Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y39 NUM_PINS 2 SITEPROP TIEOFF_X27Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y39 PROHIBIT 0 SITEPROP TIEOFF_X27Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y39 RPM_X 108 SITEPROP TIEOFF_X27Y39 RPM_Y 78 SITEPROP TIEOFF_X27Y39 SITE_PIPS SITEPROP TIEOFF_X27Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y40 CLASS site SITEPROP TIEOFF_X27Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y40 IS_BONDED 0 SITEPROP TIEOFF_X27Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y40 IS_PAD 0 SITEPROP TIEOFF_X27Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y40 IS_RESERVED 0 SITEPROP TIEOFF_X27Y40 IS_TEST 0 SITEPROP TIEOFF_X27Y40 IS_USED 0 SITEPROP TIEOFF_X27Y40 MANUAL_ROUTING SITEPROP TIEOFF_X27Y40 NAME TIEOFF_X27Y40 SITEPROP TIEOFF_X27Y40 NUM_ARCS 0 SITEPROP TIEOFF_X27Y40 NUM_BELS 2 SITEPROP TIEOFF_X27Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y40 NUM_PINS 2 SITEPROP TIEOFF_X27Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y40 PROHIBIT 0 SITEPROP TIEOFF_X27Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y40 RPM_X 108 SITEPROP TIEOFF_X27Y40 RPM_Y 80 SITEPROP TIEOFF_X27Y40 SITE_PIPS SITEPROP TIEOFF_X27Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y41 CLASS site SITEPROP TIEOFF_X27Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y41 IS_BONDED 0 SITEPROP TIEOFF_X27Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y41 IS_PAD 0 SITEPROP TIEOFF_X27Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y41 IS_RESERVED 0 SITEPROP TIEOFF_X27Y41 IS_TEST 0 SITEPROP TIEOFF_X27Y41 IS_USED 0 SITEPROP TIEOFF_X27Y41 MANUAL_ROUTING SITEPROP TIEOFF_X27Y41 NAME TIEOFF_X27Y41 SITEPROP TIEOFF_X27Y41 NUM_ARCS 0 SITEPROP TIEOFF_X27Y41 NUM_BELS 2 SITEPROP TIEOFF_X27Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y41 NUM_PINS 2 SITEPROP TIEOFF_X27Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y41 PROHIBIT 0 SITEPROP TIEOFF_X27Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y41 RPM_X 108 SITEPROP TIEOFF_X27Y41 RPM_Y 82 SITEPROP TIEOFF_X27Y41 SITE_PIPS SITEPROP TIEOFF_X27Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y42 CLASS site SITEPROP TIEOFF_X27Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y42 IS_BONDED 0 SITEPROP TIEOFF_X27Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y42 IS_PAD 0 SITEPROP TIEOFF_X27Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y42 IS_RESERVED 0 SITEPROP TIEOFF_X27Y42 IS_TEST 0 SITEPROP TIEOFF_X27Y42 IS_USED 0 SITEPROP TIEOFF_X27Y42 MANUAL_ROUTING SITEPROP TIEOFF_X27Y42 NAME TIEOFF_X27Y42 SITEPROP TIEOFF_X27Y42 NUM_ARCS 0 SITEPROP TIEOFF_X27Y42 NUM_BELS 2 SITEPROP TIEOFF_X27Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y42 NUM_PINS 2 SITEPROP TIEOFF_X27Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y42 PROHIBIT 0 SITEPROP TIEOFF_X27Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y42 RPM_X 108 SITEPROP TIEOFF_X27Y42 RPM_Y 84 SITEPROP TIEOFF_X27Y42 SITE_PIPS SITEPROP TIEOFF_X27Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y43 CLASS site SITEPROP TIEOFF_X27Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y43 IS_BONDED 0 SITEPROP TIEOFF_X27Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y43 IS_PAD 0 SITEPROP TIEOFF_X27Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y43 IS_RESERVED 0 SITEPROP TIEOFF_X27Y43 IS_TEST 0 SITEPROP TIEOFF_X27Y43 IS_USED 0 SITEPROP TIEOFF_X27Y43 MANUAL_ROUTING SITEPROP TIEOFF_X27Y43 NAME TIEOFF_X27Y43 SITEPROP TIEOFF_X27Y43 NUM_ARCS 0 SITEPROP TIEOFF_X27Y43 NUM_BELS 2 SITEPROP TIEOFF_X27Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y43 NUM_PINS 2 SITEPROP TIEOFF_X27Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y43 PROHIBIT 0 SITEPROP TIEOFF_X27Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y43 RPM_X 108 SITEPROP TIEOFF_X27Y43 RPM_Y 86 SITEPROP TIEOFF_X27Y43 SITE_PIPS SITEPROP TIEOFF_X27Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y44 CLASS site SITEPROP TIEOFF_X27Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y44 IS_BONDED 0 SITEPROP TIEOFF_X27Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y44 IS_PAD 0 SITEPROP TIEOFF_X27Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y44 IS_RESERVED 0 SITEPROP TIEOFF_X27Y44 IS_TEST 0 SITEPROP TIEOFF_X27Y44 IS_USED 0 SITEPROP TIEOFF_X27Y44 MANUAL_ROUTING SITEPROP TIEOFF_X27Y44 NAME TIEOFF_X27Y44 SITEPROP TIEOFF_X27Y44 NUM_ARCS 0 SITEPROP TIEOFF_X27Y44 NUM_BELS 2 SITEPROP TIEOFF_X27Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y44 NUM_PINS 2 SITEPROP TIEOFF_X27Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y44 PROHIBIT 0 SITEPROP TIEOFF_X27Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y44 RPM_X 108 SITEPROP TIEOFF_X27Y44 RPM_Y 88 SITEPROP TIEOFF_X27Y44 SITE_PIPS SITEPROP TIEOFF_X27Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y45 CLASS site SITEPROP TIEOFF_X27Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y45 IS_BONDED 0 SITEPROP TIEOFF_X27Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y45 IS_PAD 0 SITEPROP TIEOFF_X27Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y45 IS_RESERVED 0 SITEPROP TIEOFF_X27Y45 IS_TEST 0 SITEPROP TIEOFF_X27Y45 IS_USED 0 SITEPROP TIEOFF_X27Y45 MANUAL_ROUTING SITEPROP TIEOFF_X27Y45 NAME TIEOFF_X27Y45 SITEPROP TIEOFF_X27Y45 NUM_ARCS 0 SITEPROP TIEOFF_X27Y45 NUM_BELS 2 SITEPROP TIEOFF_X27Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y45 NUM_PINS 2 SITEPROP TIEOFF_X27Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y45 PROHIBIT 0 SITEPROP TIEOFF_X27Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y45 RPM_X 108 SITEPROP TIEOFF_X27Y45 RPM_Y 90 SITEPROP TIEOFF_X27Y45 SITE_PIPS SITEPROP TIEOFF_X27Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y46 CLASS site SITEPROP TIEOFF_X27Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y46 IS_BONDED 0 SITEPROP TIEOFF_X27Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y46 IS_PAD 0 SITEPROP TIEOFF_X27Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y46 IS_RESERVED 0 SITEPROP TIEOFF_X27Y46 IS_TEST 0 SITEPROP TIEOFF_X27Y46 IS_USED 0 SITEPROP TIEOFF_X27Y46 MANUAL_ROUTING SITEPROP TIEOFF_X27Y46 NAME TIEOFF_X27Y46 SITEPROP TIEOFF_X27Y46 NUM_ARCS 0 SITEPROP TIEOFF_X27Y46 NUM_BELS 2 SITEPROP TIEOFF_X27Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y46 NUM_PINS 2 SITEPROP TIEOFF_X27Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y46 PROHIBIT 0 SITEPROP TIEOFF_X27Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y46 RPM_X 108 SITEPROP TIEOFF_X27Y46 RPM_Y 92 SITEPROP TIEOFF_X27Y46 SITE_PIPS SITEPROP TIEOFF_X27Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y47 CLASS site SITEPROP TIEOFF_X27Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y47 IS_BONDED 0 SITEPROP TIEOFF_X27Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y47 IS_PAD 0 SITEPROP TIEOFF_X27Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y47 IS_RESERVED 0 SITEPROP TIEOFF_X27Y47 IS_TEST 0 SITEPROP TIEOFF_X27Y47 IS_USED 0 SITEPROP TIEOFF_X27Y47 MANUAL_ROUTING SITEPROP TIEOFF_X27Y47 NAME TIEOFF_X27Y47 SITEPROP TIEOFF_X27Y47 NUM_ARCS 0 SITEPROP TIEOFF_X27Y47 NUM_BELS 2 SITEPROP TIEOFF_X27Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y47 NUM_PINS 2 SITEPROP TIEOFF_X27Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y47 PROHIBIT 0 SITEPROP TIEOFF_X27Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y47 RPM_X 108 SITEPROP TIEOFF_X27Y47 RPM_Y 94 SITEPROP TIEOFF_X27Y47 SITE_PIPS SITEPROP TIEOFF_X27Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y48 CLASS site SITEPROP TIEOFF_X27Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y48 IS_BONDED 0 SITEPROP TIEOFF_X27Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y48 IS_PAD 0 SITEPROP TIEOFF_X27Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y48 IS_RESERVED 0 SITEPROP TIEOFF_X27Y48 IS_TEST 0 SITEPROP TIEOFF_X27Y48 IS_USED 0 SITEPROP TIEOFF_X27Y48 MANUAL_ROUTING SITEPROP TIEOFF_X27Y48 NAME TIEOFF_X27Y48 SITEPROP TIEOFF_X27Y48 NUM_ARCS 0 SITEPROP TIEOFF_X27Y48 NUM_BELS 2 SITEPROP TIEOFF_X27Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y48 NUM_PINS 2 SITEPROP TIEOFF_X27Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y48 PROHIBIT 0 SITEPROP TIEOFF_X27Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y48 RPM_X 108 SITEPROP TIEOFF_X27Y48 RPM_Y 96 SITEPROP TIEOFF_X27Y48 SITE_PIPS SITEPROP TIEOFF_X27Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y49 CLASS site SITEPROP TIEOFF_X27Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X27Y49 IS_BONDED 0 SITEPROP TIEOFF_X27Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y49 IS_PAD 0 SITEPROP TIEOFF_X27Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y49 IS_RESERVED 0 SITEPROP TIEOFF_X27Y49 IS_TEST 0 SITEPROP TIEOFF_X27Y49 IS_USED 0 SITEPROP TIEOFF_X27Y49 MANUAL_ROUTING SITEPROP TIEOFF_X27Y49 NAME TIEOFF_X27Y49 SITEPROP TIEOFF_X27Y49 NUM_ARCS 0 SITEPROP TIEOFF_X27Y49 NUM_BELS 2 SITEPROP TIEOFF_X27Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y49 NUM_PINS 2 SITEPROP TIEOFF_X27Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y49 PROHIBIT 0 SITEPROP TIEOFF_X27Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y49 RPM_X 108 SITEPROP TIEOFF_X27Y49 RPM_Y 98 SITEPROP TIEOFF_X27Y49 SITE_PIPS SITEPROP TIEOFF_X27Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y50 CLASS site SITEPROP TIEOFF_X27Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y50 IS_BONDED 0 SITEPROP TIEOFF_X27Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y50 IS_PAD 0 SITEPROP TIEOFF_X27Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y50 IS_RESERVED 0 SITEPROP TIEOFF_X27Y50 IS_TEST 0 SITEPROP TIEOFF_X27Y50 IS_USED 0 SITEPROP TIEOFF_X27Y50 MANUAL_ROUTING SITEPROP TIEOFF_X27Y50 NAME TIEOFF_X27Y50 SITEPROP TIEOFF_X27Y50 NUM_ARCS 0 SITEPROP TIEOFF_X27Y50 NUM_BELS 2 SITEPROP TIEOFF_X27Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y50 NUM_PINS 2 SITEPROP TIEOFF_X27Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y50 PROHIBIT 0 SITEPROP TIEOFF_X27Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y50 RPM_X 108 SITEPROP TIEOFF_X27Y50 RPM_Y 100 SITEPROP TIEOFF_X27Y50 SITE_PIPS SITEPROP TIEOFF_X27Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y51 CLASS site SITEPROP TIEOFF_X27Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y51 IS_BONDED 0 SITEPROP TIEOFF_X27Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y51 IS_PAD 0 SITEPROP TIEOFF_X27Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y51 IS_RESERVED 0 SITEPROP TIEOFF_X27Y51 IS_TEST 0 SITEPROP TIEOFF_X27Y51 IS_USED 0 SITEPROP TIEOFF_X27Y51 MANUAL_ROUTING SITEPROP TIEOFF_X27Y51 NAME TIEOFF_X27Y51 SITEPROP TIEOFF_X27Y51 NUM_ARCS 0 SITEPROP TIEOFF_X27Y51 NUM_BELS 2 SITEPROP TIEOFF_X27Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y51 NUM_PINS 2 SITEPROP TIEOFF_X27Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y51 PROHIBIT 0 SITEPROP TIEOFF_X27Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y51 RPM_X 108 SITEPROP TIEOFF_X27Y51 RPM_Y 102 SITEPROP TIEOFF_X27Y51 SITE_PIPS SITEPROP TIEOFF_X27Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y52 CLASS site SITEPROP TIEOFF_X27Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y52 IS_BONDED 0 SITEPROP TIEOFF_X27Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y52 IS_PAD 0 SITEPROP TIEOFF_X27Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y52 IS_RESERVED 0 SITEPROP TIEOFF_X27Y52 IS_TEST 0 SITEPROP TIEOFF_X27Y52 IS_USED 0 SITEPROP TIEOFF_X27Y52 MANUAL_ROUTING SITEPROP TIEOFF_X27Y52 NAME TIEOFF_X27Y52 SITEPROP TIEOFF_X27Y52 NUM_ARCS 0 SITEPROP TIEOFF_X27Y52 NUM_BELS 2 SITEPROP TIEOFF_X27Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y52 NUM_PINS 2 SITEPROP TIEOFF_X27Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y52 PROHIBIT 0 SITEPROP TIEOFF_X27Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y52 RPM_X 108 SITEPROP TIEOFF_X27Y52 RPM_Y 104 SITEPROP TIEOFF_X27Y52 SITE_PIPS SITEPROP TIEOFF_X27Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y53 CLASS site SITEPROP TIEOFF_X27Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y53 IS_BONDED 0 SITEPROP TIEOFF_X27Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y53 IS_PAD 0 SITEPROP TIEOFF_X27Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y53 IS_RESERVED 0 SITEPROP TIEOFF_X27Y53 IS_TEST 0 SITEPROP TIEOFF_X27Y53 IS_USED 0 SITEPROP TIEOFF_X27Y53 MANUAL_ROUTING SITEPROP TIEOFF_X27Y53 NAME TIEOFF_X27Y53 SITEPROP TIEOFF_X27Y53 NUM_ARCS 0 SITEPROP TIEOFF_X27Y53 NUM_BELS 2 SITEPROP TIEOFF_X27Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y53 NUM_PINS 2 SITEPROP TIEOFF_X27Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y53 PROHIBIT 0 SITEPROP TIEOFF_X27Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y53 RPM_X 108 SITEPROP TIEOFF_X27Y53 RPM_Y 106 SITEPROP TIEOFF_X27Y53 SITE_PIPS SITEPROP TIEOFF_X27Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y54 CLASS site SITEPROP TIEOFF_X27Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y54 IS_BONDED 0 SITEPROP TIEOFF_X27Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y54 IS_PAD 0 SITEPROP TIEOFF_X27Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y54 IS_RESERVED 0 SITEPROP TIEOFF_X27Y54 IS_TEST 0 SITEPROP TIEOFF_X27Y54 IS_USED 0 SITEPROP TIEOFF_X27Y54 MANUAL_ROUTING SITEPROP TIEOFF_X27Y54 NAME TIEOFF_X27Y54 SITEPROP TIEOFF_X27Y54 NUM_ARCS 0 SITEPROP TIEOFF_X27Y54 NUM_BELS 2 SITEPROP TIEOFF_X27Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y54 NUM_PINS 2 SITEPROP TIEOFF_X27Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y54 PROHIBIT 0 SITEPROP TIEOFF_X27Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y54 RPM_X 108 SITEPROP TIEOFF_X27Y54 RPM_Y 108 SITEPROP TIEOFF_X27Y54 SITE_PIPS SITEPROP TIEOFF_X27Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y55 CLASS site SITEPROP TIEOFF_X27Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y55 IS_BONDED 0 SITEPROP TIEOFF_X27Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y55 IS_PAD 0 SITEPROP TIEOFF_X27Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y55 IS_RESERVED 0 SITEPROP TIEOFF_X27Y55 IS_TEST 0 SITEPROP TIEOFF_X27Y55 IS_USED 0 SITEPROP TIEOFF_X27Y55 MANUAL_ROUTING SITEPROP TIEOFF_X27Y55 NAME TIEOFF_X27Y55 SITEPROP TIEOFF_X27Y55 NUM_ARCS 0 SITEPROP TIEOFF_X27Y55 NUM_BELS 2 SITEPROP TIEOFF_X27Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y55 NUM_PINS 2 SITEPROP TIEOFF_X27Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y55 PROHIBIT 0 SITEPROP TIEOFF_X27Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y55 RPM_X 108 SITEPROP TIEOFF_X27Y55 RPM_Y 110 SITEPROP TIEOFF_X27Y55 SITE_PIPS SITEPROP TIEOFF_X27Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y56 CLASS site SITEPROP TIEOFF_X27Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y56 IS_BONDED 0 SITEPROP TIEOFF_X27Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y56 IS_PAD 0 SITEPROP TIEOFF_X27Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y56 IS_RESERVED 0 SITEPROP TIEOFF_X27Y56 IS_TEST 0 SITEPROP TIEOFF_X27Y56 IS_USED 0 SITEPROP TIEOFF_X27Y56 MANUAL_ROUTING SITEPROP TIEOFF_X27Y56 NAME TIEOFF_X27Y56 SITEPROP TIEOFF_X27Y56 NUM_ARCS 0 SITEPROP TIEOFF_X27Y56 NUM_BELS 2 SITEPROP TIEOFF_X27Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y56 NUM_PINS 2 SITEPROP TIEOFF_X27Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y56 PROHIBIT 0 SITEPROP TIEOFF_X27Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y56 RPM_X 108 SITEPROP TIEOFF_X27Y56 RPM_Y 112 SITEPROP TIEOFF_X27Y56 SITE_PIPS SITEPROP TIEOFF_X27Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y57 CLASS site SITEPROP TIEOFF_X27Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y57 IS_BONDED 0 SITEPROP TIEOFF_X27Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y57 IS_PAD 0 SITEPROP TIEOFF_X27Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y57 IS_RESERVED 0 SITEPROP TIEOFF_X27Y57 IS_TEST 0 SITEPROP TIEOFF_X27Y57 IS_USED 0 SITEPROP TIEOFF_X27Y57 MANUAL_ROUTING SITEPROP TIEOFF_X27Y57 NAME TIEOFF_X27Y57 SITEPROP TIEOFF_X27Y57 NUM_ARCS 0 SITEPROP TIEOFF_X27Y57 NUM_BELS 2 SITEPROP TIEOFF_X27Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y57 NUM_PINS 2 SITEPROP TIEOFF_X27Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y57 PROHIBIT 0 SITEPROP TIEOFF_X27Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y57 RPM_X 108 SITEPROP TIEOFF_X27Y57 RPM_Y 114 SITEPROP TIEOFF_X27Y57 SITE_PIPS SITEPROP TIEOFF_X27Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y58 CLASS site SITEPROP TIEOFF_X27Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y58 IS_BONDED 0 SITEPROP TIEOFF_X27Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y58 IS_PAD 0 SITEPROP TIEOFF_X27Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y58 IS_RESERVED 0 SITEPROP TIEOFF_X27Y58 IS_TEST 0 SITEPROP TIEOFF_X27Y58 IS_USED 0 SITEPROP TIEOFF_X27Y58 MANUAL_ROUTING SITEPROP TIEOFF_X27Y58 NAME TIEOFF_X27Y58 SITEPROP TIEOFF_X27Y58 NUM_ARCS 0 SITEPROP TIEOFF_X27Y58 NUM_BELS 2 SITEPROP TIEOFF_X27Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y58 NUM_PINS 2 SITEPROP TIEOFF_X27Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y58 PROHIBIT 0 SITEPROP TIEOFF_X27Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y58 RPM_X 108 SITEPROP TIEOFF_X27Y58 RPM_Y 116 SITEPROP TIEOFF_X27Y58 SITE_PIPS SITEPROP TIEOFF_X27Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y59 CLASS site SITEPROP TIEOFF_X27Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y59 IS_BONDED 0 SITEPROP TIEOFF_X27Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y59 IS_PAD 0 SITEPROP TIEOFF_X27Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y59 IS_RESERVED 0 SITEPROP TIEOFF_X27Y59 IS_TEST 0 SITEPROP TIEOFF_X27Y59 IS_USED 0 SITEPROP TIEOFF_X27Y59 MANUAL_ROUTING SITEPROP TIEOFF_X27Y59 NAME TIEOFF_X27Y59 SITEPROP TIEOFF_X27Y59 NUM_ARCS 0 SITEPROP TIEOFF_X27Y59 NUM_BELS 2 SITEPROP TIEOFF_X27Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y59 NUM_PINS 2 SITEPROP TIEOFF_X27Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y59 PROHIBIT 0 SITEPROP TIEOFF_X27Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y59 RPM_X 108 SITEPROP TIEOFF_X27Y59 RPM_Y 118 SITEPROP TIEOFF_X27Y59 SITE_PIPS SITEPROP TIEOFF_X27Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y60 CLASS site SITEPROP TIEOFF_X27Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y60 IS_BONDED 0 SITEPROP TIEOFF_X27Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y60 IS_PAD 0 SITEPROP TIEOFF_X27Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y60 IS_RESERVED 0 SITEPROP TIEOFF_X27Y60 IS_TEST 0 SITEPROP TIEOFF_X27Y60 IS_USED 0 SITEPROP TIEOFF_X27Y60 MANUAL_ROUTING SITEPROP TIEOFF_X27Y60 NAME TIEOFF_X27Y60 SITEPROP TIEOFF_X27Y60 NUM_ARCS 0 SITEPROP TIEOFF_X27Y60 NUM_BELS 2 SITEPROP TIEOFF_X27Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y60 NUM_PINS 2 SITEPROP TIEOFF_X27Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y60 PROHIBIT 0 SITEPROP TIEOFF_X27Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y60 RPM_X 108 SITEPROP TIEOFF_X27Y60 RPM_Y 120 SITEPROP TIEOFF_X27Y60 SITE_PIPS SITEPROP TIEOFF_X27Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y61 CLASS site SITEPROP TIEOFF_X27Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y61 IS_BONDED 0 SITEPROP TIEOFF_X27Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y61 IS_PAD 0 SITEPROP TIEOFF_X27Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y61 IS_RESERVED 0 SITEPROP TIEOFF_X27Y61 IS_TEST 0 SITEPROP TIEOFF_X27Y61 IS_USED 0 SITEPROP TIEOFF_X27Y61 MANUAL_ROUTING SITEPROP TIEOFF_X27Y61 NAME TIEOFF_X27Y61 SITEPROP TIEOFF_X27Y61 NUM_ARCS 0 SITEPROP TIEOFF_X27Y61 NUM_BELS 2 SITEPROP TIEOFF_X27Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y61 NUM_PINS 2 SITEPROP TIEOFF_X27Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y61 PROHIBIT 0 SITEPROP TIEOFF_X27Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y61 RPM_X 108 SITEPROP TIEOFF_X27Y61 RPM_Y 122 SITEPROP TIEOFF_X27Y61 SITE_PIPS SITEPROP TIEOFF_X27Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y62 CLASS site SITEPROP TIEOFF_X27Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y62 IS_BONDED 0 SITEPROP TIEOFF_X27Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y62 IS_PAD 0 SITEPROP TIEOFF_X27Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y62 IS_RESERVED 0 SITEPROP TIEOFF_X27Y62 IS_TEST 0 SITEPROP TIEOFF_X27Y62 IS_USED 0 SITEPROP TIEOFF_X27Y62 MANUAL_ROUTING SITEPROP TIEOFF_X27Y62 NAME TIEOFF_X27Y62 SITEPROP TIEOFF_X27Y62 NUM_ARCS 0 SITEPROP TIEOFF_X27Y62 NUM_BELS 2 SITEPROP TIEOFF_X27Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y62 NUM_PINS 2 SITEPROP TIEOFF_X27Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y62 PROHIBIT 0 SITEPROP TIEOFF_X27Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y62 RPM_X 108 SITEPROP TIEOFF_X27Y62 RPM_Y 124 SITEPROP TIEOFF_X27Y62 SITE_PIPS SITEPROP TIEOFF_X27Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y63 CLASS site SITEPROP TIEOFF_X27Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y63 IS_BONDED 0 SITEPROP TIEOFF_X27Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y63 IS_PAD 0 SITEPROP TIEOFF_X27Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y63 IS_RESERVED 0 SITEPROP TIEOFF_X27Y63 IS_TEST 0 SITEPROP TIEOFF_X27Y63 IS_USED 0 SITEPROP TIEOFF_X27Y63 MANUAL_ROUTING SITEPROP TIEOFF_X27Y63 NAME TIEOFF_X27Y63 SITEPROP TIEOFF_X27Y63 NUM_ARCS 0 SITEPROP TIEOFF_X27Y63 NUM_BELS 2 SITEPROP TIEOFF_X27Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y63 NUM_PINS 2 SITEPROP TIEOFF_X27Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y63 PROHIBIT 0 SITEPROP TIEOFF_X27Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y63 RPM_X 108 SITEPROP TIEOFF_X27Y63 RPM_Y 126 SITEPROP TIEOFF_X27Y63 SITE_PIPS SITEPROP TIEOFF_X27Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y64 CLASS site SITEPROP TIEOFF_X27Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y64 IS_BONDED 0 SITEPROP TIEOFF_X27Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y64 IS_PAD 0 SITEPROP TIEOFF_X27Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y64 IS_RESERVED 0 SITEPROP TIEOFF_X27Y64 IS_TEST 0 SITEPROP TIEOFF_X27Y64 IS_USED 0 SITEPROP TIEOFF_X27Y64 MANUAL_ROUTING SITEPROP TIEOFF_X27Y64 NAME TIEOFF_X27Y64 SITEPROP TIEOFF_X27Y64 NUM_ARCS 0 SITEPROP TIEOFF_X27Y64 NUM_BELS 2 SITEPROP TIEOFF_X27Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y64 NUM_PINS 2 SITEPROP TIEOFF_X27Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y64 PROHIBIT 0 SITEPROP TIEOFF_X27Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y64 RPM_X 108 SITEPROP TIEOFF_X27Y64 RPM_Y 128 SITEPROP TIEOFF_X27Y64 SITE_PIPS SITEPROP TIEOFF_X27Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y65 CLASS site SITEPROP TIEOFF_X27Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y65 IS_BONDED 0 SITEPROP TIEOFF_X27Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y65 IS_PAD 0 SITEPROP TIEOFF_X27Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y65 IS_RESERVED 0 SITEPROP TIEOFF_X27Y65 IS_TEST 0 SITEPROP TIEOFF_X27Y65 IS_USED 0 SITEPROP TIEOFF_X27Y65 MANUAL_ROUTING SITEPROP TIEOFF_X27Y65 NAME TIEOFF_X27Y65 SITEPROP TIEOFF_X27Y65 NUM_ARCS 0 SITEPROP TIEOFF_X27Y65 NUM_BELS 2 SITEPROP TIEOFF_X27Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y65 NUM_PINS 2 SITEPROP TIEOFF_X27Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y65 PROHIBIT 0 SITEPROP TIEOFF_X27Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y65 RPM_X 108 SITEPROP TIEOFF_X27Y65 RPM_Y 130 SITEPROP TIEOFF_X27Y65 SITE_PIPS SITEPROP TIEOFF_X27Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y66 CLASS site SITEPROP TIEOFF_X27Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y66 IS_BONDED 0 SITEPROP TIEOFF_X27Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y66 IS_PAD 0 SITEPROP TIEOFF_X27Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y66 IS_RESERVED 0 SITEPROP TIEOFF_X27Y66 IS_TEST 0 SITEPROP TIEOFF_X27Y66 IS_USED 0 SITEPROP TIEOFF_X27Y66 MANUAL_ROUTING SITEPROP TIEOFF_X27Y66 NAME TIEOFF_X27Y66 SITEPROP TIEOFF_X27Y66 NUM_ARCS 0 SITEPROP TIEOFF_X27Y66 NUM_BELS 2 SITEPROP TIEOFF_X27Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y66 NUM_PINS 2 SITEPROP TIEOFF_X27Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y66 PROHIBIT 0 SITEPROP TIEOFF_X27Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y66 RPM_X 108 SITEPROP TIEOFF_X27Y66 RPM_Y 132 SITEPROP TIEOFF_X27Y66 SITE_PIPS SITEPROP TIEOFF_X27Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y67 CLASS site SITEPROP TIEOFF_X27Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y67 IS_BONDED 0 SITEPROP TIEOFF_X27Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y67 IS_PAD 0 SITEPROP TIEOFF_X27Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y67 IS_RESERVED 0 SITEPROP TIEOFF_X27Y67 IS_TEST 0 SITEPROP TIEOFF_X27Y67 IS_USED 0 SITEPROP TIEOFF_X27Y67 MANUAL_ROUTING SITEPROP TIEOFF_X27Y67 NAME TIEOFF_X27Y67 SITEPROP TIEOFF_X27Y67 NUM_ARCS 0 SITEPROP TIEOFF_X27Y67 NUM_BELS 2 SITEPROP TIEOFF_X27Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y67 NUM_PINS 2 SITEPROP TIEOFF_X27Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y67 PROHIBIT 0 SITEPROP TIEOFF_X27Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y67 RPM_X 108 SITEPROP TIEOFF_X27Y67 RPM_Y 134 SITEPROP TIEOFF_X27Y67 SITE_PIPS SITEPROP TIEOFF_X27Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y68 CLASS site SITEPROP TIEOFF_X27Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y68 IS_BONDED 0 SITEPROP TIEOFF_X27Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y68 IS_PAD 0 SITEPROP TIEOFF_X27Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y68 IS_RESERVED 0 SITEPROP TIEOFF_X27Y68 IS_TEST 0 SITEPROP TIEOFF_X27Y68 IS_USED 0 SITEPROP TIEOFF_X27Y68 MANUAL_ROUTING SITEPROP TIEOFF_X27Y68 NAME TIEOFF_X27Y68 SITEPROP TIEOFF_X27Y68 NUM_ARCS 0 SITEPROP TIEOFF_X27Y68 NUM_BELS 2 SITEPROP TIEOFF_X27Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y68 NUM_PINS 2 SITEPROP TIEOFF_X27Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y68 PROHIBIT 0 SITEPROP TIEOFF_X27Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y68 RPM_X 108 SITEPROP TIEOFF_X27Y68 RPM_Y 136 SITEPROP TIEOFF_X27Y68 SITE_PIPS SITEPROP TIEOFF_X27Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y69 CLASS site SITEPROP TIEOFF_X27Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y69 IS_BONDED 0 SITEPROP TIEOFF_X27Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y69 IS_PAD 0 SITEPROP TIEOFF_X27Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y69 IS_RESERVED 0 SITEPROP TIEOFF_X27Y69 IS_TEST 0 SITEPROP TIEOFF_X27Y69 IS_USED 0 SITEPROP TIEOFF_X27Y69 MANUAL_ROUTING SITEPROP TIEOFF_X27Y69 NAME TIEOFF_X27Y69 SITEPROP TIEOFF_X27Y69 NUM_ARCS 0 SITEPROP TIEOFF_X27Y69 NUM_BELS 2 SITEPROP TIEOFF_X27Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y69 NUM_PINS 2 SITEPROP TIEOFF_X27Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y69 PROHIBIT 0 SITEPROP TIEOFF_X27Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y69 RPM_X 108 SITEPROP TIEOFF_X27Y69 RPM_Y 138 SITEPROP TIEOFF_X27Y69 SITE_PIPS SITEPROP TIEOFF_X27Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y70 CLASS site SITEPROP TIEOFF_X27Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y70 IS_BONDED 0 SITEPROP TIEOFF_X27Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y70 IS_PAD 0 SITEPROP TIEOFF_X27Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y70 IS_RESERVED 0 SITEPROP TIEOFF_X27Y70 IS_TEST 0 SITEPROP TIEOFF_X27Y70 IS_USED 0 SITEPROP TIEOFF_X27Y70 MANUAL_ROUTING SITEPROP TIEOFF_X27Y70 NAME TIEOFF_X27Y70 SITEPROP TIEOFF_X27Y70 NUM_ARCS 0 SITEPROP TIEOFF_X27Y70 NUM_BELS 2 SITEPROP TIEOFF_X27Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y70 NUM_PINS 2 SITEPROP TIEOFF_X27Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y70 PROHIBIT 0 SITEPROP TIEOFF_X27Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y70 RPM_X 108 SITEPROP TIEOFF_X27Y70 RPM_Y 140 SITEPROP TIEOFF_X27Y70 SITE_PIPS SITEPROP TIEOFF_X27Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y71 CLASS site SITEPROP TIEOFF_X27Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y71 IS_BONDED 0 SITEPROP TIEOFF_X27Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y71 IS_PAD 0 SITEPROP TIEOFF_X27Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y71 IS_RESERVED 0 SITEPROP TIEOFF_X27Y71 IS_TEST 0 SITEPROP TIEOFF_X27Y71 IS_USED 0 SITEPROP TIEOFF_X27Y71 MANUAL_ROUTING SITEPROP TIEOFF_X27Y71 NAME TIEOFF_X27Y71 SITEPROP TIEOFF_X27Y71 NUM_ARCS 0 SITEPROP TIEOFF_X27Y71 NUM_BELS 2 SITEPROP TIEOFF_X27Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y71 NUM_PINS 2 SITEPROP TIEOFF_X27Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y71 PROHIBIT 0 SITEPROP TIEOFF_X27Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y71 RPM_X 108 SITEPROP TIEOFF_X27Y71 RPM_Y 142 SITEPROP TIEOFF_X27Y71 SITE_PIPS SITEPROP TIEOFF_X27Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y72 CLASS site SITEPROP TIEOFF_X27Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y72 IS_BONDED 0 SITEPROP TIEOFF_X27Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y72 IS_PAD 0 SITEPROP TIEOFF_X27Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y72 IS_RESERVED 0 SITEPROP TIEOFF_X27Y72 IS_TEST 0 SITEPROP TIEOFF_X27Y72 IS_USED 0 SITEPROP TIEOFF_X27Y72 MANUAL_ROUTING SITEPROP TIEOFF_X27Y72 NAME TIEOFF_X27Y72 SITEPROP TIEOFF_X27Y72 NUM_ARCS 0 SITEPROP TIEOFF_X27Y72 NUM_BELS 2 SITEPROP TIEOFF_X27Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y72 NUM_PINS 2 SITEPROP TIEOFF_X27Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y72 PROHIBIT 0 SITEPROP TIEOFF_X27Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y72 RPM_X 108 SITEPROP TIEOFF_X27Y72 RPM_Y 144 SITEPROP TIEOFF_X27Y72 SITE_PIPS SITEPROP TIEOFF_X27Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y73 CLASS site SITEPROP TIEOFF_X27Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y73 IS_BONDED 0 SITEPROP TIEOFF_X27Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y73 IS_PAD 0 SITEPROP TIEOFF_X27Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y73 IS_RESERVED 0 SITEPROP TIEOFF_X27Y73 IS_TEST 0 SITEPROP TIEOFF_X27Y73 IS_USED 0 SITEPROP TIEOFF_X27Y73 MANUAL_ROUTING SITEPROP TIEOFF_X27Y73 NAME TIEOFF_X27Y73 SITEPROP TIEOFF_X27Y73 NUM_ARCS 0 SITEPROP TIEOFF_X27Y73 NUM_BELS 2 SITEPROP TIEOFF_X27Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y73 NUM_PINS 2 SITEPROP TIEOFF_X27Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y73 PROHIBIT 0 SITEPROP TIEOFF_X27Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y73 RPM_X 108 SITEPROP TIEOFF_X27Y73 RPM_Y 146 SITEPROP TIEOFF_X27Y73 SITE_PIPS SITEPROP TIEOFF_X27Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y74 CLASS site SITEPROP TIEOFF_X27Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y74 IS_BONDED 0 SITEPROP TIEOFF_X27Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y74 IS_PAD 0 SITEPROP TIEOFF_X27Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y74 IS_RESERVED 0 SITEPROP TIEOFF_X27Y74 IS_TEST 0 SITEPROP TIEOFF_X27Y74 IS_USED 0 SITEPROP TIEOFF_X27Y74 MANUAL_ROUTING SITEPROP TIEOFF_X27Y74 NAME TIEOFF_X27Y74 SITEPROP TIEOFF_X27Y74 NUM_ARCS 0 SITEPROP TIEOFF_X27Y74 NUM_BELS 2 SITEPROP TIEOFF_X27Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y74 NUM_PINS 2 SITEPROP TIEOFF_X27Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y74 PROHIBIT 0 SITEPROP TIEOFF_X27Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y74 RPM_X 108 SITEPROP TIEOFF_X27Y74 RPM_Y 148 SITEPROP TIEOFF_X27Y74 SITE_PIPS SITEPROP TIEOFF_X27Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y75 CLASS site SITEPROP TIEOFF_X27Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y75 IS_BONDED 0 SITEPROP TIEOFF_X27Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y75 IS_PAD 0 SITEPROP TIEOFF_X27Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y75 IS_RESERVED 0 SITEPROP TIEOFF_X27Y75 IS_TEST 0 SITEPROP TIEOFF_X27Y75 IS_USED 0 SITEPROP TIEOFF_X27Y75 MANUAL_ROUTING SITEPROP TIEOFF_X27Y75 NAME TIEOFF_X27Y75 SITEPROP TIEOFF_X27Y75 NUM_ARCS 0 SITEPROP TIEOFF_X27Y75 NUM_BELS 2 SITEPROP TIEOFF_X27Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y75 NUM_PINS 2 SITEPROP TIEOFF_X27Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y75 PROHIBIT 0 SITEPROP TIEOFF_X27Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y75 RPM_X 108 SITEPROP TIEOFF_X27Y75 RPM_Y 150 SITEPROP TIEOFF_X27Y75 SITE_PIPS SITEPROP TIEOFF_X27Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y76 CLASS site SITEPROP TIEOFF_X27Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y76 IS_BONDED 0 SITEPROP TIEOFF_X27Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y76 IS_PAD 0 SITEPROP TIEOFF_X27Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y76 IS_RESERVED 0 SITEPROP TIEOFF_X27Y76 IS_TEST 0 SITEPROP TIEOFF_X27Y76 IS_USED 0 SITEPROP TIEOFF_X27Y76 MANUAL_ROUTING SITEPROP TIEOFF_X27Y76 NAME TIEOFF_X27Y76 SITEPROP TIEOFF_X27Y76 NUM_ARCS 0 SITEPROP TIEOFF_X27Y76 NUM_BELS 2 SITEPROP TIEOFF_X27Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y76 NUM_PINS 2 SITEPROP TIEOFF_X27Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y76 PROHIBIT 0 SITEPROP TIEOFF_X27Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y76 RPM_X 108 SITEPROP TIEOFF_X27Y76 RPM_Y 152 SITEPROP TIEOFF_X27Y76 SITE_PIPS SITEPROP TIEOFF_X27Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y77 CLASS site SITEPROP TIEOFF_X27Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y77 IS_BONDED 0 SITEPROP TIEOFF_X27Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y77 IS_PAD 0 SITEPROP TIEOFF_X27Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y77 IS_RESERVED 0 SITEPROP TIEOFF_X27Y77 IS_TEST 0 SITEPROP TIEOFF_X27Y77 IS_USED 0 SITEPROP TIEOFF_X27Y77 MANUAL_ROUTING SITEPROP TIEOFF_X27Y77 NAME TIEOFF_X27Y77 SITEPROP TIEOFF_X27Y77 NUM_ARCS 0 SITEPROP TIEOFF_X27Y77 NUM_BELS 2 SITEPROP TIEOFF_X27Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y77 NUM_PINS 2 SITEPROP TIEOFF_X27Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y77 PROHIBIT 0 SITEPROP TIEOFF_X27Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y77 RPM_X 108 SITEPROP TIEOFF_X27Y77 RPM_Y 154 SITEPROP TIEOFF_X27Y77 SITE_PIPS SITEPROP TIEOFF_X27Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y78 CLASS site SITEPROP TIEOFF_X27Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y78 IS_BONDED 0 SITEPROP TIEOFF_X27Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y78 IS_PAD 0 SITEPROP TIEOFF_X27Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y78 IS_RESERVED 0 SITEPROP TIEOFF_X27Y78 IS_TEST 0 SITEPROP TIEOFF_X27Y78 IS_USED 0 SITEPROP TIEOFF_X27Y78 MANUAL_ROUTING SITEPROP TIEOFF_X27Y78 NAME TIEOFF_X27Y78 SITEPROP TIEOFF_X27Y78 NUM_ARCS 0 SITEPROP TIEOFF_X27Y78 NUM_BELS 2 SITEPROP TIEOFF_X27Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y78 NUM_PINS 2 SITEPROP TIEOFF_X27Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y78 PROHIBIT 0 SITEPROP TIEOFF_X27Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y78 RPM_X 108 SITEPROP TIEOFF_X27Y78 RPM_Y 156 SITEPROP TIEOFF_X27Y78 SITE_PIPS SITEPROP TIEOFF_X27Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y79 CLASS site SITEPROP TIEOFF_X27Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y79 IS_BONDED 0 SITEPROP TIEOFF_X27Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y79 IS_PAD 0 SITEPROP TIEOFF_X27Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y79 IS_RESERVED 0 SITEPROP TIEOFF_X27Y79 IS_TEST 0 SITEPROP TIEOFF_X27Y79 IS_USED 0 SITEPROP TIEOFF_X27Y79 MANUAL_ROUTING SITEPROP TIEOFF_X27Y79 NAME TIEOFF_X27Y79 SITEPROP TIEOFF_X27Y79 NUM_ARCS 0 SITEPROP TIEOFF_X27Y79 NUM_BELS 2 SITEPROP TIEOFF_X27Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y79 NUM_PINS 2 SITEPROP TIEOFF_X27Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y79 PROHIBIT 0 SITEPROP TIEOFF_X27Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y79 RPM_X 108 SITEPROP TIEOFF_X27Y79 RPM_Y 158 SITEPROP TIEOFF_X27Y79 SITE_PIPS SITEPROP TIEOFF_X27Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y80 CLASS site SITEPROP TIEOFF_X27Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y80 IS_BONDED 0 SITEPROP TIEOFF_X27Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y80 IS_PAD 0 SITEPROP TIEOFF_X27Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y80 IS_RESERVED 0 SITEPROP TIEOFF_X27Y80 IS_TEST 0 SITEPROP TIEOFF_X27Y80 IS_USED 0 SITEPROP TIEOFF_X27Y80 MANUAL_ROUTING SITEPROP TIEOFF_X27Y80 NAME TIEOFF_X27Y80 SITEPROP TIEOFF_X27Y80 NUM_ARCS 0 SITEPROP TIEOFF_X27Y80 NUM_BELS 2 SITEPROP TIEOFF_X27Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y80 NUM_PINS 2 SITEPROP TIEOFF_X27Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y80 PROHIBIT 0 SITEPROP TIEOFF_X27Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y80 RPM_X 108 SITEPROP TIEOFF_X27Y80 RPM_Y 160 SITEPROP TIEOFF_X27Y80 SITE_PIPS SITEPROP TIEOFF_X27Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y81 CLASS site SITEPROP TIEOFF_X27Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y81 IS_BONDED 0 SITEPROP TIEOFF_X27Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y81 IS_PAD 0 SITEPROP TIEOFF_X27Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y81 IS_RESERVED 0 SITEPROP TIEOFF_X27Y81 IS_TEST 0 SITEPROP TIEOFF_X27Y81 IS_USED 0 SITEPROP TIEOFF_X27Y81 MANUAL_ROUTING SITEPROP TIEOFF_X27Y81 NAME TIEOFF_X27Y81 SITEPROP TIEOFF_X27Y81 NUM_ARCS 0 SITEPROP TIEOFF_X27Y81 NUM_BELS 2 SITEPROP TIEOFF_X27Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y81 NUM_PINS 2 SITEPROP TIEOFF_X27Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y81 PROHIBIT 0 SITEPROP TIEOFF_X27Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y81 RPM_X 108 SITEPROP TIEOFF_X27Y81 RPM_Y 162 SITEPROP TIEOFF_X27Y81 SITE_PIPS SITEPROP TIEOFF_X27Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y82 CLASS site SITEPROP TIEOFF_X27Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y82 IS_BONDED 0 SITEPROP TIEOFF_X27Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y82 IS_PAD 0 SITEPROP TIEOFF_X27Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y82 IS_RESERVED 0 SITEPROP TIEOFF_X27Y82 IS_TEST 0 SITEPROP TIEOFF_X27Y82 IS_USED 0 SITEPROP TIEOFF_X27Y82 MANUAL_ROUTING SITEPROP TIEOFF_X27Y82 NAME TIEOFF_X27Y82 SITEPROP TIEOFF_X27Y82 NUM_ARCS 0 SITEPROP TIEOFF_X27Y82 NUM_BELS 2 SITEPROP TIEOFF_X27Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y82 NUM_PINS 2 SITEPROP TIEOFF_X27Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y82 PROHIBIT 0 SITEPROP TIEOFF_X27Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y82 RPM_X 108 SITEPROP TIEOFF_X27Y82 RPM_Y 164 SITEPROP TIEOFF_X27Y82 SITE_PIPS SITEPROP TIEOFF_X27Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y83 CLASS site SITEPROP TIEOFF_X27Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y83 IS_BONDED 0 SITEPROP TIEOFF_X27Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y83 IS_PAD 0 SITEPROP TIEOFF_X27Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y83 IS_RESERVED 0 SITEPROP TIEOFF_X27Y83 IS_TEST 0 SITEPROP TIEOFF_X27Y83 IS_USED 0 SITEPROP TIEOFF_X27Y83 MANUAL_ROUTING SITEPROP TIEOFF_X27Y83 NAME TIEOFF_X27Y83 SITEPROP TIEOFF_X27Y83 NUM_ARCS 0 SITEPROP TIEOFF_X27Y83 NUM_BELS 2 SITEPROP TIEOFF_X27Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y83 NUM_PINS 2 SITEPROP TIEOFF_X27Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y83 PROHIBIT 0 SITEPROP TIEOFF_X27Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y83 RPM_X 108 SITEPROP TIEOFF_X27Y83 RPM_Y 166 SITEPROP TIEOFF_X27Y83 SITE_PIPS SITEPROP TIEOFF_X27Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y84 CLASS site SITEPROP TIEOFF_X27Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y84 IS_BONDED 0 SITEPROP TIEOFF_X27Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y84 IS_PAD 0 SITEPROP TIEOFF_X27Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y84 IS_RESERVED 0 SITEPROP TIEOFF_X27Y84 IS_TEST 0 SITEPROP TIEOFF_X27Y84 IS_USED 0 SITEPROP TIEOFF_X27Y84 MANUAL_ROUTING SITEPROP TIEOFF_X27Y84 NAME TIEOFF_X27Y84 SITEPROP TIEOFF_X27Y84 NUM_ARCS 0 SITEPROP TIEOFF_X27Y84 NUM_BELS 2 SITEPROP TIEOFF_X27Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y84 NUM_PINS 2 SITEPROP TIEOFF_X27Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y84 PROHIBIT 0 SITEPROP TIEOFF_X27Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y84 RPM_X 108 SITEPROP TIEOFF_X27Y84 RPM_Y 168 SITEPROP TIEOFF_X27Y84 SITE_PIPS SITEPROP TIEOFF_X27Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y85 CLASS site SITEPROP TIEOFF_X27Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y85 IS_BONDED 0 SITEPROP TIEOFF_X27Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y85 IS_PAD 0 SITEPROP TIEOFF_X27Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y85 IS_RESERVED 0 SITEPROP TIEOFF_X27Y85 IS_TEST 0 SITEPROP TIEOFF_X27Y85 IS_USED 0 SITEPROP TIEOFF_X27Y85 MANUAL_ROUTING SITEPROP TIEOFF_X27Y85 NAME TIEOFF_X27Y85 SITEPROP TIEOFF_X27Y85 NUM_ARCS 0 SITEPROP TIEOFF_X27Y85 NUM_BELS 2 SITEPROP TIEOFF_X27Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y85 NUM_PINS 2 SITEPROP TIEOFF_X27Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y85 PROHIBIT 0 SITEPROP TIEOFF_X27Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y85 RPM_X 108 SITEPROP TIEOFF_X27Y85 RPM_Y 170 SITEPROP TIEOFF_X27Y85 SITE_PIPS SITEPROP TIEOFF_X27Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y86 CLASS site SITEPROP TIEOFF_X27Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y86 IS_BONDED 0 SITEPROP TIEOFF_X27Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y86 IS_PAD 0 SITEPROP TIEOFF_X27Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y86 IS_RESERVED 0 SITEPROP TIEOFF_X27Y86 IS_TEST 0 SITEPROP TIEOFF_X27Y86 IS_USED 0 SITEPROP TIEOFF_X27Y86 MANUAL_ROUTING SITEPROP TIEOFF_X27Y86 NAME TIEOFF_X27Y86 SITEPROP TIEOFF_X27Y86 NUM_ARCS 0 SITEPROP TIEOFF_X27Y86 NUM_BELS 2 SITEPROP TIEOFF_X27Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y86 NUM_PINS 2 SITEPROP TIEOFF_X27Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y86 PROHIBIT 0 SITEPROP TIEOFF_X27Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y86 RPM_X 108 SITEPROP TIEOFF_X27Y86 RPM_Y 172 SITEPROP TIEOFF_X27Y86 SITE_PIPS SITEPROP TIEOFF_X27Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y87 CLASS site SITEPROP TIEOFF_X27Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y87 IS_BONDED 0 SITEPROP TIEOFF_X27Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y87 IS_PAD 0 SITEPROP TIEOFF_X27Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y87 IS_RESERVED 0 SITEPROP TIEOFF_X27Y87 IS_TEST 0 SITEPROP TIEOFF_X27Y87 IS_USED 0 SITEPROP TIEOFF_X27Y87 MANUAL_ROUTING SITEPROP TIEOFF_X27Y87 NAME TIEOFF_X27Y87 SITEPROP TIEOFF_X27Y87 NUM_ARCS 0 SITEPROP TIEOFF_X27Y87 NUM_BELS 2 SITEPROP TIEOFF_X27Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y87 NUM_PINS 2 SITEPROP TIEOFF_X27Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y87 PROHIBIT 0 SITEPROP TIEOFF_X27Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y87 RPM_X 108 SITEPROP TIEOFF_X27Y87 RPM_Y 174 SITEPROP TIEOFF_X27Y87 SITE_PIPS SITEPROP TIEOFF_X27Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y88 CLASS site SITEPROP TIEOFF_X27Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y88 IS_BONDED 0 SITEPROP TIEOFF_X27Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y88 IS_PAD 0 SITEPROP TIEOFF_X27Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y88 IS_RESERVED 0 SITEPROP TIEOFF_X27Y88 IS_TEST 0 SITEPROP TIEOFF_X27Y88 IS_USED 0 SITEPROP TIEOFF_X27Y88 MANUAL_ROUTING SITEPROP TIEOFF_X27Y88 NAME TIEOFF_X27Y88 SITEPROP TIEOFF_X27Y88 NUM_ARCS 0 SITEPROP TIEOFF_X27Y88 NUM_BELS 2 SITEPROP TIEOFF_X27Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y88 NUM_PINS 2 SITEPROP TIEOFF_X27Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y88 PROHIBIT 0 SITEPROP TIEOFF_X27Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y88 RPM_X 108 SITEPROP TIEOFF_X27Y88 RPM_Y 176 SITEPROP TIEOFF_X27Y88 SITE_PIPS SITEPROP TIEOFF_X27Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y89 CLASS site SITEPROP TIEOFF_X27Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y89 IS_BONDED 0 SITEPROP TIEOFF_X27Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y89 IS_PAD 0 SITEPROP TIEOFF_X27Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y89 IS_RESERVED 0 SITEPROP TIEOFF_X27Y89 IS_TEST 0 SITEPROP TIEOFF_X27Y89 IS_USED 0 SITEPROP TIEOFF_X27Y89 MANUAL_ROUTING SITEPROP TIEOFF_X27Y89 NAME TIEOFF_X27Y89 SITEPROP TIEOFF_X27Y89 NUM_ARCS 0 SITEPROP TIEOFF_X27Y89 NUM_BELS 2 SITEPROP TIEOFF_X27Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y89 NUM_PINS 2 SITEPROP TIEOFF_X27Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y89 PROHIBIT 0 SITEPROP TIEOFF_X27Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y89 RPM_X 108 SITEPROP TIEOFF_X27Y89 RPM_Y 178 SITEPROP TIEOFF_X27Y89 SITE_PIPS SITEPROP TIEOFF_X27Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y90 CLASS site SITEPROP TIEOFF_X27Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y90 IS_BONDED 0 SITEPROP TIEOFF_X27Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y90 IS_PAD 0 SITEPROP TIEOFF_X27Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y90 IS_RESERVED 0 SITEPROP TIEOFF_X27Y90 IS_TEST 0 SITEPROP TIEOFF_X27Y90 IS_USED 0 SITEPROP TIEOFF_X27Y90 MANUAL_ROUTING SITEPROP TIEOFF_X27Y90 NAME TIEOFF_X27Y90 SITEPROP TIEOFF_X27Y90 NUM_ARCS 0 SITEPROP TIEOFF_X27Y90 NUM_BELS 2 SITEPROP TIEOFF_X27Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y90 NUM_PINS 2 SITEPROP TIEOFF_X27Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y90 PROHIBIT 0 SITEPROP TIEOFF_X27Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y90 RPM_X 108 SITEPROP TIEOFF_X27Y90 RPM_Y 180 SITEPROP TIEOFF_X27Y90 SITE_PIPS SITEPROP TIEOFF_X27Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y91 CLASS site SITEPROP TIEOFF_X27Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y91 IS_BONDED 0 SITEPROP TIEOFF_X27Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y91 IS_PAD 0 SITEPROP TIEOFF_X27Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y91 IS_RESERVED 0 SITEPROP TIEOFF_X27Y91 IS_TEST 0 SITEPROP TIEOFF_X27Y91 IS_USED 0 SITEPROP TIEOFF_X27Y91 MANUAL_ROUTING SITEPROP TIEOFF_X27Y91 NAME TIEOFF_X27Y91 SITEPROP TIEOFF_X27Y91 NUM_ARCS 0 SITEPROP TIEOFF_X27Y91 NUM_BELS 2 SITEPROP TIEOFF_X27Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y91 NUM_PINS 2 SITEPROP TIEOFF_X27Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y91 PROHIBIT 0 SITEPROP TIEOFF_X27Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y91 RPM_X 108 SITEPROP TIEOFF_X27Y91 RPM_Y 182 SITEPROP TIEOFF_X27Y91 SITE_PIPS SITEPROP TIEOFF_X27Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y92 CLASS site SITEPROP TIEOFF_X27Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y92 IS_BONDED 0 SITEPROP TIEOFF_X27Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y92 IS_PAD 0 SITEPROP TIEOFF_X27Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y92 IS_RESERVED 0 SITEPROP TIEOFF_X27Y92 IS_TEST 0 SITEPROP TIEOFF_X27Y92 IS_USED 0 SITEPROP TIEOFF_X27Y92 MANUAL_ROUTING SITEPROP TIEOFF_X27Y92 NAME TIEOFF_X27Y92 SITEPROP TIEOFF_X27Y92 NUM_ARCS 0 SITEPROP TIEOFF_X27Y92 NUM_BELS 2 SITEPROP TIEOFF_X27Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y92 NUM_PINS 2 SITEPROP TIEOFF_X27Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y92 PROHIBIT 0 SITEPROP TIEOFF_X27Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y92 RPM_X 108 SITEPROP TIEOFF_X27Y92 RPM_Y 184 SITEPROP TIEOFF_X27Y92 SITE_PIPS SITEPROP TIEOFF_X27Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y93 CLASS site SITEPROP TIEOFF_X27Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y93 IS_BONDED 0 SITEPROP TIEOFF_X27Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y93 IS_PAD 0 SITEPROP TIEOFF_X27Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y93 IS_RESERVED 0 SITEPROP TIEOFF_X27Y93 IS_TEST 0 SITEPROP TIEOFF_X27Y93 IS_USED 0 SITEPROP TIEOFF_X27Y93 MANUAL_ROUTING SITEPROP TIEOFF_X27Y93 NAME TIEOFF_X27Y93 SITEPROP TIEOFF_X27Y93 NUM_ARCS 0 SITEPROP TIEOFF_X27Y93 NUM_BELS 2 SITEPROP TIEOFF_X27Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y93 NUM_PINS 2 SITEPROP TIEOFF_X27Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y93 PROHIBIT 0 SITEPROP TIEOFF_X27Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y93 RPM_X 108 SITEPROP TIEOFF_X27Y93 RPM_Y 186 SITEPROP TIEOFF_X27Y93 SITE_PIPS SITEPROP TIEOFF_X27Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y94 CLASS site SITEPROP TIEOFF_X27Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y94 IS_BONDED 0 SITEPROP TIEOFF_X27Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y94 IS_PAD 0 SITEPROP TIEOFF_X27Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y94 IS_RESERVED 0 SITEPROP TIEOFF_X27Y94 IS_TEST 0 SITEPROP TIEOFF_X27Y94 IS_USED 0 SITEPROP TIEOFF_X27Y94 MANUAL_ROUTING SITEPROP TIEOFF_X27Y94 NAME TIEOFF_X27Y94 SITEPROP TIEOFF_X27Y94 NUM_ARCS 0 SITEPROP TIEOFF_X27Y94 NUM_BELS 2 SITEPROP TIEOFF_X27Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y94 NUM_PINS 2 SITEPROP TIEOFF_X27Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y94 PROHIBIT 0 SITEPROP TIEOFF_X27Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y94 RPM_X 108 SITEPROP TIEOFF_X27Y94 RPM_Y 188 SITEPROP TIEOFF_X27Y94 SITE_PIPS SITEPROP TIEOFF_X27Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y95 CLASS site SITEPROP TIEOFF_X27Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y95 IS_BONDED 0 SITEPROP TIEOFF_X27Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y95 IS_PAD 0 SITEPROP TIEOFF_X27Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y95 IS_RESERVED 0 SITEPROP TIEOFF_X27Y95 IS_TEST 0 SITEPROP TIEOFF_X27Y95 IS_USED 0 SITEPROP TIEOFF_X27Y95 MANUAL_ROUTING SITEPROP TIEOFF_X27Y95 NAME TIEOFF_X27Y95 SITEPROP TIEOFF_X27Y95 NUM_ARCS 0 SITEPROP TIEOFF_X27Y95 NUM_BELS 2 SITEPROP TIEOFF_X27Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y95 NUM_PINS 2 SITEPROP TIEOFF_X27Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y95 PROHIBIT 0 SITEPROP TIEOFF_X27Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y95 RPM_X 108 SITEPROP TIEOFF_X27Y95 RPM_Y 190 SITEPROP TIEOFF_X27Y95 SITE_PIPS SITEPROP TIEOFF_X27Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y96 CLASS site SITEPROP TIEOFF_X27Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y96 IS_BONDED 0 SITEPROP TIEOFF_X27Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y96 IS_PAD 0 SITEPROP TIEOFF_X27Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y96 IS_RESERVED 0 SITEPROP TIEOFF_X27Y96 IS_TEST 0 SITEPROP TIEOFF_X27Y96 IS_USED 0 SITEPROP TIEOFF_X27Y96 MANUAL_ROUTING SITEPROP TIEOFF_X27Y96 NAME TIEOFF_X27Y96 SITEPROP TIEOFF_X27Y96 NUM_ARCS 0 SITEPROP TIEOFF_X27Y96 NUM_BELS 2 SITEPROP TIEOFF_X27Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y96 NUM_PINS 2 SITEPROP TIEOFF_X27Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y96 PROHIBIT 0 SITEPROP TIEOFF_X27Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y96 RPM_X 108 SITEPROP TIEOFF_X27Y96 RPM_Y 192 SITEPROP TIEOFF_X27Y96 SITE_PIPS SITEPROP TIEOFF_X27Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y97 CLASS site SITEPROP TIEOFF_X27Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y97 IS_BONDED 0 SITEPROP TIEOFF_X27Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y97 IS_PAD 0 SITEPROP TIEOFF_X27Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y97 IS_RESERVED 0 SITEPROP TIEOFF_X27Y97 IS_TEST 0 SITEPROP TIEOFF_X27Y97 IS_USED 0 SITEPROP TIEOFF_X27Y97 MANUAL_ROUTING SITEPROP TIEOFF_X27Y97 NAME TIEOFF_X27Y97 SITEPROP TIEOFF_X27Y97 NUM_ARCS 0 SITEPROP TIEOFF_X27Y97 NUM_BELS 2 SITEPROP TIEOFF_X27Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y97 NUM_PINS 2 SITEPROP TIEOFF_X27Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y97 PROHIBIT 0 SITEPROP TIEOFF_X27Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y97 RPM_X 108 SITEPROP TIEOFF_X27Y97 RPM_Y 194 SITEPROP TIEOFF_X27Y97 SITE_PIPS SITEPROP TIEOFF_X27Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y98 CLASS site SITEPROP TIEOFF_X27Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y98 IS_BONDED 0 SITEPROP TIEOFF_X27Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y98 IS_PAD 0 SITEPROP TIEOFF_X27Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y98 IS_RESERVED 0 SITEPROP TIEOFF_X27Y98 IS_TEST 0 SITEPROP TIEOFF_X27Y98 IS_USED 0 SITEPROP TIEOFF_X27Y98 MANUAL_ROUTING SITEPROP TIEOFF_X27Y98 NAME TIEOFF_X27Y98 SITEPROP TIEOFF_X27Y98 NUM_ARCS 0 SITEPROP TIEOFF_X27Y98 NUM_BELS 2 SITEPROP TIEOFF_X27Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y98 NUM_PINS 2 SITEPROP TIEOFF_X27Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y98 PROHIBIT 0 SITEPROP TIEOFF_X27Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y98 RPM_X 108 SITEPROP TIEOFF_X27Y98 RPM_Y 196 SITEPROP TIEOFF_X27Y98 SITE_PIPS SITEPROP TIEOFF_X27Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y99 CLASS site SITEPROP TIEOFF_X27Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X27Y99 IS_BONDED 0 SITEPROP TIEOFF_X27Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y99 IS_PAD 0 SITEPROP TIEOFF_X27Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y99 IS_RESERVED 0 SITEPROP TIEOFF_X27Y99 IS_TEST 0 SITEPROP TIEOFF_X27Y99 IS_USED 0 SITEPROP TIEOFF_X27Y99 MANUAL_ROUTING SITEPROP TIEOFF_X27Y99 NAME TIEOFF_X27Y99 SITEPROP TIEOFF_X27Y99 NUM_ARCS 0 SITEPROP TIEOFF_X27Y99 NUM_BELS 2 SITEPROP TIEOFF_X27Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y99 NUM_PINS 2 SITEPROP TIEOFF_X27Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y99 PROHIBIT 0 SITEPROP TIEOFF_X27Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y99 RPM_X 108 SITEPROP TIEOFF_X27Y99 RPM_Y 198 SITEPROP TIEOFF_X27Y99 SITE_PIPS SITEPROP TIEOFF_X27Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y100 CLASS site SITEPROP TIEOFF_X27Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y100 IS_BONDED 0 SITEPROP TIEOFF_X27Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y100 IS_PAD 0 SITEPROP TIEOFF_X27Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y100 IS_RESERVED 0 SITEPROP TIEOFF_X27Y100 IS_TEST 0 SITEPROP TIEOFF_X27Y100 IS_USED 0 SITEPROP TIEOFF_X27Y100 MANUAL_ROUTING SITEPROP TIEOFF_X27Y100 NAME TIEOFF_X27Y100 SITEPROP TIEOFF_X27Y100 NUM_ARCS 0 SITEPROP TIEOFF_X27Y100 NUM_BELS 2 SITEPROP TIEOFF_X27Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y100 NUM_PINS 2 SITEPROP TIEOFF_X27Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y100 PROHIBIT 0 SITEPROP TIEOFF_X27Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y100 RPM_X 108 SITEPROP TIEOFF_X27Y100 RPM_Y 200 SITEPROP TIEOFF_X27Y100 SITE_PIPS SITEPROP TIEOFF_X27Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y101 CLASS site SITEPROP TIEOFF_X27Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y101 IS_BONDED 0 SITEPROP TIEOFF_X27Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y101 IS_PAD 0 SITEPROP TIEOFF_X27Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y101 IS_RESERVED 0 SITEPROP TIEOFF_X27Y101 IS_TEST 0 SITEPROP TIEOFF_X27Y101 IS_USED 0 SITEPROP TIEOFF_X27Y101 MANUAL_ROUTING SITEPROP TIEOFF_X27Y101 NAME TIEOFF_X27Y101 SITEPROP TIEOFF_X27Y101 NUM_ARCS 0 SITEPROP TIEOFF_X27Y101 NUM_BELS 2 SITEPROP TIEOFF_X27Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y101 NUM_PINS 2 SITEPROP TIEOFF_X27Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y101 PROHIBIT 0 SITEPROP TIEOFF_X27Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y101 RPM_X 108 SITEPROP TIEOFF_X27Y101 RPM_Y 202 SITEPROP TIEOFF_X27Y101 SITE_PIPS SITEPROP TIEOFF_X27Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y102 CLASS site SITEPROP TIEOFF_X27Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y102 IS_BONDED 0 SITEPROP TIEOFF_X27Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y102 IS_PAD 0 SITEPROP TIEOFF_X27Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y102 IS_RESERVED 0 SITEPROP TIEOFF_X27Y102 IS_TEST 0 SITEPROP TIEOFF_X27Y102 IS_USED 0 SITEPROP TIEOFF_X27Y102 MANUAL_ROUTING SITEPROP TIEOFF_X27Y102 NAME TIEOFF_X27Y102 SITEPROP TIEOFF_X27Y102 NUM_ARCS 0 SITEPROP TIEOFF_X27Y102 NUM_BELS 2 SITEPROP TIEOFF_X27Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y102 NUM_PINS 2 SITEPROP TIEOFF_X27Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y102 PROHIBIT 0 SITEPROP TIEOFF_X27Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y102 RPM_X 108 SITEPROP TIEOFF_X27Y102 RPM_Y 204 SITEPROP TIEOFF_X27Y102 SITE_PIPS SITEPROP TIEOFF_X27Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y103 CLASS site SITEPROP TIEOFF_X27Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y103 IS_BONDED 0 SITEPROP TIEOFF_X27Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y103 IS_PAD 0 SITEPROP TIEOFF_X27Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y103 IS_RESERVED 0 SITEPROP TIEOFF_X27Y103 IS_TEST 0 SITEPROP TIEOFF_X27Y103 IS_USED 0 SITEPROP TIEOFF_X27Y103 MANUAL_ROUTING SITEPROP TIEOFF_X27Y103 NAME TIEOFF_X27Y103 SITEPROP TIEOFF_X27Y103 NUM_ARCS 0 SITEPROP TIEOFF_X27Y103 NUM_BELS 2 SITEPROP TIEOFF_X27Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y103 NUM_PINS 2 SITEPROP TIEOFF_X27Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y103 PROHIBIT 0 SITEPROP TIEOFF_X27Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y103 RPM_X 108 SITEPROP TIEOFF_X27Y103 RPM_Y 206 SITEPROP TIEOFF_X27Y103 SITE_PIPS SITEPROP TIEOFF_X27Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y104 CLASS site SITEPROP TIEOFF_X27Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y104 IS_BONDED 0 SITEPROP TIEOFF_X27Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y104 IS_PAD 0 SITEPROP TIEOFF_X27Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y104 IS_RESERVED 0 SITEPROP TIEOFF_X27Y104 IS_TEST 0 SITEPROP TIEOFF_X27Y104 IS_USED 0 SITEPROP TIEOFF_X27Y104 MANUAL_ROUTING SITEPROP TIEOFF_X27Y104 NAME TIEOFF_X27Y104 SITEPROP TIEOFF_X27Y104 NUM_ARCS 0 SITEPROP TIEOFF_X27Y104 NUM_BELS 2 SITEPROP TIEOFF_X27Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y104 NUM_PINS 2 SITEPROP TIEOFF_X27Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y104 PROHIBIT 0 SITEPROP TIEOFF_X27Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y104 RPM_X 108 SITEPROP TIEOFF_X27Y104 RPM_Y 208 SITEPROP TIEOFF_X27Y104 SITE_PIPS SITEPROP TIEOFF_X27Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y105 CLASS site SITEPROP TIEOFF_X27Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y105 IS_BONDED 0 SITEPROP TIEOFF_X27Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y105 IS_PAD 0 SITEPROP TIEOFF_X27Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y105 IS_RESERVED 0 SITEPROP TIEOFF_X27Y105 IS_TEST 0 SITEPROP TIEOFF_X27Y105 IS_USED 0 SITEPROP TIEOFF_X27Y105 MANUAL_ROUTING SITEPROP TIEOFF_X27Y105 NAME TIEOFF_X27Y105 SITEPROP TIEOFF_X27Y105 NUM_ARCS 0 SITEPROP TIEOFF_X27Y105 NUM_BELS 2 SITEPROP TIEOFF_X27Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y105 NUM_PINS 2 SITEPROP TIEOFF_X27Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y105 PROHIBIT 0 SITEPROP TIEOFF_X27Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y105 RPM_X 108 SITEPROP TIEOFF_X27Y105 RPM_Y 210 SITEPROP TIEOFF_X27Y105 SITE_PIPS SITEPROP TIEOFF_X27Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y106 CLASS site SITEPROP TIEOFF_X27Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y106 IS_BONDED 0 SITEPROP TIEOFF_X27Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y106 IS_PAD 0 SITEPROP TIEOFF_X27Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y106 IS_RESERVED 0 SITEPROP TIEOFF_X27Y106 IS_TEST 0 SITEPROP TIEOFF_X27Y106 IS_USED 0 SITEPROP TIEOFF_X27Y106 MANUAL_ROUTING SITEPROP TIEOFF_X27Y106 NAME TIEOFF_X27Y106 SITEPROP TIEOFF_X27Y106 NUM_ARCS 0 SITEPROP TIEOFF_X27Y106 NUM_BELS 2 SITEPROP TIEOFF_X27Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y106 NUM_PINS 2 SITEPROP TIEOFF_X27Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y106 PROHIBIT 0 SITEPROP TIEOFF_X27Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y106 RPM_X 108 SITEPROP TIEOFF_X27Y106 RPM_Y 212 SITEPROP TIEOFF_X27Y106 SITE_PIPS SITEPROP TIEOFF_X27Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y107 CLASS site SITEPROP TIEOFF_X27Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y107 IS_BONDED 0 SITEPROP TIEOFF_X27Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y107 IS_PAD 0 SITEPROP TIEOFF_X27Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y107 IS_RESERVED 0 SITEPROP TIEOFF_X27Y107 IS_TEST 0 SITEPROP TIEOFF_X27Y107 IS_USED 0 SITEPROP TIEOFF_X27Y107 MANUAL_ROUTING SITEPROP TIEOFF_X27Y107 NAME TIEOFF_X27Y107 SITEPROP TIEOFF_X27Y107 NUM_ARCS 0 SITEPROP TIEOFF_X27Y107 NUM_BELS 2 SITEPROP TIEOFF_X27Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y107 NUM_PINS 2 SITEPROP TIEOFF_X27Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y107 PROHIBIT 0 SITEPROP TIEOFF_X27Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y107 RPM_X 108 SITEPROP TIEOFF_X27Y107 RPM_Y 214 SITEPROP TIEOFF_X27Y107 SITE_PIPS SITEPROP TIEOFF_X27Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y108 CLASS site SITEPROP TIEOFF_X27Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y108 IS_BONDED 0 SITEPROP TIEOFF_X27Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y108 IS_PAD 0 SITEPROP TIEOFF_X27Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y108 IS_RESERVED 0 SITEPROP TIEOFF_X27Y108 IS_TEST 0 SITEPROP TIEOFF_X27Y108 IS_USED 0 SITEPROP TIEOFF_X27Y108 MANUAL_ROUTING SITEPROP TIEOFF_X27Y108 NAME TIEOFF_X27Y108 SITEPROP TIEOFF_X27Y108 NUM_ARCS 0 SITEPROP TIEOFF_X27Y108 NUM_BELS 2 SITEPROP TIEOFF_X27Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y108 NUM_PINS 2 SITEPROP TIEOFF_X27Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y108 PROHIBIT 0 SITEPROP TIEOFF_X27Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y108 RPM_X 108 SITEPROP TIEOFF_X27Y108 RPM_Y 216 SITEPROP TIEOFF_X27Y108 SITE_PIPS SITEPROP TIEOFF_X27Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y109 CLASS site SITEPROP TIEOFF_X27Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y109 IS_BONDED 0 SITEPROP TIEOFF_X27Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y109 IS_PAD 0 SITEPROP TIEOFF_X27Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y109 IS_RESERVED 0 SITEPROP TIEOFF_X27Y109 IS_TEST 0 SITEPROP TIEOFF_X27Y109 IS_USED 0 SITEPROP TIEOFF_X27Y109 MANUAL_ROUTING SITEPROP TIEOFF_X27Y109 NAME TIEOFF_X27Y109 SITEPROP TIEOFF_X27Y109 NUM_ARCS 0 SITEPROP TIEOFF_X27Y109 NUM_BELS 2 SITEPROP TIEOFF_X27Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y109 NUM_PINS 2 SITEPROP TIEOFF_X27Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y109 PROHIBIT 0 SITEPROP TIEOFF_X27Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y109 RPM_X 108 SITEPROP TIEOFF_X27Y109 RPM_Y 218 SITEPROP TIEOFF_X27Y109 SITE_PIPS SITEPROP TIEOFF_X27Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y110 CLASS site SITEPROP TIEOFF_X27Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y110 IS_BONDED 0 SITEPROP TIEOFF_X27Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y110 IS_PAD 0 SITEPROP TIEOFF_X27Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y110 IS_RESERVED 0 SITEPROP TIEOFF_X27Y110 IS_TEST 0 SITEPROP TIEOFF_X27Y110 IS_USED 0 SITEPROP TIEOFF_X27Y110 MANUAL_ROUTING SITEPROP TIEOFF_X27Y110 NAME TIEOFF_X27Y110 SITEPROP TIEOFF_X27Y110 NUM_ARCS 0 SITEPROP TIEOFF_X27Y110 NUM_BELS 2 SITEPROP TIEOFF_X27Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y110 NUM_PINS 2 SITEPROP TIEOFF_X27Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y110 PROHIBIT 0 SITEPROP TIEOFF_X27Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y110 RPM_X 108 SITEPROP TIEOFF_X27Y110 RPM_Y 220 SITEPROP TIEOFF_X27Y110 SITE_PIPS SITEPROP TIEOFF_X27Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y111 CLASS site SITEPROP TIEOFF_X27Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y111 IS_BONDED 0 SITEPROP TIEOFF_X27Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y111 IS_PAD 0 SITEPROP TIEOFF_X27Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y111 IS_RESERVED 0 SITEPROP TIEOFF_X27Y111 IS_TEST 0 SITEPROP TIEOFF_X27Y111 IS_USED 0 SITEPROP TIEOFF_X27Y111 MANUAL_ROUTING SITEPROP TIEOFF_X27Y111 NAME TIEOFF_X27Y111 SITEPROP TIEOFF_X27Y111 NUM_ARCS 0 SITEPROP TIEOFF_X27Y111 NUM_BELS 2 SITEPROP TIEOFF_X27Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y111 NUM_PINS 2 SITEPROP TIEOFF_X27Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y111 PROHIBIT 0 SITEPROP TIEOFF_X27Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y111 RPM_X 108 SITEPROP TIEOFF_X27Y111 RPM_Y 222 SITEPROP TIEOFF_X27Y111 SITE_PIPS SITEPROP TIEOFF_X27Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y112 CLASS site SITEPROP TIEOFF_X27Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y112 IS_BONDED 0 SITEPROP TIEOFF_X27Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y112 IS_PAD 0 SITEPROP TIEOFF_X27Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y112 IS_RESERVED 0 SITEPROP TIEOFF_X27Y112 IS_TEST 0 SITEPROP TIEOFF_X27Y112 IS_USED 0 SITEPROP TIEOFF_X27Y112 MANUAL_ROUTING SITEPROP TIEOFF_X27Y112 NAME TIEOFF_X27Y112 SITEPROP TIEOFF_X27Y112 NUM_ARCS 0 SITEPROP TIEOFF_X27Y112 NUM_BELS 2 SITEPROP TIEOFF_X27Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y112 NUM_PINS 2 SITEPROP TIEOFF_X27Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y112 PROHIBIT 0 SITEPROP TIEOFF_X27Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y112 RPM_X 108 SITEPROP TIEOFF_X27Y112 RPM_Y 224 SITEPROP TIEOFF_X27Y112 SITE_PIPS SITEPROP TIEOFF_X27Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y113 CLASS site SITEPROP TIEOFF_X27Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y113 IS_BONDED 0 SITEPROP TIEOFF_X27Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y113 IS_PAD 0 SITEPROP TIEOFF_X27Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y113 IS_RESERVED 0 SITEPROP TIEOFF_X27Y113 IS_TEST 0 SITEPROP TIEOFF_X27Y113 IS_USED 0 SITEPROP TIEOFF_X27Y113 MANUAL_ROUTING SITEPROP TIEOFF_X27Y113 NAME TIEOFF_X27Y113 SITEPROP TIEOFF_X27Y113 NUM_ARCS 0 SITEPROP TIEOFF_X27Y113 NUM_BELS 2 SITEPROP TIEOFF_X27Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y113 NUM_PINS 2 SITEPROP TIEOFF_X27Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y113 PROHIBIT 0 SITEPROP TIEOFF_X27Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y113 RPM_X 108 SITEPROP TIEOFF_X27Y113 RPM_Y 226 SITEPROP TIEOFF_X27Y113 SITE_PIPS SITEPROP TIEOFF_X27Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y114 CLASS site SITEPROP TIEOFF_X27Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y114 IS_BONDED 0 SITEPROP TIEOFF_X27Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y114 IS_PAD 0 SITEPROP TIEOFF_X27Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y114 IS_RESERVED 0 SITEPROP TIEOFF_X27Y114 IS_TEST 0 SITEPROP TIEOFF_X27Y114 IS_USED 0 SITEPROP TIEOFF_X27Y114 MANUAL_ROUTING SITEPROP TIEOFF_X27Y114 NAME TIEOFF_X27Y114 SITEPROP TIEOFF_X27Y114 NUM_ARCS 0 SITEPROP TIEOFF_X27Y114 NUM_BELS 2 SITEPROP TIEOFF_X27Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y114 NUM_PINS 2 SITEPROP TIEOFF_X27Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y114 PROHIBIT 0 SITEPROP TIEOFF_X27Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y114 RPM_X 108 SITEPROP TIEOFF_X27Y114 RPM_Y 228 SITEPROP TIEOFF_X27Y114 SITE_PIPS SITEPROP TIEOFF_X27Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y115 CLASS site SITEPROP TIEOFF_X27Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y115 IS_BONDED 0 SITEPROP TIEOFF_X27Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y115 IS_PAD 0 SITEPROP TIEOFF_X27Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y115 IS_RESERVED 0 SITEPROP TIEOFF_X27Y115 IS_TEST 0 SITEPROP TIEOFF_X27Y115 IS_USED 0 SITEPROP TIEOFF_X27Y115 MANUAL_ROUTING SITEPROP TIEOFF_X27Y115 NAME TIEOFF_X27Y115 SITEPROP TIEOFF_X27Y115 NUM_ARCS 0 SITEPROP TIEOFF_X27Y115 NUM_BELS 2 SITEPROP TIEOFF_X27Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y115 NUM_PINS 2 SITEPROP TIEOFF_X27Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y115 PROHIBIT 0 SITEPROP TIEOFF_X27Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y115 RPM_X 108 SITEPROP TIEOFF_X27Y115 RPM_Y 230 SITEPROP TIEOFF_X27Y115 SITE_PIPS SITEPROP TIEOFF_X27Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y116 CLASS site SITEPROP TIEOFF_X27Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y116 IS_BONDED 0 SITEPROP TIEOFF_X27Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y116 IS_PAD 0 SITEPROP TIEOFF_X27Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y116 IS_RESERVED 0 SITEPROP TIEOFF_X27Y116 IS_TEST 0 SITEPROP TIEOFF_X27Y116 IS_USED 0 SITEPROP TIEOFF_X27Y116 MANUAL_ROUTING SITEPROP TIEOFF_X27Y116 NAME TIEOFF_X27Y116 SITEPROP TIEOFF_X27Y116 NUM_ARCS 0 SITEPROP TIEOFF_X27Y116 NUM_BELS 2 SITEPROP TIEOFF_X27Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y116 NUM_PINS 2 SITEPROP TIEOFF_X27Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y116 PROHIBIT 0 SITEPROP TIEOFF_X27Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y116 RPM_X 108 SITEPROP TIEOFF_X27Y116 RPM_Y 232 SITEPROP TIEOFF_X27Y116 SITE_PIPS SITEPROP TIEOFF_X27Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y117 CLASS site SITEPROP TIEOFF_X27Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y117 IS_BONDED 0 SITEPROP TIEOFF_X27Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y117 IS_PAD 0 SITEPROP TIEOFF_X27Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y117 IS_RESERVED 0 SITEPROP TIEOFF_X27Y117 IS_TEST 0 SITEPROP TIEOFF_X27Y117 IS_USED 0 SITEPROP TIEOFF_X27Y117 MANUAL_ROUTING SITEPROP TIEOFF_X27Y117 NAME TIEOFF_X27Y117 SITEPROP TIEOFF_X27Y117 NUM_ARCS 0 SITEPROP TIEOFF_X27Y117 NUM_BELS 2 SITEPROP TIEOFF_X27Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y117 NUM_PINS 2 SITEPROP TIEOFF_X27Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y117 PROHIBIT 0 SITEPROP TIEOFF_X27Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y117 RPM_X 108 SITEPROP TIEOFF_X27Y117 RPM_Y 234 SITEPROP TIEOFF_X27Y117 SITE_PIPS SITEPROP TIEOFF_X27Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y118 CLASS site SITEPROP TIEOFF_X27Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y118 IS_BONDED 0 SITEPROP TIEOFF_X27Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y118 IS_PAD 0 SITEPROP TIEOFF_X27Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y118 IS_RESERVED 0 SITEPROP TIEOFF_X27Y118 IS_TEST 0 SITEPROP TIEOFF_X27Y118 IS_USED 0 SITEPROP TIEOFF_X27Y118 MANUAL_ROUTING SITEPROP TIEOFF_X27Y118 NAME TIEOFF_X27Y118 SITEPROP TIEOFF_X27Y118 NUM_ARCS 0 SITEPROP TIEOFF_X27Y118 NUM_BELS 2 SITEPROP TIEOFF_X27Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y118 NUM_PINS 2 SITEPROP TIEOFF_X27Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y118 PROHIBIT 0 SITEPROP TIEOFF_X27Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y118 RPM_X 108 SITEPROP TIEOFF_X27Y118 RPM_Y 236 SITEPROP TIEOFF_X27Y118 SITE_PIPS SITEPROP TIEOFF_X27Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y119 CLASS site SITEPROP TIEOFF_X27Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y119 IS_BONDED 0 SITEPROP TIEOFF_X27Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y119 IS_PAD 0 SITEPROP TIEOFF_X27Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y119 IS_RESERVED 0 SITEPROP TIEOFF_X27Y119 IS_TEST 0 SITEPROP TIEOFF_X27Y119 IS_USED 0 SITEPROP TIEOFF_X27Y119 MANUAL_ROUTING SITEPROP TIEOFF_X27Y119 NAME TIEOFF_X27Y119 SITEPROP TIEOFF_X27Y119 NUM_ARCS 0 SITEPROP TIEOFF_X27Y119 NUM_BELS 2 SITEPROP TIEOFF_X27Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y119 NUM_PINS 2 SITEPROP TIEOFF_X27Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y119 PROHIBIT 0 SITEPROP TIEOFF_X27Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y119 RPM_X 108 SITEPROP TIEOFF_X27Y119 RPM_Y 238 SITEPROP TIEOFF_X27Y119 SITE_PIPS SITEPROP TIEOFF_X27Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y120 CLASS site SITEPROP TIEOFF_X27Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y120 IS_BONDED 0 SITEPROP TIEOFF_X27Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y120 IS_PAD 0 SITEPROP TIEOFF_X27Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y120 IS_RESERVED 0 SITEPROP TIEOFF_X27Y120 IS_TEST 0 SITEPROP TIEOFF_X27Y120 IS_USED 0 SITEPROP TIEOFF_X27Y120 MANUAL_ROUTING SITEPROP TIEOFF_X27Y120 NAME TIEOFF_X27Y120 SITEPROP TIEOFF_X27Y120 NUM_ARCS 0 SITEPROP TIEOFF_X27Y120 NUM_BELS 2 SITEPROP TIEOFF_X27Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y120 NUM_PINS 2 SITEPROP TIEOFF_X27Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y120 PROHIBIT 0 SITEPROP TIEOFF_X27Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y120 RPM_X 108 SITEPROP TIEOFF_X27Y120 RPM_Y 240 SITEPROP TIEOFF_X27Y120 SITE_PIPS SITEPROP TIEOFF_X27Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y121 CLASS site SITEPROP TIEOFF_X27Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y121 IS_BONDED 0 SITEPROP TIEOFF_X27Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y121 IS_PAD 0 SITEPROP TIEOFF_X27Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y121 IS_RESERVED 0 SITEPROP TIEOFF_X27Y121 IS_TEST 0 SITEPROP TIEOFF_X27Y121 IS_USED 0 SITEPROP TIEOFF_X27Y121 MANUAL_ROUTING SITEPROP TIEOFF_X27Y121 NAME TIEOFF_X27Y121 SITEPROP TIEOFF_X27Y121 NUM_ARCS 0 SITEPROP TIEOFF_X27Y121 NUM_BELS 2 SITEPROP TIEOFF_X27Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y121 NUM_PINS 2 SITEPROP TIEOFF_X27Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y121 PROHIBIT 0 SITEPROP TIEOFF_X27Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y121 RPM_X 108 SITEPROP TIEOFF_X27Y121 RPM_Y 242 SITEPROP TIEOFF_X27Y121 SITE_PIPS SITEPROP TIEOFF_X27Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y122 CLASS site SITEPROP TIEOFF_X27Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y122 IS_BONDED 0 SITEPROP TIEOFF_X27Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y122 IS_PAD 0 SITEPROP TIEOFF_X27Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y122 IS_RESERVED 0 SITEPROP TIEOFF_X27Y122 IS_TEST 0 SITEPROP TIEOFF_X27Y122 IS_USED 0 SITEPROP TIEOFF_X27Y122 MANUAL_ROUTING SITEPROP TIEOFF_X27Y122 NAME TIEOFF_X27Y122 SITEPROP TIEOFF_X27Y122 NUM_ARCS 0 SITEPROP TIEOFF_X27Y122 NUM_BELS 2 SITEPROP TIEOFF_X27Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y122 NUM_PINS 2 SITEPROP TIEOFF_X27Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y122 PROHIBIT 0 SITEPROP TIEOFF_X27Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y122 RPM_X 108 SITEPROP TIEOFF_X27Y122 RPM_Y 244 SITEPROP TIEOFF_X27Y122 SITE_PIPS SITEPROP TIEOFF_X27Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y123 CLASS site SITEPROP TIEOFF_X27Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y123 IS_BONDED 0 SITEPROP TIEOFF_X27Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y123 IS_PAD 0 SITEPROP TIEOFF_X27Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y123 IS_RESERVED 0 SITEPROP TIEOFF_X27Y123 IS_TEST 0 SITEPROP TIEOFF_X27Y123 IS_USED 0 SITEPROP TIEOFF_X27Y123 MANUAL_ROUTING SITEPROP TIEOFF_X27Y123 NAME TIEOFF_X27Y123 SITEPROP TIEOFF_X27Y123 NUM_ARCS 0 SITEPROP TIEOFF_X27Y123 NUM_BELS 2 SITEPROP TIEOFF_X27Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y123 NUM_PINS 2 SITEPROP TIEOFF_X27Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y123 PROHIBIT 0 SITEPROP TIEOFF_X27Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y123 RPM_X 108 SITEPROP TIEOFF_X27Y123 RPM_Y 246 SITEPROP TIEOFF_X27Y123 SITE_PIPS SITEPROP TIEOFF_X27Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y124 CLASS site SITEPROP TIEOFF_X27Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y124 IS_BONDED 0 SITEPROP TIEOFF_X27Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y124 IS_PAD 0 SITEPROP TIEOFF_X27Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y124 IS_RESERVED 0 SITEPROP TIEOFF_X27Y124 IS_TEST 0 SITEPROP TIEOFF_X27Y124 IS_USED 0 SITEPROP TIEOFF_X27Y124 MANUAL_ROUTING SITEPROP TIEOFF_X27Y124 NAME TIEOFF_X27Y124 SITEPROP TIEOFF_X27Y124 NUM_ARCS 0 SITEPROP TIEOFF_X27Y124 NUM_BELS 2 SITEPROP TIEOFF_X27Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y124 NUM_PINS 2 SITEPROP TIEOFF_X27Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y124 PROHIBIT 0 SITEPROP TIEOFF_X27Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y124 RPM_X 108 SITEPROP TIEOFF_X27Y124 RPM_Y 248 SITEPROP TIEOFF_X27Y124 SITE_PIPS SITEPROP TIEOFF_X27Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y125 CLASS site SITEPROP TIEOFF_X27Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y125 IS_BONDED 0 SITEPROP TIEOFF_X27Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y125 IS_PAD 0 SITEPROP TIEOFF_X27Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y125 IS_RESERVED 0 SITEPROP TIEOFF_X27Y125 IS_TEST 0 SITEPROP TIEOFF_X27Y125 IS_USED 0 SITEPROP TIEOFF_X27Y125 MANUAL_ROUTING SITEPROP TIEOFF_X27Y125 NAME TIEOFF_X27Y125 SITEPROP TIEOFF_X27Y125 NUM_ARCS 0 SITEPROP TIEOFF_X27Y125 NUM_BELS 2 SITEPROP TIEOFF_X27Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y125 NUM_PINS 2 SITEPROP TIEOFF_X27Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y125 PROHIBIT 0 SITEPROP TIEOFF_X27Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y125 RPM_X 108 SITEPROP TIEOFF_X27Y125 RPM_Y 250 SITEPROP TIEOFF_X27Y125 SITE_PIPS SITEPROP TIEOFF_X27Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y126 CLASS site SITEPROP TIEOFF_X27Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y126 IS_BONDED 0 SITEPROP TIEOFF_X27Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y126 IS_PAD 0 SITEPROP TIEOFF_X27Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y126 IS_RESERVED 0 SITEPROP TIEOFF_X27Y126 IS_TEST 0 SITEPROP TIEOFF_X27Y126 IS_USED 0 SITEPROP TIEOFF_X27Y126 MANUAL_ROUTING SITEPROP TIEOFF_X27Y126 NAME TIEOFF_X27Y126 SITEPROP TIEOFF_X27Y126 NUM_ARCS 0 SITEPROP TIEOFF_X27Y126 NUM_BELS 2 SITEPROP TIEOFF_X27Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y126 NUM_PINS 2 SITEPROP TIEOFF_X27Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y126 PROHIBIT 0 SITEPROP TIEOFF_X27Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y126 RPM_X 108 SITEPROP TIEOFF_X27Y126 RPM_Y 252 SITEPROP TIEOFF_X27Y126 SITE_PIPS SITEPROP TIEOFF_X27Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y127 CLASS site SITEPROP TIEOFF_X27Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y127 IS_BONDED 0 SITEPROP TIEOFF_X27Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y127 IS_PAD 0 SITEPROP TIEOFF_X27Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y127 IS_RESERVED 0 SITEPROP TIEOFF_X27Y127 IS_TEST 0 SITEPROP TIEOFF_X27Y127 IS_USED 0 SITEPROP TIEOFF_X27Y127 MANUAL_ROUTING SITEPROP TIEOFF_X27Y127 NAME TIEOFF_X27Y127 SITEPROP TIEOFF_X27Y127 NUM_ARCS 0 SITEPROP TIEOFF_X27Y127 NUM_BELS 2 SITEPROP TIEOFF_X27Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y127 NUM_PINS 2 SITEPROP TIEOFF_X27Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y127 PROHIBIT 0 SITEPROP TIEOFF_X27Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y127 RPM_X 108 SITEPROP TIEOFF_X27Y127 RPM_Y 254 SITEPROP TIEOFF_X27Y127 SITE_PIPS SITEPROP TIEOFF_X27Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y128 CLASS site SITEPROP TIEOFF_X27Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y128 IS_BONDED 0 SITEPROP TIEOFF_X27Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y128 IS_PAD 0 SITEPROP TIEOFF_X27Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y128 IS_RESERVED 0 SITEPROP TIEOFF_X27Y128 IS_TEST 0 SITEPROP TIEOFF_X27Y128 IS_USED 0 SITEPROP TIEOFF_X27Y128 MANUAL_ROUTING SITEPROP TIEOFF_X27Y128 NAME TIEOFF_X27Y128 SITEPROP TIEOFF_X27Y128 NUM_ARCS 0 SITEPROP TIEOFF_X27Y128 NUM_BELS 2 SITEPROP TIEOFF_X27Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y128 NUM_PINS 2 SITEPROP TIEOFF_X27Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y128 PROHIBIT 0 SITEPROP TIEOFF_X27Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y128 RPM_X 108 SITEPROP TIEOFF_X27Y128 RPM_Y 256 SITEPROP TIEOFF_X27Y128 SITE_PIPS SITEPROP TIEOFF_X27Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y129 CLASS site SITEPROP TIEOFF_X27Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y129 IS_BONDED 0 SITEPROP TIEOFF_X27Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y129 IS_PAD 0 SITEPROP TIEOFF_X27Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y129 IS_RESERVED 0 SITEPROP TIEOFF_X27Y129 IS_TEST 0 SITEPROP TIEOFF_X27Y129 IS_USED 0 SITEPROP TIEOFF_X27Y129 MANUAL_ROUTING SITEPROP TIEOFF_X27Y129 NAME TIEOFF_X27Y129 SITEPROP TIEOFF_X27Y129 NUM_ARCS 0 SITEPROP TIEOFF_X27Y129 NUM_BELS 2 SITEPROP TIEOFF_X27Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y129 NUM_PINS 2 SITEPROP TIEOFF_X27Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y129 PROHIBIT 0 SITEPROP TIEOFF_X27Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y129 RPM_X 108 SITEPROP TIEOFF_X27Y129 RPM_Y 258 SITEPROP TIEOFF_X27Y129 SITE_PIPS SITEPROP TIEOFF_X27Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y130 CLASS site SITEPROP TIEOFF_X27Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y130 IS_BONDED 0 SITEPROP TIEOFF_X27Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y130 IS_PAD 0 SITEPROP TIEOFF_X27Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y130 IS_RESERVED 0 SITEPROP TIEOFF_X27Y130 IS_TEST 0 SITEPROP TIEOFF_X27Y130 IS_USED 0 SITEPROP TIEOFF_X27Y130 MANUAL_ROUTING SITEPROP TIEOFF_X27Y130 NAME TIEOFF_X27Y130 SITEPROP TIEOFF_X27Y130 NUM_ARCS 0 SITEPROP TIEOFF_X27Y130 NUM_BELS 2 SITEPROP TIEOFF_X27Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y130 NUM_PINS 2 SITEPROP TIEOFF_X27Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y130 PROHIBIT 0 SITEPROP TIEOFF_X27Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y130 RPM_X 108 SITEPROP TIEOFF_X27Y130 RPM_Y 260 SITEPROP TIEOFF_X27Y130 SITE_PIPS SITEPROP TIEOFF_X27Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y131 CLASS site SITEPROP TIEOFF_X27Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y131 IS_BONDED 0 SITEPROP TIEOFF_X27Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y131 IS_PAD 0 SITEPROP TIEOFF_X27Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y131 IS_RESERVED 0 SITEPROP TIEOFF_X27Y131 IS_TEST 0 SITEPROP TIEOFF_X27Y131 IS_USED 0 SITEPROP TIEOFF_X27Y131 MANUAL_ROUTING SITEPROP TIEOFF_X27Y131 NAME TIEOFF_X27Y131 SITEPROP TIEOFF_X27Y131 NUM_ARCS 0 SITEPROP TIEOFF_X27Y131 NUM_BELS 2 SITEPROP TIEOFF_X27Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y131 NUM_PINS 2 SITEPROP TIEOFF_X27Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y131 PROHIBIT 0 SITEPROP TIEOFF_X27Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y131 RPM_X 108 SITEPROP TIEOFF_X27Y131 RPM_Y 262 SITEPROP TIEOFF_X27Y131 SITE_PIPS SITEPROP TIEOFF_X27Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y132 CLASS site SITEPROP TIEOFF_X27Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y132 IS_BONDED 0 SITEPROP TIEOFF_X27Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y132 IS_PAD 0 SITEPROP TIEOFF_X27Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y132 IS_RESERVED 0 SITEPROP TIEOFF_X27Y132 IS_TEST 0 SITEPROP TIEOFF_X27Y132 IS_USED 0 SITEPROP TIEOFF_X27Y132 MANUAL_ROUTING SITEPROP TIEOFF_X27Y132 NAME TIEOFF_X27Y132 SITEPROP TIEOFF_X27Y132 NUM_ARCS 0 SITEPROP TIEOFF_X27Y132 NUM_BELS 2 SITEPROP TIEOFF_X27Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y132 NUM_PINS 2 SITEPROP TIEOFF_X27Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y132 PROHIBIT 0 SITEPROP TIEOFF_X27Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y132 RPM_X 108 SITEPROP TIEOFF_X27Y132 RPM_Y 264 SITEPROP TIEOFF_X27Y132 SITE_PIPS SITEPROP TIEOFF_X27Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y133 CLASS site SITEPROP TIEOFF_X27Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y133 IS_BONDED 0 SITEPROP TIEOFF_X27Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y133 IS_PAD 0 SITEPROP TIEOFF_X27Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y133 IS_RESERVED 0 SITEPROP TIEOFF_X27Y133 IS_TEST 0 SITEPROP TIEOFF_X27Y133 IS_USED 0 SITEPROP TIEOFF_X27Y133 MANUAL_ROUTING SITEPROP TIEOFF_X27Y133 NAME TIEOFF_X27Y133 SITEPROP TIEOFF_X27Y133 NUM_ARCS 0 SITEPROP TIEOFF_X27Y133 NUM_BELS 2 SITEPROP TIEOFF_X27Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y133 NUM_PINS 2 SITEPROP TIEOFF_X27Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y133 PROHIBIT 0 SITEPROP TIEOFF_X27Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y133 RPM_X 108 SITEPROP TIEOFF_X27Y133 RPM_Y 266 SITEPROP TIEOFF_X27Y133 SITE_PIPS SITEPROP TIEOFF_X27Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y134 CLASS site SITEPROP TIEOFF_X27Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y134 IS_BONDED 0 SITEPROP TIEOFF_X27Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y134 IS_PAD 0 SITEPROP TIEOFF_X27Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y134 IS_RESERVED 0 SITEPROP TIEOFF_X27Y134 IS_TEST 0 SITEPROP TIEOFF_X27Y134 IS_USED 0 SITEPROP TIEOFF_X27Y134 MANUAL_ROUTING SITEPROP TIEOFF_X27Y134 NAME TIEOFF_X27Y134 SITEPROP TIEOFF_X27Y134 NUM_ARCS 0 SITEPROP TIEOFF_X27Y134 NUM_BELS 2 SITEPROP TIEOFF_X27Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y134 NUM_PINS 2 SITEPROP TIEOFF_X27Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y134 PROHIBIT 0 SITEPROP TIEOFF_X27Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y134 RPM_X 108 SITEPROP TIEOFF_X27Y134 RPM_Y 268 SITEPROP TIEOFF_X27Y134 SITE_PIPS SITEPROP TIEOFF_X27Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y135 CLASS site SITEPROP TIEOFF_X27Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y135 IS_BONDED 0 SITEPROP TIEOFF_X27Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y135 IS_PAD 0 SITEPROP TIEOFF_X27Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y135 IS_RESERVED 0 SITEPROP TIEOFF_X27Y135 IS_TEST 0 SITEPROP TIEOFF_X27Y135 IS_USED 0 SITEPROP TIEOFF_X27Y135 MANUAL_ROUTING SITEPROP TIEOFF_X27Y135 NAME TIEOFF_X27Y135 SITEPROP TIEOFF_X27Y135 NUM_ARCS 0 SITEPROP TIEOFF_X27Y135 NUM_BELS 2 SITEPROP TIEOFF_X27Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y135 NUM_PINS 2 SITEPROP TIEOFF_X27Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y135 PROHIBIT 0 SITEPROP TIEOFF_X27Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y135 RPM_X 108 SITEPROP TIEOFF_X27Y135 RPM_Y 270 SITEPROP TIEOFF_X27Y135 SITE_PIPS SITEPROP TIEOFF_X27Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y136 CLASS site SITEPROP TIEOFF_X27Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y136 IS_BONDED 0 SITEPROP TIEOFF_X27Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y136 IS_PAD 0 SITEPROP TIEOFF_X27Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y136 IS_RESERVED 0 SITEPROP TIEOFF_X27Y136 IS_TEST 0 SITEPROP TIEOFF_X27Y136 IS_USED 0 SITEPROP TIEOFF_X27Y136 MANUAL_ROUTING SITEPROP TIEOFF_X27Y136 NAME TIEOFF_X27Y136 SITEPROP TIEOFF_X27Y136 NUM_ARCS 0 SITEPROP TIEOFF_X27Y136 NUM_BELS 2 SITEPROP TIEOFF_X27Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y136 NUM_PINS 2 SITEPROP TIEOFF_X27Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y136 PROHIBIT 0 SITEPROP TIEOFF_X27Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y136 RPM_X 108 SITEPROP TIEOFF_X27Y136 RPM_Y 272 SITEPROP TIEOFF_X27Y136 SITE_PIPS SITEPROP TIEOFF_X27Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y137 CLASS site SITEPROP TIEOFF_X27Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y137 IS_BONDED 0 SITEPROP TIEOFF_X27Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y137 IS_PAD 0 SITEPROP TIEOFF_X27Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y137 IS_RESERVED 0 SITEPROP TIEOFF_X27Y137 IS_TEST 0 SITEPROP TIEOFF_X27Y137 IS_USED 0 SITEPROP TIEOFF_X27Y137 MANUAL_ROUTING SITEPROP TIEOFF_X27Y137 NAME TIEOFF_X27Y137 SITEPROP TIEOFF_X27Y137 NUM_ARCS 0 SITEPROP TIEOFF_X27Y137 NUM_BELS 2 SITEPROP TIEOFF_X27Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y137 NUM_PINS 2 SITEPROP TIEOFF_X27Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y137 PROHIBIT 0 SITEPROP TIEOFF_X27Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y137 RPM_X 108 SITEPROP TIEOFF_X27Y137 RPM_Y 274 SITEPROP TIEOFF_X27Y137 SITE_PIPS SITEPROP TIEOFF_X27Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y138 CLASS site SITEPROP TIEOFF_X27Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y138 IS_BONDED 0 SITEPROP TIEOFF_X27Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y138 IS_PAD 0 SITEPROP TIEOFF_X27Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y138 IS_RESERVED 0 SITEPROP TIEOFF_X27Y138 IS_TEST 0 SITEPROP TIEOFF_X27Y138 IS_USED 0 SITEPROP TIEOFF_X27Y138 MANUAL_ROUTING SITEPROP TIEOFF_X27Y138 NAME TIEOFF_X27Y138 SITEPROP TIEOFF_X27Y138 NUM_ARCS 0 SITEPROP TIEOFF_X27Y138 NUM_BELS 2 SITEPROP TIEOFF_X27Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y138 NUM_PINS 2 SITEPROP TIEOFF_X27Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y138 PROHIBIT 0 SITEPROP TIEOFF_X27Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y138 RPM_X 108 SITEPROP TIEOFF_X27Y138 RPM_Y 276 SITEPROP TIEOFF_X27Y138 SITE_PIPS SITEPROP TIEOFF_X27Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y139 CLASS site SITEPROP TIEOFF_X27Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y139 IS_BONDED 0 SITEPROP TIEOFF_X27Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y139 IS_PAD 0 SITEPROP TIEOFF_X27Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y139 IS_RESERVED 0 SITEPROP TIEOFF_X27Y139 IS_TEST 0 SITEPROP TIEOFF_X27Y139 IS_USED 0 SITEPROP TIEOFF_X27Y139 MANUAL_ROUTING SITEPROP TIEOFF_X27Y139 NAME TIEOFF_X27Y139 SITEPROP TIEOFF_X27Y139 NUM_ARCS 0 SITEPROP TIEOFF_X27Y139 NUM_BELS 2 SITEPROP TIEOFF_X27Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y139 NUM_PINS 2 SITEPROP TIEOFF_X27Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y139 PROHIBIT 0 SITEPROP TIEOFF_X27Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y139 RPM_X 108 SITEPROP TIEOFF_X27Y139 RPM_Y 278 SITEPROP TIEOFF_X27Y139 SITE_PIPS SITEPROP TIEOFF_X27Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y140 CLASS site SITEPROP TIEOFF_X27Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y140 IS_BONDED 0 SITEPROP TIEOFF_X27Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y140 IS_PAD 0 SITEPROP TIEOFF_X27Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y140 IS_RESERVED 0 SITEPROP TIEOFF_X27Y140 IS_TEST 0 SITEPROP TIEOFF_X27Y140 IS_USED 0 SITEPROP TIEOFF_X27Y140 MANUAL_ROUTING SITEPROP TIEOFF_X27Y140 NAME TIEOFF_X27Y140 SITEPROP TIEOFF_X27Y140 NUM_ARCS 0 SITEPROP TIEOFF_X27Y140 NUM_BELS 2 SITEPROP TIEOFF_X27Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y140 NUM_PINS 2 SITEPROP TIEOFF_X27Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y140 PROHIBIT 0 SITEPROP TIEOFF_X27Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y140 RPM_X 108 SITEPROP TIEOFF_X27Y140 RPM_Y 280 SITEPROP TIEOFF_X27Y140 SITE_PIPS SITEPROP TIEOFF_X27Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y141 CLASS site SITEPROP TIEOFF_X27Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y141 IS_BONDED 0 SITEPROP TIEOFF_X27Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y141 IS_PAD 0 SITEPROP TIEOFF_X27Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y141 IS_RESERVED 0 SITEPROP TIEOFF_X27Y141 IS_TEST 0 SITEPROP TIEOFF_X27Y141 IS_USED 0 SITEPROP TIEOFF_X27Y141 MANUAL_ROUTING SITEPROP TIEOFF_X27Y141 NAME TIEOFF_X27Y141 SITEPROP TIEOFF_X27Y141 NUM_ARCS 0 SITEPROP TIEOFF_X27Y141 NUM_BELS 2 SITEPROP TIEOFF_X27Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y141 NUM_PINS 2 SITEPROP TIEOFF_X27Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y141 PROHIBIT 0 SITEPROP TIEOFF_X27Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y141 RPM_X 108 SITEPROP TIEOFF_X27Y141 RPM_Y 282 SITEPROP TIEOFF_X27Y141 SITE_PIPS SITEPROP TIEOFF_X27Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y142 CLASS site SITEPROP TIEOFF_X27Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y142 IS_BONDED 0 SITEPROP TIEOFF_X27Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y142 IS_PAD 0 SITEPROP TIEOFF_X27Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y142 IS_RESERVED 0 SITEPROP TIEOFF_X27Y142 IS_TEST 0 SITEPROP TIEOFF_X27Y142 IS_USED 0 SITEPROP TIEOFF_X27Y142 MANUAL_ROUTING SITEPROP TIEOFF_X27Y142 NAME TIEOFF_X27Y142 SITEPROP TIEOFF_X27Y142 NUM_ARCS 0 SITEPROP TIEOFF_X27Y142 NUM_BELS 2 SITEPROP TIEOFF_X27Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y142 NUM_PINS 2 SITEPROP TIEOFF_X27Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y142 PROHIBIT 0 SITEPROP TIEOFF_X27Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y142 RPM_X 108 SITEPROP TIEOFF_X27Y142 RPM_Y 284 SITEPROP TIEOFF_X27Y142 SITE_PIPS SITEPROP TIEOFF_X27Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y143 CLASS site SITEPROP TIEOFF_X27Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y143 IS_BONDED 0 SITEPROP TIEOFF_X27Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y143 IS_PAD 0 SITEPROP TIEOFF_X27Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y143 IS_RESERVED 0 SITEPROP TIEOFF_X27Y143 IS_TEST 0 SITEPROP TIEOFF_X27Y143 IS_USED 0 SITEPROP TIEOFF_X27Y143 MANUAL_ROUTING SITEPROP TIEOFF_X27Y143 NAME TIEOFF_X27Y143 SITEPROP TIEOFF_X27Y143 NUM_ARCS 0 SITEPROP TIEOFF_X27Y143 NUM_BELS 2 SITEPROP TIEOFF_X27Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y143 NUM_PINS 2 SITEPROP TIEOFF_X27Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y143 PROHIBIT 0 SITEPROP TIEOFF_X27Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y143 RPM_X 108 SITEPROP TIEOFF_X27Y143 RPM_Y 286 SITEPROP TIEOFF_X27Y143 SITE_PIPS SITEPROP TIEOFF_X27Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y144 CLASS site SITEPROP TIEOFF_X27Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y144 IS_BONDED 0 SITEPROP TIEOFF_X27Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y144 IS_PAD 0 SITEPROP TIEOFF_X27Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y144 IS_RESERVED 0 SITEPROP TIEOFF_X27Y144 IS_TEST 0 SITEPROP TIEOFF_X27Y144 IS_USED 0 SITEPROP TIEOFF_X27Y144 MANUAL_ROUTING SITEPROP TIEOFF_X27Y144 NAME TIEOFF_X27Y144 SITEPROP TIEOFF_X27Y144 NUM_ARCS 0 SITEPROP TIEOFF_X27Y144 NUM_BELS 2 SITEPROP TIEOFF_X27Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y144 NUM_PINS 2 SITEPROP TIEOFF_X27Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y144 PROHIBIT 0 SITEPROP TIEOFF_X27Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y144 RPM_X 108 SITEPROP TIEOFF_X27Y144 RPM_Y 288 SITEPROP TIEOFF_X27Y144 SITE_PIPS SITEPROP TIEOFF_X27Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y145 CLASS site SITEPROP TIEOFF_X27Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y145 IS_BONDED 0 SITEPROP TIEOFF_X27Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y145 IS_PAD 0 SITEPROP TIEOFF_X27Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y145 IS_RESERVED 0 SITEPROP TIEOFF_X27Y145 IS_TEST 0 SITEPROP TIEOFF_X27Y145 IS_USED 0 SITEPROP TIEOFF_X27Y145 MANUAL_ROUTING SITEPROP TIEOFF_X27Y145 NAME TIEOFF_X27Y145 SITEPROP TIEOFF_X27Y145 NUM_ARCS 0 SITEPROP TIEOFF_X27Y145 NUM_BELS 2 SITEPROP TIEOFF_X27Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y145 NUM_PINS 2 SITEPROP TIEOFF_X27Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y145 PROHIBIT 0 SITEPROP TIEOFF_X27Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y145 RPM_X 108 SITEPROP TIEOFF_X27Y145 RPM_Y 290 SITEPROP TIEOFF_X27Y145 SITE_PIPS SITEPROP TIEOFF_X27Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y146 CLASS site SITEPROP TIEOFF_X27Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y146 IS_BONDED 0 SITEPROP TIEOFF_X27Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y146 IS_PAD 0 SITEPROP TIEOFF_X27Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y146 IS_RESERVED 0 SITEPROP TIEOFF_X27Y146 IS_TEST 0 SITEPROP TIEOFF_X27Y146 IS_USED 0 SITEPROP TIEOFF_X27Y146 MANUAL_ROUTING SITEPROP TIEOFF_X27Y146 NAME TIEOFF_X27Y146 SITEPROP TIEOFF_X27Y146 NUM_ARCS 0 SITEPROP TIEOFF_X27Y146 NUM_BELS 2 SITEPROP TIEOFF_X27Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y146 NUM_PINS 2 SITEPROP TIEOFF_X27Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y146 PROHIBIT 0 SITEPROP TIEOFF_X27Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y146 RPM_X 108 SITEPROP TIEOFF_X27Y146 RPM_Y 292 SITEPROP TIEOFF_X27Y146 SITE_PIPS SITEPROP TIEOFF_X27Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y147 CLASS site SITEPROP TIEOFF_X27Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y147 IS_BONDED 0 SITEPROP TIEOFF_X27Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y147 IS_PAD 0 SITEPROP TIEOFF_X27Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y147 IS_RESERVED 0 SITEPROP TIEOFF_X27Y147 IS_TEST 0 SITEPROP TIEOFF_X27Y147 IS_USED 0 SITEPROP TIEOFF_X27Y147 MANUAL_ROUTING SITEPROP TIEOFF_X27Y147 NAME TIEOFF_X27Y147 SITEPROP TIEOFF_X27Y147 NUM_ARCS 0 SITEPROP TIEOFF_X27Y147 NUM_BELS 2 SITEPROP TIEOFF_X27Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y147 NUM_PINS 2 SITEPROP TIEOFF_X27Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y147 PROHIBIT 0 SITEPROP TIEOFF_X27Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y147 RPM_X 108 SITEPROP TIEOFF_X27Y147 RPM_Y 294 SITEPROP TIEOFF_X27Y147 SITE_PIPS SITEPROP TIEOFF_X27Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y148 CLASS site SITEPROP TIEOFF_X27Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y148 IS_BONDED 0 SITEPROP TIEOFF_X27Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y148 IS_PAD 0 SITEPROP TIEOFF_X27Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y148 IS_RESERVED 0 SITEPROP TIEOFF_X27Y148 IS_TEST 0 SITEPROP TIEOFF_X27Y148 IS_USED 0 SITEPROP TIEOFF_X27Y148 MANUAL_ROUTING SITEPROP TIEOFF_X27Y148 NAME TIEOFF_X27Y148 SITEPROP TIEOFF_X27Y148 NUM_ARCS 0 SITEPROP TIEOFF_X27Y148 NUM_BELS 2 SITEPROP TIEOFF_X27Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y148 NUM_PINS 2 SITEPROP TIEOFF_X27Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y148 PROHIBIT 0 SITEPROP TIEOFF_X27Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y148 RPM_X 108 SITEPROP TIEOFF_X27Y148 RPM_Y 296 SITEPROP TIEOFF_X27Y148 SITE_PIPS SITEPROP TIEOFF_X27Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X27Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X27Y149 CLASS site SITEPROP TIEOFF_X27Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X27Y149 IS_BONDED 0 SITEPROP TIEOFF_X27Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y149 IS_PAD 0 SITEPROP TIEOFF_X27Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X27Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X27Y149 IS_RESERVED 0 SITEPROP TIEOFF_X27Y149 IS_TEST 0 SITEPROP TIEOFF_X27Y149 IS_USED 0 SITEPROP TIEOFF_X27Y149 MANUAL_ROUTING SITEPROP TIEOFF_X27Y149 NAME TIEOFF_X27Y149 SITEPROP TIEOFF_X27Y149 NUM_ARCS 0 SITEPROP TIEOFF_X27Y149 NUM_BELS 2 SITEPROP TIEOFF_X27Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X27Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X27Y149 NUM_PINS 2 SITEPROP TIEOFF_X27Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X27Y149 PROHIBIT 0 SITEPROP TIEOFF_X27Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X27Y149 RPM_X 108 SITEPROP TIEOFF_X27Y149 RPM_Y 298 SITEPROP TIEOFF_X27Y149 SITE_PIPS SITEPROP TIEOFF_X27Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y0 CLASS site SITEPROP TIEOFF_X28Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y0 IS_BONDED 0 SITEPROP TIEOFF_X28Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y0 IS_PAD 0 SITEPROP TIEOFF_X28Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y0 IS_RESERVED 0 SITEPROP TIEOFF_X28Y0 IS_TEST 0 SITEPROP TIEOFF_X28Y0 IS_USED 0 SITEPROP TIEOFF_X28Y0 MANUAL_ROUTING SITEPROP TIEOFF_X28Y0 NAME TIEOFF_X28Y0 SITEPROP TIEOFF_X28Y0 NUM_ARCS 0 SITEPROP TIEOFF_X28Y0 NUM_BELS 2 SITEPROP TIEOFF_X28Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y0 NUM_PINS 2 SITEPROP TIEOFF_X28Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y0 PROHIBIT 0 SITEPROP TIEOFF_X28Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y0 RPM_X 112 SITEPROP TIEOFF_X28Y0 RPM_Y 0 SITEPROP TIEOFF_X28Y0 SITE_PIPS SITEPROP TIEOFF_X28Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y1 CLASS site SITEPROP TIEOFF_X28Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y1 IS_BONDED 0 SITEPROP TIEOFF_X28Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y1 IS_PAD 0 SITEPROP TIEOFF_X28Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y1 IS_RESERVED 0 SITEPROP TIEOFF_X28Y1 IS_TEST 0 SITEPROP TIEOFF_X28Y1 IS_USED 0 SITEPROP TIEOFF_X28Y1 MANUAL_ROUTING SITEPROP TIEOFF_X28Y1 NAME TIEOFF_X28Y1 SITEPROP TIEOFF_X28Y1 NUM_ARCS 0 SITEPROP TIEOFF_X28Y1 NUM_BELS 2 SITEPROP TIEOFF_X28Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y1 NUM_PINS 2 SITEPROP TIEOFF_X28Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y1 PROHIBIT 0 SITEPROP TIEOFF_X28Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y1 RPM_X 112 SITEPROP TIEOFF_X28Y1 RPM_Y 2 SITEPROP TIEOFF_X28Y1 SITE_PIPS SITEPROP TIEOFF_X28Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y2 CLASS site SITEPROP TIEOFF_X28Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y2 IS_BONDED 0 SITEPROP TIEOFF_X28Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y2 IS_PAD 0 SITEPROP TIEOFF_X28Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y2 IS_RESERVED 0 SITEPROP TIEOFF_X28Y2 IS_TEST 0 SITEPROP TIEOFF_X28Y2 IS_USED 0 SITEPROP TIEOFF_X28Y2 MANUAL_ROUTING SITEPROP TIEOFF_X28Y2 NAME TIEOFF_X28Y2 SITEPROP TIEOFF_X28Y2 NUM_ARCS 0 SITEPROP TIEOFF_X28Y2 NUM_BELS 2 SITEPROP TIEOFF_X28Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y2 NUM_PINS 2 SITEPROP TIEOFF_X28Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y2 PROHIBIT 0 SITEPROP TIEOFF_X28Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y2 RPM_X 112 SITEPROP TIEOFF_X28Y2 RPM_Y 4 SITEPROP TIEOFF_X28Y2 SITE_PIPS SITEPROP TIEOFF_X28Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y3 CLASS site SITEPROP TIEOFF_X28Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y3 IS_BONDED 0 SITEPROP TIEOFF_X28Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y3 IS_PAD 0 SITEPROP TIEOFF_X28Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y3 IS_RESERVED 0 SITEPROP TIEOFF_X28Y3 IS_TEST 0 SITEPROP TIEOFF_X28Y3 IS_USED 0 SITEPROP TIEOFF_X28Y3 MANUAL_ROUTING SITEPROP TIEOFF_X28Y3 NAME TIEOFF_X28Y3 SITEPROP TIEOFF_X28Y3 NUM_ARCS 0 SITEPROP TIEOFF_X28Y3 NUM_BELS 2 SITEPROP TIEOFF_X28Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y3 NUM_PINS 2 SITEPROP TIEOFF_X28Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y3 PROHIBIT 0 SITEPROP TIEOFF_X28Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y3 RPM_X 112 SITEPROP TIEOFF_X28Y3 RPM_Y 6 SITEPROP TIEOFF_X28Y3 SITE_PIPS SITEPROP TIEOFF_X28Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y4 CLASS site SITEPROP TIEOFF_X28Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y4 IS_BONDED 0 SITEPROP TIEOFF_X28Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y4 IS_PAD 0 SITEPROP TIEOFF_X28Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y4 IS_RESERVED 0 SITEPROP TIEOFF_X28Y4 IS_TEST 0 SITEPROP TIEOFF_X28Y4 IS_USED 0 SITEPROP TIEOFF_X28Y4 MANUAL_ROUTING SITEPROP TIEOFF_X28Y4 NAME TIEOFF_X28Y4 SITEPROP TIEOFF_X28Y4 NUM_ARCS 0 SITEPROP TIEOFF_X28Y4 NUM_BELS 2 SITEPROP TIEOFF_X28Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y4 NUM_PINS 2 SITEPROP TIEOFF_X28Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y4 PROHIBIT 0 SITEPROP TIEOFF_X28Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y4 RPM_X 112 SITEPROP TIEOFF_X28Y4 RPM_Y 8 SITEPROP TIEOFF_X28Y4 SITE_PIPS SITEPROP TIEOFF_X28Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y5 CLASS site SITEPROP TIEOFF_X28Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y5 IS_BONDED 0 SITEPROP TIEOFF_X28Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y5 IS_PAD 0 SITEPROP TIEOFF_X28Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y5 IS_RESERVED 0 SITEPROP TIEOFF_X28Y5 IS_TEST 0 SITEPROP TIEOFF_X28Y5 IS_USED 0 SITEPROP TIEOFF_X28Y5 MANUAL_ROUTING SITEPROP TIEOFF_X28Y5 NAME TIEOFF_X28Y5 SITEPROP TIEOFF_X28Y5 NUM_ARCS 0 SITEPROP TIEOFF_X28Y5 NUM_BELS 2 SITEPROP TIEOFF_X28Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y5 NUM_PINS 2 SITEPROP TIEOFF_X28Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y5 PROHIBIT 0 SITEPROP TIEOFF_X28Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y5 RPM_X 112 SITEPROP TIEOFF_X28Y5 RPM_Y 10 SITEPROP TIEOFF_X28Y5 SITE_PIPS SITEPROP TIEOFF_X28Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y6 CLASS site SITEPROP TIEOFF_X28Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y6 IS_BONDED 0 SITEPROP TIEOFF_X28Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y6 IS_PAD 0 SITEPROP TIEOFF_X28Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y6 IS_RESERVED 0 SITEPROP TIEOFF_X28Y6 IS_TEST 0 SITEPROP TIEOFF_X28Y6 IS_USED 0 SITEPROP TIEOFF_X28Y6 MANUAL_ROUTING SITEPROP TIEOFF_X28Y6 NAME TIEOFF_X28Y6 SITEPROP TIEOFF_X28Y6 NUM_ARCS 0 SITEPROP TIEOFF_X28Y6 NUM_BELS 2 SITEPROP TIEOFF_X28Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y6 NUM_PINS 2 SITEPROP TIEOFF_X28Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y6 PROHIBIT 0 SITEPROP TIEOFF_X28Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y6 RPM_X 112 SITEPROP TIEOFF_X28Y6 RPM_Y 12 SITEPROP TIEOFF_X28Y6 SITE_PIPS SITEPROP TIEOFF_X28Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y7 CLASS site SITEPROP TIEOFF_X28Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y7 IS_BONDED 0 SITEPROP TIEOFF_X28Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y7 IS_PAD 0 SITEPROP TIEOFF_X28Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y7 IS_RESERVED 0 SITEPROP TIEOFF_X28Y7 IS_TEST 0 SITEPROP TIEOFF_X28Y7 IS_USED 0 SITEPROP TIEOFF_X28Y7 MANUAL_ROUTING SITEPROP TIEOFF_X28Y7 NAME TIEOFF_X28Y7 SITEPROP TIEOFF_X28Y7 NUM_ARCS 0 SITEPROP TIEOFF_X28Y7 NUM_BELS 2 SITEPROP TIEOFF_X28Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y7 NUM_PINS 2 SITEPROP TIEOFF_X28Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y7 PROHIBIT 0 SITEPROP TIEOFF_X28Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y7 RPM_X 112 SITEPROP TIEOFF_X28Y7 RPM_Y 14 SITEPROP TIEOFF_X28Y7 SITE_PIPS SITEPROP TIEOFF_X28Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y8 CLASS site SITEPROP TIEOFF_X28Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y8 IS_BONDED 0 SITEPROP TIEOFF_X28Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y8 IS_PAD 0 SITEPROP TIEOFF_X28Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y8 IS_RESERVED 0 SITEPROP TIEOFF_X28Y8 IS_TEST 0 SITEPROP TIEOFF_X28Y8 IS_USED 0 SITEPROP TIEOFF_X28Y8 MANUAL_ROUTING SITEPROP TIEOFF_X28Y8 NAME TIEOFF_X28Y8 SITEPROP TIEOFF_X28Y8 NUM_ARCS 0 SITEPROP TIEOFF_X28Y8 NUM_BELS 2 SITEPROP TIEOFF_X28Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y8 NUM_PINS 2 SITEPROP TIEOFF_X28Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y8 PROHIBIT 0 SITEPROP TIEOFF_X28Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y8 RPM_X 112 SITEPROP TIEOFF_X28Y8 RPM_Y 16 SITEPROP TIEOFF_X28Y8 SITE_PIPS SITEPROP TIEOFF_X28Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y9 CLASS site SITEPROP TIEOFF_X28Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y9 IS_BONDED 0 SITEPROP TIEOFF_X28Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y9 IS_PAD 0 SITEPROP TIEOFF_X28Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y9 IS_RESERVED 0 SITEPROP TIEOFF_X28Y9 IS_TEST 0 SITEPROP TIEOFF_X28Y9 IS_USED 0 SITEPROP TIEOFF_X28Y9 MANUAL_ROUTING SITEPROP TIEOFF_X28Y9 NAME TIEOFF_X28Y9 SITEPROP TIEOFF_X28Y9 NUM_ARCS 0 SITEPROP TIEOFF_X28Y9 NUM_BELS 2 SITEPROP TIEOFF_X28Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y9 NUM_PINS 2 SITEPROP TIEOFF_X28Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y9 PROHIBIT 0 SITEPROP TIEOFF_X28Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y9 RPM_X 112 SITEPROP TIEOFF_X28Y9 RPM_Y 18 SITEPROP TIEOFF_X28Y9 SITE_PIPS SITEPROP TIEOFF_X28Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y10 CLASS site SITEPROP TIEOFF_X28Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y10 IS_BONDED 0 SITEPROP TIEOFF_X28Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y10 IS_PAD 0 SITEPROP TIEOFF_X28Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y10 IS_RESERVED 0 SITEPROP TIEOFF_X28Y10 IS_TEST 0 SITEPROP TIEOFF_X28Y10 IS_USED 0 SITEPROP TIEOFF_X28Y10 MANUAL_ROUTING SITEPROP TIEOFF_X28Y10 NAME TIEOFF_X28Y10 SITEPROP TIEOFF_X28Y10 NUM_ARCS 0 SITEPROP TIEOFF_X28Y10 NUM_BELS 2 SITEPROP TIEOFF_X28Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y10 NUM_PINS 2 SITEPROP TIEOFF_X28Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y10 PROHIBIT 0 SITEPROP TIEOFF_X28Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y10 RPM_X 112 SITEPROP TIEOFF_X28Y10 RPM_Y 20 SITEPROP TIEOFF_X28Y10 SITE_PIPS SITEPROP TIEOFF_X28Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y11 CLASS site SITEPROP TIEOFF_X28Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y11 IS_BONDED 0 SITEPROP TIEOFF_X28Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y11 IS_PAD 0 SITEPROP TIEOFF_X28Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y11 IS_RESERVED 0 SITEPROP TIEOFF_X28Y11 IS_TEST 0 SITEPROP TIEOFF_X28Y11 IS_USED 0 SITEPROP TIEOFF_X28Y11 MANUAL_ROUTING SITEPROP TIEOFF_X28Y11 NAME TIEOFF_X28Y11 SITEPROP TIEOFF_X28Y11 NUM_ARCS 0 SITEPROP TIEOFF_X28Y11 NUM_BELS 2 SITEPROP TIEOFF_X28Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y11 NUM_PINS 2 SITEPROP TIEOFF_X28Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y11 PROHIBIT 0 SITEPROP TIEOFF_X28Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y11 RPM_X 112 SITEPROP TIEOFF_X28Y11 RPM_Y 22 SITEPROP TIEOFF_X28Y11 SITE_PIPS SITEPROP TIEOFF_X28Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y12 CLASS site SITEPROP TIEOFF_X28Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y12 IS_BONDED 0 SITEPROP TIEOFF_X28Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y12 IS_PAD 0 SITEPROP TIEOFF_X28Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y12 IS_RESERVED 0 SITEPROP TIEOFF_X28Y12 IS_TEST 0 SITEPROP TIEOFF_X28Y12 IS_USED 0 SITEPROP TIEOFF_X28Y12 MANUAL_ROUTING SITEPROP TIEOFF_X28Y12 NAME TIEOFF_X28Y12 SITEPROP TIEOFF_X28Y12 NUM_ARCS 0 SITEPROP TIEOFF_X28Y12 NUM_BELS 2 SITEPROP TIEOFF_X28Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y12 NUM_PINS 2 SITEPROP TIEOFF_X28Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y12 PROHIBIT 0 SITEPROP TIEOFF_X28Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y12 RPM_X 112 SITEPROP TIEOFF_X28Y12 RPM_Y 24 SITEPROP TIEOFF_X28Y12 SITE_PIPS SITEPROP TIEOFF_X28Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y13 CLASS site SITEPROP TIEOFF_X28Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y13 IS_BONDED 0 SITEPROP TIEOFF_X28Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y13 IS_PAD 0 SITEPROP TIEOFF_X28Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y13 IS_RESERVED 0 SITEPROP TIEOFF_X28Y13 IS_TEST 0 SITEPROP TIEOFF_X28Y13 IS_USED 0 SITEPROP TIEOFF_X28Y13 MANUAL_ROUTING SITEPROP TIEOFF_X28Y13 NAME TIEOFF_X28Y13 SITEPROP TIEOFF_X28Y13 NUM_ARCS 0 SITEPROP TIEOFF_X28Y13 NUM_BELS 2 SITEPROP TIEOFF_X28Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y13 NUM_PINS 2 SITEPROP TIEOFF_X28Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y13 PROHIBIT 0 SITEPROP TIEOFF_X28Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y13 RPM_X 112 SITEPROP TIEOFF_X28Y13 RPM_Y 26 SITEPROP TIEOFF_X28Y13 SITE_PIPS SITEPROP TIEOFF_X28Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y14 CLASS site SITEPROP TIEOFF_X28Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y14 IS_BONDED 0 SITEPROP TIEOFF_X28Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y14 IS_PAD 0 SITEPROP TIEOFF_X28Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y14 IS_RESERVED 0 SITEPROP TIEOFF_X28Y14 IS_TEST 0 SITEPROP TIEOFF_X28Y14 IS_USED 0 SITEPROP TIEOFF_X28Y14 MANUAL_ROUTING SITEPROP TIEOFF_X28Y14 NAME TIEOFF_X28Y14 SITEPROP TIEOFF_X28Y14 NUM_ARCS 0 SITEPROP TIEOFF_X28Y14 NUM_BELS 2 SITEPROP TIEOFF_X28Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y14 NUM_PINS 2 SITEPROP TIEOFF_X28Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y14 PROHIBIT 0 SITEPROP TIEOFF_X28Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y14 RPM_X 112 SITEPROP TIEOFF_X28Y14 RPM_Y 28 SITEPROP TIEOFF_X28Y14 SITE_PIPS SITEPROP TIEOFF_X28Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y15 CLASS site SITEPROP TIEOFF_X28Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y15 IS_BONDED 0 SITEPROP TIEOFF_X28Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y15 IS_PAD 0 SITEPROP TIEOFF_X28Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y15 IS_RESERVED 0 SITEPROP TIEOFF_X28Y15 IS_TEST 0 SITEPROP TIEOFF_X28Y15 IS_USED 0 SITEPROP TIEOFF_X28Y15 MANUAL_ROUTING SITEPROP TIEOFF_X28Y15 NAME TIEOFF_X28Y15 SITEPROP TIEOFF_X28Y15 NUM_ARCS 0 SITEPROP TIEOFF_X28Y15 NUM_BELS 2 SITEPROP TIEOFF_X28Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y15 NUM_PINS 2 SITEPROP TIEOFF_X28Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y15 PROHIBIT 0 SITEPROP TIEOFF_X28Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y15 RPM_X 112 SITEPROP TIEOFF_X28Y15 RPM_Y 30 SITEPROP TIEOFF_X28Y15 SITE_PIPS SITEPROP TIEOFF_X28Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y16 CLASS site SITEPROP TIEOFF_X28Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y16 IS_BONDED 0 SITEPROP TIEOFF_X28Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y16 IS_PAD 0 SITEPROP TIEOFF_X28Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y16 IS_RESERVED 0 SITEPROP TIEOFF_X28Y16 IS_TEST 0 SITEPROP TIEOFF_X28Y16 IS_USED 0 SITEPROP TIEOFF_X28Y16 MANUAL_ROUTING SITEPROP TIEOFF_X28Y16 NAME TIEOFF_X28Y16 SITEPROP TIEOFF_X28Y16 NUM_ARCS 0 SITEPROP TIEOFF_X28Y16 NUM_BELS 2 SITEPROP TIEOFF_X28Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y16 NUM_PINS 2 SITEPROP TIEOFF_X28Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y16 PROHIBIT 0 SITEPROP TIEOFF_X28Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y16 RPM_X 112 SITEPROP TIEOFF_X28Y16 RPM_Y 32 SITEPROP TIEOFF_X28Y16 SITE_PIPS SITEPROP TIEOFF_X28Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y17 CLASS site SITEPROP TIEOFF_X28Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y17 IS_BONDED 0 SITEPROP TIEOFF_X28Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y17 IS_PAD 0 SITEPROP TIEOFF_X28Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y17 IS_RESERVED 0 SITEPROP TIEOFF_X28Y17 IS_TEST 0 SITEPROP TIEOFF_X28Y17 IS_USED 0 SITEPROP TIEOFF_X28Y17 MANUAL_ROUTING SITEPROP TIEOFF_X28Y17 NAME TIEOFF_X28Y17 SITEPROP TIEOFF_X28Y17 NUM_ARCS 0 SITEPROP TIEOFF_X28Y17 NUM_BELS 2 SITEPROP TIEOFF_X28Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y17 NUM_PINS 2 SITEPROP TIEOFF_X28Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y17 PROHIBIT 0 SITEPROP TIEOFF_X28Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y17 RPM_X 112 SITEPROP TIEOFF_X28Y17 RPM_Y 34 SITEPROP TIEOFF_X28Y17 SITE_PIPS SITEPROP TIEOFF_X28Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y18 CLASS site SITEPROP TIEOFF_X28Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y18 IS_BONDED 0 SITEPROP TIEOFF_X28Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y18 IS_PAD 0 SITEPROP TIEOFF_X28Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y18 IS_RESERVED 0 SITEPROP TIEOFF_X28Y18 IS_TEST 0 SITEPROP TIEOFF_X28Y18 IS_USED 0 SITEPROP TIEOFF_X28Y18 MANUAL_ROUTING SITEPROP TIEOFF_X28Y18 NAME TIEOFF_X28Y18 SITEPROP TIEOFF_X28Y18 NUM_ARCS 0 SITEPROP TIEOFF_X28Y18 NUM_BELS 2 SITEPROP TIEOFF_X28Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y18 NUM_PINS 2 SITEPROP TIEOFF_X28Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y18 PROHIBIT 0 SITEPROP TIEOFF_X28Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y18 RPM_X 112 SITEPROP TIEOFF_X28Y18 RPM_Y 36 SITEPROP TIEOFF_X28Y18 SITE_PIPS SITEPROP TIEOFF_X28Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y19 CLASS site SITEPROP TIEOFF_X28Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y19 IS_BONDED 0 SITEPROP TIEOFF_X28Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y19 IS_PAD 0 SITEPROP TIEOFF_X28Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y19 IS_RESERVED 0 SITEPROP TIEOFF_X28Y19 IS_TEST 0 SITEPROP TIEOFF_X28Y19 IS_USED 0 SITEPROP TIEOFF_X28Y19 MANUAL_ROUTING SITEPROP TIEOFF_X28Y19 NAME TIEOFF_X28Y19 SITEPROP TIEOFF_X28Y19 NUM_ARCS 0 SITEPROP TIEOFF_X28Y19 NUM_BELS 2 SITEPROP TIEOFF_X28Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y19 NUM_PINS 2 SITEPROP TIEOFF_X28Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y19 PROHIBIT 0 SITEPROP TIEOFF_X28Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y19 RPM_X 112 SITEPROP TIEOFF_X28Y19 RPM_Y 38 SITEPROP TIEOFF_X28Y19 SITE_PIPS SITEPROP TIEOFF_X28Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y20 CLASS site SITEPROP TIEOFF_X28Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y20 IS_BONDED 0 SITEPROP TIEOFF_X28Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y20 IS_PAD 0 SITEPROP TIEOFF_X28Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y20 IS_RESERVED 0 SITEPROP TIEOFF_X28Y20 IS_TEST 0 SITEPROP TIEOFF_X28Y20 IS_USED 0 SITEPROP TIEOFF_X28Y20 MANUAL_ROUTING SITEPROP TIEOFF_X28Y20 NAME TIEOFF_X28Y20 SITEPROP TIEOFF_X28Y20 NUM_ARCS 0 SITEPROP TIEOFF_X28Y20 NUM_BELS 2 SITEPROP TIEOFF_X28Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y20 NUM_PINS 2 SITEPROP TIEOFF_X28Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y20 PROHIBIT 0 SITEPROP TIEOFF_X28Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y20 RPM_X 112 SITEPROP TIEOFF_X28Y20 RPM_Y 40 SITEPROP TIEOFF_X28Y20 SITE_PIPS SITEPROP TIEOFF_X28Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y21 CLASS site SITEPROP TIEOFF_X28Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y21 IS_BONDED 0 SITEPROP TIEOFF_X28Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y21 IS_PAD 0 SITEPROP TIEOFF_X28Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y21 IS_RESERVED 0 SITEPROP TIEOFF_X28Y21 IS_TEST 0 SITEPROP TIEOFF_X28Y21 IS_USED 0 SITEPROP TIEOFF_X28Y21 MANUAL_ROUTING SITEPROP TIEOFF_X28Y21 NAME TIEOFF_X28Y21 SITEPROP TIEOFF_X28Y21 NUM_ARCS 0 SITEPROP TIEOFF_X28Y21 NUM_BELS 2 SITEPROP TIEOFF_X28Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y21 NUM_PINS 2 SITEPROP TIEOFF_X28Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y21 PROHIBIT 0 SITEPROP TIEOFF_X28Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y21 RPM_X 112 SITEPROP TIEOFF_X28Y21 RPM_Y 42 SITEPROP TIEOFF_X28Y21 SITE_PIPS SITEPROP TIEOFF_X28Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y22 CLASS site SITEPROP TIEOFF_X28Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y22 IS_BONDED 0 SITEPROP TIEOFF_X28Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y22 IS_PAD 0 SITEPROP TIEOFF_X28Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y22 IS_RESERVED 0 SITEPROP TIEOFF_X28Y22 IS_TEST 0 SITEPROP TIEOFF_X28Y22 IS_USED 0 SITEPROP TIEOFF_X28Y22 MANUAL_ROUTING SITEPROP TIEOFF_X28Y22 NAME TIEOFF_X28Y22 SITEPROP TIEOFF_X28Y22 NUM_ARCS 0 SITEPROP TIEOFF_X28Y22 NUM_BELS 2 SITEPROP TIEOFF_X28Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y22 NUM_PINS 2 SITEPROP TIEOFF_X28Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y22 PROHIBIT 0 SITEPROP TIEOFF_X28Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y22 RPM_X 112 SITEPROP TIEOFF_X28Y22 RPM_Y 44 SITEPROP TIEOFF_X28Y22 SITE_PIPS SITEPROP TIEOFF_X28Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y23 CLASS site SITEPROP TIEOFF_X28Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y23 IS_BONDED 0 SITEPROP TIEOFF_X28Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y23 IS_PAD 0 SITEPROP TIEOFF_X28Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y23 IS_RESERVED 0 SITEPROP TIEOFF_X28Y23 IS_TEST 0 SITEPROP TIEOFF_X28Y23 IS_USED 0 SITEPROP TIEOFF_X28Y23 MANUAL_ROUTING SITEPROP TIEOFF_X28Y23 NAME TIEOFF_X28Y23 SITEPROP TIEOFF_X28Y23 NUM_ARCS 0 SITEPROP TIEOFF_X28Y23 NUM_BELS 2 SITEPROP TIEOFF_X28Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y23 NUM_PINS 2 SITEPROP TIEOFF_X28Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y23 PROHIBIT 0 SITEPROP TIEOFF_X28Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y23 RPM_X 112 SITEPROP TIEOFF_X28Y23 RPM_Y 46 SITEPROP TIEOFF_X28Y23 SITE_PIPS SITEPROP TIEOFF_X28Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y24 CLASS site SITEPROP TIEOFF_X28Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y24 IS_BONDED 0 SITEPROP TIEOFF_X28Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y24 IS_PAD 0 SITEPROP TIEOFF_X28Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y24 IS_RESERVED 0 SITEPROP TIEOFF_X28Y24 IS_TEST 0 SITEPROP TIEOFF_X28Y24 IS_USED 0 SITEPROP TIEOFF_X28Y24 MANUAL_ROUTING SITEPROP TIEOFF_X28Y24 NAME TIEOFF_X28Y24 SITEPROP TIEOFF_X28Y24 NUM_ARCS 0 SITEPROP TIEOFF_X28Y24 NUM_BELS 2 SITEPROP TIEOFF_X28Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y24 NUM_PINS 2 SITEPROP TIEOFF_X28Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y24 PROHIBIT 0 SITEPROP TIEOFF_X28Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y24 RPM_X 112 SITEPROP TIEOFF_X28Y24 RPM_Y 48 SITEPROP TIEOFF_X28Y24 SITE_PIPS SITEPROP TIEOFF_X28Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y25 CLASS site SITEPROP TIEOFF_X28Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y25 IS_BONDED 0 SITEPROP TIEOFF_X28Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y25 IS_PAD 0 SITEPROP TIEOFF_X28Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y25 IS_RESERVED 0 SITEPROP TIEOFF_X28Y25 IS_TEST 0 SITEPROP TIEOFF_X28Y25 IS_USED 0 SITEPROP TIEOFF_X28Y25 MANUAL_ROUTING SITEPROP TIEOFF_X28Y25 NAME TIEOFF_X28Y25 SITEPROP TIEOFF_X28Y25 NUM_ARCS 0 SITEPROP TIEOFF_X28Y25 NUM_BELS 2 SITEPROP TIEOFF_X28Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y25 NUM_PINS 2 SITEPROP TIEOFF_X28Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y25 PROHIBIT 0 SITEPROP TIEOFF_X28Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y25 RPM_X 112 SITEPROP TIEOFF_X28Y25 RPM_Y 50 SITEPROP TIEOFF_X28Y25 SITE_PIPS SITEPROP TIEOFF_X28Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y26 CLASS site SITEPROP TIEOFF_X28Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y26 IS_BONDED 0 SITEPROP TIEOFF_X28Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y26 IS_PAD 0 SITEPROP TIEOFF_X28Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y26 IS_RESERVED 0 SITEPROP TIEOFF_X28Y26 IS_TEST 0 SITEPROP TIEOFF_X28Y26 IS_USED 0 SITEPROP TIEOFF_X28Y26 MANUAL_ROUTING SITEPROP TIEOFF_X28Y26 NAME TIEOFF_X28Y26 SITEPROP TIEOFF_X28Y26 NUM_ARCS 0 SITEPROP TIEOFF_X28Y26 NUM_BELS 2 SITEPROP TIEOFF_X28Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y26 NUM_PINS 2 SITEPROP TIEOFF_X28Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y26 PROHIBIT 0 SITEPROP TIEOFF_X28Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y26 RPM_X 112 SITEPROP TIEOFF_X28Y26 RPM_Y 52 SITEPROP TIEOFF_X28Y26 SITE_PIPS SITEPROP TIEOFF_X28Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y27 CLASS site SITEPROP TIEOFF_X28Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y27 IS_BONDED 0 SITEPROP TIEOFF_X28Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y27 IS_PAD 0 SITEPROP TIEOFF_X28Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y27 IS_RESERVED 0 SITEPROP TIEOFF_X28Y27 IS_TEST 0 SITEPROP TIEOFF_X28Y27 IS_USED 0 SITEPROP TIEOFF_X28Y27 MANUAL_ROUTING SITEPROP TIEOFF_X28Y27 NAME TIEOFF_X28Y27 SITEPROP TIEOFF_X28Y27 NUM_ARCS 0 SITEPROP TIEOFF_X28Y27 NUM_BELS 2 SITEPROP TIEOFF_X28Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y27 NUM_PINS 2 SITEPROP TIEOFF_X28Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y27 PROHIBIT 0 SITEPROP TIEOFF_X28Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y27 RPM_X 112 SITEPROP TIEOFF_X28Y27 RPM_Y 54 SITEPROP TIEOFF_X28Y27 SITE_PIPS SITEPROP TIEOFF_X28Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y28 CLASS site SITEPROP TIEOFF_X28Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y28 IS_BONDED 0 SITEPROP TIEOFF_X28Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y28 IS_PAD 0 SITEPROP TIEOFF_X28Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y28 IS_RESERVED 0 SITEPROP TIEOFF_X28Y28 IS_TEST 0 SITEPROP TIEOFF_X28Y28 IS_USED 0 SITEPROP TIEOFF_X28Y28 MANUAL_ROUTING SITEPROP TIEOFF_X28Y28 NAME TIEOFF_X28Y28 SITEPROP TIEOFF_X28Y28 NUM_ARCS 0 SITEPROP TIEOFF_X28Y28 NUM_BELS 2 SITEPROP TIEOFF_X28Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y28 NUM_PINS 2 SITEPROP TIEOFF_X28Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y28 PROHIBIT 0 SITEPROP TIEOFF_X28Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y28 RPM_X 112 SITEPROP TIEOFF_X28Y28 RPM_Y 56 SITEPROP TIEOFF_X28Y28 SITE_PIPS SITEPROP TIEOFF_X28Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y29 CLASS site SITEPROP TIEOFF_X28Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y29 IS_BONDED 0 SITEPROP TIEOFF_X28Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y29 IS_PAD 0 SITEPROP TIEOFF_X28Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y29 IS_RESERVED 0 SITEPROP TIEOFF_X28Y29 IS_TEST 0 SITEPROP TIEOFF_X28Y29 IS_USED 0 SITEPROP TIEOFF_X28Y29 MANUAL_ROUTING SITEPROP TIEOFF_X28Y29 NAME TIEOFF_X28Y29 SITEPROP TIEOFF_X28Y29 NUM_ARCS 0 SITEPROP TIEOFF_X28Y29 NUM_BELS 2 SITEPROP TIEOFF_X28Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y29 NUM_PINS 2 SITEPROP TIEOFF_X28Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y29 PROHIBIT 0 SITEPROP TIEOFF_X28Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y29 RPM_X 112 SITEPROP TIEOFF_X28Y29 RPM_Y 58 SITEPROP TIEOFF_X28Y29 SITE_PIPS SITEPROP TIEOFF_X28Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y30 CLASS site SITEPROP TIEOFF_X28Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y30 IS_BONDED 0 SITEPROP TIEOFF_X28Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y30 IS_PAD 0 SITEPROP TIEOFF_X28Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y30 IS_RESERVED 0 SITEPROP TIEOFF_X28Y30 IS_TEST 0 SITEPROP TIEOFF_X28Y30 IS_USED 0 SITEPROP TIEOFF_X28Y30 MANUAL_ROUTING SITEPROP TIEOFF_X28Y30 NAME TIEOFF_X28Y30 SITEPROP TIEOFF_X28Y30 NUM_ARCS 0 SITEPROP TIEOFF_X28Y30 NUM_BELS 2 SITEPROP TIEOFF_X28Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y30 NUM_PINS 2 SITEPROP TIEOFF_X28Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y30 PROHIBIT 0 SITEPROP TIEOFF_X28Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y30 RPM_X 112 SITEPROP TIEOFF_X28Y30 RPM_Y 60 SITEPROP TIEOFF_X28Y30 SITE_PIPS SITEPROP TIEOFF_X28Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y31 CLASS site SITEPROP TIEOFF_X28Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y31 IS_BONDED 0 SITEPROP TIEOFF_X28Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y31 IS_PAD 0 SITEPROP TIEOFF_X28Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y31 IS_RESERVED 0 SITEPROP TIEOFF_X28Y31 IS_TEST 0 SITEPROP TIEOFF_X28Y31 IS_USED 0 SITEPROP TIEOFF_X28Y31 MANUAL_ROUTING SITEPROP TIEOFF_X28Y31 NAME TIEOFF_X28Y31 SITEPROP TIEOFF_X28Y31 NUM_ARCS 0 SITEPROP TIEOFF_X28Y31 NUM_BELS 2 SITEPROP TIEOFF_X28Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y31 NUM_PINS 2 SITEPROP TIEOFF_X28Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y31 PROHIBIT 0 SITEPROP TIEOFF_X28Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y31 RPM_X 112 SITEPROP TIEOFF_X28Y31 RPM_Y 62 SITEPROP TIEOFF_X28Y31 SITE_PIPS SITEPROP TIEOFF_X28Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y32 CLASS site SITEPROP TIEOFF_X28Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y32 IS_BONDED 0 SITEPROP TIEOFF_X28Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y32 IS_PAD 0 SITEPROP TIEOFF_X28Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y32 IS_RESERVED 0 SITEPROP TIEOFF_X28Y32 IS_TEST 0 SITEPROP TIEOFF_X28Y32 IS_USED 0 SITEPROP TIEOFF_X28Y32 MANUAL_ROUTING SITEPROP TIEOFF_X28Y32 NAME TIEOFF_X28Y32 SITEPROP TIEOFF_X28Y32 NUM_ARCS 0 SITEPROP TIEOFF_X28Y32 NUM_BELS 2 SITEPROP TIEOFF_X28Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y32 NUM_PINS 2 SITEPROP TIEOFF_X28Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y32 PROHIBIT 0 SITEPROP TIEOFF_X28Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y32 RPM_X 112 SITEPROP TIEOFF_X28Y32 RPM_Y 64 SITEPROP TIEOFF_X28Y32 SITE_PIPS SITEPROP TIEOFF_X28Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y33 CLASS site SITEPROP TIEOFF_X28Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y33 IS_BONDED 0 SITEPROP TIEOFF_X28Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y33 IS_PAD 0 SITEPROP TIEOFF_X28Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y33 IS_RESERVED 0 SITEPROP TIEOFF_X28Y33 IS_TEST 0 SITEPROP TIEOFF_X28Y33 IS_USED 0 SITEPROP TIEOFF_X28Y33 MANUAL_ROUTING SITEPROP TIEOFF_X28Y33 NAME TIEOFF_X28Y33 SITEPROP TIEOFF_X28Y33 NUM_ARCS 0 SITEPROP TIEOFF_X28Y33 NUM_BELS 2 SITEPROP TIEOFF_X28Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y33 NUM_PINS 2 SITEPROP TIEOFF_X28Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y33 PROHIBIT 0 SITEPROP TIEOFF_X28Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y33 RPM_X 112 SITEPROP TIEOFF_X28Y33 RPM_Y 66 SITEPROP TIEOFF_X28Y33 SITE_PIPS SITEPROP TIEOFF_X28Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y34 CLASS site SITEPROP TIEOFF_X28Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y34 IS_BONDED 0 SITEPROP TIEOFF_X28Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y34 IS_PAD 0 SITEPROP TIEOFF_X28Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y34 IS_RESERVED 0 SITEPROP TIEOFF_X28Y34 IS_TEST 0 SITEPROP TIEOFF_X28Y34 IS_USED 0 SITEPROP TIEOFF_X28Y34 MANUAL_ROUTING SITEPROP TIEOFF_X28Y34 NAME TIEOFF_X28Y34 SITEPROP TIEOFF_X28Y34 NUM_ARCS 0 SITEPROP TIEOFF_X28Y34 NUM_BELS 2 SITEPROP TIEOFF_X28Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y34 NUM_PINS 2 SITEPROP TIEOFF_X28Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y34 PROHIBIT 0 SITEPROP TIEOFF_X28Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y34 RPM_X 112 SITEPROP TIEOFF_X28Y34 RPM_Y 68 SITEPROP TIEOFF_X28Y34 SITE_PIPS SITEPROP TIEOFF_X28Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y35 CLASS site SITEPROP TIEOFF_X28Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y35 IS_BONDED 0 SITEPROP TIEOFF_X28Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y35 IS_PAD 0 SITEPROP TIEOFF_X28Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y35 IS_RESERVED 0 SITEPROP TIEOFF_X28Y35 IS_TEST 0 SITEPROP TIEOFF_X28Y35 IS_USED 0 SITEPROP TIEOFF_X28Y35 MANUAL_ROUTING SITEPROP TIEOFF_X28Y35 NAME TIEOFF_X28Y35 SITEPROP TIEOFF_X28Y35 NUM_ARCS 0 SITEPROP TIEOFF_X28Y35 NUM_BELS 2 SITEPROP TIEOFF_X28Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y35 NUM_PINS 2 SITEPROP TIEOFF_X28Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y35 PROHIBIT 0 SITEPROP TIEOFF_X28Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y35 RPM_X 112 SITEPROP TIEOFF_X28Y35 RPM_Y 70 SITEPROP TIEOFF_X28Y35 SITE_PIPS SITEPROP TIEOFF_X28Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y36 CLASS site SITEPROP TIEOFF_X28Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y36 IS_BONDED 0 SITEPROP TIEOFF_X28Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y36 IS_PAD 0 SITEPROP TIEOFF_X28Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y36 IS_RESERVED 0 SITEPROP TIEOFF_X28Y36 IS_TEST 0 SITEPROP TIEOFF_X28Y36 IS_USED 0 SITEPROP TIEOFF_X28Y36 MANUAL_ROUTING SITEPROP TIEOFF_X28Y36 NAME TIEOFF_X28Y36 SITEPROP TIEOFF_X28Y36 NUM_ARCS 0 SITEPROP TIEOFF_X28Y36 NUM_BELS 2 SITEPROP TIEOFF_X28Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y36 NUM_PINS 2 SITEPROP TIEOFF_X28Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y36 PROHIBIT 0 SITEPROP TIEOFF_X28Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y36 RPM_X 112 SITEPROP TIEOFF_X28Y36 RPM_Y 72 SITEPROP TIEOFF_X28Y36 SITE_PIPS SITEPROP TIEOFF_X28Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y37 CLASS site SITEPROP TIEOFF_X28Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y37 IS_BONDED 0 SITEPROP TIEOFF_X28Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y37 IS_PAD 0 SITEPROP TIEOFF_X28Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y37 IS_RESERVED 0 SITEPROP TIEOFF_X28Y37 IS_TEST 0 SITEPROP TIEOFF_X28Y37 IS_USED 0 SITEPROP TIEOFF_X28Y37 MANUAL_ROUTING SITEPROP TIEOFF_X28Y37 NAME TIEOFF_X28Y37 SITEPROP TIEOFF_X28Y37 NUM_ARCS 0 SITEPROP TIEOFF_X28Y37 NUM_BELS 2 SITEPROP TIEOFF_X28Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y37 NUM_PINS 2 SITEPROP TIEOFF_X28Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y37 PROHIBIT 0 SITEPROP TIEOFF_X28Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y37 RPM_X 112 SITEPROP TIEOFF_X28Y37 RPM_Y 74 SITEPROP TIEOFF_X28Y37 SITE_PIPS SITEPROP TIEOFF_X28Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y38 CLASS site SITEPROP TIEOFF_X28Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y38 IS_BONDED 0 SITEPROP TIEOFF_X28Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y38 IS_PAD 0 SITEPROP TIEOFF_X28Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y38 IS_RESERVED 0 SITEPROP TIEOFF_X28Y38 IS_TEST 0 SITEPROP TIEOFF_X28Y38 IS_USED 0 SITEPROP TIEOFF_X28Y38 MANUAL_ROUTING SITEPROP TIEOFF_X28Y38 NAME TIEOFF_X28Y38 SITEPROP TIEOFF_X28Y38 NUM_ARCS 0 SITEPROP TIEOFF_X28Y38 NUM_BELS 2 SITEPROP TIEOFF_X28Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y38 NUM_PINS 2 SITEPROP TIEOFF_X28Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y38 PROHIBIT 0 SITEPROP TIEOFF_X28Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y38 RPM_X 112 SITEPROP TIEOFF_X28Y38 RPM_Y 76 SITEPROP TIEOFF_X28Y38 SITE_PIPS SITEPROP TIEOFF_X28Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y39 CLASS site SITEPROP TIEOFF_X28Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y39 IS_BONDED 0 SITEPROP TIEOFF_X28Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y39 IS_PAD 0 SITEPROP TIEOFF_X28Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y39 IS_RESERVED 0 SITEPROP TIEOFF_X28Y39 IS_TEST 0 SITEPROP TIEOFF_X28Y39 IS_USED 0 SITEPROP TIEOFF_X28Y39 MANUAL_ROUTING SITEPROP TIEOFF_X28Y39 NAME TIEOFF_X28Y39 SITEPROP TIEOFF_X28Y39 NUM_ARCS 0 SITEPROP TIEOFF_X28Y39 NUM_BELS 2 SITEPROP TIEOFF_X28Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y39 NUM_PINS 2 SITEPROP TIEOFF_X28Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y39 PROHIBIT 0 SITEPROP TIEOFF_X28Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y39 RPM_X 112 SITEPROP TIEOFF_X28Y39 RPM_Y 78 SITEPROP TIEOFF_X28Y39 SITE_PIPS SITEPROP TIEOFF_X28Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y40 CLASS site SITEPROP TIEOFF_X28Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y40 IS_BONDED 0 SITEPROP TIEOFF_X28Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y40 IS_PAD 0 SITEPROP TIEOFF_X28Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y40 IS_RESERVED 0 SITEPROP TIEOFF_X28Y40 IS_TEST 0 SITEPROP TIEOFF_X28Y40 IS_USED 0 SITEPROP TIEOFF_X28Y40 MANUAL_ROUTING SITEPROP TIEOFF_X28Y40 NAME TIEOFF_X28Y40 SITEPROP TIEOFF_X28Y40 NUM_ARCS 0 SITEPROP TIEOFF_X28Y40 NUM_BELS 2 SITEPROP TIEOFF_X28Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y40 NUM_PINS 2 SITEPROP TIEOFF_X28Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y40 PROHIBIT 0 SITEPROP TIEOFF_X28Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y40 RPM_X 112 SITEPROP TIEOFF_X28Y40 RPM_Y 80 SITEPROP TIEOFF_X28Y40 SITE_PIPS SITEPROP TIEOFF_X28Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y41 CLASS site SITEPROP TIEOFF_X28Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y41 IS_BONDED 0 SITEPROP TIEOFF_X28Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y41 IS_PAD 0 SITEPROP TIEOFF_X28Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y41 IS_RESERVED 0 SITEPROP TIEOFF_X28Y41 IS_TEST 0 SITEPROP TIEOFF_X28Y41 IS_USED 0 SITEPROP TIEOFF_X28Y41 MANUAL_ROUTING SITEPROP TIEOFF_X28Y41 NAME TIEOFF_X28Y41 SITEPROP TIEOFF_X28Y41 NUM_ARCS 0 SITEPROP TIEOFF_X28Y41 NUM_BELS 2 SITEPROP TIEOFF_X28Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y41 NUM_PINS 2 SITEPROP TIEOFF_X28Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y41 PROHIBIT 0 SITEPROP TIEOFF_X28Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y41 RPM_X 112 SITEPROP TIEOFF_X28Y41 RPM_Y 82 SITEPROP TIEOFF_X28Y41 SITE_PIPS SITEPROP TIEOFF_X28Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y42 CLASS site SITEPROP TIEOFF_X28Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y42 IS_BONDED 0 SITEPROP TIEOFF_X28Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y42 IS_PAD 0 SITEPROP TIEOFF_X28Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y42 IS_RESERVED 0 SITEPROP TIEOFF_X28Y42 IS_TEST 0 SITEPROP TIEOFF_X28Y42 IS_USED 0 SITEPROP TIEOFF_X28Y42 MANUAL_ROUTING SITEPROP TIEOFF_X28Y42 NAME TIEOFF_X28Y42 SITEPROP TIEOFF_X28Y42 NUM_ARCS 0 SITEPROP TIEOFF_X28Y42 NUM_BELS 2 SITEPROP TIEOFF_X28Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y42 NUM_PINS 2 SITEPROP TIEOFF_X28Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y42 PROHIBIT 0 SITEPROP TIEOFF_X28Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y42 RPM_X 112 SITEPROP TIEOFF_X28Y42 RPM_Y 84 SITEPROP TIEOFF_X28Y42 SITE_PIPS SITEPROP TIEOFF_X28Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y43 CLASS site SITEPROP TIEOFF_X28Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y43 IS_BONDED 0 SITEPROP TIEOFF_X28Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y43 IS_PAD 0 SITEPROP TIEOFF_X28Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y43 IS_RESERVED 0 SITEPROP TIEOFF_X28Y43 IS_TEST 0 SITEPROP TIEOFF_X28Y43 IS_USED 0 SITEPROP TIEOFF_X28Y43 MANUAL_ROUTING SITEPROP TIEOFF_X28Y43 NAME TIEOFF_X28Y43 SITEPROP TIEOFF_X28Y43 NUM_ARCS 0 SITEPROP TIEOFF_X28Y43 NUM_BELS 2 SITEPROP TIEOFF_X28Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y43 NUM_PINS 2 SITEPROP TIEOFF_X28Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y43 PROHIBIT 0 SITEPROP TIEOFF_X28Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y43 RPM_X 112 SITEPROP TIEOFF_X28Y43 RPM_Y 86 SITEPROP TIEOFF_X28Y43 SITE_PIPS SITEPROP TIEOFF_X28Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y44 CLASS site SITEPROP TIEOFF_X28Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y44 IS_BONDED 0 SITEPROP TIEOFF_X28Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y44 IS_PAD 0 SITEPROP TIEOFF_X28Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y44 IS_RESERVED 0 SITEPROP TIEOFF_X28Y44 IS_TEST 0 SITEPROP TIEOFF_X28Y44 IS_USED 0 SITEPROP TIEOFF_X28Y44 MANUAL_ROUTING SITEPROP TIEOFF_X28Y44 NAME TIEOFF_X28Y44 SITEPROP TIEOFF_X28Y44 NUM_ARCS 0 SITEPROP TIEOFF_X28Y44 NUM_BELS 2 SITEPROP TIEOFF_X28Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y44 NUM_PINS 2 SITEPROP TIEOFF_X28Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y44 PROHIBIT 0 SITEPROP TIEOFF_X28Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y44 RPM_X 112 SITEPROP TIEOFF_X28Y44 RPM_Y 88 SITEPROP TIEOFF_X28Y44 SITE_PIPS SITEPROP TIEOFF_X28Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y45 CLASS site SITEPROP TIEOFF_X28Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y45 IS_BONDED 0 SITEPROP TIEOFF_X28Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y45 IS_PAD 0 SITEPROP TIEOFF_X28Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y45 IS_RESERVED 0 SITEPROP TIEOFF_X28Y45 IS_TEST 0 SITEPROP TIEOFF_X28Y45 IS_USED 0 SITEPROP TIEOFF_X28Y45 MANUAL_ROUTING SITEPROP TIEOFF_X28Y45 NAME TIEOFF_X28Y45 SITEPROP TIEOFF_X28Y45 NUM_ARCS 0 SITEPROP TIEOFF_X28Y45 NUM_BELS 2 SITEPROP TIEOFF_X28Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y45 NUM_PINS 2 SITEPROP TIEOFF_X28Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y45 PROHIBIT 0 SITEPROP TIEOFF_X28Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y45 RPM_X 112 SITEPROP TIEOFF_X28Y45 RPM_Y 90 SITEPROP TIEOFF_X28Y45 SITE_PIPS SITEPROP TIEOFF_X28Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y46 CLASS site SITEPROP TIEOFF_X28Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y46 IS_BONDED 0 SITEPROP TIEOFF_X28Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y46 IS_PAD 0 SITEPROP TIEOFF_X28Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y46 IS_RESERVED 0 SITEPROP TIEOFF_X28Y46 IS_TEST 0 SITEPROP TIEOFF_X28Y46 IS_USED 0 SITEPROP TIEOFF_X28Y46 MANUAL_ROUTING SITEPROP TIEOFF_X28Y46 NAME TIEOFF_X28Y46 SITEPROP TIEOFF_X28Y46 NUM_ARCS 0 SITEPROP TIEOFF_X28Y46 NUM_BELS 2 SITEPROP TIEOFF_X28Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y46 NUM_PINS 2 SITEPROP TIEOFF_X28Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y46 PROHIBIT 0 SITEPROP TIEOFF_X28Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y46 RPM_X 112 SITEPROP TIEOFF_X28Y46 RPM_Y 92 SITEPROP TIEOFF_X28Y46 SITE_PIPS SITEPROP TIEOFF_X28Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y47 CLASS site SITEPROP TIEOFF_X28Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y47 IS_BONDED 0 SITEPROP TIEOFF_X28Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y47 IS_PAD 0 SITEPROP TIEOFF_X28Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y47 IS_RESERVED 0 SITEPROP TIEOFF_X28Y47 IS_TEST 0 SITEPROP TIEOFF_X28Y47 IS_USED 0 SITEPROP TIEOFF_X28Y47 MANUAL_ROUTING SITEPROP TIEOFF_X28Y47 NAME TIEOFF_X28Y47 SITEPROP TIEOFF_X28Y47 NUM_ARCS 0 SITEPROP TIEOFF_X28Y47 NUM_BELS 2 SITEPROP TIEOFF_X28Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y47 NUM_PINS 2 SITEPROP TIEOFF_X28Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y47 PROHIBIT 0 SITEPROP TIEOFF_X28Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y47 RPM_X 112 SITEPROP TIEOFF_X28Y47 RPM_Y 94 SITEPROP TIEOFF_X28Y47 SITE_PIPS SITEPROP TIEOFF_X28Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y48 CLASS site SITEPROP TIEOFF_X28Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y48 IS_BONDED 0 SITEPROP TIEOFF_X28Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y48 IS_PAD 0 SITEPROP TIEOFF_X28Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y48 IS_RESERVED 0 SITEPROP TIEOFF_X28Y48 IS_TEST 0 SITEPROP TIEOFF_X28Y48 IS_USED 0 SITEPROP TIEOFF_X28Y48 MANUAL_ROUTING SITEPROP TIEOFF_X28Y48 NAME TIEOFF_X28Y48 SITEPROP TIEOFF_X28Y48 NUM_ARCS 0 SITEPROP TIEOFF_X28Y48 NUM_BELS 2 SITEPROP TIEOFF_X28Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y48 NUM_PINS 2 SITEPROP TIEOFF_X28Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y48 PROHIBIT 0 SITEPROP TIEOFF_X28Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y48 RPM_X 112 SITEPROP TIEOFF_X28Y48 RPM_Y 96 SITEPROP TIEOFF_X28Y48 SITE_PIPS SITEPROP TIEOFF_X28Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y49 CLASS site SITEPROP TIEOFF_X28Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X28Y49 IS_BONDED 0 SITEPROP TIEOFF_X28Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y49 IS_PAD 0 SITEPROP TIEOFF_X28Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y49 IS_RESERVED 0 SITEPROP TIEOFF_X28Y49 IS_TEST 0 SITEPROP TIEOFF_X28Y49 IS_USED 0 SITEPROP TIEOFF_X28Y49 MANUAL_ROUTING SITEPROP TIEOFF_X28Y49 NAME TIEOFF_X28Y49 SITEPROP TIEOFF_X28Y49 NUM_ARCS 0 SITEPROP TIEOFF_X28Y49 NUM_BELS 2 SITEPROP TIEOFF_X28Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y49 NUM_PINS 2 SITEPROP TIEOFF_X28Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y49 PROHIBIT 0 SITEPROP TIEOFF_X28Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y49 RPM_X 112 SITEPROP TIEOFF_X28Y49 RPM_Y 98 SITEPROP TIEOFF_X28Y49 SITE_PIPS SITEPROP TIEOFF_X28Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y50 CLASS site SITEPROP TIEOFF_X28Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y50 IS_BONDED 0 SITEPROP TIEOFF_X28Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y50 IS_PAD 0 SITEPROP TIEOFF_X28Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y50 IS_RESERVED 0 SITEPROP TIEOFF_X28Y50 IS_TEST 0 SITEPROP TIEOFF_X28Y50 IS_USED 0 SITEPROP TIEOFF_X28Y50 MANUAL_ROUTING SITEPROP TIEOFF_X28Y50 NAME TIEOFF_X28Y50 SITEPROP TIEOFF_X28Y50 NUM_ARCS 0 SITEPROP TIEOFF_X28Y50 NUM_BELS 2 SITEPROP TIEOFF_X28Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y50 NUM_PINS 2 SITEPROP TIEOFF_X28Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y50 PROHIBIT 0 SITEPROP TIEOFF_X28Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y50 RPM_X 112 SITEPROP TIEOFF_X28Y50 RPM_Y 100 SITEPROP TIEOFF_X28Y50 SITE_PIPS SITEPROP TIEOFF_X28Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y51 CLASS site SITEPROP TIEOFF_X28Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y51 IS_BONDED 0 SITEPROP TIEOFF_X28Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y51 IS_PAD 0 SITEPROP TIEOFF_X28Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y51 IS_RESERVED 0 SITEPROP TIEOFF_X28Y51 IS_TEST 0 SITEPROP TIEOFF_X28Y51 IS_USED 0 SITEPROP TIEOFF_X28Y51 MANUAL_ROUTING SITEPROP TIEOFF_X28Y51 NAME TIEOFF_X28Y51 SITEPROP TIEOFF_X28Y51 NUM_ARCS 0 SITEPROP TIEOFF_X28Y51 NUM_BELS 2 SITEPROP TIEOFF_X28Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y51 NUM_PINS 2 SITEPROP TIEOFF_X28Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y51 PROHIBIT 0 SITEPROP TIEOFF_X28Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y51 RPM_X 112 SITEPROP TIEOFF_X28Y51 RPM_Y 102 SITEPROP TIEOFF_X28Y51 SITE_PIPS SITEPROP TIEOFF_X28Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y52 CLASS site SITEPROP TIEOFF_X28Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y52 IS_BONDED 0 SITEPROP TIEOFF_X28Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y52 IS_PAD 0 SITEPROP TIEOFF_X28Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y52 IS_RESERVED 0 SITEPROP TIEOFF_X28Y52 IS_TEST 0 SITEPROP TIEOFF_X28Y52 IS_USED 0 SITEPROP TIEOFF_X28Y52 MANUAL_ROUTING SITEPROP TIEOFF_X28Y52 NAME TIEOFF_X28Y52 SITEPROP TIEOFF_X28Y52 NUM_ARCS 0 SITEPROP TIEOFF_X28Y52 NUM_BELS 2 SITEPROP TIEOFF_X28Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y52 NUM_PINS 2 SITEPROP TIEOFF_X28Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y52 PROHIBIT 0 SITEPROP TIEOFF_X28Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y52 RPM_X 112 SITEPROP TIEOFF_X28Y52 RPM_Y 104 SITEPROP TIEOFF_X28Y52 SITE_PIPS SITEPROP TIEOFF_X28Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y53 CLASS site SITEPROP TIEOFF_X28Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y53 IS_BONDED 0 SITEPROP TIEOFF_X28Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y53 IS_PAD 0 SITEPROP TIEOFF_X28Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y53 IS_RESERVED 0 SITEPROP TIEOFF_X28Y53 IS_TEST 0 SITEPROP TIEOFF_X28Y53 IS_USED 0 SITEPROP TIEOFF_X28Y53 MANUAL_ROUTING SITEPROP TIEOFF_X28Y53 NAME TIEOFF_X28Y53 SITEPROP TIEOFF_X28Y53 NUM_ARCS 0 SITEPROP TIEOFF_X28Y53 NUM_BELS 2 SITEPROP TIEOFF_X28Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y53 NUM_PINS 2 SITEPROP TIEOFF_X28Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y53 PROHIBIT 0 SITEPROP TIEOFF_X28Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y53 RPM_X 112 SITEPROP TIEOFF_X28Y53 RPM_Y 106 SITEPROP TIEOFF_X28Y53 SITE_PIPS SITEPROP TIEOFF_X28Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y54 CLASS site SITEPROP TIEOFF_X28Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y54 IS_BONDED 0 SITEPROP TIEOFF_X28Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y54 IS_PAD 0 SITEPROP TIEOFF_X28Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y54 IS_RESERVED 0 SITEPROP TIEOFF_X28Y54 IS_TEST 0 SITEPROP TIEOFF_X28Y54 IS_USED 0 SITEPROP TIEOFF_X28Y54 MANUAL_ROUTING SITEPROP TIEOFF_X28Y54 NAME TIEOFF_X28Y54 SITEPROP TIEOFF_X28Y54 NUM_ARCS 0 SITEPROP TIEOFF_X28Y54 NUM_BELS 2 SITEPROP TIEOFF_X28Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y54 NUM_PINS 2 SITEPROP TIEOFF_X28Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y54 PROHIBIT 0 SITEPROP TIEOFF_X28Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y54 RPM_X 112 SITEPROP TIEOFF_X28Y54 RPM_Y 108 SITEPROP TIEOFF_X28Y54 SITE_PIPS SITEPROP TIEOFF_X28Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y55 CLASS site SITEPROP TIEOFF_X28Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y55 IS_BONDED 0 SITEPROP TIEOFF_X28Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y55 IS_PAD 0 SITEPROP TIEOFF_X28Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y55 IS_RESERVED 0 SITEPROP TIEOFF_X28Y55 IS_TEST 0 SITEPROP TIEOFF_X28Y55 IS_USED 0 SITEPROP TIEOFF_X28Y55 MANUAL_ROUTING SITEPROP TIEOFF_X28Y55 NAME TIEOFF_X28Y55 SITEPROP TIEOFF_X28Y55 NUM_ARCS 0 SITEPROP TIEOFF_X28Y55 NUM_BELS 2 SITEPROP TIEOFF_X28Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y55 NUM_PINS 2 SITEPROP TIEOFF_X28Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y55 PROHIBIT 0 SITEPROP TIEOFF_X28Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y55 RPM_X 112 SITEPROP TIEOFF_X28Y55 RPM_Y 110 SITEPROP TIEOFF_X28Y55 SITE_PIPS SITEPROP TIEOFF_X28Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y56 CLASS site SITEPROP TIEOFF_X28Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y56 IS_BONDED 0 SITEPROP TIEOFF_X28Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y56 IS_PAD 0 SITEPROP TIEOFF_X28Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y56 IS_RESERVED 0 SITEPROP TIEOFF_X28Y56 IS_TEST 0 SITEPROP TIEOFF_X28Y56 IS_USED 0 SITEPROP TIEOFF_X28Y56 MANUAL_ROUTING SITEPROP TIEOFF_X28Y56 NAME TIEOFF_X28Y56 SITEPROP TIEOFF_X28Y56 NUM_ARCS 0 SITEPROP TIEOFF_X28Y56 NUM_BELS 2 SITEPROP TIEOFF_X28Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y56 NUM_PINS 2 SITEPROP TIEOFF_X28Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y56 PROHIBIT 0 SITEPROP TIEOFF_X28Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y56 RPM_X 112 SITEPROP TIEOFF_X28Y56 RPM_Y 112 SITEPROP TIEOFF_X28Y56 SITE_PIPS SITEPROP TIEOFF_X28Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y57 CLASS site SITEPROP TIEOFF_X28Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y57 IS_BONDED 0 SITEPROP TIEOFF_X28Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y57 IS_PAD 0 SITEPROP TIEOFF_X28Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y57 IS_RESERVED 0 SITEPROP TIEOFF_X28Y57 IS_TEST 0 SITEPROP TIEOFF_X28Y57 IS_USED 0 SITEPROP TIEOFF_X28Y57 MANUAL_ROUTING SITEPROP TIEOFF_X28Y57 NAME TIEOFF_X28Y57 SITEPROP TIEOFF_X28Y57 NUM_ARCS 0 SITEPROP TIEOFF_X28Y57 NUM_BELS 2 SITEPROP TIEOFF_X28Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y57 NUM_PINS 2 SITEPROP TIEOFF_X28Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y57 PROHIBIT 0 SITEPROP TIEOFF_X28Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y57 RPM_X 112 SITEPROP TIEOFF_X28Y57 RPM_Y 114 SITEPROP TIEOFF_X28Y57 SITE_PIPS SITEPROP TIEOFF_X28Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y58 CLASS site SITEPROP TIEOFF_X28Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y58 IS_BONDED 0 SITEPROP TIEOFF_X28Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y58 IS_PAD 0 SITEPROP TIEOFF_X28Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y58 IS_RESERVED 0 SITEPROP TIEOFF_X28Y58 IS_TEST 0 SITEPROP TIEOFF_X28Y58 IS_USED 0 SITEPROP TIEOFF_X28Y58 MANUAL_ROUTING SITEPROP TIEOFF_X28Y58 NAME TIEOFF_X28Y58 SITEPROP TIEOFF_X28Y58 NUM_ARCS 0 SITEPROP TIEOFF_X28Y58 NUM_BELS 2 SITEPROP TIEOFF_X28Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y58 NUM_PINS 2 SITEPROP TIEOFF_X28Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y58 PROHIBIT 0 SITEPROP TIEOFF_X28Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y58 RPM_X 112 SITEPROP TIEOFF_X28Y58 RPM_Y 116 SITEPROP TIEOFF_X28Y58 SITE_PIPS SITEPROP TIEOFF_X28Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y59 CLASS site SITEPROP TIEOFF_X28Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y59 IS_BONDED 0 SITEPROP TIEOFF_X28Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y59 IS_PAD 0 SITEPROP TIEOFF_X28Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y59 IS_RESERVED 0 SITEPROP TIEOFF_X28Y59 IS_TEST 0 SITEPROP TIEOFF_X28Y59 IS_USED 0 SITEPROP TIEOFF_X28Y59 MANUAL_ROUTING SITEPROP TIEOFF_X28Y59 NAME TIEOFF_X28Y59 SITEPROP TIEOFF_X28Y59 NUM_ARCS 0 SITEPROP TIEOFF_X28Y59 NUM_BELS 2 SITEPROP TIEOFF_X28Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y59 NUM_PINS 2 SITEPROP TIEOFF_X28Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y59 PROHIBIT 0 SITEPROP TIEOFF_X28Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y59 RPM_X 112 SITEPROP TIEOFF_X28Y59 RPM_Y 118 SITEPROP TIEOFF_X28Y59 SITE_PIPS SITEPROP TIEOFF_X28Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y60 CLASS site SITEPROP TIEOFF_X28Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y60 IS_BONDED 0 SITEPROP TIEOFF_X28Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y60 IS_PAD 0 SITEPROP TIEOFF_X28Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y60 IS_RESERVED 0 SITEPROP TIEOFF_X28Y60 IS_TEST 0 SITEPROP TIEOFF_X28Y60 IS_USED 0 SITEPROP TIEOFF_X28Y60 MANUAL_ROUTING SITEPROP TIEOFF_X28Y60 NAME TIEOFF_X28Y60 SITEPROP TIEOFF_X28Y60 NUM_ARCS 0 SITEPROP TIEOFF_X28Y60 NUM_BELS 2 SITEPROP TIEOFF_X28Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y60 NUM_PINS 2 SITEPROP TIEOFF_X28Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y60 PROHIBIT 0 SITEPROP TIEOFF_X28Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y60 RPM_X 112 SITEPROP TIEOFF_X28Y60 RPM_Y 120 SITEPROP TIEOFF_X28Y60 SITE_PIPS SITEPROP TIEOFF_X28Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y61 CLASS site SITEPROP TIEOFF_X28Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y61 IS_BONDED 0 SITEPROP TIEOFF_X28Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y61 IS_PAD 0 SITEPROP TIEOFF_X28Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y61 IS_RESERVED 0 SITEPROP TIEOFF_X28Y61 IS_TEST 0 SITEPROP TIEOFF_X28Y61 IS_USED 0 SITEPROP TIEOFF_X28Y61 MANUAL_ROUTING SITEPROP TIEOFF_X28Y61 NAME TIEOFF_X28Y61 SITEPROP TIEOFF_X28Y61 NUM_ARCS 0 SITEPROP TIEOFF_X28Y61 NUM_BELS 2 SITEPROP TIEOFF_X28Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y61 NUM_PINS 2 SITEPROP TIEOFF_X28Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y61 PROHIBIT 0 SITEPROP TIEOFF_X28Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y61 RPM_X 112 SITEPROP TIEOFF_X28Y61 RPM_Y 122 SITEPROP TIEOFF_X28Y61 SITE_PIPS SITEPROP TIEOFF_X28Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y62 CLASS site SITEPROP TIEOFF_X28Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y62 IS_BONDED 0 SITEPROP TIEOFF_X28Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y62 IS_PAD 0 SITEPROP TIEOFF_X28Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y62 IS_RESERVED 0 SITEPROP TIEOFF_X28Y62 IS_TEST 0 SITEPROP TIEOFF_X28Y62 IS_USED 0 SITEPROP TIEOFF_X28Y62 MANUAL_ROUTING SITEPROP TIEOFF_X28Y62 NAME TIEOFF_X28Y62 SITEPROP TIEOFF_X28Y62 NUM_ARCS 0 SITEPROP TIEOFF_X28Y62 NUM_BELS 2 SITEPROP TIEOFF_X28Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y62 NUM_PINS 2 SITEPROP TIEOFF_X28Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y62 PROHIBIT 0 SITEPROP TIEOFF_X28Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y62 RPM_X 112 SITEPROP TIEOFF_X28Y62 RPM_Y 124 SITEPROP TIEOFF_X28Y62 SITE_PIPS SITEPROP TIEOFF_X28Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y63 CLASS site SITEPROP TIEOFF_X28Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y63 IS_BONDED 0 SITEPROP TIEOFF_X28Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y63 IS_PAD 0 SITEPROP TIEOFF_X28Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y63 IS_RESERVED 0 SITEPROP TIEOFF_X28Y63 IS_TEST 0 SITEPROP TIEOFF_X28Y63 IS_USED 0 SITEPROP TIEOFF_X28Y63 MANUAL_ROUTING SITEPROP TIEOFF_X28Y63 NAME TIEOFF_X28Y63 SITEPROP TIEOFF_X28Y63 NUM_ARCS 0 SITEPROP TIEOFF_X28Y63 NUM_BELS 2 SITEPROP TIEOFF_X28Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y63 NUM_PINS 2 SITEPROP TIEOFF_X28Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y63 PROHIBIT 0 SITEPROP TIEOFF_X28Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y63 RPM_X 112 SITEPROP TIEOFF_X28Y63 RPM_Y 126 SITEPROP TIEOFF_X28Y63 SITE_PIPS SITEPROP TIEOFF_X28Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y64 CLASS site SITEPROP TIEOFF_X28Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y64 IS_BONDED 0 SITEPROP TIEOFF_X28Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y64 IS_PAD 0 SITEPROP TIEOFF_X28Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y64 IS_RESERVED 0 SITEPROP TIEOFF_X28Y64 IS_TEST 0 SITEPROP TIEOFF_X28Y64 IS_USED 0 SITEPROP TIEOFF_X28Y64 MANUAL_ROUTING SITEPROP TIEOFF_X28Y64 NAME TIEOFF_X28Y64 SITEPROP TIEOFF_X28Y64 NUM_ARCS 0 SITEPROP TIEOFF_X28Y64 NUM_BELS 2 SITEPROP TIEOFF_X28Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y64 NUM_PINS 2 SITEPROP TIEOFF_X28Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y64 PROHIBIT 0 SITEPROP TIEOFF_X28Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y64 RPM_X 112 SITEPROP TIEOFF_X28Y64 RPM_Y 128 SITEPROP TIEOFF_X28Y64 SITE_PIPS SITEPROP TIEOFF_X28Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y65 CLASS site SITEPROP TIEOFF_X28Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y65 IS_BONDED 0 SITEPROP TIEOFF_X28Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y65 IS_PAD 0 SITEPROP TIEOFF_X28Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y65 IS_RESERVED 0 SITEPROP TIEOFF_X28Y65 IS_TEST 0 SITEPROP TIEOFF_X28Y65 IS_USED 0 SITEPROP TIEOFF_X28Y65 MANUAL_ROUTING SITEPROP TIEOFF_X28Y65 NAME TIEOFF_X28Y65 SITEPROP TIEOFF_X28Y65 NUM_ARCS 0 SITEPROP TIEOFF_X28Y65 NUM_BELS 2 SITEPROP TIEOFF_X28Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y65 NUM_PINS 2 SITEPROP TIEOFF_X28Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y65 PROHIBIT 0 SITEPROP TIEOFF_X28Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y65 RPM_X 112 SITEPROP TIEOFF_X28Y65 RPM_Y 130 SITEPROP TIEOFF_X28Y65 SITE_PIPS SITEPROP TIEOFF_X28Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y66 CLASS site SITEPROP TIEOFF_X28Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y66 IS_BONDED 0 SITEPROP TIEOFF_X28Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y66 IS_PAD 0 SITEPROP TIEOFF_X28Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y66 IS_RESERVED 0 SITEPROP TIEOFF_X28Y66 IS_TEST 0 SITEPROP TIEOFF_X28Y66 IS_USED 0 SITEPROP TIEOFF_X28Y66 MANUAL_ROUTING SITEPROP TIEOFF_X28Y66 NAME TIEOFF_X28Y66 SITEPROP TIEOFF_X28Y66 NUM_ARCS 0 SITEPROP TIEOFF_X28Y66 NUM_BELS 2 SITEPROP TIEOFF_X28Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y66 NUM_PINS 2 SITEPROP TIEOFF_X28Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y66 PROHIBIT 0 SITEPROP TIEOFF_X28Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y66 RPM_X 112 SITEPROP TIEOFF_X28Y66 RPM_Y 132 SITEPROP TIEOFF_X28Y66 SITE_PIPS SITEPROP TIEOFF_X28Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y67 CLASS site SITEPROP TIEOFF_X28Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y67 IS_BONDED 0 SITEPROP TIEOFF_X28Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y67 IS_PAD 0 SITEPROP TIEOFF_X28Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y67 IS_RESERVED 0 SITEPROP TIEOFF_X28Y67 IS_TEST 0 SITEPROP TIEOFF_X28Y67 IS_USED 0 SITEPROP TIEOFF_X28Y67 MANUAL_ROUTING SITEPROP TIEOFF_X28Y67 NAME TIEOFF_X28Y67 SITEPROP TIEOFF_X28Y67 NUM_ARCS 0 SITEPROP TIEOFF_X28Y67 NUM_BELS 2 SITEPROP TIEOFF_X28Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y67 NUM_PINS 2 SITEPROP TIEOFF_X28Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y67 PROHIBIT 0 SITEPROP TIEOFF_X28Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y67 RPM_X 112 SITEPROP TIEOFF_X28Y67 RPM_Y 134 SITEPROP TIEOFF_X28Y67 SITE_PIPS SITEPROP TIEOFF_X28Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y68 CLASS site SITEPROP TIEOFF_X28Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y68 IS_BONDED 0 SITEPROP TIEOFF_X28Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y68 IS_PAD 0 SITEPROP TIEOFF_X28Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y68 IS_RESERVED 0 SITEPROP TIEOFF_X28Y68 IS_TEST 0 SITEPROP TIEOFF_X28Y68 IS_USED 0 SITEPROP TIEOFF_X28Y68 MANUAL_ROUTING SITEPROP TIEOFF_X28Y68 NAME TIEOFF_X28Y68 SITEPROP TIEOFF_X28Y68 NUM_ARCS 0 SITEPROP TIEOFF_X28Y68 NUM_BELS 2 SITEPROP TIEOFF_X28Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y68 NUM_PINS 2 SITEPROP TIEOFF_X28Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y68 PROHIBIT 0 SITEPROP TIEOFF_X28Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y68 RPM_X 112 SITEPROP TIEOFF_X28Y68 RPM_Y 136 SITEPROP TIEOFF_X28Y68 SITE_PIPS SITEPROP TIEOFF_X28Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y69 CLASS site SITEPROP TIEOFF_X28Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y69 IS_BONDED 0 SITEPROP TIEOFF_X28Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y69 IS_PAD 0 SITEPROP TIEOFF_X28Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y69 IS_RESERVED 0 SITEPROP TIEOFF_X28Y69 IS_TEST 0 SITEPROP TIEOFF_X28Y69 IS_USED 0 SITEPROP TIEOFF_X28Y69 MANUAL_ROUTING SITEPROP TIEOFF_X28Y69 NAME TIEOFF_X28Y69 SITEPROP TIEOFF_X28Y69 NUM_ARCS 0 SITEPROP TIEOFF_X28Y69 NUM_BELS 2 SITEPROP TIEOFF_X28Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y69 NUM_PINS 2 SITEPROP TIEOFF_X28Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y69 PROHIBIT 0 SITEPROP TIEOFF_X28Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y69 RPM_X 112 SITEPROP TIEOFF_X28Y69 RPM_Y 138 SITEPROP TIEOFF_X28Y69 SITE_PIPS SITEPROP TIEOFF_X28Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y70 CLASS site SITEPROP TIEOFF_X28Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y70 IS_BONDED 0 SITEPROP TIEOFF_X28Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y70 IS_PAD 0 SITEPROP TIEOFF_X28Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y70 IS_RESERVED 0 SITEPROP TIEOFF_X28Y70 IS_TEST 0 SITEPROP TIEOFF_X28Y70 IS_USED 0 SITEPROP TIEOFF_X28Y70 MANUAL_ROUTING SITEPROP TIEOFF_X28Y70 NAME TIEOFF_X28Y70 SITEPROP TIEOFF_X28Y70 NUM_ARCS 0 SITEPROP TIEOFF_X28Y70 NUM_BELS 2 SITEPROP TIEOFF_X28Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y70 NUM_PINS 2 SITEPROP TIEOFF_X28Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y70 PROHIBIT 0 SITEPROP TIEOFF_X28Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y70 RPM_X 112 SITEPROP TIEOFF_X28Y70 RPM_Y 140 SITEPROP TIEOFF_X28Y70 SITE_PIPS SITEPROP TIEOFF_X28Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y71 CLASS site SITEPROP TIEOFF_X28Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y71 IS_BONDED 0 SITEPROP TIEOFF_X28Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y71 IS_PAD 0 SITEPROP TIEOFF_X28Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y71 IS_RESERVED 0 SITEPROP TIEOFF_X28Y71 IS_TEST 0 SITEPROP TIEOFF_X28Y71 IS_USED 0 SITEPROP TIEOFF_X28Y71 MANUAL_ROUTING SITEPROP TIEOFF_X28Y71 NAME TIEOFF_X28Y71 SITEPROP TIEOFF_X28Y71 NUM_ARCS 0 SITEPROP TIEOFF_X28Y71 NUM_BELS 2 SITEPROP TIEOFF_X28Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y71 NUM_PINS 2 SITEPROP TIEOFF_X28Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y71 PROHIBIT 0 SITEPROP TIEOFF_X28Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y71 RPM_X 112 SITEPROP TIEOFF_X28Y71 RPM_Y 142 SITEPROP TIEOFF_X28Y71 SITE_PIPS SITEPROP TIEOFF_X28Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y72 CLASS site SITEPROP TIEOFF_X28Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y72 IS_BONDED 0 SITEPROP TIEOFF_X28Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y72 IS_PAD 0 SITEPROP TIEOFF_X28Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y72 IS_RESERVED 0 SITEPROP TIEOFF_X28Y72 IS_TEST 0 SITEPROP TIEOFF_X28Y72 IS_USED 0 SITEPROP TIEOFF_X28Y72 MANUAL_ROUTING SITEPROP TIEOFF_X28Y72 NAME TIEOFF_X28Y72 SITEPROP TIEOFF_X28Y72 NUM_ARCS 0 SITEPROP TIEOFF_X28Y72 NUM_BELS 2 SITEPROP TIEOFF_X28Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y72 NUM_PINS 2 SITEPROP TIEOFF_X28Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y72 PROHIBIT 0 SITEPROP TIEOFF_X28Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y72 RPM_X 112 SITEPROP TIEOFF_X28Y72 RPM_Y 144 SITEPROP TIEOFF_X28Y72 SITE_PIPS SITEPROP TIEOFF_X28Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y73 CLASS site SITEPROP TIEOFF_X28Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y73 IS_BONDED 0 SITEPROP TIEOFF_X28Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y73 IS_PAD 0 SITEPROP TIEOFF_X28Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y73 IS_RESERVED 0 SITEPROP TIEOFF_X28Y73 IS_TEST 0 SITEPROP TIEOFF_X28Y73 IS_USED 0 SITEPROP TIEOFF_X28Y73 MANUAL_ROUTING SITEPROP TIEOFF_X28Y73 NAME TIEOFF_X28Y73 SITEPROP TIEOFF_X28Y73 NUM_ARCS 0 SITEPROP TIEOFF_X28Y73 NUM_BELS 2 SITEPROP TIEOFF_X28Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y73 NUM_PINS 2 SITEPROP TIEOFF_X28Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y73 PROHIBIT 0 SITEPROP TIEOFF_X28Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y73 RPM_X 112 SITEPROP TIEOFF_X28Y73 RPM_Y 146 SITEPROP TIEOFF_X28Y73 SITE_PIPS SITEPROP TIEOFF_X28Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y74 CLASS site SITEPROP TIEOFF_X28Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y74 IS_BONDED 0 SITEPROP TIEOFF_X28Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y74 IS_PAD 0 SITEPROP TIEOFF_X28Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y74 IS_RESERVED 0 SITEPROP TIEOFF_X28Y74 IS_TEST 0 SITEPROP TIEOFF_X28Y74 IS_USED 0 SITEPROP TIEOFF_X28Y74 MANUAL_ROUTING SITEPROP TIEOFF_X28Y74 NAME TIEOFF_X28Y74 SITEPROP TIEOFF_X28Y74 NUM_ARCS 0 SITEPROP TIEOFF_X28Y74 NUM_BELS 2 SITEPROP TIEOFF_X28Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y74 NUM_PINS 2 SITEPROP TIEOFF_X28Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y74 PROHIBIT 0 SITEPROP TIEOFF_X28Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y74 RPM_X 112 SITEPROP TIEOFF_X28Y74 RPM_Y 148 SITEPROP TIEOFF_X28Y74 SITE_PIPS SITEPROP TIEOFF_X28Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y75 CLASS site SITEPROP TIEOFF_X28Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y75 IS_BONDED 0 SITEPROP TIEOFF_X28Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y75 IS_PAD 0 SITEPROP TIEOFF_X28Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y75 IS_RESERVED 0 SITEPROP TIEOFF_X28Y75 IS_TEST 0 SITEPROP TIEOFF_X28Y75 IS_USED 0 SITEPROP TIEOFF_X28Y75 MANUAL_ROUTING SITEPROP TIEOFF_X28Y75 NAME TIEOFF_X28Y75 SITEPROP TIEOFF_X28Y75 NUM_ARCS 0 SITEPROP TIEOFF_X28Y75 NUM_BELS 2 SITEPROP TIEOFF_X28Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y75 NUM_PINS 2 SITEPROP TIEOFF_X28Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y75 PROHIBIT 0 SITEPROP TIEOFF_X28Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y75 RPM_X 112 SITEPROP TIEOFF_X28Y75 RPM_Y 150 SITEPROP TIEOFF_X28Y75 SITE_PIPS SITEPROP TIEOFF_X28Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y76 CLASS site SITEPROP TIEOFF_X28Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y76 IS_BONDED 0 SITEPROP TIEOFF_X28Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y76 IS_PAD 0 SITEPROP TIEOFF_X28Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y76 IS_RESERVED 0 SITEPROP TIEOFF_X28Y76 IS_TEST 0 SITEPROP TIEOFF_X28Y76 IS_USED 0 SITEPROP TIEOFF_X28Y76 MANUAL_ROUTING SITEPROP TIEOFF_X28Y76 NAME TIEOFF_X28Y76 SITEPROP TIEOFF_X28Y76 NUM_ARCS 0 SITEPROP TIEOFF_X28Y76 NUM_BELS 2 SITEPROP TIEOFF_X28Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y76 NUM_PINS 2 SITEPROP TIEOFF_X28Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y76 PROHIBIT 0 SITEPROP TIEOFF_X28Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y76 RPM_X 112 SITEPROP TIEOFF_X28Y76 RPM_Y 152 SITEPROP TIEOFF_X28Y76 SITE_PIPS SITEPROP TIEOFF_X28Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y77 CLASS site SITEPROP TIEOFF_X28Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y77 IS_BONDED 0 SITEPROP TIEOFF_X28Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y77 IS_PAD 0 SITEPROP TIEOFF_X28Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y77 IS_RESERVED 0 SITEPROP TIEOFF_X28Y77 IS_TEST 0 SITEPROP TIEOFF_X28Y77 IS_USED 0 SITEPROP TIEOFF_X28Y77 MANUAL_ROUTING SITEPROP TIEOFF_X28Y77 NAME TIEOFF_X28Y77 SITEPROP TIEOFF_X28Y77 NUM_ARCS 0 SITEPROP TIEOFF_X28Y77 NUM_BELS 2 SITEPROP TIEOFF_X28Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y77 NUM_PINS 2 SITEPROP TIEOFF_X28Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y77 PROHIBIT 0 SITEPROP TIEOFF_X28Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y77 RPM_X 112 SITEPROP TIEOFF_X28Y77 RPM_Y 154 SITEPROP TIEOFF_X28Y77 SITE_PIPS SITEPROP TIEOFF_X28Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y78 CLASS site SITEPROP TIEOFF_X28Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y78 IS_BONDED 0 SITEPROP TIEOFF_X28Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y78 IS_PAD 0 SITEPROP TIEOFF_X28Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y78 IS_RESERVED 0 SITEPROP TIEOFF_X28Y78 IS_TEST 0 SITEPROP TIEOFF_X28Y78 IS_USED 0 SITEPROP TIEOFF_X28Y78 MANUAL_ROUTING SITEPROP TIEOFF_X28Y78 NAME TIEOFF_X28Y78 SITEPROP TIEOFF_X28Y78 NUM_ARCS 0 SITEPROP TIEOFF_X28Y78 NUM_BELS 2 SITEPROP TIEOFF_X28Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y78 NUM_PINS 2 SITEPROP TIEOFF_X28Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y78 PROHIBIT 0 SITEPROP TIEOFF_X28Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y78 RPM_X 112 SITEPROP TIEOFF_X28Y78 RPM_Y 156 SITEPROP TIEOFF_X28Y78 SITE_PIPS SITEPROP TIEOFF_X28Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y79 CLASS site SITEPROP TIEOFF_X28Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y79 IS_BONDED 0 SITEPROP TIEOFF_X28Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y79 IS_PAD 0 SITEPROP TIEOFF_X28Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y79 IS_RESERVED 0 SITEPROP TIEOFF_X28Y79 IS_TEST 0 SITEPROP TIEOFF_X28Y79 IS_USED 0 SITEPROP TIEOFF_X28Y79 MANUAL_ROUTING SITEPROP TIEOFF_X28Y79 NAME TIEOFF_X28Y79 SITEPROP TIEOFF_X28Y79 NUM_ARCS 0 SITEPROP TIEOFF_X28Y79 NUM_BELS 2 SITEPROP TIEOFF_X28Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y79 NUM_PINS 2 SITEPROP TIEOFF_X28Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y79 PROHIBIT 0 SITEPROP TIEOFF_X28Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y79 RPM_X 112 SITEPROP TIEOFF_X28Y79 RPM_Y 158 SITEPROP TIEOFF_X28Y79 SITE_PIPS SITEPROP TIEOFF_X28Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y80 CLASS site SITEPROP TIEOFF_X28Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y80 IS_BONDED 0 SITEPROP TIEOFF_X28Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y80 IS_PAD 0 SITEPROP TIEOFF_X28Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y80 IS_RESERVED 0 SITEPROP TIEOFF_X28Y80 IS_TEST 0 SITEPROP TIEOFF_X28Y80 IS_USED 0 SITEPROP TIEOFF_X28Y80 MANUAL_ROUTING SITEPROP TIEOFF_X28Y80 NAME TIEOFF_X28Y80 SITEPROP TIEOFF_X28Y80 NUM_ARCS 0 SITEPROP TIEOFF_X28Y80 NUM_BELS 2 SITEPROP TIEOFF_X28Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y80 NUM_PINS 2 SITEPROP TIEOFF_X28Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y80 PROHIBIT 0 SITEPROP TIEOFF_X28Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y80 RPM_X 112 SITEPROP TIEOFF_X28Y80 RPM_Y 160 SITEPROP TIEOFF_X28Y80 SITE_PIPS SITEPROP TIEOFF_X28Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y81 CLASS site SITEPROP TIEOFF_X28Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y81 IS_BONDED 0 SITEPROP TIEOFF_X28Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y81 IS_PAD 0 SITEPROP TIEOFF_X28Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y81 IS_RESERVED 0 SITEPROP TIEOFF_X28Y81 IS_TEST 0 SITEPROP TIEOFF_X28Y81 IS_USED 0 SITEPROP TIEOFF_X28Y81 MANUAL_ROUTING SITEPROP TIEOFF_X28Y81 NAME TIEOFF_X28Y81 SITEPROP TIEOFF_X28Y81 NUM_ARCS 0 SITEPROP TIEOFF_X28Y81 NUM_BELS 2 SITEPROP TIEOFF_X28Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y81 NUM_PINS 2 SITEPROP TIEOFF_X28Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y81 PROHIBIT 0 SITEPROP TIEOFF_X28Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y81 RPM_X 112 SITEPROP TIEOFF_X28Y81 RPM_Y 162 SITEPROP TIEOFF_X28Y81 SITE_PIPS SITEPROP TIEOFF_X28Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y82 CLASS site SITEPROP TIEOFF_X28Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y82 IS_BONDED 0 SITEPROP TIEOFF_X28Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y82 IS_PAD 0 SITEPROP TIEOFF_X28Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y82 IS_RESERVED 0 SITEPROP TIEOFF_X28Y82 IS_TEST 0 SITEPROP TIEOFF_X28Y82 IS_USED 0 SITEPROP TIEOFF_X28Y82 MANUAL_ROUTING SITEPROP TIEOFF_X28Y82 NAME TIEOFF_X28Y82 SITEPROP TIEOFF_X28Y82 NUM_ARCS 0 SITEPROP TIEOFF_X28Y82 NUM_BELS 2 SITEPROP TIEOFF_X28Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y82 NUM_PINS 2 SITEPROP TIEOFF_X28Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y82 PROHIBIT 0 SITEPROP TIEOFF_X28Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y82 RPM_X 112 SITEPROP TIEOFF_X28Y82 RPM_Y 164 SITEPROP TIEOFF_X28Y82 SITE_PIPS SITEPROP TIEOFF_X28Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y83 CLASS site SITEPROP TIEOFF_X28Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y83 IS_BONDED 0 SITEPROP TIEOFF_X28Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y83 IS_PAD 0 SITEPROP TIEOFF_X28Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y83 IS_RESERVED 0 SITEPROP TIEOFF_X28Y83 IS_TEST 0 SITEPROP TIEOFF_X28Y83 IS_USED 0 SITEPROP TIEOFF_X28Y83 MANUAL_ROUTING SITEPROP TIEOFF_X28Y83 NAME TIEOFF_X28Y83 SITEPROP TIEOFF_X28Y83 NUM_ARCS 0 SITEPROP TIEOFF_X28Y83 NUM_BELS 2 SITEPROP TIEOFF_X28Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y83 NUM_PINS 2 SITEPROP TIEOFF_X28Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y83 PROHIBIT 0 SITEPROP TIEOFF_X28Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y83 RPM_X 112 SITEPROP TIEOFF_X28Y83 RPM_Y 166 SITEPROP TIEOFF_X28Y83 SITE_PIPS SITEPROP TIEOFF_X28Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y84 CLASS site SITEPROP TIEOFF_X28Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y84 IS_BONDED 0 SITEPROP TIEOFF_X28Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y84 IS_PAD 0 SITEPROP TIEOFF_X28Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y84 IS_RESERVED 0 SITEPROP TIEOFF_X28Y84 IS_TEST 0 SITEPROP TIEOFF_X28Y84 IS_USED 0 SITEPROP TIEOFF_X28Y84 MANUAL_ROUTING SITEPROP TIEOFF_X28Y84 NAME TIEOFF_X28Y84 SITEPROP TIEOFF_X28Y84 NUM_ARCS 0 SITEPROP TIEOFF_X28Y84 NUM_BELS 2 SITEPROP TIEOFF_X28Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y84 NUM_PINS 2 SITEPROP TIEOFF_X28Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y84 PROHIBIT 0 SITEPROP TIEOFF_X28Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y84 RPM_X 112 SITEPROP TIEOFF_X28Y84 RPM_Y 168 SITEPROP TIEOFF_X28Y84 SITE_PIPS SITEPROP TIEOFF_X28Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y85 CLASS site SITEPROP TIEOFF_X28Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y85 IS_BONDED 0 SITEPROP TIEOFF_X28Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y85 IS_PAD 0 SITEPROP TIEOFF_X28Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y85 IS_RESERVED 0 SITEPROP TIEOFF_X28Y85 IS_TEST 0 SITEPROP TIEOFF_X28Y85 IS_USED 0 SITEPROP TIEOFF_X28Y85 MANUAL_ROUTING SITEPROP TIEOFF_X28Y85 NAME TIEOFF_X28Y85 SITEPROP TIEOFF_X28Y85 NUM_ARCS 0 SITEPROP TIEOFF_X28Y85 NUM_BELS 2 SITEPROP TIEOFF_X28Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y85 NUM_PINS 2 SITEPROP TIEOFF_X28Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y85 PROHIBIT 0 SITEPROP TIEOFF_X28Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y85 RPM_X 112 SITEPROP TIEOFF_X28Y85 RPM_Y 170 SITEPROP TIEOFF_X28Y85 SITE_PIPS SITEPROP TIEOFF_X28Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y86 CLASS site SITEPROP TIEOFF_X28Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y86 IS_BONDED 0 SITEPROP TIEOFF_X28Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y86 IS_PAD 0 SITEPROP TIEOFF_X28Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y86 IS_RESERVED 0 SITEPROP TIEOFF_X28Y86 IS_TEST 0 SITEPROP TIEOFF_X28Y86 IS_USED 0 SITEPROP TIEOFF_X28Y86 MANUAL_ROUTING SITEPROP TIEOFF_X28Y86 NAME TIEOFF_X28Y86 SITEPROP TIEOFF_X28Y86 NUM_ARCS 0 SITEPROP TIEOFF_X28Y86 NUM_BELS 2 SITEPROP TIEOFF_X28Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y86 NUM_PINS 2 SITEPROP TIEOFF_X28Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y86 PROHIBIT 0 SITEPROP TIEOFF_X28Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y86 RPM_X 112 SITEPROP TIEOFF_X28Y86 RPM_Y 172 SITEPROP TIEOFF_X28Y86 SITE_PIPS SITEPROP TIEOFF_X28Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y87 CLASS site SITEPROP TIEOFF_X28Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y87 IS_BONDED 0 SITEPROP TIEOFF_X28Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y87 IS_PAD 0 SITEPROP TIEOFF_X28Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y87 IS_RESERVED 0 SITEPROP TIEOFF_X28Y87 IS_TEST 0 SITEPROP TIEOFF_X28Y87 IS_USED 0 SITEPROP TIEOFF_X28Y87 MANUAL_ROUTING SITEPROP TIEOFF_X28Y87 NAME TIEOFF_X28Y87 SITEPROP TIEOFF_X28Y87 NUM_ARCS 0 SITEPROP TIEOFF_X28Y87 NUM_BELS 2 SITEPROP TIEOFF_X28Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y87 NUM_PINS 2 SITEPROP TIEOFF_X28Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y87 PROHIBIT 0 SITEPROP TIEOFF_X28Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y87 RPM_X 112 SITEPROP TIEOFF_X28Y87 RPM_Y 174 SITEPROP TIEOFF_X28Y87 SITE_PIPS SITEPROP TIEOFF_X28Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y88 CLASS site SITEPROP TIEOFF_X28Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y88 IS_BONDED 0 SITEPROP TIEOFF_X28Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y88 IS_PAD 0 SITEPROP TIEOFF_X28Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y88 IS_RESERVED 0 SITEPROP TIEOFF_X28Y88 IS_TEST 0 SITEPROP TIEOFF_X28Y88 IS_USED 0 SITEPROP TIEOFF_X28Y88 MANUAL_ROUTING SITEPROP TIEOFF_X28Y88 NAME TIEOFF_X28Y88 SITEPROP TIEOFF_X28Y88 NUM_ARCS 0 SITEPROP TIEOFF_X28Y88 NUM_BELS 2 SITEPROP TIEOFF_X28Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y88 NUM_PINS 2 SITEPROP TIEOFF_X28Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y88 PROHIBIT 0 SITEPROP TIEOFF_X28Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y88 RPM_X 112 SITEPROP TIEOFF_X28Y88 RPM_Y 176 SITEPROP TIEOFF_X28Y88 SITE_PIPS SITEPROP TIEOFF_X28Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y89 CLASS site SITEPROP TIEOFF_X28Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y89 IS_BONDED 0 SITEPROP TIEOFF_X28Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y89 IS_PAD 0 SITEPROP TIEOFF_X28Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y89 IS_RESERVED 0 SITEPROP TIEOFF_X28Y89 IS_TEST 0 SITEPROP TIEOFF_X28Y89 IS_USED 0 SITEPROP TIEOFF_X28Y89 MANUAL_ROUTING SITEPROP TIEOFF_X28Y89 NAME TIEOFF_X28Y89 SITEPROP TIEOFF_X28Y89 NUM_ARCS 0 SITEPROP TIEOFF_X28Y89 NUM_BELS 2 SITEPROP TIEOFF_X28Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y89 NUM_PINS 2 SITEPROP TIEOFF_X28Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y89 PROHIBIT 0 SITEPROP TIEOFF_X28Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y89 RPM_X 112 SITEPROP TIEOFF_X28Y89 RPM_Y 178 SITEPROP TIEOFF_X28Y89 SITE_PIPS SITEPROP TIEOFF_X28Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y90 CLASS site SITEPROP TIEOFF_X28Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y90 IS_BONDED 0 SITEPROP TIEOFF_X28Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y90 IS_PAD 0 SITEPROP TIEOFF_X28Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y90 IS_RESERVED 0 SITEPROP TIEOFF_X28Y90 IS_TEST 0 SITEPROP TIEOFF_X28Y90 IS_USED 0 SITEPROP TIEOFF_X28Y90 MANUAL_ROUTING SITEPROP TIEOFF_X28Y90 NAME TIEOFF_X28Y90 SITEPROP TIEOFF_X28Y90 NUM_ARCS 0 SITEPROP TIEOFF_X28Y90 NUM_BELS 2 SITEPROP TIEOFF_X28Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y90 NUM_PINS 2 SITEPROP TIEOFF_X28Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y90 PROHIBIT 0 SITEPROP TIEOFF_X28Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y90 RPM_X 112 SITEPROP TIEOFF_X28Y90 RPM_Y 180 SITEPROP TIEOFF_X28Y90 SITE_PIPS SITEPROP TIEOFF_X28Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y91 CLASS site SITEPROP TIEOFF_X28Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y91 IS_BONDED 0 SITEPROP TIEOFF_X28Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y91 IS_PAD 0 SITEPROP TIEOFF_X28Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y91 IS_RESERVED 0 SITEPROP TIEOFF_X28Y91 IS_TEST 0 SITEPROP TIEOFF_X28Y91 IS_USED 0 SITEPROP TIEOFF_X28Y91 MANUAL_ROUTING SITEPROP TIEOFF_X28Y91 NAME TIEOFF_X28Y91 SITEPROP TIEOFF_X28Y91 NUM_ARCS 0 SITEPROP TIEOFF_X28Y91 NUM_BELS 2 SITEPROP TIEOFF_X28Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y91 NUM_PINS 2 SITEPROP TIEOFF_X28Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y91 PROHIBIT 0 SITEPROP TIEOFF_X28Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y91 RPM_X 112 SITEPROP TIEOFF_X28Y91 RPM_Y 182 SITEPROP TIEOFF_X28Y91 SITE_PIPS SITEPROP TIEOFF_X28Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y92 CLASS site SITEPROP TIEOFF_X28Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y92 IS_BONDED 0 SITEPROP TIEOFF_X28Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y92 IS_PAD 0 SITEPROP TIEOFF_X28Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y92 IS_RESERVED 0 SITEPROP TIEOFF_X28Y92 IS_TEST 0 SITEPROP TIEOFF_X28Y92 IS_USED 0 SITEPROP TIEOFF_X28Y92 MANUAL_ROUTING SITEPROP TIEOFF_X28Y92 NAME TIEOFF_X28Y92 SITEPROP TIEOFF_X28Y92 NUM_ARCS 0 SITEPROP TIEOFF_X28Y92 NUM_BELS 2 SITEPROP TIEOFF_X28Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y92 NUM_PINS 2 SITEPROP TIEOFF_X28Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y92 PROHIBIT 0 SITEPROP TIEOFF_X28Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y92 RPM_X 112 SITEPROP TIEOFF_X28Y92 RPM_Y 184 SITEPROP TIEOFF_X28Y92 SITE_PIPS SITEPROP TIEOFF_X28Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y93 CLASS site SITEPROP TIEOFF_X28Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y93 IS_BONDED 0 SITEPROP TIEOFF_X28Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y93 IS_PAD 0 SITEPROP TIEOFF_X28Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y93 IS_RESERVED 0 SITEPROP TIEOFF_X28Y93 IS_TEST 0 SITEPROP TIEOFF_X28Y93 IS_USED 0 SITEPROP TIEOFF_X28Y93 MANUAL_ROUTING SITEPROP TIEOFF_X28Y93 NAME TIEOFF_X28Y93 SITEPROP TIEOFF_X28Y93 NUM_ARCS 0 SITEPROP TIEOFF_X28Y93 NUM_BELS 2 SITEPROP TIEOFF_X28Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y93 NUM_PINS 2 SITEPROP TIEOFF_X28Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y93 PROHIBIT 0 SITEPROP TIEOFF_X28Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y93 RPM_X 112 SITEPROP TIEOFF_X28Y93 RPM_Y 186 SITEPROP TIEOFF_X28Y93 SITE_PIPS SITEPROP TIEOFF_X28Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y94 CLASS site SITEPROP TIEOFF_X28Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y94 IS_BONDED 0 SITEPROP TIEOFF_X28Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y94 IS_PAD 0 SITEPROP TIEOFF_X28Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y94 IS_RESERVED 0 SITEPROP TIEOFF_X28Y94 IS_TEST 0 SITEPROP TIEOFF_X28Y94 IS_USED 0 SITEPROP TIEOFF_X28Y94 MANUAL_ROUTING SITEPROP TIEOFF_X28Y94 NAME TIEOFF_X28Y94 SITEPROP TIEOFF_X28Y94 NUM_ARCS 0 SITEPROP TIEOFF_X28Y94 NUM_BELS 2 SITEPROP TIEOFF_X28Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y94 NUM_PINS 2 SITEPROP TIEOFF_X28Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y94 PROHIBIT 0 SITEPROP TIEOFF_X28Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y94 RPM_X 112 SITEPROP TIEOFF_X28Y94 RPM_Y 188 SITEPROP TIEOFF_X28Y94 SITE_PIPS SITEPROP TIEOFF_X28Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y95 CLASS site SITEPROP TIEOFF_X28Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y95 IS_BONDED 0 SITEPROP TIEOFF_X28Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y95 IS_PAD 0 SITEPROP TIEOFF_X28Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y95 IS_RESERVED 0 SITEPROP TIEOFF_X28Y95 IS_TEST 0 SITEPROP TIEOFF_X28Y95 IS_USED 0 SITEPROP TIEOFF_X28Y95 MANUAL_ROUTING SITEPROP TIEOFF_X28Y95 NAME TIEOFF_X28Y95 SITEPROP TIEOFF_X28Y95 NUM_ARCS 0 SITEPROP TIEOFF_X28Y95 NUM_BELS 2 SITEPROP TIEOFF_X28Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y95 NUM_PINS 2 SITEPROP TIEOFF_X28Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y95 PROHIBIT 0 SITEPROP TIEOFF_X28Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y95 RPM_X 112 SITEPROP TIEOFF_X28Y95 RPM_Y 190 SITEPROP TIEOFF_X28Y95 SITE_PIPS SITEPROP TIEOFF_X28Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y96 CLASS site SITEPROP TIEOFF_X28Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y96 IS_BONDED 0 SITEPROP TIEOFF_X28Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y96 IS_PAD 0 SITEPROP TIEOFF_X28Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y96 IS_RESERVED 0 SITEPROP TIEOFF_X28Y96 IS_TEST 0 SITEPROP TIEOFF_X28Y96 IS_USED 0 SITEPROP TIEOFF_X28Y96 MANUAL_ROUTING SITEPROP TIEOFF_X28Y96 NAME TIEOFF_X28Y96 SITEPROP TIEOFF_X28Y96 NUM_ARCS 0 SITEPROP TIEOFF_X28Y96 NUM_BELS 2 SITEPROP TIEOFF_X28Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y96 NUM_PINS 2 SITEPROP TIEOFF_X28Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y96 PROHIBIT 0 SITEPROP TIEOFF_X28Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y96 RPM_X 112 SITEPROP TIEOFF_X28Y96 RPM_Y 192 SITEPROP TIEOFF_X28Y96 SITE_PIPS SITEPROP TIEOFF_X28Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y97 CLASS site SITEPROP TIEOFF_X28Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y97 IS_BONDED 0 SITEPROP TIEOFF_X28Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y97 IS_PAD 0 SITEPROP TIEOFF_X28Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y97 IS_RESERVED 0 SITEPROP TIEOFF_X28Y97 IS_TEST 0 SITEPROP TIEOFF_X28Y97 IS_USED 0 SITEPROP TIEOFF_X28Y97 MANUAL_ROUTING SITEPROP TIEOFF_X28Y97 NAME TIEOFF_X28Y97 SITEPROP TIEOFF_X28Y97 NUM_ARCS 0 SITEPROP TIEOFF_X28Y97 NUM_BELS 2 SITEPROP TIEOFF_X28Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y97 NUM_PINS 2 SITEPROP TIEOFF_X28Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y97 PROHIBIT 0 SITEPROP TIEOFF_X28Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y97 RPM_X 112 SITEPROP TIEOFF_X28Y97 RPM_Y 194 SITEPROP TIEOFF_X28Y97 SITE_PIPS SITEPROP TIEOFF_X28Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y98 CLASS site SITEPROP TIEOFF_X28Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y98 IS_BONDED 0 SITEPROP TIEOFF_X28Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y98 IS_PAD 0 SITEPROP TIEOFF_X28Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y98 IS_RESERVED 0 SITEPROP TIEOFF_X28Y98 IS_TEST 0 SITEPROP TIEOFF_X28Y98 IS_USED 0 SITEPROP TIEOFF_X28Y98 MANUAL_ROUTING SITEPROP TIEOFF_X28Y98 NAME TIEOFF_X28Y98 SITEPROP TIEOFF_X28Y98 NUM_ARCS 0 SITEPROP TIEOFF_X28Y98 NUM_BELS 2 SITEPROP TIEOFF_X28Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y98 NUM_PINS 2 SITEPROP TIEOFF_X28Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y98 PROHIBIT 0 SITEPROP TIEOFF_X28Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y98 RPM_X 112 SITEPROP TIEOFF_X28Y98 RPM_Y 196 SITEPROP TIEOFF_X28Y98 SITE_PIPS SITEPROP TIEOFF_X28Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y99 CLASS site SITEPROP TIEOFF_X28Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X28Y99 IS_BONDED 0 SITEPROP TIEOFF_X28Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y99 IS_PAD 0 SITEPROP TIEOFF_X28Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y99 IS_RESERVED 0 SITEPROP TIEOFF_X28Y99 IS_TEST 0 SITEPROP TIEOFF_X28Y99 IS_USED 0 SITEPROP TIEOFF_X28Y99 MANUAL_ROUTING SITEPROP TIEOFF_X28Y99 NAME TIEOFF_X28Y99 SITEPROP TIEOFF_X28Y99 NUM_ARCS 0 SITEPROP TIEOFF_X28Y99 NUM_BELS 2 SITEPROP TIEOFF_X28Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y99 NUM_PINS 2 SITEPROP TIEOFF_X28Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y99 PROHIBIT 0 SITEPROP TIEOFF_X28Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y99 RPM_X 112 SITEPROP TIEOFF_X28Y99 RPM_Y 198 SITEPROP TIEOFF_X28Y99 SITE_PIPS SITEPROP TIEOFF_X28Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y100 CLASS site SITEPROP TIEOFF_X28Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y100 IS_BONDED 0 SITEPROP TIEOFF_X28Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y100 IS_PAD 0 SITEPROP TIEOFF_X28Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y100 IS_RESERVED 0 SITEPROP TIEOFF_X28Y100 IS_TEST 0 SITEPROP TIEOFF_X28Y100 IS_USED 0 SITEPROP TIEOFF_X28Y100 MANUAL_ROUTING SITEPROP TIEOFF_X28Y100 NAME TIEOFF_X28Y100 SITEPROP TIEOFF_X28Y100 NUM_ARCS 0 SITEPROP TIEOFF_X28Y100 NUM_BELS 2 SITEPROP TIEOFF_X28Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y100 NUM_PINS 2 SITEPROP TIEOFF_X28Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y100 PROHIBIT 0 SITEPROP TIEOFF_X28Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y100 RPM_X 112 SITEPROP TIEOFF_X28Y100 RPM_Y 200 SITEPROP TIEOFF_X28Y100 SITE_PIPS SITEPROP TIEOFF_X28Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y101 CLASS site SITEPROP TIEOFF_X28Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y101 IS_BONDED 0 SITEPROP TIEOFF_X28Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y101 IS_PAD 0 SITEPROP TIEOFF_X28Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y101 IS_RESERVED 0 SITEPROP TIEOFF_X28Y101 IS_TEST 0 SITEPROP TIEOFF_X28Y101 IS_USED 0 SITEPROP TIEOFF_X28Y101 MANUAL_ROUTING SITEPROP TIEOFF_X28Y101 NAME TIEOFF_X28Y101 SITEPROP TIEOFF_X28Y101 NUM_ARCS 0 SITEPROP TIEOFF_X28Y101 NUM_BELS 2 SITEPROP TIEOFF_X28Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y101 NUM_PINS 2 SITEPROP TIEOFF_X28Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y101 PROHIBIT 0 SITEPROP TIEOFF_X28Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y101 RPM_X 112 SITEPROP TIEOFF_X28Y101 RPM_Y 202 SITEPROP TIEOFF_X28Y101 SITE_PIPS SITEPROP TIEOFF_X28Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y102 CLASS site SITEPROP TIEOFF_X28Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y102 IS_BONDED 0 SITEPROP TIEOFF_X28Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y102 IS_PAD 0 SITEPROP TIEOFF_X28Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y102 IS_RESERVED 0 SITEPROP TIEOFF_X28Y102 IS_TEST 0 SITEPROP TIEOFF_X28Y102 IS_USED 0 SITEPROP TIEOFF_X28Y102 MANUAL_ROUTING SITEPROP TIEOFF_X28Y102 NAME TIEOFF_X28Y102 SITEPROP TIEOFF_X28Y102 NUM_ARCS 0 SITEPROP TIEOFF_X28Y102 NUM_BELS 2 SITEPROP TIEOFF_X28Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y102 NUM_PINS 2 SITEPROP TIEOFF_X28Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y102 PROHIBIT 0 SITEPROP TIEOFF_X28Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y102 RPM_X 112 SITEPROP TIEOFF_X28Y102 RPM_Y 204 SITEPROP TIEOFF_X28Y102 SITE_PIPS SITEPROP TIEOFF_X28Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y103 CLASS site SITEPROP TIEOFF_X28Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y103 IS_BONDED 0 SITEPROP TIEOFF_X28Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y103 IS_PAD 0 SITEPROP TIEOFF_X28Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y103 IS_RESERVED 0 SITEPROP TIEOFF_X28Y103 IS_TEST 0 SITEPROP TIEOFF_X28Y103 IS_USED 0 SITEPROP TIEOFF_X28Y103 MANUAL_ROUTING SITEPROP TIEOFF_X28Y103 NAME TIEOFF_X28Y103 SITEPROP TIEOFF_X28Y103 NUM_ARCS 0 SITEPROP TIEOFF_X28Y103 NUM_BELS 2 SITEPROP TIEOFF_X28Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y103 NUM_PINS 2 SITEPROP TIEOFF_X28Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y103 PROHIBIT 0 SITEPROP TIEOFF_X28Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y103 RPM_X 112 SITEPROP TIEOFF_X28Y103 RPM_Y 206 SITEPROP TIEOFF_X28Y103 SITE_PIPS SITEPROP TIEOFF_X28Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y104 CLASS site SITEPROP TIEOFF_X28Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y104 IS_BONDED 0 SITEPROP TIEOFF_X28Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y104 IS_PAD 0 SITEPROP TIEOFF_X28Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y104 IS_RESERVED 0 SITEPROP TIEOFF_X28Y104 IS_TEST 0 SITEPROP TIEOFF_X28Y104 IS_USED 0 SITEPROP TIEOFF_X28Y104 MANUAL_ROUTING SITEPROP TIEOFF_X28Y104 NAME TIEOFF_X28Y104 SITEPROP TIEOFF_X28Y104 NUM_ARCS 0 SITEPROP TIEOFF_X28Y104 NUM_BELS 2 SITEPROP TIEOFF_X28Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y104 NUM_PINS 2 SITEPROP TIEOFF_X28Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y104 PROHIBIT 0 SITEPROP TIEOFF_X28Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y104 RPM_X 112 SITEPROP TIEOFF_X28Y104 RPM_Y 208 SITEPROP TIEOFF_X28Y104 SITE_PIPS SITEPROP TIEOFF_X28Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y105 CLASS site SITEPROP TIEOFF_X28Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y105 IS_BONDED 0 SITEPROP TIEOFF_X28Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y105 IS_PAD 0 SITEPROP TIEOFF_X28Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y105 IS_RESERVED 0 SITEPROP TIEOFF_X28Y105 IS_TEST 0 SITEPROP TIEOFF_X28Y105 IS_USED 0 SITEPROP TIEOFF_X28Y105 MANUAL_ROUTING SITEPROP TIEOFF_X28Y105 NAME TIEOFF_X28Y105 SITEPROP TIEOFF_X28Y105 NUM_ARCS 0 SITEPROP TIEOFF_X28Y105 NUM_BELS 2 SITEPROP TIEOFF_X28Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y105 NUM_PINS 2 SITEPROP TIEOFF_X28Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y105 PROHIBIT 0 SITEPROP TIEOFF_X28Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y105 RPM_X 112 SITEPROP TIEOFF_X28Y105 RPM_Y 210 SITEPROP TIEOFF_X28Y105 SITE_PIPS SITEPROP TIEOFF_X28Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y106 CLASS site SITEPROP TIEOFF_X28Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y106 IS_BONDED 0 SITEPROP TIEOFF_X28Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y106 IS_PAD 0 SITEPROP TIEOFF_X28Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y106 IS_RESERVED 0 SITEPROP TIEOFF_X28Y106 IS_TEST 0 SITEPROP TIEOFF_X28Y106 IS_USED 0 SITEPROP TIEOFF_X28Y106 MANUAL_ROUTING SITEPROP TIEOFF_X28Y106 NAME TIEOFF_X28Y106 SITEPROP TIEOFF_X28Y106 NUM_ARCS 0 SITEPROP TIEOFF_X28Y106 NUM_BELS 2 SITEPROP TIEOFF_X28Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y106 NUM_PINS 2 SITEPROP TIEOFF_X28Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y106 PROHIBIT 0 SITEPROP TIEOFF_X28Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y106 RPM_X 112 SITEPROP TIEOFF_X28Y106 RPM_Y 212 SITEPROP TIEOFF_X28Y106 SITE_PIPS SITEPROP TIEOFF_X28Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y107 CLASS site SITEPROP TIEOFF_X28Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y107 IS_BONDED 0 SITEPROP TIEOFF_X28Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y107 IS_PAD 0 SITEPROP TIEOFF_X28Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y107 IS_RESERVED 0 SITEPROP TIEOFF_X28Y107 IS_TEST 0 SITEPROP TIEOFF_X28Y107 IS_USED 0 SITEPROP TIEOFF_X28Y107 MANUAL_ROUTING SITEPROP TIEOFF_X28Y107 NAME TIEOFF_X28Y107 SITEPROP TIEOFF_X28Y107 NUM_ARCS 0 SITEPROP TIEOFF_X28Y107 NUM_BELS 2 SITEPROP TIEOFF_X28Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y107 NUM_PINS 2 SITEPROP TIEOFF_X28Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y107 PROHIBIT 0 SITEPROP TIEOFF_X28Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y107 RPM_X 112 SITEPROP TIEOFF_X28Y107 RPM_Y 214 SITEPROP TIEOFF_X28Y107 SITE_PIPS SITEPROP TIEOFF_X28Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y108 CLASS site SITEPROP TIEOFF_X28Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y108 IS_BONDED 0 SITEPROP TIEOFF_X28Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y108 IS_PAD 0 SITEPROP TIEOFF_X28Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y108 IS_RESERVED 0 SITEPROP TIEOFF_X28Y108 IS_TEST 0 SITEPROP TIEOFF_X28Y108 IS_USED 0 SITEPROP TIEOFF_X28Y108 MANUAL_ROUTING SITEPROP TIEOFF_X28Y108 NAME TIEOFF_X28Y108 SITEPROP TIEOFF_X28Y108 NUM_ARCS 0 SITEPROP TIEOFF_X28Y108 NUM_BELS 2 SITEPROP TIEOFF_X28Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y108 NUM_PINS 2 SITEPROP TIEOFF_X28Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y108 PROHIBIT 0 SITEPROP TIEOFF_X28Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y108 RPM_X 112 SITEPROP TIEOFF_X28Y108 RPM_Y 216 SITEPROP TIEOFF_X28Y108 SITE_PIPS SITEPROP TIEOFF_X28Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y109 CLASS site SITEPROP TIEOFF_X28Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y109 IS_BONDED 0 SITEPROP TIEOFF_X28Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y109 IS_PAD 0 SITEPROP TIEOFF_X28Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y109 IS_RESERVED 0 SITEPROP TIEOFF_X28Y109 IS_TEST 0 SITEPROP TIEOFF_X28Y109 IS_USED 0 SITEPROP TIEOFF_X28Y109 MANUAL_ROUTING SITEPROP TIEOFF_X28Y109 NAME TIEOFF_X28Y109 SITEPROP TIEOFF_X28Y109 NUM_ARCS 0 SITEPROP TIEOFF_X28Y109 NUM_BELS 2 SITEPROP TIEOFF_X28Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y109 NUM_PINS 2 SITEPROP TIEOFF_X28Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y109 PROHIBIT 0 SITEPROP TIEOFF_X28Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y109 RPM_X 112 SITEPROP TIEOFF_X28Y109 RPM_Y 218 SITEPROP TIEOFF_X28Y109 SITE_PIPS SITEPROP TIEOFF_X28Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y110 CLASS site SITEPROP TIEOFF_X28Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y110 IS_BONDED 0 SITEPROP TIEOFF_X28Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y110 IS_PAD 0 SITEPROP TIEOFF_X28Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y110 IS_RESERVED 0 SITEPROP TIEOFF_X28Y110 IS_TEST 0 SITEPROP TIEOFF_X28Y110 IS_USED 0 SITEPROP TIEOFF_X28Y110 MANUAL_ROUTING SITEPROP TIEOFF_X28Y110 NAME TIEOFF_X28Y110 SITEPROP TIEOFF_X28Y110 NUM_ARCS 0 SITEPROP TIEOFF_X28Y110 NUM_BELS 2 SITEPROP TIEOFF_X28Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y110 NUM_PINS 2 SITEPROP TIEOFF_X28Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y110 PROHIBIT 0 SITEPROP TIEOFF_X28Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y110 RPM_X 112 SITEPROP TIEOFF_X28Y110 RPM_Y 220 SITEPROP TIEOFF_X28Y110 SITE_PIPS SITEPROP TIEOFF_X28Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y111 CLASS site SITEPROP TIEOFF_X28Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y111 IS_BONDED 0 SITEPROP TIEOFF_X28Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y111 IS_PAD 0 SITEPROP TIEOFF_X28Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y111 IS_RESERVED 0 SITEPROP TIEOFF_X28Y111 IS_TEST 0 SITEPROP TIEOFF_X28Y111 IS_USED 0 SITEPROP TIEOFF_X28Y111 MANUAL_ROUTING SITEPROP TIEOFF_X28Y111 NAME TIEOFF_X28Y111 SITEPROP TIEOFF_X28Y111 NUM_ARCS 0 SITEPROP TIEOFF_X28Y111 NUM_BELS 2 SITEPROP TIEOFF_X28Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y111 NUM_PINS 2 SITEPROP TIEOFF_X28Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y111 PROHIBIT 0 SITEPROP TIEOFF_X28Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y111 RPM_X 112 SITEPROP TIEOFF_X28Y111 RPM_Y 222 SITEPROP TIEOFF_X28Y111 SITE_PIPS SITEPROP TIEOFF_X28Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y112 CLASS site SITEPROP TIEOFF_X28Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y112 IS_BONDED 0 SITEPROP TIEOFF_X28Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y112 IS_PAD 0 SITEPROP TIEOFF_X28Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y112 IS_RESERVED 0 SITEPROP TIEOFF_X28Y112 IS_TEST 0 SITEPROP TIEOFF_X28Y112 IS_USED 0 SITEPROP TIEOFF_X28Y112 MANUAL_ROUTING SITEPROP TIEOFF_X28Y112 NAME TIEOFF_X28Y112 SITEPROP TIEOFF_X28Y112 NUM_ARCS 0 SITEPROP TIEOFF_X28Y112 NUM_BELS 2 SITEPROP TIEOFF_X28Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y112 NUM_PINS 2 SITEPROP TIEOFF_X28Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y112 PROHIBIT 0 SITEPROP TIEOFF_X28Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y112 RPM_X 112 SITEPROP TIEOFF_X28Y112 RPM_Y 224 SITEPROP TIEOFF_X28Y112 SITE_PIPS SITEPROP TIEOFF_X28Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y113 CLASS site SITEPROP TIEOFF_X28Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y113 IS_BONDED 0 SITEPROP TIEOFF_X28Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y113 IS_PAD 0 SITEPROP TIEOFF_X28Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y113 IS_RESERVED 0 SITEPROP TIEOFF_X28Y113 IS_TEST 0 SITEPROP TIEOFF_X28Y113 IS_USED 0 SITEPROP TIEOFF_X28Y113 MANUAL_ROUTING SITEPROP TIEOFF_X28Y113 NAME TIEOFF_X28Y113 SITEPROP TIEOFF_X28Y113 NUM_ARCS 0 SITEPROP TIEOFF_X28Y113 NUM_BELS 2 SITEPROP TIEOFF_X28Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y113 NUM_PINS 2 SITEPROP TIEOFF_X28Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y113 PROHIBIT 0 SITEPROP TIEOFF_X28Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y113 RPM_X 112 SITEPROP TIEOFF_X28Y113 RPM_Y 226 SITEPROP TIEOFF_X28Y113 SITE_PIPS SITEPROP TIEOFF_X28Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y114 CLASS site SITEPROP TIEOFF_X28Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y114 IS_BONDED 0 SITEPROP TIEOFF_X28Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y114 IS_PAD 0 SITEPROP TIEOFF_X28Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y114 IS_RESERVED 0 SITEPROP TIEOFF_X28Y114 IS_TEST 0 SITEPROP TIEOFF_X28Y114 IS_USED 0 SITEPROP TIEOFF_X28Y114 MANUAL_ROUTING SITEPROP TIEOFF_X28Y114 NAME TIEOFF_X28Y114 SITEPROP TIEOFF_X28Y114 NUM_ARCS 0 SITEPROP TIEOFF_X28Y114 NUM_BELS 2 SITEPROP TIEOFF_X28Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y114 NUM_PINS 2 SITEPROP TIEOFF_X28Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y114 PROHIBIT 0 SITEPROP TIEOFF_X28Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y114 RPM_X 112 SITEPROP TIEOFF_X28Y114 RPM_Y 228 SITEPROP TIEOFF_X28Y114 SITE_PIPS SITEPROP TIEOFF_X28Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y115 CLASS site SITEPROP TIEOFF_X28Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y115 IS_BONDED 0 SITEPROP TIEOFF_X28Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y115 IS_PAD 0 SITEPROP TIEOFF_X28Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y115 IS_RESERVED 0 SITEPROP TIEOFF_X28Y115 IS_TEST 0 SITEPROP TIEOFF_X28Y115 IS_USED 0 SITEPROP TIEOFF_X28Y115 MANUAL_ROUTING SITEPROP TIEOFF_X28Y115 NAME TIEOFF_X28Y115 SITEPROP TIEOFF_X28Y115 NUM_ARCS 0 SITEPROP TIEOFF_X28Y115 NUM_BELS 2 SITEPROP TIEOFF_X28Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y115 NUM_PINS 2 SITEPROP TIEOFF_X28Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y115 PROHIBIT 0 SITEPROP TIEOFF_X28Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y115 RPM_X 112 SITEPROP TIEOFF_X28Y115 RPM_Y 230 SITEPROP TIEOFF_X28Y115 SITE_PIPS SITEPROP TIEOFF_X28Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y116 CLASS site SITEPROP TIEOFF_X28Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y116 IS_BONDED 0 SITEPROP TIEOFF_X28Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y116 IS_PAD 0 SITEPROP TIEOFF_X28Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y116 IS_RESERVED 0 SITEPROP TIEOFF_X28Y116 IS_TEST 0 SITEPROP TIEOFF_X28Y116 IS_USED 0 SITEPROP TIEOFF_X28Y116 MANUAL_ROUTING SITEPROP TIEOFF_X28Y116 NAME TIEOFF_X28Y116 SITEPROP TIEOFF_X28Y116 NUM_ARCS 0 SITEPROP TIEOFF_X28Y116 NUM_BELS 2 SITEPROP TIEOFF_X28Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y116 NUM_PINS 2 SITEPROP TIEOFF_X28Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y116 PROHIBIT 0 SITEPROP TIEOFF_X28Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y116 RPM_X 112 SITEPROP TIEOFF_X28Y116 RPM_Y 232 SITEPROP TIEOFF_X28Y116 SITE_PIPS SITEPROP TIEOFF_X28Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y117 CLASS site SITEPROP TIEOFF_X28Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y117 IS_BONDED 0 SITEPROP TIEOFF_X28Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y117 IS_PAD 0 SITEPROP TIEOFF_X28Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y117 IS_RESERVED 0 SITEPROP TIEOFF_X28Y117 IS_TEST 0 SITEPROP TIEOFF_X28Y117 IS_USED 0 SITEPROP TIEOFF_X28Y117 MANUAL_ROUTING SITEPROP TIEOFF_X28Y117 NAME TIEOFF_X28Y117 SITEPROP TIEOFF_X28Y117 NUM_ARCS 0 SITEPROP TIEOFF_X28Y117 NUM_BELS 2 SITEPROP TIEOFF_X28Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y117 NUM_PINS 2 SITEPROP TIEOFF_X28Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y117 PROHIBIT 0 SITEPROP TIEOFF_X28Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y117 RPM_X 112 SITEPROP TIEOFF_X28Y117 RPM_Y 234 SITEPROP TIEOFF_X28Y117 SITE_PIPS SITEPROP TIEOFF_X28Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y118 CLASS site SITEPROP TIEOFF_X28Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y118 IS_BONDED 0 SITEPROP TIEOFF_X28Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y118 IS_PAD 0 SITEPROP TIEOFF_X28Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y118 IS_RESERVED 0 SITEPROP TIEOFF_X28Y118 IS_TEST 0 SITEPROP TIEOFF_X28Y118 IS_USED 0 SITEPROP TIEOFF_X28Y118 MANUAL_ROUTING SITEPROP TIEOFF_X28Y118 NAME TIEOFF_X28Y118 SITEPROP TIEOFF_X28Y118 NUM_ARCS 0 SITEPROP TIEOFF_X28Y118 NUM_BELS 2 SITEPROP TIEOFF_X28Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y118 NUM_PINS 2 SITEPROP TIEOFF_X28Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y118 PROHIBIT 0 SITEPROP TIEOFF_X28Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y118 RPM_X 112 SITEPROP TIEOFF_X28Y118 RPM_Y 236 SITEPROP TIEOFF_X28Y118 SITE_PIPS SITEPROP TIEOFF_X28Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y119 CLASS site SITEPROP TIEOFF_X28Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y119 IS_BONDED 0 SITEPROP TIEOFF_X28Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y119 IS_PAD 0 SITEPROP TIEOFF_X28Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y119 IS_RESERVED 0 SITEPROP TIEOFF_X28Y119 IS_TEST 0 SITEPROP TIEOFF_X28Y119 IS_USED 0 SITEPROP TIEOFF_X28Y119 MANUAL_ROUTING SITEPROP TIEOFF_X28Y119 NAME TIEOFF_X28Y119 SITEPROP TIEOFF_X28Y119 NUM_ARCS 0 SITEPROP TIEOFF_X28Y119 NUM_BELS 2 SITEPROP TIEOFF_X28Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y119 NUM_PINS 2 SITEPROP TIEOFF_X28Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y119 PROHIBIT 0 SITEPROP TIEOFF_X28Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y119 RPM_X 112 SITEPROP TIEOFF_X28Y119 RPM_Y 238 SITEPROP TIEOFF_X28Y119 SITE_PIPS SITEPROP TIEOFF_X28Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y120 CLASS site SITEPROP TIEOFF_X28Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y120 IS_BONDED 0 SITEPROP TIEOFF_X28Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y120 IS_PAD 0 SITEPROP TIEOFF_X28Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y120 IS_RESERVED 0 SITEPROP TIEOFF_X28Y120 IS_TEST 0 SITEPROP TIEOFF_X28Y120 IS_USED 0 SITEPROP TIEOFF_X28Y120 MANUAL_ROUTING SITEPROP TIEOFF_X28Y120 NAME TIEOFF_X28Y120 SITEPROP TIEOFF_X28Y120 NUM_ARCS 0 SITEPROP TIEOFF_X28Y120 NUM_BELS 2 SITEPROP TIEOFF_X28Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y120 NUM_PINS 2 SITEPROP TIEOFF_X28Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y120 PROHIBIT 0 SITEPROP TIEOFF_X28Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y120 RPM_X 112 SITEPROP TIEOFF_X28Y120 RPM_Y 240 SITEPROP TIEOFF_X28Y120 SITE_PIPS SITEPROP TIEOFF_X28Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y121 CLASS site SITEPROP TIEOFF_X28Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y121 IS_BONDED 0 SITEPROP TIEOFF_X28Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y121 IS_PAD 0 SITEPROP TIEOFF_X28Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y121 IS_RESERVED 0 SITEPROP TIEOFF_X28Y121 IS_TEST 0 SITEPROP TIEOFF_X28Y121 IS_USED 0 SITEPROP TIEOFF_X28Y121 MANUAL_ROUTING SITEPROP TIEOFF_X28Y121 NAME TIEOFF_X28Y121 SITEPROP TIEOFF_X28Y121 NUM_ARCS 0 SITEPROP TIEOFF_X28Y121 NUM_BELS 2 SITEPROP TIEOFF_X28Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y121 NUM_PINS 2 SITEPROP TIEOFF_X28Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y121 PROHIBIT 0 SITEPROP TIEOFF_X28Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y121 RPM_X 112 SITEPROP TIEOFF_X28Y121 RPM_Y 242 SITEPROP TIEOFF_X28Y121 SITE_PIPS SITEPROP TIEOFF_X28Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y122 CLASS site SITEPROP TIEOFF_X28Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y122 IS_BONDED 0 SITEPROP TIEOFF_X28Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y122 IS_PAD 0 SITEPROP TIEOFF_X28Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y122 IS_RESERVED 0 SITEPROP TIEOFF_X28Y122 IS_TEST 0 SITEPROP TIEOFF_X28Y122 IS_USED 0 SITEPROP TIEOFF_X28Y122 MANUAL_ROUTING SITEPROP TIEOFF_X28Y122 NAME TIEOFF_X28Y122 SITEPROP TIEOFF_X28Y122 NUM_ARCS 0 SITEPROP TIEOFF_X28Y122 NUM_BELS 2 SITEPROP TIEOFF_X28Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y122 NUM_PINS 2 SITEPROP TIEOFF_X28Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y122 PROHIBIT 0 SITEPROP TIEOFF_X28Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y122 RPM_X 112 SITEPROP TIEOFF_X28Y122 RPM_Y 244 SITEPROP TIEOFF_X28Y122 SITE_PIPS SITEPROP TIEOFF_X28Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y123 CLASS site SITEPROP TIEOFF_X28Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y123 IS_BONDED 0 SITEPROP TIEOFF_X28Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y123 IS_PAD 0 SITEPROP TIEOFF_X28Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y123 IS_RESERVED 0 SITEPROP TIEOFF_X28Y123 IS_TEST 0 SITEPROP TIEOFF_X28Y123 IS_USED 0 SITEPROP TIEOFF_X28Y123 MANUAL_ROUTING SITEPROP TIEOFF_X28Y123 NAME TIEOFF_X28Y123 SITEPROP TIEOFF_X28Y123 NUM_ARCS 0 SITEPROP TIEOFF_X28Y123 NUM_BELS 2 SITEPROP TIEOFF_X28Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y123 NUM_PINS 2 SITEPROP TIEOFF_X28Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y123 PROHIBIT 0 SITEPROP TIEOFF_X28Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y123 RPM_X 112 SITEPROP TIEOFF_X28Y123 RPM_Y 246 SITEPROP TIEOFF_X28Y123 SITE_PIPS SITEPROP TIEOFF_X28Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y124 CLASS site SITEPROP TIEOFF_X28Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y124 IS_BONDED 0 SITEPROP TIEOFF_X28Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y124 IS_PAD 0 SITEPROP TIEOFF_X28Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y124 IS_RESERVED 0 SITEPROP TIEOFF_X28Y124 IS_TEST 0 SITEPROP TIEOFF_X28Y124 IS_USED 0 SITEPROP TIEOFF_X28Y124 MANUAL_ROUTING SITEPROP TIEOFF_X28Y124 NAME TIEOFF_X28Y124 SITEPROP TIEOFF_X28Y124 NUM_ARCS 0 SITEPROP TIEOFF_X28Y124 NUM_BELS 2 SITEPROP TIEOFF_X28Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y124 NUM_PINS 2 SITEPROP TIEOFF_X28Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y124 PROHIBIT 0 SITEPROP TIEOFF_X28Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y124 RPM_X 112 SITEPROP TIEOFF_X28Y124 RPM_Y 248 SITEPROP TIEOFF_X28Y124 SITE_PIPS SITEPROP TIEOFF_X28Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y125 CLASS site SITEPROP TIEOFF_X28Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y125 IS_BONDED 0 SITEPROP TIEOFF_X28Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y125 IS_PAD 0 SITEPROP TIEOFF_X28Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y125 IS_RESERVED 0 SITEPROP TIEOFF_X28Y125 IS_TEST 0 SITEPROP TIEOFF_X28Y125 IS_USED 0 SITEPROP TIEOFF_X28Y125 MANUAL_ROUTING SITEPROP TIEOFF_X28Y125 NAME TIEOFF_X28Y125 SITEPROP TIEOFF_X28Y125 NUM_ARCS 0 SITEPROP TIEOFF_X28Y125 NUM_BELS 2 SITEPROP TIEOFF_X28Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y125 NUM_PINS 2 SITEPROP TIEOFF_X28Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y125 PROHIBIT 0 SITEPROP TIEOFF_X28Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y125 RPM_X 112 SITEPROP TIEOFF_X28Y125 RPM_Y 250 SITEPROP TIEOFF_X28Y125 SITE_PIPS SITEPROP TIEOFF_X28Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y126 CLASS site SITEPROP TIEOFF_X28Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y126 IS_BONDED 0 SITEPROP TIEOFF_X28Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y126 IS_PAD 0 SITEPROP TIEOFF_X28Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y126 IS_RESERVED 0 SITEPROP TIEOFF_X28Y126 IS_TEST 0 SITEPROP TIEOFF_X28Y126 IS_USED 0 SITEPROP TIEOFF_X28Y126 MANUAL_ROUTING SITEPROP TIEOFF_X28Y126 NAME TIEOFF_X28Y126 SITEPROP TIEOFF_X28Y126 NUM_ARCS 0 SITEPROP TIEOFF_X28Y126 NUM_BELS 2 SITEPROP TIEOFF_X28Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y126 NUM_PINS 2 SITEPROP TIEOFF_X28Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y126 PROHIBIT 0 SITEPROP TIEOFF_X28Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y126 RPM_X 112 SITEPROP TIEOFF_X28Y126 RPM_Y 252 SITEPROP TIEOFF_X28Y126 SITE_PIPS SITEPROP TIEOFF_X28Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y127 CLASS site SITEPROP TIEOFF_X28Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y127 IS_BONDED 0 SITEPROP TIEOFF_X28Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y127 IS_PAD 0 SITEPROP TIEOFF_X28Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y127 IS_RESERVED 0 SITEPROP TIEOFF_X28Y127 IS_TEST 0 SITEPROP TIEOFF_X28Y127 IS_USED 0 SITEPROP TIEOFF_X28Y127 MANUAL_ROUTING SITEPROP TIEOFF_X28Y127 NAME TIEOFF_X28Y127 SITEPROP TIEOFF_X28Y127 NUM_ARCS 0 SITEPROP TIEOFF_X28Y127 NUM_BELS 2 SITEPROP TIEOFF_X28Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y127 NUM_PINS 2 SITEPROP TIEOFF_X28Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y127 PROHIBIT 0 SITEPROP TIEOFF_X28Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y127 RPM_X 112 SITEPROP TIEOFF_X28Y127 RPM_Y 254 SITEPROP TIEOFF_X28Y127 SITE_PIPS SITEPROP TIEOFF_X28Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y128 CLASS site SITEPROP TIEOFF_X28Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y128 IS_BONDED 0 SITEPROP TIEOFF_X28Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y128 IS_PAD 0 SITEPROP TIEOFF_X28Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y128 IS_RESERVED 0 SITEPROP TIEOFF_X28Y128 IS_TEST 0 SITEPROP TIEOFF_X28Y128 IS_USED 0 SITEPROP TIEOFF_X28Y128 MANUAL_ROUTING SITEPROP TIEOFF_X28Y128 NAME TIEOFF_X28Y128 SITEPROP TIEOFF_X28Y128 NUM_ARCS 0 SITEPROP TIEOFF_X28Y128 NUM_BELS 2 SITEPROP TIEOFF_X28Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y128 NUM_PINS 2 SITEPROP TIEOFF_X28Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y128 PROHIBIT 0 SITEPROP TIEOFF_X28Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y128 RPM_X 112 SITEPROP TIEOFF_X28Y128 RPM_Y 256 SITEPROP TIEOFF_X28Y128 SITE_PIPS SITEPROP TIEOFF_X28Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y129 CLASS site SITEPROP TIEOFF_X28Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y129 IS_BONDED 0 SITEPROP TIEOFF_X28Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y129 IS_PAD 0 SITEPROP TIEOFF_X28Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y129 IS_RESERVED 0 SITEPROP TIEOFF_X28Y129 IS_TEST 0 SITEPROP TIEOFF_X28Y129 IS_USED 0 SITEPROP TIEOFF_X28Y129 MANUAL_ROUTING SITEPROP TIEOFF_X28Y129 NAME TIEOFF_X28Y129 SITEPROP TIEOFF_X28Y129 NUM_ARCS 0 SITEPROP TIEOFF_X28Y129 NUM_BELS 2 SITEPROP TIEOFF_X28Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y129 NUM_PINS 2 SITEPROP TIEOFF_X28Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y129 PROHIBIT 0 SITEPROP TIEOFF_X28Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y129 RPM_X 112 SITEPROP TIEOFF_X28Y129 RPM_Y 258 SITEPROP TIEOFF_X28Y129 SITE_PIPS SITEPROP TIEOFF_X28Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y130 CLASS site SITEPROP TIEOFF_X28Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y130 IS_BONDED 0 SITEPROP TIEOFF_X28Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y130 IS_PAD 0 SITEPROP TIEOFF_X28Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y130 IS_RESERVED 0 SITEPROP TIEOFF_X28Y130 IS_TEST 0 SITEPROP TIEOFF_X28Y130 IS_USED 0 SITEPROP TIEOFF_X28Y130 MANUAL_ROUTING SITEPROP TIEOFF_X28Y130 NAME TIEOFF_X28Y130 SITEPROP TIEOFF_X28Y130 NUM_ARCS 0 SITEPROP TIEOFF_X28Y130 NUM_BELS 2 SITEPROP TIEOFF_X28Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y130 NUM_PINS 2 SITEPROP TIEOFF_X28Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y130 PROHIBIT 0 SITEPROP TIEOFF_X28Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y130 RPM_X 112 SITEPROP TIEOFF_X28Y130 RPM_Y 260 SITEPROP TIEOFF_X28Y130 SITE_PIPS SITEPROP TIEOFF_X28Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y131 CLASS site SITEPROP TIEOFF_X28Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y131 IS_BONDED 0 SITEPROP TIEOFF_X28Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y131 IS_PAD 0 SITEPROP TIEOFF_X28Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y131 IS_RESERVED 0 SITEPROP TIEOFF_X28Y131 IS_TEST 0 SITEPROP TIEOFF_X28Y131 IS_USED 0 SITEPROP TIEOFF_X28Y131 MANUAL_ROUTING SITEPROP TIEOFF_X28Y131 NAME TIEOFF_X28Y131 SITEPROP TIEOFF_X28Y131 NUM_ARCS 0 SITEPROP TIEOFF_X28Y131 NUM_BELS 2 SITEPROP TIEOFF_X28Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y131 NUM_PINS 2 SITEPROP TIEOFF_X28Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y131 PROHIBIT 0 SITEPROP TIEOFF_X28Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y131 RPM_X 112 SITEPROP TIEOFF_X28Y131 RPM_Y 262 SITEPROP TIEOFF_X28Y131 SITE_PIPS SITEPROP TIEOFF_X28Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y132 CLASS site SITEPROP TIEOFF_X28Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y132 IS_BONDED 0 SITEPROP TIEOFF_X28Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y132 IS_PAD 0 SITEPROP TIEOFF_X28Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y132 IS_RESERVED 0 SITEPROP TIEOFF_X28Y132 IS_TEST 0 SITEPROP TIEOFF_X28Y132 IS_USED 0 SITEPROP TIEOFF_X28Y132 MANUAL_ROUTING SITEPROP TIEOFF_X28Y132 NAME TIEOFF_X28Y132 SITEPROP TIEOFF_X28Y132 NUM_ARCS 0 SITEPROP TIEOFF_X28Y132 NUM_BELS 2 SITEPROP TIEOFF_X28Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y132 NUM_PINS 2 SITEPROP TIEOFF_X28Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y132 PROHIBIT 0 SITEPROP TIEOFF_X28Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y132 RPM_X 112 SITEPROP TIEOFF_X28Y132 RPM_Y 264 SITEPROP TIEOFF_X28Y132 SITE_PIPS SITEPROP TIEOFF_X28Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y133 CLASS site SITEPROP TIEOFF_X28Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y133 IS_BONDED 0 SITEPROP TIEOFF_X28Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y133 IS_PAD 0 SITEPROP TIEOFF_X28Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y133 IS_RESERVED 0 SITEPROP TIEOFF_X28Y133 IS_TEST 0 SITEPROP TIEOFF_X28Y133 IS_USED 0 SITEPROP TIEOFF_X28Y133 MANUAL_ROUTING SITEPROP TIEOFF_X28Y133 NAME TIEOFF_X28Y133 SITEPROP TIEOFF_X28Y133 NUM_ARCS 0 SITEPROP TIEOFF_X28Y133 NUM_BELS 2 SITEPROP TIEOFF_X28Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y133 NUM_PINS 2 SITEPROP TIEOFF_X28Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y133 PROHIBIT 0 SITEPROP TIEOFF_X28Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y133 RPM_X 112 SITEPROP TIEOFF_X28Y133 RPM_Y 266 SITEPROP TIEOFF_X28Y133 SITE_PIPS SITEPROP TIEOFF_X28Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y134 CLASS site SITEPROP TIEOFF_X28Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y134 IS_BONDED 0 SITEPROP TIEOFF_X28Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y134 IS_PAD 0 SITEPROP TIEOFF_X28Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y134 IS_RESERVED 0 SITEPROP TIEOFF_X28Y134 IS_TEST 0 SITEPROP TIEOFF_X28Y134 IS_USED 0 SITEPROP TIEOFF_X28Y134 MANUAL_ROUTING SITEPROP TIEOFF_X28Y134 NAME TIEOFF_X28Y134 SITEPROP TIEOFF_X28Y134 NUM_ARCS 0 SITEPROP TIEOFF_X28Y134 NUM_BELS 2 SITEPROP TIEOFF_X28Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y134 NUM_PINS 2 SITEPROP TIEOFF_X28Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y134 PROHIBIT 0 SITEPROP TIEOFF_X28Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y134 RPM_X 112 SITEPROP TIEOFF_X28Y134 RPM_Y 268 SITEPROP TIEOFF_X28Y134 SITE_PIPS SITEPROP TIEOFF_X28Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y135 CLASS site SITEPROP TIEOFF_X28Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y135 IS_BONDED 0 SITEPROP TIEOFF_X28Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y135 IS_PAD 0 SITEPROP TIEOFF_X28Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y135 IS_RESERVED 0 SITEPROP TIEOFF_X28Y135 IS_TEST 0 SITEPROP TIEOFF_X28Y135 IS_USED 0 SITEPROP TIEOFF_X28Y135 MANUAL_ROUTING SITEPROP TIEOFF_X28Y135 NAME TIEOFF_X28Y135 SITEPROP TIEOFF_X28Y135 NUM_ARCS 0 SITEPROP TIEOFF_X28Y135 NUM_BELS 2 SITEPROP TIEOFF_X28Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y135 NUM_PINS 2 SITEPROP TIEOFF_X28Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y135 PROHIBIT 0 SITEPROP TIEOFF_X28Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y135 RPM_X 112 SITEPROP TIEOFF_X28Y135 RPM_Y 270 SITEPROP TIEOFF_X28Y135 SITE_PIPS SITEPROP TIEOFF_X28Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y136 CLASS site SITEPROP TIEOFF_X28Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y136 IS_BONDED 0 SITEPROP TIEOFF_X28Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y136 IS_PAD 0 SITEPROP TIEOFF_X28Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y136 IS_RESERVED 0 SITEPROP TIEOFF_X28Y136 IS_TEST 0 SITEPROP TIEOFF_X28Y136 IS_USED 0 SITEPROP TIEOFF_X28Y136 MANUAL_ROUTING SITEPROP TIEOFF_X28Y136 NAME TIEOFF_X28Y136 SITEPROP TIEOFF_X28Y136 NUM_ARCS 0 SITEPROP TIEOFF_X28Y136 NUM_BELS 2 SITEPROP TIEOFF_X28Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y136 NUM_PINS 2 SITEPROP TIEOFF_X28Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y136 PROHIBIT 0 SITEPROP TIEOFF_X28Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y136 RPM_X 112 SITEPROP TIEOFF_X28Y136 RPM_Y 272 SITEPROP TIEOFF_X28Y136 SITE_PIPS SITEPROP TIEOFF_X28Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y137 CLASS site SITEPROP TIEOFF_X28Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y137 IS_BONDED 0 SITEPROP TIEOFF_X28Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y137 IS_PAD 0 SITEPROP TIEOFF_X28Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y137 IS_RESERVED 0 SITEPROP TIEOFF_X28Y137 IS_TEST 0 SITEPROP TIEOFF_X28Y137 IS_USED 0 SITEPROP TIEOFF_X28Y137 MANUAL_ROUTING SITEPROP TIEOFF_X28Y137 NAME TIEOFF_X28Y137 SITEPROP TIEOFF_X28Y137 NUM_ARCS 0 SITEPROP TIEOFF_X28Y137 NUM_BELS 2 SITEPROP TIEOFF_X28Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y137 NUM_PINS 2 SITEPROP TIEOFF_X28Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y137 PROHIBIT 0 SITEPROP TIEOFF_X28Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y137 RPM_X 112 SITEPROP TIEOFF_X28Y137 RPM_Y 274 SITEPROP TIEOFF_X28Y137 SITE_PIPS SITEPROP TIEOFF_X28Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y138 CLASS site SITEPROP TIEOFF_X28Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y138 IS_BONDED 0 SITEPROP TIEOFF_X28Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y138 IS_PAD 0 SITEPROP TIEOFF_X28Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y138 IS_RESERVED 0 SITEPROP TIEOFF_X28Y138 IS_TEST 0 SITEPROP TIEOFF_X28Y138 IS_USED 0 SITEPROP TIEOFF_X28Y138 MANUAL_ROUTING SITEPROP TIEOFF_X28Y138 NAME TIEOFF_X28Y138 SITEPROP TIEOFF_X28Y138 NUM_ARCS 0 SITEPROP TIEOFF_X28Y138 NUM_BELS 2 SITEPROP TIEOFF_X28Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y138 NUM_PINS 2 SITEPROP TIEOFF_X28Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y138 PROHIBIT 0 SITEPROP TIEOFF_X28Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y138 RPM_X 112 SITEPROP TIEOFF_X28Y138 RPM_Y 276 SITEPROP TIEOFF_X28Y138 SITE_PIPS SITEPROP TIEOFF_X28Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y139 CLASS site SITEPROP TIEOFF_X28Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y139 IS_BONDED 0 SITEPROP TIEOFF_X28Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y139 IS_PAD 0 SITEPROP TIEOFF_X28Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y139 IS_RESERVED 0 SITEPROP TIEOFF_X28Y139 IS_TEST 0 SITEPROP TIEOFF_X28Y139 IS_USED 0 SITEPROP TIEOFF_X28Y139 MANUAL_ROUTING SITEPROP TIEOFF_X28Y139 NAME TIEOFF_X28Y139 SITEPROP TIEOFF_X28Y139 NUM_ARCS 0 SITEPROP TIEOFF_X28Y139 NUM_BELS 2 SITEPROP TIEOFF_X28Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y139 NUM_PINS 2 SITEPROP TIEOFF_X28Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y139 PROHIBIT 0 SITEPROP TIEOFF_X28Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y139 RPM_X 112 SITEPROP TIEOFF_X28Y139 RPM_Y 278 SITEPROP TIEOFF_X28Y139 SITE_PIPS SITEPROP TIEOFF_X28Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y140 CLASS site SITEPROP TIEOFF_X28Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y140 IS_BONDED 0 SITEPROP TIEOFF_X28Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y140 IS_PAD 0 SITEPROP TIEOFF_X28Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y140 IS_RESERVED 0 SITEPROP TIEOFF_X28Y140 IS_TEST 0 SITEPROP TIEOFF_X28Y140 IS_USED 0 SITEPROP TIEOFF_X28Y140 MANUAL_ROUTING SITEPROP TIEOFF_X28Y140 NAME TIEOFF_X28Y140 SITEPROP TIEOFF_X28Y140 NUM_ARCS 0 SITEPROP TIEOFF_X28Y140 NUM_BELS 2 SITEPROP TIEOFF_X28Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y140 NUM_PINS 2 SITEPROP TIEOFF_X28Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y140 PROHIBIT 0 SITEPROP TIEOFF_X28Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y140 RPM_X 112 SITEPROP TIEOFF_X28Y140 RPM_Y 280 SITEPROP TIEOFF_X28Y140 SITE_PIPS SITEPROP TIEOFF_X28Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y141 CLASS site SITEPROP TIEOFF_X28Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y141 IS_BONDED 0 SITEPROP TIEOFF_X28Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y141 IS_PAD 0 SITEPROP TIEOFF_X28Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y141 IS_RESERVED 0 SITEPROP TIEOFF_X28Y141 IS_TEST 0 SITEPROP TIEOFF_X28Y141 IS_USED 0 SITEPROP TIEOFF_X28Y141 MANUAL_ROUTING SITEPROP TIEOFF_X28Y141 NAME TIEOFF_X28Y141 SITEPROP TIEOFF_X28Y141 NUM_ARCS 0 SITEPROP TIEOFF_X28Y141 NUM_BELS 2 SITEPROP TIEOFF_X28Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y141 NUM_PINS 2 SITEPROP TIEOFF_X28Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y141 PROHIBIT 0 SITEPROP TIEOFF_X28Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y141 RPM_X 112 SITEPROP TIEOFF_X28Y141 RPM_Y 282 SITEPROP TIEOFF_X28Y141 SITE_PIPS SITEPROP TIEOFF_X28Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y142 CLASS site SITEPROP TIEOFF_X28Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y142 IS_BONDED 0 SITEPROP TIEOFF_X28Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y142 IS_PAD 0 SITEPROP TIEOFF_X28Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y142 IS_RESERVED 0 SITEPROP TIEOFF_X28Y142 IS_TEST 0 SITEPROP TIEOFF_X28Y142 IS_USED 0 SITEPROP TIEOFF_X28Y142 MANUAL_ROUTING SITEPROP TIEOFF_X28Y142 NAME TIEOFF_X28Y142 SITEPROP TIEOFF_X28Y142 NUM_ARCS 0 SITEPROP TIEOFF_X28Y142 NUM_BELS 2 SITEPROP TIEOFF_X28Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y142 NUM_PINS 2 SITEPROP TIEOFF_X28Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y142 PROHIBIT 0 SITEPROP TIEOFF_X28Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y142 RPM_X 112 SITEPROP TIEOFF_X28Y142 RPM_Y 284 SITEPROP TIEOFF_X28Y142 SITE_PIPS SITEPROP TIEOFF_X28Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y143 CLASS site SITEPROP TIEOFF_X28Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y143 IS_BONDED 0 SITEPROP TIEOFF_X28Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y143 IS_PAD 0 SITEPROP TIEOFF_X28Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y143 IS_RESERVED 0 SITEPROP TIEOFF_X28Y143 IS_TEST 0 SITEPROP TIEOFF_X28Y143 IS_USED 0 SITEPROP TIEOFF_X28Y143 MANUAL_ROUTING SITEPROP TIEOFF_X28Y143 NAME TIEOFF_X28Y143 SITEPROP TIEOFF_X28Y143 NUM_ARCS 0 SITEPROP TIEOFF_X28Y143 NUM_BELS 2 SITEPROP TIEOFF_X28Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y143 NUM_PINS 2 SITEPROP TIEOFF_X28Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y143 PROHIBIT 0 SITEPROP TIEOFF_X28Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y143 RPM_X 112 SITEPROP TIEOFF_X28Y143 RPM_Y 286 SITEPROP TIEOFF_X28Y143 SITE_PIPS SITEPROP TIEOFF_X28Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y144 CLASS site SITEPROP TIEOFF_X28Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y144 IS_BONDED 0 SITEPROP TIEOFF_X28Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y144 IS_PAD 0 SITEPROP TIEOFF_X28Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y144 IS_RESERVED 0 SITEPROP TIEOFF_X28Y144 IS_TEST 0 SITEPROP TIEOFF_X28Y144 IS_USED 0 SITEPROP TIEOFF_X28Y144 MANUAL_ROUTING SITEPROP TIEOFF_X28Y144 NAME TIEOFF_X28Y144 SITEPROP TIEOFF_X28Y144 NUM_ARCS 0 SITEPROP TIEOFF_X28Y144 NUM_BELS 2 SITEPROP TIEOFF_X28Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y144 NUM_PINS 2 SITEPROP TIEOFF_X28Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y144 PROHIBIT 0 SITEPROP TIEOFF_X28Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y144 RPM_X 112 SITEPROP TIEOFF_X28Y144 RPM_Y 288 SITEPROP TIEOFF_X28Y144 SITE_PIPS SITEPROP TIEOFF_X28Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y145 CLASS site SITEPROP TIEOFF_X28Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y145 IS_BONDED 0 SITEPROP TIEOFF_X28Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y145 IS_PAD 0 SITEPROP TIEOFF_X28Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y145 IS_RESERVED 0 SITEPROP TIEOFF_X28Y145 IS_TEST 0 SITEPROP TIEOFF_X28Y145 IS_USED 0 SITEPROP TIEOFF_X28Y145 MANUAL_ROUTING SITEPROP TIEOFF_X28Y145 NAME TIEOFF_X28Y145 SITEPROP TIEOFF_X28Y145 NUM_ARCS 0 SITEPROP TIEOFF_X28Y145 NUM_BELS 2 SITEPROP TIEOFF_X28Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y145 NUM_PINS 2 SITEPROP TIEOFF_X28Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y145 PROHIBIT 0 SITEPROP TIEOFF_X28Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y145 RPM_X 112 SITEPROP TIEOFF_X28Y145 RPM_Y 290 SITEPROP TIEOFF_X28Y145 SITE_PIPS SITEPROP TIEOFF_X28Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y146 CLASS site SITEPROP TIEOFF_X28Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y146 IS_BONDED 0 SITEPROP TIEOFF_X28Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y146 IS_PAD 0 SITEPROP TIEOFF_X28Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y146 IS_RESERVED 0 SITEPROP TIEOFF_X28Y146 IS_TEST 0 SITEPROP TIEOFF_X28Y146 IS_USED 0 SITEPROP TIEOFF_X28Y146 MANUAL_ROUTING SITEPROP TIEOFF_X28Y146 NAME TIEOFF_X28Y146 SITEPROP TIEOFF_X28Y146 NUM_ARCS 0 SITEPROP TIEOFF_X28Y146 NUM_BELS 2 SITEPROP TIEOFF_X28Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y146 NUM_PINS 2 SITEPROP TIEOFF_X28Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y146 PROHIBIT 0 SITEPROP TIEOFF_X28Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y146 RPM_X 112 SITEPROP TIEOFF_X28Y146 RPM_Y 292 SITEPROP TIEOFF_X28Y146 SITE_PIPS SITEPROP TIEOFF_X28Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y147 CLASS site SITEPROP TIEOFF_X28Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y147 IS_BONDED 0 SITEPROP TIEOFF_X28Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y147 IS_PAD 0 SITEPROP TIEOFF_X28Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y147 IS_RESERVED 0 SITEPROP TIEOFF_X28Y147 IS_TEST 0 SITEPROP TIEOFF_X28Y147 IS_USED 0 SITEPROP TIEOFF_X28Y147 MANUAL_ROUTING SITEPROP TIEOFF_X28Y147 NAME TIEOFF_X28Y147 SITEPROP TIEOFF_X28Y147 NUM_ARCS 0 SITEPROP TIEOFF_X28Y147 NUM_BELS 2 SITEPROP TIEOFF_X28Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y147 NUM_PINS 2 SITEPROP TIEOFF_X28Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y147 PROHIBIT 0 SITEPROP TIEOFF_X28Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y147 RPM_X 112 SITEPROP TIEOFF_X28Y147 RPM_Y 294 SITEPROP TIEOFF_X28Y147 SITE_PIPS SITEPROP TIEOFF_X28Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y148 CLASS site SITEPROP TIEOFF_X28Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y148 IS_BONDED 0 SITEPROP TIEOFF_X28Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y148 IS_PAD 0 SITEPROP TIEOFF_X28Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y148 IS_RESERVED 0 SITEPROP TIEOFF_X28Y148 IS_TEST 0 SITEPROP TIEOFF_X28Y148 IS_USED 0 SITEPROP TIEOFF_X28Y148 MANUAL_ROUTING SITEPROP TIEOFF_X28Y148 NAME TIEOFF_X28Y148 SITEPROP TIEOFF_X28Y148 NUM_ARCS 0 SITEPROP TIEOFF_X28Y148 NUM_BELS 2 SITEPROP TIEOFF_X28Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y148 NUM_PINS 2 SITEPROP TIEOFF_X28Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y148 PROHIBIT 0 SITEPROP TIEOFF_X28Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y148 RPM_X 112 SITEPROP TIEOFF_X28Y148 RPM_Y 296 SITEPROP TIEOFF_X28Y148 SITE_PIPS SITEPROP TIEOFF_X28Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X28Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X28Y149 CLASS site SITEPROP TIEOFF_X28Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X28Y149 IS_BONDED 0 SITEPROP TIEOFF_X28Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y149 IS_PAD 0 SITEPROP TIEOFF_X28Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X28Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X28Y149 IS_RESERVED 0 SITEPROP TIEOFF_X28Y149 IS_TEST 0 SITEPROP TIEOFF_X28Y149 IS_USED 0 SITEPROP TIEOFF_X28Y149 MANUAL_ROUTING SITEPROP TIEOFF_X28Y149 NAME TIEOFF_X28Y149 SITEPROP TIEOFF_X28Y149 NUM_ARCS 0 SITEPROP TIEOFF_X28Y149 NUM_BELS 2 SITEPROP TIEOFF_X28Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X28Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X28Y149 NUM_PINS 2 SITEPROP TIEOFF_X28Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X28Y149 PROHIBIT 0 SITEPROP TIEOFF_X28Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X28Y149 RPM_X 112 SITEPROP TIEOFF_X28Y149 RPM_Y 298 SITEPROP TIEOFF_X28Y149 SITE_PIPS SITEPROP TIEOFF_X28Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y0 CLASS site SITEPROP TIEOFF_X29Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y0 IS_BONDED 0 SITEPROP TIEOFF_X29Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y0 IS_PAD 0 SITEPROP TIEOFF_X29Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y0 IS_RESERVED 0 SITEPROP TIEOFF_X29Y0 IS_TEST 0 SITEPROP TIEOFF_X29Y0 IS_USED 0 SITEPROP TIEOFF_X29Y0 MANUAL_ROUTING SITEPROP TIEOFF_X29Y0 NAME TIEOFF_X29Y0 SITEPROP TIEOFF_X29Y0 NUM_ARCS 0 SITEPROP TIEOFF_X29Y0 NUM_BELS 2 SITEPROP TIEOFF_X29Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y0 NUM_PINS 2 SITEPROP TIEOFF_X29Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y0 PROHIBIT 0 SITEPROP TIEOFF_X29Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y0 RPM_X 116 SITEPROP TIEOFF_X29Y0 RPM_Y 0 SITEPROP TIEOFF_X29Y0 SITE_PIPS SITEPROP TIEOFF_X29Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y1 CLASS site SITEPROP TIEOFF_X29Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y1 IS_BONDED 0 SITEPROP TIEOFF_X29Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y1 IS_PAD 0 SITEPROP TIEOFF_X29Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y1 IS_RESERVED 0 SITEPROP TIEOFF_X29Y1 IS_TEST 0 SITEPROP TIEOFF_X29Y1 IS_USED 0 SITEPROP TIEOFF_X29Y1 MANUAL_ROUTING SITEPROP TIEOFF_X29Y1 NAME TIEOFF_X29Y1 SITEPROP TIEOFF_X29Y1 NUM_ARCS 0 SITEPROP TIEOFF_X29Y1 NUM_BELS 2 SITEPROP TIEOFF_X29Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y1 NUM_PINS 2 SITEPROP TIEOFF_X29Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y1 PROHIBIT 0 SITEPROP TIEOFF_X29Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y1 RPM_X 116 SITEPROP TIEOFF_X29Y1 RPM_Y 2 SITEPROP TIEOFF_X29Y1 SITE_PIPS SITEPROP TIEOFF_X29Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y2 CLASS site SITEPROP TIEOFF_X29Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y2 IS_BONDED 0 SITEPROP TIEOFF_X29Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y2 IS_PAD 0 SITEPROP TIEOFF_X29Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y2 IS_RESERVED 0 SITEPROP TIEOFF_X29Y2 IS_TEST 0 SITEPROP TIEOFF_X29Y2 IS_USED 0 SITEPROP TIEOFF_X29Y2 MANUAL_ROUTING SITEPROP TIEOFF_X29Y2 NAME TIEOFF_X29Y2 SITEPROP TIEOFF_X29Y2 NUM_ARCS 0 SITEPROP TIEOFF_X29Y2 NUM_BELS 2 SITEPROP TIEOFF_X29Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y2 NUM_PINS 2 SITEPROP TIEOFF_X29Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y2 PROHIBIT 0 SITEPROP TIEOFF_X29Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y2 RPM_X 116 SITEPROP TIEOFF_X29Y2 RPM_Y 4 SITEPROP TIEOFF_X29Y2 SITE_PIPS SITEPROP TIEOFF_X29Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y3 CLASS site SITEPROP TIEOFF_X29Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y3 IS_BONDED 0 SITEPROP TIEOFF_X29Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y3 IS_PAD 0 SITEPROP TIEOFF_X29Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y3 IS_RESERVED 0 SITEPROP TIEOFF_X29Y3 IS_TEST 0 SITEPROP TIEOFF_X29Y3 IS_USED 0 SITEPROP TIEOFF_X29Y3 MANUAL_ROUTING SITEPROP TIEOFF_X29Y3 NAME TIEOFF_X29Y3 SITEPROP TIEOFF_X29Y3 NUM_ARCS 0 SITEPROP TIEOFF_X29Y3 NUM_BELS 2 SITEPROP TIEOFF_X29Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y3 NUM_PINS 2 SITEPROP TIEOFF_X29Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y3 PROHIBIT 0 SITEPROP TIEOFF_X29Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y3 RPM_X 116 SITEPROP TIEOFF_X29Y3 RPM_Y 6 SITEPROP TIEOFF_X29Y3 SITE_PIPS SITEPROP TIEOFF_X29Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y4 CLASS site SITEPROP TIEOFF_X29Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y4 IS_BONDED 0 SITEPROP TIEOFF_X29Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y4 IS_PAD 0 SITEPROP TIEOFF_X29Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y4 IS_RESERVED 0 SITEPROP TIEOFF_X29Y4 IS_TEST 0 SITEPROP TIEOFF_X29Y4 IS_USED 0 SITEPROP TIEOFF_X29Y4 MANUAL_ROUTING SITEPROP TIEOFF_X29Y4 NAME TIEOFF_X29Y4 SITEPROP TIEOFF_X29Y4 NUM_ARCS 0 SITEPROP TIEOFF_X29Y4 NUM_BELS 2 SITEPROP TIEOFF_X29Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y4 NUM_PINS 2 SITEPROP TIEOFF_X29Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y4 PROHIBIT 0 SITEPROP TIEOFF_X29Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y4 RPM_X 116 SITEPROP TIEOFF_X29Y4 RPM_Y 8 SITEPROP TIEOFF_X29Y4 SITE_PIPS SITEPROP TIEOFF_X29Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y5 CLASS site SITEPROP TIEOFF_X29Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y5 IS_BONDED 0 SITEPROP TIEOFF_X29Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y5 IS_PAD 0 SITEPROP TIEOFF_X29Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y5 IS_RESERVED 0 SITEPROP TIEOFF_X29Y5 IS_TEST 0 SITEPROP TIEOFF_X29Y5 IS_USED 0 SITEPROP TIEOFF_X29Y5 MANUAL_ROUTING SITEPROP TIEOFF_X29Y5 NAME TIEOFF_X29Y5 SITEPROP TIEOFF_X29Y5 NUM_ARCS 0 SITEPROP TIEOFF_X29Y5 NUM_BELS 2 SITEPROP TIEOFF_X29Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y5 NUM_PINS 2 SITEPROP TIEOFF_X29Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y5 PROHIBIT 0 SITEPROP TIEOFF_X29Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y5 RPM_X 116 SITEPROP TIEOFF_X29Y5 RPM_Y 10 SITEPROP TIEOFF_X29Y5 SITE_PIPS SITEPROP TIEOFF_X29Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y6 CLASS site SITEPROP TIEOFF_X29Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y6 IS_BONDED 0 SITEPROP TIEOFF_X29Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y6 IS_PAD 0 SITEPROP TIEOFF_X29Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y6 IS_RESERVED 0 SITEPROP TIEOFF_X29Y6 IS_TEST 0 SITEPROP TIEOFF_X29Y6 IS_USED 0 SITEPROP TIEOFF_X29Y6 MANUAL_ROUTING SITEPROP TIEOFF_X29Y6 NAME TIEOFF_X29Y6 SITEPROP TIEOFF_X29Y6 NUM_ARCS 0 SITEPROP TIEOFF_X29Y6 NUM_BELS 2 SITEPROP TIEOFF_X29Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y6 NUM_PINS 2 SITEPROP TIEOFF_X29Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y6 PROHIBIT 0 SITEPROP TIEOFF_X29Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y6 RPM_X 116 SITEPROP TIEOFF_X29Y6 RPM_Y 12 SITEPROP TIEOFF_X29Y6 SITE_PIPS SITEPROP TIEOFF_X29Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y7 CLASS site SITEPROP TIEOFF_X29Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y7 IS_BONDED 0 SITEPROP TIEOFF_X29Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y7 IS_PAD 0 SITEPROP TIEOFF_X29Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y7 IS_RESERVED 0 SITEPROP TIEOFF_X29Y7 IS_TEST 0 SITEPROP TIEOFF_X29Y7 IS_USED 0 SITEPROP TIEOFF_X29Y7 MANUAL_ROUTING SITEPROP TIEOFF_X29Y7 NAME TIEOFF_X29Y7 SITEPROP TIEOFF_X29Y7 NUM_ARCS 0 SITEPROP TIEOFF_X29Y7 NUM_BELS 2 SITEPROP TIEOFF_X29Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y7 NUM_PINS 2 SITEPROP TIEOFF_X29Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y7 PROHIBIT 0 SITEPROP TIEOFF_X29Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y7 RPM_X 116 SITEPROP TIEOFF_X29Y7 RPM_Y 14 SITEPROP TIEOFF_X29Y7 SITE_PIPS SITEPROP TIEOFF_X29Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y8 CLASS site SITEPROP TIEOFF_X29Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y8 IS_BONDED 0 SITEPROP TIEOFF_X29Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y8 IS_PAD 0 SITEPROP TIEOFF_X29Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y8 IS_RESERVED 0 SITEPROP TIEOFF_X29Y8 IS_TEST 0 SITEPROP TIEOFF_X29Y8 IS_USED 0 SITEPROP TIEOFF_X29Y8 MANUAL_ROUTING SITEPROP TIEOFF_X29Y8 NAME TIEOFF_X29Y8 SITEPROP TIEOFF_X29Y8 NUM_ARCS 0 SITEPROP TIEOFF_X29Y8 NUM_BELS 2 SITEPROP TIEOFF_X29Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y8 NUM_PINS 2 SITEPROP TIEOFF_X29Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y8 PROHIBIT 0 SITEPROP TIEOFF_X29Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y8 RPM_X 116 SITEPROP TIEOFF_X29Y8 RPM_Y 16 SITEPROP TIEOFF_X29Y8 SITE_PIPS SITEPROP TIEOFF_X29Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y9 CLASS site SITEPROP TIEOFF_X29Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y9 IS_BONDED 0 SITEPROP TIEOFF_X29Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y9 IS_PAD 0 SITEPROP TIEOFF_X29Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y9 IS_RESERVED 0 SITEPROP TIEOFF_X29Y9 IS_TEST 0 SITEPROP TIEOFF_X29Y9 IS_USED 0 SITEPROP TIEOFF_X29Y9 MANUAL_ROUTING SITEPROP TIEOFF_X29Y9 NAME TIEOFF_X29Y9 SITEPROP TIEOFF_X29Y9 NUM_ARCS 0 SITEPROP TIEOFF_X29Y9 NUM_BELS 2 SITEPROP TIEOFF_X29Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y9 NUM_PINS 2 SITEPROP TIEOFF_X29Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y9 PROHIBIT 0 SITEPROP TIEOFF_X29Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y9 RPM_X 116 SITEPROP TIEOFF_X29Y9 RPM_Y 18 SITEPROP TIEOFF_X29Y9 SITE_PIPS SITEPROP TIEOFF_X29Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y10 CLASS site SITEPROP TIEOFF_X29Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y10 IS_BONDED 0 SITEPROP TIEOFF_X29Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y10 IS_PAD 0 SITEPROP TIEOFF_X29Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y10 IS_RESERVED 0 SITEPROP TIEOFF_X29Y10 IS_TEST 0 SITEPROP TIEOFF_X29Y10 IS_USED 0 SITEPROP TIEOFF_X29Y10 MANUAL_ROUTING SITEPROP TIEOFF_X29Y10 NAME TIEOFF_X29Y10 SITEPROP TIEOFF_X29Y10 NUM_ARCS 0 SITEPROP TIEOFF_X29Y10 NUM_BELS 2 SITEPROP TIEOFF_X29Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y10 NUM_PINS 2 SITEPROP TIEOFF_X29Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y10 PROHIBIT 0 SITEPROP TIEOFF_X29Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y10 RPM_X 116 SITEPROP TIEOFF_X29Y10 RPM_Y 20 SITEPROP TIEOFF_X29Y10 SITE_PIPS SITEPROP TIEOFF_X29Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y11 CLASS site SITEPROP TIEOFF_X29Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y11 IS_BONDED 0 SITEPROP TIEOFF_X29Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y11 IS_PAD 0 SITEPROP TIEOFF_X29Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y11 IS_RESERVED 0 SITEPROP TIEOFF_X29Y11 IS_TEST 0 SITEPROP TIEOFF_X29Y11 IS_USED 0 SITEPROP TIEOFF_X29Y11 MANUAL_ROUTING SITEPROP TIEOFF_X29Y11 NAME TIEOFF_X29Y11 SITEPROP TIEOFF_X29Y11 NUM_ARCS 0 SITEPROP TIEOFF_X29Y11 NUM_BELS 2 SITEPROP TIEOFF_X29Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y11 NUM_PINS 2 SITEPROP TIEOFF_X29Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y11 PROHIBIT 0 SITEPROP TIEOFF_X29Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y11 RPM_X 116 SITEPROP TIEOFF_X29Y11 RPM_Y 22 SITEPROP TIEOFF_X29Y11 SITE_PIPS SITEPROP TIEOFF_X29Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y12 CLASS site SITEPROP TIEOFF_X29Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y12 IS_BONDED 0 SITEPROP TIEOFF_X29Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y12 IS_PAD 0 SITEPROP TIEOFF_X29Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y12 IS_RESERVED 0 SITEPROP TIEOFF_X29Y12 IS_TEST 0 SITEPROP TIEOFF_X29Y12 IS_USED 0 SITEPROP TIEOFF_X29Y12 MANUAL_ROUTING SITEPROP TIEOFF_X29Y12 NAME TIEOFF_X29Y12 SITEPROP TIEOFF_X29Y12 NUM_ARCS 0 SITEPROP TIEOFF_X29Y12 NUM_BELS 2 SITEPROP TIEOFF_X29Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y12 NUM_PINS 2 SITEPROP TIEOFF_X29Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y12 PROHIBIT 0 SITEPROP TIEOFF_X29Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y12 RPM_X 116 SITEPROP TIEOFF_X29Y12 RPM_Y 24 SITEPROP TIEOFF_X29Y12 SITE_PIPS SITEPROP TIEOFF_X29Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y13 CLASS site SITEPROP TIEOFF_X29Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y13 IS_BONDED 0 SITEPROP TIEOFF_X29Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y13 IS_PAD 0 SITEPROP TIEOFF_X29Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y13 IS_RESERVED 0 SITEPROP TIEOFF_X29Y13 IS_TEST 0 SITEPROP TIEOFF_X29Y13 IS_USED 0 SITEPROP TIEOFF_X29Y13 MANUAL_ROUTING SITEPROP TIEOFF_X29Y13 NAME TIEOFF_X29Y13 SITEPROP TIEOFF_X29Y13 NUM_ARCS 0 SITEPROP TIEOFF_X29Y13 NUM_BELS 2 SITEPROP TIEOFF_X29Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y13 NUM_PINS 2 SITEPROP TIEOFF_X29Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y13 PROHIBIT 0 SITEPROP TIEOFF_X29Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y13 RPM_X 116 SITEPROP TIEOFF_X29Y13 RPM_Y 26 SITEPROP TIEOFF_X29Y13 SITE_PIPS SITEPROP TIEOFF_X29Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y14 CLASS site SITEPROP TIEOFF_X29Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y14 IS_BONDED 0 SITEPROP TIEOFF_X29Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y14 IS_PAD 0 SITEPROP TIEOFF_X29Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y14 IS_RESERVED 0 SITEPROP TIEOFF_X29Y14 IS_TEST 0 SITEPROP TIEOFF_X29Y14 IS_USED 0 SITEPROP TIEOFF_X29Y14 MANUAL_ROUTING SITEPROP TIEOFF_X29Y14 NAME TIEOFF_X29Y14 SITEPROP TIEOFF_X29Y14 NUM_ARCS 0 SITEPROP TIEOFF_X29Y14 NUM_BELS 2 SITEPROP TIEOFF_X29Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y14 NUM_PINS 2 SITEPROP TIEOFF_X29Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y14 PROHIBIT 0 SITEPROP TIEOFF_X29Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y14 RPM_X 116 SITEPROP TIEOFF_X29Y14 RPM_Y 28 SITEPROP TIEOFF_X29Y14 SITE_PIPS SITEPROP TIEOFF_X29Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y15 CLASS site SITEPROP TIEOFF_X29Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y15 IS_BONDED 0 SITEPROP TIEOFF_X29Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y15 IS_PAD 0 SITEPROP TIEOFF_X29Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y15 IS_RESERVED 0 SITEPROP TIEOFF_X29Y15 IS_TEST 0 SITEPROP TIEOFF_X29Y15 IS_USED 0 SITEPROP TIEOFF_X29Y15 MANUAL_ROUTING SITEPROP TIEOFF_X29Y15 NAME TIEOFF_X29Y15 SITEPROP TIEOFF_X29Y15 NUM_ARCS 0 SITEPROP TIEOFF_X29Y15 NUM_BELS 2 SITEPROP TIEOFF_X29Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y15 NUM_PINS 2 SITEPROP TIEOFF_X29Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y15 PROHIBIT 0 SITEPROP TIEOFF_X29Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y15 RPM_X 116 SITEPROP TIEOFF_X29Y15 RPM_Y 30 SITEPROP TIEOFF_X29Y15 SITE_PIPS SITEPROP TIEOFF_X29Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y16 CLASS site SITEPROP TIEOFF_X29Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y16 IS_BONDED 0 SITEPROP TIEOFF_X29Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y16 IS_PAD 0 SITEPROP TIEOFF_X29Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y16 IS_RESERVED 0 SITEPROP TIEOFF_X29Y16 IS_TEST 0 SITEPROP TIEOFF_X29Y16 IS_USED 0 SITEPROP TIEOFF_X29Y16 MANUAL_ROUTING SITEPROP TIEOFF_X29Y16 NAME TIEOFF_X29Y16 SITEPROP TIEOFF_X29Y16 NUM_ARCS 0 SITEPROP TIEOFF_X29Y16 NUM_BELS 2 SITEPROP TIEOFF_X29Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y16 NUM_PINS 2 SITEPROP TIEOFF_X29Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y16 PROHIBIT 0 SITEPROP TIEOFF_X29Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y16 RPM_X 116 SITEPROP TIEOFF_X29Y16 RPM_Y 32 SITEPROP TIEOFF_X29Y16 SITE_PIPS SITEPROP TIEOFF_X29Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y17 CLASS site SITEPROP TIEOFF_X29Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y17 IS_BONDED 0 SITEPROP TIEOFF_X29Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y17 IS_PAD 0 SITEPROP TIEOFF_X29Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y17 IS_RESERVED 0 SITEPROP TIEOFF_X29Y17 IS_TEST 0 SITEPROP TIEOFF_X29Y17 IS_USED 0 SITEPROP TIEOFF_X29Y17 MANUAL_ROUTING SITEPROP TIEOFF_X29Y17 NAME TIEOFF_X29Y17 SITEPROP TIEOFF_X29Y17 NUM_ARCS 0 SITEPROP TIEOFF_X29Y17 NUM_BELS 2 SITEPROP TIEOFF_X29Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y17 NUM_PINS 2 SITEPROP TIEOFF_X29Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y17 PROHIBIT 0 SITEPROP TIEOFF_X29Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y17 RPM_X 116 SITEPROP TIEOFF_X29Y17 RPM_Y 34 SITEPROP TIEOFF_X29Y17 SITE_PIPS SITEPROP TIEOFF_X29Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y18 CLASS site SITEPROP TIEOFF_X29Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y18 IS_BONDED 0 SITEPROP TIEOFF_X29Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y18 IS_PAD 0 SITEPROP TIEOFF_X29Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y18 IS_RESERVED 0 SITEPROP TIEOFF_X29Y18 IS_TEST 0 SITEPROP TIEOFF_X29Y18 IS_USED 0 SITEPROP TIEOFF_X29Y18 MANUAL_ROUTING SITEPROP TIEOFF_X29Y18 NAME TIEOFF_X29Y18 SITEPROP TIEOFF_X29Y18 NUM_ARCS 0 SITEPROP TIEOFF_X29Y18 NUM_BELS 2 SITEPROP TIEOFF_X29Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y18 NUM_PINS 2 SITEPROP TIEOFF_X29Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y18 PROHIBIT 0 SITEPROP TIEOFF_X29Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y18 RPM_X 116 SITEPROP TIEOFF_X29Y18 RPM_Y 36 SITEPROP TIEOFF_X29Y18 SITE_PIPS SITEPROP TIEOFF_X29Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y19 CLASS site SITEPROP TIEOFF_X29Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y19 IS_BONDED 0 SITEPROP TIEOFF_X29Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y19 IS_PAD 0 SITEPROP TIEOFF_X29Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y19 IS_RESERVED 0 SITEPROP TIEOFF_X29Y19 IS_TEST 0 SITEPROP TIEOFF_X29Y19 IS_USED 0 SITEPROP TIEOFF_X29Y19 MANUAL_ROUTING SITEPROP TIEOFF_X29Y19 NAME TIEOFF_X29Y19 SITEPROP TIEOFF_X29Y19 NUM_ARCS 0 SITEPROP TIEOFF_X29Y19 NUM_BELS 2 SITEPROP TIEOFF_X29Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y19 NUM_PINS 2 SITEPROP TIEOFF_X29Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y19 PROHIBIT 0 SITEPROP TIEOFF_X29Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y19 RPM_X 116 SITEPROP TIEOFF_X29Y19 RPM_Y 38 SITEPROP TIEOFF_X29Y19 SITE_PIPS SITEPROP TIEOFF_X29Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y20 CLASS site SITEPROP TIEOFF_X29Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y20 IS_BONDED 0 SITEPROP TIEOFF_X29Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y20 IS_PAD 0 SITEPROP TIEOFF_X29Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y20 IS_RESERVED 0 SITEPROP TIEOFF_X29Y20 IS_TEST 0 SITEPROP TIEOFF_X29Y20 IS_USED 0 SITEPROP TIEOFF_X29Y20 MANUAL_ROUTING SITEPROP TIEOFF_X29Y20 NAME TIEOFF_X29Y20 SITEPROP TIEOFF_X29Y20 NUM_ARCS 0 SITEPROP TIEOFF_X29Y20 NUM_BELS 2 SITEPROP TIEOFF_X29Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y20 NUM_PINS 2 SITEPROP TIEOFF_X29Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y20 PROHIBIT 0 SITEPROP TIEOFF_X29Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y20 RPM_X 116 SITEPROP TIEOFF_X29Y20 RPM_Y 40 SITEPROP TIEOFF_X29Y20 SITE_PIPS SITEPROP TIEOFF_X29Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y21 CLASS site SITEPROP TIEOFF_X29Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y21 IS_BONDED 0 SITEPROP TIEOFF_X29Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y21 IS_PAD 0 SITEPROP TIEOFF_X29Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y21 IS_RESERVED 0 SITEPROP TIEOFF_X29Y21 IS_TEST 0 SITEPROP TIEOFF_X29Y21 IS_USED 0 SITEPROP TIEOFF_X29Y21 MANUAL_ROUTING SITEPROP TIEOFF_X29Y21 NAME TIEOFF_X29Y21 SITEPROP TIEOFF_X29Y21 NUM_ARCS 0 SITEPROP TIEOFF_X29Y21 NUM_BELS 2 SITEPROP TIEOFF_X29Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y21 NUM_PINS 2 SITEPROP TIEOFF_X29Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y21 PROHIBIT 0 SITEPROP TIEOFF_X29Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y21 RPM_X 116 SITEPROP TIEOFF_X29Y21 RPM_Y 42 SITEPROP TIEOFF_X29Y21 SITE_PIPS SITEPROP TIEOFF_X29Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y22 CLASS site SITEPROP TIEOFF_X29Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y22 IS_BONDED 0 SITEPROP TIEOFF_X29Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y22 IS_PAD 0 SITEPROP TIEOFF_X29Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y22 IS_RESERVED 0 SITEPROP TIEOFF_X29Y22 IS_TEST 0 SITEPROP TIEOFF_X29Y22 IS_USED 0 SITEPROP TIEOFF_X29Y22 MANUAL_ROUTING SITEPROP TIEOFF_X29Y22 NAME TIEOFF_X29Y22 SITEPROP TIEOFF_X29Y22 NUM_ARCS 0 SITEPROP TIEOFF_X29Y22 NUM_BELS 2 SITEPROP TIEOFF_X29Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y22 NUM_PINS 2 SITEPROP TIEOFF_X29Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y22 PROHIBIT 0 SITEPROP TIEOFF_X29Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y22 RPM_X 116 SITEPROP TIEOFF_X29Y22 RPM_Y 44 SITEPROP TIEOFF_X29Y22 SITE_PIPS SITEPROP TIEOFF_X29Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y23 CLASS site SITEPROP TIEOFF_X29Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y23 IS_BONDED 0 SITEPROP TIEOFF_X29Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y23 IS_PAD 0 SITEPROP TIEOFF_X29Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y23 IS_RESERVED 0 SITEPROP TIEOFF_X29Y23 IS_TEST 0 SITEPROP TIEOFF_X29Y23 IS_USED 0 SITEPROP TIEOFF_X29Y23 MANUAL_ROUTING SITEPROP TIEOFF_X29Y23 NAME TIEOFF_X29Y23 SITEPROP TIEOFF_X29Y23 NUM_ARCS 0 SITEPROP TIEOFF_X29Y23 NUM_BELS 2 SITEPROP TIEOFF_X29Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y23 NUM_PINS 2 SITEPROP TIEOFF_X29Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y23 PROHIBIT 0 SITEPROP TIEOFF_X29Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y23 RPM_X 116 SITEPROP TIEOFF_X29Y23 RPM_Y 46 SITEPROP TIEOFF_X29Y23 SITE_PIPS SITEPROP TIEOFF_X29Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y24 CLASS site SITEPROP TIEOFF_X29Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y24 IS_BONDED 0 SITEPROP TIEOFF_X29Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y24 IS_PAD 0 SITEPROP TIEOFF_X29Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y24 IS_RESERVED 0 SITEPROP TIEOFF_X29Y24 IS_TEST 0 SITEPROP TIEOFF_X29Y24 IS_USED 0 SITEPROP TIEOFF_X29Y24 MANUAL_ROUTING SITEPROP TIEOFF_X29Y24 NAME TIEOFF_X29Y24 SITEPROP TIEOFF_X29Y24 NUM_ARCS 0 SITEPROP TIEOFF_X29Y24 NUM_BELS 2 SITEPROP TIEOFF_X29Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y24 NUM_PINS 2 SITEPROP TIEOFF_X29Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y24 PROHIBIT 0 SITEPROP TIEOFF_X29Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y24 RPM_X 116 SITEPROP TIEOFF_X29Y24 RPM_Y 48 SITEPROP TIEOFF_X29Y24 SITE_PIPS SITEPROP TIEOFF_X29Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y25 CLASS site SITEPROP TIEOFF_X29Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y25 IS_BONDED 0 SITEPROP TIEOFF_X29Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y25 IS_PAD 0 SITEPROP TIEOFF_X29Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y25 IS_RESERVED 0 SITEPROP TIEOFF_X29Y25 IS_TEST 0 SITEPROP TIEOFF_X29Y25 IS_USED 0 SITEPROP TIEOFF_X29Y25 MANUAL_ROUTING SITEPROP TIEOFF_X29Y25 NAME TIEOFF_X29Y25 SITEPROP TIEOFF_X29Y25 NUM_ARCS 0 SITEPROP TIEOFF_X29Y25 NUM_BELS 2 SITEPROP TIEOFF_X29Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y25 NUM_PINS 2 SITEPROP TIEOFF_X29Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y25 PROHIBIT 0 SITEPROP TIEOFF_X29Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y25 RPM_X 116 SITEPROP TIEOFF_X29Y25 RPM_Y 50 SITEPROP TIEOFF_X29Y25 SITE_PIPS SITEPROP TIEOFF_X29Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y26 CLASS site SITEPROP TIEOFF_X29Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y26 IS_BONDED 0 SITEPROP TIEOFF_X29Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y26 IS_PAD 0 SITEPROP TIEOFF_X29Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y26 IS_RESERVED 0 SITEPROP TIEOFF_X29Y26 IS_TEST 0 SITEPROP TIEOFF_X29Y26 IS_USED 0 SITEPROP TIEOFF_X29Y26 MANUAL_ROUTING SITEPROP TIEOFF_X29Y26 NAME TIEOFF_X29Y26 SITEPROP TIEOFF_X29Y26 NUM_ARCS 0 SITEPROP TIEOFF_X29Y26 NUM_BELS 2 SITEPROP TIEOFF_X29Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y26 NUM_PINS 2 SITEPROP TIEOFF_X29Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y26 PROHIBIT 0 SITEPROP TIEOFF_X29Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y26 RPM_X 116 SITEPROP TIEOFF_X29Y26 RPM_Y 52 SITEPROP TIEOFF_X29Y26 SITE_PIPS SITEPROP TIEOFF_X29Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y27 CLASS site SITEPROP TIEOFF_X29Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y27 IS_BONDED 0 SITEPROP TIEOFF_X29Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y27 IS_PAD 0 SITEPROP TIEOFF_X29Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y27 IS_RESERVED 0 SITEPROP TIEOFF_X29Y27 IS_TEST 0 SITEPROP TIEOFF_X29Y27 IS_USED 0 SITEPROP TIEOFF_X29Y27 MANUAL_ROUTING SITEPROP TIEOFF_X29Y27 NAME TIEOFF_X29Y27 SITEPROP TIEOFF_X29Y27 NUM_ARCS 0 SITEPROP TIEOFF_X29Y27 NUM_BELS 2 SITEPROP TIEOFF_X29Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y27 NUM_PINS 2 SITEPROP TIEOFF_X29Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y27 PROHIBIT 0 SITEPROP TIEOFF_X29Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y27 RPM_X 116 SITEPROP TIEOFF_X29Y27 RPM_Y 54 SITEPROP TIEOFF_X29Y27 SITE_PIPS SITEPROP TIEOFF_X29Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y28 CLASS site SITEPROP TIEOFF_X29Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y28 IS_BONDED 0 SITEPROP TIEOFF_X29Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y28 IS_PAD 0 SITEPROP TIEOFF_X29Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y28 IS_RESERVED 0 SITEPROP TIEOFF_X29Y28 IS_TEST 0 SITEPROP TIEOFF_X29Y28 IS_USED 0 SITEPROP TIEOFF_X29Y28 MANUAL_ROUTING SITEPROP TIEOFF_X29Y28 NAME TIEOFF_X29Y28 SITEPROP TIEOFF_X29Y28 NUM_ARCS 0 SITEPROP TIEOFF_X29Y28 NUM_BELS 2 SITEPROP TIEOFF_X29Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y28 NUM_PINS 2 SITEPROP TIEOFF_X29Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y28 PROHIBIT 0 SITEPROP TIEOFF_X29Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y28 RPM_X 116 SITEPROP TIEOFF_X29Y28 RPM_Y 56 SITEPROP TIEOFF_X29Y28 SITE_PIPS SITEPROP TIEOFF_X29Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y29 CLASS site SITEPROP TIEOFF_X29Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y29 IS_BONDED 0 SITEPROP TIEOFF_X29Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y29 IS_PAD 0 SITEPROP TIEOFF_X29Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y29 IS_RESERVED 0 SITEPROP TIEOFF_X29Y29 IS_TEST 0 SITEPROP TIEOFF_X29Y29 IS_USED 0 SITEPROP TIEOFF_X29Y29 MANUAL_ROUTING SITEPROP TIEOFF_X29Y29 NAME TIEOFF_X29Y29 SITEPROP TIEOFF_X29Y29 NUM_ARCS 0 SITEPROP TIEOFF_X29Y29 NUM_BELS 2 SITEPROP TIEOFF_X29Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y29 NUM_PINS 2 SITEPROP TIEOFF_X29Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y29 PROHIBIT 0 SITEPROP TIEOFF_X29Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y29 RPM_X 116 SITEPROP TIEOFF_X29Y29 RPM_Y 58 SITEPROP TIEOFF_X29Y29 SITE_PIPS SITEPROP TIEOFF_X29Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y30 CLASS site SITEPROP TIEOFF_X29Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y30 IS_BONDED 0 SITEPROP TIEOFF_X29Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y30 IS_PAD 0 SITEPROP TIEOFF_X29Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y30 IS_RESERVED 0 SITEPROP TIEOFF_X29Y30 IS_TEST 0 SITEPROP TIEOFF_X29Y30 IS_USED 0 SITEPROP TIEOFF_X29Y30 MANUAL_ROUTING SITEPROP TIEOFF_X29Y30 NAME TIEOFF_X29Y30 SITEPROP TIEOFF_X29Y30 NUM_ARCS 0 SITEPROP TIEOFF_X29Y30 NUM_BELS 2 SITEPROP TIEOFF_X29Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y30 NUM_PINS 2 SITEPROP TIEOFF_X29Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y30 PROHIBIT 0 SITEPROP TIEOFF_X29Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y30 RPM_X 116 SITEPROP TIEOFF_X29Y30 RPM_Y 60 SITEPROP TIEOFF_X29Y30 SITE_PIPS SITEPROP TIEOFF_X29Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y31 CLASS site SITEPROP TIEOFF_X29Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y31 IS_BONDED 0 SITEPROP TIEOFF_X29Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y31 IS_PAD 0 SITEPROP TIEOFF_X29Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y31 IS_RESERVED 0 SITEPROP TIEOFF_X29Y31 IS_TEST 0 SITEPROP TIEOFF_X29Y31 IS_USED 0 SITEPROP TIEOFF_X29Y31 MANUAL_ROUTING SITEPROP TIEOFF_X29Y31 NAME TIEOFF_X29Y31 SITEPROP TIEOFF_X29Y31 NUM_ARCS 0 SITEPROP TIEOFF_X29Y31 NUM_BELS 2 SITEPROP TIEOFF_X29Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y31 NUM_PINS 2 SITEPROP TIEOFF_X29Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y31 PROHIBIT 0 SITEPROP TIEOFF_X29Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y31 RPM_X 116 SITEPROP TIEOFF_X29Y31 RPM_Y 62 SITEPROP TIEOFF_X29Y31 SITE_PIPS SITEPROP TIEOFF_X29Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y32 CLASS site SITEPROP TIEOFF_X29Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y32 IS_BONDED 0 SITEPROP TIEOFF_X29Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y32 IS_PAD 0 SITEPROP TIEOFF_X29Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y32 IS_RESERVED 0 SITEPROP TIEOFF_X29Y32 IS_TEST 0 SITEPROP TIEOFF_X29Y32 IS_USED 0 SITEPROP TIEOFF_X29Y32 MANUAL_ROUTING SITEPROP TIEOFF_X29Y32 NAME TIEOFF_X29Y32 SITEPROP TIEOFF_X29Y32 NUM_ARCS 0 SITEPROP TIEOFF_X29Y32 NUM_BELS 2 SITEPROP TIEOFF_X29Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y32 NUM_PINS 2 SITEPROP TIEOFF_X29Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y32 PROHIBIT 0 SITEPROP TIEOFF_X29Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y32 RPM_X 116 SITEPROP TIEOFF_X29Y32 RPM_Y 64 SITEPROP TIEOFF_X29Y32 SITE_PIPS SITEPROP TIEOFF_X29Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y33 CLASS site SITEPROP TIEOFF_X29Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y33 IS_BONDED 0 SITEPROP TIEOFF_X29Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y33 IS_PAD 0 SITEPROP TIEOFF_X29Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y33 IS_RESERVED 0 SITEPROP TIEOFF_X29Y33 IS_TEST 0 SITEPROP TIEOFF_X29Y33 IS_USED 0 SITEPROP TIEOFF_X29Y33 MANUAL_ROUTING SITEPROP TIEOFF_X29Y33 NAME TIEOFF_X29Y33 SITEPROP TIEOFF_X29Y33 NUM_ARCS 0 SITEPROP TIEOFF_X29Y33 NUM_BELS 2 SITEPROP TIEOFF_X29Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y33 NUM_PINS 2 SITEPROP TIEOFF_X29Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y33 PROHIBIT 0 SITEPROP TIEOFF_X29Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y33 RPM_X 116 SITEPROP TIEOFF_X29Y33 RPM_Y 66 SITEPROP TIEOFF_X29Y33 SITE_PIPS SITEPROP TIEOFF_X29Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y34 CLASS site SITEPROP TIEOFF_X29Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y34 IS_BONDED 0 SITEPROP TIEOFF_X29Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y34 IS_PAD 0 SITEPROP TIEOFF_X29Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y34 IS_RESERVED 0 SITEPROP TIEOFF_X29Y34 IS_TEST 0 SITEPROP TIEOFF_X29Y34 IS_USED 0 SITEPROP TIEOFF_X29Y34 MANUAL_ROUTING SITEPROP TIEOFF_X29Y34 NAME TIEOFF_X29Y34 SITEPROP TIEOFF_X29Y34 NUM_ARCS 0 SITEPROP TIEOFF_X29Y34 NUM_BELS 2 SITEPROP TIEOFF_X29Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y34 NUM_PINS 2 SITEPROP TIEOFF_X29Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y34 PROHIBIT 0 SITEPROP TIEOFF_X29Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y34 RPM_X 116 SITEPROP TIEOFF_X29Y34 RPM_Y 68 SITEPROP TIEOFF_X29Y34 SITE_PIPS SITEPROP TIEOFF_X29Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y35 CLASS site SITEPROP TIEOFF_X29Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y35 IS_BONDED 0 SITEPROP TIEOFF_X29Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y35 IS_PAD 0 SITEPROP TIEOFF_X29Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y35 IS_RESERVED 0 SITEPROP TIEOFF_X29Y35 IS_TEST 0 SITEPROP TIEOFF_X29Y35 IS_USED 0 SITEPROP TIEOFF_X29Y35 MANUAL_ROUTING SITEPROP TIEOFF_X29Y35 NAME TIEOFF_X29Y35 SITEPROP TIEOFF_X29Y35 NUM_ARCS 0 SITEPROP TIEOFF_X29Y35 NUM_BELS 2 SITEPROP TIEOFF_X29Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y35 NUM_PINS 2 SITEPROP TIEOFF_X29Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y35 PROHIBIT 0 SITEPROP TIEOFF_X29Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y35 RPM_X 116 SITEPROP TIEOFF_X29Y35 RPM_Y 70 SITEPROP TIEOFF_X29Y35 SITE_PIPS SITEPROP TIEOFF_X29Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y36 CLASS site SITEPROP TIEOFF_X29Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y36 IS_BONDED 0 SITEPROP TIEOFF_X29Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y36 IS_PAD 0 SITEPROP TIEOFF_X29Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y36 IS_RESERVED 0 SITEPROP TIEOFF_X29Y36 IS_TEST 0 SITEPROP TIEOFF_X29Y36 IS_USED 0 SITEPROP TIEOFF_X29Y36 MANUAL_ROUTING SITEPROP TIEOFF_X29Y36 NAME TIEOFF_X29Y36 SITEPROP TIEOFF_X29Y36 NUM_ARCS 0 SITEPROP TIEOFF_X29Y36 NUM_BELS 2 SITEPROP TIEOFF_X29Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y36 NUM_PINS 2 SITEPROP TIEOFF_X29Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y36 PROHIBIT 0 SITEPROP TIEOFF_X29Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y36 RPM_X 116 SITEPROP TIEOFF_X29Y36 RPM_Y 72 SITEPROP TIEOFF_X29Y36 SITE_PIPS SITEPROP TIEOFF_X29Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y37 CLASS site SITEPROP TIEOFF_X29Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y37 IS_BONDED 0 SITEPROP TIEOFF_X29Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y37 IS_PAD 0 SITEPROP TIEOFF_X29Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y37 IS_RESERVED 0 SITEPROP TIEOFF_X29Y37 IS_TEST 0 SITEPROP TIEOFF_X29Y37 IS_USED 0 SITEPROP TIEOFF_X29Y37 MANUAL_ROUTING SITEPROP TIEOFF_X29Y37 NAME TIEOFF_X29Y37 SITEPROP TIEOFF_X29Y37 NUM_ARCS 0 SITEPROP TIEOFF_X29Y37 NUM_BELS 2 SITEPROP TIEOFF_X29Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y37 NUM_PINS 2 SITEPROP TIEOFF_X29Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y37 PROHIBIT 0 SITEPROP TIEOFF_X29Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y37 RPM_X 116 SITEPROP TIEOFF_X29Y37 RPM_Y 74 SITEPROP TIEOFF_X29Y37 SITE_PIPS SITEPROP TIEOFF_X29Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y38 CLASS site SITEPROP TIEOFF_X29Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y38 IS_BONDED 0 SITEPROP TIEOFF_X29Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y38 IS_PAD 0 SITEPROP TIEOFF_X29Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y38 IS_RESERVED 0 SITEPROP TIEOFF_X29Y38 IS_TEST 0 SITEPROP TIEOFF_X29Y38 IS_USED 0 SITEPROP TIEOFF_X29Y38 MANUAL_ROUTING SITEPROP TIEOFF_X29Y38 NAME TIEOFF_X29Y38 SITEPROP TIEOFF_X29Y38 NUM_ARCS 0 SITEPROP TIEOFF_X29Y38 NUM_BELS 2 SITEPROP TIEOFF_X29Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y38 NUM_PINS 2 SITEPROP TIEOFF_X29Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y38 PROHIBIT 0 SITEPROP TIEOFF_X29Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y38 RPM_X 116 SITEPROP TIEOFF_X29Y38 RPM_Y 76 SITEPROP TIEOFF_X29Y38 SITE_PIPS SITEPROP TIEOFF_X29Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y39 CLASS site SITEPROP TIEOFF_X29Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y39 IS_BONDED 0 SITEPROP TIEOFF_X29Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y39 IS_PAD 0 SITEPROP TIEOFF_X29Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y39 IS_RESERVED 0 SITEPROP TIEOFF_X29Y39 IS_TEST 0 SITEPROP TIEOFF_X29Y39 IS_USED 0 SITEPROP TIEOFF_X29Y39 MANUAL_ROUTING SITEPROP TIEOFF_X29Y39 NAME TIEOFF_X29Y39 SITEPROP TIEOFF_X29Y39 NUM_ARCS 0 SITEPROP TIEOFF_X29Y39 NUM_BELS 2 SITEPROP TIEOFF_X29Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y39 NUM_PINS 2 SITEPROP TIEOFF_X29Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y39 PROHIBIT 0 SITEPROP TIEOFF_X29Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y39 RPM_X 116 SITEPROP TIEOFF_X29Y39 RPM_Y 78 SITEPROP TIEOFF_X29Y39 SITE_PIPS SITEPROP TIEOFF_X29Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y40 CLASS site SITEPROP TIEOFF_X29Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y40 IS_BONDED 0 SITEPROP TIEOFF_X29Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y40 IS_PAD 0 SITEPROP TIEOFF_X29Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y40 IS_RESERVED 0 SITEPROP TIEOFF_X29Y40 IS_TEST 0 SITEPROP TIEOFF_X29Y40 IS_USED 0 SITEPROP TIEOFF_X29Y40 MANUAL_ROUTING SITEPROP TIEOFF_X29Y40 NAME TIEOFF_X29Y40 SITEPROP TIEOFF_X29Y40 NUM_ARCS 0 SITEPROP TIEOFF_X29Y40 NUM_BELS 2 SITEPROP TIEOFF_X29Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y40 NUM_PINS 2 SITEPROP TIEOFF_X29Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y40 PROHIBIT 0 SITEPROP TIEOFF_X29Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y40 RPM_X 116 SITEPROP TIEOFF_X29Y40 RPM_Y 80 SITEPROP TIEOFF_X29Y40 SITE_PIPS SITEPROP TIEOFF_X29Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y41 CLASS site SITEPROP TIEOFF_X29Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y41 IS_BONDED 0 SITEPROP TIEOFF_X29Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y41 IS_PAD 0 SITEPROP TIEOFF_X29Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y41 IS_RESERVED 0 SITEPROP TIEOFF_X29Y41 IS_TEST 0 SITEPROP TIEOFF_X29Y41 IS_USED 0 SITEPROP TIEOFF_X29Y41 MANUAL_ROUTING SITEPROP TIEOFF_X29Y41 NAME TIEOFF_X29Y41 SITEPROP TIEOFF_X29Y41 NUM_ARCS 0 SITEPROP TIEOFF_X29Y41 NUM_BELS 2 SITEPROP TIEOFF_X29Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y41 NUM_PINS 2 SITEPROP TIEOFF_X29Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y41 PROHIBIT 0 SITEPROP TIEOFF_X29Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y41 RPM_X 116 SITEPROP TIEOFF_X29Y41 RPM_Y 82 SITEPROP TIEOFF_X29Y41 SITE_PIPS SITEPROP TIEOFF_X29Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y42 CLASS site SITEPROP TIEOFF_X29Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y42 IS_BONDED 0 SITEPROP TIEOFF_X29Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y42 IS_PAD 0 SITEPROP TIEOFF_X29Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y42 IS_RESERVED 0 SITEPROP TIEOFF_X29Y42 IS_TEST 0 SITEPROP TIEOFF_X29Y42 IS_USED 0 SITEPROP TIEOFF_X29Y42 MANUAL_ROUTING SITEPROP TIEOFF_X29Y42 NAME TIEOFF_X29Y42 SITEPROP TIEOFF_X29Y42 NUM_ARCS 0 SITEPROP TIEOFF_X29Y42 NUM_BELS 2 SITEPROP TIEOFF_X29Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y42 NUM_PINS 2 SITEPROP TIEOFF_X29Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y42 PROHIBIT 0 SITEPROP TIEOFF_X29Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y42 RPM_X 116 SITEPROP TIEOFF_X29Y42 RPM_Y 84 SITEPROP TIEOFF_X29Y42 SITE_PIPS SITEPROP TIEOFF_X29Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y43 CLASS site SITEPROP TIEOFF_X29Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y43 IS_BONDED 0 SITEPROP TIEOFF_X29Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y43 IS_PAD 0 SITEPROP TIEOFF_X29Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y43 IS_RESERVED 0 SITEPROP TIEOFF_X29Y43 IS_TEST 0 SITEPROP TIEOFF_X29Y43 IS_USED 0 SITEPROP TIEOFF_X29Y43 MANUAL_ROUTING SITEPROP TIEOFF_X29Y43 NAME TIEOFF_X29Y43 SITEPROP TIEOFF_X29Y43 NUM_ARCS 0 SITEPROP TIEOFF_X29Y43 NUM_BELS 2 SITEPROP TIEOFF_X29Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y43 NUM_PINS 2 SITEPROP TIEOFF_X29Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y43 PROHIBIT 0 SITEPROP TIEOFF_X29Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y43 RPM_X 116 SITEPROP TIEOFF_X29Y43 RPM_Y 86 SITEPROP TIEOFF_X29Y43 SITE_PIPS SITEPROP TIEOFF_X29Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y44 CLASS site SITEPROP TIEOFF_X29Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y44 IS_BONDED 0 SITEPROP TIEOFF_X29Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y44 IS_PAD 0 SITEPROP TIEOFF_X29Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y44 IS_RESERVED 0 SITEPROP TIEOFF_X29Y44 IS_TEST 0 SITEPROP TIEOFF_X29Y44 IS_USED 0 SITEPROP TIEOFF_X29Y44 MANUAL_ROUTING SITEPROP TIEOFF_X29Y44 NAME TIEOFF_X29Y44 SITEPROP TIEOFF_X29Y44 NUM_ARCS 0 SITEPROP TIEOFF_X29Y44 NUM_BELS 2 SITEPROP TIEOFF_X29Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y44 NUM_PINS 2 SITEPROP TIEOFF_X29Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y44 PROHIBIT 0 SITEPROP TIEOFF_X29Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y44 RPM_X 116 SITEPROP TIEOFF_X29Y44 RPM_Y 88 SITEPROP TIEOFF_X29Y44 SITE_PIPS SITEPROP TIEOFF_X29Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y45 CLASS site SITEPROP TIEOFF_X29Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y45 IS_BONDED 0 SITEPROP TIEOFF_X29Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y45 IS_PAD 0 SITEPROP TIEOFF_X29Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y45 IS_RESERVED 0 SITEPROP TIEOFF_X29Y45 IS_TEST 0 SITEPROP TIEOFF_X29Y45 IS_USED 0 SITEPROP TIEOFF_X29Y45 MANUAL_ROUTING SITEPROP TIEOFF_X29Y45 NAME TIEOFF_X29Y45 SITEPROP TIEOFF_X29Y45 NUM_ARCS 0 SITEPROP TIEOFF_X29Y45 NUM_BELS 2 SITEPROP TIEOFF_X29Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y45 NUM_PINS 2 SITEPROP TIEOFF_X29Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y45 PROHIBIT 0 SITEPROP TIEOFF_X29Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y45 RPM_X 116 SITEPROP TIEOFF_X29Y45 RPM_Y 90 SITEPROP TIEOFF_X29Y45 SITE_PIPS SITEPROP TIEOFF_X29Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y46 CLASS site SITEPROP TIEOFF_X29Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y46 IS_BONDED 0 SITEPROP TIEOFF_X29Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y46 IS_PAD 0 SITEPROP TIEOFF_X29Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y46 IS_RESERVED 0 SITEPROP TIEOFF_X29Y46 IS_TEST 0 SITEPROP TIEOFF_X29Y46 IS_USED 0 SITEPROP TIEOFF_X29Y46 MANUAL_ROUTING SITEPROP TIEOFF_X29Y46 NAME TIEOFF_X29Y46 SITEPROP TIEOFF_X29Y46 NUM_ARCS 0 SITEPROP TIEOFF_X29Y46 NUM_BELS 2 SITEPROP TIEOFF_X29Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y46 NUM_PINS 2 SITEPROP TIEOFF_X29Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y46 PROHIBIT 0 SITEPROP TIEOFF_X29Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y46 RPM_X 116 SITEPROP TIEOFF_X29Y46 RPM_Y 92 SITEPROP TIEOFF_X29Y46 SITE_PIPS SITEPROP TIEOFF_X29Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y47 CLASS site SITEPROP TIEOFF_X29Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y47 IS_BONDED 0 SITEPROP TIEOFF_X29Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y47 IS_PAD 0 SITEPROP TIEOFF_X29Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y47 IS_RESERVED 0 SITEPROP TIEOFF_X29Y47 IS_TEST 0 SITEPROP TIEOFF_X29Y47 IS_USED 0 SITEPROP TIEOFF_X29Y47 MANUAL_ROUTING SITEPROP TIEOFF_X29Y47 NAME TIEOFF_X29Y47 SITEPROP TIEOFF_X29Y47 NUM_ARCS 0 SITEPROP TIEOFF_X29Y47 NUM_BELS 2 SITEPROP TIEOFF_X29Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y47 NUM_PINS 2 SITEPROP TIEOFF_X29Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y47 PROHIBIT 0 SITEPROP TIEOFF_X29Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y47 RPM_X 116 SITEPROP TIEOFF_X29Y47 RPM_Y 94 SITEPROP TIEOFF_X29Y47 SITE_PIPS SITEPROP TIEOFF_X29Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y48 CLASS site SITEPROP TIEOFF_X29Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y48 IS_BONDED 0 SITEPROP TIEOFF_X29Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y48 IS_PAD 0 SITEPROP TIEOFF_X29Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y48 IS_RESERVED 0 SITEPROP TIEOFF_X29Y48 IS_TEST 0 SITEPROP TIEOFF_X29Y48 IS_USED 0 SITEPROP TIEOFF_X29Y48 MANUAL_ROUTING SITEPROP TIEOFF_X29Y48 NAME TIEOFF_X29Y48 SITEPROP TIEOFF_X29Y48 NUM_ARCS 0 SITEPROP TIEOFF_X29Y48 NUM_BELS 2 SITEPROP TIEOFF_X29Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y48 NUM_PINS 2 SITEPROP TIEOFF_X29Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y48 PROHIBIT 0 SITEPROP TIEOFF_X29Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y48 RPM_X 116 SITEPROP TIEOFF_X29Y48 RPM_Y 96 SITEPROP TIEOFF_X29Y48 SITE_PIPS SITEPROP TIEOFF_X29Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y49 CLASS site SITEPROP TIEOFF_X29Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X29Y49 IS_BONDED 0 SITEPROP TIEOFF_X29Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y49 IS_PAD 0 SITEPROP TIEOFF_X29Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y49 IS_RESERVED 0 SITEPROP TIEOFF_X29Y49 IS_TEST 0 SITEPROP TIEOFF_X29Y49 IS_USED 0 SITEPROP TIEOFF_X29Y49 MANUAL_ROUTING SITEPROP TIEOFF_X29Y49 NAME TIEOFF_X29Y49 SITEPROP TIEOFF_X29Y49 NUM_ARCS 0 SITEPROP TIEOFF_X29Y49 NUM_BELS 2 SITEPROP TIEOFF_X29Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y49 NUM_PINS 2 SITEPROP TIEOFF_X29Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y49 PROHIBIT 0 SITEPROP TIEOFF_X29Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y49 RPM_X 116 SITEPROP TIEOFF_X29Y49 RPM_Y 98 SITEPROP TIEOFF_X29Y49 SITE_PIPS SITEPROP TIEOFF_X29Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y50 CLASS site SITEPROP TIEOFF_X29Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y50 IS_BONDED 0 SITEPROP TIEOFF_X29Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y50 IS_PAD 0 SITEPROP TIEOFF_X29Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y50 IS_RESERVED 0 SITEPROP TIEOFF_X29Y50 IS_TEST 0 SITEPROP TIEOFF_X29Y50 IS_USED 0 SITEPROP TIEOFF_X29Y50 MANUAL_ROUTING SITEPROP TIEOFF_X29Y50 NAME TIEOFF_X29Y50 SITEPROP TIEOFF_X29Y50 NUM_ARCS 0 SITEPROP TIEOFF_X29Y50 NUM_BELS 2 SITEPROP TIEOFF_X29Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y50 NUM_PINS 2 SITEPROP TIEOFF_X29Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y50 PROHIBIT 0 SITEPROP TIEOFF_X29Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y50 RPM_X 116 SITEPROP TIEOFF_X29Y50 RPM_Y 100 SITEPROP TIEOFF_X29Y50 SITE_PIPS SITEPROP TIEOFF_X29Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y51 CLASS site SITEPROP TIEOFF_X29Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y51 IS_BONDED 0 SITEPROP TIEOFF_X29Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y51 IS_PAD 0 SITEPROP TIEOFF_X29Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y51 IS_RESERVED 0 SITEPROP TIEOFF_X29Y51 IS_TEST 0 SITEPROP TIEOFF_X29Y51 IS_USED 0 SITEPROP TIEOFF_X29Y51 MANUAL_ROUTING SITEPROP TIEOFF_X29Y51 NAME TIEOFF_X29Y51 SITEPROP TIEOFF_X29Y51 NUM_ARCS 0 SITEPROP TIEOFF_X29Y51 NUM_BELS 2 SITEPROP TIEOFF_X29Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y51 NUM_PINS 2 SITEPROP TIEOFF_X29Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y51 PROHIBIT 0 SITEPROP TIEOFF_X29Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y51 RPM_X 116 SITEPROP TIEOFF_X29Y51 RPM_Y 102 SITEPROP TIEOFF_X29Y51 SITE_PIPS SITEPROP TIEOFF_X29Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y52 CLASS site SITEPROP TIEOFF_X29Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y52 IS_BONDED 0 SITEPROP TIEOFF_X29Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y52 IS_PAD 0 SITEPROP TIEOFF_X29Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y52 IS_RESERVED 0 SITEPROP TIEOFF_X29Y52 IS_TEST 0 SITEPROP TIEOFF_X29Y52 IS_USED 0 SITEPROP TIEOFF_X29Y52 MANUAL_ROUTING SITEPROP TIEOFF_X29Y52 NAME TIEOFF_X29Y52 SITEPROP TIEOFF_X29Y52 NUM_ARCS 0 SITEPROP TIEOFF_X29Y52 NUM_BELS 2 SITEPROP TIEOFF_X29Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y52 NUM_PINS 2 SITEPROP TIEOFF_X29Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y52 PROHIBIT 0 SITEPROP TIEOFF_X29Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y52 RPM_X 116 SITEPROP TIEOFF_X29Y52 RPM_Y 104 SITEPROP TIEOFF_X29Y52 SITE_PIPS SITEPROP TIEOFF_X29Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y53 CLASS site SITEPROP TIEOFF_X29Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y53 IS_BONDED 0 SITEPROP TIEOFF_X29Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y53 IS_PAD 0 SITEPROP TIEOFF_X29Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y53 IS_RESERVED 0 SITEPROP TIEOFF_X29Y53 IS_TEST 0 SITEPROP TIEOFF_X29Y53 IS_USED 0 SITEPROP TIEOFF_X29Y53 MANUAL_ROUTING SITEPROP TIEOFF_X29Y53 NAME TIEOFF_X29Y53 SITEPROP TIEOFF_X29Y53 NUM_ARCS 0 SITEPROP TIEOFF_X29Y53 NUM_BELS 2 SITEPROP TIEOFF_X29Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y53 NUM_PINS 2 SITEPROP TIEOFF_X29Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y53 PROHIBIT 0 SITEPROP TIEOFF_X29Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y53 RPM_X 116 SITEPROP TIEOFF_X29Y53 RPM_Y 106 SITEPROP TIEOFF_X29Y53 SITE_PIPS SITEPROP TIEOFF_X29Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y54 CLASS site SITEPROP TIEOFF_X29Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y54 IS_BONDED 0 SITEPROP TIEOFF_X29Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y54 IS_PAD 0 SITEPROP TIEOFF_X29Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y54 IS_RESERVED 0 SITEPROP TIEOFF_X29Y54 IS_TEST 0 SITEPROP TIEOFF_X29Y54 IS_USED 0 SITEPROP TIEOFF_X29Y54 MANUAL_ROUTING SITEPROP TIEOFF_X29Y54 NAME TIEOFF_X29Y54 SITEPROP TIEOFF_X29Y54 NUM_ARCS 0 SITEPROP TIEOFF_X29Y54 NUM_BELS 2 SITEPROP TIEOFF_X29Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y54 NUM_PINS 2 SITEPROP TIEOFF_X29Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y54 PROHIBIT 0 SITEPROP TIEOFF_X29Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y54 RPM_X 116 SITEPROP TIEOFF_X29Y54 RPM_Y 108 SITEPROP TIEOFF_X29Y54 SITE_PIPS SITEPROP TIEOFF_X29Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y55 CLASS site SITEPROP TIEOFF_X29Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y55 IS_BONDED 0 SITEPROP TIEOFF_X29Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y55 IS_PAD 0 SITEPROP TIEOFF_X29Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y55 IS_RESERVED 0 SITEPROP TIEOFF_X29Y55 IS_TEST 0 SITEPROP TIEOFF_X29Y55 IS_USED 0 SITEPROP TIEOFF_X29Y55 MANUAL_ROUTING SITEPROP TIEOFF_X29Y55 NAME TIEOFF_X29Y55 SITEPROP TIEOFF_X29Y55 NUM_ARCS 0 SITEPROP TIEOFF_X29Y55 NUM_BELS 2 SITEPROP TIEOFF_X29Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y55 NUM_PINS 2 SITEPROP TIEOFF_X29Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y55 PROHIBIT 0 SITEPROP TIEOFF_X29Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y55 RPM_X 116 SITEPROP TIEOFF_X29Y55 RPM_Y 110 SITEPROP TIEOFF_X29Y55 SITE_PIPS SITEPROP TIEOFF_X29Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y56 CLASS site SITEPROP TIEOFF_X29Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y56 IS_BONDED 0 SITEPROP TIEOFF_X29Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y56 IS_PAD 0 SITEPROP TIEOFF_X29Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y56 IS_RESERVED 0 SITEPROP TIEOFF_X29Y56 IS_TEST 0 SITEPROP TIEOFF_X29Y56 IS_USED 0 SITEPROP TIEOFF_X29Y56 MANUAL_ROUTING SITEPROP TIEOFF_X29Y56 NAME TIEOFF_X29Y56 SITEPROP TIEOFF_X29Y56 NUM_ARCS 0 SITEPROP TIEOFF_X29Y56 NUM_BELS 2 SITEPROP TIEOFF_X29Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y56 NUM_PINS 2 SITEPROP TIEOFF_X29Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y56 PROHIBIT 0 SITEPROP TIEOFF_X29Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y56 RPM_X 116 SITEPROP TIEOFF_X29Y56 RPM_Y 112 SITEPROP TIEOFF_X29Y56 SITE_PIPS SITEPROP TIEOFF_X29Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y57 CLASS site SITEPROP TIEOFF_X29Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y57 IS_BONDED 0 SITEPROP TIEOFF_X29Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y57 IS_PAD 0 SITEPROP TIEOFF_X29Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y57 IS_RESERVED 0 SITEPROP TIEOFF_X29Y57 IS_TEST 0 SITEPROP TIEOFF_X29Y57 IS_USED 0 SITEPROP TIEOFF_X29Y57 MANUAL_ROUTING SITEPROP TIEOFF_X29Y57 NAME TIEOFF_X29Y57 SITEPROP TIEOFF_X29Y57 NUM_ARCS 0 SITEPROP TIEOFF_X29Y57 NUM_BELS 2 SITEPROP TIEOFF_X29Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y57 NUM_PINS 2 SITEPROP TIEOFF_X29Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y57 PROHIBIT 0 SITEPROP TIEOFF_X29Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y57 RPM_X 116 SITEPROP TIEOFF_X29Y57 RPM_Y 114 SITEPROP TIEOFF_X29Y57 SITE_PIPS SITEPROP TIEOFF_X29Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y58 CLASS site SITEPROP TIEOFF_X29Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y58 IS_BONDED 0 SITEPROP TIEOFF_X29Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y58 IS_PAD 0 SITEPROP TIEOFF_X29Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y58 IS_RESERVED 0 SITEPROP TIEOFF_X29Y58 IS_TEST 0 SITEPROP TIEOFF_X29Y58 IS_USED 0 SITEPROP TIEOFF_X29Y58 MANUAL_ROUTING SITEPROP TIEOFF_X29Y58 NAME TIEOFF_X29Y58 SITEPROP TIEOFF_X29Y58 NUM_ARCS 0 SITEPROP TIEOFF_X29Y58 NUM_BELS 2 SITEPROP TIEOFF_X29Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y58 NUM_PINS 2 SITEPROP TIEOFF_X29Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y58 PROHIBIT 0 SITEPROP TIEOFF_X29Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y58 RPM_X 116 SITEPROP TIEOFF_X29Y58 RPM_Y 116 SITEPROP TIEOFF_X29Y58 SITE_PIPS SITEPROP TIEOFF_X29Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y59 CLASS site SITEPROP TIEOFF_X29Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y59 IS_BONDED 0 SITEPROP TIEOFF_X29Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y59 IS_PAD 0 SITEPROP TIEOFF_X29Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y59 IS_RESERVED 0 SITEPROP TIEOFF_X29Y59 IS_TEST 0 SITEPROP TIEOFF_X29Y59 IS_USED 0 SITEPROP TIEOFF_X29Y59 MANUAL_ROUTING SITEPROP TIEOFF_X29Y59 NAME TIEOFF_X29Y59 SITEPROP TIEOFF_X29Y59 NUM_ARCS 0 SITEPROP TIEOFF_X29Y59 NUM_BELS 2 SITEPROP TIEOFF_X29Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y59 NUM_PINS 2 SITEPROP TIEOFF_X29Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y59 PROHIBIT 0 SITEPROP TIEOFF_X29Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y59 RPM_X 116 SITEPROP TIEOFF_X29Y59 RPM_Y 118 SITEPROP TIEOFF_X29Y59 SITE_PIPS SITEPROP TIEOFF_X29Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y60 CLASS site SITEPROP TIEOFF_X29Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y60 IS_BONDED 0 SITEPROP TIEOFF_X29Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y60 IS_PAD 0 SITEPROP TIEOFF_X29Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y60 IS_RESERVED 0 SITEPROP TIEOFF_X29Y60 IS_TEST 0 SITEPROP TIEOFF_X29Y60 IS_USED 0 SITEPROP TIEOFF_X29Y60 MANUAL_ROUTING SITEPROP TIEOFF_X29Y60 NAME TIEOFF_X29Y60 SITEPROP TIEOFF_X29Y60 NUM_ARCS 0 SITEPROP TIEOFF_X29Y60 NUM_BELS 2 SITEPROP TIEOFF_X29Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y60 NUM_PINS 2 SITEPROP TIEOFF_X29Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y60 PROHIBIT 0 SITEPROP TIEOFF_X29Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y60 RPM_X 116 SITEPROP TIEOFF_X29Y60 RPM_Y 120 SITEPROP TIEOFF_X29Y60 SITE_PIPS SITEPROP TIEOFF_X29Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y61 CLASS site SITEPROP TIEOFF_X29Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y61 IS_BONDED 0 SITEPROP TIEOFF_X29Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y61 IS_PAD 0 SITEPROP TIEOFF_X29Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y61 IS_RESERVED 0 SITEPROP TIEOFF_X29Y61 IS_TEST 0 SITEPROP TIEOFF_X29Y61 IS_USED 0 SITEPROP TIEOFF_X29Y61 MANUAL_ROUTING SITEPROP TIEOFF_X29Y61 NAME TIEOFF_X29Y61 SITEPROP TIEOFF_X29Y61 NUM_ARCS 0 SITEPROP TIEOFF_X29Y61 NUM_BELS 2 SITEPROP TIEOFF_X29Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y61 NUM_PINS 2 SITEPROP TIEOFF_X29Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y61 PROHIBIT 0 SITEPROP TIEOFF_X29Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y61 RPM_X 116 SITEPROP TIEOFF_X29Y61 RPM_Y 122 SITEPROP TIEOFF_X29Y61 SITE_PIPS SITEPROP TIEOFF_X29Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y62 CLASS site SITEPROP TIEOFF_X29Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y62 IS_BONDED 0 SITEPROP TIEOFF_X29Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y62 IS_PAD 0 SITEPROP TIEOFF_X29Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y62 IS_RESERVED 0 SITEPROP TIEOFF_X29Y62 IS_TEST 0 SITEPROP TIEOFF_X29Y62 IS_USED 0 SITEPROP TIEOFF_X29Y62 MANUAL_ROUTING SITEPROP TIEOFF_X29Y62 NAME TIEOFF_X29Y62 SITEPROP TIEOFF_X29Y62 NUM_ARCS 0 SITEPROP TIEOFF_X29Y62 NUM_BELS 2 SITEPROP TIEOFF_X29Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y62 NUM_PINS 2 SITEPROP TIEOFF_X29Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y62 PROHIBIT 0 SITEPROP TIEOFF_X29Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y62 RPM_X 116 SITEPROP TIEOFF_X29Y62 RPM_Y 124 SITEPROP TIEOFF_X29Y62 SITE_PIPS SITEPROP TIEOFF_X29Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y63 CLASS site SITEPROP TIEOFF_X29Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y63 IS_BONDED 0 SITEPROP TIEOFF_X29Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y63 IS_PAD 0 SITEPROP TIEOFF_X29Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y63 IS_RESERVED 0 SITEPROP TIEOFF_X29Y63 IS_TEST 0 SITEPROP TIEOFF_X29Y63 IS_USED 0 SITEPROP TIEOFF_X29Y63 MANUAL_ROUTING SITEPROP TIEOFF_X29Y63 NAME TIEOFF_X29Y63 SITEPROP TIEOFF_X29Y63 NUM_ARCS 0 SITEPROP TIEOFF_X29Y63 NUM_BELS 2 SITEPROP TIEOFF_X29Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y63 NUM_PINS 2 SITEPROP TIEOFF_X29Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y63 PROHIBIT 0 SITEPROP TIEOFF_X29Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y63 RPM_X 116 SITEPROP TIEOFF_X29Y63 RPM_Y 126 SITEPROP TIEOFF_X29Y63 SITE_PIPS SITEPROP TIEOFF_X29Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y64 CLASS site SITEPROP TIEOFF_X29Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y64 IS_BONDED 0 SITEPROP TIEOFF_X29Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y64 IS_PAD 0 SITEPROP TIEOFF_X29Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y64 IS_RESERVED 0 SITEPROP TIEOFF_X29Y64 IS_TEST 0 SITEPROP TIEOFF_X29Y64 IS_USED 0 SITEPROP TIEOFF_X29Y64 MANUAL_ROUTING SITEPROP TIEOFF_X29Y64 NAME TIEOFF_X29Y64 SITEPROP TIEOFF_X29Y64 NUM_ARCS 0 SITEPROP TIEOFF_X29Y64 NUM_BELS 2 SITEPROP TIEOFF_X29Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y64 NUM_PINS 2 SITEPROP TIEOFF_X29Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y64 PROHIBIT 0 SITEPROP TIEOFF_X29Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y64 RPM_X 116 SITEPROP TIEOFF_X29Y64 RPM_Y 128 SITEPROP TIEOFF_X29Y64 SITE_PIPS SITEPROP TIEOFF_X29Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y65 CLASS site SITEPROP TIEOFF_X29Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y65 IS_BONDED 0 SITEPROP TIEOFF_X29Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y65 IS_PAD 0 SITEPROP TIEOFF_X29Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y65 IS_RESERVED 0 SITEPROP TIEOFF_X29Y65 IS_TEST 0 SITEPROP TIEOFF_X29Y65 IS_USED 0 SITEPROP TIEOFF_X29Y65 MANUAL_ROUTING SITEPROP TIEOFF_X29Y65 NAME TIEOFF_X29Y65 SITEPROP TIEOFF_X29Y65 NUM_ARCS 0 SITEPROP TIEOFF_X29Y65 NUM_BELS 2 SITEPROP TIEOFF_X29Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y65 NUM_PINS 2 SITEPROP TIEOFF_X29Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y65 PROHIBIT 0 SITEPROP TIEOFF_X29Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y65 RPM_X 116 SITEPROP TIEOFF_X29Y65 RPM_Y 130 SITEPROP TIEOFF_X29Y65 SITE_PIPS SITEPROP TIEOFF_X29Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y66 CLASS site SITEPROP TIEOFF_X29Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y66 IS_BONDED 0 SITEPROP TIEOFF_X29Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y66 IS_PAD 0 SITEPROP TIEOFF_X29Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y66 IS_RESERVED 0 SITEPROP TIEOFF_X29Y66 IS_TEST 0 SITEPROP TIEOFF_X29Y66 IS_USED 0 SITEPROP TIEOFF_X29Y66 MANUAL_ROUTING SITEPROP TIEOFF_X29Y66 NAME TIEOFF_X29Y66 SITEPROP TIEOFF_X29Y66 NUM_ARCS 0 SITEPROP TIEOFF_X29Y66 NUM_BELS 2 SITEPROP TIEOFF_X29Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y66 NUM_PINS 2 SITEPROP TIEOFF_X29Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y66 PROHIBIT 0 SITEPROP TIEOFF_X29Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y66 RPM_X 116 SITEPROP TIEOFF_X29Y66 RPM_Y 132 SITEPROP TIEOFF_X29Y66 SITE_PIPS SITEPROP TIEOFF_X29Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y67 CLASS site SITEPROP TIEOFF_X29Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y67 IS_BONDED 0 SITEPROP TIEOFF_X29Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y67 IS_PAD 0 SITEPROP TIEOFF_X29Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y67 IS_RESERVED 0 SITEPROP TIEOFF_X29Y67 IS_TEST 0 SITEPROP TIEOFF_X29Y67 IS_USED 0 SITEPROP TIEOFF_X29Y67 MANUAL_ROUTING SITEPROP TIEOFF_X29Y67 NAME TIEOFF_X29Y67 SITEPROP TIEOFF_X29Y67 NUM_ARCS 0 SITEPROP TIEOFF_X29Y67 NUM_BELS 2 SITEPROP TIEOFF_X29Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y67 NUM_PINS 2 SITEPROP TIEOFF_X29Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y67 PROHIBIT 0 SITEPROP TIEOFF_X29Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y67 RPM_X 116 SITEPROP TIEOFF_X29Y67 RPM_Y 134 SITEPROP TIEOFF_X29Y67 SITE_PIPS SITEPROP TIEOFF_X29Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y68 CLASS site SITEPROP TIEOFF_X29Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y68 IS_BONDED 0 SITEPROP TIEOFF_X29Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y68 IS_PAD 0 SITEPROP TIEOFF_X29Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y68 IS_RESERVED 0 SITEPROP TIEOFF_X29Y68 IS_TEST 0 SITEPROP TIEOFF_X29Y68 IS_USED 0 SITEPROP TIEOFF_X29Y68 MANUAL_ROUTING SITEPROP TIEOFF_X29Y68 NAME TIEOFF_X29Y68 SITEPROP TIEOFF_X29Y68 NUM_ARCS 0 SITEPROP TIEOFF_X29Y68 NUM_BELS 2 SITEPROP TIEOFF_X29Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y68 NUM_PINS 2 SITEPROP TIEOFF_X29Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y68 PROHIBIT 0 SITEPROP TIEOFF_X29Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y68 RPM_X 116 SITEPROP TIEOFF_X29Y68 RPM_Y 136 SITEPROP TIEOFF_X29Y68 SITE_PIPS SITEPROP TIEOFF_X29Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y69 CLASS site SITEPROP TIEOFF_X29Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y69 IS_BONDED 0 SITEPROP TIEOFF_X29Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y69 IS_PAD 0 SITEPROP TIEOFF_X29Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y69 IS_RESERVED 0 SITEPROP TIEOFF_X29Y69 IS_TEST 0 SITEPROP TIEOFF_X29Y69 IS_USED 0 SITEPROP TIEOFF_X29Y69 MANUAL_ROUTING SITEPROP TIEOFF_X29Y69 NAME TIEOFF_X29Y69 SITEPROP TIEOFF_X29Y69 NUM_ARCS 0 SITEPROP TIEOFF_X29Y69 NUM_BELS 2 SITEPROP TIEOFF_X29Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y69 NUM_PINS 2 SITEPROP TIEOFF_X29Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y69 PROHIBIT 0 SITEPROP TIEOFF_X29Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y69 RPM_X 116 SITEPROP TIEOFF_X29Y69 RPM_Y 138 SITEPROP TIEOFF_X29Y69 SITE_PIPS SITEPROP TIEOFF_X29Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y70 CLASS site SITEPROP TIEOFF_X29Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y70 IS_BONDED 0 SITEPROP TIEOFF_X29Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y70 IS_PAD 0 SITEPROP TIEOFF_X29Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y70 IS_RESERVED 0 SITEPROP TIEOFF_X29Y70 IS_TEST 0 SITEPROP TIEOFF_X29Y70 IS_USED 0 SITEPROP TIEOFF_X29Y70 MANUAL_ROUTING SITEPROP TIEOFF_X29Y70 NAME TIEOFF_X29Y70 SITEPROP TIEOFF_X29Y70 NUM_ARCS 0 SITEPROP TIEOFF_X29Y70 NUM_BELS 2 SITEPROP TIEOFF_X29Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y70 NUM_PINS 2 SITEPROP TIEOFF_X29Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y70 PROHIBIT 0 SITEPROP TIEOFF_X29Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y70 RPM_X 116 SITEPROP TIEOFF_X29Y70 RPM_Y 140 SITEPROP TIEOFF_X29Y70 SITE_PIPS SITEPROP TIEOFF_X29Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y71 CLASS site SITEPROP TIEOFF_X29Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y71 IS_BONDED 0 SITEPROP TIEOFF_X29Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y71 IS_PAD 0 SITEPROP TIEOFF_X29Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y71 IS_RESERVED 0 SITEPROP TIEOFF_X29Y71 IS_TEST 0 SITEPROP TIEOFF_X29Y71 IS_USED 0 SITEPROP TIEOFF_X29Y71 MANUAL_ROUTING SITEPROP TIEOFF_X29Y71 NAME TIEOFF_X29Y71 SITEPROP TIEOFF_X29Y71 NUM_ARCS 0 SITEPROP TIEOFF_X29Y71 NUM_BELS 2 SITEPROP TIEOFF_X29Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y71 NUM_PINS 2 SITEPROP TIEOFF_X29Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y71 PROHIBIT 0 SITEPROP TIEOFF_X29Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y71 RPM_X 116 SITEPROP TIEOFF_X29Y71 RPM_Y 142 SITEPROP TIEOFF_X29Y71 SITE_PIPS SITEPROP TIEOFF_X29Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y72 CLASS site SITEPROP TIEOFF_X29Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y72 IS_BONDED 0 SITEPROP TIEOFF_X29Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y72 IS_PAD 0 SITEPROP TIEOFF_X29Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y72 IS_RESERVED 0 SITEPROP TIEOFF_X29Y72 IS_TEST 0 SITEPROP TIEOFF_X29Y72 IS_USED 0 SITEPROP TIEOFF_X29Y72 MANUAL_ROUTING SITEPROP TIEOFF_X29Y72 NAME TIEOFF_X29Y72 SITEPROP TIEOFF_X29Y72 NUM_ARCS 0 SITEPROP TIEOFF_X29Y72 NUM_BELS 2 SITEPROP TIEOFF_X29Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y72 NUM_PINS 2 SITEPROP TIEOFF_X29Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y72 PROHIBIT 0 SITEPROP TIEOFF_X29Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y72 RPM_X 116 SITEPROP TIEOFF_X29Y72 RPM_Y 144 SITEPROP TIEOFF_X29Y72 SITE_PIPS SITEPROP TIEOFF_X29Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y73 CLASS site SITEPROP TIEOFF_X29Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y73 IS_BONDED 0 SITEPROP TIEOFF_X29Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y73 IS_PAD 0 SITEPROP TIEOFF_X29Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y73 IS_RESERVED 0 SITEPROP TIEOFF_X29Y73 IS_TEST 0 SITEPROP TIEOFF_X29Y73 IS_USED 0 SITEPROP TIEOFF_X29Y73 MANUAL_ROUTING SITEPROP TIEOFF_X29Y73 NAME TIEOFF_X29Y73 SITEPROP TIEOFF_X29Y73 NUM_ARCS 0 SITEPROP TIEOFF_X29Y73 NUM_BELS 2 SITEPROP TIEOFF_X29Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y73 NUM_PINS 2 SITEPROP TIEOFF_X29Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y73 PROHIBIT 0 SITEPROP TIEOFF_X29Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y73 RPM_X 116 SITEPROP TIEOFF_X29Y73 RPM_Y 146 SITEPROP TIEOFF_X29Y73 SITE_PIPS SITEPROP TIEOFF_X29Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y74 CLASS site SITEPROP TIEOFF_X29Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y74 IS_BONDED 0 SITEPROP TIEOFF_X29Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y74 IS_PAD 0 SITEPROP TIEOFF_X29Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y74 IS_RESERVED 0 SITEPROP TIEOFF_X29Y74 IS_TEST 0 SITEPROP TIEOFF_X29Y74 IS_USED 0 SITEPROP TIEOFF_X29Y74 MANUAL_ROUTING SITEPROP TIEOFF_X29Y74 NAME TIEOFF_X29Y74 SITEPROP TIEOFF_X29Y74 NUM_ARCS 0 SITEPROP TIEOFF_X29Y74 NUM_BELS 2 SITEPROP TIEOFF_X29Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y74 NUM_PINS 2 SITEPROP TIEOFF_X29Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y74 PROHIBIT 0 SITEPROP TIEOFF_X29Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y74 RPM_X 116 SITEPROP TIEOFF_X29Y74 RPM_Y 148 SITEPROP TIEOFF_X29Y74 SITE_PIPS SITEPROP TIEOFF_X29Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y75 CLASS site SITEPROP TIEOFF_X29Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y75 IS_BONDED 0 SITEPROP TIEOFF_X29Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y75 IS_PAD 0 SITEPROP TIEOFF_X29Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y75 IS_RESERVED 0 SITEPROP TIEOFF_X29Y75 IS_TEST 0 SITEPROP TIEOFF_X29Y75 IS_USED 0 SITEPROP TIEOFF_X29Y75 MANUAL_ROUTING SITEPROP TIEOFF_X29Y75 NAME TIEOFF_X29Y75 SITEPROP TIEOFF_X29Y75 NUM_ARCS 0 SITEPROP TIEOFF_X29Y75 NUM_BELS 2 SITEPROP TIEOFF_X29Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y75 NUM_PINS 2 SITEPROP TIEOFF_X29Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y75 PROHIBIT 0 SITEPROP TIEOFF_X29Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y75 RPM_X 116 SITEPROP TIEOFF_X29Y75 RPM_Y 150 SITEPROP TIEOFF_X29Y75 SITE_PIPS SITEPROP TIEOFF_X29Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y76 CLASS site SITEPROP TIEOFF_X29Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y76 IS_BONDED 0 SITEPROP TIEOFF_X29Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y76 IS_PAD 0 SITEPROP TIEOFF_X29Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y76 IS_RESERVED 0 SITEPROP TIEOFF_X29Y76 IS_TEST 0 SITEPROP TIEOFF_X29Y76 IS_USED 0 SITEPROP TIEOFF_X29Y76 MANUAL_ROUTING SITEPROP TIEOFF_X29Y76 NAME TIEOFF_X29Y76 SITEPROP TIEOFF_X29Y76 NUM_ARCS 0 SITEPROP TIEOFF_X29Y76 NUM_BELS 2 SITEPROP TIEOFF_X29Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y76 NUM_PINS 2 SITEPROP TIEOFF_X29Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y76 PROHIBIT 0 SITEPROP TIEOFF_X29Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y76 RPM_X 116 SITEPROP TIEOFF_X29Y76 RPM_Y 152 SITEPROP TIEOFF_X29Y76 SITE_PIPS SITEPROP TIEOFF_X29Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y77 CLASS site SITEPROP TIEOFF_X29Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y77 IS_BONDED 0 SITEPROP TIEOFF_X29Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y77 IS_PAD 0 SITEPROP TIEOFF_X29Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y77 IS_RESERVED 0 SITEPROP TIEOFF_X29Y77 IS_TEST 0 SITEPROP TIEOFF_X29Y77 IS_USED 0 SITEPROP TIEOFF_X29Y77 MANUAL_ROUTING SITEPROP TIEOFF_X29Y77 NAME TIEOFF_X29Y77 SITEPROP TIEOFF_X29Y77 NUM_ARCS 0 SITEPROP TIEOFF_X29Y77 NUM_BELS 2 SITEPROP TIEOFF_X29Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y77 NUM_PINS 2 SITEPROP TIEOFF_X29Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y77 PROHIBIT 0 SITEPROP TIEOFF_X29Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y77 RPM_X 116 SITEPROP TIEOFF_X29Y77 RPM_Y 154 SITEPROP TIEOFF_X29Y77 SITE_PIPS SITEPROP TIEOFF_X29Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y78 CLASS site SITEPROP TIEOFF_X29Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y78 IS_BONDED 0 SITEPROP TIEOFF_X29Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y78 IS_PAD 0 SITEPROP TIEOFF_X29Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y78 IS_RESERVED 0 SITEPROP TIEOFF_X29Y78 IS_TEST 0 SITEPROP TIEOFF_X29Y78 IS_USED 0 SITEPROP TIEOFF_X29Y78 MANUAL_ROUTING SITEPROP TIEOFF_X29Y78 NAME TIEOFF_X29Y78 SITEPROP TIEOFF_X29Y78 NUM_ARCS 0 SITEPROP TIEOFF_X29Y78 NUM_BELS 2 SITEPROP TIEOFF_X29Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y78 NUM_PINS 2 SITEPROP TIEOFF_X29Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y78 PROHIBIT 0 SITEPROP TIEOFF_X29Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y78 RPM_X 116 SITEPROP TIEOFF_X29Y78 RPM_Y 156 SITEPROP TIEOFF_X29Y78 SITE_PIPS SITEPROP TIEOFF_X29Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y79 CLASS site SITEPROP TIEOFF_X29Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y79 IS_BONDED 0 SITEPROP TIEOFF_X29Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y79 IS_PAD 0 SITEPROP TIEOFF_X29Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y79 IS_RESERVED 0 SITEPROP TIEOFF_X29Y79 IS_TEST 0 SITEPROP TIEOFF_X29Y79 IS_USED 0 SITEPROP TIEOFF_X29Y79 MANUAL_ROUTING SITEPROP TIEOFF_X29Y79 NAME TIEOFF_X29Y79 SITEPROP TIEOFF_X29Y79 NUM_ARCS 0 SITEPROP TIEOFF_X29Y79 NUM_BELS 2 SITEPROP TIEOFF_X29Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y79 NUM_PINS 2 SITEPROP TIEOFF_X29Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y79 PROHIBIT 0 SITEPROP TIEOFF_X29Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y79 RPM_X 116 SITEPROP TIEOFF_X29Y79 RPM_Y 158 SITEPROP TIEOFF_X29Y79 SITE_PIPS SITEPROP TIEOFF_X29Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y80 CLASS site SITEPROP TIEOFF_X29Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y80 IS_BONDED 0 SITEPROP TIEOFF_X29Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y80 IS_PAD 0 SITEPROP TIEOFF_X29Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y80 IS_RESERVED 0 SITEPROP TIEOFF_X29Y80 IS_TEST 0 SITEPROP TIEOFF_X29Y80 IS_USED 0 SITEPROP TIEOFF_X29Y80 MANUAL_ROUTING SITEPROP TIEOFF_X29Y80 NAME TIEOFF_X29Y80 SITEPROP TIEOFF_X29Y80 NUM_ARCS 0 SITEPROP TIEOFF_X29Y80 NUM_BELS 2 SITEPROP TIEOFF_X29Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y80 NUM_PINS 2 SITEPROP TIEOFF_X29Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y80 PROHIBIT 0 SITEPROP TIEOFF_X29Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y80 RPM_X 116 SITEPROP TIEOFF_X29Y80 RPM_Y 160 SITEPROP TIEOFF_X29Y80 SITE_PIPS SITEPROP TIEOFF_X29Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y81 CLASS site SITEPROP TIEOFF_X29Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y81 IS_BONDED 0 SITEPROP TIEOFF_X29Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y81 IS_PAD 0 SITEPROP TIEOFF_X29Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y81 IS_RESERVED 0 SITEPROP TIEOFF_X29Y81 IS_TEST 0 SITEPROP TIEOFF_X29Y81 IS_USED 0 SITEPROP TIEOFF_X29Y81 MANUAL_ROUTING SITEPROP TIEOFF_X29Y81 NAME TIEOFF_X29Y81 SITEPROP TIEOFF_X29Y81 NUM_ARCS 0 SITEPROP TIEOFF_X29Y81 NUM_BELS 2 SITEPROP TIEOFF_X29Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y81 NUM_PINS 2 SITEPROP TIEOFF_X29Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y81 PROHIBIT 0 SITEPROP TIEOFF_X29Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y81 RPM_X 116 SITEPROP TIEOFF_X29Y81 RPM_Y 162 SITEPROP TIEOFF_X29Y81 SITE_PIPS SITEPROP TIEOFF_X29Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y82 CLASS site SITEPROP TIEOFF_X29Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y82 IS_BONDED 0 SITEPROP TIEOFF_X29Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y82 IS_PAD 0 SITEPROP TIEOFF_X29Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y82 IS_RESERVED 0 SITEPROP TIEOFF_X29Y82 IS_TEST 0 SITEPROP TIEOFF_X29Y82 IS_USED 0 SITEPROP TIEOFF_X29Y82 MANUAL_ROUTING SITEPROP TIEOFF_X29Y82 NAME TIEOFF_X29Y82 SITEPROP TIEOFF_X29Y82 NUM_ARCS 0 SITEPROP TIEOFF_X29Y82 NUM_BELS 2 SITEPROP TIEOFF_X29Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y82 NUM_PINS 2 SITEPROP TIEOFF_X29Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y82 PROHIBIT 0 SITEPROP TIEOFF_X29Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y82 RPM_X 116 SITEPROP TIEOFF_X29Y82 RPM_Y 164 SITEPROP TIEOFF_X29Y82 SITE_PIPS SITEPROP TIEOFF_X29Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y83 CLASS site SITEPROP TIEOFF_X29Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y83 IS_BONDED 0 SITEPROP TIEOFF_X29Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y83 IS_PAD 0 SITEPROP TIEOFF_X29Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y83 IS_RESERVED 0 SITEPROP TIEOFF_X29Y83 IS_TEST 0 SITEPROP TIEOFF_X29Y83 IS_USED 0 SITEPROP TIEOFF_X29Y83 MANUAL_ROUTING SITEPROP TIEOFF_X29Y83 NAME TIEOFF_X29Y83 SITEPROP TIEOFF_X29Y83 NUM_ARCS 0 SITEPROP TIEOFF_X29Y83 NUM_BELS 2 SITEPROP TIEOFF_X29Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y83 NUM_PINS 2 SITEPROP TIEOFF_X29Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y83 PROHIBIT 0 SITEPROP TIEOFF_X29Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y83 RPM_X 116 SITEPROP TIEOFF_X29Y83 RPM_Y 166 SITEPROP TIEOFF_X29Y83 SITE_PIPS SITEPROP TIEOFF_X29Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y84 CLASS site SITEPROP TIEOFF_X29Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y84 IS_BONDED 0 SITEPROP TIEOFF_X29Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y84 IS_PAD 0 SITEPROP TIEOFF_X29Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y84 IS_RESERVED 0 SITEPROP TIEOFF_X29Y84 IS_TEST 0 SITEPROP TIEOFF_X29Y84 IS_USED 0 SITEPROP TIEOFF_X29Y84 MANUAL_ROUTING SITEPROP TIEOFF_X29Y84 NAME TIEOFF_X29Y84 SITEPROP TIEOFF_X29Y84 NUM_ARCS 0 SITEPROP TIEOFF_X29Y84 NUM_BELS 2 SITEPROP TIEOFF_X29Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y84 NUM_PINS 2 SITEPROP TIEOFF_X29Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y84 PROHIBIT 0 SITEPROP TIEOFF_X29Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y84 RPM_X 116 SITEPROP TIEOFF_X29Y84 RPM_Y 168 SITEPROP TIEOFF_X29Y84 SITE_PIPS SITEPROP TIEOFF_X29Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y85 CLASS site SITEPROP TIEOFF_X29Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y85 IS_BONDED 0 SITEPROP TIEOFF_X29Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y85 IS_PAD 0 SITEPROP TIEOFF_X29Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y85 IS_RESERVED 0 SITEPROP TIEOFF_X29Y85 IS_TEST 0 SITEPROP TIEOFF_X29Y85 IS_USED 0 SITEPROP TIEOFF_X29Y85 MANUAL_ROUTING SITEPROP TIEOFF_X29Y85 NAME TIEOFF_X29Y85 SITEPROP TIEOFF_X29Y85 NUM_ARCS 0 SITEPROP TIEOFF_X29Y85 NUM_BELS 2 SITEPROP TIEOFF_X29Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y85 NUM_PINS 2 SITEPROP TIEOFF_X29Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y85 PROHIBIT 0 SITEPROP TIEOFF_X29Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y85 RPM_X 116 SITEPROP TIEOFF_X29Y85 RPM_Y 170 SITEPROP TIEOFF_X29Y85 SITE_PIPS SITEPROP TIEOFF_X29Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y86 CLASS site SITEPROP TIEOFF_X29Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y86 IS_BONDED 0 SITEPROP TIEOFF_X29Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y86 IS_PAD 0 SITEPROP TIEOFF_X29Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y86 IS_RESERVED 0 SITEPROP TIEOFF_X29Y86 IS_TEST 0 SITEPROP TIEOFF_X29Y86 IS_USED 0 SITEPROP TIEOFF_X29Y86 MANUAL_ROUTING SITEPROP TIEOFF_X29Y86 NAME TIEOFF_X29Y86 SITEPROP TIEOFF_X29Y86 NUM_ARCS 0 SITEPROP TIEOFF_X29Y86 NUM_BELS 2 SITEPROP TIEOFF_X29Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y86 NUM_PINS 2 SITEPROP TIEOFF_X29Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y86 PROHIBIT 0 SITEPROP TIEOFF_X29Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y86 RPM_X 116 SITEPROP TIEOFF_X29Y86 RPM_Y 172 SITEPROP TIEOFF_X29Y86 SITE_PIPS SITEPROP TIEOFF_X29Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y87 CLASS site SITEPROP TIEOFF_X29Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y87 IS_BONDED 0 SITEPROP TIEOFF_X29Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y87 IS_PAD 0 SITEPROP TIEOFF_X29Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y87 IS_RESERVED 0 SITEPROP TIEOFF_X29Y87 IS_TEST 0 SITEPROP TIEOFF_X29Y87 IS_USED 0 SITEPROP TIEOFF_X29Y87 MANUAL_ROUTING SITEPROP TIEOFF_X29Y87 NAME TIEOFF_X29Y87 SITEPROP TIEOFF_X29Y87 NUM_ARCS 0 SITEPROP TIEOFF_X29Y87 NUM_BELS 2 SITEPROP TIEOFF_X29Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y87 NUM_PINS 2 SITEPROP TIEOFF_X29Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y87 PROHIBIT 0 SITEPROP TIEOFF_X29Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y87 RPM_X 116 SITEPROP TIEOFF_X29Y87 RPM_Y 174 SITEPROP TIEOFF_X29Y87 SITE_PIPS SITEPROP TIEOFF_X29Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y88 CLASS site SITEPROP TIEOFF_X29Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y88 IS_BONDED 0 SITEPROP TIEOFF_X29Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y88 IS_PAD 0 SITEPROP TIEOFF_X29Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y88 IS_RESERVED 0 SITEPROP TIEOFF_X29Y88 IS_TEST 0 SITEPROP TIEOFF_X29Y88 IS_USED 0 SITEPROP TIEOFF_X29Y88 MANUAL_ROUTING SITEPROP TIEOFF_X29Y88 NAME TIEOFF_X29Y88 SITEPROP TIEOFF_X29Y88 NUM_ARCS 0 SITEPROP TIEOFF_X29Y88 NUM_BELS 2 SITEPROP TIEOFF_X29Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y88 NUM_PINS 2 SITEPROP TIEOFF_X29Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y88 PROHIBIT 0 SITEPROP TIEOFF_X29Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y88 RPM_X 116 SITEPROP TIEOFF_X29Y88 RPM_Y 176 SITEPROP TIEOFF_X29Y88 SITE_PIPS SITEPROP TIEOFF_X29Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y89 CLASS site SITEPROP TIEOFF_X29Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y89 IS_BONDED 0 SITEPROP TIEOFF_X29Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y89 IS_PAD 0 SITEPROP TIEOFF_X29Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y89 IS_RESERVED 0 SITEPROP TIEOFF_X29Y89 IS_TEST 0 SITEPROP TIEOFF_X29Y89 IS_USED 0 SITEPROP TIEOFF_X29Y89 MANUAL_ROUTING SITEPROP TIEOFF_X29Y89 NAME TIEOFF_X29Y89 SITEPROP TIEOFF_X29Y89 NUM_ARCS 0 SITEPROP TIEOFF_X29Y89 NUM_BELS 2 SITEPROP TIEOFF_X29Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y89 NUM_PINS 2 SITEPROP TIEOFF_X29Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y89 PROHIBIT 0 SITEPROP TIEOFF_X29Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y89 RPM_X 116 SITEPROP TIEOFF_X29Y89 RPM_Y 178 SITEPROP TIEOFF_X29Y89 SITE_PIPS SITEPROP TIEOFF_X29Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y90 CLASS site SITEPROP TIEOFF_X29Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y90 IS_BONDED 0 SITEPROP TIEOFF_X29Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y90 IS_PAD 0 SITEPROP TIEOFF_X29Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y90 IS_RESERVED 0 SITEPROP TIEOFF_X29Y90 IS_TEST 0 SITEPROP TIEOFF_X29Y90 IS_USED 0 SITEPROP TIEOFF_X29Y90 MANUAL_ROUTING SITEPROP TIEOFF_X29Y90 NAME TIEOFF_X29Y90 SITEPROP TIEOFF_X29Y90 NUM_ARCS 0 SITEPROP TIEOFF_X29Y90 NUM_BELS 2 SITEPROP TIEOFF_X29Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y90 NUM_PINS 2 SITEPROP TIEOFF_X29Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y90 PROHIBIT 0 SITEPROP TIEOFF_X29Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y90 RPM_X 116 SITEPROP TIEOFF_X29Y90 RPM_Y 180 SITEPROP TIEOFF_X29Y90 SITE_PIPS SITEPROP TIEOFF_X29Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y91 CLASS site SITEPROP TIEOFF_X29Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y91 IS_BONDED 0 SITEPROP TIEOFF_X29Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y91 IS_PAD 0 SITEPROP TIEOFF_X29Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y91 IS_RESERVED 0 SITEPROP TIEOFF_X29Y91 IS_TEST 0 SITEPROP TIEOFF_X29Y91 IS_USED 0 SITEPROP TIEOFF_X29Y91 MANUAL_ROUTING SITEPROP TIEOFF_X29Y91 NAME TIEOFF_X29Y91 SITEPROP TIEOFF_X29Y91 NUM_ARCS 0 SITEPROP TIEOFF_X29Y91 NUM_BELS 2 SITEPROP TIEOFF_X29Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y91 NUM_PINS 2 SITEPROP TIEOFF_X29Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y91 PROHIBIT 0 SITEPROP TIEOFF_X29Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y91 RPM_X 116 SITEPROP TIEOFF_X29Y91 RPM_Y 182 SITEPROP TIEOFF_X29Y91 SITE_PIPS SITEPROP TIEOFF_X29Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y92 CLASS site SITEPROP TIEOFF_X29Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y92 IS_BONDED 0 SITEPROP TIEOFF_X29Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y92 IS_PAD 0 SITEPROP TIEOFF_X29Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y92 IS_RESERVED 0 SITEPROP TIEOFF_X29Y92 IS_TEST 0 SITEPROP TIEOFF_X29Y92 IS_USED 0 SITEPROP TIEOFF_X29Y92 MANUAL_ROUTING SITEPROP TIEOFF_X29Y92 NAME TIEOFF_X29Y92 SITEPROP TIEOFF_X29Y92 NUM_ARCS 0 SITEPROP TIEOFF_X29Y92 NUM_BELS 2 SITEPROP TIEOFF_X29Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y92 NUM_PINS 2 SITEPROP TIEOFF_X29Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y92 PROHIBIT 0 SITEPROP TIEOFF_X29Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y92 RPM_X 116 SITEPROP TIEOFF_X29Y92 RPM_Y 184 SITEPROP TIEOFF_X29Y92 SITE_PIPS SITEPROP TIEOFF_X29Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y93 CLASS site SITEPROP TIEOFF_X29Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y93 IS_BONDED 0 SITEPROP TIEOFF_X29Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y93 IS_PAD 0 SITEPROP TIEOFF_X29Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y93 IS_RESERVED 0 SITEPROP TIEOFF_X29Y93 IS_TEST 0 SITEPROP TIEOFF_X29Y93 IS_USED 0 SITEPROP TIEOFF_X29Y93 MANUAL_ROUTING SITEPROP TIEOFF_X29Y93 NAME TIEOFF_X29Y93 SITEPROP TIEOFF_X29Y93 NUM_ARCS 0 SITEPROP TIEOFF_X29Y93 NUM_BELS 2 SITEPROP TIEOFF_X29Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y93 NUM_PINS 2 SITEPROP TIEOFF_X29Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y93 PROHIBIT 0 SITEPROP TIEOFF_X29Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y93 RPM_X 116 SITEPROP TIEOFF_X29Y93 RPM_Y 186 SITEPROP TIEOFF_X29Y93 SITE_PIPS SITEPROP TIEOFF_X29Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y94 CLASS site SITEPROP TIEOFF_X29Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y94 IS_BONDED 0 SITEPROP TIEOFF_X29Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y94 IS_PAD 0 SITEPROP TIEOFF_X29Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y94 IS_RESERVED 0 SITEPROP TIEOFF_X29Y94 IS_TEST 0 SITEPROP TIEOFF_X29Y94 IS_USED 0 SITEPROP TIEOFF_X29Y94 MANUAL_ROUTING SITEPROP TIEOFF_X29Y94 NAME TIEOFF_X29Y94 SITEPROP TIEOFF_X29Y94 NUM_ARCS 0 SITEPROP TIEOFF_X29Y94 NUM_BELS 2 SITEPROP TIEOFF_X29Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y94 NUM_PINS 2 SITEPROP TIEOFF_X29Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y94 PROHIBIT 0 SITEPROP TIEOFF_X29Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y94 RPM_X 116 SITEPROP TIEOFF_X29Y94 RPM_Y 188 SITEPROP TIEOFF_X29Y94 SITE_PIPS SITEPROP TIEOFF_X29Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y95 CLASS site SITEPROP TIEOFF_X29Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y95 IS_BONDED 0 SITEPROP TIEOFF_X29Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y95 IS_PAD 0 SITEPROP TIEOFF_X29Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y95 IS_RESERVED 0 SITEPROP TIEOFF_X29Y95 IS_TEST 0 SITEPROP TIEOFF_X29Y95 IS_USED 0 SITEPROP TIEOFF_X29Y95 MANUAL_ROUTING SITEPROP TIEOFF_X29Y95 NAME TIEOFF_X29Y95 SITEPROP TIEOFF_X29Y95 NUM_ARCS 0 SITEPROP TIEOFF_X29Y95 NUM_BELS 2 SITEPROP TIEOFF_X29Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y95 NUM_PINS 2 SITEPROP TIEOFF_X29Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y95 PROHIBIT 0 SITEPROP TIEOFF_X29Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y95 RPM_X 116 SITEPROP TIEOFF_X29Y95 RPM_Y 190 SITEPROP TIEOFF_X29Y95 SITE_PIPS SITEPROP TIEOFF_X29Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y96 CLASS site SITEPROP TIEOFF_X29Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y96 IS_BONDED 0 SITEPROP TIEOFF_X29Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y96 IS_PAD 0 SITEPROP TIEOFF_X29Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y96 IS_RESERVED 0 SITEPROP TIEOFF_X29Y96 IS_TEST 0 SITEPROP TIEOFF_X29Y96 IS_USED 0 SITEPROP TIEOFF_X29Y96 MANUAL_ROUTING SITEPROP TIEOFF_X29Y96 NAME TIEOFF_X29Y96 SITEPROP TIEOFF_X29Y96 NUM_ARCS 0 SITEPROP TIEOFF_X29Y96 NUM_BELS 2 SITEPROP TIEOFF_X29Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y96 NUM_PINS 2 SITEPROP TIEOFF_X29Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y96 PROHIBIT 0 SITEPROP TIEOFF_X29Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y96 RPM_X 116 SITEPROP TIEOFF_X29Y96 RPM_Y 192 SITEPROP TIEOFF_X29Y96 SITE_PIPS SITEPROP TIEOFF_X29Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y97 CLASS site SITEPROP TIEOFF_X29Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y97 IS_BONDED 0 SITEPROP TIEOFF_X29Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y97 IS_PAD 0 SITEPROP TIEOFF_X29Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y97 IS_RESERVED 0 SITEPROP TIEOFF_X29Y97 IS_TEST 0 SITEPROP TIEOFF_X29Y97 IS_USED 0 SITEPROP TIEOFF_X29Y97 MANUAL_ROUTING SITEPROP TIEOFF_X29Y97 NAME TIEOFF_X29Y97 SITEPROP TIEOFF_X29Y97 NUM_ARCS 0 SITEPROP TIEOFF_X29Y97 NUM_BELS 2 SITEPROP TIEOFF_X29Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y97 NUM_PINS 2 SITEPROP TIEOFF_X29Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y97 PROHIBIT 0 SITEPROP TIEOFF_X29Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y97 RPM_X 116 SITEPROP TIEOFF_X29Y97 RPM_Y 194 SITEPROP TIEOFF_X29Y97 SITE_PIPS SITEPROP TIEOFF_X29Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y98 CLASS site SITEPROP TIEOFF_X29Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y98 IS_BONDED 0 SITEPROP TIEOFF_X29Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y98 IS_PAD 0 SITEPROP TIEOFF_X29Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y98 IS_RESERVED 0 SITEPROP TIEOFF_X29Y98 IS_TEST 0 SITEPROP TIEOFF_X29Y98 IS_USED 0 SITEPROP TIEOFF_X29Y98 MANUAL_ROUTING SITEPROP TIEOFF_X29Y98 NAME TIEOFF_X29Y98 SITEPROP TIEOFF_X29Y98 NUM_ARCS 0 SITEPROP TIEOFF_X29Y98 NUM_BELS 2 SITEPROP TIEOFF_X29Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y98 NUM_PINS 2 SITEPROP TIEOFF_X29Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y98 PROHIBIT 0 SITEPROP TIEOFF_X29Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y98 RPM_X 116 SITEPROP TIEOFF_X29Y98 RPM_Y 196 SITEPROP TIEOFF_X29Y98 SITE_PIPS SITEPROP TIEOFF_X29Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y99 CLASS site SITEPROP TIEOFF_X29Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X29Y99 IS_BONDED 0 SITEPROP TIEOFF_X29Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y99 IS_PAD 0 SITEPROP TIEOFF_X29Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y99 IS_RESERVED 0 SITEPROP TIEOFF_X29Y99 IS_TEST 0 SITEPROP TIEOFF_X29Y99 IS_USED 0 SITEPROP TIEOFF_X29Y99 MANUAL_ROUTING SITEPROP TIEOFF_X29Y99 NAME TIEOFF_X29Y99 SITEPROP TIEOFF_X29Y99 NUM_ARCS 0 SITEPROP TIEOFF_X29Y99 NUM_BELS 2 SITEPROP TIEOFF_X29Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y99 NUM_PINS 2 SITEPROP TIEOFF_X29Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y99 PROHIBIT 0 SITEPROP TIEOFF_X29Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y99 RPM_X 116 SITEPROP TIEOFF_X29Y99 RPM_Y 198 SITEPROP TIEOFF_X29Y99 SITE_PIPS SITEPROP TIEOFF_X29Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y125 CLASS site SITEPROP TIEOFF_X29Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y125 IS_BONDED 0 SITEPROP TIEOFF_X29Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y125 IS_PAD 0 SITEPROP TIEOFF_X29Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y125 IS_RESERVED 0 SITEPROP TIEOFF_X29Y125 IS_TEST 0 SITEPROP TIEOFF_X29Y125 IS_USED 0 SITEPROP TIEOFF_X29Y125 MANUAL_ROUTING SITEPROP TIEOFF_X29Y125 NAME TIEOFF_X29Y125 SITEPROP TIEOFF_X29Y125 NUM_ARCS 0 SITEPROP TIEOFF_X29Y125 NUM_BELS 2 SITEPROP TIEOFF_X29Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y125 NUM_PINS 2 SITEPROP TIEOFF_X29Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y125 PROHIBIT 0 SITEPROP TIEOFF_X29Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y125 RPM_X 116 SITEPROP TIEOFF_X29Y125 RPM_Y 250 SITEPROP TIEOFF_X29Y125 SITE_PIPS SITEPROP TIEOFF_X29Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y126 CLASS site SITEPROP TIEOFF_X29Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y126 IS_BONDED 0 SITEPROP TIEOFF_X29Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y126 IS_PAD 0 SITEPROP TIEOFF_X29Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y126 IS_RESERVED 0 SITEPROP TIEOFF_X29Y126 IS_TEST 0 SITEPROP TIEOFF_X29Y126 IS_USED 0 SITEPROP TIEOFF_X29Y126 MANUAL_ROUTING SITEPROP TIEOFF_X29Y126 NAME TIEOFF_X29Y126 SITEPROP TIEOFF_X29Y126 NUM_ARCS 0 SITEPROP TIEOFF_X29Y126 NUM_BELS 2 SITEPROP TIEOFF_X29Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y126 NUM_PINS 2 SITEPROP TIEOFF_X29Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y126 PROHIBIT 0 SITEPROP TIEOFF_X29Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y126 RPM_X 116 SITEPROP TIEOFF_X29Y126 RPM_Y 252 SITEPROP TIEOFF_X29Y126 SITE_PIPS SITEPROP TIEOFF_X29Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y127 CLASS site SITEPROP TIEOFF_X29Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y127 IS_BONDED 0 SITEPROP TIEOFF_X29Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y127 IS_PAD 0 SITEPROP TIEOFF_X29Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y127 IS_RESERVED 0 SITEPROP TIEOFF_X29Y127 IS_TEST 0 SITEPROP TIEOFF_X29Y127 IS_USED 0 SITEPROP TIEOFF_X29Y127 MANUAL_ROUTING SITEPROP TIEOFF_X29Y127 NAME TIEOFF_X29Y127 SITEPROP TIEOFF_X29Y127 NUM_ARCS 0 SITEPROP TIEOFF_X29Y127 NUM_BELS 2 SITEPROP TIEOFF_X29Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y127 NUM_PINS 2 SITEPROP TIEOFF_X29Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y127 PROHIBIT 0 SITEPROP TIEOFF_X29Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y127 RPM_X 116 SITEPROP TIEOFF_X29Y127 RPM_Y 254 SITEPROP TIEOFF_X29Y127 SITE_PIPS SITEPROP TIEOFF_X29Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y128 CLASS site SITEPROP TIEOFF_X29Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y128 IS_BONDED 0 SITEPROP TIEOFF_X29Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y128 IS_PAD 0 SITEPROP TIEOFF_X29Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y128 IS_RESERVED 0 SITEPROP TIEOFF_X29Y128 IS_TEST 0 SITEPROP TIEOFF_X29Y128 IS_USED 0 SITEPROP TIEOFF_X29Y128 MANUAL_ROUTING SITEPROP TIEOFF_X29Y128 NAME TIEOFF_X29Y128 SITEPROP TIEOFF_X29Y128 NUM_ARCS 0 SITEPROP TIEOFF_X29Y128 NUM_BELS 2 SITEPROP TIEOFF_X29Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y128 NUM_PINS 2 SITEPROP TIEOFF_X29Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y128 PROHIBIT 0 SITEPROP TIEOFF_X29Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y128 RPM_X 116 SITEPROP TIEOFF_X29Y128 RPM_Y 256 SITEPROP TIEOFF_X29Y128 SITE_PIPS SITEPROP TIEOFF_X29Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y129 CLASS site SITEPROP TIEOFF_X29Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y129 IS_BONDED 0 SITEPROP TIEOFF_X29Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y129 IS_PAD 0 SITEPROP TIEOFF_X29Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y129 IS_RESERVED 0 SITEPROP TIEOFF_X29Y129 IS_TEST 0 SITEPROP TIEOFF_X29Y129 IS_USED 0 SITEPROP TIEOFF_X29Y129 MANUAL_ROUTING SITEPROP TIEOFF_X29Y129 NAME TIEOFF_X29Y129 SITEPROP TIEOFF_X29Y129 NUM_ARCS 0 SITEPROP TIEOFF_X29Y129 NUM_BELS 2 SITEPROP TIEOFF_X29Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y129 NUM_PINS 2 SITEPROP TIEOFF_X29Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y129 PROHIBIT 0 SITEPROP TIEOFF_X29Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y129 RPM_X 116 SITEPROP TIEOFF_X29Y129 RPM_Y 258 SITEPROP TIEOFF_X29Y129 SITE_PIPS SITEPROP TIEOFF_X29Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y130 CLASS site SITEPROP TIEOFF_X29Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y130 IS_BONDED 0 SITEPROP TIEOFF_X29Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y130 IS_PAD 0 SITEPROP TIEOFF_X29Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y130 IS_RESERVED 0 SITEPROP TIEOFF_X29Y130 IS_TEST 0 SITEPROP TIEOFF_X29Y130 IS_USED 0 SITEPROP TIEOFF_X29Y130 MANUAL_ROUTING SITEPROP TIEOFF_X29Y130 NAME TIEOFF_X29Y130 SITEPROP TIEOFF_X29Y130 NUM_ARCS 0 SITEPROP TIEOFF_X29Y130 NUM_BELS 2 SITEPROP TIEOFF_X29Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y130 NUM_PINS 2 SITEPROP TIEOFF_X29Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y130 PROHIBIT 0 SITEPROP TIEOFF_X29Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y130 RPM_X 116 SITEPROP TIEOFF_X29Y130 RPM_Y 260 SITEPROP TIEOFF_X29Y130 SITE_PIPS SITEPROP TIEOFF_X29Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y131 CLASS site SITEPROP TIEOFF_X29Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y131 IS_BONDED 0 SITEPROP TIEOFF_X29Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y131 IS_PAD 0 SITEPROP TIEOFF_X29Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y131 IS_RESERVED 0 SITEPROP TIEOFF_X29Y131 IS_TEST 0 SITEPROP TIEOFF_X29Y131 IS_USED 0 SITEPROP TIEOFF_X29Y131 MANUAL_ROUTING SITEPROP TIEOFF_X29Y131 NAME TIEOFF_X29Y131 SITEPROP TIEOFF_X29Y131 NUM_ARCS 0 SITEPROP TIEOFF_X29Y131 NUM_BELS 2 SITEPROP TIEOFF_X29Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y131 NUM_PINS 2 SITEPROP TIEOFF_X29Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y131 PROHIBIT 0 SITEPROP TIEOFF_X29Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y131 RPM_X 116 SITEPROP TIEOFF_X29Y131 RPM_Y 262 SITEPROP TIEOFF_X29Y131 SITE_PIPS SITEPROP TIEOFF_X29Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y132 CLASS site SITEPROP TIEOFF_X29Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y132 IS_BONDED 0 SITEPROP TIEOFF_X29Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y132 IS_PAD 0 SITEPROP TIEOFF_X29Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y132 IS_RESERVED 0 SITEPROP TIEOFF_X29Y132 IS_TEST 0 SITEPROP TIEOFF_X29Y132 IS_USED 0 SITEPROP TIEOFF_X29Y132 MANUAL_ROUTING SITEPROP TIEOFF_X29Y132 NAME TIEOFF_X29Y132 SITEPROP TIEOFF_X29Y132 NUM_ARCS 0 SITEPROP TIEOFF_X29Y132 NUM_BELS 2 SITEPROP TIEOFF_X29Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y132 NUM_PINS 2 SITEPROP TIEOFF_X29Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y132 PROHIBIT 0 SITEPROP TIEOFF_X29Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y132 RPM_X 116 SITEPROP TIEOFF_X29Y132 RPM_Y 264 SITEPROP TIEOFF_X29Y132 SITE_PIPS SITEPROP TIEOFF_X29Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y133 CLASS site SITEPROP TIEOFF_X29Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y133 IS_BONDED 0 SITEPROP TIEOFF_X29Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y133 IS_PAD 0 SITEPROP TIEOFF_X29Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y133 IS_RESERVED 0 SITEPROP TIEOFF_X29Y133 IS_TEST 0 SITEPROP TIEOFF_X29Y133 IS_USED 0 SITEPROP TIEOFF_X29Y133 MANUAL_ROUTING SITEPROP TIEOFF_X29Y133 NAME TIEOFF_X29Y133 SITEPROP TIEOFF_X29Y133 NUM_ARCS 0 SITEPROP TIEOFF_X29Y133 NUM_BELS 2 SITEPROP TIEOFF_X29Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y133 NUM_PINS 2 SITEPROP TIEOFF_X29Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y133 PROHIBIT 0 SITEPROP TIEOFF_X29Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y133 RPM_X 116 SITEPROP TIEOFF_X29Y133 RPM_Y 266 SITEPROP TIEOFF_X29Y133 SITE_PIPS SITEPROP TIEOFF_X29Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y134 CLASS site SITEPROP TIEOFF_X29Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y134 IS_BONDED 0 SITEPROP TIEOFF_X29Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y134 IS_PAD 0 SITEPROP TIEOFF_X29Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y134 IS_RESERVED 0 SITEPROP TIEOFF_X29Y134 IS_TEST 0 SITEPROP TIEOFF_X29Y134 IS_USED 0 SITEPROP TIEOFF_X29Y134 MANUAL_ROUTING SITEPROP TIEOFF_X29Y134 NAME TIEOFF_X29Y134 SITEPROP TIEOFF_X29Y134 NUM_ARCS 0 SITEPROP TIEOFF_X29Y134 NUM_BELS 2 SITEPROP TIEOFF_X29Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y134 NUM_PINS 2 SITEPROP TIEOFF_X29Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y134 PROHIBIT 0 SITEPROP TIEOFF_X29Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y134 RPM_X 116 SITEPROP TIEOFF_X29Y134 RPM_Y 268 SITEPROP TIEOFF_X29Y134 SITE_PIPS SITEPROP TIEOFF_X29Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y135 CLASS site SITEPROP TIEOFF_X29Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y135 IS_BONDED 0 SITEPROP TIEOFF_X29Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y135 IS_PAD 0 SITEPROP TIEOFF_X29Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y135 IS_RESERVED 0 SITEPROP TIEOFF_X29Y135 IS_TEST 0 SITEPROP TIEOFF_X29Y135 IS_USED 0 SITEPROP TIEOFF_X29Y135 MANUAL_ROUTING SITEPROP TIEOFF_X29Y135 NAME TIEOFF_X29Y135 SITEPROP TIEOFF_X29Y135 NUM_ARCS 0 SITEPROP TIEOFF_X29Y135 NUM_BELS 2 SITEPROP TIEOFF_X29Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y135 NUM_PINS 2 SITEPROP TIEOFF_X29Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y135 PROHIBIT 0 SITEPROP TIEOFF_X29Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y135 RPM_X 116 SITEPROP TIEOFF_X29Y135 RPM_Y 270 SITEPROP TIEOFF_X29Y135 SITE_PIPS SITEPROP TIEOFF_X29Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y136 CLASS site SITEPROP TIEOFF_X29Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y136 IS_BONDED 0 SITEPROP TIEOFF_X29Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y136 IS_PAD 0 SITEPROP TIEOFF_X29Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y136 IS_RESERVED 0 SITEPROP TIEOFF_X29Y136 IS_TEST 0 SITEPROP TIEOFF_X29Y136 IS_USED 0 SITEPROP TIEOFF_X29Y136 MANUAL_ROUTING SITEPROP TIEOFF_X29Y136 NAME TIEOFF_X29Y136 SITEPROP TIEOFF_X29Y136 NUM_ARCS 0 SITEPROP TIEOFF_X29Y136 NUM_BELS 2 SITEPROP TIEOFF_X29Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y136 NUM_PINS 2 SITEPROP TIEOFF_X29Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y136 PROHIBIT 0 SITEPROP TIEOFF_X29Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y136 RPM_X 116 SITEPROP TIEOFF_X29Y136 RPM_Y 272 SITEPROP TIEOFF_X29Y136 SITE_PIPS SITEPROP TIEOFF_X29Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y137 CLASS site SITEPROP TIEOFF_X29Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y137 IS_BONDED 0 SITEPROP TIEOFF_X29Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y137 IS_PAD 0 SITEPROP TIEOFF_X29Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y137 IS_RESERVED 0 SITEPROP TIEOFF_X29Y137 IS_TEST 0 SITEPROP TIEOFF_X29Y137 IS_USED 0 SITEPROP TIEOFF_X29Y137 MANUAL_ROUTING SITEPROP TIEOFF_X29Y137 NAME TIEOFF_X29Y137 SITEPROP TIEOFF_X29Y137 NUM_ARCS 0 SITEPROP TIEOFF_X29Y137 NUM_BELS 2 SITEPROP TIEOFF_X29Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y137 NUM_PINS 2 SITEPROP TIEOFF_X29Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y137 PROHIBIT 0 SITEPROP TIEOFF_X29Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y137 RPM_X 116 SITEPROP TIEOFF_X29Y137 RPM_Y 274 SITEPROP TIEOFF_X29Y137 SITE_PIPS SITEPROP TIEOFF_X29Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y138 CLASS site SITEPROP TIEOFF_X29Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y138 IS_BONDED 0 SITEPROP TIEOFF_X29Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y138 IS_PAD 0 SITEPROP TIEOFF_X29Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y138 IS_RESERVED 0 SITEPROP TIEOFF_X29Y138 IS_TEST 0 SITEPROP TIEOFF_X29Y138 IS_USED 0 SITEPROP TIEOFF_X29Y138 MANUAL_ROUTING SITEPROP TIEOFF_X29Y138 NAME TIEOFF_X29Y138 SITEPROP TIEOFF_X29Y138 NUM_ARCS 0 SITEPROP TIEOFF_X29Y138 NUM_BELS 2 SITEPROP TIEOFF_X29Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y138 NUM_PINS 2 SITEPROP TIEOFF_X29Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y138 PROHIBIT 0 SITEPROP TIEOFF_X29Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y138 RPM_X 116 SITEPROP TIEOFF_X29Y138 RPM_Y 276 SITEPROP TIEOFF_X29Y138 SITE_PIPS SITEPROP TIEOFF_X29Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y139 CLASS site SITEPROP TIEOFF_X29Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y139 IS_BONDED 0 SITEPROP TIEOFF_X29Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y139 IS_PAD 0 SITEPROP TIEOFF_X29Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y139 IS_RESERVED 0 SITEPROP TIEOFF_X29Y139 IS_TEST 0 SITEPROP TIEOFF_X29Y139 IS_USED 0 SITEPROP TIEOFF_X29Y139 MANUAL_ROUTING SITEPROP TIEOFF_X29Y139 NAME TIEOFF_X29Y139 SITEPROP TIEOFF_X29Y139 NUM_ARCS 0 SITEPROP TIEOFF_X29Y139 NUM_BELS 2 SITEPROP TIEOFF_X29Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y139 NUM_PINS 2 SITEPROP TIEOFF_X29Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y139 PROHIBIT 0 SITEPROP TIEOFF_X29Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y139 RPM_X 116 SITEPROP TIEOFF_X29Y139 RPM_Y 278 SITEPROP TIEOFF_X29Y139 SITE_PIPS SITEPROP TIEOFF_X29Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y140 CLASS site SITEPROP TIEOFF_X29Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y140 IS_BONDED 0 SITEPROP TIEOFF_X29Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y140 IS_PAD 0 SITEPROP TIEOFF_X29Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y140 IS_RESERVED 0 SITEPROP TIEOFF_X29Y140 IS_TEST 0 SITEPROP TIEOFF_X29Y140 IS_USED 0 SITEPROP TIEOFF_X29Y140 MANUAL_ROUTING SITEPROP TIEOFF_X29Y140 NAME TIEOFF_X29Y140 SITEPROP TIEOFF_X29Y140 NUM_ARCS 0 SITEPROP TIEOFF_X29Y140 NUM_BELS 2 SITEPROP TIEOFF_X29Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y140 NUM_PINS 2 SITEPROP TIEOFF_X29Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y140 PROHIBIT 0 SITEPROP TIEOFF_X29Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y140 RPM_X 116 SITEPROP TIEOFF_X29Y140 RPM_Y 280 SITEPROP TIEOFF_X29Y140 SITE_PIPS SITEPROP TIEOFF_X29Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y141 CLASS site SITEPROP TIEOFF_X29Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y141 IS_BONDED 0 SITEPROP TIEOFF_X29Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y141 IS_PAD 0 SITEPROP TIEOFF_X29Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y141 IS_RESERVED 0 SITEPROP TIEOFF_X29Y141 IS_TEST 0 SITEPROP TIEOFF_X29Y141 IS_USED 0 SITEPROP TIEOFF_X29Y141 MANUAL_ROUTING SITEPROP TIEOFF_X29Y141 NAME TIEOFF_X29Y141 SITEPROP TIEOFF_X29Y141 NUM_ARCS 0 SITEPROP TIEOFF_X29Y141 NUM_BELS 2 SITEPROP TIEOFF_X29Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y141 NUM_PINS 2 SITEPROP TIEOFF_X29Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y141 PROHIBIT 0 SITEPROP TIEOFF_X29Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y141 RPM_X 116 SITEPROP TIEOFF_X29Y141 RPM_Y 282 SITEPROP TIEOFF_X29Y141 SITE_PIPS SITEPROP TIEOFF_X29Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y142 CLASS site SITEPROP TIEOFF_X29Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y142 IS_BONDED 0 SITEPROP TIEOFF_X29Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y142 IS_PAD 0 SITEPROP TIEOFF_X29Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y142 IS_RESERVED 0 SITEPROP TIEOFF_X29Y142 IS_TEST 0 SITEPROP TIEOFF_X29Y142 IS_USED 0 SITEPROP TIEOFF_X29Y142 MANUAL_ROUTING SITEPROP TIEOFF_X29Y142 NAME TIEOFF_X29Y142 SITEPROP TIEOFF_X29Y142 NUM_ARCS 0 SITEPROP TIEOFF_X29Y142 NUM_BELS 2 SITEPROP TIEOFF_X29Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y142 NUM_PINS 2 SITEPROP TIEOFF_X29Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y142 PROHIBIT 0 SITEPROP TIEOFF_X29Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y142 RPM_X 116 SITEPROP TIEOFF_X29Y142 RPM_Y 284 SITEPROP TIEOFF_X29Y142 SITE_PIPS SITEPROP TIEOFF_X29Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y143 CLASS site SITEPROP TIEOFF_X29Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y143 IS_BONDED 0 SITEPROP TIEOFF_X29Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y143 IS_PAD 0 SITEPROP TIEOFF_X29Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y143 IS_RESERVED 0 SITEPROP TIEOFF_X29Y143 IS_TEST 0 SITEPROP TIEOFF_X29Y143 IS_USED 0 SITEPROP TIEOFF_X29Y143 MANUAL_ROUTING SITEPROP TIEOFF_X29Y143 NAME TIEOFF_X29Y143 SITEPROP TIEOFF_X29Y143 NUM_ARCS 0 SITEPROP TIEOFF_X29Y143 NUM_BELS 2 SITEPROP TIEOFF_X29Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y143 NUM_PINS 2 SITEPROP TIEOFF_X29Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y143 PROHIBIT 0 SITEPROP TIEOFF_X29Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y143 RPM_X 116 SITEPROP TIEOFF_X29Y143 RPM_Y 286 SITEPROP TIEOFF_X29Y143 SITE_PIPS SITEPROP TIEOFF_X29Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y144 CLASS site SITEPROP TIEOFF_X29Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y144 IS_BONDED 0 SITEPROP TIEOFF_X29Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y144 IS_PAD 0 SITEPROP TIEOFF_X29Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y144 IS_RESERVED 0 SITEPROP TIEOFF_X29Y144 IS_TEST 0 SITEPROP TIEOFF_X29Y144 IS_USED 0 SITEPROP TIEOFF_X29Y144 MANUAL_ROUTING SITEPROP TIEOFF_X29Y144 NAME TIEOFF_X29Y144 SITEPROP TIEOFF_X29Y144 NUM_ARCS 0 SITEPROP TIEOFF_X29Y144 NUM_BELS 2 SITEPROP TIEOFF_X29Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y144 NUM_PINS 2 SITEPROP TIEOFF_X29Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y144 PROHIBIT 0 SITEPROP TIEOFF_X29Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y144 RPM_X 116 SITEPROP TIEOFF_X29Y144 RPM_Y 288 SITEPROP TIEOFF_X29Y144 SITE_PIPS SITEPROP TIEOFF_X29Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y145 CLASS site SITEPROP TIEOFF_X29Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y145 IS_BONDED 0 SITEPROP TIEOFF_X29Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y145 IS_PAD 0 SITEPROP TIEOFF_X29Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y145 IS_RESERVED 0 SITEPROP TIEOFF_X29Y145 IS_TEST 0 SITEPROP TIEOFF_X29Y145 IS_USED 0 SITEPROP TIEOFF_X29Y145 MANUAL_ROUTING SITEPROP TIEOFF_X29Y145 NAME TIEOFF_X29Y145 SITEPROP TIEOFF_X29Y145 NUM_ARCS 0 SITEPROP TIEOFF_X29Y145 NUM_BELS 2 SITEPROP TIEOFF_X29Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y145 NUM_PINS 2 SITEPROP TIEOFF_X29Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y145 PROHIBIT 0 SITEPROP TIEOFF_X29Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y145 RPM_X 116 SITEPROP TIEOFF_X29Y145 RPM_Y 290 SITEPROP TIEOFF_X29Y145 SITE_PIPS SITEPROP TIEOFF_X29Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y146 CLASS site SITEPROP TIEOFF_X29Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y146 IS_BONDED 0 SITEPROP TIEOFF_X29Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y146 IS_PAD 0 SITEPROP TIEOFF_X29Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y146 IS_RESERVED 0 SITEPROP TIEOFF_X29Y146 IS_TEST 0 SITEPROP TIEOFF_X29Y146 IS_USED 0 SITEPROP TIEOFF_X29Y146 MANUAL_ROUTING SITEPROP TIEOFF_X29Y146 NAME TIEOFF_X29Y146 SITEPROP TIEOFF_X29Y146 NUM_ARCS 0 SITEPROP TIEOFF_X29Y146 NUM_BELS 2 SITEPROP TIEOFF_X29Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y146 NUM_PINS 2 SITEPROP TIEOFF_X29Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y146 PROHIBIT 0 SITEPROP TIEOFF_X29Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y146 RPM_X 116 SITEPROP TIEOFF_X29Y146 RPM_Y 292 SITEPROP TIEOFF_X29Y146 SITE_PIPS SITEPROP TIEOFF_X29Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y147 CLASS site SITEPROP TIEOFF_X29Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y147 IS_BONDED 0 SITEPROP TIEOFF_X29Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y147 IS_PAD 0 SITEPROP TIEOFF_X29Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y147 IS_RESERVED 0 SITEPROP TIEOFF_X29Y147 IS_TEST 0 SITEPROP TIEOFF_X29Y147 IS_USED 0 SITEPROP TIEOFF_X29Y147 MANUAL_ROUTING SITEPROP TIEOFF_X29Y147 NAME TIEOFF_X29Y147 SITEPROP TIEOFF_X29Y147 NUM_ARCS 0 SITEPROP TIEOFF_X29Y147 NUM_BELS 2 SITEPROP TIEOFF_X29Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y147 NUM_PINS 2 SITEPROP TIEOFF_X29Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y147 PROHIBIT 0 SITEPROP TIEOFF_X29Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y147 RPM_X 116 SITEPROP TIEOFF_X29Y147 RPM_Y 294 SITEPROP TIEOFF_X29Y147 SITE_PIPS SITEPROP TIEOFF_X29Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y148 CLASS site SITEPROP TIEOFF_X29Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y148 IS_BONDED 0 SITEPROP TIEOFF_X29Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y148 IS_PAD 0 SITEPROP TIEOFF_X29Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y148 IS_RESERVED 0 SITEPROP TIEOFF_X29Y148 IS_TEST 0 SITEPROP TIEOFF_X29Y148 IS_USED 0 SITEPROP TIEOFF_X29Y148 MANUAL_ROUTING SITEPROP TIEOFF_X29Y148 NAME TIEOFF_X29Y148 SITEPROP TIEOFF_X29Y148 NUM_ARCS 0 SITEPROP TIEOFF_X29Y148 NUM_BELS 2 SITEPROP TIEOFF_X29Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y148 NUM_PINS 2 SITEPROP TIEOFF_X29Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y148 PROHIBIT 0 SITEPROP TIEOFF_X29Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y148 RPM_X 116 SITEPROP TIEOFF_X29Y148 RPM_Y 296 SITEPROP TIEOFF_X29Y148 SITE_PIPS SITEPROP TIEOFF_X29Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X29Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X29Y149 CLASS site SITEPROP TIEOFF_X29Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X29Y149 IS_BONDED 0 SITEPROP TIEOFF_X29Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y149 IS_PAD 0 SITEPROP TIEOFF_X29Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X29Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X29Y149 IS_RESERVED 0 SITEPROP TIEOFF_X29Y149 IS_TEST 0 SITEPROP TIEOFF_X29Y149 IS_USED 0 SITEPROP TIEOFF_X29Y149 MANUAL_ROUTING SITEPROP TIEOFF_X29Y149 NAME TIEOFF_X29Y149 SITEPROP TIEOFF_X29Y149 NUM_ARCS 0 SITEPROP TIEOFF_X29Y149 NUM_BELS 2 SITEPROP TIEOFF_X29Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X29Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X29Y149 NUM_PINS 2 SITEPROP TIEOFF_X29Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X29Y149 PROHIBIT 0 SITEPROP TIEOFF_X29Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X29Y149 RPM_X 116 SITEPROP TIEOFF_X29Y149 RPM_Y 298 SITEPROP TIEOFF_X29Y149 SITE_PIPS SITEPROP TIEOFF_X29Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y0 CLASS site SITEPROP TIEOFF_X2Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y0 IS_BONDED 0 SITEPROP TIEOFF_X2Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y0 IS_PAD 0 SITEPROP TIEOFF_X2Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y0 IS_RESERVED 0 SITEPROP TIEOFF_X2Y0 IS_TEST 0 SITEPROP TIEOFF_X2Y0 IS_USED 0 SITEPROP TIEOFF_X2Y0 MANUAL_ROUTING SITEPROP TIEOFF_X2Y0 NAME TIEOFF_X2Y0 SITEPROP TIEOFF_X2Y0 NUM_ARCS 0 SITEPROP TIEOFF_X2Y0 NUM_BELS 2 SITEPROP TIEOFF_X2Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y0 NUM_PINS 2 SITEPROP TIEOFF_X2Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y0 PROHIBIT 0 SITEPROP TIEOFF_X2Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y0 RPM_X 16 SITEPROP TIEOFF_X2Y0 RPM_Y 0 SITEPROP TIEOFF_X2Y0 SITE_PIPS SITEPROP TIEOFF_X2Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y1 CLASS site SITEPROP TIEOFF_X2Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y1 IS_BONDED 0 SITEPROP TIEOFF_X2Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y1 IS_PAD 0 SITEPROP TIEOFF_X2Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y1 IS_RESERVED 0 SITEPROP TIEOFF_X2Y1 IS_TEST 0 SITEPROP TIEOFF_X2Y1 IS_USED 0 SITEPROP TIEOFF_X2Y1 MANUAL_ROUTING SITEPROP TIEOFF_X2Y1 NAME TIEOFF_X2Y1 SITEPROP TIEOFF_X2Y1 NUM_ARCS 0 SITEPROP TIEOFF_X2Y1 NUM_BELS 2 SITEPROP TIEOFF_X2Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y1 NUM_PINS 2 SITEPROP TIEOFF_X2Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y1 PROHIBIT 0 SITEPROP TIEOFF_X2Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y1 RPM_X 16 SITEPROP TIEOFF_X2Y1 RPM_Y 2 SITEPROP TIEOFF_X2Y1 SITE_PIPS SITEPROP TIEOFF_X2Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y2 CLASS site SITEPROP TIEOFF_X2Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y2 IS_BONDED 0 SITEPROP TIEOFF_X2Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y2 IS_PAD 0 SITEPROP TIEOFF_X2Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y2 IS_RESERVED 0 SITEPROP TIEOFF_X2Y2 IS_TEST 0 SITEPROP TIEOFF_X2Y2 IS_USED 0 SITEPROP TIEOFF_X2Y2 MANUAL_ROUTING SITEPROP TIEOFF_X2Y2 NAME TIEOFF_X2Y2 SITEPROP TIEOFF_X2Y2 NUM_ARCS 0 SITEPROP TIEOFF_X2Y2 NUM_BELS 2 SITEPROP TIEOFF_X2Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y2 NUM_PINS 2 SITEPROP TIEOFF_X2Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y2 PROHIBIT 0 SITEPROP TIEOFF_X2Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y2 RPM_X 16 SITEPROP TIEOFF_X2Y2 RPM_Y 4 SITEPROP TIEOFF_X2Y2 SITE_PIPS SITEPROP TIEOFF_X2Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y3 CLASS site SITEPROP TIEOFF_X2Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y3 IS_BONDED 0 SITEPROP TIEOFF_X2Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y3 IS_PAD 0 SITEPROP TIEOFF_X2Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y3 IS_RESERVED 0 SITEPROP TIEOFF_X2Y3 IS_TEST 0 SITEPROP TIEOFF_X2Y3 IS_USED 0 SITEPROP TIEOFF_X2Y3 MANUAL_ROUTING SITEPROP TIEOFF_X2Y3 NAME TIEOFF_X2Y3 SITEPROP TIEOFF_X2Y3 NUM_ARCS 0 SITEPROP TIEOFF_X2Y3 NUM_BELS 2 SITEPROP TIEOFF_X2Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y3 NUM_PINS 2 SITEPROP TIEOFF_X2Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y3 PROHIBIT 0 SITEPROP TIEOFF_X2Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y3 RPM_X 16 SITEPROP TIEOFF_X2Y3 RPM_Y 6 SITEPROP TIEOFF_X2Y3 SITE_PIPS SITEPROP TIEOFF_X2Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y4 CLASS site SITEPROP TIEOFF_X2Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y4 IS_BONDED 0 SITEPROP TIEOFF_X2Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y4 IS_PAD 0 SITEPROP TIEOFF_X2Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y4 IS_RESERVED 0 SITEPROP TIEOFF_X2Y4 IS_TEST 0 SITEPROP TIEOFF_X2Y4 IS_USED 0 SITEPROP TIEOFF_X2Y4 MANUAL_ROUTING SITEPROP TIEOFF_X2Y4 NAME TIEOFF_X2Y4 SITEPROP TIEOFF_X2Y4 NUM_ARCS 0 SITEPROP TIEOFF_X2Y4 NUM_BELS 2 SITEPROP TIEOFF_X2Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y4 NUM_PINS 2 SITEPROP TIEOFF_X2Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y4 PROHIBIT 0 SITEPROP TIEOFF_X2Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y4 RPM_X 16 SITEPROP TIEOFF_X2Y4 RPM_Y 8 SITEPROP TIEOFF_X2Y4 SITE_PIPS SITEPROP TIEOFF_X2Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y5 CLASS site SITEPROP TIEOFF_X2Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y5 IS_BONDED 0 SITEPROP TIEOFF_X2Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y5 IS_PAD 0 SITEPROP TIEOFF_X2Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y5 IS_RESERVED 0 SITEPROP TIEOFF_X2Y5 IS_TEST 0 SITEPROP TIEOFF_X2Y5 IS_USED 0 SITEPROP TIEOFF_X2Y5 MANUAL_ROUTING SITEPROP TIEOFF_X2Y5 NAME TIEOFF_X2Y5 SITEPROP TIEOFF_X2Y5 NUM_ARCS 0 SITEPROP TIEOFF_X2Y5 NUM_BELS 2 SITEPROP TIEOFF_X2Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y5 NUM_PINS 2 SITEPROP TIEOFF_X2Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y5 PROHIBIT 0 SITEPROP TIEOFF_X2Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y5 RPM_X 16 SITEPROP TIEOFF_X2Y5 RPM_Y 10 SITEPROP TIEOFF_X2Y5 SITE_PIPS SITEPROP TIEOFF_X2Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y6 CLASS site SITEPROP TIEOFF_X2Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y6 IS_BONDED 0 SITEPROP TIEOFF_X2Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y6 IS_PAD 0 SITEPROP TIEOFF_X2Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y6 IS_RESERVED 0 SITEPROP TIEOFF_X2Y6 IS_TEST 0 SITEPROP TIEOFF_X2Y6 IS_USED 0 SITEPROP TIEOFF_X2Y6 MANUAL_ROUTING SITEPROP TIEOFF_X2Y6 NAME TIEOFF_X2Y6 SITEPROP TIEOFF_X2Y6 NUM_ARCS 0 SITEPROP TIEOFF_X2Y6 NUM_BELS 2 SITEPROP TIEOFF_X2Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y6 NUM_PINS 2 SITEPROP TIEOFF_X2Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y6 PROHIBIT 0 SITEPROP TIEOFF_X2Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y6 RPM_X 16 SITEPROP TIEOFF_X2Y6 RPM_Y 12 SITEPROP TIEOFF_X2Y6 SITE_PIPS SITEPROP TIEOFF_X2Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y7 CLASS site SITEPROP TIEOFF_X2Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y7 IS_BONDED 0 SITEPROP TIEOFF_X2Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y7 IS_PAD 0 SITEPROP TIEOFF_X2Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y7 IS_RESERVED 0 SITEPROP TIEOFF_X2Y7 IS_TEST 0 SITEPROP TIEOFF_X2Y7 IS_USED 0 SITEPROP TIEOFF_X2Y7 MANUAL_ROUTING SITEPROP TIEOFF_X2Y7 NAME TIEOFF_X2Y7 SITEPROP TIEOFF_X2Y7 NUM_ARCS 0 SITEPROP TIEOFF_X2Y7 NUM_BELS 2 SITEPROP TIEOFF_X2Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y7 NUM_PINS 2 SITEPROP TIEOFF_X2Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y7 PROHIBIT 0 SITEPROP TIEOFF_X2Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y7 RPM_X 16 SITEPROP TIEOFF_X2Y7 RPM_Y 14 SITEPROP TIEOFF_X2Y7 SITE_PIPS SITEPROP TIEOFF_X2Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y8 CLASS site SITEPROP TIEOFF_X2Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y8 IS_BONDED 0 SITEPROP TIEOFF_X2Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y8 IS_PAD 0 SITEPROP TIEOFF_X2Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y8 IS_RESERVED 0 SITEPROP TIEOFF_X2Y8 IS_TEST 0 SITEPROP TIEOFF_X2Y8 IS_USED 0 SITEPROP TIEOFF_X2Y8 MANUAL_ROUTING SITEPROP TIEOFF_X2Y8 NAME TIEOFF_X2Y8 SITEPROP TIEOFF_X2Y8 NUM_ARCS 0 SITEPROP TIEOFF_X2Y8 NUM_BELS 2 SITEPROP TIEOFF_X2Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y8 NUM_PINS 2 SITEPROP TIEOFF_X2Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y8 PROHIBIT 0 SITEPROP TIEOFF_X2Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y8 RPM_X 16 SITEPROP TIEOFF_X2Y8 RPM_Y 16 SITEPROP TIEOFF_X2Y8 SITE_PIPS SITEPROP TIEOFF_X2Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y9 CLASS site SITEPROP TIEOFF_X2Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y9 IS_BONDED 0 SITEPROP TIEOFF_X2Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y9 IS_PAD 0 SITEPROP TIEOFF_X2Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y9 IS_RESERVED 0 SITEPROP TIEOFF_X2Y9 IS_TEST 0 SITEPROP TIEOFF_X2Y9 IS_USED 0 SITEPROP TIEOFF_X2Y9 MANUAL_ROUTING SITEPROP TIEOFF_X2Y9 NAME TIEOFF_X2Y9 SITEPROP TIEOFF_X2Y9 NUM_ARCS 0 SITEPROP TIEOFF_X2Y9 NUM_BELS 2 SITEPROP TIEOFF_X2Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y9 NUM_PINS 2 SITEPROP TIEOFF_X2Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y9 PROHIBIT 0 SITEPROP TIEOFF_X2Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y9 RPM_X 16 SITEPROP TIEOFF_X2Y9 RPM_Y 18 SITEPROP TIEOFF_X2Y9 SITE_PIPS SITEPROP TIEOFF_X2Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y10 CLASS site SITEPROP TIEOFF_X2Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y10 IS_BONDED 0 SITEPROP TIEOFF_X2Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y10 IS_PAD 0 SITEPROP TIEOFF_X2Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y10 IS_RESERVED 0 SITEPROP TIEOFF_X2Y10 IS_TEST 0 SITEPROP TIEOFF_X2Y10 IS_USED 0 SITEPROP TIEOFF_X2Y10 MANUAL_ROUTING SITEPROP TIEOFF_X2Y10 NAME TIEOFF_X2Y10 SITEPROP TIEOFF_X2Y10 NUM_ARCS 0 SITEPROP TIEOFF_X2Y10 NUM_BELS 2 SITEPROP TIEOFF_X2Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y10 NUM_PINS 2 SITEPROP TIEOFF_X2Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y10 PROHIBIT 0 SITEPROP TIEOFF_X2Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y10 RPM_X 16 SITEPROP TIEOFF_X2Y10 RPM_Y 20 SITEPROP TIEOFF_X2Y10 SITE_PIPS SITEPROP TIEOFF_X2Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y11 CLASS site SITEPROP TIEOFF_X2Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y11 IS_BONDED 0 SITEPROP TIEOFF_X2Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y11 IS_PAD 0 SITEPROP TIEOFF_X2Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y11 IS_RESERVED 0 SITEPROP TIEOFF_X2Y11 IS_TEST 0 SITEPROP TIEOFF_X2Y11 IS_USED 0 SITEPROP TIEOFF_X2Y11 MANUAL_ROUTING SITEPROP TIEOFF_X2Y11 NAME TIEOFF_X2Y11 SITEPROP TIEOFF_X2Y11 NUM_ARCS 0 SITEPROP TIEOFF_X2Y11 NUM_BELS 2 SITEPROP TIEOFF_X2Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y11 NUM_PINS 2 SITEPROP TIEOFF_X2Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y11 PROHIBIT 0 SITEPROP TIEOFF_X2Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y11 RPM_X 16 SITEPROP TIEOFF_X2Y11 RPM_Y 22 SITEPROP TIEOFF_X2Y11 SITE_PIPS SITEPROP TIEOFF_X2Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y12 CLASS site SITEPROP TIEOFF_X2Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y12 IS_BONDED 0 SITEPROP TIEOFF_X2Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y12 IS_PAD 0 SITEPROP TIEOFF_X2Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y12 IS_RESERVED 0 SITEPROP TIEOFF_X2Y12 IS_TEST 0 SITEPROP TIEOFF_X2Y12 IS_USED 0 SITEPROP TIEOFF_X2Y12 MANUAL_ROUTING SITEPROP TIEOFF_X2Y12 NAME TIEOFF_X2Y12 SITEPROP TIEOFF_X2Y12 NUM_ARCS 0 SITEPROP TIEOFF_X2Y12 NUM_BELS 2 SITEPROP TIEOFF_X2Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y12 NUM_PINS 2 SITEPROP TIEOFF_X2Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y12 PROHIBIT 0 SITEPROP TIEOFF_X2Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y12 RPM_X 16 SITEPROP TIEOFF_X2Y12 RPM_Y 24 SITEPROP TIEOFF_X2Y12 SITE_PIPS SITEPROP TIEOFF_X2Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y13 CLASS site SITEPROP TIEOFF_X2Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y13 IS_BONDED 0 SITEPROP TIEOFF_X2Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y13 IS_PAD 0 SITEPROP TIEOFF_X2Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y13 IS_RESERVED 0 SITEPROP TIEOFF_X2Y13 IS_TEST 0 SITEPROP TIEOFF_X2Y13 IS_USED 0 SITEPROP TIEOFF_X2Y13 MANUAL_ROUTING SITEPROP TIEOFF_X2Y13 NAME TIEOFF_X2Y13 SITEPROP TIEOFF_X2Y13 NUM_ARCS 0 SITEPROP TIEOFF_X2Y13 NUM_BELS 2 SITEPROP TIEOFF_X2Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y13 NUM_PINS 2 SITEPROP TIEOFF_X2Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y13 PROHIBIT 0 SITEPROP TIEOFF_X2Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y13 RPM_X 16 SITEPROP TIEOFF_X2Y13 RPM_Y 26 SITEPROP TIEOFF_X2Y13 SITE_PIPS SITEPROP TIEOFF_X2Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y14 CLASS site SITEPROP TIEOFF_X2Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y14 IS_BONDED 0 SITEPROP TIEOFF_X2Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y14 IS_PAD 0 SITEPROP TIEOFF_X2Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y14 IS_RESERVED 0 SITEPROP TIEOFF_X2Y14 IS_TEST 0 SITEPROP TIEOFF_X2Y14 IS_USED 0 SITEPROP TIEOFF_X2Y14 MANUAL_ROUTING SITEPROP TIEOFF_X2Y14 NAME TIEOFF_X2Y14 SITEPROP TIEOFF_X2Y14 NUM_ARCS 0 SITEPROP TIEOFF_X2Y14 NUM_BELS 2 SITEPROP TIEOFF_X2Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y14 NUM_PINS 2 SITEPROP TIEOFF_X2Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y14 PROHIBIT 0 SITEPROP TIEOFF_X2Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y14 RPM_X 16 SITEPROP TIEOFF_X2Y14 RPM_Y 28 SITEPROP TIEOFF_X2Y14 SITE_PIPS SITEPROP TIEOFF_X2Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y15 CLASS site SITEPROP TIEOFF_X2Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y15 IS_BONDED 0 SITEPROP TIEOFF_X2Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y15 IS_PAD 0 SITEPROP TIEOFF_X2Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y15 IS_RESERVED 0 SITEPROP TIEOFF_X2Y15 IS_TEST 0 SITEPROP TIEOFF_X2Y15 IS_USED 0 SITEPROP TIEOFF_X2Y15 MANUAL_ROUTING SITEPROP TIEOFF_X2Y15 NAME TIEOFF_X2Y15 SITEPROP TIEOFF_X2Y15 NUM_ARCS 0 SITEPROP TIEOFF_X2Y15 NUM_BELS 2 SITEPROP TIEOFF_X2Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y15 NUM_PINS 2 SITEPROP TIEOFF_X2Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y15 PROHIBIT 0 SITEPROP TIEOFF_X2Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y15 RPM_X 16 SITEPROP TIEOFF_X2Y15 RPM_Y 30 SITEPROP TIEOFF_X2Y15 SITE_PIPS SITEPROP TIEOFF_X2Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y16 CLASS site SITEPROP TIEOFF_X2Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y16 IS_BONDED 0 SITEPROP TIEOFF_X2Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y16 IS_PAD 0 SITEPROP TIEOFF_X2Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y16 IS_RESERVED 0 SITEPROP TIEOFF_X2Y16 IS_TEST 0 SITEPROP TIEOFF_X2Y16 IS_USED 0 SITEPROP TIEOFF_X2Y16 MANUAL_ROUTING SITEPROP TIEOFF_X2Y16 NAME TIEOFF_X2Y16 SITEPROP TIEOFF_X2Y16 NUM_ARCS 0 SITEPROP TIEOFF_X2Y16 NUM_BELS 2 SITEPROP TIEOFF_X2Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y16 NUM_PINS 2 SITEPROP TIEOFF_X2Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y16 PROHIBIT 0 SITEPROP TIEOFF_X2Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y16 RPM_X 16 SITEPROP TIEOFF_X2Y16 RPM_Y 32 SITEPROP TIEOFF_X2Y16 SITE_PIPS SITEPROP TIEOFF_X2Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y17 CLASS site SITEPROP TIEOFF_X2Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y17 IS_BONDED 0 SITEPROP TIEOFF_X2Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y17 IS_PAD 0 SITEPROP TIEOFF_X2Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y17 IS_RESERVED 0 SITEPROP TIEOFF_X2Y17 IS_TEST 0 SITEPROP TIEOFF_X2Y17 IS_USED 0 SITEPROP TIEOFF_X2Y17 MANUAL_ROUTING SITEPROP TIEOFF_X2Y17 NAME TIEOFF_X2Y17 SITEPROP TIEOFF_X2Y17 NUM_ARCS 0 SITEPROP TIEOFF_X2Y17 NUM_BELS 2 SITEPROP TIEOFF_X2Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y17 NUM_PINS 2 SITEPROP TIEOFF_X2Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y17 PROHIBIT 0 SITEPROP TIEOFF_X2Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y17 RPM_X 16 SITEPROP TIEOFF_X2Y17 RPM_Y 34 SITEPROP TIEOFF_X2Y17 SITE_PIPS SITEPROP TIEOFF_X2Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y18 CLASS site SITEPROP TIEOFF_X2Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y18 IS_BONDED 0 SITEPROP TIEOFF_X2Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y18 IS_PAD 0 SITEPROP TIEOFF_X2Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y18 IS_RESERVED 0 SITEPROP TIEOFF_X2Y18 IS_TEST 0 SITEPROP TIEOFF_X2Y18 IS_USED 0 SITEPROP TIEOFF_X2Y18 MANUAL_ROUTING SITEPROP TIEOFF_X2Y18 NAME TIEOFF_X2Y18 SITEPROP TIEOFF_X2Y18 NUM_ARCS 0 SITEPROP TIEOFF_X2Y18 NUM_BELS 2 SITEPROP TIEOFF_X2Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y18 NUM_PINS 2 SITEPROP TIEOFF_X2Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y18 PROHIBIT 0 SITEPROP TIEOFF_X2Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y18 RPM_X 16 SITEPROP TIEOFF_X2Y18 RPM_Y 36 SITEPROP TIEOFF_X2Y18 SITE_PIPS SITEPROP TIEOFF_X2Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y19 CLASS site SITEPROP TIEOFF_X2Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y19 IS_BONDED 0 SITEPROP TIEOFF_X2Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y19 IS_PAD 0 SITEPROP TIEOFF_X2Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y19 IS_RESERVED 0 SITEPROP TIEOFF_X2Y19 IS_TEST 0 SITEPROP TIEOFF_X2Y19 IS_USED 0 SITEPROP TIEOFF_X2Y19 MANUAL_ROUTING SITEPROP TIEOFF_X2Y19 NAME TIEOFF_X2Y19 SITEPROP TIEOFF_X2Y19 NUM_ARCS 0 SITEPROP TIEOFF_X2Y19 NUM_BELS 2 SITEPROP TIEOFF_X2Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y19 NUM_PINS 2 SITEPROP TIEOFF_X2Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y19 PROHIBIT 0 SITEPROP TIEOFF_X2Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y19 RPM_X 16 SITEPROP TIEOFF_X2Y19 RPM_Y 38 SITEPROP TIEOFF_X2Y19 SITE_PIPS SITEPROP TIEOFF_X2Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y20 CLASS site SITEPROP TIEOFF_X2Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y20 IS_BONDED 0 SITEPROP TIEOFF_X2Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y20 IS_PAD 0 SITEPROP TIEOFF_X2Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y20 IS_RESERVED 0 SITEPROP TIEOFF_X2Y20 IS_TEST 0 SITEPROP TIEOFF_X2Y20 IS_USED 0 SITEPROP TIEOFF_X2Y20 MANUAL_ROUTING SITEPROP TIEOFF_X2Y20 NAME TIEOFF_X2Y20 SITEPROP TIEOFF_X2Y20 NUM_ARCS 0 SITEPROP TIEOFF_X2Y20 NUM_BELS 2 SITEPROP TIEOFF_X2Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y20 NUM_PINS 2 SITEPROP TIEOFF_X2Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y20 PROHIBIT 0 SITEPROP TIEOFF_X2Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y20 RPM_X 16 SITEPROP TIEOFF_X2Y20 RPM_Y 40 SITEPROP TIEOFF_X2Y20 SITE_PIPS SITEPROP TIEOFF_X2Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y21 CLASS site SITEPROP TIEOFF_X2Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y21 IS_BONDED 0 SITEPROP TIEOFF_X2Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y21 IS_PAD 0 SITEPROP TIEOFF_X2Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y21 IS_RESERVED 0 SITEPROP TIEOFF_X2Y21 IS_TEST 0 SITEPROP TIEOFF_X2Y21 IS_USED 0 SITEPROP TIEOFF_X2Y21 MANUAL_ROUTING SITEPROP TIEOFF_X2Y21 NAME TIEOFF_X2Y21 SITEPROP TIEOFF_X2Y21 NUM_ARCS 0 SITEPROP TIEOFF_X2Y21 NUM_BELS 2 SITEPROP TIEOFF_X2Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y21 NUM_PINS 2 SITEPROP TIEOFF_X2Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y21 PROHIBIT 0 SITEPROP TIEOFF_X2Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y21 RPM_X 16 SITEPROP TIEOFF_X2Y21 RPM_Y 42 SITEPROP TIEOFF_X2Y21 SITE_PIPS SITEPROP TIEOFF_X2Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y22 CLASS site SITEPROP TIEOFF_X2Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y22 IS_BONDED 0 SITEPROP TIEOFF_X2Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y22 IS_PAD 0 SITEPROP TIEOFF_X2Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y22 IS_RESERVED 0 SITEPROP TIEOFF_X2Y22 IS_TEST 0 SITEPROP TIEOFF_X2Y22 IS_USED 0 SITEPROP TIEOFF_X2Y22 MANUAL_ROUTING SITEPROP TIEOFF_X2Y22 NAME TIEOFF_X2Y22 SITEPROP TIEOFF_X2Y22 NUM_ARCS 0 SITEPROP TIEOFF_X2Y22 NUM_BELS 2 SITEPROP TIEOFF_X2Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y22 NUM_PINS 2 SITEPROP TIEOFF_X2Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y22 PROHIBIT 0 SITEPROP TIEOFF_X2Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y22 RPM_X 16 SITEPROP TIEOFF_X2Y22 RPM_Y 44 SITEPROP TIEOFF_X2Y22 SITE_PIPS SITEPROP TIEOFF_X2Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y23 CLASS site SITEPROP TIEOFF_X2Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y23 IS_BONDED 0 SITEPROP TIEOFF_X2Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y23 IS_PAD 0 SITEPROP TIEOFF_X2Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y23 IS_RESERVED 0 SITEPROP TIEOFF_X2Y23 IS_TEST 0 SITEPROP TIEOFF_X2Y23 IS_USED 0 SITEPROP TIEOFF_X2Y23 MANUAL_ROUTING SITEPROP TIEOFF_X2Y23 NAME TIEOFF_X2Y23 SITEPROP TIEOFF_X2Y23 NUM_ARCS 0 SITEPROP TIEOFF_X2Y23 NUM_BELS 2 SITEPROP TIEOFF_X2Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y23 NUM_PINS 2 SITEPROP TIEOFF_X2Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y23 PROHIBIT 0 SITEPROP TIEOFF_X2Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y23 RPM_X 16 SITEPROP TIEOFF_X2Y23 RPM_Y 46 SITEPROP TIEOFF_X2Y23 SITE_PIPS SITEPROP TIEOFF_X2Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y24 CLASS site SITEPROP TIEOFF_X2Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y24 IS_BONDED 0 SITEPROP TIEOFF_X2Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y24 IS_PAD 0 SITEPROP TIEOFF_X2Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y24 IS_RESERVED 0 SITEPROP TIEOFF_X2Y24 IS_TEST 0 SITEPROP TIEOFF_X2Y24 IS_USED 0 SITEPROP TIEOFF_X2Y24 MANUAL_ROUTING SITEPROP TIEOFF_X2Y24 NAME TIEOFF_X2Y24 SITEPROP TIEOFF_X2Y24 NUM_ARCS 0 SITEPROP TIEOFF_X2Y24 NUM_BELS 2 SITEPROP TIEOFF_X2Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y24 NUM_PINS 2 SITEPROP TIEOFF_X2Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y24 PROHIBIT 0 SITEPROP TIEOFF_X2Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y24 RPM_X 16 SITEPROP TIEOFF_X2Y24 RPM_Y 48 SITEPROP TIEOFF_X2Y24 SITE_PIPS SITEPROP TIEOFF_X2Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y25 CLASS site SITEPROP TIEOFF_X2Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y25 IS_BONDED 0 SITEPROP TIEOFF_X2Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y25 IS_PAD 0 SITEPROP TIEOFF_X2Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y25 IS_RESERVED 0 SITEPROP TIEOFF_X2Y25 IS_TEST 0 SITEPROP TIEOFF_X2Y25 IS_USED 0 SITEPROP TIEOFF_X2Y25 MANUAL_ROUTING SITEPROP TIEOFF_X2Y25 NAME TIEOFF_X2Y25 SITEPROP TIEOFF_X2Y25 NUM_ARCS 0 SITEPROP TIEOFF_X2Y25 NUM_BELS 2 SITEPROP TIEOFF_X2Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y25 NUM_PINS 2 SITEPROP TIEOFF_X2Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y25 PROHIBIT 0 SITEPROP TIEOFF_X2Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y25 RPM_X 16 SITEPROP TIEOFF_X2Y25 RPM_Y 50 SITEPROP TIEOFF_X2Y25 SITE_PIPS SITEPROP TIEOFF_X2Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y26 CLASS site SITEPROP TIEOFF_X2Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y26 IS_BONDED 0 SITEPROP TIEOFF_X2Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y26 IS_PAD 0 SITEPROP TIEOFF_X2Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y26 IS_RESERVED 0 SITEPROP TIEOFF_X2Y26 IS_TEST 0 SITEPROP TIEOFF_X2Y26 IS_USED 0 SITEPROP TIEOFF_X2Y26 MANUAL_ROUTING SITEPROP TIEOFF_X2Y26 NAME TIEOFF_X2Y26 SITEPROP TIEOFF_X2Y26 NUM_ARCS 0 SITEPROP TIEOFF_X2Y26 NUM_BELS 2 SITEPROP TIEOFF_X2Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y26 NUM_PINS 2 SITEPROP TIEOFF_X2Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y26 PROHIBIT 0 SITEPROP TIEOFF_X2Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y26 RPM_X 16 SITEPROP TIEOFF_X2Y26 RPM_Y 52 SITEPROP TIEOFF_X2Y26 SITE_PIPS SITEPROP TIEOFF_X2Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y27 CLASS site SITEPROP TIEOFF_X2Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y27 IS_BONDED 0 SITEPROP TIEOFF_X2Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y27 IS_PAD 0 SITEPROP TIEOFF_X2Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y27 IS_RESERVED 0 SITEPROP TIEOFF_X2Y27 IS_TEST 0 SITEPROP TIEOFF_X2Y27 IS_USED 0 SITEPROP TIEOFF_X2Y27 MANUAL_ROUTING SITEPROP TIEOFF_X2Y27 NAME TIEOFF_X2Y27 SITEPROP TIEOFF_X2Y27 NUM_ARCS 0 SITEPROP TIEOFF_X2Y27 NUM_BELS 2 SITEPROP TIEOFF_X2Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y27 NUM_PINS 2 SITEPROP TIEOFF_X2Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y27 PROHIBIT 0 SITEPROP TIEOFF_X2Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y27 RPM_X 16 SITEPROP TIEOFF_X2Y27 RPM_Y 54 SITEPROP TIEOFF_X2Y27 SITE_PIPS SITEPROP TIEOFF_X2Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y28 CLASS site SITEPROP TIEOFF_X2Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y28 IS_BONDED 0 SITEPROP TIEOFF_X2Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y28 IS_PAD 0 SITEPROP TIEOFF_X2Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y28 IS_RESERVED 0 SITEPROP TIEOFF_X2Y28 IS_TEST 0 SITEPROP TIEOFF_X2Y28 IS_USED 0 SITEPROP TIEOFF_X2Y28 MANUAL_ROUTING SITEPROP TIEOFF_X2Y28 NAME TIEOFF_X2Y28 SITEPROP TIEOFF_X2Y28 NUM_ARCS 0 SITEPROP TIEOFF_X2Y28 NUM_BELS 2 SITEPROP TIEOFF_X2Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y28 NUM_PINS 2 SITEPROP TIEOFF_X2Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y28 PROHIBIT 0 SITEPROP TIEOFF_X2Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y28 RPM_X 16 SITEPROP TIEOFF_X2Y28 RPM_Y 56 SITEPROP TIEOFF_X2Y28 SITE_PIPS SITEPROP TIEOFF_X2Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y29 CLASS site SITEPROP TIEOFF_X2Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y29 IS_BONDED 0 SITEPROP TIEOFF_X2Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y29 IS_PAD 0 SITEPROP TIEOFF_X2Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y29 IS_RESERVED 0 SITEPROP TIEOFF_X2Y29 IS_TEST 0 SITEPROP TIEOFF_X2Y29 IS_USED 0 SITEPROP TIEOFF_X2Y29 MANUAL_ROUTING SITEPROP TIEOFF_X2Y29 NAME TIEOFF_X2Y29 SITEPROP TIEOFF_X2Y29 NUM_ARCS 0 SITEPROP TIEOFF_X2Y29 NUM_BELS 2 SITEPROP TIEOFF_X2Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y29 NUM_PINS 2 SITEPROP TIEOFF_X2Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y29 PROHIBIT 0 SITEPROP TIEOFF_X2Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y29 RPM_X 16 SITEPROP TIEOFF_X2Y29 RPM_Y 58 SITEPROP TIEOFF_X2Y29 SITE_PIPS SITEPROP TIEOFF_X2Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y30 CLASS site SITEPROP TIEOFF_X2Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y30 IS_BONDED 0 SITEPROP TIEOFF_X2Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y30 IS_PAD 0 SITEPROP TIEOFF_X2Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y30 IS_RESERVED 0 SITEPROP TIEOFF_X2Y30 IS_TEST 0 SITEPROP TIEOFF_X2Y30 IS_USED 0 SITEPROP TIEOFF_X2Y30 MANUAL_ROUTING SITEPROP TIEOFF_X2Y30 NAME TIEOFF_X2Y30 SITEPROP TIEOFF_X2Y30 NUM_ARCS 0 SITEPROP TIEOFF_X2Y30 NUM_BELS 2 SITEPROP TIEOFF_X2Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y30 NUM_PINS 2 SITEPROP TIEOFF_X2Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y30 PROHIBIT 0 SITEPROP TIEOFF_X2Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y30 RPM_X 16 SITEPROP TIEOFF_X2Y30 RPM_Y 60 SITEPROP TIEOFF_X2Y30 SITE_PIPS SITEPROP TIEOFF_X2Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y31 CLASS site SITEPROP TIEOFF_X2Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y31 IS_BONDED 0 SITEPROP TIEOFF_X2Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y31 IS_PAD 0 SITEPROP TIEOFF_X2Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y31 IS_RESERVED 0 SITEPROP TIEOFF_X2Y31 IS_TEST 0 SITEPROP TIEOFF_X2Y31 IS_USED 0 SITEPROP TIEOFF_X2Y31 MANUAL_ROUTING SITEPROP TIEOFF_X2Y31 NAME TIEOFF_X2Y31 SITEPROP TIEOFF_X2Y31 NUM_ARCS 0 SITEPROP TIEOFF_X2Y31 NUM_BELS 2 SITEPROP TIEOFF_X2Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y31 NUM_PINS 2 SITEPROP TIEOFF_X2Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y31 PROHIBIT 0 SITEPROP TIEOFF_X2Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y31 RPM_X 16 SITEPROP TIEOFF_X2Y31 RPM_Y 62 SITEPROP TIEOFF_X2Y31 SITE_PIPS SITEPROP TIEOFF_X2Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y32 CLASS site SITEPROP TIEOFF_X2Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y32 IS_BONDED 0 SITEPROP TIEOFF_X2Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y32 IS_PAD 0 SITEPROP TIEOFF_X2Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y32 IS_RESERVED 0 SITEPROP TIEOFF_X2Y32 IS_TEST 0 SITEPROP TIEOFF_X2Y32 IS_USED 0 SITEPROP TIEOFF_X2Y32 MANUAL_ROUTING SITEPROP TIEOFF_X2Y32 NAME TIEOFF_X2Y32 SITEPROP TIEOFF_X2Y32 NUM_ARCS 0 SITEPROP TIEOFF_X2Y32 NUM_BELS 2 SITEPROP TIEOFF_X2Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y32 NUM_PINS 2 SITEPROP TIEOFF_X2Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y32 PROHIBIT 0 SITEPROP TIEOFF_X2Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y32 RPM_X 16 SITEPROP TIEOFF_X2Y32 RPM_Y 64 SITEPROP TIEOFF_X2Y32 SITE_PIPS SITEPROP TIEOFF_X2Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y33 CLASS site SITEPROP TIEOFF_X2Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y33 IS_BONDED 0 SITEPROP TIEOFF_X2Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y33 IS_PAD 0 SITEPROP TIEOFF_X2Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y33 IS_RESERVED 0 SITEPROP TIEOFF_X2Y33 IS_TEST 0 SITEPROP TIEOFF_X2Y33 IS_USED 0 SITEPROP TIEOFF_X2Y33 MANUAL_ROUTING SITEPROP TIEOFF_X2Y33 NAME TIEOFF_X2Y33 SITEPROP TIEOFF_X2Y33 NUM_ARCS 0 SITEPROP TIEOFF_X2Y33 NUM_BELS 2 SITEPROP TIEOFF_X2Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y33 NUM_PINS 2 SITEPROP TIEOFF_X2Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y33 PROHIBIT 0 SITEPROP TIEOFF_X2Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y33 RPM_X 16 SITEPROP TIEOFF_X2Y33 RPM_Y 66 SITEPROP TIEOFF_X2Y33 SITE_PIPS SITEPROP TIEOFF_X2Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y34 CLASS site SITEPROP TIEOFF_X2Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y34 IS_BONDED 0 SITEPROP TIEOFF_X2Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y34 IS_PAD 0 SITEPROP TIEOFF_X2Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y34 IS_RESERVED 0 SITEPROP TIEOFF_X2Y34 IS_TEST 0 SITEPROP TIEOFF_X2Y34 IS_USED 0 SITEPROP TIEOFF_X2Y34 MANUAL_ROUTING SITEPROP TIEOFF_X2Y34 NAME TIEOFF_X2Y34 SITEPROP TIEOFF_X2Y34 NUM_ARCS 0 SITEPROP TIEOFF_X2Y34 NUM_BELS 2 SITEPROP TIEOFF_X2Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y34 NUM_PINS 2 SITEPROP TIEOFF_X2Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y34 PROHIBIT 0 SITEPROP TIEOFF_X2Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y34 RPM_X 16 SITEPROP TIEOFF_X2Y34 RPM_Y 68 SITEPROP TIEOFF_X2Y34 SITE_PIPS SITEPROP TIEOFF_X2Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y35 CLASS site SITEPROP TIEOFF_X2Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y35 IS_BONDED 0 SITEPROP TIEOFF_X2Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y35 IS_PAD 0 SITEPROP TIEOFF_X2Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y35 IS_RESERVED 0 SITEPROP TIEOFF_X2Y35 IS_TEST 0 SITEPROP TIEOFF_X2Y35 IS_USED 0 SITEPROP TIEOFF_X2Y35 MANUAL_ROUTING SITEPROP TIEOFF_X2Y35 NAME TIEOFF_X2Y35 SITEPROP TIEOFF_X2Y35 NUM_ARCS 0 SITEPROP TIEOFF_X2Y35 NUM_BELS 2 SITEPROP TIEOFF_X2Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y35 NUM_PINS 2 SITEPROP TIEOFF_X2Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y35 PROHIBIT 0 SITEPROP TIEOFF_X2Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y35 RPM_X 16 SITEPROP TIEOFF_X2Y35 RPM_Y 70 SITEPROP TIEOFF_X2Y35 SITE_PIPS SITEPROP TIEOFF_X2Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y36 CLASS site SITEPROP TIEOFF_X2Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y36 IS_BONDED 0 SITEPROP TIEOFF_X2Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y36 IS_PAD 0 SITEPROP TIEOFF_X2Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y36 IS_RESERVED 0 SITEPROP TIEOFF_X2Y36 IS_TEST 0 SITEPROP TIEOFF_X2Y36 IS_USED 0 SITEPROP TIEOFF_X2Y36 MANUAL_ROUTING SITEPROP TIEOFF_X2Y36 NAME TIEOFF_X2Y36 SITEPROP TIEOFF_X2Y36 NUM_ARCS 0 SITEPROP TIEOFF_X2Y36 NUM_BELS 2 SITEPROP TIEOFF_X2Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y36 NUM_PINS 2 SITEPROP TIEOFF_X2Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y36 PROHIBIT 0 SITEPROP TIEOFF_X2Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y36 RPM_X 16 SITEPROP TIEOFF_X2Y36 RPM_Y 72 SITEPROP TIEOFF_X2Y36 SITE_PIPS SITEPROP TIEOFF_X2Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y37 CLASS site SITEPROP TIEOFF_X2Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y37 IS_BONDED 0 SITEPROP TIEOFF_X2Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y37 IS_PAD 0 SITEPROP TIEOFF_X2Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y37 IS_RESERVED 0 SITEPROP TIEOFF_X2Y37 IS_TEST 0 SITEPROP TIEOFF_X2Y37 IS_USED 0 SITEPROP TIEOFF_X2Y37 MANUAL_ROUTING SITEPROP TIEOFF_X2Y37 NAME TIEOFF_X2Y37 SITEPROP TIEOFF_X2Y37 NUM_ARCS 0 SITEPROP TIEOFF_X2Y37 NUM_BELS 2 SITEPROP TIEOFF_X2Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y37 NUM_PINS 2 SITEPROP TIEOFF_X2Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y37 PROHIBIT 0 SITEPROP TIEOFF_X2Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y37 RPM_X 16 SITEPROP TIEOFF_X2Y37 RPM_Y 74 SITEPROP TIEOFF_X2Y37 SITE_PIPS SITEPROP TIEOFF_X2Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y38 CLASS site SITEPROP TIEOFF_X2Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y38 IS_BONDED 0 SITEPROP TIEOFF_X2Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y38 IS_PAD 0 SITEPROP TIEOFF_X2Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y38 IS_RESERVED 0 SITEPROP TIEOFF_X2Y38 IS_TEST 0 SITEPROP TIEOFF_X2Y38 IS_USED 0 SITEPROP TIEOFF_X2Y38 MANUAL_ROUTING SITEPROP TIEOFF_X2Y38 NAME TIEOFF_X2Y38 SITEPROP TIEOFF_X2Y38 NUM_ARCS 0 SITEPROP TIEOFF_X2Y38 NUM_BELS 2 SITEPROP TIEOFF_X2Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y38 NUM_PINS 2 SITEPROP TIEOFF_X2Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y38 PROHIBIT 0 SITEPROP TIEOFF_X2Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y38 RPM_X 16 SITEPROP TIEOFF_X2Y38 RPM_Y 76 SITEPROP TIEOFF_X2Y38 SITE_PIPS SITEPROP TIEOFF_X2Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y39 CLASS site SITEPROP TIEOFF_X2Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y39 IS_BONDED 0 SITEPROP TIEOFF_X2Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y39 IS_PAD 0 SITEPROP TIEOFF_X2Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y39 IS_RESERVED 0 SITEPROP TIEOFF_X2Y39 IS_TEST 0 SITEPROP TIEOFF_X2Y39 IS_USED 0 SITEPROP TIEOFF_X2Y39 MANUAL_ROUTING SITEPROP TIEOFF_X2Y39 NAME TIEOFF_X2Y39 SITEPROP TIEOFF_X2Y39 NUM_ARCS 0 SITEPROP TIEOFF_X2Y39 NUM_BELS 2 SITEPROP TIEOFF_X2Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y39 NUM_PINS 2 SITEPROP TIEOFF_X2Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y39 PROHIBIT 0 SITEPROP TIEOFF_X2Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y39 RPM_X 16 SITEPROP TIEOFF_X2Y39 RPM_Y 78 SITEPROP TIEOFF_X2Y39 SITE_PIPS SITEPROP TIEOFF_X2Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y40 CLASS site SITEPROP TIEOFF_X2Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y40 IS_BONDED 0 SITEPROP TIEOFF_X2Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y40 IS_PAD 0 SITEPROP TIEOFF_X2Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y40 IS_RESERVED 0 SITEPROP TIEOFF_X2Y40 IS_TEST 0 SITEPROP TIEOFF_X2Y40 IS_USED 0 SITEPROP TIEOFF_X2Y40 MANUAL_ROUTING SITEPROP TIEOFF_X2Y40 NAME TIEOFF_X2Y40 SITEPROP TIEOFF_X2Y40 NUM_ARCS 0 SITEPROP TIEOFF_X2Y40 NUM_BELS 2 SITEPROP TIEOFF_X2Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y40 NUM_PINS 2 SITEPROP TIEOFF_X2Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y40 PROHIBIT 0 SITEPROP TIEOFF_X2Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y40 RPM_X 16 SITEPROP TIEOFF_X2Y40 RPM_Y 80 SITEPROP TIEOFF_X2Y40 SITE_PIPS SITEPROP TIEOFF_X2Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y41 CLASS site SITEPROP TIEOFF_X2Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y41 IS_BONDED 0 SITEPROP TIEOFF_X2Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y41 IS_PAD 0 SITEPROP TIEOFF_X2Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y41 IS_RESERVED 0 SITEPROP TIEOFF_X2Y41 IS_TEST 0 SITEPROP TIEOFF_X2Y41 IS_USED 0 SITEPROP TIEOFF_X2Y41 MANUAL_ROUTING SITEPROP TIEOFF_X2Y41 NAME TIEOFF_X2Y41 SITEPROP TIEOFF_X2Y41 NUM_ARCS 0 SITEPROP TIEOFF_X2Y41 NUM_BELS 2 SITEPROP TIEOFF_X2Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y41 NUM_PINS 2 SITEPROP TIEOFF_X2Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y41 PROHIBIT 0 SITEPROP TIEOFF_X2Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y41 RPM_X 16 SITEPROP TIEOFF_X2Y41 RPM_Y 82 SITEPROP TIEOFF_X2Y41 SITE_PIPS SITEPROP TIEOFF_X2Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y42 CLASS site SITEPROP TIEOFF_X2Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y42 IS_BONDED 0 SITEPROP TIEOFF_X2Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y42 IS_PAD 0 SITEPROP TIEOFF_X2Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y42 IS_RESERVED 0 SITEPROP TIEOFF_X2Y42 IS_TEST 0 SITEPROP TIEOFF_X2Y42 IS_USED 0 SITEPROP TIEOFF_X2Y42 MANUAL_ROUTING SITEPROP TIEOFF_X2Y42 NAME TIEOFF_X2Y42 SITEPROP TIEOFF_X2Y42 NUM_ARCS 0 SITEPROP TIEOFF_X2Y42 NUM_BELS 2 SITEPROP TIEOFF_X2Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y42 NUM_PINS 2 SITEPROP TIEOFF_X2Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y42 PROHIBIT 0 SITEPROP TIEOFF_X2Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y42 RPM_X 16 SITEPROP TIEOFF_X2Y42 RPM_Y 84 SITEPROP TIEOFF_X2Y42 SITE_PIPS SITEPROP TIEOFF_X2Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y43 CLASS site SITEPROP TIEOFF_X2Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y43 IS_BONDED 0 SITEPROP TIEOFF_X2Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y43 IS_PAD 0 SITEPROP TIEOFF_X2Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y43 IS_RESERVED 0 SITEPROP TIEOFF_X2Y43 IS_TEST 0 SITEPROP TIEOFF_X2Y43 IS_USED 0 SITEPROP TIEOFF_X2Y43 MANUAL_ROUTING SITEPROP TIEOFF_X2Y43 NAME TIEOFF_X2Y43 SITEPROP TIEOFF_X2Y43 NUM_ARCS 0 SITEPROP TIEOFF_X2Y43 NUM_BELS 2 SITEPROP TIEOFF_X2Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y43 NUM_PINS 2 SITEPROP TIEOFF_X2Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y43 PROHIBIT 0 SITEPROP TIEOFF_X2Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y43 RPM_X 16 SITEPROP TIEOFF_X2Y43 RPM_Y 86 SITEPROP TIEOFF_X2Y43 SITE_PIPS SITEPROP TIEOFF_X2Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y44 CLASS site SITEPROP TIEOFF_X2Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y44 IS_BONDED 0 SITEPROP TIEOFF_X2Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y44 IS_PAD 0 SITEPROP TIEOFF_X2Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y44 IS_RESERVED 0 SITEPROP TIEOFF_X2Y44 IS_TEST 0 SITEPROP TIEOFF_X2Y44 IS_USED 0 SITEPROP TIEOFF_X2Y44 MANUAL_ROUTING SITEPROP TIEOFF_X2Y44 NAME TIEOFF_X2Y44 SITEPROP TIEOFF_X2Y44 NUM_ARCS 0 SITEPROP TIEOFF_X2Y44 NUM_BELS 2 SITEPROP TIEOFF_X2Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y44 NUM_PINS 2 SITEPROP TIEOFF_X2Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y44 PROHIBIT 0 SITEPROP TIEOFF_X2Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y44 RPM_X 16 SITEPROP TIEOFF_X2Y44 RPM_Y 88 SITEPROP TIEOFF_X2Y44 SITE_PIPS SITEPROP TIEOFF_X2Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y45 CLASS site SITEPROP TIEOFF_X2Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y45 IS_BONDED 0 SITEPROP TIEOFF_X2Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y45 IS_PAD 0 SITEPROP TIEOFF_X2Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y45 IS_RESERVED 0 SITEPROP TIEOFF_X2Y45 IS_TEST 0 SITEPROP TIEOFF_X2Y45 IS_USED 0 SITEPROP TIEOFF_X2Y45 MANUAL_ROUTING SITEPROP TIEOFF_X2Y45 NAME TIEOFF_X2Y45 SITEPROP TIEOFF_X2Y45 NUM_ARCS 0 SITEPROP TIEOFF_X2Y45 NUM_BELS 2 SITEPROP TIEOFF_X2Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y45 NUM_PINS 2 SITEPROP TIEOFF_X2Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y45 PROHIBIT 0 SITEPROP TIEOFF_X2Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y45 RPM_X 16 SITEPROP TIEOFF_X2Y45 RPM_Y 90 SITEPROP TIEOFF_X2Y45 SITE_PIPS SITEPROP TIEOFF_X2Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y46 CLASS site SITEPROP TIEOFF_X2Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y46 IS_BONDED 0 SITEPROP TIEOFF_X2Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y46 IS_PAD 0 SITEPROP TIEOFF_X2Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y46 IS_RESERVED 0 SITEPROP TIEOFF_X2Y46 IS_TEST 0 SITEPROP TIEOFF_X2Y46 IS_USED 0 SITEPROP TIEOFF_X2Y46 MANUAL_ROUTING SITEPROP TIEOFF_X2Y46 NAME TIEOFF_X2Y46 SITEPROP TIEOFF_X2Y46 NUM_ARCS 0 SITEPROP TIEOFF_X2Y46 NUM_BELS 2 SITEPROP TIEOFF_X2Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y46 NUM_PINS 2 SITEPROP TIEOFF_X2Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y46 PROHIBIT 0 SITEPROP TIEOFF_X2Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y46 RPM_X 16 SITEPROP TIEOFF_X2Y46 RPM_Y 92 SITEPROP TIEOFF_X2Y46 SITE_PIPS SITEPROP TIEOFF_X2Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y47 CLASS site SITEPROP TIEOFF_X2Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y47 IS_BONDED 0 SITEPROP TIEOFF_X2Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y47 IS_PAD 0 SITEPROP TIEOFF_X2Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y47 IS_RESERVED 0 SITEPROP TIEOFF_X2Y47 IS_TEST 0 SITEPROP TIEOFF_X2Y47 IS_USED 0 SITEPROP TIEOFF_X2Y47 MANUAL_ROUTING SITEPROP TIEOFF_X2Y47 NAME TIEOFF_X2Y47 SITEPROP TIEOFF_X2Y47 NUM_ARCS 0 SITEPROP TIEOFF_X2Y47 NUM_BELS 2 SITEPROP TIEOFF_X2Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y47 NUM_PINS 2 SITEPROP TIEOFF_X2Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y47 PROHIBIT 0 SITEPROP TIEOFF_X2Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y47 RPM_X 16 SITEPROP TIEOFF_X2Y47 RPM_Y 94 SITEPROP TIEOFF_X2Y47 SITE_PIPS SITEPROP TIEOFF_X2Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y48 CLASS site SITEPROP TIEOFF_X2Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y48 IS_BONDED 0 SITEPROP TIEOFF_X2Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y48 IS_PAD 0 SITEPROP TIEOFF_X2Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y48 IS_RESERVED 0 SITEPROP TIEOFF_X2Y48 IS_TEST 0 SITEPROP TIEOFF_X2Y48 IS_USED 0 SITEPROP TIEOFF_X2Y48 MANUAL_ROUTING SITEPROP TIEOFF_X2Y48 NAME TIEOFF_X2Y48 SITEPROP TIEOFF_X2Y48 NUM_ARCS 0 SITEPROP TIEOFF_X2Y48 NUM_BELS 2 SITEPROP TIEOFF_X2Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y48 NUM_PINS 2 SITEPROP TIEOFF_X2Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y48 PROHIBIT 0 SITEPROP TIEOFF_X2Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y48 RPM_X 16 SITEPROP TIEOFF_X2Y48 RPM_Y 96 SITEPROP TIEOFF_X2Y48 SITE_PIPS SITEPROP TIEOFF_X2Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y49 CLASS site SITEPROP TIEOFF_X2Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X2Y49 IS_BONDED 0 SITEPROP TIEOFF_X2Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y49 IS_PAD 0 SITEPROP TIEOFF_X2Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y49 IS_RESERVED 0 SITEPROP TIEOFF_X2Y49 IS_TEST 0 SITEPROP TIEOFF_X2Y49 IS_USED 0 SITEPROP TIEOFF_X2Y49 MANUAL_ROUTING SITEPROP TIEOFF_X2Y49 NAME TIEOFF_X2Y49 SITEPROP TIEOFF_X2Y49 NUM_ARCS 0 SITEPROP TIEOFF_X2Y49 NUM_BELS 2 SITEPROP TIEOFF_X2Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y49 NUM_PINS 2 SITEPROP TIEOFF_X2Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y49 PROHIBIT 0 SITEPROP TIEOFF_X2Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y49 RPM_X 16 SITEPROP TIEOFF_X2Y49 RPM_Y 98 SITEPROP TIEOFF_X2Y49 SITE_PIPS SITEPROP TIEOFF_X2Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y50 CLASS site SITEPROP TIEOFF_X2Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y50 IS_BONDED 0 SITEPROP TIEOFF_X2Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y50 IS_PAD 0 SITEPROP TIEOFF_X2Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y50 IS_RESERVED 0 SITEPROP TIEOFF_X2Y50 IS_TEST 0 SITEPROP TIEOFF_X2Y50 IS_USED 0 SITEPROP TIEOFF_X2Y50 MANUAL_ROUTING SITEPROP TIEOFF_X2Y50 NAME TIEOFF_X2Y50 SITEPROP TIEOFF_X2Y50 NUM_ARCS 0 SITEPROP TIEOFF_X2Y50 NUM_BELS 2 SITEPROP TIEOFF_X2Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y50 NUM_PINS 2 SITEPROP TIEOFF_X2Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y50 PROHIBIT 0 SITEPROP TIEOFF_X2Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y50 RPM_X 16 SITEPROP TIEOFF_X2Y50 RPM_Y 100 SITEPROP TIEOFF_X2Y50 SITE_PIPS SITEPROP TIEOFF_X2Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y51 CLASS site SITEPROP TIEOFF_X2Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y51 IS_BONDED 0 SITEPROP TIEOFF_X2Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y51 IS_PAD 0 SITEPROP TIEOFF_X2Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y51 IS_RESERVED 0 SITEPROP TIEOFF_X2Y51 IS_TEST 0 SITEPROP TIEOFF_X2Y51 IS_USED 0 SITEPROP TIEOFF_X2Y51 MANUAL_ROUTING SITEPROP TIEOFF_X2Y51 NAME TIEOFF_X2Y51 SITEPROP TIEOFF_X2Y51 NUM_ARCS 0 SITEPROP TIEOFF_X2Y51 NUM_BELS 2 SITEPROP TIEOFF_X2Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y51 NUM_PINS 2 SITEPROP TIEOFF_X2Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y51 PROHIBIT 0 SITEPROP TIEOFF_X2Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y51 RPM_X 16 SITEPROP TIEOFF_X2Y51 RPM_Y 102 SITEPROP TIEOFF_X2Y51 SITE_PIPS SITEPROP TIEOFF_X2Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y52 CLASS site SITEPROP TIEOFF_X2Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y52 IS_BONDED 0 SITEPROP TIEOFF_X2Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y52 IS_PAD 0 SITEPROP TIEOFF_X2Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y52 IS_RESERVED 0 SITEPROP TIEOFF_X2Y52 IS_TEST 0 SITEPROP TIEOFF_X2Y52 IS_USED 0 SITEPROP TIEOFF_X2Y52 MANUAL_ROUTING SITEPROP TIEOFF_X2Y52 NAME TIEOFF_X2Y52 SITEPROP TIEOFF_X2Y52 NUM_ARCS 0 SITEPROP TIEOFF_X2Y52 NUM_BELS 2 SITEPROP TIEOFF_X2Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y52 NUM_PINS 2 SITEPROP TIEOFF_X2Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y52 PROHIBIT 0 SITEPROP TIEOFF_X2Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y52 RPM_X 16 SITEPROP TIEOFF_X2Y52 RPM_Y 104 SITEPROP TIEOFF_X2Y52 SITE_PIPS SITEPROP TIEOFF_X2Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y53 CLASS site SITEPROP TIEOFF_X2Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y53 IS_BONDED 0 SITEPROP TIEOFF_X2Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y53 IS_PAD 0 SITEPROP TIEOFF_X2Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y53 IS_RESERVED 0 SITEPROP TIEOFF_X2Y53 IS_TEST 0 SITEPROP TIEOFF_X2Y53 IS_USED 0 SITEPROP TIEOFF_X2Y53 MANUAL_ROUTING SITEPROP TIEOFF_X2Y53 NAME TIEOFF_X2Y53 SITEPROP TIEOFF_X2Y53 NUM_ARCS 0 SITEPROP TIEOFF_X2Y53 NUM_BELS 2 SITEPROP TIEOFF_X2Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y53 NUM_PINS 2 SITEPROP TIEOFF_X2Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y53 PROHIBIT 0 SITEPROP TIEOFF_X2Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y53 RPM_X 16 SITEPROP TIEOFF_X2Y53 RPM_Y 106 SITEPROP TIEOFF_X2Y53 SITE_PIPS SITEPROP TIEOFF_X2Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y54 CLASS site SITEPROP TIEOFF_X2Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y54 IS_BONDED 0 SITEPROP TIEOFF_X2Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y54 IS_PAD 0 SITEPROP TIEOFF_X2Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y54 IS_RESERVED 0 SITEPROP TIEOFF_X2Y54 IS_TEST 0 SITEPROP TIEOFF_X2Y54 IS_USED 0 SITEPROP TIEOFF_X2Y54 MANUAL_ROUTING SITEPROP TIEOFF_X2Y54 NAME TIEOFF_X2Y54 SITEPROP TIEOFF_X2Y54 NUM_ARCS 0 SITEPROP TIEOFF_X2Y54 NUM_BELS 2 SITEPROP TIEOFF_X2Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y54 NUM_PINS 2 SITEPROP TIEOFF_X2Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y54 PROHIBIT 0 SITEPROP TIEOFF_X2Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y54 RPM_X 16 SITEPROP TIEOFF_X2Y54 RPM_Y 108 SITEPROP TIEOFF_X2Y54 SITE_PIPS SITEPROP TIEOFF_X2Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y55 CLASS site SITEPROP TIEOFF_X2Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y55 IS_BONDED 0 SITEPROP TIEOFF_X2Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y55 IS_PAD 0 SITEPROP TIEOFF_X2Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y55 IS_RESERVED 0 SITEPROP TIEOFF_X2Y55 IS_TEST 0 SITEPROP TIEOFF_X2Y55 IS_USED 0 SITEPROP TIEOFF_X2Y55 MANUAL_ROUTING SITEPROP TIEOFF_X2Y55 NAME TIEOFF_X2Y55 SITEPROP TIEOFF_X2Y55 NUM_ARCS 0 SITEPROP TIEOFF_X2Y55 NUM_BELS 2 SITEPROP TIEOFF_X2Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y55 NUM_PINS 2 SITEPROP TIEOFF_X2Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y55 PROHIBIT 0 SITEPROP TIEOFF_X2Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y55 RPM_X 16 SITEPROP TIEOFF_X2Y55 RPM_Y 110 SITEPROP TIEOFF_X2Y55 SITE_PIPS SITEPROP TIEOFF_X2Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y56 CLASS site SITEPROP TIEOFF_X2Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y56 IS_BONDED 0 SITEPROP TIEOFF_X2Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y56 IS_PAD 0 SITEPROP TIEOFF_X2Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y56 IS_RESERVED 0 SITEPROP TIEOFF_X2Y56 IS_TEST 0 SITEPROP TIEOFF_X2Y56 IS_USED 0 SITEPROP TIEOFF_X2Y56 MANUAL_ROUTING SITEPROP TIEOFF_X2Y56 NAME TIEOFF_X2Y56 SITEPROP TIEOFF_X2Y56 NUM_ARCS 0 SITEPROP TIEOFF_X2Y56 NUM_BELS 2 SITEPROP TIEOFF_X2Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y56 NUM_PINS 2 SITEPROP TIEOFF_X2Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y56 PROHIBIT 0 SITEPROP TIEOFF_X2Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y56 RPM_X 16 SITEPROP TIEOFF_X2Y56 RPM_Y 112 SITEPROP TIEOFF_X2Y56 SITE_PIPS SITEPROP TIEOFF_X2Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y57 CLASS site SITEPROP TIEOFF_X2Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y57 IS_BONDED 0 SITEPROP TIEOFF_X2Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y57 IS_PAD 0 SITEPROP TIEOFF_X2Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y57 IS_RESERVED 0 SITEPROP TIEOFF_X2Y57 IS_TEST 0 SITEPROP TIEOFF_X2Y57 IS_USED 0 SITEPROP TIEOFF_X2Y57 MANUAL_ROUTING SITEPROP TIEOFF_X2Y57 NAME TIEOFF_X2Y57 SITEPROP TIEOFF_X2Y57 NUM_ARCS 0 SITEPROP TIEOFF_X2Y57 NUM_BELS 2 SITEPROP TIEOFF_X2Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y57 NUM_PINS 2 SITEPROP TIEOFF_X2Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y57 PROHIBIT 0 SITEPROP TIEOFF_X2Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y57 RPM_X 16 SITEPROP TIEOFF_X2Y57 RPM_Y 114 SITEPROP TIEOFF_X2Y57 SITE_PIPS SITEPROP TIEOFF_X2Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y58 CLASS site SITEPROP TIEOFF_X2Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y58 IS_BONDED 0 SITEPROP TIEOFF_X2Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y58 IS_PAD 0 SITEPROP TIEOFF_X2Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y58 IS_RESERVED 0 SITEPROP TIEOFF_X2Y58 IS_TEST 0 SITEPROP TIEOFF_X2Y58 IS_USED 0 SITEPROP TIEOFF_X2Y58 MANUAL_ROUTING SITEPROP TIEOFF_X2Y58 NAME TIEOFF_X2Y58 SITEPROP TIEOFF_X2Y58 NUM_ARCS 0 SITEPROP TIEOFF_X2Y58 NUM_BELS 2 SITEPROP TIEOFF_X2Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y58 NUM_PINS 2 SITEPROP TIEOFF_X2Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y58 PROHIBIT 0 SITEPROP TIEOFF_X2Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y58 RPM_X 16 SITEPROP TIEOFF_X2Y58 RPM_Y 116 SITEPROP TIEOFF_X2Y58 SITE_PIPS SITEPROP TIEOFF_X2Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y59 CLASS site SITEPROP TIEOFF_X2Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y59 IS_BONDED 0 SITEPROP TIEOFF_X2Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y59 IS_PAD 0 SITEPROP TIEOFF_X2Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y59 IS_RESERVED 0 SITEPROP TIEOFF_X2Y59 IS_TEST 0 SITEPROP TIEOFF_X2Y59 IS_USED 0 SITEPROP TIEOFF_X2Y59 MANUAL_ROUTING SITEPROP TIEOFF_X2Y59 NAME TIEOFF_X2Y59 SITEPROP TIEOFF_X2Y59 NUM_ARCS 0 SITEPROP TIEOFF_X2Y59 NUM_BELS 2 SITEPROP TIEOFF_X2Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y59 NUM_PINS 2 SITEPROP TIEOFF_X2Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y59 PROHIBIT 0 SITEPROP TIEOFF_X2Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y59 RPM_X 16 SITEPROP TIEOFF_X2Y59 RPM_Y 118 SITEPROP TIEOFF_X2Y59 SITE_PIPS SITEPROP TIEOFF_X2Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y60 CLASS site SITEPROP TIEOFF_X2Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y60 IS_BONDED 0 SITEPROP TIEOFF_X2Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y60 IS_PAD 0 SITEPROP TIEOFF_X2Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y60 IS_RESERVED 0 SITEPROP TIEOFF_X2Y60 IS_TEST 0 SITEPROP TIEOFF_X2Y60 IS_USED 0 SITEPROP TIEOFF_X2Y60 MANUAL_ROUTING SITEPROP TIEOFF_X2Y60 NAME TIEOFF_X2Y60 SITEPROP TIEOFF_X2Y60 NUM_ARCS 0 SITEPROP TIEOFF_X2Y60 NUM_BELS 2 SITEPROP TIEOFF_X2Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y60 NUM_PINS 2 SITEPROP TIEOFF_X2Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y60 PROHIBIT 0 SITEPROP TIEOFF_X2Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y60 RPM_X 16 SITEPROP TIEOFF_X2Y60 RPM_Y 120 SITEPROP TIEOFF_X2Y60 SITE_PIPS SITEPROP TIEOFF_X2Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y61 CLASS site SITEPROP TIEOFF_X2Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y61 IS_BONDED 0 SITEPROP TIEOFF_X2Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y61 IS_PAD 0 SITEPROP TIEOFF_X2Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y61 IS_RESERVED 0 SITEPROP TIEOFF_X2Y61 IS_TEST 0 SITEPROP TIEOFF_X2Y61 IS_USED 0 SITEPROP TIEOFF_X2Y61 MANUAL_ROUTING SITEPROP TIEOFF_X2Y61 NAME TIEOFF_X2Y61 SITEPROP TIEOFF_X2Y61 NUM_ARCS 0 SITEPROP TIEOFF_X2Y61 NUM_BELS 2 SITEPROP TIEOFF_X2Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y61 NUM_PINS 2 SITEPROP TIEOFF_X2Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y61 PROHIBIT 0 SITEPROP TIEOFF_X2Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y61 RPM_X 16 SITEPROP TIEOFF_X2Y61 RPM_Y 122 SITEPROP TIEOFF_X2Y61 SITE_PIPS SITEPROP TIEOFF_X2Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y62 CLASS site SITEPROP TIEOFF_X2Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y62 IS_BONDED 0 SITEPROP TIEOFF_X2Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y62 IS_PAD 0 SITEPROP TIEOFF_X2Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y62 IS_RESERVED 0 SITEPROP TIEOFF_X2Y62 IS_TEST 0 SITEPROP TIEOFF_X2Y62 IS_USED 0 SITEPROP TIEOFF_X2Y62 MANUAL_ROUTING SITEPROP TIEOFF_X2Y62 NAME TIEOFF_X2Y62 SITEPROP TIEOFF_X2Y62 NUM_ARCS 0 SITEPROP TIEOFF_X2Y62 NUM_BELS 2 SITEPROP TIEOFF_X2Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y62 NUM_PINS 2 SITEPROP TIEOFF_X2Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y62 PROHIBIT 0 SITEPROP TIEOFF_X2Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y62 RPM_X 16 SITEPROP TIEOFF_X2Y62 RPM_Y 124 SITEPROP TIEOFF_X2Y62 SITE_PIPS SITEPROP TIEOFF_X2Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y63 CLASS site SITEPROP TIEOFF_X2Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y63 IS_BONDED 0 SITEPROP TIEOFF_X2Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y63 IS_PAD 0 SITEPROP TIEOFF_X2Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y63 IS_RESERVED 0 SITEPROP TIEOFF_X2Y63 IS_TEST 0 SITEPROP TIEOFF_X2Y63 IS_USED 0 SITEPROP TIEOFF_X2Y63 MANUAL_ROUTING SITEPROP TIEOFF_X2Y63 NAME TIEOFF_X2Y63 SITEPROP TIEOFF_X2Y63 NUM_ARCS 0 SITEPROP TIEOFF_X2Y63 NUM_BELS 2 SITEPROP TIEOFF_X2Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y63 NUM_PINS 2 SITEPROP TIEOFF_X2Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y63 PROHIBIT 0 SITEPROP TIEOFF_X2Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y63 RPM_X 16 SITEPROP TIEOFF_X2Y63 RPM_Y 126 SITEPROP TIEOFF_X2Y63 SITE_PIPS SITEPROP TIEOFF_X2Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y64 CLASS site SITEPROP TIEOFF_X2Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y64 IS_BONDED 0 SITEPROP TIEOFF_X2Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y64 IS_PAD 0 SITEPROP TIEOFF_X2Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y64 IS_RESERVED 0 SITEPROP TIEOFF_X2Y64 IS_TEST 0 SITEPROP TIEOFF_X2Y64 IS_USED 0 SITEPROP TIEOFF_X2Y64 MANUAL_ROUTING SITEPROP TIEOFF_X2Y64 NAME TIEOFF_X2Y64 SITEPROP TIEOFF_X2Y64 NUM_ARCS 0 SITEPROP TIEOFF_X2Y64 NUM_BELS 2 SITEPROP TIEOFF_X2Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y64 NUM_PINS 2 SITEPROP TIEOFF_X2Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y64 PROHIBIT 0 SITEPROP TIEOFF_X2Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y64 RPM_X 16 SITEPROP TIEOFF_X2Y64 RPM_Y 128 SITEPROP TIEOFF_X2Y64 SITE_PIPS SITEPROP TIEOFF_X2Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y65 CLASS site SITEPROP TIEOFF_X2Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y65 IS_BONDED 0 SITEPROP TIEOFF_X2Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y65 IS_PAD 0 SITEPROP TIEOFF_X2Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y65 IS_RESERVED 0 SITEPROP TIEOFF_X2Y65 IS_TEST 0 SITEPROP TIEOFF_X2Y65 IS_USED 0 SITEPROP TIEOFF_X2Y65 MANUAL_ROUTING SITEPROP TIEOFF_X2Y65 NAME TIEOFF_X2Y65 SITEPROP TIEOFF_X2Y65 NUM_ARCS 0 SITEPROP TIEOFF_X2Y65 NUM_BELS 2 SITEPROP TIEOFF_X2Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y65 NUM_PINS 2 SITEPROP TIEOFF_X2Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y65 PROHIBIT 0 SITEPROP TIEOFF_X2Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y65 RPM_X 16 SITEPROP TIEOFF_X2Y65 RPM_Y 130 SITEPROP TIEOFF_X2Y65 SITE_PIPS SITEPROP TIEOFF_X2Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y66 CLASS site SITEPROP TIEOFF_X2Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y66 IS_BONDED 0 SITEPROP TIEOFF_X2Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y66 IS_PAD 0 SITEPROP TIEOFF_X2Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y66 IS_RESERVED 0 SITEPROP TIEOFF_X2Y66 IS_TEST 0 SITEPROP TIEOFF_X2Y66 IS_USED 0 SITEPROP TIEOFF_X2Y66 MANUAL_ROUTING SITEPROP TIEOFF_X2Y66 NAME TIEOFF_X2Y66 SITEPROP TIEOFF_X2Y66 NUM_ARCS 0 SITEPROP TIEOFF_X2Y66 NUM_BELS 2 SITEPROP TIEOFF_X2Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y66 NUM_PINS 2 SITEPROP TIEOFF_X2Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y66 PROHIBIT 0 SITEPROP TIEOFF_X2Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y66 RPM_X 16 SITEPROP TIEOFF_X2Y66 RPM_Y 132 SITEPROP TIEOFF_X2Y66 SITE_PIPS SITEPROP TIEOFF_X2Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y67 CLASS site SITEPROP TIEOFF_X2Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y67 IS_BONDED 0 SITEPROP TIEOFF_X2Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y67 IS_PAD 0 SITEPROP TIEOFF_X2Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y67 IS_RESERVED 0 SITEPROP TIEOFF_X2Y67 IS_TEST 0 SITEPROP TIEOFF_X2Y67 IS_USED 0 SITEPROP TIEOFF_X2Y67 MANUAL_ROUTING SITEPROP TIEOFF_X2Y67 NAME TIEOFF_X2Y67 SITEPROP TIEOFF_X2Y67 NUM_ARCS 0 SITEPROP TIEOFF_X2Y67 NUM_BELS 2 SITEPROP TIEOFF_X2Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y67 NUM_PINS 2 SITEPROP TIEOFF_X2Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y67 PROHIBIT 0 SITEPROP TIEOFF_X2Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y67 RPM_X 16 SITEPROP TIEOFF_X2Y67 RPM_Y 134 SITEPROP TIEOFF_X2Y67 SITE_PIPS SITEPROP TIEOFF_X2Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y68 CLASS site SITEPROP TIEOFF_X2Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y68 IS_BONDED 0 SITEPROP TIEOFF_X2Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y68 IS_PAD 0 SITEPROP TIEOFF_X2Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y68 IS_RESERVED 0 SITEPROP TIEOFF_X2Y68 IS_TEST 0 SITEPROP TIEOFF_X2Y68 IS_USED 0 SITEPROP TIEOFF_X2Y68 MANUAL_ROUTING SITEPROP TIEOFF_X2Y68 NAME TIEOFF_X2Y68 SITEPROP TIEOFF_X2Y68 NUM_ARCS 0 SITEPROP TIEOFF_X2Y68 NUM_BELS 2 SITEPROP TIEOFF_X2Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y68 NUM_PINS 2 SITEPROP TIEOFF_X2Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y68 PROHIBIT 0 SITEPROP TIEOFF_X2Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y68 RPM_X 16 SITEPROP TIEOFF_X2Y68 RPM_Y 136 SITEPROP TIEOFF_X2Y68 SITE_PIPS SITEPROP TIEOFF_X2Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y69 CLASS site SITEPROP TIEOFF_X2Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y69 IS_BONDED 0 SITEPROP TIEOFF_X2Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y69 IS_PAD 0 SITEPROP TIEOFF_X2Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y69 IS_RESERVED 0 SITEPROP TIEOFF_X2Y69 IS_TEST 0 SITEPROP TIEOFF_X2Y69 IS_USED 0 SITEPROP TIEOFF_X2Y69 MANUAL_ROUTING SITEPROP TIEOFF_X2Y69 NAME TIEOFF_X2Y69 SITEPROP TIEOFF_X2Y69 NUM_ARCS 0 SITEPROP TIEOFF_X2Y69 NUM_BELS 2 SITEPROP TIEOFF_X2Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y69 NUM_PINS 2 SITEPROP TIEOFF_X2Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y69 PROHIBIT 0 SITEPROP TIEOFF_X2Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y69 RPM_X 16 SITEPROP TIEOFF_X2Y69 RPM_Y 138 SITEPROP TIEOFF_X2Y69 SITE_PIPS SITEPROP TIEOFF_X2Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y70 CLASS site SITEPROP TIEOFF_X2Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y70 IS_BONDED 0 SITEPROP TIEOFF_X2Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y70 IS_PAD 0 SITEPROP TIEOFF_X2Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y70 IS_RESERVED 0 SITEPROP TIEOFF_X2Y70 IS_TEST 0 SITEPROP TIEOFF_X2Y70 IS_USED 0 SITEPROP TIEOFF_X2Y70 MANUAL_ROUTING SITEPROP TIEOFF_X2Y70 NAME TIEOFF_X2Y70 SITEPROP TIEOFF_X2Y70 NUM_ARCS 0 SITEPROP TIEOFF_X2Y70 NUM_BELS 2 SITEPROP TIEOFF_X2Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y70 NUM_PINS 2 SITEPROP TIEOFF_X2Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y70 PROHIBIT 0 SITEPROP TIEOFF_X2Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y70 RPM_X 16 SITEPROP TIEOFF_X2Y70 RPM_Y 140 SITEPROP TIEOFF_X2Y70 SITE_PIPS SITEPROP TIEOFF_X2Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y71 CLASS site SITEPROP TIEOFF_X2Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y71 IS_BONDED 0 SITEPROP TIEOFF_X2Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y71 IS_PAD 0 SITEPROP TIEOFF_X2Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y71 IS_RESERVED 0 SITEPROP TIEOFF_X2Y71 IS_TEST 0 SITEPROP TIEOFF_X2Y71 IS_USED 0 SITEPROP TIEOFF_X2Y71 MANUAL_ROUTING SITEPROP TIEOFF_X2Y71 NAME TIEOFF_X2Y71 SITEPROP TIEOFF_X2Y71 NUM_ARCS 0 SITEPROP TIEOFF_X2Y71 NUM_BELS 2 SITEPROP TIEOFF_X2Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y71 NUM_PINS 2 SITEPROP TIEOFF_X2Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y71 PROHIBIT 0 SITEPROP TIEOFF_X2Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y71 RPM_X 16 SITEPROP TIEOFF_X2Y71 RPM_Y 142 SITEPROP TIEOFF_X2Y71 SITE_PIPS SITEPROP TIEOFF_X2Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y72 CLASS site SITEPROP TIEOFF_X2Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y72 IS_BONDED 0 SITEPROP TIEOFF_X2Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y72 IS_PAD 0 SITEPROP TIEOFF_X2Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y72 IS_RESERVED 0 SITEPROP TIEOFF_X2Y72 IS_TEST 0 SITEPROP TIEOFF_X2Y72 IS_USED 0 SITEPROP TIEOFF_X2Y72 MANUAL_ROUTING SITEPROP TIEOFF_X2Y72 NAME TIEOFF_X2Y72 SITEPROP TIEOFF_X2Y72 NUM_ARCS 0 SITEPROP TIEOFF_X2Y72 NUM_BELS 2 SITEPROP TIEOFF_X2Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y72 NUM_PINS 2 SITEPROP TIEOFF_X2Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y72 PROHIBIT 0 SITEPROP TIEOFF_X2Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y72 RPM_X 16 SITEPROP TIEOFF_X2Y72 RPM_Y 144 SITEPROP TIEOFF_X2Y72 SITE_PIPS SITEPROP TIEOFF_X2Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y73 CLASS site SITEPROP TIEOFF_X2Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y73 IS_BONDED 0 SITEPROP TIEOFF_X2Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y73 IS_PAD 0 SITEPROP TIEOFF_X2Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y73 IS_RESERVED 0 SITEPROP TIEOFF_X2Y73 IS_TEST 0 SITEPROP TIEOFF_X2Y73 IS_USED 0 SITEPROP TIEOFF_X2Y73 MANUAL_ROUTING SITEPROP TIEOFF_X2Y73 NAME TIEOFF_X2Y73 SITEPROP TIEOFF_X2Y73 NUM_ARCS 0 SITEPROP TIEOFF_X2Y73 NUM_BELS 2 SITEPROP TIEOFF_X2Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y73 NUM_PINS 2 SITEPROP TIEOFF_X2Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y73 PROHIBIT 0 SITEPROP TIEOFF_X2Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y73 RPM_X 16 SITEPROP TIEOFF_X2Y73 RPM_Y 146 SITEPROP TIEOFF_X2Y73 SITE_PIPS SITEPROP TIEOFF_X2Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y74 CLASS site SITEPROP TIEOFF_X2Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y74 IS_BONDED 0 SITEPROP TIEOFF_X2Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y74 IS_PAD 0 SITEPROP TIEOFF_X2Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y74 IS_RESERVED 0 SITEPROP TIEOFF_X2Y74 IS_TEST 0 SITEPROP TIEOFF_X2Y74 IS_USED 0 SITEPROP TIEOFF_X2Y74 MANUAL_ROUTING SITEPROP TIEOFF_X2Y74 NAME TIEOFF_X2Y74 SITEPROP TIEOFF_X2Y74 NUM_ARCS 0 SITEPROP TIEOFF_X2Y74 NUM_BELS 2 SITEPROP TIEOFF_X2Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y74 NUM_PINS 2 SITEPROP TIEOFF_X2Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y74 PROHIBIT 0 SITEPROP TIEOFF_X2Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y74 RPM_X 16 SITEPROP TIEOFF_X2Y74 RPM_Y 148 SITEPROP TIEOFF_X2Y74 SITE_PIPS SITEPROP TIEOFF_X2Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y75 CLASS site SITEPROP TIEOFF_X2Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y75 IS_BONDED 0 SITEPROP TIEOFF_X2Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y75 IS_PAD 0 SITEPROP TIEOFF_X2Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y75 IS_RESERVED 0 SITEPROP TIEOFF_X2Y75 IS_TEST 0 SITEPROP TIEOFF_X2Y75 IS_USED 0 SITEPROP TIEOFF_X2Y75 MANUAL_ROUTING SITEPROP TIEOFF_X2Y75 NAME TIEOFF_X2Y75 SITEPROP TIEOFF_X2Y75 NUM_ARCS 0 SITEPROP TIEOFF_X2Y75 NUM_BELS 2 SITEPROP TIEOFF_X2Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y75 NUM_PINS 2 SITEPROP TIEOFF_X2Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y75 PROHIBIT 0 SITEPROP TIEOFF_X2Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y75 RPM_X 16 SITEPROP TIEOFF_X2Y75 RPM_Y 150 SITEPROP TIEOFF_X2Y75 SITE_PIPS SITEPROP TIEOFF_X2Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y76 CLASS site SITEPROP TIEOFF_X2Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y76 IS_BONDED 0 SITEPROP TIEOFF_X2Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y76 IS_PAD 0 SITEPROP TIEOFF_X2Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y76 IS_RESERVED 0 SITEPROP TIEOFF_X2Y76 IS_TEST 0 SITEPROP TIEOFF_X2Y76 IS_USED 0 SITEPROP TIEOFF_X2Y76 MANUAL_ROUTING SITEPROP TIEOFF_X2Y76 NAME TIEOFF_X2Y76 SITEPROP TIEOFF_X2Y76 NUM_ARCS 0 SITEPROP TIEOFF_X2Y76 NUM_BELS 2 SITEPROP TIEOFF_X2Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y76 NUM_PINS 2 SITEPROP TIEOFF_X2Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y76 PROHIBIT 0 SITEPROP TIEOFF_X2Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y76 RPM_X 16 SITEPROP TIEOFF_X2Y76 RPM_Y 152 SITEPROP TIEOFF_X2Y76 SITE_PIPS SITEPROP TIEOFF_X2Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y77 CLASS site SITEPROP TIEOFF_X2Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y77 IS_BONDED 0 SITEPROP TIEOFF_X2Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y77 IS_PAD 0 SITEPROP TIEOFF_X2Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y77 IS_RESERVED 0 SITEPROP TIEOFF_X2Y77 IS_TEST 0 SITEPROP TIEOFF_X2Y77 IS_USED 0 SITEPROP TIEOFF_X2Y77 MANUAL_ROUTING SITEPROP TIEOFF_X2Y77 NAME TIEOFF_X2Y77 SITEPROP TIEOFF_X2Y77 NUM_ARCS 0 SITEPROP TIEOFF_X2Y77 NUM_BELS 2 SITEPROP TIEOFF_X2Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y77 NUM_PINS 2 SITEPROP TIEOFF_X2Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y77 PROHIBIT 0 SITEPROP TIEOFF_X2Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y77 RPM_X 16 SITEPROP TIEOFF_X2Y77 RPM_Y 154 SITEPROP TIEOFF_X2Y77 SITE_PIPS SITEPROP TIEOFF_X2Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y78 CLASS site SITEPROP TIEOFF_X2Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y78 IS_BONDED 0 SITEPROP TIEOFF_X2Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y78 IS_PAD 0 SITEPROP TIEOFF_X2Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y78 IS_RESERVED 0 SITEPROP TIEOFF_X2Y78 IS_TEST 0 SITEPROP TIEOFF_X2Y78 IS_USED 0 SITEPROP TIEOFF_X2Y78 MANUAL_ROUTING SITEPROP TIEOFF_X2Y78 NAME TIEOFF_X2Y78 SITEPROP TIEOFF_X2Y78 NUM_ARCS 0 SITEPROP TIEOFF_X2Y78 NUM_BELS 2 SITEPROP TIEOFF_X2Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y78 NUM_PINS 2 SITEPROP TIEOFF_X2Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y78 PROHIBIT 0 SITEPROP TIEOFF_X2Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y78 RPM_X 16 SITEPROP TIEOFF_X2Y78 RPM_Y 156 SITEPROP TIEOFF_X2Y78 SITE_PIPS SITEPROP TIEOFF_X2Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y79 CLASS site SITEPROP TIEOFF_X2Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y79 IS_BONDED 0 SITEPROP TIEOFF_X2Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y79 IS_PAD 0 SITEPROP TIEOFF_X2Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y79 IS_RESERVED 0 SITEPROP TIEOFF_X2Y79 IS_TEST 0 SITEPROP TIEOFF_X2Y79 IS_USED 0 SITEPROP TIEOFF_X2Y79 MANUAL_ROUTING SITEPROP TIEOFF_X2Y79 NAME TIEOFF_X2Y79 SITEPROP TIEOFF_X2Y79 NUM_ARCS 0 SITEPROP TIEOFF_X2Y79 NUM_BELS 2 SITEPROP TIEOFF_X2Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y79 NUM_PINS 2 SITEPROP TIEOFF_X2Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y79 PROHIBIT 0 SITEPROP TIEOFF_X2Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y79 RPM_X 16 SITEPROP TIEOFF_X2Y79 RPM_Y 158 SITEPROP TIEOFF_X2Y79 SITE_PIPS SITEPROP TIEOFF_X2Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y80 CLASS site SITEPROP TIEOFF_X2Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y80 IS_BONDED 0 SITEPROP TIEOFF_X2Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y80 IS_PAD 0 SITEPROP TIEOFF_X2Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y80 IS_RESERVED 0 SITEPROP TIEOFF_X2Y80 IS_TEST 0 SITEPROP TIEOFF_X2Y80 IS_USED 0 SITEPROP TIEOFF_X2Y80 MANUAL_ROUTING SITEPROP TIEOFF_X2Y80 NAME TIEOFF_X2Y80 SITEPROP TIEOFF_X2Y80 NUM_ARCS 0 SITEPROP TIEOFF_X2Y80 NUM_BELS 2 SITEPROP TIEOFF_X2Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y80 NUM_PINS 2 SITEPROP TIEOFF_X2Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y80 PROHIBIT 0 SITEPROP TIEOFF_X2Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y80 RPM_X 16 SITEPROP TIEOFF_X2Y80 RPM_Y 160 SITEPROP TIEOFF_X2Y80 SITE_PIPS SITEPROP TIEOFF_X2Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y81 CLASS site SITEPROP TIEOFF_X2Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y81 IS_BONDED 0 SITEPROP TIEOFF_X2Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y81 IS_PAD 0 SITEPROP TIEOFF_X2Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y81 IS_RESERVED 0 SITEPROP TIEOFF_X2Y81 IS_TEST 0 SITEPROP TIEOFF_X2Y81 IS_USED 0 SITEPROP TIEOFF_X2Y81 MANUAL_ROUTING SITEPROP TIEOFF_X2Y81 NAME TIEOFF_X2Y81 SITEPROP TIEOFF_X2Y81 NUM_ARCS 0 SITEPROP TIEOFF_X2Y81 NUM_BELS 2 SITEPROP TIEOFF_X2Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y81 NUM_PINS 2 SITEPROP TIEOFF_X2Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y81 PROHIBIT 0 SITEPROP TIEOFF_X2Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y81 RPM_X 16 SITEPROP TIEOFF_X2Y81 RPM_Y 162 SITEPROP TIEOFF_X2Y81 SITE_PIPS SITEPROP TIEOFF_X2Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y82 CLASS site SITEPROP TIEOFF_X2Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y82 IS_BONDED 0 SITEPROP TIEOFF_X2Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y82 IS_PAD 0 SITEPROP TIEOFF_X2Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y82 IS_RESERVED 0 SITEPROP TIEOFF_X2Y82 IS_TEST 0 SITEPROP TIEOFF_X2Y82 IS_USED 0 SITEPROP TIEOFF_X2Y82 MANUAL_ROUTING SITEPROP TIEOFF_X2Y82 NAME TIEOFF_X2Y82 SITEPROP TIEOFF_X2Y82 NUM_ARCS 0 SITEPROP TIEOFF_X2Y82 NUM_BELS 2 SITEPROP TIEOFF_X2Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y82 NUM_PINS 2 SITEPROP TIEOFF_X2Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y82 PROHIBIT 0 SITEPROP TIEOFF_X2Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y82 RPM_X 16 SITEPROP TIEOFF_X2Y82 RPM_Y 164 SITEPROP TIEOFF_X2Y82 SITE_PIPS SITEPROP TIEOFF_X2Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y83 CLASS site SITEPROP TIEOFF_X2Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y83 IS_BONDED 0 SITEPROP TIEOFF_X2Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y83 IS_PAD 0 SITEPROP TIEOFF_X2Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y83 IS_RESERVED 0 SITEPROP TIEOFF_X2Y83 IS_TEST 0 SITEPROP TIEOFF_X2Y83 IS_USED 0 SITEPROP TIEOFF_X2Y83 MANUAL_ROUTING SITEPROP TIEOFF_X2Y83 NAME TIEOFF_X2Y83 SITEPROP TIEOFF_X2Y83 NUM_ARCS 0 SITEPROP TIEOFF_X2Y83 NUM_BELS 2 SITEPROP TIEOFF_X2Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y83 NUM_PINS 2 SITEPROP TIEOFF_X2Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y83 PROHIBIT 0 SITEPROP TIEOFF_X2Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y83 RPM_X 16 SITEPROP TIEOFF_X2Y83 RPM_Y 166 SITEPROP TIEOFF_X2Y83 SITE_PIPS SITEPROP TIEOFF_X2Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y84 CLASS site SITEPROP TIEOFF_X2Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y84 IS_BONDED 0 SITEPROP TIEOFF_X2Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y84 IS_PAD 0 SITEPROP TIEOFF_X2Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y84 IS_RESERVED 0 SITEPROP TIEOFF_X2Y84 IS_TEST 0 SITEPROP TIEOFF_X2Y84 IS_USED 0 SITEPROP TIEOFF_X2Y84 MANUAL_ROUTING SITEPROP TIEOFF_X2Y84 NAME TIEOFF_X2Y84 SITEPROP TIEOFF_X2Y84 NUM_ARCS 0 SITEPROP TIEOFF_X2Y84 NUM_BELS 2 SITEPROP TIEOFF_X2Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y84 NUM_PINS 2 SITEPROP TIEOFF_X2Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y84 PROHIBIT 0 SITEPROP TIEOFF_X2Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y84 RPM_X 16 SITEPROP TIEOFF_X2Y84 RPM_Y 168 SITEPROP TIEOFF_X2Y84 SITE_PIPS SITEPROP TIEOFF_X2Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y85 CLASS site SITEPROP TIEOFF_X2Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y85 IS_BONDED 0 SITEPROP TIEOFF_X2Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y85 IS_PAD 0 SITEPROP TIEOFF_X2Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y85 IS_RESERVED 0 SITEPROP TIEOFF_X2Y85 IS_TEST 0 SITEPROP TIEOFF_X2Y85 IS_USED 0 SITEPROP TIEOFF_X2Y85 MANUAL_ROUTING SITEPROP TIEOFF_X2Y85 NAME TIEOFF_X2Y85 SITEPROP TIEOFF_X2Y85 NUM_ARCS 0 SITEPROP TIEOFF_X2Y85 NUM_BELS 2 SITEPROP TIEOFF_X2Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y85 NUM_PINS 2 SITEPROP TIEOFF_X2Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y85 PROHIBIT 0 SITEPROP TIEOFF_X2Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y85 RPM_X 16 SITEPROP TIEOFF_X2Y85 RPM_Y 170 SITEPROP TIEOFF_X2Y85 SITE_PIPS SITEPROP TIEOFF_X2Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y86 CLASS site SITEPROP TIEOFF_X2Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y86 IS_BONDED 0 SITEPROP TIEOFF_X2Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y86 IS_PAD 0 SITEPROP TIEOFF_X2Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y86 IS_RESERVED 0 SITEPROP TIEOFF_X2Y86 IS_TEST 0 SITEPROP TIEOFF_X2Y86 IS_USED 0 SITEPROP TIEOFF_X2Y86 MANUAL_ROUTING SITEPROP TIEOFF_X2Y86 NAME TIEOFF_X2Y86 SITEPROP TIEOFF_X2Y86 NUM_ARCS 0 SITEPROP TIEOFF_X2Y86 NUM_BELS 2 SITEPROP TIEOFF_X2Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y86 NUM_PINS 2 SITEPROP TIEOFF_X2Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y86 PROHIBIT 0 SITEPROP TIEOFF_X2Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y86 RPM_X 16 SITEPROP TIEOFF_X2Y86 RPM_Y 172 SITEPROP TIEOFF_X2Y86 SITE_PIPS SITEPROP TIEOFF_X2Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y87 CLASS site SITEPROP TIEOFF_X2Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y87 IS_BONDED 0 SITEPROP TIEOFF_X2Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y87 IS_PAD 0 SITEPROP TIEOFF_X2Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y87 IS_RESERVED 0 SITEPROP TIEOFF_X2Y87 IS_TEST 0 SITEPROP TIEOFF_X2Y87 IS_USED 0 SITEPROP TIEOFF_X2Y87 MANUAL_ROUTING SITEPROP TIEOFF_X2Y87 NAME TIEOFF_X2Y87 SITEPROP TIEOFF_X2Y87 NUM_ARCS 0 SITEPROP TIEOFF_X2Y87 NUM_BELS 2 SITEPROP TIEOFF_X2Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y87 NUM_PINS 2 SITEPROP TIEOFF_X2Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y87 PROHIBIT 0 SITEPROP TIEOFF_X2Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y87 RPM_X 16 SITEPROP TIEOFF_X2Y87 RPM_Y 174 SITEPROP TIEOFF_X2Y87 SITE_PIPS SITEPROP TIEOFF_X2Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y88 CLASS site SITEPROP TIEOFF_X2Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y88 IS_BONDED 0 SITEPROP TIEOFF_X2Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y88 IS_PAD 0 SITEPROP TIEOFF_X2Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y88 IS_RESERVED 0 SITEPROP TIEOFF_X2Y88 IS_TEST 0 SITEPROP TIEOFF_X2Y88 IS_USED 0 SITEPROP TIEOFF_X2Y88 MANUAL_ROUTING SITEPROP TIEOFF_X2Y88 NAME TIEOFF_X2Y88 SITEPROP TIEOFF_X2Y88 NUM_ARCS 0 SITEPROP TIEOFF_X2Y88 NUM_BELS 2 SITEPROP TIEOFF_X2Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y88 NUM_PINS 2 SITEPROP TIEOFF_X2Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y88 PROHIBIT 0 SITEPROP TIEOFF_X2Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y88 RPM_X 16 SITEPROP TIEOFF_X2Y88 RPM_Y 176 SITEPROP TIEOFF_X2Y88 SITE_PIPS SITEPROP TIEOFF_X2Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y89 CLASS site SITEPROP TIEOFF_X2Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y89 IS_BONDED 0 SITEPROP TIEOFF_X2Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y89 IS_PAD 0 SITEPROP TIEOFF_X2Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y89 IS_RESERVED 0 SITEPROP TIEOFF_X2Y89 IS_TEST 0 SITEPROP TIEOFF_X2Y89 IS_USED 0 SITEPROP TIEOFF_X2Y89 MANUAL_ROUTING SITEPROP TIEOFF_X2Y89 NAME TIEOFF_X2Y89 SITEPROP TIEOFF_X2Y89 NUM_ARCS 0 SITEPROP TIEOFF_X2Y89 NUM_BELS 2 SITEPROP TIEOFF_X2Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y89 NUM_PINS 2 SITEPROP TIEOFF_X2Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y89 PROHIBIT 0 SITEPROP TIEOFF_X2Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y89 RPM_X 16 SITEPROP TIEOFF_X2Y89 RPM_Y 178 SITEPROP TIEOFF_X2Y89 SITE_PIPS SITEPROP TIEOFF_X2Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y90 CLASS site SITEPROP TIEOFF_X2Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y90 IS_BONDED 0 SITEPROP TIEOFF_X2Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y90 IS_PAD 0 SITEPROP TIEOFF_X2Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y90 IS_RESERVED 0 SITEPROP TIEOFF_X2Y90 IS_TEST 0 SITEPROP TIEOFF_X2Y90 IS_USED 0 SITEPROP TIEOFF_X2Y90 MANUAL_ROUTING SITEPROP TIEOFF_X2Y90 NAME TIEOFF_X2Y90 SITEPROP TIEOFF_X2Y90 NUM_ARCS 0 SITEPROP TIEOFF_X2Y90 NUM_BELS 2 SITEPROP TIEOFF_X2Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y90 NUM_PINS 2 SITEPROP TIEOFF_X2Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y90 PROHIBIT 0 SITEPROP TIEOFF_X2Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y90 RPM_X 16 SITEPROP TIEOFF_X2Y90 RPM_Y 180 SITEPROP TIEOFF_X2Y90 SITE_PIPS SITEPROP TIEOFF_X2Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y91 CLASS site SITEPROP TIEOFF_X2Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y91 IS_BONDED 0 SITEPROP TIEOFF_X2Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y91 IS_PAD 0 SITEPROP TIEOFF_X2Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y91 IS_RESERVED 0 SITEPROP TIEOFF_X2Y91 IS_TEST 0 SITEPROP TIEOFF_X2Y91 IS_USED 0 SITEPROP TIEOFF_X2Y91 MANUAL_ROUTING SITEPROP TIEOFF_X2Y91 NAME TIEOFF_X2Y91 SITEPROP TIEOFF_X2Y91 NUM_ARCS 0 SITEPROP TIEOFF_X2Y91 NUM_BELS 2 SITEPROP TIEOFF_X2Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y91 NUM_PINS 2 SITEPROP TIEOFF_X2Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y91 PROHIBIT 0 SITEPROP TIEOFF_X2Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y91 RPM_X 16 SITEPROP TIEOFF_X2Y91 RPM_Y 182 SITEPROP TIEOFF_X2Y91 SITE_PIPS SITEPROP TIEOFF_X2Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y92 CLASS site SITEPROP TIEOFF_X2Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y92 IS_BONDED 0 SITEPROP TIEOFF_X2Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y92 IS_PAD 0 SITEPROP TIEOFF_X2Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y92 IS_RESERVED 0 SITEPROP TIEOFF_X2Y92 IS_TEST 0 SITEPROP TIEOFF_X2Y92 IS_USED 0 SITEPROP TIEOFF_X2Y92 MANUAL_ROUTING SITEPROP TIEOFF_X2Y92 NAME TIEOFF_X2Y92 SITEPROP TIEOFF_X2Y92 NUM_ARCS 0 SITEPROP TIEOFF_X2Y92 NUM_BELS 2 SITEPROP TIEOFF_X2Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y92 NUM_PINS 2 SITEPROP TIEOFF_X2Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y92 PROHIBIT 0 SITEPROP TIEOFF_X2Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y92 RPM_X 16 SITEPROP TIEOFF_X2Y92 RPM_Y 184 SITEPROP TIEOFF_X2Y92 SITE_PIPS SITEPROP TIEOFF_X2Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y93 CLASS site SITEPROP TIEOFF_X2Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y93 IS_BONDED 0 SITEPROP TIEOFF_X2Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y93 IS_PAD 0 SITEPROP TIEOFF_X2Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y93 IS_RESERVED 0 SITEPROP TIEOFF_X2Y93 IS_TEST 0 SITEPROP TIEOFF_X2Y93 IS_USED 0 SITEPROP TIEOFF_X2Y93 MANUAL_ROUTING SITEPROP TIEOFF_X2Y93 NAME TIEOFF_X2Y93 SITEPROP TIEOFF_X2Y93 NUM_ARCS 0 SITEPROP TIEOFF_X2Y93 NUM_BELS 2 SITEPROP TIEOFF_X2Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y93 NUM_PINS 2 SITEPROP TIEOFF_X2Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y93 PROHIBIT 0 SITEPROP TIEOFF_X2Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y93 RPM_X 16 SITEPROP TIEOFF_X2Y93 RPM_Y 186 SITEPROP TIEOFF_X2Y93 SITE_PIPS SITEPROP TIEOFF_X2Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y94 CLASS site SITEPROP TIEOFF_X2Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y94 IS_BONDED 0 SITEPROP TIEOFF_X2Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y94 IS_PAD 0 SITEPROP TIEOFF_X2Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y94 IS_RESERVED 0 SITEPROP TIEOFF_X2Y94 IS_TEST 0 SITEPROP TIEOFF_X2Y94 IS_USED 0 SITEPROP TIEOFF_X2Y94 MANUAL_ROUTING SITEPROP TIEOFF_X2Y94 NAME TIEOFF_X2Y94 SITEPROP TIEOFF_X2Y94 NUM_ARCS 0 SITEPROP TIEOFF_X2Y94 NUM_BELS 2 SITEPROP TIEOFF_X2Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y94 NUM_PINS 2 SITEPROP TIEOFF_X2Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y94 PROHIBIT 0 SITEPROP TIEOFF_X2Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y94 RPM_X 16 SITEPROP TIEOFF_X2Y94 RPM_Y 188 SITEPROP TIEOFF_X2Y94 SITE_PIPS SITEPROP TIEOFF_X2Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y95 CLASS site SITEPROP TIEOFF_X2Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y95 IS_BONDED 0 SITEPROP TIEOFF_X2Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y95 IS_PAD 0 SITEPROP TIEOFF_X2Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y95 IS_RESERVED 0 SITEPROP TIEOFF_X2Y95 IS_TEST 0 SITEPROP TIEOFF_X2Y95 IS_USED 0 SITEPROP TIEOFF_X2Y95 MANUAL_ROUTING SITEPROP TIEOFF_X2Y95 NAME TIEOFF_X2Y95 SITEPROP TIEOFF_X2Y95 NUM_ARCS 0 SITEPROP TIEOFF_X2Y95 NUM_BELS 2 SITEPROP TIEOFF_X2Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y95 NUM_PINS 2 SITEPROP TIEOFF_X2Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y95 PROHIBIT 0 SITEPROP TIEOFF_X2Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y95 RPM_X 16 SITEPROP TIEOFF_X2Y95 RPM_Y 190 SITEPROP TIEOFF_X2Y95 SITE_PIPS SITEPROP TIEOFF_X2Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y96 CLASS site SITEPROP TIEOFF_X2Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y96 IS_BONDED 0 SITEPROP TIEOFF_X2Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y96 IS_PAD 0 SITEPROP TIEOFF_X2Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y96 IS_RESERVED 0 SITEPROP TIEOFF_X2Y96 IS_TEST 0 SITEPROP TIEOFF_X2Y96 IS_USED 0 SITEPROP TIEOFF_X2Y96 MANUAL_ROUTING SITEPROP TIEOFF_X2Y96 NAME TIEOFF_X2Y96 SITEPROP TIEOFF_X2Y96 NUM_ARCS 0 SITEPROP TIEOFF_X2Y96 NUM_BELS 2 SITEPROP TIEOFF_X2Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y96 NUM_PINS 2 SITEPROP TIEOFF_X2Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y96 PROHIBIT 0 SITEPROP TIEOFF_X2Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y96 RPM_X 16 SITEPROP TIEOFF_X2Y96 RPM_Y 192 SITEPROP TIEOFF_X2Y96 SITE_PIPS SITEPROP TIEOFF_X2Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y97 CLASS site SITEPROP TIEOFF_X2Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y97 IS_BONDED 0 SITEPROP TIEOFF_X2Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y97 IS_PAD 0 SITEPROP TIEOFF_X2Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y97 IS_RESERVED 0 SITEPROP TIEOFF_X2Y97 IS_TEST 0 SITEPROP TIEOFF_X2Y97 IS_USED 0 SITEPROP TIEOFF_X2Y97 MANUAL_ROUTING SITEPROP TIEOFF_X2Y97 NAME TIEOFF_X2Y97 SITEPROP TIEOFF_X2Y97 NUM_ARCS 0 SITEPROP TIEOFF_X2Y97 NUM_BELS 2 SITEPROP TIEOFF_X2Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y97 NUM_PINS 2 SITEPROP TIEOFF_X2Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y97 PROHIBIT 0 SITEPROP TIEOFF_X2Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y97 RPM_X 16 SITEPROP TIEOFF_X2Y97 RPM_Y 194 SITEPROP TIEOFF_X2Y97 SITE_PIPS SITEPROP TIEOFF_X2Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y98 CLASS site SITEPROP TIEOFF_X2Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y98 IS_BONDED 0 SITEPROP TIEOFF_X2Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y98 IS_PAD 0 SITEPROP TIEOFF_X2Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y98 IS_RESERVED 0 SITEPROP TIEOFF_X2Y98 IS_TEST 0 SITEPROP TIEOFF_X2Y98 IS_USED 0 SITEPROP TIEOFF_X2Y98 MANUAL_ROUTING SITEPROP TIEOFF_X2Y98 NAME TIEOFF_X2Y98 SITEPROP TIEOFF_X2Y98 NUM_ARCS 0 SITEPROP TIEOFF_X2Y98 NUM_BELS 2 SITEPROP TIEOFF_X2Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y98 NUM_PINS 2 SITEPROP TIEOFF_X2Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y98 PROHIBIT 0 SITEPROP TIEOFF_X2Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y98 RPM_X 16 SITEPROP TIEOFF_X2Y98 RPM_Y 196 SITEPROP TIEOFF_X2Y98 SITE_PIPS SITEPROP TIEOFF_X2Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y99 CLASS site SITEPROP TIEOFF_X2Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X2Y99 IS_BONDED 0 SITEPROP TIEOFF_X2Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y99 IS_PAD 0 SITEPROP TIEOFF_X2Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y99 IS_RESERVED 0 SITEPROP TIEOFF_X2Y99 IS_TEST 0 SITEPROP TIEOFF_X2Y99 IS_USED 0 SITEPROP TIEOFF_X2Y99 MANUAL_ROUTING SITEPROP TIEOFF_X2Y99 NAME TIEOFF_X2Y99 SITEPROP TIEOFF_X2Y99 NUM_ARCS 0 SITEPROP TIEOFF_X2Y99 NUM_BELS 2 SITEPROP TIEOFF_X2Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y99 NUM_PINS 2 SITEPROP TIEOFF_X2Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y99 PROHIBIT 0 SITEPROP TIEOFF_X2Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y99 RPM_X 16 SITEPROP TIEOFF_X2Y99 RPM_Y 198 SITEPROP TIEOFF_X2Y99 SITE_PIPS SITEPROP TIEOFF_X2Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y100 CLASS site SITEPROP TIEOFF_X2Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y100 IS_BONDED 0 SITEPROP TIEOFF_X2Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y100 IS_PAD 0 SITEPROP TIEOFF_X2Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y100 IS_RESERVED 0 SITEPROP TIEOFF_X2Y100 IS_TEST 0 SITEPROP TIEOFF_X2Y100 IS_USED 0 SITEPROP TIEOFF_X2Y100 MANUAL_ROUTING SITEPROP TIEOFF_X2Y100 NAME TIEOFF_X2Y100 SITEPROP TIEOFF_X2Y100 NUM_ARCS 0 SITEPROP TIEOFF_X2Y100 NUM_BELS 2 SITEPROP TIEOFF_X2Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y100 NUM_PINS 2 SITEPROP TIEOFF_X2Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y100 PROHIBIT 0 SITEPROP TIEOFF_X2Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y100 RPM_X 16 SITEPROP TIEOFF_X2Y100 RPM_Y 200 SITEPROP TIEOFF_X2Y100 SITE_PIPS SITEPROP TIEOFF_X2Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y101 CLASS site SITEPROP TIEOFF_X2Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y101 IS_BONDED 0 SITEPROP TIEOFF_X2Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y101 IS_PAD 0 SITEPROP TIEOFF_X2Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y101 IS_RESERVED 0 SITEPROP TIEOFF_X2Y101 IS_TEST 0 SITEPROP TIEOFF_X2Y101 IS_USED 0 SITEPROP TIEOFF_X2Y101 MANUAL_ROUTING SITEPROP TIEOFF_X2Y101 NAME TIEOFF_X2Y101 SITEPROP TIEOFF_X2Y101 NUM_ARCS 0 SITEPROP TIEOFF_X2Y101 NUM_BELS 2 SITEPROP TIEOFF_X2Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y101 NUM_PINS 2 SITEPROP TIEOFF_X2Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y101 PROHIBIT 0 SITEPROP TIEOFF_X2Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y101 RPM_X 16 SITEPROP TIEOFF_X2Y101 RPM_Y 202 SITEPROP TIEOFF_X2Y101 SITE_PIPS SITEPROP TIEOFF_X2Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y102 CLASS site SITEPROP TIEOFF_X2Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y102 IS_BONDED 0 SITEPROP TIEOFF_X2Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y102 IS_PAD 0 SITEPROP TIEOFF_X2Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y102 IS_RESERVED 0 SITEPROP TIEOFF_X2Y102 IS_TEST 0 SITEPROP TIEOFF_X2Y102 IS_USED 0 SITEPROP TIEOFF_X2Y102 MANUAL_ROUTING SITEPROP TIEOFF_X2Y102 NAME TIEOFF_X2Y102 SITEPROP TIEOFF_X2Y102 NUM_ARCS 0 SITEPROP TIEOFF_X2Y102 NUM_BELS 2 SITEPROP TIEOFF_X2Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y102 NUM_PINS 2 SITEPROP TIEOFF_X2Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y102 PROHIBIT 0 SITEPROP TIEOFF_X2Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y102 RPM_X 16 SITEPROP TIEOFF_X2Y102 RPM_Y 204 SITEPROP TIEOFF_X2Y102 SITE_PIPS SITEPROP TIEOFF_X2Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y103 CLASS site SITEPROP TIEOFF_X2Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y103 IS_BONDED 0 SITEPROP TIEOFF_X2Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y103 IS_PAD 0 SITEPROP TIEOFF_X2Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y103 IS_RESERVED 0 SITEPROP TIEOFF_X2Y103 IS_TEST 0 SITEPROP TIEOFF_X2Y103 IS_USED 0 SITEPROP TIEOFF_X2Y103 MANUAL_ROUTING SITEPROP TIEOFF_X2Y103 NAME TIEOFF_X2Y103 SITEPROP TIEOFF_X2Y103 NUM_ARCS 0 SITEPROP TIEOFF_X2Y103 NUM_BELS 2 SITEPROP TIEOFF_X2Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y103 NUM_PINS 2 SITEPROP TIEOFF_X2Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y103 PROHIBIT 0 SITEPROP TIEOFF_X2Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y103 RPM_X 16 SITEPROP TIEOFF_X2Y103 RPM_Y 206 SITEPROP TIEOFF_X2Y103 SITE_PIPS SITEPROP TIEOFF_X2Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y104 CLASS site SITEPROP TIEOFF_X2Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y104 IS_BONDED 0 SITEPROP TIEOFF_X2Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y104 IS_PAD 0 SITEPROP TIEOFF_X2Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y104 IS_RESERVED 0 SITEPROP TIEOFF_X2Y104 IS_TEST 0 SITEPROP TIEOFF_X2Y104 IS_USED 0 SITEPROP TIEOFF_X2Y104 MANUAL_ROUTING SITEPROP TIEOFF_X2Y104 NAME TIEOFF_X2Y104 SITEPROP TIEOFF_X2Y104 NUM_ARCS 0 SITEPROP TIEOFF_X2Y104 NUM_BELS 2 SITEPROP TIEOFF_X2Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y104 NUM_PINS 2 SITEPROP TIEOFF_X2Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y104 PROHIBIT 0 SITEPROP TIEOFF_X2Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y104 RPM_X 16 SITEPROP TIEOFF_X2Y104 RPM_Y 208 SITEPROP TIEOFF_X2Y104 SITE_PIPS SITEPROP TIEOFF_X2Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y105 CLASS site SITEPROP TIEOFF_X2Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y105 IS_BONDED 0 SITEPROP TIEOFF_X2Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y105 IS_PAD 0 SITEPROP TIEOFF_X2Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y105 IS_RESERVED 0 SITEPROP TIEOFF_X2Y105 IS_TEST 0 SITEPROP TIEOFF_X2Y105 IS_USED 0 SITEPROP TIEOFF_X2Y105 MANUAL_ROUTING SITEPROP TIEOFF_X2Y105 NAME TIEOFF_X2Y105 SITEPROP TIEOFF_X2Y105 NUM_ARCS 0 SITEPROP TIEOFF_X2Y105 NUM_BELS 2 SITEPROP TIEOFF_X2Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y105 NUM_PINS 2 SITEPROP TIEOFF_X2Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y105 PROHIBIT 0 SITEPROP TIEOFF_X2Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y105 RPM_X 16 SITEPROP TIEOFF_X2Y105 RPM_Y 210 SITEPROP TIEOFF_X2Y105 SITE_PIPS SITEPROP TIEOFF_X2Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y106 CLASS site SITEPROP TIEOFF_X2Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y106 IS_BONDED 0 SITEPROP TIEOFF_X2Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y106 IS_PAD 0 SITEPROP TIEOFF_X2Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y106 IS_RESERVED 0 SITEPROP TIEOFF_X2Y106 IS_TEST 0 SITEPROP TIEOFF_X2Y106 IS_USED 0 SITEPROP TIEOFF_X2Y106 MANUAL_ROUTING SITEPROP TIEOFF_X2Y106 NAME TIEOFF_X2Y106 SITEPROP TIEOFF_X2Y106 NUM_ARCS 0 SITEPROP TIEOFF_X2Y106 NUM_BELS 2 SITEPROP TIEOFF_X2Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y106 NUM_PINS 2 SITEPROP TIEOFF_X2Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y106 PROHIBIT 0 SITEPROP TIEOFF_X2Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y106 RPM_X 16 SITEPROP TIEOFF_X2Y106 RPM_Y 212 SITEPROP TIEOFF_X2Y106 SITE_PIPS SITEPROP TIEOFF_X2Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y107 CLASS site SITEPROP TIEOFF_X2Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y107 IS_BONDED 0 SITEPROP TIEOFF_X2Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y107 IS_PAD 0 SITEPROP TIEOFF_X2Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y107 IS_RESERVED 0 SITEPROP TIEOFF_X2Y107 IS_TEST 0 SITEPROP TIEOFF_X2Y107 IS_USED 0 SITEPROP TIEOFF_X2Y107 MANUAL_ROUTING SITEPROP TIEOFF_X2Y107 NAME TIEOFF_X2Y107 SITEPROP TIEOFF_X2Y107 NUM_ARCS 0 SITEPROP TIEOFF_X2Y107 NUM_BELS 2 SITEPROP TIEOFF_X2Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y107 NUM_PINS 2 SITEPROP TIEOFF_X2Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y107 PROHIBIT 0 SITEPROP TIEOFF_X2Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y107 RPM_X 16 SITEPROP TIEOFF_X2Y107 RPM_Y 214 SITEPROP TIEOFF_X2Y107 SITE_PIPS SITEPROP TIEOFF_X2Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y108 CLASS site SITEPROP TIEOFF_X2Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y108 IS_BONDED 0 SITEPROP TIEOFF_X2Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y108 IS_PAD 0 SITEPROP TIEOFF_X2Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y108 IS_RESERVED 0 SITEPROP TIEOFF_X2Y108 IS_TEST 0 SITEPROP TIEOFF_X2Y108 IS_USED 0 SITEPROP TIEOFF_X2Y108 MANUAL_ROUTING SITEPROP TIEOFF_X2Y108 NAME TIEOFF_X2Y108 SITEPROP TIEOFF_X2Y108 NUM_ARCS 0 SITEPROP TIEOFF_X2Y108 NUM_BELS 2 SITEPROP TIEOFF_X2Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y108 NUM_PINS 2 SITEPROP TIEOFF_X2Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y108 PROHIBIT 0 SITEPROP TIEOFF_X2Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y108 RPM_X 16 SITEPROP TIEOFF_X2Y108 RPM_Y 216 SITEPROP TIEOFF_X2Y108 SITE_PIPS SITEPROP TIEOFF_X2Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y109 CLASS site SITEPROP TIEOFF_X2Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y109 IS_BONDED 0 SITEPROP TIEOFF_X2Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y109 IS_PAD 0 SITEPROP TIEOFF_X2Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y109 IS_RESERVED 0 SITEPROP TIEOFF_X2Y109 IS_TEST 0 SITEPROP TIEOFF_X2Y109 IS_USED 0 SITEPROP TIEOFF_X2Y109 MANUAL_ROUTING SITEPROP TIEOFF_X2Y109 NAME TIEOFF_X2Y109 SITEPROP TIEOFF_X2Y109 NUM_ARCS 0 SITEPROP TIEOFF_X2Y109 NUM_BELS 2 SITEPROP TIEOFF_X2Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y109 NUM_PINS 2 SITEPROP TIEOFF_X2Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y109 PROHIBIT 0 SITEPROP TIEOFF_X2Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y109 RPM_X 16 SITEPROP TIEOFF_X2Y109 RPM_Y 218 SITEPROP TIEOFF_X2Y109 SITE_PIPS SITEPROP TIEOFF_X2Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y110 CLASS site SITEPROP TIEOFF_X2Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y110 IS_BONDED 0 SITEPROP TIEOFF_X2Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y110 IS_PAD 0 SITEPROP TIEOFF_X2Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y110 IS_RESERVED 0 SITEPROP TIEOFF_X2Y110 IS_TEST 0 SITEPROP TIEOFF_X2Y110 IS_USED 0 SITEPROP TIEOFF_X2Y110 MANUAL_ROUTING SITEPROP TIEOFF_X2Y110 NAME TIEOFF_X2Y110 SITEPROP TIEOFF_X2Y110 NUM_ARCS 0 SITEPROP TIEOFF_X2Y110 NUM_BELS 2 SITEPROP TIEOFF_X2Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y110 NUM_PINS 2 SITEPROP TIEOFF_X2Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y110 PROHIBIT 0 SITEPROP TIEOFF_X2Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y110 RPM_X 16 SITEPROP TIEOFF_X2Y110 RPM_Y 220 SITEPROP TIEOFF_X2Y110 SITE_PIPS SITEPROP TIEOFF_X2Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y111 CLASS site SITEPROP TIEOFF_X2Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y111 IS_BONDED 0 SITEPROP TIEOFF_X2Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y111 IS_PAD 0 SITEPROP TIEOFF_X2Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y111 IS_RESERVED 0 SITEPROP TIEOFF_X2Y111 IS_TEST 0 SITEPROP TIEOFF_X2Y111 IS_USED 0 SITEPROP TIEOFF_X2Y111 MANUAL_ROUTING SITEPROP TIEOFF_X2Y111 NAME TIEOFF_X2Y111 SITEPROP TIEOFF_X2Y111 NUM_ARCS 0 SITEPROP TIEOFF_X2Y111 NUM_BELS 2 SITEPROP TIEOFF_X2Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y111 NUM_PINS 2 SITEPROP TIEOFF_X2Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y111 PROHIBIT 0 SITEPROP TIEOFF_X2Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y111 RPM_X 16 SITEPROP TIEOFF_X2Y111 RPM_Y 222 SITEPROP TIEOFF_X2Y111 SITE_PIPS SITEPROP TIEOFF_X2Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y112 CLASS site SITEPROP TIEOFF_X2Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y112 IS_BONDED 0 SITEPROP TIEOFF_X2Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y112 IS_PAD 0 SITEPROP TIEOFF_X2Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y112 IS_RESERVED 0 SITEPROP TIEOFF_X2Y112 IS_TEST 0 SITEPROP TIEOFF_X2Y112 IS_USED 0 SITEPROP TIEOFF_X2Y112 MANUAL_ROUTING SITEPROP TIEOFF_X2Y112 NAME TIEOFF_X2Y112 SITEPROP TIEOFF_X2Y112 NUM_ARCS 0 SITEPROP TIEOFF_X2Y112 NUM_BELS 2 SITEPROP TIEOFF_X2Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y112 NUM_PINS 2 SITEPROP TIEOFF_X2Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y112 PROHIBIT 0 SITEPROP TIEOFF_X2Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y112 RPM_X 16 SITEPROP TIEOFF_X2Y112 RPM_Y 224 SITEPROP TIEOFF_X2Y112 SITE_PIPS SITEPROP TIEOFF_X2Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y113 CLASS site SITEPROP TIEOFF_X2Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y113 IS_BONDED 0 SITEPROP TIEOFF_X2Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y113 IS_PAD 0 SITEPROP TIEOFF_X2Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y113 IS_RESERVED 0 SITEPROP TIEOFF_X2Y113 IS_TEST 0 SITEPROP TIEOFF_X2Y113 IS_USED 0 SITEPROP TIEOFF_X2Y113 MANUAL_ROUTING SITEPROP TIEOFF_X2Y113 NAME TIEOFF_X2Y113 SITEPROP TIEOFF_X2Y113 NUM_ARCS 0 SITEPROP TIEOFF_X2Y113 NUM_BELS 2 SITEPROP TIEOFF_X2Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y113 NUM_PINS 2 SITEPROP TIEOFF_X2Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y113 PROHIBIT 0 SITEPROP TIEOFF_X2Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y113 RPM_X 16 SITEPROP TIEOFF_X2Y113 RPM_Y 226 SITEPROP TIEOFF_X2Y113 SITE_PIPS SITEPROP TIEOFF_X2Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y114 CLASS site SITEPROP TIEOFF_X2Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y114 IS_BONDED 0 SITEPROP TIEOFF_X2Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y114 IS_PAD 0 SITEPROP TIEOFF_X2Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y114 IS_RESERVED 0 SITEPROP TIEOFF_X2Y114 IS_TEST 0 SITEPROP TIEOFF_X2Y114 IS_USED 0 SITEPROP TIEOFF_X2Y114 MANUAL_ROUTING SITEPROP TIEOFF_X2Y114 NAME TIEOFF_X2Y114 SITEPROP TIEOFF_X2Y114 NUM_ARCS 0 SITEPROP TIEOFF_X2Y114 NUM_BELS 2 SITEPROP TIEOFF_X2Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y114 NUM_PINS 2 SITEPROP TIEOFF_X2Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y114 PROHIBIT 0 SITEPROP TIEOFF_X2Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y114 RPM_X 16 SITEPROP TIEOFF_X2Y114 RPM_Y 228 SITEPROP TIEOFF_X2Y114 SITE_PIPS SITEPROP TIEOFF_X2Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y115 CLASS site SITEPROP TIEOFF_X2Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y115 IS_BONDED 0 SITEPROP TIEOFF_X2Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y115 IS_PAD 0 SITEPROP TIEOFF_X2Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y115 IS_RESERVED 0 SITEPROP TIEOFF_X2Y115 IS_TEST 0 SITEPROP TIEOFF_X2Y115 IS_USED 0 SITEPROP TIEOFF_X2Y115 MANUAL_ROUTING SITEPROP TIEOFF_X2Y115 NAME TIEOFF_X2Y115 SITEPROP TIEOFF_X2Y115 NUM_ARCS 0 SITEPROP TIEOFF_X2Y115 NUM_BELS 2 SITEPROP TIEOFF_X2Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y115 NUM_PINS 2 SITEPROP TIEOFF_X2Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y115 PROHIBIT 0 SITEPROP TIEOFF_X2Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y115 RPM_X 16 SITEPROP TIEOFF_X2Y115 RPM_Y 230 SITEPROP TIEOFF_X2Y115 SITE_PIPS SITEPROP TIEOFF_X2Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y116 CLASS site SITEPROP TIEOFF_X2Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y116 IS_BONDED 0 SITEPROP TIEOFF_X2Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y116 IS_PAD 0 SITEPROP TIEOFF_X2Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y116 IS_RESERVED 0 SITEPROP TIEOFF_X2Y116 IS_TEST 0 SITEPROP TIEOFF_X2Y116 IS_USED 0 SITEPROP TIEOFF_X2Y116 MANUAL_ROUTING SITEPROP TIEOFF_X2Y116 NAME TIEOFF_X2Y116 SITEPROP TIEOFF_X2Y116 NUM_ARCS 0 SITEPROP TIEOFF_X2Y116 NUM_BELS 2 SITEPROP TIEOFF_X2Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y116 NUM_PINS 2 SITEPROP TIEOFF_X2Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y116 PROHIBIT 0 SITEPROP TIEOFF_X2Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y116 RPM_X 16 SITEPROP TIEOFF_X2Y116 RPM_Y 232 SITEPROP TIEOFF_X2Y116 SITE_PIPS SITEPROP TIEOFF_X2Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y117 CLASS site SITEPROP TIEOFF_X2Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y117 IS_BONDED 0 SITEPROP TIEOFF_X2Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y117 IS_PAD 0 SITEPROP TIEOFF_X2Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y117 IS_RESERVED 0 SITEPROP TIEOFF_X2Y117 IS_TEST 0 SITEPROP TIEOFF_X2Y117 IS_USED 0 SITEPROP TIEOFF_X2Y117 MANUAL_ROUTING SITEPROP TIEOFF_X2Y117 NAME TIEOFF_X2Y117 SITEPROP TIEOFF_X2Y117 NUM_ARCS 0 SITEPROP TIEOFF_X2Y117 NUM_BELS 2 SITEPROP TIEOFF_X2Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y117 NUM_PINS 2 SITEPROP TIEOFF_X2Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y117 PROHIBIT 0 SITEPROP TIEOFF_X2Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y117 RPM_X 16 SITEPROP TIEOFF_X2Y117 RPM_Y 234 SITEPROP TIEOFF_X2Y117 SITE_PIPS SITEPROP TIEOFF_X2Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y118 CLASS site SITEPROP TIEOFF_X2Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y118 IS_BONDED 0 SITEPROP TIEOFF_X2Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y118 IS_PAD 0 SITEPROP TIEOFF_X2Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y118 IS_RESERVED 0 SITEPROP TIEOFF_X2Y118 IS_TEST 0 SITEPROP TIEOFF_X2Y118 IS_USED 0 SITEPROP TIEOFF_X2Y118 MANUAL_ROUTING SITEPROP TIEOFF_X2Y118 NAME TIEOFF_X2Y118 SITEPROP TIEOFF_X2Y118 NUM_ARCS 0 SITEPROP TIEOFF_X2Y118 NUM_BELS 2 SITEPROP TIEOFF_X2Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y118 NUM_PINS 2 SITEPROP TIEOFF_X2Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y118 PROHIBIT 0 SITEPROP TIEOFF_X2Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y118 RPM_X 16 SITEPROP TIEOFF_X2Y118 RPM_Y 236 SITEPROP TIEOFF_X2Y118 SITE_PIPS SITEPROP TIEOFF_X2Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y119 CLASS site SITEPROP TIEOFF_X2Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y119 IS_BONDED 0 SITEPROP TIEOFF_X2Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y119 IS_PAD 0 SITEPROP TIEOFF_X2Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y119 IS_RESERVED 0 SITEPROP TIEOFF_X2Y119 IS_TEST 0 SITEPROP TIEOFF_X2Y119 IS_USED 0 SITEPROP TIEOFF_X2Y119 MANUAL_ROUTING SITEPROP TIEOFF_X2Y119 NAME TIEOFF_X2Y119 SITEPROP TIEOFF_X2Y119 NUM_ARCS 0 SITEPROP TIEOFF_X2Y119 NUM_BELS 2 SITEPROP TIEOFF_X2Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y119 NUM_PINS 2 SITEPROP TIEOFF_X2Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y119 PROHIBIT 0 SITEPROP TIEOFF_X2Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y119 RPM_X 16 SITEPROP TIEOFF_X2Y119 RPM_Y 238 SITEPROP TIEOFF_X2Y119 SITE_PIPS SITEPROP TIEOFF_X2Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y120 CLASS site SITEPROP TIEOFF_X2Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y120 IS_BONDED 0 SITEPROP TIEOFF_X2Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y120 IS_PAD 0 SITEPROP TIEOFF_X2Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y120 IS_RESERVED 0 SITEPROP TIEOFF_X2Y120 IS_TEST 0 SITEPROP TIEOFF_X2Y120 IS_USED 0 SITEPROP TIEOFF_X2Y120 MANUAL_ROUTING SITEPROP TIEOFF_X2Y120 NAME TIEOFF_X2Y120 SITEPROP TIEOFF_X2Y120 NUM_ARCS 0 SITEPROP TIEOFF_X2Y120 NUM_BELS 2 SITEPROP TIEOFF_X2Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y120 NUM_PINS 2 SITEPROP TIEOFF_X2Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y120 PROHIBIT 0 SITEPROP TIEOFF_X2Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y120 RPM_X 16 SITEPROP TIEOFF_X2Y120 RPM_Y 240 SITEPROP TIEOFF_X2Y120 SITE_PIPS SITEPROP TIEOFF_X2Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y121 CLASS site SITEPROP TIEOFF_X2Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y121 IS_BONDED 0 SITEPROP TIEOFF_X2Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y121 IS_PAD 0 SITEPROP TIEOFF_X2Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y121 IS_RESERVED 0 SITEPROP TIEOFF_X2Y121 IS_TEST 0 SITEPROP TIEOFF_X2Y121 IS_USED 0 SITEPROP TIEOFF_X2Y121 MANUAL_ROUTING SITEPROP TIEOFF_X2Y121 NAME TIEOFF_X2Y121 SITEPROP TIEOFF_X2Y121 NUM_ARCS 0 SITEPROP TIEOFF_X2Y121 NUM_BELS 2 SITEPROP TIEOFF_X2Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y121 NUM_PINS 2 SITEPROP TIEOFF_X2Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y121 PROHIBIT 0 SITEPROP TIEOFF_X2Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y121 RPM_X 16 SITEPROP TIEOFF_X2Y121 RPM_Y 242 SITEPROP TIEOFF_X2Y121 SITE_PIPS SITEPROP TIEOFF_X2Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y122 CLASS site SITEPROP TIEOFF_X2Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y122 IS_BONDED 0 SITEPROP TIEOFF_X2Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y122 IS_PAD 0 SITEPROP TIEOFF_X2Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y122 IS_RESERVED 0 SITEPROP TIEOFF_X2Y122 IS_TEST 0 SITEPROP TIEOFF_X2Y122 IS_USED 0 SITEPROP TIEOFF_X2Y122 MANUAL_ROUTING SITEPROP TIEOFF_X2Y122 NAME TIEOFF_X2Y122 SITEPROP TIEOFF_X2Y122 NUM_ARCS 0 SITEPROP TIEOFF_X2Y122 NUM_BELS 2 SITEPROP TIEOFF_X2Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y122 NUM_PINS 2 SITEPROP TIEOFF_X2Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y122 PROHIBIT 0 SITEPROP TIEOFF_X2Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y122 RPM_X 16 SITEPROP TIEOFF_X2Y122 RPM_Y 244 SITEPROP TIEOFF_X2Y122 SITE_PIPS SITEPROP TIEOFF_X2Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y123 CLASS site SITEPROP TIEOFF_X2Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y123 IS_BONDED 0 SITEPROP TIEOFF_X2Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y123 IS_PAD 0 SITEPROP TIEOFF_X2Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y123 IS_RESERVED 0 SITEPROP TIEOFF_X2Y123 IS_TEST 0 SITEPROP TIEOFF_X2Y123 IS_USED 0 SITEPROP TIEOFF_X2Y123 MANUAL_ROUTING SITEPROP TIEOFF_X2Y123 NAME TIEOFF_X2Y123 SITEPROP TIEOFF_X2Y123 NUM_ARCS 0 SITEPROP TIEOFF_X2Y123 NUM_BELS 2 SITEPROP TIEOFF_X2Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y123 NUM_PINS 2 SITEPROP TIEOFF_X2Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y123 PROHIBIT 0 SITEPROP TIEOFF_X2Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y123 RPM_X 16 SITEPROP TIEOFF_X2Y123 RPM_Y 246 SITEPROP TIEOFF_X2Y123 SITE_PIPS SITEPROP TIEOFF_X2Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y124 CLASS site SITEPROP TIEOFF_X2Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y124 IS_BONDED 0 SITEPROP TIEOFF_X2Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y124 IS_PAD 0 SITEPROP TIEOFF_X2Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y124 IS_RESERVED 0 SITEPROP TIEOFF_X2Y124 IS_TEST 0 SITEPROP TIEOFF_X2Y124 IS_USED 0 SITEPROP TIEOFF_X2Y124 MANUAL_ROUTING SITEPROP TIEOFF_X2Y124 NAME TIEOFF_X2Y124 SITEPROP TIEOFF_X2Y124 NUM_ARCS 0 SITEPROP TIEOFF_X2Y124 NUM_BELS 2 SITEPROP TIEOFF_X2Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y124 NUM_PINS 2 SITEPROP TIEOFF_X2Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y124 PROHIBIT 0 SITEPROP TIEOFF_X2Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y124 RPM_X 16 SITEPROP TIEOFF_X2Y124 RPM_Y 248 SITEPROP TIEOFF_X2Y124 SITE_PIPS SITEPROP TIEOFF_X2Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y125 CLASS site SITEPROP TIEOFF_X2Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y125 IS_BONDED 0 SITEPROP TIEOFF_X2Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y125 IS_PAD 0 SITEPROP TIEOFF_X2Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y125 IS_RESERVED 0 SITEPROP TIEOFF_X2Y125 IS_TEST 0 SITEPROP TIEOFF_X2Y125 IS_USED 0 SITEPROP TIEOFF_X2Y125 MANUAL_ROUTING SITEPROP TIEOFF_X2Y125 NAME TIEOFF_X2Y125 SITEPROP TIEOFF_X2Y125 NUM_ARCS 0 SITEPROP TIEOFF_X2Y125 NUM_BELS 2 SITEPROP TIEOFF_X2Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y125 NUM_PINS 2 SITEPROP TIEOFF_X2Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y125 PROHIBIT 0 SITEPROP TIEOFF_X2Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y125 RPM_X 16 SITEPROP TIEOFF_X2Y125 RPM_Y 250 SITEPROP TIEOFF_X2Y125 SITE_PIPS SITEPROP TIEOFF_X2Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y126 CLASS site SITEPROP TIEOFF_X2Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y126 IS_BONDED 0 SITEPROP TIEOFF_X2Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y126 IS_PAD 0 SITEPROP TIEOFF_X2Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y126 IS_RESERVED 0 SITEPROP TIEOFF_X2Y126 IS_TEST 0 SITEPROP TIEOFF_X2Y126 IS_USED 0 SITEPROP TIEOFF_X2Y126 MANUAL_ROUTING SITEPROP TIEOFF_X2Y126 NAME TIEOFF_X2Y126 SITEPROP TIEOFF_X2Y126 NUM_ARCS 0 SITEPROP TIEOFF_X2Y126 NUM_BELS 2 SITEPROP TIEOFF_X2Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y126 NUM_PINS 2 SITEPROP TIEOFF_X2Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y126 PROHIBIT 0 SITEPROP TIEOFF_X2Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y126 RPM_X 16 SITEPROP TIEOFF_X2Y126 RPM_Y 252 SITEPROP TIEOFF_X2Y126 SITE_PIPS SITEPROP TIEOFF_X2Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y127 CLASS site SITEPROP TIEOFF_X2Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y127 IS_BONDED 0 SITEPROP TIEOFF_X2Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y127 IS_PAD 0 SITEPROP TIEOFF_X2Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y127 IS_RESERVED 0 SITEPROP TIEOFF_X2Y127 IS_TEST 0 SITEPROP TIEOFF_X2Y127 IS_USED 0 SITEPROP TIEOFF_X2Y127 MANUAL_ROUTING SITEPROP TIEOFF_X2Y127 NAME TIEOFF_X2Y127 SITEPROP TIEOFF_X2Y127 NUM_ARCS 0 SITEPROP TIEOFF_X2Y127 NUM_BELS 2 SITEPROP TIEOFF_X2Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y127 NUM_PINS 2 SITEPROP TIEOFF_X2Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y127 PROHIBIT 0 SITEPROP TIEOFF_X2Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y127 RPM_X 16 SITEPROP TIEOFF_X2Y127 RPM_Y 254 SITEPROP TIEOFF_X2Y127 SITE_PIPS SITEPROP TIEOFF_X2Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y128 CLASS site SITEPROP TIEOFF_X2Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y128 IS_BONDED 0 SITEPROP TIEOFF_X2Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y128 IS_PAD 0 SITEPROP TIEOFF_X2Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y128 IS_RESERVED 0 SITEPROP TIEOFF_X2Y128 IS_TEST 0 SITEPROP TIEOFF_X2Y128 IS_USED 0 SITEPROP TIEOFF_X2Y128 MANUAL_ROUTING SITEPROP TIEOFF_X2Y128 NAME TIEOFF_X2Y128 SITEPROP TIEOFF_X2Y128 NUM_ARCS 0 SITEPROP TIEOFF_X2Y128 NUM_BELS 2 SITEPROP TIEOFF_X2Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y128 NUM_PINS 2 SITEPROP TIEOFF_X2Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y128 PROHIBIT 0 SITEPROP TIEOFF_X2Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y128 RPM_X 16 SITEPROP TIEOFF_X2Y128 RPM_Y 256 SITEPROP TIEOFF_X2Y128 SITE_PIPS SITEPROP TIEOFF_X2Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y129 CLASS site SITEPROP TIEOFF_X2Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y129 IS_BONDED 0 SITEPROP TIEOFF_X2Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y129 IS_PAD 0 SITEPROP TIEOFF_X2Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y129 IS_RESERVED 0 SITEPROP TIEOFF_X2Y129 IS_TEST 0 SITEPROP TIEOFF_X2Y129 IS_USED 0 SITEPROP TIEOFF_X2Y129 MANUAL_ROUTING SITEPROP TIEOFF_X2Y129 NAME TIEOFF_X2Y129 SITEPROP TIEOFF_X2Y129 NUM_ARCS 0 SITEPROP TIEOFF_X2Y129 NUM_BELS 2 SITEPROP TIEOFF_X2Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y129 NUM_PINS 2 SITEPROP TIEOFF_X2Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y129 PROHIBIT 0 SITEPROP TIEOFF_X2Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y129 RPM_X 16 SITEPROP TIEOFF_X2Y129 RPM_Y 258 SITEPROP TIEOFF_X2Y129 SITE_PIPS SITEPROP TIEOFF_X2Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y130 CLASS site SITEPROP TIEOFF_X2Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y130 IS_BONDED 0 SITEPROP TIEOFF_X2Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y130 IS_PAD 0 SITEPROP TIEOFF_X2Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y130 IS_RESERVED 0 SITEPROP TIEOFF_X2Y130 IS_TEST 0 SITEPROP TIEOFF_X2Y130 IS_USED 0 SITEPROP TIEOFF_X2Y130 MANUAL_ROUTING SITEPROP TIEOFF_X2Y130 NAME TIEOFF_X2Y130 SITEPROP TIEOFF_X2Y130 NUM_ARCS 0 SITEPROP TIEOFF_X2Y130 NUM_BELS 2 SITEPROP TIEOFF_X2Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y130 NUM_PINS 2 SITEPROP TIEOFF_X2Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y130 PROHIBIT 0 SITEPROP TIEOFF_X2Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y130 RPM_X 16 SITEPROP TIEOFF_X2Y130 RPM_Y 260 SITEPROP TIEOFF_X2Y130 SITE_PIPS SITEPROP TIEOFF_X2Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y131 CLASS site SITEPROP TIEOFF_X2Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y131 IS_BONDED 0 SITEPROP TIEOFF_X2Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y131 IS_PAD 0 SITEPROP TIEOFF_X2Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y131 IS_RESERVED 0 SITEPROP TIEOFF_X2Y131 IS_TEST 0 SITEPROP TIEOFF_X2Y131 IS_USED 0 SITEPROP TIEOFF_X2Y131 MANUAL_ROUTING SITEPROP TIEOFF_X2Y131 NAME TIEOFF_X2Y131 SITEPROP TIEOFF_X2Y131 NUM_ARCS 0 SITEPROP TIEOFF_X2Y131 NUM_BELS 2 SITEPROP TIEOFF_X2Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y131 NUM_PINS 2 SITEPROP TIEOFF_X2Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y131 PROHIBIT 0 SITEPROP TIEOFF_X2Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y131 RPM_X 16 SITEPROP TIEOFF_X2Y131 RPM_Y 262 SITEPROP TIEOFF_X2Y131 SITE_PIPS SITEPROP TIEOFF_X2Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y132 CLASS site SITEPROP TIEOFF_X2Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y132 IS_BONDED 0 SITEPROP TIEOFF_X2Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y132 IS_PAD 0 SITEPROP TIEOFF_X2Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y132 IS_RESERVED 0 SITEPROP TIEOFF_X2Y132 IS_TEST 0 SITEPROP TIEOFF_X2Y132 IS_USED 0 SITEPROP TIEOFF_X2Y132 MANUAL_ROUTING SITEPROP TIEOFF_X2Y132 NAME TIEOFF_X2Y132 SITEPROP TIEOFF_X2Y132 NUM_ARCS 0 SITEPROP TIEOFF_X2Y132 NUM_BELS 2 SITEPROP TIEOFF_X2Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y132 NUM_PINS 2 SITEPROP TIEOFF_X2Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y132 PROHIBIT 0 SITEPROP TIEOFF_X2Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y132 RPM_X 16 SITEPROP TIEOFF_X2Y132 RPM_Y 264 SITEPROP TIEOFF_X2Y132 SITE_PIPS SITEPROP TIEOFF_X2Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y133 CLASS site SITEPROP TIEOFF_X2Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y133 IS_BONDED 0 SITEPROP TIEOFF_X2Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y133 IS_PAD 0 SITEPROP TIEOFF_X2Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y133 IS_RESERVED 0 SITEPROP TIEOFF_X2Y133 IS_TEST 0 SITEPROP TIEOFF_X2Y133 IS_USED 0 SITEPROP TIEOFF_X2Y133 MANUAL_ROUTING SITEPROP TIEOFF_X2Y133 NAME TIEOFF_X2Y133 SITEPROP TIEOFF_X2Y133 NUM_ARCS 0 SITEPROP TIEOFF_X2Y133 NUM_BELS 2 SITEPROP TIEOFF_X2Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y133 NUM_PINS 2 SITEPROP TIEOFF_X2Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y133 PROHIBIT 0 SITEPROP TIEOFF_X2Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y133 RPM_X 16 SITEPROP TIEOFF_X2Y133 RPM_Y 266 SITEPROP TIEOFF_X2Y133 SITE_PIPS SITEPROP TIEOFF_X2Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y134 CLASS site SITEPROP TIEOFF_X2Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y134 IS_BONDED 0 SITEPROP TIEOFF_X2Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y134 IS_PAD 0 SITEPROP TIEOFF_X2Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y134 IS_RESERVED 0 SITEPROP TIEOFF_X2Y134 IS_TEST 0 SITEPROP TIEOFF_X2Y134 IS_USED 0 SITEPROP TIEOFF_X2Y134 MANUAL_ROUTING SITEPROP TIEOFF_X2Y134 NAME TIEOFF_X2Y134 SITEPROP TIEOFF_X2Y134 NUM_ARCS 0 SITEPROP TIEOFF_X2Y134 NUM_BELS 2 SITEPROP TIEOFF_X2Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y134 NUM_PINS 2 SITEPROP TIEOFF_X2Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y134 PROHIBIT 0 SITEPROP TIEOFF_X2Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y134 RPM_X 16 SITEPROP TIEOFF_X2Y134 RPM_Y 268 SITEPROP TIEOFF_X2Y134 SITE_PIPS SITEPROP TIEOFF_X2Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y135 CLASS site SITEPROP TIEOFF_X2Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y135 IS_BONDED 0 SITEPROP TIEOFF_X2Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y135 IS_PAD 0 SITEPROP TIEOFF_X2Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y135 IS_RESERVED 0 SITEPROP TIEOFF_X2Y135 IS_TEST 0 SITEPROP TIEOFF_X2Y135 IS_USED 0 SITEPROP TIEOFF_X2Y135 MANUAL_ROUTING SITEPROP TIEOFF_X2Y135 NAME TIEOFF_X2Y135 SITEPROP TIEOFF_X2Y135 NUM_ARCS 0 SITEPROP TIEOFF_X2Y135 NUM_BELS 2 SITEPROP TIEOFF_X2Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y135 NUM_PINS 2 SITEPROP TIEOFF_X2Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y135 PROHIBIT 0 SITEPROP TIEOFF_X2Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y135 RPM_X 16 SITEPROP TIEOFF_X2Y135 RPM_Y 270 SITEPROP TIEOFF_X2Y135 SITE_PIPS SITEPROP TIEOFF_X2Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y136 CLASS site SITEPROP TIEOFF_X2Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y136 IS_BONDED 0 SITEPROP TIEOFF_X2Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y136 IS_PAD 0 SITEPROP TIEOFF_X2Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y136 IS_RESERVED 0 SITEPROP TIEOFF_X2Y136 IS_TEST 0 SITEPROP TIEOFF_X2Y136 IS_USED 0 SITEPROP TIEOFF_X2Y136 MANUAL_ROUTING SITEPROP TIEOFF_X2Y136 NAME TIEOFF_X2Y136 SITEPROP TIEOFF_X2Y136 NUM_ARCS 0 SITEPROP TIEOFF_X2Y136 NUM_BELS 2 SITEPROP TIEOFF_X2Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y136 NUM_PINS 2 SITEPROP TIEOFF_X2Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y136 PROHIBIT 0 SITEPROP TIEOFF_X2Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y136 RPM_X 16 SITEPROP TIEOFF_X2Y136 RPM_Y 272 SITEPROP TIEOFF_X2Y136 SITE_PIPS SITEPROP TIEOFF_X2Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y137 CLASS site SITEPROP TIEOFF_X2Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y137 IS_BONDED 0 SITEPROP TIEOFF_X2Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y137 IS_PAD 0 SITEPROP TIEOFF_X2Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y137 IS_RESERVED 0 SITEPROP TIEOFF_X2Y137 IS_TEST 0 SITEPROP TIEOFF_X2Y137 IS_USED 0 SITEPROP TIEOFF_X2Y137 MANUAL_ROUTING SITEPROP TIEOFF_X2Y137 NAME TIEOFF_X2Y137 SITEPROP TIEOFF_X2Y137 NUM_ARCS 0 SITEPROP TIEOFF_X2Y137 NUM_BELS 2 SITEPROP TIEOFF_X2Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y137 NUM_PINS 2 SITEPROP TIEOFF_X2Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y137 PROHIBIT 0 SITEPROP TIEOFF_X2Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y137 RPM_X 16 SITEPROP TIEOFF_X2Y137 RPM_Y 274 SITEPROP TIEOFF_X2Y137 SITE_PIPS SITEPROP TIEOFF_X2Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y138 CLASS site SITEPROP TIEOFF_X2Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y138 IS_BONDED 0 SITEPROP TIEOFF_X2Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y138 IS_PAD 0 SITEPROP TIEOFF_X2Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y138 IS_RESERVED 0 SITEPROP TIEOFF_X2Y138 IS_TEST 0 SITEPROP TIEOFF_X2Y138 IS_USED 0 SITEPROP TIEOFF_X2Y138 MANUAL_ROUTING SITEPROP TIEOFF_X2Y138 NAME TIEOFF_X2Y138 SITEPROP TIEOFF_X2Y138 NUM_ARCS 0 SITEPROP TIEOFF_X2Y138 NUM_BELS 2 SITEPROP TIEOFF_X2Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y138 NUM_PINS 2 SITEPROP TIEOFF_X2Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y138 PROHIBIT 0 SITEPROP TIEOFF_X2Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y138 RPM_X 16 SITEPROP TIEOFF_X2Y138 RPM_Y 276 SITEPROP TIEOFF_X2Y138 SITE_PIPS SITEPROP TIEOFF_X2Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y139 CLASS site SITEPROP TIEOFF_X2Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y139 IS_BONDED 0 SITEPROP TIEOFF_X2Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y139 IS_PAD 0 SITEPROP TIEOFF_X2Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y139 IS_RESERVED 0 SITEPROP TIEOFF_X2Y139 IS_TEST 0 SITEPROP TIEOFF_X2Y139 IS_USED 0 SITEPROP TIEOFF_X2Y139 MANUAL_ROUTING SITEPROP TIEOFF_X2Y139 NAME TIEOFF_X2Y139 SITEPROP TIEOFF_X2Y139 NUM_ARCS 0 SITEPROP TIEOFF_X2Y139 NUM_BELS 2 SITEPROP TIEOFF_X2Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y139 NUM_PINS 2 SITEPROP TIEOFF_X2Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y139 PROHIBIT 0 SITEPROP TIEOFF_X2Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y139 RPM_X 16 SITEPROP TIEOFF_X2Y139 RPM_Y 278 SITEPROP TIEOFF_X2Y139 SITE_PIPS SITEPROP TIEOFF_X2Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y140 CLASS site SITEPROP TIEOFF_X2Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y140 IS_BONDED 0 SITEPROP TIEOFF_X2Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y140 IS_PAD 0 SITEPROP TIEOFF_X2Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y140 IS_RESERVED 0 SITEPROP TIEOFF_X2Y140 IS_TEST 0 SITEPROP TIEOFF_X2Y140 IS_USED 0 SITEPROP TIEOFF_X2Y140 MANUAL_ROUTING SITEPROP TIEOFF_X2Y140 NAME TIEOFF_X2Y140 SITEPROP TIEOFF_X2Y140 NUM_ARCS 0 SITEPROP TIEOFF_X2Y140 NUM_BELS 2 SITEPROP TIEOFF_X2Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y140 NUM_PINS 2 SITEPROP TIEOFF_X2Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y140 PROHIBIT 0 SITEPROP TIEOFF_X2Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y140 RPM_X 16 SITEPROP TIEOFF_X2Y140 RPM_Y 280 SITEPROP TIEOFF_X2Y140 SITE_PIPS SITEPROP TIEOFF_X2Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y141 CLASS site SITEPROP TIEOFF_X2Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y141 IS_BONDED 0 SITEPROP TIEOFF_X2Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y141 IS_PAD 0 SITEPROP TIEOFF_X2Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y141 IS_RESERVED 0 SITEPROP TIEOFF_X2Y141 IS_TEST 0 SITEPROP TIEOFF_X2Y141 IS_USED 0 SITEPROP TIEOFF_X2Y141 MANUAL_ROUTING SITEPROP TIEOFF_X2Y141 NAME TIEOFF_X2Y141 SITEPROP TIEOFF_X2Y141 NUM_ARCS 0 SITEPROP TIEOFF_X2Y141 NUM_BELS 2 SITEPROP TIEOFF_X2Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y141 NUM_PINS 2 SITEPROP TIEOFF_X2Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y141 PROHIBIT 0 SITEPROP TIEOFF_X2Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y141 RPM_X 16 SITEPROP TIEOFF_X2Y141 RPM_Y 282 SITEPROP TIEOFF_X2Y141 SITE_PIPS SITEPROP TIEOFF_X2Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y142 CLASS site SITEPROP TIEOFF_X2Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y142 IS_BONDED 0 SITEPROP TIEOFF_X2Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y142 IS_PAD 0 SITEPROP TIEOFF_X2Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y142 IS_RESERVED 0 SITEPROP TIEOFF_X2Y142 IS_TEST 0 SITEPROP TIEOFF_X2Y142 IS_USED 0 SITEPROP TIEOFF_X2Y142 MANUAL_ROUTING SITEPROP TIEOFF_X2Y142 NAME TIEOFF_X2Y142 SITEPROP TIEOFF_X2Y142 NUM_ARCS 0 SITEPROP TIEOFF_X2Y142 NUM_BELS 2 SITEPROP TIEOFF_X2Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y142 NUM_PINS 2 SITEPROP TIEOFF_X2Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y142 PROHIBIT 0 SITEPROP TIEOFF_X2Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y142 RPM_X 16 SITEPROP TIEOFF_X2Y142 RPM_Y 284 SITEPROP TIEOFF_X2Y142 SITE_PIPS SITEPROP TIEOFF_X2Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y143 CLASS site SITEPROP TIEOFF_X2Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y143 IS_BONDED 0 SITEPROP TIEOFF_X2Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y143 IS_PAD 0 SITEPROP TIEOFF_X2Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y143 IS_RESERVED 0 SITEPROP TIEOFF_X2Y143 IS_TEST 0 SITEPROP TIEOFF_X2Y143 IS_USED 0 SITEPROP TIEOFF_X2Y143 MANUAL_ROUTING SITEPROP TIEOFF_X2Y143 NAME TIEOFF_X2Y143 SITEPROP TIEOFF_X2Y143 NUM_ARCS 0 SITEPROP TIEOFF_X2Y143 NUM_BELS 2 SITEPROP TIEOFF_X2Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y143 NUM_PINS 2 SITEPROP TIEOFF_X2Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y143 PROHIBIT 0 SITEPROP TIEOFF_X2Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y143 RPM_X 16 SITEPROP TIEOFF_X2Y143 RPM_Y 286 SITEPROP TIEOFF_X2Y143 SITE_PIPS SITEPROP TIEOFF_X2Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y144 CLASS site SITEPROP TIEOFF_X2Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y144 IS_BONDED 0 SITEPROP TIEOFF_X2Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y144 IS_PAD 0 SITEPROP TIEOFF_X2Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y144 IS_RESERVED 0 SITEPROP TIEOFF_X2Y144 IS_TEST 0 SITEPROP TIEOFF_X2Y144 IS_USED 0 SITEPROP TIEOFF_X2Y144 MANUAL_ROUTING SITEPROP TIEOFF_X2Y144 NAME TIEOFF_X2Y144 SITEPROP TIEOFF_X2Y144 NUM_ARCS 0 SITEPROP TIEOFF_X2Y144 NUM_BELS 2 SITEPROP TIEOFF_X2Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y144 NUM_PINS 2 SITEPROP TIEOFF_X2Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y144 PROHIBIT 0 SITEPROP TIEOFF_X2Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y144 RPM_X 16 SITEPROP TIEOFF_X2Y144 RPM_Y 288 SITEPROP TIEOFF_X2Y144 SITE_PIPS SITEPROP TIEOFF_X2Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y145 CLASS site SITEPROP TIEOFF_X2Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y145 IS_BONDED 0 SITEPROP TIEOFF_X2Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y145 IS_PAD 0 SITEPROP TIEOFF_X2Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y145 IS_RESERVED 0 SITEPROP TIEOFF_X2Y145 IS_TEST 0 SITEPROP TIEOFF_X2Y145 IS_USED 0 SITEPROP TIEOFF_X2Y145 MANUAL_ROUTING SITEPROP TIEOFF_X2Y145 NAME TIEOFF_X2Y145 SITEPROP TIEOFF_X2Y145 NUM_ARCS 0 SITEPROP TIEOFF_X2Y145 NUM_BELS 2 SITEPROP TIEOFF_X2Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y145 NUM_PINS 2 SITEPROP TIEOFF_X2Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y145 PROHIBIT 0 SITEPROP TIEOFF_X2Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y145 RPM_X 16 SITEPROP TIEOFF_X2Y145 RPM_Y 290 SITEPROP TIEOFF_X2Y145 SITE_PIPS SITEPROP TIEOFF_X2Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y146 CLASS site SITEPROP TIEOFF_X2Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y146 IS_BONDED 0 SITEPROP TIEOFF_X2Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y146 IS_PAD 0 SITEPROP TIEOFF_X2Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y146 IS_RESERVED 0 SITEPROP TIEOFF_X2Y146 IS_TEST 0 SITEPROP TIEOFF_X2Y146 IS_USED 0 SITEPROP TIEOFF_X2Y146 MANUAL_ROUTING SITEPROP TIEOFF_X2Y146 NAME TIEOFF_X2Y146 SITEPROP TIEOFF_X2Y146 NUM_ARCS 0 SITEPROP TIEOFF_X2Y146 NUM_BELS 2 SITEPROP TIEOFF_X2Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y146 NUM_PINS 2 SITEPROP TIEOFF_X2Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y146 PROHIBIT 0 SITEPROP TIEOFF_X2Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y146 RPM_X 16 SITEPROP TIEOFF_X2Y146 RPM_Y 292 SITEPROP TIEOFF_X2Y146 SITE_PIPS SITEPROP TIEOFF_X2Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y147 CLASS site SITEPROP TIEOFF_X2Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y147 IS_BONDED 0 SITEPROP TIEOFF_X2Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y147 IS_PAD 0 SITEPROP TIEOFF_X2Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y147 IS_RESERVED 0 SITEPROP TIEOFF_X2Y147 IS_TEST 0 SITEPROP TIEOFF_X2Y147 IS_USED 0 SITEPROP TIEOFF_X2Y147 MANUAL_ROUTING SITEPROP TIEOFF_X2Y147 NAME TIEOFF_X2Y147 SITEPROP TIEOFF_X2Y147 NUM_ARCS 0 SITEPROP TIEOFF_X2Y147 NUM_BELS 2 SITEPROP TIEOFF_X2Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y147 NUM_PINS 2 SITEPROP TIEOFF_X2Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y147 PROHIBIT 0 SITEPROP TIEOFF_X2Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y147 RPM_X 16 SITEPROP TIEOFF_X2Y147 RPM_Y 294 SITEPROP TIEOFF_X2Y147 SITE_PIPS SITEPROP TIEOFF_X2Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y148 CLASS site SITEPROP TIEOFF_X2Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y148 IS_BONDED 0 SITEPROP TIEOFF_X2Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y148 IS_PAD 0 SITEPROP TIEOFF_X2Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y148 IS_RESERVED 0 SITEPROP TIEOFF_X2Y148 IS_TEST 0 SITEPROP TIEOFF_X2Y148 IS_USED 0 SITEPROP TIEOFF_X2Y148 MANUAL_ROUTING SITEPROP TIEOFF_X2Y148 NAME TIEOFF_X2Y148 SITEPROP TIEOFF_X2Y148 NUM_ARCS 0 SITEPROP TIEOFF_X2Y148 NUM_BELS 2 SITEPROP TIEOFF_X2Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y148 NUM_PINS 2 SITEPROP TIEOFF_X2Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y148 PROHIBIT 0 SITEPROP TIEOFF_X2Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y148 RPM_X 16 SITEPROP TIEOFF_X2Y148 RPM_Y 296 SITEPROP TIEOFF_X2Y148 SITE_PIPS SITEPROP TIEOFF_X2Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X2Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X2Y149 CLASS site SITEPROP TIEOFF_X2Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X2Y149 IS_BONDED 0 SITEPROP TIEOFF_X2Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y149 IS_PAD 0 SITEPROP TIEOFF_X2Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X2Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X2Y149 IS_RESERVED 0 SITEPROP TIEOFF_X2Y149 IS_TEST 0 SITEPROP TIEOFF_X2Y149 IS_USED 0 SITEPROP TIEOFF_X2Y149 MANUAL_ROUTING SITEPROP TIEOFF_X2Y149 NAME TIEOFF_X2Y149 SITEPROP TIEOFF_X2Y149 NUM_ARCS 0 SITEPROP TIEOFF_X2Y149 NUM_BELS 2 SITEPROP TIEOFF_X2Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X2Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X2Y149 NUM_PINS 2 SITEPROP TIEOFF_X2Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X2Y149 PROHIBIT 0 SITEPROP TIEOFF_X2Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X2Y149 RPM_X 16 SITEPROP TIEOFF_X2Y149 RPM_Y 298 SITEPROP TIEOFF_X2Y149 SITE_PIPS SITEPROP TIEOFF_X2Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y0 CLASS site SITEPROP TIEOFF_X30Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y0 IS_BONDED 0 SITEPROP TIEOFF_X30Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y0 IS_PAD 0 SITEPROP TIEOFF_X30Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y0 IS_RESERVED 0 SITEPROP TIEOFF_X30Y0 IS_TEST 0 SITEPROP TIEOFF_X30Y0 IS_USED 0 SITEPROP TIEOFF_X30Y0 MANUAL_ROUTING SITEPROP TIEOFF_X30Y0 NAME TIEOFF_X30Y0 SITEPROP TIEOFF_X30Y0 NUM_ARCS 0 SITEPROP TIEOFF_X30Y0 NUM_BELS 2 SITEPROP TIEOFF_X30Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y0 NUM_PINS 2 SITEPROP TIEOFF_X30Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y0 PROHIBIT 0 SITEPROP TIEOFF_X30Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y0 RPM_X 120 SITEPROP TIEOFF_X30Y0 RPM_Y 0 SITEPROP TIEOFF_X30Y0 SITE_PIPS SITEPROP TIEOFF_X30Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y1 CLASS site SITEPROP TIEOFF_X30Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y1 IS_BONDED 0 SITEPROP TIEOFF_X30Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y1 IS_PAD 0 SITEPROP TIEOFF_X30Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y1 IS_RESERVED 0 SITEPROP TIEOFF_X30Y1 IS_TEST 0 SITEPROP TIEOFF_X30Y1 IS_USED 0 SITEPROP TIEOFF_X30Y1 MANUAL_ROUTING SITEPROP TIEOFF_X30Y1 NAME TIEOFF_X30Y1 SITEPROP TIEOFF_X30Y1 NUM_ARCS 0 SITEPROP TIEOFF_X30Y1 NUM_BELS 2 SITEPROP TIEOFF_X30Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y1 NUM_PINS 2 SITEPROP TIEOFF_X30Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y1 PROHIBIT 0 SITEPROP TIEOFF_X30Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y1 RPM_X 120 SITEPROP TIEOFF_X30Y1 RPM_Y 2 SITEPROP TIEOFF_X30Y1 SITE_PIPS SITEPROP TIEOFF_X30Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y2 CLASS site SITEPROP TIEOFF_X30Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y2 IS_BONDED 0 SITEPROP TIEOFF_X30Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y2 IS_PAD 0 SITEPROP TIEOFF_X30Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y2 IS_RESERVED 0 SITEPROP TIEOFF_X30Y2 IS_TEST 0 SITEPROP TIEOFF_X30Y2 IS_USED 0 SITEPROP TIEOFF_X30Y2 MANUAL_ROUTING SITEPROP TIEOFF_X30Y2 NAME TIEOFF_X30Y2 SITEPROP TIEOFF_X30Y2 NUM_ARCS 0 SITEPROP TIEOFF_X30Y2 NUM_BELS 2 SITEPROP TIEOFF_X30Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y2 NUM_PINS 2 SITEPROP TIEOFF_X30Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y2 PROHIBIT 0 SITEPROP TIEOFF_X30Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y2 RPM_X 120 SITEPROP TIEOFF_X30Y2 RPM_Y 4 SITEPROP TIEOFF_X30Y2 SITE_PIPS SITEPROP TIEOFF_X30Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y3 CLASS site SITEPROP TIEOFF_X30Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y3 IS_BONDED 0 SITEPROP TIEOFF_X30Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y3 IS_PAD 0 SITEPROP TIEOFF_X30Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y3 IS_RESERVED 0 SITEPROP TIEOFF_X30Y3 IS_TEST 0 SITEPROP TIEOFF_X30Y3 IS_USED 0 SITEPROP TIEOFF_X30Y3 MANUAL_ROUTING SITEPROP TIEOFF_X30Y3 NAME TIEOFF_X30Y3 SITEPROP TIEOFF_X30Y3 NUM_ARCS 0 SITEPROP TIEOFF_X30Y3 NUM_BELS 2 SITEPROP TIEOFF_X30Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y3 NUM_PINS 2 SITEPROP TIEOFF_X30Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y3 PROHIBIT 0 SITEPROP TIEOFF_X30Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y3 RPM_X 120 SITEPROP TIEOFF_X30Y3 RPM_Y 6 SITEPROP TIEOFF_X30Y3 SITE_PIPS SITEPROP TIEOFF_X30Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y4 CLASS site SITEPROP TIEOFF_X30Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y4 IS_BONDED 0 SITEPROP TIEOFF_X30Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y4 IS_PAD 0 SITEPROP TIEOFF_X30Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y4 IS_RESERVED 0 SITEPROP TIEOFF_X30Y4 IS_TEST 0 SITEPROP TIEOFF_X30Y4 IS_USED 0 SITEPROP TIEOFF_X30Y4 MANUAL_ROUTING SITEPROP TIEOFF_X30Y4 NAME TIEOFF_X30Y4 SITEPROP TIEOFF_X30Y4 NUM_ARCS 0 SITEPROP TIEOFF_X30Y4 NUM_BELS 2 SITEPROP TIEOFF_X30Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y4 NUM_PINS 2 SITEPROP TIEOFF_X30Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y4 PROHIBIT 0 SITEPROP TIEOFF_X30Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y4 RPM_X 120 SITEPROP TIEOFF_X30Y4 RPM_Y 8 SITEPROP TIEOFF_X30Y4 SITE_PIPS SITEPROP TIEOFF_X30Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y5 CLASS site SITEPROP TIEOFF_X30Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y5 IS_BONDED 0 SITEPROP TIEOFF_X30Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y5 IS_PAD 0 SITEPROP TIEOFF_X30Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y5 IS_RESERVED 0 SITEPROP TIEOFF_X30Y5 IS_TEST 0 SITEPROP TIEOFF_X30Y5 IS_USED 0 SITEPROP TIEOFF_X30Y5 MANUAL_ROUTING SITEPROP TIEOFF_X30Y5 NAME TIEOFF_X30Y5 SITEPROP TIEOFF_X30Y5 NUM_ARCS 0 SITEPROP TIEOFF_X30Y5 NUM_BELS 2 SITEPROP TIEOFF_X30Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y5 NUM_PINS 2 SITEPROP TIEOFF_X30Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y5 PROHIBIT 0 SITEPROP TIEOFF_X30Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y5 RPM_X 120 SITEPROP TIEOFF_X30Y5 RPM_Y 10 SITEPROP TIEOFF_X30Y5 SITE_PIPS SITEPROP TIEOFF_X30Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y6 CLASS site SITEPROP TIEOFF_X30Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y6 IS_BONDED 0 SITEPROP TIEOFF_X30Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y6 IS_PAD 0 SITEPROP TIEOFF_X30Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y6 IS_RESERVED 0 SITEPROP TIEOFF_X30Y6 IS_TEST 0 SITEPROP TIEOFF_X30Y6 IS_USED 0 SITEPROP TIEOFF_X30Y6 MANUAL_ROUTING SITEPROP TIEOFF_X30Y6 NAME TIEOFF_X30Y6 SITEPROP TIEOFF_X30Y6 NUM_ARCS 0 SITEPROP TIEOFF_X30Y6 NUM_BELS 2 SITEPROP TIEOFF_X30Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y6 NUM_PINS 2 SITEPROP TIEOFF_X30Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y6 PROHIBIT 0 SITEPROP TIEOFF_X30Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y6 RPM_X 120 SITEPROP TIEOFF_X30Y6 RPM_Y 12 SITEPROP TIEOFF_X30Y6 SITE_PIPS SITEPROP TIEOFF_X30Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y7 CLASS site SITEPROP TIEOFF_X30Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y7 IS_BONDED 0 SITEPROP TIEOFF_X30Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y7 IS_PAD 0 SITEPROP TIEOFF_X30Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y7 IS_RESERVED 0 SITEPROP TIEOFF_X30Y7 IS_TEST 0 SITEPROP TIEOFF_X30Y7 IS_USED 0 SITEPROP TIEOFF_X30Y7 MANUAL_ROUTING SITEPROP TIEOFF_X30Y7 NAME TIEOFF_X30Y7 SITEPROP TIEOFF_X30Y7 NUM_ARCS 0 SITEPROP TIEOFF_X30Y7 NUM_BELS 2 SITEPROP TIEOFF_X30Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y7 NUM_PINS 2 SITEPROP TIEOFF_X30Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y7 PROHIBIT 0 SITEPROP TIEOFF_X30Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y7 RPM_X 120 SITEPROP TIEOFF_X30Y7 RPM_Y 14 SITEPROP TIEOFF_X30Y7 SITE_PIPS SITEPROP TIEOFF_X30Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y8 CLASS site SITEPROP TIEOFF_X30Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y8 IS_BONDED 0 SITEPROP TIEOFF_X30Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y8 IS_PAD 0 SITEPROP TIEOFF_X30Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y8 IS_RESERVED 0 SITEPROP TIEOFF_X30Y8 IS_TEST 0 SITEPROP TIEOFF_X30Y8 IS_USED 0 SITEPROP TIEOFF_X30Y8 MANUAL_ROUTING SITEPROP TIEOFF_X30Y8 NAME TIEOFF_X30Y8 SITEPROP TIEOFF_X30Y8 NUM_ARCS 0 SITEPROP TIEOFF_X30Y8 NUM_BELS 2 SITEPROP TIEOFF_X30Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y8 NUM_PINS 2 SITEPROP TIEOFF_X30Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y8 PROHIBIT 0 SITEPROP TIEOFF_X30Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y8 RPM_X 120 SITEPROP TIEOFF_X30Y8 RPM_Y 16 SITEPROP TIEOFF_X30Y8 SITE_PIPS SITEPROP TIEOFF_X30Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y9 CLASS site SITEPROP TIEOFF_X30Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y9 IS_BONDED 0 SITEPROP TIEOFF_X30Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y9 IS_PAD 0 SITEPROP TIEOFF_X30Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y9 IS_RESERVED 0 SITEPROP TIEOFF_X30Y9 IS_TEST 0 SITEPROP TIEOFF_X30Y9 IS_USED 0 SITEPROP TIEOFF_X30Y9 MANUAL_ROUTING SITEPROP TIEOFF_X30Y9 NAME TIEOFF_X30Y9 SITEPROP TIEOFF_X30Y9 NUM_ARCS 0 SITEPROP TIEOFF_X30Y9 NUM_BELS 2 SITEPROP TIEOFF_X30Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y9 NUM_PINS 2 SITEPROP TIEOFF_X30Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y9 PROHIBIT 0 SITEPROP TIEOFF_X30Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y9 RPM_X 120 SITEPROP TIEOFF_X30Y9 RPM_Y 18 SITEPROP TIEOFF_X30Y9 SITE_PIPS SITEPROP TIEOFF_X30Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y10 CLASS site SITEPROP TIEOFF_X30Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y10 IS_BONDED 0 SITEPROP TIEOFF_X30Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y10 IS_PAD 0 SITEPROP TIEOFF_X30Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y10 IS_RESERVED 0 SITEPROP TIEOFF_X30Y10 IS_TEST 0 SITEPROP TIEOFF_X30Y10 IS_USED 0 SITEPROP TIEOFF_X30Y10 MANUAL_ROUTING SITEPROP TIEOFF_X30Y10 NAME TIEOFF_X30Y10 SITEPROP TIEOFF_X30Y10 NUM_ARCS 0 SITEPROP TIEOFF_X30Y10 NUM_BELS 2 SITEPROP TIEOFF_X30Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y10 NUM_PINS 2 SITEPROP TIEOFF_X30Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y10 PROHIBIT 0 SITEPROP TIEOFF_X30Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y10 RPM_X 120 SITEPROP TIEOFF_X30Y10 RPM_Y 20 SITEPROP TIEOFF_X30Y10 SITE_PIPS SITEPROP TIEOFF_X30Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y11 CLASS site SITEPROP TIEOFF_X30Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y11 IS_BONDED 0 SITEPROP TIEOFF_X30Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y11 IS_PAD 0 SITEPROP TIEOFF_X30Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y11 IS_RESERVED 0 SITEPROP TIEOFF_X30Y11 IS_TEST 0 SITEPROP TIEOFF_X30Y11 IS_USED 0 SITEPROP TIEOFF_X30Y11 MANUAL_ROUTING SITEPROP TIEOFF_X30Y11 NAME TIEOFF_X30Y11 SITEPROP TIEOFF_X30Y11 NUM_ARCS 0 SITEPROP TIEOFF_X30Y11 NUM_BELS 2 SITEPROP TIEOFF_X30Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y11 NUM_PINS 2 SITEPROP TIEOFF_X30Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y11 PROHIBIT 0 SITEPROP TIEOFF_X30Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y11 RPM_X 120 SITEPROP TIEOFF_X30Y11 RPM_Y 22 SITEPROP TIEOFF_X30Y11 SITE_PIPS SITEPROP TIEOFF_X30Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y12 CLASS site SITEPROP TIEOFF_X30Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y12 IS_BONDED 0 SITEPROP TIEOFF_X30Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y12 IS_PAD 0 SITEPROP TIEOFF_X30Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y12 IS_RESERVED 0 SITEPROP TIEOFF_X30Y12 IS_TEST 0 SITEPROP TIEOFF_X30Y12 IS_USED 0 SITEPROP TIEOFF_X30Y12 MANUAL_ROUTING SITEPROP TIEOFF_X30Y12 NAME TIEOFF_X30Y12 SITEPROP TIEOFF_X30Y12 NUM_ARCS 0 SITEPROP TIEOFF_X30Y12 NUM_BELS 2 SITEPROP TIEOFF_X30Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y12 NUM_PINS 2 SITEPROP TIEOFF_X30Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y12 PROHIBIT 0 SITEPROP TIEOFF_X30Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y12 RPM_X 120 SITEPROP TIEOFF_X30Y12 RPM_Y 24 SITEPROP TIEOFF_X30Y12 SITE_PIPS SITEPROP TIEOFF_X30Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y13 CLASS site SITEPROP TIEOFF_X30Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y13 IS_BONDED 0 SITEPROP TIEOFF_X30Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y13 IS_PAD 0 SITEPROP TIEOFF_X30Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y13 IS_RESERVED 0 SITEPROP TIEOFF_X30Y13 IS_TEST 0 SITEPROP TIEOFF_X30Y13 IS_USED 0 SITEPROP TIEOFF_X30Y13 MANUAL_ROUTING SITEPROP TIEOFF_X30Y13 NAME TIEOFF_X30Y13 SITEPROP TIEOFF_X30Y13 NUM_ARCS 0 SITEPROP TIEOFF_X30Y13 NUM_BELS 2 SITEPROP TIEOFF_X30Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y13 NUM_PINS 2 SITEPROP TIEOFF_X30Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y13 PROHIBIT 0 SITEPROP TIEOFF_X30Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y13 RPM_X 120 SITEPROP TIEOFF_X30Y13 RPM_Y 26 SITEPROP TIEOFF_X30Y13 SITE_PIPS SITEPROP TIEOFF_X30Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y14 CLASS site SITEPROP TIEOFF_X30Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y14 IS_BONDED 0 SITEPROP TIEOFF_X30Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y14 IS_PAD 0 SITEPROP TIEOFF_X30Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y14 IS_RESERVED 0 SITEPROP TIEOFF_X30Y14 IS_TEST 0 SITEPROP TIEOFF_X30Y14 IS_USED 0 SITEPROP TIEOFF_X30Y14 MANUAL_ROUTING SITEPROP TIEOFF_X30Y14 NAME TIEOFF_X30Y14 SITEPROP TIEOFF_X30Y14 NUM_ARCS 0 SITEPROP TIEOFF_X30Y14 NUM_BELS 2 SITEPROP TIEOFF_X30Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y14 NUM_PINS 2 SITEPROP TIEOFF_X30Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y14 PROHIBIT 0 SITEPROP TIEOFF_X30Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y14 RPM_X 120 SITEPROP TIEOFF_X30Y14 RPM_Y 28 SITEPROP TIEOFF_X30Y14 SITE_PIPS SITEPROP TIEOFF_X30Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y15 CLASS site SITEPROP TIEOFF_X30Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y15 IS_BONDED 0 SITEPROP TIEOFF_X30Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y15 IS_PAD 0 SITEPROP TIEOFF_X30Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y15 IS_RESERVED 0 SITEPROP TIEOFF_X30Y15 IS_TEST 0 SITEPROP TIEOFF_X30Y15 IS_USED 0 SITEPROP TIEOFF_X30Y15 MANUAL_ROUTING SITEPROP TIEOFF_X30Y15 NAME TIEOFF_X30Y15 SITEPROP TIEOFF_X30Y15 NUM_ARCS 0 SITEPROP TIEOFF_X30Y15 NUM_BELS 2 SITEPROP TIEOFF_X30Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y15 NUM_PINS 2 SITEPROP TIEOFF_X30Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y15 PROHIBIT 0 SITEPROP TIEOFF_X30Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y15 RPM_X 120 SITEPROP TIEOFF_X30Y15 RPM_Y 30 SITEPROP TIEOFF_X30Y15 SITE_PIPS SITEPROP TIEOFF_X30Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y16 CLASS site SITEPROP TIEOFF_X30Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y16 IS_BONDED 0 SITEPROP TIEOFF_X30Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y16 IS_PAD 0 SITEPROP TIEOFF_X30Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y16 IS_RESERVED 0 SITEPROP TIEOFF_X30Y16 IS_TEST 0 SITEPROP TIEOFF_X30Y16 IS_USED 0 SITEPROP TIEOFF_X30Y16 MANUAL_ROUTING SITEPROP TIEOFF_X30Y16 NAME TIEOFF_X30Y16 SITEPROP TIEOFF_X30Y16 NUM_ARCS 0 SITEPROP TIEOFF_X30Y16 NUM_BELS 2 SITEPROP TIEOFF_X30Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y16 NUM_PINS 2 SITEPROP TIEOFF_X30Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y16 PROHIBIT 0 SITEPROP TIEOFF_X30Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y16 RPM_X 120 SITEPROP TIEOFF_X30Y16 RPM_Y 32 SITEPROP TIEOFF_X30Y16 SITE_PIPS SITEPROP TIEOFF_X30Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y17 CLASS site SITEPROP TIEOFF_X30Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y17 IS_BONDED 0 SITEPROP TIEOFF_X30Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y17 IS_PAD 0 SITEPROP TIEOFF_X30Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y17 IS_RESERVED 0 SITEPROP TIEOFF_X30Y17 IS_TEST 0 SITEPROP TIEOFF_X30Y17 IS_USED 0 SITEPROP TIEOFF_X30Y17 MANUAL_ROUTING SITEPROP TIEOFF_X30Y17 NAME TIEOFF_X30Y17 SITEPROP TIEOFF_X30Y17 NUM_ARCS 0 SITEPROP TIEOFF_X30Y17 NUM_BELS 2 SITEPROP TIEOFF_X30Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y17 NUM_PINS 2 SITEPROP TIEOFF_X30Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y17 PROHIBIT 0 SITEPROP TIEOFF_X30Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y17 RPM_X 120 SITEPROP TIEOFF_X30Y17 RPM_Y 34 SITEPROP TIEOFF_X30Y17 SITE_PIPS SITEPROP TIEOFF_X30Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y18 CLASS site SITEPROP TIEOFF_X30Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y18 IS_BONDED 0 SITEPROP TIEOFF_X30Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y18 IS_PAD 0 SITEPROP TIEOFF_X30Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y18 IS_RESERVED 0 SITEPROP TIEOFF_X30Y18 IS_TEST 0 SITEPROP TIEOFF_X30Y18 IS_USED 0 SITEPROP TIEOFF_X30Y18 MANUAL_ROUTING SITEPROP TIEOFF_X30Y18 NAME TIEOFF_X30Y18 SITEPROP TIEOFF_X30Y18 NUM_ARCS 0 SITEPROP TIEOFF_X30Y18 NUM_BELS 2 SITEPROP TIEOFF_X30Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y18 NUM_PINS 2 SITEPROP TIEOFF_X30Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y18 PROHIBIT 0 SITEPROP TIEOFF_X30Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y18 RPM_X 120 SITEPROP TIEOFF_X30Y18 RPM_Y 36 SITEPROP TIEOFF_X30Y18 SITE_PIPS SITEPROP TIEOFF_X30Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y19 CLASS site SITEPROP TIEOFF_X30Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y19 IS_BONDED 0 SITEPROP TIEOFF_X30Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y19 IS_PAD 0 SITEPROP TIEOFF_X30Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y19 IS_RESERVED 0 SITEPROP TIEOFF_X30Y19 IS_TEST 0 SITEPROP TIEOFF_X30Y19 IS_USED 0 SITEPROP TIEOFF_X30Y19 MANUAL_ROUTING SITEPROP TIEOFF_X30Y19 NAME TIEOFF_X30Y19 SITEPROP TIEOFF_X30Y19 NUM_ARCS 0 SITEPROP TIEOFF_X30Y19 NUM_BELS 2 SITEPROP TIEOFF_X30Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y19 NUM_PINS 2 SITEPROP TIEOFF_X30Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y19 PROHIBIT 0 SITEPROP TIEOFF_X30Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y19 RPM_X 120 SITEPROP TIEOFF_X30Y19 RPM_Y 38 SITEPROP TIEOFF_X30Y19 SITE_PIPS SITEPROP TIEOFF_X30Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y20 CLASS site SITEPROP TIEOFF_X30Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y20 IS_BONDED 0 SITEPROP TIEOFF_X30Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y20 IS_PAD 0 SITEPROP TIEOFF_X30Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y20 IS_RESERVED 0 SITEPROP TIEOFF_X30Y20 IS_TEST 0 SITEPROP TIEOFF_X30Y20 IS_USED 0 SITEPROP TIEOFF_X30Y20 MANUAL_ROUTING SITEPROP TIEOFF_X30Y20 NAME TIEOFF_X30Y20 SITEPROP TIEOFF_X30Y20 NUM_ARCS 0 SITEPROP TIEOFF_X30Y20 NUM_BELS 2 SITEPROP TIEOFF_X30Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y20 NUM_PINS 2 SITEPROP TIEOFF_X30Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y20 PROHIBIT 0 SITEPROP TIEOFF_X30Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y20 RPM_X 120 SITEPROP TIEOFF_X30Y20 RPM_Y 40 SITEPROP TIEOFF_X30Y20 SITE_PIPS SITEPROP TIEOFF_X30Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y21 CLASS site SITEPROP TIEOFF_X30Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y21 IS_BONDED 0 SITEPROP TIEOFF_X30Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y21 IS_PAD 0 SITEPROP TIEOFF_X30Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y21 IS_RESERVED 0 SITEPROP TIEOFF_X30Y21 IS_TEST 0 SITEPROP TIEOFF_X30Y21 IS_USED 0 SITEPROP TIEOFF_X30Y21 MANUAL_ROUTING SITEPROP TIEOFF_X30Y21 NAME TIEOFF_X30Y21 SITEPROP TIEOFF_X30Y21 NUM_ARCS 0 SITEPROP TIEOFF_X30Y21 NUM_BELS 2 SITEPROP TIEOFF_X30Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y21 NUM_PINS 2 SITEPROP TIEOFF_X30Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y21 PROHIBIT 0 SITEPROP TIEOFF_X30Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y21 RPM_X 120 SITEPROP TIEOFF_X30Y21 RPM_Y 42 SITEPROP TIEOFF_X30Y21 SITE_PIPS SITEPROP TIEOFF_X30Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y22 CLASS site SITEPROP TIEOFF_X30Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y22 IS_BONDED 0 SITEPROP TIEOFF_X30Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y22 IS_PAD 0 SITEPROP TIEOFF_X30Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y22 IS_RESERVED 0 SITEPROP TIEOFF_X30Y22 IS_TEST 0 SITEPROP TIEOFF_X30Y22 IS_USED 0 SITEPROP TIEOFF_X30Y22 MANUAL_ROUTING SITEPROP TIEOFF_X30Y22 NAME TIEOFF_X30Y22 SITEPROP TIEOFF_X30Y22 NUM_ARCS 0 SITEPROP TIEOFF_X30Y22 NUM_BELS 2 SITEPROP TIEOFF_X30Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y22 NUM_PINS 2 SITEPROP TIEOFF_X30Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y22 PROHIBIT 0 SITEPROP TIEOFF_X30Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y22 RPM_X 120 SITEPROP TIEOFF_X30Y22 RPM_Y 44 SITEPROP TIEOFF_X30Y22 SITE_PIPS SITEPROP TIEOFF_X30Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y23 CLASS site SITEPROP TIEOFF_X30Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y23 IS_BONDED 0 SITEPROP TIEOFF_X30Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y23 IS_PAD 0 SITEPROP TIEOFF_X30Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y23 IS_RESERVED 0 SITEPROP TIEOFF_X30Y23 IS_TEST 0 SITEPROP TIEOFF_X30Y23 IS_USED 0 SITEPROP TIEOFF_X30Y23 MANUAL_ROUTING SITEPROP TIEOFF_X30Y23 NAME TIEOFF_X30Y23 SITEPROP TIEOFF_X30Y23 NUM_ARCS 0 SITEPROP TIEOFF_X30Y23 NUM_BELS 2 SITEPROP TIEOFF_X30Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y23 NUM_PINS 2 SITEPROP TIEOFF_X30Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y23 PROHIBIT 0 SITEPROP TIEOFF_X30Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y23 RPM_X 120 SITEPROP TIEOFF_X30Y23 RPM_Y 46 SITEPROP TIEOFF_X30Y23 SITE_PIPS SITEPROP TIEOFF_X30Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y24 CLASS site SITEPROP TIEOFF_X30Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y24 IS_BONDED 0 SITEPROP TIEOFF_X30Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y24 IS_PAD 0 SITEPROP TIEOFF_X30Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y24 IS_RESERVED 0 SITEPROP TIEOFF_X30Y24 IS_TEST 0 SITEPROP TIEOFF_X30Y24 IS_USED 0 SITEPROP TIEOFF_X30Y24 MANUAL_ROUTING SITEPROP TIEOFF_X30Y24 NAME TIEOFF_X30Y24 SITEPROP TIEOFF_X30Y24 NUM_ARCS 0 SITEPROP TIEOFF_X30Y24 NUM_BELS 2 SITEPROP TIEOFF_X30Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y24 NUM_PINS 2 SITEPROP TIEOFF_X30Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y24 PROHIBIT 0 SITEPROP TIEOFF_X30Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y24 RPM_X 120 SITEPROP TIEOFF_X30Y24 RPM_Y 48 SITEPROP TIEOFF_X30Y24 SITE_PIPS SITEPROP TIEOFF_X30Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y25 CLASS site SITEPROP TIEOFF_X30Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y25 IS_BONDED 0 SITEPROP TIEOFF_X30Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y25 IS_PAD 0 SITEPROP TIEOFF_X30Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y25 IS_RESERVED 0 SITEPROP TIEOFF_X30Y25 IS_TEST 0 SITEPROP TIEOFF_X30Y25 IS_USED 0 SITEPROP TIEOFF_X30Y25 MANUAL_ROUTING SITEPROP TIEOFF_X30Y25 NAME TIEOFF_X30Y25 SITEPROP TIEOFF_X30Y25 NUM_ARCS 0 SITEPROP TIEOFF_X30Y25 NUM_BELS 2 SITEPROP TIEOFF_X30Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y25 NUM_PINS 2 SITEPROP TIEOFF_X30Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y25 PROHIBIT 0 SITEPROP TIEOFF_X30Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y25 RPM_X 120 SITEPROP TIEOFF_X30Y25 RPM_Y 50 SITEPROP TIEOFF_X30Y25 SITE_PIPS SITEPROP TIEOFF_X30Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y26 CLASS site SITEPROP TIEOFF_X30Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y26 IS_BONDED 0 SITEPROP TIEOFF_X30Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y26 IS_PAD 0 SITEPROP TIEOFF_X30Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y26 IS_RESERVED 0 SITEPROP TIEOFF_X30Y26 IS_TEST 0 SITEPROP TIEOFF_X30Y26 IS_USED 0 SITEPROP TIEOFF_X30Y26 MANUAL_ROUTING SITEPROP TIEOFF_X30Y26 NAME TIEOFF_X30Y26 SITEPROP TIEOFF_X30Y26 NUM_ARCS 0 SITEPROP TIEOFF_X30Y26 NUM_BELS 2 SITEPROP TIEOFF_X30Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y26 NUM_PINS 2 SITEPROP TIEOFF_X30Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y26 PROHIBIT 0 SITEPROP TIEOFF_X30Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y26 RPM_X 120 SITEPROP TIEOFF_X30Y26 RPM_Y 52 SITEPROP TIEOFF_X30Y26 SITE_PIPS SITEPROP TIEOFF_X30Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y27 CLASS site SITEPROP TIEOFF_X30Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y27 IS_BONDED 0 SITEPROP TIEOFF_X30Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y27 IS_PAD 0 SITEPROP TIEOFF_X30Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y27 IS_RESERVED 0 SITEPROP TIEOFF_X30Y27 IS_TEST 0 SITEPROP TIEOFF_X30Y27 IS_USED 0 SITEPROP TIEOFF_X30Y27 MANUAL_ROUTING SITEPROP TIEOFF_X30Y27 NAME TIEOFF_X30Y27 SITEPROP TIEOFF_X30Y27 NUM_ARCS 0 SITEPROP TIEOFF_X30Y27 NUM_BELS 2 SITEPROP TIEOFF_X30Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y27 NUM_PINS 2 SITEPROP TIEOFF_X30Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y27 PROHIBIT 0 SITEPROP TIEOFF_X30Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y27 RPM_X 120 SITEPROP TIEOFF_X30Y27 RPM_Y 54 SITEPROP TIEOFF_X30Y27 SITE_PIPS SITEPROP TIEOFF_X30Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y28 CLASS site SITEPROP TIEOFF_X30Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y28 IS_BONDED 0 SITEPROP TIEOFF_X30Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y28 IS_PAD 0 SITEPROP TIEOFF_X30Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y28 IS_RESERVED 0 SITEPROP TIEOFF_X30Y28 IS_TEST 0 SITEPROP TIEOFF_X30Y28 IS_USED 0 SITEPROP TIEOFF_X30Y28 MANUAL_ROUTING SITEPROP TIEOFF_X30Y28 NAME TIEOFF_X30Y28 SITEPROP TIEOFF_X30Y28 NUM_ARCS 0 SITEPROP TIEOFF_X30Y28 NUM_BELS 2 SITEPROP TIEOFF_X30Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y28 NUM_PINS 2 SITEPROP TIEOFF_X30Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y28 PROHIBIT 0 SITEPROP TIEOFF_X30Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y28 RPM_X 120 SITEPROP TIEOFF_X30Y28 RPM_Y 56 SITEPROP TIEOFF_X30Y28 SITE_PIPS SITEPROP TIEOFF_X30Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y29 CLASS site SITEPROP TIEOFF_X30Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y29 IS_BONDED 0 SITEPROP TIEOFF_X30Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y29 IS_PAD 0 SITEPROP TIEOFF_X30Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y29 IS_RESERVED 0 SITEPROP TIEOFF_X30Y29 IS_TEST 0 SITEPROP TIEOFF_X30Y29 IS_USED 0 SITEPROP TIEOFF_X30Y29 MANUAL_ROUTING SITEPROP TIEOFF_X30Y29 NAME TIEOFF_X30Y29 SITEPROP TIEOFF_X30Y29 NUM_ARCS 0 SITEPROP TIEOFF_X30Y29 NUM_BELS 2 SITEPROP TIEOFF_X30Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y29 NUM_PINS 2 SITEPROP TIEOFF_X30Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y29 PROHIBIT 0 SITEPROP TIEOFF_X30Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y29 RPM_X 120 SITEPROP TIEOFF_X30Y29 RPM_Y 58 SITEPROP TIEOFF_X30Y29 SITE_PIPS SITEPROP TIEOFF_X30Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y30 CLASS site SITEPROP TIEOFF_X30Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y30 IS_BONDED 0 SITEPROP TIEOFF_X30Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y30 IS_PAD 0 SITEPROP TIEOFF_X30Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y30 IS_RESERVED 0 SITEPROP TIEOFF_X30Y30 IS_TEST 0 SITEPROP TIEOFF_X30Y30 IS_USED 0 SITEPROP TIEOFF_X30Y30 MANUAL_ROUTING SITEPROP TIEOFF_X30Y30 NAME TIEOFF_X30Y30 SITEPROP TIEOFF_X30Y30 NUM_ARCS 0 SITEPROP TIEOFF_X30Y30 NUM_BELS 2 SITEPROP TIEOFF_X30Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y30 NUM_PINS 2 SITEPROP TIEOFF_X30Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y30 PROHIBIT 0 SITEPROP TIEOFF_X30Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y30 RPM_X 120 SITEPROP TIEOFF_X30Y30 RPM_Y 60 SITEPROP TIEOFF_X30Y30 SITE_PIPS SITEPROP TIEOFF_X30Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y31 CLASS site SITEPROP TIEOFF_X30Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y31 IS_BONDED 0 SITEPROP TIEOFF_X30Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y31 IS_PAD 0 SITEPROP TIEOFF_X30Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y31 IS_RESERVED 0 SITEPROP TIEOFF_X30Y31 IS_TEST 0 SITEPROP TIEOFF_X30Y31 IS_USED 0 SITEPROP TIEOFF_X30Y31 MANUAL_ROUTING SITEPROP TIEOFF_X30Y31 NAME TIEOFF_X30Y31 SITEPROP TIEOFF_X30Y31 NUM_ARCS 0 SITEPROP TIEOFF_X30Y31 NUM_BELS 2 SITEPROP TIEOFF_X30Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y31 NUM_PINS 2 SITEPROP TIEOFF_X30Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y31 PROHIBIT 0 SITEPROP TIEOFF_X30Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y31 RPM_X 120 SITEPROP TIEOFF_X30Y31 RPM_Y 62 SITEPROP TIEOFF_X30Y31 SITE_PIPS SITEPROP TIEOFF_X30Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y32 CLASS site SITEPROP TIEOFF_X30Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y32 IS_BONDED 0 SITEPROP TIEOFF_X30Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y32 IS_PAD 0 SITEPROP TIEOFF_X30Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y32 IS_RESERVED 0 SITEPROP TIEOFF_X30Y32 IS_TEST 0 SITEPROP TIEOFF_X30Y32 IS_USED 0 SITEPROP TIEOFF_X30Y32 MANUAL_ROUTING SITEPROP TIEOFF_X30Y32 NAME TIEOFF_X30Y32 SITEPROP TIEOFF_X30Y32 NUM_ARCS 0 SITEPROP TIEOFF_X30Y32 NUM_BELS 2 SITEPROP TIEOFF_X30Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y32 NUM_PINS 2 SITEPROP TIEOFF_X30Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y32 PROHIBIT 0 SITEPROP TIEOFF_X30Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y32 RPM_X 120 SITEPROP TIEOFF_X30Y32 RPM_Y 64 SITEPROP TIEOFF_X30Y32 SITE_PIPS SITEPROP TIEOFF_X30Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y33 CLASS site SITEPROP TIEOFF_X30Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y33 IS_BONDED 0 SITEPROP TIEOFF_X30Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y33 IS_PAD 0 SITEPROP TIEOFF_X30Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y33 IS_RESERVED 0 SITEPROP TIEOFF_X30Y33 IS_TEST 0 SITEPROP TIEOFF_X30Y33 IS_USED 0 SITEPROP TIEOFF_X30Y33 MANUAL_ROUTING SITEPROP TIEOFF_X30Y33 NAME TIEOFF_X30Y33 SITEPROP TIEOFF_X30Y33 NUM_ARCS 0 SITEPROP TIEOFF_X30Y33 NUM_BELS 2 SITEPROP TIEOFF_X30Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y33 NUM_PINS 2 SITEPROP TIEOFF_X30Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y33 PROHIBIT 0 SITEPROP TIEOFF_X30Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y33 RPM_X 120 SITEPROP TIEOFF_X30Y33 RPM_Y 66 SITEPROP TIEOFF_X30Y33 SITE_PIPS SITEPROP TIEOFF_X30Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y34 CLASS site SITEPROP TIEOFF_X30Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y34 IS_BONDED 0 SITEPROP TIEOFF_X30Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y34 IS_PAD 0 SITEPROP TIEOFF_X30Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y34 IS_RESERVED 0 SITEPROP TIEOFF_X30Y34 IS_TEST 0 SITEPROP TIEOFF_X30Y34 IS_USED 0 SITEPROP TIEOFF_X30Y34 MANUAL_ROUTING SITEPROP TIEOFF_X30Y34 NAME TIEOFF_X30Y34 SITEPROP TIEOFF_X30Y34 NUM_ARCS 0 SITEPROP TIEOFF_X30Y34 NUM_BELS 2 SITEPROP TIEOFF_X30Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y34 NUM_PINS 2 SITEPROP TIEOFF_X30Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y34 PROHIBIT 0 SITEPROP TIEOFF_X30Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y34 RPM_X 120 SITEPROP TIEOFF_X30Y34 RPM_Y 68 SITEPROP TIEOFF_X30Y34 SITE_PIPS SITEPROP TIEOFF_X30Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y35 CLASS site SITEPROP TIEOFF_X30Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y35 IS_BONDED 0 SITEPROP TIEOFF_X30Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y35 IS_PAD 0 SITEPROP TIEOFF_X30Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y35 IS_RESERVED 0 SITEPROP TIEOFF_X30Y35 IS_TEST 0 SITEPROP TIEOFF_X30Y35 IS_USED 0 SITEPROP TIEOFF_X30Y35 MANUAL_ROUTING SITEPROP TIEOFF_X30Y35 NAME TIEOFF_X30Y35 SITEPROP TIEOFF_X30Y35 NUM_ARCS 0 SITEPROP TIEOFF_X30Y35 NUM_BELS 2 SITEPROP TIEOFF_X30Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y35 NUM_PINS 2 SITEPROP TIEOFF_X30Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y35 PROHIBIT 0 SITEPROP TIEOFF_X30Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y35 RPM_X 120 SITEPROP TIEOFF_X30Y35 RPM_Y 70 SITEPROP TIEOFF_X30Y35 SITE_PIPS SITEPROP TIEOFF_X30Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y36 CLASS site SITEPROP TIEOFF_X30Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y36 IS_BONDED 0 SITEPROP TIEOFF_X30Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y36 IS_PAD 0 SITEPROP TIEOFF_X30Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y36 IS_RESERVED 0 SITEPROP TIEOFF_X30Y36 IS_TEST 0 SITEPROP TIEOFF_X30Y36 IS_USED 0 SITEPROP TIEOFF_X30Y36 MANUAL_ROUTING SITEPROP TIEOFF_X30Y36 NAME TIEOFF_X30Y36 SITEPROP TIEOFF_X30Y36 NUM_ARCS 0 SITEPROP TIEOFF_X30Y36 NUM_BELS 2 SITEPROP TIEOFF_X30Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y36 NUM_PINS 2 SITEPROP TIEOFF_X30Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y36 PROHIBIT 0 SITEPROP TIEOFF_X30Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y36 RPM_X 120 SITEPROP TIEOFF_X30Y36 RPM_Y 72 SITEPROP TIEOFF_X30Y36 SITE_PIPS SITEPROP TIEOFF_X30Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y37 CLASS site SITEPROP TIEOFF_X30Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y37 IS_BONDED 0 SITEPROP TIEOFF_X30Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y37 IS_PAD 0 SITEPROP TIEOFF_X30Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y37 IS_RESERVED 0 SITEPROP TIEOFF_X30Y37 IS_TEST 0 SITEPROP TIEOFF_X30Y37 IS_USED 0 SITEPROP TIEOFF_X30Y37 MANUAL_ROUTING SITEPROP TIEOFF_X30Y37 NAME TIEOFF_X30Y37 SITEPROP TIEOFF_X30Y37 NUM_ARCS 0 SITEPROP TIEOFF_X30Y37 NUM_BELS 2 SITEPROP TIEOFF_X30Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y37 NUM_PINS 2 SITEPROP TIEOFF_X30Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y37 PROHIBIT 0 SITEPROP TIEOFF_X30Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y37 RPM_X 120 SITEPROP TIEOFF_X30Y37 RPM_Y 74 SITEPROP TIEOFF_X30Y37 SITE_PIPS SITEPROP TIEOFF_X30Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y38 CLASS site SITEPROP TIEOFF_X30Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y38 IS_BONDED 0 SITEPROP TIEOFF_X30Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y38 IS_PAD 0 SITEPROP TIEOFF_X30Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y38 IS_RESERVED 0 SITEPROP TIEOFF_X30Y38 IS_TEST 0 SITEPROP TIEOFF_X30Y38 IS_USED 0 SITEPROP TIEOFF_X30Y38 MANUAL_ROUTING SITEPROP TIEOFF_X30Y38 NAME TIEOFF_X30Y38 SITEPROP TIEOFF_X30Y38 NUM_ARCS 0 SITEPROP TIEOFF_X30Y38 NUM_BELS 2 SITEPROP TIEOFF_X30Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y38 NUM_PINS 2 SITEPROP TIEOFF_X30Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y38 PROHIBIT 0 SITEPROP TIEOFF_X30Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y38 RPM_X 120 SITEPROP TIEOFF_X30Y38 RPM_Y 76 SITEPROP TIEOFF_X30Y38 SITE_PIPS SITEPROP TIEOFF_X30Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y39 CLASS site SITEPROP TIEOFF_X30Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y39 IS_BONDED 0 SITEPROP TIEOFF_X30Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y39 IS_PAD 0 SITEPROP TIEOFF_X30Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y39 IS_RESERVED 0 SITEPROP TIEOFF_X30Y39 IS_TEST 0 SITEPROP TIEOFF_X30Y39 IS_USED 0 SITEPROP TIEOFF_X30Y39 MANUAL_ROUTING SITEPROP TIEOFF_X30Y39 NAME TIEOFF_X30Y39 SITEPROP TIEOFF_X30Y39 NUM_ARCS 0 SITEPROP TIEOFF_X30Y39 NUM_BELS 2 SITEPROP TIEOFF_X30Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y39 NUM_PINS 2 SITEPROP TIEOFF_X30Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y39 PROHIBIT 0 SITEPROP TIEOFF_X30Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y39 RPM_X 120 SITEPROP TIEOFF_X30Y39 RPM_Y 78 SITEPROP TIEOFF_X30Y39 SITE_PIPS SITEPROP TIEOFF_X30Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y40 CLASS site SITEPROP TIEOFF_X30Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y40 IS_BONDED 0 SITEPROP TIEOFF_X30Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y40 IS_PAD 0 SITEPROP TIEOFF_X30Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y40 IS_RESERVED 0 SITEPROP TIEOFF_X30Y40 IS_TEST 0 SITEPROP TIEOFF_X30Y40 IS_USED 0 SITEPROP TIEOFF_X30Y40 MANUAL_ROUTING SITEPROP TIEOFF_X30Y40 NAME TIEOFF_X30Y40 SITEPROP TIEOFF_X30Y40 NUM_ARCS 0 SITEPROP TIEOFF_X30Y40 NUM_BELS 2 SITEPROP TIEOFF_X30Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y40 NUM_PINS 2 SITEPROP TIEOFF_X30Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y40 PROHIBIT 0 SITEPROP TIEOFF_X30Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y40 RPM_X 120 SITEPROP TIEOFF_X30Y40 RPM_Y 80 SITEPROP TIEOFF_X30Y40 SITE_PIPS SITEPROP TIEOFF_X30Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y41 CLASS site SITEPROP TIEOFF_X30Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y41 IS_BONDED 0 SITEPROP TIEOFF_X30Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y41 IS_PAD 0 SITEPROP TIEOFF_X30Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y41 IS_RESERVED 0 SITEPROP TIEOFF_X30Y41 IS_TEST 0 SITEPROP TIEOFF_X30Y41 IS_USED 0 SITEPROP TIEOFF_X30Y41 MANUAL_ROUTING SITEPROP TIEOFF_X30Y41 NAME TIEOFF_X30Y41 SITEPROP TIEOFF_X30Y41 NUM_ARCS 0 SITEPROP TIEOFF_X30Y41 NUM_BELS 2 SITEPROP TIEOFF_X30Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y41 NUM_PINS 2 SITEPROP TIEOFF_X30Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y41 PROHIBIT 0 SITEPROP TIEOFF_X30Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y41 RPM_X 120 SITEPROP TIEOFF_X30Y41 RPM_Y 82 SITEPROP TIEOFF_X30Y41 SITE_PIPS SITEPROP TIEOFF_X30Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y42 CLASS site SITEPROP TIEOFF_X30Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y42 IS_BONDED 0 SITEPROP TIEOFF_X30Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y42 IS_PAD 0 SITEPROP TIEOFF_X30Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y42 IS_RESERVED 0 SITEPROP TIEOFF_X30Y42 IS_TEST 0 SITEPROP TIEOFF_X30Y42 IS_USED 0 SITEPROP TIEOFF_X30Y42 MANUAL_ROUTING SITEPROP TIEOFF_X30Y42 NAME TIEOFF_X30Y42 SITEPROP TIEOFF_X30Y42 NUM_ARCS 0 SITEPROP TIEOFF_X30Y42 NUM_BELS 2 SITEPROP TIEOFF_X30Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y42 NUM_PINS 2 SITEPROP TIEOFF_X30Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y42 PROHIBIT 0 SITEPROP TIEOFF_X30Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y42 RPM_X 120 SITEPROP TIEOFF_X30Y42 RPM_Y 84 SITEPROP TIEOFF_X30Y42 SITE_PIPS SITEPROP TIEOFF_X30Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y43 CLASS site SITEPROP TIEOFF_X30Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y43 IS_BONDED 0 SITEPROP TIEOFF_X30Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y43 IS_PAD 0 SITEPROP TIEOFF_X30Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y43 IS_RESERVED 0 SITEPROP TIEOFF_X30Y43 IS_TEST 0 SITEPROP TIEOFF_X30Y43 IS_USED 0 SITEPROP TIEOFF_X30Y43 MANUAL_ROUTING SITEPROP TIEOFF_X30Y43 NAME TIEOFF_X30Y43 SITEPROP TIEOFF_X30Y43 NUM_ARCS 0 SITEPROP TIEOFF_X30Y43 NUM_BELS 2 SITEPROP TIEOFF_X30Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y43 NUM_PINS 2 SITEPROP TIEOFF_X30Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y43 PROHIBIT 0 SITEPROP TIEOFF_X30Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y43 RPM_X 120 SITEPROP TIEOFF_X30Y43 RPM_Y 86 SITEPROP TIEOFF_X30Y43 SITE_PIPS SITEPROP TIEOFF_X30Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y44 CLASS site SITEPROP TIEOFF_X30Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y44 IS_BONDED 0 SITEPROP TIEOFF_X30Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y44 IS_PAD 0 SITEPROP TIEOFF_X30Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y44 IS_RESERVED 0 SITEPROP TIEOFF_X30Y44 IS_TEST 0 SITEPROP TIEOFF_X30Y44 IS_USED 0 SITEPROP TIEOFF_X30Y44 MANUAL_ROUTING SITEPROP TIEOFF_X30Y44 NAME TIEOFF_X30Y44 SITEPROP TIEOFF_X30Y44 NUM_ARCS 0 SITEPROP TIEOFF_X30Y44 NUM_BELS 2 SITEPROP TIEOFF_X30Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y44 NUM_PINS 2 SITEPROP TIEOFF_X30Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y44 PROHIBIT 0 SITEPROP TIEOFF_X30Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y44 RPM_X 120 SITEPROP TIEOFF_X30Y44 RPM_Y 88 SITEPROP TIEOFF_X30Y44 SITE_PIPS SITEPROP TIEOFF_X30Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y45 CLASS site SITEPROP TIEOFF_X30Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y45 IS_BONDED 0 SITEPROP TIEOFF_X30Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y45 IS_PAD 0 SITEPROP TIEOFF_X30Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y45 IS_RESERVED 0 SITEPROP TIEOFF_X30Y45 IS_TEST 0 SITEPROP TIEOFF_X30Y45 IS_USED 0 SITEPROP TIEOFF_X30Y45 MANUAL_ROUTING SITEPROP TIEOFF_X30Y45 NAME TIEOFF_X30Y45 SITEPROP TIEOFF_X30Y45 NUM_ARCS 0 SITEPROP TIEOFF_X30Y45 NUM_BELS 2 SITEPROP TIEOFF_X30Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y45 NUM_PINS 2 SITEPROP TIEOFF_X30Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y45 PROHIBIT 0 SITEPROP TIEOFF_X30Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y45 RPM_X 120 SITEPROP TIEOFF_X30Y45 RPM_Y 90 SITEPROP TIEOFF_X30Y45 SITE_PIPS SITEPROP TIEOFF_X30Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y46 CLASS site SITEPROP TIEOFF_X30Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y46 IS_BONDED 0 SITEPROP TIEOFF_X30Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y46 IS_PAD 0 SITEPROP TIEOFF_X30Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y46 IS_RESERVED 0 SITEPROP TIEOFF_X30Y46 IS_TEST 0 SITEPROP TIEOFF_X30Y46 IS_USED 0 SITEPROP TIEOFF_X30Y46 MANUAL_ROUTING SITEPROP TIEOFF_X30Y46 NAME TIEOFF_X30Y46 SITEPROP TIEOFF_X30Y46 NUM_ARCS 0 SITEPROP TIEOFF_X30Y46 NUM_BELS 2 SITEPROP TIEOFF_X30Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y46 NUM_PINS 2 SITEPROP TIEOFF_X30Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y46 PROHIBIT 0 SITEPROP TIEOFF_X30Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y46 RPM_X 120 SITEPROP TIEOFF_X30Y46 RPM_Y 92 SITEPROP TIEOFF_X30Y46 SITE_PIPS SITEPROP TIEOFF_X30Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y47 CLASS site SITEPROP TIEOFF_X30Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y47 IS_BONDED 0 SITEPROP TIEOFF_X30Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y47 IS_PAD 0 SITEPROP TIEOFF_X30Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y47 IS_RESERVED 0 SITEPROP TIEOFF_X30Y47 IS_TEST 0 SITEPROP TIEOFF_X30Y47 IS_USED 0 SITEPROP TIEOFF_X30Y47 MANUAL_ROUTING SITEPROP TIEOFF_X30Y47 NAME TIEOFF_X30Y47 SITEPROP TIEOFF_X30Y47 NUM_ARCS 0 SITEPROP TIEOFF_X30Y47 NUM_BELS 2 SITEPROP TIEOFF_X30Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y47 NUM_PINS 2 SITEPROP TIEOFF_X30Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y47 PROHIBIT 0 SITEPROP TIEOFF_X30Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y47 RPM_X 120 SITEPROP TIEOFF_X30Y47 RPM_Y 94 SITEPROP TIEOFF_X30Y47 SITE_PIPS SITEPROP TIEOFF_X30Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y48 CLASS site SITEPROP TIEOFF_X30Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y48 IS_BONDED 0 SITEPROP TIEOFF_X30Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y48 IS_PAD 0 SITEPROP TIEOFF_X30Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y48 IS_RESERVED 0 SITEPROP TIEOFF_X30Y48 IS_TEST 0 SITEPROP TIEOFF_X30Y48 IS_USED 0 SITEPROP TIEOFF_X30Y48 MANUAL_ROUTING SITEPROP TIEOFF_X30Y48 NAME TIEOFF_X30Y48 SITEPROP TIEOFF_X30Y48 NUM_ARCS 0 SITEPROP TIEOFF_X30Y48 NUM_BELS 2 SITEPROP TIEOFF_X30Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y48 NUM_PINS 2 SITEPROP TIEOFF_X30Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y48 PROHIBIT 0 SITEPROP TIEOFF_X30Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y48 RPM_X 120 SITEPROP TIEOFF_X30Y48 RPM_Y 96 SITEPROP TIEOFF_X30Y48 SITE_PIPS SITEPROP TIEOFF_X30Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y49 CLASS site SITEPROP TIEOFF_X30Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X30Y49 IS_BONDED 0 SITEPROP TIEOFF_X30Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y49 IS_PAD 0 SITEPROP TIEOFF_X30Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y49 IS_RESERVED 0 SITEPROP TIEOFF_X30Y49 IS_TEST 0 SITEPROP TIEOFF_X30Y49 IS_USED 0 SITEPROP TIEOFF_X30Y49 MANUAL_ROUTING SITEPROP TIEOFF_X30Y49 NAME TIEOFF_X30Y49 SITEPROP TIEOFF_X30Y49 NUM_ARCS 0 SITEPROP TIEOFF_X30Y49 NUM_BELS 2 SITEPROP TIEOFF_X30Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y49 NUM_PINS 2 SITEPROP TIEOFF_X30Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y49 PROHIBIT 0 SITEPROP TIEOFF_X30Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y49 RPM_X 120 SITEPROP TIEOFF_X30Y49 RPM_Y 98 SITEPROP TIEOFF_X30Y49 SITE_PIPS SITEPROP TIEOFF_X30Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y50 CLASS site SITEPROP TIEOFF_X30Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y50 IS_BONDED 0 SITEPROP TIEOFF_X30Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y50 IS_PAD 0 SITEPROP TIEOFF_X30Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y50 IS_RESERVED 0 SITEPROP TIEOFF_X30Y50 IS_TEST 0 SITEPROP TIEOFF_X30Y50 IS_USED 0 SITEPROP TIEOFF_X30Y50 MANUAL_ROUTING SITEPROP TIEOFF_X30Y50 NAME TIEOFF_X30Y50 SITEPROP TIEOFF_X30Y50 NUM_ARCS 0 SITEPROP TIEOFF_X30Y50 NUM_BELS 2 SITEPROP TIEOFF_X30Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y50 NUM_PINS 2 SITEPROP TIEOFF_X30Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y50 PROHIBIT 0 SITEPROP TIEOFF_X30Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y50 RPM_X 120 SITEPROP TIEOFF_X30Y50 RPM_Y 100 SITEPROP TIEOFF_X30Y50 SITE_PIPS SITEPROP TIEOFF_X30Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y51 CLASS site SITEPROP TIEOFF_X30Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y51 IS_BONDED 0 SITEPROP TIEOFF_X30Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y51 IS_PAD 0 SITEPROP TIEOFF_X30Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y51 IS_RESERVED 0 SITEPROP TIEOFF_X30Y51 IS_TEST 0 SITEPROP TIEOFF_X30Y51 IS_USED 0 SITEPROP TIEOFF_X30Y51 MANUAL_ROUTING SITEPROP TIEOFF_X30Y51 NAME TIEOFF_X30Y51 SITEPROP TIEOFF_X30Y51 NUM_ARCS 0 SITEPROP TIEOFF_X30Y51 NUM_BELS 2 SITEPROP TIEOFF_X30Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y51 NUM_PINS 2 SITEPROP TIEOFF_X30Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y51 PROHIBIT 0 SITEPROP TIEOFF_X30Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y51 RPM_X 120 SITEPROP TIEOFF_X30Y51 RPM_Y 102 SITEPROP TIEOFF_X30Y51 SITE_PIPS SITEPROP TIEOFF_X30Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y52 CLASS site SITEPROP TIEOFF_X30Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y52 IS_BONDED 0 SITEPROP TIEOFF_X30Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y52 IS_PAD 0 SITEPROP TIEOFF_X30Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y52 IS_RESERVED 0 SITEPROP TIEOFF_X30Y52 IS_TEST 0 SITEPROP TIEOFF_X30Y52 IS_USED 0 SITEPROP TIEOFF_X30Y52 MANUAL_ROUTING SITEPROP TIEOFF_X30Y52 NAME TIEOFF_X30Y52 SITEPROP TIEOFF_X30Y52 NUM_ARCS 0 SITEPROP TIEOFF_X30Y52 NUM_BELS 2 SITEPROP TIEOFF_X30Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y52 NUM_PINS 2 SITEPROP TIEOFF_X30Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y52 PROHIBIT 0 SITEPROP TIEOFF_X30Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y52 RPM_X 120 SITEPROP TIEOFF_X30Y52 RPM_Y 104 SITEPROP TIEOFF_X30Y52 SITE_PIPS SITEPROP TIEOFF_X30Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y53 CLASS site SITEPROP TIEOFF_X30Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y53 IS_BONDED 0 SITEPROP TIEOFF_X30Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y53 IS_PAD 0 SITEPROP TIEOFF_X30Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y53 IS_RESERVED 0 SITEPROP TIEOFF_X30Y53 IS_TEST 0 SITEPROP TIEOFF_X30Y53 IS_USED 0 SITEPROP TIEOFF_X30Y53 MANUAL_ROUTING SITEPROP TIEOFF_X30Y53 NAME TIEOFF_X30Y53 SITEPROP TIEOFF_X30Y53 NUM_ARCS 0 SITEPROP TIEOFF_X30Y53 NUM_BELS 2 SITEPROP TIEOFF_X30Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y53 NUM_PINS 2 SITEPROP TIEOFF_X30Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y53 PROHIBIT 0 SITEPROP TIEOFF_X30Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y53 RPM_X 120 SITEPROP TIEOFF_X30Y53 RPM_Y 106 SITEPROP TIEOFF_X30Y53 SITE_PIPS SITEPROP TIEOFF_X30Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y54 CLASS site SITEPROP TIEOFF_X30Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y54 IS_BONDED 0 SITEPROP TIEOFF_X30Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y54 IS_PAD 0 SITEPROP TIEOFF_X30Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y54 IS_RESERVED 0 SITEPROP TIEOFF_X30Y54 IS_TEST 0 SITEPROP TIEOFF_X30Y54 IS_USED 0 SITEPROP TIEOFF_X30Y54 MANUAL_ROUTING SITEPROP TIEOFF_X30Y54 NAME TIEOFF_X30Y54 SITEPROP TIEOFF_X30Y54 NUM_ARCS 0 SITEPROP TIEOFF_X30Y54 NUM_BELS 2 SITEPROP TIEOFF_X30Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y54 NUM_PINS 2 SITEPROP TIEOFF_X30Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y54 PROHIBIT 0 SITEPROP TIEOFF_X30Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y54 RPM_X 120 SITEPROP TIEOFF_X30Y54 RPM_Y 108 SITEPROP TIEOFF_X30Y54 SITE_PIPS SITEPROP TIEOFF_X30Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y55 CLASS site SITEPROP TIEOFF_X30Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y55 IS_BONDED 0 SITEPROP TIEOFF_X30Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y55 IS_PAD 0 SITEPROP TIEOFF_X30Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y55 IS_RESERVED 0 SITEPROP TIEOFF_X30Y55 IS_TEST 0 SITEPROP TIEOFF_X30Y55 IS_USED 0 SITEPROP TIEOFF_X30Y55 MANUAL_ROUTING SITEPROP TIEOFF_X30Y55 NAME TIEOFF_X30Y55 SITEPROP TIEOFF_X30Y55 NUM_ARCS 0 SITEPROP TIEOFF_X30Y55 NUM_BELS 2 SITEPROP TIEOFF_X30Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y55 NUM_PINS 2 SITEPROP TIEOFF_X30Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y55 PROHIBIT 0 SITEPROP TIEOFF_X30Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y55 RPM_X 120 SITEPROP TIEOFF_X30Y55 RPM_Y 110 SITEPROP TIEOFF_X30Y55 SITE_PIPS SITEPROP TIEOFF_X30Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y56 CLASS site SITEPROP TIEOFF_X30Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y56 IS_BONDED 0 SITEPROP TIEOFF_X30Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y56 IS_PAD 0 SITEPROP TIEOFF_X30Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y56 IS_RESERVED 0 SITEPROP TIEOFF_X30Y56 IS_TEST 0 SITEPROP TIEOFF_X30Y56 IS_USED 0 SITEPROP TIEOFF_X30Y56 MANUAL_ROUTING SITEPROP TIEOFF_X30Y56 NAME TIEOFF_X30Y56 SITEPROP TIEOFF_X30Y56 NUM_ARCS 0 SITEPROP TIEOFF_X30Y56 NUM_BELS 2 SITEPROP TIEOFF_X30Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y56 NUM_PINS 2 SITEPROP TIEOFF_X30Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y56 PROHIBIT 0 SITEPROP TIEOFF_X30Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y56 RPM_X 120 SITEPROP TIEOFF_X30Y56 RPM_Y 112 SITEPROP TIEOFF_X30Y56 SITE_PIPS SITEPROP TIEOFF_X30Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y57 CLASS site SITEPROP TIEOFF_X30Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y57 IS_BONDED 0 SITEPROP TIEOFF_X30Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y57 IS_PAD 0 SITEPROP TIEOFF_X30Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y57 IS_RESERVED 0 SITEPROP TIEOFF_X30Y57 IS_TEST 0 SITEPROP TIEOFF_X30Y57 IS_USED 0 SITEPROP TIEOFF_X30Y57 MANUAL_ROUTING SITEPROP TIEOFF_X30Y57 NAME TIEOFF_X30Y57 SITEPROP TIEOFF_X30Y57 NUM_ARCS 0 SITEPROP TIEOFF_X30Y57 NUM_BELS 2 SITEPROP TIEOFF_X30Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y57 NUM_PINS 2 SITEPROP TIEOFF_X30Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y57 PROHIBIT 0 SITEPROP TIEOFF_X30Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y57 RPM_X 120 SITEPROP TIEOFF_X30Y57 RPM_Y 114 SITEPROP TIEOFF_X30Y57 SITE_PIPS SITEPROP TIEOFF_X30Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y58 CLASS site SITEPROP TIEOFF_X30Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y58 IS_BONDED 0 SITEPROP TIEOFF_X30Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y58 IS_PAD 0 SITEPROP TIEOFF_X30Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y58 IS_RESERVED 0 SITEPROP TIEOFF_X30Y58 IS_TEST 0 SITEPROP TIEOFF_X30Y58 IS_USED 0 SITEPROP TIEOFF_X30Y58 MANUAL_ROUTING SITEPROP TIEOFF_X30Y58 NAME TIEOFF_X30Y58 SITEPROP TIEOFF_X30Y58 NUM_ARCS 0 SITEPROP TIEOFF_X30Y58 NUM_BELS 2 SITEPROP TIEOFF_X30Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y58 NUM_PINS 2 SITEPROP TIEOFF_X30Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y58 PROHIBIT 0 SITEPROP TIEOFF_X30Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y58 RPM_X 120 SITEPROP TIEOFF_X30Y58 RPM_Y 116 SITEPROP TIEOFF_X30Y58 SITE_PIPS SITEPROP TIEOFF_X30Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y59 CLASS site SITEPROP TIEOFF_X30Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y59 IS_BONDED 0 SITEPROP TIEOFF_X30Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y59 IS_PAD 0 SITEPROP TIEOFF_X30Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y59 IS_RESERVED 0 SITEPROP TIEOFF_X30Y59 IS_TEST 0 SITEPROP TIEOFF_X30Y59 IS_USED 0 SITEPROP TIEOFF_X30Y59 MANUAL_ROUTING SITEPROP TIEOFF_X30Y59 NAME TIEOFF_X30Y59 SITEPROP TIEOFF_X30Y59 NUM_ARCS 0 SITEPROP TIEOFF_X30Y59 NUM_BELS 2 SITEPROP TIEOFF_X30Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y59 NUM_PINS 2 SITEPROP TIEOFF_X30Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y59 PROHIBIT 0 SITEPROP TIEOFF_X30Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y59 RPM_X 120 SITEPROP TIEOFF_X30Y59 RPM_Y 118 SITEPROP TIEOFF_X30Y59 SITE_PIPS SITEPROP TIEOFF_X30Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y60 CLASS site SITEPROP TIEOFF_X30Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y60 IS_BONDED 0 SITEPROP TIEOFF_X30Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y60 IS_PAD 0 SITEPROP TIEOFF_X30Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y60 IS_RESERVED 0 SITEPROP TIEOFF_X30Y60 IS_TEST 0 SITEPROP TIEOFF_X30Y60 IS_USED 0 SITEPROP TIEOFF_X30Y60 MANUAL_ROUTING SITEPROP TIEOFF_X30Y60 NAME TIEOFF_X30Y60 SITEPROP TIEOFF_X30Y60 NUM_ARCS 0 SITEPROP TIEOFF_X30Y60 NUM_BELS 2 SITEPROP TIEOFF_X30Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y60 NUM_PINS 2 SITEPROP TIEOFF_X30Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y60 PROHIBIT 0 SITEPROP TIEOFF_X30Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y60 RPM_X 120 SITEPROP TIEOFF_X30Y60 RPM_Y 120 SITEPROP TIEOFF_X30Y60 SITE_PIPS SITEPROP TIEOFF_X30Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y61 CLASS site SITEPROP TIEOFF_X30Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y61 IS_BONDED 0 SITEPROP TIEOFF_X30Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y61 IS_PAD 0 SITEPROP TIEOFF_X30Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y61 IS_RESERVED 0 SITEPROP TIEOFF_X30Y61 IS_TEST 0 SITEPROP TIEOFF_X30Y61 IS_USED 0 SITEPROP TIEOFF_X30Y61 MANUAL_ROUTING SITEPROP TIEOFF_X30Y61 NAME TIEOFF_X30Y61 SITEPROP TIEOFF_X30Y61 NUM_ARCS 0 SITEPROP TIEOFF_X30Y61 NUM_BELS 2 SITEPROP TIEOFF_X30Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y61 NUM_PINS 2 SITEPROP TIEOFF_X30Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y61 PROHIBIT 0 SITEPROP TIEOFF_X30Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y61 RPM_X 120 SITEPROP TIEOFF_X30Y61 RPM_Y 122 SITEPROP TIEOFF_X30Y61 SITE_PIPS SITEPROP TIEOFF_X30Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y62 CLASS site SITEPROP TIEOFF_X30Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y62 IS_BONDED 0 SITEPROP TIEOFF_X30Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y62 IS_PAD 0 SITEPROP TIEOFF_X30Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y62 IS_RESERVED 0 SITEPROP TIEOFF_X30Y62 IS_TEST 0 SITEPROP TIEOFF_X30Y62 IS_USED 0 SITEPROP TIEOFF_X30Y62 MANUAL_ROUTING SITEPROP TIEOFF_X30Y62 NAME TIEOFF_X30Y62 SITEPROP TIEOFF_X30Y62 NUM_ARCS 0 SITEPROP TIEOFF_X30Y62 NUM_BELS 2 SITEPROP TIEOFF_X30Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y62 NUM_PINS 2 SITEPROP TIEOFF_X30Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y62 PROHIBIT 0 SITEPROP TIEOFF_X30Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y62 RPM_X 120 SITEPROP TIEOFF_X30Y62 RPM_Y 124 SITEPROP TIEOFF_X30Y62 SITE_PIPS SITEPROP TIEOFF_X30Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y63 CLASS site SITEPROP TIEOFF_X30Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y63 IS_BONDED 0 SITEPROP TIEOFF_X30Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y63 IS_PAD 0 SITEPROP TIEOFF_X30Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y63 IS_RESERVED 0 SITEPROP TIEOFF_X30Y63 IS_TEST 0 SITEPROP TIEOFF_X30Y63 IS_USED 0 SITEPROP TIEOFF_X30Y63 MANUAL_ROUTING SITEPROP TIEOFF_X30Y63 NAME TIEOFF_X30Y63 SITEPROP TIEOFF_X30Y63 NUM_ARCS 0 SITEPROP TIEOFF_X30Y63 NUM_BELS 2 SITEPROP TIEOFF_X30Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y63 NUM_PINS 2 SITEPROP TIEOFF_X30Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y63 PROHIBIT 0 SITEPROP TIEOFF_X30Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y63 RPM_X 120 SITEPROP TIEOFF_X30Y63 RPM_Y 126 SITEPROP TIEOFF_X30Y63 SITE_PIPS SITEPROP TIEOFF_X30Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y64 CLASS site SITEPROP TIEOFF_X30Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y64 IS_BONDED 0 SITEPROP TIEOFF_X30Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y64 IS_PAD 0 SITEPROP TIEOFF_X30Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y64 IS_RESERVED 0 SITEPROP TIEOFF_X30Y64 IS_TEST 0 SITEPROP TIEOFF_X30Y64 IS_USED 0 SITEPROP TIEOFF_X30Y64 MANUAL_ROUTING SITEPROP TIEOFF_X30Y64 NAME TIEOFF_X30Y64 SITEPROP TIEOFF_X30Y64 NUM_ARCS 0 SITEPROP TIEOFF_X30Y64 NUM_BELS 2 SITEPROP TIEOFF_X30Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y64 NUM_PINS 2 SITEPROP TIEOFF_X30Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y64 PROHIBIT 0 SITEPROP TIEOFF_X30Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y64 RPM_X 120 SITEPROP TIEOFF_X30Y64 RPM_Y 128 SITEPROP TIEOFF_X30Y64 SITE_PIPS SITEPROP TIEOFF_X30Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y65 CLASS site SITEPROP TIEOFF_X30Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y65 IS_BONDED 0 SITEPROP TIEOFF_X30Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y65 IS_PAD 0 SITEPROP TIEOFF_X30Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y65 IS_RESERVED 0 SITEPROP TIEOFF_X30Y65 IS_TEST 0 SITEPROP TIEOFF_X30Y65 IS_USED 0 SITEPROP TIEOFF_X30Y65 MANUAL_ROUTING SITEPROP TIEOFF_X30Y65 NAME TIEOFF_X30Y65 SITEPROP TIEOFF_X30Y65 NUM_ARCS 0 SITEPROP TIEOFF_X30Y65 NUM_BELS 2 SITEPROP TIEOFF_X30Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y65 NUM_PINS 2 SITEPROP TIEOFF_X30Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y65 PROHIBIT 0 SITEPROP TIEOFF_X30Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y65 RPM_X 120 SITEPROP TIEOFF_X30Y65 RPM_Y 130 SITEPROP TIEOFF_X30Y65 SITE_PIPS SITEPROP TIEOFF_X30Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y66 CLASS site SITEPROP TIEOFF_X30Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y66 IS_BONDED 0 SITEPROP TIEOFF_X30Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y66 IS_PAD 0 SITEPROP TIEOFF_X30Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y66 IS_RESERVED 0 SITEPROP TIEOFF_X30Y66 IS_TEST 0 SITEPROP TIEOFF_X30Y66 IS_USED 0 SITEPROP TIEOFF_X30Y66 MANUAL_ROUTING SITEPROP TIEOFF_X30Y66 NAME TIEOFF_X30Y66 SITEPROP TIEOFF_X30Y66 NUM_ARCS 0 SITEPROP TIEOFF_X30Y66 NUM_BELS 2 SITEPROP TIEOFF_X30Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y66 NUM_PINS 2 SITEPROP TIEOFF_X30Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y66 PROHIBIT 0 SITEPROP TIEOFF_X30Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y66 RPM_X 120 SITEPROP TIEOFF_X30Y66 RPM_Y 132 SITEPROP TIEOFF_X30Y66 SITE_PIPS SITEPROP TIEOFF_X30Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y67 CLASS site SITEPROP TIEOFF_X30Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y67 IS_BONDED 0 SITEPROP TIEOFF_X30Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y67 IS_PAD 0 SITEPROP TIEOFF_X30Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y67 IS_RESERVED 0 SITEPROP TIEOFF_X30Y67 IS_TEST 0 SITEPROP TIEOFF_X30Y67 IS_USED 0 SITEPROP TIEOFF_X30Y67 MANUAL_ROUTING SITEPROP TIEOFF_X30Y67 NAME TIEOFF_X30Y67 SITEPROP TIEOFF_X30Y67 NUM_ARCS 0 SITEPROP TIEOFF_X30Y67 NUM_BELS 2 SITEPROP TIEOFF_X30Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y67 NUM_PINS 2 SITEPROP TIEOFF_X30Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y67 PROHIBIT 0 SITEPROP TIEOFF_X30Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y67 RPM_X 120 SITEPROP TIEOFF_X30Y67 RPM_Y 134 SITEPROP TIEOFF_X30Y67 SITE_PIPS SITEPROP TIEOFF_X30Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y68 CLASS site SITEPROP TIEOFF_X30Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y68 IS_BONDED 0 SITEPROP TIEOFF_X30Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y68 IS_PAD 0 SITEPROP TIEOFF_X30Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y68 IS_RESERVED 0 SITEPROP TIEOFF_X30Y68 IS_TEST 0 SITEPROP TIEOFF_X30Y68 IS_USED 0 SITEPROP TIEOFF_X30Y68 MANUAL_ROUTING SITEPROP TIEOFF_X30Y68 NAME TIEOFF_X30Y68 SITEPROP TIEOFF_X30Y68 NUM_ARCS 0 SITEPROP TIEOFF_X30Y68 NUM_BELS 2 SITEPROP TIEOFF_X30Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y68 NUM_PINS 2 SITEPROP TIEOFF_X30Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y68 PROHIBIT 0 SITEPROP TIEOFF_X30Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y68 RPM_X 120 SITEPROP TIEOFF_X30Y68 RPM_Y 136 SITEPROP TIEOFF_X30Y68 SITE_PIPS SITEPROP TIEOFF_X30Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y69 CLASS site SITEPROP TIEOFF_X30Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y69 IS_BONDED 0 SITEPROP TIEOFF_X30Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y69 IS_PAD 0 SITEPROP TIEOFF_X30Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y69 IS_RESERVED 0 SITEPROP TIEOFF_X30Y69 IS_TEST 0 SITEPROP TIEOFF_X30Y69 IS_USED 0 SITEPROP TIEOFF_X30Y69 MANUAL_ROUTING SITEPROP TIEOFF_X30Y69 NAME TIEOFF_X30Y69 SITEPROP TIEOFF_X30Y69 NUM_ARCS 0 SITEPROP TIEOFF_X30Y69 NUM_BELS 2 SITEPROP TIEOFF_X30Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y69 NUM_PINS 2 SITEPROP TIEOFF_X30Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y69 PROHIBIT 0 SITEPROP TIEOFF_X30Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y69 RPM_X 120 SITEPROP TIEOFF_X30Y69 RPM_Y 138 SITEPROP TIEOFF_X30Y69 SITE_PIPS SITEPROP TIEOFF_X30Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y70 CLASS site SITEPROP TIEOFF_X30Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y70 IS_BONDED 0 SITEPROP TIEOFF_X30Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y70 IS_PAD 0 SITEPROP TIEOFF_X30Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y70 IS_RESERVED 0 SITEPROP TIEOFF_X30Y70 IS_TEST 0 SITEPROP TIEOFF_X30Y70 IS_USED 0 SITEPROP TIEOFF_X30Y70 MANUAL_ROUTING SITEPROP TIEOFF_X30Y70 NAME TIEOFF_X30Y70 SITEPROP TIEOFF_X30Y70 NUM_ARCS 0 SITEPROP TIEOFF_X30Y70 NUM_BELS 2 SITEPROP TIEOFF_X30Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y70 NUM_PINS 2 SITEPROP TIEOFF_X30Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y70 PROHIBIT 0 SITEPROP TIEOFF_X30Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y70 RPM_X 120 SITEPROP TIEOFF_X30Y70 RPM_Y 140 SITEPROP TIEOFF_X30Y70 SITE_PIPS SITEPROP TIEOFF_X30Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y71 CLASS site SITEPROP TIEOFF_X30Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y71 IS_BONDED 0 SITEPROP TIEOFF_X30Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y71 IS_PAD 0 SITEPROP TIEOFF_X30Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y71 IS_RESERVED 0 SITEPROP TIEOFF_X30Y71 IS_TEST 0 SITEPROP TIEOFF_X30Y71 IS_USED 0 SITEPROP TIEOFF_X30Y71 MANUAL_ROUTING SITEPROP TIEOFF_X30Y71 NAME TIEOFF_X30Y71 SITEPROP TIEOFF_X30Y71 NUM_ARCS 0 SITEPROP TIEOFF_X30Y71 NUM_BELS 2 SITEPROP TIEOFF_X30Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y71 NUM_PINS 2 SITEPROP TIEOFF_X30Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y71 PROHIBIT 0 SITEPROP TIEOFF_X30Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y71 RPM_X 120 SITEPROP TIEOFF_X30Y71 RPM_Y 142 SITEPROP TIEOFF_X30Y71 SITE_PIPS SITEPROP TIEOFF_X30Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y72 CLASS site SITEPROP TIEOFF_X30Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y72 IS_BONDED 0 SITEPROP TIEOFF_X30Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y72 IS_PAD 0 SITEPROP TIEOFF_X30Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y72 IS_RESERVED 0 SITEPROP TIEOFF_X30Y72 IS_TEST 0 SITEPROP TIEOFF_X30Y72 IS_USED 0 SITEPROP TIEOFF_X30Y72 MANUAL_ROUTING SITEPROP TIEOFF_X30Y72 NAME TIEOFF_X30Y72 SITEPROP TIEOFF_X30Y72 NUM_ARCS 0 SITEPROP TIEOFF_X30Y72 NUM_BELS 2 SITEPROP TIEOFF_X30Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y72 NUM_PINS 2 SITEPROP TIEOFF_X30Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y72 PROHIBIT 0 SITEPROP TIEOFF_X30Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y72 RPM_X 120 SITEPROP TIEOFF_X30Y72 RPM_Y 144 SITEPROP TIEOFF_X30Y72 SITE_PIPS SITEPROP TIEOFF_X30Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y73 CLASS site SITEPROP TIEOFF_X30Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y73 IS_BONDED 0 SITEPROP TIEOFF_X30Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y73 IS_PAD 0 SITEPROP TIEOFF_X30Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y73 IS_RESERVED 0 SITEPROP TIEOFF_X30Y73 IS_TEST 0 SITEPROP TIEOFF_X30Y73 IS_USED 0 SITEPROP TIEOFF_X30Y73 MANUAL_ROUTING SITEPROP TIEOFF_X30Y73 NAME TIEOFF_X30Y73 SITEPROP TIEOFF_X30Y73 NUM_ARCS 0 SITEPROP TIEOFF_X30Y73 NUM_BELS 2 SITEPROP TIEOFF_X30Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y73 NUM_PINS 2 SITEPROP TIEOFF_X30Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y73 PROHIBIT 0 SITEPROP TIEOFF_X30Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y73 RPM_X 120 SITEPROP TIEOFF_X30Y73 RPM_Y 146 SITEPROP TIEOFF_X30Y73 SITE_PIPS SITEPROP TIEOFF_X30Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y74 CLASS site SITEPROP TIEOFF_X30Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y74 IS_BONDED 0 SITEPROP TIEOFF_X30Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y74 IS_PAD 0 SITEPROP TIEOFF_X30Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y74 IS_RESERVED 0 SITEPROP TIEOFF_X30Y74 IS_TEST 0 SITEPROP TIEOFF_X30Y74 IS_USED 0 SITEPROP TIEOFF_X30Y74 MANUAL_ROUTING SITEPROP TIEOFF_X30Y74 NAME TIEOFF_X30Y74 SITEPROP TIEOFF_X30Y74 NUM_ARCS 0 SITEPROP TIEOFF_X30Y74 NUM_BELS 2 SITEPROP TIEOFF_X30Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y74 NUM_PINS 2 SITEPROP TIEOFF_X30Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y74 PROHIBIT 0 SITEPROP TIEOFF_X30Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y74 RPM_X 120 SITEPROP TIEOFF_X30Y74 RPM_Y 148 SITEPROP TIEOFF_X30Y74 SITE_PIPS SITEPROP TIEOFF_X30Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y75 CLASS site SITEPROP TIEOFF_X30Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y75 IS_BONDED 0 SITEPROP TIEOFF_X30Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y75 IS_PAD 0 SITEPROP TIEOFF_X30Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y75 IS_RESERVED 0 SITEPROP TIEOFF_X30Y75 IS_TEST 0 SITEPROP TIEOFF_X30Y75 IS_USED 0 SITEPROP TIEOFF_X30Y75 MANUAL_ROUTING SITEPROP TIEOFF_X30Y75 NAME TIEOFF_X30Y75 SITEPROP TIEOFF_X30Y75 NUM_ARCS 0 SITEPROP TIEOFF_X30Y75 NUM_BELS 2 SITEPROP TIEOFF_X30Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y75 NUM_PINS 2 SITEPROP TIEOFF_X30Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y75 PROHIBIT 0 SITEPROP TIEOFF_X30Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y75 RPM_X 120 SITEPROP TIEOFF_X30Y75 RPM_Y 150 SITEPROP TIEOFF_X30Y75 SITE_PIPS SITEPROP TIEOFF_X30Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y76 CLASS site SITEPROP TIEOFF_X30Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y76 IS_BONDED 0 SITEPROP TIEOFF_X30Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y76 IS_PAD 0 SITEPROP TIEOFF_X30Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y76 IS_RESERVED 0 SITEPROP TIEOFF_X30Y76 IS_TEST 0 SITEPROP TIEOFF_X30Y76 IS_USED 0 SITEPROP TIEOFF_X30Y76 MANUAL_ROUTING SITEPROP TIEOFF_X30Y76 NAME TIEOFF_X30Y76 SITEPROP TIEOFF_X30Y76 NUM_ARCS 0 SITEPROP TIEOFF_X30Y76 NUM_BELS 2 SITEPROP TIEOFF_X30Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y76 NUM_PINS 2 SITEPROP TIEOFF_X30Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y76 PROHIBIT 0 SITEPROP TIEOFF_X30Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y76 RPM_X 120 SITEPROP TIEOFF_X30Y76 RPM_Y 152 SITEPROP TIEOFF_X30Y76 SITE_PIPS SITEPROP TIEOFF_X30Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y77 CLASS site SITEPROP TIEOFF_X30Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y77 IS_BONDED 0 SITEPROP TIEOFF_X30Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y77 IS_PAD 0 SITEPROP TIEOFF_X30Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y77 IS_RESERVED 0 SITEPROP TIEOFF_X30Y77 IS_TEST 0 SITEPROP TIEOFF_X30Y77 IS_USED 0 SITEPROP TIEOFF_X30Y77 MANUAL_ROUTING SITEPROP TIEOFF_X30Y77 NAME TIEOFF_X30Y77 SITEPROP TIEOFF_X30Y77 NUM_ARCS 0 SITEPROP TIEOFF_X30Y77 NUM_BELS 2 SITEPROP TIEOFF_X30Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y77 NUM_PINS 2 SITEPROP TIEOFF_X30Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y77 PROHIBIT 0 SITEPROP TIEOFF_X30Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y77 RPM_X 120 SITEPROP TIEOFF_X30Y77 RPM_Y 154 SITEPROP TIEOFF_X30Y77 SITE_PIPS SITEPROP TIEOFF_X30Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y78 CLASS site SITEPROP TIEOFF_X30Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y78 IS_BONDED 0 SITEPROP TIEOFF_X30Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y78 IS_PAD 0 SITEPROP TIEOFF_X30Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y78 IS_RESERVED 0 SITEPROP TIEOFF_X30Y78 IS_TEST 0 SITEPROP TIEOFF_X30Y78 IS_USED 0 SITEPROP TIEOFF_X30Y78 MANUAL_ROUTING SITEPROP TIEOFF_X30Y78 NAME TIEOFF_X30Y78 SITEPROP TIEOFF_X30Y78 NUM_ARCS 0 SITEPROP TIEOFF_X30Y78 NUM_BELS 2 SITEPROP TIEOFF_X30Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y78 NUM_PINS 2 SITEPROP TIEOFF_X30Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y78 PROHIBIT 0 SITEPROP TIEOFF_X30Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y78 RPM_X 120 SITEPROP TIEOFF_X30Y78 RPM_Y 156 SITEPROP TIEOFF_X30Y78 SITE_PIPS SITEPROP TIEOFF_X30Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y79 CLASS site SITEPROP TIEOFF_X30Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y79 IS_BONDED 0 SITEPROP TIEOFF_X30Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y79 IS_PAD 0 SITEPROP TIEOFF_X30Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y79 IS_RESERVED 0 SITEPROP TIEOFF_X30Y79 IS_TEST 0 SITEPROP TIEOFF_X30Y79 IS_USED 0 SITEPROP TIEOFF_X30Y79 MANUAL_ROUTING SITEPROP TIEOFF_X30Y79 NAME TIEOFF_X30Y79 SITEPROP TIEOFF_X30Y79 NUM_ARCS 0 SITEPROP TIEOFF_X30Y79 NUM_BELS 2 SITEPROP TIEOFF_X30Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y79 NUM_PINS 2 SITEPROP TIEOFF_X30Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y79 PROHIBIT 0 SITEPROP TIEOFF_X30Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y79 RPM_X 120 SITEPROP TIEOFF_X30Y79 RPM_Y 158 SITEPROP TIEOFF_X30Y79 SITE_PIPS SITEPROP TIEOFF_X30Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y80 CLASS site SITEPROP TIEOFF_X30Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y80 IS_BONDED 0 SITEPROP TIEOFF_X30Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y80 IS_PAD 0 SITEPROP TIEOFF_X30Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y80 IS_RESERVED 0 SITEPROP TIEOFF_X30Y80 IS_TEST 0 SITEPROP TIEOFF_X30Y80 IS_USED 0 SITEPROP TIEOFF_X30Y80 MANUAL_ROUTING SITEPROP TIEOFF_X30Y80 NAME TIEOFF_X30Y80 SITEPROP TIEOFF_X30Y80 NUM_ARCS 0 SITEPROP TIEOFF_X30Y80 NUM_BELS 2 SITEPROP TIEOFF_X30Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y80 NUM_PINS 2 SITEPROP TIEOFF_X30Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y80 PROHIBIT 0 SITEPROP TIEOFF_X30Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y80 RPM_X 120 SITEPROP TIEOFF_X30Y80 RPM_Y 160 SITEPROP TIEOFF_X30Y80 SITE_PIPS SITEPROP TIEOFF_X30Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y81 CLASS site SITEPROP TIEOFF_X30Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y81 IS_BONDED 0 SITEPROP TIEOFF_X30Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y81 IS_PAD 0 SITEPROP TIEOFF_X30Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y81 IS_RESERVED 0 SITEPROP TIEOFF_X30Y81 IS_TEST 0 SITEPROP TIEOFF_X30Y81 IS_USED 0 SITEPROP TIEOFF_X30Y81 MANUAL_ROUTING SITEPROP TIEOFF_X30Y81 NAME TIEOFF_X30Y81 SITEPROP TIEOFF_X30Y81 NUM_ARCS 0 SITEPROP TIEOFF_X30Y81 NUM_BELS 2 SITEPROP TIEOFF_X30Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y81 NUM_PINS 2 SITEPROP TIEOFF_X30Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y81 PROHIBIT 0 SITEPROP TIEOFF_X30Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y81 RPM_X 120 SITEPROP TIEOFF_X30Y81 RPM_Y 162 SITEPROP TIEOFF_X30Y81 SITE_PIPS SITEPROP TIEOFF_X30Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y82 CLASS site SITEPROP TIEOFF_X30Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y82 IS_BONDED 0 SITEPROP TIEOFF_X30Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y82 IS_PAD 0 SITEPROP TIEOFF_X30Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y82 IS_RESERVED 0 SITEPROP TIEOFF_X30Y82 IS_TEST 0 SITEPROP TIEOFF_X30Y82 IS_USED 0 SITEPROP TIEOFF_X30Y82 MANUAL_ROUTING SITEPROP TIEOFF_X30Y82 NAME TIEOFF_X30Y82 SITEPROP TIEOFF_X30Y82 NUM_ARCS 0 SITEPROP TIEOFF_X30Y82 NUM_BELS 2 SITEPROP TIEOFF_X30Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y82 NUM_PINS 2 SITEPROP TIEOFF_X30Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y82 PROHIBIT 0 SITEPROP TIEOFF_X30Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y82 RPM_X 120 SITEPROP TIEOFF_X30Y82 RPM_Y 164 SITEPROP TIEOFF_X30Y82 SITE_PIPS SITEPROP TIEOFF_X30Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y83 CLASS site SITEPROP TIEOFF_X30Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y83 IS_BONDED 0 SITEPROP TIEOFF_X30Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y83 IS_PAD 0 SITEPROP TIEOFF_X30Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y83 IS_RESERVED 0 SITEPROP TIEOFF_X30Y83 IS_TEST 0 SITEPROP TIEOFF_X30Y83 IS_USED 0 SITEPROP TIEOFF_X30Y83 MANUAL_ROUTING SITEPROP TIEOFF_X30Y83 NAME TIEOFF_X30Y83 SITEPROP TIEOFF_X30Y83 NUM_ARCS 0 SITEPROP TIEOFF_X30Y83 NUM_BELS 2 SITEPROP TIEOFF_X30Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y83 NUM_PINS 2 SITEPROP TIEOFF_X30Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y83 PROHIBIT 0 SITEPROP TIEOFF_X30Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y83 RPM_X 120 SITEPROP TIEOFF_X30Y83 RPM_Y 166 SITEPROP TIEOFF_X30Y83 SITE_PIPS SITEPROP TIEOFF_X30Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y84 CLASS site SITEPROP TIEOFF_X30Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y84 IS_BONDED 0 SITEPROP TIEOFF_X30Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y84 IS_PAD 0 SITEPROP TIEOFF_X30Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y84 IS_RESERVED 0 SITEPROP TIEOFF_X30Y84 IS_TEST 0 SITEPROP TIEOFF_X30Y84 IS_USED 0 SITEPROP TIEOFF_X30Y84 MANUAL_ROUTING SITEPROP TIEOFF_X30Y84 NAME TIEOFF_X30Y84 SITEPROP TIEOFF_X30Y84 NUM_ARCS 0 SITEPROP TIEOFF_X30Y84 NUM_BELS 2 SITEPROP TIEOFF_X30Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y84 NUM_PINS 2 SITEPROP TIEOFF_X30Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y84 PROHIBIT 0 SITEPROP TIEOFF_X30Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y84 RPM_X 120 SITEPROP TIEOFF_X30Y84 RPM_Y 168 SITEPROP TIEOFF_X30Y84 SITE_PIPS SITEPROP TIEOFF_X30Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y85 CLASS site SITEPROP TIEOFF_X30Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y85 IS_BONDED 0 SITEPROP TIEOFF_X30Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y85 IS_PAD 0 SITEPROP TIEOFF_X30Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y85 IS_RESERVED 0 SITEPROP TIEOFF_X30Y85 IS_TEST 0 SITEPROP TIEOFF_X30Y85 IS_USED 0 SITEPROP TIEOFF_X30Y85 MANUAL_ROUTING SITEPROP TIEOFF_X30Y85 NAME TIEOFF_X30Y85 SITEPROP TIEOFF_X30Y85 NUM_ARCS 0 SITEPROP TIEOFF_X30Y85 NUM_BELS 2 SITEPROP TIEOFF_X30Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y85 NUM_PINS 2 SITEPROP TIEOFF_X30Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y85 PROHIBIT 0 SITEPROP TIEOFF_X30Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y85 RPM_X 120 SITEPROP TIEOFF_X30Y85 RPM_Y 170 SITEPROP TIEOFF_X30Y85 SITE_PIPS SITEPROP TIEOFF_X30Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y86 CLASS site SITEPROP TIEOFF_X30Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y86 IS_BONDED 0 SITEPROP TIEOFF_X30Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y86 IS_PAD 0 SITEPROP TIEOFF_X30Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y86 IS_RESERVED 0 SITEPROP TIEOFF_X30Y86 IS_TEST 0 SITEPROP TIEOFF_X30Y86 IS_USED 0 SITEPROP TIEOFF_X30Y86 MANUAL_ROUTING SITEPROP TIEOFF_X30Y86 NAME TIEOFF_X30Y86 SITEPROP TIEOFF_X30Y86 NUM_ARCS 0 SITEPROP TIEOFF_X30Y86 NUM_BELS 2 SITEPROP TIEOFF_X30Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y86 NUM_PINS 2 SITEPROP TIEOFF_X30Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y86 PROHIBIT 0 SITEPROP TIEOFF_X30Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y86 RPM_X 120 SITEPROP TIEOFF_X30Y86 RPM_Y 172 SITEPROP TIEOFF_X30Y86 SITE_PIPS SITEPROP TIEOFF_X30Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y87 CLASS site SITEPROP TIEOFF_X30Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y87 IS_BONDED 0 SITEPROP TIEOFF_X30Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y87 IS_PAD 0 SITEPROP TIEOFF_X30Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y87 IS_RESERVED 0 SITEPROP TIEOFF_X30Y87 IS_TEST 0 SITEPROP TIEOFF_X30Y87 IS_USED 0 SITEPROP TIEOFF_X30Y87 MANUAL_ROUTING SITEPROP TIEOFF_X30Y87 NAME TIEOFF_X30Y87 SITEPROP TIEOFF_X30Y87 NUM_ARCS 0 SITEPROP TIEOFF_X30Y87 NUM_BELS 2 SITEPROP TIEOFF_X30Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y87 NUM_PINS 2 SITEPROP TIEOFF_X30Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y87 PROHIBIT 0 SITEPROP TIEOFF_X30Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y87 RPM_X 120 SITEPROP TIEOFF_X30Y87 RPM_Y 174 SITEPROP TIEOFF_X30Y87 SITE_PIPS SITEPROP TIEOFF_X30Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y88 CLASS site SITEPROP TIEOFF_X30Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y88 IS_BONDED 0 SITEPROP TIEOFF_X30Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y88 IS_PAD 0 SITEPROP TIEOFF_X30Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y88 IS_RESERVED 0 SITEPROP TIEOFF_X30Y88 IS_TEST 0 SITEPROP TIEOFF_X30Y88 IS_USED 0 SITEPROP TIEOFF_X30Y88 MANUAL_ROUTING SITEPROP TIEOFF_X30Y88 NAME TIEOFF_X30Y88 SITEPROP TIEOFF_X30Y88 NUM_ARCS 0 SITEPROP TIEOFF_X30Y88 NUM_BELS 2 SITEPROP TIEOFF_X30Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y88 NUM_PINS 2 SITEPROP TIEOFF_X30Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y88 PROHIBIT 0 SITEPROP TIEOFF_X30Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y88 RPM_X 120 SITEPROP TIEOFF_X30Y88 RPM_Y 176 SITEPROP TIEOFF_X30Y88 SITE_PIPS SITEPROP TIEOFF_X30Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y89 CLASS site SITEPROP TIEOFF_X30Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y89 IS_BONDED 0 SITEPROP TIEOFF_X30Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y89 IS_PAD 0 SITEPROP TIEOFF_X30Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y89 IS_RESERVED 0 SITEPROP TIEOFF_X30Y89 IS_TEST 0 SITEPROP TIEOFF_X30Y89 IS_USED 0 SITEPROP TIEOFF_X30Y89 MANUAL_ROUTING SITEPROP TIEOFF_X30Y89 NAME TIEOFF_X30Y89 SITEPROP TIEOFF_X30Y89 NUM_ARCS 0 SITEPROP TIEOFF_X30Y89 NUM_BELS 2 SITEPROP TIEOFF_X30Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y89 NUM_PINS 2 SITEPROP TIEOFF_X30Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y89 PROHIBIT 0 SITEPROP TIEOFF_X30Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y89 RPM_X 120 SITEPROP TIEOFF_X30Y89 RPM_Y 178 SITEPROP TIEOFF_X30Y89 SITE_PIPS SITEPROP TIEOFF_X30Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y90 CLASS site SITEPROP TIEOFF_X30Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y90 IS_BONDED 0 SITEPROP TIEOFF_X30Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y90 IS_PAD 0 SITEPROP TIEOFF_X30Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y90 IS_RESERVED 0 SITEPROP TIEOFF_X30Y90 IS_TEST 0 SITEPROP TIEOFF_X30Y90 IS_USED 0 SITEPROP TIEOFF_X30Y90 MANUAL_ROUTING SITEPROP TIEOFF_X30Y90 NAME TIEOFF_X30Y90 SITEPROP TIEOFF_X30Y90 NUM_ARCS 0 SITEPROP TIEOFF_X30Y90 NUM_BELS 2 SITEPROP TIEOFF_X30Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y90 NUM_PINS 2 SITEPROP TIEOFF_X30Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y90 PROHIBIT 0 SITEPROP TIEOFF_X30Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y90 RPM_X 120 SITEPROP TIEOFF_X30Y90 RPM_Y 180 SITEPROP TIEOFF_X30Y90 SITE_PIPS SITEPROP TIEOFF_X30Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y91 CLASS site SITEPROP TIEOFF_X30Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y91 IS_BONDED 0 SITEPROP TIEOFF_X30Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y91 IS_PAD 0 SITEPROP TIEOFF_X30Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y91 IS_RESERVED 0 SITEPROP TIEOFF_X30Y91 IS_TEST 0 SITEPROP TIEOFF_X30Y91 IS_USED 0 SITEPROP TIEOFF_X30Y91 MANUAL_ROUTING SITEPROP TIEOFF_X30Y91 NAME TIEOFF_X30Y91 SITEPROP TIEOFF_X30Y91 NUM_ARCS 0 SITEPROP TIEOFF_X30Y91 NUM_BELS 2 SITEPROP TIEOFF_X30Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y91 NUM_PINS 2 SITEPROP TIEOFF_X30Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y91 PROHIBIT 0 SITEPROP TIEOFF_X30Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y91 RPM_X 120 SITEPROP TIEOFF_X30Y91 RPM_Y 182 SITEPROP TIEOFF_X30Y91 SITE_PIPS SITEPROP TIEOFF_X30Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y92 CLASS site SITEPROP TIEOFF_X30Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y92 IS_BONDED 0 SITEPROP TIEOFF_X30Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y92 IS_PAD 0 SITEPROP TIEOFF_X30Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y92 IS_RESERVED 0 SITEPROP TIEOFF_X30Y92 IS_TEST 0 SITEPROP TIEOFF_X30Y92 IS_USED 0 SITEPROP TIEOFF_X30Y92 MANUAL_ROUTING SITEPROP TIEOFF_X30Y92 NAME TIEOFF_X30Y92 SITEPROP TIEOFF_X30Y92 NUM_ARCS 0 SITEPROP TIEOFF_X30Y92 NUM_BELS 2 SITEPROP TIEOFF_X30Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y92 NUM_PINS 2 SITEPROP TIEOFF_X30Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y92 PROHIBIT 0 SITEPROP TIEOFF_X30Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y92 RPM_X 120 SITEPROP TIEOFF_X30Y92 RPM_Y 184 SITEPROP TIEOFF_X30Y92 SITE_PIPS SITEPROP TIEOFF_X30Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y93 CLASS site SITEPROP TIEOFF_X30Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y93 IS_BONDED 0 SITEPROP TIEOFF_X30Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y93 IS_PAD 0 SITEPROP TIEOFF_X30Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y93 IS_RESERVED 0 SITEPROP TIEOFF_X30Y93 IS_TEST 0 SITEPROP TIEOFF_X30Y93 IS_USED 0 SITEPROP TIEOFF_X30Y93 MANUAL_ROUTING SITEPROP TIEOFF_X30Y93 NAME TIEOFF_X30Y93 SITEPROP TIEOFF_X30Y93 NUM_ARCS 0 SITEPROP TIEOFF_X30Y93 NUM_BELS 2 SITEPROP TIEOFF_X30Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y93 NUM_PINS 2 SITEPROP TIEOFF_X30Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y93 PROHIBIT 0 SITEPROP TIEOFF_X30Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y93 RPM_X 120 SITEPROP TIEOFF_X30Y93 RPM_Y 186 SITEPROP TIEOFF_X30Y93 SITE_PIPS SITEPROP TIEOFF_X30Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y94 CLASS site SITEPROP TIEOFF_X30Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y94 IS_BONDED 0 SITEPROP TIEOFF_X30Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y94 IS_PAD 0 SITEPROP TIEOFF_X30Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y94 IS_RESERVED 0 SITEPROP TIEOFF_X30Y94 IS_TEST 0 SITEPROP TIEOFF_X30Y94 IS_USED 0 SITEPROP TIEOFF_X30Y94 MANUAL_ROUTING SITEPROP TIEOFF_X30Y94 NAME TIEOFF_X30Y94 SITEPROP TIEOFF_X30Y94 NUM_ARCS 0 SITEPROP TIEOFF_X30Y94 NUM_BELS 2 SITEPROP TIEOFF_X30Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y94 NUM_PINS 2 SITEPROP TIEOFF_X30Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y94 PROHIBIT 0 SITEPROP TIEOFF_X30Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y94 RPM_X 120 SITEPROP TIEOFF_X30Y94 RPM_Y 188 SITEPROP TIEOFF_X30Y94 SITE_PIPS SITEPROP TIEOFF_X30Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y95 CLASS site SITEPROP TIEOFF_X30Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y95 IS_BONDED 0 SITEPROP TIEOFF_X30Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y95 IS_PAD 0 SITEPROP TIEOFF_X30Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y95 IS_RESERVED 0 SITEPROP TIEOFF_X30Y95 IS_TEST 0 SITEPROP TIEOFF_X30Y95 IS_USED 0 SITEPROP TIEOFF_X30Y95 MANUAL_ROUTING SITEPROP TIEOFF_X30Y95 NAME TIEOFF_X30Y95 SITEPROP TIEOFF_X30Y95 NUM_ARCS 0 SITEPROP TIEOFF_X30Y95 NUM_BELS 2 SITEPROP TIEOFF_X30Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y95 NUM_PINS 2 SITEPROP TIEOFF_X30Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y95 PROHIBIT 0 SITEPROP TIEOFF_X30Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y95 RPM_X 120 SITEPROP TIEOFF_X30Y95 RPM_Y 190 SITEPROP TIEOFF_X30Y95 SITE_PIPS SITEPROP TIEOFF_X30Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y96 CLASS site SITEPROP TIEOFF_X30Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y96 IS_BONDED 0 SITEPROP TIEOFF_X30Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y96 IS_PAD 0 SITEPROP TIEOFF_X30Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y96 IS_RESERVED 0 SITEPROP TIEOFF_X30Y96 IS_TEST 0 SITEPROP TIEOFF_X30Y96 IS_USED 0 SITEPROP TIEOFF_X30Y96 MANUAL_ROUTING SITEPROP TIEOFF_X30Y96 NAME TIEOFF_X30Y96 SITEPROP TIEOFF_X30Y96 NUM_ARCS 0 SITEPROP TIEOFF_X30Y96 NUM_BELS 2 SITEPROP TIEOFF_X30Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y96 NUM_PINS 2 SITEPROP TIEOFF_X30Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y96 PROHIBIT 0 SITEPROP TIEOFF_X30Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y96 RPM_X 120 SITEPROP TIEOFF_X30Y96 RPM_Y 192 SITEPROP TIEOFF_X30Y96 SITE_PIPS SITEPROP TIEOFF_X30Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y97 CLASS site SITEPROP TIEOFF_X30Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y97 IS_BONDED 0 SITEPROP TIEOFF_X30Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y97 IS_PAD 0 SITEPROP TIEOFF_X30Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y97 IS_RESERVED 0 SITEPROP TIEOFF_X30Y97 IS_TEST 0 SITEPROP TIEOFF_X30Y97 IS_USED 0 SITEPROP TIEOFF_X30Y97 MANUAL_ROUTING SITEPROP TIEOFF_X30Y97 NAME TIEOFF_X30Y97 SITEPROP TIEOFF_X30Y97 NUM_ARCS 0 SITEPROP TIEOFF_X30Y97 NUM_BELS 2 SITEPROP TIEOFF_X30Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y97 NUM_PINS 2 SITEPROP TIEOFF_X30Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y97 PROHIBIT 0 SITEPROP TIEOFF_X30Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y97 RPM_X 120 SITEPROP TIEOFF_X30Y97 RPM_Y 194 SITEPROP TIEOFF_X30Y97 SITE_PIPS SITEPROP TIEOFF_X30Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y98 CLASS site SITEPROP TIEOFF_X30Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y98 IS_BONDED 0 SITEPROP TIEOFF_X30Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y98 IS_PAD 0 SITEPROP TIEOFF_X30Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y98 IS_RESERVED 0 SITEPROP TIEOFF_X30Y98 IS_TEST 0 SITEPROP TIEOFF_X30Y98 IS_USED 0 SITEPROP TIEOFF_X30Y98 MANUAL_ROUTING SITEPROP TIEOFF_X30Y98 NAME TIEOFF_X30Y98 SITEPROP TIEOFF_X30Y98 NUM_ARCS 0 SITEPROP TIEOFF_X30Y98 NUM_BELS 2 SITEPROP TIEOFF_X30Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y98 NUM_PINS 2 SITEPROP TIEOFF_X30Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y98 PROHIBIT 0 SITEPROP TIEOFF_X30Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y98 RPM_X 120 SITEPROP TIEOFF_X30Y98 RPM_Y 196 SITEPROP TIEOFF_X30Y98 SITE_PIPS SITEPROP TIEOFF_X30Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y99 CLASS site SITEPROP TIEOFF_X30Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X30Y99 IS_BONDED 0 SITEPROP TIEOFF_X30Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y99 IS_PAD 0 SITEPROP TIEOFF_X30Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y99 IS_RESERVED 0 SITEPROP TIEOFF_X30Y99 IS_TEST 0 SITEPROP TIEOFF_X30Y99 IS_USED 0 SITEPROP TIEOFF_X30Y99 MANUAL_ROUTING SITEPROP TIEOFF_X30Y99 NAME TIEOFF_X30Y99 SITEPROP TIEOFF_X30Y99 NUM_ARCS 0 SITEPROP TIEOFF_X30Y99 NUM_BELS 2 SITEPROP TIEOFF_X30Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y99 NUM_PINS 2 SITEPROP TIEOFF_X30Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y99 PROHIBIT 0 SITEPROP TIEOFF_X30Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y99 RPM_X 120 SITEPROP TIEOFF_X30Y99 RPM_Y 198 SITEPROP TIEOFF_X30Y99 SITE_PIPS SITEPROP TIEOFF_X30Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y125 CLASS site SITEPROP TIEOFF_X30Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y125 IS_BONDED 0 SITEPROP TIEOFF_X30Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y125 IS_PAD 0 SITEPROP TIEOFF_X30Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y125 IS_RESERVED 0 SITEPROP TIEOFF_X30Y125 IS_TEST 0 SITEPROP TIEOFF_X30Y125 IS_USED 0 SITEPROP TIEOFF_X30Y125 MANUAL_ROUTING SITEPROP TIEOFF_X30Y125 NAME TIEOFF_X30Y125 SITEPROP TIEOFF_X30Y125 NUM_ARCS 0 SITEPROP TIEOFF_X30Y125 NUM_BELS 2 SITEPROP TIEOFF_X30Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y125 NUM_PINS 2 SITEPROP TIEOFF_X30Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y125 PROHIBIT 0 SITEPROP TIEOFF_X30Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y125 RPM_X 120 SITEPROP TIEOFF_X30Y125 RPM_Y 250 SITEPROP TIEOFF_X30Y125 SITE_PIPS SITEPROP TIEOFF_X30Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y126 CLASS site SITEPROP TIEOFF_X30Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y126 IS_BONDED 0 SITEPROP TIEOFF_X30Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y126 IS_PAD 0 SITEPROP TIEOFF_X30Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y126 IS_RESERVED 0 SITEPROP TIEOFF_X30Y126 IS_TEST 0 SITEPROP TIEOFF_X30Y126 IS_USED 0 SITEPROP TIEOFF_X30Y126 MANUAL_ROUTING SITEPROP TIEOFF_X30Y126 NAME TIEOFF_X30Y126 SITEPROP TIEOFF_X30Y126 NUM_ARCS 0 SITEPROP TIEOFF_X30Y126 NUM_BELS 2 SITEPROP TIEOFF_X30Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y126 NUM_PINS 2 SITEPROP TIEOFF_X30Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y126 PROHIBIT 0 SITEPROP TIEOFF_X30Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y126 RPM_X 120 SITEPROP TIEOFF_X30Y126 RPM_Y 252 SITEPROP TIEOFF_X30Y126 SITE_PIPS SITEPROP TIEOFF_X30Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y127 CLASS site SITEPROP TIEOFF_X30Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y127 IS_BONDED 0 SITEPROP TIEOFF_X30Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y127 IS_PAD 0 SITEPROP TIEOFF_X30Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y127 IS_RESERVED 0 SITEPROP TIEOFF_X30Y127 IS_TEST 0 SITEPROP TIEOFF_X30Y127 IS_USED 0 SITEPROP TIEOFF_X30Y127 MANUAL_ROUTING SITEPROP TIEOFF_X30Y127 NAME TIEOFF_X30Y127 SITEPROP TIEOFF_X30Y127 NUM_ARCS 0 SITEPROP TIEOFF_X30Y127 NUM_BELS 2 SITEPROP TIEOFF_X30Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y127 NUM_PINS 2 SITEPROP TIEOFF_X30Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y127 PROHIBIT 0 SITEPROP TIEOFF_X30Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y127 RPM_X 120 SITEPROP TIEOFF_X30Y127 RPM_Y 254 SITEPROP TIEOFF_X30Y127 SITE_PIPS SITEPROP TIEOFF_X30Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y128 CLASS site SITEPROP TIEOFF_X30Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y128 IS_BONDED 0 SITEPROP TIEOFF_X30Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y128 IS_PAD 0 SITEPROP TIEOFF_X30Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y128 IS_RESERVED 0 SITEPROP TIEOFF_X30Y128 IS_TEST 0 SITEPROP TIEOFF_X30Y128 IS_USED 0 SITEPROP TIEOFF_X30Y128 MANUAL_ROUTING SITEPROP TIEOFF_X30Y128 NAME TIEOFF_X30Y128 SITEPROP TIEOFF_X30Y128 NUM_ARCS 0 SITEPROP TIEOFF_X30Y128 NUM_BELS 2 SITEPROP TIEOFF_X30Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y128 NUM_PINS 2 SITEPROP TIEOFF_X30Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y128 PROHIBIT 0 SITEPROP TIEOFF_X30Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y128 RPM_X 120 SITEPROP TIEOFF_X30Y128 RPM_Y 256 SITEPROP TIEOFF_X30Y128 SITE_PIPS SITEPROP TIEOFF_X30Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y129 CLASS site SITEPROP TIEOFF_X30Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y129 IS_BONDED 0 SITEPROP TIEOFF_X30Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y129 IS_PAD 0 SITEPROP TIEOFF_X30Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y129 IS_RESERVED 0 SITEPROP TIEOFF_X30Y129 IS_TEST 0 SITEPROP TIEOFF_X30Y129 IS_USED 0 SITEPROP TIEOFF_X30Y129 MANUAL_ROUTING SITEPROP TIEOFF_X30Y129 NAME TIEOFF_X30Y129 SITEPROP TIEOFF_X30Y129 NUM_ARCS 0 SITEPROP TIEOFF_X30Y129 NUM_BELS 2 SITEPROP TIEOFF_X30Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y129 NUM_PINS 2 SITEPROP TIEOFF_X30Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y129 PROHIBIT 0 SITEPROP TIEOFF_X30Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y129 RPM_X 120 SITEPROP TIEOFF_X30Y129 RPM_Y 258 SITEPROP TIEOFF_X30Y129 SITE_PIPS SITEPROP TIEOFF_X30Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y130 CLASS site SITEPROP TIEOFF_X30Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y130 IS_BONDED 0 SITEPROP TIEOFF_X30Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y130 IS_PAD 0 SITEPROP TIEOFF_X30Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y130 IS_RESERVED 0 SITEPROP TIEOFF_X30Y130 IS_TEST 0 SITEPROP TIEOFF_X30Y130 IS_USED 0 SITEPROP TIEOFF_X30Y130 MANUAL_ROUTING SITEPROP TIEOFF_X30Y130 NAME TIEOFF_X30Y130 SITEPROP TIEOFF_X30Y130 NUM_ARCS 0 SITEPROP TIEOFF_X30Y130 NUM_BELS 2 SITEPROP TIEOFF_X30Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y130 NUM_PINS 2 SITEPROP TIEOFF_X30Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y130 PROHIBIT 0 SITEPROP TIEOFF_X30Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y130 RPM_X 120 SITEPROP TIEOFF_X30Y130 RPM_Y 260 SITEPROP TIEOFF_X30Y130 SITE_PIPS SITEPROP TIEOFF_X30Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y131 CLASS site SITEPROP TIEOFF_X30Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y131 IS_BONDED 0 SITEPROP TIEOFF_X30Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y131 IS_PAD 0 SITEPROP TIEOFF_X30Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y131 IS_RESERVED 0 SITEPROP TIEOFF_X30Y131 IS_TEST 0 SITEPROP TIEOFF_X30Y131 IS_USED 0 SITEPROP TIEOFF_X30Y131 MANUAL_ROUTING SITEPROP TIEOFF_X30Y131 NAME TIEOFF_X30Y131 SITEPROP TIEOFF_X30Y131 NUM_ARCS 0 SITEPROP TIEOFF_X30Y131 NUM_BELS 2 SITEPROP TIEOFF_X30Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y131 NUM_PINS 2 SITEPROP TIEOFF_X30Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y131 PROHIBIT 0 SITEPROP TIEOFF_X30Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y131 RPM_X 120 SITEPROP TIEOFF_X30Y131 RPM_Y 262 SITEPROP TIEOFF_X30Y131 SITE_PIPS SITEPROP TIEOFF_X30Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y132 CLASS site SITEPROP TIEOFF_X30Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y132 IS_BONDED 0 SITEPROP TIEOFF_X30Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y132 IS_PAD 0 SITEPROP TIEOFF_X30Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y132 IS_RESERVED 0 SITEPROP TIEOFF_X30Y132 IS_TEST 0 SITEPROP TIEOFF_X30Y132 IS_USED 0 SITEPROP TIEOFF_X30Y132 MANUAL_ROUTING SITEPROP TIEOFF_X30Y132 NAME TIEOFF_X30Y132 SITEPROP TIEOFF_X30Y132 NUM_ARCS 0 SITEPROP TIEOFF_X30Y132 NUM_BELS 2 SITEPROP TIEOFF_X30Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y132 NUM_PINS 2 SITEPROP TIEOFF_X30Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y132 PROHIBIT 0 SITEPROP TIEOFF_X30Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y132 RPM_X 120 SITEPROP TIEOFF_X30Y132 RPM_Y 264 SITEPROP TIEOFF_X30Y132 SITE_PIPS SITEPROP TIEOFF_X30Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y133 CLASS site SITEPROP TIEOFF_X30Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y133 IS_BONDED 0 SITEPROP TIEOFF_X30Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y133 IS_PAD 0 SITEPROP TIEOFF_X30Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y133 IS_RESERVED 0 SITEPROP TIEOFF_X30Y133 IS_TEST 0 SITEPROP TIEOFF_X30Y133 IS_USED 0 SITEPROP TIEOFF_X30Y133 MANUAL_ROUTING SITEPROP TIEOFF_X30Y133 NAME TIEOFF_X30Y133 SITEPROP TIEOFF_X30Y133 NUM_ARCS 0 SITEPROP TIEOFF_X30Y133 NUM_BELS 2 SITEPROP TIEOFF_X30Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y133 NUM_PINS 2 SITEPROP TIEOFF_X30Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y133 PROHIBIT 0 SITEPROP TIEOFF_X30Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y133 RPM_X 120 SITEPROP TIEOFF_X30Y133 RPM_Y 266 SITEPROP TIEOFF_X30Y133 SITE_PIPS SITEPROP TIEOFF_X30Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y134 CLASS site SITEPROP TIEOFF_X30Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y134 IS_BONDED 0 SITEPROP TIEOFF_X30Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y134 IS_PAD 0 SITEPROP TIEOFF_X30Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y134 IS_RESERVED 0 SITEPROP TIEOFF_X30Y134 IS_TEST 0 SITEPROP TIEOFF_X30Y134 IS_USED 0 SITEPROP TIEOFF_X30Y134 MANUAL_ROUTING SITEPROP TIEOFF_X30Y134 NAME TIEOFF_X30Y134 SITEPROP TIEOFF_X30Y134 NUM_ARCS 0 SITEPROP TIEOFF_X30Y134 NUM_BELS 2 SITEPROP TIEOFF_X30Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y134 NUM_PINS 2 SITEPROP TIEOFF_X30Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y134 PROHIBIT 0 SITEPROP TIEOFF_X30Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y134 RPM_X 120 SITEPROP TIEOFF_X30Y134 RPM_Y 268 SITEPROP TIEOFF_X30Y134 SITE_PIPS SITEPROP TIEOFF_X30Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y135 CLASS site SITEPROP TIEOFF_X30Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y135 IS_BONDED 0 SITEPROP TIEOFF_X30Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y135 IS_PAD 0 SITEPROP TIEOFF_X30Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y135 IS_RESERVED 0 SITEPROP TIEOFF_X30Y135 IS_TEST 0 SITEPROP TIEOFF_X30Y135 IS_USED 0 SITEPROP TIEOFF_X30Y135 MANUAL_ROUTING SITEPROP TIEOFF_X30Y135 NAME TIEOFF_X30Y135 SITEPROP TIEOFF_X30Y135 NUM_ARCS 0 SITEPROP TIEOFF_X30Y135 NUM_BELS 2 SITEPROP TIEOFF_X30Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y135 NUM_PINS 2 SITEPROP TIEOFF_X30Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y135 PROHIBIT 0 SITEPROP TIEOFF_X30Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y135 RPM_X 120 SITEPROP TIEOFF_X30Y135 RPM_Y 270 SITEPROP TIEOFF_X30Y135 SITE_PIPS SITEPROP TIEOFF_X30Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y136 CLASS site SITEPROP TIEOFF_X30Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y136 IS_BONDED 0 SITEPROP TIEOFF_X30Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y136 IS_PAD 0 SITEPROP TIEOFF_X30Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y136 IS_RESERVED 0 SITEPROP TIEOFF_X30Y136 IS_TEST 0 SITEPROP TIEOFF_X30Y136 IS_USED 0 SITEPROP TIEOFF_X30Y136 MANUAL_ROUTING SITEPROP TIEOFF_X30Y136 NAME TIEOFF_X30Y136 SITEPROP TIEOFF_X30Y136 NUM_ARCS 0 SITEPROP TIEOFF_X30Y136 NUM_BELS 2 SITEPROP TIEOFF_X30Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y136 NUM_PINS 2 SITEPROP TIEOFF_X30Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y136 PROHIBIT 0 SITEPROP TIEOFF_X30Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y136 RPM_X 120 SITEPROP TIEOFF_X30Y136 RPM_Y 272 SITEPROP TIEOFF_X30Y136 SITE_PIPS SITEPROP TIEOFF_X30Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y137 CLASS site SITEPROP TIEOFF_X30Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y137 IS_BONDED 0 SITEPROP TIEOFF_X30Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y137 IS_PAD 0 SITEPROP TIEOFF_X30Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y137 IS_RESERVED 0 SITEPROP TIEOFF_X30Y137 IS_TEST 0 SITEPROP TIEOFF_X30Y137 IS_USED 0 SITEPROP TIEOFF_X30Y137 MANUAL_ROUTING SITEPROP TIEOFF_X30Y137 NAME TIEOFF_X30Y137 SITEPROP TIEOFF_X30Y137 NUM_ARCS 0 SITEPROP TIEOFF_X30Y137 NUM_BELS 2 SITEPROP TIEOFF_X30Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y137 NUM_PINS 2 SITEPROP TIEOFF_X30Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y137 PROHIBIT 0 SITEPROP TIEOFF_X30Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y137 RPM_X 120 SITEPROP TIEOFF_X30Y137 RPM_Y 274 SITEPROP TIEOFF_X30Y137 SITE_PIPS SITEPROP TIEOFF_X30Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y138 CLASS site SITEPROP TIEOFF_X30Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y138 IS_BONDED 0 SITEPROP TIEOFF_X30Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y138 IS_PAD 0 SITEPROP TIEOFF_X30Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y138 IS_RESERVED 0 SITEPROP TIEOFF_X30Y138 IS_TEST 0 SITEPROP TIEOFF_X30Y138 IS_USED 0 SITEPROP TIEOFF_X30Y138 MANUAL_ROUTING SITEPROP TIEOFF_X30Y138 NAME TIEOFF_X30Y138 SITEPROP TIEOFF_X30Y138 NUM_ARCS 0 SITEPROP TIEOFF_X30Y138 NUM_BELS 2 SITEPROP TIEOFF_X30Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y138 NUM_PINS 2 SITEPROP TIEOFF_X30Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y138 PROHIBIT 0 SITEPROP TIEOFF_X30Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y138 RPM_X 120 SITEPROP TIEOFF_X30Y138 RPM_Y 276 SITEPROP TIEOFF_X30Y138 SITE_PIPS SITEPROP TIEOFF_X30Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y139 CLASS site SITEPROP TIEOFF_X30Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y139 IS_BONDED 0 SITEPROP TIEOFF_X30Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y139 IS_PAD 0 SITEPROP TIEOFF_X30Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y139 IS_RESERVED 0 SITEPROP TIEOFF_X30Y139 IS_TEST 0 SITEPROP TIEOFF_X30Y139 IS_USED 0 SITEPROP TIEOFF_X30Y139 MANUAL_ROUTING SITEPROP TIEOFF_X30Y139 NAME TIEOFF_X30Y139 SITEPROP TIEOFF_X30Y139 NUM_ARCS 0 SITEPROP TIEOFF_X30Y139 NUM_BELS 2 SITEPROP TIEOFF_X30Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y139 NUM_PINS 2 SITEPROP TIEOFF_X30Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y139 PROHIBIT 0 SITEPROP TIEOFF_X30Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y139 RPM_X 120 SITEPROP TIEOFF_X30Y139 RPM_Y 278 SITEPROP TIEOFF_X30Y139 SITE_PIPS SITEPROP TIEOFF_X30Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y140 CLASS site SITEPROP TIEOFF_X30Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y140 IS_BONDED 0 SITEPROP TIEOFF_X30Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y140 IS_PAD 0 SITEPROP TIEOFF_X30Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y140 IS_RESERVED 0 SITEPROP TIEOFF_X30Y140 IS_TEST 0 SITEPROP TIEOFF_X30Y140 IS_USED 0 SITEPROP TIEOFF_X30Y140 MANUAL_ROUTING SITEPROP TIEOFF_X30Y140 NAME TIEOFF_X30Y140 SITEPROP TIEOFF_X30Y140 NUM_ARCS 0 SITEPROP TIEOFF_X30Y140 NUM_BELS 2 SITEPROP TIEOFF_X30Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y140 NUM_PINS 2 SITEPROP TIEOFF_X30Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y140 PROHIBIT 0 SITEPROP TIEOFF_X30Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y140 RPM_X 120 SITEPROP TIEOFF_X30Y140 RPM_Y 280 SITEPROP TIEOFF_X30Y140 SITE_PIPS SITEPROP TIEOFF_X30Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y141 CLASS site SITEPROP TIEOFF_X30Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y141 IS_BONDED 0 SITEPROP TIEOFF_X30Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y141 IS_PAD 0 SITEPROP TIEOFF_X30Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y141 IS_RESERVED 0 SITEPROP TIEOFF_X30Y141 IS_TEST 0 SITEPROP TIEOFF_X30Y141 IS_USED 0 SITEPROP TIEOFF_X30Y141 MANUAL_ROUTING SITEPROP TIEOFF_X30Y141 NAME TIEOFF_X30Y141 SITEPROP TIEOFF_X30Y141 NUM_ARCS 0 SITEPROP TIEOFF_X30Y141 NUM_BELS 2 SITEPROP TIEOFF_X30Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y141 NUM_PINS 2 SITEPROP TIEOFF_X30Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y141 PROHIBIT 0 SITEPROP TIEOFF_X30Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y141 RPM_X 120 SITEPROP TIEOFF_X30Y141 RPM_Y 282 SITEPROP TIEOFF_X30Y141 SITE_PIPS SITEPROP TIEOFF_X30Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y142 CLASS site SITEPROP TIEOFF_X30Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y142 IS_BONDED 0 SITEPROP TIEOFF_X30Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y142 IS_PAD 0 SITEPROP TIEOFF_X30Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y142 IS_RESERVED 0 SITEPROP TIEOFF_X30Y142 IS_TEST 0 SITEPROP TIEOFF_X30Y142 IS_USED 0 SITEPROP TIEOFF_X30Y142 MANUAL_ROUTING SITEPROP TIEOFF_X30Y142 NAME TIEOFF_X30Y142 SITEPROP TIEOFF_X30Y142 NUM_ARCS 0 SITEPROP TIEOFF_X30Y142 NUM_BELS 2 SITEPROP TIEOFF_X30Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y142 NUM_PINS 2 SITEPROP TIEOFF_X30Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y142 PROHIBIT 0 SITEPROP TIEOFF_X30Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y142 RPM_X 120 SITEPROP TIEOFF_X30Y142 RPM_Y 284 SITEPROP TIEOFF_X30Y142 SITE_PIPS SITEPROP TIEOFF_X30Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y143 CLASS site SITEPROP TIEOFF_X30Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y143 IS_BONDED 0 SITEPROP TIEOFF_X30Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y143 IS_PAD 0 SITEPROP TIEOFF_X30Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y143 IS_RESERVED 0 SITEPROP TIEOFF_X30Y143 IS_TEST 0 SITEPROP TIEOFF_X30Y143 IS_USED 0 SITEPROP TIEOFF_X30Y143 MANUAL_ROUTING SITEPROP TIEOFF_X30Y143 NAME TIEOFF_X30Y143 SITEPROP TIEOFF_X30Y143 NUM_ARCS 0 SITEPROP TIEOFF_X30Y143 NUM_BELS 2 SITEPROP TIEOFF_X30Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y143 NUM_PINS 2 SITEPROP TIEOFF_X30Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y143 PROHIBIT 0 SITEPROP TIEOFF_X30Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y143 RPM_X 120 SITEPROP TIEOFF_X30Y143 RPM_Y 286 SITEPROP TIEOFF_X30Y143 SITE_PIPS SITEPROP TIEOFF_X30Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y144 CLASS site SITEPROP TIEOFF_X30Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y144 IS_BONDED 0 SITEPROP TIEOFF_X30Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y144 IS_PAD 0 SITEPROP TIEOFF_X30Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y144 IS_RESERVED 0 SITEPROP TIEOFF_X30Y144 IS_TEST 0 SITEPROP TIEOFF_X30Y144 IS_USED 0 SITEPROP TIEOFF_X30Y144 MANUAL_ROUTING SITEPROP TIEOFF_X30Y144 NAME TIEOFF_X30Y144 SITEPROP TIEOFF_X30Y144 NUM_ARCS 0 SITEPROP TIEOFF_X30Y144 NUM_BELS 2 SITEPROP TIEOFF_X30Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y144 NUM_PINS 2 SITEPROP TIEOFF_X30Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y144 PROHIBIT 0 SITEPROP TIEOFF_X30Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y144 RPM_X 120 SITEPROP TIEOFF_X30Y144 RPM_Y 288 SITEPROP TIEOFF_X30Y144 SITE_PIPS SITEPROP TIEOFF_X30Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y145 CLASS site SITEPROP TIEOFF_X30Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y145 IS_BONDED 0 SITEPROP TIEOFF_X30Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y145 IS_PAD 0 SITEPROP TIEOFF_X30Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y145 IS_RESERVED 0 SITEPROP TIEOFF_X30Y145 IS_TEST 0 SITEPROP TIEOFF_X30Y145 IS_USED 0 SITEPROP TIEOFF_X30Y145 MANUAL_ROUTING SITEPROP TIEOFF_X30Y145 NAME TIEOFF_X30Y145 SITEPROP TIEOFF_X30Y145 NUM_ARCS 0 SITEPROP TIEOFF_X30Y145 NUM_BELS 2 SITEPROP TIEOFF_X30Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y145 NUM_PINS 2 SITEPROP TIEOFF_X30Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y145 PROHIBIT 0 SITEPROP TIEOFF_X30Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y145 RPM_X 120 SITEPROP TIEOFF_X30Y145 RPM_Y 290 SITEPROP TIEOFF_X30Y145 SITE_PIPS SITEPROP TIEOFF_X30Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y146 CLASS site SITEPROP TIEOFF_X30Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y146 IS_BONDED 0 SITEPROP TIEOFF_X30Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y146 IS_PAD 0 SITEPROP TIEOFF_X30Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y146 IS_RESERVED 0 SITEPROP TIEOFF_X30Y146 IS_TEST 0 SITEPROP TIEOFF_X30Y146 IS_USED 0 SITEPROP TIEOFF_X30Y146 MANUAL_ROUTING SITEPROP TIEOFF_X30Y146 NAME TIEOFF_X30Y146 SITEPROP TIEOFF_X30Y146 NUM_ARCS 0 SITEPROP TIEOFF_X30Y146 NUM_BELS 2 SITEPROP TIEOFF_X30Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y146 NUM_PINS 2 SITEPROP TIEOFF_X30Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y146 PROHIBIT 0 SITEPROP TIEOFF_X30Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y146 RPM_X 120 SITEPROP TIEOFF_X30Y146 RPM_Y 292 SITEPROP TIEOFF_X30Y146 SITE_PIPS SITEPROP TIEOFF_X30Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y147 CLASS site SITEPROP TIEOFF_X30Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y147 IS_BONDED 0 SITEPROP TIEOFF_X30Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y147 IS_PAD 0 SITEPROP TIEOFF_X30Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y147 IS_RESERVED 0 SITEPROP TIEOFF_X30Y147 IS_TEST 0 SITEPROP TIEOFF_X30Y147 IS_USED 0 SITEPROP TIEOFF_X30Y147 MANUAL_ROUTING SITEPROP TIEOFF_X30Y147 NAME TIEOFF_X30Y147 SITEPROP TIEOFF_X30Y147 NUM_ARCS 0 SITEPROP TIEOFF_X30Y147 NUM_BELS 2 SITEPROP TIEOFF_X30Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y147 NUM_PINS 2 SITEPROP TIEOFF_X30Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y147 PROHIBIT 0 SITEPROP TIEOFF_X30Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y147 RPM_X 120 SITEPROP TIEOFF_X30Y147 RPM_Y 294 SITEPROP TIEOFF_X30Y147 SITE_PIPS SITEPROP TIEOFF_X30Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y148 CLASS site SITEPROP TIEOFF_X30Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y148 IS_BONDED 0 SITEPROP TIEOFF_X30Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y148 IS_PAD 0 SITEPROP TIEOFF_X30Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y148 IS_RESERVED 0 SITEPROP TIEOFF_X30Y148 IS_TEST 0 SITEPROP TIEOFF_X30Y148 IS_USED 0 SITEPROP TIEOFF_X30Y148 MANUAL_ROUTING SITEPROP TIEOFF_X30Y148 NAME TIEOFF_X30Y148 SITEPROP TIEOFF_X30Y148 NUM_ARCS 0 SITEPROP TIEOFF_X30Y148 NUM_BELS 2 SITEPROP TIEOFF_X30Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y148 NUM_PINS 2 SITEPROP TIEOFF_X30Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y148 PROHIBIT 0 SITEPROP TIEOFF_X30Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y148 RPM_X 120 SITEPROP TIEOFF_X30Y148 RPM_Y 296 SITEPROP TIEOFF_X30Y148 SITE_PIPS SITEPROP TIEOFF_X30Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X30Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X30Y149 CLASS site SITEPROP TIEOFF_X30Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X30Y149 IS_BONDED 0 SITEPROP TIEOFF_X30Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y149 IS_PAD 0 SITEPROP TIEOFF_X30Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X30Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X30Y149 IS_RESERVED 0 SITEPROP TIEOFF_X30Y149 IS_TEST 0 SITEPROP TIEOFF_X30Y149 IS_USED 0 SITEPROP TIEOFF_X30Y149 MANUAL_ROUTING SITEPROP TIEOFF_X30Y149 NAME TIEOFF_X30Y149 SITEPROP TIEOFF_X30Y149 NUM_ARCS 0 SITEPROP TIEOFF_X30Y149 NUM_BELS 2 SITEPROP TIEOFF_X30Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X30Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X30Y149 NUM_PINS 2 SITEPROP TIEOFF_X30Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X30Y149 PROHIBIT 0 SITEPROP TIEOFF_X30Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X30Y149 RPM_X 120 SITEPROP TIEOFF_X30Y149 RPM_Y 298 SITEPROP TIEOFF_X30Y149 SITE_PIPS SITEPROP TIEOFF_X30Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y0 CLASS site SITEPROP TIEOFF_X31Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y0 IS_BONDED 0 SITEPROP TIEOFF_X31Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y0 IS_PAD 0 SITEPROP TIEOFF_X31Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y0 IS_RESERVED 0 SITEPROP TIEOFF_X31Y0 IS_TEST 0 SITEPROP TIEOFF_X31Y0 IS_USED 0 SITEPROP TIEOFF_X31Y0 MANUAL_ROUTING SITEPROP TIEOFF_X31Y0 NAME TIEOFF_X31Y0 SITEPROP TIEOFF_X31Y0 NUM_ARCS 0 SITEPROP TIEOFF_X31Y0 NUM_BELS 2 SITEPROP TIEOFF_X31Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y0 NUM_PINS 2 SITEPROP TIEOFF_X31Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y0 PROHIBIT 0 SITEPROP TIEOFF_X31Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y0 RPM_X 124 SITEPROP TIEOFF_X31Y0 RPM_Y 0 SITEPROP TIEOFF_X31Y0 SITE_PIPS SITEPROP TIEOFF_X31Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y1 CLASS site SITEPROP TIEOFF_X31Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y1 IS_BONDED 0 SITEPROP TIEOFF_X31Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y1 IS_PAD 0 SITEPROP TIEOFF_X31Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y1 IS_RESERVED 0 SITEPROP TIEOFF_X31Y1 IS_TEST 0 SITEPROP TIEOFF_X31Y1 IS_USED 0 SITEPROP TIEOFF_X31Y1 MANUAL_ROUTING SITEPROP TIEOFF_X31Y1 NAME TIEOFF_X31Y1 SITEPROP TIEOFF_X31Y1 NUM_ARCS 0 SITEPROP TIEOFF_X31Y1 NUM_BELS 2 SITEPROP TIEOFF_X31Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y1 NUM_PINS 2 SITEPROP TIEOFF_X31Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y1 PROHIBIT 0 SITEPROP TIEOFF_X31Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y1 RPM_X 124 SITEPROP TIEOFF_X31Y1 RPM_Y 2 SITEPROP TIEOFF_X31Y1 SITE_PIPS SITEPROP TIEOFF_X31Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y2 CLASS site SITEPROP TIEOFF_X31Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y2 IS_BONDED 0 SITEPROP TIEOFF_X31Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y2 IS_PAD 0 SITEPROP TIEOFF_X31Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y2 IS_RESERVED 0 SITEPROP TIEOFF_X31Y2 IS_TEST 0 SITEPROP TIEOFF_X31Y2 IS_USED 0 SITEPROP TIEOFF_X31Y2 MANUAL_ROUTING SITEPROP TIEOFF_X31Y2 NAME TIEOFF_X31Y2 SITEPROP TIEOFF_X31Y2 NUM_ARCS 0 SITEPROP TIEOFF_X31Y2 NUM_BELS 2 SITEPROP TIEOFF_X31Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y2 NUM_PINS 2 SITEPROP TIEOFF_X31Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y2 PROHIBIT 0 SITEPROP TIEOFF_X31Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y2 RPM_X 124 SITEPROP TIEOFF_X31Y2 RPM_Y 4 SITEPROP TIEOFF_X31Y2 SITE_PIPS SITEPROP TIEOFF_X31Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y3 CLASS site SITEPROP TIEOFF_X31Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y3 IS_BONDED 0 SITEPROP TIEOFF_X31Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y3 IS_PAD 0 SITEPROP TIEOFF_X31Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y3 IS_RESERVED 0 SITEPROP TIEOFF_X31Y3 IS_TEST 0 SITEPROP TIEOFF_X31Y3 IS_USED 0 SITEPROP TIEOFF_X31Y3 MANUAL_ROUTING SITEPROP TIEOFF_X31Y3 NAME TIEOFF_X31Y3 SITEPROP TIEOFF_X31Y3 NUM_ARCS 0 SITEPROP TIEOFF_X31Y3 NUM_BELS 2 SITEPROP TIEOFF_X31Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y3 NUM_PINS 2 SITEPROP TIEOFF_X31Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y3 PROHIBIT 0 SITEPROP TIEOFF_X31Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y3 RPM_X 124 SITEPROP TIEOFF_X31Y3 RPM_Y 6 SITEPROP TIEOFF_X31Y3 SITE_PIPS SITEPROP TIEOFF_X31Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y4 CLASS site SITEPROP TIEOFF_X31Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y4 IS_BONDED 0 SITEPROP TIEOFF_X31Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y4 IS_PAD 0 SITEPROP TIEOFF_X31Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y4 IS_RESERVED 0 SITEPROP TIEOFF_X31Y4 IS_TEST 0 SITEPROP TIEOFF_X31Y4 IS_USED 0 SITEPROP TIEOFF_X31Y4 MANUAL_ROUTING SITEPROP TIEOFF_X31Y4 NAME TIEOFF_X31Y4 SITEPROP TIEOFF_X31Y4 NUM_ARCS 0 SITEPROP TIEOFF_X31Y4 NUM_BELS 2 SITEPROP TIEOFF_X31Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y4 NUM_PINS 2 SITEPROP TIEOFF_X31Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y4 PROHIBIT 0 SITEPROP TIEOFF_X31Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y4 RPM_X 124 SITEPROP TIEOFF_X31Y4 RPM_Y 8 SITEPROP TIEOFF_X31Y4 SITE_PIPS SITEPROP TIEOFF_X31Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y5 CLASS site SITEPROP TIEOFF_X31Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y5 IS_BONDED 0 SITEPROP TIEOFF_X31Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y5 IS_PAD 0 SITEPROP TIEOFF_X31Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y5 IS_RESERVED 0 SITEPROP TIEOFF_X31Y5 IS_TEST 0 SITEPROP TIEOFF_X31Y5 IS_USED 0 SITEPROP TIEOFF_X31Y5 MANUAL_ROUTING SITEPROP TIEOFF_X31Y5 NAME TIEOFF_X31Y5 SITEPROP TIEOFF_X31Y5 NUM_ARCS 0 SITEPROP TIEOFF_X31Y5 NUM_BELS 2 SITEPROP TIEOFF_X31Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y5 NUM_PINS 2 SITEPROP TIEOFF_X31Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y5 PROHIBIT 0 SITEPROP TIEOFF_X31Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y5 RPM_X 124 SITEPROP TIEOFF_X31Y5 RPM_Y 10 SITEPROP TIEOFF_X31Y5 SITE_PIPS SITEPROP TIEOFF_X31Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y6 CLASS site SITEPROP TIEOFF_X31Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y6 IS_BONDED 0 SITEPROP TIEOFF_X31Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y6 IS_PAD 0 SITEPROP TIEOFF_X31Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y6 IS_RESERVED 0 SITEPROP TIEOFF_X31Y6 IS_TEST 0 SITEPROP TIEOFF_X31Y6 IS_USED 0 SITEPROP TIEOFF_X31Y6 MANUAL_ROUTING SITEPROP TIEOFF_X31Y6 NAME TIEOFF_X31Y6 SITEPROP TIEOFF_X31Y6 NUM_ARCS 0 SITEPROP TIEOFF_X31Y6 NUM_BELS 2 SITEPROP TIEOFF_X31Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y6 NUM_PINS 2 SITEPROP TIEOFF_X31Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y6 PROHIBIT 0 SITEPROP TIEOFF_X31Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y6 RPM_X 124 SITEPROP TIEOFF_X31Y6 RPM_Y 12 SITEPROP TIEOFF_X31Y6 SITE_PIPS SITEPROP TIEOFF_X31Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y7 CLASS site SITEPROP TIEOFF_X31Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y7 IS_BONDED 0 SITEPROP TIEOFF_X31Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y7 IS_PAD 0 SITEPROP TIEOFF_X31Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y7 IS_RESERVED 0 SITEPROP TIEOFF_X31Y7 IS_TEST 0 SITEPROP TIEOFF_X31Y7 IS_USED 0 SITEPROP TIEOFF_X31Y7 MANUAL_ROUTING SITEPROP TIEOFF_X31Y7 NAME TIEOFF_X31Y7 SITEPROP TIEOFF_X31Y7 NUM_ARCS 0 SITEPROP TIEOFF_X31Y7 NUM_BELS 2 SITEPROP TIEOFF_X31Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y7 NUM_PINS 2 SITEPROP TIEOFF_X31Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y7 PROHIBIT 0 SITEPROP TIEOFF_X31Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y7 RPM_X 124 SITEPROP TIEOFF_X31Y7 RPM_Y 14 SITEPROP TIEOFF_X31Y7 SITE_PIPS SITEPROP TIEOFF_X31Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y8 CLASS site SITEPROP TIEOFF_X31Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y8 IS_BONDED 0 SITEPROP TIEOFF_X31Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y8 IS_PAD 0 SITEPROP TIEOFF_X31Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y8 IS_RESERVED 0 SITEPROP TIEOFF_X31Y8 IS_TEST 0 SITEPROP TIEOFF_X31Y8 IS_USED 0 SITEPROP TIEOFF_X31Y8 MANUAL_ROUTING SITEPROP TIEOFF_X31Y8 NAME TIEOFF_X31Y8 SITEPROP TIEOFF_X31Y8 NUM_ARCS 0 SITEPROP TIEOFF_X31Y8 NUM_BELS 2 SITEPROP TIEOFF_X31Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y8 NUM_PINS 2 SITEPROP TIEOFF_X31Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y8 PROHIBIT 0 SITEPROP TIEOFF_X31Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y8 RPM_X 124 SITEPROP TIEOFF_X31Y8 RPM_Y 16 SITEPROP TIEOFF_X31Y8 SITE_PIPS SITEPROP TIEOFF_X31Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y9 CLASS site SITEPROP TIEOFF_X31Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y9 IS_BONDED 0 SITEPROP TIEOFF_X31Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y9 IS_PAD 0 SITEPROP TIEOFF_X31Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y9 IS_RESERVED 0 SITEPROP TIEOFF_X31Y9 IS_TEST 0 SITEPROP TIEOFF_X31Y9 IS_USED 0 SITEPROP TIEOFF_X31Y9 MANUAL_ROUTING SITEPROP TIEOFF_X31Y9 NAME TIEOFF_X31Y9 SITEPROP TIEOFF_X31Y9 NUM_ARCS 0 SITEPROP TIEOFF_X31Y9 NUM_BELS 2 SITEPROP TIEOFF_X31Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y9 NUM_PINS 2 SITEPROP TIEOFF_X31Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y9 PROHIBIT 0 SITEPROP TIEOFF_X31Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y9 RPM_X 124 SITEPROP TIEOFF_X31Y9 RPM_Y 18 SITEPROP TIEOFF_X31Y9 SITE_PIPS SITEPROP TIEOFF_X31Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y10 CLASS site SITEPROP TIEOFF_X31Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y10 IS_BONDED 0 SITEPROP TIEOFF_X31Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y10 IS_PAD 0 SITEPROP TIEOFF_X31Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y10 IS_RESERVED 0 SITEPROP TIEOFF_X31Y10 IS_TEST 0 SITEPROP TIEOFF_X31Y10 IS_USED 0 SITEPROP TIEOFF_X31Y10 MANUAL_ROUTING SITEPROP TIEOFF_X31Y10 NAME TIEOFF_X31Y10 SITEPROP TIEOFF_X31Y10 NUM_ARCS 0 SITEPROP TIEOFF_X31Y10 NUM_BELS 2 SITEPROP TIEOFF_X31Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y10 NUM_PINS 2 SITEPROP TIEOFF_X31Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y10 PROHIBIT 0 SITEPROP TIEOFF_X31Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y10 RPM_X 124 SITEPROP TIEOFF_X31Y10 RPM_Y 20 SITEPROP TIEOFF_X31Y10 SITE_PIPS SITEPROP TIEOFF_X31Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y11 CLASS site SITEPROP TIEOFF_X31Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y11 IS_BONDED 0 SITEPROP TIEOFF_X31Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y11 IS_PAD 0 SITEPROP TIEOFF_X31Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y11 IS_RESERVED 0 SITEPROP TIEOFF_X31Y11 IS_TEST 0 SITEPROP TIEOFF_X31Y11 IS_USED 0 SITEPROP TIEOFF_X31Y11 MANUAL_ROUTING SITEPROP TIEOFF_X31Y11 NAME TIEOFF_X31Y11 SITEPROP TIEOFF_X31Y11 NUM_ARCS 0 SITEPROP TIEOFF_X31Y11 NUM_BELS 2 SITEPROP TIEOFF_X31Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y11 NUM_PINS 2 SITEPROP TIEOFF_X31Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y11 PROHIBIT 0 SITEPROP TIEOFF_X31Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y11 RPM_X 124 SITEPROP TIEOFF_X31Y11 RPM_Y 22 SITEPROP TIEOFF_X31Y11 SITE_PIPS SITEPROP TIEOFF_X31Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y12 CLASS site SITEPROP TIEOFF_X31Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y12 IS_BONDED 0 SITEPROP TIEOFF_X31Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y12 IS_PAD 0 SITEPROP TIEOFF_X31Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y12 IS_RESERVED 0 SITEPROP TIEOFF_X31Y12 IS_TEST 0 SITEPROP TIEOFF_X31Y12 IS_USED 0 SITEPROP TIEOFF_X31Y12 MANUAL_ROUTING SITEPROP TIEOFF_X31Y12 NAME TIEOFF_X31Y12 SITEPROP TIEOFF_X31Y12 NUM_ARCS 0 SITEPROP TIEOFF_X31Y12 NUM_BELS 2 SITEPROP TIEOFF_X31Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y12 NUM_PINS 2 SITEPROP TIEOFF_X31Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y12 PROHIBIT 0 SITEPROP TIEOFF_X31Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y12 RPM_X 124 SITEPROP TIEOFF_X31Y12 RPM_Y 24 SITEPROP TIEOFF_X31Y12 SITE_PIPS SITEPROP TIEOFF_X31Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y13 CLASS site SITEPROP TIEOFF_X31Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y13 IS_BONDED 0 SITEPROP TIEOFF_X31Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y13 IS_PAD 0 SITEPROP TIEOFF_X31Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y13 IS_RESERVED 0 SITEPROP TIEOFF_X31Y13 IS_TEST 0 SITEPROP TIEOFF_X31Y13 IS_USED 0 SITEPROP TIEOFF_X31Y13 MANUAL_ROUTING SITEPROP TIEOFF_X31Y13 NAME TIEOFF_X31Y13 SITEPROP TIEOFF_X31Y13 NUM_ARCS 0 SITEPROP TIEOFF_X31Y13 NUM_BELS 2 SITEPROP TIEOFF_X31Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y13 NUM_PINS 2 SITEPROP TIEOFF_X31Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y13 PROHIBIT 0 SITEPROP TIEOFF_X31Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y13 RPM_X 124 SITEPROP TIEOFF_X31Y13 RPM_Y 26 SITEPROP TIEOFF_X31Y13 SITE_PIPS SITEPROP TIEOFF_X31Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y14 CLASS site SITEPROP TIEOFF_X31Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y14 IS_BONDED 0 SITEPROP TIEOFF_X31Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y14 IS_PAD 0 SITEPROP TIEOFF_X31Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y14 IS_RESERVED 0 SITEPROP TIEOFF_X31Y14 IS_TEST 0 SITEPROP TIEOFF_X31Y14 IS_USED 0 SITEPROP TIEOFF_X31Y14 MANUAL_ROUTING SITEPROP TIEOFF_X31Y14 NAME TIEOFF_X31Y14 SITEPROP TIEOFF_X31Y14 NUM_ARCS 0 SITEPROP TIEOFF_X31Y14 NUM_BELS 2 SITEPROP TIEOFF_X31Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y14 NUM_PINS 2 SITEPROP TIEOFF_X31Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y14 PROHIBIT 0 SITEPROP TIEOFF_X31Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y14 RPM_X 124 SITEPROP TIEOFF_X31Y14 RPM_Y 28 SITEPROP TIEOFF_X31Y14 SITE_PIPS SITEPROP TIEOFF_X31Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y15 CLASS site SITEPROP TIEOFF_X31Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y15 IS_BONDED 0 SITEPROP TIEOFF_X31Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y15 IS_PAD 0 SITEPROP TIEOFF_X31Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y15 IS_RESERVED 0 SITEPROP TIEOFF_X31Y15 IS_TEST 0 SITEPROP TIEOFF_X31Y15 IS_USED 0 SITEPROP TIEOFF_X31Y15 MANUAL_ROUTING SITEPROP TIEOFF_X31Y15 NAME TIEOFF_X31Y15 SITEPROP TIEOFF_X31Y15 NUM_ARCS 0 SITEPROP TIEOFF_X31Y15 NUM_BELS 2 SITEPROP TIEOFF_X31Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y15 NUM_PINS 2 SITEPROP TIEOFF_X31Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y15 PROHIBIT 0 SITEPROP TIEOFF_X31Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y15 RPM_X 124 SITEPROP TIEOFF_X31Y15 RPM_Y 30 SITEPROP TIEOFF_X31Y15 SITE_PIPS SITEPROP TIEOFF_X31Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y16 CLASS site SITEPROP TIEOFF_X31Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y16 IS_BONDED 0 SITEPROP TIEOFF_X31Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y16 IS_PAD 0 SITEPROP TIEOFF_X31Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y16 IS_RESERVED 0 SITEPROP TIEOFF_X31Y16 IS_TEST 0 SITEPROP TIEOFF_X31Y16 IS_USED 0 SITEPROP TIEOFF_X31Y16 MANUAL_ROUTING SITEPROP TIEOFF_X31Y16 NAME TIEOFF_X31Y16 SITEPROP TIEOFF_X31Y16 NUM_ARCS 0 SITEPROP TIEOFF_X31Y16 NUM_BELS 2 SITEPROP TIEOFF_X31Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y16 NUM_PINS 2 SITEPROP TIEOFF_X31Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y16 PROHIBIT 0 SITEPROP TIEOFF_X31Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y16 RPM_X 124 SITEPROP TIEOFF_X31Y16 RPM_Y 32 SITEPROP TIEOFF_X31Y16 SITE_PIPS SITEPROP TIEOFF_X31Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y17 CLASS site SITEPROP TIEOFF_X31Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y17 IS_BONDED 0 SITEPROP TIEOFF_X31Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y17 IS_PAD 0 SITEPROP TIEOFF_X31Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y17 IS_RESERVED 0 SITEPROP TIEOFF_X31Y17 IS_TEST 0 SITEPROP TIEOFF_X31Y17 IS_USED 0 SITEPROP TIEOFF_X31Y17 MANUAL_ROUTING SITEPROP TIEOFF_X31Y17 NAME TIEOFF_X31Y17 SITEPROP TIEOFF_X31Y17 NUM_ARCS 0 SITEPROP TIEOFF_X31Y17 NUM_BELS 2 SITEPROP TIEOFF_X31Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y17 NUM_PINS 2 SITEPROP TIEOFF_X31Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y17 PROHIBIT 0 SITEPROP TIEOFF_X31Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y17 RPM_X 124 SITEPROP TIEOFF_X31Y17 RPM_Y 34 SITEPROP TIEOFF_X31Y17 SITE_PIPS SITEPROP TIEOFF_X31Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y18 CLASS site SITEPROP TIEOFF_X31Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y18 IS_BONDED 0 SITEPROP TIEOFF_X31Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y18 IS_PAD 0 SITEPROP TIEOFF_X31Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y18 IS_RESERVED 0 SITEPROP TIEOFF_X31Y18 IS_TEST 0 SITEPROP TIEOFF_X31Y18 IS_USED 0 SITEPROP TIEOFF_X31Y18 MANUAL_ROUTING SITEPROP TIEOFF_X31Y18 NAME TIEOFF_X31Y18 SITEPROP TIEOFF_X31Y18 NUM_ARCS 0 SITEPROP TIEOFF_X31Y18 NUM_BELS 2 SITEPROP TIEOFF_X31Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y18 NUM_PINS 2 SITEPROP TIEOFF_X31Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y18 PROHIBIT 0 SITEPROP TIEOFF_X31Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y18 RPM_X 124 SITEPROP TIEOFF_X31Y18 RPM_Y 36 SITEPROP TIEOFF_X31Y18 SITE_PIPS SITEPROP TIEOFF_X31Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y19 CLASS site SITEPROP TIEOFF_X31Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y19 IS_BONDED 0 SITEPROP TIEOFF_X31Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y19 IS_PAD 0 SITEPROP TIEOFF_X31Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y19 IS_RESERVED 0 SITEPROP TIEOFF_X31Y19 IS_TEST 0 SITEPROP TIEOFF_X31Y19 IS_USED 0 SITEPROP TIEOFF_X31Y19 MANUAL_ROUTING SITEPROP TIEOFF_X31Y19 NAME TIEOFF_X31Y19 SITEPROP TIEOFF_X31Y19 NUM_ARCS 0 SITEPROP TIEOFF_X31Y19 NUM_BELS 2 SITEPROP TIEOFF_X31Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y19 NUM_PINS 2 SITEPROP TIEOFF_X31Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y19 PROHIBIT 0 SITEPROP TIEOFF_X31Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y19 RPM_X 124 SITEPROP TIEOFF_X31Y19 RPM_Y 38 SITEPROP TIEOFF_X31Y19 SITE_PIPS SITEPROP TIEOFF_X31Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y20 CLASS site SITEPROP TIEOFF_X31Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y20 IS_BONDED 0 SITEPROP TIEOFF_X31Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y20 IS_PAD 0 SITEPROP TIEOFF_X31Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y20 IS_RESERVED 0 SITEPROP TIEOFF_X31Y20 IS_TEST 0 SITEPROP TIEOFF_X31Y20 IS_USED 0 SITEPROP TIEOFF_X31Y20 MANUAL_ROUTING SITEPROP TIEOFF_X31Y20 NAME TIEOFF_X31Y20 SITEPROP TIEOFF_X31Y20 NUM_ARCS 0 SITEPROP TIEOFF_X31Y20 NUM_BELS 2 SITEPROP TIEOFF_X31Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y20 NUM_PINS 2 SITEPROP TIEOFF_X31Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y20 PROHIBIT 0 SITEPROP TIEOFF_X31Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y20 RPM_X 124 SITEPROP TIEOFF_X31Y20 RPM_Y 40 SITEPROP TIEOFF_X31Y20 SITE_PIPS SITEPROP TIEOFF_X31Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y21 CLASS site SITEPROP TIEOFF_X31Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y21 IS_BONDED 0 SITEPROP TIEOFF_X31Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y21 IS_PAD 0 SITEPROP TIEOFF_X31Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y21 IS_RESERVED 0 SITEPROP TIEOFF_X31Y21 IS_TEST 0 SITEPROP TIEOFF_X31Y21 IS_USED 0 SITEPROP TIEOFF_X31Y21 MANUAL_ROUTING SITEPROP TIEOFF_X31Y21 NAME TIEOFF_X31Y21 SITEPROP TIEOFF_X31Y21 NUM_ARCS 0 SITEPROP TIEOFF_X31Y21 NUM_BELS 2 SITEPROP TIEOFF_X31Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y21 NUM_PINS 2 SITEPROP TIEOFF_X31Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y21 PROHIBIT 0 SITEPROP TIEOFF_X31Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y21 RPM_X 124 SITEPROP TIEOFF_X31Y21 RPM_Y 42 SITEPROP TIEOFF_X31Y21 SITE_PIPS SITEPROP TIEOFF_X31Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y22 CLASS site SITEPROP TIEOFF_X31Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y22 IS_BONDED 0 SITEPROP TIEOFF_X31Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y22 IS_PAD 0 SITEPROP TIEOFF_X31Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y22 IS_RESERVED 0 SITEPROP TIEOFF_X31Y22 IS_TEST 0 SITEPROP TIEOFF_X31Y22 IS_USED 0 SITEPROP TIEOFF_X31Y22 MANUAL_ROUTING SITEPROP TIEOFF_X31Y22 NAME TIEOFF_X31Y22 SITEPROP TIEOFF_X31Y22 NUM_ARCS 0 SITEPROP TIEOFF_X31Y22 NUM_BELS 2 SITEPROP TIEOFF_X31Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y22 NUM_PINS 2 SITEPROP TIEOFF_X31Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y22 PROHIBIT 0 SITEPROP TIEOFF_X31Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y22 RPM_X 124 SITEPROP TIEOFF_X31Y22 RPM_Y 44 SITEPROP TIEOFF_X31Y22 SITE_PIPS SITEPROP TIEOFF_X31Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y23 CLASS site SITEPROP TIEOFF_X31Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y23 IS_BONDED 0 SITEPROP TIEOFF_X31Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y23 IS_PAD 0 SITEPROP TIEOFF_X31Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y23 IS_RESERVED 0 SITEPROP TIEOFF_X31Y23 IS_TEST 0 SITEPROP TIEOFF_X31Y23 IS_USED 0 SITEPROP TIEOFF_X31Y23 MANUAL_ROUTING SITEPROP TIEOFF_X31Y23 NAME TIEOFF_X31Y23 SITEPROP TIEOFF_X31Y23 NUM_ARCS 0 SITEPROP TIEOFF_X31Y23 NUM_BELS 2 SITEPROP TIEOFF_X31Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y23 NUM_PINS 2 SITEPROP TIEOFF_X31Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y23 PROHIBIT 0 SITEPROP TIEOFF_X31Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y23 RPM_X 124 SITEPROP TIEOFF_X31Y23 RPM_Y 46 SITEPROP TIEOFF_X31Y23 SITE_PIPS SITEPROP TIEOFF_X31Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y24 CLASS site SITEPROP TIEOFF_X31Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y24 IS_BONDED 0 SITEPROP TIEOFF_X31Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y24 IS_PAD 0 SITEPROP TIEOFF_X31Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y24 IS_RESERVED 0 SITEPROP TIEOFF_X31Y24 IS_TEST 0 SITEPROP TIEOFF_X31Y24 IS_USED 0 SITEPROP TIEOFF_X31Y24 MANUAL_ROUTING SITEPROP TIEOFF_X31Y24 NAME TIEOFF_X31Y24 SITEPROP TIEOFF_X31Y24 NUM_ARCS 0 SITEPROP TIEOFF_X31Y24 NUM_BELS 2 SITEPROP TIEOFF_X31Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y24 NUM_PINS 2 SITEPROP TIEOFF_X31Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y24 PROHIBIT 0 SITEPROP TIEOFF_X31Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y24 RPM_X 124 SITEPROP TIEOFF_X31Y24 RPM_Y 48 SITEPROP TIEOFF_X31Y24 SITE_PIPS SITEPROP TIEOFF_X31Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y25 CLASS site SITEPROP TIEOFF_X31Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y25 IS_BONDED 0 SITEPROP TIEOFF_X31Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y25 IS_PAD 0 SITEPROP TIEOFF_X31Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y25 IS_RESERVED 0 SITEPROP TIEOFF_X31Y25 IS_TEST 0 SITEPROP TIEOFF_X31Y25 IS_USED 0 SITEPROP TIEOFF_X31Y25 MANUAL_ROUTING SITEPROP TIEOFF_X31Y25 NAME TIEOFF_X31Y25 SITEPROP TIEOFF_X31Y25 NUM_ARCS 0 SITEPROP TIEOFF_X31Y25 NUM_BELS 2 SITEPROP TIEOFF_X31Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y25 NUM_PINS 2 SITEPROP TIEOFF_X31Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y25 PROHIBIT 0 SITEPROP TIEOFF_X31Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y25 RPM_X 124 SITEPROP TIEOFF_X31Y25 RPM_Y 50 SITEPROP TIEOFF_X31Y25 SITE_PIPS SITEPROP TIEOFF_X31Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y26 CLASS site SITEPROP TIEOFF_X31Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y26 IS_BONDED 0 SITEPROP TIEOFF_X31Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y26 IS_PAD 0 SITEPROP TIEOFF_X31Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y26 IS_RESERVED 0 SITEPROP TIEOFF_X31Y26 IS_TEST 0 SITEPROP TIEOFF_X31Y26 IS_USED 0 SITEPROP TIEOFF_X31Y26 MANUAL_ROUTING SITEPROP TIEOFF_X31Y26 NAME TIEOFF_X31Y26 SITEPROP TIEOFF_X31Y26 NUM_ARCS 0 SITEPROP TIEOFF_X31Y26 NUM_BELS 2 SITEPROP TIEOFF_X31Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y26 NUM_PINS 2 SITEPROP TIEOFF_X31Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y26 PROHIBIT 0 SITEPROP TIEOFF_X31Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y26 RPM_X 124 SITEPROP TIEOFF_X31Y26 RPM_Y 52 SITEPROP TIEOFF_X31Y26 SITE_PIPS SITEPROP TIEOFF_X31Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y27 CLASS site SITEPROP TIEOFF_X31Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y27 IS_BONDED 0 SITEPROP TIEOFF_X31Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y27 IS_PAD 0 SITEPROP TIEOFF_X31Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y27 IS_RESERVED 0 SITEPROP TIEOFF_X31Y27 IS_TEST 0 SITEPROP TIEOFF_X31Y27 IS_USED 0 SITEPROP TIEOFF_X31Y27 MANUAL_ROUTING SITEPROP TIEOFF_X31Y27 NAME TIEOFF_X31Y27 SITEPROP TIEOFF_X31Y27 NUM_ARCS 0 SITEPROP TIEOFF_X31Y27 NUM_BELS 2 SITEPROP TIEOFF_X31Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y27 NUM_PINS 2 SITEPROP TIEOFF_X31Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y27 PROHIBIT 0 SITEPROP TIEOFF_X31Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y27 RPM_X 124 SITEPROP TIEOFF_X31Y27 RPM_Y 54 SITEPROP TIEOFF_X31Y27 SITE_PIPS SITEPROP TIEOFF_X31Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y28 CLASS site SITEPROP TIEOFF_X31Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y28 IS_BONDED 0 SITEPROP TIEOFF_X31Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y28 IS_PAD 0 SITEPROP TIEOFF_X31Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y28 IS_RESERVED 0 SITEPROP TIEOFF_X31Y28 IS_TEST 0 SITEPROP TIEOFF_X31Y28 IS_USED 0 SITEPROP TIEOFF_X31Y28 MANUAL_ROUTING SITEPROP TIEOFF_X31Y28 NAME TIEOFF_X31Y28 SITEPROP TIEOFF_X31Y28 NUM_ARCS 0 SITEPROP TIEOFF_X31Y28 NUM_BELS 2 SITEPROP TIEOFF_X31Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y28 NUM_PINS 2 SITEPROP TIEOFF_X31Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y28 PROHIBIT 0 SITEPROP TIEOFF_X31Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y28 RPM_X 124 SITEPROP TIEOFF_X31Y28 RPM_Y 56 SITEPROP TIEOFF_X31Y28 SITE_PIPS SITEPROP TIEOFF_X31Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y29 CLASS site SITEPROP TIEOFF_X31Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y29 IS_BONDED 0 SITEPROP TIEOFF_X31Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y29 IS_PAD 0 SITEPROP TIEOFF_X31Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y29 IS_RESERVED 0 SITEPROP TIEOFF_X31Y29 IS_TEST 0 SITEPROP TIEOFF_X31Y29 IS_USED 0 SITEPROP TIEOFF_X31Y29 MANUAL_ROUTING SITEPROP TIEOFF_X31Y29 NAME TIEOFF_X31Y29 SITEPROP TIEOFF_X31Y29 NUM_ARCS 0 SITEPROP TIEOFF_X31Y29 NUM_BELS 2 SITEPROP TIEOFF_X31Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y29 NUM_PINS 2 SITEPROP TIEOFF_X31Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y29 PROHIBIT 0 SITEPROP TIEOFF_X31Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y29 RPM_X 124 SITEPROP TIEOFF_X31Y29 RPM_Y 58 SITEPROP TIEOFF_X31Y29 SITE_PIPS SITEPROP TIEOFF_X31Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y30 CLASS site SITEPROP TIEOFF_X31Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y30 IS_BONDED 0 SITEPROP TIEOFF_X31Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y30 IS_PAD 0 SITEPROP TIEOFF_X31Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y30 IS_RESERVED 0 SITEPROP TIEOFF_X31Y30 IS_TEST 0 SITEPROP TIEOFF_X31Y30 IS_USED 0 SITEPROP TIEOFF_X31Y30 MANUAL_ROUTING SITEPROP TIEOFF_X31Y30 NAME TIEOFF_X31Y30 SITEPROP TIEOFF_X31Y30 NUM_ARCS 0 SITEPROP TIEOFF_X31Y30 NUM_BELS 2 SITEPROP TIEOFF_X31Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y30 NUM_PINS 2 SITEPROP TIEOFF_X31Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y30 PROHIBIT 0 SITEPROP TIEOFF_X31Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y30 RPM_X 124 SITEPROP TIEOFF_X31Y30 RPM_Y 60 SITEPROP TIEOFF_X31Y30 SITE_PIPS SITEPROP TIEOFF_X31Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y31 CLASS site SITEPROP TIEOFF_X31Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y31 IS_BONDED 0 SITEPROP TIEOFF_X31Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y31 IS_PAD 0 SITEPROP TIEOFF_X31Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y31 IS_RESERVED 0 SITEPROP TIEOFF_X31Y31 IS_TEST 0 SITEPROP TIEOFF_X31Y31 IS_USED 0 SITEPROP TIEOFF_X31Y31 MANUAL_ROUTING SITEPROP TIEOFF_X31Y31 NAME TIEOFF_X31Y31 SITEPROP TIEOFF_X31Y31 NUM_ARCS 0 SITEPROP TIEOFF_X31Y31 NUM_BELS 2 SITEPROP TIEOFF_X31Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y31 NUM_PINS 2 SITEPROP TIEOFF_X31Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y31 PROHIBIT 0 SITEPROP TIEOFF_X31Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y31 RPM_X 124 SITEPROP TIEOFF_X31Y31 RPM_Y 62 SITEPROP TIEOFF_X31Y31 SITE_PIPS SITEPROP TIEOFF_X31Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y32 CLASS site SITEPROP TIEOFF_X31Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y32 IS_BONDED 0 SITEPROP TIEOFF_X31Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y32 IS_PAD 0 SITEPROP TIEOFF_X31Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y32 IS_RESERVED 0 SITEPROP TIEOFF_X31Y32 IS_TEST 0 SITEPROP TIEOFF_X31Y32 IS_USED 0 SITEPROP TIEOFF_X31Y32 MANUAL_ROUTING SITEPROP TIEOFF_X31Y32 NAME TIEOFF_X31Y32 SITEPROP TIEOFF_X31Y32 NUM_ARCS 0 SITEPROP TIEOFF_X31Y32 NUM_BELS 2 SITEPROP TIEOFF_X31Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y32 NUM_PINS 2 SITEPROP TIEOFF_X31Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y32 PROHIBIT 0 SITEPROP TIEOFF_X31Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y32 RPM_X 124 SITEPROP TIEOFF_X31Y32 RPM_Y 64 SITEPROP TIEOFF_X31Y32 SITE_PIPS SITEPROP TIEOFF_X31Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y33 CLASS site SITEPROP TIEOFF_X31Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y33 IS_BONDED 0 SITEPROP TIEOFF_X31Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y33 IS_PAD 0 SITEPROP TIEOFF_X31Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y33 IS_RESERVED 0 SITEPROP TIEOFF_X31Y33 IS_TEST 0 SITEPROP TIEOFF_X31Y33 IS_USED 0 SITEPROP TIEOFF_X31Y33 MANUAL_ROUTING SITEPROP TIEOFF_X31Y33 NAME TIEOFF_X31Y33 SITEPROP TIEOFF_X31Y33 NUM_ARCS 0 SITEPROP TIEOFF_X31Y33 NUM_BELS 2 SITEPROP TIEOFF_X31Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y33 NUM_PINS 2 SITEPROP TIEOFF_X31Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y33 PROHIBIT 0 SITEPROP TIEOFF_X31Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y33 RPM_X 124 SITEPROP TIEOFF_X31Y33 RPM_Y 66 SITEPROP TIEOFF_X31Y33 SITE_PIPS SITEPROP TIEOFF_X31Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y34 CLASS site SITEPROP TIEOFF_X31Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y34 IS_BONDED 0 SITEPROP TIEOFF_X31Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y34 IS_PAD 0 SITEPROP TIEOFF_X31Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y34 IS_RESERVED 0 SITEPROP TIEOFF_X31Y34 IS_TEST 0 SITEPROP TIEOFF_X31Y34 IS_USED 0 SITEPROP TIEOFF_X31Y34 MANUAL_ROUTING SITEPROP TIEOFF_X31Y34 NAME TIEOFF_X31Y34 SITEPROP TIEOFF_X31Y34 NUM_ARCS 0 SITEPROP TIEOFF_X31Y34 NUM_BELS 2 SITEPROP TIEOFF_X31Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y34 NUM_PINS 2 SITEPROP TIEOFF_X31Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y34 PROHIBIT 0 SITEPROP TIEOFF_X31Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y34 RPM_X 124 SITEPROP TIEOFF_X31Y34 RPM_Y 68 SITEPROP TIEOFF_X31Y34 SITE_PIPS SITEPROP TIEOFF_X31Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y35 CLASS site SITEPROP TIEOFF_X31Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y35 IS_BONDED 0 SITEPROP TIEOFF_X31Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y35 IS_PAD 0 SITEPROP TIEOFF_X31Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y35 IS_RESERVED 0 SITEPROP TIEOFF_X31Y35 IS_TEST 0 SITEPROP TIEOFF_X31Y35 IS_USED 0 SITEPROP TIEOFF_X31Y35 MANUAL_ROUTING SITEPROP TIEOFF_X31Y35 NAME TIEOFF_X31Y35 SITEPROP TIEOFF_X31Y35 NUM_ARCS 0 SITEPROP TIEOFF_X31Y35 NUM_BELS 2 SITEPROP TIEOFF_X31Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y35 NUM_PINS 2 SITEPROP TIEOFF_X31Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y35 PROHIBIT 0 SITEPROP TIEOFF_X31Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y35 RPM_X 124 SITEPROP TIEOFF_X31Y35 RPM_Y 70 SITEPROP TIEOFF_X31Y35 SITE_PIPS SITEPROP TIEOFF_X31Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y36 CLASS site SITEPROP TIEOFF_X31Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y36 IS_BONDED 0 SITEPROP TIEOFF_X31Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y36 IS_PAD 0 SITEPROP TIEOFF_X31Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y36 IS_RESERVED 0 SITEPROP TIEOFF_X31Y36 IS_TEST 0 SITEPROP TIEOFF_X31Y36 IS_USED 0 SITEPROP TIEOFF_X31Y36 MANUAL_ROUTING SITEPROP TIEOFF_X31Y36 NAME TIEOFF_X31Y36 SITEPROP TIEOFF_X31Y36 NUM_ARCS 0 SITEPROP TIEOFF_X31Y36 NUM_BELS 2 SITEPROP TIEOFF_X31Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y36 NUM_PINS 2 SITEPROP TIEOFF_X31Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y36 PROHIBIT 0 SITEPROP TIEOFF_X31Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y36 RPM_X 124 SITEPROP TIEOFF_X31Y36 RPM_Y 72 SITEPROP TIEOFF_X31Y36 SITE_PIPS SITEPROP TIEOFF_X31Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y37 CLASS site SITEPROP TIEOFF_X31Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y37 IS_BONDED 0 SITEPROP TIEOFF_X31Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y37 IS_PAD 0 SITEPROP TIEOFF_X31Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y37 IS_RESERVED 0 SITEPROP TIEOFF_X31Y37 IS_TEST 0 SITEPROP TIEOFF_X31Y37 IS_USED 0 SITEPROP TIEOFF_X31Y37 MANUAL_ROUTING SITEPROP TIEOFF_X31Y37 NAME TIEOFF_X31Y37 SITEPROP TIEOFF_X31Y37 NUM_ARCS 0 SITEPROP TIEOFF_X31Y37 NUM_BELS 2 SITEPROP TIEOFF_X31Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y37 NUM_PINS 2 SITEPROP TIEOFF_X31Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y37 PROHIBIT 0 SITEPROP TIEOFF_X31Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y37 RPM_X 124 SITEPROP TIEOFF_X31Y37 RPM_Y 74 SITEPROP TIEOFF_X31Y37 SITE_PIPS SITEPROP TIEOFF_X31Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y38 CLASS site SITEPROP TIEOFF_X31Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y38 IS_BONDED 0 SITEPROP TIEOFF_X31Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y38 IS_PAD 0 SITEPROP TIEOFF_X31Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y38 IS_RESERVED 0 SITEPROP TIEOFF_X31Y38 IS_TEST 0 SITEPROP TIEOFF_X31Y38 IS_USED 0 SITEPROP TIEOFF_X31Y38 MANUAL_ROUTING SITEPROP TIEOFF_X31Y38 NAME TIEOFF_X31Y38 SITEPROP TIEOFF_X31Y38 NUM_ARCS 0 SITEPROP TIEOFF_X31Y38 NUM_BELS 2 SITEPROP TIEOFF_X31Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y38 NUM_PINS 2 SITEPROP TIEOFF_X31Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y38 PROHIBIT 0 SITEPROP TIEOFF_X31Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y38 RPM_X 124 SITEPROP TIEOFF_X31Y38 RPM_Y 76 SITEPROP TIEOFF_X31Y38 SITE_PIPS SITEPROP TIEOFF_X31Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y39 CLASS site SITEPROP TIEOFF_X31Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y39 IS_BONDED 0 SITEPROP TIEOFF_X31Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y39 IS_PAD 0 SITEPROP TIEOFF_X31Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y39 IS_RESERVED 0 SITEPROP TIEOFF_X31Y39 IS_TEST 0 SITEPROP TIEOFF_X31Y39 IS_USED 0 SITEPROP TIEOFF_X31Y39 MANUAL_ROUTING SITEPROP TIEOFF_X31Y39 NAME TIEOFF_X31Y39 SITEPROP TIEOFF_X31Y39 NUM_ARCS 0 SITEPROP TIEOFF_X31Y39 NUM_BELS 2 SITEPROP TIEOFF_X31Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y39 NUM_PINS 2 SITEPROP TIEOFF_X31Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y39 PROHIBIT 0 SITEPROP TIEOFF_X31Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y39 RPM_X 124 SITEPROP TIEOFF_X31Y39 RPM_Y 78 SITEPROP TIEOFF_X31Y39 SITE_PIPS SITEPROP TIEOFF_X31Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y40 CLASS site SITEPROP TIEOFF_X31Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y40 IS_BONDED 0 SITEPROP TIEOFF_X31Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y40 IS_PAD 0 SITEPROP TIEOFF_X31Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y40 IS_RESERVED 0 SITEPROP TIEOFF_X31Y40 IS_TEST 0 SITEPROP TIEOFF_X31Y40 IS_USED 0 SITEPROP TIEOFF_X31Y40 MANUAL_ROUTING SITEPROP TIEOFF_X31Y40 NAME TIEOFF_X31Y40 SITEPROP TIEOFF_X31Y40 NUM_ARCS 0 SITEPROP TIEOFF_X31Y40 NUM_BELS 2 SITEPROP TIEOFF_X31Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y40 NUM_PINS 2 SITEPROP TIEOFF_X31Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y40 PROHIBIT 0 SITEPROP TIEOFF_X31Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y40 RPM_X 124 SITEPROP TIEOFF_X31Y40 RPM_Y 80 SITEPROP TIEOFF_X31Y40 SITE_PIPS SITEPROP TIEOFF_X31Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y41 CLASS site SITEPROP TIEOFF_X31Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y41 IS_BONDED 0 SITEPROP TIEOFF_X31Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y41 IS_PAD 0 SITEPROP TIEOFF_X31Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y41 IS_RESERVED 0 SITEPROP TIEOFF_X31Y41 IS_TEST 0 SITEPROP TIEOFF_X31Y41 IS_USED 0 SITEPROP TIEOFF_X31Y41 MANUAL_ROUTING SITEPROP TIEOFF_X31Y41 NAME TIEOFF_X31Y41 SITEPROP TIEOFF_X31Y41 NUM_ARCS 0 SITEPROP TIEOFF_X31Y41 NUM_BELS 2 SITEPROP TIEOFF_X31Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y41 NUM_PINS 2 SITEPROP TIEOFF_X31Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y41 PROHIBIT 0 SITEPROP TIEOFF_X31Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y41 RPM_X 124 SITEPROP TIEOFF_X31Y41 RPM_Y 82 SITEPROP TIEOFF_X31Y41 SITE_PIPS SITEPROP TIEOFF_X31Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y42 CLASS site SITEPROP TIEOFF_X31Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y42 IS_BONDED 0 SITEPROP TIEOFF_X31Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y42 IS_PAD 0 SITEPROP TIEOFF_X31Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y42 IS_RESERVED 0 SITEPROP TIEOFF_X31Y42 IS_TEST 0 SITEPROP TIEOFF_X31Y42 IS_USED 0 SITEPROP TIEOFF_X31Y42 MANUAL_ROUTING SITEPROP TIEOFF_X31Y42 NAME TIEOFF_X31Y42 SITEPROP TIEOFF_X31Y42 NUM_ARCS 0 SITEPROP TIEOFF_X31Y42 NUM_BELS 2 SITEPROP TIEOFF_X31Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y42 NUM_PINS 2 SITEPROP TIEOFF_X31Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y42 PROHIBIT 0 SITEPROP TIEOFF_X31Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y42 RPM_X 124 SITEPROP TIEOFF_X31Y42 RPM_Y 84 SITEPROP TIEOFF_X31Y42 SITE_PIPS SITEPROP TIEOFF_X31Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y43 CLASS site SITEPROP TIEOFF_X31Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y43 IS_BONDED 0 SITEPROP TIEOFF_X31Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y43 IS_PAD 0 SITEPROP TIEOFF_X31Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y43 IS_RESERVED 0 SITEPROP TIEOFF_X31Y43 IS_TEST 0 SITEPROP TIEOFF_X31Y43 IS_USED 0 SITEPROP TIEOFF_X31Y43 MANUAL_ROUTING SITEPROP TIEOFF_X31Y43 NAME TIEOFF_X31Y43 SITEPROP TIEOFF_X31Y43 NUM_ARCS 0 SITEPROP TIEOFF_X31Y43 NUM_BELS 2 SITEPROP TIEOFF_X31Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y43 NUM_PINS 2 SITEPROP TIEOFF_X31Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y43 PROHIBIT 0 SITEPROP TIEOFF_X31Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y43 RPM_X 124 SITEPROP TIEOFF_X31Y43 RPM_Y 86 SITEPROP TIEOFF_X31Y43 SITE_PIPS SITEPROP TIEOFF_X31Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y44 CLASS site SITEPROP TIEOFF_X31Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y44 IS_BONDED 0 SITEPROP TIEOFF_X31Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y44 IS_PAD 0 SITEPROP TIEOFF_X31Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y44 IS_RESERVED 0 SITEPROP TIEOFF_X31Y44 IS_TEST 0 SITEPROP TIEOFF_X31Y44 IS_USED 0 SITEPROP TIEOFF_X31Y44 MANUAL_ROUTING SITEPROP TIEOFF_X31Y44 NAME TIEOFF_X31Y44 SITEPROP TIEOFF_X31Y44 NUM_ARCS 0 SITEPROP TIEOFF_X31Y44 NUM_BELS 2 SITEPROP TIEOFF_X31Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y44 NUM_PINS 2 SITEPROP TIEOFF_X31Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y44 PROHIBIT 0 SITEPROP TIEOFF_X31Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y44 RPM_X 124 SITEPROP TIEOFF_X31Y44 RPM_Y 88 SITEPROP TIEOFF_X31Y44 SITE_PIPS SITEPROP TIEOFF_X31Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y45 CLASS site SITEPROP TIEOFF_X31Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y45 IS_BONDED 0 SITEPROP TIEOFF_X31Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y45 IS_PAD 0 SITEPROP TIEOFF_X31Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y45 IS_RESERVED 0 SITEPROP TIEOFF_X31Y45 IS_TEST 0 SITEPROP TIEOFF_X31Y45 IS_USED 0 SITEPROP TIEOFF_X31Y45 MANUAL_ROUTING SITEPROP TIEOFF_X31Y45 NAME TIEOFF_X31Y45 SITEPROP TIEOFF_X31Y45 NUM_ARCS 0 SITEPROP TIEOFF_X31Y45 NUM_BELS 2 SITEPROP TIEOFF_X31Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y45 NUM_PINS 2 SITEPROP TIEOFF_X31Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y45 PROHIBIT 0 SITEPROP TIEOFF_X31Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y45 RPM_X 124 SITEPROP TIEOFF_X31Y45 RPM_Y 90 SITEPROP TIEOFF_X31Y45 SITE_PIPS SITEPROP TIEOFF_X31Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y46 CLASS site SITEPROP TIEOFF_X31Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y46 IS_BONDED 0 SITEPROP TIEOFF_X31Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y46 IS_PAD 0 SITEPROP TIEOFF_X31Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y46 IS_RESERVED 0 SITEPROP TIEOFF_X31Y46 IS_TEST 0 SITEPROP TIEOFF_X31Y46 IS_USED 0 SITEPROP TIEOFF_X31Y46 MANUAL_ROUTING SITEPROP TIEOFF_X31Y46 NAME TIEOFF_X31Y46 SITEPROP TIEOFF_X31Y46 NUM_ARCS 0 SITEPROP TIEOFF_X31Y46 NUM_BELS 2 SITEPROP TIEOFF_X31Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y46 NUM_PINS 2 SITEPROP TIEOFF_X31Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y46 PROHIBIT 0 SITEPROP TIEOFF_X31Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y46 RPM_X 124 SITEPROP TIEOFF_X31Y46 RPM_Y 92 SITEPROP TIEOFF_X31Y46 SITE_PIPS SITEPROP TIEOFF_X31Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y47 CLASS site SITEPROP TIEOFF_X31Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y47 IS_BONDED 0 SITEPROP TIEOFF_X31Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y47 IS_PAD 0 SITEPROP TIEOFF_X31Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y47 IS_RESERVED 0 SITEPROP TIEOFF_X31Y47 IS_TEST 0 SITEPROP TIEOFF_X31Y47 IS_USED 0 SITEPROP TIEOFF_X31Y47 MANUAL_ROUTING SITEPROP TIEOFF_X31Y47 NAME TIEOFF_X31Y47 SITEPROP TIEOFF_X31Y47 NUM_ARCS 0 SITEPROP TIEOFF_X31Y47 NUM_BELS 2 SITEPROP TIEOFF_X31Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y47 NUM_PINS 2 SITEPROP TIEOFF_X31Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y47 PROHIBIT 0 SITEPROP TIEOFF_X31Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y47 RPM_X 124 SITEPROP TIEOFF_X31Y47 RPM_Y 94 SITEPROP TIEOFF_X31Y47 SITE_PIPS SITEPROP TIEOFF_X31Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y48 CLASS site SITEPROP TIEOFF_X31Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y48 IS_BONDED 0 SITEPROP TIEOFF_X31Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y48 IS_PAD 0 SITEPROP TIEOFF_X31Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y48 IS_RESERVED 0 SITEPROP TIEOFF_X31Y48 IS_TEST 0 SITEPROP TIEOFF_X31Y48 IS_USED 0 SITEPROP TIEOFF_X31Y48 MANUAL_ROUTING SITEPROP TIEOFF_X31Y48 NAME TIEOFF_X31Y48 SITEPROP TIEOFF_X31Y48 NUM_ARCS 0 SITEPROP TIEOFF_X31Y48 NUM_BELS 2 SITEPROP TIEOFF_X31Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y48 NUM_PINS 2 SITEPROP TIEOFF_X31Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y48 PROHIBIT 0 SITEPROP TIEOFF_X31Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y48 RPM_X 124 SITEPROP TIEOFF_X31Y48 RPM_Y 96 SITEPROP TIEOFF_X31Y48 SITE_PIPS SITEPROP TIEOFF_X31Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y49 CLASS site SITEPROP TIEOFF_X31Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X31Y49 IS_BONDED 0 SITEPROP TIEOFF_X31Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y49 IS_PAD 0 SITEPROP TIEOFF_X31Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y49 IS_RESERVED 0 SITEPROP TIEOFF_X31Y49 IS_TEST 0 SITEPROP TIEOFF_X31Y49 IS_USED 0 SITEPROP TIEOFF_X31Y49 MANUAL_ROUTING SITEPROP TIEOFF_X31Y49 NAME TIEOFF_X31Y49 SITEPROP TIEOFF_X31Y49 NUM_ARCS 0 SITEPROP TIEOFF_X31Y49 NUM_BELS 2 SITEPROP TIEOFF_X31Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y49 NUM_PINS 2 SITEPROP TIEOFF_X31Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y49 PROHIBIT 0 SITEPROP TIEOFF_X31Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y49 RPM_X 124 SITEPROP TIEOFF_X31Y49 RPM_Y 98 SITEPROP TIEOFF_X31Y49 SITE_PIPS SITEPROP TIEOFF_X31Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y50 CLASS site SITEPROP TIEOFF_X31Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y50 IS_BONDED 0 SITEPROP TIEOFF_X31Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y50 IS_PAD 0 SITEPROP TIEOFF_X31Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y50 IS_RESERVED 0 SITEPROP TIEOFF_X31Y50 IS_TEST 0 SITEPROP TIEOFF_X31Y50 IS_USED 0 SITEPROP TIEOFF_X31Y50 MANUAL_ROUTING SITEPROP TIEOFF_X31Y50 NAME TIEOFF_X31Y50 SITEPROP TIEOFF_X31Y50 NUM_ARCS 0 SITEPROP TIEOFF_X31Y50 NUM_BELS 2 SITEPROP TIEOFF_X31Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y50 NUM_PINS 2 SITEPROP TIEOFF_X31Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y50 PROHIBIT 0 SITEPROP TIEOFF_X31Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y50 RPM_X 124 SITEPROP TIEOFF_X31Y50 RPM_Y 100 SITEPROP TIEOFF_X31Y50 SITE_PIPS SITEPROP TIEOFF_X31Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y51 CLASS site SITEPROP TIEOFF_X31Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y51 IS_BONDED 0 SITEPROP TIEOFF_X31Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y51 IS_PAD 0 SITEPROP TIEOFF_X31Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y51 IS_RESERVED 0 SITEPROP TIEOFF_X31Y51 IS_TEST 0 SITEPROP TIEOFF_X31Y51 IS_USED 0 SITEPROP TIEOFF_X31Y51 MANUAL_ROUTING SITEPROP TIEOFF_X31Y51 NAME TIEOFF_X31Y51 SITEPROP TIEOFF_X31Y51 NUM_ARCS 0 SITEPROP TIEOFF_X31Y51 NUM_BELS 2 SITEPROP TIEOFF_X31Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y51 NUM_PINS 2 SITEPROP TIEOFF_X31Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y51 PROHIBIT 0 SITEPROP TIEOFF_X31Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y51 RPM_X 124 SITEPROP TIEOFF_X31Y51 RPM_Y 102 SITEPROP TIEOFF_X31Y51 SITE_PIPS SITEPROP TIEOFF_X31Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y52 CLASS site SITEPROP TIEOFF_X31Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y52 IS_BONDED 0 SITEPROP TIEOFF_X31Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y52 IS_PAD 0 SITEPROP TIEOFF_X31Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y52 IS_RESERVED 0 SITEPROP TIEOFF_X31Y52 IS_TEST 0 SITEPROP TIEOFF_X31Y52 IS_USED 0 SITEPROP TIEOFF_X31Y52 MANUAL_ROUTING SITEPROP TIEOFF_X31Y52 NAME TIEOFF_X31Y52 SITEPROP TIEOFF_X31Y52 NUM_ARCS 0 SITEPROP TIEOFF_X31Y52 NUM_BELS 2 SITEPROP TIEOFF_X31Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y52 NUM_PINS 2 SITEPROP TIEOFF_X31Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y52 PROHIBIT 0 SITEPROP TIEOFF_X31Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y52 RPM_X 124 SITEPROP TIEOFF_X31Y52 RPM_Y 104 SITEPROP TIEOFF_X31Y52 SITE_PIPS SITEPROP TIEOFF_X31Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y53 CLASS site SITEPROP TIEOFF_X31Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y53 IS_BONDED 0 SITEPROP TIEOFF_X31Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y53 IS_PAD 0 SITEPROP TIEOFF_X31Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y53 IS_RESERVED 0 SITEPROP TIEOFF_X31Y53 IS_TEST 0 SITEPROP TIEOFF_X31Y53 IS_USED 0 SITEPROP TIEOFF_X31Y53 MANUAL_ROUTING SITEPROP TIEOFF_X31Y53 NAME TIEOFF_X31Y53 SITEPROP TIEOFF_X31Y53 NUM_ARCS 0 SITEPROP TIEOFF_X31Y53 NUM_BELS 2 SITEPROP TIEOFF_X31Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y53 NUM_PINS 2 SITEPROP TIEOFF_X31Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y53 PROHIBIT 0 SITEPROP TIEOFF_X31Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y53 RPM_X 124 SITEPROP TIEOFF_X31Y53 RPM_Y 106 SITEPROP TIEOFF_X31Y53 SITE_PIPS SITEPROP TIEOFF_X31Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y54 CLASS site SITEPROP TIEOFF_X31Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y54 IS_BONDED 0 SITEPROP TIEOFF_X31Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y54 IS_PAD 0 SITEPROP TIEOFF_X31Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y54 IS_RESERVED 0 SITEPROP TIEOFF_X31Y54 IS_TEST 0 SITEPROP TIEOFF_X31Y54 IS_USED 0 SITEPROP TIEOFF_X31Y54 MANUAL_ROUTING SITEPROP TIEOFF_X31Y54 NAME TIEOFF_X31Y54 SITEPROP TIEOFF_X31Y54 NUM_ARCS 0 SITEPROP TIEOFF_X31Y54 NUM_BELS 2 SITEPROP TIEOFF_X31Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y54 NUM_PINS 2 SITEPROP TIEOFF_X31Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y54 PROHIBIT 0 SITEPROP TIEOFF_X31Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y54 RPM_X 124 SITEPROP TIEOFF_X31Y54 RPM_Y 108 SITEPROP TIEOFF_X31Y54 SITE_PIPS SITEPROP TIEOFF_X31Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y55 CLASS site SITEPROP TIEOFF_X31Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y55 IS_BONDED 0 SITEPROP TIEOFF_X31Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y55 IS_PAD 0 SITEPROP TIEOFF_X31Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y55 IS_RESERVED 0 SITEPROP TIEOFF_X31Y55 IS_TEST 0 SITEPROP TIEOFF_X31Y55 IS_USED 0 SITEPROP TIEOFF_X31Y55 MANUAL_ROUTING SITEPROP TIEOFF_X31Y55 NAME TIEOFF_X31Y55 SITEPROP TIEOFF_X31Y55 NUM_ARCS 0 SITEPROP TIEOFF_X31Y55 NUM_BELS 2 SITEPROP TIEOFF_X31Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y55 NUM_PINS 2 SITEPROP TIEOFF_X31Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y55 PROHIBIT 0 SITEPROP TIEOFF_X31Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y55 RPM_X 124 SITEPROP TIEOFF_X31Y55 RPM_Y 110 SITEPROP TIEOFF_X31Y55 SITE_PIPS SITEPROP TIEOFF_X31Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y56 CLASS site SITEPROP TIEOFF_X31Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y56 IS_BONDED 0 SITEPROP TIEOFF_X31Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y56 IS_PAD 0 SITEPROP TIEOFF_X31Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y56 IS_RESERVED 0 SITEPROP TIEOFF_X31Y56 IS_TEST 0 SITEPROP TIEOFF_X31Y56 IS_USED 0 SITEPROP TIEOFF_X31Y56 MANUAL_ROUTING SITEPROP TIEOFF_X31Y56 NAME TIEOFF_X31Y56 SITEPROP TIEOFF_X31Y56 NUM_ARCS 0 SITEPROP TIEOFF_X31Y56 NUM_BELS 2 SITEPROP TIEOFF_X31Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y56 NUM_PINS 2 SITEPROP TIEOFF_X31Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y56 PROHIBIT 0 SITEPROP TIEOFF_X31Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y56 RPM_X 124 SITEPROP TIEOFF_X31Y56 RPM_Y 112 SITEPROP TIEOFF_X31Y56 SITE_PIPS SITEPROP TIEOFF_X31Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y57 CLASS site SITEPROP TIEOFF_X31Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y57 IS_BONDED 0 SITEPROP TIEOFF_X31Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y57 IS_PAD 0 SITEPROP TIEOFF_X31Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y57 IS_RESERVED 0 SITEPROP TIEOFF_X31Y57 IS_TEST 0 SITEPROP TIEOFF_X31Y57 IS_USED 0 SITEPROP TIEOFF_X31Y57 MANUAL_ROUTING SITEPROP TIEOFF_X31Y57 NAME TIEOFF_X31Y57 SITEPROP TIEOFF_X31Y57 NUM_ARCS 0 SITEPROP TIEOFF_X31Y57 NUM_BELS 2 SITEPROP TIEOFF_X31Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y57 NUM_PINS 2 SITEPROP TIEOFF_X31Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y57 PROHIBIT 0 SITEPROP TIEOFF_X31Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y57 RPM_X 124 SITEPROP TIEOFF_X31Y57 RPM_Y 114 SITEPROP TIEOFF_X31Y57 SITE_PIPS SITEPROP TIEOFF_X31Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y58 CLASS site SITEPROP TIEOFF_X31Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y58 IS_BONDED 0 SITEPROP TIEOFF_X31Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y58 IS_PAD 0 SITEPROP TIEOFF_X31Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y58 IS_RESERVED 0 SITEPROP TIEOFF_X31Y58 IS_TEST 0 SITEPROP TIEOFF_X31Y58 IS_USED 0 SITEPROP TIEOFF_X31Y58 MANUAL_ROUTING SITEPROP TIEOFF_X31Y58 NAME TIEOFF_X31Y58 SITEPROP TIEOFF_X31Y58 NUM_ARCS 0 SITEPROP TIEOFF_X31Y58 NUM_BELS 2 SITEPROP TIEOFF_X31Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y58 NUM_PINS 2 SITEPROP TIEOFF_X31Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y58 PROHIBIT 0 SITEPROP TIEOFF_X31Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y58 RPM_X 124 SITEPROP TIEOFF_X31Y58 RPM_Y 116 SITEPROP TIEOFF_X31Y58 SITE_PIPS SITEPROP TIEOFF_X31Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y59 CLASS site SITEPROP TIEOFF_X31Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y59 IS_BONDED 0 SITEPROP TIEOFF_X31Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y59 IS_PAD 0 SITEPROP TIEOFF_X31Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y59 IS_RESERVED 0 SITEPROP TIEOFF_X31Y59 IS_TEST 0 SITEPROP TIEOFF_X31Y59 IS_USED 0 SITEPROP TIEOFF_X31Y59 MANUAL_ROUTING SITEPROP TIEOFF_X31Y59 NAME TIEOFF_X31Y59 SITEPROP TIEOFF_X31Y59 NUM_ARCS 0 SITEPROP TIEOFF_X31Y59 NUM_BELS 2 SITEPROP TIEOFF_X31Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y59 NUM_PINS 2 SITEPROP TIEOFF_X31Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y59 PROHIBIT 0 SITEPROP TIEOFF_X31Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y59 RPM_X 124 SITEPROP TIEOFF_X31Y59 RPM_Y 118 SITEPROP TIEOFF_X31Y59 SITE_PIPS SITEPROP TIEOFF_X31Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y60 CLASS site SITEPROP TIEOFF_X31Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y60 IS_BONDED 0 SITEPROP TIEOFF_X31Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y60 IS_PAD 0 SITEPROP TIEOFF_X31Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y60 IS_RESERVED 0 SITEPROP TIEOFF_X31Y60 IS_TEST 0 SITEPROP TIEOFF_X31Y60 IS_USED 0 SITEPROP TIEOFF_X31Y60 MANUAL_ROUTING SITEPROP TIEOFF_X31Y60 NAME TIEOFF_X31Y60 SITEPROP TIEOFF_X31Y60 NUM_ARCS 0 SITEPROP TIEOFF_X31Y60 NUM_BELS 2 SITEPROP TIEOFF_X31Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y60 NUM_PINS 2 SITEPROP TIEOFF_X31Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y60 PROHIBIT 0 SITEPROP TIEOFF_X31Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y60 RPM_X 124 SITEPROP TIEOFF_X31Y60 RPM_Y 120 SITEPROP TIEOFF_X31Y60 SITE_PIPS SITEPROP TIEOFF_X31Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y61 CLASS site SITEPROP TIEOFF_X31Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y61 IS_BONDED 0 SITEPROP TIEOFF_X31Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y61 IS_PAD 0 SITEPROP TIEOFF_X31Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y61 IS_RESERVED 0 SITEPROP TIEOFF_X31Y61 IS_TEST 0 SITEPROP TIEOFF_X31Y61 IS_USED 0 SITEPROP TIEOFF_X31Y61 MANUAL_ROUTING SITEPROP TIEOFF_X31Y61 NAME TIEOFF_X31Y61 SITEPROP TIEOFF_X31Y61 NUM_ARCS 0 SITEPROP TIEOFF_X31Y61 NUM_BELS 2 SITEPROP TIEOFF_X31Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y61 NUM_PINS 2 SITEPROP TIEOFF_X31Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y61 PROHIBIT 0 SITEPROP TIEOFF_X31Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y61 RPM_X 124 SITEPROP TIEOFF_X31Y61 RPM_Y 122 SITEPROP TIEOFF_X31Y61 SITE_PIPS SITEPROP TIEOFF_X31Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y62 CLASS site SITEPROP TIEOFF_X31Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y62 IS_BONDED 0 SITEPROP TIEOFF_X31Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y62 IS_PAD 0 SITEPROP TIEOFF_X31Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y62 IS_RESERVED 0 SITEPROP TIEOFF_X31Y62 IS_TEST 0 SITEPROP TIEOFF_X31Y62 IS_USED 0 SITEPROP TIEOFF_X31Y62 MANUAL_ROUTING SITEPROP TIEOFF_X31Y62 NAME TIEOFF_X31Y62 SITEPROP TIEOFF_X31Y62 NUM_ARCS 0 SITEPROP TIEOFF_X31Y62 NUM_BELS 2 SITEPROP TIEOFF_X31Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y62 NUM_PINS 2 SITEPROP TIEOFF_X31Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y62 PROHIBIT 0 SITEPROP TIEOFF_X31Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y62 RPM_X 124 SITEPROP TIEOFF_X31Y62 RPM_Y 124 SITEPROP TIEOFF_X31Y62 SITE_PIPS SITEPROP TIEOFF_X31Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y63 CLASS site SITEPROP TIEOFF_X31Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y63 IS_BONDED 0 SITEPROP TIEOFF_X31Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y63 IS_PAD 0 SITEPROP TIEOFF_X31Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y63 IS_RESERVED 0 SITEPROP TIEOFF_X31Y63 IS_TEST 0 SITEPROP TIEOFF_X31Y63 IS_USED 0 SITEPROP TIEOFF_X31Y63 MANUAL_ROUTING SITEPROP TIEOFF_X31Y63 NAME TIEOFF_X31Y63 SITEPROP TIEOFF_X31Y63 NUM_ARCS 0 SITEPROP TIEOFF_X31Y63 NUM_BELS 2 SITEPROP TIEOFF_X31Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y63 NUM_PINS 2 SITEPROP TIEOFF_X31Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y63 PROHIBIT 0 SITEPROP TIEOFF_X31Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y63 RPM_X 124 SITEPROP TIEOFF_X31Y63 RPM_Y 126 SITEPROP TIEOFF_X31Y63 SITE_PIPS SITEPROP TIEOFF_X31Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y64 CLASS site SITEPROP TIEOFF_X31Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y64 IS_BONDED 0 SITEPROP TIEOFF_X31Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y64 IS_PAD 0 SITEPROP TIEOFF_X31Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y64 IS_RESERVED 0 SITEPROP TIEOFF_X31Y64 IS_TEST 0 SITEPROP TIEOFF_X31Y64 IS_USED 0 SITEPROP TIEOFF_X31Y64 MANUAL_ROUTING SITEPROP TIEOFF_X31Y64 NAME TIEOFF_X31Y64 SITEPROP TIEOFF_X31Y64 NUM_ARCS 0 SITEPROP TIEOFF_X31Y64 NUM_BELS 2 SITEPROP TIEOFF_X31Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y64 NUM_PINS 2 SITEPROP TIEOFF_X31Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y64 PROHIBIT 0 SITEPROP TIEOFF_X31Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y64 RPM_X 124 SITEPROP TIEOFF_X31Y64 RPM_Y 128 SITEPROP TIEOFF_X31Y64 SITE_PIPS SITEPROP TIEOFF_X31Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y65 CLASS site SITEPROP TIEOFF_X31Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y65 IS_BONDED 0 SITEPROP TIEOFF_X31Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y65 IS_PAD 0 SITEPROP TIEOFF_X31Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y65 IS_RESERVED 0 SITEPROP TIEOFF_X31Y65 IS_TEST 0 SITEPROP TIEOFF_X31Y65 IS_USED 0 SITEPROP TIEOFF_X31Y65 MANUAL_ROUTING SITEPROP TIEOFF_X31Y65 NAME TIEOFF_X31Y65 SITEPROP TIEOFF_X31Y65 NUM_ARCS 0 SITEPROP TIEOFF_X31Y65 NUM_BELS 2 SITEPROP TIEOFF_X31Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y65 NUM_PINS 2 SITEPROP TIEOFF_X31Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y65 PROHIBIT 0 SITEPROP TIEOFF_X31Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y65 RPM_X 124 SITEPROP TIEOFF_X31Y65 RPM_Y 130 SITEPROP TIEOFF_X31Y65 SITE_PIPS SITEPROP TIEOFF_X31Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y66 CLASS site SITEPROP TIEOFF_X31Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y66 IS_BONDED 0 SITEPROP TIEOFF_X31Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y66 IS_PAD 0 SITEPROP TIEOFF_X31Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y66 IS_RESERVED 0 SITEPROP TIEOFF_X31Y66 IS_TEST 0 SITEPROP TIEOFF_X31Y66 IS_USED 0 SITEPROP TIEOFF_X31Y66 MANUAL_ROUTING SITEPROP TIEOFF_X31Y66 NAME TIEOFF_X31Y66 SITEPROP TIEOFF_X31Y66 NUM_ARCS 0 SITEPROP TIEOFF_X31Y66 NUM_BELS 2 SITEPROP TIEOFF_X31Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y66 NUM_PINS 2 SITEPROP TIEOFF_X31Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y66 PROHIBIT 0 SITEPROP TIEOFF_X31Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y66 RPM_X 124 SITEPROP TIEOFF_X31Y66 RPM_Y 132 SITEPROP TIEOFF_X31Y66 SITE_PIPS SITEPROP TIEOFF_X31Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y67 CLASS site SITEPROP TIEOFF_X31Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y67 IS_BONDED 0 SITEPROP TIEOFF_X31Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y67 IS_PAD 0 SITEPROP TIEOFF_X31Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y67 IS_RESERVED 0 SITEPROP TIEOFF_X31Y67 IS_TEST 0 SITEPROP TIEOFF_X31Y67 IS_USED 0 SITEPROP TIEOFF_X31Y67 MANUAL_ROUTING SITEPROP TIEOFF_X31Y67 NAME TIEOFF_X31Y67 SITEPROP TIEOFF_X31Y67 NUM_ARCS 0 SITEPROP TIEOFF_X31Y67 NUM_BELS 2 SITEPROP TIEOFF_X31Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y67 NUM_PINS 2 SITEPROP TIEOFF_X31Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y67 PROHIBIT 0 SITEPROP TIEOFF_X31Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y67 RPM_X 124 SITEPROP TIEOFF_X31Y67 RPM_Y 134 SITEPROP TIEOFF_X31Y67 SITE_PIPS SITEPROP TIEOFF_X31Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y68 CLASS site SITEPROP TIEOFF_X31Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y68 IS_BONDED 0 SITEPROP TIEOFF_X31Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y68 IS_PAD 0 SITEPROP TIEOFF_X31Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y68 IS_RESERVED 0 SITEPROP TIEOFF_X31Y68 IS_TEST 0 SITEPROP TIEOFF_X31Y68 IS_USED 0 SITEPROP TIEOFF_X31Y68 MANUAL_ROUTING SITEPROP TIEOFF_X31Y68 NAME TIEOFF_X31Y68 SITEPROP TIEOFF_X31Y68 NUM_ARCS 0 SITEPROP TIEOFF_X31Y68 NUM_BELS 2 SITEPROP TIEOFF_X31Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y68 NUM_PINS 2 SITEPROP TIEOFF_X31Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y68 PROHIBIT 0 SITEPROP TIEOFF_X31Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y68 RPM_X 124 SITEPROP TIEOFF_X31Y68 RPM_Y 136 SITEPROP TIEOFF_X31Y68 SITE_PIPS SITEPROP TIEOFF_X31Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y69 CLASS site SITEPROP TIEOFF_X31Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y69 IS_BONDED 0 SITEPROP TIEOFF_X31Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y69 IS_PAD 0 SITEPROP TIEOFF_X31Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y69 IS_RESERVED 0 SITEPROP TIEOFF_X31Y69 IS_TEST 0 SITEPROP TIEOFF_X31Y69 IS_USED 0 SITEPROP TIEOFF_X31Y69 MANUAL_ROUTING SITEPROP TIEOFF_X31Y69 NAME TIEOFF_X31Y69 SITEPROP TIEOFF_X31Y69 NUM_ARCS 0 SITEPROP TIEOFF_X31Y69 NUM_BELS 2 SITEPROP TIEOFF_X31Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y69 NUM_PINS 2 SITEPROP TIEOFF_X31Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y69 PROHIBIT 0 SITEPROP TIEOFF_X31Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y69 RPM_X 124 SITEPROP TIEOFF_X31Y69 RPM_Y 138 SITEPROP TIEOFF_X31Y69 SITE_PIPS SITEPROP TIEOFF_X31Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y70 CLASS site SITEPROP TIEOFF_X31Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y70 IS_BONDED 0 SITEPROP TIEOFF_X31Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y70 IS_PAD 0 SITEPROP TIEOFF_X31Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y70 IS_RESERVED 0 SITEPROP TIEOFF_X31Y70 IS_TEST 0 SITEPROP TIEOFF_X31Y70 IS_USED 0 SITEPROP TIEOFF_X31Y70 MANUAL_ROUTING SITEPROP TIEOFF_X31Y70 NAME TIEOFF_X31Y70 SITEPROP TIEOFF_X31Y70 NUM_ARCS 0 SITEPROP TIEOFF_X31Y70 NUM_BELS 2 SITEPROP TIEOFF_X31Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y70 NUM_PINS 2 SITEPROP TIEOFF_X31Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y70 PROHIBIT 0 SITEPROP TIEOFF_X31Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y70 RPM_X 124 SITEPROP TIEOFF_X31Y70 RPM_Y 140 SITEPROP TIEOFF_X31Y70 SITE_PIPS SITEPROP TIEOFF_X31Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y71 CLASS site SITEPROP TIEOFF_X31Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y71 IS_BONDED 0 SITEPROP TIEOFF_X31Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y71 IS_PAD 0 SITEPROP TIEOFF_X31Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y71 IS_RESERVED 0 SITEPROP TIEOFF_X31Y71 IS_TEST 0 SITEPROP TIEOFF_X31Y71 IS_USED 0 SITEPROP TIEOFF_X31Y71 MANUAL_ROUTING SITEPROP TIEOFF_X31Y71 NAME TIEOFF_X31Y71 SITEPROP TIEOFF_X31Y71 NUM_ARCS 0 SITEPROP TIEOFF_X31Y71 NUM_BELS 2 SITEPROP TIEOFF_X31Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y71 NUM_PINS 2 SITEPROP TIEOFF_X31Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y71 PROHIBIT 0 SITEPROP TIEOFF_X31Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y71 RPM_X 124 SITEPROP TIEOFF_X31Y71 RPM_Y 142 SITEPROP TIEOFF_X31Y71 SITE_PIPS SITEPROP TIEOFF_X31Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y72 CLASS site SITEPROP TIEOFF_X31Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y72 IS_BONDED 0 SITEPROP TIEOFF_X31Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y72 IS_PAD 0 SITEPROP TIEOFF_X31Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y72 IS_RESERVED 0 SITEPROP TIEOFF_X31Y72 IS_TEST 0 SITEPROP TIEOFF_X31Y72 IS_USED 0 SITEPROP TIEOFF_X31Y72 MANUAL_ROUTING SITEPROP TIEOFF_X31Y72 NAME TIEOFF_X31Y72 SITEPROP TIEOFF_X31Y72 NUM_ARCS 0 SITEPROP TIEOFF_X31Y72 NUM_BELS 2 SITEPROP TIEOFF_X31Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y72 NUM_PINS 2 SITEPROP TIEOFF_X31Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y72 PROHIBIT 0 SITEPROP TIEOFF_X31Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y72 RPM_X 124 SITEPROP TIEOFF_X31Y72 RPM_Y 144 SITEPROP TIEOFF_X31Y72 SITE_PIPS SITEPROP TIEOFF_X31Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y73 CLASS site SITEPROP TIEOFF_X31Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y73 IS_BONDED 0 SITEPROP TIEOFF_X31Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y73 IS_PAD 0 SITEPROP TIEOFF_X31Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y73 IS_RESERVED 0 SITEPROP TIEOFF_X31Y73 IS_TEST 0 SITEPROP TIEOFF_X31Y73 IS_USED 0 SITEPROP TIEOFF_X31Y73 MANUAL_ROUTING SITEPROP TIEOFF_X31Y73 NAME TIEOFF_X31Y73 SITEPROP TIEOFF_X31Y73 NUM_ARCS 0 SITEPROP TIEOFF_X31Y73 NUM_BELS 2 SITEPROP TIEOFF_X31Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y73 NUM_PINS 2 SITEPROP TIEOFF_X31Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y73 PROHIBIT 0 SITEPROP TIEOFF_X31Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y73 RPM_X 124 SITEPROP TIEOFF_X31Y73 RPM_Y 146 SITEPROP TIEOFF_X31Y73 SITE_PIPS SITEPROP TIEOFF_X31Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y74 CLASS site SITEPROP TIEOFF_X31Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y74 IS_BONDED 0 SITEPROP TIEOFF_X31Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y74 IS_PAD 0 SITEPROP TIEOFF_X31Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y74 IS_RESERVED 0 SITEPROP TIEOFF_X31Y74 IS_TEST 0 SITEPROP TIEOFF_X31Y74 IS_USED 0 SITEPROP TIEOFF_X31Y74 MANUAL_ROUTING SITEPROP TIEOFF_X31Y74 NAME TIEOFF_X31Y74 SITEPROP TIEOFF_X31Y74 NUM_ARCS 0 SITEPROP TIEOFF_X31Y74 NUM_BELS 2 SITEPROP TIEOFF_X31Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y74 NUM_PINS 2 SITEPROP TIEOFF_X31Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y74 PROHIBIT 0 SITEPROP TIEOFF_X31Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y74 RPM_X 124 SITEPROP TIEOFF_X31Y74 RPM_Y 148 SITEPROP TIEOFF_X31Y74 SITE_PIPS SITEPROP TIEOFF_X31Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y75 CLASS site SITEPROP TIEOFF_X31Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y75 IS_BONDED 0 SITEPROP TIEOFF_X31Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y75 IS_PAD 0 SITEPROP TIEOFF_X31Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y75 IS_RESERVED 0 SITEPROP TIEOFF_X31Y75 IS_TEST 0 SITEPROP TIEOFF_X31Y75 IS_USED 0 SITEPROP TIEOFF_X31Y75 MANUAL_ROUTING SITEPROP TIEOFF_X31Y75 NAME TIEOFF_X31Y75 SITEPROP TIEOFF_X31Y75 NUM_ARCS 0 SITEPROP TIEOFF_X31Y75 NUM_BELS 2 SITEPROP TIEOFF_X31Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y75 NUM_PINS 2 SITEPROP TIEOFF_X31Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y75 PROHIBIT 0 SITEPROP TIEOFF_X31Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y75 RPM_X 124 SITEPROP TIEOFF_X31Y75 RPM_Y 150 SITEPROP TIEOFF_X31Y75 SITE_PIPS SITEPROP TIEOFF_X31Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y76 CLASS site SITEPROP TIEOFF_X31Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y76 IS_BONDED 0 SITEPROP TIEOFF_X31Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y76 IS_PAD 0 SITEPROP TIEOFF_X31Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y76 IS_RESERVED 0 SITEPROP TIEOFF_X31Y76 IS_TEST 0 SITEPROP TIEOFF_X31Y76 IS_USED 0 SITEPROP TIEOFF_X31Y76 MANUAL_ROUTING SITEPROP TIEOFF_X31Y76 NAME TIEOFF_X31Y76 SITEPROP TIEOFF_X31Y76 NUM_ARCS 0 SITEPROP TIEOFF_X31Y76 NUM_BELS 2 SITEPROP TIEOFF_X31Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y76 NUM_PINS 2 SITEPROP TIEOFF_X31Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y76 PROHIBIT 0 SITEPROP TIEOFF_X31Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y76 RPM_X 124 SITEPROP TIEOFF_X31Y76 RPM_Y 152 SITEPROP TIEOFF_X31Y76 SITE_PIPS SITEPROP TIEOFF_X31Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y77 CLASS site SITEPROP TIEOFF_X31Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y77 IS_BONDED 0 SITEPROP TIEOFF_X31Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y77 IS_PAD 0 SITEPROP TIEOFF_X31Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y77 IS_RESERVED 0 SITEPROP TIEOFF_X31Y77 IS_TEST 0 SITEPROP TIEOFF_X31Y77 IS_USED 0 SITEPROP TIEOFF_X31Y77 MANUAL_ROUTING SITEPROP TIEOFF_X31Y77 NAME TIEOFF_X31Y77 SITEPROP TIEOFF_X31Y77 NUM_ARCS 0 SITEPROP TIEOFF_X31Y77 NUM_BELS 2 SITEPROP TIEOFF_X31Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y77 NUM_PINS 2 SITEPROP TIEOFF_X31Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y77 PROHIBIT 0 SITEPROP TIEOFF_X31Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y77 RPM_X 124 SITEPROP TIEOFF_X31Y77 RPM_Y 154 SITEPROP TIEOFF_X31Y77 SITE_PIPS SITEPROP TIEOFF_X31Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y78 CLASS site SITEPROP TIEOFF_X31Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y78 IS_BONDED 0 SITEPROP TIEOFF_X31Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y78 IS_PAD 0 SITEPROP TIEOFF_X31Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y78 IS_RESERVED 0 SITEPROP TIEOFF_X31Y78 IS_TEST 0 SITEPROP TIEOFF_X31Y78 IS_USED 0 SITEPROP TIEOFF_X31Y78 MANUAL_ROUTING SITEPROP TIEOFF_X31Y78 NAME TIEOFF_X31Y78 SITEPROP TIEOFF_X31Y78 NUM_ARCS 0 SITEPROP TIEOFF_X31Y78 NUM_BELS 2 SITEPROP TIEOFF_X31Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y78 NUM_PINS 2 SITEPROP TIEOFF_X31Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y78 PROHIBIT 0 SITEPROP TIEOFF_X31Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y78 RPM_X 124 SITEPROP TIEOFF_X31Y78 RPM_Y 156 SITEPROP TIEOFF_X31Y78 SITE_PIPS SITEPROP TIEOFF_X31Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y79 CLASS site SITEPROP TIEOFF_X31Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y79 IS_BONDED 0 SITEPROP TIEOFF_X31Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y79 IS_PAD 0 SITEPROP TIEOFF_X31Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y79 IS_RESERVED 0 SITEPROP TIEOFF_X31Y79 IS_TEST 0 SITEPROP TIEOFF_X31Y79 IS_USED 0 SITEPROP TIEOFF_X31Y79 MANUAL_ROUTING SITEPROP TIEOFF_X31Y79 NAME TIEOFF_X31Y79 SITEPROP TIEOFF_X31Y79 NUM_ARCS 0 SITEPROP TIEOFF_X31Y79 NUM_BELS 2 SITEPROP TIEOFF_X31Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y79 NUM_PINS 2 SITEPROP TIEOFF_X31Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y79 PROHIBIT 0 SITEPROP TIEOFF_X31Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y79 RPM_X 124 SITEPROP TIEOFF_X31Y79 RPM_Y 158 SITEPROP TIEOFF_X31Y79 SITE_PIPS SITEPROP TIEOFF_X31Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y80 CLASS site SITEPROP TIEOFF_X31Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y80 IS_BONDED 0 SITEPROP TIEOFF_X31Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y80 IS_PAD 0 SITEPROP TIEOFF_X31Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y80 IS_RESERVED 0 SITEPROP TIEOFF_X31Y80 IS_TEST 0 SITEPROP TIEOFF_X31Y80 IS_USED 0 SITEPROP TIEOFF_X31Y80 MANUAL_ROUTING SITEPROP TIEOFF_X31Y80 NAME TIEOFF_X31Y80 SITEPROP TIEOFF_X31Y80 NUM_ARCS 0 SITEPROP TIEOFF_X31Y80 NUM_BELS 2 SITEPROP TIEOFF_X31Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y80 NUM_PINS 2 SITEPROP TIEOFF_X31Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y80 PROHIBIT 0 SITEPROP TIEOFF_X31Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y80 RPM_X 124 SITEPROP TIEOFF_X31Y80 RPM_Y 160 SITEPROP TIEOFF_X31Y80 SITE_PIPS SITEPROP TIEOFF_X31Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y81 CLASS site SITEPROP TIEOFF_X31Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y81 IS_BONDED 0 SITEPROP TIEOFF_X31Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y81 IS_PAD 0 SITEPROP TIEOFF_X31Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y81 IS_RESERVED 0 SITEPROP TIEOFF_X31Y81 IS_TEST 0 SITEPROP TIEOFF_X31Y81 IS_USED 0 SITEPROP TIEOFF_X31Y81 MANUAL_ROUTING SITEPROP TIEOFF_X31Y81 NAME TIEOFF_X31Y81 SITEPROP TIEOFF_X31Y81 NUM_ARCS 0 SITEPROP TIEOFF_X31Y81 NUM_BELS 2 SITEPROP TIEOFF_X31Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y81 NUM_PINS 2 SITEPROP TIEOFF_X31Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y81 PROHIBIT 0 SITEPROP TIEOFF_X31Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y81 RPM_X 124 SITEPROP TIEOFF_X31Y81 RPM_Y 162 SITEPROP TIEOFF_X31Y81 SITE_PIPS SITEPROP TIEOFF_X31Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y82 CLASS site SITEPROP TIEOFF_X31Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y82 IS_BONDED 0 SITEPROP TIEOFF_X31Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y82 IS_PAD 0 SITEPROP TIEOFF_X31Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y82 IS_RESERVED 0 SITEPROP TIEOFF_X31Y82 IS_TEST 0 SITEPROP TIEOFF_X31Y82 IS_USED 0 SITEPROP TIEOFF_X31Y82 MANUAL_ROUTING SITEPROP TIEOFF_X31Y82 NAME TIEOFF_X31Y82 SITEPROP TIEOFF_X31Y82 NUM_ARCS 0 SITEPROP TIEOFF_X31Y82 NUM_BELS 2 SITEPROP TIEOFF_X31Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y82 NUM_PINS 2 SITEPROP TIEOFF_X31Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y82 PROHIBIT 0 SITEPROP TIEOFF_X31Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y82 RPM_X 124 SITEPROP TIEOFF_X31Y82 RPM_Y 164 SITEPROP TIEOFF_X31Y82 SITE_PIPS SITEPROP TIEOFF_X31Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y83 CLASS site SITEPROP TIEOFF_X31Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y83 IS_BONDED 0 SITEPROP TIEOFF_X31Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y83 IS_PAD 0 SITEPROP TIEOFF_X31Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y83 IS_RESERVED 0 SITEPROP TIEOFF_X31Y83 IS_TEST 0 SITEPROP TIEOFF_X31Y83 IS_USED 0 SITEPROP TIEOFF_X31Y83 MANUAL_ROUTING SITEPROP TIEOFF_X31Y83 NAME TIEOFF_X31Y83 SITEPROP TIEOFF_X31Y83 NUM_ARCS 0 SITEPROP TIEOFF_X31Y83 NUM_BELS 2 SITEPROP TIEOFF_X31Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y83 NUM_PINS 2 SITEPROP TIEOFF_X31Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y83 PROHIBIT 0 SITEPROP TIEOFF_X31Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y83 RPM_X 124 SITEPROP TIEOFF_X31Y83 RPM_Y 166 SITEPROP TIEOFF_X31Y83 SITE_PIPS SITEPROP TIEOFF_X31Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y84 CLASS site SITEPROP TIEOFF_X31Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y84 IS_BONDED 0 SITEPROP TIEOFF_X31Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y84 IS_PAD 0 SITEPROP TIEOFF_X31Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y84 IS_RESERVED 0 SITEPROP TIEOFF_X31Y84 IS_TEST 0 SITEPROP TIEOFF_X31Y84 IS_USED 0 SITEPROP TIEOFF_X31Y84 MANUAL_ROUTING SITEPROP TIEOFF_X31Y84 NAME TIEOFF_X31Y84 SITEPROP TIEOFF_X31Y84 NUM_ARCS 0 SITEPROP TIEOFF_X31Y84 NUM_BELS 2 SITEPROP TIEOFF_X31Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y84 NUM_PINS 2 SITEPROP TIEOFF_X31Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y84 PROHIBIT 0 SITEPROP TIEOFF_X31Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y84 RPM_X 124 SITEPROP TIEOFF_X31Y84 RPM_Y 168 SITEPROP TIEOFF_X31Y84 SITE_PIPS SITEPROP TIEOFF_X31Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y85 CLASS site SITEPROP TIEOFF_X31Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y85 IS_BONDED 0 SITEPROP TIEOFF_X31Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y85 IS_PAD 0 SITEPROP TIEOFF_X31Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y85 IS_RESERVED 0 SITEPROP TIEOFF_X31Y85 IS_TEST 0 SITEPROP TIEOFF_X31Y85 IS_USED 0 SITEPROP TIEOFF_X31Y85 MANUAL_ROUTING SITEPROP TIEOFF_X31Y85 NAME TIEOFF_X31Y85 SITEPROP TIEOFF_X31Y85 NUM_ARCS 0 SITEPROP TIEOFF_X31Y85 NUM_BELS 2 SITEPROP TIEOFF_X31Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y85 NUM_PINS 2 SITEPROP TIEOFF_X31Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y85 PROHIBIT 0 SITEPROP TIEOFF_X31Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y85 RPM_X 124 SITEPROP TIEOFF_X31Y85 RPM_Y 170 SITEPROP TIEOFF_X31Y85 SITE_PIPS SITEPROP TIEOFF_X31Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y86 CLASS site SITEPROP TIEOFF_X31Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y86 IS_BONDED 0 SITEPROP TIEOFF_X31Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y86 IS_PAD 0 SITEPROP TIEOFF_X31Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y86 IS_RESERVED 0 SITEPROP TIEOFF_X31Y86 IS_TEST 0 SITEPROP TIEOFF_X31Y86 IS_USED 0 SITEPROP TIEOFF_X31Y86 MANUAL_ROUTING SITEPROP TIEOFF_X31Y86 NAME TIEOFF_X31Y86 SITEPROP TIEOFF_X31Y86 NUM_ARCS 0 SITEPROP TIEOFF_X31Y86 NUM_BELS 2 SITEPROP TIEOFF_X31Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y86 NUM_PINS 2 SITEPROP TIEOFF_X31Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y86 PROHIBIT 0 SITEPROP TIEOFF_X31Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y86 RPM_X 124 SITEPROP TIEOFF_X31Y86 RPM_Y 172 SITEPROP TIEOFF_X31Y86 SITE_PIPS SITEPROP TIEOFF_X31Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y87 CLASS site SITEPROP TIEOFF_X31Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y87 IS_BONDED 0 SITEPROP TIEOFF_X31Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y87 IS_PAD 0 SITEPROP TIEOFF_X31Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y87 IS_RESERVED 0 SITEPROP TIEOFF_X31Y87 IS_TEST 0 SITEPROP TIEOFF_X31Y87 IS_USED 0 SITEPROP TIEOFF_X31Y87 MANUAL_ROUTING SITEPROP TIEOFF_X31Y87 NAME TIEOFF_X31Y87 SITEPROP TIEOFF_X31Y87 NUM_ARCS 0 SITEPROP TIEOFF_X31Y87 NUM_BELS 2 SITEPROP TIEOFF_X31Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y87 NUM_PINS 2 SITEPROP TIEOFF_X31Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y87 PROHIBIT 0 SITEPROP TIEOFF_X31Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y87 RPM_X 124 SITEPROP TIEOFF_X31Y87 RPM_Y 174 SITEPROP TIEOFF_X31Y87 SITE_PIPS SITEPROP TIEOFF_X31Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y88 CLASS site SITEPROP TIEOFF_X31Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y88 IS_BONDED 0 SITEPROP TIEOFF_X31Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y88 IS_PAD 0 SITEPROP TIEOFF_X31Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y88 IS_RESERVED 0 SITEPROP TIEOFF_X31Y88 IS_TEST 0 SITEPROP TIEOFF_X31Y88 IS_USED 0 SITEPROP TIEOFF_X31Y88 MANUAL_ROUTING SITEPROP TIEOFF_X31Y88 NAME TIEOFF_X31Y88 SITEPROP TIEOFF_X31Y88 NUM_ARCS 0 SITEPROP TIEOFF_X31Y88 NUM_BELS 2 SITEPROP TIEOFF_X31Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y88 NUM_PINS 2 SITEPROP TIEOFF_X31Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y88 PROHIBIT 0 SITEPROP TIEOFF_X31Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y88 RPM_X 124 SITEPROP TIEOFF_X31Y88 RPM_Y 176 SITEPROP TIEOFF_X31Y88 SITE_PIPS SITEPROP TIEOFF_X31Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y89 CLASS site SITEPROP TIEOFF_X31Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y89 IS_BONDED 0 SITEPROP TIEOFF_X31Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y89 IS_PAD 0 SITEPROP TIEOFF_X31Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y89 IS_RESERVED 0 SITEPROP TIEOFF_X31Y89 IS_TEST 0 SITEPROP TIEOFF_X31Y89 IS_USED 0 SITEPROP TIEOFF_X31Y89 MANUAL_ROUTING SITEPROP TIEOFF_X31Y89 NAME TIEOFF_X31Y89 SITEPROP TIEOFF_X31Y89 NUM_ARCS 0 SITEPROP TIEOFF_X31Y89 NUM_BELS 2 SITEPROP TIEOFF_X31Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y89 NUM_PINS 2 SITEPROP TIEOFF_X31Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y89 PROHIBIT 0 SITEPROP TIEOFF_X31Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y89 RPM_X 124 SITEPROP TIEOFF_X31Y89 RPM_Y 178 SITEPROP TIEOFF_X31Y89 SITE_PIPS SITEPROP TIEOFF_X31Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y90 CLASS site SITEPROP TIEOFF_X31Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y90 IS_BONDED 0 SITEPROP TIEOFF_X31Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y90 IS_PAD 0 SITEPROP TIEOFF_X31Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y90 IS_RESERVED 0 SITEPROP TIEOFF_X31Y90 IS_TEST 0 SITEPROP TIEOFF_X31Y90 IS_USED 0 SITEPROP TIEOFF_X31Y90 MANUAL_ROUTING SITEPROP TIEOFF_X31Y90 NAME TIEOFF_X31Y90 SITEPROP TIEOFF_X31Y90 NUM_ARCS 0 SITEPROP TIEOFF_X31Y90 NUM_BELS 2 SITEPROP TIEOFF_X31Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y90 NUM_PINS 2 SITEPROP TIEOFF_X31Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y90 PROHIBIT 0 SITEPROP TIEOFF_X31Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y90 RPM_X 124 SITEPROP TIEOFF_X31Y90 RPM_Y 180 SITEPROP TIEOFF_X31Y90 SITE_PIPS SITEPROP TIEOFF_X31Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y91 CLASS site SITEPROP TIEOFF_X31Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y91 IS_BONDED 0 SITEPROP TIEOFF_X31Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y91 IS_PAD 0 SITEPROP TIEOFF_X31Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y91 IS_RESERVED 0 SITEPROP TIEOFF_X31Y91 IS_TEST 0 SITEPROP TIEOFF_X31Y91 IS_USED 0 SITEPROP TIEOFF_X31Y91 MANUAL_ROUTING SITEPROP TIEOFF_X31Y91 NAME TIEOFF_X31Y91 SITEPROP TIEOFF_X31Y91 NUM_ARCS 0 SITEPROP TIEOFF_X31Y91 NUM_BELS 2 SITEPROP TIEOFF_X31Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y91 NUM_PINS 2 SITEPROP TIEOFF_X31Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y91 PROHIBIT 0 SITEPROP TIEOFF_X31Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y91 RPM_X 124 SITEPROP TIEOFF_X31Y91 RPM_Y 182 SITEPROP TIEOFF_X31Y91 SITE_PIPS SITEPROP TIEOFF_X31Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y92 CLASS site SITEPROP TIEOFF_X31Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y92 IS_BONDED 0 SITEPROP TIEOFF_X31Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y92 IS_PAD 0 SITEPROP TIEOFF_X31Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y92 IS_RESERVED 0 SITEPROP TIEOFF_X31Y92 IS_TEST 0 SITEPROP TIEOFF_X31Y92 IS_USED 0 SITEPROP TIEOFF_X31Y92 MANUAL_ROUTING SITEPROP TIEOFF_X31Y92 NAME TIEOFF_X31Y92 SITEPROP TIEOFF_X31Y92 NUM_ARCS 0 SITEPROP TIEOFF_X31Y92 NUM_BELS 2 SITEPROP TIEOFF_X31Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y92 NUM_PINS 2 SITEPROP TIEOFF_X31Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y92 PROHIBIT 0 SITEPROP TIEOFF_X31Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y92 RPM_X 124 SITEPROP TIEOFF_X31Y92 RPM_Y 184 SITEPROP TIEOFF_X31Y92 SITE_PIPS SITEPROP TIEOFF_X31Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y93 CLASS site SITEPROP TIEOFF_X31Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y93 IS_BONDED 0 SITEPROP TIEOFF_X31Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y93 IS_PAD 0 SITEPROP TIEOFF_X31Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y93 IS_RESERVED 0 SITEPROP TIEOFF_X31Y93 IS_TEST 0 SITEPROP TIEOFF_X31Y93 IS_USED 0 SITEPROP TIEOFF_X31Y93 MANUAL_ROUTING SITEPROP TIEOFF_X31Y93 NAME TIEOFF_X31Y93 SITEPROP TIEOFF_X31Y93 NUM_ARCS 0 SITEPROP TIEOFF_X31Y93 NUM_BELS 2 SITEPROP TIEOFF_X31Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y93 NUM_PINS 2 SITEPROP TIEOFF_X31Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y93 PROHIBIT 0 SITEPROP TIEOFF_X31Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y93 RPM_X 124 SITEPROP TIEOFF_X31Y93 RPM_Y 186 SITEPROP TIEOFF_X31Y93 SITE_PIPS SITEPROP TIEOFF_X31Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y94 CLASS site SITEPROP TIEOFF_X31Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y94 IS_BONDED 0 SITEPROP TIEOFF_X31Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y94 IS_PAD 0 SITEPROP TIEOFF_X31Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y94 IS_RESERVED 0 SITEPROP TIEOFF_X31Y94 IS_TEST 0 SITEPROP TIEOFF_X31Y94 IS_USED 0 SITEPROP TIEOFF_X31Y94 MANUAL_ROUTING SITEPROP TIEOFF_X31Y94 NAME TIEOFF_X31Y94 SITEPROP TIEOFF_X31Y94 NUM_ARCS 0 SITEPROP TIEOFF_X31Y94 NUM_BELS 2 SITEPROP TIEOFF_X31Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y94 NUM_PINS 2 SITEPROP TIEOFF_X31Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y94 PROHIBIT 0 SITEPROP TIEOFF_X31Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y94 RPM_X 124 SITEPROP TIEOFF_X31Y94 RPM_Y 188 SITEPROP TIEOFF_X31Y94 SITE_PIPS SITEPROP TIEOFF_X31Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y95 CLASS site SITEPROP TIEOFF_X31Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y95 IS_BONDED 0 SITEPROP TIEOFF_X31Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y95 IS_PAD 0 SITEPROP TIEOFF_X31Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y95 IS_RESERVED 0 SITEPROP TIEOFF_X31Y95 IS_TEST 0 SITEPROP TIEOFF_X31Y95 IS_USED 0 SITEPROP TIEOFF_X31Y95 MANUAL_ROUTING SITEPROP TIEOFF_X31Y95 NAME TIEOFF_X31Y95 SITEPROP TIEOFF_X31Y95 NUM_ARCS 0 SITEPROP TIEOFF_X31Y95 NUM_BELS 2 SITEPROP TIEOFF_X31Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y95 NUM_PINS 2 SITEPROP TIEOFF_X31Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y95 PROHIBIT 0 SITEPROP TIEOFF_X31Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y95 RPM_X 124 SITEPROP TIEOFF_X31Y95 RPM_Y 190 SITEPROP TIEOFF_X31Y95 SITE_PIPS SITEPROP TIEOFF_X31Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y96 CLASS site SITEPROP TIEOFF_X31Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y96 IS_BONDED 0 SITEPROP TIEOFF_X31Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y96 IS_PAD 0 SITEPROP TIEOFF_X31Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y96 IS_RESERVED 0 SITEPROP TIEOFF_X31Y96 IS_TEST 0 SITEPROP TIEOFF_X31Y96 IS_USED 0 SITEPROP TIEOFF_X31Y96 MANUAL_ROUTING SITEPROP TIEOFF_X31Y96 NAME TIEOFF_X31Y96 SITEPROP TIEOFF_X31Y96 NUM_ARCS 0 SITEPROP TIEOFF_X31Y96 NUM_BELS 2 SITEPROP TIEOFF_X31Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y96 NUM_PINS 2 SITEPROP TIEOFF_X31Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y96 PROHIBIT 0 SITEPROP TIEOFF_X31Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y96 RPM_X 124 SITEPROP TIEOFF_X31Y96 RPM_Y 192 SITEPROP TIEOFF_X31Y96 SITE_PIPS SITEPROP TIEOFF_X31Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y97 CLASS site SITEPROP TIEOFF_X31Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y97 IS_BONDED 0 SITEPROP TIEOFF_X31Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y97 IS_PAD 0 SITEPROP TIEOFF_X31Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y97 IS_RESERVED 0 SITEPROP TIEOFF_X31Y97 IS_TEST 0 SITEPROP TIEOFF_X31Y97 IS_USED 0 SITEPROP TIEOFF_X31Y97 MANUAL_ROUTING SITEPROP TIEOFF_X31Y97 NAME TIEOFF_X31Y97 SITEPROP TIEOFF_X31Y97 NUM_ARCS 0 SITEPROP TIEOFF_X31Y97 NUM_BELS 2 SITEPROP TIEOFF_X31Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y97 NUM_PINS 2 SITEPROP TIEOFF_X31Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y97 PROHIBIT 0 SITEPROP TIEOFF_X31Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y97 RPM_X 124 SITEPROP TIEOFF_X31Y97 RPM_Y 194 SITEPROP TIEOFF_X31Y97 SITE_PIPS SITEPROP TIEOFF_X31Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y98 CLASS site SITEPROP TIEOFF_X31Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y98 IS_BONDED 0 SITEPROP TIEOFF_X31Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y98 IS_PAD 0 SITEPROP TIEOFF_X31Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y98 IS_RESERVED 0 SITEPROP TIEOFF_X31Y98 IS_TEST 0 SITEPROP TIEOFF_X31Y98 IS_USED 0 SITEPROP TIEOFF_X31Y98 MANUAL_ROUTING SITEPROP TIEOFF_X31Y98 NAME TIEOFF_X31Y98 SITEPROP TIEOFF_X31Y98 NUM_ARCS 0 SITEPROP TIEOFF_X31Y98 NUM_BELS 2 SITEPROP TIEOFF_X31Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y98 NUM_PINS 2 SITEPROP TIEOFF_X31Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y98 PROHIBIT 0 SITEPROP TIEOFF_X31Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y98 RPM_X 124 SITEPROP TIEOFF_X31Y98 RPM_Y 196 SITEPROP TIEOFF_X31Y98 SITE_PIPS SITEPROP TIEOFF_X31Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y99 CLASS site SITEPROP TIEOFF_X31Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X31Y99 IS_BONDED 0 SITEPROP TIEOFF_X31Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y99 IS_PAD 0 SITEPROP TIEOFF_X31Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y99 IS_RESERVED 0 SITEPROP TIEOFF_X31Y99 IS_TEST 0 SITEPROP TIEOFF_X31Y99 IS_USED 0 SITEPROP TIEOFF_X31Y99 MANUAL_ROUTING SITEPROP TIEOFF_X31Y99 NAME TIEOFF_X31Y99 SITEPROP TIEOFF_X31Y99 NUM_ARCS 0 SITEPROP TIEOFF_X31Y99 NUM_BELS 2 SITEPROP TIEOFF_X31Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y99 NUM_PINS 2 SITEPROP TIEOFF_X31Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y99 PROHIBIT 0 SITEPROP TIEOFF_X31Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y99 RPM_X 124 SITEPROP TIEOFF_X31Y99 RPM_Y 198 SITEPROP TIEOFF_X31Y99 SITE_PIPS SITEPROP TIEOFF_X31Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y100 CLASS site SITEPROP TIEOFF_X31Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y100 IS_BONDED 0 SITEPROP TIEOFF_X31Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y100 IS_PAD 0 SITEPROP TIEOFF_X31Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y100 IS_RESERVED 0 SITEPROP TIEOFF_X31Y100 IS_TEST 0 SITEPROP TIEOFF_X31Y100 IS_USED 0 SITEPROP TIEOFF_X31Y100 MANUAL_ROUTING SITEPROP TIEOFF_X31Y100 NAME TIEOFF_X31Y100 SITEPROP TIEOFF_X31Y100 NUM_ARCS 0 SITEPROP TIEOFF_X31Y100 NUM_BELS 2 SITEPROP TIEOFF_X31Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y100 NUM_PINS 2 SITEPROP TIEOFF_X31Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y100 PROHIBIT 0 SITEPROP TIEOFF_X31Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y100 RPM_X 124 SITEPROP TIEOFF_X31Y100 RPM_Y 200 SITEPROP TIEOFF_X31Y100 SITE_PIPS SITEPROP TIEOFF_X31Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y101 CLASS site SITEPROP TIEOFF_X31Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y101 IS_BONDED 0 SITEPROP TIEOFF_X31Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y101 IS_PAD 0 SITEPROP TIEOFF_X31Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y101 IS_RESERVED 0 SITEPROP TIEOFF_X31Y101 IS_TEST 0 SITEPROP TIEOFF_X31Y101 IS_USED 0 SITEPROP TIEOFF_X31Y101 MANUAL_ROUTING SITEPROP TIEOFF_X31Y101 NAME TIEOFF_X31Y101 SITEPROP TIEOFF_X31Y101 NUM_ARCS 0 SITEPROP TIEOFF_X31Y101 NUM_BELS 2 SITEPROP TIEOFF_X31Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y101 NUM_PINS 2 SITEPROP TIEOFF_X31Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y101 PROHIBIT 0 SITEPROP TIEOFF_X31Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y101 RPM_X 124 SITEPROP TIEOFF_X31Y101 RPM_Y 202 SITEPROP TIEOFF_X31Y101 SITE_PIPS SITEPROP TIEOFF_X31Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y102 CLASS site SITEPROP TIEOFF_X31Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y102 IS_BONDED 0 SITEPROP TIEOFF_X31Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y102 IS_PAD 0 SITEPROP TIEOFF_X31Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y102 IS_RESERVED 0 SITEPROP TIEOFF_X31Y102 IS_TEST 0 SITEPROP TIEOFF_X31Y102 IS_USED 0 SITEPROP TIEOFF_X31Y102 MANUAL_ROUTING SITEPROP TIEOFF_X31Y102 NAME TIEOFF_X31Y102 SITEPROP TIEOFF_X31Y102 NUM_ARCS 0 SITEPROP TIEOFF_X31Y102 NUM_BELS 2 SITEPROP TIEOFF_X31Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y102 NUM_PINS 2 SITEPROP TIEOFF_X31Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y102 PROHIBIT 0 SITEPROP TIEOFF_X31Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y102 RPM_X 124 SITEPROP TIEOFF_X31Y102 RPM_Y 204 SITEPROP TIEOFF_X31Y102 SITE_PIPS SITEPROP TIEOFF_X31Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y103 CLASS site SITEPROP TIEOFF_X31Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y103 IS_BONDED 0 SITEPROP TIEOFF_X31Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y103 IS_PAD 0 SITEPROP TIEOFF_X31Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y103 IS_RESERVED 0 SITEPROP TIEOFF_X31Y103 IS_TEST 0 SITEPROP TIEOFF_X31Y103 IS_USED 0 SITEPROP TIEOFF_X31Y103 MANUAL_ROUTING SITEPROP TIEOFF_X31Y103 NAME TIEOFF_X31Y103 SITEPROP TIEOFF_X31Y103 NUM_ARCS 0 SITEPROP TIEOFF_X31Y103 NUM_BELS 2 SITEPROP TIEOFF_X31Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y103 NUM_PINS 2 SITEPROP TIEOFF_X31Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y103 PROHIBIT 0 SITEPROP TIEOFF_X31Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y103 RPM_X 124 SITEPROP TIEOFF_X31Y103 RPM_Y 206 SITEPROP TIEOFF_X31Y103 SITE_PIPS SITEPROP TIEOFF_X31Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y104 CLASS site SITEPROP TIEOFF_X31Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y104 IS_BONDED 0 SITEPROP TIEOFF_X31Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y104 IS_PAD 0 SITEPROP TIEOFF_X31Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y104 IS_RESERVED 0 SITEPROP TIEOFF_X31Y104 IS_TEST 0 SITEPROP TIEOFF_X31Y104 IS_USED 0 SITEPROP TIEOFF_X31Y104 MANUAL_ROUTING SITEPROP TIEOFF_X31Y104 NAME TIEOFF_X31Y104 SITEPROP TIEOFF_X31Y104 NUM_ARCS 0 SITEPROP TIEOFF_X31Y104 NUM_BELS 2 SITEPROP TIEOFF_X31Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y104 NUM_PINS 2 SITEPROP TIEOFF_X31Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y104 PROHIBIT 0 SITEPROP TIEOFF_X31Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y104 RPM_X 124 SITEPROP TIEOFF_X31Y104 RPM_Y 208 SITEPROP TIEOFF_X31Y104 SITE_PIPS SITEPROP TIEOFF_X31Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y105 CLASS site SITEPROP TIEOFF_X31Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y105 IS_BONDED 0 SITEPROP TIEOFF_X31Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y105 IS_PAD 0 SITEPROP TIEOFF_X31Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y105 IS_RESERVED 0 SITEPROP TIEOFF_X31Y105 IS_TEST 0 SITEPROP TIEOFF_X31Y105 IS_USED 0 SITEPROP TIEOFF_X31Y105 MANUAL_ROUTING SITEPROP TIEOFF_X31Y105 NAME TIEOFF_X31Y105 SITEPROP TIEOFF_X31Y105 NUM_ARCS 0 SITEPROP TIEOFF_X31Y105 NUM_BELS 2 SITEPROP TIEOFF_X31Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y105 NUM_PINS 2 SITEPROP TIEOFF_X31Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y105 PROHIBIT 0 SITEPROP TIEOFF_X31Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y105 RPM_X 124 SITEPROP TIEOFF_X31Y105 RPM_Y 210 SITEPROP TIEOFF_X31Y105 SITE_PIPS SITEPROP TIEOFF_X31Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y106 CLASS site SITEPROP TIEOFF_X31Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y106 IS_BONDED 0 SITEPROP TIEOFF_X31Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y106 IS_PAD 0 SITEPROP TIEOFF_X31Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y106 IS_RESERVED 0 SITEPROP TIEOFF_X31Y106 IS_TEST 0 SITEPROP TIEOFF_X31Y106 IS_USED 0 SITEPROP TIEOFF_X31Y106 MANUAL_ROUTING SITEPROP TIEOFF_X31Y106 NAME TIEOFF_X31Y106 SITEPROP TIEOFF_X31Y106 NUM_ARCS 0 SITEPROP TIEOFF_X31Y106 NUM_BELS 2 SITEPROP TIEOFF_X31Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y106 NUM_PINS 2 SITEPROP TIEOFF_X31Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y106 PROHIBIT 0 SITEPROP TIEOFF_X31Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y106 RPM_X 124 SITEPROP TIEOFF_X31Y106 RPM_Y 212 SITEPROP TIEOFF_X31Y106 SITE_PIPS SITEPROP TIEOFF_X31Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y107 CLASS site SITEPROP TIEOFF_X31Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y107 IS_BONDED 0 SITEPROP TIEOFF_X31Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y107 IS_PAD 0 SITEPROP TIEOFF_X31Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y107 IS_RESERVED 0 SITEPROP TIEOFF_X31Y107 IS_TEST 0 SITEPROP TIEOFF_X31Y107 IS_USED 0 SITEPROP TIEOFF_X31Y107 MANUAL_ROUTING SITEPROP TIEOFF_X31Y107 NAME TIEOFF_X31Y107 SITEPROP TIEOFF_X31Y107 NUM_ARCS 0 SITEPROP TIEOFF_X31Y107 NUM_BELS 2 SITEPROP TIEOFF_X31Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y107 NUM_PINS 2 SITEPROP TIEOFF_X31Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y107 PROHIBIT 0 SITEPROP TIEOFF_X31Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y107 RPM_X 124 SITEPROP TIEOFF_X31Y107 RPM_Y 214 SITEPROP TIEOFF_X31Y107 SITE_PIPS SITEPROP TIEOFF_X31Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y108 CLASS site SITEPROP TIEOFF_X31Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y108 IS_BONDED 0 SITEPROP TIEOFF_X31Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y108 IS_PAD 0 SITEPROP TIEOFF_X31Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y108 IS_RESERVED 0 SITEPROP TIEOFF_X31Y108 IS_TEST 0 SITEPROP TIEOFF_X31Y108 IS_USED 0 SITEPROP TIEOFF_X31Y108 MANUAL_ROUTING SITEPROP TIEOFF_X31Y108 NAME TIEOFF_X31Y108 SITEPROP TIEOFF_X31Y108 NUM_ARCS 0 SITEPROP TIEOFF_X31Y108 NUM_BELS 2 SITEPROP TIEOFF_X31Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y108 NUM_PINS 2 SITEPROP TIEOFF_X31Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y108 PROHIBIT 0 SITEPROP TIEOFF_X31Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y108 RPM_X 124 SITEPROP TIEOFF_X31Y108 RPM_Y 216 SITEPROP TIEOFF_X31Y108 SITE_PIPS SITEPROP TIEOFF_X31Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y109 CLASS site SITEPROP TIEOFF_X31Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y109 IS_BONDED 0 SITEPROP TIEOFF_X31Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y109 IS_PAD 0 SITEPROP TIEOFF_X31Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y109 IS_RESERVED 0 SITEPROP TIEOFF_X31Y109 IS_TEST 0 SITEPROP TIEOFF_X31Y109 IS_USED 0 SITEPROP TIEOFF_X31Y109 MANUAL_ROUTING SITEPROP TIEOFF_X31Y109 NAME TIEOFF_X31Y109 SITEPROP TIEOFF_X31Y109 NUM_ARCS 0 SITEPROP TIEOFF_X31Y109 NUM_BELS 2 SITEPROP TIEOFF_X31Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y109 NUM_PINS 2 SITEPROP TIEOFF_X31Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y109 PROHIBIT 0 SITEPROP TIEOFF_X31Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y109 RPM_X 124 SITEPROP TIEOFF_X31Y109 RPM_Y 218 SITEPROP TIEOFF_X31Y109 SITE_PIPS SITEPROP TIEOFF_X31Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y110 CLASS site SITEPROP TIEOFF_X31Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y110 IS_BONDED 0 SITEPROP TIEOFF_X31Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y110 IS_PAD 0 SITEPROP TIEOFF_X31Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y110 IS_RESERVED 0 SITEPROP TIEOFF_X31Y110 IS_TEST 0 SITEPROP TIEOFF_X31Y110 IS_USED 0 SITEPROP TIEOFF_X31Y110 MANUAL_ROUTING SITEPROP TIEOFF_X31Y110 NAME TIEOFF_X31Y110 SITEPROP TIEOFF_X31Y110 NUM_ARCS 0 SITEPROP TIEOFF_X31Y110 NUM_BELS 2 SITEPROP TIEOFF_X31Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y110 NUM_PINS 2 SITEPROP TIEOFF_X31Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y110 PROHIBIT 0 SITEPROP TIEOFF_X31Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y110 RPM_X 124 SITEPROP TIEOFF_X31Y110 RPM_Y 220 SITEPROP TIEOFF_X31Y110 SITE_PIPS SITEPROP TIEOFF_X31Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y111 CLASS site SITEPROP TIEOFF_X31Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y111 IS_BONDED 0 SITEPROP TIEOFF_X31Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y111 IS_PAD 0 SITEPROP TIEOFF_X31Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y111 IS_RESERVED 0 SITEPROP TIEOFF_X31Y111 IS_TEST 0 SITEPROP TIEOFF_X31Y111 IS_USED 0 SITEPROP TIEOFF_X31Y111 MANUAL_ROUTING SITEPROP TIEOFF_X31Y111 NAME TIEOFF_X31Y111 SITEPROP TIEOFF_X31Y111 NUM_ARCS 0 SITEPROP TIEOFF_X31Y111 NUM_BELS 2 SITEPROP TIEOFF_X31Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y111 NUM_PINS 2 SITEPROP TIEOFF_X31Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y111 PROHIBIT 0 SITEPROP TIEOFF_X31Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y111 RPM_X 124 SITEPROP TIEOFF_X31Y111 RPM_Y 222 SITEPROP TIEOFF_X31Y111 SITE_PIPS SITEPROP TIEOFF_X31Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y112 CLASS site SITEPROP TIEOFF_X31Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y112 IS_BONDED 0 SITEPROP TIEOFF_X31Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y112 IS_PAD 0 SITEPROP TIEOFF_X31Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y112 IS_RESERVED 0 SITEPROP TIEOFF_X31Y112 IS_TEST 0 SITEPROP TIEOFF_X31Y112 IS_USED 0 SITEPROP TIEOFF_X31Y112 MANUAL_ROUTING SITEPROP TIEOFF_X31Y112 NAME TIEOFF_X31Y112 SITEPROP TIEOFF_X31Y112 NUM_ARCS 0 SITEPROP TIEOFF_X31Y112 NUM_BELS 2 SITEPROP TIEOFF_X31Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y112 NUM_PINS 2 SITEPROP TIEOFF_X31Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y112 PROHIBIT 0 SITEPROP TIEOFF_X31Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y112 RPM_X 124 SITEPROP TIEOFF_X31Y112 RPM_Y 224 SITEPROP TIEOFF_X31Y112 SITE_PIPS SITEPROP TIEOFF_X31Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y113 CLASS site SITEPROP TIEOFF_X31Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y113 IS_BONDED 0 SITEPROP TIEOFF_X31Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y113 IS_PAD 0 SITEPROP TIEOFF_X31Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y113 IS_RESERVED 0 SITEPROP TIEOFF_X31Y113 IS_TEST 0 SITEPROP TIEOFF_X31Y113 IS_USED 0 SITEPROP TIEOFF_X31Y113 MANUAL_ROUTING SITEPROP TIEOFF_X31Y113 NAME TIEOFF_X31Y113 SITEPROP TIEOFF_X31Y113 NUM_ARCS 0 SITEPROP TIEOFF_X31Y113 NUM_BELS 2 SITEPROP TIEOFF_X31Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y113 NUM_PINS 2 SITEPROP TIEOFF_X31Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y113 PROHIBIT 0 SITEPROP TIEOFF_X31Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y113 RPM_X 124 SITEPROP TIEOFF_X31Y113 RPM_Y 226 SITEPROP TIEOFF_X31Y113 SITE_PIPS SITEPROP TIEOFF_X31Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y114 CLASS site SITEPROP TIEOFF_X31Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y114 IS_BONDED 0 SITEPROP TIEOFF_X31Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y114 IS_PAD 0 SITEPROP TIEOFF_X31Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y114 IS_RESERVED 0 SITEPROP TIEOFF_X31Y114 IS_TEST 0 SITEPROP TIEOFF_X31Y114 IS_USED 0 SITEPROP TIEOFF_X31Y114 MANUAL_ROUTING SITEPROP TIEOFF_X31Y114 NAME TIEOFF_X31Y114 SITEPROP TIEOFF_X31Y114 NUM_ARCS 0 SITEPROP TIEOFF_X31Y114 NUM_BELS 2 SITEPROP TIEOFF_X31Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y114 NUM_PINS 2 SITEPROP TIEOFF_X31Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y114 PROHIBIT 0 SITEPROP TIEOFF_X31Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y114 RPM_X 124 SITEPROP TIEOFF_X31Y114 RPM_Y 228 SITEPROP TIEOFF_X31Y114 SITE_PIPS SITEPROP TIEOFF_X31Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y115 CLASS site SITEPROP TIEOFF_X31Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y115 IS_BONDED 0 SITEPROP TIEOFF_X31Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y115 IS_PAD 0 SITEPROP TIEOFF_X31Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y115 IS_RESERVED 0 SITEPROP TIEOFF_X31Y115 IS_TEST 0 SITEPROP TIEOFF_X31Y115 IS_USED 0 SITEPROP TIEOFF_X31Y115 MANUAL_ROUTING SITEPROP TIEOFF_X31Y115 NAME TIEOFF_X31Y115 SITEPROP TIEOFF_X31Y115 NUM_ARCS 0 SITEPROP TIEOFF_X31Y115 NUM_BELS 2 SITEPROP TIEOFF_X31Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y115 NUM_PINS 2 SITEPROP TIEOFF_X31Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y115 PROHIBIT 0 SITEPROP TIEOFF_X31Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y115 RPM_X 124 SITEPROP TIEOFF_X31Y115 RPM_Y 230 SITEPROP TIEOFF_X31Y115 SITE_PIPS SITEPROP TIEOFF_X31Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y116 CLASS site SITEPROP TIEOFF_X31Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y116 IS_BONDED 0 SITEPROP TIEOFF_X31Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y116 IS_PAD 0 SITEPROP TIEOFF_X31Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y116 IS_RESERVED 0 SITEPROP TIEOFF_X31Y116 IS_TEST 0 SITEPROP TIEOFF_X31Y116 IS_USED 0 SITEPROP TIEOFF_X31Y116 MANUAL_ROUTING SITEPROP TIEOFF_X31Y116 NAME TIEOFF_X31Y116 SITEPROP TIEOFF_X31Y116 NUM_ARCS 0 SITEPROP TIEOFF_X31Y116 NUM_BELS 2 SITEPROP TIEOFF_X31Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y116 NUM_PINS 2 SITEPROP TIEOFF_X31Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y116 PROHIBIT 0 SITEPROP TIEOFF_X31Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y116 RPM_X 124 SITEPROP TIEOFF_X31Y116 RPM_Y 232 SITEPROP TIEOFF_X31Y116 SITE_PIPS SITEPROP TIEOFF_X31Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y117 CLASS site SITEPROP TIEOFF_X31Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y117 IS_BONDED 0 SITEPROP TIEOFF_X31Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y117 IS_PAD 0 SITEPROP TIEOFF_X31Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y117 IS_RESERVED 0 SITEPROP TIEOFF_X31Y117 IS_TEST 0 SITEPROP TIEOFF_X31Y117 IS_USED 0 SITEPROP TIEOFF_X31Y117 MANUAL_ROUTING SITEPROP TIEOFF_X31Y117 NAME TIEOFF_X31Y117 SITEPROP TIEOFF_X31Y117 NUM_ARCS 0 SITEPROP TIEOFF_X31Y117 NUM_BELS 2 SITEPROP TIEOFF_X31Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y117 NUM_PINS 2 SITEPROP TIEOFF_X31Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y117 PROHIBIT 0 SITEPROP TIEOFF_X31Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y117 RPM_X 124 SITEPROP TIEOFF_X31Y117 RPM_Y 234 SITEPROP TIEOFF_X31Y117 SITE_PIPS SITEPROP TIEOFF_X31Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y118 CLASS site SITEPROP TIEOFF_X31Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y118 IS_BONDED 0 SITEPROP TIEOFF_X31Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y118 IS_PAD 0 SITEPROP TIEOFF_X31Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y118 IS_RESERVED 0 SITEPROP TIEOFF_X31Y118 IS_TEST 0 SITEPROP TIEOFF_X31Y118 IS_USED 0 SITEPROP TIEOFF_X31Y118 MANUAL_ROUTING SITEPROP TIEOFF_X31Y118 NAME TIEOFF_X31Y118 SITEPROP TIEOFF_X31Y118 NUM_ARCS 0 SITEPROP TIEOFF_X31Y118 NUM_BELS 2 SITEPROP TIEOFF_X31Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y118 NUM_PINS 2 SITEPROP TIEOFF_X31Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y118 PROHIBIT 0 SITEPROP TIEOFF_X31Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y118 RPM_X 124 SITEPROP TIEOFF_X31Y118 RPM_Y 236 SITEPROP TIEOFF_X31Y118 SITE_PIPS SITEPROP TIEOFF_X31Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y119 CLASS site SITEPROP TIEOFF_X31Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y119 IS_BONDED 0 SITEPROP TIEOFF_X31Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y119 IS_PAD 0 SITEPROP TIEOFF_X31Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y119 IS_RESERVED 0 SITEPROP TIEOFF_X31Y119 IS_TEST 0 SITEPROP TIEOFF_X31Y119 IS_USED 0 SITEPROP TIEOFF_X31Y119 MANUAL_ROUTING SITEPROP TIEOFF_X31Y119 NAME TIEOFF_X31Y119 SITEPROP TIEOFF_X31Y119 NUM_ARCS 0 SITEPROP TIEOFF_X31Y119 NUM_BELS 2 SITEPROP TIEOFF_X31Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y119 NUM_PINS 2 SITEPROP TIEOFF_X31Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y119 PROHIBIT 0 SITEPROP TIEOFF_X31Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y119 RPM_X 124 SITEPROP TIEOFF_X31Y119 RPM_Y 238 SITEPROP TIEOFF_X31Y119 SITE_PIPS SITEPROP TIEOFF_X31Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y120 CLASS site SITEPROP TIEOFF_X31Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y120 IS_BONDED 0 SITEPROP TIEOFF_X31Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y120 IS_PAD 0 SITEPROP TIEOFF_X31Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y120 IS_RESERVED 0 SITEPROP TIEOFF_X31Y120 IS_TEST 0 SITEPROP TIEOFF_X31Y120 IS_USED 0 SITEPROP TIEOFF_X31Y120 MANUAL_ROUTING SITEPROP TIEOFF_X31Y120 NAME TIEOFF_X31Y120 SITEPROP TIEOFF_X31Y120 NUM_ARCS 0 SITEPROP TIEOFF_X31Y120 NUM_BELS 2 SITEPROP TIEOFF_X31Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y120 NUM_PINS 2 SITEPROP TIEOFF_X31Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y120 PROHIBIT 0 SITEPROP TIEOFF_X31Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y120 RPM_X 124 SITEPROP TIEOFF_X31Y120 RPM_Y 240 SITEPROP TIEOFF_X31Y120 SITE_PIPS SITEPROP TIEOFF_X31Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y121 CLASS site SITEPROP TIEOFF_X31Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y121 IS_BONDED 0 SITEPROP TIEOFF_X31Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y121 IS_PAD 0 SITEPROP TIEOFF_X31Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y121 IS_RESERVED 0 SITEPROP TIEOFF_X31Y121 IS_TEST 0 SITEPROP TIEOFF_X31Y121 IS_USED 0 SITEPROP TIEOFF_X31Y121 MANUAL_ROUTING SITEPROP TIEOFF_X31Y121 NAME TIEOFF_X31Y121 SITEPROP TIEOFF_X31Y121 NUM_ARCS 0 SITEPROP TIEOFF_X31Y121 NUM_BELS 2 SITEPROP TIEOFF_X31Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y121 NUM_PINS 2 SITEPROP TIEOFF_X31Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y121 PROHIBIT 0 SITEPROP TIEOFF_X31Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y121 RPM_X 124 SITEPROP TIEOFF_X31Y121 RPM_Y 242 SITEPROP TIEOFF_X31Y121 SITE_PIPS SITEPROP TIEOFF_X31Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y122 CLASS site SITEPROP TIEOFF_X31Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y122 IS_BONDED 0 SITEPROP TIEOFF_X31Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y122 IS_PAD 0 SITEPROP TIEOFF_X31Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y122 IS_RESERVED 0 SITEPROP TIEOFF_X31Y122 IS_TEST 0 SITEPROP TIEOFF_X31Y122 IS_USED 0 SITEPROP TIEOFF_X31Y122 MANUAL_ROUTING SITEPROP TIEOFF_X31Y122 NAME TIEOFF_X31Y122 SITEPROP TIEOFF_X31Y122 NUM_ARCS 0 SITEPROP TIEOFF_X31Y122 NUM_BELS 2 SITEPROP TIEOFF_X31Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y122 NUM_PINS 2 SITEPROP TIEOFF_X31Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y122 PROHIBIT 0 SITEPROP TIEOFF_X31Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y122 RPM_X 124 SITEPROP TIEOFF_X31Y122 RPM_Y 244 SITEPROP TIEOFF_X31Y122 SITE_PIPS SITEPROP TIEOFF_X31Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y123 CLASS site SITEPROP TIEOFF_X31Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y123 IS_BONDED 0 SITEPROP TIEOFF_X31Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y123 IS_PAD 0 SITEPROP TIEOFF_X31Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y123 IS_RESERVED 0 SITEPROP TIEOFF_X31Y123 IS_TEST 0 SITEPROP TIEOFF_X31Y123 IS_USED 0 SITEPROP TIEOFF_X31Y123 MANUAL_ROUTING SITEPROP TIEOFF_X31Y123 NAME TIEOFF_X31Y123 SITEPROP TIEOFF_X31Y123 NUM_ARCS 0 SITEPROP TIEOFF_X31Y123 NUM_BELS 2 SITEPROP TIEOFF_X31Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y123 NUM_PINS 2 SITEPROP TIEOFF_X31Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y123 PROHIBIT 0 SITEPROP TIEOFF_X31Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y123 RPM_X 124 SITEPROP TIEOFF_X31Y123 RPM_Y 246 SITEPROP TIEOFF_X31Y123 SITE_PIPS SITEPROP TIEOFF_X31Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y124 CLASS site SITEPROP TIEOFF_X31Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y124 IS_BONDED 0 SITEPROP TIEOFF_X31Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y124 IS_PAD 0 SITEPROP TIEOFF_X31Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y124 IS_RESERVED 0 SITEPROP TIEOFF_X31Y124 IS_TEST 0 SITEPROP TIEOFF_X31Y124 IS_USED 0 SITEPROP TIEOFF_X31Y124 MANUAL_ROUTING SITEPROP TIEOFF_X31Y124 NAME TIEOFF_X31Y124 SITEPROP TIEOFF_X31Y124 NUM_ARCS 0 SITEPROP TIEOFF_X31Y124 NUM_BELS 2 SITEPROP TIEOFF_X31Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y124 NUM_PINS 2 SITEPROP TIEOFF_X31Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y124 PROHIBIT 0 SITEPROP TIEOFF_X31Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y124 RPM_X 124 SITEPROP TIEOFF_X31Y124 RPM_Y 248 SITEPROP TIEOFF_X31Y124 SITE_PIPS SITEPROP TIEOFF_X31Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y125 CLASS site SITEPROP TIEOFF_X31Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y125 IS_BONDED 0 SITEPROP TIEOFF_X31Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y125 IS_PAD 0 SITEPROP TIEOFF_X31Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y125 IS_RESERVED 0 SITEPROP TIEOFF_X31Y125 IS_TEST 0 SITEPROP TIEOFF_X31Y125 IS_USED 0 SITEPROP TIEOFF_X31Y125 MANUAL_ROUTING SITEPROP TIEOFF_X31Y125 NAME TIEOFF_X31Y125 SITEPROP TIEOFF_X31Y125 NUM_ARCS 0 SITEPROP TIEOFF_X31Y125 NUM_BELS 2 SITEPROP TIEOFF_X31Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y125 NUM_PINS 2 SITEPROP TIEOFF_X31Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y125 PROHIBIT 0 SITEPROP TIEOFF_X31Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y125 RPM_X 124 SITEPROP TIEOFF_X31Y125 RPM_Y 250 SITEPROP TIEOFF_X31Y125 SITE_PIPS SITEPROP TIEOFF_X31Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y126 CLASS site SITEPROP TIEOFF_X31Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y126 IS_BONDED 0 SITEPROP TIEOFF_X31Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y126 IS_PAD 0 SITEPROP TIEOFF_X31Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y126 IS_RESERVED 0 SITEPROP TIEOFF_X31Y126 IS_TEST 0 SITEPROP TIEOFF_X31Y126 IS_USED 0 SITEPROP TIEOFF_X31Y126 MANUAL_ROUTING SITEPROP TIEOFF_X31Y126 NAME TIEOFF_X31Y126 SITEPROP TIEOFF_X31Y126 NUM_ARCS 0 SITEPROP TIEOFF_X31Y126 NUM_BELS 2 SITEPROP TIEOFF_X31Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y126 NUM_PINS 2 SITEPROP TIEOFF_X31Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y126 PROHIBIT 0 SITEPROP TIEOFF_X31Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y126 RPM_X 124 SITEPROP TIEOFF_X31Y126 RPM_Y 252 SITEPROP TIEOFF_X31Y126 SITE_PIPS SITEPROP TIEOFF_X31Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y127 CLASS site SITEPROP TIEOFF_X31Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y127 IS_BONDED 0 SITEPROP TIEOFF_X31Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y127 IS_PAD 0 SITEPROP TIEOFF_X31Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y127 IS_RESERVED 0 SITEPROP TIEOFF_X31Y127 IS_TEST 0 SITEPROP TIEOFF_X31Y127 IS_USED 0 SITEPROP TIEOFF_X31Y127 MANUAL_ROUTING SITEPROP TIEOFF_X31Y127 NAME TIEOFF_X31Y127 SITEPROP TIEOFF_X31Y127 NUM_ARCS 0 SITEPROP TIEOFF_X31Y127 NUM_BELS 2 SITEPROP TIEOFF_X31Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y127 NUM_PINS 2 SITEPROP TIEOFF_X31Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y127 PROHIBIT 0 SITEPROP TIEOFF_X31Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y127 RPM_X 124 SITEPROP TIEOFF_X31Y127 RPM_Y 254 SITEPROP TIEOFF_X31Y127 SITE_PIPS SITEPROP TIEOFF_X31Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y128 CLASS site SITEPROP TIEOFF_X31Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y128 IS_BONDED 0 SITEPROP TIEOFF_X31Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y128 IS_PAD 0 SITEPROP TIEOFF_X31Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y128 IS_RESERVED 0 SITEPROP TIEOFF_X31Y128 IS_TEST 0 SITEPROP TIEOFF_X31Y128 IS_USED 0 SITEPROP TIEOFF_X31Y128 MANUAL_ROUTING SITEPROP TIEOFF_X31Y128 NAME TIEOFF_X31Y128 SITEPROP TIEOFF_X31Y128 NUM_ARCS 0 SITEPROP TIEOFF_X31Y128 NUM_BELS 2 SITEPROP TIEOFF_X31Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y128 NUM_PINS 2 SITEPROP TIEOFF_X31Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y128 PROHIBIT 0 SITEPROP TIEOFF_X31Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y128 RPM_X 124 SITEPROP TIEOFF_X31Y128 RPM_Y 256 SITEPROP TIEOFF_X31Y128 SITE_PIPS SITEPROP TIEOFF_X31Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y129 CLASS site SITEPROP TIEOFF_X31Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y129 IS_BONDED 0 SITEPROP TIEOFF_X31Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y129 IS_PAD 0 SITEPROP TIEOFF_X31Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y129 IS_RESERVED 0 SITEPROP TIEOFF_X31Y129 IS_TEST 0 SITEPROP TIEOFF_X31Y129 IS_USED 0 SITEPROP TIEOFF_X31Y129 MANUAL_ROUTING SITEPROP TIEOFF_X31Y129 NAME TIEOFF_X31Y129 SITEPROP TIEOFF_X31Y129 NUM_ARCS 0 SITEPROP TIEOFF_X31Y129 NUM_BELS 2 SITEPROP TIEOFF_X31Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y129 NUM_PINS 2 SITEPROP TIEOFF_X31Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y129 PROHIBIT 0 SITEPROP TIEOFF_X31Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y129 RPM_X 124 SITEPROP TIEOFF_X31Y129 RPM_Y 258 SITEPROP TIEOFF_X31Y129 SITE_PIPS SITEPROP TIEOFF_X31Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y130 CLASS site SITEPROP TIEOFF_X31Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y130 IS_BONDED 0 SITEPROP TIEOFF_X31Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y130 IS_PAD 0 SITEPROP TIEOFF_X31Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y130 IS_RESERVED 0 SITEPROP TIEOFF_X31Y130 IS_TEST 0 SITEPROP TIEOFF_X31Y130 IS_USED 0 SITEPROP TIEOFF_X31Y130 MANUAL_ROUTING SITEPROP TIEOFF_X31Y130 NAME TIEOFF_X31Y130 SITEPROP TIEOFF_X31Y130 NUM_ARCS 0 SITEPROP TIEOFF_X31Y130 NUM_BELS 2 SITEPROP TIEOFF_X31Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y130 NUM_PINS 2 SITEPROP TIEOFF_X31Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y130 PROHIBIT 0 SITEPROP TIEOFF_X31Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y130 RPM_X 124 SITEPROP TIEOFF_X31Y130 RPM_Y 260 SITEPROP TIEOFF_X31Y130 SITE_PIPS SITEPROP TIEOFF_X31Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y131 CLASS site SITEPROP TIEOFF_X31Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y131 IS_BONDED 0 SITEPROP TIEOFF_X31Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y131 IS_PAD 0 SITEPROP TIEOFF_X31Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y131 IS_RESERVED 0 SITEPROP TIEOFF_X31Y131 IS_TEST 0 SITEPROP TIEOFF_X31Y131 IS_USED 0 SITEPROP TIEOFF_X31Y131 MANUAL_ROUTING SITEPROP TIEOFF_X31Y131 NAME TIEOFF_X31Y131 SITEPROP TIEOFF_X31Y131 NUM_ARCS 0 SITEPROP TIEOFF_X31Y131 NUM_BELS 2 SITEPROP TIEOFF_X31Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y131 NUM_PINS 2 SITEPROP TIEOFF_X31Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y131 PROHIBIT 0 SITEPROP TIEOFF_X31Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y131 RPM_X 124 SITEPROP TIEOFF_X31Y131 RPM_Y 262 SITEPROP TIEOFF_X31Y131 SITE_PIPS SITEPROP TIEOFF_X31Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y132 CLASS site SITEPROP TIEOFF_X31Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y132 IS_BONDED 0 SITEPROP TIEOFF_X31Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y132 IS_PAD 0 SITEPROP TIEOFF_X31Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y132 IS_RESERVED 0 SITEPROP TIEOFF_X31Y132 IS_TEST 0 SITEPROP TIEOFF_X31Y132 IS_USED 0 SITEPROP TIEOFF_X31Y132 MANUAL_ROUTING SITEPROP TIEOFF_X31Y132 NAME TIEOFF_X31Y132 SITEPROP TIEOFF_X31Y132 NUM_ARCS 0 SITEPROP TIEOFF_X31Y132 NUM_BELS 2 SITEPROP TIEOFF_X31Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y132 NUM_PINS 2 SITEPROP TIEOFF_X31Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y132 PROHIBIT 0 SITEPROP TIEOFF_X31Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y132 RPM_X 124 SITEPROP TIEOFF_X31Y132 RPM_Y 264 SITEPROP TIEOFF_X31Y132 SITE_PIPS SITEPROP TIEOFF_X31Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y133 CLASS site SITEPROP TIEOFF_X31Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y133 IS_BONDED 0 SITEPROP TIEOFF_X31Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y133 IS_PAD 0 SITEPROP TIEOFF_X31Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y133 IS_RESERVED 0 SITEPROP TIEOFF_X31Y133 IS_TEST 0 SITEPROP TIEOFF_X31Y133 IS_USED 0 SITEPROP TIEOFF_X31Y133 MANUAL_ROUTING SITEPROP TIEOFF_X31Y133 NAME TIEOFF_X31Y133 SITEPROP TIEOFF_X31Y133 NUM_ARCS 0 SITEPROP TIEOFF_X31Y133 NUM_BELS 2 SITEPROP TIEOFF_X31Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y133 NUM_PINS 2 SITEPROP TIEOFF_X31Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y133 PROHIBIT 0 SITEPROP TIEOFF_X31Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y133 RPM_X 124 SITEPROP TIEOFF_X31Y133 RPM_Y 266 SITEPROP TIEOFF_X31Y133 SITE_PIPS SITEPROP TIEOFF_X31Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y134 CLASS site SITEPROP TIEOFF_X31Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y134 IS_BONDED 0 SITEPROP TIEOFF_X31Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y134 IS_PAD 0 SITEPROP TIEOFF_X31Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y134 IS_RESERVED 0 SITEPROP TIEOFF_X31Y134 IS_TEST 0 SITEPROP TIEOFF_X31Y134 IS_USED 0 SITEPROP TIEOFF_X31Y134 MANUAL_ROUTING SITEPROP TIEOFF_X31Y134 NAME TIEOFF_X31Y134 SITEPROP TIEOFF_X31Y134 NUM_ARCS 0 SITEPROP TIEOFF_X31Y134 NUM_BELS 2 SITEPROP TIEOFF_X31Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y134 NUM_PINS 2 SITEPROP TIEOFF_X31Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y134 PROHIBIT 0 SITEPROP TIEOFF_X31Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y134 RPM_X 124 SITEPROP TIEOFF_X31Y134 RPM_Y 268 SITEPROP TIEOFF_X31Y134 SITE_PIPS SITEPROP TIEOFF_X31Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y135 CLASS site SITEPROP TIEOFF_X31Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y135 IS_BONDED 0 SITEPROP TIEOFF_X31Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y135 IS_PAD 0 SITEPROP TIEOFF_X31Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y135 IS_RESERVED 0 SITEPROP TIEOFF_X31Y135 IS_TEST 0 SITEPROP TIEOFF_X31Y135 IS_USED 0 SITEPROP TIEOFF_X31Y135 MANUAL_ROUTING SITEPROP TIEOFF_X31Y135 NAME TIEOFF_X31Y135 SITEPROP TIEOFF_X31Y135 NUM_ARCS 0 SITEPROP TIEOFF_X31Y135 NUM_BELS 2 SITEPROP TIEOFF_X31Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y135 NUM_PINS 2 SITEPROP TIEOFF_X31Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y135 PROHIBIT 0 SITEPROP TIEOFF_X31Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y135 RPM_X 124 SITEPROP TIEOFF_X31Y135 RPM_Y 270 SITEPROP TIEOFF_X31Y135 SITE_PIPS SITEPROP TIEOFF_X31Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y136 CLASS site SITEPROP TIEOFF_X31Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y136 IS_BONDED 0 SITEPROP TIEOFF_X31Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y136 IS_PAD 0 SITEPROP TIEOFF_X31Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y136 IS_RESERVED 0 SITEPROP TIEOFF_X31Y136 IS_TEST 0 SITEPROP TIEOFF_X31Y136 IS_USED 0 SITEPROP TIEOFF_X31Y136 MANUAL_ROUTING SITEPROP TIEOFF_X31Y136 NAME TIEOFF_X31Y136 SITEPROP TIEOFF_X31Y136 NUM_ARCS 0 SITEPROP TIEOFF_X31Y136 NUM_BELS 2 SITEPROP TIEOFF_X31Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y136 NUM_PINS 2 SITEPROP TIEOFF_X31Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y136 PROHIBIT 0 SITEPROP TIEOFF_X31Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y136 RPM_X 124 SITEPROP TIEOFF_X31Y136 RPM_Y 272 SITEPROP TIEOFF_X31Y136 SITE_PIPS SITEPROP TIEOFF_X31Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y137 CLASS site SITEPROP TIEOFF_X31Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y137 IS_BONDED 0 SITEPROP TIEOFF_X31Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y137 IS_PAD 0 SITEPROP TIEOFF_X31Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y137 IS_RESERVED 0 SITEPROP TIEOFF_X31Y137 IS_TEST 0 SITEPROP TIEOFF_X31Y137 IS_USED 0 SITEPROP TIEOFF_X31Y137 MANUAL_ROUTING SITEPROP TIEOFF_X31Y137 NAME TIEOFF_X31Y137 SITEPROP TIEOFF_X31Y137 NUM_ARCS 0 SITEPROP TIEOFF_X31Y137 NUM_BELS 2 SITEPROP TIEOFF_X31Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y137 NUM_PINS 2 SITEPROP TIEOFF_X31Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y137 PROHIBIT 0 SITEPROP TIEOFF_X31Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y137 RPM_X 124 SITEPROP TIEOFF_X31Y137 RPM_Y 274 SITEPROP TIEOFF_X31Y137 SITE_PIPS SITEPROP TIEOFF_X31Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y138 CLASS site SITEPROP TIEOFF_X31Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y138 IS_BONDED 0 SITEPROP TIEOFF_X31Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y138 IS_PAD 0 SITEPROP TIEOFF_X31Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y138 IS_RESERVED 0 SITEPROP TIEOFF_X31Y138 IS_TEST 0 SITEPROP TIEOFF_X31Y138 IS_USED 0 SITEPROP TIEOFF_X31Y138 MANUAL_ROUTING SITEPROP TIEOFF_X31Y138 NAME TIEOFF_X31Y138 SITEPROP TIEOFF_X31Y138 NUM_ARCS 0 SITEPROP TIEOFF_X31Y138 NUM_BELS 2 SITEPROP TIEOFF_X31Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y138 NUM_PINS 2 SITEPROP TIEOFF_X31Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y138 PROHIBIT 0 SITEPROP TIEOFF_X31Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y138 RPM_X 124 SITEPROP TIEOFF_X31Y138 RPM_Y 276 SITEPROP TIEOFF_X31Y138 SITE_PIPS SITEPROP TIEOFF_X31Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y139 CLASS site SITEPROP TIEOFF_X31Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y139 IS_BONDED 0 SITEPROP TIEOFF_X31Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y139 IS_PAD 0 SITEPROP TIEOFF_X31Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y139 IS_RESERVED 0 SITEPROP TIEOFF_X31Y139 IS_TEST 0 SITEPROP TIEOFF_X31Y139 IS_USED 0 SITEPROP TIEOFF_X31Y139 MANUAL_ROUTING SITEPROP TIEOFF_X31Y139 NAME TIEOFF_X31Y139 SITEPROP TIEOFF_X31Y139 NUM_ARCS 0 SITEPROP TIEOFF_X31Y139 NUM_BELS 2 SITEPROP TIEOFF_X31Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y139 NUM_PINS 2 SITEPROP TIEOFF_X31Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y139 PROHIBIT 0 SITEPROP TIEOFF_X31Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y139 RPM_X 124 SITEPROP TIEOFF_X31Y139 RPM_Y 278 SITEPROP TIEOFF_X31Y139 SITE_PIPS SITEPROP TIEOFF_X31Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y140 CLASS site SITEPROP TIEOFF_X31Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y140 IS_BONDED 0 SITEPROP TIEOFF_X31Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y140 IS_PAD 0 SITEPROP TIEOFF_X31Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y140 IS_RESERVED 0 SITEPROP TIEOFF_X31Y140 IS_TEST 0 SITEPROP TIEOFF_X31Y140 IS_USED 0 SITEPROP TIEOFF_X31Y140 MANUAL_ROUTING SITEPROP TIEOFF_X31Y140 NAME TIEOFF_X31Y140 SITEPROP TIEOFF_X31Y140 NUM_ARCS 0 SITEPROP TIEOFF_X31Y140 NUM_BELS 2 SITEPROP TIEOFF_X31Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y140 NUM_PINS 2 SITEPROP TIEOFF_X31Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y140 PROHIBIT 0 SITEPROP TIEOFF_X31Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y140 RPM_X 124 SITEPROP TIEOFF_X31Y140 RPM_Y 280 SITEPROP TIEOFF_X31Y140 SITE_PIPS SITEPROP TIEOFF_X31Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y141 CLASS site SITEPROP TIEOFF_X31Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y141 IS_BONDED 0 SITEPROP TIEOFF_X31Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y141 IS_PAD 0 SITEPROP TIEOFF_X31Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y141 IS_RESERVED 0 SITEPROP TIEOFF_X31Y141 IS_TEST 0 SITEPROP TIEOFF_X31Y141 IS_USED 0 SITEPROP TIEOFF_X31Y141 MANUAL_ROUTING SITEPROP TIEOFF_X31Y141 NAME TIEOFF_X31Y141 SITEPROP TIEOFF_X31Y141 NUM_ARCS 0 SITEPROP TIEOFF_X31Y141 NUM_BELS 2 SITEPROP TIEOFF_X31Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y141 NUM_PINS 2 SITEPROP TIEOFF_X31Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y141 PROHIBIT 0 SITEPROP TIEOFF_X31Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y141 RPM_X 124 SITEPROP TIEOFF_X31Y141 RPM_Y 282 SITEPROP TIEOFF_X31Y141 SITE_PIPS SITEPROP TIEOFF_X31Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y142 CLASS site SITEPROP TIEOFF_X31Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y142 IS_BONDED 0 SITEPROP TIEOFF_X31Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y142 IS_PAD 0 SITEPROP TIEOFF_X31Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y142 IS_RESERVED 0 SITEPROP TIEOFF_X31Y142 IS_TEST 0 SITEPROP TIEOFF_X31Y142 IS_USED 0 SITEPROP TIEOFF_X31Y142 MANUAL_ROUTING SITEPROP TIEOFF_X31Y142 NAME TIEOFF_X31Y142 SITEPROP TIEOFF_X31Y142 NUM_ARCS 0 SITEPROP TIEOFF_X31Y142 NUM_BELS 2 SITEPROP TIEOFF_X31Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y142 NUM_PINS 2 SITEPROP TIEOFF_X31Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y142 PROHIBIT 0 SITEPROP TIEOFF_X31Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y142 RPM_X 124 SITEPROP TIEOFF_X31Y142 RPM_Y 284 SITEPROP TIEOFF_X31Y142 SITE_PIPS SITEPROP TIEOFF_X31Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y143 CLASS site SITEPROP TIEOFF_X31Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y143 IS_BONDED 0 SITEPROP TIEOFF_X31Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y143 IS_PAD 0 SITEPROP TIEOFF_X31Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y143 IS_RESERVED 0 SITEPROP TIEOFF_X31Y143 IS_TEST 0 SITEPROP TIEOFF_X31Y143 IS_USED 0 SITEPROP TIEOFF_X31Y143 MANUAL_ROUTING SITEPROP TIEOFF_X31Y143 NAME TIEOFF_X31Y143 SITEPROP TIEOFF_X31Y143 NUM_ARCS 0 SITEPROP TIEOFF_X31Y143 NUM_BELS 2 SITEPROP TIEOFF_X31Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y143 NUM_PINS 2 SITEPROP TIEOFF_X31Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y143 PROHIBIT 0 SITEPROP TIEOFF_X31Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y143 RPM_X 124 SITEPROP TIEOFF_X31Y143 RPM_Y 286 SITEPROP TIEOFF_X31Y143 SITE_PIPS SITEPROP TIEOFF_X31Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y144 CLASS site SITEPROP TIEOFF_X31Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y144 IS_BONDED 0 SITEPROP TIEOFF_X31Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y144 IS_PAD 0 SITEPROP TIEOFF_X31Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y144 IS_RESERVED 0 SITEPROP TIEOFF_X31Y144 IS_TEST 0 SITEPROP TIEOFF_X31Y144 IS_USED 0 SITEPROP TIEOFF_X31Y144 MANUAL_ROUTING SITEPROP TIEOFF_X31Y144 NAME TIEOFF_X31Y144 SITEPROP TIEOFF_X31Y144 NUM_ARCS 0 SITEPROP TIEOFF_X31Y144 NUM_BELS 2 SITEPROP TIEOFF_X31Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y144 NUM_PINS 2 SITEPROP TIEOFF_X31Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y144 PROHIBIT 0 SITEPROP TIEOFF_X31Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y144 RPM_X 124 SITEPROP TIEOFF_X31Y144 RPM_Y 288 SITEPROP TIEOFF_X31Y144 SITE_PIPS SITEPROP TIEOFF_X31Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y145 CLASS site SITEPROP TIEOFF_X31Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y145 IS_BONDED 0 SITEPROP TIEOFF_X31Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y145 IS_PAD 0 SITEPROP TIEOFF_X31Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y145 IS_RESERVED 0 SITEPROP TIEOFF_X31Y145 IS_TEST 0 SITEPROP TIEOFF_X31Y145 IS_USED 0 SITEPROP TIEOFF_X31Y145 MANUAL_ROUTING SITEPROP TIEOFF_X31Y145 NAME TIEOFF_X31Y145 SITEPROP TIEOFF_X31Y145 NUM_ARCS 0 SITEPROP TIEOFF_X31Y145 NUM_BELS 2 SITEPROP TIEOFF_X31Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y145 NUM_PINS 2 SITEPROP TIEOFF_X31Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y145 PROHIBIT 0 SITEPROP TIEOFF_X31Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y145 RPM_X 124 SITEPROP TIEOFF_X31Y145 RPM_Y 290 SITEPROP TIEOFF_X31Y145 SITE_PIPS SITEPROP TIEOFF_X31Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y146 CLASS site SITEPROP TIEOFF_X31Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y146 IS_BONDED 0 SITEPROP TIEOFF_X31Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y146 IS_PAD 0 SITEPROP TIEOFF_X31Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y146 IS_RESERVED 0 SITEPROP TIEOFF_X31Y146 IS_TEST 0 SITEPROP TIEOFF_X31Y146 IS_USED 0 SITEPROP TIEOFF_X31Y146 MANUAL_ROUTING SITEPROP TIEOFF_X31Y146 NAME TIEOFF_X31Y146 SITEPROP TIEOFF_X31Y146 NUM_ARCS 0 SITEPROP TIEOFF_X31Y146 NUM_BELS 2 SITEPROP TIEOFF_X31Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y146 NUM_PINS 2 SITEPROP TIEOFF_X31Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y146 PROHIBIT 0 SITEPROP TIEOFF_X31Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y146 RPM_X 124 SITEPROP TIEOFF_X31Y146 RPM_Y 292 SITEPROP TIEOFF_X31Y146 SITE_PIPS SITEPROP TIEOFF_X31Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y147 CLASS site SITEPROP TIEOFF_X31Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y147 IS_BONDED 0 SITEPROP TIEOFF_X31Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y147 IS_PAD 0 SITEPROP TIEOFF_X31Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y147 IS_RESERVED 0 SITEPROP TIEOFF_X31Y147 IS_TEST 0 SITEPROP TIEOFF_X31Y147 IS_USED 0 SITEPROP TIEOFF_X31Y147 MANUAL_ROUTING SITEPROP TIEOFF_X31Y147 NAME TIEOFF_X31Y147 SITEPROP TIEOFF_X31Y147 NUM_ARCS 0 SITEPROP TIEOFF_X31Y147 NUM_BELS 2 SITEPROP TIEOFF_X31Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y147 NUM_PINS 2 SITEPROP TIEOFF_X31Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y147 PROHIBIT 0 SITEPROP TIEOFF_X31Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y147 RPM_X 124 SITEPROP TIEOFF_X31Y147 RPM_Y 294 SITEPROP TIEOFF_X31Y147 SITE_PIPS SITEPROP TIEOFF_X31Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y148 CLASS site SITEPROP TIEOFF_X31Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y148 IS_BONDED 0 SITEPROP TIEOFF_X31Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y148 IS_PAD 0 SITEPROP TIEOFF_X31Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y148 IS_RESERVED 0 SITEPROP TIEOFF_X31Y148 IS_TEST 0 SITEPROP TIEOFF_X31Y148 IS_USED 0 SITEPROP TIEOFF_X31Y148 MANUAL_ROUTING SITEPROP TIEOFF_X31Y148 NAME TIEOFF_X31Y148 SITEPROP TIEOFF_X31Y148 NUM_ARCS 0 SITEPROP TIEOFF_X31Y148 NUM_BELS 2 SITEPROP TIEOFF_X31Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y148 NUM_PINS 2 SITEPROP TIEOFF_X31Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y148 PROHIBIT 0 SITEPROP TIEOFF_X31Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y148 RPM_X 124 SITEPROP TIEOFF_X31Y148 RPM_Y 296 SITEPROP TIEOFF_X31Y148 SITE_PIPS SITEPROP TIEOFF_X31Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X31Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X31Y149 CLASS site SITEPROP TIEOFF_X31Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X31Y149 IS_BONDED 0 SITEPROP TIEOFF_X31Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y149 IS_PAD 0 SITEPROP TIEOFF_X31Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X31Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X31Y149 IS_RESERVED 0 SITEPROP TIEOFF_X31Y149 IS_TEST 0 SITEPROP TIEOFF_X31Y149 IS_USED 0 SITEPROP TIEOFF_X31Y149 MANUAL_ROUTING SITEPROP TIEOFF_X31Y149 NAME TIEOFF_X31Y149 SITEPROP TIEOFF_X31Y149 NUM_ARCS 0 SITEPROP TIEOFF_X31Y149 NUM_BELS 2 SITEPROP TIEOFF_X31Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X31Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X31Y149 NUM_PINS 2 SITEPROP TIEOFF_X31Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X31Y149 PROHIBIT 0 SITEPROP TIEOFF_X31Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X31Y149 RPM_X 124 SITEPROP TIEOFF_X31Y149 RPM_Y 298 SITEPROP TIEOFF_X31Y149 SITE_PIPS SITEPROP TIEOFF_X31Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y0 CLASS site SITEPROP TIEOFF_X32Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y0 IS_BONDED 0 SITEPROP TIEOFF_X32Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y0 IS_PAD 0 SITEPROP TIEOFF_X32Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y0 IS_RESERVED 0 SITEPROP TIEOFF_X32Y0 IS_TEST 0 SITEPROP TIEOFF_X32Y0 IS_USED 0 SITEPROP TIEOFF_X32Y0 MANUAL_ROUTING SITEPROP TIEOFF_X32Y0 NAME TIEOFF_X32Y0 SITEPROP TIEOFF_X32Y0 NUM_ARCS 0 SITEPROP TIEOFF_X32Y0 NUM_BELS 2 SITEPROP TIEOFF_X32Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y0 NUM_PINS 2 SITEPROP TIEOFF_X32Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y0 PROHIBIT 0 SITEPROP TIEOFF_X32Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y0 RPM_X 128 SITEPROP TIEOFF_X32Y0 RPM_Y 0 SITEPROP TIEOFF_X32Y0 SITE_PIPS SITEPROP TIEOFF_X32Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y1 CLASS site SITEPROP TIEOFF_X32Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y1 IS_BONDED 0 SITEPROP TIEOFF_X32Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y1 IS_PAD 0 SITEPROP TIEOFF_X32Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y1 IS_RESERVED 0 SITEPROP TIEOFF_X32Y1 IS_TEST 0 SITEPROP TIEOFF_X32Y1 IS_USED 0 SITEPROP TIEOFF_X32Y1 MANUAL_ROUTING SITEPROP TIEOFF_X32Y1 NAME TIEOFF_X32Y1 SITEPROP TIEOFF_X32Y1 NUM_ARCS 0 SITEPROP TIEOFF_X32Y1 NUM_BELS 2 SITEPROP TIEOFF_X32Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y1 NUM_PINS 2 SITEPROP TIEOFF_X32Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y1 PROHIBIT 0 SITEPROP TIEOFF_X32Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y1 RPM_X 128 SITEPROP TIEOFF_X32Y1 RPM_Y 2 SITEPROP TIEOFF_X32Y1 SITE_PIPS SITEPROP TIEOFF_X32Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y2 CLASS site SITEPROP TIEOFF_X32Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y2 IS_BONDED 0 SITEPROP TIEOFF_X32Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y2 IS_PAD 0 SITEPROP TIEOFF_X32Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y2 IS_RESERVED 0 SITEPROP TIEOFF_X32Y2 IS_TEST 0 SITEPROP TIEOFF_X32Y2 IS_USED 0 SITEPROP TIEOFF_X32Y2 MANUAL_ROUTING SITEPROP TIEOFF_X32Y2 NAME TIEOFF_X32Y2 SITEPROP TIEOFF_X32Y2 NUM_ARCS 0 SITEPROP TIEOFF_X32Y2 NUM_BELS 2 SITEPROP TIEOFF_X32Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y2 NUM_PINS 2 SITEPROP TIEOFF_X32Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y2 PROHIBIT 0 SITEPROP TIEOFF_X32Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y2 RPM_X 128 SITEPROP TIEOFF_X32Y2 RPM_Y 4 SITEPROP TIEOFF_X32Y2 SITE_PIPS SITEPROP TIEOFF_X32Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y3 CLASS site SITEPROP TIEOFF_X32Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y3 IS_BONDED 0 SITEPROP TIEOFF_X32Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y3 IS_PAD 0 SITEPROP TIEOFF_X32Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y3 IS_RESERVED 0 SITEPROP TIEOFF_X32Y3 IS_TEST 0 SITEPROP TIEOFF_X32Y3 IS_USED 0 SITEPROP TIEOFF_X32Y3 MANUAL_ROUTING SITEPROP TIEOFF_X32Y3 NAME TIEOFF_X32Y3 SITEPROP TIEOFF_X32Y3 NUM_ARCS 0 SITEPROP TIEOFF_X32Y3 NUM_BELS 2 SITEPROP TIEOFF_X32Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y3 NUM_PINS 2 SITEPROP TIEOFF_X32Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y3 PROHIBIT 0 SITEPROP TIEOFF_X32Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y3 RPM_X 128 SITEPROP TIEOFF_X32Y3 RPM_Y 6 SITEPROP TIEOFF_X32Y3 SITE_PIPS SITEPROP TIEOFF_X32Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y4 CLASS site SITEPROP TIEOFF_X32Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y4 IS_BONDED 0 SITEPROP TIEOFF_X32Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y4 IS_PAD 0 SITEPROP TIEOFF_X32Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y4 IS_RESERVED 0 SITEPROP TIEOFF_X32Y4 IS_TEST 0 SITEPROP TIEOFF_X32Y4 IS_USED 0 SITEPROP TIEOFF_X32Y4 MANUAL_ROUTING SITEPROP TIEOFF_X32Y4 NAME TIEOFF_X32Y4 SITEPROP TIEOFF_X32Y4 NUM_ARCS 0 SITEPROP TIEOFF_X32Y4 NUM_BELS 2 SITEPROP TIEOFF_X32Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y4 NUM_PINS 2 SITEPROP TIEOFF_X32Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y4 PROHIBIT 0 SITEPROP TIEOFF_X32Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y4 RPM_X 128 SITEPROP TIEOFF_X32Y4 RPM_Y 8 SITEPROP TIEOFF_X32Y4 SITE_PIPS SITEPROP TIEOFF_X32Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y5 CLASS site SITEPROP TIEOFF_X32Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y5 IS_BONDED 0 SITEPROP TIEOFF_X32Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y5 IS_PAD 0 SITEPROP TIEOFF_X32Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y5 IS_RESERVED 0 SITEPROP TIEOFF_X32Y5 IS_TEST 0 SITEPROP TIEOFF_X32Y5 IS_USED 0 SITEPROP TIEOFF_X32Y5 MANUAL_ROUTING SITEPROP TIEOFF_X32Y5 NAME TIEOFF_X32Y5 SITEPROP TIEOFF_X32Y5 NUM_ARCS 0 SITEPROP TIEOFF_X32Y5 NUM_BELS 2 SITEPROP TIEOFF_X32Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y5 NUM_PINS 2 SITEPROP TIEOFF_X32Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y5 PROHIBIT 0 SITEPROP TIEOFF_X32Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y5 RPM_X 128 SITEPROP TIEOFF_X32Y5 RPM_Y 10 SITEPROP TIEOFF_X32Y5 SITE_PIPS SITEPROP TIEOFF_X32Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y6 CLASS site SITEPROP TIEOFF_X32Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y6 IS_BONDED 0 SITEPROP TIEOFF_X32Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y6 IS_PAD 0 SITEPROP TIEOFF_X32Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y6 IS_RESERVED 0 SITEPROP TIEOFF_X32Y6 IS_TEST 0 SITEPROP TIEOFF_X32Y6 IS_USED 0 SITEPROP TIEOFF_X32Y6 MANUAL_ROUTING SITEPROP TIEOFF_X32Y6 NAME TIEOFF_X32Y6 SITEPROP TIEOFF_X32Y6 NUM_ARCS 0 SITEPROP TIEOFF_X32Y6 NUM_BELS 2 SITEPROP TIEOFF_X32Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y6 NUM_PINS 2 SITEPROP TIEOFF_X32Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y6 PROHIBIT 0 SITEPROP TIEOFF_X32Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y6 RPM_X 128 SITEPROP TIEOFF_X32Y6 RPM_Y 12 SITEPROP TIEOFF_X32Y6 SITE_PIPS SITEPROP TIEOFF_X32Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y7 CLASS site SITEPROP TIEOFF_X32Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y7 IS_BONDED 0 SITEPROP TIEOFF_X32Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y7 IS_PAD 0 SITEPROP TIEOFF_X32Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y7 IS_RESERVED 0 SITEPROP TIEOFF_X32Y7 IS_TEST 0 SITEPROP TIEOFF_X32Y7 IS_USED 0 SITEPROP TIEOFF_X32Y7 MANUAL_ROUTING SITEPROP TIEOFF_X32Y7 NAME TIEOFF_X32Y7 SITEPROP TIEOFF_X32Y7 NUM_ARCS 0 SITEPROP TIEOFF_X32Y7 NUM_BELS 2 SITEPROP TIEOFF_X32Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y7 NUM_PINS 2 SITEPROP TIEOFF_X32Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y7 PROHIBIT 0 SITEPROP TIEOFF_X32Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y7 RPM_X 128 SITEPROP TIEOFF_X32Y7 RPM_Y 14 SITEPROP TIEOFF_X32Y7 SITE_PIPS SITEPROP TIEOFF_X32Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y8 CLASS site SITEPROP TIEOFF_X32Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y8 IS_BONDED 0 SITEPROP TIEOFF_X32Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y8 IS_PAD 0 SITEPROP TIEOFF_X32Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y8 IS_RESERVED 0 SITEPROP TIEOFF_X32Y8 IS_TEST 0 SITEPROP TIEOFF_X32Y8 IS_USED 0 SITEPROP TIEOFF_X32Y8 MANUAL_ROUTING SITEPROP TIEOFF_X32Y8 NAME TIEOFF_X32Y8 SITEPROP TIEOFF_X32Y8 NUM_ARCS 0 SITEPROP TIEOFF_X32Y8 NUM_BELS 2 SITEPROP TIEOFF_X32Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y8 NUM_PINS 2 SITEPROP TIEOFF_X32Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y8 PROHIBIT 0 SITEPROP TIEOFF_X32Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y8 RPM_X 128 SITEPROP TIEOFF_X32Y8 RPM_Y 16 SITEPROP TIEOFF_X32Y8 SITE_PIPS SITEPROP TIEOFF_X32Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y9 CLASS site SITEPROP TIEOFF_X32Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y9 IS_BONDED 0 SITEPROP TIEOFF_X32Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y9 IS_PAD 0 SITEPROP TIEOFF_X32Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y9 IS_RESERVED 0 SITEPROP TIEOFF_X32Y9 IS_TEST 0 SITEPROP TIEOFF_X32Y9 IS_USED 0 SITEPROP TIEOFF_X32Y9 MANUAL_ROUTING SITEPROP TIEOFF_X32Y9 NAME TIEOFF_X32Y9 SITEPROP TIEOFF_X32Y9 NUM_ARCS 0 SITEPROP TIEOFF_X32Y9 NUM_BELS 2 SITEPROP TIEOFF_X32Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y9 NUM_PINS 2 SITEPROP TIEOFF_X32Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y9 PROHIBIT 0 SITEPROP TIEOFF_X32Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y9 RPM_X 128 SITEPROP TIEOFF_X32Y9 RPM_Y 18 SITEPROP TIEOFF_X32Y9 SITE_PIPS SITEPROP TIEOFF_X32Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y10 CLASS site SITEPROP TIEOFF_X32Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y10 IS_BONDED 0 SITEPROP TIEOFF_X32Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y10 IS_PAD 0 SITEPROP TIEOFF_X32Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y10 IS_RESERVED 0 SITEPROP TIEOFF_X32Y10 IS_TEST 0 SITEPROP TIEOFF_X32Y10 IS_USED 0 SITEPROP TIEOFF_X32Y10 MANUAL_ROUTING SITEPROP TIEOFF_X32Y10 NAME TIEOFF_X32Y10 SITEPROP TIEOFF_X32Y10 NUM_ARCS 0 SITEPROP TIEOFF_X32Y10 NUM_BELS 2 SITEPROP TIEOFF_X32Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y10 NUM_PINS 2 SITEPROP TIEOFF_X32Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y10 PROHIBIT 0 SITEPROP TIEOFF_X32Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y10 RPM_X 128 SITEPROP TIEOFF_X32Y10 RPM_Y 20 SITEPROP TIEOFF_X32Y10 SITE_PIPS SITEPROP TIEOFF_X32Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y11 CLASS site SITEPROP TIEOFF_X32Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y11 IS_BONDED 0 SITEPROP TIEOFF_X32Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y11 IS_PAD 0 SITEPROP TIEOFF_X32Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y11 IS_RESERVED 0 SITEPROP TIEOFF_X32Y11 IS_TEST 0 SITEPROP TIEOFF_X32Y11 IS_USED 0 SITEPROP TIEOFF_X32Y11 MANUAL_ROUTING SITEPROP TIEOFF_X32Y11 NAME TIEOFF_X32Y11 SITEPROP TIEOFF_X32Y11 NUM_ARCS 0 SITEPROP TIEOFF_X32Y11 NUM_BELS 2 SITEPROP TIEOFF_X32Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y11 NUM_PINS 2 SITEPROP TIEOFF_X32Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y11 PROHIBIT 0 SITEPROP TIEOFF_X32Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y11 RPM_X 128 SITEPROP TIEOFF_X32Y11 RPM_Y 22 SITEPROP TIEOFF_X32Y11 SITE_PIPS SITEPROP TIEOFF_X32Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y12 CLASS site SITEPROP TIEOFF_X32Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y12 IS_BONDED 0 SITEPROP TIEOFF_X32Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y12 IS_PAD 0 SITEPROP TIEOFF_X32Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y12 IS_RESERVED 0 SITEPROP TIEOFF_X32Y12 IS_TEST 0 SITEPROP TIEOFF_X32Y12 IS_USED 0 SITEPROP TIEOFF_X32Y12 MANUAL_ROUTING SITEPROP TIEOFF_X32Y12 NAME TIEOFF_X32Y12 SITEPROP TIEOFF_X32Y12 NUM_ARCS 0 SITEPROP TIEOFF_X32Y12 NUM_BELS 2 SITEPROP TIEOFF_X32Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y12 NUM_PINS 2 SITEPROP TIEOFF_X32Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y12 PROHIBIT 0 SITEPROP TIEOFF_X32Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y12 RPM_X 128 SITEPROP TIEOFF_X32Y12 RPM_Y 24 SITEPROP TIEOFF_X32Y12 SITE_PIPS SITEPROP TIEOFF_X32Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y13 CLASS site SITEPROP TIEOFF_X32Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y13 IS_BONDED 0 SITEPROP TIEOFF_X32Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y13 IS_PAD 0 SITEPROP TIEOFF_X32Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y13 IS_RESERVED 0 SITEPROP TIEOFF_X32Y13 IS_TEST 0 SITEPROP TIEOFF_X32Y13 IS_USED 0 SITEPROP TIEOFF_X32Y13 MANUAL_ROUTING SITEPROP TIEOFF_X32Y13 NAME TIEOFF_X32Y13 SITEPROP TIEOFF_X32Y13 NUM_ARCS 0 SITEPROP TIEOFF_X32Y13 NUM_BELS 2 SITEPROP TIEOFF_X32Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y13 NUM_PINS 2 SITEPROP TIEOFF_X32Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y13 PROHIBIT 0 SITEPROP TIEOFF_X32Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y13 RPM_X 128 SITEPROP TIEOFF_X32Y13 RPM_Y 26 SITEPROP TIEOFF_X32Y13 SITE_PIPS SITEPROP TIEOFF_X32Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y14 CLASS site SITEPROP TIEOFF_X32Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y14 IS_BONDED 0 SITEPROP TIEOFF_X32Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y14 IS_PAD 0 SITEPROP TIEOFF_X32Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y14 IS_RESERVED 0 SITEPROP TIEOFF_X32Y14 IS_TEST 0 SITEPROP TIEOFF_X32Y14 IS_USED 0 SITEPROP TIEOFF_X32Y14 MANUAL_ROUTING SITEPROP TIEOFF_X32Y14 NAME TIEOFF_X32Y14 SITEPROP TIEOFF_X32Y14 NUM_ARCS 0 SITEPROP TIEOFF_X32Y14 NUM_BELS 2 SITEPROP TIEOFF_X32Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y14 NUM_PINS 2 SITEPROP TIEOFF_X32Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y14 PROHIBIT 0 SITEPROP TIEOFF_X32Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y14 RPM_X 128 SITEPROP TIEOFF_X32Y14 RPM_Y 28 SITEPROP TIEOFF_X32Y14 SITE_PIPS SITEPROP TIEOFF_X32Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y15 CLASS site SITEPROP TIEOFF_X32Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y15 IS_BONDED 0 SITEPROP TIEOFF_X32Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y15 IS_PAD 0 SITEPROP TIEOFF_X32Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y15 IS_RESERVED 0 SITEPROP TIEOFF_X32Y15 IS_TEST 0 SITEPROP TIEOFF_X32Y15 IS_USED 0 SITEPROP TIEOFF_X32Y15 MANUAL_ROUTING SITEPROP TIEOFF_X32Y15 NAME TIEOFF_X32Y15 SITEPROP TIEOFF_X32Y15 NUM_ARCS 0 SITEPROP TIEOFF_X32Y15 NUM_BELS 2 SITEPROP TIEOFF_X32Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y15 NUM_PINS 2 SITEPROP TIEOFF_X32Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y15 PROHIBIT 0 SITEPROP TIEOFF_X32Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y15 RPM_X 128 SITEPROP TIEOFF_X32Y15 RPM_Y 30 SITEPROP TIEOFF_X32Y15 SITE_PIPS SITEPROP TIEOFF_X32Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y16 CLASS site SITEPROP TIEOFF_X32Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y16 IS_BONDED 0 SITEPROP TIEOFF_X32Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y16 IS_PAD 0 SITEPROP TIEOFF_X32Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y16 IS_RESERVED 0 SITEPROP TIEOFF_X32Y16 IS_TEST 0 SITEPROP TIEOFF_X32Y16 IS_USED 0 SITEPROP TIEOFF_X32Y16 MANUAL_ROUTING SITEPROP TIEOFF_X32Y16 NAME TIEOFF_X32Y16 SITEPROP TIEOFF_X32Y16 NUM_ARCS 0 SITEPROP TIEOFF_X32Y16 NUM_BELS 2 SITEPROP TIEOFF_X32Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y16 NUM_PINS 2 SITEPROP TIEOFF_X32Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y16 PROHIBIT 0 SITEPROP TIEOFF_X32Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y16 RPM_X 128 SITEPROP TIEOFF_X32Y16 RPM_Y 32 SITEPROP TIEOFF_X32Y16 SITE_PIPS SITEPROP TIEOFF_X32Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y17 CLASS site SITEPROP TIEOFF_X32Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y17 IS_BONDED 0 SITEPROP TIEOFF_X32Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y17 IS_PAD 0 SITEPROP TIEOFF_X32Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y17 IS_RESERVED 0 SITEPROP TIEOFF_X32Y17 IS_TEST 0 SITEPROP TIEOFF_X32Y17 IS_USED 0 SITEPROP TIEOFF_X32Y17 MANUAL_ROUTING SITEPROP TIEOFF_X32Y17 NAME TIEOFF_X32Y17 SITEPROP TIEOFF_X32Y17 NUM_ARCS 0 SITEPROP TIEOFF_X32Y17 NUM_BELS 2 SITEPROP TIEOFF_X32Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y17 NUM_PINS 2 SITEPROP TIEOFF_X32Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y17 PROHIBIT 0 SITEPROP TIEOFF_X32Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y17 RPM_X 128 SITEPROP TIEOFF_X32Y17 RPM_Y 34 SITEPROP TIEOFF_X32Y17 SITE_PIPS SITEPROP TIEOFF_X32Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y18 CLASS site SITEPROP TIEOFF_X32Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y18 IS_BONDED 0 SITEPROP TIEOFF_X32Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y18 IS_PAD 0 SITEPROP TIEOFF_X32Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y18 IS_RESERVED 0 SITEPROP TIEOFF_X32Y18 IS_TEST 0 SITEPROP TIEOFF_X32Y18 IS_USED 0 SITEPROP TIEOFF_X32Y18 MANUAL_ROUTING SITEPROP TIEOFF_X32Y18 NAME TIEOFF_X32Y18 SITEPROP TIEOFF_X32Y18 NUM_ARCS 0 SITEPROP TIEOFF_X32Y18 NUM_BELS 2 SITEPROP TIEOFF_X32Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y18 NUM_PINS 2 SITEPROP TIEOFF_X32Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y18 PROHIBIT 0 SITEPROP TIEOFF_X32Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y18 RPM_X 128 SITEPROP TIEOFF_X32Y18 RPM_Y 36 SITEPROP TIEOFF_X32Y18 SITE_PIPS SITEPROP TIEOFF_X32Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y19 CLASS site SITEPROP TIEOFF_X32Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y19 IS_BONDED 0 SITEPROP TIEOFF_X32Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y19 IS_PAD 0 SITEPROP TIEOFF_X32Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y19 IS_RESERVED 0 SITEPROP TIEOFF_X32Y19 IS_TEST 0 SITEPROP TIEOFF_X32Y19 IS_USED 0 SITEPROP TIEOFF_X32Y19 MANUAL_ROUTING SITEPROP TIEOFF_X32Y19 NAME TIEOFF_X32Y19 SITEPROP TIEOFF_X32Y19 NUM_ARCS 0 SITEPROP TIEOFF_X32Y19 NUM_BELS 2 SITEPROP TIEOFF_X32Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y19 NUM_PINS 2 SITEPROP TIEOFF_X32Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y19 PROHIBIT 0 SITEPROP TIEOFF_X32Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y19 RPM_X 128 SITEPROP TIEOFF_X32Y19 RPM_Y 38 SITEPROP TIEOFF_X32Y19 SITE_PIPS SITEPROP TIEOFF_X32Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y20 CLASS site SITEPROP TIEOFF_X32Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y20 IS_BONDED 0 SITEPROP TIEOFF_X32Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y20 IS_PAD 0 SITEPROP TIEOFF_X32Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y20 IS_RESERVED 0 SITEPROP TIEOFF_X32Y20 IS_TEST 0 SITEPROP TIEOFF_X32Y20 IS_USED 0 SITEPROP TIEOFF_X32Y20 MANUAL_ROUTING SITEPROP TIEOFF_X32Y20 NAME TIEOFF_X32Y20 SITEPROP TIEOFF_X32Y20 NUM_ARCS 0 SITEPROP TIEOFF_X32Y20 NUM_BELS 2 SITEPROP TIEOFF_X32Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y20 NUM_PINS 2 SITEPROP TIEOFF_X32Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y20 PROHIBIT 0 SITEPROP TIEOFF_X32Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y20 RPM_X 128 SITEPROP TIEOFF_X32Y20 RPM_Y 40 SITEPROP TIEOFF_X32Y20 SITE_PIPS SITEPROP TIEOFF_X32Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y21 CLASS site SITEPROP TIEOFF_X32Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y21 IS_BONDED 0 SITEPROP TIEOFF_X32Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y21 IS_PAD 0 SITEPROP TIEOFF_X32Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y21 IS_RESERVED 0 SITEPROP TIEOFF_X32Y21 IS_TEST 0 SITEPROP TIEOFF_X32Y21 IS_USED 0 SITEPROP TIEOFF_X32Y21 MANUAL_ROUTING SITEPROP TIEOFF_X32Y21 NAME TIEOFF_X32Y21 SITEPROP TIEOFF_X32Y21 NUM_ARCS 0 SITEPROP TIEOFF_X32Y21 NUM_BELS 2 SITEPROP TIEOFF_X32Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y21 NUM_PINS 2 SITEPROP TIEOFF_X32Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y21 PROHIBIT 0 SITEPROP TIEOFF_X32Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y21 RPM_X 128 SITEPROP TIEOFF_X32Y21 RPM_Y 42 SITEPROP TIEOFF_X32Y21 SITE_PIPS SITEPROP TIEOFF_X32Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y22 CLASS site SITEPROP TIEOFF_X32Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y22 IS_BONDED 0 SITEPROP TIEOFF_X32Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y22 IS_PAD 0 SITEPROP TIEOFF_X32Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y22 IS_RESERVED 0 SITEPROP TIEOFF_X32Y22 IS_TEST 0 SITEPROP TIEOFF_X32Y22 IS_USED 0 SITEPROP TIEOFF_X32Y22 MANUAL_ROUTING SITEPROP TIEOFF_X32Y22 NAME TIEOFF_X32Y22 SITEPROP TIEOFF_X32Y22 NUM_ARCS 0 SITEPROP TIEOFF_X32Y22 NUM_BELS 2 SITEPROP TIEOFF_X32Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y22 NUM_PINS 2 SITEPROP TIEOFF_X32Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y22 PROHIBIT 0 SITEPROP TIEOFF_X32Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y22 RPM_X 128 SITEPROP TIEOFF_X32Y22 RPM_Y 44 SITEPROP TIEOFF_X32Y22 SITE_PIPS SITEPROP TIEOFF_X32Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y23 CLASS site SITEPROP TIEOFF_X32Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y23 IS_BONDED 0 SITEPROP TIEOFF_X32Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y23 IS_PAD 0 SITEPROP TIEOFF_X32Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y23 IS_RESERVED 0 SITEPROP TIEOFF_X32Y23 IS_TEST 0 SITEPROP TIEOFF_X32Y23 IS_USED 0 SITEPROP TIEOFF_X32Y23 MANUAL_ROUTING SITEPROP TIEOFF_X32Y23 NAME TIEOFF_X32Y23 SITEPROP TIEOFF_X32Y23 NUM_ARCS 0 SITEPROP TIEOFF_X32Y23 NUM_BELS 2 SITEPROP TIEOFF_X32Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y23 NUM_PINS 2 SITEPROP TIEOFF_X32Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y23 PROHIBIT 0 SITEPROP TIEOFF_X32Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y23 RPM_X 128 SITEPROP TIEOFF_X32Y23 RPM_Y 46 SITEPROP TIEOFF_X32Y23 SITE_PIPS SITEPROP TIEOFF_X32Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y24 CLASS site SITEPROP TIEOFF_X32Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y24 IS_BONDED 0 SITEPROP TIEOFF_X32Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y24 IS_PAD 0 SITEPROP TIEOFF_X32Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y24 IS_RESERVED 0 SITEPROP TIEOFF_X32Y24 IS_TEST 0 SITEPROP TIEOFF_X32Y24 IS_USED 0 SITEPROP TIEOFF_X32Y24 MANUAL_ROUTING SITEPROP TIEOFF_X32Y24 NAME TIEOFF_X32Y24 SITEPROP TIEOFF_X32Y24 NUM_ARCS 0 SITEPROP TIEOFF_X32Y24 NUM_BELS 2 SITEPROP TIEOFF_X32Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y24 NUM_PINS 2 SITEPROP TIEOFF_X32Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y24 PROHIBIT 0 SITEPROP TIEOFF_X32Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y24 RPM_X 128 SITEPROP TIEOFF_X32Y24 RPM_Y 48 SITEPROP TIEOFF_X32Y24 SITE_PIPS SITEPROP TIEOFF_X32Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y25 CLASS site SITEPROP TIEOFF_X32Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y25 IS_BONDED 0 SITEPROP TIEOFF_X32Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y25 IS_PAD 0 SITEPROP TIEOFF_X32Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y25 IS_RESERVED 0 SITEPROP TIEOFF_X32Y25 IS_TEST 0 SITEPROP TIEOFF_X32Y25 IS_USED 0 SITEPROP TIEOFF_X32Y25 MANUAL_ROUTING SITEPROP TIEOFF_X32Y25 NAME TIEOFF_X32Y25 SITEPROP TIEOFF_X32Y25 NUM_ARCS 0 SITEPROP TIEOFF_X32Y25 NUM_BELS 2 SITEPROP TIEOFF_X32Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y25 NUM_PINS 2 SITEPROP TIEOFF_X32Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y25 PROHIBIT 0 SITEPROP TIEOFF_X32Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y25 RPM_X 128 SITEPROP TIEOFF_X32Y25 RPM_Y 50 SITEPROP TIEOFF_X32Y25 SITE_PIPS SITEPROP TIEOFF_X32Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y26 CLASS site SITEPROP TIEOFF_X32Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y26 IS_BONDED 0 SITEPROP TIEOFF_X32Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y26 IS_PAD 0 SITEPROP TIEOFF_X32Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y26 IS_RESERVED 0 SITEPROP TIEOFF_X32Y26 IS_TEST 0 SITEPROP TIEOFF_X32Y26 IS_USED 0 SITEPROP TIEOFF_X32Y26 MANUAL_ROUTING SITEPROP TIEOFF_X32Y26 NAME TIEOFF_X32Y26 SITEPROP TIEOFF_X32Y26 NUM_ARCS 0 SITEPROP TIEOFF_X32Y26 NUM_BELS 2 SITEPROP TIEOFF_X32Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y26 NUM_PINS 2 SITEPROP TIEOFF_X32Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y26 PROHIBIT 0 SITEPROP TIEOFF_X32Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y26 RPM_X 128 SITEPROP TIEOFF_X32Y26 RPM_Y 52 SITEPROP TIEOFF_X32Y26 SITE_PIPS SITEPROP TIEOFF_X32Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y27 CLASS site SITEPROP TIEOFF_X32Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y27 IS_BONDED 0 SITEPROP TIEOFF_X32Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y27 IS_PAD 0 SITEPROP TIEOFF_X32Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y27 IS_RESERVED 0 SITEPROP TIEOFF_X32Y27 IS_TEST 0 SITEPROP TIEOFF_X32Y27 IS_USED 0 SITEPROP TIEOFF_X32Y27 MANUAL_ROUTING SITEPROP TIEOFF_X32Y27 NAME TIEOFF_X32Y27 SITEPROP TIEOFF_X32Y27 NUM_ARCS 0 SITEPROP TIEOFF_X32Y27 NUM_BELS 2 SITEPROP TIEOFF_X32Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y27 NUM_PINS 2 SITEPROP TIEOFF_X32Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y27 PROHIBIT 0 SITEPROP TIEOFF_X32Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y27 RPM_X 128 SITEPROP TIEOFF_X32Y27 RPM_Y 54 SITEPROP TIEOFF_X32Y27 SITE_PIPS SITEPROP TIEOFF_X32Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y28 CLASS site SITEPROP TIEOFF_X32Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y28 IS_BONDED 0 SITEPROP TIEOFF_X32Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y28 IS_PAD 0 SITEPROP TIEOFF_X32Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y28 IS_RESERVED 0 SITEPROP TIEOFF_X32Y28 IS_TEST 0 SITEPROP TIEOFF_X32Y28 IS_USED 0 SITEPROP TIEOFF_X32Y28 MANUAL_ROUTING SITEPROP TIEOFF_X32Y28 NAME TIEOFF_X32Y28 SITEPROP TIEOFF_X32Y28 NUM_ARCS 0 SITEPROP TIEOFF_X32Y28 NUM_BELS 2 SITEPROP TIEOFF_X32Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y28 NUM_PINS 2 SITEPROP TIEOFF_X32Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y28 PROHIBIT 0 SITEPROP TIEOFF_X32Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y28 RPM_X 128 SITEPROP TIEOFF_X32Y28 RPM_Y 56 SITEPROP TIEOFF_X32Y28 SITE_PIPS SITEPROP TIEOFF_X32Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y29 CLASS site SITEPROP TIEOFF_X32Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y29 IS_BONDED 0 SITEPROP TIEOFF_X32Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y29 IS_PAD 0 SITEPROP TIEOFF_X32Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y29 IS_RESERVED 0 SITEPROP TIEOFF_X32Y29 IS_TEST 0 SITEPROP TIEOFF_X32Y29 IS_USED 0 SITEPROP TIEOFF_X32Y29 MANUAL_ROUTING SITEPROP TIEOFF_X32Y29 NAME TIEOFF_X32Y29 SITEPROP TIEOFF_X32Y29 NUM_ARCS 0 SITEPROP TIEOFF_X32Y29 NUM_BELS 2 SITEPROP TIEOFF_X32Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y29 NUM_PINS 2 SITEPROP TIEOFF_X32Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y29 PROHIBIT 0 SITEPROP TIEOFF_X32Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y29 RPM_X 128 SITEPROP TIEOFF_X32Y29 RPM_Y 58 SITEPROP TIEOFF_X32Y29 SITE_PIPS SITEPROP TIEOFF_X32Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y30 CLASS site SITEPROP TIEOFF_X32Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y30 IS_BONDED 0 SITEPROP TIEOFF_X32Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y30 IS_PAD 0 SITEPROP TIEOFF_X32Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y30 IS_RESERVED 0 SITEPROP TIEOFF_X32Y30 IS_TEST 0 SITEPROP TIEOFF_X32Y30 IS_USED 0 SITEPROP TIEOFF_X32Y30 MANUAL_ROUTING SITEPROP TIEOFF_X32Y30 NAME TIEOFF_X32Y30 SITEPROP TIEOFF_X32Y30 NUM_ARCS 0 SITEPROP TIEOFF_X32Y30 NUM_BELS 2 SITEPROP TIEOFF_X32Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y30 NUM_PINS 2 SITEPROP TIEOFF_X32Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y30 PROHIBIT 0 SITEPROP TIEOFF_X32Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y30 RPM_X 128 SITEPROP TIEOFF_X32Y30 RPM_Y 60 SITEPROP TIEOFF_X32Y30 SITE_PIPS SITEPROP TIEOFF_X32Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y31 CLASS site SITEPROP TIEOFF_X32Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y31 IS_BONDED 0 SITEPROP TIEOFF_X32Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y31 IS_PAD 0 SITEPROP TIEOFF_X32Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y31 IS_RESERVED 0 SITEPROP TIEOFF_X32Y31 IS_TEST 0 SITEPROP TIEOFF_X32Y31 IS_USED 0 SITEPROP TIEOFF_X32Y31 MANUAL_ROUTING SITEPROP TIEOFF_X32Y31 NAME TIEOFF_X32Y31 SITEPROP TIEOFF_X32Y31 NUM_ARCS 0 SITEPROP TIEOFF_X32Y31 NUM_BELS 2 SITEPROP TIEOFF_X32Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y31 NUM_PINS 2 SITEPROP TIEOFF_X32Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y31 PROHIBIT 0 SITEPROP TIEOFF_X32Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y31 RPM_X 128 SITEPROP TIEOFF_X32Y31 RPM_Y 62 SITEPROP TIEOFF_X32Y31 SITE_PIPS SITEPROP TIEOFF_X32Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y32 CLASS site SITEPROP TIEOFF_X32Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y32 IS_BONDED 0 SITEPROP TIEOFF_X32Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y32 IS_PAD 0 SITEPROP TIEOFF_X32Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y32 IS_RESERVED 0 SITEPROP TIEOFF_X32Y32 IS_TEST 0 SITEPROP TIEOFF_X32Y32 IS_USED 0 SITEPROP TIEOFF_X32Y32 MANUAL_ROUTING SITEPROP TIEOFF_X32Y32 NAME TIEOFF_X32Y32 SITEPROP TIEOFF_X32Y32 NUM_ARCS 0 SITEPROP TIEOFF_X32Y32 NUM_BELS 2 SITEPROP TIEOFF_X32Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y32 NUM_PINS 2 SITEPROP TIEOFF_X32Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y32 PROHIBIT 0 SITEPROP TIEOFF_X32Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y32 RPM_X 128 SITEPROP TIEOFF_X32Y32 RPM_Y 64 SITEPROP TIEOFF_X32Y32 SITE_PIPS SITEPROP TIEOFF_X32Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y33 CLASS site SITEPROP TIEOFF_X32Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y33 IS_BONDED 0 SITEPROP TIEOFF_X32Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y33 IS_PAD 0 SITEPROP TIEOFF_X32Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y33 IS_RESERVED 0 SITEPROP TIEOFF_X32Y33 IS_TEST 0 SITEPROP TIEOFF_X32Y33 IS_USED 0 SITEPROP TIEOFF_X32Y33 MANUAL_ROUTING SITEPROP TIEOFF_X32Y33 NAME TIEOFF_X32Y33 SITEPROP TIEOFF_X32Y33 NUM_ARCS 0 SITEPROP TIEOFF_X32Y33 NUM_BELS 2 SITEPROP TIEOFF_X32Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y33 NUM_PINS 2 SITEPROP TIEOFF_X32Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y33 PROHIBIT 0 SITEPROP TIEOFF_X32Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y33 RPM_X 128 SITEPROP TIEOFF_X32Y33 RPM_Y 66 SITEPROP TIEOFF_X32Y33 SITE_PIPS SITEPROP TIEOFF_X32Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y34 CLASS site SITEPROP TIEOFF_X32Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y34 IS_BONDED 0 SITEPROP TIEOFF_X32Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y34 IS_PAD 0 SITEPROP TIEOFF_X32Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y34 IS_RESERVED 0 SITEPROP TIEOFF_X32Y34 IS_TEST 0 SITEPROP TIEOFF_X32Y34 IS_USED 0 SITEPROP TIEOFF_X32Y34 MANUAL_ROUTING SITEPROP TIEOFF_X32Y34 NAME TIEOFF_X32Y34 SITEPROP TIEOFF_X32Y34 NUM_ARCS 0 SITEPROP TIEOFF_X32Y34 NUM_BELS 2 SITEPROP TIEOFF_X32Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y34 NUM_PINS 2 SITEPROP TIEOFF_X32Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y34 PROHIBIT 0 SITEPROP TIEOFF_X32Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y34 RPM_X 128 SITEPROP TIEOFF_X32Y34 RPM_Y 68 SITEPROP TIEOFF_X32Y34 SITE_PIPS SITEPROP TIEOFF_X32Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y35 CLASS site SITEPROP TIEOFF_X32Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y35 IS_BONDED 0 SITEPROP TIEOFF_X32Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y35 IS_PAD 0 SITEPROP TIEOFF_X32Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y35 IS_RESERVED 0 SITEPROP TIEOFF_X32Y35 IS_TEST 0 SITEPROP TIEOFF_X32Y35 IS_USED 0 SITEPROP TIEOFF_X32Y35 MANUAL_ROUTING SITEPROP TIEOFF_X32Y35 NAME TIEOFF_X32Y35 SITEPROP TIEOFF_X32Y35 NUM_ARCS 0 SITEPROP TIEOFF_X32Y35 NUM_BELS 2 SITEPROP TIEOFF_X32Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y35 NUM_PINS 2 SITEPROP TIEOFF_X32Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y35 PROHIBIT 0 SITEPROP TIEOFF_X32Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y35 RPM_X 128 SITEPROP TIEOFF_X32Y35 RPM_Y 70 SITEPROP TIEOFF_X32Y35 SITE_PIPS SITEPROP TIEOFF_X32Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y36 CLASS site SITEPROP TIEOFF_X32Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y36 IS_BONDED 0 SITEPROP TIEOFF_X32Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y36 IS_PAD 0 SITEPROP TIEOFF_X32Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y36 IS_RESERVED 0 SITEPROP TIEOFF_X32Y36 IS_TEST 0 SITEPROP TIEOFF_X32Y36 IS_USED 0 SITEPROP TIEOFF_X32Y36 MANUAL_ROUTING SITEPROP TIEOFF_X32Y36 NAME TIEOFF_X32Y36 SITEPROP TIEOFF_X32Y36 NUM_ARCS 0 SITEPROP TIEOFF_X32Y36 NUM_BELS 2 SITEPROP TIEOFF_X32Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y36 NUM_PINS 2 SITEPROP TIEOFF_X32Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y36 PROHIBIT 0 SITEPROP TIEOFF_X32Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y36 RPM_X 128 SITEPROP TIEOFF_X32Y36 RPM_Y 72 SITEPROP TIEOFF_X32Y36 SITE_PIPS SITEPROP TIEOFF_X32Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y37 CLASS site SITEPROP TIEOFF_X32Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y37 IS_BONDED 0 SITEPROP TIEOFF_X32Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y37 IS_PAD 0 SITEPROP TIEOFF_X32Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y37 IS_RESERVED 0 SITEPROP TIEOFF_X32Y37 IS_TEST 0 SITEPROP TIEOFF_X32Y37 IS_USED 0 SITEPROP TIEOFF_X32Y37 MANUAL_ROUTING SITEPROP TIEOFF_X32Y37 NAME TIEOFF_X32Y37 SITEPROP TIEOFF_X32Y37 NUM_ARCS 0 SITEPROP TIEOFF_X32Y37 NUM_BELS 2 SITEPROP TIEOFF_X32Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y37 NUM_PINS 2 SITEPROP TIEOFF_X32Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y37 PROHIBIT 0 SITEPROP TIEOFF_X32Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y37 RPM_X 128 SITEPROP TIEOFF_X32Y37 RPM_Y 74 SITEPROP TIEOFF_X32Y37 SITE_PIPS SITEPROP TIEOFF_X32Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y38 CLASS site SITEPROP TIEOFF_X32Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y38 IS_BONDED 0 SITEPROP TIEOFF_X32Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y38 IS_PAD 0 SITEPROP TIEOFF_X32Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y38 IS_RESERVED 0 SITEPROP TIEOFF_X32Y38 IS_TEST 0 SITEPROP TIEOFF_X32Y38 IS_USED 0 SITEPROP TIEOFF_X32Y38 MANUAL_ROUTING SITEPROP TIEOFF_X32Y38 NAME TIEOFF_X32Y38 SITEPROP TIEOFF_X32Y38 NUM_ARCS 0 SITEPROP TIEOFF_X32Y38 NUM_BELS 2 SITEPROP TIEOFF_X32Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y38 NUM_PINS 2 SITEPROP TIEOFF_X32Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y38 PROHIBIT 0 SITEPROP TIEOFF_X32Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y38 RPM_X 128 SITEPROP TIEOFF_X32Y38 RPM_Y 76 SITEPROP TIEOFF_X32Y38 SITE_PIPS SITEPROP TIEOFF_X32Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y39 CLASS site SITEPROP TIEOFF_X32Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y39 IS_BONDED 0 SITEPROP TIEOFF_X32Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y39 IS_PAD 0 SITEPROP TIEOFF_X32Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y39 IS_RESERVED 0 SITEPROP TIEOFF_X32Y39 IS_TEST 0 SITEPROP TIEOFF_X32Y39 IS_USED 0 SITEPROP TIEOFF_X32Y39 MANUAL_ROUTING SITEPROP TIEOFF_X32Y39 NAME TIEOFF_X32Y39 SITEPROP TIEOFF_X32Y39 NUM_ARCS 0 SITEPROP TIEOFF_X32Y39 NUM_BELS 2 SITEPROP TIEOFF_X32Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y39 NUM_PINS 2 SITEPROP TIEOFF_X32Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y39 PROHIBIT 0 SITEPROP TIEOFF_X32Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y39 RPM_X 128 SITEPROP TIEOFF_X32Y39 RPM_Y 78 SITEPROP TIEOFF_X32Y39 SITE_PIPS SITEPROP TIEOFF_X32Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y40 CLASS site SITEPROP TIEOFF_X32Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y40 IS_BONDED 0 SITEPROP TIEOFF_X32Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y40 IS_PAD 0 SITEPROP TIEOFF_X32Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y40 IS_RESERVED 0 SITEPROP TIEOFF_X32Y40 IS_TEST 0 SITEPROP TIEOFF_X32Y40 IS_USED 0 SITEPROP TIEOFF_X32Y40 MANUAL_ROUTING SITEPROP TIEOFF_X32Y40 NAME TIEOFF_X32Y40 SITEPROP TIEOFF_X32Y40 NUM_ARCS 0 SITEPROP TIEOFF_X32Y40 NUM_BELS 2 SITEPROP TIEOFF_X32Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y40 NUM_PINS 2 SITEPROP TIEOFF_X32Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y40 PROHIBIT 0 SITEPROP TIEOFF_X32Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y40 RPM_X 128 SITEPROP TIEOFF_X32Y40 RPM_Y 80 SITEPROP TIEOFF_X32Y40 SITE_PIPS SITEPROP TIEOFF_X32Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y41 CLASS site SITEPROP TIEOFF_X32Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y41 IS_BONDED 0 SITEPROP TIEOFF_X32Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y41 IS_PAD 0 SITEPROP TIEOFF_X32Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y41 IS_RESERVED 0 SITEPROP TIEOFF_X32Y41 IS_TEST 0 SITEPROP TIEOFF_X32Y41 IS_USED 0 SITEPROP TIEOFF_X32Y41 MANUAL_ROUTING SITEPROP TIEOFF_X32Y41 NAME TIEOFF_X32Y41 SITEPROP TIEOFF_X32Y41 NUM_ARCS 0 SITEPROP TIEOFF_X32Y41 NUM_BELS 2 SITEPROP TIEOFF_X32Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y41 NUM_PINS 2 SITEPROP TIEOFF_X32Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y41 PROHIBIT 0 SITEPROP TIEOFF_X32Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y41 RPM_X 128 SITEPROP TIEOFF_X32Y41 RPM_Y 82 SITEPROP TIEOFF_X32Y41 SITE_PIPS SITEPROP TIEOFF_X32Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y42 CLASS site SITEPROP TIEOFF_X32Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y42 IS_BONDED 0 SITEPROP TIEOFF_X32Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y42 IS_PAD 0 SITEPROP TIEOFF_X32Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y42 IS_RESERVED 0 SITEPROP TIEOFF_X32Y42 IS_TEST 0 SITEPROP TIEOFF_X32Y42 IS_USED 0 SITEPROP TIEOFF_X32Y42 MANUAL_ROUTING SITEPROP TIEOFF_X32Y42 NAME TIEOFF_X32Y42 SITEPROP TIEOFF_X32Y42 NUM_ARCS 0 SITEPROP TIEOFF_X32Y42 NUM_BELS 2 SITEPROP TIEOFF_X32Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y42 NUM_PINS 2 SITEPROP TIEOFF_X32Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y42 PROHIBIT 0 SITEPROP TIEOFF_X32Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y42 RPM_X 128 SITEPROP TIEOFF_X32Y42 RPM_Y 84 SITEPROP TIEOFF_X32Y42 SITE_PIPS SITEPROP TIEOFF_X32Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y43 CLASS site SITEPROP TIEOFF_X32Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y43 IS_BONDED 0 SITEPROP TIEOFF_X32Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y43 IS_PAD 0 SITEPROP TIEOFF_X32Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y43 IS_RESERVED 0 SITEPROP TIEOFF_X32Y43 IS_TEST 0 SITEPROP TIEOFF_X32Y43 IS_USED 0 SITEPROP TIEOFF_X32Y43 MANUAL_ROUTING SITEPROP TIEOFF_X32Y43 NAME TIEOFF_X32Y43 SITEPROP TIEOFF_X32Y43 NUM_ARCS 0 SITEPROP TIEOFF_X32Y43 NUM_BELS 2 SITEPROP TIEOFF_X32Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y43 NUM_PINS 2 SITEPROP TIEOFF_X32Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y43 PROHIBIT 0 SITEPROP TIEOFF_X32Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y43 RPM_X 128 SITEPROP TIEOFF_X32Y43 RPM_Y 86 SITEPROP TIEOFF_X32Y43 SITE_PIPS SITEPROP TIEOFF_X32Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y44 CLASS site SITEPROP TIEOFF_X32Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y44 IS_BONDED 0 SITEPROP TIEOFF_X32Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y44 IS_PAD 0 SITEPROP TIEOFF_X32Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y44 IS_RESERVED 0 SITEPROP TIEOFF_X32Y44 IS_TEST 0 SITEPROP TIEOFF_X32Y44 IS_USED 0 SITEPROP TIEOFF_X32Y44 MANUAL_ROUTING SITEPROP TIEOFF_X32Y44 NAME TIEOFF_X32Y44 SITEPROP TIEOFF_X32Y44 NUM_ARCS 0 SITEPROP TIEOFF_X32Y44 NUM_BELS 2 SITEPROP TIEOFF_X32Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y44 NUM_PINS 2 SITEPROP TIEOFF_X32Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y44 PROHIBIT 0 SITEPROP TIEOFF_X32Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y44 RPM_X 128 SITEPROP TIEOFF_X32Y44 RPM_Y 88 SITEPROP TIEOFF_X32Y44 SITE_PIPS SITEPROP TIEOFF_X32Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y45 CLASS site SITEPROP TIEOFF_X32Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y45 IS_BONDED 0 SITEPROP TIEOFF_X32Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y45 IS_PAD 0 SITEPROP TIEOFF_X32Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y45 IS_RESERVED 0 SITEPROP TIEOFF_X32Y45 IS_TEST 0 SITEPROP TIEOFF_X32Y45 IS_USED 0 SITEPROP TIEOFF_X32Y45 MANUAL_ROUTING SITEPROP TIEOFF_X32Y45 NAME TIEOFF_X32Y45 SITEPROP TIEOFF_X32Y45 NUM_ARCS 0 SITEPROP TIEOFF_X32Y45 NUM_BELS 2 SITEPROP TIEOFF_X32Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y45 NUM_PINS 2 SITEPROP TIEOFF_X32Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y45 PROHIBIT 0 SITEPROP TIEOFF_X32Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y45 RPM_X 128 SITEPROP TIEOFF_X32Y45 RPM_Y 90 SITEPROP TIEOFF_X32Y45 SITE_PIPS SITEPROP TIEOFF_X32Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y46 CLASS site SITEPROP TIEOFF_X32Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y46 IS_BONDED 0 SITEPROP TIEOFF_X32Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y46 IS_PAD 0 SITEPROP TIEOFF_X32Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y46 IS_RESERVED 0 SITEPROP TIEOFF_X32Y46 IS_TEST 0 SITEPROP TIEOFF_X32Y46 IS_USED 0 SITEPROP TIEOFF_X32Y46 MANUAL_ROUTING SITEPROP TIEOFF_X32Y46 NAME TIEOFF_X32Y46 SITEPROP TIEOFF_X32Y46 NUM_ARCS 0 SITEPROP TIEOFF_X32Y46 NUM_BELS 2 SITEPROP TIEOFF_X32Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y46 NUM_PINS 2 SITEPROP TIEOFF_X32Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y46 PROHIBIT 0 SITEPROP TIEOFF_X32Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y46 RPM_X 128 SITEPROP TIEOFF_X32Y46 RPM_Y 92 SITEPROP TIEOFF_X32Y46 SITE_PIPS SITEPROP TIEOFF_X32Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y47 CLASS site SITEPROP TIEOFF_X32Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y47 IS_BONDED 0 SITEPROP TIEOFF_X32Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y47 IS_PAD 0 SITEPROP TIEOFF_X32Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y47 IS_RESERVED 0 SITEPROP TIEOFF_X32Y47 IS_TEST 0 SITEPROP TIEOFF_X32Y47 IS_USED 0 SITEPROP TIEOFF_X32Y47 MANUAL_ROUTING SITEPROP TIEOFF_X32Y47 NAME TIEOFF_X32Y47 SITEPROP TIEOFF_X32Y47 NUM_ARCS 0 SITEPROP TIEOFF_X32Y47 NUM_BELS 2 SITEPROP TIEOFF_X32Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y47 NUM_PINS 2 SITEPROP TIEOFF_X32Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y47 PROHIBIT 0 SITEPROP TIEOFF_X32Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y47 RPM_X 128 SITEPROP TIEOFF_X32Y47 RPM_Y 94 SITEPROP TIEOFF_X32Y47 SITE_PIPS SITEPROP TIEOFF_X32Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y48 CLASS site SITEPROP TIEOFF_X32Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y48 IS_BONDED 0 SITEPROP TIEOFF_X32Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y48 IS_PAD 0 SITEPROP TIEOFF_X32Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y48 IS_RESERVED 0 SITEPROP TIEOFF_X32Y48 IS_TEST 0 SITEPROP TIEOFF_X32Y48 IS_USED 0 SITEPROP TIEOFF_X32Y48 MANUAL_ROUTING SITEPROP TIEOFF_X32Y48 NAME TIEOFF_X32Y48 SITEPROP TIEOFF_X32Y48 NUM_ARCS 0 SITEPROP TIEOFF_X32Y48 NUM_BELS 2 SITEPROP TIEOFF_X32Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y48 NUM_PINS 2 SITEPROP TIEOFF_X32Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y48 PROHIBIT 0 SITEPROP TIEOFF_X32Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y48 RPM_X 128 SITEPROP TIEOFF_X32Y48 RPM_Y 96 SITEPROP TIEOFF_X32Y48 SITE_PIPS SITEPROP TIEOFF_X32Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y49 CLASS site SITEPROP TIEOFF_X32Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X32Y49 IS_BONDED 0 SITEPROP TIEOFF_X32Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y49 IS_PAD 0 SITEPROP TIEOFF_X32Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y49 IS_RESERVED 0 SITEPROP TIEOFF_X32Y49 IS_TEST 0 SITEPROP TIEOFF_X32Y49 IS_USED 0 SITEPROP TIEOFF_X32Y49 MANUAL_ROUTING SITEPROP TIEOFF_X32Y49 NAME TIEOFF_X32Y49 SITEPROP TIEOFF_X32Y49 NUM_ARCS 0 SITEPROP TIEOFF_X32Y49 NUM_BELS 2 SITEPROP TIEOFF_X32Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y49 NUM_PINS 2 SITEPROP TIEOFF_X32Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y49 PROHIBIT 0 SITEPROP TIEOFF_X32Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y49 RPM_X 128 SITEPROP TIEOFF_X32Y49 RPM_Y 98 SITEPROP TIEOFF_X32Y49 SITE_PIPS SITEPROP TIEOFF_X32Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y50 CLASS site SITEPROP TIEOFF_X32Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y50 IS_BONDED 0 SITEPROP TIEOFF_X32Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y50 IS_PAD 0 SITEPROP TIEOFF_X32Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y50 IS_RESERVED 0 SITEPROP TIEOFF_X32Y50 IS_TEST 0 SITEPROP TIEOFF_X32Y50 IS_USED 0 SITEPROP TIEOFF_X32Y50 MANUAL_ROUTING SITEPROP TIEOFF_X32Y50 NAME TIEOFF_X32Y50 SITEPROP TIEOFF_X32Y50 NUM_ARCS 0 SITEPROP TIEOFF_X32Y50 NUM_BELS 2 SITEPROP TIEOFF_X32Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y50 NUM_PINS 2 SITEPROP TIEOFF_X32Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y50 PROHIBIT 0 SITEPROP TIEOFF_X32Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y50 RPM_X 128 SITEPROP TIEOFF_X32Y50 RPM_Y 100 SITEPROP TIEOFF_X32Y50 SITE_PIPS SITEPROP TIEOFF_X32Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y51 CLASS site SITEPROP TIEOFF_X32Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y51 IS_BONDED 0 SITEPROP TIEOFF_X32Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y51 IS_PAD 0 SITEPROP TIEOFF_X32Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y51 IS_RESERVED 0 SITEPROP TIEOFF_X32Y51 IS_TEST 0 SITEPROP TIEOFF_X32Y51 IS_USED 0 SITEPROP TIEOFF_X32Y51 MANUAL_ROUTING SITEPROP TIEOFF_X32Y51 NAME TIEOFF_X32Y51 SITEPROP TIEOFF_X32Y51 NUM_ARCS 0 SITEPROP TIEOFF_X32Y51 NUM_BELS 2 SITEPROP TIEOFF_X32Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y51 NUM_PINS 2 SITEPROP TIEOFF_X32Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y51 PROHIBIT 0 SITEPROP TIEOFF_X32Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y51 RPM_X 128 SITEPROP TIEOFF_X32Y51 RPM_Y 102 SITEPROP TIEOFF_X32Y51 SITE_PIPS SITEPROP TIEOFF_X32Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y52 CLASS site SITEPROP TIEOFF_X32Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y52 IS_BONDED 0 SITEPROP TIEOFF_X32Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y52 IS_PAD 0 SITEPROP TIEOFF_X32Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y52 IS_RESERVED 0 SITEPROP TIEOFF_X32Y52 IS_TEST 0 SITEPROP TIEOFF_X32Y52 IS_USED 0 SITEPROP TIEOFF_X32Y52 MANUAL_ROUTING SITEPROP TIEOFF_X32Y52 NAME TIEOFF_X32Y52 SITEPROP TIEOFF_X32Y52 NUM_ARCS 0 SITEPROP TIEOFF_X32Y52 NUM_BELS 2 SITEPROP TIEOFF_X32Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y52 NUM_PINS 2 SITEPROP TIEOFF_X32Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y52 PROHIBIT 0 SITEPROP TIEOFF_X32Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y52 RPM_X 128 SITEPROP TIEOFF_X32Y52 RPM_Y 104 SITEPROP TIEOFF_X32Y52 SITE_PIPS SITEPROP TIEOFF_X32Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y53 CLASS site SITEPROP TIEOFF_X32Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y53 IS_BONDED 0 SITEPROP TIEOFF_X32Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y53 IS_PAD 0 SITEPROP TIEOFF_X32Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y53 IS_RESERVED 0 SITEPROP TIEOFF_X32Y53 IS_TEST 0 SITEPROP TIEOFF_X32Y53 IS_USED 0 SITEPROP TIEOFF_X32Y53 MANUAL_ROUTING SITEPROP TIEOFF_X32Y53 NAME TIEOFF_X32Y53 SITEPROP TIEOFF_X32Y53 NUM_ARCS 0 SITEPROP TIEOFF_X32Y53 NUM_BELS 2 SITEPROP TIEOFF_X32Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y53 NUM_PINS 2 SITEPROP TIEOFF_X32Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y53 PROHIBIT 0 SITEPROP TIEOFF_X32Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y53 RPM_X 128 SITEPROP TIEOFF_X32Y53 RPM_Y 106 SITEPROP TIEOFF_X32Y53 SITE_PIPS SITEPROP TIEOFF_X32Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y54 CLASS site SITEPROP TIEOFF_X32Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y54 IS_BONDED 0 SITEPROP TIEOFF_X32Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y54 IS_PAD 0 SITEPROP TIEOFF_X32Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y54 IS_RESERVED 0 SITEPROP TIEOFF_X32Y54 IS_TEST 0 SITEPROP TIEOFF_X32Y54 IS_USED 0 SITEPROP TIEOFF_X32Y54 MANUAL_ROUTING SITEPROP TIEOFF_X32Y54 NAME TIEOFF_X32Y54 SITEPROP TIEOFF_X32Y54 NUM_ARCS 0 SITEPROP TIEOFF_X32Y54 NUM_BELS 2 SITEPROP TIEOFF_X32Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y54 NUM_PINS 2 SITEPROP TIEOFF_X32Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y54 PROHIBIT 0 SITEPROP TIEOFF_X32Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y54 RPM_X 128 SITEPROP TIEOFF_X32Y54 RPM_Y 108 SITEPROP TIEOFF_X32Y54 SITE_PIPS SITEPROP TIEOFF_X32Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y55 CLASS site SITEPROP TIEOFF_X32Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y55 IS_BONDED 0 SITEPROP TIEOFF_X32Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y55 IS_PAD 0 SITEPROP TIEOFF_X32Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y55 IS_RESERVED 0 SITEPROP TIEOFF_X32Y55 IS_TEST 0 SITEPROP TIEOFF_X32Y55 IS_USED 0 SITEPROP TIEOFF_X32Y55 MANUAL_ROUTING SITEPROP TIEOFF_X32Y55 NAME TIEOFF_X32Y55 SITEPROP TIEOFF_X32Y55 NUM_ARCS 0 SITEPROP TIEOFF_X32Y55 NUM_BELS 2 SITEPROP TIEOFF_X32Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y55 NUM_PINS 2 SITEPROP TIEOFF_X32Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y55 PROHIBIT 0 SITEPROP TIEOFF_X32Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y55 RPM_X 128 SITEPROP TIEOFF_X32Y55 RPM_Y 110 SITEPROP TIEOFF_X32Y55 SITE_PIPS SITEPROP TIEOFF_X32Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y56 CLASS site SITEPROP TIEOFF_X32Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y56 IS_BONDED 0 SITEPROP TIEOFF_X32Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y56 IS_PAD 0 SITEPROP TIEOFF_X32Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y56 IS_RESERVED 0 SITEPROP TIEOFF_X32Y56 IS_TEST 0 SITEPROP TIEOFF_X32Y56 IS_USED 0 SITEPROP TIEOFF_X32Y56 MANUAL_ROUTING SITEPROP TIEOFF_X32Y56 NAME TIEOFF_X32Y56 SITEPROP TIEOFF_X32Y56 NUM_ARCS 0 SITEPROP TIEOFF_X32Y56 NUM_BELS 2 SITEPROP TIEOFF_X32Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y56 NUM_PINS 2 SITEPROP TIEOFF_X32Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y56 PROHIBIT 0 SITEPROP TIEOFF_X32Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y56 RPM_X 128 SITEPROP TIEOFF_X32Y56 RPM_Y 112 SITEPROP TIEOFF_X32Y56 SITE_PIPS SITEPROP TIEOFF_X32Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y57 CLASS site SITEPROP TIEOFF_X32Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y57 IS_BONDED 0 SITEPROP TIEOFF_X32Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y57 IS_PAD 0 SITEPROP TIEOFF_X32Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y57 IS_RESERVED 0 SITEPROP TIEOFF_X32Y57 IS_TEST 0 SITEPROP TIEOFF_X32Y57 IS_USED 0 SITEPROP TIEOFF_X32Y57 MANUAL_ROUTING SITEPROP TIEOFF_X32Y57 NAME TIEOFF_X32Y57 SITEPROP TIEOFF_X32Y57 NUM_ARCS 0 SITEPROP TIEOFF_X32Y57 NUM_BELS 2 SITEPROP TIEOFF_X32Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y57 NUM_PINS 2 SITEPROP TIEOFF_X32Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y57 PROHIBIT 0 SITEPROP TIEOFF_X32Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y57 RPM_X 128 SITEPROP TIEOFF_X32Y57 RPM_Y 114 SITEPROP TIEOFF_X32Y57 SITE_PIPS SITEPROP TIEOFF_X32Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y58 CLASS site SITEPROP TIEOFF_X32Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y58 IS_BONDED 0 SITEPROP TIEOFF_X32Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y58 IS_PAD 0 SITEPROP TIEOFF_X32Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y58 IS_RESERVED 0 SITEPROP TIEOFF_X32Y58 IS_TEST 0 SITEPROP TIEOFF_X32Y58 IS_USED 0 SITEPROP TIEOFF_X32Y58 MANUAL_ROUTING SITEPROP TIEOFF_X32Y58 NAME TIEOFF_X32Y58 SITEPROP TIEOFF_X32Y58 NUM_ARCS 0 SITEPROP TIEOFF_X32Y58 NUM_BELS 2 SITEPROP TIEOFF_X32Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y58 NUM_PINS 2 SITEPROP TIEOFF_X32Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y58 PROHIBIT 0 SITEPROP TIEOFF_X32Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y58 RPM_X 128 SITEPROP TIEOFF_X32Y58 RPM_Y 116 SITEPROP TIEOFF_X32Y58 SITE_PIPS SITEPROP TIEOFF_X32Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y59 CLASS site SITEPROP TIEOFF_X32Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y59 IS_BONDED 0 SITEPROP TIEOFF_X32Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y59 IS_PAD 0 SITEPROP TIEOFF_X32Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y59 IS_RESERVED 0 SITEPROP TIEOFF_X32Y59 IS_TEST 0 SITEPROP TIEOFF_X32Y59 IS_USED 0 SITEPROP TIEOFF_X32Y59 MANUAL_ROUTING SITEPROP TIEOFF_X32Y59 NAME TIEOFF_X32Y59 SITEPROP TIEOFF_X32Y59 NUM_ARCS 0 SITEPROP TIEOFF_X32Y59 NUM_BELS 2 SITEPROP TIEOFF_X32Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y59 NUM_PINS 2 SITEPROP TIEOFF_X32Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y59 PROHIBIT 0 SITEPROP TIEOFF_X32Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y59 RPM_X 128 SITEPROP TIEOFF_X32Y59 RPM_Y 118 SITEPROP TIEOFF_X32Y59 SITE_PIPS SITEPROP TIEOFF_X32Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y60 CLASS site SITEPROP TIEOFF_X32Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y60 IS_BONDED 0 SITEPROP TIEOFF_X32Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y60 IS_PAD 0 SITEPROP TIEOFF_X32Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y60 IS_RESERVED 0 SITEPROP TIEOFF_X32Y60 IS_TEST 0 SITEPROP TIEOFF_X32Y60 IS_USED 0 SITEPROP TIEOFF_X32Y60 MANUAL_ROUTING SITEPROP TIEOFF_X32Y60 NAME TIEOFF_X32Y60 SITEPROP TIEOFF_X32Y60 NUM_ARCS 0 SITEPROP TIEOFF_X32Y60 NUM_BELS 2 SITEPROP TIEOFF_X32Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y60 NUM_PINS 2 SITEPROP TIEOFF_X32Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y60 PROHIBIT 0 SITEPROP TIEOFF_X32Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y60 RPM_X 128 SITEPROP TIEOFF_X32Y60 RPM_Y 120 SITEPROP TIEOFF_X32Y60 SITE_PIPS SITEPROP TIEOFF_X32Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y61 CLASS site SITEPROP TIEOFF_X32Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y61 IS_BONDED 0 SITEPROP TIEOFF_X32Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y61 IS_PAD 0 SITEPROP TIEOFF_X32Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y61 IS_RESERVED 0 SITEPROP TIEOFF_X32Y61 IS_TEST 0 SITEPROP TIEOFF_X32Y61 IS_USED 0 SITEPROP TIEOFF_X32Y61 MANUAL_ROUTING SITEPROP TIEOFF_X32Y61 NAME TIEOFF_X32Y61 SITEPROP TIEOFF_X32Y61 NUM_ARCS 0 SITEPROP TIEOFF_X32Y61 NUM_BELS 2 SITEPROP TIEOFF_X32Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y61 NUM_PINS 2 SITEPROP TIEOFF_X32Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y61 PROHIBIT 0 SITEPROP TIEOFF_X32Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y61 RPM_X 128 SITEPROP TIEOFF_X32Y61 RPM_Y 122 SITEPROP TIEOFF_X32Y61 SITE_PIPS SITEPROP TIEOFF_X32Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y62 CLASS site SITEPROP TIEOFF_X32Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y62 IS_BONDED 0 SITEPROP TIEOFF_X32Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y62 IS_PAD 0 SITEPROP TIEOFF_X32Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y62 IS_RESERVED 0 SITEPROP TIEOFF_X32Y62 IS_TEST 0 SITEPROP TIEOFF_X32Y62 IS_USED 0 SITEPROP TIEOFF_X32Y62 MANUAL_ROUTING SITEPROP TIEOFF_X32Y62 NAME TIEOFF_X32Y62 SITEPROP TIEOFF_X32Y62 NUM_ARCS 0 SITEPROP TIEOFF_X32Y62 NUM_BELS 2 SITEPROP TIEOFF_X32Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y62 NUM_PINS 2 SITEPROP TIEOFF_X32Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y62 PROHIBIT 0 SITEPROP TIEOFF_X32Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y62 RPM_X 128 SITEPROP TIEOFF_X32Y62 RPM_Y 124 SITEPROP TIEOFF_X32Y62 SITE_PIPS SITEPROP TIEOFF_X32Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y63 CLASS site SITEPROP TIEOFF_X32Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y63 IS_BONDED 0 SITEPROP TIEOFF_X32Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y63 IS_PAD 0 SITEPROP TIEOFF_X32Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y63 IS_RESERVED 0 SITEPROP TIEOFF_X32Y63 IS_TEST 0 SITEPROP TIEOFF_X32Y63 IS_USED 0 SITEPROP TIEOFF_X32Y63 MANUAL_ROUTING SITEPROP TIEOFF_X32Y63 NAME TIEOFF_X32Y63 SITEPROP TIEOFF_X32Y63 NUM_ARCS 0 SITEPROP TIEOFF_X32Y63 NUM_BELS 2 SITEPROP TIEOFF_X32Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y63 NUM_PINS 2 SITEPROP TIEOFF_X32Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y63 PROHIBIT 0 SITEPROP TIEOFF_X32Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y63 RPM_X 128 SITEPROP TIEOFF_X32Y63 RPM_Y 126 SITEPROP TIEOFF_X32Y63 SITE_PIPS SITEPROP TIEOFF_X32Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y64 CLASS site SITEPROP TIEOFF_X32Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y64 IS_BONDED 0 SITEPROP TIEOFF_X32Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y64 IS_PAD 0 SITEPROP TIEOFF_X32Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y64 IS_RESERVED 0 SITEPROP TIEOFF_X32Y64 IS_TEST 0 SITEPROP TIEOFF_X32Y64 IS_USED 0 SITEPROP TIEOFF_X32Y64 MANUAL_ROUTING SITEPROP TIEOFF_X32Y64 NAME TIEOFF_X32Y64 SITEPROP TIEOFF_X32Y64 NUM_ARCS 0 SITEPROP TIEOFF_X32Y64 NUM_BELS 2 SITEPROP TIEOFF_X32Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y64 NUM_PINS 2 SITEPROP TIEOFF_X32Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y64 PROHIBIT 0 SITEPROP TIEOFF_X32Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y64 RPM_X 128 SITEPROP TIEOFF_X32Y64 RPM_Y 128 SITEPROP TIEOFF_X32Y64 SITE_PIPS SITEPROP TIEOFF_X32Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y65 CLASS site SITEPROP TIEOFF_X32Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y65 IS_BONDED 0 SITEPROP TIEOFF_X32Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y65 IS_PAD 0 SITEPROP TIEOFF_X32Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y65 IS_RESERVED 0 SITEPROP TIEOFF_X32Y65 IS_TEST 0 SITEPROP TIEOFF_X32Y65 IS_USED 0 SITEPROP TIEOFF_X32Y65 MANUAL_ROUTING SITEPROP TIEOFF_X32Y65 NAME TIEOFF_X32Y65 SITEPROP TIEOFF_X32Y65 NUM_ARCS 0 SITEPROP TIEOFF_X32Y65 NUM_BELS 2 SITEPROP TIEOFF_X32Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y65 NUM_PINS 2 SITEPROP TIEOFF_X32Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y65 PROHIBIT 0 SITEPROP TIEOFF_X32Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y65 RPM_X 128 SITEPROP TIEOFF_X32Y65 RPM_Y 130 SITEPROP TIEOFF_X32Y65 SITE_PIPS SITEPROP TIEOFF_X32Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y66 CLASS site SITEPROP TIEOFF_X32Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y66 IS_BONDED 0 SITEPROP TIEOFF_X32Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y66 IS_PAD 0 SITEPROP TIEOFF_X32Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y66 IS_RESERVED 0 SITEPROP TIEOFF_X32Y66 IS_TEST 0 SITEPROP TIEOFF_X32Y66 IS_USED 0 SITEPROP TIEOFF_X32Y66 MANUAL_ROUTING SITEPROP TIEOFF_X32Y66 NAME TIEOFF_X32Y66 SITEPROP TIEOFF_X32Y66 NUM_ARCS 0 SITEPROP TIEOFF_X32Y66 NUM_BELS 2 SITEPROP TIEOFF_X32Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y66 NUM_PINS 2 SITEPROP TIEOFF_X32Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y66 PROHIBIT 0 SITEPROP TIEOFF_X32Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y66 RPM_X 128 SITEPROP TIEOFF_X32Y66 RPM_Y 132 SITEPROP TIEOFF_X32Y66 SITE_PIPS SITEPROP TIEOFF_X32Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y67 CLASS site SITEPROP TIEOFF_X32Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y67 IS_BONDED 0 SITEPROP TIEOFF_X32Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y67 IS_PAD 0 SITEPROP TIEOFF_X32Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y67 IS_RESERVED 0 SITEPROP TIEOFF_X32Y67 IS_TEST 0 SITEPROP TIEOFF_X32Y67 IS_USED 0 SITEPROP TIEOFF_X32Y67 MANUAL_ROUTING SITEPROP TIEOFF_X32Y67 NAME TIEOFF_X32Y67 SITEPROP TIEOFF_X32Y67 NUM_ARCS 0 SITEPROP TIEOFF_X32Y67 NUM_BELS 2 SITEPROP TIEOFF_X32Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y67 NUM_PINS 2 SITEPROP TIEOFF_X32Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y67 PROHIBIT 0 SITEPROP TIEOFF_X32Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y67 RPM_X 128 SITEPROP TIEOFF_X32Y67 RPM_Y 134 SITEPROP TIEOFF_X32Y67 SITE_PIPS SITEPROP TIEOFF_X32Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y68 CLASS site SITEPROP TIEOFF_X32Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y68 IS_BONDED 0 SITEPROP TIEOFF_X32Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y68 IS_PAD 0 SITEPROP TIEOFF_X32Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y68 IS_RESERVED 0 SITEPROP TIEOFF_X32Y68 IS_TEST 0 SITEPROP TIEOFF_X32Y68 IS_USED 0 SITEPROP TIEOFF_X32Y68 MANUAL_ROUTING SITEPROP TIEOFF_X32Y68 NAME TIEOFF_X32Y68 SITEPROP TIEOFF_X32Y68 NUM_ARCS 0 SITEPROP TIEOFF_X32Y68 NUM_BELS 2 SITEPROP TIEOFF_X32Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y68 NUM_PINS 2 SITEPROP TIEOFF_X32Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y68 PROHIBIT 0 SITEPROP TIEOFF_X32Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y68 RPM_X 128 SITEPROP TIEOFF_X32Y68 RPM_Y 136 SITEPROP TIEOFF_X32Y68 SITE_PIPS SITEPROP TIEOFF_X32Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y69 CLASS site SITEPROP TIEOFF_X32Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y69 IS_BONDED 0 SITEPROP TIEOFF_X32Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y69 IS_PAD 0 SITEPROP TIEOFF_X32Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y69 IS_RESERVED 0 SITEPROP TIEOFF_X32Y69 IS_TEST 0 SITEPROP TIEOFF_X32Y69 IS_USED 0 SITEPROP TIEOFF_X32Y69 MANUAL_ROUTING SITEPROP TIEOFF_X32Y69 NAME TIEOFF_X32Y69 SITEPROP TIEOFF_X32Y69 NUM_ARCS 0 SITEPROP TIEOFF_X32Y69 NUM_BELS 2 SITEPROP TIEOFF_X32Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y69 NUM_PINS 2 SITEPROP TIEOFF_X32Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y69 PROHIBIT 0 SITEPROP TIEOFF_X32Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y69 RPM_X 128 SITEPROP TIEOFF_X32Y69 RPM_Y 138 SITEPROP TIEOFF_X32Y69 SITE_PIPS SITEPROP TIEOFF_X32Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y70 CLASS site SITEPROP TIEOFF_X32Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y70 IS_BONDED 0 SITEPROP TIEOFF_X32Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y70 IS_PAD 0 SITEPROP TIEOFF_X32Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y70 IS_RESERVED 0 SITEPROP TIEOFF_X32Y70 IS_TEST 0 SITEPROP TIEOFF_X32Y70 IS_USED 0 SITEPROP TIEOFF_X32Y70 MANUAL_ROUTING SITEPROP TIEOFF_X32Y70 NAME TIEOFF_X32Y70 SITEPROP TIEOFF_X32Y70 NUM_ARCS 0 SITEPROP TIEOFF_X32Y70 NUM_BELS 2 SITEPROP TIEOFF_X32Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y70 NUM_PINS 2 SITEPROP TIEOFF_X32Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y70 PROHIBIT 0 SITEPROP TIEOFF_X32Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y70 RPM_X 128 SITEPROP TIEOFF_X32Y70 RPM_Y 140 SITEPROP TIEOFF_X32Y70 SITE_PIPS SITEPROP TIEOFF_X32Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y71 CLASS site SITEPROP TIEOFF_X32Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y71 IS_BONDED 0 SITEPROP TIEOFF_X32Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y71 IS_PAD 0 SITEPROP TIEOFF_X32Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y71 IS_RESERVED 0 SITEPROP TIEOFF_X32Y71 IS_TEST 0 SITEPROP TIEOFF_X32Y71 IS_USED 0 SITEPROP TIEOFF_X32Y71 MANUAL_ROUTING SITEPROP TIEOFF_X32Y71 NAME TIEOFF_X32Y71 SITEPROP TIEOFF_X32Y71 NUM_ARCS 0 SITEPROP TIEOFF_X32Y71 NUM_BELS 2 SITEPROP TIEOFF_X32Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y71 NUM_PINS 2 SITEPROP TIEOFF_X32Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y71 PROHIBIT 0 SITEPROP TIEOFF_X32Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y71 RPM_X 128 SITEPROP TIEOFF_X32Y71 RPM_Y 142 SITEPROP TIEOFF_X32Y71 SITE_PIPS SITEPROP TIEOFF_X32Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y72 CLASS site SITEPROP TIEOFF_X32Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y72 IS_BONDED 0 SITEPROP TIEOFF_X32Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y72 IS_PAD 0 SITEPROP TIEOFF_X32Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y72 IS_RESERVED 0 SITEPROP TIEOFF_X32Y72 IS_TEST 0 SITEPROP TIEOFF_X32Y72 IS_USED 0 SITEPROP TIEOFF_X32Y72 MANUAL_ROUTING SITEPROP TIEOFF_X32Y72 NAME TIEOFF_X32Y72 SITEPROP TIEOFF_X32Y72 NUM_ARCS 0 SITEPROP TIEOFF_X32Y72 NUM_BELS 2 SITEPROP TIEOFF_X32Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y72 NUM_PINS 2 SITEPROP TIEOFF_X32Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y72 PROHIBIT 0 SITEPROP TIEOFF_X32Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y72 RPM_X 128 SITEPROP TIEOFF_X32Y72 RPM_Y 144 SITEPROP TIEOFF_X32Y72 SITE_PIPS SITEPROP TIEOFF_X32Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y73 CLASS site SITEPROP TIEOFF_X32Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y73 IS_BONDED 0 SITEPROP TIEOFF_X32Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y73 IS_PAD 0 SITEPROP TIEOFF_X32Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y73 IS_RESERVED 0 SITEPROP TIEOFF_X32Y73 IS_TEST 0 SITEPROP TIEOFF_X32Y73 IS_USED 0 SITEPROP TIEOFF_X32Y73 MANUAL_ROUTING SITEPROP TIEOFF_X32Y73 NAME TIEOFF_X32Y73 SITEPROP TIEOFF_X32Y73 NUM_ARCS 0 SITEPROP TIEOFF_X32Y73 NUM_BELS 2 SITEPROP TIEOFF_X32Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y73 NUM_PINS 2 SITEPROP TIEOFF_X32Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y73 PROHIBIT 0 SITEPROP TIEOFF_X32Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y73 RPM_X 128 SITEPROP TIEOFF_X32Y73 RPM_Y 146 SITEPROP TIEOFF_X32Y73 SITE_PIPS SITEPROP TIEOFF_X32Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y74 CLASS site SITEPROP TIEOFF_X32Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y74 IS_BONDED 0 SITEPROP TIEOFF_X32Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y74 IS_PAD 0 SITEPROP TIEOFF_X32Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y74 IS_RESERVED 0 SITEPROP TIEOFF_X32Y74 IS_TEST 0 SITEPROP TIEOFF_X32Y74 IS_USED 0 SITEPROP TIEOFF_X32Y74 MANUAL_ROUTING SITEPROP TIEOFF_X32Y74 NAME TIEOFF_X32Y74 SITEPROP TIEOFF_X32Y74 NUM_ARCS 0 SITEPROP TIEOFF_X32Y74 NUM_BELS 2 SITEPROP TIEOFF_X32Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y74 NUM_PINS 2 SITEPROP TIEOFF_X32Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y74 PROHIBIT 0 SITEPROP TIEOFF_X32Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y74 RPM_X 128 SITEPROP TIEOFF_X32Y74 RPM_Y 148 SITEPROP TIEOFF_X32Y74 SITE_PIPS SITEPROP TIEOFF_X32Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y75 CLASS site SITEPROP TIEOFF_X32Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y75 IS_BONDED 0 SITEPROP TIEOFF_X32Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y75 IS_PAD 0 SITEPROP TIEOFF_X32Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y75 IS_RESERVED 0 SITEPROP TIEOFF_X32Y75 IS_TEST 0 SITEPROP TIEOFF_X32Y75 IS_USED 0 SITEPROP TIEOFF_X32Y75 MANUAL_ROUTING SITEPROP TIEOFF_X32Y75 NAME TIEOFF_X32Y75 SITEPROP TIEOFF_X32Y75 NUM_ARCS 0 SITEPROP TIEOFF_X32Y75 NUM_BELS 2 SITEPROP TIEOFF_X32Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y75 NUM_PINS 2 SITEPROP TIEOFF_X32Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y75 PROHIBIT 0 SITEPROP TIEOFF_X32Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y75 RPM_X 128 SITEPROP TIEOFF_X32Y75 RPM_Y 150 SITEPROP TIEOFF_X32Y75 SITE_PIPS SITEPROP TIEOFF_X32Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y76 CLASS site SITEPROP TIEOFF_X32Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y76 IS_BONDED 0 SITEPROP TIEOFF_X32Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y76 IS_PAD 0 SITEPROP TIEOFF_X32Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y76 IS_RESERVED 0 SITEPROP TIEOFF_X32Y76 IS_TEST 0 SITEPROP TIEOFF_X32Y76 IS_USED 0 SITEPROP TIEOFF_X32Y76 MANUAL_ROUTING SITEPROP TIEOFF_X32Y76 NAME TIEOFF_X32Y76 SITEPROP TIEOFF_X32Y76 NUM_ARCS 0 SITEPROP TIEOFF_X32Y76 NUM_BELS 2 SITEPROP TIEOFF_X32Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y76 NUM_PINS 2 SITEPROP TIEOFF_X32Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y76 PROHIBIT 0 SITEPROP TIEOFF_X32Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y76 RPM_X 128 SITEPROP TIEOFF_X32Y76 RPM_Y 152 SITEPROP TIEOFF_X32Y76 SITE_PIPS SITEPROP TIEOFF_X32Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y77 CLASS site SITEPROP TIEOFF_X32Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y77 IS_BONDED 0 SITEPROP TIEOFF_X32Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y77 IS_PAD 0 SITEPROP TIEOFF_X32Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y77 IS_RESERVED 0 SITEPROP TIEOFF_X32Y77 IS_TEST 0 SITEPROP TIEOFF_X32Y77 IS_USED 0 SITEPROP TIEOFF_X32Y77 MANUAL_ROUTING SITEPROP TIEOFF_X32Y77 NAME TIEOFF_X32Y77 SITEPROP TIEOFF_X32Y77 NUM_ARCS 0 SITEPROP TIEOFF_X32Y77 NUM_BELS 2 SITEPROP TIEOFF_X32Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y77 NUM_PINS 2 SITEPROP TIEOFF_X32Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y77 PROHIBIT 0 SITEPROP TIEOFF_X32Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y77 RPM_X 128 SITEPROP TIEOFF_X32Y77 RPM_Y 154 SITEPROP TIEOFF_X32Y77 SITE_PIPS SITEPROP TIEOFF_X32Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y78 CLASS site SITEPROP TIEOFF_X32Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y78 IS_BONDED 0 SITEPROP TIEOFF_X32Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y78 IS_PAD 0 SITEPROP TIEOFF_X32Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y78 IS_RESERVED 0 SITEPROP TIEOFF_X32Y78 IS_TEST 0 SITEPROP TIEOFF_X32Y78 IS_USED 0 SITEPROP TIEOFF_X32Y78 MANUAL_ROUTING SITEPROP TIEOFF_X32Y78 NAME TIEOFF_X32Y78 SITEPROP TIEOFF_X32Y78 NUM_ARCS 0 SITEPROP TIEOFF_X32Y78 NUM_BELS 2 SITEPROP TIEOFF_X32Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y78 NUM_PINS 2 SITEPROP TIEOFF_X32Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y78 PROHIBIT 0 SITEPROP TIEOFF_X32Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y78 RPM_X 128 SITEPROP TIEOFF_X32Y78 RPM_Y 156 SITEPROP TIEOFF_X32Y78 SITE_PIPS SITEPROP TIEOFF_X32Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y79 CLASS site SITEPROP TIEOFF_X32Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y79 IS_BONDED 0 SITEPROP TIEOFF_X32Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y79 IS_PAD 0 SITEPROP TIEOFF_X32Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y79 IS_RESERVED 0 SITEPROP TIEOFF_X32Y79 IS_TEST 0 SITEPROP TIEOFF_X32Y79 IS_USED 0 SITEPROP TIEOFF_X32Y79 MANUAL_ROUTING SITEPROP TIEOFF_X32Y79 NAME TIEOFF_X32Y79 SITEPROP TIEOFF_X32Y79 NUM_ARCS 0 SITEPROP TIEOFF_X32Y79 NUM_BELS 2 SITEPROP TIEOFF_X32Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y79 NUM_PINS 2 SITEPROP TIEOFF_X32Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y79 PROHIBIT 0 SITEPROP TIEOFF_X32Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y79 RPM_X 128 SITEPROP TIEOFF_X32Y79 RPM_Y 158 SITEPROP TIEOFF_X32Y79 SITE_PIPS SITEPROP TIEOFF_X32Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y80 CLASS site SITEPROP TIEOFF_X32Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y80 IS_BONDED 0 SITEPROP TIEOFF_X32Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y80 IS_PAD 0 SITEPROP TIEOFF_X32Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y80 IS_RESERVED 0 SITEPROP TIEOFF_X32Y80 IS_TEST 0 SITEPROP TIEOFF_X32Y80 IS_USED 0 SITEPROP TIEOFF_X32Y80 MANUAL_ROUTING SITEPROP TIEOFF_X32Y80 NAME TIEOFF_X32Y80 SITEPROP TIEOFF_X32Y80 NUM_ARCS 0 SITEPROP TIEOFF_X32Y80 NUM_BELS 2 SITEPROP TIEOFF_X32Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y80 NUM_PINS 2 SITEPROP TIEOFF_X32Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y80 PROHIBIT 0 SITEPROP TIEOFF_X32Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y80 RPM_X 128 SITEPROP TIEOFF_X32Y80 RPM_Y 160 SITEPROP TIEOFF_X32Y80 SITE_PIPS SITEPROP TIEOFF_X32Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y81 CLASS site SITEPROP TIEOFF_X32Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y81 IS_BONDED 0 SITEPROP TIEOFF_X32Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y81 IS_PAD 0 SITEPROP TIEOFF_X32Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y81 IS_RESERVED 0 SITEPROP TIEOFF_X32Y81 IS_TEST 0 SITEPROP TIEOFF_X32Y81 IS_USED 0 SITEPROP TIEOFF_X32Y81 MANUAL_ROUTING SITEPROP TIEOFF_X32Y81 NAME TIEOFF_X32Y81 SITEPROP TIEOFF_X32Y81 NUM_ARCS 0 SITEPROP TIEOFF_X32Y81 NUM_BELS 2 SITEPROP TIEOFF_X32Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y81 NUM_PINS 2 SITEPROP TIEOFF_X32Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y81 PROHIBIT 0 SITEPROP TIEOFF_X32Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y81 RPM_X 128 SITEPROP TIEOFF_X32Y81 RPM_Y 162 SITEPROP TIEOFF_X32Y81 SITE_PIPS SITEPROP TIEOFF_X32Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y82 CLASS site SITEPROP TIEOFF_X32Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y82 IS_BONDED 0 SITEPROP TIEOFF_X32Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y82 IS_PAD 0 SITEPROP TIEOFF_X32Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y82 IS_RESERVED 0 SITEPROP TIEOFF_X32Y82 IS_TEST 0 SITEPROP TIEOFF_X32Y82 IS_USED 0 SITEPROP TIEOFF_X32Y82 MANUAL_ROUTING SITEPROP TIEOFF_X32Y82 NAME TIEOFF_X32Y82 SITEPROP TIEOFF_X32Y82 NUM_ARCS 0 SITEPROP TIEOFF_X32Y82 NUM_BELS 2 SITEPROP TIEOFF_X32Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y82 NUM_PINS 2 SITEPROP TIEOFF_X32Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y82 PROHIBIT 0 SITEPROP TIEOFF_X32Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y82 RPM_X 128 SITEPROP TIEOFF_X32Y82 RPM_Y 164 SITEPROP TIEOFF_X32Y82 SITE_PIPS SITEPROP TIEOFF_X32Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y83 CLASS site SITEPROP TIEOFF_X32Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y83 IS_BONDED 0 SITEPROP TIEOFF_X32Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y83 IS_PAD 0 SITEPROP TIEOFF_X32Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y83 IS_RESERVED 0 SITEPROP TIEOFF_X32Y83 IS_TEST 0 SITEPROP TIEOFF_X32Y83 IS_USED 0 SITEPROP TIEOFF_X32Y83 MANUAL_ROUTING SITEPROP TIEOFF_X32Y83 NAME TIEOFF_X32Y83 SITEPROP TIEOFF_X32Y83 NUM_ARCS 0 SITEPROP TIEOFF_X32Y83 NUM_BELS 2 SITEPROP TIEOFF_X32Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y83 NUM_PINS 2 SITEPROP TIEOFF_X32Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y83 PROHIBIT 0 SITEPROP TIEOFF_X32Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y83 RPM_X 128 SITEPROP TIEOFF_X32Y83 RPM_Y 166 SITEPROP TIEOFF_X32Y83 SITE_PIPS SITEPROP TIEOFF_X32Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y84 CLASS site SITEPROP TIEOFF_X32Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y84 IS_BONDED 0 SITEPROP TIEOFF_X32Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y84 IS_PAD 0 SITEPROP TIEOFF_X32Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y84 IS_RESERVED 0 SITEPROP TIEOFF_X32Y84 IS_TEST 0 SITEPROP TIEOFF_X32Y84 IS_USED 0 SITEPROP TIEOFF_X32Y84 MANUAL_ROUTING SITEPROP TIEOFF_X32Y84 NAME TIEOFF_X32Y84 SITEPROP TIEOFF_X32Y84 NUM_ARCS 0 SITEPROP TIEOFF_X32Y84 NUM_BELS 2 SITEPROP TIEOFF_X32Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y84 NUM_PINS 2 SITEPROP TIEOFF_X32Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y84 PROHIBIT 0 SITEPROP TIEOFF_X32Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y84 RPM_X 128 SITEPROP TIEOFF_X32Y84 RPM_Y 168 SITEPROP TIEOFF_X32Y84 SITE_PIPS SITEPROP TIEOFF_X32Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y85 CLASS site SITEPROP TIEOFF_X32Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y85 IS_BONDED 0 SITEPROP TIEOFF_X32Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y85 IS_PAD 0 SITEPROP TIEOFF_X32Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y85 IS_RESERVED 0 SITEPROP TIEOFF_X32Y85 IS_TEST 0 SITEPROP TIEOFF_X32Y85 IS_USED 0 SITEPROP TIEOFF_X32Y85 MANUAL_ROUTING SITEPROP TIEOFF_X32Y85 NAME TIEOFF_X32Y85 SITEPROP TIEOFF_X32Y85 NUM_ARCS 0 SITEPROP TIEOFF_X32Y85 NUM_BELS 2 SITEPROP TIEOFF_X32Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y85 NUM_PINS 2 SITEPROP TIEOFF_X32Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y85 PROHIBIT 0 SITEPROP TIEOFF_X32Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y85 RPM_X 128 SITEPROP TIEOFF_X32Y85 RPM_Y 170 SITEPROP TIEOFF_X32Y85 SITE_PIPS SITEPROP TIEOFF_X32Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y86 CLASS site SITEPROP TIEOFF_X32Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y86 IS_BONDED 0 SITEPROP TIEOFF_X32Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y86 IS_PAD 0 SITEPROP TIEOFF_X32Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y86 IS_RESERVED 0 SITEPROP TIEOFF_X32Y86 IS_TEST 0 SITEPROP TIEOFF_X32Y86 IS_USED 0 SITEPROP TIEOFF_X32Y86 MANUAL_ROUTING SITEPROP TIEOFF_X32Y86 NAME TIEOFF_X32Y86 SITEPROP TIEOFF_X32Y86 NUM_ARCS 0 SITEPROP TIEOFF_X32Y86 NUM_BELS 2 SITEPROP TIEOFF_X32Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y86 NUM_PINS 2 SITEPROP TIEOFF_X32Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y86 PROHIBIT 0 SITEPROP TIEOFF_X32Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y86 RPM_X 128 SITEPROP TIEOFF_X32Y86 RPM_Y 172 SITEPROP TIEOFF_X32Y86 SITE_PIPS SITEPROP TIEOFF_X32Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y87 CLASS site SITEPROP TIEOFF_X32Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y87 IS_BONDED 0 SITEPROP TIEOFF_X32Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y87 IS_PAD 0 SITEPROP TIEOFF_X32Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y87 IS_RESERVED 0 SITEPROP TIEOFF_X32Y87 IS_TEST 0 SITEPROP TIEOFF_X32Y87 IS_USED 0 SITEPROP TIEOFF_X32Y87 MANUAL_ROUTING SITEPROP TIEOFF_X32Y87 NAME TIEOFF_X32Y87 SITEPROP TIEOFF_X32Y87 NUM_ARCS 0 SITEPROP TIEOFF_X32Y87 NUM_BELS 2 SITEPROP TIEOFF_X32Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y87 NUM_PINS 2 SITEPROP TIEOFF_X32Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y87 PROHIBIT 0 SITEPROP TIEOFF_X32Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y87 RPM_X 128 SITEPROP TIEOFF_X32Y87 RPM_Y 174 SITEPROP TIEOFF_X32Y87 SITE_PIPS SITEPROP TIEOFF_X32Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y88 CLASS site SITEPROP TIEOFF_X32Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y88 IS_BONDED 0 SITEPROP TIEOFF_X32Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y88 IS_PAD 0 SITEPROP TIEOFF_X32Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y88 IS_RESERVED 0 SITEPROP TIEOFF_X32Y88 IS_TEST 0 SITEPROP TIEOFF_X32Y88 IS_USED 0 SITEPROP TIEOFF_X32Y88 MANUAL_ROUTING SITEPROP TIEOFF_X32Y88 NAME TIEOFF_X32Y88 SITEPROP TIEOFF_X32Y88 NUM_ARCS 0 SITEPROP TIEOFF_X32Y88 NUM_BELS 2 SITEPROP TIEOFF_X32Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y88 NUM_PINS 2 SITEPROP TIEOFF_X32Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y88 PROHIBIT 0 SITEPROP TIEOFF_X32Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y88 RPM_X 128 SITEPROP TIEOFF_X32Y88 RPM_Y 176 SITEPROP TIEOFF_X32Y88 SITE_PIPS SITEPROP TIEOFF_X32Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y89 CLASS site SITEPROP TIEOFF_X32Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y89 IS_BONDED 0 SITEPROP TIEOFF_X32Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y89 IS_PAD 0 SITEPROP TIEOFF_X32Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y89 IS_RESERVED 0 SITEPROP TIEOFF_X32Y89 IS_TEST 0 SITEPROP TIEOFF_X32Y89 IS_USED 0 SITEPROP TIEOFF_X32Y89 MANUAL_ROUTING SITEPROP TIEOFF_X32Y89 NAME TIEOFF_X32Y89 SITEPROP TIEOFF_X32Y89 NUM_ARCS 0 SITEPROP TIEOFF_X32Y89 NUM_BELS 2 SITEPROP TIEOFF_X32Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y89 NUM_PINS 2 SITEPROP TIEOFF_X32Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y89 PROHIBIT 0 SITEPROP TIEOFF_X32Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y89 RPM_X 128 SITEPROP TIEOFF_X32Y89 RPM_Y 178 SITEPROP TIEOFF_X32Y89 SITE_PIPS SITEPROP TIEOFF_X32Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y90 CLASS site SITEPROP TIEOFF_X32Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y90 IS_BONDED 0 SITEPROP TIEOFF_X32Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y90 IS_PAD 0 SITEPROP TIEOFF_X32Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y90 IS_RESERVED 0 SITEPROP TIEOFF_X32Y90 IS_TEST 0 SITEPROP TIEOFF_X32Y90 IS_USED 0 SITEPROP TIEOFF_X32Y90 MANUAL_ROUTING SITEPROP TIEOFF_X32Y90 NAME TIEOFF_X32Y90 SITEPROP TIEOFF_X32Y90 NUM_ARCS 0 SITEPROP TIEOFF_X32Y90 NUM_BELS 2 SITEPROP TIEOFF_X32Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y90 NUM_PINS 2 SITEPROP TIEOFF_X32Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y90 PROHIBIT 0 SITEPROP TIEOFF_X32Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y90 RPM_X 128 SITEPROP TIEOFF_X32Y90 RPM_Y 180 SITEPROP TIEOFF_X32Y90 SITE_PIPS SITEPROP TIEOFF_X32Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y91 CLASS site SITEPROP TIEOFF_X32Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y91 IS_BONDED 0 SITEPROP TIEOFF_X32Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y91 IS_PAD 0 SITEPROP TIEOFF_X32Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y91 IS_RESERVED 0 SITEPROP TIEOFF_X32Y91 IS_TEST 0 SITEPROP TIEOFF_X32Y91 IS_USED 0 SITEPROP TIEOFF_X32Y91 MANUAL_ROUTING SITEPROP TIEOFF_X32Y91 NAME TIEOFF_X32Y91 SITEPROP TIEOFF_X32Y91 NUM_ARCS 0 SITEPROP TIEOFF_X32Y91 NUM_BELS 2 SITEPROP TIEOFF_X32Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y91 NUM_PINS 2 SITEPROP TIEOFF_X32Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y91 PROHIBIT 0 SITEPROP TIEOFF_X32Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y91 RPM_X 128 SITEPROP TIEOFF_X32Y91 RPM_Y 182 SITEPROP TIEOFF_X32Y91 SITE_PIPS SITEPROP TIEOFF_X32Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y92 CLASS site SITEPROP TIEOFF_X32Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y92 IS_BONDED 0 SITEPROP TIEOFF_X32Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y92 IS_PAD 0 SITEPROP TIEOFF_X32Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y92 IS_RESERVED 0 SITEPROP TIEOFF_X32Y92 IS_TEST 0 SITEPROP TIEOFF_X32Y92 IS_USED 0 SITEPROP TIEOFF_X32Y92 MANUAL_ROUTING SITEPROP TIEOFF_X32Y92 NAME TIEOFF_X32Y92 SITEPROP TIEOFF_X32Y92 NUM_ARCS 0 SITEPROP TIEOFF_X32Y92 NUM_BELS 2 SITEPROP TIEOFF_X32Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y92 NUM_PINS 2 SITEPROP TIEOFF_X32Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y92 PROHIBIT 0 SITEPROP TIEOFF_X32Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y92 RPM_X 128 SITEPROP TIEOFF_X32Y92 RPM_Y 184 SITEPROP TIEOFF_X32Y92 SITE_PIPS SITEPROP TIEOFF_X32Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y93 CLASS site SITEPROP TIEOFF_X32Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y93 IS_BONDED 0 SITEPROP TIEOFF_X32Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y93 IS_PAD 0 SITEPROP TIEOFF_X32Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y93 IS_RESERVED 0 SITEPROP TIEOFF_X32Y93 IS_TEST 0 SITEPROP TIEOFF_X32Y93 IS_USED 0 SITEPROP TIEOFF_X32Y93 MANUAL_ROUTING SITEPROP TIEOFF_X32Y93 NAME TIEOFF_X32Y93 SITEPROP TIEOFF_X32Y93 NUM_ARCS 0 SITEPROP TIEOFF_X32Y93 NUM_BELS 2 SITEPROP TIEOFF_X32Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y93 NUM_PINS 2 SITEPROP TIEOFF_X32Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y93 PROHIBIT 0 SITEPROP TIEOFF_X32Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y93 RPM_X 128 SITEPROP TIEOFF_X32Y93 RPM_Y 186 SITEPROP TIEOFF_X32Y93 SITE_PIPS SITEPROP TIEOFF_X32Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y94 CLASS site SITEPROP TIEOFF_X32Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y94 IS_BONDED 0 SITEPROP TIEOFF_X32Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y94 IS_PAD 0 SITEPROP TIEOFF_X32Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y94 IS_RESERVED 0 SITEPROP TIEOFF_X32Y94 IS_TEST 0 SITEPROP TIEOFF_X32Y94 IS_USED 0 SITEPROP TIEOFF_X32Y94 MANUAL_ROUTING SITEPROP TIEOFF_X32Y94 NAME TIEOFF_X32Y94 SITEPROP TIEOFF_X32Y94 NUM_ARCS 0 SITEPROP TIEOFF_X32Y94 NUM_BELS 2 SITEPROP TIEOFF_X32Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y94 NUM_PINS 2 SITEPROP TIEOFF_X32Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y94 PROHIBIT 0 SITEPROP TIEOFF_X32Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y94 RPM_X 128 SITEPROP TIEOFF_X32Y94 RPM_Y 188 SITEPROP TIEOFF_X32Y94 SITE_PIPS SITEPROP TIEOFF_X32Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y95 CLASS site SITEPROP TIEOFF_X32Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y95 IS_BONDED 0 SITEPROP TIEOFF_X32Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y95 IS_PAD 0 SITEPROP TIEOFF_X32Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y95 IS_RESERVED 0 SITEPROP TIEOFF_X32Y95 IS_TEST 0 SITEPROP TIEOFF_X32Y95 IS_USED 0 SITEPROP TIEOFF_X32Y95 MANUAL_ROUTING SITEPROP TIEOFF_X32Y95 NAME TIEOFF_X32Y95 SITEPROP TIEOFF_X32Y95 NUM_ARCS 0 SITEPROP TIEOFF_X32Y95 NUM_BELS 2 SITEPROP TIEOFF_X32Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y95 NUM_PINS 2 SITEPROP TIEOFF_X32Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y95 PROHIBIT 0 SITEPROP TIEOFF_X32Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y95 RPM_X 128 SITEPROP TIEOFF_X32Y95 RPM_Y 190 SITEPROP TIEOFF_X32Y95 SITE_PIPS SITEPROP TIEOFF_X32Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y96 CLASS site SITEPROP TIEOFF_X32Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y96 IS_BONDED 0 SITEPROP TIEOFF_X32Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y96 IS_PAD 0 SITEPROP TIEOFF_X32Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y96 IS_RESERVED 0 SITEPROP TIEOFF_X32Y96 IS_TEST 0 SITEPROP TIEOFF_X32Y96 IS_USED 0 SITEPROP TIEOFF_X32Y96 MANUAL_ROUTING SITEPROP TIEOFF_X32Y96 NAME TIEOFF_X32Y96 SITEPROP TIEOFF_X32Y96 NUM_ARCS 0 SITEPROP TIEOFF_X32Y96 NUM_BELS 2 SITEPROP TIEOFF_X32Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y96 NUM_PINS 2 SITEPROP TIEOFF_X32Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y96 PROHIBIT 0 SITEPROP TIEOFF_X32Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y96 RPM_X 128 SITEPROP TIEOFF_X32Y96 RPM_Y 192 SITEPROP TIEOFF_X32Y96 SITE_PIPS SITEPROP TIEOFF_X32Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y97 CLASS site SITEPROP TIEOFF_X32Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y97 IS_BONDED 0 SITEPROP TIEOFF_X32Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y97 IS_PAD 0 SITEPROP TIEOFF_X32Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y97 IS_RESERVED 0 SITEPROP TIEOFF_X32Y97 IS_TEST 0 SITEPROP TIEOFF_X32Y97 IS_USED 0 SITEPROP TIEOFF_X32Y97 MANUAL_ROUTING SITEPROP TIEOFF_X32Y97 NAME TIEOFF_X32Y97 SITEPROP TIEOFF_X32Y97 NUM_ARCS 0 SITEPROP TIEOFF_X32Y97 NUM_BELS 2 SITEPROP TIEOFF_X32Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y97 NUM_PINS 2 SITEPROP TIEOFF_X32Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y97 PROHIBIT 0 SITEPROP TIEOFF_X32Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y97 RPM_X 128 SITEPROP TIEOFF_X32Y97 RPM_Y 194 SITEPROP TIEOFF_X32Y97 SITE_PIPS SITEPROP TIEOFF_X32Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y98 CLASS site SITEPROP TIEOFF_X32Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y98 IS_BONDED 0 SITEPROP TIEOFF_X32Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y98 IS_PAD 0 SITEPROP TIEOFF_X32Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y98 IS_RESERVED 0 SITEPROP TIEOFF_X32Y98 IS_TEST 0 SITEPROP TIEOFF_X32Y98 IS_USED 0 SITEPROP TIEOFF_X32Y98 MANUAL_ROUTING SITEPROP TIEOFF_X32Y98 NAME TIEOFF_X32Y98 SITEPROP TIEOFF_X32Y98 NUM_ARCS 0 SITEPROP TIEOFF_X32Y98 NUM_BELS 2 SITEPROP TIEOFF_X32Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y98 NUM_PINS 2 SITEPROP TIEOFF_X32Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y98 PROHIBIT 0 SITEPROP TIEOFF_X32Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y98 RPM_X 128 SITEPROP TIEOFF_X32Y98 RPM_Y 196 SITEPROP TIEOFF_X32Y98 SITE_PIPS SITEPROP TIEOFF_X32Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y99 CLASS site SITEPROP TIEOFF_X32Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X32Y99 IS_BONDED 0 SITEPROP TIEOFF_X32Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y99 IS_PAD 0 SITEPROP TIEOFF_X32Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y99 IS_RESERVED 0 SITEPROP TIEOFF_X32Y99 IS_TEST 0 SITEPROP TIEOFF_X32Y99 IS_USED 0 SITEPROP TIEOFF_X32Y99 MANUAL_ROUTING SITEPROP TIEOFF_X32Y99 NAME TIEOFF_X32Y99 SITEPROP TIEOFF_X32Y99 NUM_ARCS 0 SITEPROP TIEOFF_X32Y99 NUM_BELS 2 SITEPROP TIEOFF_X32Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y99 NUM_PINS 2 SITEPROP TIEOFF_X32Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y99 PROHIBIT 0 SITEPROP TIEOFF_X32Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y99 RPM_X 128 SITEPROP TIEOFF_X32Y99 RPM_Y 198 SITEPROP TIEOFF_X32Y99 SITE_PIPS SITEPROP TIEOFF_X32Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y100 CLASS site SITEPROP TIEOFF_X32Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y100 IS_BONDED 0 SITEPROP TIEOFF_X32Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y100 IS_PAD 0 SITEPROP TIEOFF_X32Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y100 IS_RESERVED 0 SITEPROP TIEOFF_X32Y100 IS_TEST 0 SITEPROP TIEOFF_X32Y100 IS_USED 0 SITEPROP TIEOFF_X32Y100 MANUAL_ROUTING SITEPROP TIEOFF_X32Y100 NAME TIEOFF_X32Y100 SITEPROP TIEOFF_X32Y100 NUM_ARCS 0 SITEPROP TIEOFF_X32Y100 NUM_BELS 2 SITEPROP TIEOFF_X32Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y100 NUM_PINS 2 SITEPROP TIEOFF_X32Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y100 PROHIBIT 0 SITEPROP TIEOFF_X32Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y100 RPM_X 128 SITEPROP TIEOFF_X32Y100 RPM_Y 200 SITEPROP TIEOFF_X32Y100 SITE_PIPS SITEPROP TIEOFF_X32Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y101 CLASS site SITEPROP TIEOFF_X32Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y101 IS_BONDED 0 SITEPROP TIEOFF_X32Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y101 IS_PAD 0 SITEPROP TIEOFF_X32Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y101 IS_RESERVED 0 SITEPROP TIEOFF_X32Y101 IS_TEST 0 SITEPROP TIEOFF_X32Y101 IS_USED 0 SITEPROP TIEOFF_X32Y101 MANUAL_ROUTING SITEPROP TIEOFF_X32Y101 NAME TIEOFF_X32Y101 SITEPROP TIEOFF_X32Y101 NUM_ARCS 0 SITEPROP TIEOFF_X32Y101 NUM_BELS 2 SITEPROP TIEOFF_X32Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y101 NUM_PINS 2 SITEPROP TIEOFF_X32Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y101 PROHIBIT 0 SITEPROP TIEOFF_X32Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y101 RPM_X 128 SITEPROP TIEOFF_X32Y101 RPM_Y 202 SITEPROP TIEOFF_X32Y101 SITE_PIPS SITEPROP TIEOFF_X32Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y102 CLASS site SITEPROP TIEOFF_X32Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y102 IS_BONDED 0 SITEPROP TIEOFF_X32Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y102 IS_PAD 0 SITEPROP TIEOFF_X32Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y102 IS_RESERVED 0 SITEPROP TIEOFF_X32Y102 IS_TEST 0 SITEPROP TIEOFF_X32Y102 IS_USED 0 SITEPROP TIEOFF_X32Y102 MANUAL_ROUTING SITEPROP TIEOFF_X32Y102 NAME TIEOFF_X32Y102 SITEPROP TIEOFF_X32Y102 NUM_ARCS 0 SITEPROP TIEOFF_X32Y102 NUM_BELS 2 SITEPROP TIEOFF_X32Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y102 NUM_PINS 2 SITEPROP TIEOFF_X32Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y102 PROHIBIT 0 SITEPROP TIEOFF_X32Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y102 RPM_X 128 SITEPROP TIEOFF_X32Y102 RPM_Y 204 SITEPROP TIEOFF_X32Y102 SITE_PIPS SITEPROP TIEOFF_X32Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y103 CLASS site SITEPROP TIEOFF_X32Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y103 IS_BONDED 0 SITEPROP TIEOFF_X32Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y103 IS_PAD 0 SITEPROP TIEOFF_X32Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y103 IS_RESERVED 0 SITEPROP TIEOFF_X32Y103 IS_TEST 0 SITEPROP TIEOFF_X32Y103 IS_USED 0 SITEPROP TIEOFF_X32Y103 MANUAL_ROUTING SITEPROP TIEOFF_X32Y103 NAME TIEOFF_X32Y103 SITEPROP TIEOFF_X32Y103 NUM_ARCS 0 SITEPROP TIEOFF_X32Y103 NUM_BELS 2 SITEPROP TIEOFF_X32Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y103 NUM_PINS 2 SITEPROP TIEOFF_X32Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y103 PROHIBIT 0 SITEPROP TIEOFF_X32Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y103 RPM_X 128 SITEPROP TIEOFF_X32Y103 RPM_Y 206 SITEPROP TIEOFF_X32Y103 SITE_PIPS SITEPROP TIEOFF_X32Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y104 CLASS site SITEPROP TIEOFF_X32Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y104 IS_BONDED 0 SITEPROP TIEOFF_X32Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y104 IS_PAD 0 SITEPROP TIEOFF_X32Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y104 IS_RESERVED 0 SITEPROP TIEOFF_X32Y104 IS_TEST 0 SITEPROP TIEOFF_X32Y104 IS_USED 0 SITEPROP TIEOFF_X32Y104 MANUAL_ROUTING SITEPROP TIEOFF_X32Y104 NAME TIEOFF_X32Y104 SITEPROP TIEOFF_X32Y104 NUM_ARCS 0 SITEPROP TIEOFF_X32Y104 NUM_BELS 2 SITEPROP TIEOFF_X32Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y104 NUM_PINS 2 SITEPROP TIEOFF_X32Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y104 PROHIBIT 0 SITEPROP TIEOFF_X32Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y104 RPM_X 128 SITEPROP TIEOFF_X32Y104 RPM_Y 208 SITEPROP TIEOFF_X32Y104 SITE_PIPS SITEPROP TIEOFF_X32Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y105 CLASS site SITEPROP TIEOFF_X32Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y105 IS_BONDED 0 SITEPROP TIEOFF_X32Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y105 IS_PAD 0 SITEPROP TIEOFF_X32Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y105 IS_RESERVED 0 SITEPROP TIEOFF_X32Y105 IS_TEST 0 SITEPROP TIEOFF_X32Y105 IS_USED 0 SITEPROP TIEOFF_X32Y105 MANUAL_ROUTING SITEPROP TIEOFF_X32Y105 NAME TIEOFF_X32Y105 SITEPROP TIEOFF_X32Y105 NUM_ARCS 0 SITEPROP TIEOFF_X32Y105 NUM_BELS 2 SITEPROP TIEOFF_X32Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y105 NUM_PINS 2 SITEPROP TIEOFF_X32Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y105 PROHIBIT 0 SITEPROP TIEOFF_X32Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y105 RPM_X 128 SITEPROP TIEOFF_X32Y105 RPM_Y 210 SITEPROP TIEOFF_X32Y105 SITE_PIPS SITEPROP TIEOFF_X32Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y106 CLASS site SITEPROP TIEOFF_X32Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y106 IS_BONDED 0 SITEPROP TIEOFF_X32Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y106 IS_PAD 0 SITEPROP TIEOFF_X32Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y106 IS_RESERVED 0 SITEPROP TIEOFF_X32Y106 IS_TEST 0 SITEPROP TIEOFF_X32Y106 IS_USED 0 SITEPROP TIEOFF_X32Y106 MANUAL_ROUTING SITEPROP TIEOFF_X32Y106 NAME TIEOFF_X32Y106 SITEPROP TIEOFF_X32Y106 NUM_ARCS 0 SITEPROP TIEOFF_X32Y106 NUM_BELS 2 SITEPROP TIEOFF_X32Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y106 NUM_PINS 2 SITEPROP TIEOFF_X32Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y106 PROHIBIT 0 SITEPROP TIEOFF_X32Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y106 RPM_X 128 SITEPROP TIEOFF_X32Y106 RPM_Y 212 SITEPROP TIEOFF_X32Y106 SITE_PIPS SITEPROP TIEOFF_X32Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y107 CLASS site SITEPROP TIEOFF_X32Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y107 IS_BONDED 0 SITEPROP TIEOFF_X32Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y107 IS_PAD 0 SITEPROP TIEOFF_X32Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y107 IS_RESERVED 0 SITEPROP TIEOFF_X32Y107 IS_TEST 0 SITEPROP TIEOFF_X32Y107 IS_USED 0 SITEPROP TIEOFF_X32Y107 MANUAL_ROUTING SITEPROP TIEOFF_X32Y107 NAME TIEOFF_X32Y107 SITEPROP TIEOFF_X32Y107 NUM_ARCS 0 SITEPROP TIEOFF_X32Y107 NUM_BELS 2 SITEPROP TIEOFF_X32Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y107 NUM_PINS 2 SITEPROP TIEOFF_X32Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y107 PROHIBIT 0 SITEPROP TIEOFF_X32Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y107 RPM_X 128 SITEPROP TIEOFF_X32Y107 RPM_Y 214 SITEPROP TIEOFF_X32Y107 SITE_PIPS SITEPROP TIEOFF_X32Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y108 CLASS site SITEPROP TIEOFF_X32Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y108 IS_BONDED 0 SITEPROP TIEOFF_X32Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y108 IS_PAD 0 SITEPROP TIEOFF_X32Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y108 IS_RESERVED 0 SITEPROP TIEOFF_X32Y108 IS_TEST 0 SITEPROP TIEOFF_X32Y108 IS_USED 0 SITEPROP TIEOFF_X32Y108 MANUAL_ROUTING SITEPROP TIEOFF_X32Y108 NAME TIEOFF_X32Y108 SITEPROP TIEOFF_X32Y108 NUM_ARCS 0 SITEPROP TIEOFF_X32Y108 NUM_BELS 2 SITEPROP TIEOFF_X32Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y108 NUM_PINS 2 SITEPROP TIEOFF_X32Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y108 PROHIBIT 0 SITEPROP TIEOFF_X32Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y108 RPM_X 128 SITEPROP TIEOFF_X32Y108 RPM_Y 216 SITEPROP TIEOFF_X32Y108 SITE_PIPS SITEPROP TIEOFF_X32Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y109 CLASS site SITEPROP TIEOFF_X32Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y109 IS_BONDED 0 SITEPROP TIEOFF_X32Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y109 IS_PAD 0 SITEPROP TIEOFF_X32Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y109 IS_RESERVED 0 SITEPROP TIEOFF_X32Y109 IS_TEST 0 SITEPROP TIEOFF_X32Y109 IS_USED 0 SITEPROP TIEOFF_X32Y109 MANUAL_ROUTING SITEPROP TIEOFF_X32Y109 NAME TIEOFF_X32Y109 SITEPROP TIEOFF_X32Y109 NUM_ARCS 0 SITEPROP TIEOFF_X32Y109 NUM_BELS 2 SITEPROP TIEOFF_X32Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y109 NUM_PINS 2 SITEPROP TIEOFF_X32Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y109 PROHIBIT 0 SITEPROP TIEOFF_X32Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y109 RPM_X 128 SITEPROP TIEOFF_X32Y109 RPM_Y 218 SITEPROP TIEOFF_X32Y109 SITE_PIPS SITEPROP TIEOFF_X32Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y110 CLASS site SITEPROP TIEOFF_X32Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y110 IS_BONDED 0 SITEPROP TIEOFF_X32Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y110 IS_PAD 0 SITEPROP TIEOFF_X32Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y110 IS_RESERVED 0 SITEPROP TIEOFF_X32Y110 IS_TEST 0 SITEPROP TIEOFF_X32Y110 IS_USED 0 SITEPROP TIEOFF_X32Y110 MANUAL_ROUTING SITEPROP TIEOFF_X32Y110 NAME TIEOFF_X32Y110 SITEPROP TIEOFF_X32Y110 NUM_ARCS 0 SITEPROP TIEOFF_X32Y110 NUM_BELS 2 SITEPROP TIEOFF_X32Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y110 NUM_PINS 2 SITEPROP TIEOFF_X32Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y110 PROHIBIT 0 SITEPROP TIEOFF_X32Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y110 RPM_X 128 SITEPROP TIEOFF_X32Y110 RPM_Y 220 SITEPROP TIEOFF_X32Y110 SITE_PIPS SITEPROP TIEOFF_X32Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y111 CLASS site SITEPROP TIEOFF_X32Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y111 IS_BONDED 0 SITEPROP TIEOFF_X32Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y111 IS_PAD 0 SITEPROP TIEOFF_X32Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y111 IS_RESERVED 0 SITEPROP TIEOFF_X32Y111 IS_TEST 0 SITEPROP TIEOFF_X32Y111 IS_USED 0 SITEPROP TIEOFF_X32Y111 MANUAL_ROUTING SITEPROP TIEOFF_X32Y111 NAME TIEOFF_X32Y111 SITEPROP TIEOFF_X32Y111 NUM_ARCS 0 SITEPROP TIEOFF_X32Y111 NUM_BELS 2 SITEPROP TIEOFF_X32Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y111 NUM_PINS 2 SITEPROP TIEOFF_X32Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y111 PROHIBIT 0 SITEPROP TIEOFF_X32Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y111 RPM_X 128 SITEPROP TIEOFF_X32Y111 RPM_Y 222 SITEPROP TIEOFF_X32Y111 SITE_PIPS SITEPROP TIEOFF_X32Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y112 CLASS site SITEPROP TIEOFF_X32Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y112 IS_BONDED 0 SITEPROP TIEOFF_X32Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y112 IS_PAD 0 SITEPROP TIEOFF_X32Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y112 IS_RESERVED 0 SITEPROP TIEOFF_X32Y112 IS_TEST 0 SITEPROP TIEOFF_X32Y112 IS_USED 0 SITEPROP TIEOFF_X32Y112 MANUAL_ROUTING SITEPROP TIEOFF_X32Y112 NAME TIEOFF_X32Y112 SITEPROP TIEOFF_X32Y112 NUM_ARCS 0 SITEPROP TIEOFF_X32Y112 NUM_BELS 2 SITEPROP TIEOFF_X32Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y112 NUM_PINS 2 SITEPROP TIEOFF_X32Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y112 PROHIBIT 0 SITEPROP TIEOFF_X32Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y112 RPM_X 128 SITEPROP TIEOFF_X32Y112 RPM_Y 224 SITEPROP TIEOFF_X32Y112 SITE_PIPS SITEPROP TIEOFF_X32Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y113 CLASS site SITEPROP TIEOFF_X32Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y113 IS_BONDED 0 SITEPROP TIEOFF_X32Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y113 IS_PAD 0 SITEPROP TIEOFF_X32Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y113 IS_RESERVED 0 SITEPROP TIEOFF_X32Y113 IS_TEST 0 SITEPROP TIEOFF_X32Y113 IS_USED 0 SITEPROP TIEOFF_X32Y113 MANUAL_ROUTING SITEPROP TIEOFF_X32Y113 NAME TIEOFF_X32Y113 SITEPROP TIEOFF_X32Y113 NUM_ARCS 0 SITEPROP TIEOFF_X32Y113 NUM_BELS 2 SITEPROP TIEOFF_X32Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y113 NUM_PINS 2 SITEPROP TIEOFF_X32Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y113 PROHIBIT 0 SITEPROP TIEOFF_X32Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y113 RPM_X 128 SITEPROP TIEOFF_X32Y113 RPM_Y 226 SITEPROP TIEOFF_X32Y113 SITE_PIPS SITEPROP TIEOFF_X32Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y114 CLASS site SITEPROP TIEOFF_X32Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y114 IS_BONDED 0 SITEPROP TIEOFF_X32Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y114 IS_PAD 0 SITEPROP TIEOFF_X32Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y114 IS_RESERVED 0 SITEPROP TIEOFF_X32Y114 IS_TEST 0 SITEPROP TIEOFF_X32Y114 IS_USED 0 SITEPROP TIEOFF_X32Y114 MANUAL_ROUTING SITEPROP TIEOFF_X32Y114 NAME TIEOFF_X32Y114 SITEPROP TIEOFF_X32Y114 NUM_ARCS 0 SITEPROP TIEOFF_X32Y114 NUM_BELS 2 SITEPROP TIEOFF_X32Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y114 NUM_PINS 2 SITEPROP TIEOFF_X32Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y114 PROHIBIT 0 SITEPROP TIEOFF_X32Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y114 RPM_X 128 SITEPROP TIEOFF_X32Y114 RPM_Y 228 SITEPROP TIEOFF_X32Y114 SITE_PIPS SITEPROP TIEOFF_X32Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y115 CLASS site SITEPROP TIEOFF_X32Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y115 IS_BONDED 0 SITEPROP TIEOFF_X32Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y115 IS_PAD 0 SITEPROP TIEOFF_X32Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y115 IS_RESERVED 0 SITEPROP TIEOFF_X32Y115 IS_TEST 0 SITEPROP TIEOFF_X32Y115 IS_USED 0 SITEPROP TIEOFF_X32Y115 MANUAL_ROUTING SITEPROP TIEOFF_X32Y115 NAME TIEOFF_X32Y115 SITEPROP TIEOFF_X32Y115 NUM_ARCS 0 SITEPROP TIEOFF_X32Y115 NUM_BELS 2 SITEPROP TIEOFF_X32Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y115 NUM_PINS 2 SITEPROP TIEOFF_X32Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y115 PROHIBIT 0 SITEPROP TIEOFF_X32Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y115 RPM_X 128 SITEPROP TIEOFF_X32Y115 RPM_Y 230 SITEPROP TIEOFF_X32Y115 SITE_PIPS SITEPROP TIEOFF_X32Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y116 CLASS site SITEPROP TIEOFF_X32Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y116 IS_BONDED 0 SITEPROP TIEOFF_X32Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y116 IS_PAD 0 SITEPROP TIEOFF_X32Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y116 IS_RESERVED 0 SITEPROP TIEOFF_X32Y116 IS_TEST 0 SITEPROP TIEOFF_X32Y116 IS_USED 0 SITEPROP TIEOFF_X32Y116 MANUAL_ROUTING SITEPROP TIEOFF_X32Y116 NAME TIEOFF_X32Y116 SITEPROP TIEOFF_X32Y116 NUM_ARCS 0 SITEPROP TIEOFF_X32Y116 NUM_BELS 2 SITEPROP TIEOFF_X32Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y116 NUM_PINS 2 SITEPROP TIEOFF_X32Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y116 PROHIBIT 0 SITEPROP TIEOFF_X32Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y116 RPM_X 128 SITEPROP TIEOFF_X32Y116 RPM_Y 232 SITEPROP TIEOFF_X32Y116 SITE_PIPS SITEPROP TIEOFF_X32Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y117 CLASS site SITEPROP TIEOFF_X32Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y117 IS_BONDED 0 SITEPROP TIEOFF_X32Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y117 IS_PAD 0 SITEPROP TIEOFF_X32Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y117 IS_RESERVED 0 SITEPROP TIEOFF_X32Y117 IS_TEST 0 SITEPROP TIEOFF_X32Y117 IS_USED 0 SITEPROP TIEOFF_X32Y117 MANUAL_ROUTING SITEPROP TIEOFF_X32Y117 NAME TIEOFF_X32Y117 SITEPROP TIEOFF_X32Y117 NUM_ARCS 0 SITEPROP TIEOFF_X32Y117 NUM_BELS 2 SITEPROP TIEOFF_X32Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y117 NUM_PINS 2 SITEPROP TIEOFF_X32Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y117 PROHIBIT 0 SITEPROP TIEOFF_X32Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y117 RPM_X 128 SITEPROP TIEOFF_X32Y117 RPM_Y 234 SITEPROP TIEOFF_X32Y117 SITE_PIPS SITEPROP TIEOFF_X32Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y118 CLASS site SITEPROP TIEOFF_X32Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y118 IS_BONDED 0 SITEPROP TIEOFF_X32Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y118 IS_PAD 0 SITEPROP TIEOFF_X32Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y118 IS_RESERVED 0 SITEPROP TIEOFF_X32Y118 IS_TEST 0 SITEPROP TIEOFF_X32Y118 IS_USED 0 SITEPROP TIEOFF_X32Y118 MANUAL_ROUTING SITEPROP TIEOFF_X32Y118 NAME TIEOFF_X32Y118 SITEPROP TIEOFF_X32Y118 NUM_ARCS 0 SITEPROP TIEOFF_X32Y118 NUM_BELS 2 SITEPROP TIEOFF_X32Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y118 NUM_PINS 2 SITEPROP TIEOFF_X32Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y118 PROHIBIT 0 SITEPROP TIEOFF_X32Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y118 RPM_X 128 SITEPROP TIEOFF_X32Y118 RPM_Y 236 SITEPROP TIEOFF_X32Y118 SITE_PIPS SITEPROP TIEOFF_X32Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y119 CLASS site SITEPROP TIEOFF_X32Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y119 IS_BONDED 0 SITEPROP TIEOFF_X32Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y119 IS_PAD 0 SITEPROP TIEOFF_X32Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y119 IS_RESERVED 0 SITEPROP TIEOFF_X32Y119 IS_TEST 0 SITEPROP TIEOFF_X32Y119 IS_USED 0 SITEPROP TIEOFF_X32Y119 MANUAL_ROUTING SITEPROP TIEOFF_X32Y119 NAME TIEOFF_X32Y119 SITEPROP TIEOFF_X32Y119 NUM_ARCS 0 SITEPROP TIEOFF_X32Y119 NUM_BELS 2 SITEPROP TIEOFF_X32Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y119 NUM_PINS 2 SITEPROP TIEOFF_X32Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y119 PROHIBIT 0 SITEPROP TIEOFF_X32Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y119 RPM_X 128 SITEPROP TIEOFF_X32Y119 RPM_Y 238 SITEPROP TIEOFF_X32Y119 SITE_PIPS SITEPROP TIEOFF_X32Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y120 CLASS site SITEPROP TIEOFF_X32Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y120 IS_BONDED 0 SITEPROP TIEOFF_X32Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y120 IS_PAD 0 SITEPROP TIEOFF_X32Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y120 IS_RESERVED 0 SITEPROP TIEOFF_X32Y120 IS_TEST 0 SITEPROP TIEOFF_X32Y120 IS_USED 0 SITEPROP TIEOFF_X32Y120 MANUAL_ROUTING SITEPROP TIEOFF_X32Y120 NAME TIEOFF_X32Y120 SITEPROP TIEOFF_X32Y120 NUM_ARCS 0 SITEPROP TIEOFF_X32Y120 NUM_BELS 2 SITEPROP TIEOFF_X32Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y120 NUM_PINS 2 SITEPROP TIEOFF_X32Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y120 PROHIBIT 0 SITEPROP TIEOFF_X32Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y120 RPM_X 128 SITEPROP TIEOFF_X32Y120 RPM_Y 240 SITEPROP TIEOFF_X32Y120 SITE_PIPS SITEPROP TIEOFF_X32Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y121 CLASS site SITEPROP TIEOFF_X32Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y121 IS_BONDED 0 SITEPROP TIEOFF_X32Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y121 IS_PAD 0 SITEPROP TIEOFF_X32Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y121 IS_RESERVED 0 SITEPROP TIEOFF_X32Y121 IS_TEST 0 SITEPROP TIEOFF_X32Y121 IS_USED 0 SITEPROP TIEOFF_X32Y121 MANUAL_ROUTING SITEPROP TIEOFF_X32Y121 NAME TIEOFF_X32Y121 SITEPROP TIEOFF_X32Y121 NUM_ARCS 0 SITEPROP TIEOFF_X32Y121 NUM_BELS 2 SITEPROP TIEOFF_X32Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y121 NUM_PINS 2 SITEPROP TIEOFF_X32Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y121 PROHIBIT 0 SITEPROP TIEOFF_X32Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y121 RPM_X 128 SITEPROP TIEOFF_X32Y121 RPM_Y 242 SITEPROP TIEOFF_X32Y121 SITE_PIPS SITEPROP TIEOFF_X32Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y122 CLASS site SITEPROP TIEOFF_X32Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y122 IS_BONDED 0 SITEPROP TIEOFF_X32Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y122 IS_PAD 0 SITEPROP TIEOFF_X32Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y122 IS_RESERVED 0 SITEPROP TIEOFF_X32Y122 IS_TEST 0 SITEPROP TIEOFF_X32Y122 IS_USED 0 SITEPROP TIEOFF_X32Y122 MANUAL_ROUTING SITEPROP TIEOFF_X32Y122 NAME TIEOFF_X32Y122 SITEPROP TIEOFF_X32Y122 NUM_ARCS 0 SITEPROP TIEOFF_X32Y122 NUM_BELS 2 SITEPROP TIEOFF_X32Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y122 NUM_PINS 2 SITEPROP TIEOFF_X32Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y122 PROHIBIT 0 SITEPROP TIEOFF_X32Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y122 RPM_X 128 SITEPROP TIEOFF_X32Y122 RPM_Y 244 SITEPROP TIEOFF_X32Y122 SITE_PIPS SITEPROP TIEOFF_X32Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y123 CLASS site SITEPROP TIEOFF_X32Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y123 IS_BONDED 0 SITEPROP TIEOFF_X32Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y123 IS_PAD 0 SITEPROP TIEOFF_X32Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y123 IS_RESERVED 0 SITEPROP TIEOFF_X32Y123 IS_TEST 0 SITEPROP TIEOFF_X32Y123 IS_USED 0 SITEPROP TIEOFF_X32Y123 MANUAL_ROUTING SITEPROP TIEOFF_X32Y123 NAME TIEOFF_X32Y123 SITEPROP TIEOFF_X32Y123 NUM_ARCS 0 SITEPROP TIEOFF_X32Y123 NUM_BELS 2 SITEPROP TIEOFF_X32Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y123 NUM_PINS 2 SITEPROP TIEOFF_X32Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y123 PROHIBIT 0 SITEPROP TIEOFF_X32Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y123 RPM_X 128 SITEPROP TIEOFF_X32Y123 RPM_Y 246 SITEPROP TIEOFF_X32Y123 SITE_PIPS SITEPROP TIEOFF_X32Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y124 CLASS site SITEPROP TIEOFF_X32Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y124 IS_BONDED 0 SITEPROP TIEOFF_X32Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y124 IS_PAD 0 SITEPROP TIEOFF_X32Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y124 IS_RESERVED 0 SITEPROP TIEOFF_X32Y124 IS_TEST 0 SITEPROP TIEOFF_X32Y124 IS_USED 0 SITEPROP TIEOFF_X32Y124 MANUAL_ROUTING SITEPROP TIEOFF_X32Y124 NAME TIEOFF_X32Y124 SITEPROP TIEOFF_X32Y124 NUM_ARCS 0 SITEPROP TIEOFF_X32Y124 NUM_BELS 2 SITEPROP TIEOFF_X32Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y124 NUM_PINS 2 SITEPROP TIEOFF_X32Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y124 PROHIBIT 0 SITEPROP TIEOFF_X32Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y124 RPM_X 128 SITEPROP TIEOFF_X32Y124 RPM_Y 248 SITEPROP TIEOFF_X32Y124 SITE_PIPS SITEPROP TIEOFF_X32Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y125 CLASS site SITEPROP TIEOFF_X32Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y125 IS_BONDED 0 SITEPROP TIEOFF_X32Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y125 IS_PAD 0 SITEPROP TIEOFF_X32Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y125 IS_RESERVED 0 SITEPROP TIEOFF_X32Y125 IS_TEST 0 SITEPROP TIEOFF_X32Y125 IS_USED 0 SITEPROP TIEOFF_X32Y125 MANUAL_ROUTING SITEPROP TIEOFF_X32Y125 NAME TIEOFF_X32Y125 SITEPROP TIEOFF_X32Y125 NUM_ARCS 0 SITEPROP TIEOFF_X32Y125 NUM_BELS 2 SITEPROP TIEOFF_X32Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y125 NUM_PINS 2 SITEPROP TIEOFF_X32Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y125 PROHIBIT 0 SITEPROP TIEOFF_X32Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y125 RPM_X 128 SITEPROP TIEOFF_X32Y125 RPM_Y 250 SITEPROP TIEOFF_X32Y125 SITE_PIPS SITEPROP TIEOFF_X32Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y126 CLASS site SITEPROP TIEOFF_X32Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y126 IS_BONDED 0 SITEPROP TIEOFF_X32Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y126 IS_PAD 0 SITEPROP TIEOFF_X32Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y126 IS_RESERVED 0 SITEPROP TIEOFF_X32Y126 IS_TEST 0 SITEPROP TIEOFF_X32Y126 IS_USED 0 SITEPROP TIEOFF_X32Y126 MANUAL_ROUTING SITEPROP TIEOFF_X32Y126 NAME TIEOFF_X32Y126 SITEPROP TIEOFF_X32Y126 NUM_ARCS 0 SITEPROP TIEOFF_X32Y126 NUM_BELS 2 SITEPROP TIEOFF_X32Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y126 NUM_PINS 2 SITEPROP TIEOFF_X32Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y126 PROHIBIT 0 SITEPROP TIEOFF_X32Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y126 RPM_X 128 SITEPROP TIEOFF_X32Y126 RPM_Y 252 SITEPROP TIEOFF_X32Y126 SITE_PIPS SITEPROP TIEOFF_X32Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y127 CLASS site SITEPROP TIEOFF_X32Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y127 IS_BONDED 0 SITEPROP TIEOFF_X32Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y127 IS_PAD 0 SITEPROP TIEOFF_X32Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y127 IS_RESERVED 0 SITEPROP TIEOFF_X32Y127 IS_TEST 0 SITEPROP TIEOFF_X32Y127 IS_USED 0 SITEPROP TIEOFF_X32Y127 MANUAL_ROUTING SITEPROP TIEOFF_X32Y127 NAME TIEOFF_X32Y127 SITEPROP TIEOFF_X32Y127 NUM_ARCS 0 SITEPROP TIEOFF_X32Y127 NUM_BELS 2 SITEPROP TIEOFF_X32Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y127 NUM_PINS 2 SITEPROP TIEOFF_X32Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y127 PROHIBIT 0 SITEPROP TIEOFF_X32Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y127 RPM_X 128 SITEPROP TIEOFF_X32Y127 RPM_Y 254 SITEPROP TIEOFF_X32Y127 SITE_PIPS SITEPROP TIEOFF_X32Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y128 CLASS site SITEPROP TIEOFF_X32Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y128 IS_BONDED 0 SITEPROP TIEOFF_X32Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y128 IS_PAD 0 SITEPROP TIEOFF_X32Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y128 IS_RESERVED 0 SITEPROP TIEOFF_X32Y128 IS_TEST 0 SITEPROP TIEOFF_X32Y128 IS_USED 0 SITEPROP TIEOFF_X32Y128 MANUAL_ROUTING SITEPROP TIEOFF_X32Y128 NAME TIEOFF_X32Y128 SITEPROP TIEOFF_X32Y128 NUM_ARCS 0 SITEPROP TIEOFF_X32Y128 NUM_BELS 2 SITEPROP TIEOFF_X32Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y128 NUM_PINS 2 SITEPROP TIEOFF_X32Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y128 PROHIBIT 0 SITEPROP TIEOFF_X32Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y128 RPM_X 128 SITEPROP TIEOFF_X32Y128 RPM_Y 256 SITEPROP TIEOFF_X32Y128 SITE_PIPS SITEPROP TIEOFF_X32Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y129 CLASS site SITEPROP TIEOFF_X32Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y129 IS_BONDED 0 SITEPROP TIEOFF_X32Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y129 IS_PAD 0 SITEPROP TIEOFF_X32Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y129 IS_RESERVED 0 SITEPROP TIEOFF_X32Y129 IS_TEST 0 SITEPROP TIEOFF_X32Y129 IS_USED 0 SITEPROP TIEOFF_X32Y129 MANUAL_ROUTING SITEPROP TIEOFF_X32Y129 NAME TIEOFF_X32Y129 SITEPROP TIEOFF_X32Y129 NUM_ARCS 0 SITEPROP TIEOFF_X32Y129 NUM_BELS 2 SITEPROP TIEOFF_X32Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y129 NUM_PINS 2 SITEPROP TIEOFF_X32Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y129 PROHIBIT 0 SITEPROP TIEOFF_X32Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y129 RPM_X 128 SITEPROP TIEOFF_X32Y129 RPM_Y 258 SITEPROP TIEOFF_X32Y129 SITE_PIPS SITEPROP TIEOFF_X32Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y130 CLASS site SITEPROP TIEOFF_X32Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y130 IS_BONDED 0 SITEPROP TIEOFF_X32Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y130 IS_PAD 0 SITEPROP TIEOFF_X32Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y130 IS_RESERVED 0 SITEPROP TIEOFF_X32Y130 IS_TEST 0 SITEPROP TIEOFF_X32Y130 IS_USED 0 SITEPROP TIEOFF_X32Y130 MANUAL_ROUTING SITEPROP TIEOFF_X32Y130 NAME TIEOFF_X32Y130 SITEPROP TIEOFF_X32Y130 NUM_ARCS 0 SITEPROP TIEOFF_X32Y130 NUM_BELS 2 SITEPROP TIEOFF_X32Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y130 NUM_PINS 2 SITEPROP TIEOFF_X32Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y130 PROHIBIT 0 SITEPROP TIEOFF_X32Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y130 RPM_X 128 SITEPROP TIEOFF_X32Y130 RPM_Y 260 SITEPROP TIEOFF_X32Y130 SITE_PIPS SITEPROP TIEOFF_X32Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y131 CLASS site SITEPROP TIEOFF_X32Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y131 IS_BONDED 0 SITEPROP TIEOFF_X32Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y131 IS_PAD 0 SITEPROP TIEOFF_X32Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y131 IS_RESERVED 0 SITEPROP TIEOFF_X32Y131 IS_TEST 0 SITEPROP TIEOFF_X32Y131 IS_USED 0 SITEPROP TIEOFF_X32Y131 MANUAL_ROUTING SITEPROP TIEOFF_X32Y131 NAME TIEOFF_X32Y131 SITEPROP TIEOFF_X32Y131 NUM_ARCS 0 SITEPROP TIEOFF_X32Y131 NUM_BELS 2 SITEPROP TIEOFF_X32Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y131 NUM_PINS 2 SITEPROP TIEOFF_X32Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y131 PROHIBIT 0 SITEPROP TIEOFF_X32Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y131 RPM_X 128 SITEPROP TIEOFF_X32Y131 RPM_Y 262 SITEPROP TIEOFF_X32Y131 SITE_PIPS SITEPROP TIEOFF_X32Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y132 CLASS site SITEPROP TIEOFF_X32Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y132 IS_BONDED 0 SITEPROP TIEOFF_X32Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y132 IS_PAD 0 SITEPROP TIEOFF_X32Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y132 IS_RESERVED 0 SITEPROP TIEOFF_X32Y132 IS_TEST 0 SITEPROP TIEOFF_X32Y132 IS_USED 0 SITEPROP TIEOFF_X32Y132 MANUAL_ROUTING SITEPROP TIEOFF_X32Y132 NAME TIEOFF_X32Y132 SITEPROP TIEOFF_X32Y132 NUM_ARCS 0 SITEPROP TIEOFF_X32Y132 NUM_BELS 2 SITEPROP TIEOFF_X32Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y132 NUM_PINS 2 SITEPROP TIEOFF_X32Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y132 PROHIBIT 0 SITEPROP TIEOFF_X32Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y132 RPM_X 128 SITEPROP TIEOFF_X32Y132 RPM_Y 264 SITEPROP TIEOFF_X32Y132 SITE_PIPS SITEPROP TIEOFF_X32Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y133 CLASS site SITEPROP TIEOFF_X32Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y133 IS_BONDED 0 SITEPROP TIEOFF_X32Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y133 IS_PAD 0 SITEPROP TIEOFF_X32Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y133 IS_RESERVED 0 SITEPROP TIEOFF_X32Y133 IS_TEST 0 SITEPROP TIEOFF_X32Y133 IS_USED 0 SITEPROP TIEOFF_X32Y133 MANUAL_ROUTING SITEPROP TIEOFF_X32Y133 NAME TIEOFF_X32Y133 SITEPROP TIEOFF_X32Y133 NUM_ARCS 0 SITEPROP TIEOFF_X32Y133 NUM_BELS 2 SITEPROP TIEOFF_X32Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y133 NUM_PINS 2 SITEPROP TIEOFF_X32Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y133 PROHIBIT 0 SITEPROP TIEOFF_X32Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y133 RPM_X 128 SITEPROP TIEOFF_X32Y133 RPM_Y 266 SITEPROP TIEOFF_X32Y133 SITE_PIPS SITEPROP TIEOFF_X32Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y134 CLASS site SITEPROP TIEOFF_X32Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y134 IS_BONDED 0 SITEPROP TIEOFF_X32Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y134 IS_PAD 0 SITEPROP TIEOFF_X32Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y134 IS_RESERVED 0 SITEPROP TIEOFF_X32Y134 IS_TEST 0 SITEPROP TIEOFF_X32Y134 IS_USED 0 SITEPROP TIEOFF_X32Y134 MANUAL_ROUTING SITEPROP TIEOFF_X32Y134 NAME TIEOFF_X32Y134 SITEPROP TIEOFF_X32Y134 NUM_ARCS 0 SITEPROP TIEOFF_X32Y134 NUM_BELS 2 SITEPROP TIEOFF_X32Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y134 NUM_PINS 2 SITEPROP TIEOFF_X32Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y134 PROHIBIT 0 SITEPROP TIEOFF_X32Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y134 RPM_X 128 SITEPROP TIEOFF_X32Y134 RPM_Y 268 SITEPROP TIEOFF_X32Y134 SITE_PIPS SITEPROP TIEOFF_X32Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y135 CLASS site SITEPROP TIEOFF_X32Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y135 IS_BONDED 0 SITEPROP TIEOFF_X32Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y135 IS_PAD 0 SITEPROP TIEOFF_X32Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y135 IS_RESERVED 0 SITEPROP TIEOFF_X32Y135 IS_TEST 0 SITEPROP TIEOFF_X32Y135 IS_USED 0 SITEPROP TIEOFF_X32Y135 MANUAL_ROUTING SITEPROP TIEOFF_X32Y135 NAME TIEOFF_X32Y135 SITEPROP TIEOFF_X32Y135 NUM_ARCS 0 SITEPROP TIEOFF_X32Y135 NUM_BELS 2 SITEPROP TIEOFF_X32Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y135 NUM_PINS 2 SITEPROP TIEOFF_X32Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y135 PROHIBIT 0 SITEPROP TIEOFF_X32Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y135 RPM_X 128 SITEPROP TIEOFF_X32Y135 RPM_Y 270 SITEPROP TIEOFF_X32Y135 SITE_PIPS SITEPROP TIEOFF_X32Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y136 CLASS site SITEPROP TIEOFF_X32Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y136 IS_BONDED 0 SITEPROP TIEOFF_X32Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y136 IS_PAD 0 SITEPROP TIEOFF_X32Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y136 IS_RESERVED 0 SITEPROP TIEOFF_X32Y136 IS_TEST 0 SITEPROP TIEOFF_X32Y136 IS_USED 0 SITEPROP TIEOFF_X32Y136 MANUAL_ROUTING SITEPROP TIEOFF_X32Y136 NAME TIEOFF_X32Y136 SITEPROP TIEOFF_X32Y136 NUM_ARCS 0 SITEPROP TIEOFF_X32Y136 NUM_BELS 2 SITEPROP TIEOFF_X32Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y136 NUM_PINS 2 SITEPROP TIEOFF_X32Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y136 PROHIBIT 0 SITEPROP TIEOFF_X32Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y136 RPM_X 128 SITEPROP TIEOFF_X32Y136 RPM_Y 272 SITEPROP TIEOFF_X32Y136 SITE_PIPS SITEPROP TIEOFF_X32Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y137 CLASS site SITEPROP TIEOFF_X32Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y137 IS_BONDED 0 SITEPROP TIEOFF_X32Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y137 IS_PAD 0 SITEPROP TIEOFF_X32Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y137 IS_RESERVED 0 SITEPROP TIEOFF_X32Y137 IS_TEST 0 SITEPROP TIEOFF_X32Y137 IS_USED 0 SITEPROP TIEOFF_X32Y137 MANUAL_ROUTING SITEPROP TIEOFF_X32Y137 NAME TIEOFF_X32Y137 SITEPROP TIEOFF_X32Y137 NUM_ARCS 0 SITEPROP TIEOFF_X32Y137 NUM_BELS 2 SITEPROP TIEOFF_X32Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y137 NUM_PINS 2 SITEPROP TIEOFF_X32Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y137 PROHIBIT 0 SITEPROP TIEOFF_X32Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y137 RPM_X 128 SITEPROP TIEOFF_X32Y137 RPM_Y 274 SITEPROP TIEOFF_X32Y137 SITE_PIPS SITEPROP TIEOFF_X32Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y138 CLASS site SITEPROP TIEOFF_X32Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y138 IS_BONDED 0 SITEPROP TIEOFF_X32Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y138 IS_PAD 0 SITEPROP TIEOFF_X32Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y138 IS_RESERVED 0 SITEPROP TIEOFF_X32Y138 IS_TEST 0 SITEPROP TIEOFF_X32Y138 IS_USED 0 SITEPROP TIEOFF_X32Y138 MANUAL_ROUTING SITEPROP TIEOFF_X32Y138 NAME TIEOFF_X32Y138 SITEPROP TIEOFF_X32Y138 NUM_ARCS 0 SITEPROP TIEOFF_X32Y138 NUM_BELS 2 SITEPROP TIEOFF_X32Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y138 NUM_PINS 2 SITEPROP TIEOFF_X32Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y138 PROHIBIT 0 SITEPROP TIEOFF_X32Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y138 RPM_X 128 SITEPROP TIEOFF_X32Y138 RPM_Y 276 SITEPROP TIEOFF_X32Y138 SITE_PIPS SITEPROP TIEOFF_X32Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y139 CLASS site SITEPROP TIEOFF_X32Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y139 IS_BONDED 0 SITEPROP TIEOFF_X32Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y139 IS_PAD 0 SITEPROP TIEOFF_X32Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y139 IS_RESERVED 0 SITEPROP TIEOFF_X32Y139 IS_TEST 0 SITEPROP TIEOFF_X32Y139 IS_USED 0 SITEPROP TIEOFF_X32Y139 MANUAL_ROUTING SITEPROP TIEOFF_X32Y139 NAME TIEOFF_X32Y139 SITEPROP TIEOFF_X32Y139 NUM_ARCS 0 SITEPROP TIEOFF_X32Y139 NUM_BELS 2 SITEPROP TIEOFF_X32Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y139 NUM_PINS 2 SITEPROP TIEOFF_X32Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y139 PROHIBIT 0 SITEPROP TIEOFF_X32Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y139 RPM_X 128 SITEPROP TIEOFF_X32Y139 RPM_Y 278 SITEPROP TIEOFF_X32Y139 SITE_PIPS SITEPROP TIEOFF_X32Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y140 CLASS site SITEPROP TIEOFF_X32Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y140 IS_BONDED 0 SITEPROP TIEOFF_X32Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y140 IS_PAD 0 SITEPROP TIEOFF_X32Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y140 IS_RESERVED 0 SITEPROP TIEOFF_X32Y140 IS_TEST 0 SITEPROP TIEOFF_X32Y140 IS_USED 0 SITEPROP TIEOFF_X32Y140 MANUAL_ROUTING SITEPROP TIEOFF_X32Y140 NAME TIEOFF_X32Y140 SITEPROP TIEOFF_X32Y140 NUM_ARCS 0 SITEPROP TIEOFF_X32Y140 NUM_BELS 2 SITEPROP TIEOFF_X32Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y140 NUM_PINS 2 SITEPROP TIEOFF_X32Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y140 PROHIBIT 0 SITEPROP TIEOFF_X32Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y140 RPM_X 128 SITEPROP TIEOFF_X32Y140 RPM_Y 280 SITEPROP TIEOFF_X32Y140 SITE_PIPS SITEPROP TIEOFF_X32Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y141 CLASS site SITEPROP TIEOFF_X32Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y141 IS_BONDED 0 SITEPROP TIEOFF_X32Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y141 IS_PAD 0 SITEPROP TIEOFF_X32Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y141 IS_RESERVED 0 SITEPROP TIEOFF_X32Y141 IS_TEST 0 SITEPROP TIEOFF_X32Y141 IS_USED 0 SITEPROP TIEOFF_X32Y141 MANUAL_ROUTING SITEPROP TIEOFF_X32Y141 NAME TIEOFF_X32Y141 SITEPROP TIEOFF_X32Y141 NUM_ARCS 0 SITEPROP TIEOFF_X32Y141 NUM_BELS 2 SITEPROP TIEOFF_X32Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y141 NUM_PINS 2 SITEPROP TIEOFF_X32Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y141 PROHIBIT 0 SITEPROP TIEOFF_X32Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y141 RPM_X 128 SITEPROP TIEOFF_X32Y141 RPM_Y 282 SITEPROP TIEOFF_X32Y141 SITE_PIPS SITEPROP TIEOFF_X32Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y142 CLASS site SITEPROP TIEOFF_X32Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y142 IS_BONDED 0 SITEPROP TIEOFF_X32Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y142 IS_PAD 0 SITEPROP TIEOFF_X32Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y142 IS_RESERVED 0 SITEPROP TIEOFF_X32Y142 IS_TEST 0 SITEPROP TIEOFF_X32Y142 IS_USED 0 SITEPROP TIEOFF_X32Y142 MANUAL_ROUTING SITEPROP TIEOFF_X32Y142 NAME TIEOFF_X32Y142 SITEPROP TIEOFF_X32Y142 NUM_ARCS 0 SITEPROP TIEOFF_X32Y142 NUM_BELS 2 SITEPROP TIEOFF_X32Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y142 NUM_PINS 2 SITEPROP TIEOFF_X32Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y142 PROHIBIT 0 SITEPROP TIEOFF_X32Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y142 RPM_X 128 SITEPROP TIEOFF_X32Y142 RPM_Y 284 SITEPROP TIEOFF_X32Y142 SITE_PIPS SITEPROP TIEOFF_X32Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y143 CLASS site SITEPROP TIEOFF_X32Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y143 IS_BONDED 0 SITEPROP TIEOFF_X32Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y143 IS_PAD 0 SITEPROP TIEOFF_X32Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y143 IS_RESERVED 0 SITEPROP TIEOFF_X32Y143 IS_TEST 0 SITEPROP TIEOFF_X32Y143 IS_USED 0 SITEPROP TIEOFF_X32Y143 MANUAL_ROUTING SITEPROP TIEOFF_X32Y143 NAME TIEOFF_X32Y143 SITEPROP TIEOFF_X32Y143 NUM_ARCS 0 SITEPROP TIEOFF_X32Y143 NUM_BELS 2 SITEPROP TIEOFF_X32Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y143 NUM_PINS 2 SITEPROP TIEOFF_X32Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y143 PROHIBIT 0 SITEPROP TIEOFF_X32Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y143 RPM_X 128 SITEPROP TIEOFF_X32Y143 RPM_Y 286 SITEPROP TIEOFF_X32Y143 SITE_PIPS SITEPROP TIEOFF_X32Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y144 CLASS site SITEPROP TIEOFF_X32Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y144 IS_BONDED 0 SITEPROP TIEOFF_X32Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y144 IS_PAD 0 SITEPROP TIEOFF_X32Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y144 IS_RESERVED 0 SITEPROP TIEOFF_X32Y144 IS_TEST 0 SITEPROP TIEOFF_X32Y144 IS_USED 0 SITEPROP TIEOFF_X32Y144 MANUAL_ROUTING SITEPROP TIEOFF_X32Y144 NAME TIEOFF_X32Y144 SITEPROP TIEOFF_X32Y144 NUM_ARCS 0 SITEPROP TIEOFF_X32Y144 NUM_BELS 2 SITEPROP TIEOFF_X32Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y144 NUM_PINS 2 SITEPROP TIEOFF_X32Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y144 PROHIBIT 0 SITEPROP TIEOFF_X32Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y144 RPM_X 128 SITEPROP TIEOFF_X32Y144 RPM_Y 288 SITEPROP TIEOFF_X32Y144 SITE_PIPS SITEPROP TIEOFF_X32Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y145 CLASS site SITEPROP TIEOFF_X32Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y145 IS_BONDED 0 SITEPROP TIEOFF_X32Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y145 IS_PAD 0 SITEPROP TIEOFF_X32Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y145 IS_RESERVED 0 SITEPROP TIEOFF_X32Y145 IS_TEST 0 SITEPROP TIEOFF_X32Y145 IS_USED 0 SITEPROP TIEOFF_X32Y145 MANUAL_ROUTING SITEPROP TIEOFF_X32Y145 NAME TIEOFF_X32Y145 SITEPROP TIEOFF_X32Y145 NUM_ARCS 0 SITEPROP TIEOFF_X32Y145 NUM_BELS 2 SITEPROP TIEOFF_X32Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y145 NUM_PINS 2 SITEPROP TIEOFF_X32Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y145 PROHIBIT 0 SITEPROP TIEOFF_X32Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y145 RPM_X 128 SITEPROP TIEOFF_X32Y145 RPM_Y 290 SITEPROP TIEOFF_X32Y145 SITE_PIPS SITEPROP TIEOFF_X32Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y146 CLASS site SITEPROP TIEOFF_X32Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y146 IS_BONDED 0 SITEPROP TIEOFF_X32Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y146 IS_PAD 0 SITEPROP TIEOFF_X32Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y146 IS_RESERVED 0 SITEPROP TIEOFF_X32Y146 IS_TEST 0 SITEPROP TIEOFF_X32Y146 IS_USED 0 SITEPROP TIEOFF_X32Y146 MANUAL_ROUTING SITEPROP TIEOFF_X32Y146 NAME TIEOFF_X32Y146 SITEPROP TIEOFF_X32Y146 NUM_ARCS 0 SITEPROP TIEOFF_X32Y146 NUM_BELS 2 SITEPROP TIEOFF_X32Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y146 NUM_PINS 2 SITEPROP TIEOFF_X32Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y146 PROHIBIT 0 SITEPROP TIEOFF_X32Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y146 RPM_X 128 SITEPROP TIEOFF_X32Y146 RPM_Y 292 SITEPROP TIEOFF_X32Y146 SITE_PIPS SITEPROP TIEOFF_X32Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y147 CLASS site SITEPROP TIEOFF_X32Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y147 IS_BONDED 0 SITEPROP TIEOFF_X32Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y147 IS_PAD 0 SITEPROP TIEOFF_X32Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y147 IS_RESERVED 0 SITEPROP TIEOFF_X32Y147 IS_TEST 0 SITEPROP TIEOFF_X32Y147 IS_USED 0 SITEPROP TIEOFF_X32Y147 MANUAL_ROUTING SITEPROP TIEOFF_X32Y147 NAME TIEOFF_X32Y147 SITEPROP TIEOFF_X32Y147 NUM_ARCS 0 SITEPROP TIEOFF_X32Y147 NUM_BELS 2 SITEPROP TIEOFF_X32Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y147 NUM_PINS 2 SITEPROP TIEOFF_X32Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y147 PROHIBIT 0 SITEPROP TIEOFF_X32Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y147 RPM_X 128 SITEPROP TIEOFF_X32Y147 RPM_Y 294 SITEPROP TIEOFF_X32Y147 SITE_PIPS SITEPROP TIEOFF_X32Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y148 CLASS site SITEPROP TIEOFF_X32Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y148 IS_BONDED 0 SITEPROP TIEOFF_X32Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y148 IS_PAD 0 SITEPROP TIEOFF_X32Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y148 IS_RESERVED 0 SITEPROP TIEOFF_X32Y148 IS_TEST 0 SITEPROP TIEOFF_X32Y148 IS_USED 0 SITEPROP TIEOFF_X32Y148 MANUAL_ROUTING SITEPROP TIEOFF_X32Y148 NAME TIEOFF_X32Y148 SITEPROP TIEOFF_X32Y148 NUM_ARCS 0 SITEPROP TIEOFF_X32Y148 NUM_BELS 2 SITEPROP TIEOFF_X32Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y148 NUM_PINS 2 SITEPROP TIEOFF_X32Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y148 PROHIBIT 0 SITEPROP TIEOFF_X32Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y148 RPM_X 128 SITEPROP TIEOFF_X32Y148 RPM_Y 296 SITEPROP TIEOFF_X32Y148 SITE_PIPS SITEPROP TIEOFF_X32Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X32Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X32Y149 CLASS site SITEPROP TIEOFF_X32Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X32Y149 IS_BONDED 0 SITEPROP TIEOFF_X32Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y149 IS_PAD 0 SITEPROP TIEOFF_X32Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X32Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X32Y149 IS_RESERVED 0 SITEPROP TIEOFF_X32Y149 IS_TEST 0 SITEPROP TIEOFF_X32Y149 IS_USED 0 SITEPROP TIEOFF_X32Y149 MANUAL_ROUTING SITEPROP TIEOFF_X32Y149 NAME TIEOFF_X32Y149 SITEPROP TIEOFF_X32Y149 NUM_ARCS 0 SITEPROP TIEOFF_X32Y149 NUM_BELS 2 SITEPROP TIEOFF_X32Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X32Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X32Y149 NUM_PINS 2 SITEPROP TIEOFF_X32Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X32Y149 PROHIBIT 0 SITEPROP TIEOFF_X32Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X32Y149 RPM_X 128 SITEPROP TIEOFF_X32Y149 RPM_Y 298 SITEPROP TIEOFF_X32Y149 SITE_PIPS SITEPROP TIEOFF_X32Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y0 CLASS site SITEPROP TIEOFF_X33Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y0 IS_BONDED 0 SITEPROP TIEOFF_X33Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y0 IS_PAD 0 SITEPROP TIEOFF_X33Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y0 IS_RESERVED 0 SITEPROP TIEOFF_X33Y0 IS_TEST 0 SITEPROP TIEOFF_X33Y0 IS_USED 0 SITEPROP TIEOFF_X33Y0 MANUAL_ROUTING SITEPROP TIEOFF_X33Y0 NAME TIEOFF_X33Y0 SITEPROP TIEOFF_X33Y0 NUM_ARCS 0 SITEPROP TIEOFF_X33Y0 NUM_BELS 2 SITEPROP TIEOFF_X33Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y0 NUM_PINS 2 SITEPROP TIEOFF_X33Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y0 PROHIBIT 0 SITEPROP TIEOFF_X33Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y0 RPM_X 132 SITEPROP TIEOFF_X33Y0 RPM_Y 0 SITEPROP TIEOFF_X33Y0 SITE_PIPS SITEPROP TIEOFF_X33Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y1 CLASS site SITEPROP TIEOFF_X33Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y1 IS_BONDED 0 SITEPROP TIEOFF_X33Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y1 IS_PAD 0 SITEPROP TIEOFF_X33Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y1 IS_RESERVED 0 SITEPROP TIEOFF_X33Y1 IS_TEST 0 SITEPROP TIEOFF_X33Y1 IS_USED 0 SITEPROP TIEOFF_X33Y1 MANUAL_ROUTING SITEPROP TIEOFF_X33Y1 NAME TIEOFF_X33Y1 SITEPROP TIEOFF_X33Y1 NUM_ARCS 0 SITEPROP TIEOFF_X33Y1 NUM_BELS 2 SITEPROP TIEOFF_X33Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y1 NUM_PINS 2 SITEPROP TIEOFF_X33Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y1 PROHIBIT 0 SITEPROP TIEOFF_X33Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y1 RPM_X 132 SITEPROP TIEOFF_X33Y1 RPM_Y 2 SITEPROP TIEOFF_X33Y1 SITE_PIPS SITEPROP TIEOFF_X33Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y2 CLASS site SITEPROP TIEOFF_X33Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y2 IS_BONDED 0 SITEPROP TIEOFF_X33Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y2 IS_PAD 0 SITEPROP TIEOFF_X33Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y2 IS_RESERVED 0 SITEPROP TIEOFF_X33Y2 IS_TEST 0 SITEPROP TIEOFF_X33Y2 IS_USED 0 SITEPROP TIEOFF_X33Y2 MANUAL_ROUTING SITEPROP TIEOFF_X33Y2 NAME TIEOFF_X33Y2 SITEPROP TIEOFF_X33Y2 NUM_ARCS 0 SITEPROP TIEOFF_X33Y2 NUM_BELS 2 SITEPROP TIEOFF_X33Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y2 NUM_PINS 2 SITEPROP TIEOFF_X33Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y2 PROHIBIT 0 SITEPROP TIEOFF_X33Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y2 RPM_X 132 SITEPROP TIEOFF_X33Y2 RPM_Y 4 SITEPROP TIEOFF_X33Y2 SITE_PIPS SITEPROP TIEOFF_X33Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y3 CLASS site SITEPROP TIEOFF_X33Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y3 IS_BONDED 0 SITEPROP TIEOFF_X33Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y3 IS_PAD 0 SITEPROP TIEOFF_X33Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y3 IS_RESERVED 0 SITEPROP TIEOFF_X33Y3 IS_TEST 0 SITEPROP TIEOFF_X33Y3 IS_USED 0 SITEPROP TIEOFF_X33Y3 MANUAL_ROUTING SITEPROP TIEOFF_X33Y3 NAME TIEOFF_X33Y3 SITEPROP TIEOFF_X33Y3 NUM_ARCS 0 SITEPROP TIEOFF_X33Y3 NUM_BELS 2 SITEPROP TIEOFF_X33Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y3 NUM_PINS 2 SITEPROP TIEOFF_X33Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y3 PROHIBIT 0 SITEPROP TIEOFF_X33Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y3 RPM_X 132 SITEPROP TIEOFF_X33Y3 RPM_Y 6 SITEPROP TIEOFF_X33Y3 SITE_PIPS SITEPROP TIEOFF_X33Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y4 CLASS site SITEPROP TIEOFF_X33Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y4 IS_BONDED 0 SITEPROP TIEOFF_X33Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y4 IS_PAD 0 SITEPROP TIEOFF_X33Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y4 IS_RESERVED 0 SITEPROP TIEOFF_X33Y4 IS_TEST 0 SITEPROP TIEOFF_X33Y4 IS_USED 0 SITEPROP TIEOFF_X33Y4 MANUAL_ROUTING SITEPROP TIEOFF_X33Y4 NAME TIEOFF_X33Y4 SITEPROP TIEOFF_X33Y4 NUM_ARCS 0 SITEPROP TIEOFF_X33Y4 NUM_BELS 2 SITEPROP TIEOFF_X33Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y4 NUM_PINS 2 SITEPROP TIEOFF_X33Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y4 PROHIBIT 0 SITEPROP TIEOFF_X33Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y4 RPM_X 132 SITEPROP TIEOFF_X33Y4 RPM_Y 8 SITEPROP TIEOFF_X33Y4 SITE_PIPS SITEPROP TIEOFF_X33Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y5 CLASS site SITEPROP TIEOFF_X33Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y5 IS_BONDED 0 SITEPROP TIEOFF_X33Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y5 IS_PAD 0 SITEPROP TIEOFF_X33Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y5 IS_RESERVED 0 SITEPROP TIEOFF_X33Y5 IS_TEST 0 SITEPROP TIEOFF_X33Y5 IS_USED 0 SITEPROP TIEOFF_X33Y5 MANUAL_ROUTING SITEPROP TIEOFF_X33Y5 NAME TIEOFF_X33Y5 SITEPROP TIEOFF_X33Y5 NUM_ARCS 0 SITEPROP TIEOFF_X33Y5 NUM_BELS 2 SITEPROP TIEOFF_X33Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y5 NUM_PINS 2 SITEPROP TIEOFF_X33Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y5 PROHIBIT 0 SITEPROP TIEOFF_X33Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y5 RPM_X 132 SITEPROP TIEOFF_X33Y5 RPM_Y 10 SITEPROP TIEOFF_X33Y5 SITE_PIPS SITEPROP TIEOFF_X33Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y6 CLASS site SITEPROP TIEOFF_X33Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y6 IS_BONDED 0 SITEPROP TIEOFF_X33Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y6 IS_PAD 0 SITEPROP TIEOFF_X33Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y6 IS_RESERVED 0 SITEPROP TIEOFF_X33Y6 IS_TEST 0 SITEPROP TIEOFF_X33Y6 IS_USED 0 SITEPROP TIEOFF_X33Y6 MANUAL_ROUTING SITEPROP TIEOFF_X33Y6 NAME TIEOFF_X33Y6 SITEPROP TIEOFF_X33Y6 NUM_ARCS 0 SITEPROP TIEOFF_X33Y6 NUM_BELS 2 SITEPROP TIEOFF_X33Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y6 NUM_PINS 2 SITEPROP TIEOFF_X33Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y6 PROHIBIT 0 SITEPROP TIEOFF_X33Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y6 RPM_X 132 SITEPROP TIEOFF_X33Y6 RPM_Y 12 SITEPROP TIEOFF_X33Y6 SITE_PIPS SITEPROP TIEOFF_X33Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y7 CLASS site SITEPROP TIEOFF_X33Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y7 IS_BONDED 0 SITEPROP TIEOFF_X33Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y7 IS_PAD 0 SITEPROP TIEOFF_X33Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y7 IS_RESERVED 0 SITEPROP TIEOFF_X33Y7 IS_TEST 0 SITEPROP TIEOFF_X33Y7 IS_USED 0 SITEPROP TIEOFF_X33Y7 MANUAL_ROUTING SITEPROP TIEOFF_X33Y7 NAME TIEOFF_X33Y7 SITEPROP TIEOFF_X33Y7 NUM_ARCS 0 SITEPROP TIEOFF_X33Y7 NUM_BELS 2 SITEPROP TIEOFF_X33Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y7 NUM_PINS 2 SITEPROP TIEOFF_X33Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y7 PROHIBIT 0 SITEPROP TIEOFF_X33Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y7 RPM_X 132 SITEPROP TIEOFF_X33Y7 RPM_Y 14 SITEPROP TIEOFF_X33Y7 SITE_PIPS SITEPROP TIEOFF_X33Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y8 CLASS site SITEPROP TIEOFF_X33Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y8 IS_BONDED 0 SITEPROP TIEOFF_X33Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y8 IS_PAD 0 SITEPROP TIEOFF_X33Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y8 IS_RESERVED 0 SITEPROP TIEOFF_X33Y8 IS_TEST 0 SITEPROP TIEOFF_X33Y8 IS_USED 0 SITEPROP TIEOFF_X33Y8 MANUAL_ROUTING SITEPROP TIEOFF_X33Y8 NAME TIEOFF_X33Y8 SITEPROP TIEOFF_X33Y8 NUM_ARCS 0 SITEPROP TIEOFF_X33Y8 NUM_BELS 2 SITEPROP TIEOFF_X33Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y8 NUM_PINS 2 SITEPROP TIEOFF_X33Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y8 PROHIBIT 0 SITEPROP TIEOFF_X33Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y8 RPM_X 132 SITEPROP TIEOFF_X33Y8 RPM_Y 16 SITEPROP TIEOFF_X33Y8 SITE_PIPS SITEPROP TIEOFF_X33Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y9 CLASS site SITEPROP TIEOFF_X33Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y9 IS_BONDED 0 SITEPROP TIEOFF_X33Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y9 IS_PAD 0 SITEPROP TIEOFF_X33Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y9 IS_RESERVED 0 SITEPROP TIEOFF_X33Y9 IS_TEST 0 SITEPROP TIEOFF_X33Y9 IS_USED 0 SITEPROP TIEOFF_X33Y9 MANUAL_ROUTING SITEPROP TIEOFF_X33Y9 NAME TIEOFF_X33Y9 SITEPROP TIEOFF_X33Y9 NUM_ARCS 0 SITEPROP TIEOFF_X33Y9 NUM_BELS 2 SITEPROP TIEOFF_X33Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y9 NUM_PINS 2 SITEPROP TIEOFF_X33Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y9 PROHIBIT 0 SITEPROP TIEOFF_X33Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y9 RPM_X 132 SITEPROP TIEOFF_X33Y9 RPM_Y 18 SITEPROP TIEOFF_X33Y9 SITE_PIPS SITEPROP TIEOFF_X33Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y10 CLASS site SITEPROP TIEOFF_X33Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y10 IS_BONDED 0 SITEPROP TIEOFF_X33Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y10 IS_PAD 0 SITEPROP TIEOFF_X33Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y10 IS_RESERVED 0 SITEPROP TIEOFF_X33Y10 IS_TEST 0 SITEPROP TIEOFF_X33Y10 IS_USED 0 SITEPROP TIEOFF_X33Y10 MANUAL_ROUTING SITEPROP TIEOFF_X33Y10 NAME TIEOFF_X33Y10 SITEPROP TIEOFF_X33Y10 NUM_ARCS 0 SITEPROP TIEOFF_X33Y10 NUM_BELS 2 SITEPROP TIEOFF_X33Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y10 NUM_PINS 2 SITEPROP TIEOFF_X33Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y10 PROHIBIT 0 SITEPROP TIEOFF_X33Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y10 RPM_X 132 SITEPROP TIEOFF_X33Y10 RPM_Y 20 SITEPROP TIEOFF_X33Y10 SITE_PIPS SITEPROP TIEOFF_X33Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y11 CLASS site SITEPROP TIEOFF_X33Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y11 IS_BONDED 0 SITEPROP TIEOFF_X33Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y11 IS_PAD 0 SITEPROP TIEOFF_X33Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y11 IS_RESERVED 0 SITEPROP TIEOFF_X33Y11 IS_TEST 0 SITEPROP TIEOFF_X33Y11 IS_USED 0 SITEPROP TIEOFF_X33Y11 MANUAL_ROUTING SITEPROP TIEOFF_X33Y11 NAME TIEOFF_X33Y11 SITEPROP TIEOFF_X33Y11 NUM_ARCS 0 SITEPROP TIEOFF_X33Y11 NUM_BELS 2 SITEPROP TIEOFF_X33Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y11 NUM_PINS 2 SITEPROP TIEOFF_X33Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y11 PROHIBIT 0 SITEPROP TIEOFF_X33Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y11 RPM_X 132 SITEPROP TIEOFF_X33Y11 RPM_Y 22 SITEPROP TIEOFF_X33Y11 SITE_PIPS SITEPROP TIEOFF_X33Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y12 CLASS site SITEPROP TIEOFF_X33Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y12 IS_BONDED 0 SITEPROP TIEOFF_X33Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y12 IS_PAD 0 SITEPROP TIEOFF_X33Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y12 IS_RESERVED 0 SITEPROP TIEOFF_X33Y12 IS_TEST 0 SITEPROP TIEOFF_X33Y12 IS_USED 0 SITEPROP TIEOFF_X33Y12 MANUAL_ROUTING SITEPROP TIEOFF_X33Y12 NAME TIEOFF_X33Y12 SITEPROP TIEOFF_X33Y12 NUM_ARCS 0 SITEPROP TIEOFF_X33Y12 NUM_BELS 2 SITEPROP TIEOFF_X33Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y12 NUM_PINS 2 SITEPROP TIEOFF_X33Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y12 PROHIBIT 0 SITEPROP TIEOFF_X33Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y12 RPM_X 132 SITEPROP TIEOFF_X33Y12 RPM_Y 24 SITEPROP TIEOFF_X33Y12 SITE_PIPS SITEPROP TIEOFF_X33Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y13 CLASS site SITEPROP TIEOFF_X33Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y13 IS_BONDED 0 SITEPROP TIEOFF_X33Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y13 IS_PAD 0 SITEPROP TIEOFF_X33Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y13 IS_RESERVED 0 SITEPROP TIEOFF_X33Y13 IS_TEST 0 SITEPROP TIEOFF_X33Y13 IS_USED 0 SITEPROP TIEOFF_X33Y13 MANUAL_ROUTING SITEPROP TIEOFF_X33Y13 NAME TIEOFF_X33Y13 SITEPROP TIEOFF_X33Y13 NUM_ARCS 0 SITEPROP TIEOFF_X33Y13 NUM_BELS 2 SITEPROP TIEOFF_X33Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y13 NUM_PINS 2 SITEPROP TIEOFF_X33Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y13 PROHIBIT 0 SITEPROP TIEOFF_X33Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y13 RPM_X 132 SITEPROP TIEOFF_X33Y13 RPM_Y 26 SITEPROP TIEOFF_X33Y13 SITE_PIPS SITEPROP TIEOFF_X33Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y14 CLASS site SITEPROP TIEOFF_X33Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y14 IS_BONDED 0 SITEPROP TIEOFF_X33Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y14 IS_PAD 0 SITEPROP TIEOFF_X33Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y14 IS_RESERVED 0 SITEPROP TIEOFF_X33Y14 IS_TEST 0 SITEPROP TIEOFF_X33Y14 IS_USED 0 SITEPROP TIEOFF_X33Y14 MANUAL_ROUTING SITEPROP TIEOFF_X33Y14 NAME TIEOFF_X33Y14 SITEPROP TIEOFF_X33Y14 NUM_ARCS 0 SITEPROP TIEOFF_X33Y14 NUM_BELS 2 SITEPROP TIEOFF_X33Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y14 NUM_PINS 2 SITEPROP TIEOFF_X33Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y14 PROHIBIT 0 SITEPROP TIEOFF_X33Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y14 RPM_X 132 SITEPROP TIEOFF_X33Y14 RPM_Y 28 SITEPROP TIEOFF_X33Y14 SITE_PIPS SITEPROP TIEOFF_X33Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y15 CLASS site SITEPROP TIEOFF_X33Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y15 IS_BONDED 0 SITEPROP TIEOFF_X33Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y15 IS_PAD 0 SITEPROP TIEOFF_X33Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y15 IS_RESERVED 0 SITEPROP TIEOFF_X33Y15 IS_TEST 0 SITEPROP TIEOFF_X33Y15 IS_USED 0 SITEPROP TIEOFF_X33Y15 MANUAL_ROUTING SITEPROP TIEOFF_X33Y15 NAME TIEOFF_X33Y15 SITEPROP TIEOFF_X33Y15 NUM_ARCS 0 SITEPROP TIEOFF_X33Y15 NUM_BELS 2 SITEPROP TIEOFF_X33Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y15 NUM_PINS 2 SITEPROP TIEOFF_X33Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y15 PROHIBIT 0 SITEPROP TIEOFF_X33Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y15 RPM_X 132 SITEPROP TIEOFF_X33Y15 RPM_Y 30 SITEPROP TIEOFF_X33Y15 SITE_PIPS SITEPROP TIEOFF_X33Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y16 CLASS site SITEPROP TIEOFF_X33Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y16 IS_BONDED 0 SITEPROP TIEOFF_X33Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y16 IS_PAD 0 SITEPROP TIEOFF_X33Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y16 IS_RESERVED 0 SITEPROP TIEOFF_X33Y16 IS_TEST 0 SITEPROP TIEOFF_X33Y16 IS_USED 0 SITEPROP TIEOFF_X33Y16 MANUAL_ROUTING SITEPROP TIEOFF_X33Y16 NAME TIEOFF_X33Y16 SITEPROP TIEOFF_X33Y16 NUM_ARCS 0 SITEPROP TIEOFF_X33Y16 NUM_BELS 2 SITEPROP TIEOFF_X33Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y16 NUM_PINS 2 SITEPROP TIEOFF_X33Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y16 PROHIBIT 0 SITEPROP TIEOFF_X33Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y16 RPM_X 132 SITEPROP TIEOFF_X33Y16 RPM_Y 32 SITEPROP TIEOFF_X33Y16 SITE_PIPS SITEPROP TIEOFF_X33Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y17 CLASS site SITEPROP TIEOFF_X33Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y17 IS_BONDED 0 SITEPROP TIEOFF_X33Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y17 IS_PAD 0 SITEPROP TIEOFF_X33Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y17 IS_RESERVED 0 SITEPROP TIEOFF_X33Y17 IS_TEST 0 SITEPROP TIEOFF_X33Y17 IS_USED 0 SITEPROP TIEOFF_X33Y17 MANUAL_ROUTING SITEPROP TIEOFF_X33Y17 NAME TIEOFF_X33Y17 SITEPROP TIEOFF_X33Y17 NUM_ARCS 0 SITEPROP TIEOFF_X33Y17 NUM_BELS 2 SITEPROP TIEOFF_X33Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y17 NUM_PINS 2 SITEPROP TIEOFF_X33Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y17 PROHIBIT 0 SITEPROP TIEOFF_X33Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y17 RPM_X 132 SITEPROP TIEOFF_X33Y17 RPM_Y 34 SITEPROP TIEOFF_X33Y17 SITE_PIPS SITEPROP TIEOFF_X33Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y18 CLASS site SITEPROP TIEOFF_X33Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y18 IS_BONDED 0 SITEPROP TIEOFF_X33Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y18 IS_PAD 0 SITEPROP TIEOFF_X33Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y18 IS_RESERVED 0 SITEPROP TIEOFF_X33Y18 IS_TEST 0 SITEPROP TIEOFF_X33Y18 IS_USED 0 SITEPROP TIEOFF_X33Y18 MANUAL_ROUTING SITEPROP TIEOFF_X33Y18 NAME TIEOFF_X33Y18 SITEPROP TIEOFF_X33Y18 NUM_ARCS 0 SITEPROP TIEOFF_X33Y18 NUM_BELS 2 SITEPROP TIEOFF_X33Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y18 NUM_PINS 2 SITEPROP TIEOFF_X33Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y18 PROHIBIT 0 SITEPROP TIEOFF_X33Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y18 RPM_X 132 SITEPROP TIEOFF_X33Y18 RPM_Y 36 SITEPROP TIEOFF_X33Y18 SITE_PIPS SITEPROP TIEOFF_X33Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y19 CLASS site SITEPROP TIEOFF_X33Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y19 IS_BONDED 0 SITEPROP TIEOFF_X33Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y19 IS_PAD 0 SITEPROP TIEOFF_X33Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y19 IS_RESERVED 0 SITEPROP TIEOFF_X33Y19 IS_TEST 0 SITEPROP TIEOFF_X33Y19 IS_USED 0 SITEPROP TIEOFF_X33Y19 MANUAL_ROUTING SITEPROP TIEOFF_X33Y19 NAME TIEOFF_X33Y19 SITEPROP TIEOFF_X33Y19 NUM_ARCS 0 SITEPROP TIEOFF_X33Y19 NUM_BELS 2 SITEPROP TIEOFF_X33Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y19 NUM_PINS 2 SITEPROP TIEOFF_X33Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y19 PROHIBIT 0 SITEPROP TIEOFF_X33Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y19 RPM_X 132 SITEPROP TIEOFF_X33Y19 RPM_Y 38 SITEPROP TIEOFF_X33Y19 SITE_PIPS SITEPROP TIEOFF_X33Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y20 CLASS site SITEPROP TIEOFF_X33Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y20 IS_BONDED 0 SITEPROP TIEOFF_X33Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y20 IS_PAD 0 SITEPROP TIEOFF_X33Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y20 IS_RESERVED 0 SITEPROP TIEOFF_X33Y20 IS_TEST 0 SITEPROP TIEOFF_X33Y20 IS_USED 0 SITEPROP TIEOFF_X33Y20 MANUAL_ROUTING SITEPROP TIEOFF_X33Y20 NAME TIEOFF_X33Y20 SITEPROP TIEOFF_X33Y20 NUM_ARCS 0 SITEPROP TIEOFF_X33Y20 NUM_BELS 2 SITEPROP TIEOFF_X33Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y20 NUM_PINS 2 SITEPROP TIEOFF_X33Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y20 PROHIBIT 0 SITEPROP TIEOFF_X33Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y20 RPM_X 132 SITEPROP TIEOFF_X33Y20 RPM_Y 40 SITEPROP TIEOFF_X33Y20 SITE_PIPS SITEPROP TIEOFF_X33Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y21 CLASS site SITEPROP TIEOFF_X33Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y21 IS_BONDED 0 SITEPROP TIEOFF_X33Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y21 IS_PAD 0 SITEPROP TIEOFF_X33Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y21 IS_RESERVED 0 SITEPROP TIEOFF_X33Y21 IS_TEST 0 SITEPROP TIEOFF_X33Y21 IS_USED 0 SITEPROP TIEOFF_X33Y21 MANUAL_ROUTING SITEPROP TIEOFF_X33Y21 NAME TIEOFF_X33Y21 SITEPROP TIEOFF_X33Y21 NUM_ARCS 0 SITEPROP TIEOFF_X33Y21 NUM_BELS 2 SITEPROP TIEOFF_X33Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y21 NUM_PINS 2 SITEPROP TIEOFF_X33Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y21 PROHIBIT 0 SITEPROP TIEOFF_X33Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y21 RPM_X 132 SITEPROP TIEOFF_X33Y21 RPM_Y 42 SITEPROP TIEOFF_X33Y21 SITE_PIPS SITEPROP TIEOFF_X33Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y22 CLASS site SITEPROP TIEOFF_X33Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y22 IS_BONDED 0 SITEPROP TIEOFF_X33Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y22 IS_PAD 0 SITEPROP TIEOFF_X33Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y22 IS_RESERVED 0 SITEPROP TIEOFF_X33Y22 IS_TEST 0 SITEPROP TIEOFF_X33Y22 IS_USED 0 SITEPROP TIEOFF_X33Y22 MANUAL_ROUTING SITEPROP TIEOFF_X33Y22 NAME TIEOFF_X33Y22 SITEPROP TIEOFF_X33Y22 NUM_ARCS 0 SITEPROP TIEOFF_X33Y22 NUM_BELS 2 SITEPROP TIEOFF_X33Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y22 NUM_PINS 2 SITEPROP TIEOFF_X33Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y22 PROHIBIT 0 SITEPROP TIEOFF_X33Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y22 RPM_X 132 SITEPROP TIEOFF_X33Y22 RPM_Y 44 SITEPROP TIEOFF_X33Y22 SITE_PIPS SITEPROP TIEOFF_X33Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y23 CLASS site SITEPROP TIEOFF_X33Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y23 IS_BONDED 0 SITEPROP TIEOFF_X33Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y23 IS_PAD 0 SITEPROP TIEOFF_X33Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y23 IS_RESERVED 0 SITEPROP TIEOFF_X33Y23 IS_TEST 0 SITEPROP TIEOFF_X33Y23 IS_USED 0 SITEPROP TIEOFF_X33Y23 MANUAL_ROUTING SITEPROP TIEOFF_X33Y23 NAME TIEOFF_X33Y23 SITEPROP TIEOFF_X33Y23 NUM_ARCS 0 SITEPROP TIEOFF_X33Y23 NUM_BELS 2 SITEPROP TIEOFF_X33Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y23 NUM_PINS 2 SITEPROP TIEOFF_X33Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y23 PROHIBIT 0 SITEPROP TIEOFF_X33Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y23 RPM_X 132 SITEPROP TIEOFF_X33Y23 RPM_Y 46 SITEPROP TIEOFF_X33Y23 SITE_PIPS SITEPROP TIEOFF_X33Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y24 CLASS site SITEPROP TIEOFF_X33Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y24 IS_BONDED 0 SITEPROP TIEOFF_X33Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y24 IS_PAD 0 SITEPROP TIEOFF_X33Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y24 IS_RESERVED 0 SITEPROP TIEOFF_X33Y24 IS_TEST 0 SITEPROP TIEOFF_X33Y24 IS_USED 0 SITEPROP TIEOFF_X33Y24 MANUAL_ROUTING SITEPROP TIEOFF_X33Y24 NAME TIEOFF_X33Y24 SITEPROP TIEOFF_X33Y24 NUM_ARCS 0 SITEPROP TIEOFF_X33Y24 NUM_BELS 2 SITEPROP TIEOFF_X33Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y24 NUM_PINS 2 SITEPROP TIEOFF_X33Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y24 PROHIBIT 0 SITEPROP TIEOFF_X33Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y24 RPM_X 132 SITEPROP TIEOFF_X33Y24 RPM_Y 48 SITEPROP TIEOFF_X33Y24 SITE_PIPS SITEPROP TIEOFF_X33Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y25 CLASS site SITEPROP TIEOFF_X33Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y25 IS_BONDED 0 SITEPROP TIEOFF_X33Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y25 IS_PAD 0 SITEPROP TIEOFF_X33Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y25 IS_RESERVED 0 SITEPROP TIEOFF_X33Y25 IS_TEST 0 SITEPROP TIEOFF_X33Y25 IS_USED 0 SITEPROP TIEOFF_X33Y25 MANUAL_ROUTING SITEPROP TIEOFF_X33Y25 NAME TIEOFF_X33Y25 SITEPROP TIEOFF_X33Y25 NUM_ARCS 0 SITEPROP TIEOFF_X33Y25 NUM_BELS 2 SITEPROP TIEOFF_X33Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y25 NUM_PINS 2 SITEPROP TIEOFF_X33Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y25 PROHIBIT 0 SITEPROP TIEOFF_X33Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y25 RPM_X 132 SITEPROP TIEOFF_X33Y25 RPM_Y 50 SITEPROP TIEOFF_X33Y25 SITE_PIPS SITEPROP TIEOFF_X33Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y26 CLASS site SITEPROP TIEOFF_X33Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y26 IS_BONDED 0 SITEPROP TIEOFF_X33Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y26 IS_PAD 0 SITEPROP TIEOFF_X33Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y26 IS_RESERVED 0 SITEPROP TIEOFF_X33Y26 IS_TEST 0 SITEPROP TIEOFF_X33Y26 IS_USED 0 SITEPROP TIEOFF_X33Y26 MANUAL_ROUTING SITEPROP TIEOFF_X33Y26 NAME TIEOFF_X33Y26 SITEPROP TIEOFF_X33Y26 NUM_ARCS 0 SITEPROP TIEOFF_X33Y26 NUM_BELS 2 SITEPROP TIEOFF_X33Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y26 NUM_PINS 2 SITEPROP TIEOFF_X33Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y26 PROHIBIT 0 SITEPROP TIEOFF_X33Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y26 RPM_X 132 SITEPROP TIEOFF_X33Y26 RPM_Y 52 SITEPROP TIEOFF_X33Y26 SITE_PIPS SITEPROP TIEOFF_X33Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y27 CLASS site SITEPROP TIEOFF_X33Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y27 IS_BONDED 0 SITEPROP TIEOFF_X33Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y27 IS_PAD 0 SITEPROP TIEOFF_X33Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y27 IS_RESERVED 0 SITEPROP TIEOFF_X33Y27 IS_TEST 0 SITEPROP TIEOFF_X33Y27 IS_USED 0 SITEPROP TIEOFF_X33Y27 MANUAL_ROUTING SITEPROP TIEOFF_X33Y27 NAME TIEOFF_X33Y27 SITEPROP TIEOFF_X33Y27 NUM_ARCS 0 SITEPROP TIEOFF_X33Y27 NUM_BELS 2 SITEPROP TIEOFF_X33Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y27 NUM_PINS 2 SITEPROP TIEOFF_X33Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y27 PROHIBIT 0 SITEPROP TIEOFF_X33Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y27 RPM_X 132 SITEPROP TIEOFF_X33Y27 RPM_Y 54 SITEPROP TIEOFF_X33Y27 SITE_PIPS SITEPROP TIEOFF_X33Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y28 CLASS site SITEPROP TIEOFF_X33Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y28 IS_BONDED 0 SITEPROP TIEOFF_X33Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y28 IS_PAD 0 SITEPROP TIEOFF_X33Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y28 IS_RESERVED 0 SITEPROP TIEOFF_X33Y28 IS_TEST 0 SITEPROP TIEOFF_X33Y28 IS_USED 0 SITEPROP TIEOFF_X33Y28 MANUAL_ROUTING SITEPROP TIEOFF_X33Y28 NAME TIEOFF_X33Y28 SITEPROP TIEOFF_X33Y28 NUM_ARCS 0 SITEPROP TIEOFF_X33Y28 NUM_BELS 2 SITEPROP TIEOFF_X33Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y28 NUM_PINS 2 SITEPROP TIEOFF_X33Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y28 PROHIBIT 0 SITEPROP TIEOFF_X33Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y28 RPM_X 132 SITEPROP TIEOFF_X33Y28 RPM_Y 56 SITEPROP TIEOFF_X33Y28 SITE_PIPS SITEPROP TIEOFF_X33Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y29 CLASS site SITEPROP TIEOFF_X33Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y29 IS_BONDED 0 SITEPROP TIEOFF_X33Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y29 IS_PAD 0 SITEPROP TIEOFF_X33Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y29 IS_RESERVED 0 SITEPROP TIEOFF_X33Y29 IS_TEST 0 SITEPROP TIEOFF_X33Y29 IS_USED 0 SITEPROP TIEOFF_X33Y29 MANUAL_ROUTING SITEPROP TIEOFF_X33Y29 NAME TIEOFF_X33Y29 SITEPROP TIEOFF_X33Y29 NUM_ARCS 0 SITEPROP TIEOFF_X33Y29 NUM_BELS 2 SITEPROP TIEOFF_X33Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y29 NUM_PINS 2 SITEPROP TIEOFF_X33Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y29 PROHIBIT 0 SITEPROP TIEOFF_X33Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y29 RPM_X 132 SITEPROP TIEOFF_X33Y29 RPM_Y 58 SITEPROP TIEOFF_X33Y29 SITE_PIPS SITEPROP TIEOFF_X33Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y30 CLASS site SITEPROP TIEOFF_X33Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y30 IS_BONDED 0 SITEPROP TIEOFF_X33Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y30 IS_PAD 0 SITEPROP TIEOFF_X33Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y30 IS_RESERVED 0 SITEPROP TIEOFF_X33Y30 IS_TEST 0 SITEPROP TIEOFF_X33Y30 IS_USED 0 SITEPROP TIEOFF_X33Y30 MANUAL_ROUTING SITEPROP TIEOFF_X33Y30 NAME TIEOFF_X33Y30 SITEPROP TIEOFF_X33Y30 NUM_ARCS 0 SITEPROP TIEOFF_X33Y30 NUM_BELS 2 SITEPROP TIEOFF_X33Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y30 NUM_PINS 2 SITEPROP TIEOFF_X33Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y30 PROHIBIT 0 SITEPROP TIEOFF_X33Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y30 RPM_X 132 SITEPROP TIEOFF_X33Y30 RPM_Y 60 SITEPROP TIEOFF_X33Y30 SITE_PIPS SITEPROP TIEOFF_X33Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y31 CLASS site SITEPROP TIEOFF_X33Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y31 IS_BONDED 0 SITEPROP TIEOFF_X33Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y31 IS_PAD 0 SITEPROP TIEOFF_X33Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y31 IS_RESERVED 0 SITEPROP TIEOFF_X33Y31 IS_TEST 0 SITEPROP TIEOFF_X33Y31 IS_USED 0 SITEPROP TIEOFF_X33Y31 MANUAL_ROUTING SITEPROP TIEOFF_X33Y31 NAME TIEOFF_X33Y31 SITEPROP TIEOFF_X33Y31 NUM_ARCS 0 SITEPROP TIEOFF_X33Y31 NUM_BELS 2 SITEPROP TIEOFF_X33Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y31 NUM_PINS 2 SITEPROP TIEOFF_X33Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y31 PROHIBIT 0 SITEPROP TIEOFF_X33Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y31 RPM_X 132 SITEPROP TIEOFF_X33Y31 RPM_Y 62 SITEPROP TIEOFF_X33Y31 SITE_PIPS SITEPROP TIEOFF_X33Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y32 CLASS site SITEPROP TIEOFF_X33Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y32 IS_BONDED 0 SITEPROP TIEOFF_X33Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y32 IS_PAD 0 SITEPROP TIEOFF_X33Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y32 IS_RESERVED 0 SITEPROP TIEOFF_X33Y32 IS_TEST 0 SITEPROP TIEOFF_X33Y32 IS_USED 0 SITEPROP TIEOFF_X33Y32 MANUAL_ROUTING SITEPROP TIEOFF_X33Y32 NAME TIEOFF_X33Y32 SITEPROP TIEOFF_X33Y32 NUM_ARCS 0 SITEPROP TIEOFF_X33Y32 NUM_BELS 2 SITEPROP TIEOFF_X33Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y32 NUM_PINS 2 SITEPROP TIEOFF_X33Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y32 PROHIBIT 0 SITEPROP TIEOFF_X33Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y32 RPM_X 132 SITEPROP TIEOFF_X33Y32 RPM_Y 64 SITEPROP TIEOFF_X33Y32 SITE_PIPS SITEPROP TIEOFF_X33Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y33 CLASS site SITEPROP TIEOFF_X33Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y33 IS_BONDED 0 SITEPROP TIEOFF_X33Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y33 IS_PAD 0 SITEPROP TIEOFF_X33Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y33 IS_RESERVED 0 SITEPROP TIEOFF_X33Y33 IS_TEST 0 SITEPROP TIEOFF_X33Y33 IS_USED 0 SITEPROP TIEOFF_X33Y33 MANUAL_ROUTING SITEPROP TIEOFF_X33Y33 NAME TIEOFF_X33Y33 SITEPROP TIEOFF_X33Y33 NUM_ARCS 0 SITEPROP TIEOFF_X33Y33 NUM_BELS 2 SITEPROP TIEOFF_X33Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y33 NUM_PINS 2 SITEPROP TIEOFF_X33Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y33 PROHIBIT 0 SITEPROP TIEOFF_X33Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y33 RPM_X 132 SITEPROP TIEOFF_X33Y33 RPM_Y 66 SITEPROP TIEOFF_X33Y33 SITE_PIPS SITEPROP TIEOFF_X33Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y34 CLASS site SITEPROP TIEOFF_X33Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y34 IS_BONDED 0 SITEPROP TIEOFF_X33Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y34 IS_PAD 0 SITEPROP TIEOFF_X33Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y34 IS_RESERVED 0 SITEPROP TIEOFF_X33Y34 IS_TEST 0 SITEPROP TIEOFF_X33Y34 IS_USED 0 SITEPROP TIEOFF_X33Y34 MANUAL_ROUTING SITEPROP TIEOFF_X33Y34 NAME TIEOFF_X33Y34 SITEPROP TIEOFF_X33Y34 NUM_ARCS 0 SITEPROP TIEOFF_X33Y34 NUM_BELS 2 SITEPROP TIEOFF_X33Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y34 NUM_PINS 2 SITEPROP TIEOFF_X33Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y34 PROHIBIT 0 SITEPROP TIEOFF_X33Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y34 RPM_X 132 SITEPROP TIEOFF_X33Y34 RPM_Y 68 SITEPROP TIEOFF_X33Y34 SITE_PIPS SITEPROP TIEOFF_X33Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y35 CLASS site SITEPROP TIEOFF_X33Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y35 IS_BONDED 0 SITEPROP TIEOFF_X33Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y35 IS_PAD 0 SITEPROP TIEOFF_X33Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y35 IS_RESERVED 0 SITEPROP TIEOFF_X33Y35 IS_TEST 0 SITEPROP TIEOFF_X33Y35 IS_USED 0 SITEPROP TIEOFF_X33Y35 MANUAL_ROUTING SITEPROP TIEOFF_X33Y35 NAME TIEOFF_X33Y35 SITEPROP TIEOFF_X33Y35 NUM_ARCS 0 SITEPROP TIEOFF_X33Y35 NUM_BELS 2 SITEPROP TIEOFF_X33Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y35 NUM_PINS 2 SITEPROP TIEOFF_X33Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y35 PROHIBIT 0 SITEPROP TIEOFF_X33Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y35 RPM_X 132 SITEPROP TIEOFF_X33Y35 RPM_Y 70 SITEPROP TIEOFF_X33Y35 SITE_PIPS SITEPROP TIEOFF_X33Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y36 CLASS site SITEPROP TIEOFF_X33Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y36 IS_BONDED 0 SITEPROP TIEOFF_X33Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y36 IS_PAD 0 SITEPROP TIEOFF_X33Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y36 IS_RESERVED 0 SITEPROP TIEOFF_X33Y36 IS_TEST 0 SITEPROP TIEOFF_X33Y36 IS_USED 0 SITEPROP TIEOFF_X33Y36 MANUAL_ROUTING SITEPROP TIEOFF_X33Y36 NAME TIEOFF_X33Y36 SITEPROP TIEOFF_X33Y36 NUM_ARCS 0 SITEPROP TIEOFF_X33Y36 NUM_BELS 2 SITEPROP TIEOFF_X33Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y36 NUM_PINS 2 SITEPROP TIEOFF_X33Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y36 PROHIBIT 0 SITEPROP TIEOFF_X33Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y36 RPM_X 132 SITEPROP TIEOFF_X33Y36 RPM_Y 72 SITEPROP TIEOFF_X33Y36 SITE_PIPS SITEPROP TIEOFF_X33Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y37 CLASS site SITEPROP TIEOFF_X33Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y37 IS_BONDED 0 SITEPROP TIEOFF_X33Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y37 IS_PAD 0 SITEPROP TIEOFF_X33Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y37 IS_RESERVED 0 SITEPROP TIEOFF_X33Y37 IS_TEST 0 SITEPROP TIEOFF_X33Y37 IS_USED 0 SITEPROP TIEOFF_X33Y37 MANUAL_ROUTING SITEPROP TIEOFF_X33Y37 NAME TIEOFF_X33Y37 SITEPROP TIEOFF_X33Y37 NUM_ARCS 0 SITEPROP TIEOFF_X33Y37 NUM_BELS 2 SITEPROP TIEOFF_X33Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y37 NUM_PINS 2 SITEPROP TIEOFF_X33Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y37 PROHIBIT 0 SITEPROP TIEOFF_X33Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y37 RPM_X 132 SITEPROP TIEOFF_X33Y37 RPM_Y 74 SITEPROP TIEOFF_X33Y37 SITE_PIPS SITEPROP TIEOFF_X33Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y38 CLASS site SITEPROP TIEOFF_X33Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y38 IS_BONDED 0 SITEPROP TIEOFF_X33Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y38 IS_PAD 0 SITEPROP TIEOFF_X33Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y38 IS_RESERVED 0 SITEPROP TIEOFF_X33Y38 IS_TEST 0 SITEPROP TIEOFF_X33Y38 IS_USED 0 SITEPROP TIEOFF_X33Y38 MANUAL_ROUTING SITEPROP TIEOFF_X33Y38 NAME TIEOFF_X33Y38 SITEPROP TIEOFF_X33Y38 NUM_ARCS 0 SITEPROP TIEOFF_X33Y38 NUM_BELS 2 SITEPROP TIEOFF_X33Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y38 NUM_PINS 2 SITEPROP TIEOFF_X33Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y38 PROHIBIT 0 SITEPROP TIEOFF_X33Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y38 RPM_X 132 SITEPROP TIEOFF_X33Y38 RPM_Y 76 SITEPROP TIEOFF_X33Y38 SITE_PIPS SITEPROP TIEOFF_X33Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y39 CLASS site SITEPROP TIEOFF_X33Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y39 IS_BONDED 0 SITEPROP TIEOFF_X33Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y39 IS_PAD 0 SITEPROP TIEOFF_X33Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y39 IS_RESERVED 0 SITEPROP TIEOFF_X33Y39 IS_TEST 0 SITEPROP TIEOFF_X33Y39 IS_USED 0 SITEPROP TIEOFF_X33Y39 MANUAL_ROUTING SITEPROP TIEOFF_X33Y39 NAME TIEOFF_X33Y39 SITEPROP TIEOFF_X33Y39 NUM_ARCS 0 SITEPROP TIEOFF_X33Y39 NUM_BELS 2 SITEPROP TIEOFF_X33Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y39 NUM_PINS 2 SITEPROP TIEOFF_X33Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y39 PROHIBIT 0 SITEPROP TIEOFF_X33Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y39 RPM_X 132 SITEPROP TIEOFF_X33Y39 RPM_Y 78 SITEPROP TIEOFF_X33Y39 SITE_PIPS SITEPROP TIEOFF_X33Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y40 CLASS site SITEPROP TIEOFF_X33Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y40 IS_BONDED 0 SITEPROP TIEOFF_X33Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y40 IS_PAD 0 SITEPROP TIEOFF_X33Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y40 IS_RESERVED 0 SITEPROP TIEOFF_X33Y40 IS_TEST 0 SITEPROP TIEOFF_X33Y40 IS_USED 0 SITEPROP TIEOFF_X33Y40 MANUAL_ROUTING SITEPROP TIEOFF_X33Y40 NAME TIEOFF_X33Y40 SITEPROP TIEOFF_X33Y40 NUM_ARCS 0 SITEPROP TIEOFF_X33Y40 NUM_BELS 2 SITEPROP TIEOFF_X33Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y40 NUM_PINS 2 SITEPROP TIEOFF_X33Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y40 PROHIBIT 0 SITEPROP TIEOFF_X33Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y40 RPM_X 132 SITEPROP TIEOFF_X33Y40 RPM_Y 80 SITEPROP TIEOFF_X33Y40 SITE_PIPS SITEPROP TIEOFF_X33Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y41 CLASS site SITEPROP TIEOFF_X33Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y41 IS_BONDED 0 SITEPROP TIEOFF_X33Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y41 IS_PAD 0 SITEPROP TIEOFF_X33Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y41 IS_RESERVED 0 SITEPROP TIEOFF_X33Y41 IS_TEST 0 SITEPROP TIEOFF_X33Y41 IS_USED 0 SITEPROP TIEOFF_X33Y41 MANUAL_ROUTING SITEPROP TIEOFF_X33Y41 NAME TIEOFF_X33Y41 SITEPROP TIEOFF_X33Y41 NUM_ARCS 0 SITEPROP TIEOFF_X33Y41 NUM_BELS 2 SITEPROP TIEOFF_X33Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y41 NUM_PINS 2 SITEPROP TIEOFF_X33Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y41 PROHIBIT 0 SITEPROP TIEOFF_X33Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y41 RPM_X 132 SITEPROP TIEOFF_X33Y41 RPM_Y 82 SITEPROP TIEOFF_X33Y41 SITE_PIPS SITEPROP TIEOFF_X33Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y42 CLASS site SITEPROP TIEOFF_X33Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y42 IS_BONDED 0 SITEPROP TIEOFF_X33Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y42 IS_PAD 0 SITEPROP TIEOFF_X33Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y42 IS_RESERVED 0 SITEPROP TIEOFF_X33Y42 IS_TEST 0 SITEPROP TIEOFF_X33Y42 IS_USED 0 SITEPROP TIEOFF_X33Y42 MANUAL_ROUTING SITEPROP TIEOFF_X33Y42 NAME TIEOFF_X33Y42 SITEPROP TIEOFF_X33Y42 NUM_ARCS 0 SITEPROP TIEOFF_X33Y42 NUM_BELS 2 SITEPROP TIEOFF_X33Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y42 NUM_PINS 2 SITEPROP TIEOFF_X33Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y42 PROHIBIT 0 SITEPROP TIEOFF_X33Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y42 RPM_X 132 SITEPROP TIEOFF_X33Y42 RPM_Y 84 SITEPROP TIEOFF_X33Y42 SITE_PIPS SITEPROP TIEOFF_X33Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y43 CLASS site SITEPROP TIEOFF_X33Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y43 IS_BONDED 0 SITEPROP TIEOFF_X33Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y43 IS_PAD 0 SITEPROP TIEOFF_X33Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y43 IS_RESERVED 0 SITEPROP TIEOFF_X33Y43 IS_TEST 0 SITEPROP TIEOFF_X33Y43 IS_USED 0 SITEPROP TIEOFF_X33Y43 MANUAL_ROUTING SITEPROP TIEOFF_X33Y43 NAME TIEOFF_X33Y43 SITEPROP TIEOFF_X33Y43 NUM_ARCS 0 SITEPROP TIEOFF_X33Y43 NUM_BELS 2 SITEPROP TIEOFF_X33Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y43 NUM_PINS 2 SITEPROP TIEOFF_X33Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y43 PROHIBIT 0 SITEPROP TIEOFF_X33Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y43 RPM_X 132 SITEPROP TIEOFF_X33Y43 RPM_Y 86 SITEPROP TIEOFF_X33Y43 SITE_PIPS SITEPROP TIEOFF_X33Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y44 CLASS site SITEPROP TIEOFF_X33Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y44 IS_BONDED 0 SITEPROP TIEOFF_X33Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y44 IS_PAD 0 SITEPROP TIEOFF_X33Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y44 IS_RESERVED 0 SITEPROP TIEOFF_X33Y44 IS_TEST 0 SITEPROP TIEOFF_X33Y44 IS_USED 0 SITEPROP TIEOFF_X33Y44 MANUAL_ROUTING SITEPROP TIEOFF_X33Y44 NAME TIEOFF_X33Y44 SITEPROP TIEOFF_X33Y44 NUM_ARCS 0 SITEPROP TIEOFF_X33Y44 NUM_BELS 2 SITEPROP TIEOFF_X33Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y44 NUM_PINS 2 SITEPROP TIEOFF_X33Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y44 PROHIBIT 0 SITEPROP TIEOFF_X33Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y44 RPM_X 132 SITEPROP TIEOFF_X33Y44 RPM_Y 88 SITEPROP TIEOFF_X33Y44 SITE_PIPS SITEPROP TIEOFF_X33Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y45 CLASS site SITEPROP TIEOFF_X33Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y45 IS_BONDED 0 SITEPROP TIEOFF_X33Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y45 IS_PAD 0 SITEPROP TIEOFF_X33Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y45 IS_RESERVED 0 SITEPROP TIEOFF_X33Y45 IS_TEST 0 SITEPROP TIEOFF_X33Y45 IS_USED 0 SITEPROP TIEOFF_X33Y45 MANUAL_ROUTING SITEPROP TIEOFF_X33Y45 NAME TIEOFF_X33Y45 SITEPROP TIEOFF_X33Y45 NUM_ARCS 0 SITEPROP TIEOFF_X33Y45 NUM_BELS 2 SITEPROP TIEOFF_X33Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y45 NUM_PINS 2 SITEPROP TIEOFF_X33Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y45 PROHIBIT 0 SITEPROP TIEOFF_X33Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y45 RPM_X 132 SITEPROP TIEOFF_X33Y45 RPM_Y 90 SITEPROP TIEOFF_X33Y45 SITE_PIPS SITEPROP TIEOFF_X33Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y46 CLASS site SITEPROP TIEOFF_X33Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y46 IS_BONDED 0 SITEPROP TIEOFF_X33Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y46 IS_PAD 0 SITEPROP TIEOFF_X33Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y46 IS_RESERVED 0 SITEPROP TIEOFF_X33Y46 IS_TEST 0 SITEPROP TIEOFF_X33Y46 IS_USED 0 SITEPROP TIEOFF_X33Y46 MANUAL_ROUTING SITEPROP TIEOFF_X33Y46 NAME TIEOFF_X33Y46 SITEPROP TIEOFF_X33Y46 NUM_ARCS 0 SITEPROP TIEOFF_X33Y46 NUM_BELS 2 SITEPROP TIEOFF_X33Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y46 NUM_PINS 2 SITEPROP TIEOFF_X33Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y46 PROHIBIT 0 SITEPROP TIEOFF_X33Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y46 RPM_X 132 SITEPROP TIEOFF_X33Y46 RPM_Y 92 SITEPROP TIEOFF_X33Y46 SITE_PIPS SITEPROP TIEOFF_X33Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y47 CLASS site SITEPROP TIEOFF_X33Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y47 IS_BONDED 0 SITEPROP TIEOFF_X33Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y47 IS_PAD 0 SITEPROP TIEOFF_X33Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y47 IS_RESERVED 0 SITEPROP TIEOFF_X33Y47 IS_TEST 0 SITEPROP TIEOFF_X33Y47 IS_USED 0 SITEPROP TIEOFF_X33Y47 MANUAL_ROUTING SITEPROP TIEOFF_X33Y47 NAME TIEOFF_X33Y47 SITEPROP TIEOFF_X33Y47 NUM_ARCS 0 SITEPROP TIEOFF_X33Y47 NUM_BELS 2 SITEPROP TIEOFF_X33Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y47 NUM_PINS 2 SITEPROP TIEOFF_X33Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y47 PROHIBIT 0 SITEPROP TIEOFF_X33Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y47 RPM_X 132 SITEPROP TIEOFF_X33Y47 RPM_Y 94 SITEPROP TIEOFF_X33Y47 SITE_PIPS SITEPROP TIEOFF_X33Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y48 CLASS site SITEPROP TIEOFF_X33Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y48 IS_BONDED 0 SITEPROP TIEOFF_X33Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y48 IS_PAD 0 SITEPROP TIEOFF_X33Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y48 IS_RESERVED 0 SITEPROP TIEOFF_X33Y48 IS_TEST 0 SITEPROP TIEOFF_X33Y48 IS_USED 0 SITEPROP TIEOFF_X33Y48 MANUAL_ROUTING SITEPROP TIEOFF_X33Y48 NAME TIEOFF_X33Y48 SITEPROP TIEOFF_X33Y48 NUM_ARCS 0 SITEPROP TIEOFF_X33Y48 NUM_BELS 2 SITEPROP TIEOFF_X33Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y48 NUM_PINS 2 SITEPROP TIEOFF_X33Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y48 PROHIBIT 0 SITEPROP TIEOFF_X33Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y48 RPM_X 132 SITEPROP TIEOFF_X33Y48 RPM_Y 96 SITEPROP TIEOFF_X33Y48 SITE_PIPS SITEPROP TIEOFF_X33Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y49 CLASS site SITEPROP TIEOFF_X33Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X33Y49 IS_BONDED 0 SITEPROP TIEOFF_X33Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y49 IS_PAD 0 SITEPROP TIEOFF_X33Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y49 IS_RESERVED 0 SITEPROP TIEOFF_X33Y49 IS_TEST 0 SITEPROP TIEOFF_X33Y49 IS_USED 0 SITEPROP TIEOFF_X33Y49 MANUAL_ROUTING SITEPROP TIEOFF_X33Y49 NAME TIEOFF_X33Y49 SITEPROP TIEOFF_X33Y49 NUM_ARCS 0 SITEPROP TIEOFF_X33Y49 NUM_BELS 2 SITEPROP TIEOFF_X33Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y49 NUM_PINS 2 SITEPROP TIEOFF_X33Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y49 PROHIBIT 0 SITEPROP TIEOFF_X33Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y49 RPM_X 132 SITEPROP TIEOFF_X33Y49 RPM_Y 98 SITEPROP TIEOFF_X33Y49 SITE_PIPS SITEPROP TIEOFF_X33Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y50 CLASS site SITEPROP TIEOFF_X33Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y50 IS_BONDED 0 SITEPROP TIEOFF_X33Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y50 IS_PAD 0 SITEPROP TIEOFF_X33Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y50 IS_RESERVED 0 SITEPROP TIEOFF_X33Y50 IS_TEST 0 SITEPROP TIEOFF_X33Y50 IS_USED 0 SITEPROP TIEOFF_X33Y50 MANUAL_ROUTING SITEPROP TIEOFF_X33Y50 NAME TIEOFF_X33Y50 SITEPROP TIEOFF_X33Y50 NUM_ARCS 0 SITEPROP TIEOFF_X33Y50 NUM_BELS 2 SITEPROP TIEOFF_X33Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y50 NUM_PINS 2 SITEPROP TIEOFF_X33Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y50 PROHIBIT 0 SITEPROP TIEOFF_X33Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y50 RPM_X 132 SITEPROP TIEOFF_X33Y50 RPM_Y 100 SITEPROP TIEOFF_X33Y50 SITE_PIPS SITEPROP TIEOFF_X33Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y51 CLASS site SITEPROP TIEOFF_X33Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y51 IS_BONDED 0 SITEPROP TIEOFF_X33Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y51 IS_PAD 0 SITEPROP TIEOFF_X33Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y51 IS_RESERVED 0 SITEPROP TIEOFF_X33Y51 IS_TEST 0 SITEPROP TIEOFF_X33Y51 IS_USED 0 SITEPROP TIEOFF_X33Y51 MANUAL_ROUTING SITEPROP TIEOFF_X33Y51 NAME TIEOFF_X33Y51 SITEPROP TIEOFF_X33Y51 NUM_ARCS 0 SITEPROP TIEOFF_X33Y51 NUM_BELS 2 SITEPROP TIEOFF_X33Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y51 NUM_PINS 2 SITEPROP TIEOFF_X33Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y51 PROHIBIT 0 SITEPROP TIEOFF_X33Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y51 RPM_X 132 SITEPROP TIEOFF_X33Y51 RPM_Y 102 SITEPROP TIEOFF_X33Y51 SITE_PIPS SITEPROP TIEOFF_X33Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y52 CLASS site SITEPROP TIEOFF_X33Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y52 IS_BONDED 0 SITEPROP TIEOFF_X33Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y52 IS_PAD 0 SITEPROP TIEOFF_X33Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y52 IS_RESERVED 0 SITEPROP TIEOFF_X33Y52 IS_TEST 0 SITEPROP TIEOFF_X33Y52 IS_USED 0 SITEPROP TIEOFF_X33Y52 MANUAL_ROUTING SITEPROP TIEOFF_X33Y52 NAME TIEOFF_X33Y52 SITEPROP TIEOFF_X33Y52 NUM_ARCS 0 SITEPROP TIEOFF_X33Y52 NUM_BELS 2 SITEPROP TIEOFF_X33Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y52 NUM_PINS 2 SITEPROP TIEOFF_X33Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y52 PROHIBIT 0 SITEPROP TIEOFF_X33Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y52 RPM_X 132 SITEPROP TIEOFF_X33Y52 RPM_Y 104 SITEPROP TIEOFF_X33Y52 SITE_PIPS SITEPROP TIEOFF_X33Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y53 CLASS site SITEPROP TIEOFF_X33Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y53 IS_BONDED 0 SITEPROP TIEOFF_X33Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y53 IS_PAD 0 SITEPROP TIEOFF_X33Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y53 IS_RESERVED 0 SITEPROP TIEOFF_X33Y53 IS_TEST 0 SITEPROP TIEOFF_X33Y53 IS_USED 0 SITEPROP TIEOFF_X33Y53 MANUAL_ROUTING SITEPROP TIEOFF_X33Y53 NAME TIEOFF_X33Y53 SITEPROP TIEOFF_X33Y53 NUM_ARCS 0 SITEPROP TIEOFF_X33Y53 NUM_BELS 2 SITEPROP TIEOFF_X33Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y53 NUM_PINS 2 SITEPROP TIEOFF_X33Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y53 PROHIBIT 0 SITEPROP TIEOFF_X33Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y53 RPM_X 132 SITEPROP TIEOFF_X33Y53 RPM_Y 106 SITEPROP TIEOFF_X33Y53 SITE_PIPS SITEPROP TIEOFF_X33Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y54 CLASS site SITEPROP TIEOFF_X33Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y54 IS_BONDED 0 SITEPROP TIEOFF_X33Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y54 IS_PAD 0 SITEPROP TIEOFF_X33Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y54 IS_RESERVED 0 SITEPROP TIEOFF_X33Y54 IS_TEST 0 SITEPROP TIEOFF_X33Y54 IS_USED 0 SITEPROP TIEOFF_X33Y54 MANUAL_ROUTING SITEPROP TIEOFF_X33Y54 NAME TIEOFF_X33Y54 SITEPROP TIEOFF_X33Y54 NUM_ARCS 0 SITEPROP TIEOFF_X33Y54 NUM_BELS 2 SITEPROP TIEOFF_X33Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y54 NUM_PINS 2 SITEPROP TIEOFF_X33Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y54 PROHIBIT 0 SITEPROP TIEOFF_X33Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y54 RPM_X 132 SITEPROP TIEOFF_X33Y54 RPM_Y 108 SITEPROP TIEOFF_X33Y54 SITE_PIPS SITEPROP TIEOFF_X33Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y55 CLASS site SITEPROP TIEOFF_X33Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y55 IS_BONDED 0 SITEPROP TIEOFF_X33Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y55 IS_PAD 0 SITEPROP TIEOFF_X33Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y55 IS_RESERVED 0 SITEPROP TIEOFF_X33Y55 IS_TEST 0 SITEPROP TIEOFF_X33Y55 IS_USED 0 SITEPROP TIEOFF_X33Y55 MANUAL_ROUTING SITEPROP TIEOFF_X33Y55 NAME TIEOFF_X33Y55 SITEPROP TIEOFF_X33Y55 NUM_ARCS 0 SITEPROP TIEOFF_X33Y55 NUM_BELS 2 SITEPROP TIEOFF_X33Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y55 NUM_PINS 2 SITEPROP TIEOFF_X33Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y55 PROHIBIT 0 SITEPROP TIEOFF_X33Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y55 RPM_X 132 SITEPROP TIEOFF_X33Y55 RPM_Y 110 SITEPROP TIEOFF_X33Y55 SITE_PIPS SITEPROP TIEOFF_X33Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y56 CLASS site SITEPROP TIEOFF_X33Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y56 IS_BONDED 0 SITEPROP TIEOFF_X33Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y56 IS_PAD 0 SITEPROP TIEOFF_X33Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y56 IS_RESERVED 0 SITEPROP TIEOFF_X33Y56 IS_TEST 0 SITEPROP TIEOFF_X33Y56 IS_USED 0 SITEPROP TIEOFF_X33Y56 MANUAL_ROUTING SITEPROP TIEOFF_X33Y56 NAME TIEOFF_X33Y56 SITEPROP TIEOFF_X33Y56 NUM_ARCS 0 SITEPROP TIEOFF_X33Y56 NUM_BELS 2 SITEPROP TIEOFF_X33Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y56 NUM_PINS 2 SITEPROP TIEOFF_X33Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y56 PROHIBIT 0 SITEPROP TIEOFF_X33Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y56 RPM_X 132 SITEPROP TIEOFF_X33Y56 RPM_Y 112 SITEPROP TIEOFF_X33Y56 SITE_PIPS SITEPROP TIEOFF_X33Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y57 CLASS site SITEPROP TIEOFF_X33Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y57 IS_BONDED 0 SITEPROP TIEOFF_X33Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y57 IS_PAD 0 SITEPROP TIEOFF_X33Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y57 IS_RESERVED 0 SITEPROP TIEOFF_X33Y57 IS_TEST 0 SITEPROP TIEOFF_X33Y57 IS_USED 0 SITEPROP TIEOFF_X33Y57 MANUAL_ROUTING SITEPROP TIEOFF_X33Y57 NAME TIEOFF_X33Y57 SITEPROP TIEOFF_X33Y57 NUM_ARCS 0 SITEPROP TIEOFF_X33Y57 NUM_BELS 2 SITEPROP TIEOFF_X33Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y57 NUM_PINS 2 SITEPROP TIEOFF_X33Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y57 PROHIBIT 0 SITEPROP TIEOFF_X33Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y57 RPM_X 132 SITEPROP TIEOFF_X33Y57 RPM_Y 114 SITEPROP TIEOFF_X33Y57 SITE_PIPS SITEPROP TIEOFF_X33Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y58 CLASS site SITEPROP TIEOFF_X33Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y58 IS_BONDED 0 SITEPROP TIEOFF_X33Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y58 IS_PAD 0 SITEPROP TIEOFF_X33Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y58 IS_RESERVED 0 SITEPROP TIEOFF_X33Y58 IS_TEST 0 SITEPROP TIEOFF_X33Y58 IS_USED 0 SITEPROP TIEOFF_X33Y58 MANUAL_ROUTING SITEPROP TIEOFF_X33Y58 NAME TIEOFF_X33Y58 SITEPROP TIEOFF_X33Y58 NUM_ARCS 0 SITEPROP TIEOFF_X33Y58 NUM_BELS 2 SITEPROP TIEOFF_X33Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y58 NUM_PINS 2 SITEPROP TIEOFF_X33Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y58 PROHIBIT 0 SITEPROP TIEOFF_X33Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y58 RPM_X 132 SITEPROP TIEOFF_X33Y58 RPM_Y 116 SITEPROP TIEOFF_X33Y58 SITE_PIPS SITEPROP TIEOFF_X33Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y59 CLASS site SITEPROP TIEOFF_X33Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y59 IS_BONDED 0 SITEPROP TIEOFF_X33Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y59 IS_PAD 0 SITEPROP TIEOFF_X33Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y59 IS_RESERVED 0 SITEPROP TIEOFF_X33Y59 IS_TEST 0 SITEPROP TIEOFF_X33Y59 IS_USED 0 SITEPROP TIEOFF_X33Y59 MANUAL_ROUTING SITEPROP TIEOFF_X33Y59 NAME TIEOFF_X33Y59 SITEPROP TIEOFF_X33Y59 NUM_ARCS 0 SITEPROP TIEOFF_X33Y59 NUM_BELS 2 SITEPROP TIEOFF_X33Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y59 NUM_PINS 2 SITEPROP TIEOFF_X33Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y59 PROHIBIT 0 SITEPROP TIEOFF_X33Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y59 RPM_X 132 SITEPROP TIEOFF_X33Y59 RPM_Y 118 SITEPROP TIEOFF_X33Y59 SITE_PIPS SITEPROP TIEOFF_X33Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y60 CLASS site SITEPROP TIEOFF_X33Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y60 IS_BONDED 0 SITEPROP TIEOFF_X33Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y60 IS_PAD 0 SITEPROP TIEOFF_X33Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y60 IS_RESERVED 0 SITEPROP TIEOFF_X33Y60 IS_TEST 0 SITEPROP TIEOFF_X33Y60 IS_USED 0 SITEPROP TIEOFF_X33Y60 MANUAL_ROUTING SITEPROP TIEOFF_X33Y60 NAME TIEOFF_X33Y60 SITEPROP TIEOFF_X33Y60 NUM_ARCS 0 SITEPROP TIEOFF_X33Y60 NUM_BELS 2 SITEPROP TIEOFF_X33Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y60 NUM_PINS 2 SITEPROP TIEOFF_X33Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y60 PROHIBIT 0 SITEPROP TIEOFF_X33Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y60 RPM_X 132 SITEPROP TIEOFF_X33Y60 RPM_Y 120 SITEPROP TIEOFF_X33Y60 SITE_PIPS SITEPROP TIEOFF_X33Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y61 CLASS site SITEPROP TIEOFF_X33Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y61 IS_BONDED 0 SITEPROP TIEOFF_X33Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y61 IS_PAD 0 SITEPROP TIEOFF_X33Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y61 IS_RESERVED 0 SITEPROP TIEOFF_X33Y61 IS_TEST 0 SITEPROP TIEOFF_X33Y61 IS_USED 0 SITEPROP TIEOFF_X33Y61 MANUAL_ROUTING SITEPROP TIEOFF_X33Y61 NAME TIEOFF_X33Y61 SITEPROP TIEOFF_X33Y61 NUM_ARCS 0 SITEPROP TIEOFF_X33Y61 NUM_BELS 2 SITEPROP TIEOFF_X33Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y61 NUM_PINS 2 SITEPROP TIEOFF_X33Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y61 PROHIBIT 0 SITEPROP TIEOFF_X33Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y61 RPM_X 132 SITEPROP TIEOFF_X33Y61 RPM_Y 122 SITEPROP TIEOFF_X33Y61 SITE_PIPS SITEPROP TIEOFF_X33Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y62 CLASS site SITEPROP TIEOFF_X33Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y62 IS_BONDED 0 SITEPROP TIEOFF_X33Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y62 IS_PAD 0 SITEPROP TIEOFF_X33Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y62 IS_RESERVED 0 SITEPROP TIEOFF_X33Y62 IS_TEST 0 SITEPROP TIEOFF_X33Y62 IS_USED 0 SITEPROP TIEOFF_X33Y62 MANUAL_ROUTING SITEPROP TIEOFF_X33Y62 NAME TIEOFF_X33Y62 SITEPROP TIEOFF_X33Y62 NUM_ARCS 0 SITEPROP TIEOFF_X33Y62 NUM_BELS 2 SITEPROP TIEOFF_X33Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y62 NUM_PINS 2 SITEPROP TIEOFF_X33Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y62 PROHIBIT 0 SITEPROP TIEOFF_X33Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y62 RPM_X 132 SITEPROP TIEOFF_X33Y62 RPM_Y 124 SITEPROP TIEOFF_X33Y62 SITE_PIPS SITEPROP TIEOFF_X33Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y63 CLASS site SITEPROP TIEOFF_X33Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y63 IS_BONDED 0 SITEPROP TIEOFF_X33Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y63 IS_PAD 0 SITEPROP TIEOFF_X33Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y63 IS_RESERVED 0 SITEPROP TIEOFF_X33Y63 IS_TEST 0 SITEPROP TIEOFF_X33Y63 IS_USED 0 SITEPROP TIEOFF_X33Y63 MANUAL_ROUTING SITEPROP TIEOFF_X33Y63 NAME TIEOFF_X33Y63 SITEPROP TIEOFF_X33Y63 NUM_ARCS 0 SITEPROP TIEOFF_X33Y63 NUM_BELS 2 SITEPROP TIEOFF_X33Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y63 NUM_PINS 2 SITEPROP TIEOFF_X33Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y63 PROHIBIT 0 SITEPROP TIEOFF_X33Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y63 RPM_X 132 SITEPROP TIEOFF_X33Y63 RPM_Y 126 SITEPROP TIEOFF_X33Y63 SITE_PIPS SITEPROP TIEOFF_X33Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y64 CLASS site SITEPROP TIEOFF_X33Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y64 IS_BONDED 0 SITEPROP TIEOFF_X33Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y64 IS_PAD 0 SITEPROP TIEOFF_X33Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y64 IS_RESERVED 0 SITEPROP TIEOFF_X33Y64 IS_TEST 0 SITEPROP TIEOFF_X33Y64 IS_USED 0 SITEPROP TIEOFF_X33Y64 MANUAL_ROUTING SITEPROP TIEOFF_X33Y64 NAME TIEOFF_X33Y64 SITEPROP TIEOFF_X33Y64 NUM_ARCS 0 SITEPROP TIEOFF_X33Y64 NUM_BELS 2 SITEPROP TIEOFF_X33Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y64 NUM_PINS 2 SITEPROP TIEOFF_X33Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y64 PROHIBIT 0 SITEPROP TIEOFF_X33Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y64 RPM_X 132 SITEPROP TIEOFF_X33Y64 RPM_Y 128 SITEPROP TIEOFF_X33Y64 SITE_PIPS SITEPROP TIEOFF_X33Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y65 CLASS site SITEPROP TIEOFF_X33Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y65 IS_BONDED 0 SITEPROP TIEOFF_X33Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y65 IS_PAD 0 SITEPROP TIEOFF_X33Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y65 IS_RESERVED 0 SITEPROP TIEOFF_X33Y65 IS_TEST 0 SITEPROP TIEOFF_X33Y65 IS_USED 0 SITEPROP TIEOFF_X33Y65 MANUAL_ROUTING SITEPROP TIEOFF_X33Y65 NAME TIEOFF_X33Y65 SITEPROP TIEOFF_X33Y65 NUM_ARCS 0 SITEPROP TIEOFF_X33Y65 NUM_BELS 2 SITEPROP TIEOFF_X33Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y65 NUM_PINS 2 SITEPROP TIEOFF_X33Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y65 PROHIBIT 0 SITEPROP TIEOFF_X33Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y65 RPM_X 132 SITEPROP TIEOFF_X33Y65 RPM_Y 130 SITEPROP TIEOFF_X33Y65 SITE_PIPS SITEPROP TIEOFF_X33Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y66 CLASS site SITEPROP TIEOFF_X33Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y66 IS_BONDED 0 SITEPROP TIEOFF_X33Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y66 IS_PAD 0 SITEPROP TIEOFF_X33Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y66 IS_RESERVED 0 SITEPROP TIEOFF_X33Y66 IS_TEST 0 SITEPROP TIEOFF_X33Y66 IS_USED 0 SITEPROP TIEOFF_X33Y66 MANUAL_ROUTING SITEPROP TIEOFF_X33Y66 NAME TIEOFF_X33Y66 SITEPROP TIEOFF_X33Y66 NUM_ARCS 0 SITEPROP TIEOFF_X33Y66 NUM_BELS 2 SITEPROP TIEOFF_X33Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y66 NUM_PINS 2 SITEPROP TIEOFF_X33Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y66 PROHIBIT 0 SITEPROP TIEOFF_X33Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y66 RPM_X 132 SITEPROP TIEOFF_X33Y66 RPM_Y 132 SITEPROP TIEOFF_X33Y66 SITE_PIPS SITEPROP TIEOFF_X33Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y67 CLASS site SITEPROP TIEOFF_X33Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y67 IS_BONDED 0 SITEPROP TIEOFF_X33Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y67 IS_PAD 0 SITEPROP TIEOFF_X33Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y67 IS_RESERVED 0 SITEPROP TIEOFF_X33Y67 IS_TEST 0 SITEPROP TIEOFF_X33Y67 IS_USED 0 SITEPROP TIEOFF_X33Y67 MANUAL_ROUTING SITEPROP TIEOFF_X33Y67 NAME TIEOFF_X33Y67 SITEPROP TIEOFF_X33Y67 NUM_ARCS 0 SITEPROP TIEOFF_X33Y67 NUM_BELS 2 SITEPROP TIEOFF_X33Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y67 NUM_PINS 2 SITEPROP TIEOFF_X33Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y67 PROHIBIT 0 SITEPROP TIEOFF_X33Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y67 RPM_X 132 SITEPROP TIEOFF_X33Y67 RPM_Y 134 SITEPROP TIEOFF_X33Y67 SITE_PIPS SITEPROP TIEOFF_X33Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y68 CLASS site SITEPROP TIEOFF_X33Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y68 IS_BONDED 0 SITEPROP TIEOFF_X33Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y68 IS_PAD 0 SITEPROP TIEOFF_X33Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y68 IS_RESERVED 0 SITEPROP TIEOFF_X33Y68 IS_TEST 0 SITEPROP TIEOFF_X33Y68 IS_USED 0 SITEPROP TIEOFF_X33Y68 MANUAL_ROUTING SITEPROP TIEOFF_X33Y68 NAME TIEOFF_X33Y68 SITEPROP TIEOFF_X33Y68 NUM_ARCS 0 SITEPROP TIEOFF_X33Y68 NUM_BELS 2 SITEPROP TIEOFF_X33Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y68 NUM_PINS 2 SITEPROP TIEOFF_X33Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y68 PROHIBIT 0 SITEPROP TIEOFF_X33Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y68 RPM_X 132 SITEPROP TIEOFF_X33Y68 RPM_Y 136 SITEPROP TIEOFF_X33Y68 SITE_PIPS SITEPROP TIEOFF_X33Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y69 CLASS site SITEPROP TIEOFF_X33Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y69 IS_BONDED 0 SITEPROP TIEOFF_X33Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y69 IS_PAD 0 SITEPROP TIEOFF_X33Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y69 IS_RESERVED 0 SITEPROP TIEOFF_X33Y69 IS_TEST 0 SITEPROP TIEOFF_X33Y69 IS_USED 0 SITEPROP TIEOFF_X33Y69 MANUAL_ROUTING SITEPROP TIEOFF_X33Y69 NAME TIEOFF_X33Y69 SITEPROP TIEOFF_X33Y69 NUM_ARCS 0 SITEPROP TIEOFF_X33Y69 NUM_BELS 2 SITEPROP TIEOFF_X33Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y69 NUM_PINS 2 SITEPROP TIEOFF_X33Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y69 PROHIBIT 0 SITEPROP TIEOFF_X33Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y69 RPM_X 132 SITEPROP TIEOFF_X33Y69 RPM_Y 138 SITEPROP TIEOFF_X33Y69 SITE_PIPS SITEPROP TIEOFF_X33Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y70 CLASS site SITEPROP TIEOFF_X33Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y70 IS_BONDED 0 SITEPROP TIEOFF_X33Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y70 IS_PAD 0 SITEPROP TIEOFF_X33Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y70 IS_RESERVED 0 SITEPROP TIEOFF_X33Y70 IS_TEST 0 SITEPROP TIEOFF_X33Y70 IS_USED 0 SITEPROP TIEOFF_X33Y70 MANUAL_ROUTING SITEPROP TIEOFF_X33Y70 NAME TIEOFF_X33Y70 SITEPROP TIEOFF_X33Y70 NUM_ARCS 0 SITEPROP TIEOFF_X33Y70 NUM_BELS 2 SITEPROP TIEOFF_X33Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y70 NUM_PINS 2 SITEPROP TIEOFF_X33Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y70 PROHIBIT 0 SITEPROP TIEOFF_X33Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y70 RPM_X 132 SITEPROP TIEOFF_X33Y70 RPM_Y 140 SITEPROP TIEOFF_X33Y70 SITE_PIPS SITEPROP TIEOFF_X33Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y71 CLASS site SITEPROP TIEOFF_X33Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y71 IS_BONDED 0 SITEPROP TIEOFF_X33Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y71 IS_PAD 0 SITEPROP TIEOFF_X33Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y71 IS_RESERVED 0 SITEPROP TIEOFF_X33Y71 IS_TEST 0 SITEPROP TIEOFF_X33Y71 IS_USED 0 SITEPROP TIEOFF_X33Y71 MANUAL_ROUTING SITEPROP TIEOFF_X33Y71 NAME TIEOFF_X33Y71 SITEPROP TIEOFF_X33Y71 NUM_ARCS 0 SITEPROP TIEOFF_X33Y71 NUM_BELS 2 SITEPROP TIEOFF_X33Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y71 NUM_PINS 2 SITEPROP TIEOFF_X33Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y71 PROHIBIT 0 SITEPROP TIEOFF_X33Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y71 RPM_X 132 SITEPROP TIEOFF_X33Y71 RPM_Y 142 SITEPROP TIEOFF_X33Y71 SITE_PIPS SITEPROP TIEOFF_X33Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y72 CLASS site SITEPROP TIEOFF_X33Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y72 IS_BONDED 0 SITEPROP TIEOFF_X33Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y72 IS_PAD 0 SITEPROP TIEOFF_X33Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y72 IS_RESERVED 0 SITEPROP TIEOFF_X33Y72 IS_TEST 0 SITEPROP TIEOFF_X33Y72 IS_USED 0 SITEPROP TIEOFF_X33Y72 MANUAL_ROUTING SITEPROP TIEOFF_X33Y72 NAME TIEOFF_X33Y72 SITEPROP TIEOFF_X33Y72 NUM_ARCS 0 SITEPROP TIEOFF_X33Y72 NUM_BELS 2 SITEPROP TIEOFF_X33Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y72 NUM_PINS 2 SITEPROP TIEOFF_X33Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y72 PROHIBIT 0 SITEPROP TIEOFF_X33Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y72 RPM_X 132 SITEPROP TIEOFF_X33Y72 RPM_Y 144 SITEPROP TIEOFF_X33Y72 SITE_PIPS SITEPROP TIEOFF_X33Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y73 CLASS site SITEPROP TIEOFF_X33Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y73 IS_BONDED 0 SITEPROP TIEOFF_X33Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y73 IS_PAD 0 SITEPROP TIEOFF_X33Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y73 IS_RESERVED 0 SITEPROP TIEOFF_X33Y73 IS_TEST 0 SITEPROP TIEOFF_X33Y73 IS_USED 0 SITEPROP TIEOFF_X33Y73 MANUAL_ROUTING SITEPROP TIEOFF_X33Y73 NAME TIEOFF_X33Y73 SITEPROP TIEOFF_X33Y73 NUM_ARCS 0 SITEPROP TIEOFF_X33Y73 NUM_BELS 2 SITEPROP TIEOFF_X33Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y73 NUM_PINS 2 SITEPROP TIEOFF_X33Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y73 PROHIBIT 0 SITEPROP TIEOFF_X33Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y73 RPM_X 132 SITEPROP TIEOFF_X33Y73 RPM_Y 146 SITEPROP TIEOFF_X33Y73 SITE_PIPS SITEPROP TIEOFF_X33Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y74 CLASS site SITEPROP TIEOFF_X33Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y74 IS_BONDED 0 SITEPROP TIEOFF_X33Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y74 IS_PAD 0 SITEPROP TIEOFF_X33Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y74 IS_RESERVED 0 SITEPROP TIEOFF_X33Y74 IS_TEST 0 SITEPROP TIEOFF_X33Y74 IS_USED 0 SITEPROP TIEOFF_X33Y74 MANUAL_ROUTING SITEPROP TIEOFF_X33Y74 NAME TIEOFF_X33Y74 SITEPROP TIEOFF_X33Y74 NUM_ARCS 0 SITEPROP TIEOFF_X33Y74 NUM_BELS 2 SITEPROP TIEOFF_X33Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y74 NUM_PINS 2 SITEPROP TIEOFF_X33Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y74 PROHIBIT 0 SITEPROP TIEOFF_X33Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y74 RPM_X 132 SITEPROP TIEOFF_X33Y74 RPM_Y 148 SITEPROP TIEOFF_X33Y74 SITE_PIPS SITEPROP TIEOFF_X33Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y75 CLASS site SITEPROP TIEOFF_X33Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y75 IS_BONDED 0 SITEPROP TIEOFF_X33Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y75 IS_PAD 0 SITEPROP TIEOFF_X33Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y75 IS_RESERVED 0 SITEPROP TIEOFF_X33Y75 IS_TEST 0 SITEPROP TIEOFF_X33Y75 IS_USED 0 SITEPROP TIEOFF_X33Y75 MANUAL_ROUTING SITEPROP TIEOFF_X33Y75 NAME TIEOFF_X33Y75 SITEPROP TIEOFF_X33Y75 NUM_ARCS 0 SITEPROP TIEOFF_X33Y75 NUM_BELS 2 SITEPROP TIEOFF_X33Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y75 NUM_PINS 2 SITEPROP TIEOFF_X33Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y75 PROHIBIT 0 SITEPROP TIEOFF_X33Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y75 RPM_X 132 SITEPROP TIEOFF_X33Y75 RPM_Y 150 SITEPROP TIEOFF_X33Y75 SITE_PIPS SITEPROP TIEOFF_X33Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y76 CLASS site SITEPROP TIEOFF_X33Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y76 IS_BONDED 0 SITEPROP TIEOFF_X33Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y76 IS_PAD 0 SITEPROP TIEOFF_X33Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y76 IS_RESERVED 0 SITEPROP TIEOFF_X33Y76 IS_TEST 0 SITEPROP TIEOFF_X33Y76 IS_USED 0 SITEPROP TIEOFF_X33Y76 MANUAL_ROUTING SITEPROP TIEOFF_X33Y76 NAME TIEOFF_X33Y76 SITEPROP TIEOFF_X33Y76 NUM_ARCS 0 SITEPROP TIEOFF_X33Y76 NUM_BELS 2 SITEPROP TIEOFF_X33Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y76 NUM_PINS 2 SITEPROP TIEOFF_X33Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y76 PROHIBIT 0 SITEPROP TIEOFF_X33Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y76 RPM_X 132 SITEPROP TIEOFF_X33Y76 RPM_Y 152 SITEPROP TIEOFF_X33Y76 SITE_PIPS SITEPROP TIEOFF_X33Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y77 CLASS site SITEPROP TIEOFF_X33Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y77 IS_BONDED 0 SITEPROP TIEOFF_X33Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y77 IS_PAD 0 SITEPROP TIEOFF_X33Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y77 IS_RESERVED 0 SITEPROP TIEOFF_X33Y77 IS_TEST 0 SITEPROP TIEOFF_X33Y77 IS_USED 0 SITEPROP TIEOFF_X33Y77 MANUAL_ROUTING SITEPROP TIEOFF_X33Y77 NAME TIEOFF_X33Y77 SITEPROP TIEOFF_X33Y77 NUM_ARCS 0 SITEPROP TIEOFF_X33Y77 NUM_BELS 2 SITEPROP TIEOFF_X33Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y77 NUM_PINS 2 SITEPROP TIEOFF_X33Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y77 PROHIBIT 0 SITEPROP TIEOFF_X33Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y77 RPM_X 132 SITEPROP TIEOFF_X33Y77 RPM_Y 154 SITEPROP TIEOFF_X33Y77 SITE_PIPS SITEPROP TIEOFF_X33Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y78 CLASS site SITEPROP TIEOFF_X33Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y78 IS_BONDED 0 SITEPROP TIEOFF_X33Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y78 IS_PAD 0 SITEPROP TIEOFF_X33Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y78 IS_RESERVED 0 SITEPROP TIEOFF_X33Y78 IS_TEST 0 SITEPROP TIEOFF_X33Y78 IS_USED 0 SITEPROP TIEOFF_X33Y78 MANUAL_ROUTING SITEPROP TIEOFF_X33Y78 NAME TIEOFF_X33Y78 SITEPROP TIEOFF_X33Y78 NUM_ARCS 0 SITEPROP TIEOFF_X33Y78 NUM_BELS 2 SITEPROP TIEOFF_X33Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y78 NUM_PINS 2 SITEPROP TIEOFF_X33Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y78 PROHIBIT 0 SITEPROP TIEOFF_X33Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y78 RPM_X 132 SITEPROP TIEOFF_X33Y78 RPM_Y 156 SITEPROP TIEOFF_X33Y78 SITE_PIPS SITEPROP TIEOFF_X33Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y79 CLASS site SITEPROP TIEOFF_X33Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y79 IS_BONDED 0 SITEPROP TIEOFF_X33Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y79 IS_PAD 0 SITEPROP TIEOFF_X33Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y79 IS_RESERVED 0 SITEPROP TIEOFF_X33Y79 IS_TEST 0 SITEPROP TIEOFF_X33Y79 IS_USED 0 SITEPROP TIEOFF_X33Y79 MANUAL_ROUTING SITEPROP TIEOFF_X33Y79 NAME TIEOFF_X33Y79 SITEPROP TIEOFF_X33Y79 NUM_ARCS 0 SITEPROP TIEOFF_X33Y79 NUM_BELS 2 SITEPROP TIEOFF_X33Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y79 NUM_PINS 2 SITEPROP TIEOFF_X33Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y79 PROHIBIT 0 SITEPROP TIEOFF_X33Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y79 RPM_X 132 SITEPROP TIEOFF_X33Y79 RPM_Y 158 SITEPROP TIEOFF_X33Y79 SITE_PIPS SITEPROP TIEOFF_X33Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y80 CLASS site SITEPROP TIEOFF_X33Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y80 IS_BONDED 0 SITEPROP TIEOFF_X33Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y80 IS_PAD 0 SITEPROP TIEOFF_X33Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y80 IS_RESERVED 0 SITEPROP TIEOFF_X33Y80 IS_TEST 0 SITEPROP TIEOFF_X33Y80 IS_USED 0 SITEPROP TIEOFF_X33Y80 MANUAL_ROUTING SITEPROP TIEOFF_X33Y80 NAME TIEOFF_X33Y80 SITEPROP TIEOFF_X33Y80 NUM_ARCS 0 SITEPROP TIEOFF_X33Y80 NUM_BELS 2 SITEPROP TIEOFF_X33Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y80 NUM_PINS 2 SITEPROP TIEOFF_X33Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y80 PROHIBIT 0 SITEPROP TIEOFF_X33Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y80 RPM_X 132 SITEPROP TIEOFF_X33Y80 RPM_Y 160 SITEPROP TIEOFF_X33Y80 SITE_PIPS SITEPROP TIEOFF_X33Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y81 CLASS site SITEPROP TIEOFF_X33Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y81 IS_BONDED 0 SITEPROP TIEOFF_X33Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y81 IS_PAD 0 SITEPROP TIEOFF_X33Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y81 IS_RESERVED 0 SITEPROP TIEOFF_X33Y81 IS_TEST 0 SITEPROP TIEOFF_X33Y81 IS_USED 0 SITEPROP TIEOFF_X33Y81 MANUAL_ROUTING SITEPROP TIEOFF_X33Y81 NAME TIEOFF_X33Y81 SITEPROP TIEOFF_X33Y81 NUM_ARCS 0 SITEPROP TIEOFF_X33Y81 NUM_BELS 2 SITEPROP TIEOFF_X33Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y81 NUM_PINS 2 SITEPROP TIEOFF_X33Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y81 PROHIBIT 0 SITEPROP TIEOFF_X33Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y81 RPM_X 132 SITEPROP TIEOFF_X33Y81 RPM_Y 162 SITEPROP TIEOFF_X33Y81 SITE_PIPS SITEPROP TIEOFF_X33Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y82 CLASS site SITEPROP TIEOFF_X33Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y82 IS_BONDED 0 SITEPROP TIEOFF_X33Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y82 IS_PAD 0 SITEPROP TIEOFF_X33Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y82 IS_RESERVED 0 SITEPROP TIEOFF_X33Y82 IS_TEST 0 SITEPROP TIEOFF_X33Y82 IS_USED 0 SITEPROP TIEOFF_X33Y82 MANUAL_ROUTING SITEPROP TIEOFF_X33Y82 NAME TIEOFF_X33Y82 SITEPROP TIEOFF_X33Y82 NUM_ARCS 0 SITEPROP TIEOFF_X33Y82 NUM_BELS 2 SITEPROP TIEOFF_X33Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y82 NUM_PINS 2 SITEPROP TIEOFF_X33Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y82 PROHIBIT 0 SITEPROP TIEOFF_X33Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y82 RPM_X 132 SITEPROP TIEOFF_X33Y82 RPM_Y 164 SITEPROP TIEOFF_X33Y82 SITE_PIPS SITEPROP TIEOFF_X33Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y83 CLASS site SITEPROP TIEOFF_X33Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y83 IS_BONDED 0 SITEPROP TIEOFF_X33Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y83 IS_PAD 0 SITEPROP TIEOFF_X33Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y83 IS_RESERVED 0 SITEPROP TIEOFF_X33Y83 IS_TEST 0 SITEPROP TIEOFF_X33Y83 IS_USED 0 SITEPROP TIEOFF_X33Y83 MANUAL_ROUTING SITEPROP TIEOFF_X33Y83 NAME TIEOFF_X33Y83 SITEPROP TIEOFF_X33Y83 NUM_ARCS 0 SITEPROP TIEOFF_X33Y83 NUM_BELS 2 SITEPROP TIEOFF_X33Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y83 NUM_PINS 2 SITEPROP TIEOFF_X33Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y83 PROHIBIT 0 SITEPROP TIEOFF_X33Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y83 RPM_X 132 SITEPROP TIEOFF_X33Y83 RPM_Y 166 SITEPROP TIEOFF_X33Y83 SITE_PIPS SITEPROP TIEOFF_X33Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y84 CLASS site SITEPROP TIEOFF_X33Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y84 IS_BONDED 0 SITEPROP TIEOFF_X33Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y84 IS_PAD 0 SITEPROP TIEOFF_X33Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y84 IS_RESERVED 0 SITEPROP TIEOFF_X33Y84 IS_TEST 0 SITEPROP TIEOFF_X33Y84 IS_USED 0 SITEPROP TIEOFF_X33Y84 MANUAL_ROUTING SITEPROP TIEOFF_X33Y84 NAME TIEOFF_X33Y84 SITEPROP TIEOFF_X33Y84 NUM_ARCS 0 SITEPROP TIEOFF_X33Y84 NUM_BELS 2 SITEPROP TIEOFF_X33Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y84 NUM_PINS 2 SITEPROP TIEOFF_X33Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y84 PROHIBIT 0 SITEPROP TIEOFF_X33Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y84 RPM_X 132 SITEPROP TIEOFF_X33Y84 RPM_Y 168 SITEPROP TIEOFF_X33Y84 SITE_PIPS SITEPROP TIEOFF_X33Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y85 CLASS site SITEPROP TIEOFF_X33Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y85 IS_BONDED 0 SITEPROP TIEOFF_X33Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y85 IS_PAD 0 SITEPROP TIEOFF_X33Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y85 IS_RESERVED 0 SITEPROP TIEOFF_X33Y85 IS_TEST 0 SITEPROP TIEOFF_X33Y85 IS_USED 0 SITEPROP TIEOFF_X33Y85 MANUAL_ROUTING SITEPROP TIEOFF_X33Y85 NAME TIEOFF_X33Y85 SITEPROP TIEOFF_X33Y85 NUM_ARCS 0 SITEPROP TIEOFF_X33Y85 NUM_BELS 2 SITEPROP TIEOFF_X33Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y85 NUM_PINS 2 SITEPROP TIEOFF_X33Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y85 PROHIBIT 0 SITEPROP TIEOFF_X33Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y85 RPM_X 132 SITEPROP TIEOFF_X33Y85 RPM_Y 170 SITEPROP TIEOFF_X33Y85 SITE_PIPS SITEPROP TIEOFF_X33Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y86 CLASS site SITEPROP TIEOFF_X33Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y86 IS_BONDED 0 SITEPROP TIEOFF_X33Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y86 IS_PAD 0 SITEPROP TIEOFF_X33Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y86 IS_RESERVED 0 SITEPROP TIEOFF_X33Y86 IS_TEST 0 SITEPROP TIEOFF_X33Y86 IS_USED 0 SITEPROP TIEOFF_X33Y86 MANUAL_ROUTING SITEPROP TIEOFF_X33Y86 NAME TIEOFF_X33Y86 SITEPROP TIEOFF_X33Y86 NUM_ARCS 0 SITEPROP TIEOFF_X33Y86 NUM_BELS 2 SITEPROP TIEOFF_X33Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y86 NUM_PINS 2 SITEPROP TIEOFF_X33Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y86 PROHIBIT 0 SITEPROP TIEOFF_X33Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y86 RPM_X 132 SITEPROP TIEOFF_X33Y86 RPM_Y 172 SITEPROP TIEOFF_X33Y86 SITE_PIPS SITEPROP TIEOFF_X33Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y87 CLASS site SITEPROP TIEOFF_X33Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y87 IS_BONDED 0 SITEPROP TIEOFF_X33Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y87 IS_PAD 0 SITEPROP TIEOFF_X33Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y87 IS_RESERVED 0 SITEPROP TIEOFF_X33Y87 IS_TEST 0 SITEPROP TIEOFF_X33Y87 IS_USED 0 SITEPROP TIEOFF_X33Y87 MANUAL_ROUTING SITEPROP TIEOFF_X33Y87 NAME TIEOFF_X33Y87 SITEPROP TIEOFF_X33Y87 NUM_ARCS 0 SITEPROP TIEOFF_X33Y87 NUM_BELS 2 SITEPROP TIEOFF_X33Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y87 NUM_PINS 2 SITEPROP TIEOFF_X33Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y87 PROHIBIT 0 SITEPROP TIEOFF_X33Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y87 RPM_X 132 SITEPROP TIEOFF_X33Y87 RPM_Y 174 SITEPROP TIEOFF_X33Y87 SITE_PIPS SITEPROP TIEOFF_X33Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y88 CLASS site SITEPROP TIEOFF_X33Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y88 IS_BONDED 0 SITEPROP TIEOFF_X33Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y88 IS_PAD 0 SITEPROP TIEOFF_X33Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y88 IS_RESERVED 0 SITEPROP TIEOFF_X33Y88 IS_TEST 0 SITEPROP TIEOFF_X33Y88 IS_USED 0 SITEPROP TIEOFF_X33Y88 MANUAL_ROUTING SITEPROP TIEOFF_X33Y88 NAME TIEOFF_X33Y88 SITEPROP TIEOFF_X33Y88 NUM_ARCS 0 SITEPROP TIEOFF_X33Y88 NUM_BELS 2 SITEPROP TIEOFF_X33Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y88 NUM_PINS 2 SITEPROP TIEOFF_X33Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y88 PROHIBIT 0 SITEPROP TIEOFF_X33Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y88 RPM_X 132 SITEPROP TIEOFF_X33Y88 RPM_Y 176 SITEPROP TIEOFF_X33Y88 SITE_PIPS SITEPROP TIEOFF_X33Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y89 CLASS site SITEPROP TIEOFF_X33Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y89 IS_BONDED 0 SITEPROP TIEOFF_X33Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y89 IS_PAD 0 SITEPROP TIEOFF_X33Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y89 IS_RESERVED 0 SITEPROP TIEOFF_X33Y89 IS_TEST 0 SITEPROP TIEOFF_X33Y89 IS_USED 0 SITEPROP TIEOFF_X33Y89 MANUAL_ROUTING SITEPROP TIEOFF_X33Y89 NAME TIEOFF_X33Y89 SITEPROP TIEOFF_X33Y89 NUM_ARCS 0 SITEPROP TIEOFF_X33Y89 NUM_BELS 2 SITEPROP TIEOFF_X33Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y89 NUM_PINS 2 SITEPROP TIEOFF_X33Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y89 PROHIBIT 0 SITEPROP TIEOFF_X33Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y89 RPM_X 132 SITEPROP TIEOFF_X33Y89 RPM_Y 178 SITEPROP TIEOFF_X33Y89 SITE_PIPS SITEPROP TIEOFF_X33Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y90 CLASS site SITEPROP TIEOFF_X33Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y90 IS_BONDED 0 SITEPROP TIEOFF_X33Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y90 IS_PAD 0 SITEPROP TIEOFF_X33Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y90 IS_RESERVED 0 SITEPROP TIEOFF_X33Y90 IS_TEST 0 SITEPROP TIEOFF_X33Y90 IS_USED 0 SITEPROP TIEOFF_X33Y90 MANUAL_ROUTING SITEPROP TIEOFF_X33Y90 NAME TIEOFF_X33Y90 SITEPROP TIEOFF_X33Y90 NUM_ARCS 0 SITEPROP TIEOFF_X33Y90 NUM_BELS 2 SITEPROP TIEOFF_X33Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y90 NUM_PINS 2 SITEPROP TIEOFF_X33Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y90 PROHIBIT 0 SITEPROP TIEOFF_X33Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y90 RPM_X 132 SITEPROP TIEOFF_X33Y90 RPM_Y 180 SITEPROP TIEOFF_X33Y90 SITE_PIPS SITEPROP TIEOFF_X33Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y91 CLASS site SITEPROP TIEOFF_X33Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y91 IS_BONDED 0 SITEPROP TIEOFF_X33Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y91 IS_PAD 0 SITEPROP TIEOFF_X33Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y91 IS_RESERVED 0 SITEPROP TIEOFF_X33Y91 IS_TEST 0 SITEPROP TIEOFF_X33Y91 IS_USED 0 SITEPROP TIEOFF_X33Y91 MANUAL_ROUTING SITEPROP TIEOFF_X33Y91 NAME TIEOFF_X33Y91 SITEPROP TIEOFF_X33Y91 NUM_ARCS 0 SITEPROP TIEOFF_X33Y91 NUM_BELS 2 SITEPROP TIEOFF_X33Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y91 NUM_PINS 2 SITEPROP TIEOFF_X33Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y91 PROHIBIT 0 SITEPROP TIEOFF_X33Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y91 RPM_X 132 SITEPROP TIEOFF_X33Y91 RPM_Y 182 SITEPROP TIEOFF_X33Y91 SITE_PIPS SITEPROP TIEOFF_X33Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y92 CLASS site SITEPROP TIEOFF_X33Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y92 IS_BONDED 0 SITEPROP TIEOFF_X33Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y92 IS_PAD 0 SITEPROP TIEOFF_X33Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y92 IS_RESERVED 0 SITEPROP TIEOFF_X33Y92 IS_TEST 0 SITEPROP TIEOFF_X33Y92 IS_USED 0 SITEPROP TIEOFF_X33Y92 MANUAL_ROUTING SITEPROP TIEOFF_X33Y92 NAME TIEOFF_X33Y92 SITEPROP TIEOFF_X33Y92 NUM_ARCS 0 SITEPROP TIEOFF_X33Y92 NUM_BELS 2 SITEPROP TIEOFF_X33Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y92 NUM_PINS 2 SITEPROP TIEOFF_X33Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y92 PROHIBIT 0 SITEPROP TIEOFF_X33Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y92 RPM_X 132 SITEPROP TIEOFF_X33Y92 RPM_Y 184 SITEPROP TIEOFF_X33Y92 SITE_PIPS SITEPROP TIEOFF_X33Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y93 CLASS site SITEPROP TIEOFF_X33Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y93 IS_BONDED 0 SITEPROP TIEOFF_X33Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y93 IS_PAD 0 SITEPROP TIEOFF_X33Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y93 IS_RESERVED 0 SITEPROP TIEOFF_X33Y93 IS_TEST 0 SITEPROP TIEOFF_X33Y93 IS_USED 0 SITEPROP TIEOFF_X33Y93 MANUAL_ROUTING SITEPROP TIEOFF_X33Y93 NAME TIEOFF_X33Y93 SITEPROP TIEOFF_X33Y93 NUM_ARCS 0 SITEPROP TIEOFF_X33Y93 NUM_BELS 2 SITEPROP TIEOFF_X33Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y93 NUM_PINS 2 SITEPROP TIEOFF_X33Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y93 PROHIBIT 0 SITEPROP TIEOFF_X33Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y93 RPM_X 132 SITEPROP TIEOFF_X33Y93 RPM_Y 186 SITEPROP TIEOFF_X33Y93 SITE_PIPS SITEPROP TIEOFF_X33Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y94 CLASS site SITEPROP TIEOFF_X33Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y94 IS_BONDED 0 SITEPROP TIEOFF_X33Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y94 IS_PAD 0 SITEPROP TIEOFF_X33Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y94 IS_RESERVED 0 SITEPROP TIEOFF_X33Y94 IS_TEST 0 SITEPROP TIEOFF_X33Y94 IS_USED 0 SITEPROP TIEOFF_X33Y94 MANUAL_ROUTING SITEPROP TIEOFF_X33Y94 NAME TIEOFF_X33Y94 SITEPROP TIEOFF_X33Y94 NUM_ARCS 0 SITEPROP TIEOFF_X33Y94 NUM_BELS 2 SITEPROP TIEOFF_X33Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y94 NUM_PINS 2 SITEPROP TIEOFF_X33Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y94 PROHIBIT 0 SITEPROP TIEOFF_X33Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y94 RPM_X 132 SITEPROP TIEOFF_X33Y94 RPM_Y 188 SITEPROP TIEOFF_X33Y94 SITE_PIPS SITEPROP TIEOFF_X33Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y95 CLASS site SITEPROP TIEOFF_X33Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y95 IS_BONDED 0 SITEPROP TIEOFF_X33Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y95 IS_PAD 0 SITEPROP TIEOFF_X33Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y95 IS_RESERVED 0 SITEPROP TIEOFF_X33Y95 IS_TEST 0 SITEPROP TIEOFF_X33Y95 IS_USED 0 SITEPROP TIEOFF_X33Y95 MANUAL_ROUTING SITEPROP TIEOFF_X33Y95 NAME TIEOFF_X33Y95 SITEPROP TIEOFF_X33Y95 NUM_ARCS 0 SITEPROP TIEOFF_X33Y95 NUM_BELS 2 SITEPROP TIEOFF_X33Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y95 NUM_PINS 2 SITEPROP TIEOFF_X33Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y95 PROHIBIT 0 SITEPROP TIEOFF_X33Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y95 RPM_X 132 SITEPROP TIEOFF_X33Y95 RPM_Y 190 SITEPROP TIEOFF_X33Y95 SITE_PIPS SITEPROP TIEOFF_X33Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y96 CLASS site SITEPROP TIEOFF_X33Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y96 IS_BONDED 0 SITEPROP TIEOFF_X33Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y96 IS_PAD 0 SITEPROP TIEOFF_X33Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y96 IS_RESERVED 0 SITEPROP TIEOFF_X33Y96 IS_TEST 0 SITEPROP TIEOFF_X33Y96 IS_USED 0 SITEPROP TIEOFF_X33Y96 MANUAL_ROUTING SITEPROP TIEOFF_X33Y96 NAME TIEOFF_X33Y96 SITEPROP TIEOFF_X33Y96 NUM_ARCS 0 SITEPROP TIEOFF_X33Y96 NUM_BELS 2 SITEPROP TIEOFF_X33Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y96 NUM_PINS 2 SITEPROP TIEOFF_X33Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y96 PROHIBIT 0 SITEPROP TIEOFF_X33Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y96 RPM_X 132 SITEPROP TIEOFF_X33Y96 RPM_Y 192 SITEPROP TIEOFF_X33Y96 SITE_PIPS SITEPROP TIEOFF_X33Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y97 CLASS site SITEPROP TIEOFF_X33Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y97 IS_BONDED 0 SITEPROP TIEOFF_X33Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y97 IS_PAD 0 SITEPROP TIEOFF_X33Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y97 IS_RESERVED 0 SITEPROP TIEOFF_X33Y97 IS_TEST 0 SITEPROP TIEOFF_X33Y97 IS_USED 0 SITEPROP TIEOFF_X33Y97 MANUAL_ROUTING SITEPROP TIEOFF_X33Y97 NAME TIEOFF_X33Y97 SITEPROP TIEOFF_X33Y97 NUM_ARCS 0 SITEPROP TIEOFF_X33Y97 NUM_BELS 2 SITEPROP TIEOFF_X33Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y97 NUM_PINS 2 SITEPROP TIEOFF_X33Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y97 PROHIBIT 0 SITEPROP TIEOFF_X33Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y97 RPM_X 132 SITEPROP TIEOFF_X33Y97 RPM_Y 194 SITEPROP TIEOFF_X33Y97 SITE_PIPS SITEPROP TIEOFF_X33Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y98 CLASS site SITEPROP TIEOFF_X33Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y98 IS_BONDED 0 SITEPROP TIEOFF_X33Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y98 IS_PAD 0 SITEPROP TIEOFF_X33Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y98 IS_RESERVED 0 SITEPROP TIEOFF_X33Y98 IS_TEST 0 SITEPROP TIEOFF_X33Y98 IS_USED 0 SITEPROP TIEOFF_X33Y98 MANUAL_ROUTING SITEPROP TIEOFF_X33Y98 NAME TIEOFF_X33Y98 SITEPROP TIEOFF_X33Y98 NUM_ARCS 0 SITEPROP TIEOFF_X33Y98 NUM_BELS 2 SITEPROP TIEOFF_X33Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y98 NUM_PINS 2 SITEPROP TIEOFF_X33Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y98 PROHIBIT 0 SITEPROP TIEOFF_X33Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y98 RPM_X 132 SITEPROP TIEOFF_X33Y98 RPM_Y 196 SITEPROP TIEOFF_X33Y98 SITE_PIPS SITEPROP TIEOFF_X33Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y99 CLASS site SITEPROP TIEOFF_X33Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X33Y99 IS_BONDED 0 SITEPROP TIEOFF_X33Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y99 IS_PAD 0 SITEPROP TIEOFF_X33Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y99 IS_RESERVED 0 SITEPROP TIEOFF_X33Y99 IS_TEST 0 SITEPROP TIEOFF_X33Y99 IS_USED 0 SITEPROP TIEOFF_X33Y99 MANUAL_ROUTING SITEPROP TIEOFF_X33Y99 NAME TIEOFF_X33Y99 SITEPROP TIEOFF_X33Y99 NUM_ARCS 0 SITEPROP TIEOFF_X33Y99 NUM_BELS 2 SITEPROP TIEOFF_X33Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y99 NUM_PINS 2 SITEPROP TIEOFF_X33Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y99 PROHIBIT 0 SITEPROP TIEOFF_X33Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y99 RPM_X 132 SITEPROP TIEOFF_X33Y99 RPM_Y 198 SITEPROP TIEOFF_X33Y99 SITE_PIPS SITEPROP TIEOFF_X33Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y100 CLASS site SITEPROP TIEOFF_X33Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y100 IS_BONDED 0 SITEPROP TIEOFF_X33Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y100 IS_PAD 0 SITEPROP TIEOFF_X33Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y100 IS_RESERVED 0 SITEPROP TIEOFF_X33Y100 IS_TEST 0 SITEPROP TIEOFF_X33Y100 IS_USED 0 SITEPROP TIEOFF_X33Y100 MANUAL_ROUTING SITEPROP TIEOFF_X33Y100 NAME TIEOFF_X33Y100 SITEPROP TIEOFF_X33Y100 NUM_ARCS 0 SITEPROP TIEOFF_X33Y100 NUM_BELS 2 SITEPROP TIEOFF_X33Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y100 NUM_PINS 2 SITEPROP TIEOFF_X33Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y100 PROHIBIT 0 SITEPROP TIEOFF_X33Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y100 RPM_X 132 SITEPROP TIEOFF_X33Y100 RPM_Y 200 SITEPROP TIEOFF_X33Y100 SITE_PIPS SITEPROP TIEOFF_X33Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y101 CLASS site SITEPROP TIEOFF_X33Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y101 IS_BONDED 0 SITEPROP TIEOFF_X33Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y101 IS_PAD 0 SITEPROP TIEOFF_X33Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y101 IS_RESERVED 0 SITEPROP TIEOFF_X33Y101 IS_TEST 0 SITEPROP TIEOFF_X33Y101 IS_USED 0 SITEPROP TIEOFF_X33Y101 MANUAL_ROUTING SITEPROP TIEOFF_X33Y101 NAME TIEOFF_X33Y101 SITEPROP TIEOFF_X33Y101 NUM_ARCS 0 SITEPROP TIEOFF_X33Y101 NUM_BELS 2 SITEPROP TIEOFF_X33Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y101 NUM_PINS 2 SITEPROP TIEOFF_X33Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y101 PROHIBIT 0 SITEPROP TIEOFF_X33Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y101 RPM_X 132 SITEPROP TIEOFF_X33Y101 RPM_Y 202 SITEPROP TIEOFF_X33Y101 SITE_PIPS SITEPROP TIEOFF_X33Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y102 CLASS site SITEPROP TIEOFF_X33Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y102 IS_BONDED 0 SITEPROP TIEOFF_X33Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y102 IS_PAD 0 SITEPROP TIEOFF_X33Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y102 IS_RESERVED 0 SITEPROP TIEOFF_X33Y102 IS_TEST 0 SITEPROP TIEOFF_X33Y102 IS_USED 0 SITEPROP TIEOFF_X33Y102 MANUAL_ROUTING SITEPROP TIEOFF_X33Y102 NAME TIEOFF_X33Y102 SITEPROP TIEOFF_X33Y102 NUM_ARCS 0 SITEPROP TIEOFF_X33Y102 NUM_BELS 2 SITEPROP TIEOFF_X33Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y102 NUM_PINS 2 SITEPROP TIEOFF_X33Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y102 PROHIBIT 0 SITEPROP TIEOFF_X33Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y102 RPM_X 132 SITEPROP TIEOFF_X33Y102 RPM_Y 204 SITEPROP TIEOFF_X33Y102 SITE_PIPS SITEPROP TIEOFF_X33Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y103 CLASS site SITEPROP TIEOFF_X33Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y103 IS_BONDED 0 SITEPROP TIEOFF_X33Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y103 IS_PAD 0 SITEPROP TIEOFF_X33Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y103 IS_RESERVED 0 SITEPROP TIEOFF_X33Y103 IS_TEST 0 SITEPROP TIEOFF_X33Y103 IS_USED 0 SITEPROP TIEOFF_X33Y103 MANUAL_ROUTING SITEPROP TIEOFF_X33Y103 NAME TIEOFF_X33Y103 SITEPROP TIEOFF_X33Y103 NUM_ARCS 0 SITEPROP TIEOFF_X33Y103 NUM_BELS 2 SITEPROP TIEOFF_X33Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y103 NUM_PINS 2 SITEPROP TIEOFF_X33Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y103 PROHIBIT 0 SITEPROP TIEOFF_X33Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y103 RPM_X 132 SITEPROP TIEOFF_X33Y103 RPM_Y 206 SITEPROP TIEOFF_X33Y103 SITE_PIPS SITEPROP TIEOFF_X33Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y104 CLASS site SITEPROP TIEOFF_X33Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y104 IS_BONDED 0 SITEPROP TIEOFF_X33Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y104 IS_PAD 0 SITEPROP TIEOFF_X33Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y104 IS_RESERVED 0 SITEPROP TIEOFF_X33Y104 IS_TEST 0 SITEPROP TIEOFF_X33Y104 IS_USED 0 SITEPROP TIEOFF_X33Y104 MANUAL_ROUTING SITEPROP TIEOFF_X33Y104 NAME TIEOFF_X33Y104 SITEPROP TIEOFF_X33Y104 NUM_ARCS 0 SITEPROP TIEOFF_X33Y104 NUM_BELS 2 SITEPROP TIEOFF_X33Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y104 NUM_PINS 2 SITEPROP TIEOFF_X33Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y104 PROHIBIT 0 SITEPROP TIEOFF_X33Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y104 RPM_X 132 SITEPROP TIEOFF_X33Y104 RPM_Y 208 SITEPROP TIEOFF_X33Y104 SITE_PIPS SITEPROP TIEOFF_X33Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y105 CLASS site SITEPROP TIEOFF_X33Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y105 IS_BONDED 0 SITEPROP TIEOFF_X33Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y105 IS_PAD 0 SITEPROP TIEOFF_X33Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y105 IS_RESERVED 0 SITEPROP TIEOFF_X33Y105 IS_TEST 0 SITEPROP TIEOFF_X33Y105 IS_USED 0 SITEPROP TIEOFF_X33Y105 MANUAL_ROUTING SITEPROP TIEOFF_X33Y105 NAME TIEOFF_X33Y105 SITEPROP TIEOFF_X33Y105 NUM_ARCS 0 SITEPROP TIEOFF_X33Y105 NUM_BELS 2 SITEPROP TIEOFF_X33Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y105 NUM_PINS 2 SITEPROP TIEOFF_X33Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y105 PROHIBIT 0 SITEPROP TIEOFF_X33Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y105 RPM_X 132 SITEPROP TIEOFF_X33Y105 RPM_Y 210 SITEPROP TIEOFF_X33Y105 SITE_PIPS SITEPROP TIEOFF_X33Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y106 CLASS site SITEPROP TIEOFF_X33Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y106 IS_BONDED 0 SITEPROP TIEOFF_X33Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y106 IS_PAD 0 SITEPROP TIEOFF_X33Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y106 IS_RESERVED 0 SITEPROP TIEOFF_X33Y106 IS_TEST 0 SITEPROP TIEOFF_X33Y106 IS_USED 0 SITEPROP TIEOFF_X33Y106 MANUAL_ROUTING SITEPROP TIEOFF_X33Y106 NAME TIEOFF_X33Y106 SITEPROP TIEOFF_X33Y106 NUM_ARCS 0 SITEPROP TIEOFF_X33Y106 NUM_BELS 2 SITEPROP TIEOFF_X33Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y106 NUM_PINS 2 SITEPROP TIEOFF_X33Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y106 PROHIBIT 0 SITEPROP TIEOFF_X33Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y106 RPM_X 132 SITEPROP TIEOFF_X33Y106 RPM_Y 212 SITEPROP TIEOFF_X33Y106 SITE_PIPS SITEPROP TIEOFF_X33Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y107 CLASS site SITEPROP TIEOFF_X33Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y107 IS_BONDED 0 SITEPROP TIEOFF_X33Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y107 IS_PAD 0 SITEPROP TIEOFF_X33Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y107 IS_RESERVED 0 SITEPROP TIEOFF_X33Y107 IS_TEST 0 SITEPROP TIEOFF_X33Y107 IS_USED 0 SITEPROP TIEOFF_X33Y107 MANUAL_ROUTING SITEPROP TIEOFF_X33Y107 NAME TIEOFF_X33Y107 SITEPROP TIEOFF_X33Y107 NUM_ARCS 0 SITEPROP TIEOFF_X33Y107 NUM_BELS 2 SITEPROP TIEOFF_X33Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y107 NUM_PINS 2 SITEPROP TIEOFF_X33Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y107 PROHIBIT 0 SITEPROP TIEOFF_X33Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y107 RPM_X 132 SITEPROP TIEOFF_X33Y107 RPM_Y 214 SITEPROP TIEOFF_X33Y107 SITE_PIPS SITEPROP TIEOFF_X33Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y108 CLASS site SITEPROP TIEOFF_X33Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y108 IS_BONDED 0 SITEPROP TIEOFF_X33Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y108 IS_PAD 0 SITEPROP TIEOFF_X33Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y108 IS_RESERVED 0 SITEPROP TIEOFF_X33Y108 IS_TEST 0 SITEPROP TIEOFF_X33Y108 IS_USED 0 SITEPROP TIEOFF_X33Y108 MANUAL_ROUTING SITEPROP TIEOFF_X33Y108 NAME TIEOFF_X33Y108 SITEPROP TIEOFF_X33Y108 NUM_ARCS 0 SITEPROP TIEOFF_X33Y108 NUM_BELS 2 SITEPROP TIEOFF_X33Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y108 NUM_PINS 2 SITEPROP TIEOFF_X33Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y108 PROHIBIT 0 SITEPROP TIEOFF_X33Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y108 RPM_X 132 SITEPROP TIEOFF_X33Y108 RPM_Y 216 SITEPROP TIEOFF_X33Y108 SITE_PIPS SITEPROP TIEOFF_X33Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y109 CLASS site SITEPROP TIEOFF_X33Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y109 IS_BONDED 0 SITEPROP TIEOFF_X33Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y109 IS_PAD 0 SITEPROP TIEOFF_X33Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y109 IS_RESERVED 0 SITEPROP TIEOFF_X33Y109 IS_TEST 0 SITEPROP TIEOFF_X33Y109 IS_USED 0 SITEPROP TIEOFF_X33Y109 MANUAL_ROUTING SITEPROP TIEOFF_X33Y109 NAME TIEOFF_X33Y109 SITEPROP TIEOFF_X33Y109 NUM_ARCS 0 SITEPROP TIEOFF_X33Y109 NUM_BELS 2 SITEPROP TIEOFF_X33Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y109 NUM_PINS 2 SITEPROP TIEOFF_X33Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y109 PROHIBIT 0 SITEPROP TIEOFF_X33Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y109 RPM_X 132 SITEPROP TIEOFF_X33Y109 RPM_Y 218 SITEPROP TIEOFF_X33Y109 SITE_PIPS SITEPROP TIEOFF_X33Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y110 CLASS site SITEPROP TIEOFF_X33Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y110 IS_BONDED 0 SITEPROP TIEOFF_X33Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y110 IS_PAD 0 SITEPROP TIEOFF_X33Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y110 IS_RESERVED 0 SITEPROP TIEOFF_X33Y110 IS_TEST 0 SITEPROP TIEOFF_X33Y110 IS_USED 0 SITEPROP TIEOFF_X33Y110 MANUAL_ROUTING SITEPROP TIEOFF_X33Y110 NAME TIEOFF_X33Y110 SITEPROP TIEOFF_X33Y110 NUM_ARCS 0 SITEPROP TIEOFF_X33Y110 NUM_BELS 2 SITEPROP TIEOFF_X33Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y110 NUM_PINS 2 SITEPROP TIEOFF_X33Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y110 PROHIBIT 0 SITEPROP TIEOFF_X33Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y110 RPM_X 132 SITEPROP TIEOFF_X33Y110 RPM_Y 220 SITEPROP TIEOFF_X33Y110 SITE_PIPS SITEPROP TIEOFF_X33Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y111 CLASS site SITEPROP TIEOFF_X33Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y111 IS_BONDED 0 SITEPROP TIEOFF_X33Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y111 IS_PAD 0 SITEPROP TIEOFF_X33Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y111 IS_RESERVED 0 SITEPROP TIEOFF_X33Y111 IS_TEST 0 SITEPROP TIEOFF_X33Y111 IS_USED 0 SITEPROP TIEOFF_X33Y111 MANUAL_ROUTING SITEPROP TIEOFF_X33Y111 NAME TIEOFF_X33Y111 SITEPROP TIEOFF_X33Y111 NUM_ARCS 0 SITEPROP TIEOFF_X33Y111 NUM_BELS 2 SITEPROP TIEOFF_X33Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y111 NUM_PINS 2 SITEPROP TIEOFF_X33Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y111 PROHIBIT 0 SITEPROP TIEOFF_X33Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y111 RPM_X 132 SITEPROP TIEOFF_X33Y111 RPM_Y 222 SITEPROP TIEOFF_X33Y111 SITE_PIPS SITEPROP TIEOFF_X33Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y112 CLASS site SITEPROP TIEOFF_X33Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y112 IS_BONDED 0 SITEPROP TIEOFF_X33Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y112 IS_PAD 0 SITEPROP TIEOFF_X33Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y112 IS_RESERVED 0 SITEPROP TIEOFF_X33Y112 IS_TEST 0 SITEPROP TIEOFF_X33Y112 IS_USED 0 SITEPROP TIEOFF_X33Y112 MANUAL_ROUTING SITEPROP TIEOFF_X33Y112 NAME TIEOFF_X33Y112 SITEPROP TIEOFF_X33Y112 NUM_ARCS 0 SITEPROP TIEOFF_X33Y112 NUM_BELS 2 SITEPROP TIEOFF_X33Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y112 NUM_PINS 2 SITEPROP TIEOFF_X33Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y112 PROHIBIT 0 SITEPROP TIEOFF_X33Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y112 RPM_X 132 SITEPROP TIEOFF_X33Y112 RPM_Y 224 SITEPROP TIEOFF_X33Y112 SITE_PIPS SITEPROP TIEOFF_X33Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y113 CLASS site SITEPROP TIEOFF_X33Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y113 IS_BONDED 0 SITEPROP TIEOFF_X33Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y113 IS_PAD 0 SITEPROP TIEOFF_X33Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y113 IS_RESERVED 0 SITEPROP TIEOFF_X33Y113 IS_TEST 0 SITEPROP TIEOFF_X33Y113 IS_USED 0 SITEPROP TIEOFF_X33Y113 MANUAL_ROUTING SITEPROP TIEOFF_X33Y113 NAME TIEOFF_X33Y113 SITEPROP TIEOFF_X33Y113 NUM_ARCS 0 SITEPROP TIEOFF_X33Y113 NUM_BELS 2 SITEPROP TIEOFF_X33Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y113 NUM_PINS 2 SITEPROP TIEOFF_X33Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y113 PROHIBIT 0 SITEPROP TIEOFF_X33Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y113 RPM_X 132 SITEPROP TIEOFF_X33Y113 RPM_Y 226 SITEPROP TIEOFF_X33Y113 SITE_PIPS SITEPROP TIEOFF_X33Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y114 CLASS site SITEPROP TIEOFF_X33Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y114 IS_BONDED 0 SITEPROP TIEOFF_X33Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y114 IS_PAD 0 SITEPROP TIEOFF_X33Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y114 IS_RESERVED 0 SITEPROP TIEOFF_X33Y114 IS_TEST 0 SITEPROP TIEOFF_X33Y114 IS_USED 0 SITEPROP TIEOFF_X33Y114 MANUAL_ROUTING SITEPROP TIEOFF_X33Y114 NAME TIEOFF_X33Y114 SITEPROP TIEOFF_X33Y114 NUM_ARCS 0 SITEPROP TIEOFF_X33Y114 NUM_BELS 2 SITEPROP TIEOFF_X33Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y114 NUM_PINS 2 SITEPROP TIEOFF_X33Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y114 PROHIBIT 0 SITEPROP TIEOFF_X33Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y114 RPM_X 132 SITEPROP TIEOFF_X33Y114 RPM_Y 228 SITEPROP TIEOFF_X33Y114 SITE_PIPS SITEPROP TIEOFF_X33Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y115 CLASS site SITEPROP TIEOFF_X33Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y115 IS_BONDED 0 SITEPROP TIEOFF_X33Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y115 IS_PAD 0 SITEPROP TIEOFF_X33Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y115 IS_RESERVED 0 SITEPROP TIEOFF_X33Y115 IS_TEST 0 SITEPROP TIEOFF_X33Y115 IS_USED 0 SITEPROP TIEOFF_X33Y115 MANUAL_ROUTING SITEPROP TIEOFF_X33Y115 NAME TIEOFF_X33Y115 SITEPROP TIEOFF_X33Y115 NUM_ARCS 0 SITEPROP TIEOFF_X33Y115 NUM_BELS 2 SITEPROP TIEOFF_X33Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y115 NUM_PINS 2 SITEPROP TIEOFF_X33Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y115 PROHIBIT 0 SITEPROP TIEOFF_X33Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y115 RPM_X 132 SITEPROP TIEOFF_X33Y115 RPM_Y 230 SITEPROP TIEOFF_X33Y115 SITE_PIPS SITEPROP TIEOFF_X33Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y116 CLASS site SITEPROP TIEOFF_X33Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y116 IS_BONDED 0 SITEPROP TIEOFF_X33Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y116 IS_PAD 0 SITEPROP TIEOFF_X33Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y116 IS_RESERVED 0 SITEPROP TIEOFF_X33Y116 IS_TEST 0 SITEPROP TIEOFF_X33Y116 IS_USED 0 SITEPROP TIEOFF_X33Y116 MANUAL_ROUTING SITEPROP TIEOFF_X33Y116 NAME TIEOFF_X33Y116 SITEPROP TIEOFF_X33Y116 NUM_ARCS 0 SITEPROP TIEOFF_X33Y116 NUM_BELS 2 SITEPROP TIEOFF_X33Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y116 NUM_PINS 2 SITEPROP TIEOFF_X33Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y116 PROHIBIT 0 SITEPROP TIEOFF_X33Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y116 RPM_X 132 SITEPROP TIEOFF_X33Y116 RPM_Y 232 SITEPROP TIEOFF_X33Y116 SITE_PIPS SITEPROP TIEOFF_X33Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y117 CLASS site SITEPROP TIEOFF_X33Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y117 IS_BONDED 0 SITEPROP TIEOFF_X33Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y117 IS_PAD 0 SITEPROP TIEOFF_X33Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y117 IS_RESERVED 0 SITEPROP TIEOFF_X33Y117 IS_TEST 0 SITEPROP TIEOFF_X33Y117 IS_USED 0 SITEPROP TIEOFF_X33Y117 MANUAL_ROUTING SITEPROP TIEOFF_X33Y117 NAME TIEOFF_X33Y117 SITEPROP TIEOFF_X33Y117 NUM_ARCS 0 SITEPROP TIEOFF_X33Y117 NUM_BELS 2 SITEPROP TIEOFF_X33Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y117 NUM_PINS 2 SITEPROP TIEOFF_X33Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y117 PROHIBIT 0 SITEPROP TIEOFF_X33Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y117 RPM_X 132 SITEPROP TIEOFF_X33Y117 RPM_Y 234 SITEPROP TIEOFF_X33Y117 SITE_PIPS SITEPROP TIEOFF_X33Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y118 CLASS site SITEPROP TIEOFF_X33Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y118 IS_BONDED 0 SITEPROP TIEOFF_X33Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y118 IS_PAD 0 SITEPROP TIEOFF_X33Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y118 IS_RESERVED 0 SITEPROP TIEOFF_X33Y118 IS_TEST 0 SITEPROP TIEOFF_X33Y118 IS_USED 0 SITEPROP TIEOFF_X33Y118 MANUAL_ROUTING SITEPROP TIEOFF_X33Y118 NAME TIEOFF_X33Y118 SITEPROP TIEOFF_X33Y118 NUM_ARCS 0 SITEPROP TIEOFF_X33Y118 NUM_BELS 2 SITEPROP TIEOFF_X33Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y118 NUM_PINS 2 SITEPROP TIEOFF_X33Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y118 PROHIBIT 0 SITEPROP TIEOFF_X33Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y118 RPM_X 132 SITEPROP TIEOFF_X33Y118 RPM_Y 236 SITEPROP TIEOFF_X33Y118 SITE_PIPS SITEPROP TIEOFF_X33Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y119 CLASS site SITEPROP TIEOFF_X33Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y119 IS_BONDED 0 SITEPROP TIEOFF_X33Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y119 IS_PAD 0 SITEPROP TIEOFF_X33Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y119 IS_RESERVED 0 SITEPROP TIEOFF_X33Y119 IS_TEST 0 SITEPROP TIEOFF_X33Y119 IS_USED 0 SITEPROP TIEOFF_X33Y119 MANUAL_ROUTING SITEPROP TIEOFF_X33Y119 NAME TIEOFF_X33Y119 SITEPROP TIEOFF_X33Y119 NUM_ARCS 0 SITEPROP TIEOFF_X33Y119 NUM_BELS 2 SITEPROP TIEOFF_X33Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y119 NUM_PINS 2 SITEPROP TIEOFF_X33Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y119 PROHIBIT 0 SITEPROP TIEOFF_X33Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y119 RPM_X 132 SITEPROP TIEOFF_X33Y119 RPM_Y 238 SITEPROP TIEOFF_X33Y119 SITE_PIPS SITEPROP TIEOFF_X33Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y120 CLASS site SITEPROP TIEOFF_X33Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y120 IS_BONDED 0 SITEPROP TIEOFF_X33Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y120 IS_PAD 0 SITEPROP TIEOFF_X33Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y120 IS_RESERVED 0 SITEPROP TIEOFF_X33Y120 IS_TEST 0 SITEPROP TIEOFF_X33Y120 IS_USED 0 SITEPROP TIEOFF_X33Y120 MANUAL_ROUTING SITEPROP TIEOFF_X33Y120 NAME TIEOFF_X33Y120 SITEPROP TIEOFF_X33Y120 NUM_ARCS 0 SITEPROP TIEOFF_X33Y120 NUM_BELS 2 SITEPROP TIEOFF_X33Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y120 NUM_PINS 2 SITEPROP TIEOFF_X33Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y120 PROHIBIT 0 SITEPROP TIEOFF_X33Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y120 RPM_X 132 SITEPROP TIEOFF_X33Y120 RPM_Y 240 SITEPROP TIEOFF_X33Y120 SITE_PIPS SITEPROP TIEOFF_X33Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y121 CLASS site SITEPROP TIEOFF_X33Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y121 IS_BONDED 0 SITEPROP TIEOFF_X33Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y121 IS_PAD 0 SITEPROP TIEOFF_X33Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y121 IS_RESERVED 0 SITEPROP TIEOFF_X33Y121 IS_TEST 0 SITEPROP TIEOFF_X33Y121 IS_USED 0 SITEPROP TIEOFF_X33Y121 MANUAL_ROUTING SITEPROP TIEOFF_X33Y121 NAME TIEOFF_X33Y121 SITEPROP TIEOFF_X33Y121 NUM_ARCS 0 SITEPROP TIEOFF_X33Y121 NUM_BELS 2 SITEPROP TIEOFF_X33Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y121 NUM_PINS 2 SITEPROP TIEOFF_X33Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y121 PROHIBIT 0 SITEPROP TIEOFF_X33Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y121 RPM_X 132 SITEPROP TIEOFF_X33Y121 RPM_Y 242 SITEPROP TIEOFF_X33Y121 SITE_PIPS SITEPROP TIEOFF_X33Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y122 CLASS site SITEPROP TIEOFF_X33Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y122 IS_BONDED 0 SITEPROP TIEOFF_X33Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y122 IS_PAD 0 SITEPROP TIEOFF_X33Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y122 IS_RESERVED 0 SITEPROP TIEOFF_X33Y122 IS_TEST 0 SITEPROP TIEOFF_X33Y122 IS_USED 0 SITEPROP TIEOFF_X33Y122 MANUAL_ROUTING SITEPROP TIEOFF_X33Y122 NAME TIEOFF_X33Y122 SITEPROP TIEOFF_X33Y122 NUM_ARCS 0 SITEPROP TIEOFF_X33Y122 NUM_BELS 2 SITEPROP TIEOFF_X33Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y122 NUM_PINS 2 SITEPROP TIEOFF_X33Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y122 PROHIBIT 0 SITEPROP TIEOFF_X33Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y122 RPM_X 132 SITEPROP TIEOFF_X33Y122 RPM_Y 244 SITEPROP TIEOFF_X33Y122 SITE_PIPS SITEPROP TIEOFF_X33Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y123 CLASS site SITEPROP TIEOFF_X33Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y123 IS_BONDED 0 SITEPROP TIEOFF_X33Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y123 IS_PAD 0 SITEPROP TIEOFF_X33Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y123 IS_RESERVED 0 SITEPROP TIEOFF_X33Y123 IS_TEST 0 SITEPROP TIEOFF_X33Y123 IS_USED 0 SITEPROP TIEOFF_X33Y123 MANUAL_ROUTING SITEPROP TIEOFF_X33Y123 NAME TIEOFF_X33Y123 SITEPROP TIEOFF_X33Y123 NUM_ARCS 0 SITEPROP TIEOFF_X33Y123 NUM_BELS 2 SITEPROP TIEOFF_X33Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y123 NUM_PINS 2 SITEPROP TIEOFF_X33Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y123 PROHIBIT 0 SITEPROP TIEOFF_X33Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y123 RPM_X 132 SITEPROP TIEOFF_X33Y123 RPM_Y 246 SITEPROP TIEOFF_X33Y123 SITE_PIPS SITEPROP TIEOFF_X33Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y124 CLASS site SITEPROP TIEOFF_X33Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y124 IS_BONDED 0 SITEPROP TIEOFF_X33Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y124 IS_PAD 0 SITEPROP TIEOFF_X33Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y124 IS_RESERVED 0 SITEPROP TIEOFF_X33Y124 IS_TEST 0 SITEPROP TIEOFF_X33Y124 IS_USED 0 SITEPROP TIEOFF_X33Y124 MANUAL_ROUTING SITEPROP TIEOFF_X33Y124 NAME TIEOFF_X33Y124 SITEPROP TIEOFF_X33Y124 NUM_ARCS 0 SITEPROP TIEOFF_X33Y124 NUM_BELS 2 SITEPROP TIEOFF_X33Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y124 NUM_PINS 2 SITEPROP TIEOFF_X33Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y124 PROHIBIT 0 SITEPROP TIEOFF_X33Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y124 RPM_X 132 SITEPROP TIEOFF_X33Y124 RPM_Y 248 SITEPROP TIEOFF_X33Y124 SITE_PIPS SITEPROP TIEOFF_X33Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y125 CLASS site SITEPROP TIEOFF_X33Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y125 IS_BONDED 0 SITEPROP TIEOFF_X33Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y125 IS_PAD 0 SITEPROP TIEOFF_X33Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y125 IS_RESERVED 0 SITEPROP TIEOFF_X33Y125 IS_TEST 0 SITEPROP TIEOFF_X33Y125 IS_USED 0 SITEPROP TIEOFF_X33Y125 MANUAL_ROUTING SITEPROP TIEOFF_X33Y125 NAME TIEOFF_X33Y125 SITEPROP TIEOFF_X33Y125 NUM_ARCS 0 SITEPROP TIEOFF_X33Y125 NUM_BELS 2 SITEPROP TIEOFF_X33Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y125 NUM_PINS 2 SITEPROP TIEOFF_X33Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y125 PROHIBIT 0 SITEPROP TIEOFF_X33Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y125 RPM_X 132 SITEPROP TIEOFF_X33Y125 RPM_Y 250 SITEPROP TIEOFF_X33Y125 SITE_PIPS SITEPROP TIEOFF_X33Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y126 CLASS site SITEPROP TIEOFF_X33Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y126 IS_BONDED 0 SITEPROP TIEOFF_X33Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y126 IS_PAD 0 SITEPROP TIEOFF_X33Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y126 IS_RESERVED 0 SITEPROP TIEOFF_X33Y126 IS_TEST 0 SITEPROP TIEOFF_X33Y126 IS_USED 0 SITEPROP TIEOFF_X33Y126 MANUAL_ROUTING SITEPROP TIEOFF_X33Y126 NAME TIEOFF_X33Y126 SITEPROP TIEOFF_X33Y126 NUM_ARCS 0 SITEPROP TIEOFF_X33Y126 NUM_BELS 2 SITEPROP TIEOFF_X33Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y126 NUM_PINS 2 SITEPROP TIEOFF_X33Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y126 PROHIBIT 0 SITEPROP TIEOFF_X33Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y126 RPM_X 132 SITEPROP TIEOFF_X33Y126 RPM_Y 252 SITEPROP TIEOFF_X33Y126 SITE_PIPS SITEPROP TIEOFF_X33Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y127 CLASS site SITEPROP TIEOFF_X33Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y127 IS_BONDED 0 SITEPROP TIEOFF_X33Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y127 IS_PAD 0 SITEPROP TIEOFF_X33Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y127 IS_RESERVED 0 SITEPROP TIEOFF_X33Y127 IS_TEST 0 SITEPROP TIEOFF_X33Y127 IS_USED 0 SITEPROP TIEOFF_X33Y127 MANUAL_ROUTING SITEPROP TIEOFF_X33Y127 NAME TIEOFF_X33Y127 SITEPROP TIEOFF_X33Y127 NUM_ARCS 0 SITEPROP TIEOFF_X33Y127 NUM_BELS 2 SITEPROP TIEOFF_X33Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y127 NUM_PINS 2 SITEPROP TIEOFF_X33Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y127 PROHIBIT 0 SITEPROP TIEOFF_X33Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y127 RPM_X 132 SITEPROP TIEOFF_X33Y127 RPM_Y 254 SITEPROP TIEOFF_X33Y127 SITE_PIPS SITEPROP TIEOFF_X33Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y128 CLASS site SITEPROP TIEOFF_X33Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y128 IS_BONDED 0 SITEPROP TIEOFF_X33Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y128 IS_PAD 0 SITEPROP TIEOFF_X33Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y128 IS_RESERVED 0 SITEPROP TIEOFF_X33Y128 IS_TEST 0 SITEPROP TIEOFF_X33Y128 IS_USED 0 SITEPROP TIEOFF_X33Y128 MANUAL_ROUTING SITEPROP TIEOFF_X33Y128 NAME TIEOFF_X33Y128 SITEPROP TIEOFF_X33Y128 NUM_ARCS 0 SITEPROP TIEOFF_X33Y128 NUM_BELS 2 SITEPROP TIEOFF_X33Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y128 NUM_PINS 2 SITEPROP TIEOFF_X33Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y128 PROHIBIT 0 SITEPROP TIEOFF_X33Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y128 RPM_X 132 SITEPROP TIEOFF_X33Y128 RPM_Y 256 SITEPROP TIEOFF_X33Y128 SITE_PIPS SITEPROP TIEOFF_X33Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y129 CLASS site SITEPROP TIEOFF_X33Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y129 IS_BONDED 0 SITEPROP TIEOFF_X33Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y129 IS_PAD 0 SITEPROP TIEOFF_X33Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y129 IS_RESERVED 0 SITEPROP TIEOFF_X33Y129 IS_TEST 0 SITEPROP TIEOFF_X33Y129 IS_USED 0 SITEPROP TIEOFF_X33Y129 MANUAL_ROUTING SITEPROP TIEOFF_X33Y129 NAME TIEOFF_X33Y129 SITEPROP TIEOFF_X33Y129 NUM_ARCS 0 SITEPROP TIEOFF_X33Y129 NUM_BELS 2 SITEPROP TIEOFF_X33Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y129 NUM_PINS 2 SITEPROP TIEOFF_X33Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y129 PROHIBIT 0 SITEPROP TIEOFF_X33Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y129 RPM_X 132 SITEPROP TIEOFF_X33Y129 RPM_Y 258 SITEPROP TIEOFF_X33Y129 SITE_PIPS SITEPROP TIEOFF_X33Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y130 CLASS site SITEPROP TIEOFF_X33Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y130 IS_BONDED 0 SITEPROP TIEOFF_X33Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y130 IS_PAD 0 SITEPROP TIEOFF_X33Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y130 IS_RESERVED 0 SITEPROP TIEOFF_X33Y130 IS_TEST 0 SITEPROP TIEOFF_X33Y130 IS_USED 0 SITEPROP TIEOFF_X33Y130 MANUAL_ROUTING SITEPROP TIEOFF_X33Y130 NAME TIEOFF_X33Y130 SITEPROP TIEOFF_X33Y130 NUM_ARCS 0 SITEPROP TIEOFF_X33Y130 NUM_BELS 2 SITEPROP TIEOFF_X33Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y130 NUM_PINS 2 SITEPROP TIEOFF_X33Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y130 PROHIBIT 0 SITEPROP TIEOFF_X33Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y130 RPM_X 132 SITEPROP TIEOFF_X33Y130 RPM_Y 260 SITEPROP TIEOFF_X33Y130 SITE_PIPS SITEPROP TIEOFF_X33Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y131 CLASS site SITEPROP TIEOFF_X33Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y131 IS_BONDED 0 SITEPROP TIEOFF_X33Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y131 IS_PAD 0 SITEPROP TIEOFF_X33Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y131 IS_RESERVED 0 SITEPROP TIEOFF_X33Y131 IS_TEST 0 SITEPROP TIEOFF_X33Y131 IS_USED 0 SITEPROP TIEOFF_X33Y131 MANUAL_ROUTING SITEPROP TIEOFF_X33Y131 NAME TIEOFF_X33Y131 SITEPROP TIEOFF_X33Y131 NUM_ARCS 0 SITEPROP TIEOFF_X33Y131 NUM_BELS 2 SITEPROP TIEOFF_X33Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y131 NUM_PINS 2 SITEPROP TIEOFF_X33Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y131 PROHIBIT 0 SITEPROP TIEOFF_X33Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y131 RPM_X 132 SITEPROP TIEOFF_X33Y131 RPM_Y 262 SITEPROP TIEOFF_X33Y131 SITE_PIPS SITEPROP TIEOFF_X33Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y132 CLASS site SITEPROP TIEOFF_X33Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y132 IS_BONDED 0 SITEPROP TIEOFF_X33Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y132 IS_PAD 0 SITEPROP TIEOFF_X33Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y132 IS_RESERVED 0 SITEPROP TIEOFF_X33Y132 IS_TEST 0 SITEPROP TIEOFF_X33Y132 IS_USED 0 SITEPROP TIEOFF_X33Y132 MANUAL_ROUTING SITEPROP TIEOFF_X33Y132 NAME TIEOFF_X33Y132 SITEPROP TIEOFF_X33Y132 NUM_ARCS 0 SITEPROP TIEOFF_X33Y132 NUM_BELS 2 SITEPROP TIEOFF_X33Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y132 NUM_PINS 2 SITEPROP TIEOFF_X33Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y132 PROHIBIT 0 SITEPROP TIEOFF_X33Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y132 RPM_X 132 SITEPROP TIEOFF_X33Y132 RPM_Y 264 SITEPROP TIEOFF_X33Y132 SITE_PIPS SITEPROP TIEOFF_X33Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y133 CLASS site SITEPROP TIEOFF_X33Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y133 IS_BONDED 0 SITEPROP TIEOFF_X33Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y133 IS_PAD 0 SITEPROP TIEOFF_X33Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y133 IS_RESERVED 0 SITEPROP TIEOFF_X33Y133 IS_TEST 0 SITEPROP TIEOFF_X33Y133 IS_USED 0 SITEPROP TIEOFF_X33Y133 MANUAL_ROUTING SITEPROP TIEOFF_X33Y133 NAME TIEOFF_X33Y133 SITEPROP TIEOFF_X33Y133 NUM_ARCS 0 SITEPROP TIEOFF_X33Y133 NUM_BELS 2 SITEPROP TIEOFF_X33Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y133 NUM_PINS 2 SITEPROP TIEOFF_X33Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y133 PROHIBIT 0 SITEPROP TIEOFF_X33Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y133 RPM_X 132 SITEPROP TIEOFF_X33Y133 RPM_Y 266 SITEPROP TIEOFF_X33Y133 SITE_PIPS SITEPROP TIEOFF_X33Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y134 CLASS site SITEPROP TIEOFF_X33Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y134 IS_BONDED 0 SITEPROP TIEOFF_X33Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y134 IS_PAD 0 SITEPROP TIEOFF_X33Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y134 IS_RESERVED 0 SITEPROP TIEOFF_X33Y134 IS_TEST 0 SITEPROP TIEOFF_X33Y134 IS_USED 0 SITEPROP TIEOFF_X33Y134 MANUAL_ROUTING SITEPROP TIEOFF_X33Y134 NAME TIEOFF_X33Y134 SITEPROP TIEOFF_X33Y134 NUM_ARCS 0 SITEPROP TIEOFF_X33Y134 NUM_BELS 2 SITEPROP TIEOFF_X33Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y134 NUM_PINS 2 SITEPROP TIEOFF_X33Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y134 PROHIBIT 0 SITEPROP TIEOFF_X33Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y134 RPM_X 132 SITEPROP TIEOFF_X33Y134 RPM_Y 268 SITEPROP TIEOFF_X33Y134 SITE_PIPS SITEPROP TIEOFF_X33Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y135 CLASS site SITEPROP TIEOFF_X33Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y135 IS_BONDED 0 SITEPROP TIEOFF_X33Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y135 IS_PAD 0 SITEPROP TIEOFF_X33Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y135 IS_RESERVED 0 SITEPROP TIEOFF_X33Y135 IS_TEST 0 SITEPROP TIEOFF_X33Y135 IS_USED 0 SITEPROP TIEOFF_X33Y135 MANUAL_ROUTING SITEPROP TIEOFF_X33Y135 NAME TIEOFF_X33Y135 SITEPROP TIEOFF_X33Y135 NUM_ARCS 0 SITEPROP TIEOFF_X33Y135 NUM_BELS 2 SITEPROP TIEOFF_X33Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y135 NUM_PINS 2 SITEPROP TIEOFF_X33Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y135 PROHIBIT 0 SITEPROP TIEOFF_X33Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y135 RPM_X 132 SITEPROP TIEOFF_X33Y135 RPM_Y 270 SITEPROP TIEOFF_X33Y135 SITE_PIPS SITEPROP TIEOFF_X33Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y136 CLASS site SITEPROP TIEOFF_X33Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y136 IS_BONDED 0 SITEPROP TIEOFF_X33Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y136 IS_PAD 0 SITEPROP TIEOFF_X33Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y136 IS_RESERVED 0 SITEPROP TIEOFF_X33Y136 IS_TEST 0 SITEPROP TIEOFF_X33Y136 IS_USED 0 SITEPROP TIEOFF_X33Y136 MANUAL_ROUTING SITEPROP TIEOFF_X33Y136 NAME TIEOFF_X33Y136 SITEPROP TIEOFF_X33Y136 NUM_ARCS 0 SITEPROP TIEOFF_X33Y136 NUM_BELS 2 SITEPROP TIEOFF_X33Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y136 NUM_PINS 2 SITEPROP TIEOFF_X33Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y136 PROHIBIT 0 SITEPROP TIEOFF_X33Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y136 RPM_X 132 SITEPROP TIEOFF_X33Y136 RPM_Y 272 SITEPROP TIEOFF_X33Y136 SITE_PIPS SITEPROP TIEOFF_X33Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y137 CLASS site SITEPROP TIEOFF_X33Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y137 IS_BONDED 0 SITEPROP TIEOFF_X33Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y137 IS_PAD 0 SITEPROP TIEOFF_X33Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y137 IS_RESERVED 0 SITEPROP TIEOFF_X33Y137 IS_TEST 0 SITEPROP TIEOFF_X33Y137 IS_USED 0 SITEPROP TIEOFF_X33Y137 MANUAL_ROUTING SITEPROP TIEOFF_X33Y137 NAME TIEOFF_X33Y137 SITEPROP TIEOFF_X33Y137 NUM_ARCS 0 SITEPROP TIEOFF_X33Y137 NUM_BELS 2 SITEPROP TIEOFF_X33Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y137 NUM_PINS 2 SITEPROP TIEOFF_X33Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y137 PROHIBIT 0 SITEPROP TIEOFF_X33Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y137 RPM_X 132 SITEPROP TIEOFF_X33Y137 RPM_Y 274 SITEPROP TIEOFF_X33Y137 SITE_PIPS SITEPROP TIEOFF_X33Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y138 CLASS site SITEPROP TIEOFF_X33Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y138 IS_BONDED 0 SITEPROP TIEOFF_X33Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y138 IS_PAD 0 SITEPROP TIEOFF_X33Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y138 IS_RESERVED 0 SITEPROP TIEOFF_X33Y138 IS_TEST 0 SITEPROP TIEOFF_X33Y138 IS_USED 0 SITEPROP TIEOFF_X33Y138 MANUAL_ROUTING SITEPROP TIEOFF_X33Y138 NAME TIEOFF_X33Y138 SITEPROP TIEOFF_X33Y138 NUM_ARCS 0 SITEPROP TIEOFF_X33Y138 NUM_BELS 2 SITEPROP TIEOFF_X33Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y138 NUM_PINS 2 SITEPROP TIEOFF_X33Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y138 PROHIBIT 0 SITEPROP TIEOFF_X33Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y138 RPM_X 132 SITEPROP TIEOFF_X33Y138 RPM_Y 276 SITEPROP TIEOFF_X33Y138 SITE_PIPS SITEPROP TIEOFF_X33Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y139 CLASS site SITEPROP TIEOFF_X33Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y139 IS_BONDED 0 SITEPROP TIEOFF_X33Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y139 IS_PAD 0 SITEPROP TIEOFF_X33Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y139 IS_RESERVED 0 SITEPROP TIEOFF_X33Y139 IS_TEST 0 SITEPROP TIEOFF_X33Y139 IS_USED 0 SITEPROP TIEOFF_X33Y139 MANUAL_ROUTING SITEPROP TIEOFF_X33Y139 NAME TIEOFF_X33Y139 SITEPROP TIEOFF_X33Y139 NUM_ARCS 0 SITEPROP TIEOFF_X33Y139 NUM_BELS 2 SITEPROP TIEOFF_X33Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y139 NUM_PINS 2 SITEPROP TIEOFF_X33Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y139 PROHIBIT 0 SITEPROP TIEOFF_X33Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y139 RPM_X 132 SITEPROP TIEOFF_X33Y139 RPM_Y 278 SITEPROP TIEOFF_X33Y139 SITE_PIPS SITEPROP TIEOFF_X33Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y140 CLASS site SITEPROP TIEOFF_X33Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y140 IS_BONDED 0 SITEPROP TIEOFF_X33Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y140 IS_PAD 0 SITEPROP TIEOFF_X33Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y140 IS_RESERVED 0 SITEPROP TIEOFF_X33Y140 IS_TEST 0 SITEPROP TIEOFF_X33Y140 IS_USED 0 SITEPROP TIEOFF_X33Y140 MANUAL_ROUTING SITEPROP TIEOFF_X33Y140 NAME TIEOFF_X33Y140 SITEPROP TIEOFF_X33Y140 NUM_ARCS 0 SITEPROP TIEOFF_X33Y140 NUM_BELS 2 SITEPROP TIEOFF_X33Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y140 NUM_PINS 2 SITEPROP TIEOFF_X33Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y140 PROHIBIT 0 SITEPROP TIEOFF_X33Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y140 RPM_X 132 SITEPROP TIEOFF_X33Y140 RPM_Y 280 SITEPROP TIEOFF_X33Y140 SITE_PIPS SITEPROP TIEOFF_X33Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y141 CLASS site SITEPROP TIEOFF_X33Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y141 IS_BONDED 0 SITEPROP TIEOFF_X33Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y141 IS_PAD 0 SITEPROP TIEOFF_X33Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y141 IS_RESERVED 0 SITEPROP TIEOFF_X33Y141 IS_TEST 0 SITEPROP TIEOFF_X33Y141 IS_USED 0 SITEPROP TIEOFF_X33Y141 MANUAL_ROUTING SITEPROP TIEOFF_X33Y141 NAME TIEOFF_X33Y141 SITEPROP TIEOFF_X33Y141 NUM_ARCS 0 SITEPROP TIEOFF_X33Y141 NUM_BELS 2 SITEPROP TIEOFF_X33Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y141 NUM_PINS 2 SITEPROP TIEOFF_X33Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y141 PROHIBIT 0 SITEPROP TIEOFF_X33Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y141 RPM_X 132 SITEPROP TIEOFF_X33Y141 RPM_Y 282 SITEPROP TIEOFF_X33Y141 SITE_PIPS SITEPROP TIEOFF_X33Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y142 CLASS site SITEPROP TIEOFF_X33Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y142 IS_BONDED 0 SITEPROP TIEOFF_X33Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y142 IS_PAD 0 SITEPROP TIEOFF_X33Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y142 IS_RESERVED 0 SITEPROP TIEOFF_X33Y142 IS_TEST 0 SITEPROP TIEOFF_X33Y142 IS_USED 0 SITEPROP TIEOFF_X33Y142 MANUAL_ROUTING SITEPROP TIEOFF_X33Y142 NAME TIEOFF_X33Y142 SITEPROP TIEOFF_X33Y142 NUM_ARCS 0 SITEPROP TIEOFF_X33Y142 NUM_BELS 2 SITEPROP TIEOFF_X33Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y142 NUM_PINS 2 SITEPROP TIEOFF_X33Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y142 PROHIBIT 0 SITEPROP TIEOFF_X33Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y142 RPM_X 132 SITEPROP TIEOFF_X33Y142 RPM_Y 284 SITEPROP TIEOFF_X33Y142 SITE_PIPS SITEPROP TIEOFF_X33Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y143 CLASS site SITEPROP TIEOFF_X33Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y143 IS_BONDED 0 SITEPROP TIEOFF_X33Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y143 IS_PAD 0 SITEPROP TIEOFF_X33Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y143 IS_RESERVED 0 SITEPROP TIEOFF_X33Y143 IS_TEST 0 SITEPROP TIEOFF_X33Y143 IS_USED 0 SITEPROP TIEOFF_X33Y143 MANUAL_ROUTING SITEPROP TIEOFF_X33Y143 NAME TIEOFF_X33Y143 SITEPROP TIEOFF_X33Y143 NUM_ARCS 0 SITEPROP TIEOFF_X33Y143 NUM_BELS 2 SITEPROP TIEOFF_X33Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y143 NUM_PINS 2 SITEPROP TIEOFF_X33Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y143 PROHIBIT 0 SITEPROP TIEOFF_X33Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y143 RPM_X 132 SITEPROP TIEOFF_X33Y143 RPM_Y 286 SITEPROP TIEOFF_X33Y143 SITE_PIPS SITEPROP TIEOFF_X33Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y144 CLASS site SITEPROP TIEOFF_X33Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y144 IS_BONDED 0 SITEPROP TIEOFF_X33Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y144 IS_PAD 0 SITEPROP TIEOFF_X33Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y144 IS_RESERVED 0 SITEPROP TIEOFF_X33Y144 IS_TEST 0 SITEPROP TIEOFF_X33Y144 IS_USED 0 SITEPROP TIEOFF_X33Y144 MANUAL_ROUTING SITEPROP TIEOFF_X33Y144 NAME TIEOFF_X33Y144 SITEPROP TIEOFF_X33Y144 NUM_ARCS 0 SITEPROP TIEOFF_X33Y144 NUM_BELS 2 SITEPROP TIEOFF_X33Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y144 NUM_PINS 2 SITEPROP TIEOFF_X33Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y144 PROHIBIT 0 SITEPROP TIEOFF_X33Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y144 RPM_X 132 SITEPROP TIEOFF_X33Y144 RPM_Y 288 SITEPROP TIEOFF_X33Y144 SITE_PIPS SITEPROP TIEOFF_X33Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y145 CLASS site SITEPROP TIEOFF_X33Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y145 IS_BONDED 0 SITEPROP TIEOFF_X33Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y145 IS_PAD 0 SITEPROP TIEOFF_X33Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y145 IS_RESERVED 0 SITEPROP TIEOFF_X33Y145 IS_TEST 0 SITEPROP TIEOFF_X33Y145 IS_USED 0 SITEPROP TIEOFF_X33Y145 MANUAL_ROUTING SITEPROP TIEOFF_X33Y145 NAME TIEOFF_X33Y145 SITEPROP TIEOFF_X33Y145 NUM_ARCS 0 SITEPROP TIEOFF_X33Y145 NUM_BELS 2 SITEPROP TIEOFF_X33Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y145 NUM_PINS 2 SITEPROP TIEOFF_X33Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y145 PROHIBIT 0 SITEPROP TIEOFF_X33Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y145 RPM_X 132 SITEPROP TIEOFF_X33Y145 RPM_Y 290 SITEPROP TIEOFF_X33Y145 SITE_PIPS SITEPROP TIEOFF_X33Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y146 CLASS site SITEPROP TIEOFF_X33Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y146 IS_BONDED 0 SITEPROP TIEOFF_X33Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y146 IS_PAD 0 SITEPROP TIEOFF_X33Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y146 IS_RESERVED 0 SITEPROP TIEOFF_X33Y146 IS_TEST 0 SITEPROP TIEOFF_X33Y146 IS_USED 0 SITEPROP TIEOFF_X33Y146 MANUAL_ROUTING SITEPROP TIEOFF_X33Y146 NAME TIEOFF_X33Y146 SITEPROP TIEOFF_X33Y146 NUM_ARCS 0 SITEPROP TIEOFF_X33Y146 NUM_BELS 2 SITEPROP TIEOFF_X33Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y146 NUM_PINS 2 SITEPROP TIEOFF_X33Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y146 PROHIBIT 0 SITEPROP TIEOFF_X33Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y146 RPM_X 132 SITEPROP TIEOFF_X33Y146 RPM_Y 292 SITEPROP TIEOFF_X33Y146 SITE_PIPS SITEPROP TIEOFF_X33Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y147 CLASS site SITEPROP TIEOFF_X33Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y147 IS_BONDED 0 SITEPROP TIEOFF_X33Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y147 IS_PAD 0 SITEPROP TIEOFF_X33Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y147 IS_RESERVED 0 SITEPROP TIEOFF_X33Y147 IS_TEST 0 SITEPROP TIEOFF_X33Y147 IS_USED 0 SITEPROP TIEOFF_X33Y147 MANUAL_ROUTING SITEPROP TIEOFF_X33Y147 NAME TIEOFF_X33Y147 SITEPROP TIEOFF_X33Y147 NUM_ARCS 0 SITEPROP TIEOFF_X33Y147 NUM_BELS 2 SITEPROP TIEOFF_X33Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y147 NUM_PINS 2 SITEPROP TIEOFF_X33Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y147 PROHIBIT 0 SITEPROP TIEOFF_X33Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y147 RPM_X 132 SITEPROP TIEOFF_X33Y147 RPM_Y 294 SITEPROP TIEOFF_X33Y147 SITE_PIPS SITEPROP TIEOFF_X33Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y148 CLASS site SITEPROP TIEOFF_X33Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y148 IS_BONDED 0 SITEPROP TIEOFF_X33Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y148 IS_PAD 0 SITEPROP TIEOFF_X33Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y148 IS_RESERVED 0 SITEPROP TIEOFF_X33Y148 IS_TEST 0 SITEPROP TIEOFF_X33Y148 IS_USED 0 SITEPROP TIEOFF_X33Y148 MANUAL_ROUTING SITEPROP TIEOFF_X33Y148 NAME TIEOFF_X33Y148 SITEPROP TIEOFF_X33Y148 NUM_ARCS 0 SITEPROP TIEOFF_X33Y148 NUM_BELS 2 SITEPROP TIEOFF_X33Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y148 NUM_PINS 2 SITEPROP TIEOFF_X33Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y148 PROHIBIT 0 SITEPROP TIEOFF_X33Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y148 RPM_X 132 SITEPROP TIEOFF_X33Y148 RPM_Y 296 SITEPROP TIEOFF_X33Y148 SITE_PIPS SITEPROP TIEOFF_X33Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X33Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X33Y149 CLASS site SITEPROP TIEOFF_X33Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X33Y149 IS_BONDED 0 SITEPROP TIEOFF_X33Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y149 IS_PAD 0 SITEPROP TIEOFF_X33Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X33Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X33Y149 IS_RESERVED 0 SITEPROP TIEOFF_X33Y149 IS_TEST 0 SITEPROP TIEOFF_X33Y149 IS_USED 0 SITEPROP TIEOFF_X33Y149 MANUAL_ROUTING SITEPROP TIEOFF_X33Y149 NAME TIEOFF_X33Y149 SITEPROP TIEOFF_X33Y149 NUM_ARCS 0 SITEPROP TIEOFF_X33Y149 NUM_BELS 2 SITEPROP TIEOFF_X33Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X33Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X33Y149 NUM_PINS 2 SITEPROP TIEOFF_X33Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X33Y149 PROHIBIT 0 SITEPROP TIEOFF_X33Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X33Y149 RPM_X 132 SITEPROP TIEOFF_X33Y149 RPM_Y 298 SITEPROP TIEOFF_X33Y149 SITE_PIPS SITEPROP TIEOFF_X33Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y0 CLASS site SITEPROP TIEOFF_X34Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y0 IS_BONDED 0 SITEPROP TIEOFF_X34Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y0 IS_PAD 0 SITEPROP TIEOFF_X34Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y0 IS_RESERVED 0 SITEPROP TIEOFF_X34Y0 IS_TEST 0 SITEPROP TIEOFF_X34Y0 IS_USED 0 SITEPROP TIEOFF_X34Y0 MANUAL_ROUTING SITEPROP TIEOFF_X34Y0 NAME TIEOFF_X34Y0 SITEPROP TIEOFF_X34Y0 NUM_ARCS 0 SITEPROP TIEOFF_X34Y0 NUM_BELS 2 SITEPROP TIEOFF_X34Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y0 NUM_PINS 2 SITEPROP TIEOFF_X34Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y0 PROHIBIT 0 SITEPROP TIEOFF_X34Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y0 RPM_X 136 SITEPROP TIEOFF_X34Y0 RPM_Y 0 SITEPROP TIEOFF_X34Y0 SITE_PIPS SITEPROP TIEOFF_X34Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y1 CLASS site SITEPROP TIEOFF_X34Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y1 IS_BONDED 0 SITEPROP TIEOFF_X34Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y1 IS_PAD 0 SITEPROP TIEOFF_X34Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y1 IS_RESERVED 0 SITEPROP TIEOFF_X34Y1 IS_TEST 0 SITEPROP TIEOFF_X34Y1 IS_USED 0 SITEPROP TIEOFF_X34Y1 MANUAL_ROUTING SITEPROP TIEOFF_X34Y1 NAME TIEOFF_X34Y1 SITEPROP TIEOFF_X34Y1 NUM_ARCS 0 SITEPROP TIEOFF_X34Y1 NUM_BELS 2 SITEPROP TIEOFF_X34Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y1 NUM_PINS 2 SITEPROP TIEOFF_X34Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y1 PROHIBIT 0 SITEPROP TIEOFF_X34Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y1 RPM_X 136 SITEPROP TIEOFF_X34Y1 RPM_Y 2 SITEPROP TIEOFF_X34Y1 SITE_PIPS SITEPROP TIEOFF_X34Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y2 CLASS site SITEPROP TIEOFF_X34Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y2 IS_BONDED 0 SITEPROP TIEOFF_X34Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y2 IS_PAD 0 SITEPROP TIEOFF_X34Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y2 IS_RESERVED 0 SITEPROP TIEOFF_X34Y2 IS_TEST 0 SITEPROP TIEOFF_X34Y2 IS_USED 0 SITEPROP TIEOFF_X34Y2 MANUAL_ROUTING SITEPROP TIEOFF_X34Y2 NAME TIEOFF_X34Y2 SITEPROP TIEOFF_X34Y2 NUM_ARCS 0 SITEPROP TIEOFF_X34Y2 NUM_BELS 2 SITEPROP TIEOFF_X34Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y2 NUM_PINS 2 SITEPROP TIEOFF_X34Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y2 PROHIBIT 0 SITEPROP TIEOFF_X34Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y2 RPM_X 136 SITEPROP TIEOFF_X34Y2 RPM_Y 4 SITEPROP TIEOFF_X34Y2 SITE_PIPS SITEPROP TIEOFF_X34Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y3 CLASS site SITEPROP TIEOFF_X34Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y3 IS_BONDED 0 SITEPROP TIEOFF_X34Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y3 IS_PAD 0 SITEPROP TIEOFF_X34Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y3 IS_RESERVED 0 SITEPROP TIEOFF_X34Y3 IS_TEST 0 SITEPROP TIEOFF_X34Y3 IS_USED 0 SITEPROP TIEOFF_X34Y3 MANUAL_ROUTING SITEPROP TIEOFF_X34Y3 NAME TIEOFF_X34Y3 SITEPROP TIEOFF_X34Y3 NUM_ARCS 0 SITEPROP TIEOFF_X34Y3 NUM_BELS 2 SITEPROP TIEOFF_X34Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y3 NUM_PINS 2 SITEPROP TIEOFF_X34Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y3 PROHIBIT 0 SITEPROP TIEOFF_X34Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y3 RPM_X 136 SITEPROP TIEOFF_X34Y3 RPM_Y 6 SITEPROP TIEOFF_X34Y3 SITE_PIPS SITEPROP TIEOFF_X34Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y4 CLASS site SITEPROP TIEOFF_X34Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y4 IS_BONDED 0 SITEPROP TIEOFF_X34Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y4 IS_PAD 0 SITEPROP TIEOFF_X34Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y4 IS_RESERVED 0 SITEPROP TIEOFF_X34Y4 IS_TEST 0 SITEPROP TIEOFF_X34Y4 IS_USED 0 SITEPROP TIEOFF_X34Y4 MANUAL_ROUTING SITEPROP TIEOFF_X34Y4 NAME TIEOFF_X34Y4 SITEPROP TIEOFF_X34Y4 NUM_ARCS 0 SITEPROP TIEOFF_X34Y4 NUM_BELS 2 SITEPROP TIEOFF_X34Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y4 NUM_PINS 2 SITEPROP TIEOFF_X34Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y4 PROHIBIT 0 SITEPROP TIEOFF_X34Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y4 RPM_X 136 SITEPROP TIEOFF_X34Y4 RPM_Y 8 SITEPROP TIEOFF_X34Y4 SITE_PIPS SITEPROP TIEOFF_X34Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y5 CLASS site SITEPROP TIEOFF_X34Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y5 IS_BONDED 0 SITEPROP TIEOFF_X34Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y5 IS_PAD 0 SITEPROP TIEOFF_X34Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y5 IS_RESERVED 0 SITEPROP TIEOFF_X34Y5 IS_TEST 0 SITEPROP TIEOFF_X34Y5 IS_USED 0 SITEPROP TIEOFF_X34Y5 MANUAL_ROUTING SITEPROP TIEOFF_X34Y5 NAME TIEOFF_X34Y5 SITEPROP TIEOFF_X34Y5 NUM_ARCS 0 SITEPROP TIEOFF_X34Y5 NUM_BELS 2 SITEPROP TIEOFF_X34Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y5 NUM_PINS 2 SITEPROP TIEOFF_X34Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y5 PROHIBIT 0 SITEPROP TIEOFF_X34Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y5 RPM_X 136 SITEPROP TIEOFF_X34Y5 RPM_Y 10 SITEPROP TIEOFF_X34Y5 SITE_PIPS SITEPROP TIEOFF_X34Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y6 CLASS site SITEPROP TIEOFF_X34Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y6 IS_BONDED 0 SITEPROP TIEOFF_X34Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y6 IS_PAD 0 SITEPROP TIEOFF_X34Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y6 IS_RESERVED 0 SITEPROP TIEOFF_X34Y6 IS_TEST 0 SITEPROP TIEOFF_X34Y6 IS_USED 0 SITEPROP TIEOFF_X34Y6 MANUAL_ROUTING SITEPROP TIEOFF_X34Y6 NAME TIEOFF_X34Y6 SITEPROP TIEOFF_X34Y6 NUM_ARCS 0 SITEPROP TIEOFF_X34Y6 NUM_BELS 2 SITEPROP TIEOFF_X34Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y6 NUM_PINS 2 SITEPROP TIEOFF_X34Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y6 PROHIBIT 0 SITEPROP TIEOFF_X34Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y6 RPM_X 136 SITEPROP TIEOFF_X34Y6 RPM_Y 12 SITEPROP TIEOFF_X34Y6 SITE_PIPS SITEPROP TIEOFF_X34Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y7 CLASS site SITEPROP TIEOFF_X34Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y7 IS_BONDED 0 SITEPROP TIEOFF_X34Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y7 IS_PAD 0 SITEPROP TIEOFF_X34Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y7 IS_RESERVED 0 SITEPROP TIEOFF_X34Y7 IS_TEST 0 SITEPROP TIEOFF_X34Y7 IS_USED 0 SITEPROP TIEOFF_X34Y7 MANUAL_ROUTING SITEPROP TIEOFF_X34Y7 NAME TIEOFF_X34Y7 SITEPROP TIEOFF_X34Y7 NUM_ARCS 0 SITEPROP TIEOFF_X34Y7 NUM_BELS 2 SITEPROP TIEOFF_X34Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y7 NUM_PINS 2 SITEPROP TIEOFF_X34Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y7 PROHIBIT 0 SITEPROP TIEOFF_X34Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y7 RPM_X 136 SITEPROP TIEOFF_X34Y7 RPM_Y 14 SITEPROP TIEOFF_X34Y7 SITE_PIPS SITEPROP TIEOFF_X34Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y8 CLASS site SITEPROP TIEOFF_X34Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y8 IS_BONDED 0 SITEPROP TIEOFF_X34Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y8 IS_PAD 0 SITEPROP TIEOFF_X34Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y8 IS_RESERVED 0 SITEPROP TIEOFF_X34Y8 IS_TEST 0 SITEPROP TIEOFF_X34Y8 IS_USED 0 SITEPROP TIEOFF_X34Y8 MANUAL_ROUTING SITEPROP TIEOFF_X34Y8 NAME TIEOFF_X34Y8 SITEPROP TIEOFF_X34Y8 NUM_ARCS 0 SITEPROP TIEOFF_X34Y8 NUM_BELS 2 SITEPROP TIEOFF_X34Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y8 NUM_PINS 2 SITEPROP TIEOFF_X34Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y8 PROHIBIT 0 SITEPROP TIEOFF_X34Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y8 RPM_X 136 SITEPROP TIEOFF_X34Y8 RPM_Y 16 SITEPROP TIEOFF_X34Y8 SITE_PIPS SITEPROP TIEOFF_X34Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y9 CLASS site SITEPROP TIEOFF_X34Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y9 IS_BONDED 0 SITEPROP TIEOFF_X34Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y9 IS_PAD 0 SITEPROP TIEOFF_X34Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y9 IS_RESERVED 0 SITEPROP TIEOFF_X34Y9 IS_TEST 0 SITEPROP TIEOFF_X34Y9 IS_USED 0 SITEPROP TIEOFF_X34Y9 MANUAL_ROUTING SITEPROP TIEOFF_X34Y9 NAME TIEOFF_X34Y9 SITEPROP TIEOFF_X34Y9 NUM_ARCS 0 SITEPROP TIEOFF_X34Y9 NUM_BELS 2 SITEPROP TIEOFF_X34Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y9 NUM_PINS 2 SITEPROP TIEOFF_X34Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y9 PROHIBIT 0 SITEPROP TIEOFF_X34Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y9 RPM_X 136 SITEPROP TIEOFF_X34Y9 RPM_Y 18 SITEPROP TIEOFF_X34Y9 SITE_PIPS SITEPROP TIEOFF_X34Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y10 CLASS site SITEPROP TIEOFF_X34Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y10 IS_BONDED 0 SITEPROP TIEOFF_X34Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y10 IS_PAD 0 SITEPROP TIEOFF_X34Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y10 IS_RESERVED 0 SITEPROP TIEOFF_X34Y10 IS_TEST 0 SITEPROP TIEOFF_X34Y10 IS_USED 0 SITEPROP TIEOFF_X34Y10 MANUAL_ROUTING SITEPROP TIEOFF_X34Y10 NAME TIEOFF_X34Y10 SITEPROP TIEOFF_X34Y10 NUM_ARCS 0 SITEPROP TIEOFF_X34Y10 NUM_BELS 2 SITEPROP TIEOFF_X34Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y10 NUM_PINS 2 SITEPROP TIEOFF_X34Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y10 PROHIBIT 0 SITEPROP TIEOFF_X34Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y10 RPM_X 136 SITEPROP TIEOFF_X34Y10 RPM_Y 20 SITEPROP TIEOFF_X34Y10 SITE_PIPS SITEPROP TIEOFF_X34Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y11 CLASS site SITEPROP TIEOFF_X34Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y11 IS_BONDED 0 SITEPROP TIEOFF_X34Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y11 IS_PAD 0 SITEPROP TIEOFF_X34Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y11 IS_RESERVED 0 SITEPROP TIEOFF_X34Y11 IS_TEST 0 SITEPROP TIEOFF_X34Y11 IS_USED 0 SITEPROP TIEOFF_X34Y11 MANUAL_ROUTING SITEPROP TIEOFF_X34Y11 NAME TIEOFF_X34Y11 SITEPROP TIEOFF_X34Y11 NUM_ARCS 0 SITEPROP TIEOFF_X34Y11 NUM_BELS 2 SITEPROP TIEOFF_X34Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y11 NUM_PINS 2 SITEPROP TIEOFF_X34Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y11 PROHIBIT 0 SITEPROP TIEOFF_X34Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y11 RPM_X 136 SITEPROP TIEOFF_X34Y11 RPM_Y 22 SITEPROP TIEOFF_X34Y11 SITE_PIPS SITEPROP TIEOFF_X34Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y12 CLASS site SITEPROP TIEOFF_X34Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y12 IS_BONDED 0 SITEPROP TIEOFF_X34Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y12 IS_PAD 0 SITEPROP TIEOFF_X34Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y12 IS_RESERVED 0 SITEPROP TIEOFF_X34Y12 IS_TEST 0 SITEPROP TIEOFF_X34Y12 IS_USED 0 SITEPROP TIEOFF_X34Y12 MANUAL_ROUTING SITEPROP TIEOFF_X34Y12 NAME TIEOFF_X34Y12 SITEPROP TIEOFF_X34Y12 NUM_ARCS 0 SITEPROP TIEOFF_X34Y12 NUM_BELS 2 SITEPROP TIEOFF_X34Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y12 NUM_PINS 2 SITEPROP TIEOFF_X34Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y12 PROHIBIT 0 SITEPROP TIEOFF_X34Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y12 RPM_X 136 SITEPROP TIEOFF_X34Y12 RPM_Y 24 SITEPROP TIEOFF_X34Y12 SITE_PIPS SITEPROP TIEOFF_X34Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y13 CLASS site SITEPROP TIEOFF_X34Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y13 IS_BONDED 0 SITEPROP TIEOFF_X34Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y13 IS_PAD 0 SITEPROP TIEOFF_X34Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y13 IS_RESERVED 0 SITEPROP TIEOFF_X34Y13 IS_TEST 0 SITEPROP TIEOFF_X34Y13 IS_USED 0 SITEPROP TIEOFF_X34Y13 MANUAL_ROUTING SITEPROP TIEOFF_X34Y13 NAME TIEOFF_X34Y13 SITEPROP TIEOFF_X34Y13 NUM_ARCS 0 SITEPROP TIEOFF_X34Y13 NUM_BELS 2 SITEPROP TIEOFF_X34Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y13 NUM_PINS 2 SITEPROP TIEOFF_X34Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y13 PROHIBIT 0 SITEPROP TIEOFF_X34Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y13 RPM_X 136 SITEPROP TIEOFF_X34Y13 RPM_Y 26 SITEPROP TIEOFF_X34Y13 SITE_PIPS SITEPROP TIEOFF_X34Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y14 CLASS site SITEPROP TIEOFF_X34Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y14 IS_BONDED 0 SITEPROP TIEOFF_X34Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y14 IS_PAD 0 SITEPROP TIEOFF_X34Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y14 IS_RESERVED 0 SITEPROP TIEOFF_X34Y14 IS_TEST 0 SITEPROP TIEOFF_X34Y14 IS_USED 0 SITEPROP TIEOFF_X34Y14 MANUAL_ROUTING SITEPROP TIEOFF_X34Y14 NAME TIEOFF_X34Y14 SITEPROP TIEOFF_X34Y14 NUM_ARCS 0 SITEPROP TIEOFF_X34Y14 NUM_BELS 2 SITEPROP TIEOFF_X34Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y14 NUM_PINS 2 SITEPROP TIEOFF_X34Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y14 PROHIBIT 0 SITEPROP TIEOFF_X34Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y14 RPM_X 136 SITEPROP TIEOFF_X34Y14 RPM_Y 28 SITEPROP TIEOFF_X34Y14 SITE_PIPS SITEPROP TIEOFF_X34Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y15 CLASS site SITEPROP TIEOFF_X34Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y15 IS_BONDED 0 SITEPROP TIEOFF_X34Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y15 IS_PAD 0 SITEPROP TIEOFF_X34Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y15 IS_RESERVED 0 SITEPROP TIEOFF_X34Y15 IS_TEST 0 SITEPROP TIEOFF_X34Y15 IS_USED 0 SITEPROP TIEOFF_X34Y15 MANUAL_ROUTING SITEPROP TIEOFF_X34Y15 NAME TIEOFF_X34Y15 SITEPROP TIEOFF_X34Y15 NUM_ARCS 0 SITEPROP TIEOFF_X34Y15 NUM_BELS 2 SITEPROP TIEOFF_X34Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y15 NUM_PINS 2 SITEPROP TIEOFF_X34Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y15 PROHIBIT 0 SITEPROP TIEOFF_X34Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y15 RPM_X 136 SITEPROP TIEOFF_X34Y15 RPM_Y 30 SITEPROP TIEOFF_X34Y15 SITE_PIPS SITEPROP TIEOFF_X34Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y16 CLASS site SITEPROP TIEOFF_X34Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y16 IS_BONDED 0 SITEPROP TIEOFF_X34Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y16 IS_PAD 0 SITEPROP TIEOFF_X34Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y16 IS_RESERVED 0 SITEPROP TIEOFF_X34Y16 IS_TEST 0 SITEPROP TIEOFF_X34Y16 IS_USED 0 SITEPROP TIEOFF_X34Y16 MANUAL_ROUTING SITEPROP TIEOFF_X34Y16 NAME TIEOFF_X34Y16 SITEPROP TIEOFF_X34Y16 NUM_ARCS 0 SITEPROP TIEOFF_X34Y16 NUM_BELS 2 SITEPROP TIEOFF_X34Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y16 NUM_PINS 2 SITEPROP TIEOFF_X34Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y16 PROHIBIT 0 SITEPROP TIEOFF_X34Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y16 RPM_X 136 SITEPROP TIEOFF_X34Y16 RPM_Y 32 SITEPROP TIEOFF_X34Y16 SITE_PIPS SITEPROP TIEOFF_X34Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y17 CLASS site SITEPROP TIEOFF_X34Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y17 IS_BONDED 0 SITEPROP TIEOFF_X34Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y17 IS_PAD 0 SITEPROP TIEOFF_X34Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y17 IS_RESERVED 0 SITEPROP TIEOFF_X34Y17 IS_TEST 0 SITEPROP TIEOFF_X34Y17 IS_USED 0 SITEPROP TIEOFF_X34Y17 MANUAL_ROUTING SITEPROP TIEOFF_X34Y17 NAME TIEOFF_X34Y17 SITEPROP TIEOFF_X34Y17 NUM_ARCS 0 SITEPROP TIEOFF_X34Y17 NUM_BELS 2 SITEPROP TIEOFF_X34Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y17 NUM_PINS 2 SITEPROP TIEOFF_X34Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y17 PROHIBIT 0 SITEPROP TIEOFF_X34Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y17 RPM_X 136 SITEPROP TIEOFF_X34Y17 RPM_Y 34 SITEPROP TIEOFF_X34Y17 SITE_PIPS SITEPROP TIEOFF_X34Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y18 CLASS site SITEPROP TIEOFF_X34Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y18 IS_BONDED 0 SITEPROP TIEOFF_X34Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y18 IS_PAD 0 SITEPROP TIEOFF_X34Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y18 IS_RESERVED 0 SITEPROP TIEOFF_X34Y18 IS_TEST 0 SITEPROP TIEOFF_X34Y18 IS_USED 0 SITEPROP TIEOFF_X34Y18 MANUAL_ROUTING SITEPROP TIEOFF_X34Y18 NAME TIEOFF_X34Y18 SITEPROP TIEOFF_X34Y18 NUM_ARCS 0 SITEPROP TIEOFF_X34Y18 NUM_BELS 2 SITEPROP TIEOFF_X34Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y18 NUM_PINS 2 SITEPROP TIEOFF_X34Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y18 PROHIBIT 0 SITEPROP TIEOFF_X34Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y18 RPM_X 136 SITEPROP TIEOFF_X34Y18 RPM_Y 36 SITEPROP TIEOFF_X34Y18 SITE_PIPS SITEPROP TIEOFF_X34Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y19 CLASS site SITEPROP TIEOFF_X34Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y19 IS_BONDED 0 SITEPROP TIEOFF_X34Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y19 IS_PAD 0 SITEPROP TIEOFF_X34Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y19 IS_RESERVED 0 SITEPROP TIEOFF_X34Y19 IS_TEST 0 SITEPROP TIEOFF_X34Y19 IS_USED 0 SITEPROP TIEOFF_X34Y19 MANUAL_ROUTING SITEPROP TIEOFF_X34Y19 NAME TIEOFF_X34Y19 SITEPROP TIEOFF_X34Y19 NUM_ARCS 0 SITEPROP TIEOFF_X34Y19 NUM_BELS 2 SITEPROP TIEOFF_X34Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y19 NUM_PINS 2 SITEPROP TIEOFF_X34Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y19 PROHIBIT 0 SITEPROP TIEOFF_X34Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y19 RPM_X 136 SITEPROP TIEOFF_X34Y19 RPM_Y 38 SITEPROP TIEOFF_X34Y19 SITE_PIPS SITEPROP TIEOFF_X34Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y20 CLASS site SITEPROP TIEOFF_X34Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y20 IS_BONDED 0 SITEPROP TIEOFF_X34Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y20 IS_PAD 0 SITEPROP TIEOFF_X34Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y20 IS_RESERVED 0 SITEPROP TIEOFF_X34Y20 IS_TEST 0 SITEPROP TIEOFF_X34Y20 IS_USED 0 SITEPROP TIEOFF_X34Y20 MANUAL_ROUTING SITEPROP TIEOFF_X34Y20 NAME TIEOFF_X34Y20 SITEPROP TIEOFF_X34Y20 NUM_ARCS 0 SITEPROP TIEOFF_X34Y20 NUM_BELS 2 SITEPROP TIEOFF_X34Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y20 NUM_PINS 2 SITEPROP TIEOFF_X34Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y20 PROHIBIT 0 SITEPROP TIEOFF_X34Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y20 RPM_X 136 SITEPROP TIEOFF_X34Y20 RPM_Y 40 SITEPROP TIEOFF_X34Y20 SITE_PIPS SITEPROP TIEOFF_X34Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y21 CLASS site SITEPROP TIEOFF_X34Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y21 IS_BONDED 0 SITEPROP TIEOFF_X34Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y21 IS_PAD 0 SITEPROP TIEOFF_X34Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y21 IS_RESERVED 0 SITEPROP TIEOFF_X34Y21 IS_TEST 0 SITEPROP TIEOFF_X34Y21 IS_USED 0 SITEPROP TIEOFF_X34Y21 MANUAL_ROUTING SITEPROP TIEOFF_X34Y21 NAME TIEOFF_X34Y21 SITEPROP TIEOFF_X34Y21 NUM_ARCS 0 SITEPROP TIEOFF_X34Y21 NUM_BELS 2 SITEPROP TIEOFF_X34Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y21 NUM_PINS 2 SITEPROP TIEOFF_X34Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y21 PROHIBIT 0 SITEPROP TIEOFF_X34Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y21 RPM_X 136 SITEPROP TIEOFF_X34Y21 RPM_Y 42 SITEPROP TIEOFF_X34Y21 SITE_PIPS SITEPROP TIEOFF_X34Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y22 CLASS site SITEPROP TIEOFF_X34Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y22 IS_BONDED 0 SITEPROP TIEOFF_X34Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y22 IS_PAD 0 SITEPROP TIEOFF_X34Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y22 IS_RESERVED 0 SITEPROP TIEOFF_X34Y22 IS_TEST 0 SITEPROP TIEOFF_X34Y22 IS_USED 0 SITEPROP TIEOFF_X34Y22 MANUAL_ROUTING SITEPROP TIEOFF_X34Y22 NAME TIEOFF_X34Y22 SITEPROP TIEOFF_X34Y22 NUM_ARCS 0 SITEPROP TIEOFF_X34Y22 NUM_BELS 2 SITEPROP TIEOFF_X34Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y22 NUM_PINS 2 SITEPROP TIEOFF_X34Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y22 PROHIBIT 0 SITEPROP TIEOFF_X34Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y22 RPM_X 136 SITEPROP TIEOFF_X34Y22 RPM_Y 44 SITEPROP TIEOFF_X34Y22 SITE_PIPS SITEPROP TIEOFF_X34Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y23 CLASS site SITEPROP TIEOFF_X34Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y23 IS_BONDED 0 SITEPROP TIEOFF_X34Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y23 IS_PAD 0 SITEPROP TIEOFF_X34Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y23 IS_RESERVED 0 SITEPROP TIEOFF_X34Y23 IS_TEST 0 SITEPROP TIEOFF_X34Y23 IS_USED 0 SITEPROP TIEOFF_X34Y23 MANUAL_ROUTING SITEPROP TIEOFF_X34Y23 NAME TIEOFF_X34Y23 SITEPROP TIEOFF_X34Y23 NUM_ARCS 0 SITEPROP TIEOFF_X34Y23 NUM_BELS 2 SITEPROP TIEOFF_X34Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y23 NUM_PINS 2 SITEPROP TIEOFF_X34Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y23 PROHIBIT 0 SITEPROP TIEOFF_X34Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y23 RPM_X 136 SITEPROP TIEOFF_X34Y23 RPM_Y 46 SITEPROP TIEOFF_X34Y23 SITE_PIPS SITEPROP TIEOFF_X34Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y24 CLASS site SITEPROP TIEOFF_X34Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y24 IS_BONDED 0 SITEPROP TIEOFF_X34Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y24 IS_PAD 0 SITEPROP TIEOFF_X34Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y24 IS_RESERVED 0 SITEPROP TIEOFF_X34Y24 IS_TEST 0 SITEPROP TIEOFF_X34Y24 IS_USED 0 SITEPROP TIEOFF_X34Y24 MANUAL_ROUTING SITEPROP TIEOFF_X34Y24 NAME TIEOFF_X34Y24 SITEPROP TIEOFF_X34Y24 NUM_ARCS 0 SITEPROP TIEOFF_X34Y24 NUM_BELS 2 SITEPROP TIEOFF_X34Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y24 NUM_PINS 2 SITEPROP TIEOFF_X34Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y24 PROHIBIT 0 SITEPROP TIEOFF_X34Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y24 RPM_X 136 SITEPROP TIEOFF_X34Y24 RPM_Y 48 SITEPROP TIEOFF_X34Y24 SITE_PIPS SITEPROP TIEOFF_X34Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y25 CLASS site SITEPROP TIEOFF_X34Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y25 IS_BONDED 0 SITEPROP TIEOFF_X34Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y25 IS_PAD 0 SITEPROP TIEOFF_X34Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y25 IS_RESERVED 0 SITEPROP TIEOFF_X34Y25 IS_TEST 0 SITEPROP TIEOFF_X34Y25 IS_USED 0 SITEPROP TIEOFF_X34Y25 MANUAL_ROUTING SITEPROP TIEOFF_X34Y25 NAME TIEOFF_X34Y25 SITEPROP TIEOFF_X34Y25 NUM_ARCS 0 SITEPROP TIEOFF_X34Y25 NUM_BELS 2 SITEPROP TIEOFF_X34Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y25 NUM_PINS 2 SITEPROP TIEOFF_X34Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y25 PROHIBIT 0 SITEPROP TIEOFF_X34Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y25 RPM_X 136 SITEPROP TIEOFF_X34Y25 RPM_Y 50 SITEPROP TIEOFF_X34Y25 SITE_PIPS SITEPROP TIEOFF_X34Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y26 CLASS site SITEPROP TIEOFF_X34Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y26 IS_BONDED 0 SITEPROP TIEOFF_X34Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y26 IS_PAD 0 SITEPROP TIEOFF_X34Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y26 IS_RESERVED 0 SITEPROP TIEOFF_X34Y26 IS_TEST 0 SITEPROP TIEOFF_X34Y26 IS_USED 0 SITEPROP TIEOFF_X34Y26 MANUAL_ROUTING SITEPROP TIEOFF_X34Y26 NAME TIEOFF_X34Y26 SITEPROP TIEOFF_X34Y26 NUM_ARCS 0 SITEPROP TIEOFF_X34Y26 NUM_BELS 2 SITEPROP TIEOFF_X34Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y26 NUM_PINS 2 SITEPROP TIEOFF_X34Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y26 PROHIBIT 0 SITEPROP TIEOFF_X34Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y26 RPM_X 136 SITEPROP TIEOFF_X34Y26 RPM_Y 52 SITEPROP TIEOFF_X34Y26 SITE_PIPS SITEPROP TIEOFF_X34Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y27 CLASS site SITEPROP TIEOFF_X34Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y27 IS_BONDED 0 SITEPROP TIEOFF_X34Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y27 IS_PAD 0 SITEPROP TIEOFF_X34Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y27 IS_RESERVED 0 SITEPROP TIEOFF_X34Y27 IS_TEST 0 SITEPROP TIEOFF_X34Y27 IS_USED 0 SITEPROP TIEOFF_X34Y27 MANUAL_ROUTING SITEPROP TIEOFF_X34Y27 NAME TIEOFF_X34Y27 SITEPROP TIEOFF_X34Y27 NUM_ARCS 0 SITEPROP TIEOFF_X34Y27 NUM_BELS 2 SITEPROP TIEOFF_X34Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y27 NUM_PINS 2 SITEPROP TIEOFF_X34Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y27 PROHIBIT 0 SITEPROP TIEOFF_X34Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y27 RPM_X 136 SITEPROP TIEOFF_X34Y27 RPM_Y 54 SITEPROP TIEOFF_X34Y27 SITE_PIPS SITEPROP TIEOFF_X34Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y28 CLASS site SITEPROP TIEOFF_X34Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y28 IS_BONDED 0 SITEPROP TIEOFF_X34Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y28 IS_PAD 0 SITEPROP TIEOFF_X34Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y28 IS_RESERVED 0 SITEPROP TIEOFF_X34Y28 IS_TEST 0 SITEPROP TIEOFF_X34Y28 IS_USED 0 SITEPROP TIEOFF_X34Y28 MANUAL_ROUTING SITEPROP TIEOFF_X34Y28 NAME TIEOFF_X34Y28 SITEPROP TIEOFF_X34Y28 NUM_ARCS 0 SITEPROP TIEOFF_X34Y28 NUM_BELS 2 SITEPROP TIEOFF_X34Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y28 NUM_PINS 2 SITEPROP TIEOFF_X34Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y28 PROHIBIT 0 SITEPROP TIEOFF_X34Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y28 RPM_X 136 SITEPROP TIEOFF_X34Y28 RPM_Y 56 SITEPROP TIEOFF_X34Y28 SITE_PIPS SITEPROP TIEOFF_X34Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y29 CLASS site SITEPROP TIEOFF_X34Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y29 IS_BONDED 0 SITEPROP TIEOFF_X34Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y29 IS_PAD 0 SITEPROP TIEOFF_X34Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y29 IS_RESERVED 0 SITEPROP TIEOFF_X34Y29 IS_TEST 0 SITEPROP TIEOFF_X34Y29 IS_USED 0 SITEPROP TIEOFF_X34Y29 MANUAL_ROUTING SITEPROP TIEOFF_X34Y29 NAME TIEOFF_X34Y29 SITEPROP TIEOFF_X34Y29 NUM_ARCS 0 SITEPROP TIEOFF_X34Y29 NUM_BELS 2 SITEPROP TIEOFF_X34Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y29 NUM_PINS 2 SITEPROP TIEOFF_X34Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y29 PROHIBIT 0 SITEPROP TIEOFF_X34Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y29 RPM_X 136 SITEPROP TIEOFF_X34Y29 RPM_Y 58 SITEPROP TIEOFF_X34Y29 SITE_PIPS SITEPROP TIEOFF_X34Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y30 CLASS site SITEPROP TIEOFF_X34Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y30 IS_BONDED 0 SITEPROP TIEOFF_X34Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y30 IS_PAD 0 SITEPROP TIEOFF_X34Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y30 IS_RESERVED 0 SITEPROP TIEOFF_X34Y30 IS_TEST 0 SITEPROP TIEOFF_X34Y30 IS_USED 0 SITEPROP TIEOFF_X34Y30 MANUAL_ROUTING SITEPROP TIEOFF_X34Y30 NAME TIEOFF_X34Y30 SITEPROP TIEOFF_X34Y30 NUM_ARCS 0 SITEPROP TIEOFF_X34Y30 NUM_BELS 2 SITEPROP TIEOFF_X34Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y30 NUM_PINS 2 SITEPROP TIEOFF_X34Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y30 PROHIBIT 0 SITEPROP TIEOFF_X34Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y30 RPM_X 136 SITEPROP TIEOFF_X34Y30 RPM_Y 60 SITEPROP TIEOFF_X34Y30 SITE_PIPS SITEPROP TIEOFF_X34Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y31 CLASS site SITEPROP TIEOFF_X34Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y31 IS_BONDED 0 SITEPROP TIEOFF_X34Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y31 IS_PAD 0 SITEPROP TIEOFF_X34Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y31 IS_RESERVED 0 SITEPROP TIEOFF_X34Y31 IS_TEST 0 SITEPROP TIEOFF_X34Y31 IS_USED 0 SITEPROP TIEOFF_X34Y31 MANUAL_ROUTING SITEPROP TIEOFF_X34Y31 NAME TIEOFF_X34Y31 SITEPROP TIEOFF_X34Y31 NUM_ARCS 0 SITEPROP TIEOFF_X34Y31 NUM_BELS 2 SITEPROP TIEOFF_X34Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y31 NUM_PINS 2 SITEPROP TIEOFF_X34Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y31 PROHIBIT 0 SITEPROP TIEOFF_X34Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y31 RPM_X 136 SITEPROP TIEOFF_X34Y31 RPM_Y 62 SITEPROP TIEOFF_X34Y31 SITE_PIPS SITEPROP TIEOFF_X34Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y32 CLASS site SITEPROP TIEOFF_X34Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y32 IS_BONDED 0 SITEPROP TIEOFF_X34Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y32 IS_PAD 0 SITEPROP TIEOFF_X34Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y32 IS_RESERVED 0 SITEPROP TIEOFF_X34Y32 IS_TEST 0 SITEPROP TIEOFF_X34Y32 IS_USED 0 SITEPROP TIEOFF_X34Y32 MANUAL_ROUTING SITEPROP TIEOFF_X34Y32 NAME TIEOFF_X34Y32 SITEPROP TIEOFF_X34Y32 NUM_ARCS 0 SITEPROP TIEOFF_X34Y32 NUM_BELS 2 SITEPROP TIEOFF_X34Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y32 NUM_PINS 2 SITEPROP TIEOFF_X34Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y32 PROHIBIT 0 SITEPROP TIEOFF_X34Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y32 RPM_X 136 SITEPROP TIEOFF_X34Y32 RPM_Y 64 SITEPROP TIEOFF_X34Y32 SITE_PIPS SITEPROP TIEOFF_X34Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y33 CLASS site SITEPROP TIEOFF_X34Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y33 IS_BONDED 0 SITEPROP TIEOFF_X34Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y33 IS_PAD 0 SITEPROP TIEOFF_X34Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y33 IS_RESERVED 0 SITEPROP TIEOFF_X34Y33 IS_TEST 0 SITEPROP TIEOFF_X34Y33 IS_USED 0 SITEPROP TIEOFF_X34Y33 MANUAL_ROUTING SITEPROP TIEOFF_X34Y33 NAME TIEOFF_X34Y33 SITEPROP TIEOFF_X34Y33 NUM_ARCS 0 SITEPROP TIEOFF_X34Y33 NUM_BELS 2 SITEPROP TIEOFF_X34Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y33 NUM_PINS 2 SITEPROP TIEOFF_X34Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y33 PROHIBIT 0 SITEPROP TIEOFF_X34Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y33 RPM_X 136 SITEPROP TIEOFF_X34Y33 RPM_Y 66 SITEPROP TIEOFF_X34Y33 SITE_PIPS SITEPROP TIEOFF_X34Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y34 CLASS site SITEPROP TIEOFF_X34Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y34 IS_BONDED 0 SITEPROP TIEOFF_X34Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y34 IS_PAD 0 SITEPROP TIEOFF_X34Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y34 IS_RESERVED 0 SITEPROP TIEOFF_X34Y34 IS_TEST 0 SITEPROP TIEOFF_X34Y34 IS_USED 0 SITEPROP TIEOFF_X34Y34 MANUAL_ROUTING SITEPROP TIEOFF_X34Y34 NAME TIEOFF_X34Y34 SITEPROP TIEOFF_X34Y34 NUM_ARCS 0 SITEPROP TIEOFF_X34Y34 NUM_BELS 2 SITEPROP TIEOFF_X34Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y34 NUM_PINS 2 SITEPROP TIEOFF_X34Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y34 PROHIBIT 0 SITEPROP TIEOFF_X34Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y34 RPM_X 136 SITEPROP TIEOFF_X34Y34 RPM_Y 68 SITEPROP TIEOFF_X34Y34 SITE_PIPS SITEPROP TIEOFF_X34Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y35 CLASS site SITEPROP TIEOFF_X34Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y35 IS_BONDED 0 SITEPROP TIEOFF_X34Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y35 IS_PAD 0 SITEPROP TIEOFF_X34Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y35 IS_RESERVED 0 SITEPROP TIEOFF_X34Y35 IS_TEST 0 SITEPROP TIEOFF_X34Y35 IS_USED 0 SITEPROP TIEOFF_X34Y35 MANUAL_ROUTING SITEPROP TIEOFF_X34Y35 NAME TIEOFF_X34Y35 SITEPROP TIEOFF_X34Y35 NUM_ARCS 0 SITEPROP TIEOFF_X34Y35 NUM_BELS 2 SITEPROP TIEOFF_X34Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y35 NUM_PINS 2 SITEPROP TIEOFF_X34Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y35 PROHIBIT 0 SITEPROP TIEOFF_X34Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y35 RPM_X 136 SITEPROP TIEOFF_X34Y35 RPM_Y 70 SITEPROP TIEOFF_X34Y35 SITE_PIPS SITEPROP TIEOFF_X34Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y36 CLASS site SITEPROP TIEOFF_X34Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y36 IS_BONDED 0 SITEPROP TIEOFF_X34Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y36 IS_PAD 0 SITEPROP TIEOFF_X34Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y36 IS_RESERVED 0 SITEPROP TIEOFF_X34Y36 IS_TEST 0 SITEPROP TIEOFF_X34Y36 IS_USED 0 SITEPROP TIEOFF_X34Y36 MANUAL_ROUTING SITEPROP TIEOFF_X34Y36 NAME TIEOFF_X34Y36 SITEPROP TIEOFF_X34Y36 NUM_ARCS 0 SITEPROP TIEOFF_X34Y36 NUM_BELS 2 SITEPROP TIEOFF_X34Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y36 NUM_PINS 2 SITEPROP TIEOFF_X34Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y36 PROHIBIT 0 SITEPROP TIEOFF_X34Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y36 RPM_X 136 SITEPROP TIEOFF_X34Y36 RPM_Y 72 SITEPROP TIEOFF_X34Y36 SITE_PIPS SITEPROP TIEOFF_X34Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y37 CLASS site SITEPROP TIEOFF_X34Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y37 IS_BONDED 0 SITEPROP TIEOFF_X34Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y37 IS_PAD 0 SITEPROP TIEOFF_X34Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y37 IS_RESERVED 0 SITEPROP TIEOFF_X34Y37 IS_TEST 0 SITEPROP TIEOFF_X34Y37 IS_USED 0 SITEPROP TIEOFF_X34Y37 MANUAL_ROUTING SITEPROP TIEOFF_X34Y37 NAME TIEOFF_X34Y37 SITEPROP TIEOFF_X34Y37 NUM_ARCS 0 SITEPROP TIEOFF_X34Y37 NUM_BELS 2 SITEPROP TIEOFF_X34Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y37 NUM_PINS 2 SITEPROP TIEOFF_X34Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y37 PROHIBIT 0 SITEPROP TIEOFF_X34Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y37 RPM_X 136 SITEPROP TIEOFF_X34Y37 RPM_Y 74 SITEPROP TIEOFF_X34Y37 SITE_PIPS SITEPROP TIEOFF_X34Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y38 CLASS site SITEPROP TIEOFF_X34Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y38 IS_BONDED 0 SITEPROP TIEOFF_X34Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y38 IS_PAD 0 SITEPROP TIEOFF_X34Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y38 IS_RESERVED 0 SITEPROP TIEOFF_X34Y38 IS_TEST 0 SITEPROP TIEOFF_X34Y38 IS_USED 0 SITEPROP TIEOFF_X34Y38 MANUAL_ROUTING SITEPROP TIEOFF_X34Y38 NAME TIEOFF_X34Y38 SITEPROP TIEOFF_X34Y38 NUM_ARCS 0 SITEPROP TIEOFF_X34Y38 NUM_BELS 2 SITEPROP TIEOFF_X34Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y38 NUM_PINS 2 SITEPROP TIEOFF_X34Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y38 PROHIBIT 0 SITEPROP TIEOFF_X34Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y38 RPM_X 136 SITEPROP TIEOFF_X34Y38 RPM_Y 76 SITEPROP TIEOFF_X34Y38 SITE_PIPS SITEPROP TIEOFF_X34Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y39 CLASS site SITEPROP TIEOFF_X34Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y39 IS_BONDED 0 SITEPROP TIEOFF_X34Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y39 IS_PAD 0 SITEPROP TIEOFF_X34Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y39 IS_RESERVED 0 SITEPROP TIEOFF_X34Y39 IS_TEST 0 SITEPROP TIEOFF_X34Y39 IS_USED 0 SITEPROP TIEOFF_X34Y39 MANUAL_ROUTING SITEPROP TIEOFF_X34Y39 NAME TIEOFF_X34Y39 SITEPROP TIEOFF_X34Y39 NUM_ARCS 0 SITEPROP TIEOFF_X34Y39 NUM_BELS 2 SITEPROP TIEOFF_X34Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y39 NUM_PINS 2 SITEPROP TIEOFF_X34Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y39 PROHIBIT 0 SITEPROP TIEOFF_X34Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y39 RPM_X 136 SITEPROP TIEOFF_X34Y39 RPM_Y 78 SITEPROP TIEOFF_X34Y39 SITE_PIPS SITEPROP TIEOFF_X34Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y40 CLASS site SITEPROP TIEOFF_X34Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y40 IS_BONDED 0 SITEPROP TIEOFF_X34Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y40 IS_PAD 0 SITEPROP TIEOFF_X34Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y40 IS_RESERVED 0 SITEPROP TIEOFF_X34Y40 IS_TEST 0 SITEPROP TIEOFF_X34Y40 IS_USED 0 SITEPROP TIEOFF_X34Y40 MANUAL_ROUTING SITEPROP TIEOFF_X34Y40 NAME TIEOFF_X34Y40 SITEPROP TIEOFF_X34Y40 NUM_ARCS 0 SITEPROP TIEOFF_X34Y40 NUM_BELS 2 SITEPROP TIEOFF_X34Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y40 NUM_PINS 2 SITEPROP TIEOFF_X34Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y40 PROHIBIT 0 SITEPROP TIEOFF_X34Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y40 RPM_X 136 SITEPROP TIEOFF_X34Y40 RPM_Y 80 SITEPROP TIEOFF_X34Y40 SITE_PIPS SITEPROP TIEOFF_X34Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y41 CLASS site SITEPROP TIEOFF_X34Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y41 IS_BONDED 0 SITEPROP TIEOFF_X34Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y41 IS_PAD 0 SITEPROP TIEOFF_X34Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y41 IS_RESERVED 0 SITEPROP TIEOFF_X34Y41 IS_TEST 0 SITEPROP TIEOFF_X34Y41 IS_USED 0 SITEPROP TIEOFF_X34Y41 MANUAL_ROUTING SITEPROP TIEOFF_X34Y41 NAME TIEOFF_X34Y41 SITEPROP TIEOFF_X34Y41 NUM_ARCS 0 SITEPROP TIEOFF_X34Y41 NUM_BELS 2 SITEPROP TIEOFF_X34Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y41 NUM_PINS 2 SITEPROP TIEOFF_X34Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y41 PROHIBIT 0 SITEPROP TIEOFF_X34Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y41 RPM_X 136 SITEPROP TIEOFF_X34Y41 RPM_Y 82 SITEPROP TIEOFF_X34Y41 SITE_PIPS SITEPROP TIEOFF_X34Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y42 CLASS site SITEPROP TIEOFF_X34Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y42 IS_BONDED 0 SITEPROP TIEOFF_X34Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y42 IS_PAD 0 SITEPROP TIEOFF_X34Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y42 IS_RESERVED 0 SITEPROP TIEOFF_X34Y42 IS_TEST 0 SITEPROP TIEOFF_X34Y42 IS_USED 0 SITEPROP TIEOFF_X34Y42 MANUAL_ROUTING SITEPROP TIEOFF_X34Y42 NAME TIEOFF_X34Y42 SITEPROP TIEOFF_X34Y42 NUM_ARCS 0 SITEPROP TIEOFF_X34Y42 NUM_BELS 2 SITEPROP TIEOFF_X34Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y42 NUM_PINS 2 SITEPROP TIEOFF_X34Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y42 PROHIBIT 0 SITEPROP TIEOFF_X34Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y42 RPM_X 136 SITEPROP TIEOFF_X34Y42 RPM_Y 84 SITEPROP TIEOFF_X34Y42 SITE_PIPS SITEPROP TIEOFF_X34Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y43 CLASS site SITEPROP TIEOFF_X34Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y43 IS_BONDED 0 SITEPROP TIEOFF_X34Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y43 IS_PAD 0 SITEPROP TIEOFF_X34Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y43 IS_RESERVED 0 SITEPROP TIEOFF_X34Y43 IS_TEST 0 SITEPROP TIEOFF_X34Y43 IS_USED 0 SITEPROP TIEOFF_X34Y43 MANUAL_ROUTING SITEPROP TIEOFF_X34Y43 NAME TIEOFF_X34Y43 SITEPROP TIEOFF_X34Y43 NUM_ARCS 0 SITEPROP TIEOFF_X34Y43 NUM_BELS 2 SITEPROP TIEOFF_X34Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y43 NUM_PINS 2 SITEPROP TIEOFF_X34Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y43 PROHIBIT 0 SITEPROP TIEOFF_X34Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y43 RPM_X 136 SITEPROP TIEOFF_X34Y43 RPM_Y 86 SITEPROP TIEOFF_X34Y43 SITE_PIPS SITEPROP TIEOFF_X34Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y44 CLASS site SITEPROP TIEOFF_X34Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y44 IS_BONDED 0 SITEPROP TIEOFF_X34Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y44 IS_PAD 0 SITEPROP TIEOFF_X34Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y44 IS_RESERVED 0 SITEPROP TIEOFF_X34Y44 IS_TEST 0 SITEPROP TIEOFF_X34Y44 IS_USED 0 SITEPROP TIEOFF_X34Y44 MANUAL_ROUTING SITEPROP TIEOFF_X34Y44 NAME TIEOFF_X34Y44 SITEPROP TIEOFF_X34Y44 NUM_ARCS 0 SITEPROP TIEOFF_X34Y44 NUM_BELS 2 SITEPROP TIEOFF_X34Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y44 NUM_PINS 2 SITEPROP TIEOFF_X34Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y44 PROHIBIT 0 SITEPROP TIEOFF_X34Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y44 RPM_X 136 SITEPROP TIEOFF_X34Y44 RPM_Y 88 SITEPROP TIEOFF_X34Y44 SITE_PIPS SITEPROP TIEOFF_X34Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y45 CLASS site SITEPROP TIEOFF_X34Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y45 IS_BONDED 0 SITEPROP TIEOFF_X34Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y45 IS_PAD 0 SITEPROP TIEOFF_X34Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y45 IS_RESERVED 0 SITEPROP TIEOFF_X34Y45 IS_TEST 0 SITEPROP TIEOFF_X34Y45 IS_USED 0 SITEPROP TIEOFF_X34Y45 MANUAL_ROUTING SITEPROP TIEOFF_X34Y45 NAME TIEOFF_X34Y45 SITEPROP TIEOFF_X34Y45 NUM_ARCS 0 SITEPROP TIEOFF_X34Y45 NUM_BELS 2 SITEPROP TIEOFF_X34Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y45 NUM_PINS 2 SITEPROP TIEOFF_X34Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y45 PROHIBIT 0 SITEPROP TIEOFF_X34Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y45 RPM_X 136 SITEPROP TIEOFF_X34Y45 RPM_Y 90 SITEPROP TIEOFF_X34Y45 SITE_PIPS SITEPROP TIEOFF_X34Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y46 CLASS site SITEPROP TIEOFF_X34Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y46 IS_BONDED 0 SITEPROP TIEOFF_X34Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y46 IS_PAD 0 SITEPROP TIEOFF_X34Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y46 IS_RESERVED 0 SITEPROP TIEOFF_X34Y46 IS_TEST 0 SITEPROP TIEOFF_X34Y46 IS_USED 0 SITEPROP TIEOFF_X34Y46 MANUAL_ROUTING SITEPROP TIEOFF_X34Y46 NAME TIEOFF_X34Y46 SITEPROP TIEOFF_X34Y46 NUM_ARCS 0 SITEPROP TIEOFF_X34Y46 NUM_BELS 2 SITEPROP TIEOFF_X34Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y46 NUM_PINS 2 SITEPROP TIEOFF_X34Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y46 PROHIBIT 0 SITEPROP TIEOFF_X34Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y46 RPM_X 136 SITEPROP TIEOFF_X34Y46 RPM_Y 92 SITEPROP TIEOFF_X34Y46 SITE_PIPS SITEPROP TIEOFF_X34Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y47 CLASS site SITEPROP TIEOFF_X34Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y47 IS_BONDED 0 SITEPROP TIEOFF_X34Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y47 IS_PAD 0 SITEPROP TIEOFF_X34Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y47 IS_RESERVED 0 SITEPROP TIEOFF_X34Y47 IS_TEST 0 SITEPROP TIEOFF_X34Y47 IS_USED 0 SITEPROP TIEOFF_X34Y47 MANUAL_ROUTING SITEPROP TIEOFF_X34Y47 NAME TIEOFF_X34Y47 SITEPROP TIEOFF_X34Y47 NUM_ARCS 0 SITEPROP TIEOFF_X34Y47 NUM_BELS 2 SITEPROP TIEOFF_X34Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y47 NUM_PINS 2 SITEPROP TIEOFF_X34Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y47 PROHIBIT 0 SITEPROP TIEOFF_X34Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y47 RPM_X 136 SITEPROP TIEOFF_X34Y47 RPM_Y 94 SITEPROP TIEOFF_X34Y47 SITE_PIPS SITEPROP TIEOFF_X34Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y48 CLASS site SITEPROP TIEOFF_X34Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y48 IS_BONDED 0 SITEPROP TIEOFF_X34Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y48 IS_PAD 0 SITEPROP TIEOFF_X34Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y48 IS_RESERVED 0 SITEPROP TIEOFF_X34Y48 IS_TEST 0 SITEPROP TIEOFF_X34Y48 IS_USED 0 SITEPROP TIEOFF_X34Y48 MANUAL_ROUTING SITEPROP TIEOFF_X34Y48 NAME TIEOFF_X34Y48 SITEPROP TIEOFF_X34Y48 NUM_ARCS 0 SITEPROP TIEOFF_X34Y48 NUM_BELS 2 SITEPROP TIEOFF_X34Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y48 NUM_PINS 2 SITEPROP TIEOFF_X34Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y48 PROHIBIT 0 SITEPROP TIEOFF_X34Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y48 RPM_X 136 SITEPROP TIEOFF_X34Y48 RPM_Y 96 SITEPROP TIEOFF_X34Y48 SITE_PIPS SITEPROP TIEOFF_X34Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y49 CLASS site SITEPROP TIEOFF_X34Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X34Y49 IS_BONDED 0 SITEPROP TIEOFF_X34Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y49 IS_PAD 0 SITEPROP TIEOFF_X34Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y49 IS_RESERVED 0 SITEPROP TIEOFF_X34Y49 IS_TEST 0 SITEPROP TIEOFF_X34Y49 IS_USED 0 SITEPROP TIEOFF_X34Y49 MANUAL_ROUTING SITEPROP TIEOFF_X34Y49 NAME TIEOFF_X34Y49 SITEPROP TIEOFF_X34Y49 NUM_ARCS 0 SITEPROP TIEOFF_X34Y49 NUM_BELS 2 SITEPROP TIEOFF_X34Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y49 NUM_PINS 2 SITEPROP TIEOFF_X34Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y49 PROHIBIT 0 SITEPROP TIEOFF_X34Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y49 RPM_X 136 SITEPROP TIEOFF_X34Y49 RPM_Y 98 SITEPROP TIEOFF_X34Y49 SITE_PIPS SITEPROP TIEOFF_X34Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y50 CLASS site SITEPROP TIEOFF_X34Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y50 IS_BONDED 0 SITEPROP TIEOFF_X34Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y50 IS_PAD 0 SITEPROP TIEOFF_X34Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y50 IS_RESERVED 0 SITEPROP TIEOFF_X34Y50 IS_TEST 0 SITEPROP TIEOFF_X34Y50 IS_USED 0 SITEPROP TIEOFF_X34Y50 MANUAL_ROUTING SITEPROP TIEOFF_X34Y50 NAME TIEOFF_X34Y50 SITEPROP TIEOFF_X34Y50 NUM_ARCS 0 SITEPROP TIEOFF_X34Y50 NUM_BELS 2 SITEPROP TIEOFF_X34Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y50 NUM_PINS 2 SITEPROP TIEOFF_X34Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y50 PROHIBIT 0 SITEPROP TIEOFF_X34Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y50 RPM_X 136 SITEPROP TIEOFF_X34Y50 RPM_Y 100 SITEPROP TIEOFF_X34Y50 SITE_PIPS SITEPROP TIEOFF_X34Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y51 CLASS site SITEPROP TIEOFF_X34Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y51 IS_BONDED 0 SITEPROP TIEOFF_X34Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y51 IS_PAD 0 SITEPROP TIEOFF_X34Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y51 IS_RESERVED 0 SITEPROP TIEOFF_X34Y51 IS_TEST 0 SITEPROP TIEOFF_X34Y51 IS_USED 0 SITEPROP TIEOFF_X34Y51 MANUAL_ROUTING SITEPROP TIEOFF_X34Y51 NAME TIEOFF_X34Y51 SITEPROP TIEOFF_X34Y51 NUM_ARCS 0 SITEPROP TIEOFF_X34Y51 NUM_BELS 2 SITEPROP TIEOFF_X34Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y51 NUM_PINS 2 SITEPROP TIEOFF_X34Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y51 PROHIBIT 0 SITEPROP TIEOFF_X34Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y51 RPM_X 136 SITEPROP TIEOFF_X34Y51 RPM_Y 102 SITEPROP TIEOFF_X34Y51 SITE_PIPS SITEPROP TIEOFF_X34Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y52 CLASS site SITEPROP TIEOFF_X34Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y52 IS_BONDED 0 SITEPROP TIEOFF_X34Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y52 IS_PAD 0 SITEPROP TIEOFF_X34Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y52 IS_RESERVED 0 SITEPROP TIEOFF_X34Y52 IS_TEST 0 SITEPROP TIEOFF_X34Y52 IS_USED 0 SITEPROP TIEOFF_X34Y52 MANUAL_ROUTING SITEPROP TIEOFF_X34Y52 NAME TIEOFF_X34Y52 SITEPROP TIEOFF_X34Y52 NUM_ARCS 0 SITEPROP TIEOFF_X34Y52 NUM_BELS 2 SITEPROP TIEOFF_X34Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y52 NUM_PINS 2 SITEPROP TIEOFF_X34Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y52 PROHIBIT 0 SITEPROP TIEOFF_X34Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y52 RPM_X 136 SITEPROP TIEOFF_X34Y52 RPM_Y 104 SITEPROP TIEOFF_X34Y52 SITE_PIPS SITEPROP TIEOFF_X34Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y53 CLASS site SITEPROP TIEOFF_X34Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y53 IS_BONDED 0 SITEPROP TIEOFF_X34Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y53 IS_PAD 0 SITEPROP TIEOFF_X34Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y53 IS_RESERVED 0 SITEPROP TIEOFF_X34Y53 IS_TEST 0 SITEPROP TIEOFF_X34Y53 IS_USED 0 SITEPROP TIEOFF_X34Y53 MANUAL_ROUTING SITEPROP TIEOFF_X34Y53 NAME TIEOFF_X34Y53 SITEPROP TIEOFF_X34Y53 NUM_ARCS 0 SITEPROP TIEOFF_X34Y53 NUM_BELS 2 SITEPROP TIEOFF_X34Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y53 NUM_PINS 2 SITEPROP TIEOFF_X34Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y53 PROHIBIT 0 SITEPROP TIEOFF_X34Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y53 RPM_X 136 SITEPROP TIEOFF_X34Y53 RPM_Y 106 SITEPROP TIEOFF_X34Y53 SITE_PIPS SITEPROP TIEOFF_X34Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y54 CLASS site SITEPROP TIEOFF_X34Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y54 IS_BONDED 0 SITEPROP TIEOFF_X34Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y54 IS_PAD 0 SITEPROP TIEOFF_X34Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y54 IS_RESERVED 0 SITEPROP TIEOFF_X34Y54 IS_TEST 0 SITEPROP TIEOFF_X34Y54 IS_USED 0 SITEPROP TIEOFF_X34Y54 MANUAL_ROUTING SITEPROP TIEOFF_X34Y54 NAME TIEOFF_X34Y54 SITEPROP TIEOFF_X34Y54 NUM_ARCS 0 SITEPROP TIEOFF_X34Y54 NUM_BELS 2 SITEPROP TIEOFF_X34Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y54 NUM_PINS 2 SITEPROP TIEOFF_X34Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y54 PROHIBIT 0 SITEPROP TIEOFF_X34Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y54 RPM_X 136 SITEPROP TIEOFF_X34Y54 RPM_Y 108 SITEPROP TIEOFF_X34Y54 SITE_PIPS SITEPROP TIEOFF_X34Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y55 CLASS site SITEPROP TIEOFF_X34Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y55 IS_BONDED 0 SITEPROP TIEOFF_X34Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y55 IS_PAD 0 SITEPROP TIEOFF_X34Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y55 IS_RESERVED 0 SITEPROP TIEOFF_X34Y55 IS_TEST 0 SITEPROP TIEOFF_X34Y55 IS_USED 0 SITEPROP TIEOFF_X34Y55 MANUAL_ROUTING SITEPROP TIEOFF_X34Y55 NAME TIEOFF_X34Y55 SITEPROP TIEOFF_X34Y55 NUM_ARCS 0 SITEPROP TIEOFF_X34Y55 NUM_BELS 2 SITEPROP TIEOFF_X34Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y55 NUM_PINS 2 SITEPROP TIEOFF_X34Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y55 PROHIBIT 0 SITEPROP TIEOFF_X34Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y55 RPM_X 136 SITEPROP TIEOFF_X34Y55 RPM_Y 110 SITEPROP TIEOFF_X34Y55 SITE_PIPS SITEPROP TIEOFF_X34Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y56 CLASS site SITEPROP TIEOFF_X34Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y56 IS_BONDED 0 SITEPROP TIEOFF_X34Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y56 IS_PAD 0 SITEPROP TIEOFF_X34Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y56 IS_RESERVED 0 SITEPROP TIEOFF_X34Y56 IS_TEST 0 SITEPROP TIEOFF_X34Y56 IS_USED 0 SITEPROP TIEOFF_X34Y56 MANUAL_ROUTING SITEPROP TIEOFF_X34Y56 NAME TIEOFF_X34Y56 SITEPROP TIEOFF_X34Y56 NUM_ARCS 0 SITEPROP TIEOFF_X34Y56 NUM_BELS 2 SITEPROP TIEOFF_X34Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y56 NUM_PINS 2 SITEPROP TIEOFF_X34Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y56 PROHIBIT 0 SITEPROP TIEOFF_X34Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y56 RPM_X 136 SITEPROP TIEOFF_X34Y56 RPM_Y 112 SITEPROP TIEOFF_X34Y56 SITE_PIPS SITEPROP TIEOFF_X34Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y57 CLASS site SITEPROP TIEOFF_X34Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y57 IS_BONDED 0 SITEPROP TIEOFF_X34Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y57 IS_PAD 0 SITEPROP TIEOFF_X34Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y57 IS_RESERVED 0 SITEPROP TIEOFF_X34Y57 IS_TEST 0 SITEPROP TIEOFF_X34Y57 IS_USED 0 SITEPROP TIEOFF_X34Y57 MANUAL_ROUTING SITEPROP TIEOFF_X34Y57 NAME TIEOFF_X34Y57 SITEPROP TIEOFF_X34Y57 NUM_ARCS 0 SITEPROP TIEOFF_X34Y57 NUM_BELS 2 SITEPROP TIEOFF_X34Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y57 NUM_PINS 2 SITEPROP TIEOFF_X34Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y57 PROHIBIT 0 SITEPROP TIEOFF_X34Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y57 RPM_X 136 SITEPROP TIEOFF_X34Y57 RPM_Y 114 SITEPROP TIEOFF_X34Y57 SITE_PIPS SITEPROP TIEOFF_X34Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y58 CLASS site SITEPROP TIEOFF_X34Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y58 IS_BONDED 0 SITEPROP TIEOFF_X34Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y58 IS_PAD 0 SITEPROP TIEOFF_X34Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y58 IS_RESERVED 0 SITEPROP TIEOFF_X34Y58 IS_TEST 0 SITEPROP TIEOFF_X34Y58 IS_USED 0 SITEPROP TIEOFF_X34Y58 MANUAL_ROUTING SITEPROP TIEOFF_X34Y58 NAME TIEOFF_X34Y58 SITEPROP TIEOFF_X34Y58 NUM_ARCS 0 SITEPROP TIEOFF_X34Y58 NUM_BELS 2 SITEPROP TIEOFF_X34Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y58 NUM_PINS 2 SITEPROP TIEOFF_X34Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y58 PROHIBIT 0 SITEPROP TIEOFF_X34Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y58 RPM_X 136 SITEPROP TIEOFF_X34Y58 RPM_Y 116 SITEPROP TIEOFF_X34Y58 SITE_PIPS SITEPROP TIEOFF_X34Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y59 CLASS site SITEPROP TIEOFF_X34Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y59 IS_BONDED 0 SITEPROP TIEOFF_X34Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y59 IS_PAD 0 SITEPROP TIEOFF_X34Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y59 IS_RESERVED 0 SITEPROP TIEOFF_X34Y59 IS_TEST 0 SITEPROP TIEOFF_X34Y59 IS_USED 0 SITEPROP TIEOFF_X34Y59 MANUAL_ROUTING SITEPROP TIEOFF_X34Y59 NAME TIEOFF_X34Y59 SITEPROP TIEOFF_X34Y59 NUM_ARCS 0 SITEPROP TIEOFF_X34Y59 NUM_BELS 2 SITEPROP TIEOFF_X34Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y59 NUM_PINS 2 SITEPROP TIEOFF_X34Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y59 PROHIBIT 0 SITEPROP TIEOFF_X34Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y59 RPM_X 136 SITEPROP TIEOFF_X34Y59 RPM_Y 118 SITEPROP TIEOFF_X34Y59 SITE_PIPS SITEPROP TIEOFF_X34Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y60 CLASS site SITEPROP TIEOFF_X34Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y60 IS_BONDED 0 SITEPROP TIEOFF_X34Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y60 IS_PAD 0 SITEPROP TIEOFF_X34Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y60 IS_RESERVED 0 SITEPROP TIEOFF_X34Y60 IS_TEST 0 SITEPROP TIEOFF_X34Y60 IS_USED 0 SITEPROP TIEOFF_X34Y60 MANUAL_ROUTING SITEPROP TIEOFF_X34Y60 NAME TIEOFF_X34Y60 SITEPROP TIEOFF_X34Y60 NUM_ARCS 0 SITEPROP TIEOFF_X34Y60 NUM_BELS 2 SITEPROP TIEOFF_X34Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y60 NUM_PINS 2 SITEPROP TIEOFF_X34Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y60 PROHIBIT 0 SITEPROP TIEOFF_X34Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y60 RPM_X 136 SITEPROP TIEOFF_X34Y60 RPM_Y 120 SITEPROP TIEOFF_X34Y60 SITE_PIPS SITEPROP TIEOFF_X34Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y61 CLASS site SITEPROP TIEOFF_X34Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y61 IS_BONDED 0 SITEPROP TIEOFF_X34Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y61 IS_PAD 0 SITEPROP TIEOFF_X34Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y61 IS_RESERVED 0 SITEPROP TIEOFF_X34Y61 IS_TEST 0 SITEPROP TIEOFF_X34Y61 IS_USED 0 SITEPROP TIEOFF_X34Y61 MANUAL_ROUTING SITEPROP TIEOFF_X34Y61 NAME TIEOFF_X34Y61 SITEPROP TIEOFF_X34Y61 NUM_ARCS 0 SITEPROP TIEOFF_X34Y61 NUM_BELS 2 SITEPROP TIEOFF_X34Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y61 NUM_PINS 2 SITEPROP TIEOFF_X34Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y61 PROHIBIT 0 SITEPROP TIEOFF_X34Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y61 RPM_X 136 SITEPROP TIEOFF_X34Y61 RPM_Y 122 SITEPROP TIEOFF_X34Y61 SITE_PIPS SITEPROP TIEOFF_X34Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y62 CLASS site SITEPROP TIEOFF_X34Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y62 IS_BONDED 0 SITEPROP TIEOFF_X34Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y62 IS_PAD 0 SITEPROP TIEOFF_X34Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y62 IS_RESERVED 0 SITEPROP TIEOFF_X34Y62 IS_TEST 0 SITEPROP TIEOFF_X34Y62 IS_USED 0 SITEPROP TIEOFF_X34Y62 MANUAL_ROUTING SITEPROP TIEOFF_X34Y62 NAME TIEOFF_X34Y62 SITEPROP TIEOFF_X34Y62 NUM_ARCS 0 SITEPROP TIEOFF_X34Y62 NUM_BELS 2 SITEPROP TIEOFF_X34Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y62 NUM_PINS 2 SITEPROP TIEOFF_X34Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y62 PROHIBIT 0 SITEPROP TIEOFF_X34Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y62 RPM_X 136 SITEPROP TIEOFF_X34Y62 RPM_Y 124 SITEPROP TIEOFF_X34Y62 SITE_PIPS SITEPROP TIEOFF_X34Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y63 CLASS site SITEPROP TIEOFF_X34Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y63 IS_BONDED 0 SITEPROP TIEOFF_X34Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y63 IS_PAD 0 SITEPROP TIEOFF_X34Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y63 IS_RESERVED 0 SITEPROP TIEOFF_X34Y63 IS_TEST 0 SITEPROP TIEOFF_X34Y63 IS_USED 0 SITEPROP TIEOFF_X34Y63 MANUAL_ROUTING SITEPROP TIEOFF_X34Y63 NAME TIEOFF_X34Y63 SITEPROP TIEOFF_X34Y63 NUM_ARCS 0 SITEPROP TIEOFF_X34Y63 NUM_BELS 2 SITEPROP TIEOFF_X34Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y63 NUM_PINS 2 SITEPROP TIEOFF_X34Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y63 PROHIBIT 0 SITEPROP TIEOFF_X34Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y63 RPM_X 136 SITEPROP TIEOFF_X34Y63 RPM_Y 126 SITEPROP TIEOFF_X34Y63 SITE_PIPS SITEPROP TIEOFF_X34Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y64 CLASS site SITEPROP TIEOFF_X34Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y64 IS_BONDED 0 SITEPROP TIEOFF_X34Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y64 IS_PAD 0 SITEPROP TIEOFF_X34Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y64 IS_RESERVED 0 SITEPROP TIEOFF_X34Y64 IS_TEST 0 SITEPROP TIEOFF_X34Y64 IS_USED 0 SITEPROP TIEOFF_X34Y64 MANUAL_ROUTING SITEPROP TIEOFF_X34Y64 NAME TIEOFF_X34Y64 SITEPROP TIEOFF_X34Y64 NUM_ARCS 0 SITEPROP TIEOFF_X34Y64 NUM_BELS 2 SITEPROP TIEOFF_X34Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y64 NUM_PINS 2 SITEPROP TIEOFF_X34Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y64 PROHIBIT 0 SITEPROP TIEOFF_X34Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y64 RPM_X 136 SITEPROP TIEOFF_X34Y64 RPM_Y 128 SITEPROP TIEOFF_X34Y64 SITE_PIPS SITEPROP TIEOFF_X34Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y65 CLASS site SITEPROP TIEOFF_X34Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y65 IS_BONDED 0 SITEPROP TIEOFF_X34Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y65 IS_PAD 0 SITEPROP TIEOFF_X34Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y65 IS_RESERVED 0 SITEPROP TIEOFF_X34Y65 IS_TEST 0 SITEPROP TIEOFF_X34Y65 IS_USED 0 SITEPROP TIEOFF_X34Y65 MANUAL_ROUTING SITEPROP TIEOFF_X34Y65 NAME TIEOFF_X34Y65 SITEPROP TIEOFF_X34Y65 NUM_ARCS 0 SITEPROP TIEOFF_X34Y65 NUM_BELS 2 SITEPROP TIEOFF_X34Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y65 NUM_PINS 2 SITEPROP TIEOFF_X34Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y65 PROHIBIT 0 SITEPROP TIEOFF_X34Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y65 RPM_X 136 SITEPROP TIEOFF_X34Y65 RPM_Y 130 SITEPROP TIEOFF_X34Y65 SITE_PIPS SITEPROP TIEOFF_X34Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y66 CLASS site SITEPROP TIEOFF_X34Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y66 IS_BONDED 0 SITEPROP TIEOFF_X34Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y66 IS_PAD 0 SITEPROP TIEOFF_X34Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y66 IS_RESERVED 0 SITEPROP TIEOFF_X34Y66 IS_TEST 0 SITEPROP TIEOFF_X34Y66 IS_USED 0 SITEPROP TIEOFF_X34Y66 MANUAL_ROUTING SITEPROP TIEOFF_X34Y66 NAME TIEOFF_X34Y66 SITEPROP TIEOFF_X34Y66 NUM_ARCS 0 SITEPROP TIEOFF_X34Y66 NUM_BELS 2 SITEPROP TIEOFF_X34Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y66 NUM_PINS 2 SITEPROP TIEOFF_X34Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y66 PROHIBIT 0 SITEPROP TIEOFF_X34Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y66 RPM_X 136 SITEPROP TIEOFF_X34Y66 RPM_Y 132 SITEPROP TIEOFF_X34Y66 SITE_PIPS SITEPROP TIEOFF_X34Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y67 CLASS site SITEPROP TIEOFF_X34Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y67 IS_BONDED 0 SITEPROP TIEOFF_X34Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y67 IS_PAD 0 SITEPROP TIEOFF_X34Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y67 IS_RESERVED 0 SITEPROP TIEOFF_X34Y67 IS_TEST 0 SITEPROP TIEOFF_X34Y67 IS_USED 0 SITEPROP TIEOFF_X34Y67 MANUAL_ROUTING SITEPROP TIEOFF_X34Y67 NAME TIEOFF_X34Y67 SITEPROP TIEOFF_X34Y67 NUM_ARCS 0 SITEPROP TIEOFF_X34Y67 NUM_BELS 2 SITEPROP TIEOFF_X34Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y67 NUM_PINS 2 SITEPROP TIEOFF_X34Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y67 PROHIBIT 0 SITEPROP TIEOFF_X34Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y67 RPM_X 136 SITEPROP TIEOFF_X34Y67 RPM_Y 134 SITEPROP TIEOFF_X34Y67 SITE_PIPS SITEPROP TIEOFF_X34Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y68 CLASS site SITEPROP TIEOFF_X34Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y68 IS_BONDED 0 SITEPROP TIEOFF_X34Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y68 IS_PAD 0 SITEPROP TIEOFF_X34Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y68 IS_RESERVED 0 SITEPROP TIEOFF_X34Y68 IS_TEST 0 SITEPROP TIEOFF_X34Y68 IS_USED 0 SITEPROP TIEOFF_X34Y68 MANUAL_ROUTING SITEPROP TIEOFF_X34Y68 NAME TIEOFF_X34Y68 SITEPROP TIEOFF_X34Y68 NUM_ARCS 0 SITEPROP TIEOFF_X34Y68 NUM_BELS 2 SITEPROP TIEOFF_X34Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y68 NUM_PINS 2 SITEPROP TIEOFF_X34Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y68 PROHIBIT 0 SITEPROP TIEOFF_X34Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y68 RPM_X 136 SITEPROP TIEOFF_X34Y68 RPM_Y 136 SITEPROP TIEOFF_X34Y68 SITE_PIPS SITEPROP TIEOFF_X34Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y69 CLASS site SITEPROP TIEOFF_X34Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y69 IS_BONDED 0 SITEPROP TIEOFF_X34Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y69 IS_PAD 0 SITEPROP TIEOFF_X34Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y69 IS_RESERVED 0 SITEPROP TIEOFF_X34Y69 IS_TEST 0 SITEPROP TIEOFF_X34Y69 IS_USED 0 SITEPROP TIEOFF_X34Y69 MANUAL_ROUTING SITEPROP TIEOFF_X34Y69 NAME TIEOFF_X34Y69 SITEPROP TIEOFF_X34Y69 NUM_ARCS 0 SITEPROP TIEOFF_X34Y69 NUM_BELS 2 SITEPROP TIEOFF_X34Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y69 NUM_PINS 2 SITEPROP TIEOFF_X34Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y69 PROHIBIT 0 SITEPROP TIEOFF_X34Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y69 RPM_X 136 SITEPROP TIEOFF_X34Y69 RPM_Y 138 SITEPROP TIEOFF_X34Y69 SITE_PIPS SITEPROP TIEOFF_X34Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y70 CLASS site SITEPROP TIEOFF_X34Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y70 IS_BONDED 0 SITEPROP TIEOFF_X34Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y70 IS_PAD 0 SITEPROP TIEOFF_X34Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y70 IS_RESERVED 0 SITEPROP TIEOFF_X34Y70 IS_TEST 0 SITEPROP TIEOFF_X34Y70 IS_USED 0 SITEPROP TIEOFF_X34Y70 MANUAL_ROUTING SITEPROP TIEOFF_X34Y70 NAME TIEOFF_X34Y70 SITEPROP TIEOFF_X34Y70 NUM_ARCS 0 SITEPROP TIEOFF_X34Y70 NUM_BELS 2 SITEPROP TIEOFF_X34Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y70 NUM_PINS 2 SITEPROP TIEOFF_X34Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y70 PROHIBIT 0 SITEPROP TIEOFF_X34Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y70 RPM_X 136 SITEPROP TIEOFF_X34Y70 RPM_Y 140 SITEPROP TIEOFF_X34Y70 SITE_PIPS SITEPROP TIEOFF_X34Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y71 CLASS site SITEPROP TIEOFF_X34Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y71 IS_BONDED 0 SITEPROP TIEOFF_X34Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y71 IS_PAD 0 SITEPROP TIEOFF_X34Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y71 IS_RESERVED 0 SITEPROP TIEOFF_X34Y71 IS_TEST 0 SITEPROP TIEOFF_X34Y71 IS_USED 0 SITEPROP TIEOFF_X34Y71 MANUAL_ROUTING SITEPROP TIEOFF_X34Y71 NAME TIEOFF_X34Y71 SITEPROP TIEOFF_X34Y71 NUM_ARCS 0 SITEPROP TIEOFF_X34Y71 NUM_BELS 2 SITEPROP TIEOFF_X34Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y71 NUM_PINS 2 SITEPROP TIEOFF_X34Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y71 PROHIBIT 0 SITEPROP TIEOFF_X34Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y71 RPM_X 136 SITEPROP TIEOFF_X34Y71 RPM_Y 142 SITEPROP TIEOFF_X34Y71 SITE_PIPS SITEPROP TIEOFF_X34Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y72 CLASS site SITEPROP TIEOFF_X34Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y72 IS_BONDED 0 SITEPROP TIEOFF_X34Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y72 IS_PAD 0 SITEPROP TIEOFF_X34Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y72 IS_RESERVED 0 SITEPROP TIEOFF_X34Y72 IS_TEST 0 SITEPROP TIEOFF_X34Y72 IS_USED 0 SITEPROP TIEOFF_X34Y72 MANUAL_ROUTING SITEPROP TIEOFF_X34Y72 NAME TIEOFF_X34Y72 SITEPROP TIEOFF_X34Y72 NUM_ARCS 0 SITEPROP TIEOFF_X34Y72 NUM_BELS 2 SITEPROP TIEOFF_X34Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y72 NUM_PINS 2 SITEPROP TIEOFF_X34Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y72 PROHIBIT 0 SITEPROP TIEOFF_X34Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y72 RPM_X 136 SITEPROP TIEOFF_X34Y72 RPM_Y 144 SITEPROP TIEOFF_X34Y72 SITE_PIPS SITEPROP TIEOFF_X34Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y73 CLASS site SITEPROP TIEOFF_X34Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y73 IS_BONDED 0 SITEPROP TIEOFF_X34Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y73 IS_PAD 0 SITEPROP TIEOFF_X34Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y73 IS_RESERVED 0 SITEPROP TIEOFF_X34Y73 IS_TEST 0 SITEPROP TIEOFF_X34Y73 IS_USED 0 SITEPROP TIEOFF_X34Y73 MANUAL_ROUTING SITEPROP TIEOFF_X34Y73 NAME TIEOFF_X34Y73 SITEPROP TIEOFF_X34Y73 NUM_ARCS 0 SITEPROP TIEOFF_X34Y73 NUM_BELS 2 SITEPROP TIEOFF_X34Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y73 NUM_PINS 2 SITEPROP TIEOFF_X34Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y73 PROHIBIT 0 SITEPROP TIEOFF_X34Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y73 RPM_X 136 SITEPROP TIEOFF_X34Y73 RPM_Y 146 SITEPROP TIEOFF_X34Y73 SITE_PIPS SITEPROP TIEOFF_X34Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y74 CLASS site SITEPROP TIEOFF_X34Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y74 IS_BONDED 0 SITEPROP TIEOFF_X34Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y74 IS_PAD 0 SITEPROP TIEOFF_X34Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y74 IS_RESERVED 0 SITEPROP TIEOFF_X34Y74 IS_TEST 0 SITEPROP TIEOFF_X34Y74 IS_USED 0 SITEPROP TIEOFF_X34Y74 MANUAL_ROUTING SITEPROP TIEOFF_X34Y74 NAME TIEOFF_X34Y74 SITEPROP TIEOFF_X34Y74 NUM_ARCS 0 SITEPROP TIEOFF_X34Y74 NUM_BELS 2 SITEPROP TIEOFF_X34Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y74 NUM_PINS 2 SITEPROP TIEOFF_X34Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y74 PROHIBIT 0 SITEPROP TIEOFF_X34Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y74 RPM_X 136 SITEPROP TIEOFF_X34Y74 RPM_Y 148 SITEPROP TIEOFF_X34Y74 SITE_PIPS SITEPROP TIEOFF_X34Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y75 CLASS site SITEPROP TIEOFF_X34Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y75 IS_BONDED 0 SITEPROP TIEOFF_X34Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y75 IS_PAD 0 SITEPROP TIEOFF_X34Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y75 IS_RESERVED 0 SITEPROP TIEOFF_X34Y75 IS_TEST 0 SITEPROP TIEOFF_X34Y75 IS_USED 0 SITEPROP TIEOFF_X34Y75 MANUAL_ROUTING SITEPROP TIEOFF_X34Y75 NAME TIEOFF_X34Y75 SITEPROP TIEOFF_X34Y75 NUM_ARCS 0 SITEPROP TIEOFF_X34Y75 NUM_BELS 2 SITEPROP TIEOFF_X34Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y75 NUM_PINS 2 SITEPROP TIEOFF_X34Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y75 PROHIBIT 0 SITEPROP TIEOFF_X34Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y75 RPM_X 136 SITEPROP TIEOFF_X34Y75 RPM_Y 150 SITEPROP TIEOFF_X34Y75 SITE_PIPS SITEPROP TIEOFF_X34Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y76 CLASS site SITEPROP TIEOFF_X34Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y76 IS_BONDED 0 SITEPROP TIEOFF_X34Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y76 IS_PAD 0 SITEPROP TIEOFF_X34Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y76 IS_RESERVED 0 SITEPROP TIEOFF_X34Y76 IS_TEST 0 SITEPROP TIEOFF_X34Y76 IS_USED 0 SITEPROP TIEOFF_X34Y76 MANUAL_ROUTING SITEPROP TIEOFF_X34Y76 NAME TIEOFF_X34Y76 SITEPROP TIEOFF_X34Y76 NUM_ARCS 0 SITEPROP TIEOFF_X34Y76 NUM_BELS 2 SITEPROP TIEOFF_X34Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y76 NUM_PINS 2 SITEPROP TIEOFF_X34Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y76 PROHIBIT 0 SITEPROP TIEOFF_X34Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y76 RPM_X 136 SITEPROP TIEOFF_X34Y76 RPM_Y 152 SITEPROP TIEOFF_X34Y76 SITE_PIPS SITEPROP TIEOFF_X34Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y77 CLASS site SITEPROP TIEOFF_X34Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y77 IS_BONDED 0 SITEPROP TIEOFF_X34Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y77 IS_PAD 0 SITEPROP TIEOFF_X34Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y77 IS_RESERVED 0 SITEPROP TIEOFF_X34Y77 IS_TEST 0 SITEPROP TIEOFF_X34Y77 IS_USED 0 SITEPROP TIEOFF_X34Y77 MANUAL_ROUTING SITEPROP TIEOFF_X34Y77 NAME TIEOFF_X34Y77 SITEPROP TIEOFF_X34Y77 NUM_ARCS 0 SITEPROP TIEOFF_X34Y77 NUM_BELS 2 SITEPROP TIEOFF_X34Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y77 NUM_PINS 2 SITEPROP TIEOFF_X34Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y77 PROHIBIT 0 SITEPROP TIEOFF_X34Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y77 RPM_X 136 SITEPROP TIEOFF_X34Y77 RPM_Y 154 SITEPROP TIEOFF_X34Y77 SITE_PIPS SITEPROP TIEOFF_X34Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y78 CLASS site SITEPROP TIEOFF_X34Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y78 IS_BONDED 0 SITEPROP TIEOFF_X34Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y78 IS_PAD 0 SITEPROP TIEOFF_X34Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y78 IS_RESERVED 0 SITEPROP TIEOFF_X34Y78 IS_TEST 0 SITEPROP TIEOFF_X34Y78 IS_USED 0 SITEPROP TIEOFF_X34Y78 MANUAL_ROUTING SITEPROP TIEOFF_X34Y78 NAME TIEOFF_X34Y78 SITEPROP TIEOFF_X34Y78 NUM_ARCS 0 SITEPROP TIEOFF_X34Y78 NUM_BELS 2 SITEPROP TIEOFF_X34Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y78 NUM_PINS 2 SITEPROP TIEOFF_X34Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y78 PROHIBIT 0 SITEPROP TIEOFF_X34Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y78 RPM_X 136 SITEPROP TIEOFF_X34Y78 RPM_Y 156 SITEPROP TIEOFF_X34Y78 SITE_PIPS SITEPROP TIEOFF_X34Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y79 CLASS site SITEPROP TIEOFF_X34Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y79 IS_BONDED 0 SITEPROP TIEOFF_X34Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y79 IS_PAD 0 SITEPROP TIEOFF_X34Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y79 IS_RESERVED 0 SITEPROP TIEOFF_X34Y79 IS_TEST 0 SITEPROP TIEOFF_X34Y79 IS_USED 0 SITEPROP TIEOFF_X34Y79 MANUAL_ROUTING SITEPROP TIEOFF_X34Y79 NAME TIEOFF_X34Y79 SITEPROP TIEOFF_X34Y79 NUM_ARCS 0 SITEPROP TIEOFF_X34Y79 NUM_BELS 2 SITEPROP TIEOFF_X34Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y79 NUM_PINS 2 SITEPROP TIEOFF_X34Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y79 PROHIBIT 0 SITEPROP TIEOFF_X34Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y79 RPM_X 136 SITEPROP TIEOFF_X34Y79 RPM_Y 158 SITEPROP TIEOFF_X34Y79 SITE_PIPS SITEPROP TIEOFF_X34Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y80 CLASS site SITEPROP TIEOFF_X34Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y80 IS_BONDED 0 SITEPROP TIEOFF_X34Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y80 IS_PAD 0 SITEPROP TIEOFF_X34Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y80 IS_RESERVED 0 SITEPROP TIEOFF_X34Y80 IS_TEST 0 SITEPROP TIEOFF_X34Y80 IS_USED 0 SITEPROP TIEOFF_X34Y80 MANUAL_ROUTING SITEPROP TIEOFF_X34Y80 NAME TIEOFF_X34Y80 SITEPROP TIEOFF_X34Y80 NUM_ARCS 0 SITEPROP TIEOFF_X34Y80 NUM_BELS 2 SITEPROP TIEOFF_X34Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y80 NUM_PINS 2 SITEPROP TIEOFF_X34Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y80 PROHIBIT 0 SITEPROP TIEOFF_X34Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y80 RPM_X 136 SITEPROP TIEOFF_X34Y80 RPM_Y 160 SITEPROP TIEOFF_X34Y80 SITE_PIPS SITEPROP TIEOFF_X34Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y81 CLASS site SITEPROP TIEOFF_X34Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y81 IS_BONDED 0 SITEPROP TIEOFF_X34Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y81 IS_PAD 0 SITEPROP TIEOFF_X34Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y81 IS_RESERVED 0 SITEPROP TIEOFF_X34Y81 IS_TEST 0 SITEPROP TIEOFF_X34Y81 IS_USED 0 SITEPROP TIEOFF_X34Y81 MANUAL_ROUTING SITEPROP TIEOFF_X34Y81 NAME TIEOFF_X34Y81 SITEPROP TIEOFF_X34Y81 NUM_ARCS 0 SITEPROP TIEOFF_X34Y81 NUM_BELS 2 SITEPROP TIEOFF_X34Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y81 NUM_PINS 2 SITEPROP TIEOFF_X34Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y81 PROHIBIT 0 SITEPROP TIEOFF_X34Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y81 RPM_X 136 SITEPROP TIEOFF_X34Y81 RPM_Y 162 SITEPROP TIEOFF_X34Y81 SITE_PIPS SITEPROP TIEOFF_X34Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y82 CLASS site SITEPROP TIEOFF_X34Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y82 IS_BONDED 0 SITEPROP TIEOFF_X34Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y82 IS_PAD 0 SITEPROP TIEOFF_X34Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y82 IS_RESERVED 0 SITEPROP TIEOFF_X34Y82 IS_TEST 0 SITEPROP TIEOFF_X34Y82 IS_USED 0 SITEPROP TIEOFF_X34Y82 MANUAL_ROUTING SITEPROP TIEOFF_X34Y82 NAME TIEOFF_X34Y82 SITEPROP TIEOFF_X34Y82 NUM_ARCS 0 SITEPROP TIEOFF_X34Y82 NUM_BELS 2 SITEPROP TIEOFF_X34Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y82 NUM_PINS 2 SITEPROP TIEOFF_X34Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y82 PROHIBIT 0 SITEPROP TIEOFF_X34Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y82 RPM_X 136 SITEPROP TIEOFF_X34Y82 RPM_Y 164 SITEPROP TIEOFF_X34Y82 SITE_PIPS SITEPROP TIEOFF_X34Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y83 CLASS site SITEPROP TIEOFF_X34Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y83 IS_BONDED 0 SITEPROP TIEOFF_X34Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y83 IS_PAD 0 SITEPROP TIEOFF_X34Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y83 IS_RESERVED 0 SITEPROP TIEOFF_X34Y83 IS_TEST 0 SITEPROP TIEOFF_X34Y83 IS_USED 0 SITEPROP TIEOFF_X34Y83 MANUAL_ROUTING SITEPROP TIEOFF_X34Y83 NAME TIEOFF_X34Y83 SITEPROP TIEOFF_X34Y83 NUM_ARCS 0 SITEPROP TIEOFF_X34Y83 NUM_BELS 2 SITEPROP TIEOFF_X34Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y83 NUM_PINS 2 SITEPROP TIEOFF_X34Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y83 PROHIBIT 0 SITEPROP TIEOFF_X34Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y83 RPM_X 136 SITEPROP TIEOFF_X34Y83 RPM_Y 166 SITEPROP TIEOFF_X34Y83 SITE_PIPS SITEPROP TIEOFF_X34Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y84 CLASS site SITEPROP TIEOFF_X34Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y84 IS_BONDED 0 SITEPROP TIEOFF_X34Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y84 IS_PAD 0 SITEPROP TIEOFF_X34Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y84 IS_RESERVED 0 SITEPROP TIEOFF_X34Y84 IS_TEST 0 SITEPROP TIEOFF_X34Y84 IS_USED 0 SITEPROP TIEOFF_X34Y84 MANUAL_ROUTING SITEPROP TIEOFF_X34Y84 NAME TIEOFF_X34Y84 SITEPROP TIEOFF_X34Y84 NUM_ARCS 0 SITEPROP TIEOFF_X34Y84 NUM_BELS 2 SITEPROP TIEOFF_X34Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y84 NUM_PINS 2 SITEPROP TIEOFF_X34Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y84 PROHIBIT 0 SITEPROP TIEOFF_X34Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y84 RPM_X 136 SITEPROP TIEOFF_X34Y84 RPM_Y 168 SITEPROP TIEOFF_X34Y84 SITE_PIPS SITEPROP TIEOFF_X34Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y85 CLASS site SITEPROP TIEOFF_X34Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y85 IS_BONDED 0 SITEPROP TIEOFF_X34Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y85 IS_PAD 0 SITEPROP TIEOFF_X34Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y85 IS_RESERVED 0 SITEPROP TIEOFF_X34Y85 IS_TEST 0 SITEPROP TIEOFF_X34Y85 IS_USED 0 SITEPROP TIEOFF_X34Y85 MANUAL_ROUTING SITEPROP TIEOFF_X34Y85 NAME TIEOFF_X34Y85 SITEPROP TIEOFF_X34Y85 NUM_ARCS 0 SITEPROP TIEOFF_X34Y85 NUM_BELS 2 SITEPROP TIEOFF_X34Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y85 NUM_PINS 2 SITEPROP TIEOFF_X34Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y85 PROHIBIT 0 SITEPROP TIEOFF_X34Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y85 RPM_X 136 SITEPROP TIEOFF_X34Y85 RPM_Y 170 SITEPROP TIEOFF_X34Y85 SITE_PIPS SITEPROP TIEOFF_X34Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y86 CLASS site SITEPROP TIEOFF_X34Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y86 IS_BONDED 0 SITEPROP TIEOFF_X34Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y86 IS_PAD 0 SITEPROP TIEOFF_X34Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y86 IS_RESERVED 0 SITEPROP TIEOFF_X34Y86 IS_TEST 0 SITEPROP TIEOFF_X34Y86 IS_USED 0 SITEPROP TIEOFF_X34Y86 MANUAL_ROUTING SITEPROP TIEOFF_X34Y86 NAME TIEOFF_X34Y86 SITEPROP TIEOFF_X34Y86 NUM_ARCS 0 SITEPROP TIEOFF_X34Y86 NUM_BELS 2 SITEPROP TIEOFF_X34Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y86 NUM_PINS 2 SITEPROP TIEOFF_X34Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y86 PROHIBIT 0 SITEPROP TIEOFF_X34Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y86 RPM_X 136 SITEPROP TIEOFF_X34Y86 RPM_Y 172 SITEPROP TIEOFF_X34Y86 SITE_PIPS SITEPROP TIEOFF_X34Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y87 CLASS site SITEPROP TIEOFF_X34Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y87 IS_BONDED 0 SITEPROP TIEOFF_X34Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y87 IS_PAD 0 SITEPROP TIEOFF_X34Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y87 IS_RESERVED 0 SITEPROP TIEOFF_X34Y87 IS_TEST 0 SITEPROP TIEOFF_X34Y87 IS_USED 0 SITEPROP TIEOFF_X34Y87 MANUAL_ROUTING SITEPROP TIEOFF_X34Y87 NAME TIEOFF_X34Y87 SITEPROP TIEOFF_X34Y87 NUM_ARCS 0 SITEPROP TIEOFF_X34Y87 NUM_BELS 2 SITEPROP TIEOFF_X34Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y87 NUM_PINS 2 SITEPROP TIEOFF_X34Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y87 PROHIBIT 0 SITEPROP TIEOFF_X34Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y87 RPM_X 136 SITEPROP TIEOFF_X34Y87 RPM_Y 174 SITEPROP TIEOFF_X34Y87 SITE_PIPS SITEPROP TIEOFF_X34Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y88 CLASS site SITEPROP TIEOFF_X34Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y88 IS_BONDED 0 SITEPROP TIEOFF_X34Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y88 IS_PAD 0 SITEPROP TIEOFF_X34Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y88 IS_RESERVED 0 SITEPROP TIEOFF_X34Y88 IS_TEST 0 SITEPROP TIEOFF_X34Y88 IS_USED 0 SITEPROP TIEOFF_X34Y88 MANUAL_ROUTING SITEPROP TIEOFF_X34Y88 NAME TIEOFF_X34Y88 SITEPROP TIEOFF_X34Y88 NUM_ARCS 0 SITEPROP TIEOFF_X34Y88 NUM_BELS 2 SITEPROP TIEOFF_X34Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y88 NUM_PINS 2 SITEPROP TIEOFF_X34Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y88 PROHIBIT 0 SITEPROP TIEOFF_X34Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y88 RPM_X 136 SITEPROP TIEOFF_X34Y88 RPM_Y 176 SITEPROP TIEOFF_X34Y88 SITE_PIPS SITEPROP TIEOFF_X34Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y89 CLASS site SITEPROP TIEOFF_X34Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y89 IS_BONDED 0 SITEPROP TIEOFF_X34Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y89 IS_PAD 0 SITEPROP TIEOFF_X34Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y89 IS_RESERVED 0 SITEPROP TIEOFF_X34Y89 IS_TEST 0 SITEPROP TIEOFF_X34Y89 IS_USED 0 SITEPROP TIEOFF_X34Y89 MANUAL_ROUTING SITEPROP TIEOFF_X34Y89 NAME TIEOFF_X34Y89 SITEPROP TIEOFF_X34Y89 NUM_ARCS 0 SITEPROP TIEOFF_X34Y89 NUM_BELS 2 SITEPROP TIEOFF_X34Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y89 NUM_PINS 2 SITEPROP TIEOFF_X34Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y89 PROHIBIT 0 SITEPROP TIEOFF_X34Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y89 RPM_X 136 SITEPROP TIEOFF_X34Y89 RPM_Y 178 SITEPROP TIEOFF_X34Y89 SITE_PIPS SITEPROP TIEOFF_X34Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y90 CLASS site SITEPROP TIEOFF_X34Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y90 IS_BONDED 0 SITEPROP TIEOFF_X34Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y90 IS_PAD 0 SITEPROP TIEOFF_X34Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y90 IS_RESERVED 0 SITEPROP TIEOFF_X34Y90 IS_TEST 0 SITEPROP TIEOFF_X34Y90 IS_USED 0 SITEPROP TIEOFF_X34Y90 MANUAL_ROUTING SITEPROP TIEOFF_X34Y90 NAME TIEOFF_X34Y90 SITEPROP TIEOFF_X34Y90 NUM_ARCS 0 SITEPROP TIEOFF_X34Y90 NUM_BELS 2 SITEPROP TIEOFF_X34Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y90 NUM_PINS 2 SITEPROP TIEOFF_X34Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y90 PROHIBIT 0 SITEPROP TIEOFF_X34Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y90 RPM_X 136 SITEPROP TIEOFF_X34Y90 RPM_Y 180 SITEPROP TIEOFF_X34Y90 SITE_PIPS SITEPROP TIEOFF_X34Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y91 CLASS site SITEPROP TIEOFF_X34Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y91 IS_BONDED 0 SITEPROP TIEOFF_X34Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y91 IS_PAD 0 SITEPROP TIEOFF_X34Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y91 IS_RESERVED 0 SITEPROP TIEOFF_X34Y91 IS_TEST 0 SITEPROP TIEOFF_X34Y91 IS_USED 0 SITEPROP TIEOFF_X34Y91 MANUAL_ROUTING SITEPROP TIEOFF_X34Y91 NAME TIEOFF_X34Y91 SITEPROP TIEOFF_X34Y91 NUM_ARCS 0 SITEPROP TIEOFF_X34Y91 NUM_BELS 2 SITEPROP TIEOFF_X34Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y91 NUM_PINS 2 SITEPROP TIEOFF_X34Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y91 PROHIBIT 0 SITEPROP TIEOFF_X34Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y91 RPM_X 136 SITEPROP TIEOFF_X34Y91 RPM_Y 182 SITEPROP TIEOFF_X34Y91 SITE_PIPS SITEPROP TIEOFF_X34Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y92 CLASS site SITEPROP TIEOFF_X34Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y92 IS_BONDED 0 SITEPROP TIEOFF_X34Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y92 IS_PAD 0 SITEPROP TIEOFF_X34Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y92 IS_RESERVED 0 SITEPROP TIEOFF_X34Y92 IS_TEST 0 SITEPROP TIEOFF_X34Y92 IS_USED 0 SITEPROP TIEOFF_X34Y92 MANUAL_ROUTING SITEPROP TIEOFF_X34Y92 NAME TIEOFF_X34Y92 SITEPROP TIEOFF_X34Y92 NUM_ARCS 0 SITEPROP TIEOFF_X34Y92 NUM_BELS 2 SITEPROP TIEOFF_X34Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y92 NUM_PINS 2 SITEPROP TIEOFF_X34Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y92 PROHIBIT 0 SITEPROP TIEOFF_X34Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y92 RPM_X 136 SITEPROP TIEOFF_X34Y92 RPM_Y 184 SITEPROP TIEOFF_X34Y92 SITE_PIPS SITEPROP TIEOFF_X34Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y93 CLASS site SITEPROP TIEOFF_X34Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y93 IS_BONDED 0 SITEPROP TIEOFF_X34Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y93 IS_PAD 0 SITEPROP TIEOFF_X34Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y93 IS_RESERVED 0 SITEPROP TIEOFF_X34Y93 IS_TEST 0 SITEPROP TIEOFF_X34Y93 IS_USED 0 SITEPROP TIEOFF_X34Y93 MANUAL_ROUTING SITEPROP TIEOFF_X34Y93 NAME TIEOFF_X34Y93 SITEPROP TIEOFF_X34Y93 NUM_ARCS 0 SITEPROP TIEOFF_X34Y93 NUM_BELS 2 SITEPROP TIEOFF_X34Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y93 NUM_PINS 2 SITEPROP TIEOFF_X34Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y93 PROHIBIT 0 SITEPROP TIEOFF_X34Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y93 RPM_X 136 SITEPROP TIEOFF_X34Y93 RPM_Y 186 SITEPROP TIEOFF_X34Y93 SITE_PIPS SITEPROP TIEOFF_X34Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y94 CLASS site SITEPROP TIEOFF_X34Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y94 IS_BONDED 0 SITEPROP TIEOFF_X34Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y94 IS_PAD 0 SITEPROP TIEOFF_X34Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y94 IS_RESERVED 0 SITEPROP TIEOFF_X34Y94 IS_TEST 0 SITEPROP TIEOFF_X34Y94 IS_USED 0 SITEPROP TIEOFF_X34Y94 MANUAL_ROUTING SITEPROP TIEOFF_X34Y94 NAME TIEOFF_X34Y94 SITEPROP TIEOFF_X34Y94 NUM_ARCS 0 SITEPROP TIEOFF_X34Y94 NUM_BELS 2 SITEPROP TIEOFF_X34Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y94 NUM_PINS 2 SITEPROP TIEOFF_X34Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y94 PROHIBIT 0 SITEPROP TIEOFF_X34Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y94 RPM_X 136 SITEPROP TIEOFF_X34Y94 RPM_Y 188 SITEPROP TIEOFF_X34Y94 SITE_PIPS SITEPROP TIEOFF_X34Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y95 CLASS site SITEPROP TIEOFF_X34Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y95 IS_BONDED 0 SITEPROP TIEOFF_X34Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y95 IS_PAD 0 SITEPROP TIEOFF_X34Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y95 IS_RESERVED 0 SITEPROP TIEOFF_X34Y95 IS_TEST 0 SITEPROP TIEOFF_X34Y95 IS_USED 0 SITEPROP TIEOFF_X34Y95 MANUAL_ROUTING SITEPROP TIEOFF_X34Y95 NAME TIEOFF_X34Y95 SITEPROP TIEOFF_X34Y95 NUM_ARCS 0 SITEPROP TIEOFF_X34Y95 NUM_BELS 2 SITEPROP TIEOFF_X34Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y95 NUM_PINS 2 SITEPROP TIEOFF_X34Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y95 PROHIBIT 0 SITEPROP TIEOFF_X34Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y95 RPM_X 136 SITEPROP TIEOFF_X34Y95 RPM_Y 190 SITEPROP TIEOFF_X34Y95 SITE_PIPS SITEPROP TIEOFF_X34Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y96 CLASS site SITEPROP TIEOFF_X34Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y96 IS_BONDED 0 SITEPROP TIEOFF_X34Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y96 IS_PAD 0 SITEPROP TIEOFF_X34Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y96 IS_RESERVED 0 SITEPROP TIEOFF_X34Y96 IS_TEST 0 SITEPROP TIEOFF_X34Y96 IS_USED 0 SITEPROP TIEOFF_X34Y96 MANUAL_ROUTING SITEPROP TIEOFF_X34Y96 NAME TIEOFF_X34Y96 SITEPROP TIEOFF_X34Y96 NUM_ARCS 0 SITEPROP TIEOFF_X34Y96 NUM_BELS 2 SITEPROP TIEOFF_X34Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y96 NUM_PINS 2 SITEPROP TIEOFF_X34Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y96 PROHIBIT 0 SITEPROP TIEOFF_X34Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y96 RPM_X 136 SITEPROP TIEOFF_X34Y96 RPM_Y 192 SITEPROP TIEOFF_X34Y96 SITE_PIPS SITEPROP TIEOFF_X34Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y97 CLASS site SITEPROP TIEOFF_X34Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y97 IS_BONDED 0 SITEPROP TIEOFF_X34Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y97 IS_PAD 0 SITEPROP TIEOFF_X34Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y97 IS_RESERVED 0 SITEPROP TIEOFF_X34Y97 IS_TEST 0 SITEPROP TIEOFF_X34Y97 IS_USED 0 SITEPROP TIEOFF_X34Y97 MANUAL_ROUTING SITEPROP TIEOFF_X34Y97 NAME TIEOFF_X34Y97 SITEPROP TIEOFF_X34Y97 NUM_ARCS 0 SITEPROP TIEOFF_X34Y97 NUM_BELS 2 SITEPROP TIEOFF_X34Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y97 NUM_PINS 2 SITEPROP TIEOFF_X34Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y97 PROHIBIT 0 SITEPROP TIEOFF_X34Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y97 RPM_X 136 SITEPROP TIEOFF_X34Y97 RPM_Y 194 SITEPROP TIEOFF_X34Y97 SITE_PIPS SITEPROP TIEOFF_X34Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y98 CLASS site SITEPROP TIEOFF_X34Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y98 IS_BONDED 0 SITEPROP TIEOFF_X34Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y98 IS_PAD 0 SITEPROP TIEOFF_X34Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y98 IS_RESERVED 0 SITEPROP TIEOFF_X34Y98 IS_TEST 0 SITEPROP TIEOFF_X34Y98 IS_USED 0 SITEPROP TIEOFF_X34Y98 MANUAL_ROUTING SITEPROP TIEOFF_X34Y98 NAME TIEOFF_X34Y98 SITEPROP TIEOFF_X34Y98 NUM_ARCS 0 SITEPROP TIEOFF_X34Y98 NUM_BELS 2 SITEPROP TIEOFF_X34Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y98 NUM_PINS 2 SITEPROP TIEOFF_X34Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y98 PROHIBIT 0 SITEPROP TIEOFF_X34Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y98 RPM_X 136 SITEPROP TIEOFF_X34Y98 RPM_Y 196 SITEPROP TIEOFF_X34Y98 SITE_PIPS SITEPROP TIEOFF_X34Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y99 CLASS site SITEPROP TIEOFF_X34Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X34Y99 IS_BONDED 0 SITEPROP TIEOFF_X34Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y99 IS_PAD 0 SITEPROP TIEOFF_X34Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y99 IS_RESERVED 0 SITEPROP TIEOFF_X34Y99 IS_TEST 0 SITEPROP TIEOFF_X34Y99 IS_USED 0 SITEPROP TIEOFF_X34Y99 MANUAL_ROUTING SITEPROP TIEOFF_X34Y99 NAME TIEOFF_X34Y99 SITEPROP TIEOFF_X34Y99 NUM_ARCS 0 SITEPROP TIEOFF_X34Y99 NUM_BELS 2 SITEPROP TIEOFF_X34Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y99 NUM_PINS 2 SITEPROP TIEOFF_X34Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y99 PROHIBIT 0 SITEPROP TIEOFF_X34Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y99 RPM_X 136 SITEPROP TIEOFF_X34Y99 RPM_Y 198 SITEPROP TIEOFF_X34Y99 SITE_PIPS SITEPROP TIEOFF_X34Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y100 CLASS site SITEPROP TIEOFF_X34Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y100 IS_BONDED 0 SITEPROP TIEOFF_X34Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y100 IS_PAD 0 SITEPROP TIEOFF_X34Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y100 IS_RESERVED 0 SITEPROP TIEOFF_X34Y100 IS_TEST 0 SITEPROP TIEOFF_X34Y100 IS_USED 0 SITEPROP TIEOFF_X34Y100 MANUAL_ROUTING SITEPROP TIEOFF_X34Y100 NAME TIEOFF_X34Y100 SITEPROP TIEOFF_X34Y100 NUM_ARCS 0 SITEPROP TIEOFF_X34Y100 NUM_BELS 2 SITEPROP TIEOFF_X34Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y100 NUM_PINS 2 SITEPROP TIEOFF_X34Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y100 PROHIBIT 0 SITEPROP TIEOFF_X34Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y100 RPM_X 136 SITEPROP TIEOFF_X34Y100 RPM_Y 200 SITEPROP TIEOFF_X34Y100 SITE_PIPS SITEPROP TIEOFF_X34Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y101 CLASS site SITEPROP TIEOFF_X34Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y101 IS_BONDED 0 SITEPROP TIEOFF_X34Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y101 IS_PAD 0 SITEPROP TIEOFF_X34Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y101 IS_RESERVED 0 SITEPROP TIEOFF_X34Y101 IS_TEST 0 SITEPROP TIEOFF_X34Y101 IS_USED 0 SITEPROP TIEOFF_X34Y101 MANUAL_ROUTING SITEPROP TIEOFF_X34Y101 NAME TIEOFF_X34Y101 SITEPROP TIEOFF_X34Y101 NUM_ARCS 0 SITEPROP TIEOFF_X34Y101 NUM_BELS 2 SITEPROP TIEOFF_X34Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y101 NUM_PINS 2 SITEPROP TIEOFF_X34Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y101 PROHIBIT 0 SITEPROP TIEOFF_X34Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y101 RPM_X 136 SITEPROP TIEOFF_X34Y101 RPM_Y 202 SITEPROP TIEOFF_X34Y101 SITE_PIPS SITEPROP TIEOFF_X34Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y102 CLASS site SITEPROP TIEOFF_X34Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y102 IS_BONDED 0 SITEPROP TIEOFF_X34Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y102 IS_PAD 0 SITEPROP TIEOFF_X34Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y102 IS_RESERVED 0 SITEPROP TIEOFF_X34Y102 IS_TEST 0 SITEPROP TIEOFF_X34Y102 IS_USED 0 SITEPROP TIEOFF_X34Y102 MANUAL_ROUTING SITEPROP TIEOFF_X34Y102 NAME TIEOFF_X34Y102 SITEPROP TIEOFF_X34Y102 NUM_ARCS 0 SITEPROP TIEOFF_X34Y102 NUM_BELS 2 SITEPROP TIEOFF_X34Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y102 NUM_PINS 2 SITEPROP TIEOFF_X34Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y102 PROHIBIT 0 SITEPROP TIEOFF_X34Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y102 RPM_X 136 SITEPROP TIEOFF_X34Y102 RPM_Y 204 SITEPROP TIEOFF_X34Y102 SITE_PIPS SITEPROP TIEOFF_X34Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y103 CLASS site SITEPROP TIEOFF_X34Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y103 IS_BONDED 0 SITEPROP TIEOFF_X34Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y103 IS_PAD 0 SITEPROP TIEOFF_X34Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y103 IS_RESERVED 0 SITEPROP TIEOFF_X34Y103 IS_TEST 0 SITEPROP TIEOFF_X34Y103 IS_USED 0 SITEPROP TIEOFF_X34Y103 MANUAL_ROUTING SITEPROP TIEOFF_X34Y103 NAME TIEOFF_X34Y103 SITEPROP TIEOFF_X34Y103 NUM_ARCS 0 SITEPROP TIEOFF_X34Y103 NUM_BELS 2 SITEPROP TIEOFF_X34Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y103 NUM_PINS 2 SITEPROP TIEOFF_X34Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y103 PROHIBIT 0 SITEPROP TIEOFF_X34Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y103 RPM_X 136 SITEPROP TIEOFF_X34Y103 RPM_Y 206 SITEPROP TIEOFF_X34Y103 SITE_PIPS SITEPROP TIEOFF_X34Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y104 CLASS site SITEPROP TIEOFF_X34Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y104 IS_BONDED 0 SITEPROP TIEOFF_X34Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y104 IS_PAD 0 SITEPROP TIEOFF_X34Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y104 IS_RESERVED 0 SITEPROP TIEOFF_X34Y104 IS_TEST 0 SITEPROP TIEOFF_X34Y104 IS_USED 0 SITEPROP TIEOFF_X34Y104 MANUAL_ROUTING SITEPROP TIEOFF_X34Y104 NAME TIEOFF_X34Y104 SITEPROP TIEOFF_X34Y104 NUM_ARCS 0 SITEPROP TIEOFF_X34Y104 NUM_BELS 2 SITEPROP TIEOFF_X34Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y104 NUM_PINS 2 SITEPROP TIEOFF_X34Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y104 PROHIBIT 0 SITEPROP TIEOFF_X34Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y104 RPM_X 136 SITEPROP TIEOFF_X34Y104 RPM_Y 208 SITEPROP TIEOFF_X34Y104 SITE_PIPS SITEPROP TIEOFF_X34Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y105 CLASS site SITEPROP TIEOFF_X34Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y105 IS_BONDED 0 SITEPROP TIEOFF_X34Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y105 IS_PAD 0 SITEPROP TIEOFF_X34Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y105 IS_RESERVED 0 SITEPROP TIEOFF_X34Y105 IS_TEST 0 SITEPROP TIEOFF_X34Y105 IS_USED 0 SITEPROP TIEOFF_X34Y105 MANUAL_ROUTING SITEPROP TIEOFF_X34Y105 NAME TIEOFF_X34Y105 SITEPROP TIEOFF_X34Y105 NUM_ARCS 0 SITEPROP TIEOFF_X34Y105 NUM_BELS 2 SITEPROP TIEOFF_X34Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y105 NUM_PINS 2 SITEPROP TIEOFF_X34Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y105 PROHIBIT 0 SITEPROP TIEOFF_X34Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y105 RPM_X 136 SITEPROP TIEOFF_X34Y105 RPM_Y 210 SITEPROP TIEOFF_X34Y105 SITE_PIPS SITEPROP TIEOFF_X34Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y106 CLASS site SITEPROP TIEOFF_X34Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y106 IS_BONDED 0 SITEPROP TIEOFF_X34Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y106 IS_PAD 0 SITEPROP TIEOFF_X34Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y106 IS_RESERVED 0 SITEPROP TIEOFF_X34Y106 IS_TEST 0 SITEPROP TIEOFF_X34Y106 IS_USED 0 SITEPROP TIEOFF_X34Y106 MANUAL_ROUTING SITEPROP TIEOFF_X34Y106 NAME TIEOFF_X34Y106 SITEPROP TIEOFF_X34Y106 NUM_ARCS 0 SITEPROP TIEOFF_X34Y106 NUM_BELS 2 SITEPROP TIEOFF_X34Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y106 NUM_PINS 2 SITEPROP TIEOFF_X34Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y106 PROHIBIT 0 SITEPROP TIEOFF_X34Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y106 RPM_X 136 SITEPROP TIEOFF_X34Y106 RPM_Y 212 SITEPROP TIEOFF_X34Y106 SITE_PIPS SITEPROP TIEOFF_X34Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y107 CLASS site SITEPROP TIEOFF_X34Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y107 IS_BONDED 0 SITEPROP TIEOFF_X34Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y107 IS_PAD 0 SITEPROP TIEOFF_X34Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y107 IS_RESERVED 0 SITEPROP TIEOFF_X34Y107 IS_TEST 0 SITEPROP TIEOFF_X34Y107 IS_USED 0 SITEPROP TIEOFF_X34Y107 MANUAL_ROUTING SITEPROP TIEOFF_X34Y107 NAME TIEOFF_X34Y107 SITEPROP TIEOFF_X34Y107 NUM_ARCS 0 SITEPROP TIEOFF_X34Y107 NUM_BELS 2 SITEPROP TIEOFF_X34Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y107 NUM_PINS 2 SITEPROP TIEOFF_X34Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y107 PROHIBIT 0 SITEPROP TIEOFF_X34Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y107 RPM_X 136 SITEPROP TIEOFF_X34Y107 RPM_Y 214 SITEPROP TIEOFF_X34Y107 SITE_PIPS SITEPROP TIEOFF_X34Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y108 CLASS site SITEPROP TIEOFF_X34Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y108 IS_BONDED 0 SITEPROP TIEOFF_X34Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y108 IS_PAD 0 SITEPROP TIEOFF_X34Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y108 IS_RESERVED 0 SITEPROP TIEOFF_X34Y108 IS_TEST 0 SITEPROP TIEOFF_X34Y108 IS_USED 0 SITEPROP TIEOFF_X34Y108 MANUAL_ROUTING SITEPROP TIEOFF_X34Y108 NAME TIEOFF_X34Y108 SITEPROP TIEOFF_X34Y108 NUM_ARCS 0 SITEPROP TIEOFF_X34Y108 NUM_BELS 2 SITEPROP TIEOFF_X34Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y108 NUM_PINS 2 SITEPROP TIEOFF_X34Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y108 PROHIBIT 0 SITEPROP TIEOFF_X34Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y108 RPM_X 136 SITEPROP TIEOFF_X34Y108 RPM_Y 216 SITEPROP TIEOFF_X34Y108 SITE_PIPS SITEPROP TIEOFF_X34Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y109 CLASS site SITEPROP TIEOFF_X34Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y109 IS_BONDED 0 SITEPROP TIEOFF_X34Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y109 IS_PAD 0 SITEPROP TIEOFF_X34Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y109 IS_RESERVED 0 SITEPROP TIEOFF_X34Y109 IS_TEST 0 SITEPROP TIEOFF_X34Y109 IS_USED 0 SITEPROP TIEOFF_X34Y109 MANUAL_ROUTING SITEPROP TIEOFF_X34Y109 NAME TIEOFF_X34Y109 SITEPROP TIEOFF_X34Y109 NUM_ARCS 0 SITEPROP TIEOFF_X34Y109 NUM_BELS 2 SITEPROP TIEOFF_X34Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y109 NUM_PINS 2 SITEPROP TIEOFF_X34Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y109 PROHIBIT 0 SITEPROP TIEOFF_X34Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y109 RPM_X 136 SITEPROP TIEOFF_X34Y109 RPM_Y 218 SITEPROP TIEOFF_X34Y109 SITE_PIPS SITEPROP TIEOFF_X34Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y110 CLASS site SITEPROP TIEOFF_X34Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y110 IS_BONDED 0 SITEPROP TIEOFF_X34Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y110 IS_PAD 0 SITEPROP TIEOFF_X34Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y110 IS_RESERVED 0 SITEPROP TIEOFF_X34Y110 IS_TEST 0 SITEPROP TIEOFF_X34Y110 IS_USED 0 SITEPROP TIEOFF_X34Y110 MANUAL_ROUTING SITEPROP TIEOFF_X34Y110 NAME TIEOFF_X34Y110 SITEPROP TIEOFF_X34Y110 NUM_ARCS 0 SITEPROP TIEOFF_X34Y110 NUM_BELS 2 SITEPROP TIEOFF_X34Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y110 NUM_PINS 2 SITEPROP TIEOFF_X34Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y110 PROHIBIT 0 SITEPROP TIEOFF_X34Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y110 RPM_X 136 SITEPROP TIEOFF_X34Y110 RPM_Y 220 SITEPROP TIEOFF_X34Y110 SITE_PIPS SITEPROP TIEOFF_X34Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y111 CLASS site SITEPROP TIEOFF_X34Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y111 IS_BONDED 0 SITEPROP TIEOFF_X34Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y111 IS_PAD 0 SITEPROP TIEOFF_X34Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y111 IS_RESERVED 0 SITEPROP TIEOFF_X34Y111 IS_TEST 0 SITEPROP TIEOFF_X34Y111 IS_USED 0 SITEPROP TIEOFF_X34Y111 MANUAL_ROUTING SITEPROP TIEOFF_X34Y111 NAME TIEOFF_X34Y111 SITEPROP TIEOFF_X34Y111 NUM_ARCS 0 SITEPROP TIEOFF_X34Y111 NUM_BELS 2 SITEPROP TIEOFF_X34Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y111 NUM_PINS 2 SITEPROP TIEOFF_X34Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y111 PROHIBIT 0 SITEPROP TIEOFF_X34Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y111 RPM_X 136 SITEPROP TIEOFF_X34Y111 RPM_Y 222 SITEPROP TIEOFF_X34Y111 SITE_PIPS SITEPROP TIEOFF_X34Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y112 CLASS site SITEPROP TIEOFF_X34Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y112 IS_BONDED 0 SITEPROP TIEOFF_X34Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y112 IS_PAD 0 SITEPROP TIEOFF_X34Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y112 IS_RESERVED 0 SITEPROP TIEOFF_X34Y112 IS_TEST 0 SITEPROP TIEOFF_X34Y112 IS_USED 0 SITEPROP TIEOFF_X34Y112 MANUAL_ROUTING SITEPROP TIEOFF_X34Y112 NAME TIEOFF_X34Y112 SITEPROP TIEOFF_X34Y112 NUM_ARCS 0 SITEPROP TIEOFF_X34Y112 NUM_BELS 2 SITEPROP TIEOFF_X34Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y112 NUM_PINS 2 SITEPROP TIEOFF_X34Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y112 PROHIBIT 0 SITEPROP TIEOFF_X34Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y112 RPM_X 136 SITEPROP TIEOFF_X34Y112 RPM_Y 224 SITEPROP TIEOFF_X34Y112 SITE_PIPS SITEPROP TIEOFF_X34Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y113 CLASS site SITEPROP TIEOFF_X34Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y113 IS_BONDED 0 SITEPROP TIEOFF_X34Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y113 IS_PAD 0 SITEPROP TIEOFF_X34Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y113 IS_RESERVED 0 SITEPROP TIEOFF_X34Y113 IS_TEST 0 SITEPROP TIEOFF_X34Y113 IS_USED 0 SITEPROP TIEOFF_X34Y113 MANUAL_ROUTING SITEPROP TIEOFF_X34Y113 NAME TIEOFF_X34Y113 SITEPROP TIEOFF_X34Y113 NUM_ARCS 0 SITEPROP TIEOFF_X34Y113 NUM_BELS 2 SITEPROP TIEOFF_X34Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y113 NUM_PINS 2 SITEPROP TIEOFF_X34Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y113 PROHIBIT 0 SITEPROP TIEOFF_X34Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y113 RPM_X 136 SITEPROP TIEOFF_X34Y113 RPM_Y 226 SITEPROP TIEOFF_X34Y113 SITE_PIPS SITEPROP TIEOFF_X34Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y114 CLASS site SITEPROP TIEOFF_X34Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y114 IS_BONDED 0 SITEPROP TIEOFF_X34Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y114 IS_PAD 0 SITEPROP TIEOFF_X34Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y114 IS_RESERVED 0 SITEPROP TIEOFF_X34Y114 IS_TEST 0 SITEPROP TIEOFF_X34Y114 IS_USED 0 SITEPROP TIEOFF_X34Y114 MANUAL_ROUTING SITEPROP TIEOFF_X34Y114 NAME TIEOFF_X34Y114 SITEPROP TIEOFF_X34Y114 NUM_ARCS 0 SITEPROP TIEOFF_X34Y114 NUM_BELS 2 SITEPROP TIEOFF_X34Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y114 NUM_PINS 2 SITEPROP TIEOFF_X34Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y114 PROHIBIT 0 SITEPROP TIEOFF_X34Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y114 RPM_X 136 SITEPROP TIEOFF_X34Y114 RPM_Y 228 SITEPROP TIEOFF_X34Y114 SITE_PIPS SITEPROP TIEOFF_X34Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y115 CLASS site SITEPROP TIEOFF_X34Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y115 IS_BONDED 0 SITEPROP TIEOFF_X34Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y115 IS_PAD 0 SITEPROP TIEOFF_X34Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y115 IS_RESERVED 0 SITEPROP TIEOFF_X34Y115 IS_TEST 0 SITEPROP TIEOFF_X34Y115 IS_USED 0 SITEPROP TIEOFF_X34Y115 MANUAL_ROUTING SITEPROP TIEOFF_X34Y115 NAME TIEOFF_X34Y115 SITEPROP TIEOFF_X34Y115 NUM_ARCS 0 SITEPROP TIEOFF_X34Y115 NUM_BELS 2 SITEPROP TIEOFF_X34Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y115 NUM_PINS 2 SITEPROP TIEOFF_X34Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y115 PROHIBIT 0 SITEPROP TIEOFF_X34Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y115 RPM_X 136 SITEPROP TIEOFF_X34Y115 RPM_Y 230 SITEPROP TIEOFF_X34Y115 SITE_PIPS SITEPROP TIEOFF_X34Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y116 CLASS site SITEPROP TIEOFF_X34Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y116 IS_BONDED 0 SITEPROP TIEOFF_X34Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y116 IS_PAD 0 SITEPROP TIEOFF_X34Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y116 IS_RESERVED 0 SITEPROP TIEOFF_X34Y116 IS_TEST 0 SITEPROP TIEOFF_X34Y116 IS_USED 0 SITEPROP TIEOFF_X34Y116 MANUAL_ROUTING SITEPROP TIEOFF_X34Y116 NAME TIEOFF_X34Y116 SITEPROP TIEOFF_X34Y116 NUM_ARCS 0 SITEPROP TIEOFF_X34Y116 NUM_BELS 2 SITEPROP TIEOFF_X34Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y116 NUM_PINS 2 SITEPROP TIEOFF_X34Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y116 PROHIBIT 0 SITEPROP TIEOFF_X34Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y116 RPM_X 136 SITEPROP TIEOFF_X34Y116 RPM_Y 232 SITEPROP TIEOFF_X34Y116 SITE_PIPS SITEPROP TIEOFF_X34Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y117 CLASS site SITEPROP TIEOFF_X34Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y117 IS_BONDED 0 SITEPROP TIEOFF_X34Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y117 IS_PAD 0 SITEPROP TIEOFF_X34Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y117 IS_RESERVED 0 SITEPROP TIEOFF_X34Y117 IS_TEST 0 SITEPROP TIEOFF_X34Y117 IS_USED 0 SITEPROP TIEOFF_X34Y117 MANUAL_ROUTING SITEPROP TIEOFF_X34Y117 NAME TIEOFF_X34Y117 SITEPROP TIEOFF_X34Y117 NUM_ARCS 0 SITEPROP TIEOFF_X34Y117 NUM_BELS 2 SITEPROP TIEOFF_X34Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y117 NUM_PINS 2 SITEPROP TIEOFF_X34Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y117 PROHIBIT 0 SITEPROP TIEOFF_X34Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y117 RPM_X 136 SITEPROP TIEOFF_X34Y117 RPM_Y 234 SITEPROP TIEOFF_X34Y117 SITE_PIPS SITEPROP TIEOFF_X34Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y118 CLASS site SITEPROP TIEOFF_X34Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y118 IS_BONDED 0 SITEPROP TIEOFF_X34Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y118 IS_PAD 0 SITEPROP TIEOFF_X34Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y118 IS_RESERVED 0 SITEPROP TIEOFF_X34Y118 IS_TEST 0 SITEPROP TIEOFF_X34Y118 IS_USED 0 SITEPROP TIEOFF_X34Y118 MANUAL_ROUTING SITEPROP TIEOFF_X34Y118 NAME TIEOFF_X34Y118 SITEPROP TIEOFF_X34Y118 NUM_ARCS 0 SITEPROP TIEOFF_X34Y118 NUM_BELS 2 SITEPROP TIEOFF_X34Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y118 NUM_PINS 2 SITEPROP TIEOFF_X34Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y118 PROHIBIT 0 SITEPROP TIEOFF_X34Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y118 RPM_X 136 SITEPROP TIEOFF_X34Y118 RPM_Y 236 SITEPROP TIEOFF_X34Y118 SITE_PIPS SITEPROP TIEOFF_X34Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y119 CLASS site SITEPROP TIEOFF_X34Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y119 IS_BONDED 0 SITEPROP TIEOFF_X34Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y119 IS_PAD 0 SITEPROP TIEOFF_X34Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y119 IS_RESERVED 0 SITEPROP TIEOFF_X34Y119 IS_TEST 0 SITEPROP TIEOFF_X34Y119 IS_USED 0 SITEPROP TIEOFF_X34Y119 MANUAL_ROUTING SITEPROP TIEOFF_X34Y119 NAME TIEOFF_X34Y119 SITEPROP TIEOFF_X34Y119 NUM_ARCS 0 SITEPROP TIEOFF_X34Y119 NUM_BELS 2 SITEPROP TIEOFF_X34Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y119 NUM_PINS 2 SITEPROP TIEOFF_X34Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y119 PROHIBIT 0 SITEPROP TIEOFF_X34Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y119 RPM_X 136 SITEPROP TIEOFF_X34Y119 RPM_Y 238 SITEPROP TIEOFF_X34Y119 SITE_PIPS SITEPROP TIEOFF_X34Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y120 CLASS site SITEPROP TIEOFF_X34Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y120 IS_BONDED 0 SITEPROP TIEOFF_X34Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y120 IS_PAD 0 SITEPROP TIEOFF_X34Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y120 IS_RESERVED 0 SITEPROP TIEOFF_X34Y120 IS_TEST 0 SITEPROP TIEOFF_X34Y120 IS_USED 0 SITEPROP TIEOFF_X34Y120 MANUAL_ROUTING SITEPROP TIEOFF_X34Y120 NAME TIEOFF_X34Y120 SITEPROP TIEOFF_X34Y120 NUM_ARCS 0 SITEPROP TIEOFF_X34Y120 NUM_BELS 2 SITEPROP TIEOFF_X34Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y120 NUM_PINS 2 SITEPROP TIEOFF_X34Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y120 PROHIBIT 0 SITEPROP TIEOFF_X34Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y120 RPM_X 136 SITEPROP TIEOFF_X34Y120 RPM_Y 240 SITEPROP TIEOFF_X34Y120 SITE_PIPS SITEPROP TIEOFF_X34Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y121 CLASS site SITEPROP TIEOFF_X34Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y121 IS_BONDED 0 SITEPROP TIEOFF_X34Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y121 IS_PAD 0 SITEPROP TIEOFF_X34Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y121 IS_RESERVED 0 SITEPROP TIEOFF_X34Y121 IS_TEST 0 SITEPROP TIEOFF_X34Y121 IS_USED 0 SITEPROP TIEOFF_X34Y121 MANUAL_ROUTING SITEPROP TIEOFF_X34Y121 NAME TIEOFF_X34Y121 SITEPROP TIEOFF_X34Y121 NUM_ARCS 0 SITEPROP TIEOFF_X34Y121 NUM_BELS 2 SITEPROP TIEOFF_X34Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y121 NUM_PINS 2 SITEPROP TIEOFF_X34Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y121 PROHIBIT 0 SITEPROP TIEOFF_X34Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y121 RPM_X 136 SITEPROP TIEOFF_X34Y121 RPM_Y 242 SITEPROP TIEOFF_X34Y121 SITE_PIPS SITEPROP TIEOFF_X34Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y122 CLASS site SITEPROP TIEOFF_X34Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y122 IS_BONDED 0 SITEPROP TIEOFF_X34Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y122 IS_PAD 0 SITEPROP TIEOFF_X34Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y122 IS_RESERVED 0 SITEPROP TIEOFF_X34Y122 IS_TEST 0 SITEPROP TIEOFF_X34Y122 IS_USED 0 SITEPROP TIEOFF_X34Y122 MANUAL_ROUTING SITEPROP TIEOFF_X34Y122 NAME TIEOFF_X34Y122 SITEPROP TIEOFF_X34Y122 NUM_ARCS 0 SITEPROP TIEOFF_X34Y122 NUM_BELS 2 SITEPROP TIEOFF_X34Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y122 NUM_PINS 2 SITEPROP TIEOFF_X34Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y122 PROHIBIT 0 SITEPROP TIEOFF_X34Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y122 RPM_X 136 SITEPROP TIEOFF_X34Y122 RPM_Y 244 SITEPROP TIEOFF_X34Y122 SITE_PIPS SITEPROP TIEOFF_X34Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y123 CLASS site SITEPROP TIEOFF_X34Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y123 IS_BONDED 0 SITEPROP TIEOFF_X34Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y123 IS_PAD 0 SITEPROP TIEOFF_X34Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y123 IS_RESERVED 0 SITEPROP TIEOFF_X34Y123 IS_TEST 0 SITEPROP TIEOFF_X34Y123 IS_USED 0 SITEPROP TIEOFF_X34Y123 MANUAL_ROUTING SITEPROP TIEOFF_X34Y123 NAME TIEOFF_X34Y123 SITEPROP TIEOFF_X34Y123 NUM_ARCS 0 SITEPROP TIEOFF_X34Y123 NUM_BELS 2 SITEPROP TIEOFF_X34Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y123 NUM_PINS 2 SITEPROP TIEOFF_X34Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y123 PROHIBIT 0 SITEPROP TIEOFF_X34Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y123 RPM_X 136 SITEPROP TIEOFF_X34Y123 RPM_Y 246 SITEPROP TIEOFF_X34Y123 SITE_PIPS SITEPROP TIEOFF_X34Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y124 CLASS site SITEPROP TIEOFF_X34Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y124 IS_BONDED 0 SITEPROP TIEOFF_X34Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y124 IS_PAD 0 SITEPROP TIEOFF_X34Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y124 IS_RESERVED 0 SITEPROP TIEOFF_X34Y124 IS_TEST 0 SITEPROP TIEOFF_X34Y124 IS_USED 0 SITEPROP TIEOFF_X34Y124 MANUAL_ROUTING SITEPROP TIEOFF_X34Y124 NAME TIEOFF_X34Y124 SITEPROP TIEOFF_X34Y124 NUM_ARCS 0 SITEPROP TIEOFF_X34Y124 NUM_BELS 2 SITEPROP TIEOFF_X34Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y124 NUM_PINS 2 SITEPROP TIEOFF_X34Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y124 PROHIBIT 0 SITEPROP TIEOFF_X34Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y124 RPM_X 136 SITEPROP TIEOFF_X34Y124 RPM_Y 248 SITEPROP TIEOFF_X34Y124 SITE_PIPS SITEPROP TIEOFF_X34Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y125 CLASS site SITEPROP TIEOFF_X34Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y125 IS_BONDED 0 SITEPROP TIEOFF_X34Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y125 IS_PAD 0 SITEPROP TIEOFF_X34Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y125 IS_RESERVED 0 SITEPROP TIEOFF_X34Y125 IS_TEST 0 SITEPROP TIEOFF_X34Y125 IS_USED 0 SITEPROP TIEOFF_X34Y125 MANUAL_ROUTING SITEPROP TIEOFF_X34Y125 NAME TIEOFF_X34Y125 SITEPROP TIEOFF_X34Y125 NUM_ARCS 0 SITEPROP TIEOFF_X34Y125 NUM_BELS 2 SITEPROP TIEOFF_X34Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y125 NUM_PINS 2 SITEPROP TIEOFF_X34Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y125 PROHIBIT 0 SITEPROP TIEOFF_X34Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y125 RPM_X 136 SITEPROP TIEOFF_X34Y125 RPM_Y 250 SITEPROP TIEOFF_X34Y125 SITE_PIPS SITEPROP TIEOFF_X34Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y126 CLASS site SITEPROP TIEOFF_X34Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y126 IS_BONDED 0 SITEPROP TIEOFF_X34Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y126 IS_PAD 0 SITEPROP TIEOFF_X34Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y126 IS_RESERVED 0 SITEPROP TIEOFF_X34Y126 IS_TEST 0 SITEPROP TIEOFF_X34Y126 IS_USED 0 SITEPROP TIEOFF_X34Y126 MANUAL_ROUTING SITEPROP TIEOFF_X34Y126 NAME TIEOFF_X34Y126 SITEPROP TIEOFF_X34Y126 NUM_ARCS 0 SITEPROP TIEOFF_X34Y126 NUM_BELS 2 SITEPROP TIEOFF_X34Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y126 NUM_PINS 2 SITEPROP TIEOFF_X34Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y126 PROHIBIT 0 SITEPROP TIEOFF_X34Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y126 RPM_X 136 SITEPROP TIEOFF_X34Y126 RPM_Y 252 SITEPROP TIEOFF_X34Y126 SITE_PIPS SITEPROP TIEOFF_X34Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y127 CLASS site SITEPROP TIEOFF_X34Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y127 IS_BONDED 0 SITEPROP TIEOFF_X34Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y127 IS_PAD 0 SITEPROP TIEOFF_X34Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y127 IS_RESERVED 0 SITEPROP TIEOFF_X34Y127 IS_TEST 0 SITEPROP TIEOFF_X34Y127 IS_USED 0 SITEPROP TIEOFF_X34Y127 MANUAL_ROUTING SITEPROP TIEOFF_X34Y127 NAME TIEOFF_X34Y127 SITEPROP TIEOFF_X34Y127 NUM_ARCS 0 SITEPROP TIEOFF_X34Y127 NUM_BELS 2 SITEPROP TIEOFF_X34Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y127 NUM_PINS 2 SITEPROP TIEOFF_X34Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y127 PROHIBIT 0 SITEPROP TIEOFF_X34Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y127 RPM_X 136 SITEPROP TIEOFF_X34Y127 RPM_Y 254 SITEPROP TIEOFF_X34Y127 SITE_PIPS SITEPROP TIEOFF_X34Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y128 CLASS site SITEPROP TIEOFF_X34Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y128 IS_BONDED 0 SITEPROP TIEOFF_X34Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y128 IS_PAD 0 SITEPROP TIEOFF_X34Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y128 IS_RESERVED 0 SITEPROP TIEOFF_X34Y128 IS_TEST 0 SITEPROP TIEOFF_X34Y128 IS_USED 0 SITEPROP TIEOFF_X34Y128 MANUAL_ROUTING SITEPROP TIEOFF_X34Y128 NAME TIEOFF_X34Y128 SITEPROP TIEOFF_X34Y128 NUM_ARCS 0 SITEPROP TIEOFF_X34Y128 NUM_BELS 2 SITEPROP TIEOFF_X34Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y128 NUM_PINS 2 SITEPROP TIEOFF_X34Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y128 PROHIBIT 0 SITEPROP TIEOFF_X34Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y128 RPM_X 136 SITEPROP TIEOFF_X34Y128 RPM_Y 256 SITEPROP TIEOFF_X34Y128 SITE_PIPS SITEPROP TIEOFF_X34Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y129 CLASS site SITEPROP TIEOFF_X34Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y129 IS_BONDED 0 SITEPROP TIEOFF_X34Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y129 IS_PAD 0 SITEPROP TIEOFF_X34Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y129 IS_RESERVED 0 SITEPROP TIEOFF_X34Y129 IS_TEST 0 SITEPROP TIEOFF_X34Y129 IS_USED 0 SITEPROP TIEOFF_X34Y129 MANUAL_ROUTING SITEPROP TIEOFF_X34Y129 NAME TIEOFF_X34Y129 SITEPROP TIEOFF_X34Y129 NUM_ARCS 0 SITEPROP TIEOFF_X34Y129 NUM_BELS 2 SITEPROP TIEOFF_X34Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y129 NUM_PINS 2 SITEPROP TIEOFF_X34Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y129 PROHIBIT 0 SITEPROP TIEOFF_X34Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y129 RPM_X 136 SITEPROP TIEOFF_X34Y129 RPM_Y 258 SITEPROP TIEOFF_X34Y129 SITE_PIPS SITEPROP TIEOFF_X34Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y130 CLASS site SITEPROP TIEOFF_X34Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y130 IS_BONDED 0 SITEPROP TIEOFF_X34Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y130 IS_PAD 0 SITEPROP TIEOFF_X34Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y130 IS_RESERVED 0 SITEPROP TIEOFF_X34Y130 IS_TEST 0 SITEPROP TIEOFF_X34Y130 IS_USED 0 SITEPROP TIEOFF_X34Y130 MANUAL_ROUTING SITEPROP TIEOFF_X34Y130 NAME TIEOFF_X34Y130 SITEPROP TIEOFF_X34Y130 NUM_ARCS 0 SITEPROP TIEOFF_X34Y130 NUM_BELS 2 SITEPROP TIEOFF_X34Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y130 NUM_PINS 2 SITEPROP TIEOFF_X34Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y130 PROHIBIT 0 SITEPROP TIEOFF_X34Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y130 RPM_X 136 SITEPROP TIEOFF_X34Y130 RPM_Y 260 SITEPROP TIEOFF_X34Y130 SITE_PIPS SITEPROP TIEOFF_X34Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y131 CLASS site SITEPROP TIEOFF_X34Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y131 IS_BONDED 0 SITEPROP TIEOFF_X34Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y131 IS_PAD 0 SITEPROP TIEOFF_X34Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y131 IS_RESERVED 0 SITEPROP TIEOFF_X34Y131 IS_TEST 0 SITEPROP TIEOFF_X34Y131 IS_USED 0 SITEPROP TIEOFF_X34Y131 MANUAL_ROUTING SITEPROP TIEOFF_X34Y131 NAME TIEOFF_X34Y131 SITEPROP TIEOFF_X34Y131 NUM_ARCS 0 SITEPROP TIEOFF_X34Y131 NUM_BELS 2 SITEPROP TIEOFF_X34Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y131 NUM_PINS 2 SITEPROP TIEOFF_X34Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y131 PROHIBIT 0 SITEPROP TIEOFF_X34Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y131 RPM_X 136 SITEPROP TIEOFF_X34Y131 RPM_Y 262 SITEPROP TIEOFF_X34Y131 SITE_PIPS SITEPROP TIEOFF_X34Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y132 CLASS site SITEPROP TIEOFF_X34Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y132 IS_BONDED 0 SITEPROP TIEOFF_X34Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y132 IS_PAD 0 SITEPROP TIEOFF_X34Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y132 IS_RESERVED 0 SITEPROP TIEOFF_X34Y132 IS_TEST 0 SITEPROP TIEOFF_X34Y132 IS_USED 0 SITEPROP TIEOFF_X34Y132 MANUAL_ROUTING SITEPROP TIEOFF_X34Y132 NAME TIEOFF_X34Y132 SITEPROP TIEOFF_X34Y132 NUM_ARCS 0 SITEPROP TIEOFF_X34Y132 NUM_BELS 2 SITEPROP TIEOFF_X34Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y132 NUM_PINS 2 SITEPROP TIEOFF_X34Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y132 PROHIBIT 0 SITEPROP TIEOFF_X34Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y132 RPM_X 136 SITEPROP TIEOFF_X34Y132 RPM_Y 264 SITEPROP TIEOFF_X34Y132 SITE_PIPS SITEPROP TIEOFF_X34Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y133 CLASS site SITEPROP TIEOFF_X34Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y133 IS_BONDED 0 SITEPROP TIEOFF_X34Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y133 IS_PAD 0 SITEPROP TIEOFF_X34Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y133 IS_RESERVED 0 SITEPROP TIEOFF_X34Y133 IS_TEST 0 SITEPROP TIEOFF_X34Y133 IS_USED 0 SITEPROP TIEOFF_X34Y133 MANUAL_ROUTING SITEPROP TIEOFF_X34Y133 NAME TIEOFF_X34Y133 SITEPROP TIEOFF_X34Y133 NUM_ARCS 0 SITEPROP TIEOFF_X34Y133 NUM_BELS 2 SITEPROP TIEOFF_X34Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y133 NUM_PINS 2 SITEPROP TIEOFF_X34Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y133 PROHIBIT 0 SITEPROP TIEOFF_X34Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y133 RPM_X 136 SITEPROP TIEOFF_X34Y133 RPM_Y 266 SITEPROP TIEOFF_X34Y133 SITE_PIPS SITEPROP TIEOFF_X34Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y134 CLASS site SITEPROP TIEOFF_X34Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y134 IS_BONDED 0 SITEPROP TIEOFF_X34Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y134 IS_PAD 0 SITEPROP TIEOFF_X34Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y134 IS_RESERVED 0 SITEPROP TIEOFF_X34Y134 IS_TEST 0 SITEPROP TIEOFF_X34Y134 IS_USED 0 SITEPROP TIEOFF_X34Y134 MANUAL_ROUTING SITEPROP TIEOFF_X34Y134 NAME TIEOFF_X34Y134 SITEPROP TIEOFF_X34Y134 NUM_ARCS 0 SITEPROP TIEOFF_X34Y134 NUM_BELS 2 SITEPROP TIEOFF_X34Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y134 NUM_PINS 2 SITEPROP TIEOFF_X34Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y134 PROHIBIT 0 SITEPROP TIEOFF_X34Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y134 RPM_X 136 SITEPROP TIEOFF_X34Y134 RPM_Y 268 SITEPROP TIEOFF_X34Y134 SITE_PIPS SITEPROP TIEOFF_X34Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y135 CLASS site SITEPROP TIEOFF_X34Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y135 IS_BONDED 0 SITEPROP TIEOFF_X34Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y135 IS_PAD 0 SITEPROP TIEOFF_X34Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y135 IS_RESERVED 0 SITEPROP TIEOFF_X34Y135 IS_TEST 0 SITEPROP TIEOFF_X34Y135 IS_USED 0 SITEPROP TIEOFF_X34Y135 MANUAL_ROUTING SITEPROP TIEOFF_X34Y135 NAME TIEOFF_X34Y135 SITEPROP TIEOFF_X34Y135 NUM_ARCS 0 SITEPROP TIEOFF_X34Y135 NUM_BELS 2 SITEPROP TIEOFF_X34Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y135 NUM_PINS 2 SITEPROP TIEOFF_X34Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y135 PROHIBIT 0 SITEPROP TIEOFF_X34Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y135 RPM_X 136 SITEPROP TIEOFF_X34Y135 RPM_Y 270 SITEPROP TIEOFF_X34Y135 SITE_PIPS SITEPROP TIEOFF_X34Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y136 CLASS site SITEPROP TIEOFF_X34Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y136 IS_BONDED 0 SITEPROP TIEOFF_X34Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y136 IS_PAD 0 SITEPROP TIEOFF_X34Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y136 IS_RESERVED 0 SITEPROP TIEOFF_X34Y136 IS_TEST 0 SITEPROP TIEOFF_X34Y136 IS_USED 0 SITEPROP TIEOFF_X34Y136 MANUAL_ROUTING SITEPROP TIEOFF_X34Y136 NAME TIEOFF_X34Y136 SITEPROP TIEOFF_X34Y136 NUM_ARCS 0 SITEPROP TIEOFF_X34Y136 NUM_BELS 2 SITEPROP TIEOFF_X34Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y136 NUM_PINS 2 SITEPROP TIEOFF_X34Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y136 PROHIBIT 0 SITEPROP TIEOFF_X34Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y136 RPM_X 136 SITEPROP TIEOFF_X34Y136 RPM_Y 272 SITEPROP TIEOFF_X34Y136 SITE_PIPS SITEPROP TIEOFF_X34Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y137 CLASS site SITEPROP TIEOFF_X34Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y137 IS_BONDED 0 SITEPROP TIEOFF_X34Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y137 IS_PAD 0 SITEPROP TIEOFF_X34Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y137 IS_RESERVED 0 SITEPROP TIEOFF_X34Y137 IS_TEST 0 SITEPROP TIEOFF_X34Y137 IS_USED 0 SITEPROP TIEOFF_X34Y137 MANUAL_ROUTING SITEPROP TIEOFF_X34Y137 NAME TIEOFF_X34Y137 SITEPROP TIEOFF_X34Y137 NUM_ARCS 0 SITEPROP TIEOFF_X34Y137 NUM_BELS 2 SITEPROP TIEOFF_X34Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y137 NUM_PINS 2 SITEPROP TIEOFF_X34Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y137 PROHIBIT 0 SITEPROP TIEOFF_X34Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y137 RPM_X 136 SITEPROP TIEOFF_X34Y137 RPM_Y 274 SITEPROP TIEOFF_X34Y137 SITE_PIPS SITEPROP TIEOFF_X34Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y138 CLASS site SITEPROP TIEOFF_X34Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y138 IS_BONDED 0 SITEPROP TIEOFF_X34Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y138 IS_PAD 0 SITEPROP TIEOFF_X34Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y138 IS_RESERVED 0 SITEPROP TIEOFF_X34Y138 IS_TEST 0 SITEPROP TIEOFF_X34Y138 IS_USED 0 SITEPROP TIEOFF_X34Y138 MANUAL_ROUTING SITEPROP TIEOFF_X34Y138 NAME TIEOFF_X34Y138 SITEPROP TIEOFF_X34Y138 NUM_ARCS 0 SITEPROP TIEOFF_X34Y138 NUM_BELS 2 SITEPROP TIEOFF_X34Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y138 NUM_PINS 2 SITEPROP TIEOFF_X34Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y138 PROHIBIT 0 SITEPROP TIEOFF_X34Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y138 RPM_X 136 SITEPROP TIEOFF_X34Y138 RPM_Y 276 SITEPROP TIEOFF_X34Y138 SITE_PIPS SITEPROP TIEOFF_X34Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y139 CLASS site SITEPROP TIEOFF_X34Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y139 IS_BONDED 0 SITEPROP TIEOFF_X34Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y139 IS_PAD 0 SITEPROP TIEOFF_X34Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y139 IS_RESERVED 0 SITEPROP TIEOFF_X34Y139 IS_TEST 0 SITEPROP TIEOFF_X34Y139 IS_USED 0 SITEPROP TIEOFF_X34Y139 MANUAL_ROUTING SITEPROP TIEOFF_X34Y139 NAME TIEOFF_X34Y139 SITEPROP TIEOFF_X34Y139 NUM_ARCS 0 SITEPROP TIEOFF_X34Y139 NUM_BELS 2 SITEPROP TIEOFF_X34Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y139 NUM_PINS 2 SITEPROP TIEOFF_X34Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y139 PROHIBIT 0 SITEPROP TIEOFF_X34Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y139 RPM_X 136 SITEPROP TIEOFF_X34Y139 RPM_Y 278 SITEPROP TIEOFF_X34Y139 SITE_PIPS SITEPROP TIEOFF_X34Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y140 CLASS site SITEPROP TIEOFF_X34Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y140 IS_BONDED 0 SITEPROP TIEOFF_X34Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y140 IS_PAD 0 SITEPROP TIEOFF_X34Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y140 IS_RESERVED 0 SITEPROP TIEOFF_X34Y140 IS_TEST 0 SITEPROP TIEOFF_X34Y140 IS_USED 0 SITEPROP TIEOFF_X34Y140 MANUAL_ROUTING SITEPROP TIEOFF_X34Y140 NAME TIEOFF_X34Y140 SITEPROP TIEOFF_X34Y140 NUM_ARCS 0 SITEPROP TIEOFF_X34Y140 NUM_BELS 2 SITEPROP TIEOFF_X34Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y140 NUM_PINS 2 SITEPROP TIEOFF_X34Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y140 PROHIBIT 0 SITEPROP TIEOFF_X34Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y140 RPM_X 136 SITEPROP TIEOFF_X34Y140 RPM_Y 280 SITEPROP TIEOFF_X34Y140 SITE_PIPS SITEPROP TIEOFF_X34Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y141 CLASS site SITEPROP TIEOFF_X34Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y141 IS_BONDED 0 SITEPROP TIEOFF_X34Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y141 IS_PAD 0 SITEPROP TIEOFF_X34Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y141 IS_RESERVED 0 SITEPROP TIEOFF_X34Y141 IS_TEST 0 SITEPROP TIEOFF_X34Y141 IS_USED 0 SITEPROP TIEOFF_X34Y141 MANUAL_ROUTING SITEPROP TIEOFF_X34Y141 NAME TIEOFF_X34Y141 SITEPROP TIEOFF_X34Y141 NUM_ARCS 0 SITEPROP TIEOFF_X34Y141 NUM_BELS 2 SITEPROP TIEOFF_X34Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y141 NUM_PINS 2 SITEPROP TIEOFF_X34Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y141 PROHIBIT 0 SITEPROP TIEOFF_X34Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y141 RPM_X 136 SITEPROP TIEOFF_X34Y141 RPM_Y 282 SITEPROP TIEOFF_X34Y141 SITE_PIPS SITEPROP TIEOFF_X34Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y142 CLASS site SITEPROP TIEOFF_X34Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y142 IS_BONDED 0 SITEPROP TIEOFF_X34Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y142 IS_PAD 0 SITEPROP TIEOFF_X34Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y142 IS_RESERVED 0 SITEPROP TIEOFF_X34Y142 IS_TEST 0 SITEPROP TIEOFF_X34Y142 IS_USED 0 SITEPROP TIEOFF_X34Y142 MANUAL_ROUTING SITEPROP TIEOFF_X34Y142 NAME TIEOFF_X34Y142 SITEPROP TIEOFF_X34Y142 NUM_ARCS 0 SITEPROP TIEOFF_X34Y142 NUM_BELS 2 SITEPROP TIEOFF_X34Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y142 NUM_PINS 2 SITEPROP TIEOFF_X34Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y142 PROHIBIT 0 SITEPROP TIEOFF_X34Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y142 RPM_X 136 SITEPROP TIEOFF_X34Y142 RPM_Y 284 SITEPROP TIEOFF_X34Y142 SITE_PIPS SITEPROP TIEOFF_X34Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y143 CLASS site SITEPROP TIEOFF_X34Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y143 IS_BONDED 0 SITEPROP TIEOFF_X34Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y143 IS_PAD 0 SITEPROP TIEOFF_X34Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y143 IS_RESERVED 0 SITEPROP TIEOFF_X34Y143 IS_TEST 0 SITEPROP TIEOFF_X34Y143 IS_USED 0 SITEPROP TIEOFF_X34Y143 MANUAL_ROUTING SITEPROP TIEOFF_X34Y143 NAME TIEOFF_X34Y143 SITEPROP TIEOFF_X34Y143 NUM_ARCS 0 SITEPROP TIEOFF_X34Y143 NUM_BELS 2 SITEPROP TIEOFF_X34Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y143 NUM_PINS 2 SITEPROP TIEOFF_X34Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y143 PROHIBIT 0 SITEPROP TIEOFF_X34Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y143 RPM_X 136 SITEPROP TIEOFF_X34Y143 RPM_Y 286 SITEPROP TIEOFF_X34Y143 SITE_PIPS SITEPROP TIEOFF_X34Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y144 CLASS site SITEPROP TIEOFF_X34Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y144 IS_BONDED 0 SITEPROP TIEOFF_X34Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y144 IS_PAD 0 SITEPROP TIEOFF_X34Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y144 IS_RESERVED 0 SITEPROP TIEOFF_X34Y144 IS_TEST 0 SITEPROP TIEOFF_X34Y144 IS_USED 0 SITEPROP TIEOFF_X34Y144 MANUAL_ROUTING SITEPROP TIEOFF_X34Y144 NAME TIEOFF_X34Y144 SITEPROP TIEOFF_X34Y144 NUM_ARCS 0 SITEPROP TIEOFF_X34Y144 NUM_BELS 2 SITEPROP TIEOFF_X34Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y144 NUM_PINS 2 SITEPROP TIEOFF_X34Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y144 PROHIBIT 0 SITEPROP TIEOFF_X34Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y144 RPM_X 136 SITEPROP TIEOFF_X34Y144 RPM_Y 288 SITEPROP TIEOFF_X34Y144 SITE_PIPS SITEPROP TIEOFF_X34Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y145 CLASS site SITEPROP TIEOFF_X34Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y145 IS_BONDED 0 SITEPROP TIEOFF_X34Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y145 IS_PAD 0 SITEPROP TIEOFF_X34Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y145 IS_RESERVED 0 SITEPROP TIEOFF_X34Y145 IS_TEST 0 SITEPROP TIEOFF_X34Y145 IS_USED 0 SITEPROP TIEOFF_X34Y145 MANUAL_ROUTING SITEPROP TIEOFF_X34Y145 NAME TIEOFF_X34Y145 SITEPROP TIEOFF_X34Y145 NUM_ARCS 0 SITEPROP TIEOFF_X34Y145 NUM_BELS 2 SITEPROP TIEOFF_X34Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y145 NUM_PINS 2 SITEPROP TIEOFF_X34Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y145 PROHIBIT 0 SITEPROP TIEOFF_X34Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y145 RPM_X 136 SITEPROP TIEOFF_X34Y145 RPM_Y 290 SITEPROP TIEOFF_X34Y145 SITE_PIPS SITEPROP TIEOFF_X34Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y146 CLASS site SITEPROP TIEOFF_X34Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y146 IS_BONDED 0 SITEPROP TIEOFF_X34Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y146 IS_PAD 0 SITEPROP TIEOFF_X34Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y146 IS_RESERVED 0 SITEPROP TIEOFF_X34Y146 IS_TEST 0 SITEPROP TIEOFF_X34Y146 IS_USED 0 SITEPROP TIEOFF_X34Y146 MANUAL_ROUTING SITEPROP TIEOFF_X34Y146 NAME TIEOFF_X34Y146 SITEPROP TIEOFF_X34Y146 NUM_ARCS 0 SITEPROP TIEOFF_X34Y146 NUM_BELS 2 SITEPROP TIEOFF_X34Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y146 NUM_PINS 2 SITEPROP TIEOFF_X34Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y146 PROHIBIT 0 SITEPROP TIEOFF_X34Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y146 RPM_X 136 SITEPROP TIEOFF_X34Y146 RPM_Y 292 SITEPROP TIEOFF_X34Y146 SITE_PIPS SITEPROP TIEOFF_X34Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y147 CLASS site SITEPROP TIEOFF_X34Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y147 IS_BONDED 0 SITEPROP TIEOFF_X34Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y147 IS_PAD 0 SITEPROP TIEOFF_X34Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y147 IS_RESERVED 0 SITEPROP TIEOFF_X34Y147 IS_TEST 0 SITEPROP TIEOFF_X34Y147 IS_USED 0 SITEPROP TIEOFF_X34Y147 MANUAL_ROUTING SITEPROP TIEOFF_X34Y147 NAME TIEOFF_X34Y147 SITEPROP TIEOFF_X34Y147 NUM_ARCS 0 SITEPROP TIEOFF_X34Y147 NUM_BELS 2 SITEPROP TIEOFF_X34Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y147 NUM_PINS 2 SITEPROP TIEOFF_X34Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y147 PROHIBIT 0 SITEPROP TIEOFF_X34Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y147 RPM_X 136 SITEPROP TIEOFF_X34Y147 RPM_Y 294 SITEPROP TIEOFF_X34Y147 SITE_PIPS SITEPROP TIEOFF_X34Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y148 CLASS site SITEPROP TIEOFF_X34Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y148 IS_BONDED 0 SITEPROP TIEOFF_X34Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y148 IS_PAD 0 SITEPROP TIEOFF_X34Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y148 IS_RESERVED 0 SITEPROP TIEOFF_X34Y148 IS_TEST 0 SITEPROP TIEOFF_X34Y148 IS_USED 0 SITEPROP TIEOFF_X34Y148 MANUAL_ROUTING SITEPROP TIEOFF_X34Y148 NAME TIEOFF_X34Y148 SITEPROP TIEOFF_X34Y148 NUM_ARCS 0 SITEPROP TIEOFF_X34Y148 NUM_BELS 2 SITEPROP TIEOFF_X34Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y148 NUM_PINS 2 SITEPROP TIEOFF_X34Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y148 PROHIBIT 0 SITEPROP TIEOFF_X34Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y148 RPM_X 136 SITEPROP TIEOFF_X34Y148 RPM_Y 296 SITEPROP TIEOFF_X34Y148 SITE_PIPS SITEPROP TIEOFF_X34Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X34Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X34Y149 CLASS site SITEPROP TIEOFF_X34Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X34Y149 IS_BONDED 0 SITEPROP TIEOFF_X34Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y149 IS_PAD 0 SITEPROP TIEOFF_X34Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X34Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X34Y149 IS_RESERVED 0 SITEPROP TIEOFF_X34Y149 IS_TEST 0 SITEPROP TIEOFF_X34Y149 IS_USED 0 SITEPROP TIEOFF_X34Y149 MANUAL_ROUTING SITEPROP TIEOFF_X34Y149 NAME TIEOFF_X34Y149 SITEPROP TIEOFF_X34Y149 NUM_ARCS 0 SITEPROP TIEOFF_X34Y149 NUM_BELS 2 SITEPROP TIEOFF_X34Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X34Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X34Y149 NUM_PINS 2 SITEPROP TIEOFF_X34Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X34Y149 PROHIBIT 0 SITEPROP TIEOFF_X34Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X34Y149 RPM_X 136 SITEPROP TIEOFF_X34Y149 RPM_Y 298 SITEPROP TIEOFF_X34Y149 SITE_PIPS SITEPROP TIEOFF_X34Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y0 CLASS site SITEPROP TIEOFF_X35Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y0 IS_BONDED 0 SITEPROP TIEOFF_X35Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y0 IS_PAD 0 SITEPROP TIEOFF_X35Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y0 IS_RESERVED 0 SITEPROP TIEOFF_X35Y0 IS_TEST 0 SITEPROP TIEOFF_X35Y0 IS_USED 0 SITEPROP TIEOFF_X35Y0 MANUAL_ROUTING SITEPROP TIEOFF_X35Y0 NAME TIEOFF_X35Y0 SITEPROP TIEOFF_X35Y0 NUM_ARCS 0 SITEPROP TIEOFF_X35Y0 NUM_BELS 2 SITEPROP TIEOFF_X35Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y0 NUM_PINS 2 SITEPROP TIEOFF_X35Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y0 PROHIBIT 0 SITEPROP TIEOFF_X35Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y0 RPM_X 141 SITEPROP TIEOFF_X35Y0 RPM_Y 1 SITEPROP TIEOFF_X35Y0 SITE_PIPS SITEPROP TIEOFF_X35Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y5 CLASS site SITEPROP TIEOFF_X35Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y5 IS_BONDED 0 SITEPROP TIEOFF_X35Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y5 IS_PAD 0 SITEPROP TIEOFF_X35Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y5 IS_RESERVED 0 SITEPROP TIEOFF_X35Y5 IS_TEST 0 SITEPROP TIEOFF_X35Y5 IS_USED 0 SITEPROP TIEOFF_X35Y5 MANUAL_ROUTING SITEPROP TIEOFF_X35Y5 NAME TIEOFF_X35Y5 SITEPROP TIEOFF_X35Y5 NUM_ARCS 0 SITEPROP TIEOFF_X35Y5 NUM_BELS 2 SITEPROP TIEOFF_X35Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y5 NUM_PINS 2 SITEPROP TIEOFF_X35Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y5 PROHIBIT 0 SITEPROP TIEOFF_X35Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y5 RPM_X 141 SITEPROP TIEOFF_X35Y5 RPM_Y 11 SITEPROP TIEOFF_X35Y5 SITE_PIPS SITEPROP TIEOFF_X35Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y10 CLASS site SITEPROP TIEOFF_X35Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y10 IS_BONDED 0 SITEPROP TIEOFF_X35Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y10 IS_PAD 0 SITEPROP TIEOFF_X35Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y10 IS_RESERVED 0 SITEPROP TIEOFF_X35Y10 IS_TEST 0 SITEPROP TIEOFF_X35Y10 IS_USED 0 SITEPROP TIEOFF_X35Y10 MANUAL_ROUTING SITEPROP TIEOFF_X35Y10 NAME TIEOFF_X35Y10 SITEPROP TIEOFF_X35Y10 NUM_ARCS 0 SITEPROP TIEOFF_X35Y10 NUM_BELS 2 SITEPROP TIEOFF_X35Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y10 NUM_PINS 2 SITEPROP TIEOFF_X35Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y10 PROHIBIT 0 SITEPROP TIEOFF_X35Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y10 RPM_X 141 SITEPROP TIEOFF_X35Y10 RPM_Y 21 SITEPROP TIEOFF_X35Y10 SITE_PIPS SITEPROP TIEOFF_X35Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y15 CLASS site SITEPROP TIEOFF_X35Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y15 IS_BONDED 0 SITEPROP TIEOFF_X35Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y15 IS_PAD 0 SITEPROP TIEOFF_X35Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y15 IS_RESERVED 0 SITEPROP TIEOFF_X35Y15 IS_TEST 0 SITEPROP TIEOFF_X35Y15 IS_USED 0 SITEPROP TIEOFF_X35Y15 MANUAL_ROUTING SITEPROP TIEOFF_X35Y15 NAME TIEOFF_X35Y15 SITEPROP TIEOFF_X35Y15 NUM_ARCS 0 SITEPROP TIEOFF_X35Y15 NUM_BELS 2 SITEPROP TIEOFF_X35Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y15 NUM_PINS 2 SITEPROP TIEOFF_X35Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y15 PROHIBIT 0 SITEPROP TIEOFF_X35Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y15 RPM_X 141 SITEPROP TIEOFF_X35Y15 RPM_Y 31 SITEPROP TIEOFF_X35Y15 SITE_PIPS SITEPROP TIEOFF_X35Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y20 CLASS site SITEPROP TIEOFF_X35Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y20 IS_BONDED 0 SITEPROP TIEOFF_X35Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y20 IS_PAD 0 SITEPROP TIEOFF_X35Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y20 IS_RESERVED 0 SITEPROP TIEOFF_X35Y20 IS_TEST 0 SITEPROP TIEOFF_X35Y20 IS_USED 0 SITEPROP TIEOFF_X35Y20 MANUAL_ROUTING SITEPROP TIEOFF_X35Y20 NAME TIEOFF_X35Y20 SITEPROP TIEOFF_X35Y20 NUM_ARCS 0 SITEPROP TIEOFF_X35Y20 NUM_BELS 2 SITEPROP TIEOFF_X35Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y20 NUM_PINS 2 SITEPROP TIEOFF_X35Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y20 PROHIBIT 0 SITEPROP TIEOFF_X35Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y20 RPM_X 141 SITEPROP TIEOFF_X35Y20 RPM_Y 41 SITEPROP TIEOFF_X35Y20 SITE_PIPS SITEPROP TIEOFF_X35Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y25 CLASS site SITEPROP TIEOFF_X35Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y25 IS_BONDED 0 SITEPROP TIEOFF_X35Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y25 IS_PAD 0 SITEPROP TIEOFF_X35Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y25 IS_RESERVED 0 SITEPROP TIEOFF_X35Y25 IS_TEST 0 SITEPROP TIEOFF_X35Y25 IS_USED 0 SITEPROP TIEOFF_X35Y25 MANUAL_ROUTING SITEPROP TIEOFF_X35Y25 NAME TIEOFF_X35Y25 SITEPROP TIEOFF_X35Y25 NUM_ARCS 0 SITEPROP TIEOFF_X35Y25 NUM_BELS 2 SITEPROP TIEOFF_X35Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y25 NUM_PINS 2 SITEPROP TIEOFF_X35Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y25 PROHIBIT 0 SITEPROP TIEOFF_X35Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y25 RPM_X 141 SITEPROP TIEOFF_X35Y25 RPM_Y 51 SITEPROP TIEOFF_X35Y25 SITE_PIPS SITEPROP TIEOFF_X35Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y30 CLASS site SITEPROP TIEOFF_X35Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y30 IS_BONDED 0 SITEPROP TIEOFF_X35Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y30 IS_PAD 0 SITEPROP TIEOFF_X35Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y30 IS_RESERVED 0 SITEPROP TIEOFF_X35Y30 IS_TEST 0 SITEPROP TIEOFF_X35Y30 IS_USED 0 SITEPROP TIEOFF_X35Y30 MANUAL_ROUTING SITEPROP TIEOFF_X35Y30 NAME TIEOFF_X35Y30 SITEPROP TIEOFF_X35Y30 NUM_ARCS 0 SITEPROP TIEOFF_X35Y30 NUM_BELS 2 SITEPROP TIEOFF_X35Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y30 NUM_PINS 2 SITEPROP TIEOFF_X35Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y30 PROHIBIT 0 SITEPROP TIEOFF_X35Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y30 RPM_X 141 SITEPROP TIEOFF_X35Y30 RPM_Y 61 SITEPROP TIEOFF_X35Y30 SITE_PIPS SITEPROP TIEOFF_X35Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y35 CLASS site SITEPROP TIEOFF_X35Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y35 IS_BONDED 0 SITEPROP TIEOFF_X35Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y35 IS_PAD 0 SITEPROP TIEOFF_X35Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y35 IS_RESERVED 0 SITEPROP TIEOFF_X35Y35 IS_TEST 0 SITEPROP TIEOFF_X35Y35 IS_USED 0 SITEPROP TIEOFF_X35Y35 MANUAL_ROUTING SITEPROP TIEOFF_X35Y35 NAME TIEOFF_X35Y35 SITEPROP TIEOFF_X35Y35 NUM_ARCS 0 SITEPROP TIEOFF_X35Y35 NUM_BELS 2 SITEPROP TIEOFF_X35Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y35 NUM_PINS 2 SITEPROP TIEOFF_X35Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y35 PROHIBIT 0 SITEPROP TIEOFF_X35Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y35 RPM_X 141 SITEPROP TIEOFF_X35Y35 RPM_Y 71 SITEPROP TIEOFF_X35Y35 SITE_PIPS SITEPROP TIEOFF_X35Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y40 CLASS site SITEPROP TIEOFF_X35Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y40 IS_BONDED 0 SITEPROP TIEOFF_X35Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y40 IS_PAD 0 SITEPROP TIEOFF_X35Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y40 IS_RESERVED 0 SITEPROP TIEOFF_X35Y40 IS_TEST 0 SITEPROP TIEOFF_X35Y40 IS_USED 0 SITEPROP TIEOFF_X35Y40 MANUAL_ROUTING SITEPROP TIEOFF_X35Y40 NAME TIEOFF_X35Y40 SITEPROP TIEOFF_X35Y40 NUM_ARCS 0 SITEPROP TIEOFF_X35Y40 NUM_BELS 2 SITEPROP TIEOFF_X35Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y40 NUM_PINS 2 SITEPROP TIEOFF_X35Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y40 PROHIBIT 0 SITEPROP TIEOFF_X35Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y40 RPM_X 141 SITEPROP TIEOFF_X35Y40 RPM_Y 81 SITEPROP TIEOFF_X35Y40 SITE_PIPS SITEPROP TIEOFF_X35Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y45 CLASS site SITEPROP TIEOFF_X35Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X35Y45 IS_BONDED 0 SITEPROP TIEOFF_X35Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y45 IS_PAD 0 SITEPROP TIEOFF_X35Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y45 IS_RESERVED 0 SITEPROP TIEOFF_X35Y45 IS_TEST 0 SITEPROP TIEOFF_X35Y45 IS_USED 0 SITEPROP TIEOFF_X35Y45 MANUAL_ROUTING SITEPROP TIEOFF_X35Y45 NAME TIEOFF_X35Y45 SITEPROP TIEOFF_X35Y45 NUM_ARCS 0 SITEPROP TIEOFF_X35Y45 NUM_BELS 2 SITEPROP TIEOFF_X35Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y45 NUM_PINS 2 SITEPROP TIEOFF_X35Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y45 PROHIBIT 0 SITEPROP TIEOFF_X35Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y45 RPM_X 141 SITEPROP TIEOFF_X35Y45 RPM_Y 91 SITEPROP TIEOFF_X35Y45 SITE_PIPS SITEPROP TIEOFF_X35Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y50 CLASS site SITEPROP TIEOFF_X35Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y50 IS_BONDED 0 SITEPROP TIEOFF_X35Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y50 IS_PAD 0 SITEPROP TIEOFF_X35Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y50 IS_RESERVED 0 SITEPROP TIEOFF_X35Y50 IS_TEST 0 SITEPROP TIEOFF_X35Y50 IS_USED 0 SITEPROP TIEOFF_X35Y50 MANUAL_ROUTING SITEPROP TIEOFF_X35Y50 NAME TIEOFF_X35Y50 SITEPROP TIEOFF_X35Y50 NUM_ARCS 0 SITEPROP TIEOFF_X35Y50 NUM_BELS 2 SITEPROP TIEOFF_X35Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y50 NUM_PINS 2 SITEPROP TIEOFF_X35Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y50 PROHIBIT 0 SITEPROP TIEOFF_X35Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y50 RPM_X 141 SITEPROP TIEOFF_X35Y50 RPM_Y 101 SITEPROP TIEOFF_X35Y50 SITE_PIPS SITEPROP TIEOFF_X35Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y55 CLASS site SITEPROP TIEOFF_X35Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y55 IS_BONDED 0 SITEPROP TIEOFF_X35Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y55 IS_PAD 0 SITEPROP TIEOFF_X35Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y55 IS_RESERVED 0 SITEPROP TIEOFF_X35Y55 IS_TEST 0 SITEPROP TIEOFF_X35Y55 IS_USED 0 SITEPROP TIEOFF_X35Y55 MANUAL_ROUTING SITEPROP TIEOFF_X35Y55 NAME TIEOFF_X35Y55 SITEPROP TIEOFF_X35Y55 NUM_ARCS 0 SITEPROP TIEOFF_X35Y55 NUM_BELS 2 SITEPROP TIEOFF_X35Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y55 NUM_PINS 2 SITEPROP TIEOFF_X35Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y55 PROHIBIT 0 SITEPROP TIEOFF_X35Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y55 RPM_X 141 SITEPROP TIEOFF_X35Y55 RPM_Y 111 SITEPROP TIEOFF_X35Y55 SITE_PIPS SITEPROP TIEOFF_X35Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y60 CLASS site SITEPROP TIEOFF_X35Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y60 IS_BONDED 0 SITEPROP TIEOFF_X35Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y60 IS_PAD 0 SITEPROP TIEOFF_X35Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y60 IS_RESERVED 0 SITEPROP TIEOFF_X35Y60 IS_TEST 0 SITEPROP TIEOFF_X35Y60 IS_USED 0 SITEPROP TIEOFF_X35Y60 MANUAL_ROUTING SITEPROP TIEOFF_X35Y60 NAME TIEOFF_X35Y60 SITEPROP TIEOFF_X35Y60 NUM_ARCS 0 SITEPROP TIEOFF_X35Y60 NUM_BELS 2 SITEPROP TIEOFF_X35Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y60 NUM_PINS 2 SITEPROP TIEOFF_X35Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y60 PROHIBIT 0 SITEPROP TIEOFF_X35Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y60 RPM_X 141 SITEPROP TIEOFF_X35Y60 RPM_Y 121 SITEPROP TIEOFF_X35Y60 SITE_PIPS SITEPROP TIEOFF_X35Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y65 CLASS site SITEPROP TIEOFF_X35Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y65 IS_BONDED 0 SITEPROP TIEOFF_X35Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y65 IS_PAD 0 SITEPROP TIEOFF_X35Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y65 IS_RESERVED 0 SITEPROP TIEOFF_X35Y65 IS_TEST 0 SITEPROP TIEOFF_X35Y65 IS_USED 0 SITEPROP TIEOFF_X35Y65 MANUAL_ROUTING SITEPROP TIEOFF_X35Y65 NAME TIEOFF_X35Y65 SITEPROP TIEOFF_X35Y65 NUM_ARCS 0 SITEPROP TIEOFF_X35Y65 NUM_BELS 2 SITEPROP TIEOFF_X35Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y65 NUM_PINS 2 SITEPROP TIEOFF_X35Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y65 PROHIBIT 0 SITEPROP TIEOFF_X35Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y65 RPM_X 141 SITEPROP TIEOFF_X35Y65 RPM_Y 131 SITEPROP TIEOFF_X35Y65 SITE_PIPS SITEPROP TIEOFF_X35Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y70 CLASS site SITEPROP TIEOFF_X35Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y70 IS_BONDED 0 SITEPROP TIEOFF_X35Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y70 IS_PAD 0 SITEPROP TIEOFF_X35Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y70 IS_RESERVED 0 SITEPROP TIEOFF_X35Y70 IS_TEST 0 SITEPROP TIEOFF_X35Y70 IS_USED 0 SITEPROP TIEOFF_X35Y70 MANUAL_ROUTING SITEPROP TIEOFF_X35Y70 NAME TIEOFF_X35Y70 SITEPROP TIEOFF_X35Y70 NUM_ARCS 0 SITEPROP TIEOFF_X35Y70 NUM_BELS 2 SITEPROP TIEOFF_X35Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y70 NUM_PINS 2 SITEPROP TIEOFF_X35Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y70 PROHIBIT 0 SITEPROP TIEOFF_X35Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y70 RPM_X 141 SITEPROP TIEOFF_X35Y70 RPM_Y 141 SITEPROP TIEOFF_X35Y70 SITE_PIPS SITEPROP TIEOFF_X35Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y75 CLASS site SITEPROP TIEOFF_X35Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y75 IS_BONDED 0 SITEPROP TIEOFF_X35Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y75 IS_PAD 0 SITEPROP TIEOFF_X35Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y75 IS_RESERVED 0 SITEPROP TIEOFF_X35Y75 IS_TEST 0 SITEPROP TIEOFF_X35Y75 IS_USED 0 SITEPROP TIEOFF_X35Y75 MANUAL_ROUTING SITEPROP TIEOFF_X35Y75 NAME TIEOFF_X35Y75 SITEPROP TIEOFF_X35Y75 NUM_ARCS 0 SITEPROP TIEOFF_X35Y75 NUM_BELS 2 SITEPROP TIEOFF_X35Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y75 NUM_PINS 2 SITEPROP TIEOFF_X35Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y75 PROHIBIT 0 SITEPROP TIEOFF_X35Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y75 RPM_X 141 SITEPROP TIEOFF_X35Y75 RPM_Y 151 SITEPROP TIEOFF_X35Y75 SITE_PIPS SITEPROP TIEOFF_X35Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y80 CLASS site SITEPROP TIEOFF_X35Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y80 IS_BONDED 0 SITEPROP TIEOFF_X35Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y80 IS_PAD 0 SITEPROP TIEOFF_X35Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y80 IS_RESERVED 0 SITEPROP TIEOFF_X35Y80 IS_TEST 0 SITEPROP TIEOFF_X35Y80 IS_USED 0 SITEPROP TIEOFF_X35Y80 MANUAL_ROUTING SITEPROP TIEOFF_X35Y80 NAME TIEOFF_X35Y80 SITEPROP TIEOFF_X35Y80 NUM_ARCS 0 SITEPROP TIEOFF_X35Y80 NUM_BELS 2 SITEPROP TIEOFF_X35Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y80 NUM_PINS 2 SITEPROP TIEOFF_X35Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y80 PROHIBIT 0 SITEPROP TIEOFF_X35Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y80 RPM_X 141 SITEPROP TIEOFF_X35Y80 RPM_Y 161 SITEPROP TIEOFF_X35Y80 SITE_PIPS SITEPROP TIEOFF_X35Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y85 CLASS site SITEPROP TIEOFF_X35Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y85 IS_BONDED 0 SITEPROP TIEOFF_X35Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y85 IS_PAD 0 SITEPROP TIEOFF_X35Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y85 IS_RESERVED 0 SITEPROP TIEOFF_X35Y85 IS_TEST 0 SITEPROP TIEOFF_X35Y85 IS_USED 0 SITEPROP TIEOFF_X35Y85 MANUAL_ROUTING SITEPROP TIEOFF_X35Y85 NAME TIEOFF_X35Y85 SITEPROP TIEOFF_X35Y85 NUM_ARCS 0 SITEPROP TIEOFF_X35Y85 NUM_BELS 2 SITEPROP TIEOFF_X35Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y85 NUM_PINS 2 SITEPROP TIEOFF_X35Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y85 PROHIBIT 0 SITEPROP TIEOFF_X35Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y85 RPM_X 141 SITEPROP TIEOFF_X35Y85 RPM_Y 171 SITEPROP TIEOFF_X35Y85 SITE_PIPS SITEPROP TIEOFF_X35Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y90 CLASS site SITEPROP TIEOFF_X35Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y90 IS_BONDED 0 SITEPROP TIEOFF_X35Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y90 IS_PAD 0 SITEPROP TIEOFF_X35Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y90 IS_RESERVED 0 SITEPROP TIEOFF_X35Y90 IS_TEST 0 SITEPROP TIEOFF_X35Y90 IS_USED 0 SITEPROP TIEOFF_X35Y90 MANUAL_ROUTING SITEPROP TIEOFF_X35Y90 NAME TIEOFF_X35Y90 SITEPROP TIEOFF_X35Y90 NUM_ARCS 0 SITEPROP TIEOFF_X35Y90 NUM_BELS 2 SITEPROP TIEOFF_X35Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y90 NUM_PINS 2 SITEPROP TIEOFF_X35Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y90 PROHIBIT 0 SITEPROP TIEOFF_X35Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y90 RPM_X 141 SITEPROP TIEOFF_X35Y90 RPM_Y 181 SITEPROP TIEOFF_X35Y90 SITE_PIPS SITEPROP TIEOFF_X35Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y95 CLASS site SITEPROP TIEOFF_X35Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X35Y95 IS_BONDED 0 SITEPROP TIEOFF_X35Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y95 IS_PAD 0 SITEPROP TIEOFF_X35Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y95 IS_RESERVED 0 SITEPROP TIEOFF_X35Y95 IS_TEST 0 SITEPROP TIEOFF_X35Y95 IS_USED 0 SITEPROP TIEOFF_X35Y95 MANUAL_ROUTING SITEPROP TIEOFF_X35Y95 NAME TIEOFF_X35Y95 SITEPROP TIEOFF_X35Y95 NUM_ARCS 0 SITEPROP TIEOFF_X35Y95 NUM_BELS 2 SITEPROP TIEOFF_X35Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y95 NUM_PINS 2 SITEPROP TIEOFF_X35Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y95 PROHIBIT 0 SITEPROP TIEOFF_X35Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y95 RPM_X 141 SITEPROP TIEOFF_X35Y95 RPM_Y 191 SITEPROP TIEOFF_X35Y95 SITE_PIPS SITEPROP TIEOFF_X35Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y100 CLASS site SITEPROP TIEOFF_X35Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y100 IS_BONDED 0 SITEPROP TIEOFF_X35Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y100 IS_PAD 0 SITEPROP TIEOFF_X35Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y100 IS_RESERVED 0 SITEPROP TIEOFF_X35Y100 IS_TEST 0 SITEPROP TIEOFF_X35Y100 IS_USED 0 SITEPROP TIEOFF_X35Y100 MANUAL_ROUTING SITEPROP TIEOFF_X35Y100 NAME TIEOFF_X35Y100 SITEPROP TIEOFF_X35Y100 NUM_ARCS 0 SITEPROP TIEOFF_X35Y100 NUM_BELS 2 SITEPROP TIEOFF_X35Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y100 NUM_PINS 2 SITEPROP TIEOFF_X35Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y100 PROHIBIT 0 SITEPROP TIEOFF_X35Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y100 RPM_X 141 SITEPROP TIEOFF_X35Y100 RPM_Y 201 SITEPROP TIEOFF_X35Y100 SITE_PIPS SITEPROP TIEOFF_X35Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y105 CLASS site SITEPROP TIEOFF_X35Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y105 IS_BONDED 0 SITEPROP TIEOFF_X35Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y105 IS_PAD 0 SITEPROP TIEOFF_X35Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y105 IS_RESERVED 0 SITEPROP TIEOFF_X35Y105 IS_TEST 0 SITEPROP TIEOFF_X35Y105 IS_USED 0 SITEPROP TIEOFF_X35Y105 MANUAL_ROUTING SITEPROP TIEOFF_X35Y105 NAME TIEOFF_X35Y105 SITEPROP TIEOFF_X35Y105 NUM_ARCS 0 SITEPROP TIEOFF_X35Y105 NUM_BELS 2 SITEPROP TIEOFF_X35Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y105 NUM_PINS 2 SITEPROP TIEOFF_X35Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y105 PROHIBIT 0 SITEPROP TIEOFF_X35Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y105 RPM_X 141 SITEPROP TIEOFF_X35Y105 RPM_Y 211 SITEPROP TIEOFF_X35Y105 SITE_PIPS SITEPROP TIEOFF_X35Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y110 CLASS site SITEPROP TIEOFF_X35Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y110 IS_BONDED 0 SITEPROP TIEOFF_X35Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y110 IS_PAD 0 SITEPROP TIEOFF_X35Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y110 IS_RESERVED 0 SITEPROP TIEOFF_X35Y110 IS_TEST 0 SITEPROP TIEOFF_X35Y110 IS_USED 0 SITEPROP TIEOFF_X35Y110 MANUAL_ROUTING SITEPROP TIEOFF_X35Y110 NAME TIEOFF_X35Y110 SITEPROP TIEOFF_X35Y110 NUM_ARCS 0 SITEPROP TIEOFF_X35Y110 NUM_BELS 2 SITEPROP TIEOFF_X35Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y110 NUM_PINS 2 SITEPROP TIEOFF_X35Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y110 PROHIBIT 0 SITEPROP TIEOFF_X35Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y110 RPM_X 141 SITEPROP TIEOFF_X35Y110 RPM_Y 221 SITEPROP TIEOFF_X35Y110 SITE_PIPS SITEPROP TIEOFF_X35Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y115 CLASS site SITEPROP TIEOFF_X35Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y115 IS_BONDED 0 SITEPROP TIEOFF_X35Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y115 IS_PAD 0 SITEPROP TIEOFF_X35Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y115 IS_RESERVED 0 SITEPROP TIEOFF_X35Y115 IS_TEST 0 SITEPROP TIEOFF_X35Y115 IS_USED 0 SITEPROP TIEOFF_X35Y115 MANUAL_ROUTING SITEPROP TIEOFF_X35Y115 NAME TIEOFF_X35Y115 SITEPROP TIEOFF_X35Y115 NUM_ARCS 0 SITEPROP TIEOFF_X35Y115 NUM_BELS 2 SITEPROP TIEOFF_X35Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y115 NUM_PINS 2 SITEPROP TIEOFF_X35Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y115 PROHIBIT 0 SITEPROP TIEOFF_X35Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y115 RPM_X 141 SITEPROP TIEOFF_X35Y115 RPM_Y 231 SITEPROP TIEOFF_X35Y115 SITE_PIPS SITEPROP TIEOFF_X35Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y120 CLASS site SITEPROP TIEOFF_X35Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y120 IS_BONDED 0 SITEPROP TIEOFF_X35Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y120 IS_PAD 0 SITEPROP TIEOFF_X35Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y120 IS_RESERVED 0 SITEPROP TIEOFF_X35Y120 IS_TEST 0 SITEPROP TIEOFF_X35Y120 IS_USED 0 SITEPROP TIEOFF_X35Y120 MANUAL_ROUTING SITEPROP TIEOFF_X35Y120 NAME TIEOFF_X35Y120 SITEPROP TIEOFF_X35Y120 NUM_ARCS 0 SITEPROP TIEOFF_X35Y120 NUM_BELS 2 SITEPROP TIEOFF_X35Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y120 NUM_PINS 2 SITEPROP TIEOFF_X35Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y120 PROHIBIT 0 SITEPROP TIEOFF_X35Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y120 RPM_X 141 SITEPROP TIEOFF_X35Y120 RPM_Y 241 SITEPROP TIEOFF_X35Y120 SITE_PIPS SITEPROP TIEOFF_X35Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y125 CLASS site SITEPROP TIEOFF_X35Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y125 IS_BONDED 0 SITEPROP TIEOFF_X35Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y125 IS_PAD 0 SITEPROP TIEOFF_X35Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y125 IS_RESERVED 0 SITEPROP TIEOFF_X35Y125 IS_TEST 0 SITEPROP TIEOFF_X35Y125 IS_USED 0 SITEPROP TIEOFF_X35Y125 MANUAL_ROUTING SITEPROP TIEOFF_X35Y125 NAME TIEOFF_X35Y125 SITEPROP TIEOFF_X35Y125 NUM_ARCS 0 SITEPROP TIEOFF_X35Y125 NUM_BELS 2 SITEPROP TIEOFF_X35Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y125 NUM_PINS 2 SITEPROP TIEOFF_X35Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y125 PROHIBIT 0 SITEPROP TIEOFF_X35Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y125 RPM_X 141 SITEPROP TIEOFF_X35Y125 RPM_Y 251 SITEPROP TIEOFF_X35Y125 SITE_PIPS SITEPROP TIEOFF_X35Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y130 CLASS site SITEPROP TIEOFF_X35Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y130 IS_BONDED 0 SITEPROP TIEOFF_X35Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y130 IS_PAD 0 SITEPROP TIEOFF_X35Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y130 IS_RESERVED 0 SITEPROP TIEOFF_X35Y130 IS_TEST 0 SITEPROP TIEOFF_X35Y130 IS_USED 0 SITEPROP TIEOFF_X35Y130 MANUAL_ROUTING SITEPROP TIEOFF_X35Y130 NAME TIEOFF_X35Y130 SITEPROP TIEOFF_X35Y130 NUM_ARCS 0 SITEPROP TIEOFF_X35Y130 NUM_BELS 2 SITEPROP TIEOFF_X35Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y130 NUM_PINS 2 SITEPROP TIEOFF_X35Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y130 PROHIBIT 0 SITEPROP TIEOFF_X35Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y130 RPM_X 141 SITEPROP TIEOFF_X35Y130 RPM_Y 261 SITEPROP TIEOFF_X35Y130 SITE_PIPS SITEPROP TIEOFF_X35Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y135 CLASS site SITEPROP TIEOFF_X35Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y135 IS_BONDED 0 SITEPROP TIEOFF_X35Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y135 IS_PAD 0 SITEPROP TIEOFF_X35Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y135 IS_RESERVED 0 SITEPROP TIEOFF_X35Y135 IS_TEST 0 SITEPROP TIEOFF_X35Y135 IS_USED 0 SITEPROP TIEOFF_X35Y135 MANUAL_ROUTING SITEPROP TIEOFF_X35Y135 NAME TIEOFF_X35Y135 SITEPROP TIEOFF_X35Y135 NUM_ARCS 0 SITEPROP TIEOFF_X35Y135 NUM_BELS 2 SITEPROP TIEOFF_X35Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y135 NUM_PINS 2 SITEPROP TIEOFF_X35Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y135 PROHIBIT 0 SITEPROP TIEOFF_X35Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y135 RPM_X 141 SITEPROP TIEOFF_X35Y135 RPM_Y 271 SITEPROP TIEOFF_X35Y135 SITE_PIPS SITEPROP TIEOFF_X35Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y140 CLASS site SITEPROP TIEOFF_X35Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y140 IS_BONDED 0 SITEPROP TIEOFF_X35Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y140 IS_PAD 0 SITEPROP TIEOFF_X35Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y140 IS_RESERVED 0 SITEPROP TIEOFF_X35Y140 IS_TEST 0 SITEPROP TIEOFF_X35Y140 IS_USED 0 SITEPROP TIEOFF_X35Y140 MANUAL_ROUTING SITEPROP TIEOFF_X35Y140 NAME TIEOFF_X35Y140 SITEPROP TIEOFF_X35Y140 NUM_ARCS 0 SITEPROP TIEOFF_X35Y140 NUM_BELS 2 SITEPROP TIEOFF_X35Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y140 NUM_PINS 2 SITEPROP TIEOFF_X35Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y140 PROHIBIT 0 SITEPROP TIEOFF_X35Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y140 RPM_X 141 SITEPROP TIEOFF_X35Y140 RPM_Y 281 SITEPROP TIEOFF_X35Y140 SITE_PIPS SITEPROP TIEOFF_X35Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X35Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X35Y145 CLASS site SITEPROP TIEOFF_X35Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X35Y145 IS_BONDED 0 SITEPROP TIEOFF_X35Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y145 IS_PAD 0 SITEPROP TIEOFF_X35Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X35Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X35Y145 IS_RESERVED 0 SITEPROP TIEOFF_X35Y145 IS_TEST 0 SITEPROP TIEOFF_X35Y145 IS_USED 0 SITEPROP TIEOFF_X35Y145 MANUAL_ROUTING SITEPROP TIEOFF_X35Y145 NAME TIEOFF_X35Y145 SITEPROP TIEOFF_X35Y145 NUM_ARCS 0 SITEPROP TIEOFF_X35Y145 NUM_BELS 2 SITEPROP TIEOFF_X35Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X35Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X35Y145 NUM_PINS 2 SITEPROP TIEOFF_X35Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X35Y145 PROHIBIT 0 SITEPROP TIEOFF_X35Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X35Y145 RPM_X 141 SITEPROP TIEOFF_X35Y145 RPM_Y 291 SITEPROP TIEOFF_X35Y145 SITE_PIPS SITEPROP TIEOFF_X35Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y0 CLASS site SITEPROP TIEOFF_X36Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y0 IS_BONDED 0 SITEPROP TIEOFF_X36Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y0 IS_PAD 0 SITEPROP TIEOFF_X36Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y0 IS_RESERVED 0 SITEPROP TIEOFF_X36Y0 IS_TEST 0 SITEPROP TIEOFF_X36Y0 IS_USED 0 SITEPROP TIEOFF_X36Y0 MANUAL_ROUTING SITEPROP TIEOFF_X36Y0 NAME TIEOFF_X36Y0 SITEPROP TIEOFF_X36Y0 NUM_ARCS 0 SITEPROP TIEOFF_X36Y0 NUM_BELS 2 SITEPROP TIEOFF_X36Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y0 NUM_PINS 2 SITEPROP TIEOFF_X36Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y0 PROHIBIT 0 SITEPROP TIEOFF_X36Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y0 RPM_X 140 SITEPROP TIEOFF_X36Y0 RPM_Y 0 SITEPROP TIEOFF_X36Y0 SITE_PIPS SITEPROP TIEOFF_X36Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y1 CLASS site SITEPROP TIEOFF_X36Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y1 IS_BONDED 0 SITEPROP TIEOFF_X36Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y1 IS_PAD 0 SITEPROP TIEOFF_X36Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y1 IS_RESERVED 0 SITEPROP TIEOFF_X36Y1 IS_TEST 0 SITEPROP TIEOFF_X36Y1 IS_USED 0 SITEPROP TIEOFF_X36Y1 MANUAL_ROUTING SITEPROP TIEOFF_X36Y1 NAME TIEOFF_X36Y1 SITEPROP TIEOFF_X36Y1 NUM_ARCS 0 SITEPROP TIEOFF_X36Y1 NUM_BELS 2 SITEPROP TIEOFF_X36Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y1 NUM_PINS 2 SITEPROP TIEOFF_X36Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y1 PROHIBIT 0 SITEPROP TIEOFF_X36Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y1 RPM_X 140 SITEPROP TIEOFF_X36Y1 RPM_Y 2 SITEPROP TIEOFF_X36Y1 SITE_PIPS SITEPROP TIEOFF_X36Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y2 CLASS site SITEPROP TIEOFF_X36Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y2 IS_BONDED 0 SITEPROP TIEOFF_X36Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y2 IS_PAD 0 SITEPROP TIEOFF_X36Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y2 IS_RESERVED 0 SITEPROP TIEOFF_X36Y2 IS_TEST 0 SITEPROP TIEOFF_X36Y2 IS_USED 0 SITEPROP TIEOFF_X36Y2 MANUAL_ROUTING SITEPROP TIEOFF_X36Y2 NAME TIEOFF_X36Y2 SITEPROP TIEOFF_X36Y2 NUM_ARCS 0 SITEPROP TIEOFF_X36Y2 NUM_BELS 2 SITEPROP TIEOFF_X36Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y2 NUM_PINS 2 SITEPROP TIEOFF_X36Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y2 PROHIBIT 0 SITEPROP TIEOFF_X36Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y2 RPM_X 140 SITEPROP TIEOFF_X36Y2 RPM_Y 4 SITEPROP TIEOFF_X36Y2 SITE_PIPS SITEPROP TIEOFF_X36Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y3 CLASS site SITEPROP TIEOFF_X36Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y3 IS_BONDED 0 SITEPROP TIEOFF_X36Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y3 IS_PAD 0 SITEPROP TIEOFF_X36Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y3 IS_RESERVED 0 SITEPROP TIEOFF_X36Y3 IS_TEST 0 SITEPROP TIEOFF_X36Y3 IS_USED 0 SITEPROP TIEOFF_X36Y3 MANUAL_ROUTING SITEPROP TIEOFF_X36Y3 NAME TIEOFF_X36Y3 SITEPROP TIEOFF_X36Y3 NUM_ARCS 0 SITEPROP TIEOFF_X36Y3 NUM_BELS 2 SITEPROP TIEOFF_X36Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y3 NUM_PINS 2 SITEPROP TIEOFF_X36Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y3 PROHIBIT 0 SITEPROP TIEOFF_X36Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y3 RPM_X 140 SITEPROP TIEOFF_X36Y3 RPM_Y 6 SITEPROP TIEOFF_X36Y3 SITE_PIPS SITEPROP TIEOFF_X36Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y4 CLASS site SITEPROP TIEOFF_X36Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y4 IS_BONDED 0 SITEPROP TIEOFF_X36Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y4 IS_PAD 0 SITEPROP TIEOFF_X36Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y4 IS_RESERVED 0 SITEPROP TIEOFF_X36Y4 IS_TEST 0 SITEPROP TIEOFF_X36Y4 IS_USED 0 SITEPROP TIEOFF_X36Y4 MANUAL_ROUTING SITEPROP TIEOFF_X36Y4 NAME TIEOFF_X36Y4 SITEPROP TIEOFF_X36Y4 NUM_ARCS 0 SITEPROP TIEOFF_X36Y4 NUM_BELS 2 SITEPROP TIEOFF_X36Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y4 NUM_PINS 2 SITEPROP TIEOFF_X36Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y4 PROHIBIT 0 SITEPROP TIEOFF_X36Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y4 RPM_X 140 SITEPROP TIEOFF_X36Y4 RPM_Y 8 SITEPROP TIEOFF_X36Y4 SITE_PIPS SITEPROP TIEOFF_X36Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y5 CLASS site SITEPROP TIEOFF_X36Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y5 IS_BONDED 0 SITEPROP TIEOFF_X36Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y5 IS_PAD 0 SITEPROP TIEOFF_X36Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y5 IS_RESERVED 0 SITEPROP TIEOFF_X36Y5 IS_TEST 0 SITEPROP TIEOFF_X36Y5 IS_USED 0 SITEPROP TIEOFF_X36Y5 MANUAL_ROUTING SITEPROP TIEOFF_X36Y5 NAME TIEOFF_X36Y5 SITEPROP TIEOFF_X36Y5 NUM_ARCS 0 SITEPROP TIEOFF_X36Y5 NUM_BELS 2 SITEPROP TIEOFF_X36Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y5 NUM_PINS 2 SITEPROP TIEOFF_X36Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y5 PROHIBIT 0 SITEPROP TIEOFF_X36Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y5 RPM_X 140 SITEPROP TIEOFF_X36Y5 RPM_Y 10 SITEPROP TIEOFF_X36Y5 SITE_PIPS SITEPROP TIEOFF_X36Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y6 CLASS site SITEPROP TIEOFF_X36Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y6 IS_BONDED 0 SITEPROP TIEOFF_X36Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y6 IS_PAD 0 SITEPROP TIEOFF_X36Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y6 IS_RESERVED 0 SITEPROP TIEOFF_X36Y6 IS_TEST 0 SITEPROP TIEOFF_X36Y6 IS_USED 0 SITEPROP TIEOFF_X36Y6 MANUAL_ROUTING SITEPROP TIEOFF_X36Y6 NAME TIEOFF_X36Y6 SITEPROP TIEOFF_X36Y6 NUM_ARCS 0 SITEPROP TIEOFF_X36Y6 NUM_BELS 2 SITEPROP TIEOFF_X36Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y6 NUM_PINS 2 SITEPROP TIEOFF_X36Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y6 PROHIBIT 0 SITEPROP TIEOFF_X36Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y6 RPM_X 140 SITEPROP TIEOFF_X36Y6 RPM_Y 12 SITEPROP TIEOFF_X36Y6 SITE_PIPS SITEPROP TIEOFF_X36Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y7 CLASS site SITEPROP TIEOFF_X36Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y7 IS_BONDED 0 SITEPROP TIEOFF_X36Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y7 IS_PAD 0 SITEPROP TIEOFF_X36Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y7 IS_RESERVED 0 SITEPROP TIEOFF_X36Y7 IS_TEST 0 SITEPROP TIEOFF_X36Y7 IS_USED 0 SITEPROP TIEOFF_X36Y7 MANUAL_ROUTING SITEPROP TIEOFF_X36Y7 NAME TIEOFF_X36Y7 SITEPROP TIEOFF_X36Y7 NUM_ARCS 0 SITEPROP TIEOFF_X36Y7 NUM_BELS 2 SITEPROP TIEOFF_X36Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y7 NUM_PINS 2 SITEPROP TIEOFF_X36Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y7 PROHIBIT 0 SITEPROP TIEOFF_X36Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y7 RPM_X 140 SITEPROP TIEOFF_X36Y7 RPM_Y 14 SITEPROP TIEOFF_X36Y7 SITE_PIPS SITEPROP TIEOFF_X36Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y8 CLASS site SITEPROP TIEOFF_X36Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y8 IS_BONDED 0 SITEPROP TIEOFF_X36Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y8 IS_PAD 0 SITEPROP TIEOFF_X36Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y8 IS_RESERVED 0 SITEPROP TIEOFF_X36Y8 IS_TEST 0 SITEPROP TIEOFF_X36Y8 IS_USED 0 SITEPROP TIEOFF_X36Y8 MANUAL_ROUTING SITEPROP TIEOFF_X36Y8 NAME TIEOFF_X36Y8 SITEPROP TIEOFF_X36Y8 NUM_ARCS 0 SITEPROP TIEOFF_X36Y8 NUM_BELS 2 SITEPROP TIEOFF_X36Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y8 NUM_PINS 2 SITEPROP TIEOFF_X36Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y8 PROHIBIT 0 SITEPROP TIEOFF_X36Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y8 RPM_X 140 SITEPROP TIEOFF_X36Y8 RPM_Y 16 SITEPROP TIEOFF_X36Y8 SITE_PIPS SITEPROP TIEOFF_X36Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y9 CLASS site SITEPROP TIEOFF_X36Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y9 IS_BONDED 0 SITEPROP TIEOFF_X36Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y9 IS_PAD 0 SITEPROP TIEOFF_X36Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y9 IS_RESERVED 0 SITEPROP TIEOFF_X36Y9 IS_TEST 0 SITEPROP TIEOFF_X36Y9 IS_USED 0 SITEPROP TIEOFF_X36Y9 MANUAL_ROUTING SITEPROP TIEOFF_X36Y9 NAME TIEOFF_X36Y9 SITEPROP TIEOFF_X36Y9 NUM_ARCS 0 SITEPROP TIEOFF_X36Y9 NUM_BELS 2 SITEPROP TIEOFF_X36Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y9 NUM_PINS 2 SITEPROP TIEOFF_X36Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y9 PROHIBIT 0 SITEPROP TIEOFF_X36Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y9 RPM_X 140 SITEPROP TIEOFF_X36Y9 RPM_Y 18 SITEPROP TIEOFF_X36Y9 SITE_PIPS SITEPROP TIEOFF_X36Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y10 CLASS site SITEPROP TIEOFF_X36Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y10 IS_BONDED 0 SITEPROP TIEOFF_X36Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y10 IS_PAD 0 SITEPROP TIEOFF_X36Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y10 IS_RESERVED 0 SITEPROP TIEOFF_X36Y10 IS_TEST 0 SITEPROP TIEOFF_X36Y10 IS_USED 0 SITEPROP TIEOFF_X36Y10 MANUAL_ROUTING SITEPROP TIEOFF_X36Y10 NAME TIEOFF_X36Y10 SITEPROP TIEOFF_X36Y10 NUM_ARCS 0 SITEPROP TIEOFF_X36Y10 NUM_BELS 2 SITEPROP TIEOFF_X36Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y10 NUM_PINS 2 SITEPROP TIEOFF_X36Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y10 PROHIBIT 0 SITEPROP TIEOFF_X36Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y10 RPM_X 140 SITEPROP TIEOFF_X36Y10 RPM_Y 20 SITEPROP TIEOFF_X36Y10 SITE_PIPS SITEPROP TIEOFF_X36Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y11 CLASS site SITEPROP TIEOFF_X36Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y11 IS_BONDED 0 SITEPROP TIEOFF_X36Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y11 IS_PAD 0 SITEPROP TIEOFF_X36Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y11 IS_RESERVED 0 SITEPROP TIEOFF_X36Y11 IS_TEST 0 SITEPROP TIEOFF_X36Y11 IS_USED 0 SITEPROP TIEOFF_X36Y11 MANUAL_ROUTING SITEPROP TIEOFF_X36Y11 NAME TIEOFF_X36Y11 SITEPROP TIEOFF_X36Y11 NUM_ARCS 0 SITEPROP TIEOFF_X36Y11 NUM_BELS 2 SITEPROP TIEOFF_X36Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y11 NUM_PINS 2 SITEPROP TIEOFF_X36Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y11 PROHIBIT 0 SITEPROP TIEOFF_X36Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y11 RPM_X 140 SITEPROP TIEOFF_X36Y11 RPM_Y 22 SITEPROP TIEOFF_X36Y11 SITE_PIPS SITEPROP TIEOFF_X36Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y12 CLASS site SITEPROP TIEOFF_X36Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y12 IS_BONDED 0 SITEPROP TIEOFF_X36Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y12 IS_PAD 0 SITEPROP TIEOFF_X36Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y12 IS_RESERVED 0 SITEPROP TIEOFF_X36Y12 IS_TEST 0 SITEPROP TIEOFF_X36Y12 IS_USED 0 SITEPROP TIEOFF_X36Y12 MANUAL_ROUTING SITEPROP TIEOFF_X36Y12 NAME TIEOFF_X36Y12 SITEPROP TIEOFF_X36Y12 NUM_ARCS 0 SITEPROP TIEOFF_X36Y12 NUM_BELS 2 SITEPROP TIEOFF_X36Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y12 NUM_PINS 2 SITEPROP TIEOFF_X36Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y12 PROHIBIT 0 SITEPROP TIEOFF_X36Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y12 RPM_X 140 SITEPROP TIEOFF_X36Y12 RPM_Y 24 SITEPROP TIEOFF_X36Y12 SITE_PIPS SITEPROP TIEOFF_X36Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y13 CLASS site SITEPROP TIEOFF_X36Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y13 IS_BONDED 0 SITEPROP TIEOFF_X36Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y13 IS_PAD 0 SITEPROP TIEOFF_X36Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y13 IS_RESERVED 0 SITEPROP TIEOFF_X36Y13 IS_TEST 0 SITEPROP TIEOFF_X36Y13 IS_USED 0 SITEPROP TIEOFF_X36Y13 MANUAL_ROUTING SITEPROP TIEOFF_X36Y13 NAME TIEOFF_X36Y13 SITEPROP TIEOFF_X36Y13 NUM_ARCS 0 SITEPROP TIEOFF_X36Y13 NUM_BELS 2 SITEPROP TIEOFF_X36Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y13 NUM_PINS 2 SITEPROP TIEOFF_X36Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y13 PROHIBIT 0 SITEPROP TIEOFF_X36Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y13 RPM_X 140 SITEPROP TIEOFF_X36Y13 RPM_Y 26 SITEPROP TIEOFF_X36Y13 SITE_PIPS SITEPROP TIEOFF_X36Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y14 CLASS site SITEPROP TIEOFF_X36Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y14 IS_BONDED 0 SITEPROP TIEOFF_X36Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y14 IS_PAD 0 SITEPROP TIEOFF_X36Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y14 IS_RESERVED 0 SITEPROP TIEOFF_X36Y14 IS_TEST 0 SITEPROP TIEOFF_X36Y14 IS_USED 0 SITEPROP TIEOFF_X36Y14 MANUAL_ROUTING SITEPROP TIEOFF_X36Y14 NAME TIEOFF_X36Y14 SITEPROP TIEOFF_X36Y14 NUM_ARCS 0 SITEPROP TIEOFF_X36Y14 NUM_BELS 2 SITEPROP TIEOFF_X36Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y14 NUM_PINS 2 SITEPROP TIEOFF_X36Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y14 PROHIBIT 0 SITEPROP TIEOFF_X36Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y14 RPM_X 140 SITEPROP TIEOFF_X36Y14 RPM_Y 28 SITEPROP TIEOFF_X36Y14 SITE_PIPS SITEPROP TIEOFF_X36Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y15 CLASS site SITEPROP TIEOFF_X36Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y15 IS_BONDED 0 SITEPROP TIEOFF_X36Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y15 IS_PAD 0 SITEPROP TIEOFF_X36Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y15 IS_RESERVED 0 SITEPROP TIEOFF_X36Y15 IS_TEST 0 SITEPROP TIEOFF_X36Y15 IS_USED 0 SITEPROP TIEOFF_X36Y15 MANUAL_ROUTING SITEPROP TIEOFF_X36Y15 NAME TIEOFF_X36Y15 SITEPROP TIEOFF_X36Y15 NUM_ARCS 0 SITEPROP TIEOFF_X36Y15 NUM_BELS 2 SITEPROP TIEOFF_X36Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y15 NUM_PINS 2 SITEPROP TIEOFF_X36Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y15 PROHIBIT 0 SITEPROP TIEOFF_X36Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y15 RPM_X 140 SITEPROP TIEOFF_X36Y15 RPM_Y 30 SITEPROP TIEOFF_X36Y15 SITE_PIPS SITEPROP TIEOFF_X36Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y16 CLASS site SITEPROP TIEOFF_X36Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y16 IS_BONDED 0 SITEPROP TIEOFF_X36Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y16 IS_PAD 0 SITEPROP TIEOFF_X36Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y16 IS_RESERVED 0 SITEPROP TIEOFF_X36Y16 IS_TEST 0 SITEPROP TIEOFF_X36Y16 IS_USED 0 SITEPROP TIEOFF_X36Y16 MANUAL_ROUTING SITEPROP TIEOFF_X36Y16 NAME TIEOFF_X36Y16 SITEPROP TIEOFF_X36Y16 NUM_ARCS 0 SITEPROP TIEOFF_X36Y16 NUM_BELS 2 SITEPROP TIEOFF_X36Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y16 NUM_PINS 2 SITEPROP TIEOFF_X36Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y16 PROHIBIT 0 SITEPROP TIEOFF_X36Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y16 RPM_X 140 SITEPROP TIEOFF_X36Y16 RPM_Y 32 SITEPROP TIEOFF_X36Y16 SITE_PIPS SITEPROP TIEOFF_X36Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y17 CLASS site SITEPROP TIEOFF_X36Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y17 IS_BONDED 0 SITEPROP TIEOFF_X36Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y17 IS_PAD 0 SITEPROP TIEOFF_X36Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y17 IS_RESERVED 0 SITEPROP TIEOFF_X36Y17 IS_TEST 0 SITEPROP TIEOFF_X36Y17 IS_USED 0 SITEPROP TIEOFF_X36Y17 MANUAL_ROUTING SITEPROP TIEOFF_X36Y17 NAME TIEOFF_X36Y17 SITEPROP TIEOFF_X36Y17 NUM_ARCS 0 SITEPROP TIEOFF_X36Y17 NUM_BELS 2 SITEPROP TIEOFF_X36Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y17 NUM_PINS 2 SITEPROP TIEOFF_X36Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y17 PROHIBIT 0 SITEPROP TIEOFF_X36Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y17 RPM_X 140 SITEPROP TIEOFF_X36Y17 RPM_Y 34 SITEPROP TIEOFF_X36Y17 SITE_PIPS SITEPROP TIEOFF_X36Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y18 CLASS site SITEPROP TIEOFF_X36Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y18 IS_BONDED 0 SITEPROP TIEOFF_X36Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y18 IS_PAD 0 SITEPROP TIEOFF_X36Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y18 IS_RESERVED 0 SITEPROP TIEOFF_X36Y18 IS_TEST 0 SITEPROP TIEOFF_X36Y18 IS_USED 0 SITEPROP TIEOFF_X36Y18 MANUAL_ROUTING SITEPROP TIEOFF_X36Y18 NAME TIEOFF_X36Y18 SITEPROP TIEOFF_X36Y18 NUM_ARCS 0 SITEPROP TIEOFF_X36Y18 NUM_BELS 2 SITEPROP TIEOFF_X36Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y18 NUM_PINS 2 SITEPROP TIEOFF_X36Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y18 PROHIBIT 0 SITEPROP TIEOFF_X36Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y18 RPM_X 140 SITEPROP TIEOFF_X36Y18 RPM_Y 36 SITEPROP TIEOFF_X36Y18 SITE_PIPS SITEPROP TIEOFF_X36Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y19 CLASS site SITEPROP TIEOFF_X36Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y19 IS_BONDED 0 SITEPROP TIEOFF_X36Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y19 IS_PAD 0 SITEPROP TIEOFF_X36Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y19 IS_RESERVED 0 SITEPROP TIEOFF_X36Y19 IS_TEST 0 SITEPROP TIEOFF_X36Y19 IS_USED 0 SITEPROP TIEOFF_X36Y19 MANUAL_ROUTING SITEPROP TIEOFF_X36Y19 NAME TIEOFF_X36Y19 SITEPROP TIEOFF_X36Y19 NUM_ARCS 0 SITEPROP TIEOFF_X36Y19 NUM_BELS 2 SITEPROP TIEOFF_X36Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y19 NUM_PINS 2 SITEPROP TIEOFF_X36Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y19 PROHIBIT 0 SITEPROP TIEOFF_X36Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y19 RPM_X 140 SITEPROP TIEOFF_X36Y19 RPM_Y 38 SITEPROP TIEOFF_X36Y19 SITE_PIPS SITEPROP TIEOFF_X36Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y20 CLASS site SITEPROP TIEOFF_X36Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y20 IS_BONDED 0 SITEPROP TIEOFF_X36Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y20 IS_PAD 0 SITEPROP TIEOFF_X36Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y20 IS_RESERVED 0 SITEPROP TIEOFF_X36Y20 IS_TEST 0 SITEPROP TIEOFF_X36Y20 IS_USED 0 SITEPROP TIEOFF_X36Y20 MANUAL_ROUTING SITEPROP TIEOFF_X36Y20 NAME TIEOFF_X36Y20 SITEPROP TIEOFF_X36Y20 NUM_ARCS 0 SITEPROP TIEOFF_X36Y20 NUM_BELS 2 SITEPROP TIEOFF_X36Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y20 NUM_PINS 2 SITEPROP TIEOFF_X36Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y20 PROHIBIT 0 SITEPROP TIEOFF_X36Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y20 RPM_X 140 SITEPROP TIEOFF_X36Y20 RPM_Y 40 SITEPROP TIEOFF_X36Y20 SITE_PIPS SITEPROP TIEOFF_X36Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y21 CLASS site SITEPROP TIEOFF_X36Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y21 IS_BONDED 0 SITEPROP TIEOFF_X36Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y21 IS_PAD 0 SITEPROP TIEOFF_X36Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y21 IS_RESERVED 0 SITEPROP TIEOFF_X36Y21 IS_TEST 0 SITEPROP TIEOFF_X36Y21 IS_USED 0 SITEPROP TIEOFF_X36Y21 MANUAL_ROUTING SITEPROP TIEOFF_X36Y21 NAME TIEOFF_X36Y21 SITEPROP TIEOFF_X36Y21 NUM_ARCS 0 SITEPROP TIEOFF_X36Y21 NUM_BELS 2 SITEPROP TIEOFF_X36Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y21 NUM_PINS 2 SITEPROP TIEOFF_X36Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y21 PROHIBIT 0 SITEPROP TIEOFF_X36Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y21 RPM_X 140 SITEPROP TIEOFF_X36Y21 RPM_Y 42 SITEPROP TIEOFF_X36Y21 SITE_PIPS SITEPROP TIEOFF_X36Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y22 CLASS site SITEPROP TIEOFF_X36Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y22 IS_BONDED 0 SITEPROP TIEOFF_X36Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y22 IS_PAD 0 SITEPROP TIEOFF_X36Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y22 IS_RESERVED 0 SITEPROP TIEOFF_X36Y22 IS_TEST 0 SITEPROP TIEOFF_X36Y22 IS_USED 0 SITEPROP TIEOFF_X36Y22 MANUAL_ROUTING SITEPROP TIEOFF_X36Y22 NAME TIEOFF_X36Y22 SITEPROP TIEOFF_X36Y22 NUM_ARCS 0 SITEPROP TIEOFF_X36Y22 NUM_BELS 2 SITEPROP TIEOFF_X36Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y22 NUM_PINS 2 SITEPROP TIEOFF_X36Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y22 PROHIBIT 0 SITEPROP TIEOFF_X36Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y22 RPM_X 140 SITEPROP TIEOFF_X36Y22 RPM_Y 44 SITEPROP TIEOFF_X36Y22 SITE_PIPS SITEPROP TIEOFF_X36Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y23 CLASS site SITEPROP TIEOFF_X36Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y23 IS_BONDED 0 SITEPROP TIEOFF_X36Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y23 IS_PAD 0 SITEPROP TIEOFF_X36Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y23 IS_RESERVED 0 SITEPROP TIEOFF_X36Y23 IS_TEST 0 SITEPROP TIEOFF_X36Y23 IS_USED 0 SITEPROP TIEOFF_X36Y23 MANUAL_ROUTING SITEPROP TIEOFF_X36Y23 NAME TIEOFF_X36Y23 SITEPROP TIEOFF_X36Y23 NUM_ARCS 0 SITEPROP TIEOFF_X36Y23 NUM_BELS 2 SITEPROP TIEOFF_X36Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y23 NUM_PINS 2 SITEPROP TIEOFF_X36Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y23 PROHIBIT 0 SITEPROP TIEOFF_X36Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y23 RPM_X 140 SITEPROP TIEOFF_X36Y23 RPM_Y 46 SITEPROP TIEOFF_X36Y23 SITE_PIPS SITEPROP TIEOFF_X36Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y24 CLASS site SITEPROP TIEOFF_X36Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y24 IS_BONDED 0 SITEPROP TIEOFF_X36Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y24 IS_PAD 0 SITEPROP TIEOFF_X36Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y24 IS_RESERVED 0 SITEPROP TIEOFF_X36Y24 IS_TEST 0 SITEPROP TIEOFF_X36Y24 IS_USED 0 SITEPROP TIEOFF_X36Y24 MANUAL_ROUTING SITEPROP TIEOFF_X36Y24 NAME TIEOFF_X36Y24 SITEPROP TIEOFF_X36Y24 NUM_ARCS 0 SITEPROP TIEOFF_X36Y24 NUM_BELS 2 SITEPROP TIEOFF_X36Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y24 NUM_PINS 2 SITEPROP TIEOFF_X36Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y24 PROHIBIT 0 SITEPROP TIEOFF_X36Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y24 RPM_X 140 SITEPROP TIEOFF_X36Y24 RPM_Y 48 SITEPROP TIEOFF_X36Y24 SITE_PIPS SITEPROP TIEOFF_X36Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y25 CLASS site SITEPROP TIEOFF_X36Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y25 IS_BONDED 0 SITEPROP TIEOFF_X36Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y25 IS_PAD 0 SITEPROP TIEOFF_X36Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y25 IS_RESERVED 0 SITEPROP TIEOFF_X36Y25 IS_TEST 0 SITEPROP TIEOFF_X36Y25 IS_USED 0 SITEPROP TIEOFF_X36Y25 MANUAL_ROUTING SITEPROP TIEOFF_X36Y25 NAME TIEOFF_X36Y25 SITEPROP TIEOFF_X36Y25 NUM_ARCS 0 SITEPROP TIEOFF_X36Y25 NUM_BELS 2 SITEPROP TIEOFF_X36Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y25 NUM_PINS 2 SITEPROP TIEOFF_X36Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y25 PROHIBIT 0 SITEPROP TIEOFF_X36Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y25 RPM_X 140 SITEPROP TIEOFF_X36Y25 RPM_Y 50 SITEPROP TIEOFF_X36Y25 SITE_PIPS SITEPROP TIEOFF_X36Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y26 CLASS site SITEPROP TIEOFF_X36Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y26 IS_BONDED 0 SITEPROP TIEOFF_X36Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y26 IS_PAD 0 SITEPROP TIEOFF_X36Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y26 IS_RESERVED 0 SITEPROP TIEOFF_X36Y26 IS_TEST 0 SITEPROP TIEOFF_X36Y26 IS_USED 0 SITEPROP TIEOFF_X36Y26 MANUAL_ROUTING SITEPROP TIEOFF_X36Y26 NAME TIEOFF_X36Y26 SITEPROP TIEOFF_X36Y26 NUM_ARCS 0 SITEPROP TIEOFF_X36Y26 NUM_BELS 2 SITEPROP TIEOFF_X36Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y26 NUM_PINS 2 SITEPROP TIEOFF_X36Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y26 PROHIBIT 0 SITEPROP TIEOFF_X36Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y26 RPM_X 140 SITEPROP TIEOFF_X36Y26 RPM_Y 52 SITEPROP TIEOFF_X36Y26 SITE_PIPS SITEPROP TIEOFF_X36Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y27 CLASS site SITEPROP TIEOFF_X36Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y27 IS_BONDED 0 SITEPROP TIEOFF_X36Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y27 IS_PAD 0 SITEPROP TIEOFF_X36Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y27 IS_RESERVED 0 SITEPROP TIEOFF_X36Y27 IS_TEST 0 SITEPROP TIEOFF_X36Y27 IS_USED 0 SITEPROP TIEOFF_X36Y27 MANUAL_ROUTING SITEPROP TIEOFF_X36Y27 NAME TIEOFF_X36Y27 SITEPROP TIEOFF_X36Y27 NUM_ARCS 0 SITEPROP TIEOFF_X36Y27 NUM_BELS 2 SITEPROP TIEOFF_X36Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y27 NUM_PINS 2 SITEPROP TIEOFF_X36Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y27 PROHIBIT 0 SITEPROP TIEOFF_X36Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y27 RPM_X 140 SITEPROP TIEOFF_X36Y27 RPM_Y 54 SITEPROP TIEOFF_X36Y27 SITE_PIPS SITEPROP TIEOFF_X36Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y28 CLASS site SITEPROP TIEOFF_X36Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y28 IS_BONDED 0 SITEPROP TIEOFF_X36Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y28 IS_PAD 0 SITEPROP TIEOFF_X36Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y28 IS_RESERVED 0 SITEPROP TIEOFF_X36Y28 IS_TEST 0 SITEPROP TIEOFF_X36Y28 IS_USED 0 SITEPROP TIEOFF_X36Y28 MANUAL_ROUTING SITEPROP TIEOFF_X36Y28 NAME TIEOFF_X36Y28 SITEPROP TIEOFF_X36Y28 NUM_ARCS 0 SITEPROP TIEOFF_X36Y28 NUM_BELS 2 SITEPROP TIEOFF_X36Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y28 NUM_PINS 2 SITEPROP TIEOFF_X36Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y28 PROHIBIT 0 SITEPROP TIEOFF_X36Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y28 RPM_X 140 SITEPROP TIEOFF_X36Y28 RPM_Y 56 SITEPROP TIEOFF_X36Y28 SITE_PIPS SITEPROP TIEOFF_X36Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y29 CLASS site SITEPROP TIEOFF_X36Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y29 IS_BONDED 0 SITEPROP TIEOFF_X36Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y29 IS_PAD 0 SITEPROP TIEOFF_X36Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y29 IS_RESERVED 0 SITEPROP TIEOFF_X36Y29 IS_TEST 0 SITEPROP TIEOFF_X36Y29 IS_USED 0 SITEPROP TIEOFF_X36Y29 MANUAL_ROUTING SITEPROP TIEOFF_X36Y29 NAME TIEOFF_X36Y29 SITEPROP TIEOFF_X36Y29 NUM_ARCS 0 SITEPROP TIEOFF_X36Y29 NUM_BELS 2 SITEPROP TIEOFF_X36Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y29 NUM_PINS 2 SITEPROP TIEOFF_X36Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y29 PROHIBIT 0 SITEPROP TIEOFF_X36Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y29 RPM_X 140 SITEPROP TIEOFF_X36Y29 RPM_Y 58 SITEPROP TIEOFF_X36Y29 SITE_PIPS SITEPROP TIEOFF_X36Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y30 CLASS site SITEPROP TIEOFF_X36Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y30 IS_BONDED 0 SITEPROP TIEOFF_X36Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y30 IS_PAD 0 SITEPROP TIEOFF_X36Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y30 IS_RESERVED 0 SITEPROP TIEOFF_X36Y30 IS_TEST 0 SITEPROP TIEOFF_X36Y30 IS_USED 0 SITEPROP TIEOFF_X36Y30 MANUAL_ROUTING SITEPROP TIEOFF_X36Y30 NAME TIEOFF_X36Y30 SITEPROP TIEOFF_X36Y30 NUM_ARCS 0 SITEPROP TIEOFF_X36Y30 NUM_BELS 2 SITEPROP TIEOFF_X36Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y30 NUM_PINS 2 SITEPROP TIEOFF_X36Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y30 PROHIBIT 0 SITEPROP TIEOFF_X36Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y30 RPM_X 140 SITEPROP TIEOFF_X36Y30 RPM_Y 60 SITEPROP TIEOFF_X36Y30 SITE_PIPS SITEPROP TIEOFF_X36Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y31 CLASS site SITEPROP TIEOFF_X36Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y31 IS_BONDED 0 SITEPROP TIEOFF_X36Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y31 IS_PAD 0 SITEPROP TIEOFF_X36Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y31 IS_RESERVED 0 SITEPROP TIEOFF_X36Y31 IS_TEST 0 SITEPROP TIEOFF_X36Y31 IS_USED 0 SITEPROP TIEOFF_X36Y31 MANUAL_ROUTING SITEPROP TIEOFF_X36Y31 NAME TIEOFF_X36Y31 SITEPROP TIEOFF_X36Y31 NUM_ARCS 0 SITEPROP TIEOFF_X36Y31 NUM_BELS 2 SITEPROP TIEOFF_X36Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y31 NUM_PINS 2 SITEPROP TIEOFF_X36Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y31 PROHIBIT 0 SITEPROP TIEOFF_X36Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y31 RPM_X 140 SITEPROP TIEOFF_X36Y31 RPM_Y 62 SITEPROP TIEOFF_X36Y31 SITE_PIPS SITEPROP TIEOFF_X36Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y32 CLASS site SITEPROP TIEOFF_X36Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y32 IS_BONDED 0 SITEPROP TIEOFF_X36Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y32 IS_PAD 0 SITEPROP TIEOFF_X36Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y32 IS_RESERVED 0 SITEPROP TIEOFF_X36Y32 IS_TEST 0 SITEPROP TIEOFF_X36Y32 IS_USED 0 SITEPROP TIEOFF_X36Y32 MANUAL_ROUTING SITEPROP TIEOFF_X36Y32 NAME TIEOFF_X36Y32 SITEPROP TIEOFF_X36Y32 NUM_ARCS 0 SITEPROP TIEOFF_X36Y32 NUM_BELS 2 SITEPROP TIEOFF_X36Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y32 NUM_PINS 2 SITEPROP TIEOFF_X36Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y32 PROHIBIT 0 SITEPROP TIEOFF_X36Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y32 RPM_X 140 SITEPROP TIEOFF_X36Y32 RPM_Y 64 SITEPROP TIEOFF_X36Y32 SITE_PIPS SITEPROP TIEOFF_X36Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y33 CLASS site SITEPROP TIEOFF_X36Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y33 IS_BONDED 0 SITEPROP TIEOFF_X36Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y33 IS_PAD 0 SITEPROP TIEOFF_X36Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y33 IS_RESERVED 0 SITEPROP TIEOFF_X36Y33 IS_TEST 0 SITEPROP TIEOFF_X36Y33 IS_USED 0 SITEPROP TIEOFF_X36Y33 MANUAL_ROUTING SITEPROP TIEOFF_X36Y33 NAME TIEOFF_X36Y33 SITEPROP TIEOFF_X36Y33 NUM_ARCS 0 SITEPROP TIEOFF_X36Y33 NUM_BELS 2 SITEPROP TIEOFF_X36Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y33 NUM_PINS 2 SITEPROP TIEOFF_X36Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y33 PROHIBIT 0 SITEPROP TIEOFF_X36Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y33 RPM_X 140 SITEPROP TIEOFF_X36Y33 RPM_Y 66 SITEPROP TIEOFF_X36Y33 SITE_PIPS SITEPROP TIEOFF_X36Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y34 CLASS site SITEPROP TIEOFF_X36Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y34 IS_BONDED 0 SITEPROP TIEOFF_X36Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y34 IS_PAD 0 SITEPROP TIEOFF_X36Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y34 IS_RESERVED 0 SITEPROP TIEOFF_X36Y34 IS_TEST 0 SITEPROP TIEOFF_X36Y34 IS_USED 0 SITEPROP TIEOFF_X36Y34 MANUAL_ROUTING SITEPROP TIEOFF_X36Y34 NAME TIEOFF_X36Y34 SITEPROP TIEOFF_X36Y34 NUM_ARCS 0 SITEPROP TIEOFF_X36Y34 NUM_BELS 2 SITEPROP TIEOFF_X36Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y34 NUM_PINS 2 SITEPROP TIEOFF_X36Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y34 PROHIBIT 0 SITEPROP TIEOFF_X36Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y34 RPM_X 140 SITEPROP TIEOFF_X36Y34 RPM_Y 68 SITEPROP TIEOFF_X36Y34 SITE_PIPS SITEPROP TIEOFF_X36Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y35 CLASS site SITEPROP TIEOFF_X36Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y35 IS_BONDED 0 SITEPROP TIEOFF_X36Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y35 IS_PAD 0 SITEPROP TIEOFF_X36Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y35 IS_RESERVED 0 SITEPROP TIEOFF_X36Y35 IS_TEST 0 SITEPROP TIEOFF_X36Y35 IS_USED 0 SITEPROP TIEOFF_X36Y35 MANUAL_ROUTING SITEPROP TIEOFF_X36Y35 NAME TIEOFF_X36Y35 SITEPROP TIEOFF_X36Y35 NUM_ARCS 0 SITEPROP TIEOFF_X36Y35 NUM_BELS 2 SITEPROP TIEOFF_X36Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y35 NUM_PINS 2 SITEPROP TIEOFF_X36Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y35 PROHIBIT 0 SITEPROP TIEOFF_X36Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y35 RPM_X 140 SITEPROP TIEOFF_X36Y35 RPM_Y 70 SITEPROP TIEOFF_X36Y35 SITE_PIPS SITEPROP TIEOFF_X36Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y36 CLASS site SITEPROP TIEOFF_X36Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y36 IS_BONDED 0 SITEPROP TIEOFF_X36Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y36 IS_PAD 0 SITEPROP TIEOFF_X36Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y36 IS_RESERVED 0 SITEPROP TIEOFF_X36Y36 IS_TEST 0 SITEPROP TIEOFF_X36Y36 IS_USED 0 SITEPROP TIEOFF_X36Y36 MANUAL_ROUTING SITEPROP TIEOFF_X36Y36 NAME TIEOFF_X36Y36 SITEPROP TIEOFF_X36Y36 NUM_ARCS 0 SITEPROP TIEOFF_X36Y36 NUM_BELS 2 SITEPROP TIEOFF_X36Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y36 NUM_PINS 2 SITEPROP TIEOFF_X36Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y36 PROHIBIT 0 SITEPROP TIEOFF_X36Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y36 RPM_X 140 SITEPROP TIEOFF_X36Y36 RPM_Y 72 SITEPROP TIEOFF_X36Y36 SITE_PIPS SITEPROP TIEOFF_X36Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y37 CLASS site SITEPROP TIEOFF_X36Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y37 IS_BONDED 0 SITEPROP TIEOFF_X36Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y37 IS_PAD 0 SITEPROP TIEOFF_X36Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y37 IS_RESERVED 0 SITEPROP TIEOFF_X36Y37 IS_TEST 0 SITEPROP TIEOFF_X36Y37 IS_USED 0 SITEPROP TIEOFF_X36Y37 MANUAL_ROUTING SITEPROP TIEOFF_X36Y37 NAME TIEOFF_X36Y37 SITEPROP TIEOFF_X36Y37 NUM_ARCS 0 SITEPROP TIEOFF_X36Y37 NUM_BELS 2 SITEPROP TIEOFF_X36Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y37 NUM_PINS 2 SITEPROP TIEOFF_X36Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y37 PROHIBIT 0 SITEPROP TIEOFF_X36Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y37 RPM_X 140 SITEPROP TIEOFF_X36Y37 RPM_Y 74 SITEPROP TIEOFF_X36Y37 SITE_PIPS SITEPROP TIEOFF_X36Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y38 CLASS site SITEPROP TIEOFF_X36Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y38 IS_BONDED 0 SITEPROP TIEOFF_X36Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y38 IS_PAD 0 SITEPROP TIEOFF_X36Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y38 IS_RESERVED 0 SITEPROP TIEOFF_X36Y38 IS_TEST 0 SITEPROP TIEOFF_X36Y38 IS_USED 0 SITEPROP TIEOFF_X36Y38 MANUAL_ROUTING SITEPROP TIEOFF_X36Y38 NAME TIEOFF_X36Y38 SITEPROP TIEOFF_X36Y38 NUM_ARCS 0 SITEPROP TIEOFF_X36Y38 NUM_BELS 2 SITEPROP TIEOFF_X36Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y38 NUM_PINS 2 SITEPROP TIEOFF_X36Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y38 PROHIBIT 0 SITEPROP TIEOFF_X36Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y38 RPM_X 140 SITEPROP TIEOFF_X36Y38 RPM_Y 76 SITEPROP TIEOFF_X36Y38 SITE_PIPS SITEPROP TIEOFF_X36Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y39 CLASS site SITEPROP TIEOFF_X36Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y39 IS_BONDED 0 SITEPROP TIEOFF_X36Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y39 IS_PAD 0 SITEPROP TIEOFF_X36Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y39 IS_RESERVED 0 SITEPROP TIEOFF_X36Y39 IS_TEST 0 SITEPROP TIEOFF_X36Y39 IS_USED 0 SITEPROP TIEOFF_X36Y39 MANUAL_ROUTING SITEPROP TIEOFF_X36Y39 NAME TIEOFF_X36Y39 SITEPROP TIEOFF_X36Y39 NUM_ARCS 0 SITEPROP TIEOFF_X36Y39 NUM_BELS 2 SITEPROP TIEOFF_X36Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y39 NUM_PINS 2 SITEPROP TIEOFF_X36Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y39 PROHIBIT 0 SITEPROP TIEOFF_X36Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y39 RPM_X 140 SITEPROP TIEOFF_X36Y39 RPM_Y 78 SITEPROP TIEOFF_X36Y39 SITE_PIPS SITEPROP TIEOFF_X36Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y40 CLASS site SITEPROP TIEOFF_X36Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y40 IS_BONDED 0 SITEPROP TIEOFF_X36Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y40 IS_PAD 0 SITEPROP TIEOFF_X36Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y40 IS_RESERVED 0 SITEPROP TIEOFF_X36Y40 IS_TEST 0 SITEPROP TIEOFF_X36Y40 IS_USED 0 SITEPROP TIEOFF_X36Y40 MANUAL_ROUTING SITEPROP TIEOFF_X36Y40 NAME TIEOFF_X36Y40 SITEPROP TIEOFF_X36Y40 NUM_ARCS 0 SITEPROP TIEOFF_X36Y40 NUM_BELS 2 SITEPROP TIEOFF_X36Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y40 NUM_PINS 2 SITEPROP TIEOFF_X36Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y40 PROHIBIT 0 SITEPROP TIEOFF_X36Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y40 RPM_X 140 SITEPROP TIEOFF_X36Y40 RPM_Y 80 SITEPROP TIEOFF_X36Y40 SITE_PIPS SITEPROP TIEOFF_X36Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y41 CLASS site SITEPROP TIEOFF_X36Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y41 IS_BONDED 0 SITEPROP TIEOFF_X36Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y41 IS_PAD 0 SITEPROP TIEOFF_X36Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y41 IS_RESERVED 0 SITEPROP TIEOFF_X36Y41 IS_TEST 0 SITEPROP TIEOFF_X36Y41 IS_USED 0 SITEPROP TIEOFF_X36Y41 MANUAL_ROUTING SITEPROP TIEOFF_X36Y41 NAME TIEOFF_X36Y41 SITEPROP TIEOFF_X36Y41 NUM_ARCS 0 SITEPROP TIEOFF_X36Y41 NUM_BELS 2 SITEPROP TIEOFF_X36Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y41 NUM_PINS 2 SITEPROP TIEOFF_X36Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y41 PROHIBIT 0 SITEPROP TIEOFF_X36Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y41 RPM_X 140 SITEPROP TIEOFF_X36Y41 RPM_Y 82 SITEPROP TIEOFF_X36Y41 SITE_PIPS SITEPROP TIEOFF_X36Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y42 CLASS site SITEPROP TIEOFF_X36Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y42 IS_BONDED 0 SITEPROP TIEOFF_X36Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y42 IS_PAD 0 SITEPROP TIEOFF_X36Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y42 IS_RESERVED 0 SITEPROP TIEOFF_X36Y42 IS_TEST 0 SITEPROP TIEOFF_X36Y42 IS_USED 0 SITEPROP TIEOFF_X36Y42 MANUAL_ROUTING SITEPROP TIEOFF_X36Y42 NAME TIEOFF_X36Y42 SITEPROP TIEOFF_X36Y42 NUM_ARCS 0 SITEPROP TIEOFF_X36Y42 NUM_BELS 2 SITEPROP TIEOFF_X36Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y42 NUM_PINS 2 SITEPROP TIEOFF_X36Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y42 PROHIBIT 0 SITEPROP TIEOFF_X36Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y42 RPM_X 140 SITEPROP TIEOFF_X36Y42 RPM_Y 84 SITEPROP TIEOFF_X36Y42 SITE_PIPS SITEPROP TIEOFF_X36Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y43 CLASS site SITEPROP TIEOFF_X36Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y43 IS_BONDED 0 SITEPROP TIEOFF_X36Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y43 IS_PAD 0 SITEPROP TIEOFF_X36Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y43 IS_RESERVED 0 SITEPROP TIEOFF_X36Y43 IS_TEST 0 SITEPROP TIEOFF_X36Y43 IS_USED 0 SITEPROP TIEOFF_X36Y43 MANUAL_ROUTING SITEPROP TIEOFF_X36Y43 NAME TIEOFF_X36Y43 SITEPROP TIEOFF_X36Y43 NUM_ARCS 0 SITEPROP TIEOFF_X36Y43 NUM_BELS 2 SITEPROP TIEOFF_X36Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y43 NUM_PINS 2 SITEPROP TIEOFF_X36Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y43 PROHIBIT 0 SITEPROP TIEOFF_X36Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y43 RPM_X 140 SITEPROP TIEOFF_X36Y43 RPM_Y 86 SITEPROP TIEOFF_X36Y43 SITE_PIPS SITEPROP TIEOFF_X36Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y44 CLASS site SITEPROP TIEOFF_X36Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y44 IS_BONDED 0 SITEPROP TIEOFF_X36Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y44 IS_PAD 0 SITEPROP TIEOFF_X36Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y44 IS_RESERVED 0 SITEPROP TIEOFF_X36Y44 IS_TEST 0 SITEPROP TIEOFF_X36Y44 IS_USED 0 SITEPROP TIEOFF_X36Y44 MANUAL_ROUTING SITEPROP TIEOFF_X36Y44 NAME TIEOFF_X36Y44 SITEPROP TIEOFF_X36Y44 NUM_ARCS 0 SITEPROP TIEOFF_X36Y44 NUM_BELS 2 SITEPROP TIEOFF_X36Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y44 NUM_PINS 2 SITEPROP TIEOFF_X36Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y44 PROHIBIT 0 SITEPROP TIEOFF_X36Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y44 RPM_X 140 SITEPROP TIEOFF_X36Y44 RPM_Y 88 SITEPROP TIEOFF_X36Y44 SITE_PIPS SITEPROP TIEOFF_X36Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y45 CLASS site SITEPROP TIEOFF_X36Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y45 IS_BONDED 0 SITEPROP TIEOFF_X36Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y45 IS_PAD 0 SITEPROP TIEOFF_X36Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y45 IS_RESERVED 0 SITEPROP TIEOFF_X36Y45 IS_TEST 0 SITEPROP TIEOFF_X36Y45 IS_USED 0 SITEPROP TIEOFF_X36Y45 MANUAL_ROUTING SITEPROP TIEOFF_X36Y45 NAME TIEOFF_X36Y45 SITEPROP TIEOFF_X36Y45 NUM_ARCS 0 SITEPROP TIEOFF_X36Y45 NUM_BELS 2 SITEPROP TIEOFF_X36Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y45 NUM_PINS 2 SITEPROP TIEOFF_X36Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y45 PROHIBIT 0 SITEPROP TIEOFF_X36Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y45 RPM_X 140 SITEPROP TIEOFF_X36Y45 RPM_Y 90 SITEPROP TIEOFF_X36Y45 SITE_PIPS SITEPROP TIEOFF_X36Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y46 CLASS site SITEPROP TIEOFF_X36Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y46 IS_BONDED 0 SITEPROP TIEOFF_X36Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y46 IS_PAD 0 SITEPROP TIEOFF_X36Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y46 IS_RESERVED 0 SITEPROP TIEOFF_X36Y46 IS_TEST 0 SITEPROP TIEOFF_X36Y46 IS_USED 0 SITEPROP TIEOFF_X36Y46 MANUAL_ROUTING SITEPROP TIEOFF_X36Y46 NAME TIEOFF_X36Y46 SITEPROP TIEOFF_X36Y46 NUM_ARCS 0 SITEPROP TIEOFF_X36Y46 NUM_BELS 2 SITEPROP TIEOFF_X36Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y46 NUM_PINS 2 SITEPROP TIEOFF_X36Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y46 PROHIBIT 0 SITEPROP TIEOFF_X36Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y46 RPM_X 140 SITEPROP TIEOFF_X36Y46 RPM_Y 92 SITEPROP TIEOFF_X36Y46 SITE_PIPS SITEPROP TIEOFF_X36Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y47 CLASS site SITEPROP TIEOFF_X36Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y47 IS_BONDED 0 SITEPROP TIEOFF_X36Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y47 IS_PAD 0 SITEPROP TIEOFF_X36Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y47 IS_RESERVED 0 SITEPROP TIEOFF_X36Y47 IS_TEST 0 SITEPROP TIEOFF_X36Y47 IS_USED 0 SITEPROP TIEOFF_X36Y47 MANUAL_ROUTING SITEPROP TIEOFF_X36Y47 NAME TIEOFF_X36Y47 SITEPROP TIEOFF_X36Y47 NUM_ARCS 0 SITEPROP TIEOFF_X36Y47 NUM_BELS 2 SITEPROP TIEOFF_X36Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y47 NUM_PINS 2 SITEPROP TIEOFF_X36Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y47 PROHIBIT 0 SITEPROP TIEOFF_X36Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y47 RPM_X 140 SITEPROP TIEOFF_X36Y47 RPM_Y 94 SITEPROP TIEOFF_X36Y47 SITE_PIPS SITEPROP TIEOFF_X36Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y48 CLASS site SITEPROP TIEOFF_X36Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y48 IS_BONDED 0 SITEPROP TIEOFF_X36Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y48 IS_PAD 0 SITEPROP TIEOFF_X36Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y48 IS_RESERVED 0 SITEPROP TIEOFF_X36Y48 IS_TEST 0 SITEPROP TIEOFF_X36Y48 IS_USED 0 SITEPROP TIEOFF_X36Y48 MANUAL_ROUTING SITEPROP TIEOFF_X36Y48 NAME TIEOFF_X36Y48 SITEPROP TIEOFF_X36Y48 NUM_ARCS 0 SITEPROP TIEOFF_X36Y48 NUM_BELS 2 SITEPROP TIEOFF_X36Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y48 NUM_PINS 2 SITEPROP TIEOFF_X36Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y48 PROHIBIT 0 SITEPROP TIEOFF_X36Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y48 RPM_X 140 SITEPROP TIEOFF_X36Y48 RPM_Y 96 SITEPROP TIEOFF_X36Y48 SITE_PIPS SITEPROP TIEOFF_X36Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y49 CLASS site SITEPROP TIEOFF_X36Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X36Y49 IS_BONDED 0 SITEPROP TIEOFF_X36Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y49 IS_PAD 0 SITEPROP TIEOFF_X36Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y49 IS_RESERVED 0 SITEPROP TIEOFF_X36Y49 IS_TEST 0 SITEPROP TIEOFF_X36Y49 IS_USED 0 SITEPROP TIEOFF_X36Y49 MANUAL_ROUTING SITEPROP TIEOFF_X36Y49 NAME TIEOFF_X36Y49 SITEPROP TIEOFF_X36Y49 NUM_ARCS 0 SITEPROP TIEOFF_X36Y49 NUM_BELS 2 SITEPROP TIEOFF_X36Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y49 NUM_PINS 2 SITEPROP TIEOFF_X36Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y49 PROHIBIT 0 SITEPROP TIEOFF_X36Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y49 RPM_X 140 SITEPROP TIEOFF_X36Y49 RPM_Y 98 SITEPROP TIEOFF_X36Y49 SITE_PIPS SITEPROP TIEOFF_X36Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y50 CLASS site SITEPROP TIEOFF_X36Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y50 IS_BONDED 0 SITEPROP TIEOFF_X36Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y50 IS_PAD 0 SITEPROP TIEOFF_X36Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y50 IS_RESERVED 0 SITEPROP TIEOFF_X36Y50 IS_TEST 0 SITEPROP TIEOFF_X36Y50 IS_USED 0 SITEPROP TIEOFF_X36Y50 MANUAL_ROUTING SITEPROP TIEOFF_X36Y50 NAME TIEOFF_X36Y50 SITEPROP TIEOFF_X36Y50 NUM_ARCS 0 SITEPROP TIEOFF_X36Y50 NUM_BELS 2 SITEPROP TIEOFF_X36Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y50 NUM_PINS 2 SITEPROP TIEOFF_X36Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y50 PROHIBIT 0 SITEPROP TIEOFF_X36Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y50 RPM_X 140 SITEPROP TIEOFF_X36Y50 RPM_Y 100 SITEPROP TIEOFF_X36Y50 SITE_PIPS SITEPROP TIEOFF_X36Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y51 CLASS site SITEPROP TIEOFF_X36Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y51 IS_BONDED 0 SITEPROP TIEOFF_X36Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y51 IS_PAD 0 SITEPROP TIEOFF_X36Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y51 IS_RESERVED 0 SITEPROP TIEOFF_X36Y51 IS_TEST 0 SITEPROP TIEOFF_X36Y51 IS_USED 0 SITEPROP TIEOFF_X36Y51 MANUAL_ROUTING SITEPROP TIEOFF_X36Y51 NAME TIEOFF_X36Y51 SITEPROP TIEOFF_X36Y51 NUM_ARCS 0 SITEPROP TIEOFF_X36Y51 NUM_BELS 2 SITEPROP TIEOFF_X36Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y51 NUM_PINS 2 SITEPROP TIEOFF_X36Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y51 PROHIBIT 0 SITEPROP TIEOFF_X36Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y51 RPM_X 140 SITEPROP TIEOFF_X36Y51 RPM_Y 102 SITEPROP TIEOFF_X36Y51 SITE_PIPS SITEPROP TIEOFF_X36Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y52 CLASS site SITEPROP TIEOFF_X36Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y52 IS_BONDED 0 SITEPROP TIEOFF_X36Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y52 IS_PAD 0 SITEPROP TIEOFF_X36Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y52 IS_RESERVED 0 SITEPROP TIEOFF_X36Y52 IS_TEST 0 SITEPROP TIEOFF_X36Y52 IS_USED 0 SITEPROP TIEOFF_X36Y52 MANUAL_ROUTING SITEPROP TIEOFF_X36Y52 NAME TIEOFF_X36Y52 SITEPROP TIEOFF_X36Y52 NUM_ARCS 0 SITEPROP TIEOFF_X36Y52 NUM_BELS 2 SITEPROP TIEOFF_X36Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y52 NUM_PINS 2 SITEPROP TIEOFF_X36Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y52 PROHIBIT 0 SITEPROP TIEOFF_X36Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y52 RPM_X 140 SITEPROP TIEOFF_X36Y52 RPM_Y 104 SITEPROP TIEOFF_X36Y52 SITE_PIPS SITEPROP TIEOFF_X36Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y53 CLASS site SITEPROP TIEOFF_X36Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y53 IS_BONDED 0 SITEPROP TIEOFF_X36Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y53 IS_PAD 0 SITEPROP TIEOFF_X36Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y53 IS_RESERVED 0 SITEPROP TIEOFF_X36Y53 IS_TEST 0 SITEPROP TIEOFF_X36Y53 IS_USED 0 SITEPROP TIEOFF_X36Y53 MANUAL_ROUTING SITEPROP TIEOFF_X36Y53 NAME TIEOFF_X36Y53 SITEPROP TIEOFF_X36Y53 NUM_ARCS 0 SITEPROP TIEOFF_X36Y53 NUM_BELS 2 SITEPROP TIEOFF_X36Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y53 NUM_PINS 2 SITEPROP TIEOFF_X36Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y53 PROHIBIT 0 SITEPROP TIEOFF_X36Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y53 RPM_X 140 SITEPROP TIEOFF_X36Y53 RPM_Y 106 SITEPROP TIEOFF_X36Y53 SITE_PIPS SITEPROP TIEOFF_X36Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y54 CLASS site SITEPROP TIEOFF_X36Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y54 IS_BONDED 0 SITEPROP TIEOFF_X36Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y54 IS_PAD 0 SITEPROP TIEOFF_X36Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y54 IS_RESERVED 0 SITEPROP TIEOFF_X36Y54 IS_TEST 0 SITEPROP TIEOFF_X36Y54 IS_USED 0 SITEPROP TIEOFF_X36Y54 MANUAL_ROUTING SITEPROP TIEOFF_X36Y54 NAME TIEOFF_X36Y54 SITEPROP TIEOFF_X36Y54 NUM_ARCS 0 SITEPROP TIEOFF_X36Y54 NUM_BELS 2 SITEPROP TIEOFF_X36Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y54 NUM_PINS 2 SITEPROP TIEOFF_X36Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y54 PROHIBIT 0 SITEPROP TIEOFF_X36Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y54 RPM_X 140 SITEPROP TIEOFF_X36Y54 RPM_Y 108 SITEPROP TIEOFF_X36Y54 SITE_PIPS SITEPROP TIEOFF_X36Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y55 CLASS site SITEPROP TIEOFF_X36Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y55 IS_BONDED 0 SITEPROP TIEOFF_X36Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y55 IS_PAD 0 SITEPROP TIEOFF_X36Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y55 IS_RESERVED 0 SITEPROP TIEOFF_X36Y55 IS_TEST 0 SITEPROP TIEOFF_X36Y55 IS_USED 0 SITEPROP TIEOFF_X36Y55 MANUAL_ROUTING SITEPROP TIEOFF_X36Y55 NAME TIEOFF_X36Y55 SITEPROP TIEOFF_X36Y55 NUM_ARCS 0 SITEPROP TIEOFF_X36Y55 NUM_BELS 2 SITEPROP TIEOFF_X36Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y55 NUM_PINS 2 SITEPROP TIEOFF_X36Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y55 PROHIBIT 0 SITEPROP TIEOFF_X36Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y55 RPM_X 140 SITEPROP TIEOFF_X36Y55 RPM_Y 110 SITEPROP TIEOFF_X36Y55 SITE_PIPS SITEPROP TIEOFF_X36Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y56 CLASS site SITEPROP TIEOFF_X36Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y56 IS_BONDED 0 SITEPROP TIEOFF_X36Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y56 IS_PAD 0 SITEPROP TIEOFF_X36Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y56 IS_RESERVED 0 SITEPROP TIEOFF_X36Y56 IS_TEST 0 SITEPROP TIEOFF_X36Y56 IS_USED 0 SITEPROP TIEOFF_X36Y56 MANUAL_ROUTING SITEPROP TIEOFF_X36Y56 NAME TIEOFF_X36Y56 SITEPROP TIEOFF_X36Y56 NUM_ARCS 0 SITEPROP TIEOFF_X36Y56 NUM_BELS 2 SITEPROP TIEOFF_X36Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y56 NUM_PINS 2 SITEPROP TIEOFF_X36Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y56 PROHIBIT 0 SITEPROP TIEOFF_X36Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y56 RPM_X 140 SITEPROP TIEOFF_X36Y56 RPM_Y 112 SITEPROP TIEOFF_X36Y56 SITE_PIPS SITEPROP TIEOFF_X36Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y57 CLASS site SITEPROP TIEOFF_X36Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y57 IS_BONDED 0 SITEPROP TIEOFF_X36Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y57 IS_PAD 0 SITEPROP TIEOFF_X36Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y57 IS_RESERVED 0 SITEPROP TIEOFF_X36Y57 IS_TEST 0 SITEPROP TIEOFF_X36Y57 IS_USED 0 SITEPROP TIEOFF_X36Y57 MANUAL_ROUTING SITEPROP TIEOFF_X36Y57 NAME TIEOFF_X36Y57 SITEPROP TIEOFF_X36Y57 NUM_ARCS 0 SITEPROP TIEOFF_X36Y57 NUM_BELS 2 SITEPROP TIEOFF_X36Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y57 NUM_PINS 2 SITEPROP TIEOFF_X36Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y57 PROHIBIT 0 SITEPROP TIEOFF_X36Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y57 RPM_X 140 SITEPROP TIEOFF_X36Y57 RPM_Y 114 SITEPROP TIEOFF_X36Y57 SITE_PIPS SITEPROP TIEOFF_X36Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y58 CLASS site SITEPROP TIEOFF_X36Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y58 IS_BONDED 0 SITEPROP TIEOFF_X36Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y58 IS_PAD 0 SITEPROP TIEOFF_X36Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y58 IS_RESERVED 0 SITEPROP TIEOFF_X36Y58 IS_TEST 0 SITEPROP TIEOFF_X36Y58 IS_USED 0 SITEPROP TIEOFF_X36Y58 MANUAL_ROUTING SITEPROP TIEOFF_X36Y58 NAME TIEOFF_X36Y58 SITEPROP TIEOFF_X36Y58 NUM_ARCS 0 SITEPROP TIEOFF_X36Y58 NUM_BELS 2 SITEPROP TIEOFF_X36Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y58 NUM_PINS 2 SITEPROP TIEOFF_X36Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y58 PROHIBIT 0 SITEPROP TIEOFF_X36Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y58 RPM_X 140 SITEPROP TIEOFF_X36Y58 RPM_Y 116 SITEPROP TIEOFF_X36Y58 SITE_PIPS SITEPROP TIEOFF_X36Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y59 CLASS site SITEPROP TIEOFF_X36Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y59 IS_BONDED 0 SITEPROP TIEOFF_X36Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y59 IS_PAD 0 SITEPROP TIEOFF_X36Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y59 IS_RESERVED 0 SITEPROP TIEOFF_X36Y59 IS_TEST 0 SITEPROP TIEOFF_X36Y59 IS_USED 0 SITEPROP TIEOFF_X36Y59 MANUAL_ROUTING SITEPROP TIEOFF_X36Y59 NAME TIEOFF_X36Y59 SITEPROP TIEOFF_X36Y59 NUM_ARCS 0 SITEPROP TIEOFF_X36Y59 NUM_BELS 2 SITEPROP TIEOFF_X36Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y59 NUM_PINS 2 SITEPROP TIEOFF_X36Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y59 PROHIBIT 0 SITEPROP TIEOFF_X36Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y59 RPM_X 140 SITEPROP TIEOFF_X36Y59 RPM_Y 118 SITEPROP TIEOFF_X36Y59 SITE_PIPS SITEPROP TIEOFF_X36Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y60 CLASS site SITEPROP TIEOFF_X36Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y60 IS_BONDED 0 SITEPROP TIEOFF_X36Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y60 IS_PAD 0 SITEPROP TIEOFF_X36Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y60 IS_RESERVED 0 SITEPROP TIEOFF_X36Y60 IS_TEST 0 SITEPROP TIEOFF_X36Y60 IS_USED 0 SITEPROP TIEOFF_X36Y60 MANUAL_ROUTING SITEPROP TIEOFF_X36Y60 NAME TIEOFF_X36Y60 SITEPROP TIEOFF_X36Y60 NUM_ARCS 0 SITEPROP TIEOFF_X36Y60 NUM_BELS 2 SITEPROP TIEOFF_X36Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y60 NUM_PINS 2 SITEPROP TIEOFF_X36Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y60 PROHIBIT 0 SITEPROP TIEOFF_X36Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y60 RPM_X 140 SITEPROP TIEOFF_X36Y60 RPM_Y 120 SITEPROP TIEOFF_X36Y60 SITE_PIPS SITEPROP TIEOFF_X36Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y61 CLASS site SITEPROP TIEOFF_X36Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y61 IS_BONDED 0 SITEPROP TIEOFF_X36Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y61 IS_PAD 0 SITEPROP TIEOFF_X36Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y61 IS_RESERVED 0 SITEPROP TIEOFF_X36Y61 IS_TEST 0 SITEPROP TIEOFF_X36Y61 IS_USED 0 SITEPROP TIEOFF_X36Y61 MANUAL_ROUTING SITEPROP TIEOFF_X36Y61 NAME TIEOFF_X36Y61 SITEPROP TIEOFF_X36Y61 NUM_ARCS 0 SITEPROP TIEOFF_X36Y61 NUM_BELS 2 SITEPROP TIEOFF_X36Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y61 NUM_PINS 2 SITEPROP TIEOFF_X36Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y61 PROHIBIT 0 SITEPROP TIEOFF_X36Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y61 RPM_X 140 SITEPROP TIEOFF_X36Y61 RPM_Y 122 SITEPROP TIEOFF_X36Y61 SITE_PIPS SITEPROP TIEOFF_X36Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y62 CLASS site SITEPROP TIEOFF_X36Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y62 IS_BONDED 0 SITEPROP TIEOFF_X36Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y62 IS_PAD 0 SITEPROP TIEOFF_X36Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y62 IS_RESERVED 0 SITEPROP TIEOFF_X36Y62 IS_TEST 0 SITEPROP TIEOFF_X36Y62 IS_USED 0 SITEPROP TIEOFF_X36Y62 MANUAL_ROUTING SITEPROP TIEOFF_X36Y62 NAME TIEOFF_X36Y62 SITEPROP TIEOFF_X36Y62 NUM_ARCS 0 SITEPROP TIEOFF_X36Y62 NUM_BELS 2 SITEPROP TIEOFF_X36Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y62 NUM_PINS 2 SITEPROP TIEOFF_X36Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y62 PROHIBIT 0 SITEPROP TIEOFF_X36Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y62 RPM_X 140 SITEPROP TIEOFF_X36Y62 RPM_Y 124 SITEPROP TIEOFF_X36Y62 SITE_PIPS SITEPROP TIEOFF_X36Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y63 CLASS site SITEPROP TIEOFF_X36Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y63 IS_BONDED 0 SITEPROP TIEOFF_X36Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y63 IS_PAD 0 SITEPROP TIEOFF_X36Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y63 IS_RESERVED 0 SITEPROP TIEOFF_X36Y63 IS_TEST 0 SITEPROP TIEOFF_X36Y63 IS_USED 0 SITEPROP TIEOFF_X36Y63 MANUAL_ROUTING SITEPROP TIEOFF_X36Y63 NAME TIEOFF_X36Y63 SITEPROP TIEOFF_X36Y63 NUM_ARCS 0 SITEPROP TIEOFF_X36Y63 NUM_BELS 2 SITEPROP TIEOFF_X36Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y63 NUM_PINS 2 SITEPROP TIEOFF_X36Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y63 PROHIBIT 0 SITEPROP TIEOFF_X36Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y63 RPM_X 140 SITEPROP TIEOFF_X36Y63 RPM_Y 126 SITEPROP TIEOFF_X36Y63 SITE_PIPS SITEPROP TIEOFF_X36Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y64 CLASS site SITEPROP TIEOFF_X36Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y64 IS_BONDED 0 SITEPROP TIEOFF_X36Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y64 IS_PAD 0 SITEPROP TIEOFF_X36Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y64 IS_RESERVED 0 SITEPROP TIEOFF_X36Y64 IS_TEST 0 SITEPROP TIEOFF_X36Y64 IS_USED 0 SITEPROP TIEOFF_X36Y64 MANUAL_ROUTING SITEPROP TIEOFF_X36Y64 NAME TIEOFF_X36Y64 SITEPROP TIEOFF_X36Y64 NUM_ARCS 0 SITEPROP TIEOFF_X36Y64 NUM_BELS 2 SITEPROP TIEOFF_X36Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y64 NUM_PINS 2 SITEPROP TIEOFF_X36Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y64 PROHIBIT 0 SITEPROP TIEOFF_X36Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y64 RPM_X 140 SITEPROP TIEOFF_X36Y64 RPM_Y 128 SITEPROP TIEOFF_X36Y64 SITE_PIPS SITEPROP TIEOFF_X36Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y65 CLASS site SITEPROP TIEOFF_X36Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y65 IS_BONDED 0 SITEPROP TIEOFF_X36Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y65 IS_PAD 0 SITEPROP TIEOFF_X36Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y65 IS_RESERVED 0 SITEPROP TIEOFF_X36Y65 IS_TEST 0 SITEPROP TIEOFF_X36Y65 IS_USED 0 SITEPROP TIEOFF_X36Y65 MANUAL_ROUTING SITEPROP TIEOFF_X36Y65 NAME TIEOFF_X36Y65 SITEPROP TIEOFF_X36Y65 NUM_ARCS 0 SITEPROP TIEOFF_X36Y65 NUM_BELS 2 SITEPROP TIEOFF_X36Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y65 NUM_PINS 2 SITEPROP TIEOFF_X36Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y65 PROHIBIT 0 SITEPROP TIEOFF_X36Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y65 RPM_X 140 SITEPROP TIEOFF_X36Y65 RPM_Y 130 SITEPROP TIEOFF_X36Y65 SITE_PIPS SITEPROP TIEOFF_X36Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y66 CLASS site SITEPROP TIEOFF_X36Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y66 IS_BONDED 0 SITEPROP TIEOFF_X36Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y66 IS_PAD 0 SITEPROP TIEOFF_X36Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y66 IS_RESERVED 0 SITEPROP TIEOFF_X36Y66 IS_TEST 0 SITEPROP TIEOFF_X36Y66 IS_USED 0 SITEPROP TIEOFF_X36Y66 MANUAL_ROUTING SITEPROP TIEOFF_X36Y66 NAME TIEOFF_X36Y66 SITEPROP TIEOFF_X36Y66 NUM_ARCS 0 SITEPROP TIEOFF_X36Y66 NUM_BELS 2 SITEPROP TIEOFF_X36Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y66 NUM_PINS 2 SITEPROP TIEOFF_X36Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y66 PROHIBIT 0 SITEPROP TIEOFF_X36Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y66 RPM_X 140 SITEPROP TIEOFF_X36Y66 RPM_Y 132 SITEPROP TIEOFF_X36Y66 SITE_PIPS SITEPROP TIEOFF_X36Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y67 CLASS site SITEPROP TIEOFF_X36Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y67 IS_BONDED 0 SITEPROP TIEOFF_X36Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y67 IS_PAD 0 SITEPROP TIEOFF_X36Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y67 IS_RESERVED 0 SITEPROP TIEOFF_X36Y67 IS_TEST 0 SITEPROP TIEOFF_X36Y67 IS_USED 0 SITEPROP TIEOFF_X36Y67 MANUAL_ROUTING SITEPROP TIEOFF_X36Y67 NAME TIEOFF_X36Y67 SITEPROP TIEOFF_X36Y67 NUM_ARCS 0 SITEPROP TIEOFF_X36Y67 NUM_BELS 2 SITEPROP TIEOFF_X36Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y67 NUM_PINS 2 SITEPROP TIEOFF_X36Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y67 PROHIBIT 0 SITEPROP TIEOFF_X36Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y67 RPM_X 140 SITEPROP TIEOFF_X36Y67 RPM_Y 134 SITEPROP TIEOFF_X36Y67 SITE_PIPS SITEPROP TIEOFF_X36Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y68 CLASS site SITEPROP TIEOFF_X36Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y68 IS_BONDED 0 SITEPROP TIEOFF_X36Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y68 IS_PAD 0 SITEPROP TIEOFF_X36Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y68 IS_RESERVED 0 SITEPROP TIEOFF_X36Y68 IS_TEST 0 SITEPROP TIEOFF_X36Y68 IS_USED 0 SITEPROP TIEOFF_X36Y68 MANUAL_ROUTING SITEPROP TIEOFF_X36Y68 NAME TIEOFF_X36Y68 SITEPROP TIEOFF_X36Y68 NUM_ARCS 0 SITEPROP TIEOFF_X36Y68 NUM_BELS 2 SITEPROP TIEOFF_X36Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y68 NUM_PINS 2 SITEPROP TIEOFF_X36Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y68 PROHIBIT 0 SITEPROP TIEOFF_X36Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y68 RPM_X 140 SITEPROP TIEOFF_X36Y68 RPM_Y 136 SITEPROP TIEOFF_X36Y68 SITE_PIPS SITEPROP TIEOFF_X36Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y69 CLASS site SITEPROP TIEOFF_X36Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y69 IS_BONDED 0 SITEPROP TIEOFF_X36Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y69 IS_PAD 0 SITEPROP TIEOFF_X36Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y69 IS_RESERVED 0 SITEPROP TIEOFF_X36Y69 IS_TEST 0 SITEPROP TIEOFF_X36Y69 IS_USED 0 SITEPROP TIEOFF_X36Y69 MANUAL_ROUTING SITEPROP TIEOFF_X36Y69 NAME TIEOFF_X36Y69 SITEPROP TIEOFF_X36Y69 NUM_ARCS 0 SITEPROP TIEOFF_X36Y69 NUM_BELS 2 SITEPROP TIEOFF_X36Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y69 NUM_PINS 2 SITEPROP TIEOFF_X36Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y69 PROHIBIT 0 SITEPROP TIEOFF_X36Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y69 RPM_X 140 SITEPROP TIEOFF_X36Y69 RPM_Y 138 SITEPROP TIEOFF_X36Y69 SITE_PIPS SITEPROP TIEOFF_X36Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y70 CLASS site SITEPROP TIEOFF_X36Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y70 IS_BONDED 0 SITEPROP TIEOFF_X36Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y70 IS_PAD 0 SITEPROP TIEOFF_X36Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y70 IS_RESERVED 0 SITEPROP TIEOFF_X36Y70 IS_TEST 0 SITEPROP TIEOFF_X36Y70 IS_USED 0 SITEPROP TIEOFF_X36Y70 MANUAL_ROUTING SITEPROP TIEOFF_X36Y70 NAME TIEOFF_X36Y70 SITEPROP TIEOFF_X36Y70 NUM_ARCS 0 SITEPROP TIEOFF_X36Y70 NUM_BELS 2 SITEPROP TIEOFF_X36Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y70 NUM_PINS 2 SITEPROP TIEOFF_X36Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y70 PROHIBIT 0 SITEPROP TIEOFF_X36Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y70 RPM_X 140 SITEPROP TIEOFF_X36Y70 RPM_Y 140 SITEPROP TIEOFF_X36Y70 SITE_PIPS SITEPROP TIEOFF_X36Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y71 CLASS site SITEPROP TIEOFF_X36Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y71 IS_BONDED 0 SITEPROP TIEOFF_X36Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y71 IS_PAD 0 SITEPROP TIEOFF_X36Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y71 IS_RESERVED 0 SITEPROP TIEOFF_X36Y71 IS_TEST 0 SITEPROP TIEOFF_X36Y71 IS_USED 0 SITEPROP TIEOFF_X36Y71 MANUAL_ROUTING SITEPROP TIEOFF_X36Y71 NAME TIEOFF_X36Y71 SITEPROP TIEOFF_X36Y71 NUM_ARCS 0 SITEPROP TIEOFF_X36Y71 NUM_BELS 2 SITEPROP TIEOFF_X36Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y71 NUM_PINS 2 SITEPROP TIEOFF_X36Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y71 PROHIBIT 0 SITEPROP TIEOFF_X36Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y71 RPM_X 140 SITEPROP TIEOFF_X36Y71 RPM_Y 142 SITEPROP TIEOFF_X36Y71 SITE_PIPS SITEPROP TIEOFF_X36Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y72 CLASS site SITEPROP TIEOFF_X36Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y72 IS_BONDED 0 SITEPROP TIEOFF_X36Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y72 IS_PAD 0 SITEPROP TIEOFF_X36Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y72 IS_RESERVED 0 SITEPROP TIEOFF_X36Y72 IS_TEST 0 SITEPROP TIEOFF_X36Y72 IS_USED 0 SITEPROP TIEOFF_X36Y72 MANUAL_ROUTING SITEPROP TIEOFF_X36Y72 NAME TIEOFF_X36Y72 SITEPROP TIEOFF_X36Y72 NUM_ARCS 0 SITEPROP TIEOFF_X36Y72 NUM_BELS 2 SITEPROP TIEOFF_X36Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y72 NUM_PINS 2 SITEPROP TIEOFF_X36Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y72 PROHIBIT 0 SITEPROP TIEOFF_X36Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y72 RPM_X 140 SITEPROP TIEOFF_X36Y72 RPM_Y 144 SITEPROP TIEOFF_X36Y72 SITE_PIPS SITEPROP TIEOFF_X36Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y73 CLASS site SITEPROP TIEOFF_X36Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y73 IS_BONDED 0 SITEPROP TIEOFF_X36Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y73 IS_PAD 0 SITEPROP TIEOFF_X36Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y73 IS_RESERVED 0 SITEPROP TIEOFF_X36Y73 IS_TEST 0 SITEPROP TIEOFF_X36Y73 IS_USED 0 SITEPROP TIEOFF_X36Y73 MANUAL_ROUTING SITEPROP TIEOFF_X36Y73 NAME TIEOFF_X36Y73 SITEPROP TIEOFF_X36Y73 NUM_ARCS 0 SITEPROP TIEOFF_X36Y73 NUM_BELS 2 SITEPROP TIEOFF_X36Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y73 NUM_PINS 2 SITEPROP TIEOFF_X36Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y73 PROHIBIT 0 SITEPROP TIEOFF_X36Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y73 RPM_X 140 SITEPROP TIEOFF_X36Y73 RPM_Y 146 SITEPROP TIEOFF_X36Y73 SITE_PIPS SITEPROP TIEOFF_X36Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y74 CLASS site SITEPROP TIEOFF_X36Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y74 IS_BONDED 0 SITEPROP TIEOFF_X36Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y74 IS_PAD 0 SITEPROP TIEOFF_X36Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y74 IS_RESERVED 0 SITEPROP TIEOFF_X36Y74 IS_TEST 0 SITEPROP TIEOFF_X36Y74 IS_USED 0 SITEPROP TIEOFF_X36Y74 MANUAL_ROUTING SITEPROP TIEOFF_X36Y74 NAME TIEOFF_X36Y74 SITEPROP TIEOFF_X36Y74 NUM_ARCS 0 SITEPROP TIEOFF_X36Y74 NUM_BELS 2 SITEPROP TIEOFF_X36Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y74 NUM_PINS 2 SITEPROP TIEOFF_X36Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y74 PROHIBIT 0 SITEPROP TIEOFF_X36Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y74 RPM_X 140 SITEPROP TIEOFF_X36Y74 RPM_Y 148 SITEPROP TIEOFF_X36Y74 SITE_PIPS SITEPROP TIEOFF_X36Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y75 CLASS site SITEPROP TIEOFF_X36Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y75 IS_BONDED 0 SITEPROP TIEOFF_X36Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y75 IS_PAD 0 SITEPROP TIEOFF_X36Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y75 IS_RESERVED 0 SITEPROP TIEOFF_X36Y75 IS_TEST 0 SITEPROP TIEOFF_X36Y75 IS_USED 0 SITEPROP TIEOFF_X36Y75 MANUAL_ROUTING SITEPROP TIEOFF_X36Y75 NAME TIEOFF_X36Y75 SITEPROP TIEOFF_X36Y75 NUM_ARCS 0 SITEPROP TIEOFF_X36Y75 NUM_BELS 2 SITEPROP TIEOFF_X36Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y75 NUM_PINS 2 SITEPROP TIEOFF_X36Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y75 PROHIBIT 0 SITEPROP TIEOFF_X36Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y75 RPM_X 140 SITEPROP TIEOFF_X36Y75 RPM_Y 150 SITEPROP TIEOFF_X36Y75 SITE_PIPS SITEPROP TIEOFF_X36Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y76 CLASS site SITEPROP TIEOFF_X36Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y76 IS_BONDED 0 SITEPROP TIEOFF_X36Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y76 IS_PAD 0 SITEPROP TIEOFF_X36Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y76 IS_RESERVED 0 SITEPROP TIEOFF_X36Y76 IS_TEST 0 SITEPROP TIEOFF_X36Y76 IS_USED 0 SITEPROP TIEOFF_X36Y76 MANUAL_ROUTING SITEPROP TIEOFF_X36Y76 NAME TIEOFF_X36Y76 SITEPROP TIEOFF_X36Y76 NUM_ARCS 0 SITEPROP TIEOFF_X36Y76 NUM_BELS 2 SITEPROP TIEOFF_X36Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y76 NUM_PINS 2 SITEPROP TIEOFF_X36Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y76 PROHIBIT 0 SITEPROP TIEOFF_X36Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y76 RPM_X 140 SITEPROP TIEOFF_X36Y76 RPM_Y 152 SITEPROP TIEOFF_X36Y76 SITE_PIPS SITEPROP TIEOFF_X36Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y77 CLASS site SITEPROP TIEOFF_X36Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y77 IS_BONDED 0 SITEPROP TIEOFF_X36Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y77 IS_PAD 0 SITEPROP TIEOFF_X36Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y77 IS_RESERVED 0 SITEPROP TIEOFF_X36Y77 IS_TEST 0 SITEPROP TIEOFF_X36Y77 IS_USED 0 SITEPROP TIEOFF_X36Y77 MANUAL_ROUTING SITEPROP TIEOFF_X36Y77 NAME TIEOFF_X36Y77 SITEPROP TIEOFF_X36Y77 NUM_ARCS 0 SITEPROP TIEOFF_X36Y77 NUM_BELS 2 SITEPROP TIEOFF_X36Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y77 NUM_PINS 2 SITEPROP TIEOFF_X36Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y77 PROHIBIT 0 SITEPROP TIEOFF_X36Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y77 RPM_X 140 SITEPROP TIEOFF_X36Y77 RPM_Y 154 SITEPROP TIEOFF_X36Y77 SITE_PIPS SITEPROP TIEOFF_X36Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y78 CLASS site SITEPROP TIEOFF_X36Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y78 IS_BONDED 0 SITEPROP TIEOFF_X36Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y78 IS_PAD 0 SITEPROP TIEOFF_X36Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y78 IS_RESERVED 0 SITEPROP TIEOFF_X36Y78 IS_TEST 0 SITEPROP TIEOFF_X36Y78 IS_USED 0 SITEPROP TIEOFF_X36Y78 MANUAL_ROUTING SITEPROP TIEOFF_X36Y78 NAME TIEOFF_X36Y78 SITEPROP TIEOFF_X36Y78 NUM_ARCS 0 SITEPROP TIEOFF_X36Y78 NUM_BELS 2 SITEPROP TIEOFF_X36Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y78 NUM_PINS 2 SITEPROP TIEOFF_X36Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y78 PROHIBIT 0 SITEPROP TIEOFF_X36Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y78 RPM_X 140 SITEPROP TIEOFF_X36Y78 RPM_Y 156 SITEPROP TIEOFF_X36Y78 SITE_PIPS SITEPROP TIEOFF_X36Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y79 CLASS site SITEPROP TIEOFF_X36Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y79 IS_BONDED 0 SITEPROP TIEOFF_X36Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y79 IS_PAD 0 SITEPROP TIEOFF_X36Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y79 IS_RESERVED 0 SITEPROP TIEOFF_X36Y79 IS_TEST 0 SITEPROP TIEOFF_X36Y79 IS_USED 0 SITEPROP TIEOFF_X36Y79 MANUAL_ROUTING SITEPROP TIEOFF_X36Y79 NAME TIEOFF_X36Y79 SITEPROP TIEOFF_X36Y79 NUM_ARCS 0 SITEPROP TIEOFF_X36Y79 NUM_BELS 2 SITEPROP TIEOFF_X36Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y79 NUM_PINS 2 SITEPROP TIEOFF_X36Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y79 PROHIBIT 0 SITEPROP TIEOFF_X36Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y79 RPM_X 140 SITEPROP TIEOFF_X36Y79 RPM_Y 158 SITEPROP TIEOFF_X36Y79 SITE_PIPS SITEPROP TIEOFF_X36Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y80 CLASS site SITEPROP TIEOFF_X36Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y80 IS_BONDED 0 SITEPROP TIEOFF_X36Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y80 IS_PAD 0 SITEPROP TIEOFF_X36Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y80 IS_RESERVED 0 SITEPROP TIEOFF_X36Y80 IS_TEST 0 SITEPROP TIEOFF_X36Y80 IS_USED 0 SITEPROP TIEOFF_X36Y80 MANUAL_ROUTING SITEPROP TIEOFF_X36Y80 NAME TIEOFF_X36Y80 SITEPROP TIEOFF_X36Y80 NUM_ARCS 0 SITEPROP TIEOFF_X36Y80 NUM_BELS 2 SITEPROP TIEOFF_X36Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y80 NUM_PINS 2 SITEPROP TIEOFF_X36Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y80 PROHIBIT 0 SITEPROP TIEOFF_X36Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y80 RPM_X 140 SITEPROP TIEOFF_X36Y80 RPM_Y 160 SITEPROP TIEOFF_X36Y80 SITE_PIPS SITEPROP TIEOFF_X36Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y81 CLASS site SITEPROP TIEOFF_X36Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y81 IS_BONDED 0 SITEPROP TIEOFF_X36Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y81 IS_PAD 0 SITEPROP TIEOFF_X36Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y81 IS_RESERVED 0 SITEPROP TIEOFF_X36Y81 IS_TEST 0 SITEPROP TIEOFF_X36Y81 IS_USED 0 SITEPROP TIEOFF_X36Y81 MANUAL_ROUTING SITEPROP TIEOFF_X36Y81 NAME TIEOFF_X36Y81 SITEPROP TIEOFF_X36Y81 NUM_ARCS 0 SITEPROP TIEOFF_X36Y81 NUM_BELS 2 SITEPROP TIEOFF_X36Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y81 NUM_PINS 2 SITEPROP TIEOFF_X36Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y81 PROHIBIT 0 SITEPROP TIEOFF_X36Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y81 RPM_X 140 SITEPROP TIEOFF_X36Y81 RPM_Y 162 SITEPROP TIEOFF_X36Y81 SITE_PIPS SITEPROP TIEOFF_X36Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y82 CLASS site SITEPROP TIEOFF_X36Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y82 IS_BONDED 0 SITEPROP TIEOFF_X36Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y82 IS_PAD 0 SITEPROP TIEOFF_X36Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y82 IS_RESERVED 0 SITEPROP TIEOFF_X36Y82 IS_TEST 0 SITEPROP TIEOFF_X36Y82 IS_USED 0 SITEPROP TIEOFF_X36Y82 MANUAL_ROUTING SITEPROP TIEOFF_X36Y82 NAME TIEOFF_X36Y82 SITEPROP TIEOFF_X36Y82 NUM_ARCS 0 SITEPROP TIEOFF_X36Y82 NUM_BELS 2 SITEPROP TIEOFF_X36Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y82 NUM_PINS 2 SITEPROP TIEOFF_X36Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y82 PROHIBIT 0 SITEPROP TIEOFF_X36Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y82 RPM_X 140 SITEPROP TIEOFF_X36Y82 RPM_Y 164 SITEPROP TIEOFF_X36Y82 SITE_PIPS SITEPROP TIEOFF_X36Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y83 CLASS site SITEPROP TIEOFF_X36Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y83 IS_BONDED 0 SITEPROP TIEOFF_X36Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y83 IS_PAD 0 SITEPROP TIEOFF_X36Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y83 IS_RESERVED 0 SITEPROP TIEOFF_X36Y83 IS_TEST 0 SITEPROP TIEOFF_X36Y83 IS_USED 0 SITEPROP TIEOFF_X36Y83 MANUAL_ROUTING SITEPROP TIEOFF_X36Y83 NAME TIEOFF_X36Y83 SITEPROP TIEOFF_X36Y83 NUM_ARCS 0 SITEPROP TIEOFF_X36Y83 NUM_BELS 2 SITEPROP TIEOFF_X36Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y83 NUM_PINS 2 SITEPROP TIEOFF_X36Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y83 PROHIBIT 0 SITEPROP TIEOFF_X36Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y83 RPM_X 140 SITEPROP TIEOFF_X36Y83 RPM_Y 166 SITEPROP TIEOFF_X36Y83 SITE_PIPS SITEPROP TIEOFF_X36Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y84 CLASS site SITEPROP TIEOFF_X36Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y84 IS_BONDED 0 SITEPROP TIEOFF_X36Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y84 IS_PAD 0 SITEPROP TIEOFF_X36Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y84 IS_RESERVED 0 SITEPROP TIEOFF_X36Y84 IS_TEST 0 SITEPROP TIEOFF_X36Y84 IS_USED 0 SITEPROP TIEOFF_X36Y84 MANUAL_ROUTING SITEPROP TIEOFF_X36Y84 NAME TIEOFF_X36Y84 SITEPROP TIEOFF_X36Y84 NUM_ARCS 0 SITEPROP TIEOFF_X36Y84 NUM_BELS 2 SITEPROP TIEOFF_X36Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y84 NUM_PINS 2 SITEPROP TIEOFF_X36Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y84 PROHIBIT 0 SITEPROP TIEOFF_X36Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y84 RPM_X 140 SITEPROP TIEOFF_X36Y84 RPM_Y 168 SITEPROP TIEOFF_X36Y84 SITE_PIPS SITEPROP TIEOFF_X36Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y85 CLASS site SITEPROP TIEOFF_X36Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y85 IS_BONDED 0 SITEPROP TIEOFF_X36Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y85 IS_PAD 0 SITEPROP TIEOFF_X36Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y85 IS_RESERVED 0 SITEPROP TIEOFF_X36Y85 IS_TEST 0 SITEPROP TIEOFF_X36Y85 IS_USED 0 SITEPROP TIEOFF_X36Y85 MANUAL_ROUTING SITEPROP TIEOFF_X36Y85 NAME TIEOFF_X36Y85 SITEPROP TIEOFF_X36Y85 NUM_ARCS 0 SITEPROP TIEOFF_X36Y85 NUM_BELS 2 SITEPROP TIEOFF_X36Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y85 NUM_PINS 2 SITEPROP TIEOFF_X36Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y85 PROHIBIT 0 SITEPROP TIEOFF_X36Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y85 RPM_X 140 SITEPROP TIEOFF_X36Y85 RPM_Y 170 SITEPROP TIEOFF_X36Y85 SITE_PIPS SITEPROP TIEOFF_X36Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y86 CLASS site SITEPROP TIEOFF_X36Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y86 IS_BONDED 0 SITEPROP TIEOFF_X36Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y86 IS_PAD 0 SITEPROP TIEOFF_X36Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y86 IS_RESERVED 0 SITEPROP TIEOFF_X36Y86 IS_TEST 0 SITEPROP TIEOFF_X36Y86 IS_USED 0 SITEPROP TIEOFF_X36Y86 MANUAL_ROUTING SITEPROP TIEOFF_X36Y86 NAME TIEOFF_X36Y86 SITEPROP TIEOFF_X36Y86 NUM_ARCS 0 SITEPROP TIEOFF_X36Y86 NUM_BELS 2 SITEPROP TIEOFF_X36Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y86 NUM_PINS 2 SITEPROP TIEOFF_X36Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y86 PROHIBIT 0 SITEPROP TIEOFF_X36Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y86 RPM_X 140 SITEPROP TIEOFF_X36Y86 RPM_Y 172 SITEPROP TIEOFF_X36Y86 SITE_PIPS SITEPROP TIEOFF_X36Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y87 CLASS site SITEPROP TIEOFF_X36Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y87 IS_BONDED 0 SITEPROP TIEOFF_X36Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y87 IS_PAD 0 SITEPROP TIEOFF_X36Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y87 IS_RESERVED 0 SITEPROP TIEOFF_X36Y87 IS_TEST 0 SITEPROP TIEOFF_X36Y87 IS_USED 0 SITEPROP TIEOFF_X36Y87 MANUAL_ROUTING SITEPROP TIEOFF_X36Y87 NAME TIEOFF_X36Y87 SITEPROP TIEOFF_X36Y87 NUM_ARCS 0 SITEPROP TIEOFF_X36Y87 NUM_BELS 2 SITEPROP TIEOFF_X36Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y87 NUM_PINS 2 SITEPROP TIEOFF_X36Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y87 PROHIBIT 0 SITEPROP TIEOFF_X36Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y87 RPM_X 140 SITEPROP TIEOFF_X36Y87 RPM_Y 174 SITEPROP TIEOFF_X36Y87 SITE_PIPS SITEPROP TIEOFF_X36Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y88 CLASS site SITEPROP TIEOFF_X36Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y88 IS_BONDED 0 SITEPROP TIEOFF_X36Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y88 IS_PAD 0 SITEPROP TIEOFF_X36Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y88 IS_RESERVED 0 SITEPROP TIEOFF_X36Y88 IS_TEST 0 SITEPROP TIEOFF_X36Y88 IS_USED 0 SITEPROP TIEOFF_X36Y88 MANUAL_ROUTING SITEPROP TIEOFF_X36Y88 NAME TIEOFF_X36Y88 SITEPROP TIEOFF_X36Y88 NUM_ARCS 0 SITEPROP TIEOFF_X36Y88 NUM_BELS 2 SITEPROP TIEOFF_X36Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y88 NUM_PINS 2 SITEPROP TIEOFF_X36Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y88 PROHIBIT 0 SITEPROP TIEOFF_X36Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y88 RPM_X 140 SITEPROP TIEOFF_X36Y88 RPM_Y 176 SITEPROP TIEOFF_X36Y88 SITE_PIPS SITEPROP TIEOFF_X36Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y89 CLASS site SITEPROP TIEOFF_X36Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y89 IS_BONDED 0 SITEPROP TIEOFF_X36Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y89 IS_PAD 0 SITEPROP TIEOFF_X36Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y89 IS_RESERVED 0 SITEPROP TIEOFF_X36Y89 IS_TEST 0 SITEPROP TIEOFF_X36Y89 IS_USED 0 SITEPROP TIEOFF_X36Y89 MANUAL_ROUTING SITEPROP TIEOFF_X36Y89 NAME TIEOFF_X36Y89 SITEPROP TIEOFF_X36Y89 NUM_ARCS 0 SITEPROP TIEOFF_X36Y89 NUM_BELS 2 SITEPROP TIEOFF_X36Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y89 NUM_PINS 2 SITEPROP TIEOFF_X36Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y89 PROHIBIT 0 SITEPROP TIEOFF_X36Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y89 RPM_X 140 SITEPROP TIEOFF_X36Y89 RPM_Y 178 SITEPROP TIEOFF_X36Y89 SITE_PIPS SITEPROP TIEOFF_X36Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y90 CLASS site SITEPROP TIEOFF_X36Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y90 IS_BONDED 0 SITEPROP TIEOFF_X36Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y90 IS_PAD 0 SITEPROP TIEOFF_X36Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y90 IS_RESERVED 0 SITEPROP TIEOFF_X36Y90 IS_TEST 0 SITEPROP TIEOFF_X36Y90 IS_USED 0 SITEPROP TIEOFF_X36Y90 MANUAL_ROUTING SITEPROP TIEOFF_X36Y90 NAME TIEOFF_X36Y90 SITEPROP TIEOFF_X36Y90 NUM_ARCS 0 SITEPROP TIEOFF_X36Y90 NUM_BELS 2 SITEPROP TIEOFF_X36Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y90 NUM_PINS 2 SITEPROP TIEOFF_X36Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y90 PROHIBIT 0 SITEPROP TIEOFF_X36Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y90 RPM_X 140 SITEPROP TIEOFF_X36Y90 RPM_Y 180 SITEPROP TIEOFF_X36Y90 SITE_PIPS SITEPROP TIEOFF_X36Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y91 CLASS site SITEPROP TIEOFF_X36Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y91 IS_BONDED 0 SITEPROP TIEOFF_X36Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y91 IS_PAD 0 SITEPROP TIEOFF_X36Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y91 IS_RESERVED 0 SITEPROP TIEOFF_X36Y91 IS_TEST 0 SITEPROP TIEOFF_X36Y91 IS_USED 0 SITEPROP TIEOFF_X36Y91 MANUAL_ROUTING SITEPROP TIEOFF_X36Y91 NAME TIEOFF_X36Y91 SITEPROP TIEOFF_X36Y91 NUM_ARCS 0 SITEPROP TIEOFF_X36Y91 NUM_BELS 2 SITEPROP TIEOFF_X36Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y91 NUM_PINS 2 SITEPROP TIEOFF_X36Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y91 PROHIBIT 0 SITEPROP TIEOFF_X36Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y91 RPM_X 140 SITEPROP TIEOFF_X36Y91 RPM_Y 182 SITEPROP TIEOFF_X36Y91 SITE_PIPS SITEPROP TIEOFF_X36Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y92 CLASS site SITEPROP TIEOFF_X36Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y92 IS_BONDED 0 SITEPROP TIEOFF_X36Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y92 IS_PAD 0 SITEPROP TIEOFF_X36Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y92 IS_RESERVED 0 SITEPROP TIEOFF_X36Y92 IS_TEST 0 SITEPROP TIEOFF_X36Y92 IS_USED 0 SITEPROP TIEOFF_X36Y92 MANUAL_ROUTING SITEPROP TIEOFF_X36Y92 NAME TIEOFF_X36Y92 SITEPROP TIEOFF_X36Y92 NUM_ARCS 0 SITEPROP TIEOFF_X36Y92 NUM_BELS 2 SITEPROP TIEOFF_X36Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y92 NUM_PINS 2 SITEPROP TIEOFF_X36Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y92 PROHIBIT 0 SITEPROP TIEOFF_X36Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y92 RPM_X 140 SITEPROP TIEOFF_X36Y92 RPM_Y 184 SITEPROP TIEOFF_X36Y92 SITE_PIPS SITEPROP TIEOFF_X36Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y93 CLASS site SITEPROP TIEOFF_X36Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y93 IS_BONDED 0 SITEPROP TIEOFF_X36Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y93 IS_PAD 0 SITEPROP TIEOFF_X36Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y93 IS_RESERVED 0 SITEPROP TIEOFF_X36Y93 IS_TEST 0 SITEPROP TIEOFF_X36Y93 IS_USED 0 SITEPROP TIEOFF_X36Y93 MANUAL_ROUTING SITEPROP TIEOFF_X36Y93 NAME TIEOFF_X36Y93 SITEPROP TIEOFF_X36Y93 NUM_ARCS 0 SITEPROP TIEOFF_X36Y93 NUM_BELS 2 SITEPROP TIEOFF_X36Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y93 NUM_PINS 2 SITEPROP TIEOFF_X36Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y93 PROHIBIT 0 SITEPROP TIEOFF_X36Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y93 RPM_X 140 SITEPROP TIEOFF_X36Y93 RPM_Y 186 SITEPROP TIEOFF_X36Y93 SITE_PIPS SITEPROP TIEOFF_X36Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y94 CLASS site SITEPROP TIEOFF_X36Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y94 IS_BONDED 0 SITEPROP TIEOFF_X36Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y94 IS_PAD 0 SITEPROP TIEOFF_X36Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y94 IS_RESERVED 0 SITEPROP TIEOFF_X36Y94 IS_TEST 0 SITEPROP TIEOFF_X36Y94 IS_USED 0 SITEPROP TIEOFF_X36Y94 MANUAL_ROUTING SITEPROP TIEOFF_X36Y94 NAME TIEOFF_X36Y94 SITEPROP TIEOFF_X36Y94 NUM_ARCS 0 SITEPROP TIEOFF_X36Y94 NUM_BELS 2 SITEPROP TIEOFF_X36Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y94 NUM_PINS 2 SITEPROP TIEOFF_X36Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y94 PROHIBIT 0 SITEPROP TIEOFF_X36Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y94 RPM_X 140 SITEPROP TIEOFF_X36Y94 RPM_Y 188 SITEPROP TIEOFF_X36Y94 SITE_PIPS SITEPROP TIEOFF_X36Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y95 CLASS site SITEPROP TIEOFF_X36Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y95 IS_BONDED 0 SITEPROP TIEOFF_X36Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y95 IS_PAD 0 SITEPROP TIEOFF_X36Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y95 IS_RESERVED 0 SITEPROP TIEOFF_X36Y95 IS_TEST 0 SITEPROP TIEOFF_X36Y95 IS_USED 0 SITEPROP TIEOFF_X36Y95 MANUAL_ROUTING SITEPROP TIEOFF_X36Y95 NAME TIEOFF_X36Y95 SITEPROP TIEOFF_X36Y95 NUM_ARCS 0 SITEPROP TIEOFF_X36Y95 NUM_BELS 2 SITEPROP TIEOFF_X36Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y95 NUM_PINS 2 SITEPROP TIEOFF_X36Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y95 PROHIBIT 0 SITEPROP TIEOFF_X36Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y95 RPM_X 140 SITEPROP TIEOFF_X36Y95 RPM_Y 190 SITEPROP TIEOFF_X36Y95 SITE_PIPS SITEPROP TIEOFF_X36Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y96 CLASS site SITEPROP TIEOFF_X36Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y96 IS_BONDED 0 SITEPROP TIEOFF_X36Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y96 IS_PAD 0 SITEPROP TIEOFF_X36Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y96 IS_RESERVED 0 SITEPROP TIEOFF_X36Y96 IS_TEST 0 SITEPROP TIEOFF_X36Y96 IS_USED 0 SITEPROP TIEOFF_X36Y96 MANUAL_ROUTING SITEPROP TIEOFF_X36Y96 NAME TIEOFF_X36Y96 SITEPROP TIEOFF_X36Y96 NUM_ARCS 0 SITEPROP TIEOFF_X36Y96 NUM_BELS 2 SITEPROP TIEOFF_X36Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y96 NUM_PINS 2 SITEPROP TIEOFF_X36Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y96 PROHIBIT 0 SITEPROP TIEOFF_X36Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y96 RPM_X 140 SITEPROP TIEOFF_X36Y96 RPM_Y 192 SITEPROP TIEOFF_X36Y96 SITE_PIPS SITEPROP TIEOFF_X36Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y97 CLASS site SITEPROP TIEOFF_X36Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y97 IS_BONDED 0 SITEPROP TIEOFF_X36Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y97 IS_PAD 0 SITEPROP TIEOFF_X36Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y97 IS_RESERVED 0 SITEPROP TIEOFF_X36Y97 IS_TEST 0 SITEPROP TIEOFF_X36Y97 IS_USED 0 SITEPROP TIEOFF_X36Y97 MANUAL_ROUTING SITEPROP TIEOFF_X36Y97 NAME TIEOFF_X36Y97 SITEPROP TIEOFF_X36Y97 NUM_ARCS 0 SITEPROP TIEOFF_X36Y97 NUM_BELS 2 SITEPROP TIEOFF_X36Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y97 NUM_PINS 2 SITEPROP TIEOFF_X36Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y97 PROHIBIT 0 SITEPROP TIEOFF_X36Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y97 RPM_X 140 SITEPROP TIEOFF_X36Y97 RPM_Y 194 SITEPROP TIEOFF_X36Y97 SITE_PIPS SITEPROP TIEOFF_X36Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y98 CLASS site SITEPROP TIEOFF_X36Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y98 IS_BONDED 0 SITEPROP TIEOFF_X36Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y98 IS_PAD 0 SITEPROP TIEOFF_X36Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y98 IS_RESERVED 0 SITEPROP TIEOFF_X36Y98 IS_TEST 0 SITEPROP TIEOFF_X36Y98 IS_USED 0 SITEPROP TIEOFF_X36Y98 MANUAL_ROUTING SITEPROP TIEOFF_X36Y98 NAME TIEOFF_X36Y98 SITEPROP TIEOFF_X36Y98 NUM_ARCS 0 SITEPROP TIEOFF_X36Y98 NUM_BELS 2 SITEPROP TIEOFF_X36Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y98 NUM_PINS 2 SITEPROP TIEOFF_X36Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y98 PROHIBIT 0 SITEPROP TIEOFF_X36Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y98 RPM_X 140 SITEPROP TIEOFF_X36Y98 RPM_Y 196 SITEPROP TIEOFF_X36Y98 SITE_PIPS SITEPROP TIEOFF_X36Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y99 CLASS site SITEPROP TIEOFF_X36Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X36Y99 IS_BONDED 0 SITEPROP TIEOFF_X36Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y99 IS_PAD 0 SITEPROP TIEOFF_X36Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y99 IS_RESERVED 0 SITEPROP TIEOFF_X36Y99 IS_TEST 0 SITEPROP TIEOFF_X36Y99 IS_USED 0 SITEPROP TIEOFF_X36Y99 MANUAL_ROUTING SITEPROP TIEOFF_X36Y99 NAME TIEOFF_X36Y99 SITEPROP TIEOFF_X36Y99 NUM_ARCS 0 SITEPROP TIEOFF_X36Y99 NUM_BELS 2 SITEPROP TIEOFF_X36Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y99 NUM_PINS 2 SITEPROP TIEOFF_X36Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y99 PROHIBIT 0 SITEPROP TIEOFF_X36Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y99 RPM_X 140 SITEPROP TIEOFF_X36Y99 RPM_Y 198 SITEPROP TIEOFF_X36Y99 SITE_PIPS SITEPROP TIEOFF_X36Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y100 CLASS site SITEPROP TIEOFF_X36Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y100 IS_BONDED 0 SITEPROP TIEOFF_X36Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y100 IS_PAD 0 SITEPROP TIEOFF_X36Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y100 IS_RESERVED 0 SITEPROP TIEOFF_X36Y100 IS_TEST 0 SITEPROP TIEOFF_X36Y100 IS_USED 0 SITEPROP TIEOFF_X36Y100 MANUAL_ROUTING SITEPROP TIEOFF_X36Y100 NAME TIEOFF_X36Y100 SITEPROP TIEOFF_X36Y100 NUM_ARCS 0 SITEPROP TIEOFF_X36Y100 NUM_BELS 2 SITEPROP TIEOFF_X36Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y100 NUM_PINS 2 SITEPROP TIEOFF_X36Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y100 PROHIBIT 0 SITEPROP TIEOFF_X36Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y100 RPM_X 140 SITEPROP TIEOFF_X36Y100 RPM_Y 200 SITEPROP TIEOFF_X36Y100 SITE_PIPS SITEPROP TIEOFF_X36Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y101 CLASS site SITEPROP TIEOFF_X36Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y101 IS_BONDED 0 SITEPROP TIEOFF_X36Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y101 IS_PAD 0 SITEPROP TIEOFF_X36Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y101 IS_RESERVED 0 SITEPROP TIEOFF_X36Y101 IS_TEST 0 SITEPROP TIEOFF_X36Y101 IS_USED 0 SITEPROP TIEOFF_X36Y101 MANUAL_ROUTING SITEPROP TIEOFF_X36Y101 NAME TIEOFF_X36Y101 SITEPROP TIEOFF_X36Y101 NUM_ARCS 0 SITEPROP TIEOFF_X36Y101 NUM_BELS 2 SITEPROP TIEOFF_X36Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y101 NUM_PINS 2 SITEPROP TIEOFF_X36Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y101 PROHIBIT 0 SITEPROP TIEOFF_X36Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y101 RPM_X 140 SITEPROP TIEOFF_X36Y101 RPM_Y 202 SITEPROP TIEOFF_X36Y101 SITE_PIPS SITEPROP TIEOFF_X36Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y102 CLASS site SITEPROP TIEOFF_X36Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y102 IS_BONDED 0 SITEPROP TIEOFF_X36Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y102 IS_PAD 0 SITEPROP TIEOFF_X36Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y102 IS_RESERVED 0 SITEPROP TIEOFF_X36Y102 IS_TEST 0 SITEPROP TIEOFF_X36Y102 IS_USED 0 SITEPROP TIEOFF_X36Y102 MANUAL_ROUTING SITEPROP TIEOFF_X36Y102 NAME TIEOFF_X36Y102 SITEPROP TIEOFF_X36Y102 NUM_ARCS 0 SITEPROP TIEOFF_X36Y102 NUM_BELS 2 SITEPROP TIEOFF_X36Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y102 NUM_PINS 2 SITEPROP TIEOFF_X36Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y102 PROHIBIT 0 SITEPROP TIEOFF_X36Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y102 RPM_X 140 SITEPROP TIEOFF_X36Y102 RPM_Y 204 SITEPROP TIEOFF_X36Y102 SITE_PIPS SITEPROP TIEOFF_X36Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y103 CLASS site SITEPROP TIEOFF_X36Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y103 IS_BONDED 0 SITEPROP TIEOFF_X36Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y103 IS_PAD 0 SITEPROP TIEOFF_X36Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y103 IS_RESERVED 0 SITEPROP TIEOFF_X36Y103 IS_TEST 0 SITEPROP TIEOFF_X36Y103 IS_USED 0 SITEPROP TIEOFF_X36Y103 MANUAL_ROUTING SITEPROP TIEOFF_X36Y103 NAME TIEOFF_X36Y103 SITEPROP TIEOFF_X36Y103 NUM_ARCS 0 SITEPROP TIEOFF_X36Y103 NUM_BELS 2 SITEPROP TIEOFF_X36Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y103 NUM_PINS 2 SITEPROP TIEOFF_X36Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y103 PROHIBIT 0 SITEPROP TIEOFF_X36Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y103 RPM_X 140 SITEPROP TIEOFF_X36Y103 RPM_Y 206 SITEPROP TIEOFF_X36Y103 SITE_PIPS SITEPROP TIEOFF_X36Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y104 CLASS site SITEPROP TIEOFF_X36Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y104 IS_BONDED 0 SITEPROP TIEOFF_X36Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y104 IS_PAD 0 SITEPROP TIEOFF_X36Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y104 IS_RESERVED 0 SITEPROP TIEOFF_X36Y104 IS_TEST 0 SITEPROP TIEOFF_X36Y104 IS_USED 0 SITEPROP TIEOFF_X36Y104 MANUAL_ROUTING SITEPROP TIEOFF_X36Y104 NAME TIEOFF_X36Y104 SITEPROP TIEOFF_X36Y104 NUM_ARCS 0 SITEPROP TIEOFF_X36Y104 NUM_BELS 2 SITEPROP TIEOFF_X36Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y104 NUM_PINS 2 SITEPROP TIEOFF_X36Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y104 PROHIBIT 0 SITEPROP TIEOFF_X36Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y104 RPM_X 140 SITEPROP TIEOFF_X36Y104 RPM_Y 208 SITEPROP TIEOFF_X36Y104 SITE_PIPS SITEPROP TIEOFF_X36Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y105 CLASS site SITEPROP TIEOFF_X36Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y105 IS_BONDED 0 SITEPROP TIEOFF_X36Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y105 IS_PAD 0 SITEPROP TIEOFF_X36Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y105 IS_RESERVED 0 SITEPROP TIEOFF_X36Y105 IS_TEST 0 SITEPROP TIEOFF_X36Y105 IS_USED 0 SITEPROP TIEOFF_X36Y105 MANUAL_ROUTING SITEPROP TIEOFF_X36Y105 NAME TIEOFF_X36Y105 SITEPROP TIEOFF_X36Y105 NUM_ARCS 0 SITEPROP TIEOFF_X36Y105 NUM_BELS 2 SITEPROP TIEOFF_X36Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y105 NUM_PINS 2 SITEPROP TIEOFF_X36Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y105 PROHIBIT 0 SITEPROP TIEOFF_X36Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y105 RPM_X 140 SITEPROP TIEOFF_X36Y105 RPM_Y 210 SITEPROP TIEOFF_X36Y105 SITE_PIPS SITEPROP TIEOFF_X36Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y106 CLASS site SITEPROP TIEOFF_X36Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y106 IS_BONDED 0 SITEPROP TIEOFF_X36Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y106 IS_PAD 0 SITEPROP TIEOFF_X36Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y106 IS_RESERVED 0 SITEPROP TIEOFF_X36Y106 IS_TEST 0 SITEPROP TIEOFF_X36Y106 IS_USED 0 SITEPROP TIEOFF_X36Y106 MANUAL_ROUTING SITEPROP TIEOFF_X36Y106 NAME TIEOFF_X36Y106 SITEPROP TIEOFF_X36Y106 NUM_ARCS 0 SITEPROP TIEOFF_X36Y106 NUM_BELS 2 SITEPROP TIEOFF_X36Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y106 NUM_PINS 2 SITEPROP TIEOFF_X36Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y106 PROHIBIT 0 SITEPROP TIEOFF_X36Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y106 RPM_X 140 SITEPROP TIEOFF_X36Y106 RPM_Y 212 SITEPROP TIEOFF_X36Y106 SITE_PIPS SITEPROP TIEOFF_X36Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y107 CLASS site SITEPROP TIEOFF_X36Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y107 IS_BONDED 0 SITEPROP TIEOFF_X36Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y107 IS_PAD 0 SITEPROP TIEOFF_X36Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y107 IS_RESERVED 0 SITEPROP TIEOFF_X36Y107 IS_TEST 0 SITEPROP TIEOFF_X36Y107 IS_USED 0 SITEPROP TIEOFF_X36Y107 MANUAL_ROUTING SITEPROP TIEOFF_X36Y107 NAME TIEOFF_X36Y107 SITEPROP TIEOFF_X36Y107 NUM_ARCS 0 SITEPROP TIEOFF_X36Y107 NUM_BELS 2 SITEPROP TIEOFF_X36Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y107 NUM_PINS 2 SITEPROP TIEOFF_X36Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y107 PROHIBIT 0 SITEPROP TIEOFF_X36Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y107 RPM_X 140 SITEPROP TIEOFF_X36Y107 RPM_Y 214 SITEPROP TIEOFF_X36Y107 SITE_PIPS SITEPROP TIEOFF_X36Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y108 CLASS site SITEPROP TIEOFF_X36Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y108 IS_BONDED 0 SITEPROP TIEOFF_X36Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y108 IS_PAD 0 SITEPROP TIEOFF_X36Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y108 IS_RESERVED 0 SITEPROP TIEOFF_X36Y108 IS_TEST 0 SITEPROP TIEOFF_X36Y108 IS_USED 0 SITEPROP TIEOFF_X36Y108 MANUAL_ROUTING SITEPROP TIEOFF_X36Y108 NAME TIEOFF_X36Y108 SITEPROP TIEOFF_X36Y108 NUM_ARCS 0 SITEPROP TIEOFF_X36Y108 NUM_BELS 2 SITEPROP TIEOFF_X36Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y108 NUM_PINS 2 SITEPROP TIEOFF_X36Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y108 PROHIBIT 0 SITEPROP TIEOFF_X36Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y108 RPM_X 140 SITEPROP TIEOFF_X36Y108 RPM_Y 216 SITEPROP TIEOFF_X36Y108 SITE_PIPS SITEPROP TIEOFF_X36Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y109 CLASS site SITEPROP TIEOFF_X36Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y109 IS_BONDED 0 SITEPROP TIEOFF_X36Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y109 IS_PAD 0 SITEPROP TIEOFF_X36Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y109 IS_RESERVED 0 SITEPROP TIEOFF_X36Y109 IS_TEST 0 SITEPROP TIEOFF_X36Y109 IS_USED 0 SITEPROP TIEOFF_X36Y109 MANUAL_ROUTING SITEPROP TIEOFF_X36Y109 NAME TIEOFF_X36Y109 SITEPROP TIEOFF_X36Y109 NUM_ARCS 0 SITEPROP TIEOFF_X36Y109 NUM_BELS 2 SITEPROP TIEOFF_X36Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y109 NUM_PINS 2 SITEPROP TIEOFF_X36Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y109 PROHIBIT 0 SITEPROP TIEOFF_X36Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y109 RPM_X 140 SITEPROP TIEOFF_X36Y109 RPM_Y 218 SITEPROP TIEOFF_X36Y109 SITE_PIPS SITEPROP TIEOFF_X36Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y110 CLASS site SITEPROP TIEOFF_X36Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y110 IS_BONDED 0 SITEPROP TIEOFF_X36Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y110 IS_PAD 0 SITEPROP TIEOFF_X36Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y110 IS_RESERVED 0 SITEPROP TIEOFF_X36Y110 IS_TEST 0 SITEPROP TIEOFF_X36Y110 IS_USED 0 SITEPROP TIEOFF_X36Y110 MANUAL_ROUTING SITEPROP TIEOFF_X36Y110 NAME TIEOFF_X36Y110 SITEPROP TIEOFF_X36Y110 NUM_ARCS 0 SITEPROP TIEOFF_X36Y110 NUM_BELS 2 SITEPROP TIEOFF_X36Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y110 NUM_PINS 2 SITEPROP TIEOFF_X36Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y110 PROHIBIT 0 SITEPROP TIEOFF_X36Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y110 RPM_X 140 SITEPROP TIEOFF_X36Y110 RPM_Y 220 SITEPROP TIEOFF_X36Y110 SITE_PIPS SITEPROP TIEOFF_X36Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y111 CLASS site SITEPROP TIEOFF_X36Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y111 IS_BONDED 0 SITEPROP TIEOFF_X36Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y111 IS_PAD 0 SITEPROP TIEOFF_X36Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y111 IS_RESERVED 0 SITEPROP TIEOFF_X36Y111 IS_TEST 0 SITEPROP TIEOFF_X36Y111 IS_USED 0 SITEPROP TIEOFF_X36Y111 MANUAL_ROUTING SITEPROP TIEOFF_X36Y111 NAME TIEOFF_X36Y111 SITEPROP TIEOFF_X36Y111 NUM_ARCS 0 SITEPROP TIEOFF_X36Y111 NUM_BELS 2 SITEPROP TIEOFF_X36Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y111 NUM_PINS 2 SITEPROP TIEOFF_X36Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y111 PROHIBIT 0 SITEPROP TIEOFF_X36Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y111 RPM_X 140 SITEPROP TIEOFF_X36Y111 RPM_Y 222 SITEPROP TIEOFF_X36Y111 SITE_PIPS SITEPROP TIEOFF_X36Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y112 CLASS site SITEPROP TIEOFF_X36Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y112 IS_BONDED 0 SITEPROP TIEOFF_X36Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y112 IS_PAD 0 SITEPROP TIEOFF_X36Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y112 IS_RESERVED 0 SITEPROP TIEOFF_X36Y112 IS_TEST 0 SITEPROP TIEOFF_X36Y112 IS_USED 0 SITEPROP TIEOFF_X36Y112 MANUAL_ROUTING SITEPROP TIEOFF_X36Y112 NAME TIEOFF_X36Y112 SITEPROP TIEOFF_X36Y112 NUM_ARCS 0 SITEPROP TIEOFF_X36Y112 NUM_BELS 2 SITEPROP TIEOFF_X36Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y112 NUM_PINS 2 SITEPROP TIEOFF_X36Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y112 PROHIBIT 0 SITEPROP TIEOFF_X36Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y112 RPM_X 140 SITEPROP TIEOFF_X36Y112 RPM_Y 224 SITEPROP TIEOFF_X36Y112 SITE_PIPS SITEPROP TIEOFF_X36Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y113 CLASS site SITEPROP TIEOFF_X36Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y113 IS_BONDED 0 SITEPROP TIEOFF_X36Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y113 IS_PAD 0 SITEPROP TIEOFF_X36Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y113 IS_RESERVED 0 SITEPROP TIEOFF_X36Y113 IS_TEST 0 SITEPROP TIEOFF_X36Y113 IS_USED 0 SITEPROP TIEOFF_X36Y113 MANUAL_ROUTING SITEPROP TIEOFF_X36Y113 NAME TIEOFF_X36Y113 SITEPROP TIEOFF_X36Y113 NUM_ARCS 0 SITEPROP TIEOFF_X36Y113 NUM_BELS 2 SITEPROP TIEOFF_X36Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y113 NUM_PINS 2 SITEPROP TIEOFF_X36Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y113 PROHIBIT 0 SITEPROP TIEOFF_X36Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y113 RPM_X 140 SITEPROP TIEOFF_X36Y113 RPM_Y 226 SITEPROP TIEOFF_X36Y113 SITE_PIPS SITEPROP TIEOFF_X36Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y114 CLASS site SITEPROP TIEOFF_X36Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y114 IS_BONDED 0 SITEPROP TIEOFF_X36Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y114 IS_PAD 0 SITEPROP TIEOFF_X36Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y114 IS_RESERVED 0 SITEPROP TIEOFF_X36Y114 IS_TEST 0 SITEPROP TIEOFF_X36Y114 IS_USED 0 SITEPROP TIEOFF_X36Y114 MANUAL_ROUTING SITEPROP TIEOFF_X36Y114 NAME TIEOFF_X36Y114 SITEPROP TIEOFF_X36Y114 NUM_ARCS 0 SITEPROP TIEOFF_X36Y114 NUM_BELS 2 SITEPROP TIEOFF_X36Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y114 NUM_PINS 2 SITEPROP TIEOFF_X36Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y114 PROHIBIT 0 SITEPROP TIEOFF_X36Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y114 RPM_X 140 SITEPROP TIEOFF_X36Y114 RPM_Y 228 SITEPROP TIEOFF_X36Y114 SITE_PIPS SITEPROP TIEOFF_X36Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y115 CLASS site SITEPROP TIEOFF_X36Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y115 IS_BONDED 0 SITEPROP TIEOFF_X36Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y115 IS_PAD 0 SITEPROP TIEOFF_X36Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y115 IS_RESERVED 0 SITEPROP TIEOFF_X36Y115 IS_TEST 0 SITEPROP TIEOFF_X36Y115 IS_USED 0 SITEPROP TIEOFF_X36Y115 MANUAL_ROUTING SITEPROP TIEOFF_X36Y115 NAME TIEOFF_X36Y115 SITEPROP TIEOFF_X36Y115 NUM_ARCS 0 SITEPROP TIEOFF_X36Y115 NUM_BELS 2 SITEPROP TIEOFF_X36Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y115 NUM_PINS 2 SITEPROP TIEOFF_X36Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y115 PROHIBIT 0 SITEPROP TIEOFF_X36Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y115 RPM_X 140 SITEPROP TIEOFF_X36Y115 RPM_Y 230 SITEPROP TIEOFF_X36Y115 SITE_PIPS SITEPROP TIEOFF_X36Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y116 CLASS site SITEPROP TIEOFF_X36Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y116 IS_BONDED 0 SITEPROP TIEOFF_X36Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y116 IS_PAD 0 SITEPROP TIEOFF_X36Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y116 IS_RESERVED 0 SITEPROP TIEOFF_X36Y116 IS_TEST 0 SITEPROP TIEOFF_X36Y116 IS_USED 0 SITEPROP TIEOFF_X36Y116 MANUAL_ROUTING SITEPROP TIEOFF_X36Y116 NAME TIEOFF_X36Y116 SITEPROP TIEOFF_X36Y116 NUM_ARCS 0 SITEPROP TIEOFF_X36Y116 NUM_BELS 2 SITEPROP TIEOFF_X36Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y116 NUM_PINS 2 SITEPROP TIEOFF_X36Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y116 PROHIBIT 0 SITEPROP TIEOFF_X36Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y116 RPM_X 140 SITEPROP TIEOFF_X36Y116 RPM_Y 232 SITEPROP TIEOFF_X36Y116 SITE_PIPS SITEPROP TIEOFF_X36Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y117 CLASS site SITEPROP TIEOFF_X36Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y117 IS_BONDED 0 SITEPROP TIEOFF_X36Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y117 IS_PAD 0 SITEPROP TIEOFF_X36Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y117 IS_RESERVED 0 SITEPROP TIEOFF_X36Y117 IS_TEST 0 SITEPROP TIEOFF_X36Y117 IS_USED 0 SITEPROP TIEOFF_X36Y117 MANUAL_ROUTING SITEPROP TIEOFF_X36Y117 NAME TIEOFF_X36Y117 SITEPROP TIEOFF_X36Y117 NUM_ARCS 0 SITEPROP TIEOFF_X36Y117 NUM_BELS 2 SITEPROP TIEOFF_X36Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y117 NUM_PINS 2 SITEPROP TIEOFF_X36Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y117 PROHIBIT 0 SITEPROP TIEOFF_X36Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y117 RPM_X 140 SITEPROP TIEOFF_X36Y117 RPM_Y 234 SITEPROP TIEOFF_X36Y117 SITE_PIPS SITEPROP TIEOFF_X36Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y118 CLASS site SITEPROP TIEOFF_X36Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y118 IS_BONDED 0 SITEPROP TIEOFF_X36Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y118 IS_PAD 0 SITEPROP TIEOFF_X36Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y118 IS_RESERVED 0 SITEPROP TIEOFF_X36Y118 IS_TEST 0 SITEPROP TIEOFF_X36Y118 IS_USED 0 SITEPROP TIEOFF_X36Y118 MANUAL_ROUTING SITEPROP TIEOFF_X36Y118 NAME TIEOFF_X36Y118 SITEPROP TIEOFF_X36Y118 NUM_ARCS 0 SITEPROP TIEOFF_X36Y118 NUM_BELS 2 SITEPROP TIEOFF_X36Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y118 NUM_PINS 2 SITEPROP TIEOFF_X36Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y118 PROHIBIT 0 SITEPROP TIEOFF_X36Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y118 RPM_X 140 SITEPROP TIEOFF_X36Y118 RPM_Y 236 SITEPROP TIEOFF_X36Y118 SITE_PIPS SITEPROP TIEOFF_X36Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y119 CLASS site SITEPROP TIEOFF_X36Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y119 IS_BONDED 0 SITEPROP TIEOFF_X36Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y119 IS_PAD 0 SITEPROP TIEOFF_X36Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y119 IS_RESERVED 0 SITEPROP TIEOFF_X36Y119 IS_TEST 0 SITEPROP TIEOFF_X36Y119 IS_USED 0 SITEPROP TIEOFF_X36Y119 MANUAL_ROUTING SITEPROP TIEOFF_X36Y119 NAME TIEOFF_X36Y119 SITEPROP TIEOFF_X36Y119 NUM_ARCS 0 SITEPROP TIEOFF_X36Y119 NUM_BELS 2 SITEPROP TIEOFF_X36Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y119 NUM_PINS 2 SITEPROP TIEOFF_X36Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y119 PROHIBIT 0 SITEPROP TIEOFF_X36Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y119 RPM_X 140 SITEPROP TIEOFF_X36Y119 RPM_Y 238 SITEPROP TIEOFF_X36Y119 SITE_PIPS SITEPROP TIEOFF_X36Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y120 CLASS site SITEPROP TIEOFF_X36Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y120 IS_BONDED 0 SITEPROP TIEOFF_X36Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y120 IS_PAD 0 SITEPROP TIEOFF_X36Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y120 IS_RESERVED 0 SITEPROP TIEOFF_X36Y120 IS_TEST 0 SITEPROP TIEOFF_X36Y120 IS_USED 0 SITEPROP TIEOFF_X36Y120 MANUAL_ROUTING SITEPROP TIEOFF_X36Y120 NAME TIEOFF_X36Y120 SITEPROP TIEOFF_X36Y120 NUM_ARCS 0 SITEPROP TIEOFF_X36Y120 NUM_BELS 2 SITEPROP TIEOFF_X36Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y120 NUM_PINS 2 SITEPROP TIEOFF_X36Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y120 PROHIBIT 0 SITEPROP TIEOFF_X36Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y120 RPM_X 140 SITEPROP TIEOFF_X36Y120 RPM_Y 240 SITEPROP TIEOFF_X36Y120 SITE_PIPS SITEPROP TIEOFF_X36Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y121 CLASS site SITEPROP TIEOFF_X36Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y121 IS_BONDED 0 SITEPROP TIEOFF_X36Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y121 IS_PAD 0 SITEPROP TIEOFF_X36Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y121 IS_RESERVED 0 SITEPROP TIEOFF_X36Y121 IS_TEST 0 SITEPROP TIEOFF_X36Y121 IS_USED 0 SITEPROP TIEOFF_X36Y121 MANUAL_ROUTING SITEPROP TIEOFF_X36Y121 NAME TIEOFF_X36Y121 SITEPROP TIEOFF_X36Y121 NUM_ARCS 0 SITEPROP TIEOFF_X36Y121 NUM_BELS 2 SITEPROP TIEOFF_X36Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y121 NUM_PINS 2 SITEPROP TIEOFF_X36Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y121 PROHIBIT 0 SITEPROP TIEOFF_X36Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y121 RPM_X 140 SITEPROP TIEOFF_X36Y121 RPM_Y 242 SITEPROP TIEOFF_X36Y121 SITE_PIPS SITEPROP TIEOFF_X36Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y122 CLASS site SITEPROP TIEOFF_X36Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y122 IS_BONDED 0 SITEPROP TIEOFF_X36Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y122 IS_PAD 0 SITEPROP TIEOFF_X36Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y122 IS_RESERVED 0 SITEPROP TIEOFF_X36Y122 IS_TEST 0 SITEPROP TIEOFF_X36Y122 IS_USED 0 SITEPROP TIEOFF_X36Y122 MANUAL_ROUTING SITEPROP TIEOFF_X36Y122 NAME TIEOFF_X36Y122 SITEPROP TIEOFF_X36Y122 NUM_ARCS 0 SITEPROP TIEOFF_X36Y122 NUM_BELS 2 SITEPROP TIEOFF_X36Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y122 NUM_PINS 2 SITEPROP TIEOFF_X36Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y122 PROHIBIT 0 SITEPROP TIEOFF_X36Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y122 RPM_X 140 SITEPROP TIEOFF_X36Y122 RPM_Y 244 SITEPROP TIEOFF_X36Y122 SITE_PIPS SITEPROP TIEOFF_X36Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y123 CLASS site SITEPROP TIEOFF_X36Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y123 IS_BONDED 0 SITEPROP TIEOFF_X36Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y123 IS_PAD 0 SITEPROP TIEOFF_X36Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y123 IS_RESERVED 0 SITEPROP TIEOFF_X36Y123 IS_TEST 0 SITEPROP TIEOFF_X36Y123 IS_USED 0 SITEPROP TIEOFF_X36Y123 MANUAL_ROUTING SITEPROP TIEOFF_X36Y123 NAME TIEOFF_X36Y123 SITEPROP TIEOFF_X36Y123 NUM_ARCS 0 SITEPROP TIEOFF_X36Y123 NUM_BELS 2 SITEPROP TIEOFF_X36Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y123 NUM_PINS 2 SITEPROP TIEOFF_X36Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y123 PROHIBIT 0 SITEPROP TIEOFF_X36Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y123 RPM_X 140 SITEPROP TIEOFF_X36Y123 RPM_Y 246 SITEPROP TIEOFF_X36Y123 SITE_PIPS SITEPROP TIEOFF_X36Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y124 CLASS site SITEPROP TIEOFF_X36Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y124 IS_BONDED 0 SITEPROP TIEOFF_X36Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y124 IS_PAD 0 SITEPROP TIEOFF_X36Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y124 IS_RESERVED 0 SITEPROP TIEOFF_X36Y124 IS_TEST 0 SITEPROP TIEOFF_X36Y124 IS_USED 0 SITEPROP TIEOFF_X36Y124 MANUAL_ROUTING SITEPROP TIEOFF_X36Y124 NAME TIEOFF_X36Y124 SITEPROP TIEOFF_X36Y124 NUM_ARCS 0 SITEPROP TIEOFF_X36Y124 NUM_BELS 2 SITEPROP TIEOFF_X36Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y124 NUM_PINS 2 SITEPROP TIEOFF_X36Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y124 PROHIBIT 0 SITEPROP TIEOFF_X36Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y124 RPM_X 140 SITEPROP TIEOFF_X36Y124 RPM_Y 248 SITEPROP TIEOFF_X36Y124 SITE_PIPS SITEPROP TIEOFF_X36Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y125 CLASS site SITEPROP TIEOFF_X36Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y125 IS_BONDED 0 SITEPROP TIEOFF_X36Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y125 IS_PAD 0 SITEPROP TIEOFF_X36Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y125 IS_RESERVED 0 SITEPROP TIEOFF_X36Y125 IS_TEST 0 SITEPROP TIEOFF_X36Y125 IS_USED 0 SITEPROP TIEOFF_X36Y125 MANUAL_ROUTING SITEPROP TIEOFF_X36Y125 NAME TIEOFF_X36Y125 SITEPROP TIEOFF_X36Y125 NUM_ARCS 0 SITEPROP TIEOFF_X36Y125 NUM_BELS 2 SITEPROP TIEOFF_X36Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y125 NUM_PINS 2 SITEPROP TIEOFF_X36Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y125 PROHIBIT 0 SITEPROP TIEOFF_X36Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y125 RPM_X 140 SITEPROP TIEOFF_X36Y125 RPM_Y 250 SITEPROP TIEOFF_X36Y125 SITE_PIPS SITEPROP TIEOFF_X36Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y126 CLASS site SITEPROP TIEOFF_X36Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y126 IS_BONDED 0 SITEPROP TIEOFF_X36Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y126 IS_PAD 0 SITEPROP TIEOFF_X36Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y126 IS_RESERVED 0 SITEPROP TIEOFF_X36Y126 IS_TEST 0 SITEPROP TIEOFF_X36Y126 IS_USED 0 SITEPROP TIEOFF_X36Y126 MANUAL_ROUTING SITEPROP TIEOFF_X36Y126 NAME TIEOFF_X36Y126 SITEPROP TIEOFF_X36Y126 NUM_ARCS 0 SITEPROP TIEOFF_X36Y126 NUM_BELS 2 SITEPROP TIEOFF_X36Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y126 NUM_PINS 2 SITEPROP TIEOFF_X36Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y126 PROHIBIT 0 SITEPROP TIEOFF_X36Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y126 RPM_X 140 SITEPROP TIEOFF_X36Y126 RPM_Y 252 SITEPROP TIEOFF_X36Y126 SITE_PIPS SITEPROP TIEOFF_X36Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y127 CLASS site SITEPROP TIEOFF_X36Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y127 IS_BONDED 0 SITEPROP TIEOFF_X36Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y127 IS_PAD 0 SITEPROP TIEOFF_X36Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y127 IS_RESERVED 0 SITEPROP TIEOFF_X36Y127 IS_TEST 0 SITEPROP TIEOFF_X36Y127 IS_USED 0 SITEPROP TIEOFF_X36Y127 MANUAL_ROUTING SITEPROP TIEOFF_X36Y127 NAME TIEOFF_X36Y127 SITEPROP TIEOFF_X36Y127 NUM_ARCS 0 SITEPROP TIEOFF_X36Y127 NUM_BELS 2 SITEPROP TIEOFF_X36Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y127 NUM_PINS 2 SITEPROP TIEOFF_X36Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y127 PROHIBIT 0 SITEPROP TIEOFF_X36Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y127 RPM_X 140 SITEPROP TIEOFF_X36Y127 RPM_Y 254 SITEPROP TIEOFF_X36Y127 SITE_PIPS SITEPROP TIEOFF_X36Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y128 CLASS site SITEPROP TIEOFF_X36Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y128 IS_BONDED 0 SITEPROP TIEOFF_X36Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y128 IS_PAD 0 SITEPROP TIEOFF_X36Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y128 IS_RESERVED 0 SITEPROP TIEOFF_X36Y128 IS_TEST 0 SITEPROP TIEOFF_X36Y128 IS_USED 0 SITEPROP TIEOFF_X36Y128 MANUAL_ROUTING SITEPROP TIEOFF_X36Y128 NAME TIEOFF_X36Y128 SITEPROP TIEOFF_X36Y128 NUM_ARCS 0 SITEPROP TIEOFF_X36Y128 NUM_BELS 2 SITEPROP TIEOFF_X36Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y128 NUM_PINS 2 SITEPROP TIEOFF_X36Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y128 PROHIBIT 0 SITEPROP TIEOFF_X36Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y128 RPM_X 140 SITEPROP TIEOFF_X36Y128 RPM_Y 256 SITEPROP TIEOFF_X36Y128 SITE_PIPS SITEPROP TIEOFF_X36Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y129 CLASS site SITEPROP TIEOFF_X36Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y129 IS_BONDED 0 SITEPROP TIEOFF_X36Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y129 IS_PAD 0 SITEPROP TIEOFF_X36Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y129 IS_RESERVED 0 SITEPROP TIEOFF_X36Y129 IS_TEST 0 SITEPROP TIEOFF_X36Y129 IS_USED 0 SITEPROP TIEOFF_X36Y129 MANUAL_ROUTING SITEPROP TIEOFF_X36Y129 NAME TIEOFF_X36Y129 SITEPROP TIEOFF_X36Y129 NUM_ARCS 0 SITEPROP TIEOFF_X36Y129 NUM_BELS 2 SITEPROP TIEOFF_X36Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y129 NUM_PINS 2 SITEPROP TIEOFF_X36Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y129 PROHIBIT 0 SITEPROP TIEOFF_X36Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y129 RPM_X 140 SITEPROP TIEOFF_X36Y129 RPM_Y 258 SITEPROP TIEOFF_X36Y129 SITE_PIPS SITEPROP TIEOFF_X36Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y130 CLASS site SITEPROP TIEOFF_X36Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y130 IS_BONDED 0 SITEPROP TIEOFF_X36Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y130 IS_PAD 0 SITEPROP TIEOFF_X36Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y130 IS_RESERVED 0 SITEPROP TIEOFF_X36Y130 IS_TEST 0 SITEPROP TIEOFF_X36Y130 IS_USED 0 SITEPROP TIEOFF_X36Y130 MANUAL_ROUTING SITEPROP TIEOFF_X36Y130 NAME TIEOFF_X36Y130 SITEPROP TIEOFF_X36Y130 NUM_ARCS 0 SITEPROP TIEOFF_X36Y130 NUM_BELS 2 SITEPROP TIEOFF_X36Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y130 NUM_PINS 2 SITEPROP TIEOFF_X36Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y130 PROHIBIT 0 SITEPROP TIEOFF_X36Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y130 RPM_X 140 SITEPROP TIEOFF_X36Y130 RPM_Y 260 SITEPROP TIEOFF_X36Y130 SITE_PIPS SITEPROP TIEOFF_X36Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y131 CLASS site SITEPROP TIEOFF_X36Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y131 IS_BONDED 0 SITEPROP TIEOFF_X36Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y131 IS_PAD 0 SITEPROP TIEOFF_X36Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y131 IS_RESERVED 0 SITEPROP TIEOFF_X36Y131 IS_TEST 0 SITEPROP TIEOFF_X36Y131 IS_USED 0 SITEPROP TIEOFF_X36Y131 MANUAL_ROUTING SITEPROP TIEOFF_X36Y131 NAME TIEOFF_X36Y131 SITEPROP TIEOFF_X36Y131 NUM_ARCS 0 SITEPROP TIEOFF_X36Y131 NUM_BELS 2 SITEPROP TIEOFF_X36Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y131 NUM_PINS 2 SITEPROP TIEOFF_X36Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y131 PROHIBIT 0 SITEPROP TIEOFF_X36Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y131 RPM_X 140 SITEPROP TIEOFF_X36Y131 RPM_Y 262 SITEPROP TIEOFF_X36Y131 SITE_PIPS SITEPROP TIEOFF_X36Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y132 CLASS site SITEPROP TIEOFF_X36Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y132 IS_BONDED 0 SITEPROP TIEOFF_X36Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y132 IS_PAD 0 SITEPROP TIEOFF_X36Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y132 IS_RESERVED 0 SITEPROP TIEOFF_X36Y132 IS_TEST 0 SITEPROP TIEOFF_X36Y132 IS_USED 0 SITEPROP TIEOFF_X36Y132 MANUAL_ROUTING SITEPROP TIEOFF_X36Y132 NAME TIEOFF_X36Y132 SITEPROP TIEOFF_X36Y132 NUM_ARCS 0 SITEPROP TIEOFF_X36Y132 NUM_BELS 2 SITEPROP TIEOFF_X36Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y132 NUM_PINS 2 SITEPROP TIEOFF_X36Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y132 PROHIBIT 0 SITEPROP TIEOFF_X36Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y132 RPM_X 140 SITEPROP TIEOFF_X36Y132 RPM_Y 264 SITEPROP TIEOFF_X36Y132 SITE_PIPS SITEPROP TIEOFF_X36Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y133 CLASS site SITEPROP TIEOFF_X36Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y133 IS_BONDED 0 SITEPROP TIEOFF_X36Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y133 IS_PAD 0 SITEPROP TIEOFF_X36Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y133 IS_RESERVED 0 SITEPROP TIEOFF_X36Y133 IS_TEST 0 SITEPROP TIEOFF_X36Y133 IS_USED 0 SITEPROP TIEOFF_X36Y133 MANUAL_ROUTING SITEPROP TIEOFF_X36Y133 NAME TIEOFF_X36Y133 SITEPROP TIEOFF_X36Y133 NUM_ARCS 0 SITEPROP TIEOFF_X36Y133 NUM_BELS 2 SITEPROP TIEOFF_X36Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y133 NUM_PINS 2 SITEPROP TIEOFF_X36Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y133 PROHIBIT 0 SITEPROP TIEOFF_X36Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y133 RPM_X 140 SITEPROP TIEOFF_X36Y133 RPM_Y 266 SITEPROP TIEOFF_X36Y133 SITE_PIPS SITEPROP TIEOFF_X36Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y134 CLASS site SITEPROP TIEOFF_X36Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y134 IS_BONDED 0 SITEPROP TIEOFF_X36Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y134 IS_PAD 0 SITEPROP TIEOFF_X36Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y134 IS_RESERVED 0 SITEPROP TIEOFF_X36Y134 IS_TEST 0 SITEPROP TIEOFF_X36Y134 IS_USED 0 SITEPROP TIEOFF_X36Y134 MANUAL_ROUTING SITEPROP TIEOFF_X36Y134 NAME TIEOFF_X36Y134 SITEPROP TIEOFF_X36Y134 NUM_ARCS 0 SITEPROP TIEOFF_X36Y134 NUM_BELS 2 SITEPROP TIEOFF_X36Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y134 NUM_PINS 2 SITEPROP TIEOFF_X36Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y134 PROHIBIT 0 SITEPROP TIEOFF_X36Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y134 RPM_X 140 SITEPROP TIEOFF_X36Y134 RPM_Y 268 SITEPROP TIEOFF_X36Y134 SITE_PIPS SITEPROP TIEOFF_X36Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y135 CLASS site SITEPROP TIEOFF_X36Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y135 IS_BONDED 0 SITEPROP TIEOFF_X36Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y135 IS_PAD 0 SITEPROP TIEOFF_X36Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y135 IS_RESERVED 0 SITEPROP TIEOFF_X36Y135 IS_TEST 0 SITEPROP TIEOFF_X36Y135 IS_USED 0 SITEPROP TIEOFF_X36Y135 MANUAL_ROUTING SITEPROP TIEOFF_X36Y135 NAME TIEOFF_X36Y135 SITEPROP TIEOFF_X36Y135 NUM_ARCS 0 SITEPROP TIEOFF_X36Y135 NUM_BELS 2 SITEPROP TIEOFF_X36Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y135 NUM_PINS 2 SITEPROP TIEOFF_X36Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y135 PROHIBIT 0 SITEPROP TIEOFF_X36Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y135 RPM_X 140 SITEPROP TIEOFF_X36Y135 RPM_Y 270 SITEPROP TIEOFF_X36Y135 SITE_PIPS SITEPROP TIEOFF_X36Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y136 CLASS site SITEPROP TIEOFF_X36Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y136 IS_BONDED 0 SITEPROP TIEOFF_X36Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y136 IS_PAD 0 SITEPROP TIEOFF_X36Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y136 IS_RESERVED 0 SITEPROP TIEOFF_X36Y136 IS_TEST 0 SITEPROP TIEOFF_X36Y136 IS_USED 0 SITEPROP TIEOFF_X36Y136 MANUAL_ROUTING SITEPROP TIEOFF_X36Y136 NAME TIEOFF_X36Y136 SITEPROP TIEOFF_X36Y136 NUM_ARCS 0 SITEPROP TIEOFF_X36Y136 NUM_BELS 2 SITEPROP TIEOFF_X36Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y136 NUM_PINS 2 SITEPROP TIEOFF_X36Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y136 PROHIBIT 0 SITEPROP TIEOFF_X36Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y136 RPM_X 140 SITEPROP TIEOFF_X36Y136 RPM_Y 272 SITEPROP TIEOFF_X36Y136 SITE_PIPS SITEPROP TIEOFF_X36Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y137 CLASS site SITEPROP TIEOFF_X36Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y137 IS_BONDED 0 SITEPROP TIEOFF_X36Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y137 IS_PAD 0 SITEPROP TIEOFF_X36Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y137 IS_RESERVED 0 SITEPROP TIEOFF_X36Y137 IS_TEST 0 SITEPROP TIEOFF_X36Y137 IS_USED 0 SITEPROP TIEOFF_X36Y137 MANUAL_ROUTING SITEPROP TIEOFF_X36Y137 NAME TIEOFF_X36Y137 SITEPROP TIEOFF_X36Y137 NUM_ARCS 0 SITEPROP TIEOFF_X36Y137 NUM_BELS 2 SITEPROP TIEOFF_X36Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y137 NUM_PINS 2 SITEPROP TIEOFF_X36Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y137 PROHIBIT 0 SITEPROP TIEOFF_X36Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y137 RPM_X 140 SITEPROP TIEOFF_X36Y137 RPM_Y 274 SITEPROP TIEOFF_X36Y137 SITE_PIPS SITEPROP TIEOFF_X36Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y138 CLASS site SITEPROP TIEOFF_X36Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y138 IS_BONDED 0 SITEPROP TIEOFF_X36Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y138 IS_PAD 0 SITEPROP TIEOFF_X36Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y138 IS_RESERVED 0 SITEPROP TIEOFF_X36Y138 IS_TEST 0 SITEPROP TIEOFF_X36Y138 IS_USED 0 SITEPROP TIEOFF_X36Y138 MANUAL_ROUTING SITEPROP TIEOFF_X36Y138 NAME TIEOFF_X36Y138 SITEPROP TIEOFF_X36Y138 NUM_ARCS 0 SITEPROP TIEOFF_X36Y138 NUM_BELS 2 SITEPROP TIEOFF_X36Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y138 NUM_PINS 2 SITEPROP TIEOFF_X36Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y138 PROHIBIT 0 SITEPROP TIEOFF_X36Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y138 RPM_X 140 SITEPROP TIEOFF_X36Y138 RPM_Y 276 SITEPROP TIEOFF_X36Y138 SITE_PIPS SITEPROP TIEOFF_X36Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y139 CLASS site SITEPROP TIEOFF_X36Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y139 IS_BONDED 0 SITEPROP TIEOFF_X36Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y139 IS_PAD 0 SITEPROP TIEOFF_X36Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y139 IS_RESERVED 0 SITEPROP TIEOFF_X36Y139 IS_TEST 0 SITEPROP TIEOFF_X36Y139 IS_USED 0 SITEPROP TIEOFF_X36Y139 MANUAL_ROUTING SITEPROP TIEOFF_X36Y139 NAME TIEOFF_X36Y139 SITEPROP TIEOFF_X36Y139 NUM_ARCS 0 SITEPROP TIEOFF_X36Y139 NUM_BELS 2 SITEPROP TIEOFF_X36Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y139 NUM_PINS 2 SITEPROP TIEOFF_X36Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y139 PROHIBIT 0 SITEPROP TIEOFF_X36Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y139 RPM_X 140 SITEPROP TIEOFF_X36Y139 RPM_Y 278 SITEPROP TIEOFF_X36Y139 SITE_PIPS SITEPROP TIEOFF_X36Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y140 CLASS site SITEPROP TIEOFF_X36Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y140 IS_BONDED 0 SITEPROP TIEOFF_X36Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y140 IS_PAD 0 SITEPROP TIEOFF_X36Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y140 IS_RESERVED 0 SITEPROP TIEOFF_X36Y140 IS_TEST 0 SITEPROP TIEOFF_X36Y140 IS_USED 0 SITEPROP TIEOFF_X36Y140 MANUAL_ROUTING SITEPROP TIEOFF_X36Y140 NAME TIEOFF_X36Y140 SITEPROP TIEOFF_X36Y140 NUM_ARCS 0 SITEPROP TIEOFF_X36Y140 NUM_BELS 2 SITEPROP TIEOFF_X36Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y140 NUM_PINS 2 SITEPROP TIEOFF_X36Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y140 PROHIBIT 0 SITEPROP TIEOFF_X36Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y140 RPM_X 140 SITEPROP TIEOFF_X36Y140 RPM_Y 280 SITEPROP TIEOFF_X36Y140 SITE_PIPS SITEPROP TIEOFF_X36Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y141 CLASS site SITEPROP TIEOFF_X36Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y141 IS_BONDED 0 SITEPROP TIEOFF_X36Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y141 IS_PAD 0 SITEPROP TIEOFF_X36Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y141 IS_RESERVED 0 SITEPROP TIEOFF_X36Y141 IS_TEST 0 SITEPROP TIEOFF_X36Y141 IS_USED 0 SITEPROP TIEOFF_X36Y141 MANUAL_ROUTING SITEPROP TIEOFF_X36Y141 NAME TIEOFF_X36Y141 SITEPROP TIEOFF_X36Y141 NUM_ARCS 0 SITEPROP TIEOFF_X36Y141 NUM_BELS 2 SITEPROP TIEOFF_X36Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y141 NUM_PINS 2 SITEPROP TIEOFF_X36Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y141 PROHIBIT 0 SITEPROP TIEOFF_X36Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y141 RPM_X 140 SITEPROP TIEOFF_X36Y141 RPM_Y 282 SITEPROP TIEOFF_X36Y141 SITE_PIPS SITEPROP TIEOFF_X36Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y142 CLASS site SITEPROP TIEOFF_X36Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y142 IS_BONDED 0 SITEPROP TIEOFF_X36Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y142 IS_PAD 0 SITEPROP TIEOFF_X36Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y142 IS_RESERVED 0 SITEPROP TIEOFF_X36Y142 IS_TEST 0 SITEPROP TIEOFF_X36Y142 IS_USED 0 SITEPROP TIEOFF_X36Y142 MANUAL_ROUTING SITEPROP TIEOFF_X36Y142 NAME TIEOFF_X36Y142 SITEPROP TIEOFF_X36Y142 NUM_ARCS 0 SITEPROP TIEOFF_X36Y142 NUM_BELS 2 SITEPROP TIEOFF_X36Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y142 NUM_PINS 2 SITEPROP TIEOFF_X36Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y142 PROHIBIT 0 SITEPROP TIEOFF_X36Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y142 RPM_X 140 SITEPROP TIEOFF_X36Y142 RPM_Y 284 SITEPROP TIEOFF_X36Y142 SITE_PIPS SITEPROP TIEOFF_X36Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y143 CLASS site SITEPROP TIEOFF_X36Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y143 IS_BONDED 0 SITEPROP TIEOFF_X36Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y143 IS_PAD 0 SITEPROP TIEOFF_X36Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y143 IS_RESERVED 0 SITEPROP TIEOFF_X36Y143 IS_TEST 0 SITEPROP TIEOFF_X36Y143 IS_USED 0 SITEPROP TIEOFF_X36Y143 MANUAL_ROUTING SITEPROP TIEOFF_X36Y143 NAME TIEOFF_X36Y143 SITEPROP TIEOFF_X36Y143 NUM_ARCS 0 SITEPROP TIEOFF_X36Y143 NUM_BELS 2 SITEPROP TIEOFF_X36Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y143 NUM_PINS 2 SITEPROP TIEOFF_X36Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y143 PROHIBIT 0 SITEPROP TIEOFF_X36Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y143 RPM_X 140 SITEPROP TIEOFF_X36Y143 RPM_Y 286 SITEPROP TIEOFF_X36Y143 SITE_PIPS SITEPROP TIEOFF_X36Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y144 CLASS site SITEPROP TIEOFF_X36Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y144 IS_BONDED 0 SITEPROP TIEOFF_X36Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y144 IS_PAD 0 SITEPROP TIEOFF_X36Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y144 IS_RESERVED 0 SITEPROP TIEOFF_X36Y144 IS_TEST 0 SITEPROP TIEOFF_X36Y144 IS_USED 0 SITEPROP TIEOFF_X36Y144 MANUAL_ROUTING SITEPROP TIEOFF_X36Y144 NAME TIEOFF_X36Y144 SITEPROP TIEOFF_X36Y144 NUM_ARCS 0 SITEPROP TIEOFF_X36Y144 NUM_BELS 2 SITEPROP TIEOFF_X36Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y144 NUM_PINS 2 SITEPROP TIEOFF_X36Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y144 PROHIBIT 0 SITEPROP TIEOFF_X36Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y144 RPM_X 140 SITEPROP TIEOFF_X36Y144 RPM_Y 288 SITEPROP TIEOFF_X36Y144 SITE_PIPS SITEPROP TIEOFF_X36Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y145 CLASS site SITEPROP TIEOFF_X36Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y145 IS_BONDED 0 SITEPROP TIEOFF_X36Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y145 IS_PAD 0 SITEPROP TIEOFF_X36Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y145 IS_RESERVED 0 SITEPROP TIEOFF_X36Y145 IS_TEST 0 SITEPROP TIEOFF_X36Y145 IS_USED 0 SITEPROP TIEOFF_X36Y145 MANUAL_ROUTING SITEPROP TIEOFF_X36Y145 NAME TIEOFF_X36Y145 SITEPROP TIEOFF_X36Y145 NUM_ARCS 0 SITEPROP TIEOFF_X36Y145 NUM_BELS 2 SITEPROP TIEOFF_X36Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y145 NUM_PINS 2 SITEPROP TIEOFF_X36Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y145 PROHIBIT 0 SITEPROP TIEOFF_X36Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y145 RPM_X 140 SITEPROP TIEOFF_X36Y145 RPM_Y 290 SITEPROP TIEOFF_X36Y145 SITE_PIPS SITEPROP TIEOFF_X36Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y146 CLASS site SITEPROP TIEOFF_X36Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y146 IS_BONDED 0 SITEPROP TIEOFF_X36Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y146 IS_PAD 0 SITEPROP TIEOFF_X36Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y146 IS_RESERVED 0 SITEPROP TIEOFF_X36Y146 IS_TEST 0 SITEPROP TIEOFF_X36Y146 IS_USED 0 SITEPROP TIEOFF_X36Y146 MANUAL_ROUTING SITEPROP TIEOFF_X36Y146 NAME TIEOFF_X36Y146 SITEPROP TIEOFF_X36Y146 NUM_ARCS 0 SITEPROP TIEOFF_X36Y146 NUM_BELS 2 SITEPROP TIEOFF_X36Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y146 NUM_PINS 2 SITEPROP TIEOFF_X36Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y146 PROHIBIT 0 SITEPROP TIEOFF_X36Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y146 RPM_X 140 SITEPROP TIEOFF_X36Y146 RPM_Y 292 SITEPROP TIEOFF_X36Y146 SITE_PIPS SITEPROP TIEOFF_X36Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y147 CLASS site SITEPROP TIEOFF_X36Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y147 IS_BONDED 0 SITEPROP TIEOFF_X36Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y147 IS_PAD 0 SITEPROP TIEOFF_X36Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y147 IS_RESERVED 0 SITEPROP TIEOFF_X36Y147 IS_TEST 0 SITEPROP TIEOFF_X36Y147 IS_USED 0 SITEPROP TIEOFF_X36Y147 MANUAL_ROUTING SITEPROP TIEOFF_X36Y147 NAME TIEOFF_X36Y147 SITEPROP TIEOFF_X36Y147 NUM_ARCS 0 SITEPROP TIEOFF_X36Y147 NUM_BELS 2 SITEPROP TIEOFF_X36Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y147 NUM_PINS 2 SITEPROP TIEOFF_X36Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y147 PROHIBIT 0 SITEPROP TIEOFF_X36Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y147 RPM_X 140 SITEPROP TIEOFF_X36Y147 RPM_Y 294 SITEPROP TIEOFF_X36Y147 SITE_PIPS SITEPROP TIEOFF_X36Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y148 CLASS site SITEPROP TIEOFF_X36Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y148 IS_BONDED 0 SITEPROP TIEOFF_X36Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y148 IS_PAD 0 SITEPROP TIEOFF_X36Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y148 IS_RESERVED 0 SITEPROP TIEOFF_X36Y148 IS_TEST 0 SITEPROP TIEOFF_X36Y148 IS_USED 0 SITEPROP TIEOFF_X36Y148 MANUAL_ROUTING SITEPROP TIEOFF_X36Y148 NAME TIEOFF_X36Y148 SITEPROP TIEOFF_X36Y148 NUM_ARCS 0 SITEPROP TIEOFF_X36Y148 NUM_BELS 2 SITEPROP TIEOFF_X36Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y148 NUM_PINS 2 SITEPROP TIEOFF_X36Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y148 PROHIBIT 0 SITEPROP TIEOFF_X36Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y148 RPM_X 140 SITEPROP TIEOFF_X36Y148 RPM_Y 296 SITEPROP TIEOFF_X36Y148 SITE_PIPS SITEPROP TIEOFF_X36Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X36Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X36Y149 CLASS site SITEPROP TIEOFF_X36Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X36Y149 IS_BONDED 0 SITEPROP TIEOFF_X36Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y149 IS_PAD 0 SITEPROP TIEOFF_X36Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X36Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X36Y149 IS_RESERVED 0 SITEPROP TIEOFF_X36Y149 IS_TEST 0 SITEPROP TIEOFF_X36Y149 IS_USED 0 SITEPROP TIEOFF_X36Y149 MANUAL_ROUTING SITEPROP TIEOFF_X36Y149 NAME TIEOFF_X36Y149 SITEPROP TIEOFF_X36Y149 NUM_ARCS 0 SITEPROP TIEOFF_X36Y149 NUM_BELS 2 SITEPROP TIEOFF_X36Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X36Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X36Y149 NUM_PINS 2 SITEPROP TIEOFF_X36Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X36Y149 PROHIBIT 0 SITEPROP TIEOFF_X36Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X36Y149 RPM_X 140 SITEPROP TIEOFF_X36Y149 RPM_Y 298 SITEPROP TIEOFF_X36Y149 SITE_PIPS SITEPROP TIEOFF_X36Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y0 CLASS site SITEPROP TIEOFF_X37Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y0 IS_BONDED 0 SITEPROP TIEOFF_X37Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y0 IS_PAD 0 SITEPROP TIEOFF_X37Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y0 IS_RESERVED 0 SITEPROP TIEOFF_X37Y0 IS_TEST 0 SITEPROP TIEOFF_X37Y0 IS_USED 0 SITEPROP TIEOFF_X37Y0 MANUAL_ROUTING SITEPROP TIEOFF_X37Y0 NAME TIEOFF_X37Y0 SITEPROP TIEOFF_X37Y0 NUM_ARCS 0 SITEPROP TIEOFF_X37Y0 NUM_BELS 2 SITEPROP TIEOFF_X37Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y0 NUM_PINS 2 SITEPROP TIEOFF_X37Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y0 PROHIBIT 0 SITEPROP TIEOFF_X37Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y0 RPM_X 144 SITEPROP TIEOFF_X37Y0 RPM_Y 0 SITEPROP TIEOFF_X37Y0 SITE_PIPS SITEPROP TIEOFF_X37Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y1 CLASS site SITEPROP TIEOFF_X37Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y1 IS_BONDED 0 SITEPROP TIEOFF_X37Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y1 IS_PAD 0 SITEPROP TIEOFF_X37Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y1 IS_RESERVED 0 SITEPROP TIEOFF_X37Y1 IS_TEST 0 SITEPROP TIEOFF_X37Y1 IS_USED 0 SITEPROP TIEOFF_X37Y1 MANUAL_ROUTING SITEPROP TIEOFF_X37Y1 NAME TIEOFF_X37Y1 SITEPROP TIEOFF_X37Y1 NUM_ARCS 0 SITEPROP TIEOFF_X37Y1 NUM_BELS 2 SITEPROP TIEOFF_X37Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y1 NUM_PINS 2 SITEPROP TIEOFF_X37Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y1 PROHIBIT 0 SITEPROP TIEOFF_X37Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y1 RPM_X 144 SITEPROP TIEOFF_X37Y1 RPM_Y 2 SITEPROP TIEOFF_X37Y1 SITE_PIPS SITEPROP TIEOFF_X37Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y2 CLASS site SITEPROP TIEOFF_X37Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y2 IS_BONDED 0 SITEPROP TIEOFF_X37Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y2 IS_PAD 0 SITEPROP TIEOFF_X37Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y2 IS_RESERVED 0 SITEPROP TIEOFF_X37Y2 IS_TEST 0 SITEPROP TIEOFF_X37Y2 IS_USED 0 SITEPROP TIEOFF_X37Y2 MANUAL_ROUTING SITEPROP TIEOFF_X37Y2 NAME TIEOFF_X37Y2 SITEPROP TIEOFF_X37Y2 NUM_ARCS 0 SITEPROP TIEOFF_X37Y2 NUM_BELS 2 SITEPROP TIEOFF_X37Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y2 NUM_PINS 2 SITEPROP TIEOFF_X37Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y2 PROHIBIT 0 SITEPROP TIEOFF_X37Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y2 RPM_X 144 SITEPROP TIEOFF_X37Y2 RPM_Y 4 SITEPROP TIEOFF_X37Y2 SITE_PIPS SITEPROP TIEOFF_X37Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y3 CLASS site SITEPROP TIEOFF_X37Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y3 IS_BONDED 0 SITEPROP TIEOFF_X37Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y3 IS_PAD 0 SITEPROP TIEOFF_X37Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y3 IS_RESERVED 0 SITEPROP TIEOFF_X37Y3 IS_TEST 0 SITEPROP TIEOFF_X37Y3 IS_USED 0 SITEPROP TIEOFF_X37Y3 MANUAL_ROUTING SITEPROP TIEOFF_X37Y3 NAME TIEOFF_X37Y3 SITEPROP TIEOFF_X37Y3 NUM_ARCS 0 SITEPROP TIEOFF_X37Y3 NUM_BELS 2 SITEPROP TIEOFF_X37Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y3 NUM_PINS 2 SITEPROP TIEOFF_X37Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y3 PROHIBIT 0 SITEPROP TIEOFF_X37Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y3 RPM_X 144 SITEPROP TIEOFF_X37Y3 RPM_Y 6 SITEPROP TIEOFF_X37Y3 SITE_PIPS SITEPROP TIEOFF_X37Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y4 CLASS site SITEPROP TIEOFF_X37Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y4 IS_BONDED 0 SITEPROP TIEOFF_X37Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y4 IS_PAD 0 SITEPROP TIEOFF_X37Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y4 IS_RESERVED 0 SITEPROP TIEOFF_X37Y4 IS_TEST 0 SITEPROP TIEOFF_X37Y4 IS_USED 0 SITEPROP TIEOFF_X37Y4 MANUAL_ROUTING SITEPROP TIEOFF_X37Y4 NAME TIEOFF_X37Y4 SITEPROP TIEOFF_X37Y4 NUM_ARCS 0 SITEPROP TIEOFF_X37Y4 NUM_BELS 2 SITEPROP TIEOFF_X37Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y4 NUM_PINS 2 SITEPROP TIEOFF_X37Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y4 PROHIBIT 0 SITEPROP TIEOFF_X37Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y4 RPM_X 144 SITEPROP TIEOFF_X37Y4 RPM_Y 8 SITEPROP TIEOFF_X37Y4 SITE_PIPS SITEPROP TIEOFF_X37Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y5 CLASS site SITEPROP TIEOFF_X37Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y5 IS_BONDED 0 SITEPROP TIEOFF_X37Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y5 IS_PAD 0 SITEPROP TIEOFF_X37Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y5 IS_RESERVED 0 SITEPROP TIEOFF_X37Y5 IS_TEST 0 SITEPROP TIEOFF_X37Y5 IS_USED 0 SITEPROP TIEOFF_X37Y5 MANUAL_ROUTING SITEPROP TIEOFF_X37Y5 NAME TIEOFF_X37Y5 SITEPROP TIEOFF_X37Y5 NUM_ARCS 0 SITEPROP TIEOFF_X37Y5 NUM_BELS 2 SITEPROP TIEOFF_X37Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y5 NUM_PINS 2 SITEPROP TIEOFF_X37Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y5 PROHIBIT 0 SITEPROP TIEOFF_X37Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y5 RPM_X 144 SITEPROP TIEOFF_X37Y5 RPM_Y 10 SITEPROP TIEOFF_X37Y5 SITE_PIPS SITEPROP TIEOFF_X37Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y6 CLASS site SITEPROP TIEOFF_X37Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y6 IS_BONDED 0 SITEPROP TIEOFF_X37Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y6 IS_PAD 0 SITEPROP TIEOFF_X37Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y6 IS_RESERVED 0 SITEPROP TIEOFF_X37Y6 IS_TEST 0 SITEPROP TIEOFF_X37Y6 IS_USED 0 SITEPROP TIEOFF_X37Y6 MANUAL_ROUTING SITEPROP TIEOFF_X37Y6 NAME TIEOFF_X37Y6 SITEPROP TIEOFF_X37Y6 NUM_ARCS 0 SITEPROP TIEOFF_X37Y6 NUM_BELS 2 SITEPROP TIEOFF_X37Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y6 NUM_PINS 2 SITEPROP TIEOFF_X37Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y6 PROHIBIT 0 SITEPROP TIEOFF_X37Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y6 RPM_X 144 SITEPROP TIEOFF_X37Y6 RPM_Y 12 SITEPROP TIEOFF_X37Y6 SITE_PIPS SITEPROP TIEOFF_X37Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y7 CLASS site SITEPROP TIEOFF_X37Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y7 IS_BONDED 0 SITEPROP TIEOFF_X37Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y7 IS_PAD 0 SITEPROP TIEOFF_X37Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y7 IS_RESERVED 0 SITEPROP TIEOFF_X37Y7 IS_TEST 0 SITEPROP TIEOFF_X37Y7 IS_USED 0 SITEPROP TIEOFF_X37Y7 MANUAL_ROUTING SITEPROP TIEOFF_X37Y7 NAME TIEOFF_X37Y7 SITEPROP TIEOFF_X37Y7 NUM_ARCS 0 SITEPROP TIEOFF_X37Y7 NUM_BELS 2 SITEPROP TIEOFF_X37Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y7 NUM_PINS 2 SITEPROP TIEOFF_X37Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y7 PROHIBIT 0 SITEPROP TIEOFF_X37Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y7 RPM_X 144 SITEPROP TIEOFF_X37Y7 RPM_Y 14 SITEPROP TIEOFF_X37Y7 SITE_PIPS SITEPROP TIEOFF_X37Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y8 CLASS site SITEPROP TIEOFF_X37Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y8 IS_BONDED 0 SITEPROP TIEOFF_X37Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y8 IS_PAD 0 SITEPROP TIEOFF_X37Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y8 IS_RESERVED 0 SITEPROP TIEOFF_X37Y8 IS_TEST 0 SITEPROP TIEOFF_X37Y8 IS_USED 0 SITEPROP TIEOFF_X37Y8 MANUAL_ROUTING SITEPROP TIEOFF_X37Y8 NAME TIEOFF_X37Y8 SITEPROP TIEOFF_X37Y8 NUM_ARCS 0 SITEPROP TIEOFF_X37Y8 NUM_BELS 2 SITEPROP TIEOFF_X37Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y8 NUM_PINS 2 SITEPROP TIEOFF_X37Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y8 PROHIBIT 0 SITEPROP TIEOFF_X37Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y8 RPM_X 144 SITEPROP TIEOFF_X37Y8 RPM_Y 16 SITEPROP TIEOFF_X37Y8 SITE_PIPS SITEPROP TIEOFF_X37Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y9 CLASS site SITEPROP TIEOFF_X37Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y9 IS_BONDED 0 SITEPROP TIEOFF_X37Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y9 IS_PAD 0 SITEPROP TIEOFF_X37Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y9 IS_RESERVED 0 SITEPROP TIEOFF_X37Y9 IS_TEST 0 SITEPROP TIEOFF_X37Y9 IS_USED 0 SITEPROP TIEOFF_X37Y9 MANUAL_ROUTING SITEPROP TIEOFF_X37Y9 NAME TIEOFF_X37Y9 SITEPROP TIEOFF_X37Y9 NUM_ARCS 0 SITEPROP TIEOFF_X37Y9 NUM_BELS 2 SITEPROP TIEOFF_X37Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y9 NUM_PINS 2 SITEPROP TIEOFF_X37Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y9 PROHIBIT 0 SITEPROP TIEOFF_X37Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y9 RPM_X 144 SITEPROP TIEOFF_X37Y9 RPM_Y 18 SITEPROP TIEOFF_X37Y9 SITE_PIPS SITEPROP TIEOFF_X37Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y10 CLASS site SITEPROP TIEOFF_X37Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y10 IS_BONDED 0 SITEPROP TIEOFF_X37Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y10 IS_PAD 0 SITEPROP TIEOFF_X37Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y10 IS_RESERVED 0 SITEPROP TIEOFF_X37Y10 IS_TEST 0 SITEPROP TIEOFF_X37Y10 IS_USED 0 SITEPROP TIEOFF_X37Y10 MANUAL_ROUTING SITEPROP TIEOFF_X37Y10 NAME TIEOFF_X37Y10 SITEPROP TIEOFF_X37Y10 NUM_ARCS 0 SITEPROP TIEOFF_X37Y10 NUM_BELS 2 SITEPROP TIEOFF_X37Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y10 NUM_PINS 2 SITEPROP TIEOFF_X37Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y10 PROHIBIT 0 SITEPROP TIEOFF_X37Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y10 RPM_X 144 SITEPROP TIEOFF_X37Y10 RPM_Y 20 SITEPROP TIEOFF_X37Y10 SITE_PIPS SITEPROP TIEOFF_X37Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y11 CLASS site SITEPROP TIEOFF_X37Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y11 IS_BONDED 0 SITEPROP TIEOFF_X37Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y11 IS_PAD 0 SITEPROP TIEOFF_X37Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y11 IS_RESERVED 0 SITEPROP TIEOFF_X37Y11 IS_TEST 0 SITEPROP TIEOFF_X37Y11 IS_USED 0 SITEPROP TIEOFF_X37Y11 MANUAL_ROUTING SITEPROP TIEOFF_X37Y11 NAME TIEOFF_X37Y11 SITEPROP TIEOFF_X37Y11 NUM_ARCS 0 SITEPROP TIEOFF_X37Y11 NUM_BELS 2 SITEPROP TIEOFF_X37Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y11 NUM_PINS 2 SITEPROP TIEOFF_X37Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y11 PROHIBIT 0 SITEPROP TIEOFF_X37Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y11 RPM_X 144 SITEPROP TIEOFF_X37Y11 RPM_Y 22 SITEPROP TIEOFF_X37Y11 SITE_PIPS SITEPROP TIEOFF_X37Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y12 CLASS site SITEPROP TIEOFF_X37Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y12 IS_BONDED 0 SITEPROP TIEOFF_X37Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y12 IS_PAD 0 SITEPROP TIEOFF_X37Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y12 IS_RESERVED 0 SITEPROP TIEOFF_X37Y12 IS_TEST 0 SITEPROP TIEOFF_X37Y12 IS_USED 0 SITEPROP TIEOFF_X37Y12 MANUAL_ROUTING SITEPROP TIEOFF_X37Y12 NAME TIEOFF_X37Y12 SITEPROP TIEOFF_X37Y12 NUM_ARCS 0 SITEPROP TIEOFF_X37Y12 NUM_BELS 2 SITEPROP TIEOFF_X37Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y12 NUM_PINS 2 SITEPROP TIEOFF_X37Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y12 PROHIBIT 0 SITEPROP TIEOFF_X37Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y12 RPM_X 144 SITEPROP TIEOFF_X37Y12 RPM_Y 24 SITEPROP TIEOFF_X37Y12 SITE_PIPS SITEPROP TIEOFF_X37Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y13 CLASS site SITEPROP TIEOFF_X37Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y13 IS_BONDED 0 SITEPROP TIEOFF_X37Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y13 IS_PAD 0 SITEPROP TIEOFF_X37Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y13 IS_RESERVED 0 SITEPROP TIEOFF_X37Y13 IS_TEST 0 SITEPROP TIEOFF_X37Y13 IS_USED 0 SITEPROP TIEOFF_X37Y13 MANUAL_ROUTING SITEPROP TIEOFF_X37Y13 NAME TIEOFF_X37Y13 SITEPROP TIEOFF_X37Y13 NUM_ARCS 0 SITEPROP TIEOFF_X37Y13 NUM_BELS 2 SITEPROP TIEOFF_X37Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y13 NUM_PINS 2 SITEPROP TIEOFF_X37Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y13 PROHIBIT 0 SITEPROP TIEOFF_X37Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y13 RPM_X 144 SITEPROP TIEOFF_X37Y13 RPM_Y 26 SITEPROP TIEOFF_X37Y13 SITE_PIPS SITEPROP TIEOFF_X37Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y14 CLASS site SITEPROP TIEOFF_X37Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y14 IS_BONDED 0 SITEPROP TIEOFF_X37Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y14 IS_PAD 0 SITEPROP TIEOFF_X37Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y14 IS_RESERVED 0 SITEPROP TIEOFF_X37Y14 IS_TEST 0 SITEPROP TIEOFF_X37Y14 IS_USED 0 SITEPROP TIEOFF_X37Y14 MANUAL_ROUTING SITEPROP TIEOFF_X37Y14 NAME TIEOFF_X37Y14 SITEPROP TIEOFF_X37Y14 NUM_ARCS 0 SITEPROP TIEOFF_X37Y14 NUM_BELS 2 SITEPROP TIEOFF_X37Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y14 NUM_PINS 2 SITEPROP TIEOFF_X37Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y14 PROHIBIT 0 SITEPROP TIEOFF_X37Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y14 RPM_X 144 SITEPROP TIEOFF_X37Y14 RPM_Y 28 SITEPROP TIEOFF_X37Y14 SITE_PIPS SITEPROP TIEOFF_X37Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y15 CLASS site SITEPROP TIEOFF_X37Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y15 IS_BONDED 0 SITEPROP TIEOFF_X37Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y15 IS_PAD 0 SITEPROP TIEOFF_X37Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y15 IS_RESERVED 0 SITEPROP TIEOFF_X37Y15 IS_TEST 0 SITEPROP TIEOFF_X37Y15 IS_USED 0 SITEPROP TIEOFF_X37Y15 MANUAL_ROUTING SITEPROP TIEOFF_X37Y15 NAME TIEOFF_X37Y15 SITEPROP TIEOFF_X37Y15 NUM_ARCS 0 SITEPROP TIEOFF_X37Y15 NUM_BELS 2 SITEPROP TIEOFF_X37Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y15 NUM_PINS 2 SITEPROP TIEOFF_X37Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y15 PROHIBIT 0 SITEPROP TIEOFF_X37Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y15 RPM_X 144 SITEPROP TIEOFF_X37Y15 RPM_Y 30 SITEPROP TIEOFF_X37Y15 SITE_PIPS SITEPROP TIEOFF_X37Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y16 CLASS site SITEPROP TIEOFF_X37Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y16 IS_BONDED 0 SITEPROP TIEOFF_X37Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y16 IS_PAD 0 SITEPROP TIEOFF_X37Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y16 IS_RESERVED 0 SITEPROP TIEOFF_X37Y16 IS_TEST 0 SITEPROP TIEOFF_X37Y16 IS_USED 0 SITEPROP TIEOFF_X37Y16 MANUAL_ROUTING SITEPROP TIEOFF_X37Y16 NAME TIEOFF_X37Y16 SITEPROP TIEOFF_X37Y16 NUM_ARCS 0 SITEPROP TIEOFF_X37Y16 NUM_BELS 2 SITEPROP TIEOFF_X37Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y16 NUM_PINS 2 SITEPROP TIEOFF_X37Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y16 PROHIBIT 0 SITEPROP TIEOFF_X37Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y16 RPM_X 144 SITEPROP TIEOFF_X37Y16 RPM_Y 32 SITEPROP TIEOFF_X37Y16 SITE_PIPS SITEPROP TIEOFF_X37Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y17 CLASS site SITEPROP TIEOFF_X37Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y17 IS_BONDED 0 SITEPROP TIEOFF_X37Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y17 IS_PAD 0 SITEPROP TIEOFF_X37Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y17 IS_RESERVED 0 SITEPROP TIEOFF_X37Y17 IS_TEST 0 SITEPROP TIEOFF_X37Y17 IS_USED 0 SITEPROP TIEOFF_X37Y17 MANUAL_ROUTING SITEPROP TIEOFF_X37Y17 NAME TIEOFF_X37Y17 SITEPROP TIEOFF_X37Y17 NUM_ARCS 0 SITEPROP TIEOFF_X37Y17 NUM_BELS 2 SITEPROP TIEOFF_X37Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y17 NUM_PINS 2 SITEPROP TIEOFF_X37Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y17 PROHIBIT 0 SITEPROP TIEOFF_X37Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y17 RPM_X 144 SITEPROP TIEOFF_X37Y17 RPM_Y 34 SITEPROP TIEOFF_X37Y17 SITE_PIPS SITEPROP TIEOFF_X37Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y18 CLASS site SITEPROP TIEOFF_X37Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y18 IS_BONDED 0 SITEPROP TIEOFF_X37Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y18 IS_PAD 0 SITEPROP TIEOFF_X37Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y18 IS_RESERVED 0 SITEPROP TIEOFF_X37Y18 IS_TEST 0 SITEPROP TIEOFF_X37Y18 IS_USED 0 SITEPROP TIEOFF_X37Y18 MANUAL_ROUTING SITEPROP TIEOFF_X37Y18 NAME TIEOFF_X37Y18 SITEPROP TIEOFF_X37Y18 NUM_ARCS 0 SITEPROP TIEOFF_X37Y18 NUM_BELS 2 SITEPROP TIEOFF_X37Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y18 NUM_PINS 2 SITEPROP TIEOFF_X37Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y18 PROHIBIT 0 SITEPROP TIEOFF_X37Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y18 RPM_X 144 SITEPROP TIEOFF_X37Y18 RPM_Y 36 SITEPROP TIEOFF_X37Y18 SITE_PIPS SITEPROP TIEOFF_X37Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y19 CLASS site SITEPROP TIEOFF_X37Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y19 IS_BONDED 0 SITEPROP TIEOFF_X37Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y19 IS_PAD 0 SITEPROP TIEOFF_X37Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y19 IS_RESERVED 0 SITEPROP TIEOFF_X37Y19 IS_TEST 0 SITEPROP TIEOFF_X37Y19 IS_USED 0 SITEPROP TIEOFF_X37Y19 MANUAL_ROUTING SITEPROP TIEOFF_X37Y19 NAME TIEOFF_X37Y19 SITEPROP TIEOFF_X37Y19 NUM_ARCS 0 SITEPROP TIEOFF_X37Y19 NUM_BELS 2 SITEPROP TIEOFF_X37Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y19 NUM_PINS 2 SITEPROP TIEOFF_X37Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y19 PROHIBIT 0 SITEPROP TIEOFF_X37Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y19 RPM_X 144 SITEPROP TIEOFF_X37Y19 RPM_Y 38 SITEPROP TIEOFF_X37Y19 SITE_PIPS SITEPROP TIEOFF_X37Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y20 CLASS site SITEPROP TIEOFF_X37Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y20 IS_BONDED 0 SITEPROP TIEOFF_X37Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y20 IS_PAD 0 SITEPROP TIEOFF_X37Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y20 IS_RESERVED 0 SITEPROP TIEOFF_X37Y20 IS_TEST 0 SITEPROP TIEOFF_X37Y20 IS_USED 0 SITEPROP TIEOFF_X37Y20 MANUAL_ROUTING SITEPROP TIEOFF_X37Y20 NAME TIEOFF_X37Y20 SITEPROP TIEOFF_X37Y20 NUM_ARCS 0 SITEPROP TIEOFF_X37Y20 NUM_BELS 2 SITEPROP TIEOFF_X37Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y20 NUM_PINS 2 SITEPROP TIEOFF_X37Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y20 PROHIBIT 0 SITEPROP TIEOFF_X37Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y20 RPM_X 144 SITEPROP TIEOFF_X37Y20 RPM_Y 40 SITEPROP TIEOFF_X37Y20 SITE_PIPS SITEPROP TIEOFF_X37Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y21 CLASS site SITEPROP TIEOFF_X37Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y21 IS_BONDED 0 SITEPROP TIEOFF_X37Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y21 IS_PAD 0 SITEPROP TIEOFF_X37Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y21 IS_RESERVED 0 SITEPROP TIEOFF_X37Y21 IS_TEST 0 SITEPROP TIEOFF_X37Y21 IS_USED 0 SITEPROP TIEOFF_X37Y21 MANUAL_ROUTING SITEPROP TIEOFF_X37Y21 NAME TIEOFF_X37Y21 SITEPROP TIEOFF_X37Y21 NUM_ARCS 0 SITEPROP TIEOFF_X37Y21 NUM_BELS 2 SITEPROP TIEOFF_X37Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y21 NUM_PINS 2 SITEPROP TIEOFF_X37Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y21 PROHIBIT 0 SITEPROP TIEOFF_X37Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y21 RPM_X 144 SITEPROP TIEOFF_X37Y21 RPM_Y 42 SITEPROP TIEOFF_X37Y21 SITE_PIPS SITEPROP TIEOFF_X37Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y22 CLASS site SITEPROP TIEOFF_X37Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y22 IS_BONDED 0 SITEPROP TIEOFF_X37Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y22 IS_PAD 0 SITEPROP TIEOFF_X37Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y22 IS_RESERVED 0 SITEPROP TIEOFF_X37Y22 IS_TEST 0 SITEPROP TIEOFF_X37Y22 IS_USED 0 SITEPROP TIEOFF_X37Y22 MANUAL_ROUTING SITEPROP TIEOFF_X37Y22 NAME TIEOFF_X37Y22 SITEPROP TIEOFF_X37Y22 NUM_ARCS 0 SITEPROP TIEOFF_X37Y22 NUM_BELS 2 SITEPROP TIEOFF_X37Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y22 NUM_PINS 2 SITEPROP TIEOFF_X37Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y22 PROHIBIT 0 SITEPROP TIEOFF_X37Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y22 RPM_X 144 SITEPROP TIEOFF_X37Y22 RPM_Y 44 SITEPROP TIEOFF_X37Y22 SITE_PIPS SITEPROP TIEOFF_X37Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y23 CLASS site SITEPROP TIEOFF_X37Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y23 IS_BONDED 0 SITEPROP TIEOFF_X37Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y23 IS_PAD 0 SITEPROP TIEOFF_X37Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y23 IS_RESERVED 0 SITEPROP TIEOFF_X37Y23 IS_TEST 0 SITEPROP TIEOFF_X37Y23 IS_USED 0 SITEPROP TIEOFF_X37Y23 MANUAL_ROUTING SITEPROP TIEOFF_X37Y23 NAME TIEOFF_X37Y23 SITEPROP TIEOFF_X37Y23 NUM_ARCS 0 SITEPROP TIEOFF_X37Y23 NUM_BELS 2 SITEPROP TIEOFF_X37Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y23 NUM_PINS 2 SITEPROP TIEOFF_X37Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y23 PROHIBIT 0 SITEPROP TIEOFF_X37Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y23 RPM_X 144 SITEPROP TIEOFF_X37Y23 RPM_Y 46 SITEPROP TIEOFF_X37Y23 SITE_PIPS SITEPROP TIEOFF_X37Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y24 CLASS site SITEPROP TIEOFF_X37Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y24 IS_BONDED 0 SITEPROP TIEOFF_X37Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y24 IS_PAD 0 SITEPROP TIEOFF_X37Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y24 IS_RESERVED 0 SITEPROP TIEOFF_X37Y24 IS_TEST 0 SITEPROP TIEOFF_X37Y24 IS_USED 0 SITEPROP TIEOFF_X37Y24 MANUAL_ROUTING SITEPROP TIEOFF_X37Y24 NAME TIEOFF_X37Y24 SITEPROP TIEOFF_X37Y24 NUM_ARCS 0 SITEPROP TIEOFF_X37Y24 NUM_BELS 2 SITEPROP TIEOFF_X37Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y24 NUM_PINS 2 SITEPROP TIEOFF_X37Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y24 PROHIBIT 0 SITEPROP TIEOFF_X37Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y24 RPM_X 144 SITEPROP TIEOFF_X37Y24 RPM_Y 48 SITEPROP TIEOFF_X37Y24 SITE_PIPS SITEPROP TIEOFF_X37Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y25 CLASS site SITEPROP TIEOFF_X37Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y25 IS_BONDED 0 SITEPROP TIEOFF_X37Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y25 IS_PAD 0 SITEPROP TIEOFF_X37Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y25 IS_RESERVED 0 SITEPROP TIEOFF_X37Y25 IS_TEST 0 SITEPROP TIEOFF_X37Y25 IS_USED 0 SITEPROP TIEOFF_X37Y25 MANUAL_ROUTING SITEPROP TIEOFF_X37Y25 NAME TIEOFF_X37Y25 SITEPROP TIEOFF_X37Y25 NUM_ARCS 0 SITEPROP TIEOFF_X37Y25 NUM_BELS 2 SITEPROP TIEOFF_X37Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y25 NUM_PINS 2 SITEPROP TIEOFF_X37Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y25 PROHIBIT 0 SITEPROP TIEOFF_X37Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y25 RPM_X 144 SITEPROP TIEOFF_X37Y25 RPM_Y 50 SITEPROP TIEOFF_X37Y25 SITE_PIPS SITEPROP TIEOFF_X37Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y26 CLASS site SITEPROP TIEOFF_X37Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y26 IS_BONDED 0 SITEPROP TIEOFF_X37Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y26 IS_PAD 0 SITEPROP TIEOFF_X37Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y26 IS_RESERVED 0 SITEPROP TIEOFF_X37Y26 IS_TEST 0 SITEPROP TIEOFF_X37Y26 IS_USED 0 SITEPROP TIEOFF_X37Y26 MANUAL_ROUTING SITEPROP TIEOFF_X37Y26 NAME TIEOFF_X37Y26 SITEPROP TIEOFF_X37Y26 NUM_ARCS 0 SITEPROP TIEOFF_X37Y26 NUM_BELS 2 SITEPROP TIEOFF_X37Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y26 NUM_PINS 2 SITEPROP TIEOFF_X37Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y26 PROHIBIT 0 SITEPROP TIEOFF_X37Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y26 RPM_X 144 SITEPROP TIEOFF_X37Y26 RPM_Y 52 SITEPROP TIEOFF_X37Y26 SITE_PIPS SITEPROP TIEOFF_X37Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y27 CLASS site SITEPROP TIEOFF_X37Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y27 IS_BONDED 0 SITEPROP TIEOFF_X37Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y27 IS_PAD 0 SITEPROP TIEOFF_X37Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y27 IS_RESERVED 0 SITEPROP TIEOFF_X37Y27 IS_TEST 0 SITEPROP TIEOFF_X37Y27 IS_USED 0 SITEPROP TIEOFF_X37Y27 MANUAL_ROUTING SITEPROP TIEOFF_X37Y27 NAME TIEOFF_X37Y27 SITEPROP TIEOFF_X37Y27 NUM_ARCS 0 SITEPROP TIEOFF_X37Y27 NUM_BELS 2 SITEPROP TIEOFF_X37Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y27 NUM_PINS 2 SITEPROP TIEOFF_X37Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y27 PROHIBIT 0 SITEPROP TIEOFF_X37Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y27 RPM_X 144 SITEPROP TIEOFF_X37Y27 RPM_Y 54 SITEPROP TIEOFF_X37Y27 SITE_PIPS SITEPROP TIEOFF_X37Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y28 CLASS site SITEPROP TIEOFF_X37Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y28 IS_BONDED 0 SITEPROP TIEOFF_X37Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y28 IS_PAD 0 SITEPROP TIEOFF_X37Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y28 IS_RESERVED 0 SITEPROP TIEOFF_X37Y28 IS_TEST 0 SITEPROP TIEOFF_X37Y28 IS_USED 0 SITEPROP TIEOFF_X37Y28 MANUAL_ROUTING SITEPROP TIEOFF_X37Y28 NAME TIEOFF_X37Y28 SITEPROP TIEOFF_X37Y28 NUM_ARCS 0 SITEPROP TIEOFF_X37Y28 NUM_BELS 2 SITEPROP TIEOFF_X37Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y28 NUM_PINS 2 SITEPROP TIEOFF_X37Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y28 PROHIBIT 0 SITEPROP TIEOFF_X37Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y28 RPM_X 144 SITEPROP TIEOFF_X37Y28 RPM_Y 56 SITEPROP TIEOFF_X37Y28 SITE_PIPS SITEPROP TIEOFF_X37Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y29 CLASS site SITEPROP TIEOFF_X37Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y29 IS_BONDED 0 SITEPROP TIEOFF_X37Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y29 IS_PAD 0 SITEPROP TIEOFF_X37Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y29 IS_RESERVED 0 SITEPROP TIEOFF_X37Y29 IS_TEST 0 SITEPROP TIEOFF_X37Y29 IS_USED 0 SITEPROP TIEOFF_X37Y29 MANUAL_ROUTING SITEPROP TIEOFF_X37Y29 NAME TIEOFF_X37Y29 SITEPROP TIEOFF_X37Y29 NUM_ARCS 0 SITEPROP TIEOFF_X37Y29 NUM_BELS 2 SITEPROP TIEOFF_X37Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y29 NUM_PINS 2 SITEPROP TIEOFF_X37Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y29 PROHIBIT 0 SITEPROP TIEOFF_X37Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y29 RPM_X 144 SITEPROP TIEOFF_X37Y29 RPM_Y 58 SITEPROP TIEOFF_X37Y29 SITE_PIPS SITEPROP TIEOFF_X37Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y30 CLASS site SITEPROP TIEOFF_X37Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y30 IS_BONDED 0 SITEPROP TIEOFF_X37Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y30 IS_PAD 0 SITEPROP TIEOFF_X37Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y30 IS_RESERVED 0 SITEPROP TIEOFF_X37Y30 IS_TEST 0 SITEPROP TIEOFF_X37Y30 IS_USED 0 SITEPROP TIEOFF_X37Y30 MANUAL_ROUTING SITEPROP TIEOFF_X37Y30 NAME TIEOFF_X37Y30 SITEPROP TIEOFF_X37Y30 NUM_ARCS 0 SITEPROP TIEOFF_X37Y30 NUM_BELS 2 SITEPROP TIEOFF_X37Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y30 NUM_PINS 2 SITEPROP TIEOFF_X37Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y30 PROHIBIT 0 SITEPROP TIEOFF_X37Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y30 RPM_X 144 SITEPROP TIEOFF_X37Y30 RPM_Y 60 SITEPROP TIEOFF_X37Y30 SITE_PIPS SITEPROP TIEOFF_X37Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y31 CLASS site SITEPROP TIEOFF_X37Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y31 IS_BONDED 0 SITEPROP TIEOFF_X37Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y31 IS_PAD 0 SITEPROP TIEOFF_X37Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y31 IS_RESERVED 0 SITEPROP TIEOFF_X37Y31 IS_TEST 0 SITEPROP TIEOFF_X37Y31 IS_USED 0 SITEPROP TIEOFF_X37Y31 MANUAL_ROUTING SITEPROP TIEOFF_X37Y31 NAME TIEOFF_X37Y31 SITEPROP TIEOFF_X37Y31 NUM_ARCS 0 SITEPROP TIEOFF_X37Y31 NUM_BELS 2 SITEPROP TIEOFF_X37Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y31 NUM_PINS 2 SITEPROP TIEOFF_X37Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y31 PROHIBIT 0 SITEPROP TIEOFF_X37Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y31 RPM_X 144 SITEPROP TIEOFF_X37Y31 RPM_Y 62 SITEPROP TIEOFF_X37Y31 SITE_PIPS SITEPROP TIEOFF_X37Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y32 CLASS site SITEPROP TIEOFF_X37Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y32 IS_BONDED 0 SITEPROP TIEOFF_X37Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y32 IS_PAD 0 SITEPROP TIEOFF_X37Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y32 IS_RESERVED 0 SITEPROP TIEOFF_X37Y32 IS_TEST 0 SITEPROP TIEOFF_X37Y32 IS_USED 0 SITEPROP TIEOFF_X37Y32 MANUAL_ROUTING SITEPROP TIEOFF_X37Y32 NAME TIEOFF_X37Y32 SITEPROP TIEOFF_X37Y32 NUM_ARCS 0 SITEPROP TIEOFF_X37Y32 NUM_BELS 2 SITEPROP TIEOFF_X37Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y32 NUM_PINS 2 SITEPROP TIEOFF_X37Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y32 PROHIBIT 0 SITEPROP TIEOFF_X37Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y32 RPM_X 144 SITEPROP TIEOFF_X37Y32 RPM_Y 64 SITEPROP TIEOFF_X37Y32 SITE_PIPS SITEPROP TIEOFF_X37Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y33 CLASS site SITEPROP TIEOFF_X37Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y33 IS_BONDED 0 SITEPROP TIEOFF_X37Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y33 IS_PAD 0 SITEPROP TIEOFF_X37Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y33 IS_RESERVED 0 SITEPROP TIEOFF_X37Y33 IS_TEST 0 SITEPROP TIEOFF_X37Y33 IS_USED 0 SITEPROP TIEOFF_X37Y33 MANUAL_ROUTING SITEPROP TIEOFF_X37Y33 NAME TIEOFF_X37Y33 SITEPROP TIEOFF_X37Y33 NUM_ARCS 0 SITEPROP TIEOFF_X37Y33 NUM_BELS 2 SITEPROP TIEOFF_X37Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y33 NUM_PINS 2 SITEPROP TIEOFF_X37Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y33 PROHIBIT 0 SITEPROP TIEOFF_X37Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y33 RPM_X 144 SITEPROP TIEOFF_X37Y33 RPM_Y 66 SITEPROP TIEOFF_X37Y33 SITE_PIPS SITEPROP TIEOFF_X37Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y34 CLASS site SITEPROP TIEOFF_X37Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y34 IS_BONDED 0 SITEPROP TIEOFF_X37Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y34 IS_PAD 0 SITEPROP TIEOFF_X37Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y34 IS_RESERVED 0 SITEPROP TIEOFF_X37Y34 IS_TEST 0 SITEPROP TIEOFF_X37Y34 IS_USED 0 SITEPROP TIEOFF_X37Y34 MANUAL_ROUTING SITEPROP TIEOFF_X37Y34 NAME TIEOFF_X37Y34 SITEPROP TIEOFF_X37Y34 NUM_ARCS 0 SITEPROP TIEOFF_X37Y34 NUM_BELS 2 SITEPROP TIEOFF_X37Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y34 NUM_PINS 2 SITEPROP TIEOFF_X37Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y34 PROHIBIT 0 SITEPROP TIEOFF_X37Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y34 RPM_X 144 SITEPROP TIEOFF_X37Y34 RPM_Y 68 SITEPROP TIEOFF_X37Y34 SITE_PIPS SITEPROP TIEOFF_X37Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y35 CLASS site SITEPROP TIEOFF_X37Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y35 IS_BONDED 0 SITEPROP TIEOFF_X37Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y35 IS_PAD 0 SITEPROP TIEOFF_X37Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y35 IS_RESERVED 0 SITEPROP TIEOFF_X37Y35 IS_TEST 0 SITEPROP TIEOFF_X37Y35 IS_USED 0 SITEPROP TIEOFF_X37Y35 MANUAL_ROUTING SITEPROP TIEOFF_X37Y35 NAME TIEOFF_X37Y35 SITEPROP TIEOFF_X37Y35 NUM_ARCS 0 SITEPROP TIEOFF_X37Y35 NUM_BELS 2 SITEPROP TIEOFF_X37Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y35 NUM_PINS 2 SITEPROP TIEOFF_X37Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y35 PROHIBIT 0 SITEPROP TIEOFF_X37Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y35 RPM_X 144 SITEPROP TIEOFF_X37Y35 RPM_Y 70 SITEPROP TIEOFF_X37Y35 SITE_PIPS SITEPROP TIEOFF_X37Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y36 CLASS site SITEPROP TIEOFF_X37Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y36 IS_BONDED 0 SITEPROP TIEOFF_X37Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y36 IS_PAD 0 SITEPROP TIEOFF_X37Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y36 IS_RESERVED 0 SITEPROP TIEOFF_X37Y36 IS_TEST 0 SITEPROP TIEOFF_X37Y36 IS_USED 0 SITEPROP TIEOFF_X37Y36 MANUAL_ROUTING SITEPROP TIEOFF_X37Y36 NAME TIEOFF_X37Y36 SITEPROP TIEOFF_X37Y36 NUM_ARCS 0 SITEPROP TIEOFF_X37Y36 NUM_BELS 2 SITEPROP TIEOFF_X37Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y36 NUM_PINS 2 SITEPROP TIEOFF_X37Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y36 PROHIBIT 0 SITEPROP TIEOFF_X37Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y36 RPM_X 144 SITEPROP TIEOFF_X37Y36 RPM_Y 72 SITEPROP TIEOFF_X37Y36 SITE_PIPS SITEPROP TIEOFF_X37Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y37 CLASS site SITEPROP TIEOFF_X37Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y37 IS_BONDED 0 SITEPROP TIEOFF_X37Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y37 IS_PAD 0 SITEPROP TIEOFF_X37Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y37 IS_RESERVED 0 SITEPROP TIEOFF_X37Y37 IS_TEST 0 SITEPROP TIEOFF_X37Y37 IS_USED 0 SITEPROP TIEOFF_X37Y37 MANUAL_ROUTING SITEPROP TIEOFF_X37Y37 NAME TIEOFF_X37Y37 SITEPROP TIEOFF_X37Y37 NUM_ARCS 0 SITEPROP TIEOFF_X37Y37 NUM_BELS 2 SITEPROP TIEOFF_X37Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y37 NUM_PINS 2 SITEPROP TIEOFF_X37Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y37 PROHIBIT 0 SITEPROP TIEOFF_X37Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y37 RPM_X 144 SITEPROP TIEOFF_X37Y37 RPM_Y 74 SITEPROP TIEOFF_X37Y37 SITE_PIPS SITEPROP TIEOFF_X37Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y38 CLASS site SITEPROP TIEOFF_X37Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y38 IS_BONDED 0 SITEPROP TIEOFF_X37Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y38 IS_PAD 0 SITEPROP TIEOFF_X37Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y38 IS_RESERVED 0 SITEPROP TIEOFF_X37Y38 IS_TEST 0 SITEPROP TIEOFF_X37Y38 IS_USED 0 SITEPROP TIEOFF_X37Y38 MANUAL_ROUTING SITEPROP TIEOFF_X37Y38 NAME TIEOFF_X37Y38 SITEPROP TIEOFF_X37Y38 NUM_ARCS 0 SITEPROP TIEOFF_X37Y38 NUM_BELS 2 SITEPROP TIEOFF_X37Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y38 NUM_PINS 2 SITEPROP TIEOFF_X37Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y38 PROHIBIT 0 SITEPROP TIEOFF_X37Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y38 RPM_X 144 SITEPROP TIEOFF_X37Y38 RPM_Y 76 SITEPROP TIEOFF_X37Y38 SITE_PIPS SITEPROP TIEOFF_X37Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y39 CLASS site SITEPROP TIEOFF_X37Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y39 IS_BONDED 0 SITEPROP TIEOFF_X37Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y39 IS_PAD 0 SITEPROP TIEOFF_X37Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y39 IS_RESERVED 0 SITEPROP TIEOFF_X37Y39 IS_TEST 0 SITEPROP TIEOFF_X37Y39 IS_USED 0 SITEPROP TIEOFF_X37Y39 MANUAL_ROUTING SITEPROP TIEOFF_X37Y39 NAME TIEOFF_X37Y39 SITEPROP TIEOFF_X37Y39 NUM_ARCS 0 SITEPROP TIEOFF_X37Y39 NUM_BELS 2 SITEPROP TIEOFF_X37Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y39 NUM_PINS 2 SITEPROP TIEOFF_X37Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y39 PROHIBIT 0 SITEPROP TIEOFF_X37Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y39 RPM_X 144 SITEPROP TIEOFF_X37Y39 RPM_Y 78 SITEPROP TIEOFF_X37Y39 SITE_PIPS SITEPROP TIEOFF_X37Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y40 CLASS site SITEPROP TIEOFF_X37Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y40 IS_BONDED 0 SITEPROP TIEOFF_X37Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y40 IS_PAD 0 SITEPROP TIEOFF_X37Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y40 IS_RESERVED 0 SITEPROP TIEOFF_X37Y40 IS_TEST 0 SITEPROP TIEOFF_X37Y40 IS_USED 0 SITEPROP TIEOFF_X37Y40 MANUAL_ROUTING SITEPROP TIEOFF_X37Y40 NAME TIEOFF_X37Y40 SITEPROP TIEOFF_X37Y40 NUM_ARCS 0 SITEPROP TIEOFF_X37Y40 NUM_BELS 2 SITEPROP TIEOFF_X37Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y40 NUM_PINS 2 SITEPROP TIEOFF_X37Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y40 PROHIBIT 0 SITEPROP TIEOFF_X37Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y40 RPM_X 144 SITEPROP TIEOFF_X37Y40 RPM_Y 80 SITEPROP TIEOFF_X37Y40 SITE_PIPS SITEPROP TIEOFF_X37Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y41 CLASS site SITEPROP TIEOFF_X37Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y41 IS_BONDED 0 SITEPROP TIEOFF_X37Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y41 IS_PAD 0 SITEPROP TIEOFF_X37Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y41 IS_RESERVED 0 SITEPROP TIEOFF_X37Y41 IS_TEST 0 SITEPROP TIEOFF_X37Y41 IS_USED 0 SITEPROP TIEOFF_X37Y41 MANUAL_ROUTING SITEPROP TIEOFF_X37Y41 NAME TIEOFF_X37Y41 SITEPROP TIEOFF_X37Y41 NUM_ARCS 0 SITEPROP TIEOFF_X37Y41 NUM_BELS 2 SITEPROP TIEOFF_X37Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y41 NUM_PINS 2 SITEPROP TIEOFF_X37Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y41 PROHIBIT 0 SITEPROP TIEOFF_X37Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y41 RPM_X 144 SITEPROP TIEOFF_X37Y41 RPM_Y 82 SITEPROP TIEOFF_X37Y41 SITE_PIPS SITEPROP TIEOFF_X37Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y42 CLASS site SITEPROP TIEOFF_X37Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y42 IS_BONDED 0 SITEPROP TIEOFF_X37Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y42 IS_PAD 0 SITEPROP TIEOFF_X37Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y42 IS_RESERVED 0 SITEPROP TIEOFF_X37Y42 IS_TEST 0 SITEPROP TIEOFF_X37Y42 IS_USED 0 SITEPROP TIEOFF_X37Y42 MANUAL_ROUTING SITEPROP TIEOFF_X37Y42 NAME TIEOFF_X37Y42 SITEPROP TIEOFF_X37Y42 NUM_ARCS 0 SITEPROP TIEOFF_X37Y42 NUM_BELS 2 SITEPROP TIEOFF_X37Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y42 NUM_PINS 2 SITEPROP TIEOFF_X37Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y42 PROHIBIT 0 SITEPROP TIEOFF_X37Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y42 RPM_X 144 SITEPROP TIEOFF_X37Y42 RPM_Y 84 SITEPROP TIEOFF_X37Y42 SITE_PIPS SITEPROP TIEOFF_X37Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y43 CLASS site SITEPROP TIEOFF_X37Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y43 IS_BONDED 0 SITEPROP TIEOFF_X37Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y43 IS_PAD 0 SITEPROP TIEOFF_X37Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y43 IS_RESERVED 0 SITEPROP TIEOFF_X37Y43 IS_TEST 0 SITEPROP TIEOFF_X37Y43 IS_USED 0 SITEPROP TIEOFF_X37Y43 MANUAL_ROUTING SITEPROP TIEOFF_X37Y43 NAME TIEOFF_X37Y43 SITEPROP TIEOFF_X37Y43 NUM_ARCS 0 SITEPROP TIEOFF_X37Y43 NUM_BELS 2 SITEPROP TIEOFF_X37Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y43 NUM_PINS 2 SITEPROP TIEOFF_X37Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y43 PROHIBIT 0 SITEPROP TIEOFF_X37Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y43 RPM_X 144 SITEPROP TIEOFF_X37Y43 RPM_Y 86 SITEPROP TIEOFF_X37Y43 SITE_PIPS SITEPROP TIEOFF_X37Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y44 CLASS site SITEPROP TIEOFF_X37Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y44 IS_BONDED 0 SITEPROP TIEOFF_X37Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y44 IS_PAD 0 SITEPROP TIEOFF_X37Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y44 IS_RESERVED 0 SITEPROP TIEOFF_X37Y44 IS_TEST 0 SITEPROP TIEOFF_X37Y44 IS_USED 0 SITEPROP TIEOFF_X37Y44 MANUAL_ROUTING SITEPROP TIEOFF_X37Y44 NAME TIEOFF_X37Y44 SITEPROP TIEOFF_X37Y44 NUM_ARCS 0 SITEPROP TIEOFF_X37Y44 NUM_BELS 2 SITEPROP TIEOFF_X37Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y44 NUM_PINS 2 SITEPROP TIEOFF_X37Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y44 PROHIBIT 0 SITEPROP TIEOFF_X37Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y44 RPM_X 144 SITEPROP TIEOFF_X37Y44 RPM_Y 88 SITEPROP TIEOFF_X37Y44 SITE_PIPS SITEPROP TIEOFF_X37Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y45 CLASS site SITEPROP TIEOFF_X37Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y45 IS_BONDED 0 SITEPROP TIEOFF_X37Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y45 IS_PAD 0 SITEPROP TIEOFF_X37Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y45 IS_RESERVED 0 SITEPROP TIEOFF_X37Y45 IS_TEST 0 SITEPROP TIEOFF_X37Y45 IS_USED 0 SITEPROP TIEOFF_X37Y45 MANUAL_ROUTING SITEPROP TIEOFF_X37Y45 NAME TIEOFF_X37Y45 SITEPROP TIEOFF_X37Y45 NUM_ARCS 0 SITEPROP TIEOFF_X37Y45 NUM_BELS 2 SITEPROP TIEOFF_X37Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y45 NUM_PINS 2 SITEPROP TIEOFF_X37Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y45 PROHIBIT 0 SITEPROP TIEOFF_X37Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y45 RPM_X 144 SITEPROP TIEOFF_X37Y45 RPM_Y 90 SITEPROP TIEOFF_X37Y45 SITE_PIPS SITEPROP TIEOFF_X37Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y46 CLASS site SITEPROP TIEOFF_X37Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y46 IS_BONDED 0 SITEPROP TIEOFF_X37Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y46 IS_PAD 0 SITEPROP TIEOFF_X37Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y46 IS_RESERVED 0 SITEPROP TIEOFF_X37Y46 IS_TEST 0 SITEPROP TIEOFF_X37Y46 IS_USED 0 SITEPROP TIEOFF_X37Y46 MANUAL_ROUTING SITEPROP TIEOFF_X37Y46 NAME TIEOFF_X37Y46 SITEPROP TIEOFF_X37Y46 NUM_ARCS 0 SITEPROP TIEOFF_X37Y46 NUM_BELS 2 SITEPROP TIEOFF_X37Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y46 NUM_PINS 2 SITEPROP TIEOFF_X37Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y46 PROHIBIT 0 SITEPROP TIEOFF_X37Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y46 RPM_X 144 SITEPROP TIEOFF_X37Y46 RPM_Y 92 SITEPROP TIEOFF_X37Y46 SITE_PIPS SITEPROP TIEOFF_X37Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y47 CLASS site SITEPROP TIEOFF_X37Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y47 IS_BONDED 0 SITEPROP TIEOFF_X37Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y47 IS_PAD 0 SITEPROP TIEOFF_X37Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y47 IS_RESERVED 0 SITEPROP TIEOFF_X37Y47 IS_TEST 0 SITEPROP TIEOFF_X37Y47 IS_USED 0 SITEPROP TIEOFF_X37Y47 MANUAL_ROUTING SITEPROP TIEOFF_X37Y47 NAME TIEOFF_X37Y47 SITEPROP TIEOFF_X37Y47 NUM_ARCS 0 SITEPROP TIEOFF_X37Y47 NUM_BELS 2 SITEPROP TIEOFF_X37Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y47 NUM_PINS 2 SITEPROP TIEOFF_X37Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y47 PROHIBIT 0 SITEPROP TIEOFF_X37Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y47 RPM_X 144 SITEPROP TIEOFF_X37Y47 RPM_Y 94 SITEPROP TIEOFF_X37Y47 SITE_PIPS SITEPROP TIEOFF_X37Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y48 CLASS site SITEPROP TIEOFF_X37Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y48 IS_BONDED 0 SITEPROP TIEOFF_X37Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y48 IS_PAD 0 SITEPROP TIEOFF_X37Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y48 IS_RESERVED 0 SITEPROP TIEOFF_X37Y48 IS_TEST 0 SITEPROP TIEOFF_X37Y48 IS_USED 0 SITEPROP TIEOFF_X37Y48 MANUAL_ROUTING SITEPROP TIEOFF_X37Y48 NAME TIEOFF_X37Y48 SITEPROP TIEOFF_X37Y48 NUM_ARCS 0 SITEPROP TIEOFF_X37Y48 NUM_BELS 2 SITEPROP TIEOFF_X37Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y48 NUM_PINS 2 SITEPROP TIEOFF_X37Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y48 PROHIBIT 0 SITEPROP TIEOFF_X37Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y48 RPM_X 144 SITEPROP TIEOFF_X37Y48 RPM_Y 96 SITEPROP TIEOFF_X37Y48 SITE_PIPS SITEPROP TIEOFF_X37Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y49 CLASS site SITEPROP TIEOFF_X37Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X37Y49 IS_BONDED 0 SITEPROP TIEOFF_X37Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y49 IS_PAD 0 SITEPROP TIEOFF_X37Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y49 IS_RESERVED 0 SITEPROP TIEOFF_X37Y49 IS_TEST 0 SITEPROP TIEOFF_X37Y49 IS_USED 0 SITEPROP TIEOFF_X37Y49 MANUAL_ROUTING SITEPROP TIEOFF_X37Y49 NAME TIEOFF_X37Y49 SITEPROP TIEOFF_X37Y49 NUM_ARCS 0 SITEPROP TIEOFF_X37Y49 NUM_BELS 2 SITEPROP TIEOFF_X37Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y49 NUM_PINS 2 SITEPROP TIEOFF_X37Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y49 PROHIBIT 0 SITEPROP TIEOFF_X37Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y49 RPM_X 144 SITEPROP TIEOFF_X37Y49 RPM_Y 98 SITEPROP TIEOFF_X37Y49 SITE_PIPS SITEPROP TIEOFF_X37Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y50 CLASS site SITEPROP TIEOFF_X37Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y50 IS_BONDED 0 SITEPROP TIEOFF_X37Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y50 IS_PAD 0 SITEPROP TIEOFF_X37Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y50 IS_RESERVED 0 SITEPROP TIEOFF_X37Y50 IS_TEST 0 SITEPROP TIEOFF_X37Y50 IS_USED 0 SITEPROP TIEOFF_X37Y50 MANUAL_ROUTING SITEPROP TIEOFF_X37Y50 NAME TIEOFF_X37Y50 SITEPROP TIEOFF_X37Y50 NUM_ARCS 0 SITEPROP TIEOFF_X37Y50 NUM_BELS 2 SITEPROP TIEOFF_X37Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y50 NUM_PINS 2 SITEPROP TIEOFF_X37Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y50 PROHIBIT 0 SITEPROP TIEOFF_X37Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y50 RPM_X 144 SITEPROP TIEOFF_X37Y50 RPM_Y 100 SITEPROP TIEOFF_X37Y50 SITE_PIPS SITEPROP TIEOFF_X37Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y51 CLASS site SITEPROP TIEOFF_X37Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y51 IS_BONDED 0 SITEPROP TIEOFF_X37Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y51 IS_PAD 0 SITEPROP TIEOFF_X37Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y51 IS_RESERVED 0 SITEPROP TIEOFF_X37Y51 IS_TEST 0 SITEPROP TIEOFF_X37Y51 IS_USED 0 SITEPROP TIEOFF_X37Y51 MANUAL_ROUTING SITEPROP TIEOFF_X37Y51 NAME TIEOFF_X37Y51 SITEPROP TIEOFF_X37Y51 NUM_ARCS 0 SITEPROP TIEOFF_X37Y51 NUM_BELS 2 SITEPROP TIEOFF_X37Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y51 NUM_PINS 2 SITEPROP TIEOFF_X37Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y51 PROHIBIT 0 SITEPROP TIEOFF_X37Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y51 RPM_X 144 SITEPROP TIEOFF_X37Y51 RPM_Y 102 SITEPROP TIEOFF_X37Y51 SITE_PIPS SITEPROP TIEOFF_X37Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y52 CLASS site SITEPROP TIEOFF_X37Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y52 IS_BONDED 0 SITEPROP TIEOFF_X37Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y52 IS_PAD 0 SITEPROP TIEOFF_X37Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y52 IS_RESERVED 0 SITEPROP TIEOFF_X37Y52 IS_TEST 0 SITEPROP TIEOFF_X37Y52 IS_USED 0 SITEPROP TIEOFF_X37Y52 MANUAL_ROUTING SITEPROP TIEOFF_X37Y52 NAME TIEOFF_X37Y52 SITEPROP TIEOFF_X37Y52 NUM_ARCS 0 SITEPROP TIEOFF_X37Y52 NUM_BELS 2 SITEPROP TIEOFF_X37Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y52 NUM_PINS 2 SITEPROP TIEOFF_X37Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y52 PROHIBIT 0 SITEPROP TIEOFF_X37Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y52 RPM_X 144 SITEPROP TIEOFF_X37Y52 RPM_Y 104 SITEPROP TIEOFF_X37Y52 SITE_PIPS SITEPROP TIEOFF_X37Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y53 CLASS site SITEPROP TIEOFF_X37Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y53 IS_BONDED 0 SITEPROP TIEOFF_X37Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y53 IS_PAD 0 SITEPROP TIEOFF_X37Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y53 IS_RESERVED 0 SITEPROP TIEOFF_X37Y53 IS_TEST 0 SITEPROP TIEOFF_X37Y53 IS_USED 0 SITEPROP TIEOFF_X37Y53 MANUAL_ROUTING SITEPROP TIEOFF_X37Y53 NAME TIEOFF_X37Y53 SITEPROP TIEOFF_X37Y53 NUM_ARCS 0 SITEPROP TIEOFF_X37Y53 NUM_BELS 2 SITEPROP TIEOFF_X37Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y53 NUM_PINS 2 SITEPROP TIEOFF_X37Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y53 PROHIBIT 0 SITEPROP TIEOFF_X37Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y53 RPM_X 144 SITEPROP TIEOFF_X37Y53 RPM_Y 106 SITEPROP TIEOFF_X37Y53 SITE_PIPS SITEPROP TIEOFF_X37Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y54 CLASS site SITEPROP TIEOFF_X37Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y54 IS_BONDED 0 SITEPROP TIEOFF_X37Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y54 IS_PAD 0 SITEPROP TIEOFF_X37Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y54 IS_RESERVED 0 SITEPROP TIEOFF_X37Y54 IS_TEST 0 SITEPROP TIEOFF_X37Y54 IS_USED 0 SITEPROP TIEOFF_X37Y54 MANUAL_ROUTING SITEPROP TIEOFF_X37Y54 NAME TIEOFF_X37Y54 SITEPROP TIEOFF_X37Y54 NUM_ARCS 0 SITEPROP TIEOFF_X37Y54 NUM_BELS 2 SITEPROP TIEOFF_X37Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y54 NUM_PINS 2 SITEPROP TIEOFF_X37Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y54 PROHIBIT 0 SITEPROP TIEOFF_X37Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y54 RPM_X 144 SITEPROP TIEOFF_X37Y54 RPM_Y 108 SITEPROP TIEOFF_X37Y54 SITE_PIPS SITEPROP TIEOFF_X37Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y55 CLASS site SITEPROP TIEOFF_X37Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y55 IS_BONDED 0 SITEPROP TIEOFF_X37Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y55 IS_PAD 0 SITEPROP TIEOFF_X37Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y55 IS_RESERVED 0 SITEPROP TIEOFF_X37Y55 IS_TEST 0 SITEPROP TIEOFF_X37Y55 IS_USED 0 SITEPROP TIEOFF_X37Y55 MANUAL_ROUTING SITEPROP TIEOFF_X37Y55 NAME TIEOFF_X37Y55 SITEPROP TIEOFF_X37Y55 NUM_ARCS 0 SITEPROP TIEOFF_X37Y55 NUM_BELS 2 SITEPROP TIEOFF_X37Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y55 NUM_PINS 2 SITEPROP TIEOFF_X37Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y55 PROHIBIT 0 SITEPROP TIEOFF_X37Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y55 RPM_X 144 SITEPROP TIEOFF_X37Y55 RPM_Y 110 SITEPROP TIEOFF_X37Y55 SITE_PIPS SITEPROP TIEOFF_X37Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y56 CLASS site SITEPROP TIEOFF_X37Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y56 IS_BONDED 0 SITEPROP TIEOFF_X37Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y56 IS_PAD 0 SITEPROP TIEOFF_X37Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y56 IS_RESERVED 0 SITEPROP TIEOFF_X37Y56 IS_TEST 0 SITEPROP TIEOFF_X37Y56 IS_USED 0 SITEPROP TIEOFF_X37Y56 MANUAL_ROUTING SITEPROP TIEOFF_X37Y56 NAME TIEOFF_X37Y56 SITEPROP TIEOFF_X37Y56 NUM_ARCS 0 SITEPROP TIEOFF_X37Y56 NUM_BELS 2 SITEPROP TIEOFF_X37Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y56 NUM_PINS 2 SITEPROP TIEOFF_X37Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y56 PROHIBIT 0 SITEPROP TIEOFF_X37Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y56 RPM_X 144 SITEPROP TIEOFF_X37Y56 RPM_Y 112 SITEPROP TIEOFF_X37Y56 SITE_PIPS SITEPROP TIEOFF_X37Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y57 CLASS site SITEPROP TIEOFF_X37Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y57 IS_BONDED 0 SITEPROP TIEOFF_X37Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y57 IS_PAD 0 SITEPROP TIEOFF_X37Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y57 IS_RESERVED 0 SITEPROP TIEOFF_X37Y57 IS_TEST 0 SITEPROP TIEOFF_X37Y57 IS_USED 0 SITEPROP TIEOFF_X37Y57 MANUAL_ROUTING SITEPROP TIEOFF_X37Y57 NAME TIEOFF_X37Y57 SITEPROP TIEOFF_X37Y57 NUM_ARCS 0 SITEPROP TIEOFF_X37Y57 NUM_BELS 2 SITEPROP TIEOFF_X37Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y57 NUM_PINS 2 SITEPROP TIEOFF_X37Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y57 PROHIBIT 0 SITEPROP TIEOFF_X37Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y57 RPM_X 144 SITEPROP TIEOFF_X37Y57 RPM_Y 114 SITEPROP TIEOFF_X37Y57 SITE_PIPS SITEPROP TIEOFF_X37Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y58 CLASS site SITEPROP TIEOFF_X37Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y58 IS_BONDED 0 SITEPROP TIEOFF_X37Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y58 IS_PAD 0 SITEPROP TIEOFF_X37Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y58 IS_RESERVED 0 SITEPROP TIEOFF_X37Y58 IS_TEST 0 SITEPROP TIEOFF_X37Y58 IS_USED 0 SITEPROP TIEOFF_X37Y58 MANUAL_ROUTING SITEPROP TIEOFF_X37Y58 NAME TIEOFF_X37Y58 SITEPROP TIEOFF_X37Y58 NUM_ARCS 0 SITEPROP TIEOFF_X37Y58 NUM_BELS 2 SITEPROP TIEOFF_X37Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y58 NUM_PINS 2 SITEPROP TIEOFF_X37Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y58 PROHIBIT 0 SITEPROP TIEOFF_X37Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y58 RPM_X 144 SITEPROP TIEOFF_X37Y58 RPM_Y 116 SITEPROP TIEOFF_X37Y58 SITE_PIPS SITEPROP TIEOFF_X37Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y59 CLASS site SITEPROP TIEOFF_X37Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y59 IS_BONDED 0 SITEPROP TIEOFF_X37Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y59 IS_PAD 0 SITEPROP TIEOFF_X37Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y59 IS_RESERVED 0 SITEPROP TIEOFF_X37Y59 IS_TEST 0 SITEPROP TIEOFF_X37Y59 IS_USED 0 SITEPROP TIEOFF_X37Y59 MANUAL_ROUTING SITEPROP TIEOFF_X37Y59 NAME TIEOFF_X37Y59 SITEPROP TIEOFF_X37Y59 NUM_ARCS 0 SITEPROP TIEOFF_X37Y59 NUM_BELS 2 SITEPROP TIEOFF_X37Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y59 NUM_PINS 2 SITEPROP TIEOFF_X37Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y59 PROHIBIT 0 SITEPROP TIEOFF_X37Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y59 RPM_X 144 SITEPROP TIEOFF_X37Y59 RPM_Y 118 SITEPROP TIEOFF_X37Y59 SITE_PIPS SITEPROP TIEOFF_X37Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y60 CLASS site SITEPROP TIEOFF_X37Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y60 IS_BONDED 0 SITEPROP TIEOFF_X37Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y60 IS_PAD 0 SITEPROP TIEOFF_X37Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y60 IS_RESERVED 0 SITEPROP TIEOFF_X37Y60 IS_TEST 0 SITEPROP TIEOFF_X37Y60 IS_USED 0 SITEPROP TIEOFF_X37Y60 MANUAL_ROUTING SITEPROP TIEOFF_X37Y60 NAME TIEOFF_X37Y60 SITEPROP TIEOFF_X37Y60 NUM_ARCS 0 SITEPROP TIEOFF_X37Y60 NUM_BELS 2 SITEPROP TIEOFF_X37Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y60 NUM_PINS 2 SITEPROP TIEOFF_X37Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y60 PROHIBIT 0 SITEPROP TIEOFF_X37Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y60 RPM_X 144 SITEPROP TIEOFF_X37Y60 RPM_Y 120 SITEPROP TIEOFF_X37Y60 SITE_PIPS SITEPROP TIEOFF_X37Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y61 CLASS site SITEPROP TIEOFF_X37Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y61 IS_BONDED 0 SITEPROP TIEOFF_X37Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y61 IS_PAD 0 SITEPROP TIEOFF_X37Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y61 IS_RESERVED 0 SITEPROP TIEOFF_X37Y61 IS_TEST 0 SITEPROP TIEOFF_X37Y61 IS_USED 0 SITEPROP TIEOFF_X37Y61 MANUAL_ROUTING SITEPROP TIEOFF_X37Y61 NAME TIEOFF_X37Y61 SITEPROP TIEOFF_X37Y61 NUM_ARCS 0 SITEPROP TIEOFF_X37Y61 NUM_BELS 2 SITEPROP TIEOFF_X37Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y61 NUM_PINS 2 SITEPROP TIEOFF_X37Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y61 PROHIBIT 0 SITEPROP TIEOFF_X37Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y61 RPM_X 144 SITEPROP TIEOFF_X37Y61 RPM_Y 122 SITEPROP TIEOFF_X37Y61 SITE_PIPS SITEPROP TIEOFF_X37Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y62 CLASS site SITEPROP TIEOFF_X37Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y62 IS_BONDED 0 SITEPROP TIEOFF_X37Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y62 IS_PAD 0 SITEPROP TIEOFF_X37Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y62 IS_RESERVED 0 SITEPROP TIEOFF_X37Y62 IS_TEST 0 SITEPROP TIEOFF_X37Y62 IS_USED 0 SITEPROP TIEOFF_X37Y62 MANUAL_ROUTING SITEPROP TIEOFF_X37Y62 NAME TIEOFF_X37Y62 SITEPROP TIEOFF_X37Y62 NUM_ARCS 0 SITEPROP TIEOFF_X37Y62 NUM_BELS 2 SITEPROP TIEOFF_X37Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y62 NUM_PINS 2 SITEPROP TIEOFF_X37Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y62 PROHIBIT 0 SITEPROP TIEOFF_X37Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y62 RPM_X 144 SITEPROP TIEOFF_X37Y62 RPM_Y 124 SITEPROP TIEOFF_X37Y62 SITE_PIPS SITEPROP TIEOFF_X37Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y63 CLASS site SITEPROP TIEOFF_X37Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y63 IS_BONDED 0 SITEPROP TIEOFF_X37Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y63 IS_PAD 0 SITEPROP TIEOFF_X37Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y63 IS_RESERVED 0 SITEPROP TIEOFF_X37Y63 IS_TEST 0 SITEPROP TIEOFF_X37Y63 IS_USED 0 SITEPROP TIEOFF_X37Y63 MANUAL_ROUTING SITEPROP TIEOFF_X37Y63 NAME TIEOFF_X37Y63 SITEPROP TIEOFF_X37Y63 NUM_ARCS 0 SITEPROP TIEOFF_X37Y63 NUM_BELS 2 SITEPROP TIEOFF_X37Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y63 NUM_PINS 2 SITEPROP TIEOFF_X37Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y63 PROHIBIT 0 SITEPROP TIEOFF_X37Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y63 RPM_X 144 SITEPROP TIEOFF_X37Y63 RPM_Y 126 SITEPROP TIEOFF_X37Y63 SITE_PIPS SITEPROP TIEOFF_X37Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y64 CLASS site SITEPROP TIEOFF_X37Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y64 IS_BONDED 0 SITEPROP TIEOFF_X37Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y64 IS_PAD 0 SITEPROP TIEOFF_X37Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y64 IS_RESERVED 0 SITEPROP TIEOFF_X37Y64 IS_TEST 0 SITEPROP TIEOFF_X37Y64 IS_USED 0 SITEPROP TIEOFF_X37Y64 MANUAL_ROUTING SITEPROP TIEOFF_X37Y64 NAME TIEOFF_X37Y64 SITEPROP TIEOFF_X37Y64 NUM_ARCS 0 SITEPROP TIEOFF_X37Y64 NUM_BELS 2 SITEPROP TIEOFF_X37Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y64 NUM_PINS 2 SITEPROP TIEOFF_X37Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y64 PROHIBIT 0 SITEPROP TIEOFF_X37Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y64 RPM_X 144 SITEPROP TIEOFF_X37Y64 RPM_Y 128 SITEPROP TIEOFF_X37Y64 SITE_PIPS SITEPROP TIEOFF_X37Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y65 CLASS site SITEPROP TIEOFF_X37Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y65 IS_BONDED 0 SITEPROP TIEOFF_X37Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y65 IS_PAD 0 SITEPROP TIEOFF_X37Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y65 IS_RESERVED 0 SITEPROP TIEOFF_X37Y65 IS_TEST 0 SITEPROP TIEOFF_X37Y65 IS_USED 0 SITEPROP TIEOFF_X37Y65 MANUAL_ROUTING SITEPROP TIEOFF_X37Y65 NAME TIEOFF_X37Y65 SITEPROP TIEOFF_X37Y65 NUM_ARCS 0 SITEPROP TIEOFF_X37Y65 NUM_BELS 2 SITEPROP TIEOFF_X37Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y65 NUM_PINS 2 SITEPROP TIEOFF_X37Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y65 PROHIBIT 0 SITEPROP TIEOFF_X37Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y65 RPM_X 144 SITEPROP TIEOFF_X37Y65 RPM_Y 130 SITEPROP TIEOFF_X37Y65 SITE_PIPS SITEPROP TIEOFF_X37Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y66 CLASS site SITEPROP TIEOFF_X37Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y66 IS_BONDED 0 SITEPROP TIEOFF_X37Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y66 IS_PAD 0 SITEPROP TIEOFF_X37Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y66 IS_RESERVED 0 SITEPROP TIEOFF_X37Y66 IS_TEST 0 SITEPROP TIEOFF_X37Y66 IS_USED 0 SITEPROP TIEOFF_X37Y66 MANUAL_ROUTING SITEPROP TIEOFF_X37Y66 NAME TIEOFF_X37Y66 SITEPROP TIEOFF_X37Y66 NUM_ARCS 0 SITEPROP TIEOFF_X37Y66 NUM_BELS 2 SITEPROP TIEOFF_X37Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y66 NUM_PINS 2 SITEPROP TIEOFF_X37Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y66 PROHIBIT 0 SITEPROP TIEOFF_X37Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y66 RPM_X 144 SITEPROP TIEOFF_X37Y66 RPM_Y 132 SITEPROP TIEOFF_X37Y66 SITE_PIPS SITEPROP TIEOFF_X37Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y67 CLASS site SITEPROP TIEOFF_X37Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y67 IS_BONDED 0 SITEPROP TIEOFF_X37Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y67 IS_PAD 0 SITEPROP TIEOFF_X37Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y67 IS_RESERVED 0 SITEPROP TIEOFF_X37Y67 IS_TEST 0 SITEPROP TIEOFF_X37Y67 IS_USED 0 SITEPROP TIEOFF_X37Y67 MANUAL_ROUTING SITEPROP TIEOFF_X37Y67 NAME TIEOFF_X37Y67 SITEPROP TIEOFF_X37Y67 NUM_ARCS 0 SITEPROP TIEOFF_X37Y67 NUM_BELS 2 SITEPROP TIEOFF_X37Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y67 NUM_PINS 2 SITEPROP TIEOFF_X37Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y67 PROHIBIT 0 SITEPROP TIEOFF_X37Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y67 RPM_X 144 SITEPROP TIEOFF_X37Y67 RPM_Y 134 SITEPROP TIEOFF_X37Y67 SITE_PIPS SITEPROP TIEOFF_X37Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y68 CLASS site SITEPROP TIEOFF_X37Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y68 IS_BONDED 0 SITEPROP TIEOFF_X37Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y68 IS_PAD 0 SITEPROP TIEOFF_X37Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y68 IS_RESERVED 0 SITEPROP TIEOFF_X37Y68 IS_TEST 0 SITEPROP TIEOFF_X37Y68 IS_USED 0 SITEPROP TIEOFF_X37Y68 MANUAL_ROUTING SITEPROP TIEOFF_X37Y68 NAME TIEOFF_X37Y68 SITEPROP TIEOFF_X37Y68 NUM_ARCS 0 SITEPROP TIEOFF_X37Y68 NUM_BELS 2 SITEPROP TIEOFF_X37Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y68 NUM_PINS 2 SITEPROP TIEOFF_X37Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y68 PROHIBIT 0 SITEPROP TIEOFF_X37Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y68 RPM_X 144 SITEPROP TIEOFF_X37Y68 RPM_Y 136 SITEPROP TIEOFF_X37Y68 SITE_PIPS SITEPROP TIEOFF_X37Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y69 CLASS site SITEPROP TIEOFF_X37Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y69 IS_BONDED 0 SITEPROP TIEOFF_X37Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y69 IS_PAD 0 SITEPROP TIEOFF_X37Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y69 IS_RESERVED 0 SITEPROP TIEOFF_X37Y69 IS_TEST 0 SITEPROP TIEOFF_X37Y69 IS_USED 0 SITEPROP TIEOFF_X37Y69 MANUAL_ROUTING SITEPROP TIEOFF_X37Y69 NAME TIEOFF_X37Y69 SITEPROP TIEOFF_X37Y69 NUM_ARCS 0 SITEPROP TIEOFF_X37Y69 NUM_BELS 2 SITEPROP TIEOFF_X37Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y69 NUM_PINS 2 SITEPROP TIEOFF_X37Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y69 PROHIBIT 0 SITEPROP TIEOFF_X37Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y69 RPM_X 144 SITEPROP TIEOFF_X37Y69 RPM_Y 138 SITEPROP TIEOFF_X37Y69 SITE_PIPS SITEPROP TIEOFF_X37Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y70 CLASS site SITEPROP TIEOFF_X37Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y70 IS_BONDED 0 SITEPROP TIEOFF_X37Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y70 IS_PAD 0 SITEPROP TIEOFF_X37Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y70 IS_RESERVED 0 SITEPROP TIEOFF_X37Y70 IS_TEST 0 SITEPROP TIEOFF_X37Y70 IS_USED 0 SITEPROP TIEOFF_X37Y70 MANUAL_ROUTING SITEPROP TIEOFF_X37Y70 NAME TIEOFF_X37Y70 SITEPROP TIEOFF_X37Y70 NUM_ARCS 0 SITEPROP TIEOFF_X37Y70 NUM_BELS 2 SITEPROP TIEOFF_X37Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y70 NUM_PINS 2 SITEPROP TIEOFF_X37Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y70 PROHIBIT 0 SITEPROP TIEOFF_X37Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y70 RPM_X 144 SITEPROP TIEOFF_X37Y70 RPM_Y 140 SITEPROP TIEOFF_X37Y70 SITE_PIPS SITEPROP TIEOFF_X37Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y71 CLASS site SITEPROP TIEOFF_X37Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y71 IS_BONDED 0 SITEPROP TIEOFF_X37Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y71 IS_PAD 0 SITEPROP TIEOFF_X37Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y71 IS_RESERVED 0 SITEPROP TIEOFF_X37Y71 IS_TEST 0 SITEPROP TIEOFF_X37Y71 IS_USED 0 SITEPROP TIEOFF_X37Y71 MANUAL_ROUTING SITEPROP TIEOFF_X37Y71 NAME TIEOFF_X37Y71 SITEPROP TIEOFF_X37Y71 NUM_ARCS 0 SITEPROP TIEOFF_X37Y71 NUM_BELS 2 SITEPROP TIEOFF_X37Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y71 NUM_PINS 2 SITEPROP TIEOFF_X37Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y71 PROHIBIT 0 SITEPROP TIEOFF_X37Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y71 RPM_X 144 SITEPROP TIEOFF_X37Y71 RPM_Y 142 SITEPROP TIEOFF_X37Y71 SITE_PIPS SITEPROP TIEOFF_X37Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y72 CLASS site SITEPROP TIEOFF_X37Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y72 IS_BONDED 0 SITEPROP TIEOFF_X37Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y72 IS_PAD 0 SITEPROP TIEOFF_X37Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y72 IS_RESERVED 0 SITEPROP TIEOFF_X37Y72 IS_TEST 0 SITEPROP TIEOFF_X37Y72 IS_USED 0 SITEPROP TIEOFF_X37Y72 MANUAL_ROUTING SITEPROP TIEOFF_X37Y72 NAME TIEOFF_X37Y72 SITEPROP TIEOFF_X37Y72 NUM_ARCS 0 SITEPROP TIEOFF_X37Y72 NUM_BELS 2 SITEPROP TIEOFF_X37Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y72 NUM_PINS 2 SITEPROP TIEOFF_X37Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y72 PROHIBIT 0 SITEPROP TIEOFF_X37Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y72 RPM_X 144 SITEPROP TIEOFF_X37Y72 RPM_Y 144 SITEPROP TIEOFF_X37Y72 SITE_PIPS SITEPROP TIEOFF_X37Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y73 CLASS site SITEPROP TIEOFF_X37Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y73 IS_BONDED 0 SITEPROP TIEOFF_X37Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y73 IS_PAD 0 SITEPROP TIEOFF_X37Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y73 IS_RESERVED 0 SITEPROP TIEOFF_X37Y73 IS_TEST 0 SITEPROP TIEOFF_X37Y73 IS_USED 0 SITEPROP TIEOFF_X37Y73 MANUAL_ROUTING SITEPROP TIEOFF_X37Y73 NAME TIEOFF_X37Y73 SITEPROP TIEOFF_X37Y73 NUM_ARCS 0 SITEPROP TIEOFF_X37Y73 NUM_BELS 2 SITEPROP TIEOFF_X37Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y73 NUM_PINS 2 SITEPROP TIEOFF_X37Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y73 PROHIBIT 0 SITEPROP TIEOFF_X37Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y73 RPM_X 144 SITEPROP TIEOFF_X37Y73 RPM_Y 146 SITEPROP TIEOFF_X37Y73 SITE_PIPS SITEPROP TIEOFF_X37Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y74 CLASS site SITEPROP TIEOFF_X37Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y74 IS_BONDED 0 SITEPROP TIEOFF_X37Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y74 IS_PAD 0 SITEPROP TIEOFF_X37Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y74 IS_RESERVED 0 SITEPROP TIEOFF_X37Y74 IS_TEST 0 SITEPROP TIEOFF_X37Y74 IS_USED 0 SITEPROP TIEOFF_X37Y74 MANUAL_ROUTING SITEPROP TIEOFF_X37Y74 NAME TIEOFF_X37Y74 SITEPROP TIEOFF_X37Y74 NUM_ARCS 0 SITEPROP TIEOFF_X37Y74 NUM_BELS 2 SITEPROP TIEOFF_X37Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y74 NUM_PINS 2 SITEPROP TIEOFF_X37Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y74 PROHIBIT 0 SITEPROP TIEOFF_X37Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y74 RPM_X 144 SITEPROP TIEOFF_X37Y74 RPM_Y 148 SITEPROP TIEOFF_X37Y74 SITE_PIPS SITEPROP TIEOFF_X37Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y75 CLASS site SITEPROP TIEOFF_X37Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y75 IS_BONDED 0 SITEPROP TIEOFF_X37Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y75 IS_PAD 0 SITEPROP TIEOFF_X37Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y75 IS_RESERVED 0 SITEPROP TIEOFF_X37Y75 IS_TEST 0 SITEPROP TIEOFF_X37Y75 IS_USED 0 SITEPROP TIEOFF_X37Y75 MANUAL_ROUTING SITEPROP TIEOFF_X37Y75 NAME TIEOFF_X37Y75 SITEPROP TIEOFF_X37Y75 NUM_ARCS 0 SITEPROP TIEOFF_X37Y75 NUM_BELS 2 SITEPROP TIEOFF_X37Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y75 NUM_PINS 2 SITEPROP TIEOFF_X37Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y75 PROHIBIT 0 SITEPROP TIEOFF_X37Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y75 RPM_X 144 SITEPROP TIEOFF_X37Y75 RPM_Y 150 SITEPROP TIEOFF_X37Y75 SITE_PIPS SITEPROP TIEOFF_X37Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y76 CLASS site SITEPROP TIEOFF_X37Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y76 IS_BONDED 0 SITEPROP TIEOFF_X37Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y76 IS_PAD 0 SITEPROP TIEOFF_X37Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y76 IS_RESERVED 0 SITEPROP TIEOFF_X37Y76 IS_TEST 0 SITEPROP TIEOFF_X37Y76 IS_USED 0 SITEPROP TIEOFF_X37Y76 MANUAL_ROUTING SITEPROP TIEOFF_X37Y76 NAME TIEOFF_X37Y76 SITEPROP TIEOFF_X37Y76 NUM_ARCS 0 SITEPROP TIEOFF_X37Y76 NUM_BELS 2 SITEPROP TIEOFF_X37Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y76 NUM_PINS 2 SITEPROP TIEOFF_X37Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y76 PROHIBIT 0 SITEPROP TIEOFF_X37Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y76 RPM_X 144 SITEPROP TIEOFF_X37Y76 RPM_Y 152 SITEPROP TIEOFF_X37Y76 SITE_PIPS SITEPROP TIEOFF_X37Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y77 CLASS site SITEPROP TIEOFF_X37Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y77 IS_BONDED 0 SITEPROP TIEOFF_X37Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y77 IS_PAD 0 SITEPROP TIEOFF_X37Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y77 IS_RESERVED 0 SITEPROP TIEOFF_X37Y77 IS_TEST 0 SITEPROP TIEOFF_X37Y77 IS_USED 0 SITEPROP TIEOFF_X37Y77 MANUAL_ROUTING SITEPROP TIEOFF_X37Y77 NAME TIEOFF_X37Y77 SITEPROP TIEOFF_X37Y77 NUM_ARCS 0 SITEPROP TIEOFF_X37Y77 NUM_BELS 2 SITEPROP TIEOFF_X37Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y77 NUM_PINS 2 SITEPROP TIEOFF_X37Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y77 PROHIBIT 0 SITEPROP TIEOFF_X37Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y77 RPM_X 144 SITEPROP TIEOFF_X37Y77 RPM_Y 154 SITEPROP TIEOFF_X37Y77 SITE_PIPS SITEPROP TIEOFF_X37Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y78 CLASS site SITEPROP TIEOFF_X37Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y78 IS_BONDED 0 SITEPROP TIEOFF_X37Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y78 IS_PAD 0 SITEPROP TIEOFF_X37Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y78 IS_RESERVED 0 SITEPROP TIEOFF_X37Y78 IS_TEST 0 SITEPROP TIEOFF_X37Y78 IS_USED 0 SITEPROP TIEOFF_X37Y78 MANUAL_ROUTING SITEPROP TIEOFF_X37Y78 NAME TIEOFF_X37Y78 SITEPROP TIEOFF_X37Y78 NUM_ARCS 0 SITEPROP TIEOFF_X37Y78 NUM_BELS 2 SITEPROP TIEOFF_X37Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y78 NUM_PINS 2 SITEPROP TIEOFF_X37Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y78 PROHIBIT 0 SITEPROP TIEOFF_X37Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y78 RPM_X 144 SITEPROP TIEOFF_X37Y78 RPM_Y 156 SITEPROP TIEOFF_X37Y78 SITE_PIPS SITEPROP TIEOFF_X37Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y79 CLASS site SITEPROP TIEOFF_X37Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y79 IS_BONDED 0 SITEPROP TIEOFF_X37Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y79 IS_PAD 0 SITEPROP TIEOFF_X37Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y79 IS_RESERVED 0 SITEPROP TIEOFF_X37Y79 IS_TEST 0 SITEPROP TIEOFF_X37Y79 IS_USED 0 SITEPROP TIEOFF_X37Y79 MANUAL_ROUTING SITEPROP TIEOFF_X37Y79 NAME TIEOFF_X37Y79 SITEPROP TIEOFF_X37Y79 NUM_ARCS 0 SITEPROP TIEOFF_X37Y79 NUM_BELS 2 SITEPROP TIEOFF_X37Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y79 NUM_PINS 2 SITEPROP TIEOFF_X37Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y79 PROHIBIT 0 SITEPROP TIEOFF_X37Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y79 RPM_X 144 SITEPROP TIEOFF_X37Y79 RPM_Y 158 SITEPROP TIEOFF_X37Y79 SITE_PIPS SITEPROP TIEOFF_X37Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y80 CLASS site SITEPROP TIEOFF_X37Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y80 IS_BONDED 0 SITEPROP TIEOFF_X37Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y80 IS_PAD 0 SITEPROP TIEOFF_X37Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y80 IS_RESERVED 0 SITEPROP TIEOFF_X37Y80 IS_TEST 0 SITEPROP TIEOFF_X37Y80 IS_USED 0 SITEPROP TIEOFF_X37Y80 MANUAL_ROUTING SITEPROP TIEOFF_X37Y80 NAME TIEOFF_X37Y80 SITEPROP TIEOFF_X37Y80 NUM_ARCS 0 SITEPROP TIEOFF_X37Y80 NUM_BELS 2 SITEPROP TIEOFF_X37Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y80 NUM_PINS 2 SITEPROP TIEOFF_X37Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y80 PROHIBIT 0 SITEPROP TIEOFF_X37Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y80 RPM_X 144 SITEPROP TIEOFF_X37Y80 RPM_Y 160 SITEPROP TIEOFF_X37Y80 SITE_PIPS SITEPROP TIEOFF_X37Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y81 CLASS site SITEPROP TIEOFF_X37Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y81 IS_BONDED 0 SITEPROP TIEOFF_X37Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y81 IS_PAD 0 SITEPROP TIEOFF_X37Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y81 IS_RESERVED 0 SITEPROP TIEOFF_X37Y81 IS_TEST 0 SITEPROP TIEOFF_X37Y81 IS_USED 0 SITEPROP TIEOFF_X37Y81 MANUAL_ROUTING SITEPROP TIEOFF_X37Y81 NAME TIEOFF_X37Y81 SITEPROP TIEOFF_X37Y81 NUM_ARCS 0 SITEPROP TIEOFF_X37Y81 NUM_BELS 2 SITEPROP TIEOFF_X37Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y81 NUM_PINS 2 SITEPROP TIEOFF_X37Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y81 PROHIBIT 0 SITEPROP TIEOFF_X37Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y81 RPM_X 144 SITEPROP TIEOFF_X37Y81 RPM_Y 162 SITEPROP TIEOFF_X37Y81 SITE_PIPS SITEPROP TIEOFF_X37Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y82 CLASS site SITEPROP TIEOFF_X37Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y82 IS_BONDED 0 SITEPROP TIEOFF_X37Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y82 IS_PAD 0 SITEPROP TIEOFF_X37Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y82 IS_RESERVED 0 SITEPROP TIEOFF_X37Y82 IS_TEST 0 SITEPROP TIEOFF_X37Y82 IS_USED 0 SITEPROP TIEOFF_X37Y82 MANUAL_ROUTING SITEPROP TIEOFF_X37Y82 NAME TIEOFF_X37Y82 SITEPROP TIEOFF_X37Y82 NUM_ARCS 0 SITEPROP TIEOFF_X37Y82 NUM_BELS 2 SITEPROP TIEOFF_X37Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y82 NUM_PINS 2 SITEPROP TIEOFF_X37Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y82 PROHIBIT 0 SITEPROP TIEOFF_X37Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y82 RPM_X 144 SITEPROP TIEOFF_X37Y82 RPM_Y 164 SITEPROP TIEOFF_X37Y82 SITE_PIPS SITEPROP TIEOFF_X37Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y83 CLASS site SITEPROP TIEOFF_X37Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y83 IS_BONDED 0 SITEPROP TIEOFF_X37Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y83 IS_PAD 0 SITEPROP TIEOFF_X37Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y83 IS_RESERVED 0 SITEPROP TIEOFF_X37Y83 IS_TEST 0 SITEPROP TIEOFF_X37Y83 IS_USED 0 SITEPROP TIEOFF_X37Y83 MANUAL_ROUTING SITEPROP TIEOFF_X37Y83 NAME TIEOFF_X37Y83 SITEPROP TIEOFF_X37Y83 NUM_ARCS 0 SITEPROP TIEOFF_X37Y83 NUM_BELS 2 SITEPROP TIEOFF_X37Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y83 NUM_PINS 2 SITEPROP TIEOFF_X37Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y83 PROHIBIT 0 SITEPROP TIEOFF_X37Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y83 RPM_X 144 SITEPROP TIEOFF_X37Y83 RPM_Y 166 SITEPROP TIEOFF_X37Y83 SITE_PIPS SITEPROP TIEOFF_X37Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y84 CLASS site SITEPROP TIEOFF_X37Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y84 IS_BONDED 0 SITEPROP TIEOFF_X37Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y84 IS_PAD 0 SITEPROP TIEOFF_X37Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y84 IS_RESERVED 0 SITEPROP TIEOFF_X37Y84 IS_TEST 0 SITEPROP TIEOFF_X37Y84 IS_USED 0 SITEPROP TIEOFF_X37Y84 MANUAL_ROUTING SITEPROP TIEOFF_X37Y84 NAME TIEOFF_X37Y84 SITEPROP TIEOFF_X37Y84 NUM_ARCS 0 SITEPROP TIEOFF_X37Y84 NUM_BELS 2 SITEPROP TIEOFF_X37Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y84 NUM_PINS 2 SITEPROP TIEOFF_X37Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y84 PROHIBIT 0 SITEPROP TIEOFF_X37Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y84 RPM_X 144 SITEPROP TIEOFF_X37Y84 RPM_Y 168 SITEPROP TIEOFF_X37Y84 SITE_PIPS SITEPROP TIEOFF_X37Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y85 CLASS site SITEPROP TIEOFF_X37Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y85 IS_BONDED 0 SITEPROP TIEOFF_X37Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y85 IS_PAD 0 SITEPROP TIEOFF_X37Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y85 IS_RESERVED 0 SITEPROP TIEOFF_X37Y85 IS_TEST 0 SITEPROP TIEOFF_X37Y85 IS_USED 0 SITEPROP TIEOFF_X37Y85 MANUAL_ROUTING SITEPROP TIEOFF_X37Y85 NAME TIEOFF_X37Y85 SITEPROP TIEOFF_X37Y85 NUM_ARCS 0 SITEPROP TIEOFF_X37Y85 NUM_BELS 2 SITEPROP TIEOFF_X37Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y85 NUM_PINS 2 SITEPROP TIEOFF_X37Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y85 PROHIBIT 0 SITEPROP TIEOFF_X37Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y85 RPM_X 144 SITEPROP TIEOFF_X37Y85 RPM_Y 170 SITEPROP TIEOFF_X37Y85 SITE_PIPS SITEPROP TIEOFF_X37Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y86 CLASS site SITEPROP TIEOFF_X37Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y86 IS_BONDED 0 SITEPROP TIEOFF_X37Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y86 IS_PAD 0 SITEPROP TIEOFF_X37Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y86 IS_RESERVED 0 SITEPROP TIEOFF_X37Y86 IS_TEST 0 SITEPROP TIEOFF_X37Y86 IS_USED 0 SITEPROP TIEOFF_X37Y86 MANUAL_ROUTING SITEPROP TIEOFF_X37Y86 NAME TIEOFF_X37Y86 SITEPROP TIEOFF_X37Y86 NUM_ARCS 0 SITEPROP TIEOFF_X37Y86 NUM_BELS 2 SITEPROP TIEOFF_X37Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y86 NUM_PINS 2 SITEPROP TIEOFF_X37Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y86 PROHIBIT 0 SITEPROP TIEOFF_X37Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y86 RPM_X 144 SITEPROP TIEOFF_X37Y86 RPM_Y 172 SITEPROP TIEOFF_X37Y86 SITE_PIPS SITEPROP TIEOFF_X37Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y87 CLASS site SITEPROP TIEOFF_X37Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y87 IS_BONDED 0 SITEPROP TIEOFF_X37Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y87 IS_PAD 0 SITEPROP TIEOFF_X37Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y87 IS_RESERVED 0 SITEPROP TIEOFF_X37Y87 IS_TEST 0 SITEPROP TIEOFF_X37Y87 IS_USED 0 SITEPROP TIEOFF_X37Y87 MANUAL_ROUTING SITEPROP TIEOFF_X37Y87 NAME TIEOFF_X37Y87 SITEPROP TIEOFF_X37Y87 NUM_ARCS 0 SITEPROP TIEOFF_X37Y87 NUM_BELS 2 SITEPROP TIEOFF_X37Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y87 NUM_PINS 2 SITEPROP TIEOFF_X37Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y87 PROHIBIT 0 SITEPROP TIEOFF_X37Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y87 RPM_X 144 SITEPROP TIEOFF_X37Y87 RPM_Y 174 SITEPROP TIEOFF_X37Y87 SITE_PIPS SITEPROP TIEOFF_X37Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y88 CLASS site SITEPROP TIEOFF_X37Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y88 IS_BONDED 0 SITEPROP TIEOFF_X37Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y88 IS_PAD 0 SITEPROP TIEOFF_X37Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y88 IS_RESERVED 0 SITEPROP TIEOFF_X37Y88 IS_TEST 0 SITEPROP TIEOFF_X37Y88 IS_USED 0 SITEPROP TIEOFF_X37Y88 MANUAL_ROUTING SITEPROP TIEOFF_X37Y88 NAME TIEOFF_X37Y88 SITEPROP TIEOFF_X37Y88 NUM_ARCS 0 SITEPROP TIEOFF_X37Y88 NUM_BELS 2 SITEPROP TIEOFF_X37Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y88 NUM_PINS 2 SITEPROP TIEOFF_X37Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y88 PROHIBIT 0 SITEPROP TIEOFF_X37Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y88 RPM_X 144 SITEPROP TIEOFF_X37Y88 RPM_Y 176 SITEPROP TIEOFF_X37Y88 SITE_PIPS SITEPROP TIEOFF_X37Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y89 CLASS site SITEPROP TIEOFF_X37Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y89 IS_BONDED 0 SITEPROP TIEOFF_X37Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y89 IS_PAD 0 SITEPROP TIEOFF_X37Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y89 IS_RESERVED 0 SITEPROP TIEOFF_X37Y89 IS_TEST 0 SITEPROP TIEOFF_X37Y89 IS_USED 0 SITEPROP TIEOFF_X37Y89 MANUAL_ROUTING SITEPROP TIEOFF_X37Y89 NAME TIEOFF_X37Y89 SITEPROP TIEOFF_X37Y89 NUM_ARCS 0 SITEPROP TIEOFF_X37Y89 NUM_BELS 2 SITEPROP TIEOFF_X37Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y89 NUM_PINS 2 SITEPROP TIEOFF_X37Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y89 PROHIBIT 0 SITEPROP TIEOFF_X37Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y89 RPM_X 144 SITEPROP TIEOFF_X37Y89 RPM_Y 178 SITEPROP TIEOFF_X37Y89 SITE_PIPS SITEPROP TIEOFF_X37Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y90 CLASS site SITEPROP TIEOFF_X37Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y90 IS_BONDED 0 SITEPROP TIEOFF_X37Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y90 IS_PAD 0 SITEPROP TIEOFF_X37Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y90 IS_RESERVED 0 SITEPROP TIEOFF_X37Y90 IS_TEST 0 SITEPROP TIEOFF_X37Y90 IS_USED 0 SITEPROP TIEOFF_X37Y90 MANUAL_ROUTING SITEPROP TIEOFF_X37Y90 NAME TIEOFF_X37Y90 SITEPROP TIEOFF_X37Y90 NUM_ARCS 0 SITEPROP TIEOFF_X37Y90 NUM_BELS 2 SITEPROP TIEOFF_X37Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y90 NUM_PINS 2 SITEPROP TIEOFF_X37Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y90 PROHIBIT 0 SITEPROP TIEOFF_X37Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y90 RPM_X 144 SITEPROP TIEOFF_X37Y90 RPM_Y 180 SITEPROP TIEOFF_X37Y90 SITE_PIPS SITEPROP TIEOFF_X37Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y91 CLASS site SITEPROP TIEOFF_X37Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y91 IS_BONDED 0 SITEPROP TIEOFF_X37Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y91 IS_PAD 0 SITEPROP TIEOFF_X37Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y91 IS_RESERVED 0 SITEPROP TIEOFF_X37Y91 IS_TEST 0 SITEPROP TIEOFF_X37Y91 IS_USED 0 SITEPROP TIEOFF_X37Y91 MANUAL_ROUTING SITEPROP TIEOFF_X37Y91 NAME TIEOFF_X37Y91 SITEPROP TIEOFF_X37Y91 NUM_ARCS 0 SITEPROP TIEOFF_X37Y91 NUM_BELS 2 SITEPROP TIEOFF_X37Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y91 NUM_PINS 2 SITEPROP TIEOFF_X37Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y91 PROHIBIT 0 SITEPROP TIEOFF_X37Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y91 RPM_X 144 SITEPROP TIEOFF_X37Y91 RPM_Y 182 SITEPROP TIEOFF_X37Y91 SITE_PIPS SITEPROP TIEOFF_X37Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y92 CLASS site SITEPROP TIEOFF_X37Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y92 IS_BONDED 0 SITEPROP TIEOFF_X37Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y92 IS_PAD 0 SITEPROP TIEOFF_X37Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y92 IS_RESERVED 0 SITEPROP TIEOFF_X37Y92 IS_TEST 0 SITEPROP TIEOFF_X37Y92 IS_USED 0 SITEPROP TIEOFF_X37Y92 MANUAL_ROUTING SITEPROP TIEOFF_X37Y92 NAME TIEOFF_X37Y92 SITEPROP TIEOFF_X37Y92 NUM_ARCS 0 SITEPROP TIEOFF_X37Y92 NUM_BELS 2 SITEPROP TIEOFF_X37Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y92 NUM_PINS 2 SITEPROP TIEOFF_X37Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y92 PROHIBIT 0 SITEPROP TIEOFF_X37Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y92 RPM_X 144 SITEPROP TIEOFF_X37Y92 RPM_Y 184 SITEPROP TIEOFF_X37Y92 SITE_PIPS SITEPROP TIEOFF_X37Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y93 CLASS site SITEPROP TIEOFF_X37Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y93 IS_BONDED 0 SITEPROP TIEOFF_X37Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y93 IS_PAD 0 SITEPROP TIEOFF_X37Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y93 IS_RESERVED 0 SITEPROP TIEOFF_X37Y93 IS_TEST 0 SITEPROP TIEOFF_X37Y93 IS_USED 0 SITEPROP TIEOFF_X37Y93 MANUAL_ROUTING SITEPROP TIEOFF_X37Y93 NAME TIEOFF_X37Y93 SITEPROP TIEOFF_X37Y93 NUM_ARCS 0 SITEPROP TIEOFF_X37Y93 NUM_BELS 2 SITEPROP TIEOFF_X37Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y93 NUM_PINS 2 SITEPROP TIEOFF_X37Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y93 PROHIBIT 0 SITEPROP TIEOFF_X37Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y93 RPM_X 144 SITEPROP TIEOFF_X37Y93 RPM_Y 186 SITEPROP TIEOFF_X37Y93 SITE_PIPS SITEPROP TIEOFF_X37Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y94 CLASS site SITEPROP TIEOFF_X37Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y94 IS_BONDED 0 SITEPROP TIEOFF_X37Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y94 IS_PAD 0 SITEPROP TIEOFF_X37Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y94 IS_RESERVED 0 SITEPROP TIEOFF_X37Y94 IS_TEST 0 SITEPROP TIEOFF_X37Y94 IS_USED 0 SITEPROP TIEOFF_X37Y94 MANUAL_ROUTING SITEPROP TIEOFF_X37Y94 NAME TIEOFF_X37Y94 SITEPROP TIEOFF_X37Y94 NUM_ARCS 0 SITEPROP TIEOFF_X37Y94 NUM_BELS 2 SITEPROP TIEOFF_X37Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y94 NUM_PINS 2 SITEPROP TIEOFF_X37Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y94 PROHIBIT 0 SITEPROP TIEOFF_X37Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y94 RPM_X 144 SITEPROP TIEOFF_X37Y94 RPM_Y 188 SITEPROP TIEOFF_X37Y94 SITE_PIPS SITEPROP TIEOFF_X37Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y95 CLASS site SITEPROP TIEOFF_X37Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y95 IS_BONDED 0 SITEPROP TIEOFF_X37Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y95 IS_PAD 0 SITEPROP TIEOFF_X37Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y95 IS_RESERVED 0 SITEPROP TIEOFF_X37Y95 IS_TEST 0 SITEPROP TIEOFF_X37Y95 IS_USED 0 SITEPROP TIEOFF_X37Y95 MANUAL_ROUTING SITEPROP TIEOFF_X37Y95 NAME TIEOFF_X37Y95 SITEPROP TIEOFF_X37Y95 NUM_ARCS 0 SITEPROP TIEOFF_X37Y95 NUM_BELS 2 SITEPROP TIEOFF_X37Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y95 NUM_PINS 2 SITEPROP TIEOFF_X37Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y95 PROHIBIT 0 SITEPROP TIEOFF_X37Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y95 RPM_X 144 SITEPROP TIEOFF_X37Y95 RPM_Y 190 SITEPROP TIEOFF_X37Y95 SITE_PIPS SITEPROP TIEOFF_X37Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y96 CLASS site SITEPROP TIEOFF_X37Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y96 IS_BONDED 0 SITEPROP TIEOFF_X37Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y96 IS_PAD 0 SITEPROP TIEOFF_X37Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y96 IS_RESERVED 0 SITEPROP TIEOFF_X37Y96 IS_TEST 0 SITEPROP TIEOFF_X37Y96 IS_USED 0 SITEPROP TIEOFF_X37Y96 MANUAL_ROUTING SITEPROP TIEOFF_X37Y96 NAME TIEOFF_X37Y96 SITEPROP TIEOFF_X37Y96 NUM_ARCS 0 SITEPROP TIEOFF_X37Y96 NUM_BELS 2 SITEPROP TIEOFF_X37Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y96 NUM_PINS 2 SITEPROP TIEOFF_X37Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y96 PROHIBIT 0 SITEPROP TIEOFF_X37Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y96 RPM_X 144 SITEPROP TIEOFF_X37Y96 RPM_Y 192 SITEPROP TIEOFF_X37Y96 SITE_PIPS SITEPROP TIEOFF_X37Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y97 CLASS site SITEPROP TIEOFF_X37Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y97 IS_BONDED 0 SITEPROP TIEOFF_X37Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y97 IS_PAD 0 SITEPROP TIEOFF_X37Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y97 IS_RESERVED 0 SITEPROP TIEOFF_X37Y97 IS_TEST 0 SITEPROP TIEOFF_X37Y97 IS_USED 0 SITEPROP TIEOFF_X37Y97 MANUAL_ROUTING SITEPROP TIEOFF_X37Y97 NAME TIEOFF_X37Y97 SITEPROP TIEOFF_X37Y97 NUM_ARCS 0 SITEPROP TIEOFF_X37Y97 NUM_BELS 2 SITEPROP TIEOFF_X37Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y97 NUM_PINS 2 SITEPROP TIEOFF_X37Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y97 PROHIBIT 0 SITEPROP TIEOFF_X37Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y97 RPM_X 144 SITEPROP TIEOFF_X37Y97 RPM_Y 194 SITEPROP TIEOFF_X37Y97 SITE_PIPS SITEPROP TIEOFF_X37Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y98 CLASS site SITEPROP TIEOFF_X37Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y98 IS_BONDED 0 SITEPROP TIEOFF_X37Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y98 IS_PAD 0 SITEPROP TIEOFF_X37Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y98 IS_RESERVED 0 SITEPROP TIEOFF_X37Y98 IS_TEST 0 SITEPROP TIEOFF_X37Y98 IS_USED 0 SITEPROP TIEOFF_X37Y98 MANUAL_ROUTING SITEPROP TIEOFF_X37Y98 NAME TIEOFF_X37Y98 SITEPROP TIEOFF_X37Y98 NUM_ARCS 0 SITEPROP TIEOFF_X37Y98 NUM_BELS 2 SITEPROP TIEOFF_X37Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y98 NUM_PINS 2 SITEPROP TIEOFF_X37Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y98 PROHIBIT 0 SITEPROP TIEOFF_X37Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y98 RPM_X 144 SITEPROP TIEOFF_X37Y98 RPM_Y 196 SITEPROP TIEOFF_X37Y98 SITE_PIPS SITEPROP TIEOFF_X37Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y99 CLASS site SITEPROP TIEOFF_X37Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X37Y99 IS_BONDED 0 SITEPROP TIEOFF_X37Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y99 IS_PAD 0 SITEPROP TIEOFF_X37Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y99 IS_RESERVED 0 SITEPROP TIEOFF_X37Y99 IS_TEST 0 SITEPROP TIEOFF_X37Y99 IS_USED 0 SITEPROP TIEOFF_X37Y99 MANUAL_ROUTING SITEPROP TIEOFF_X37Y99 NAME TIEOFF_X37Y99 SITEPROP TIEOFF_X37Y99 NUM_ARCS 0 SITEPROP TIEOFF_X37Y99 NUM_BELS 2 SITEPROP TIEOFF_X37Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y99 NUM_PINS 2 SITEPROP TIEOFF_X37Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y99 PROHIBIT 0 SITEPROP TIEOFF_X37Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y99 RPM_X 144 SITEPROP TIEOFF_X37Y99 RPM_Y 198 SITEPROP TIEOFF_X37Y99 SITE_PIPS SITEPROP TIEOFF_X37Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y100 CLASS site SITEPROP TIEOFF_X37Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y100 IS_BONDED 0 SITEPROP TIEOFF_X37Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y100 IS_PAD 0 SITEPROP TIEOFF_X37Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y100 IS_RESERVED 0 SITEPROP TIEOFF_X37Y100 IS_TEST 0 SITEPROP TIEOFF_X37Y100 IS_USED 0 SITEPROP TIEOFF_X37Y100 MANUAL_ROUTING SITEPROP TIEOFF_X37Y100 NAME TIEOFF_X37Y100 SITEPROP TIEOFF_X37Y100 NUM_ARCS 0 SITEPROP TIEOFF_X37Y100 NUM_BELS 2 SITEPROP TIEOFF_X37Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y100 NUM_PINS 2 SITEPROP TIEOFF_X37Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y100 PROHIBIT 0 SITEPROP TIEOFF_X37Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y100 RPM_X 144 SITEPROP TIEOFF_X37Y100 RPM_Y 200 SITEPROP TIEOFF_X37Y100 SITE_PIPS SITEPROP TIEOFF_X37Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y101 CLASS site SITEPROP TIEOFF_X37Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y101 IS_BONDED 0 SITEPROP TIEOFF_X37Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y101 IS_PAD 0 SITEPROP TIEOFF_X37Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y101 IS_RESERVED 0 SITEPROP TIEOFF_X37Y101 IS_TEST 0 SITEPROP TIEOFF_X37Y101 IS_USED 0 SITEPROP TIEOFF_X37Y101 MANUAL_ROUTING SITEPROP TIEOFF_X37Y101 NAME TIEOFF_X37Y101 SITEPROP TIEOFF_X37Y101 NUM_ARCS 0 SITEPROP TIEOFF_X37Y101 NUM_BELS 2 SITEPROP TIEOFF_X37Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y101 NUM_PINS 2 SITEPROP TIEOFF_X37Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y101 PROHIBIT 0 SITEPROP TIEOFF_X37Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y101 RPM_X 144 SITEPROP TIEOFF_X37Y101 RPM_Y 202 SITEPROP TIEOFF_X37Y101 SITE_PIPS SITEPROP TIEOFF_X37Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y102 CLASS site SITEPROP TIEOFF_X37Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y102 IS_BONDED 0 SITEPROP TIEOFF_X37Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y102 IS_PAD 0 SITEPROP TIEOFF_X37Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y102 IS_RESERVED 0 SITEPROP TIEOFF_X37Y102 IS_TEST 0 SITEPROP TIEOFF_X37Y102 IS_USED 0 SITEPROP TIEOFF_X37Y102 MANUAL_ROUTING SITEPROP TIEOFF_X37Y102 NAME TIEOFF_X37Y102 SITEPROP TIEOFF_X37Y102 NUM_ARCS 0 SITEPROP TIEOFF_X37Y102 NUM_BELS 2 SITEPROP TIEOFF_X37Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y102 NUM_PINS 2 SITEPROP TIEOFF_X37Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y102 PROHIBIT 0 SITEPROP TIEOFF_X37Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y102 RPM_X 144 SITEPROP TIEOFF_X37Y102 RPM_Y 204 SITEPROP TIEOFF_X37Y102 SITE_PIPS SITEPROP TIEOFF_X37Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y103 CLASS site SITEPROP TIEOFF_X37Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y103 IS_BONDED 0 SITEPROP TIEOFF_X37Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y103 IS_PAD 0 SITEPROP TIEOFF_X37Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y103 IS_RESERVED 0 SITEPROP TIEOFF_X37Y103 IS_TEST 0 SITEPROP TIEOFF_X37Y103 IS_USED 0 SITEPROP TIEOFF_X37Y103 MANUAL_ROUTING SITEPROP TIEOFF_X37Y103 NAME TIEOFF_X37Y103 SITEPROP TIEOFF_X37Y103 NUM_ARCS 0 SITEPROP TIEOFF_X37Y103 NUM_BELS 2 SITEPROP TIEOFF_X37Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y103 NUM_PINS 2 SITEPROP TIEOFF_X37Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y103 PROHIBIT 0 SITEPROP TIEOFF_X37Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y103 RPM_X 144 SITEPROP TIEOFF_X37Y103 RPM_Y 206 SITEPROP TIEOFF_X37Y103 SITE_PIPS SITEPROP TIEOFF_X37Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y104 CLASS site SITEPROP TIEOFF_X37Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y104 IS_BONDED 0 SITEPROP TIEOFF_X37Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y104 IS_PAD 0 SITEPROP TIEOFF_X37Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y104 IS_RESERVED 0 SITEPROP TIEOFF_X37Y104 IS_TEST 0 SITEPROP TIEOFF_X37Y104 IS_USED 0 SITEPROP TIEOFF_X37Y104 MANUAL_ROUTING SITEPROP TIEOFF_X37Y104 NAME TIEOFF_X37Y104 SITEPROP TIEOFF_X37Y104 NUM_ARCS 0 SITEPROP TIEOFF_X37Y104 NUM_BELS 2 SITEPROP TIEOFF_X37Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y104 NUM_PINS 2 SITEPROP TIEOFF_X37Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y104 PROHIBIT 0 SITEPROP TIEOFF_X37Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y104 RPM_X 144 SITEPROP TIEOFF_X37Y104 RPM_Y 208 SITEPROP TIEOFF_X37Y104 SITE_PIPS SITEPROP TIEOFF_X37Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y105 CLASS site SITEPROP TIEOFF_X37Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y105 IS_BONDED 0 SITEPROP TIEOFF_X37Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y105 IS_PAD 0 SITEPROP TIEOFF_X37Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y105 IS_RESERVED 0 SITEPROP TIEOFF_X37Y105 IS_TEST 0 SITEPROP TIEOFF_X37Y105 IS_USED 0 SITEPROP TIEOFF_X37Y105 MANUAL_ROUTING SITEPROP TIEOFF_X37Y105 NAME TIEOFF_X37Y105 SITEPROP TIEOFF_X37Y105 NUM_ARCS 0 SITEPROP TIEOFF_X37Y105 NUM_BELS 2 SITEPROP TIEOFF_X37Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y105 NUM_PINS 2 SITEPROP TIEOFF_X37Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y105 PROHIBIT 0 SITEPROP TIEOFF_X37Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y105 RPM_X 144 SITEPROP TIEOFF_X37Y105 RPM_Y 210 SITEPROP TIEOFF_X37Y105 SITE_PIPS SITEPROP TIEOFF_X37Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y106 CLASS site SITEPROP TIEOFF_X37Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y106 IS_BONDED 0 SITEPROP TIEOFF_X37Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y106 IS_PAD 0 SITEPROP TIEOFF_X37Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y106 IS_RESERVED 0 SITEPROP TIEOFF_X37Y106 IS_TEST 0 SITEPROP TIEOFF_X37Y106 IS_USED 0 SITEPROP TIEOFF_X37Y106 MANUAL_ROUTING SITEPROP TIEOFF_X37Y106 NAME TIEOFF_X37Y106 SITEPROP TIEOFF_X37Y106 NUM_ARCS 0 SITEPROP TIEOFF_X37Y106 NUM_BELS 2 SITEPROP TIEOFF_X37Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y106 NUM_PINS 2 SITEPROP TIEOFF_X37Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y106 PROHIBIT 0 SITEPROP TIEOFF_X37Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y106 RPM_X 144 SITEPROP TIEOFF_X37Y106 RPM_Y 212 SITEPROP TIEOFF_X37Y106 SITE_PIPS SITEPROP TIEOFF_X37Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y107 CLASS site SITEPROP TIEOFF_X37Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y107 IS_BONDED 0 SITEPROP TIEOFF_X37Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y107 IS_PAD 0 SITEPROP TIEOFF_X37Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y107 IS_RESERVED 0 SITEPROP TIEOFF_X37Y107 IS_TEST 0 SITEPROP TIEOFF_X37Y107 IS_USED 0 SITEPROP TIEOFF_X37Y107 MANUAL_ROUTING SITEPROP TIEOFF_X37Y107 NAME TIEOFF_X37Y107 SITEPROP TIEOFF_X37Y107 NUM_ARCS 0 SITEPROP TIEOFF_X37Y107 NUM_BELS 2 SITEPROP TIEOFF_X37Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y107 NUM_PINS 2 SITEPROP TIEOFF_X37Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y107 PROHIBIT 0 SITEPROP TIEOFF_X37Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y107 RPM_X 144 SITEPROP TIEOFF_X37Y107 RPM_Y 214 SITEPROP TIEOFF_X37Y107 SITE_PIPS SITEPROP TIEOFF_X37Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y108 CLASS site SITEPROP TIEOFF_X37Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y108 IS_BONDED 0 SITEPROP TIEOFF_X37Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y108 IS_PAD 0 SITEPROP TIEOFF_X37Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y108 IS_RESERVED 0 SITEPROP TIEOFF_X37Y108 IS_TEST 0 SITEPROP TIEOFF_X37Y108 IS_USED 0 SITEPROP TIEOFF_X37Y108 MANUAL_ROUTING SITEPROP TIEOFF_X37Y108 NAME TIEOFF_X37Y108 SITEPROP TIEOFF_X37Y108 NUM_ARCS 0 SITEPROP TIEOFF_X37Y108 NUM_BELS 2 SITEPROP TIEOFF_X37Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y108 NUM_PINS 2 SITEPROP TIEOFF_X37Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y108 PROHIBIT 0 SITEPROP TIEOFF_X37Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y108 RPM_X 144 SITEPROP TIEOFF_X37Y108 RPM_Y 216 SITEPROP TIEOFF_X37Y108 SITE_PIPS SITEPROP TIEOFF_X37Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y109 CLASS site SITEPROP TIEOFF_X37Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y109 IS_BONDED 0 SITEPROP TIEOFF_X37Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y109 IS_PAD 0 SITEPROP TIEOFF_X37Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y109 IS_RESERVED 0 SITEPROP TIEOFF_X37Y109 IS_TEST 0 SITEPROP TIEOFF_X37Y109 IS_USED 0 SITEPROP TIEOFF_X37Y109 MANUAL_ROUTING SITEPROP TIEOFF_X37Y109 NAME TIEOFF_X37Y109 SITEPROP TIEOFF_X37Y109 NUM_ARCS 0 SITEPROP TIEOFF_X37Y109 NUM_BELS 2 SITEPROP TIEOFF_X37Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y109 NUM_PINS 2 SITEPROP TIEOFF_X37Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y109 PROHIBIT 0 SITEPROP TIEOFF_X37Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y109 RPM_X 144 SITEPROP TIEOFF_X37Y109 RPM_Y 218 SITEPROP TIEOFF_X37Y109 SITE_PIPS SITEPROP TIEOFF_X37Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y110 CLASS site SITEPROP TIEOFF_X37Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y110 IS_BONDED 0 SITEPROP TIEOFF_X37Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y110 IS_PAD 0 SITEPROP TIEOFF_X37Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y110 IS_RESERVED 0 SITEPROP TIEOFF_X37Y110 IS_TEST 0 SITEPROP TIEOFF_X37Y110 IS_USED 0 SITEPROP TIEOFF_X37Y110 MANUAL_ROUTING SITEPROP TIEOFF_X37Y110 NAME TIEOFF_X37Y110 SITEPROP TIEOFF_X37Y110 NUM_ARCS 0 SITEPROP TIEOFF_X37Y110 NUM_BELS 2 SITEPROP TIEOFF_X37Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y110 NUM_PINS 2 SITEPROP TIEOFF_X37Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y110 PROHIBIT 0 SITEPROP TIEOFF_X37Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y110 RPM_X 144 SITEPROP TIEOFF_X37Y110 RPM_Y 220 SITEPROP TIEOFF_X37Y110 SITE_PIPS SITEPROP TIEOFF_X37Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y111 CLASS site SITEPROP TIEOFF_X37Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y111 IS_BONDED 0 SITEPROP TIEOFF_X37Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y111 IS_PAD 0 SITEPROP TIEOFF_X37Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y111 IS_RESERVED 0 SITEPROP TIEOFF_X37Y111 IS_TEST 0 SITEPROP TIEOFF_X37Y111 IS_USED 0 SITEPROP TIEOFF_X37Y111 MANUAL_ROUTING SITEPROP TIEOFF_X37Y111 NAME TIEOFF_X37Y111 SITEPROP TIEOFF_X37Y111 NUM_ARCS 0 SITEPROP TIEOFF_X37Y111 NUM_BELS 2 SITEPROP TIEOFF_X37Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y111 NUM_PINS 2 SITEPROP TIEOFF_X37Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y111 PROHIBIT 0 SITEPROP TIEOFF_X37Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y111 RPM_X 144 SITEPROP TIEOFF_X37Y111 RPM_Y 222 SITEPROP TIEOFF_X37Y111 SITE_PIPS SITEPROP TIEOFF_X37Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y112 CLASS site SITEPROP TIEOFF_X37Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y112 IS_BONDED 0 SITEPROP TIEOFF_X37Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y112 IS_PAD 0 SITEPROP TIEOFF_X37Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y112 IS_RESERVED 0 SITEPROP TIEOFF_X37Y112 IS_TEST 0 SITEPROP TIEOFF_X37Y112 IS_USED 0 SITEPROP TIEOFF_X37Y112 MANUAL_ROUTING SITEPROP TIEOFF_X37Y112 NAME TIEOFF_X37Y112 SITEPROP TIEOFF_X37Y112 NUM_ARCS 0 SITEPROP TIEOFF_X37Y112 NUM_BELS 2 SITEPROP TIEOFF_X37Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y112 NUM_PINS 2 SITEPROP TIEOFF_X37Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y112 PROHIBIT 0 SITEPROP TIEOFF_X37Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y112 RPM_X 144 SITEPROP TIEOFF_X37Y112 RPM_Y 224 SITEPROP TIEOFF_X37Y112 SITE_PIPS SITEPROP TIEOFF_X37Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y113 CLASS site SITEPROP TIEOFF_X37Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y113 IS_BONDED 0 SITEPROP TIEOFF_X37Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y113 IS_PAD 0 SITEPROP TIEOFF_X37Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y113 IS_RESERVED 0 SITEPROP TIEOFF_X37Y113 IS_TEST 0 SITEPROP TIEOFF_X37Y113 IS_USED 0 SITEPROP TIEOFF_X37Y113 MANUAL_ROUTING SITEPROP TIEOFF_X37Y113 NAME TIEOFF_X37Y113 SITEPROP TIEOFF_X37Y113 NUM_ARCS 0 SITEPROP TIEOFF_X37Y113 NUM_BELS 2 SITEPROP TIEOFF_X37Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y113 NUM_PINS 2 SITEPROP TIEOFF_X37Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y113 PROHIBIT 0 SITEPROP TIEOFF_X37Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y113 RPM_X 144 SITEPROP TIEOFF_X37Y113 RPM_Y 226 SITEPROP TIEOFF_X37Y113 SITE_PIPS SITEPROP TIEOFF_X37Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y114 CLASS site SITEPROP TIEOFF_X37Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y114 IS_BONDED 0 SITEPROP TIEOFF_X37Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y114 IS_PAD 0 SITEPROP TIEOFF_X37Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y114 IS_RESERVED 0 SITEPROP TIEOFF_X37Y114 IS_TEST 0 SITEPROP TIEOFF_X37Y114 IS_USED 0 SITEPROP TIEOFF_X37Y114 MANUAL_ROUTING SITEPROP TIEOFF_X37Y114 NAME TIEOFF_X37Y114 SITEPROP TIEOFF_X37Y114 NUM_ARCS 0 SITEPROP TIEOFF_X37Y114 NUM_BELS 2 SITEPROP TIEOFF_X37Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y114 NUM_PINS 2 SITEPROP TIEOFF_X37Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y114 PROHIBIT 0 SITEPROP TIEOFF_X37Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y114 RPM_X 144 SITEPROP TIEOFF_X37Y114 RPM_Y 228 SITEPROP TIEOFF_X37Y114 SITE_PIPS SITEPROP TIEOFF_X37Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y115 CLASS site SITEPROP TIEOFF_X37Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y115 IS_BONDED 0 SITEPROP TIEOFF_X37Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y115 IS_PAD 0 SITEPROP TIEOFF_X37Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y115 IS_RESERVED 0 SITEPROP TIEOFF_X37Y115 IS_TEST 0 SITEPROP TIEOFF_X37Y115 IS_USED 0 SITEPROP TIEOFF_X37Y115 MANUAL_ROUTING SITEPROP TIEOFF_X37Y115 NAME TIEOFF_X37Y115 SITEPROP TIEOFF_X37Y115 NUM_ARCS 0 SITEPROP TIEOFF_X37Y115 NUM_BELS 2 SITEPROP TIEOFF_X37Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y115 NUM_PINS 2 SITEPROP TIEOFF_X37Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y115 PROHIBIT 0 SITEPROP TIEOFF_X37Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y115 RPM_X 144 SITEPROP TIEOFF_X37Y115 RPM_Y 230 SITEPROP TIEOFF_X37Y115 SITE_PIPS SITEPROP TIEOFF_X37Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y116 CLASS site SITEPROP TIEOFF_X37Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y116 IS_BONDED 0 SITEPROP TIEOFF_X37Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y116 IS_PAD 0 SITEPROP TIEOFF_X37Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y116 IS_RESERVED 0 SITEPROP TIEOFF_X37Y116 IS_TEST 0 SITEPROP TIEOFF_X37Y116 IS_USED 0 SITEPROP TIEOFF_X37Y116 MANUAL_ROUTING SITEPROP TIEOFF_X37Y116 NAME TIEOFF_X37Y116 SITEPROP TIEOFF_X37Y116 NUM_ARCS 0 SITEPROP TIEOFF_X37Y116 NUM_BELS 2 SITEPROP TIEOFF_X37Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y116 NUM_PINS 2 SITEPROP TIEOFF_X37Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y116 PROHIBIT 0 SITEPROP TIEOFF_X37Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y116 RPM_X 144 SITEPROP TIEOFF_X37Y116 RPM_Y 232 SITEPROP TIEOFF_X37Y116 SITE_PIPS SITEPROP TIEOFF_X37Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y117 CLASS site SITEPROP TIEOFF_X37Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y117 IS_BONDED 0 SITEPROP TIEOFF_X37Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y117 IS_PAD 0 SITEPROP TIEOFF_X37Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y117 IS_RESERVED 0 SITEPROP TIEOFF_X37Y117 IS_TEST 0 SITEPROP TIEOFF_X37Y117 IS_USED 0 SITEPROP TIEOFF_X37Y117 MANUAL_ROUTING SITEPROP TIEOFF_X37Y117 NAME TIEOFF_X37Y117 SITEPROP TIEOFF_X37Y117 NUM_ARCS 0 SITEPROP TIEOFF_X37Y117 NUM_BELS 2 SITEPROP TIEOFF_X37Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y117 NUM_PINS 2 SITEPROP TIEOFF_X37Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y117 PROHIBIT 0 SITEPROP TIEOFF_X37Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y117 RPM_X 144 SITEPROP TIEOFF_X37Y117 RPM_Y 234 SITEPROP TIEOFF_X37Y117 SITE_PIPS SITEPROP TIEOFF_X37Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y118 CLASS site SITEPROP TIEOFF_X37Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y118 IS_BONDED 0 SITEPROP TIEOFF_X37Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y118 IS_PAD 0 SITEPROP TIEOFF_X37Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y118 IS_RESERVED 0 SITEPROP TIEOFF_X37Y118 IS_TEST 0 SITEPROP TIEOFF_X37Y118 IS_USED 0 SITEPROP TIEOFF_X37Y118 MANUAL_ROUTING SITEPROP TIEOFF_X37Y118 NAME TIEOFF_X37Y118 SITEPROP TIEOFF_X37Y118 NUM_ARCS 0 SITEPROP TIEOFF_X37Y118 NUM_BELS 2 SITEPROP TIEOFF_X37Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y118 NUM_PINS 2 SITEPROP TIEOFF_X37Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y118 PROHIBIT 0 SITEPROP TIEOFF_X37Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y118 RPM_X 144 SITEPROP TIEOFF_X37Y118 RPM_Y 236 SITEPROP TIEOFF_X37Y118 SITE_PIPS SITEPROP TIEOFF_X37Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y119 CLASS site SITEPROP TIEOFF_X37Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y119 IS_BONDED 0 SITEPROP TIEOFF_X37Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y119 IS_PAD 0 SITEPROP TIEOFF_X37Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y119 IS_RESERVED 0 SITEPROP TIEOFF_X37Y119 IS_TEST 0 SITEPROP TIEOFF_X37Y119 IS_USED 0 SITEPROP TIEOFF_X37Y119 MANUAL_ROUTING SITEPROP TIEOFF_X37Y119 NAME TIEOFF_X37Y119 SITEPROP TIEOFF_X37Y119 NUM_ARCS 0 SITEPROP TIEOFF_X37Y119 NUM_BELS 2 SITEPROP TIEOFF_X37Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y119 NUM_PINS 2 SITEPROP TIEOFF_X37Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y119 PROHIBIT 0 SITEPROP TIEOFF_X37Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y119 RPM_X 144 SITEPROP TIEOFF_X37Y119 RPM_Y 238 SITEPROP TIEOFF_X37Y119 SITE_PIPS SITEPROP TIEOFF_X37Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y120 CLASS site SITEPROP TIEOFF_X37Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y120 IS_BONDED 0 SITEPROP TIEOFF_X37Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y120 IS_PAD 0 SITEPROP TIEOFF_X37Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y120 IS_RESERVED 0 SITEPROP TIEOFF_X37Y120 IS_TEST 0 SITEPROP TIEOFF_X37Y120 IS_USED 0 SITEPROP TIEOFF_X37Y120 MANUAL_ROUTING SITEPROP TIEOFF_X37Y120 NAME TIEOFF_X37Y120 SITEPROP TIEOFF_X37Y120 NUM_ARCS 0 SITEPROP TIEOFF_X37Y120 NUM_BELS 2 SITEPROP TIEOFF_X37Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y120 NUM_PINS 2 SITEPROP TIEOFF_X37Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y120 PROHIBIT 0 SITEPROP TIEOFF_X37Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y120 RPM_X 144 SITEPROP TIEOFF_X37Y120 RPM_Y 240 SITEPROP TIEOFF_X37Y120 SITE_PIPS SITEPROP TIEOFF_X37Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y121 CLASS site SITEPROP TIEOFF_X37Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y121 IS_BONDED 0 SITEPROP TIEOFF_X37Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y121 IS_PAD 0 SITEPROP TIEOFF_X37Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y121 IS_RESERVED 0 SITEPROP TIEOFF_X37Y121 IS_TEST 0 SITEPROP TIEOFF_X37Y121 IS_USED 0 SITEPROP TIEOFF_X37Y121 MANUAL_ROUTING SITEPROP TIEOFF_X37Y121 NAME TIEOFF_X37Y121 SITEPROP TIEOFF_X37Y121 NUM_ARCS 0 SITEPROP TIEOFF_X37Y121 NUM_BELS 2 SITEPROP TIEOFF_X37Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y121 NUM_PINS 2 SITEPROP TIEOFF_X37Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y121 PROHIBIT 0 SITEPROP TIEOFF_X37Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y121 RPM_X 144 SITEPROP TIEOFF_X37Y121 RPM_Y 242 SITEPROP TIEOFF_X37Y121 SITE_PIPS SITEPROP TIEOFF_X37Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y122 CLASS site SITEPROP TIEOFF_X37Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y122 IS_BONDED 0 SITEPROP TIEOFF_X37Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y122 IS_PAD 0 SITEPROP TIEOFF_X37Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y122 IS_RESERVED 0 SITEPROP TIEOFF_X37Y122 IS_TEST 0 SITEPROP TIEOFF_X37Y122 IS_USED 0 SITEPROP TIEOFF_X37Y122 MANUAL_ROUTING SITEPROP TIEOFF_X37Y122 NAME TIEOFF_X37Y122 SITEPROP TIEOFF_X37Y122 NUM_ARCS 0 SITEPROP TIEOFF_X37Y122 NUM_BELS 2 SITEPROP TIEOFF_X37Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y122 NUM_PINS 2 SITEPROP TIEOFF_X37Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y122 PROHIBIT 0 SITEPROP TIEOFF_X37Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y122 RPM_X 144 SITEPROP TIEOFF_X37Y122 RPM_Y 244 SITEPROP TIEOFF_X37Y122 SITE_PIPS SITEPROP TIEOFF_X37Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y123 CLASS site SITEPROP TIEOFF_X37Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y123 IS_BONDED 0 SITEPROP TIEOFF_X37Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y123 IS_PAD 0 SITEPROP TIEOFF_X37Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y123 IS_RESERVED 0 SITEPROP TIEOFF_X37Y123 IS_TEST 0 SITEPROP TIEOFF_X37Y123 IS_USED 0 SITEPROP TIEOFF_X37Y123 MANUAL_ROUTING SITEPROP TIEOFF_X37Y123 NAME TIEOFF_X37Y123 SITEPROP TIEOFF_X37Y123 NUM_ARCS 0 SITEPROP TIEOFF_X37Y123 NUM_BELS 2 SITEPROP TIEOFF_X37Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y123 NUM_PINS 2 SITEPROP TIEOFF_X37Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y123 PROHIBIT 0 SITEPROP TIEOFF_X37Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y123 RPM_X 144 SITEPROP TIEOFF_X37Y123 RPM_Y 246 SITEPROP TIEOFF_X37Y123 SITE_PIPS SITEPROP TIEOFF_X37Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y124 CLASS site SITEPROP TIEOFF_X37Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y124 IS_BONDED 0 SITEPROP TIEOFF_X37Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y124 IS_PAD 0 SITEPROP TIEOFF_X37Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y124 IS_RESERVED 0 SITEPROP TIEOFF_X37Y124 IS_TEST 0 SITEPROP TIEOFF_X37Y124 IS_USED 0 SITEPROP TIEOFF_X37Y124 MANUAL_ROUTING SITEPROP TIEOFF_X37Y124 NAME TIEOFF_X37Y124 SITEPROP TIEOFF_X37Y124 NUM_ARCS 0 SITEPROP TIEOFF_X37Y124 NUM_BELS 2 SITEPROP TIEOFF_X37Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y124 NUM_PINS 2 SITEPROP TIEOFF_X37Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y124 PROHIBIT 0 SITEPROP TIEOFF_X37Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y124 RPM_X 144 SITEPROP TIEOFF_X37Y124 RPM_Y 248 SITEPROP TIEOFF_X37Y124 SITE_PIPS SITEPROP TIEOFF_X37Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y125 CLASS site SITEPROP TIEOFF_X37Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y125 IS_BONDED 0 SITEPROP TIEOFF_X37Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y125 IS_PAD 0 SITEPROP TIEOFF_X37Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y125 IS_RESERVED 0 SITEPROP TIEOFF_X37Y125 IS_TEST 0 SITEPROP TIEOFF_X37Y125 IS_USED 0 SITEPROP TIEOFF_X37Y125 MANUAL_ROUTING SITEPROP TIEOFF_X37Y125 NAME TIEOFF_X37Y125 SITEPROP TIEOFF_X37Y125 NUM_ARCS 0 SITEPROP TIEOFF_X37Y125 NUM_BELS 2 SITEPROP TIEOFF_X37Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y125 NUM_PINS 2 SITEPROP TIEOFF_X37Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y125 PROHIBIT 0 SITEPROP TIEOFF_X37Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y125 RPM_X 144 SITEPROP TIEOFF_X37Y125 RPM_Y 250 SITEPROP TIEOFF_X37Y125 SITE_PIPS SITEPROP TIEOFF_X37Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y126 CLASS site SITEPROP TIEOFF_X37Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y126 IS_BONDED 0 SITEPROP TIEOFF_X37Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y126 IS_PAD 0 SITEPROP TIEOFF_X37Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y126 IS_RESERVED 0 SITEPROP TIEOFF_X37Y126 IS_TEST 0 SITEPROP TIEOFF_X37Y126 IS_USED 0 SITEPROP TIEOFF_X37Y126 MANUAL_ROUTING SITEPROP TIEOFF_X37Y126 NAME TIEOFF_X37Y126 SITEPROP TIEOFF_X37Y126 NUM_ARCS 0 SITEPROP TIEOFF_X37Y126 NUM_BELS 2 SITEPROP TIEOFF_X37Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y126 NUM_PINS 2 SITEPROP TIEOFF_X37Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y126 PROHIBIT 0 SITEPROP TIEOFF_X37Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y126 RPM_X 144 SITEPROP TIEOFF_X37Y126 RPM_Y 252 SITEPROP TIEOFF_X37Y126 SITE_PIPS SITEPROP TIEOFF_X37Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y127 CLASS site SITEPROP TIEOFF_X37Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y127 IS_BONDED 0 SITEPROP TIEOFF_X37Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y127 IS_PAD 0 SITEPROP TIEOFF_X37Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y127 IS_RESERVED 0 SITEPROP TIEOFF_X37Y127 IS_TEST 0 SITEPROP TIEOFF_X37Y127 IS_USED 0 SITEPROP TIEOFF_X37Y127 MANUAL_ROUTING SITEPROP TIEOFF_X37Y127 NAME TIEOFF_X37Y127 SITEPROP TIEOFF_X37Y127 NUM_ARCS 0 SITEPROP TIEOFF_X37Y127 NUM_BELS 2 SITEPROP TIEOFF_X37Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y127 NUM_PINS 2 SITEPROP TIEOFF_X37Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y127 PROHIBIT 0 SITEPROP TIEOFF_X37Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y127 RPM_X 144 SITEPROP TIEOFF_X37Y127 RPM_Y 254 SITEPROP TIEOFF_X37Y127 SITE_PIPS SITEPROP TIEOFF_X37Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y128 CLASS site SITEPROP TIEOFF_X37Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y128 IS_BONDED 0 SITEPROP TIEOFF_X37Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y128 IS_PAD 0 SITEPROP TIEOFF_X37Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y128 IS_RESERVED 0 SITEPROP TIEOFF_X37Y128 IS_TEST 0 SITEPROP TIEOFF_X37Y128 IS_USED 0 SITEPROP TIEOFF_X37Y128 MANUAL_ROUTING SITEPROP TIEOFF_X37Y128 NAME TIEOFF_X37Y128 SITEPROP TIEOFF_X37Y128 NUM_ARCS 0 SITEPROP TIEOFF_X37Y128 NUM_BELS 2 SITEPROP TIEOFF_X37Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y128 NUM_PINS 2 SITEPROP TIEOFF_X37Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y128 PROHIBIT 0 SITEPROP TIEOFF_X37Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y128 RPM_X 144 SITEPROP TIEOFF_X37Y128 RPM_Y 256 SITEPROP TIEOFF_X37Y128 SITE_PIPS SITEPROP TIEOFF_X37Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y129 CLASS site SITEPROP TIEOFF_X37Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y129 IS_BONDED 0 SITEPROP TIEOFF_X37Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y129 IS_PAD 0 SITEPROP TIEOFF_X37Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y129 IS_RESERVED 0 SITEPROP TIEOFF_X37Y129 IS_TEST 0 SITEPROP TIEOFF_X37Y129 IS_USED 0 SITEPROP TIEOFF_X37Y129 MANUAL_ROUTING SITEPROP TIEOFF_X37Y129 NAME TIEOFF_X37Y129 SITEPROP TIEOFF_X37Y129 NUM_ARCS 0 SITEPROP TIEOFF_X37Y129 NUM_BELS 2 SITEPROP TIEOFF_X37Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y129 NUM_PINS 2 SITEPROP TIEOFF_X37Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y129 PROHIBIT 0 SITEPROP TIEOFF_X37Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y129 RPM_X 144 SITEPROP TIEOFF_X37Y129 RPM_Y 258 SITEPROP TIEOFF_X37Y129 SITE_PIPS SITEPROP TIEOFF_X37Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y130 CLASS site SITEPROP TIEOFF_X37Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y130 IS_BONDED 0 SITEPROP TIEOFF_X37Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y130 IS_PAD 0 SITEPROP TIEOFF_X37Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y130 IS_RESERVED 0 SITEPROP TIEOFF_X37Y130 IS_TEST 0 SITEPROP TIEOFF_X37Y130 IS_USED 0 SITEPROP TIEOFF_X37Y130 MANUAL_ROUTING SITEPROP TIEOFF_X37Y130 NAME TIEOFF_X37Y130 SITEPROP TIEOFF_X37Y130 NUM_ARCS 0 SITEPROP TIEOFF_X37Y130 NUM_BELS 2 SITEPROP TIEOFF_X37Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y130 NUM_PINS 2 SITEPROP TIEOFF_X37Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y130 PROHIBIT 0 SITEPROP TIEOFF_X37Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y130 RPM_X 144 SITEPROP TIEOFF_X37Y130 RPM_Y 260 SITEPROP TIEOFF_X37Y130 SITE_PIPS SITEPROP TIEOFF_X37Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y131 CLASS site SITEPROP TIEOFF_X37Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y131 IS_BONDED 0 SITEPROP TIEOFF_X37Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y131 IS_PAD 0 SITEPROP TIEOFF_X37Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y131 IS_RESERVED 0 SITEPROP TIEOFF_X37Y131 IS_TEST 0 SITEPROP TIEOFF_X37Y131 IS_USED 0 SITEPROP TIEOFF_X37Y131 MANUAL_ROUTING SITEPROP TIEOFF_X37Y131 NAME TIEOFF_X37Y131 SITEPROP TIEOFF_X37Y131 NUM_ARCS 0 SITEPROP TIEOFF_X37Y131 NUM_BELS 2 SITEPROP TIEOFF_X37Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y131 NUM_PINS 2 SITEPROP TIEOFF_X37Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y131 PROHIBIT 0 SITEPROP TIEOFF_X37Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y131 RPM_X 144 SITEPROP TIEOFF_X37Y131 RPM_Y 262 SITEPROP TIEOFF_X37Y131 SITE_PIPS SITEPROP TIEOFF_X37Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y132 CLASS site SITEPROP TIEOFF_X37Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y132 IS_BONDED 0 SITEPROP TIEOFF_X37Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y132 IS_PAD 0 SITEPROP TIEOFF_X37Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y132 IS_RESERVED 0 SITEPROP TIEOFF_X37Y132 IS_TEST 0 SITEPROP TIEOFF_X37Y132 IS_USED 0 SITEPROP TIEOFF_X37Y132 MANUAL_ROUTING SITEPROP TIEOFF_X37Y132 NAME TIEOFF_X37Y132 SITEPROP TIEOFF_X37Y132 NUM_ARCS 0 SITEPROP TIEOFF_X37Y132 NUM_BELS 2 SITEPROP TIEOFF_X37Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y132 NUM_PINS 2 SITEPROP TIEOFF_X37Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y132 PROHIBIT 0 SITEPROP TIEOFF_X37Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y132 RPM_X 144 SITEPROP TIEOFF_X37Y132 RPM_Y 264 SITEPROP TIEOFF_X37Y132 SITE_PIPS SITEPROP TIEOFF_X37Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y133 CLASS site SITEPROP TIEOFF_X37Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y133 IS_BONDED 0 SITEPROP TIEOFF_X37Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y133 IS_PAD 0 SITEPROP TIEOFF_X37Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y133 IS_RESERVED 0 SITEPROP TIEOFF_X37Y133 IS_TEST 0 SITEPROP TIEOFF_X37Y133 IS_USED 0 SITEPROP TIEOFF_X37Y133 MANUAL_ROUTING SITEPROP TIEOFF_X37Y133 NAME TIEOFF_X37Y133 SITEPROP TIEOFF_X37Y133 NUM_ARCS 0 SITEPROP TIEOFF_X37Y133 NUM_BELS 2 SITEPROP TIEOFF_X37Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y133 NUM_PINS 2 SITEPROP TIEOFF_X37Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y133 PROHIBIT 0 SITEPROP TIEOFF_X37Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y133 RPM_X 144 SITEPROP TIEOFF_X37Y133 RPM_Y 266 SITEPROP TIEOFF_X37Y133 SITE_PIPS SITEPROP TIEOFF_X37Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y134 CLASS site SITEPROP TIEOFF_X37Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y134 IS_BONDED 0 SITEPROP TIEOFF_X37Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y134 IS_PAD 0 SITEPROP TIEOFF_X37Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y134 IS_RESERVED 0 SITEPROP TIEOFF_X37Y134 IS_TEST 0 SITEPROP TIEOFF_X37Y134 IS_USED 0 SITEPROP TIEOFF_X37Y134 MANUAL_ROUTING SITEPROP TIEOFF_X37Y134 NAME TIEOFF_X37Y134 SITEPROP TIEOFF_X37Y134 NUM_ARCS 0 SITEPROP TIEOFF_X37Y134 NUM_BELS 2 SITEPROP TIEOFF_X37Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y134 NUM_PINS 2 SITEPROP TIEOFF_X37Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y134 PROHIBIT 0 SITEPROP TIEOFF_X37Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y134 RPM_X 144 SITEPROP TIEOFF_X37Y134 RPM_Y 268 SITEPROP TIEOFF_X37Y134 SITE_PIPS SITEPROP TIEOFF_X37Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y135 CLASS site SITEPROP TIEOFF_X37Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y135 IS_BONDED 0 SITEPROP TIEOFF_X37Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y135 IS_PAD 0 SITEPROP TIEOFF_X37Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y135 IS_RESERVED 0 SITEPROP TIEOFF_X37Y135 IS_TEST 0 SITEPROP TIEOFF_X37Y135 IS_USED 0 SITEPROP TIEOFF_X37Y135 MANUAL_ROUTING SITEPROP TIEOFF_X37Y135 NAME TIEOFF_X37Y135 SITEPROP TIEOFF_X37Y135 NUM_ARCS 0 SITEPROP TIEOFF_X37Y135 NUM_BELS 2 SITEPROP TIEOFF_X37Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y135 NUM_PINS 2 SITEPROP TIEOFF_X37Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y135 PROHIBIT 0 SITEPROP TIEOFF_X37Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y135 RPM_X 144 SITEPROP TIEOFF_X37Y135 RPM_Y 270 SITEPROP TIEOFF_X37Y135 SITE_PIPS SITEPROP TIEOFF_X37Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y136 CLASS site SITEPROP TIEOFF_X37Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y136 IS_BONDED 0 SITEPROP TIEOFF_X37Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y136 IS_PAD 0 SITEPROP TIEOFF_X37Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y136 IS_RESERVED 0 SITEPROP TIEOFF_X37Y136 IS_TEST 0 SITEPROP TIEOFF_X37Y136 IS_USED 0 SITEPROP TIEOFF_X37Y136 MANUAL_ROUTING SITEPROP TIEOFF_X37Y136 NAME TIEOFF_X37Y136 SITEPROP TIEOFF_X37Y136 NUM_ARCS 0 SITEPROP TIEOFF_X37Y136 NUM_BELS 2 SITEPROP TIEOFF_X37Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y136 NUM_PINS 2 SITEPROP TIEOFF_X37Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y136 PROHIBIT 0 SITEPROP TIEOFF_X37Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y136 RPM_X 144 SITEPROP TIEOFF_X37Y136 RPM_Y 272 SITEPROP TIEOFF_X37Y136 SITE_PIPS SITEPROP TIEOFF_X37Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y137 CLASS site SITEPROP TIEOFF_X37Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y137 IS_BONDED 0 SITEPROP TIEOFF_X37Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y137 IS_PAD 0 SITEPROP TIEOFF_X37Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y137 IS_RESERVED 0 SITEPROP TIEOFF_X37Y137 IS_TEST 0 SITEPROP TIEOFF_X37Y137 IS_USED 0 SITEPROP TIEOFF_X37Y137 MANUAL_ROUTING SITEPROP TIEOFF_X37Y137 NAME TIEOFF_X37Y137 SITEPROP TIEOFF_X37Y137 NUM_ARCS 0 SITEPROP TIEOFF_X37Y137 NUM_BELS 2 SITEPROP TIEOFF_X37Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y137 NUM_PINS 2 SITEPROP TIEOFF_X37Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y137 PROHIBIT 0 SITEPROP TIEOFF_X37Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y137 RPM_X 144 SITEPROP TIEOFF_X37Y137 RPM_Y 274 SITEPROP TIEOFF_X37Y137 SITE_PIPS SITEPROP TIEOFF_X37Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y138 CLASS site SITEPROP TIEOFF_X37Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y138 IS_BONDED 0 SITEPROP TIEOFF_X37Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y138 IS_PAD 0 SITEPROP TIEOFF_X37Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y138 IS_RESERVED 0 SITEPROP TIEOFF_X37Y138 IS_TEST 0 SITEPROP TIEOFF_X37Y138 IS_USED 0 SITEPROP TIEOFF_X37Y138 MANUAL_ROUTING SITEPROP TIEOFF_X37Y138 NAME TIEOFF_X37Y138 SITEPROP TIEOFF_X37Y138 NUM_ARCS 0 SITEPROP TIEOFF_X37Y138 NUM_BELS 2 SITEPROP TIEOFF_X37Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y138 NUM_PINS 2 SITEPROP TIEOFF_X37Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y138 PROHIBIT 0 SITEPROP TIEOFF_X37Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y138 RPM_X 144 SITEPROP TIEOFF_X37Y138 RPM_Y 276 SITEPROP TIEOFF_X37Y138 SITE_PIPS SITEPROP TIEOFF_X37Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y139 CLASS site SITEPROP TIEOFF_X37Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y139 IS_BONDED 0 SITEPROP TIEOFF_X37Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y139 IS_PAD 0 SITEPROP TIEOFF_X37Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y139 IS_RESERVED 0 SITEPROP TIEOFF_X37Y139 IS_TEST 0 SITEPROP TIEOFF_X37Y139 IS_USED 0 SITEPROP TIEOFF_X37Y139 MANUAL_ROUTING SITEPROP TIEOFF_X37Y139 NAME TIEOFF_X37Y139 SITEPROP TIEOFF_X37Y139 NUM_ARCS 0 SITEPROP TIEOFF_X37Y139 NUM_BELS 2 SITEPROP TIEOFF_X37Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y139 NUM_PINS 2 SITEPROP TIEOFF_X37Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y139 PROHIBIT 0 SITEPROP TIEOFF_X37Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y139 RPM_X 144 SITEPROP TIEOFF_X37Y139 RPM_Y 278 SITEPROP TIEOFF_X37Y139 SITE_PIPS SITEPROP TIEOFF_X37Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y140 CLASS site SITEPROP TIEOFF_X37Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y140 IS_BONDED 0 SITEPROP TIEOFF_X37Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y140 IS_PAD 0 SITEPROP TIEOFF_X37Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y140 IS_RESERVED 0 SITEPROP TIEOFF_X37Y140 IS_TEST 0 SITEPROP TIEOFF_X37Y140 IS_USED 0 SITEPROP TIEOFF_X37Y140 MANUAL_ROUTING SITEPROP TIEOFF_X37Y140 NAME TIEOFF_X37Y140 SITEPROP TIEOFF_X37Y140 NUM_ARCS 0 SITEPROP TIEOFF_X37Y140 NUM_BELS 2 SITEPROP TIEOFF_X37Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y140 NUM_PINS 2 SITEPROP TIEOFF_X37Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y140 PROHIBIT 0 SITEPROP TIEOFF_X37Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y140 RPM_X 144 SITEPROP TIEOFF_X37Y140 RPM_Y 280 SITEPROP TIEOFF_X37Y140 SITE_PIPS SITEPROP TIEOFF_X37Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y141 CLASS site SITEPROP TIEOFF_X37Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y141 IS_BONDED 0 SITEPROP TIEOFF_X37Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y141 IS_PAD 0 SITEPROP TIEOFF_X37Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y141 IS_RESERVED 0 SITEPROP TIEOFF_X37Y141 IS_TEST 0 SITEPROP TIEOFF_X37Y141 IS_USED 0 SITEPROP TIEOFF_X37Y141 MANUAL_ROUTING SITEPROP TIEOFF_X37Y141 NAME TIEOFF_X37Y141 SITEPROP TIEOFF_X37Y141 NUM_ARCS 0 SITEPROP TIEOFF_X37Y141 NUM_BELS 2 SITEPROP TIEOFF_X37Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y141 NUM_PINS 2 SITEPROP TIEOFF_X37Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y141 PROHIBIT 0 SITEPROP TIEOFF_X37Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y141 RPM_X 144 SITEPROP TIEOFF_X37Y141 RPM_Y 282 SITEPROP TIEOFF_X37Y141 SITE_PIPS SITEPROP TIEOFF_X37Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y142 CLASS site SITEPROP TIEOFF_X37Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y142 IS_BONDED 0 SITEPROP TIEOFF_X37Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y142 IS_PAD 0 SITEPROP TIEOFF_X37Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y142 IS_RESERVED 0 SITEPROP TIEOFF_X37Y142 IS_TEST 0 SITEPROP TIEOFF_X37Y142 IS_USED 0 SITEPROP TIEOFF_X37Y142 MANUAL_ROUTING SITEPROP TIEOFF_X37Y142 NAME TIEOFF_X37Y142 SITEPROP TIEOFF_X37Y142 NUM_ARCS 0 SITEPROP TIEOFF_X37Y142 NUM_BELS 2 SITEPROP TIEOFF_X37Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y142 NUM_PINS 2 SITEPROP TIEOFF_X37Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y142 PROHIBIT 0 SITEPROP TIEOFF_X37Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y142 RPM_X 144 SITEPROP TIEOFF_X37Y142 RPM_Y 284 SITEPROP TIEOFF_X37Y142 SITE_PIPS SITEPROP TIEOFF_X37Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y143 CLASS site SITEPROP TIEOFF_X37Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y143 IS_BONDED 0 SITEPROP TIEOFF_X37Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y143 IS_PAD 0 SITEPROP TIEOFF_X37Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y143 IS_RESERVED 0 SITEPROP TIEOFF_X37Y143 IS_TEST 0 SITEPROP TIEOFF_X37Y143 IS_USED 0 SITEPROP TIEOFF_X37Y143 MANUAL_ROUTING SITEPROP TIEOFF_X37Y143 NAME TIEOFF_X37Y143 SITEPROP TIEOFF_X37Y143 NUM_ARCS 0 SITEPROP TIEOFF_X37Y143 NUM_BELS 2 SITEPROP TIEOFF_X37Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y143 NUM_PINS 2 SITEPROP TIEOFF_X37Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y143 PROHIBIT 0 SITEPROP TIEOFF_X37Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y143 RPM_X 144 SITEPROP TIEOFF_X37Y143 RPM_Y 286 SITEPROP TIEOFF_X37Y143 SITE_PIPS SITEPROP TIEOFF_X37Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y144 CLASS site SITEPROP TIEOFF_X37Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y144 IS_BONDED 0 SITEPROP TIEOFF_X37Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y144 IS_PAD 0 SITEPROP TIEOFF_X37Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y144 IS_RESERVED 0 SITEPROP TIEOFF_X37Y144 IS_TEST 0 SITEPROP TIEOFF_X37Y144 IS_USED 0 SITEPROP TIEOFF_X37Y144 MANUAL_ROUTING SITEPROP TIEOFF_X37Y144 NAME TIEOFF_X37Y144 SITEPROP TIEOFF_X37Y144 NUM_ARCS 0 SITEPROP TIEOFF_X37Y144 NUM_BELS 2 SITEPROP TIEOFF_X37Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y144 NUM_PINS 2 SITEPROP TIEOFF_X37Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y144 PROHIBIT 0 SITEPROP TIEOFF_X37Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y144 RPM_X 144 SITEPROP TIEOFF_X37Y144 RPM_Y 288 SITEPROP TIEOFF_X37Y144 SITE_PIPS SITEPROP TIEOFF_X37Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y145 CLASS site SITEPROP TIEOFF_X37Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y145 IS_BONDED 0 SITEPROP TIEOFF_X37Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y145 IS_PAD 0 SITEPROP TIEOFF_X37Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y145 IS_RESERVED 0 SITEPROP TIEOFF_X37Y145 IS_TEST 0 SITEPROP TIEOFF_X37Y145 IS_USED 0 SITEPROP TIEOFF_X37Y145 MANUAL_ROUTING SITEPROP TIEOFF_X37Y145 NAME TIEOFF_X37Y145 SITEPROP TIEOFF_X37Y145 NUM_ARCS 0 SITEPROP TIEOFF_X37Y145 NUM_BELS 2 SITEPROP TIEOFF_X37Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y145 NUM_PINS 2 SITEPROP TIEOFF_X37Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y145 PROHIBIT 0 SITEPROP TIEOFF_X37Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y145 RPM_X 144 SITEPROP TIEOFF_X37Y145 RPM_Y 290 SITEPROP TIEOFF_X37Y145 SITE_PIPS SITEPROP TIEOFF_X37Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y146 CLASS site SITEPROP TIEOFF_X37Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y146 IS_BONDED 0 SITEPROP TIEOFF_X37Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y146 IS_PAD 0 SITEPROP TIEOFF_X37Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y146 IS_RESERVED 0 SITEPROP TIEOFF_X37Y146 IS_TEST 0 SITEPROP TIEOFF_X37Y146 IS_USED 0 SITEPROP TIEOFF_X37Y146 MANUAL_ROUTING SITEPROP TIEOFF_X37Y146 NAME TIEOFF_X37Y146 SITEPROP TIEOFF_X37Y146 NUM_ARCS 0 SITEPROP TIEOFF_X37Y146 NUM_BELS 2 SITEPROP TIEOFF_X37Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y146 NUM_PINS 2 SITEPROP TIEOFF_X37Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y146 PROHIBIT 0 SITEPROP TIEOFF_X37Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y146 RPM_X 144 SITEPROP TIEOFF_X37Y146 RPM_Y 292 SITEPROP TIEOFF_X37Y146 SITE_PIPS SITEPROP TIEOFF_X37Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y147 CLASS site SITEPROP TIEOFF_X37Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y147 IS_BONDED 0 SITEPROP TIEOFF_X37Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y147 IS_PAD 0 SITEPROP TIEOFF_X37Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y147 IS_RESERVED 0 SITEPROP TIEOFF_X37Y147 IS_TEST 0 SITEPROP TIEOFF_X37Y147 IS_USED 0 SITEPROP TIEOFF_X37Y147 MANUAL_ROUTING SITEPROP TIEOFF_X37Y147 NAME TIEOFF_X37Y147 SITEPROP TIEOFF_X37Y147 NUM_ARCS 0 SITEPROP TIEOFF_X37Y147 NUM_BELS 2 SITEPROP TIEOFF_X37Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y147 NUM_PINS 2 SITEPROP TIEOFF_X37Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y147 PROHIBIT 0 SITEPROP TIEOFF_X37Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y147 RPM_X 144 SITEPROP TIEOFF_X37Y147 RPM_Y 294 SITEPROP TIEOFF_X37Y147 SITE_PIPS SITEPROP TIEOFF_X37Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y148 CLASS site SITEPROP TIEOFF_X37Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y148 IS_BONDED 0 SITEPROP TIEOFF_X37Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y148 IS_PAD 0 SITEPROP TIEOFF_X37Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y148 IS_RESERVED 0 SITEPROP TIEOFF_X37Y148 IS_TEST 0 SITEPROP TIEOFF_X37Y148 IS_USED 0 SITEPROP TIEOFF_X37Y148 MANUAL_ROUTING SITEPROP TIEOFF_X37Y148 NAME TIEOFF_X37Y148 SITEPROP TIEOFF_X37Y148 NUM_ARCS 0 SITEPROP TIEOFF_X37Y148 NUM_BELS 2 SITEPROP TIEOFF_X37Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y148 NUM_PINS 2 SITEPROP TIEOFF_X37Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y148 PROHIBIT 0 SITEPROP TIEOFF_X37Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y148 RPM_X 144 SITEPROP TIEOFF_X37Y148 RPM_Y 296 SITEPROP TIEOFF_X37Y148 SITE_PIPS SITEPROP TIEOFF_X37Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X37Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X37Y149 CLASS site SITEPROP TIEOFF_X37Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X37Y149 IS_BONDED 0 SITEPROP TIEOFF_X37Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y149 IS_PAD 0 SITEPROP TIEOFF_X37Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X37Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X37Y149 IS_RESERVED 0 SITEPROP TIEOFF_X37Y149 IS_TEST 0 SITEPROP TIEOFF_X37Y149 IS_USED 0 SITEPROP TIEOFF_X37Y149 MANUAL_ROUTING SITEPROP TIEOFF_X37Y149 NAME TIEOFF_X37Y149 SITEPROP TIEOFF_X37Y149 NUM_ARCS 0 SITEPROP TIEOFF_X37Y149 NUM_BELS 2 SITEPROP TIEOFF_X37Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X37Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X37Y149 NUM_PINS 2 SITEPROP TIEOFF_X37Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X37Y149 PROHIBIT 0 SITEPROP TIEOFF_X37Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X37Y149 RPM_X 144 SITEPROP TIEOFF_X37Y149 RPM_Y 298 SITEPROP TIEOFF_X37Y149 SITE_PIPS SITEPROP TIEOFF_X37Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y0 CLASS site SITEPROP TIEOFF_X38Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y0 IS_BONDED 0 SITEPROP TIEOFF_X38Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y0 IS_PAD 0 SITEPROP TIEOFF_X38Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y0 IS_RESERVED 0 SITEPROP TIEOFF_X38Y0 IS_TEST 0 SITEPROP TIEOFF_X38Y0 IS_USED 0 SITEPROP TIEOFF_X38Y0 MANUAL_ROUTING SITEPROP TIEOFF_X38Y0 NAME TIEOFF_X38Y0 SITEPROP TIEOFF_X38Y0 NUM_ARCS 0 SITEPROP TIEOFF_X38Y0 NUM_BELS 2 SITEPROP TIEOFF_X38Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y0 NUM_PINS 2 SITEPROP TIEOFF_X38Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y0 PROHIBIT 0 SITEPROP TIEOFF_X38Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y0 RPM_X 148 SITEPROP TIEOFF_X38Y0 RPM_Y 0 SITEPROP TIEOFF_X38Y0 SITE_PIPS SITEPROP TIEOFF_X38Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y1 CLASS site SITEPROP TIEOFF_X38Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y1 IS_BONDED 0 SITEPROP TIEOFF_X38Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y1 IS_PAD 0 SITEPROP TIEOFF_X38Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y1 IS_RESERVED 0 SITEPROP TIEOFF_X38Y1 IS_TEST 0 SITEPROP TIEOFF_X38Y1 IS_USED 0 SITEPROP TIEOFF_X38Y1 MANUAL_ROUTING SITEPROP TIEOFF_X38Y1 NAME TIEOFF_X38Y1 SITEPROP TIEOFF_X38Y1 NUM_ARCS 0 SITEPROP TIEOFF_X38Y1 NUM_BELS 2 SITEPROP TIEOFF_X38Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y1 NUM_PINS 2 SITEPROP TIEOFF_X38Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y1 PROHIBIT 0 SITEPROP TIEOFF_X38Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y1 RPM_X 148 SITEPROP TIEOFF_X38Y1 RPM_Y 2 SITEPROP TIEOFF_X38Y1 SITE_PIPS SITEPROP TIEOFF_X38Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y2 CLASS site SITEPROP TIEOFF_X38Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y2 IS_BONDED 0 SITEPROP TIEOFF_X38Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y2 IS_PAD 0 SITEPROP TIEOFF_X38Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y2 IS_RESERVED 0 SITEPROP TIEOFF_X38Y2 IS_TEST 0 SITEPROP TIEOFF_X38Y2 IS_USED 0 SITEPROP TIEOFF_X38Y2 MANUAL_ROUTING SITEPROP TIEOFF_X38Y2 NAME TIEOFF_X38Y2 SITEPROP TIEOFF_X38Y2 NUM_ARCS 0 SITEPROP TIEOFF_X38Y2 NUM_BELS 2 SITEPROP TIEOFF_X38Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y2 NUM_PINS 2 SITEPROP TIEOFF_X38Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y2 PROHIBIT 0 SITEPROP TIEOFF_X38Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y2 RPM_X 148 SITEPROP TIEOFF_X38Y2 RPM_Y 4 SITEPROP TIEOFF_X38Y2 SITE_PIPS SITEPROP TIEOFF_X38Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y3 CLASS site SITEPROP TIEOFF_X38Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y3 IS_BONDED 0 SITEPROP TIEOFF_X38Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y3 IS_PAD 0 SITEPROP TIEOFF_X38Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y3 IS_RESERVED 0 SITEPROP TIEOFF_X38Y3 IS_TEST 0 SITEPROP TIEOFF_X38Y3 IS_USED 0 SITEPROP TIEOFF_X38Y3 MANUAL_ROUTING SITEPROP TIEOFF_X38Y3 NAME TIEOFF_X38Y3 SITEPROP TIEOFF_X38Y3 NUM_ARCS 0 SITEPROP TIEOFF_X38Y3 NUM_BELS 2 SITEPROP TIEOFF_X38Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y3 NUM_PINS 2 SITEPROP TIEOFF_X38Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y3 PROHIBIT 0 SITEPROP TIEOFF_X38Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y3 RPM_X 148 SITEPROP TIEOFF_X38Y3 RPM_Y 6 SITEPROP TIEOFF_X38Y3 SITE_PIPS SITEPROP TIEOFF_X38Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y4 CLASS site SITEPROP TIEOFF_X38Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y4 IS_BONDED 0 SITEPROP TIEOFF_X38Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y4 IS_PAD 0 SITEPROP TIEOFF_X38Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y4 IS_RESERVED 0 SITEPROP TIEOFF_X38Y4 IS_TEST 0 SITEPROP TIEOFF_X38Y4 IS_USED 0 SITEPROP TIEOFF_X38Y4 MANUAL_ROUTING SITEPROP TIEOFF_X38Y4 NAME TIEOFF_X38Y4 SITEPROP TIEOFF_X38Y4 NUM_ARCS 0 SITEPROP TIEOFF_X38Y4 NUM_BELS 2 SITEPROP TIEOFF_X38Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y4 NUM_PINS 2 SITEPROP TIEOFF_X38Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y4 PROHIBIT 0 SITEPROP TIEOFF_X38Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y4 RPM_X 148 SITEPROP TIEOFF_X38Y4 RPM_Y 8 SITEPROP TIEOFF_X38Y4 SITE_PIPS SITEPROP TIEOFF_X38Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y5 CLASS site SITEPROP TIEOFF_X38Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y5 IS_BONDED 0 SITEPROP TIEOFF_X38Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y5 IS_PAD 0 SITEPROP TIEOFF_X38Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y5 IS_RESERVED 0 SITEPROP TIEOFF_X38Y5 IS_TEST 0 SITEPROP TIEOFF_X38Y5 IS_USED 0 SITEPROP TIEOFF_X38Y5 MANUAL_ROUTING SITEPROP TIEOFF_X38Y5 NAME TIEOFF_X38Y5 SITEPROP TIEOFF_X38Y5 NUM_ARCS 0 SITEPROP TIEOFF_X38Y5 NUM_BELS 2 SITEPROP TIEOFF_X38Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y5 NUM_PINS 2 SITEPROP TIEOFF_X38Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y5 PROHIBIT 0 SITEPROP TIEOFF_X38Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y5 RPM_X 148 SITEPROP TIEOFF_X38Y5 RPM_Y 10 SITEPROP TIEOFF_X38Y5 SITE_PIPS SITEPROP TIEOFF_X38Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y6 CLASS site SITEPROP TIEOFF_X38Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y6 IS_BONDED 0 SITEPROP TIEOFF_X38Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y6 IS_PAD 0 SITEPROP TIEOFF_X38Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y6 IS_RESERVED 0 SITEPROP TIEOFF_X38Y6 IS_TEST 0 SITEPROP TIEOFF_X38Y6 IS_USED 0 SITEPROP TIEOFF_X38Y6 MANUAL_ROUTING SITEPROP TIEOFF_X38Y6 NAME TIEOFF_X38Y6 SITEPROP TIEOFF_X38Y6 NUM_ARCS 0 SITEPROP TIEOFF_X38Y6 NUM_BELS 2 SITEPROP TIEOFF_X38Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y6 NUM_PINS 2 SITEPROP TIEOFF_X38Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y6 PROHIBIT 0 SITEPROP TIEOFF_X38Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y6 RPM_X 148 SITEPROP TIEOFF_X38Y6 RPM_Y 12 SITEPROP TIEOFF_X38Y6 SITE_PIPS SITEPROP TIEOFF_X38Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y7 CLASS site SITEPROP TIEOFF_X38Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y7 IS_BONDED 0 SITEPROP TIEOFF_X38Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y7 IS_PAD 0 SITEPROP TIEOFF_X38Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y7 IS_RESERVED 0 SITEPROP TIEOFF_X38Y7 IS_TEST 0 SITEPROP TIEOFF_X38Y7 IS_USED 0 SITEPROP TIEOFF_X38Y7 MANUAL_ROUTING SITEPROP TIEOFF_X38Y7 NAME TIEOFF_X38Y7 SITEPROP TIEOFF_X38Y7 NUM_ARCS 0 SITEPROP TIEOFF_X38Y7 NUM_BELS 2 SITEPROP TIEOFF_X38Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y7 NUM_PINS 2 SITEPROP TIEOFF_X38Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y7 PROHIBIT 0 SITEPROP TIEOFF_X38Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y7 RPM_X 148 SITEPROP TIEOFF_X38Y7 RPM_Y 14 SITEPROP TIEOFF_X38Y7 SITE_PIPS SITEPROP TIEOFF_X38Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y8 CLASS site SITEPROP TIEOFF_X38Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y8 IS_BONDED 0 SITEPROP TIEOFF_X38Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y8 IS_PAD 0 SITEPROP TIEOFF_X38Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y8 IS_RESERVED 0 SITEPROP TIEOFF_X38Y8 IS_TEST 0 SITEPROP TIEOFF_X38Y8 IS_USED 0 SITEPROP TIEOFF_X38Y8 MANUAL_ROUTING SITEPROP TIEOFF_X38Y8 NAME TIEOFF_X38Y8 SITEPROP TIEOFF_X38Y8 NUM_ARCS 0 SITEPROP TIEOFF_X38Y8 NUM_BELS 2 SITEPROP TIEOFF_X38Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y8 NUM_PINS 2 SITEPROP TIEOFF_X38Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y8 PROHIBIT 0 SITEPROP TIEOFF_X38Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y8 RPM_X 148 SITEPROP TIEOFF_X38Y8 RPM_Y 16 SITEPROP TIEOFF_X38Y8 SITE_PIPS SITEPROP TIEOFF_X38Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y9 CLASS site SITEPROP TIEOFF_X38Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y9 IS_BONDED 0 SITEPROP TIEOFF_X38Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y9 IS_PAD 0 SITEPROP TIEOFF_X38Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y9 IS_RESERVED 0 SITEPROP TIEOFF_X38Y9 IS_TEST 0 SITEPROP TIEOFF_X38Y9 IS_USED 0 SITEPROP TIEOFF_X38Y9 MANUAL_ROUTING SITEPROP TIEOFF_X38Y9 NAME TIEOFF_X38Y9 SITEPROP TIEOFF_X38Y9 NUM_ARCS 0 SITEPROP TIEOFF_X38Y9 NUM_BELS 2 SITEPROP TIEOFF_X38Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y9 NUM_PINS 2 SITEPROP TIEOFF_X38Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y9 PROHIBIT 0 SITEPROP TIEOFF_X38Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y9 RPM_X 148 SITEPROP TIEOFF_X38Y9 RPM_Y 18 SITEPROP TIEOFF_X38Y9 SITE_PIPS SITEPROP TIEOFF_X38Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y10 CLASS site SITEPROP TIEOFF_X38Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y10 IS_BONDED 0 SITEPROP TIEOFF_X38Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y10 IS_PAD 0 SITEPROP TIEOFF_X38Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y10 IS_RESERVED 0 SITEPROP TIEOFF_X38Y10 IS_TEST 0 SITEPROP TIEOFF_X38Y10 IS_USED 0 SITEPROP TIEOFF_X38Y10 MANUAL_ROUTING SITEPROP TIEOFF_X38Y10 NAME TIEOFF_X38Y10 SITEPROP TIEOFF_X38Y10 NUM_ARCS 0 SITEPROP TIEOFF_X38Y10 NUM_BELS 2 SITEPROP TIEOFF_X38Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y10 NUM_PINS 2 SITEPROP TIEOFF_X38Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y10 PROHIBIT 0 SITEPROP TIEOFF_X38Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y10 RPM_X 148 SITEPROP TIEOFF_X38Y10 RPM_Y 20 SITEPROP TIEOFF_X38Y10 SITE_PIPS SITEPROP TIEOFF_X38Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y11 CLASS site SITEPROP TIEOFF_X38Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y11 IS_BONDED 0 SITEPROP TIEOFF_X38Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y11 IS_PAD 0 SITEPROP TIEOFF_X38Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y11 IS_RESERVED 0 SITEPROP TIEOFF_X38Y11 IS_TEST 0 SITEPROP TIEOFF_X38Y11 IS_USED 0 SITEPROP TIEOFF_X38Y11 MANUAL_ROUTING SITEPROP TIEOFF_X38Y11 NAME TIEOFF_X38Y11 SITEPROP TIEOFF_X38Y11 NUM_ARCS 0 SITEPROP TIEOFF_X38Y11 NUM_BELS 2 SITEPROP TIEOFF_X38Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y11 NUM_PINS 2 SITEPROP TIEOFF_X38Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y11 PROHIBIT 0 SITEPROP TIEOFF_X38Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y11 RPM_X 148 SITEPROP TIEOFF_X38Y11 RPM_Y 22 SITEPROP TIEOFF_X38Y11 SITE_PIPS SITEPROP TIEOFF_X38Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y12 CLASS site SITEPROP TIEOFF_X38Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y12 IS_BONDED 0 SITEPROP TIEOFF_X38Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y12 IS_PAD 0 SITEPROP TIEOFF_X38Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y12 IS_RESERVED 0 SITEPROP TIEOFF_X38Y12 IS_TEST 0 SITEPROP TIEOFF_X38Y12 IS_USED 0 SITEPROP TIEOFF_X38Y12 MANUAL_ROUTING SITEPROP TIEOFF_X38Y12 NAME TIEOFF_X38Y12 SITEPROP TIEOFF_X38Y12 NUM_ARCS 0 SITEPROP TIEOFF_X38Y12 NUM_BELS 2 SITEPROP TIEOFF_X38Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y12 NUM_PINS 2 SITEPROP TIEOFF_X38Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y12 PROHIBIT 0 SITEPROP TIEOFF_X38Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y12 RPM_X 148 SITEPROP TIEOFF_X38Y12 RPM_Y 24 SITEPROP TIEOFF_X38Y12 SITE_PIPS SITEPROP TIEOFF_X38Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y13 CLASS site SITEPROP TIEOFF_X38Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y13 IS_BONDED 0 SITEPROP TIEOFF_X38Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y13 IS_PAD 0 SITEPROP TIEOFF_X38Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y13 IS_RESERVED 0 SITEPROP TIEOFF_X38Y13 IS_TEST 0 SITEPROP TIEOFF_X38Y13 IS_USED 0 SITEPROP TIEOFF_X38Y13 MANUAL_ROUTING SITEPROP TIEOFF_X38Y13 NAME TIEOFF_X38Y13 SITEPROP TIEOFF_X38Y13 NUM_ARCS 0 SITEPROP TIEOFF_X38Y13 NUM_BELS 2 SITEPROP TIEOFF_X38Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y13 NUM_PINS 2 SITEPROP TIEOFF_X38Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y13 PROHIBIT 0 SITEPROP TIEOFF_X38Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y13 RPM_X 148 SITEPROP TIEOFF_X38Y13 RPM_Y 26 SITEPROP TIEOFF_X38Y13 SITE_PIPS SITEPROP TIEOFF_X38Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y14 CLASS site SITEPROP TIEOFF_X38Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y14 IS_BONDED 0 SITEPROP TIEOFF_X38Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y14 IS_PAD 0 SITEPROP TIEOFF_X38Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y14 IS_RESERVED 0 SITEPROP TIEOFF_X38Y14 IS_TEST 0 SITEPROP TIEOFF_X38Y14 IS_USED 0 SITEPROP TIEOFF_X38Y14 MANUAL_ROUTING SITEPROP TIEOFF_X38Y14 NAME TIEOFF_X38Y14 SITEPROP TIEOFF_X38Y14 NUM_ARCS 0 SITEPROP TIEOFF_X38Y14 NUM_BELS 2 SITEPROP TIEOFF_X38Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y14 NUM_PINS 2 SITEPROP TIEOFF_X38Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y14 PROHIBIT 0 SITEPROP TIEOFF_X38Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y14 RPM_X 148 SITEPROP TIEOFF_X38Y14 RPM_Y 28 SITEPROP TIEOFF_X38Y14 SITE_PIPS SITEPROP TIEOFF_X38Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y15 CLASS site SITEPROP TIEOFF_X38Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y15 IS_BONDED 0 SITEPROP TIEOFF_X38Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y15 IS_PAD 0 SITEPROP TIEOFF_X38Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y15 IS_RESERVED 0 SITEPROP TIEOFF_X38Y15 IS_TEST 0 SITEPROP TIEOFF_X38Y15 IS_USED 0 SITEPROP TIEOFF_X38Y15 MANUAL_ROUTING SITEPROP TIEOFF_X38Y15 NAME TIEOFF_X38Y15 SITEPROP TIEOFF_X38Y15 NUM_ARCS 0 SITEPROP TIEOFF_X38Y15 NUM_BELS 2 SITEPROP TIEOFF_X38Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y15 NUM_PINS 2 SITEPROP TIEOFF_X38Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y15 PROHIBIT 0 SITEPROP TIEOFF_X38Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y15 RPM_X 148 SITEPROP TIEOFF_X38Y15 RPM_Y 30 SITEPROP TIEOFF_X38Y15 SITE_PIPS SITEPROP TIEOFF_X38Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y16 CLASS site SITEPROP TIEOFF_X38Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y16 IS_BONDED 0 SITEPROP TIEOFF_X38Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y16 IS_PAD 0 SITEPROP TIEOFF_X38Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y16 IS_RESERVED 0 SITEPROP TIEOFF_X38Y16 IS_TEST 0 SITEPROP TIEOFF_X38Y16 IS_USED 0 SITEPROP TIEOFF_X38Y16 MANUAL_ROUTING SITEPROP TIEOFF_X38Y16 NAME TIEOFF_X38Y16 SITEPROP TIEOFF_X38Y16 NUM_ARCS 0 SITEPROP TIEOFF_X38Y16 NUM_BELS 2 SITEPROP TIEOFF_X38Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y16 NUM_PINS 2 SITEPROP TIEOFF_X38Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y16 PROHIBIT 0 SITEPROP TIEOFF_X38Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y16 RPM_X 148 SITEPROP TIEOFF_X38Y16 RPM_Y 32 SITEPROP TIEOFF_X38Y16 SITE_PIPS SITEPROP TIEOFF_X38Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y17 CLASS site SITEPROP TIEOFF_X38Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y17 IS_BONDED 0 SITEPROP TIEOFF_X38Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y17 IS_PAD 0 SITEPROP TIEOFF_X38Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y17 IS_RESERVED 0 SITEPROP TIEOFF_X38Y17 IS_TEST 0 SITEPROP TIEOFF_X38Y17 IS_USED 0 SITEPROP TIEOFF_X38Y17 MANUAL_ROUTING SITEPROP TIEOFF_X38Y17 NAME TIEOFF_X38Y17 SITEPROP TIEOFF_X38Y17 NUM_ARCS 0 SITEPROP TIEOFF_X38Y17 NUM_BELS 2 SITEPROP TIEOFF_X38Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y17 NUM_PINS 2 SITEPROP TIEOFF_X38Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y17 PROHIBIT 0 SITEPROP TIEOFF_X38Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y17 RPM_X 148 SITEPROP TIEOFF_X38Y17 RPM_Y 34 SITEPROP TIEOFF_X38Y17 SITE_PIPS SITEPROP TIEOFF_X38Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y18 CLASS site SITEPROP TIEOFF_X38Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y18 IS_BONDED 0 SITEPROP TIEOFF_X38Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y18 IS_PAD 0 SITEPROP TIEOFF_X38Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y18 IS_RESERVED 0 SITEPROP TIEOFF_X38Y18 IS_TEST 0 SITEPROP TIEOFF_X38Y18 IS_USED 0 SITEPROP TIEOFF_X38Y18 MANUAL_ROUTING SITEPROP TIEOFF_X38Y18 NAME TIEOFF_X38Y18 SITEPROP TIEOFF_X38Y18 NUM_ARCS 0 SITEPROP TIEOFF_X38Y18 NUM_BELS 2 SITEPROP TIEOFF_X38Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y18 NUM_PINS 2 SITEPROP TIEOFF_X38Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y18 PROHIBIT 0 SITEPROP TIEOFF_X38Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y18 RPM_X 148 SITEPROP TIEOFF_X38Y18 RPM_Y 36 SITEPROP TIEOFF_X38Y18 SITE_PIPS SITEPROP TIEOFF_X38Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y19 CLASS site SITEPROP TIEOFF_X38Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y19 IS_BONDED 0 SITEPROP TIEOFF_X38Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y19 IS_PAD 0 SITEPROP TIEOFF_X38Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y19 IS_RESERVED 0 SITEPROP TIEOFF_X38Y19 IS_TEST 0 SITEPROP TIEOFF_X38Y19 IS_USED 0 SITEPROP TIEOFF_X38Y19 MANUAL_ROUTING SITEPROP TIEOFF_X38Y19 NAME TIEOFF_X38Y19 SITEPROP TIEOFF_X38Y19 NUM_ARCS 0 SITEPROP TIEOFF_X38Y19 NUM_BELS 2 SITEPROP TIEOFF_X38Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y19 NUM_PINS 2 SITEPROP TIEOFF_X38Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y19 PROHIBIT 0 SITEPROP TIEOFF_X38Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y19 RPM_X 148 SITEPROP TIEOFF_X38Y19 RPM_Y 38 SITEPROP TIEOFF_X38Y19 SITE_PIPS SITEPROP TIEOFF_X38Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y20 CLASS site SITEPROP TIEOFF_X38Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y20 IS_BONDED 0 SITEPROP TIEOFF_X38Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y20 IS_PAD 0 SITEPROP TIEOFF_X38Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y20 IS_RESERVED 0 SITEPROP TIEOFF_X38Y20 IS_TEST 0 SITEPROP TIEOFF_X38Y20 IS_USED 0 SITEPROP TIEOFF_X38Y20 MANUAL_ROUTING SITEPROP TIEOFF_X38Y20 NAME TIEOFF_X38Y20 SITEPROP TIEOFF_X38Y20 NUM_ARCS 0 SITEPROP TIEOFF_X38Y20 NUM_BELS 2 SITEPROP TIEOFF_X38Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y20 NUM_PINS 2 SITEPROP TIEOFF_X38Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y20 PROHIBIT 0 SITEPROP TIEOFF_X38Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y20 RPM_X 148 SITEPROP TIEOFF_X38Y20 RPM_Y 40 SITEPROP TIEOFF_X38Y20 SITE_PIPS SITEPROP TIEOFF_X38Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y21 CLASS site SITEPROP TIEOFF_X38Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y21 IS_BONDED 0 SITEPROP TIEOFF_X38Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y21 IS_PAD 0 SITEPROP TIEOFF_X38Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y21 IS_RESERVED 0 SITEPROP TIEOFF_X38Y21 IS_TEST 0 SITEPROP TIEOFF_X38Y21 IS_USED 0 SITEPROP TIEOFF_X38Y21 MANUAL_ROUTING SITEPROP TIEOFF_X38Y21 NAME TIEOFF_X38Y21 SITEPROP TIEOFF_X38Y21 NUM_ARCS 0 SITEPROP TIEOFF_X38Y21 NUM_BELS 2 SITEPROP TIEOFF_X38Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y21 NUM_PINS 2 SITEPROP TIEOFF_X38Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y21 PROHIBIT 0 SITEPROP TIEOFF_X38Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y21 RPM_X 148 SITEPROP TIEOFF_X38Y21 RPM_Y 42 SITEPROP TIEOFF_X38Y21 SITE_PIPS SITEPROP TIEOFF_X38Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y22 CLASS site SITEPROP TIEOFF_X38Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y22 IS_BONDED 0 SITEPROP TIEOFF_X38Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y22 IS_PAD 0 SITEPROP TIEOFF_X38Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y22 IS_RESERVED 0 SITEPROP TIEOFF_X38Y22 IS_TEST 0 SITEPROP TIEOFF_X38Y22 IS_USED 0 SITEPROP TIEOFF_X38Y22 MANUAL_ROUTING SITEPROP TIEOFF_X38Y22 NAME TIEOFF_X38Y22 SITEPROP TIEOFF_X38Y22 NUM_ARCS 0 SITEPROP TIEOFF_X38Y22 NUM_BELS 2 SITEPROP TIEOFF_X38Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y22 NUM_PINS 2 SITEPROP TIEOFF_X38Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y22 PROHIBIT 0 SITEPROP TIEOFF_X38Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y22 RPM_X 148 SITEPROP TIEOFF_X38Y22 RPM_Y 44 SITEPROP TIEOFF_X38Y22 SITE_PIPS SITEPROP TIEOFF_X38Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y23 CLASS site SITEPROP TIEOFF_X38Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y23 IS_BONDED 0 SITEPROP TIEOFF_X38Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y23 IS_PAD 0 SITEPROP TIEOFF_X38Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y23 IS_RESERVED 0 SITEPROP TIEOFF_X38Y23 IS_TEST 0 SITEPROP TIEOFF_X38Y23 IS_USED 0 SITEPROP TIEOFF_X38Y23 MANUAL_ROUTING SITEPROP TIEOFF_X38Y23 NAME TIEOFF_X38Y23 SITEPROP TIEOFF_X38Y23 NUM_ARCS 0 SITEPROP TIEOFF_X38Y23 NUM_BELS 2 SITEPROP TIEOFF_X38Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y23 NUM_PINS 2 SITEPROP TIEOFF_X38Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y23 PROHIBIT 0 SITEPROP TIEOFF_X38Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y23 RPM_X 148 SITEPROP TIEOFF_X38Y23 RPM_Y 46 SITEPROP TIEOFF_X38Y23 SITE_PIPS SITEPROP TIEOFF_X38Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y24 CLASS site SITEPROP TIEOFF_X38Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y24 IS_BONDED 0 SITEPROP TIEOFF_X38Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y24 IS_PAD 0 SITEPROP TIEOFF_X38Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y24 IS_RESERVED 0 SITEPROP TIEOFF_X38Y24 IS_TEST 0 SITEPROP TIEOFF_X38Y24 IS_USED 0 SITEPROP TIEOFF_X38Y24 MANUAL_ROUTING SITEPROP TIEOFF_X38Y24 NAME TIEOFF_X38Y24 SITEPROP TIEOFF_X38Y24 NUM_ARCS 0 SITEPROP TIEOFF_X38Y24 NUM_BELS 2 SITEPROP TIEOFF_X38Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y24 NUM_PINS 2 SITEPROP TIEOFF_X38Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y24 PROHIBIT 0 SITEPROP TIEOFF_X38Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y24 RPM_X 148 SITEPROP TIEOFF_X38Y24 RPM_Y 48 SITEPROP TIEOFF_X38Y24 SITE_PIPS SITEPROP TIEOFF_X38Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y25 CLASS site SITEPROP TIEOFF_X38Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y25 IS_BONDED 0 SITEPROP TIEOFF_X38Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y25 IS_PAD 0 SITEPROP TIEOFF_X38Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y25 IS_RESERVED 0 SITEPROP TIEOFF_X38Y25 IS_TEST 0 SITEPROP TIEOFF_X38Y25 IS_USED 0 SITEPROP TIEOFF_X38Y25 MANUAL_ROUTING SITEPROP TIEOFF_X38Y25 NAME TIEOFF_X38Y25 SITEPROP TIEOFF_X38Y25 NUM_ARCS 0 SITEPROP TIEOFF_X38Y25 NUM_BELS 2 SITEPROP TIEOFF_X38Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y25 NUM_PINS 2 SITEPROP TIEOFF_X38Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y25 PROHIBIT 0 SITEPROP TIEOFF_X38Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y25 RPM_X 148 SITEPROP TIEOFF_X38Y25 RPM_Y 50 SITEPROP TIEOFF_X38Y25 SITE_PIPS SITEPROP TIEOFF_X38Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y26 CLASS site SITEPROP TIEOFF_X38Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y26 IS_BONDED 0 SITEPROP TIEOFF_X38Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y26 IS_PAD 0 SITEPROP TIEOFF_X38Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y26 IS_RESERVED 0 SITEPROP TIEOFF_X38Y26 IS_TEST 0 SITEPROP TIEOFF_X38Y26 IS_USED 0 SITEPROP TIEOFF_X38Y26 MANUAL_ROUTING SITEPROP TIEOFF_X38Y26 NAME TIEOFF_X38Y26 SITEPROP TIEOFF_X38Y26 NUM_ARCS 0 SITEPROP TIEOFF_X38Y26 NUM_BELS 2 SITEPROP TIEOFF_X38Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y26 NUM_PINS 2 SITEPROP TIEOFF_X38Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y26 PROHIBIT 0 SITEPROP TIEOFF_X38Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y26 RPM_X 148 SITEPROP TIEOFF_X38Y26 RPM_Y 52 SITEPROP TIEOFF_X38Y26 SITE_PIPS SITEPROP TIEOFF_X38Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y27 CLASS site SITEPROP TIEOFF_X38Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y27 IS_BONDED 0 SITEPROP TIEOFF_X38Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y27 IS_PAD 0 SITEPROP TIEOFF_X38Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y27 IS_RESERVED 0 SITEPROP TIEOFF_X38Y27 IS_TEST 0 SITEPROP TIEOFF_X38Y27 IS_USED 0 SITEPROP TIEOFF_X38Y27 MANUAL_ROUTING SITEPROP TIEOFF_X38Y27 NAME TIEOFF_X38Y27 SITEPROP TIEOFF_X38Y27 NUM_ARCS 0 SITEPROP TIEOFF_X38Y27 NUM_BELS 2 SITEPROP TIEOFF_X38Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y27 NUM_PINS 2 SITEPROP TIEOFF_X38Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y27 PROHIBIT 0 SITEPROP TIEOFF_X38Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y27 RPM_X 148 SITEPROP TIEOFF_X38Y27 RPM_Y 54 SITEPROP TIEOFF_X38Y27 SITE_PIPS SITEPROP TIEOFF_X38Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y28 CLASS site SITEPROP TIEOFF_X38Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y28 IS_BONDED 0 SITEPROP TIEOFF_X38Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y28 IS_PAD 0 SITEPROP TIEOFF_X38Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y28 IS_RESERVED 0 SITEPROP TIEOFF_X38Y28 IS_TEST 0 SITEPROP TIEOFF_X38Y28 IS_USED 0 SITEPROP TIEOFF_X38Y28 MANUAL_ROUTING SITEPROP TIEOFF_X38Y28 NAME TIEOFF_X38Y28 SITEPROP TIEOFF_X38Y28 NUM_ARCS 0 SITEPROP TIEOFF_X38Y28 NUM_BELS 2 SITEPROP TIEOFF_X38Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y28 NUM_PINS 2 SITEPROP TIEOFF_X38Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y28 PROHIBIT 0 SITEPROP TIEOFF_X38Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y28 RPM_X 148 SITEPROP TIEOFF_X38Y28 RPM_Y 56 SITEPROP TIEOFF_X38Y28 SITE_PIPS SITEPROP TIEOFF_X38Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y29 CLASS site SITEPROP TIEOFF_X38Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y29 IS_BONDED 0 SITEPROP TIEOFF_X38Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y29 IS_PAD 0 SITEPROP TIEOFF_X38Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y29 IS_RESERVED 0 SITEPROP TIEOFF_X38Y29 IS_TEST 0 SITEPROP TIEOFF_X38Y29 IS_USED 0 SITEPROP TIEOFF_X38Y29 MANUAL_ROUTING SITEPROP TIEOFF_X38Y29 NAME TIEOFF_X38Y29 SITEPROP TIEOFF_X38Y29 NUM_ARCS 0 SITEPROP TIEOFF_X38Y29 NUM_BELS 2 SITEPROP TIEOFF_X38Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y29 NUM_PINS 2 SITEPROP TIEOFF_X38Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y29 PROHIBIT 0 SITEPROP TIEOFF_X38Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y29 RPM_X 148 SITEPROP TIEOFF_X38Y29 RPM_Y 58 SITEPROP TIEOFF_X38Y29 SITE_PIPS SITEPROP TIEOFF_X38Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y30 CLASS site SITEPROP TIEOFF_X38Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y30 IS_BONDED 0 SITEPROP TIEOFF_X38Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y30 IS_PAD 0 SITEPROP TIEOFF_X38Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y30 IS_RESERVED 0 SITEPROP TIEOFF_X38Y30 IS_TEST 0 SITEPROP TIEOFF_X38Y30 IS_USED 0 SITEPROP TIEOFF_X38Y30 MANUAL_ROUTING SITEPROP TIEOFF_X38Y30 NAME TIEOFF_X38Y30 SITEPROP TIEOFF_X38Y30 NUM_ARCS 0 SITEPROP TIEOFF_X38Y30 NUM_BELS 2 SITEPROP TIEOFF_X38Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y30 NUM_PINS 2 SITEPROP TIEOFF_X38Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y30 PROHIBIT 0 SITEPROP TIEOFF_X38Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y30 RPM_X 148 SITEPROP TIEOFF_X38Y30 RPM_Y 60 SITEPROP TIEOFF_X38Y30 SITE_PIPS SITEPROP TIEOFF_X38Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y31 CLASS site SITEPROP TIEOFF_X38Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y31 IS_BONDED 0 SITEPROP TIEOFF_X38Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y31 IS_PAD 0 SITEPROP TIEOFF_X38Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y31 IS_RESERVED 0 SITEPROP TIEOFF_X38Y31 IS_TEST 0 SITEPROP TIEOFF_X38Y31 IS_USED 0 SITEPROP TIEOFF_X38Y31 MANUAL_ROUTING SITEPROP TIEOFF_X38Y31 NAME TIEOFF_X38Y31 SITEPROP TIEOFF_X38Y31 NUM_ARCS 0 SITEPROP TIEOFF_X38Y31 NUM_BELS 2 SITEPROP TIEOFF_X38Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y31 NUM_PINS 2 SITEPROP TIEOFF_X38Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y31 PROHIBIT 0 SITEPROP TIEOFF_X38Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y31 RPM_X 148 SITEPROP TIEOFF_X38Y31 RPM_Y 62 SITEPROP TIEOFF_X38Y31 SITE_PIPS SITEPROP TIEOFF_X38Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y32 CLASS site SITEPROP TIEOFF_X38Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y32 IS_BONDED 0 SITEPROP TIEOFF_X38Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y32 IS_PAD 0 SITEPROP TIEOFF_X38Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y32 IS_RESERVED 0 SITEPROP TIEOFF_X38Y32 IS_TEST 0 SITEPROP TIEOFF_X38Y32 IS_USED 0 SITEPROP TIEOFF_X38Y32 MANUAL_ROUTING SITEPROP TIEOFF_X38Y32 NAME TIEOFF_X38Y32 SITEPROP TIEOFF_X38Y32 NUM_ARCS 0 SITEPROP TIEOFF_X38Y32 NUM_BELS 2 SITEPROP TIEOFF_X38Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y32 NUM_PINS 2 SITEPROP TIEOFF_X38Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y32 PROHIBIT 0 SITEPROP TIEOFF_X38Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y32 RPM_X 148 SITEPROP TIEOFF_X38Y32 RPM_Y 64 SITEPROP TIEOFF_X38Y32 SITE_PIPS SITEPROP TIEOFF_X38Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y33 CLASS site SITEPROP TIEOFF_X38Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y33 IS_BONDED 0 SITEPROP TIEOFF_X38Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y33 IS_PAD 0 SITEPROP TIEOFF_X38Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y33 IS_RESERVED 0 SITEPROP TIEOFF_X38Y33 IS_TEST 0 SITEPROP TIEOFF_X38Y33 IS_USED 0 SITEPROP TIEOFF_X38Y33 MANUAL_ROUTING SITEPROP TIEOFF_X38Y33 NAME TIEOFF_X38Y33 SITEPROP TIEOFF_X38Y33 NUM_ARCS 0 SITEPROP TIEOFF_X38Y33 NUM_BELS 2 SITEPROP TIEOFF_X38Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y33 NUM_PINS 2 SITEPROP TIEOFF_X38Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y33 PROHIBIT 0 SITEPROP TIEOFF_X38Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y33 RPM_X 148 SITEPROP TIEOFF_X38Y33 RPM_Y 66 SITEPROP TIEOFF_X38Y33 SITE_PIPS SITEPROP TIEOFF_X38Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y34 CLASS site SITEPROP TIEOFF_X38Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y34 IS_BONDED 0 SITEPROP TIEOFF_X38Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y34 IS_PAD 0 SITEPROP TIEOFF_X38Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y34 IS_RESERVED 0 SITEPROP TIEOFF_X38Y34 IS_TEST 0 SITEPROP TIEOFF_X38Y34 IS_USED 0 SITEPROP TIEOFF_X38Y34 MANUAL_ROUTING SITEPROP TIEOFF_X38Y34 NAME TIEOFF_X38Y34 SITEPROP TIEOFF_X38Y34 NUM_ARCS 0 SITEPROP TIEOFF_X38Y34 NUM_BELS 2 SITEPROP TIEOFF_X38Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y34 NUM_PINS 2 SITEPROP TIEOFF_X38Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y34 PROHIBIT 0 SITEPROP TIEOFF_X38Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y34 RPM_X 148 SITEPROP TIEOFF_X38Y34 RPM_Y 68 SITEPROP TIEOFF_X38Y34 SITE_PIPS SITEPROP TIEOFF_X38Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y35 CLASS site SITEPROP TIEOFF_X38Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y35 IS_BONDED 0 SITEPROP TIEOFF_X38Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y35 IS_PAD 0 SITEPROP TIEOFF_X38Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y35 IS_RESERVED 0 SITEPROP TIEOFF_X38Y35 IS_TEST 0 SITEPROP TIEOFF_X38Y35 IS_USED 0 SITEPROP TIEOFF_X38Y35 MANUAL_ROUTING SITEPROP TIEOFF_X38Y35 NAME TIEOFF_X38Y35 SITEPROP TIEOFF_X38Y35 NUM_ARCS 0 SITEPROP TIEOFF_X38Y35 NUM_BELS 2 SITEPROP TIEOFF_X38Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y35 NUM_PINS 2 SITEPROP TIEOFF_X38Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y35 PROHIBIT 0 SITEPROP TIEOFF_X38Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y35 RPM_X 148 SITEPROP TIEOFF_X38Y35 RPM_Y 70 SITEPROP TIEOFF_X38Y35 SITE_PIPS SITEPROP TIEOFF_X38Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y36 CLASS site SITEPROP TIEOFF_X38Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y36 IS_BONDED 0 SITEPROP TIEOFF_X38Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y36 IS_PAD 0 SITEPROP TIEOFF_X38Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y36 IS_RESERVED 0 SITEPROP TIEOFF_X38Y36 IS_TEST 0 SITEPROP TIEOFF_X38Y36 IS_USED 0 SITEPROP TIEOFF_X38Y36 MANUAL_ROUTING SITEPROP TIEOFF_X38Y36 NAME TIEOFF_X38Y36 SITEPROP TIEOFF_X38Y36 NUM_ARCS 0 SITEPROP TIEOFF_X38Y36 NUM_BELS 2 SITEPROP TIEOFF_X38Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y36 NUM_PINS 2 SITEPROP TIEOFF_X38Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y36 PROHIBIT 0 SITEPROP TIEOFF_X38Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y36 RPM_X 148 SITEPROP TIEOFF_X38Y36 RPM_Y 72 SITEPROP TIEOFF_X38Y36 SITE_PIPS SITEPROP TIEOFF_X38Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y37 CLASS site SITEPROP TIEOFF_X38Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y37 IS_BONDED 0 SITEPROP TIEOFF_X38Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y37 IS_PAD 0 SITEPROP TIEOFF_X38Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y37 IS_RESERVED 0 SITEPROP TIEOFF_X38Y37 IS_TEST 0 SITEPROP TIEOFF_X38Y37 IS_USED 0 SITEPROP TIEOFF_X38Y37 MANUAL_ROUTING SITEPROP TIEOFF_X38Y37 NAME TIEOFF_X38Y37 SITEPROP TIEOFF_X38Y37 NUM_ARCS 0 SITEPROP TIEOFF_X38Y37 NUM_BELS 2 SITEPROP TIEOFF_X38Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y37 NUM_PINS 2 SITEPROP TIEOFF_X38Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y37 PROHIBIT 0 SITEPROP TIEOFF_X38Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y37 RPM_X 148 SITEPROP TIEOFF_X38Y37 RPM_Y 74 SITEPROP TIEOFF_X38Y37 SITE_PIPS SITEPROP TIEOFF_X38Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y38 CLASS site SITEPROP TIEOFF_X38Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y38 IS_BONDED 0 SITEPROP TIEOFF_X38Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y38 IS_PAD 0 SITEPROP TIEOFF_X38Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y38 IS_RESERVED 0 SITEPROP TIEOFF_X38Y38 IS_TEST 0 SITEPROP TIEOFF_X38Y38 IS_USED 0 SITEPROP TIEOFF_X38Y38 MANUAL_ROUTING SITEPROP TIEOFF_X38Y38 NAME TIEOFF_X38Y38 SITEPROP TIEOFF_X38Y38 NUM_ARCS 0 SITEPROP TIEOFF_X38Y38 NUM_BELS 2 SITEPROP TIEOFF_X38Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y38 NUM_PINS 2 SITEPROP TIEOFF_X38Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y38 PROHIBIT 0 SITEPROP TIEOFF_X38Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y38 RPM_X 148 SITEPROP TIEOFF_X38Y38 RPM_Y 76 SITEPROP TIEOFF_X38Y38 SITE_PIPS SITEPROP TIEOFF_X38Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y39 CLASS site SITEPROP TIEOFF_X38Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y39 IS_BONDED 0 SITEPROP TIEOFF_X38Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y39 IS_PAD 0 SITEPROP TIEOFF_X38Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y39 IS_RESERVED 0 SITEPROP TIEOFF_X38Y39 IS_TEST 0 SITEPROP TIEOFF_X38Y39 IS_USED 0 SITEPROP TIEOFF_X38Y39 MANUAL_ROUTING SITEPROP TIEOFF_X38Y39 NAME TIEOFF_X38Y39 SITEPROP TIEOFF_X38Y39 NUM_ARCS 0 SITEPROP TIEOFF_X38Y39 NUM_BELS 2 SITEPROP TIEOFF_X38Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y39 NUM_PINS 2 SITEPROP TIEOFF_X38Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y39 PROHIBIT 0 SITEPROP TIEOFF_X38Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y39 RPM_X 148 SITEPROP TIEOFF_X38Y39 RPM_Y 78 SITEPROP TIEOFF_X38Y39 SITE_PIPS SITEPROP TIEOFF_X38Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y40 CLASS site SITEPROP TIEOFF_X38Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y40 IS_BONDED 0 SITEPROP TIEOFF_X38Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y40 IS_PAD 0 SITEPROP TIEOFF_X38Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y40 IS_RESERVED 0 SITEPROP TIEOFF_X38Y40 IS_TEST 0 SITEPROP TIEOFF_X38Y40 IS_USED 0 SITEPROP TIEOFF_X38Y40 MANUAL_ROUTING SITEPROP TIEOFF_X38Y40 NAME TIEOFF_X38Y40 SITEPROP TIEOFF_X38Y40 NUM_ARCS 0 SITEPROP TIEOFF_X38Y40 NUM_BELS 2 SITEPROP TIEOFF_X38Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y40 NUM_PINS 2 SITEPROP TIEOFF_X38Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y40 PROHIBIT 0 SITEPROP TIEOFF_X38Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y40 RPM_X 148 SITEPROP TIEOFF_X38Y40 RPM_Y 80 SITEPROP TIEOFF_X38Y40 SITE_PIPS SITEPROP TIEOFF_X38Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y41 CLASS site SITEPROP TIEOFF_X38Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y41 IS_BONDED 0 SITEPROP TIEOFF_X38Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y41 IS_PAD 0 SITEPROP TIEOFF_X38Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y41 IS_RESERVED 0 SITEPROP TIEOFF_X38Y41 IS_TEST 0 SITEPROP TIEOFF_X38Y41 IS_USED 0 SITEPROP TIEOFF_X38Y41 MANUAL_ROUTING SITEPROP TIEOFF_X38Y41 NAME TIEOFF_X38Y41 SITEPROP TIEOFF_X38Y41 NUM_ARCS 0 SITEPROP TIEOFF_X38Y41 NUM_BELS 2 SITEPROP TIEOFF_X38Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y41 NUM_PINS 2 SITEPROP TIEOFF_X38Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y41 PROHIBIT 0 SITEPROP TIEOFF_X38Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y41 RPM_X 148 SITEPROP TIEOFF_X38Y41 RPM_Y 82 SITEPROP TIEOFF_X38Y41 SITE_PIPS SITEPROP TIEOFF_X38Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y42 CLASS site SITEPROP TIEOFF_X38Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y42 IS_BONDED 0 SITEPROP TIEOFF_X38Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y42 IS_PAD 0 SITEPROP TIEOFF_X38Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y42 IS_RESERVED 0 SITEPROP TIEOFF_X38Y42 IS_TEST 0 SITEPROP TIEOFF_X38Y42 IS_USED 0 SITEPROP TIEOFF_X38Y42 MANUAL_ROUTING SITEPROP TIEOFF_X38Y42 NAME TIEOFF_X38Y42 SITEPROP TIEOFF_X38Y42 NUM_ARCS 0 SITEPROP TIEOFF_X38Y42 NUM_BELS 2 SITEPROP TIEOFF_X38Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y42 NUM_PINS 2 SITEPROP TIEOFF_X38Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y42 PROHIBIT 0 SITEPROP TIEOFF_X38Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y42 RPM_X 148 SITEPROP TIEOFF_X38Y42 RPM_Y 84 SITEPROP TIEOFF_X38Y42 SITE_PIPS SITEPROP TIEOFF_X38Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y43 CLASS site SITEPROP TIEOFF_X38Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y43 IS_BONDED 0 SITEPROP TIEOFF_X38Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y43 IS_PAD 0 SITEPROP TIEOFF_X38Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y43 IS_RESERVED 0 SITEPROP TIEOFF_X38Y43 IS_TEST 0 SITEPROP TIEOFF_X38Y43 IS_USED 0 SITEPROP TIEOFF_X38Y43 MANUAL_ROUTING SITEPROP TIEOFF_X38Y43 NAME TIEOFF_X38Y43 SITEPROP TIEOFF_X38Y43 NUM_ARCS 0 SITEPROP TIEOFF_X38Y43 NUM_BELS 2 SITEPROP TIEOFF_X38Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y43 NUM_PINS 2 SITEPROP TIEOFF_X38Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y43 PROHIBIT 0 SITEPROP TIEOFF_X38Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y43 RPM_X 148 SITEPROP TIEOFF_X38Y43 RPM_Y 86 SITEPROP TIEOFF_X38Y43 SITE_PIPS SITEPROP TIEOFF_X38Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y44 CLASS site SITEPROP TIEOFF_X38Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y44 IS_BONDED 0 SITEPROP TIEOFF_X38Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y44 IS_PAD 0 SITEPROP TIEOFF_X38Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y44 IS_RESERVED 0 SITEPROP TIEOFF_X38Y44 IS_TEST 0 SITEPROP TIEOFF_X38Y44 IS_USED 0 SITEPROP TIEOFF_X38Y44 MANUAL_ROUTING SITEPROP TIEOFF_X38Y44 NAME TIEOFF_X38Y44 SITEPROP TIEOFF_X38Y44 NUM_ARCS 0 SITEPROP TIEOFF_X38Y44 NUM_BELS 2 SITEPROP TIEOFF_X38Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y44 NUM_PINS 2 SITEPROP TIEOFF_X38Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y44 PROHIBIT 0 SITEPROP TIEOFF_X38Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y44 RPM_X 148 SITEPROP TIEOFF_X38Y44 RPM_Y 88 SITEPROP TIEOFF_X38Y44 SITE_PIPS SITEPROP TIEOFF_X38Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y45 CLASS site SITEPROP TIEOFF_X38Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y45 IS_BONDED 0 SITEPROP TIEOFF_X38Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y45 IS_PAD 0 SITEPROP TIEOFF_X38Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y45 IS_RESERVED 0 SITEPROP TIEOFF_X38Y45 IS_TEST 0 SITEPROP TIEOFF_X38Y45 IS_USED 0 SITEPROP TIEOFF_X38Y45 MANUAL_ROUTING SITEPROP TIEOFF_X38Y45 NAME TIEOFF_X38Y45 SITEPROP TIEOFF_X38Y45 NUM_ARCS 0 SITEPROP TIEOFF_X38Y45 NUM_BELS 2 SITEPROP TIEOFF_X38Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y45 NUM_PINS 2 SITEPROP TIEOFF_X38Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y45 PROHIBIT 0 SITEPROP TIEOFF_X38Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y45 RPM_X 148 SITEPROP TIEOFF_X38Y45 RPM_Y 90 SITEPROP TIEOFF_X38Y45 SITE_PIPS SITEPROP TIEOFF_X38Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y46 CLASS site SITEPROP TIEOFF_X38Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y46 IS_BONDED 0 SITEPROP TIEOFF_X38Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y46 IS_PAD 0 SITEPROP TIEOFF_X38Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y46 IS_RESERVED 0 SITEPROP TIEOFF_X38Y46 IS_TEST 0 SITEPROP TIEOFF_X38Y46 IS_USED 0 SITEPROP TIEOFF_X38Y46 MANUAL_ROUTING SITEPROP TIEOFF_X38Y46 NAME TIEOFF_X38Y46 SITEPROP TIEOFF_X38Y46 NUM_ARCS 0 SITEPROP TIEOFF_X38Y46 NUM_BELS 2 SITEPROP TIEOFF_X38Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y46 NUM_PINS 2 SITEPROP TIEOFF_X38Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y46 PROHIBIT 0 SITEPROP TIEOFF_X38Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y46 RPM_X 148 SITEPROP TIEOFF_X38Y46 RPM_Y 92 SITEPROP TIEOFF_X38Y46 SITE_PIPS SITEPROP TIEOFF_X38Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y47 CLASS site SITEPROP TIEOFF_X38Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y47 IS_BONDED 0 SITEPROP TIEOFF_X38Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y47 IS_PAD 0 SITEPROP TIEOFF_X38Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y47 IS_RESERVED 0 SITEPROP TIEOFF_X38Y47 IS_TEST 0 SITEPROP TIEOFF_X38Y47 IS_USED 0 SITEPROP TIEOFF_X38Y47 MANUAL_ROUTING SITEPROP TIEOFF_X38Y47 NAME TIEOFF_X38Y47 SITEPROP TIEOFF_X38Y47 NUM_ARCS 0 SITEPROP TIEOFF_X38Y47 NUM_BELS 2 SITEPROP TIEOFF_X38Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y47 NUM_PINS 2 SITEPROP TIEOFF_X38Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y47 PROHIBIT 0 SITEPROP TIEOFF_X38Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y47 RPM_X 148 SITEPROP TIEOFF_X38Y47 RPM_Y 94 SITEPROP TIEOFF_X38Y47 SITE_PIPS SITEPROP TIEOFF_X38Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y48 CLASS site SITEPROP TIEOFF_X38Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y48 IS_BONDED 0 SITEPROP TIEOFF_X38Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y48 IS_PAD 0 SITEPROP TIEOFF_X38Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y48 IS_RESERVED 0 SITEPROP TIEOFF_X38Y48 IS_TEST 0 SITEPROP TIEOFF_X38Y48 IS_USED 0 SITEPROP TIEOFF_X38Y48 MANUAL_ROUTING SITEPROP TIEOFF_X38Y48 NAME TIEOFF_X38Y48 SITEPROP TIEOFF_X38Y48 NUM_ARCS 0 SITEPROP TIEOFF_X38Y48 NUM_BELS 2 SITEPROP TIEOFF_X38Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y48 NUM_PINS 2 SITEPROP TIEOFF_X38Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y48 PROHIBIT 0 SITEPROP TIEOFF_X38Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y48 RPM_X 148 SITEPROP TIEOFF_X38Y48 RPM_Y 96 SITEPROP TIEOFF_X38Y48 SITE_PIPS SITEPROP TIEOFF_X38Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y49 CLASS site SITEPROP TIEOFF_X38Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X38Y49 IS_BONDED 0 SITEPROP TIEOFF_X38Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y49 IS_PAD 0 SITEPROP TIEOFF_X38Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y49 IS_RESERVED 0 SITEPROP TIEOFF_X38Y49 IS_TEST 0 SITEPROP TIEOFF_X38Y49 IS_USED 0 SITEPROP TIEOFF_X38Y49 MANUAL_ROUTING SITEPROP TIEOFF_X38Y49 NAME TIEOFF_X38Y49 SITEPROP TIEOFF_X38Y49 NUM_ARCS 0 SITEPROP TIEOFF_X38Y49 NUM_BELS 2 SITEPROP TIEOFF_X38Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y49 NUM_PINS 2 SITEPROP TIEOFF_X38Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y49 PROHIBIT 0 SITEPROP TIEOFF_X38Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y49 RPM_X 148 SITEPROP TIEOFF_X38Y49 RPM_Y 98 SITEPROP TIEOFF_X38Y49 SITE_PIPS SITEPROP TIEOFF_X38Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y50 CLASS site SITEPROP TIEOFF_X38Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y50 IS_BONDED 0 SITEPROP TIEOFF_X38Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y50 IS_PAD 0 SITEPROP TIEOFF_X38Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y50 IS_RESERVED 0 SITEPROP TIEOFF_X38Y50 IS_TEST 0 SITEPROP TIEOFF_X38Y50 IS_USED 0 SITEPROP TIEOFF_X38Y50 MANUAL_ROUTING SITEPROP TIEOFF_X38Y50 NAME TIEOFF_X38Y50 SITEPROP TIEOFF_X38Y50 NUM_ARCS 0 SITEPROP TIEOFF_X38Y50 NUM_BELS 2 SITEPROP TIEOFF_X38Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y50 NUM_PINS 2 SITEPROP TIEOFF_X38Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y50 PROHIBIT 0 SITEPROP TIEOFF_X38Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y50 RPM_X 148 SITEPROP TIEOFF_X38Y50 RPM_Y 100 SITEPROP TIEOFF_X38Y50 SITE_PIPS SITEPROP TIEOFF_X38Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y51 CLASS site SITEPROP TIEOFF_X38Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y51 IS_BONDED 0 SITEPROP TIEOFF_X38Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y51 IS_PAD 0 SITEPROP TIEOFF_X38Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y51 IS_RESERVED 0 SITEPROP TIEOFF_X38Y51 IS_TEST 0 SITEPROP TIEOFF_X38Y51 IS_USED 0 SITEPROP TIEOFF_X38Y51 MANUAL_ROUTING SITEPROP TIEOFF_X38Y51 NAME TIEOFF_X38Y51 SITEPROP TIEOFF_X38Y51 NUM_ARCS 0 SITEPROP TIEOFF_X38Y51 NUM_BELS 2 SITEPROP TIEOFF_X38Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y51 NUM_PINS 2 SITEPROP TIEOFF_X38Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y51 PROHIBIT 0 SITEPROP TIEOFF_X38Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y51 RPM_X 148 SITEPROP TIEOFF_X38Y51 RPM_Y 102 SITEPROP TIEOFF_X38Y51 SITE_PIPS SITEPROP TIEOFF_X38Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y52 CLASS site SITEPROP TIEOFF_X38Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y52 IS_BONDED 0 SITEPROP TIEOFF_X38Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y52 IS_PAD 0 SITEPROP TIEOFF_X38Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y52 IS_RESERVED 0 SITEPROP TIEOFF_X38Y52 IS_TEST 0 SITEPROP TIEOFF_X38Y52 IS_USED 0 SITEPROP TIEOFF_X38Y52 MANUAL_ROUTING SITEPROP TIEOFF_X38Y52 NAME TIEOFF_X38Y52 SITEPROP TIEOFF_X38Y52 NUM_ARCS 0 SITEPROP TIEOFF_X38Y52 NUM_BELS 2 SITEPROP TIEOFF_X38Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y52 NUM_PINS 2 SITEPROP TIEOFF_X38Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y52 PROHIBIT 0 SITEPROP TIEOFF_X38Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y52 RPM_X 148 SITEPROP TIEOFF_X38Y52 RPM_Y 104 SITEPROP TIEOFF_X38Y52 SITE_PIPS SITEPROP TIEOFF_X38Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y53 CLASS site SITEPROP TIEOFF_X38Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y53 IS_BONDED 0 SITEPROP TIEOFF_X38Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y53 IS_PAD 0 SITEPROP TIEOFF_X38Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y53 IS_RESERVED 0 SITEPROP TIEOFF_X38Y53 IS_TEST 0 SITEPROP TIEOFF_X38Y53 IS_USED 0 SITEPROP TIEOFF_X38Y53 MANUAL_ROUTING SITEPROP TIEOFF_X38Y53 NAME TIEOFF_X38Y53 SITEPROP TIEOFF_X38Y53 NUM_ARCS 0 SITEPROP TIEOFF_X38Y53 NUM_BELS 2 SITEPROP TIEOFF_X38Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y53 NUM_PINS 2 SITEPROP TIEOFF_X38Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y53 PROHIBIT 0 SITEPROP TIEOFF_X38Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y53 RPM_X 148 SITEPROP TIEOFF_X38Y53 RPM_Y 106 SITEPROP TIEOFF_X38Y53 SITE_PIPS SITEPROP TIEOFF_X38Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y54 CLASS site SITEPROP TIEOFF_X38Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y54 IS_BONDED 0 SITEPROP TIEOFF_X38Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y54 IS_PAD 0 SITEPROP TIEOFF_X38Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y54 IS_RESERVED 0 SITEPROP TIEOFF_X38Y54 IS_TEST 0 SITEPROP TIEOFF_X38Y54 IS_USED 0 SITEPROP TIEOFF_X38Y54 MANUAL_ROUTING SITEPROP TIEOFF_X38Y54 NAME TIEOFF_X38Y54 SITEPROP TIEOFF_X38Y54 NUM_ARCS 0 SITEPROP TIEOFF_X38Y54 NUM_BELS 2 SITEPROP TIEOFF_X38Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y54 NUM_PINS 2 SITEPROP TIEOFF_X38Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y54 PROHIBIT 0 SITEPROP TIEOFF_X38Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y54 RPM_X 148 SITEPROP TIEOFF_X38Y54 RPM_Y 108 SITEPROP TIEOFF_X38Y54 SITE_PIPS SITEPROP TIEOFF_X38Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y55 CLASS site SITEPROP TIEOFF_X38Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y55 IS_BONDED 0 SITEPROP TIEOFF_X38Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y55 IS_PAD 0 SITEPROP TIEOFF_X38Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y55 IS_RESERVED 0 SITEPROP TIEOFF_X38Y55 IS_TEST 0 SITEPROP TIEOFF_X38Y55 IS_USED 0 SITEPROP TIEOFF_X38Y55 MANUAL_ROUTING SITEPROP TIEOFF_X38Y55 NAME TIEOFF_X38Y55 SITEPROP TIEOFF_X38Y55 NUM_ARCS 0 SITEPROP TIEOFF_X38Y55 NUM_BELS 2 SITEPROP TIEOFF_X38Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y55 NUM_PINS 2 SITEPROP TIEOFF_X38Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y55 PROHIBIT 0 SITEPROP TIEOFF_X38Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y55 RPM_X 148 SITEPROP TIEOFF_X38Y55 RPM_Y 110 SITEPROP TIEOFF_X38Y55 SITE_PIPS SITEPROP TIEOFF_X38Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y56 CLASS site SITEPROP TIEOFF_X38Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y56 IS_BONDED 0 SITEPROP TIEOFF_X38Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y56 IS_PAD 0 SITEPROP TIEOFF_X38Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y56 IS_RESERVED 0 SITEPROP TIEOFF_X38Y56 IS_TEST 0 SITEPROP TIEOFF_X38Y56 IS_USED 0 SITEPROP TIEOFF_X38Y56 MANUAL_ROUTING SITEPROP TIEOFF_X38Y56 NAME TIEOFF_X38Y56 SITEPROP TIEOFF_X38Y56 NUM_ARCS 0 SITEPROP TIEOFF_X38Y56 NUM_BELS 2 SITEPROP TIEOFF_X38Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y56 NUM_PINS 2 SITEPROP TIEOFF_X38Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y56 PROHIBIT 0 SITEPROP TIEOFF_X38Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y56 RPM_X 148 SITEPROP TIEOFF_X38Y56 RPM_Y 112 SITEPROP TIEOFF_X38Y56 SITE_PIPS SITEPROP TIEOFF_X38Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y57 CLASS site SITEPROP TIEOFF_X38Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y57 IS_BONDED 0 SITEPROP TIEOFF_X38Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y57 IS_PAD 0 SITEPROP TIEOFF_X38Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y57 IS_RESERVED 0 SITEPROP TIEOFF_X38Y57 IS_TEST 0 SITEPROP TIEOFF_X38Y57 IS_USED 0 SITEPROP TIEOFF_X38Y57 MANUAL_ROUTING SITEPROP TIEOFF_X38Y57 NAME TIEOFF_X38Y57 SITEPROP TIEOFF_X38Y57 NUM_ARCS 0 SITEPROP TIEOFF_X38Y57 NUM_BELS 2 SITEPROP TIEOFF_X38Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y57 NUM_PINS 2 SITEPROP TIEOFF_X38Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y57 PROHIBIT 0 SITEPROP TIEOFF_X38Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y57 RPM_X 148 SITEPROP TIEOFF_X38Y57 RPM_Y 114 SITEPROP TIEOFF_X38Y57 SITE_PIPS SITEPROP TIEOFF_X38Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y58 CLASS site SITEPROP TIEOFF_X38Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y58 IS_BONDED 0 SITEPROP TIEOFF_X38Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y58 IS_PAD 0 SITEPROP TIEOFF_X38Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y58 IS_RESERVED 0 SITEPROP TIEOFF_X38Y58 IS_TEST 0 SITEPROP TIEOFF_X38Y58 IS_USED 0 SITEPROP TIEOFF_X38Y58 MANUAL_ROUTING SITEPROP TIEOFF_X38Y58 NAME TIEOFF_X38Y58 SITEPROP TIEOFF_X38Y58 NUM_ARCS 0 SITEPROP TIEOFF_X38Y58 NUM_BELS 2 SITEPROP TIEOFF_X38Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y58 NUM_PINS 2 SITEPROP TIEOFF_X38Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y58 PROHIBIT 0 SITEPROP TIEOFF_X38Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y58 RPM_X 148 SITEPROP TIEOFF_X38Y58 RPM_Y 116 SITEPROP TIEOFF_X38Y58 SITE_PIPS SITEPROP TIEOFF_X38Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y59 CLASS site SITEPROP TIEOFF_X38Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y59 IS_BONDED 0 SITEPROP TIEOFF_X38Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y59 IS_PAD 0 SITEPROP TIEOFF_X38Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y59 IS_RESERVED 0 SITEPROP TIEOFF_X38Y59 IS_TEST 0 SITEPROP TIEOFF_X38Y59 IS_USED 0 SITEPROP TIEOFF_X38Y59 MANUAL_ROUTING SITEPROP TIEOFF_X38Y59 NAME TIEOFF_X38Y59 SITEPROP TIEOFF_X38Y59 NUM_ARCS 0 SITEPROP TIEOFF_X38Y59 NUM_BELS 2 SITEPROP TIEOFF_X38Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y59 NUM_PINS 2 SITEPROP TIEOFF_X38Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y59 PROHIBIT 0 SITEPROP TIEOFF_X38Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y59 RPM_X 148 SITEPROP TIEOFF_X38Y59 RPM_Y 118 SITEPROP TIEOFF_X38Y59 SITE_PIPS SITEPROP TIEOFF_X38Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y60 CLASS site SITEPROP TIEOFF_X38Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y60 IS_BONDED 0 SITEPROP TIEOFF_X38Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y60 IS_PAD 0 SITEPROP TIEOFF_X38Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y60 IS_RESERVED 0 SITEPROP TIEOFF_X38Y60 IS_TEST 0 SITEPROP TIEOFF_X38Y60 IS_USED 0 SITEPROP TIEOFF_X38Y60 MANUAL_ROUTING SITEPROP TIEOFF_X38Y60 NAME TIEOFF_X38Y60 SITEPROP TIEOFF_X38Y60 NUM_ARCS 0 SITEPROP TIEOFF_X38Y60 NUM_BELS 2 SITEPROP TIEOFF_X38Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y60 NUM_PINS 2 SITEPROP TIEOFF_X38Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y60 PROHIBIT 0 SITEPROP TIEOFF_X38Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y60 RPM_X 148 SITEPROP TIEOFF_X38Y60 RPM_Y 120 SITEPROP TIEOFF_X38Y60 SITE_PIPS SITEPROP TIEOFF_X38Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y61 CLASS site SITEPROP TIEOFF_X38Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y61 IS_BONDED 0 SITEPROP TIEOFF_X38Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y61 IS_PAD 0 SITEPROP TIEOFF_X38Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y61 IS_RESERVED 0 SITEPROP TIEOFF_X38Y61 IS_TEST 0 SITEPROP TIEOFF_X38Y61 IS_USED 0 SITEPROP TIEOFF_X38Y61 MANUAL_ROUTING SITEPROP TIEOFF_X38Y61 NAME TIEOFF_X38Y61 SITEPROP TIEOFF_X38Y61 NUM_ARCS 0 SITEPROP TIEOFF_X38Y61 NUM_BELS 2 SITEPROP TIEOFF_X38Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y61 NUM_PINS 2 SITEPROP TIEOFF_X38Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y61 PROHIBIT 0 SITEPROP TIEOFF_X38Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y61 RPM_X 148 SITEPROP TIEOFF_X38Y61 RPM_Y 122 SITEPROP TIEOFF_X38Y61 SITE_PIPS SITEPROP TIEOFF_X38Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y62 CLASS site SITEPROP TIEOFF_X38Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y62 IS_BONDED 0 SITEPROP TIEOFF_X38Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y62 IS_PAD 0 SITEPROP TIEOFF_X38Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y62 IS_RESERVED 0 SITEPROP TIEOFF_X38Y62 IS_TEST 0 SITEPROP TIEOFF_X38Y62 IS_USED 0 SITEPROP TIEOFF_X38Y62 MANUAL_ROUTING SITEPROP TIEOFF_X38Y62 NAME TIEOFF_X38Y62 SITEPROP TIEOFF_X38Y62 NUM_ARCS 0 SITEPROP TIEOFF_X38Y62 NUM_BELS 2 SITEPROP TIEOFF_X38Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y62 NUM_PINS 2 SITEPROP TIEOFF_X38Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y62 PROHIBIT 0 SITEPROP TIEOFF_X38Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y62 RPM_X 148 SITEPROP TIEOFF_X38Y62 RPM_Y 124 SITEPROP TIEOFF_X38Y62 SITE_PIPS SITEPROP TIEOFF_X38Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y63 CLASS site SITEPROP TIEOFF_X38Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y63 IS_BONDED 0 SITEPROP TIEOFF_X38Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y63 IS_PAD 0 SITEPROP TIEOFF_X38Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y63 IS_RESERVED 0 SITEPROP TIEOFF_X38Y63 IS_TEST 0 SITEPROP TIEOFF_X38Y63 IS_USED 0 SITEPROP TIEOFF_X38Y63 MANUAL_ROUTING SITEPROP TIEOFF_X38Y63 NAME TIEOFF_X38Y63 SITEPROP TIEOFF_X38Y63 NUM_ARCS 0 SITEPROP TIEOFF_X38Y63 NUM_BELS 2 SITEPROP TIEOFF_X38Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y63 NUM_PINS 2 SITEPROP TIEOFF_X38Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y63 PROHIBIT 0 SITEPROP TIEOFF_X38Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y63 RPM_X 148 SITEPROP TIEOFF_X38Y63 RPM_Y 126 SITEPROP TIEOFF_X38Y63 SITE_PIPS SITEPROP TIEOFF_X38Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y64 CLASS site SITEPROP TIEOFF_X38Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y64 IS_BONDED 0 SITEPROP TIEOFF_X38Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y64 IS_PAD 0 SITEPROP TIEOFF_X38Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y64 IS_RESERVED 0 SITEPROP TIEOFF_X38Y64 IS_TEST 0 SITEPROP TIEOFF_X38Y64 IS_USED 0 SITEPROP TIEOFF_X38Y64 MANUAL_ROUTING SITEPROP TIEOFF_X38Y64 NAME TIEOFF_X38Y64 SITEPROP TIEOFF_X38Y64 NUM_ARCS 0 SITEPROP TIEOFF_X38Y64 NUM_BELS 2 SITEPROP TIEOFF_X38Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y64 NUM_PINS 2 SITEPROP TIEOFF_X38Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y64 PROHIBIT 0 SITEPROP TIEOFF_X38Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y64 RPM_X 148 SITEPROP TIEOFF_X38Y64 RPM_Y 128 SITEPROP TIEOFF_X38Y64 SITE_PIPS SITEPROP TIEOFF_X38Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y65 CLASS site SITEPROP TIEOFF_X38Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y65 IS_BONDED 0 SITEPROP TIEOFF_X38Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y65 IS_PAD 0 SITEPROP TIEOFF_X38Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y65 IS_RESERVED 0 SITEPROP TIEOFF_X38Y65 IS_TEST 0 SITEPROP TIEOFF_X38Y65 IS_USED 0 SITEPROP TIEOFF_X38Y65 MANUAL_ROUTING SITEPROP TIEOFF_X38Y65 NAME TIEOFF_X38Y65 SITEPROP TIEOFF_X38Y65 NUM_ARCS 0 SITEPROP TIEOFF_X38Y65 NUM_BELS 2 SITEPROP TIEOFF_X38Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y65 NUM_PINS 2 SITEPROP TIEOFF_X38Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y65 PROHIBIT 0 SITEPROP TIEOFF_X38Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y65 RPM_X 148 SITEPROP TIEOFF_X38Y65 RPM_Y 130 SITEPROP TIEOFF_X38Y65 SITE_PIPS SITEPROP TIEOFF_X38Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y66 CLASS site SITEPROP TIEOFF_X38Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y66 IS_BONDED 0 SITEPROP TIEOFF_X38Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y66 IS_PAD 0 SITEPROP TIEOFF_X38Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y66 IS_RESERVED 0 SITEPROP TIEOFF_X38Y66 IS_TEST 0 SITEPROP TIEOFF_X38Y66 IS_USED 0 SITEPROP TIEOFF_X38Y66 MANUAL_ROUTING SITEPROP TIEOFF_X38Y66 NAME TIEOFF_X38Y66 SITEPROP TIEOFF_X38Y66 NUM_ARCS 0 SITEPROP TIEOFF_X38Y66 NUM_BELS 2 SITEPROP TIEOFF_X38Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y66 NUM_PINS 2 SITEPROP TIEOFF_X38Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y66 PROHIBIT 0 SITEPROP TIEOFF_X38Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y66 RPM_X 148 SITEPROP TIEOFF_X38Y66 RPM_Y 132 SITEPROP TIEOFF_X38Y66 SITE_PIPS SITEPROP TIEOFF_X38Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y67 CLASS site SITEPROP TIEOFF_X38Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y67 IS_BONDED 0 SITEPROP TIEOFF_X38Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y67 IS_PAD 0 SITEPROP TIEOFF_X38Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y67 IS_RESERVED 0 SITEPROP TIEOFF_X38Y67 IS_TEST 0 SITEPROP TIEOFF_X38Y67 IS_USED 0 SITEPROP TIEOFF_X38Y67 MANUAL_ROUTING SITEPROP TIEOFF_X38Y67 NAME TIEOFF_X38Y67 SITEPROP TIEOFF_X38Y67 NUM_ARCS 0 SITEPROP TIEOFF_X38Y67 NUM_BELS 2 SITEPROP TIEOFF_X38Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y67 NUM_PINS 2 SITEPROP TIEOFF_X38Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y67 PROHIBIT 0 SITEPROP TIEOFF_X38Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y67 RPM_X 148 SITEPROP TIEOFF_X38Y67 RPM_Y 134 SITEPROP TIEOFF_X38Y67 SITE_PIPS SITEPROP TIEOFF_X38Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y68 CLASS site SITEPROP TIEOFF_X38Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y68 IS_BONDED 0 SITEPROP TIEOFF_X38Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y68 IS_PAD 0 SITEPROP TIEOFF_X38Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y68 IS_RESERVED 0 SITEPROP TIEOFF_X38Y68 IS_TEST 0 SITEPROP TIEOFF_X38Y68 IS_USED 0 SITEPROP TIEOFF_X38Y68 MANUAL_ROUTING SITEPROP TIEOFF_X38Y68 NAME TIEOFF_X38Y68 SITEPROP TIEOFF_X38Y68 NUM_ARCS 0 SITEPROP TIEOFF_X38Y68 NUM_BELS 2 SITEPROP TIEOFF_X38Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y68 NUM_PINS 2 SITEPROP TIEOFF_X38Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y68 PROHIBIT 0 SITEPROP TIEOFF_X38Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y68 RPM_X 148 SITEPROP TIEOFF_X38Y68 RPM_Y 136 SITEPROP TIEOFF_X38Y68 SITE_PIPS SITEPROP TIEOFF_X38Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y69 CLASS site SITEPROP TIEOFF_X38Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y69 IS_BONDED 0 SITEPROP TIEOFF_X38Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y69 IS_PAD 0 SITEPROP TIEOFF_X38Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y69 IS_RESERVED 0 SITEPROP TIEOFF_X38Y69 IS_TEST 0 SITEPROP TIEOFF_X38Y69 IS_USED 0 SITEPROP TIEOFF_X38Y69 MANUAL_ROUTING SITEPROP TIEOFF_X38Y69 NAME TIEOFF_X38Y69 SITEPROP TIEOFF_X38Y69 NUM_ARCS 0 SITEPROP TIEOFF_X38Y69 NUM_BELS 2 SITEPROP TIEOFF_X38Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y69 NUM_PINS 2 SITEPROP TIEOFF_X38Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y69 PROHIBIT 0 SITEPROP TIEOFF_X38Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y69 RPM_X 148 SITEPROP TIEOFF_X38Y69 RPM_Y 138 SITEPROP TIEOFF_X38Y69 SITE_PIPS SITEPROP TIEOFF_X38Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y70 CLASS site SITEPROP TIEOFF_X38Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y70 IS_BONDED 0 SITEPROP TIEOFF_X38Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y70 IS_PAD 0 SITEPROP TIEOFF_X38Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y70 IS_RESERVED 0 SITEPROP TIEOFF_X38Y70 IS_TEST 0 SITEPROP TIEOFF_X38Y70 IS_USED 0 SITEPROP TIEOFF_X38Y70 MANUAL_ROUTING SITEPROP TIEOFF_X38Y70 NAME TIEOFF_X38Y70 SITEPROP TIEOFF_X38Y70 NUM_ARCS 0 SITEPROP TIEOFF_X38Y70 NUM_BELS 2 SITEPROP TIEOFF_X38Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y70 NUM_PINS 2 SITEPROP TIEOFF_X38Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y70 PROHIBIT 0 SITEPROP TIEOFF_X38Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y70 RPM_X 148 SITEPROP TIEOFF_X38Y70 RPM_Y 140 SITEPROP TIEOFF_X38Y70 SITE_PIPS SITEPROP TIEOFF_X38Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y71 CLASS site SITEPROP TIEOFF_X38Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y71 IS_BONDED 0 SITEPROP TIEOFF_X38Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y71 IS_PAD 0 SITEPROP TIEOFF_X38Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y71 IS_RESERVED 0 SITEPROP TIEOFF_X38Y71 IS_TEST 0 SITEPROP TIEOFF_X38Y71 IS_USED 0 SITEPROP TIEOFF_X38Y71 MANUAL_ROUTING SITEPROP TIEOFF_X38Y71 NAME TIEOFF_X38Y71 SITEPROP TIEOFF_X38Y71 NUM_ARCS 0 SITEPROP TIEOFF_X38Y71 NUM_BELS 2 SITEPROP TIEOFF_X38Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y71 NUM_PINS 2 SITEPROP TIEOFF_X38Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y71 PROHIBIT 0 SITEPROP TIEOFF_X38Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y71 RPM_X 148 SITEPROP TIEOFF_X38Y71 RPM_Y 142 SITEPROP TIEOFF_X38Y71 SITE_PIPS SITEPROP TIEOFF_X38Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y72 CLASS site SITEPROP TIEOFF_X38Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y72 IS_BONDED 0 SITEPROP TIEOFF_X38Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y72 IS_PAD 0 SITEPROP TIEOFF_X38Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y72 IS_RESERVED 0 SITEPROP TIEOFF_X38Y72 IS_TEST 0 SITEPROP TIEOFF_X38Y72 IS_USED 0 SITEPROP TIEOFF_X38Y72 MANUAL_ROUTING SITEPROP TIEOFF_X38Y72 NAME TIEOFF_X38Y72 SITEPROP TIEOFF_X38Y72 NUM_ARCS 0 SITEPROP TIEOFF_X38Y72 NUM_BELS 2 SITEPROP TIEOFF_X38Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y72 NUM_PINS 2 SITEPROP TIEOFF_X38Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y72 PROHIBIT 0 SITEPROP TIEOFF_X38Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y72 RPM_X 148 SITEPROP TIEOFF_X38Y72 RPM_Y 144 SITEPROP TIEOFF_X38Y72 SITE_PIPS SITEPROP TIEOFF_X38Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y73 CLASS site SITEPROP TIEOFF_X38Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y73 IS_BONDED 0 SITEPROP TIEOFF_X38Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y73 IS_PAD 0 SITEPROP TIEOFF_X38Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y73 IS_RESERVED 0 SITEPROP TIEOFF_X38Y73 IS_TEST 0 SITEPROP TIEOFF_X38Y73 IS_USED 0 SITEPROP TIEOFF_X38Y73 MANUAL_ROUTING SITEPROP TIEOFF_X38Y73 NAME TIEOFF_X38Y73 SITEPROP TIEOFF_X38Y73 NUM_ARCS 0 SITEPROP TIEOFF_X38Y73 NUM_BELS 2 SITEPROP TIEOFF_X38Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y73 NUM_PINS 2 SITEPROP TIEOFF_X38Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y73 PROHIBIT 0 SITEPROP TIEOFF_X38Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y73 RPM_X 148 SITEPROP TIEOFF_X38Y73 RPM_Y 146 SITEPROP TIEOFF_X38Y73 SITE_PIPS SITEPROP TIEOFF_X38Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y74 CLASS site SITEPROP TIEOFF_X38Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y74 IS_BONDED 0 SITEPROP TIEOFF_X38Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y74 IS_PAD 0 SITEPROP TIEOFF_X38Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y74 IS_RESERVED 0 SITEPROP TIEOFF_X38Y74 IS_TEST 0 SITEPROP TIEOFF_X38Y74 IS_USED 0 SITEPROP TIEOFF_X38Y74 MANUAL_ROUTING SITEPROP TIEOFF_X38Y74 NAME TIEOFF_X38Y74 SITEPROP TIEOFF_X38Y74 NUM_ARCS 0 SITEPROP TIEOFF_X38Y74 NUM_BELS 2 SITEPROP TIEOFF_X38Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y74 NUM_PINS 2 SITEPROP TIEOFF_X38Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y74 PROHIBIT 0 SITEPROP TIEOFF_X38Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y74 RPM_X 148 SITEPROP TIEOFF_X38Y74 RPM_Y 148 SITEPROP TIEOFF_X38Y74 SITE_PIPS SITEPROP TIEOFF_X38Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y75 CLASS site SITEPROP TIEOFF_X38Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y75 IS_BONDED 0 SITEPROP TIEOFF_X38Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y75 IS_PAD 0 SITEPROP TIEOFF_X38Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y75 IS_RESERVED 0 SITEPROP TIEOFF_X38Y75 IS_TEST 0 SITEPROP TIEOFF_X38Y75 IS_USED 0 SITEPROP TIEOFF_X38Y75 MANUAL_ROUTING SITEPROP TIEOFF_X38Y75 NAME TIEOFF_X38Y75 SITEPROP TIEOFF_X38Y75 NUM_ARCS 0 SITEPROP TIEOFF_X38Y75 NUM_BELS 2 SITEPROP TIEOFF_X38Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y75 NUM_PINS 2 SITEPROP TIEOFF_X38Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y75 PROHIBIT 0 SITEPROP TIEOFF_X38Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y75 RPM_X 148 SITEPROP TIEOFF_X38Y75 RPM_Y 150 SITEPROP TIEOFF_X38Y75 SITE_PIPS SITEPROP TIEOFF_X38Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y76 CLASS site SITEPROP TIEOFF_X38Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y76 IS_BONDED 0 SITEPROP TIEOFF_X38Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y76 IS_PAD 0 SITEPROP TIEOFF_X38Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y76 IS_RESERVED 0 SITEPROP TIEOFF_X38Y76 IS_TEST 0 SITEPROP TIEOFF_X38Y76 IS_USED 0 SITEPROP TIEOFF_X38Y76 MANUAL_ROUTING SITEPROP TIEOFF_X38Y76 NAME TIEOFF_X38Y76 SITEPROP TIEOFF_X38Y76 NUM_ARCS 0 SITEPROP TIEOFF_X38Y76 NUM_BELS 2 SITEPROP TIEOFF_X38Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y76 NUM_PINS 2 SITEPROP TIEOFF_X38Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y76 PROHIBIT 0 SITEPROP TIEOFF_X38Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y76 RPM_X 148 SITEPROP TIEOFF_X38Y76 RPM_Y 152 SITEPROP TIEOFF_X38Y76 SITE_PIPS SITEPROP TIEOFF_X38Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y77 CLASS site SITEPROP TIEOFF_X38Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y77 IS_BONDED 0 SITEPROP TIEOFF_X38Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y77 IS_PAD 0 SITEPROP TIEOFF_X38Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y77 IS_RESERVED 0 SITEPROP TIEOFF_X38Y77 IS_TEST 0 SITEPROP TIEOFF_X38Y77 IS_USED 0 SITEPROP TIEOFF_X38Y77 MANUAL_ROUTING SITEPROP TIEOFF_X38Y77 NAME TIEOFF_X38Y77 SITEPROP TIEOFF_X38Y77 NUM_ARCS 0 SITEPROP TIEOFF_X38Y77 NUM_BELS 2 SITEPROP TIEOFF_X38Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y77 NUM_PINS 2 SITEPROP TIEOFF_X38Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y77 PROHIBIT 0 SITEPROP TIEOFF_X38Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y77 RPM_X 148 SITEPROP TIEOFF_X38Y77 RPM_Y 154 SITEPROP TIEOFF_X38Y77 SITE_PIPS SITEPROP TIEOFF_X38Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y78 CLASS site SITEPROP TIEOFF_X38Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y78 IS_BONDED 0 SITEPROP TIEOFF_X38Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y78 IS_PAD 0 SITEPROP TIEOFF_X38Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y78 IS_RESERVED 0 SITEPROP TIEOFF_X38Y78 IS_TEST 0 SITEPROP TIEOFF_X38Y78 IS_USED 0 SITEPROP TIEOFF_X38Y78 MANUAL_ROUTING SITEPROP TIEOFF_X38Y78 NAME TIEOFF_X38Y78 SITEPROP TIEOFF_X38Y78 NUM_ARCS 0 SITEPROP TIEOFF_X38Y78 NUM_BELS 2 SITEPROP TIEOFF_X38Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y78 NUM_PINS 2 SITEPROP TIEOFF_X38Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y78 PROHIBIT 0 SITEPROP TIEOFF_X38Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y78 RPM_X 148 SITEPROP TIEOFF_X38Y78 RPM_Y 156 SITEPROP TIEOFF_X38Y78 SITE_PIPS SITEPROP TIEOFF_X38Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y79 CLASS site SITEPROP TIEOFF_X38Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y79 IS_BONDED 0 SITEPROP TIEOFF_X38Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y79 IS_PAD 0 SITEPROP TIEOFF_X38Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y79 IS_RESERVED 0 SITEPROP TIEOFF_X38Y79 IS_TEST 0 SITEPROP TIEOFF_X38Y79 IS_USED 0 SITEPROP TIEOFF_X38Y79 MANUAL_ROUTING SITEPROP TIEOFF_X38Y79 NAME TIEOFF_X38Y79 SITEPROP TIEOFF_X38Y79 NUM_ARCS 0 SITEPROP TIEOFF_X38Y79 NUM_BELS 2 SITEPROP TIEOFF_X38Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y79 NUM_PINS 2 SITEPROP TIEOFF_X38Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y79 PROHIBIT 0 SITEPROP TIEOFF_X38Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y79 RPM_X 148 SITEPROP TIEOFF_X38Y79 RPM_Y 158 SITEPROP TIEOFF_X38Y79 SITE_PIPS SITEPROP TIEOFF_X38Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y80 CLASS site SITEPROP TIEOFF_X38Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y80 IS_BONDED 0 SITEPROP TIEOFF_X38Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y80 IS_PAD 0 SITEPROP TIEOFF_X38Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y80 IS_RESERVED 0 SITEPROP TIEOFF_X38Y80 IS_TEST 0 SITEPROP TIEOFF_X38Y80 IS_USED 0 SITEPROP TIEOFF_X38Y80 MANUAL_ROUTING SITEPROP TIEOFF_X38Y80 NAME TIEOFF_X38Y80 SITEPROP TIEOFF_X38Y80 NUM_ARCS 0 SITEPROP TIEOFF_X38Y80 NUM_BELS 2 SITEPROP TIEOFF_X38Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y80 NUM_PINS 2 SITEPROP TIEOFF_X38Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y80 PROHIBIT 0 SITEPROP TIEOFF_X38Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y80 RPM_X 148 SITEPROP TIEOFF_X38Y80 RPM_Y 160 SITEPROP TIEOFF_X38Y80 SITE_PIPS SITEPROP TIEOFF_X38Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y81 CLASS site SITEPROP TIEOFF_X38Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y81 IS_BONDED 0 SITEPROP TIEOFF_X38Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y81 IS_PAD 0 SITEPROP TIEOFF_X38Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y81 IS_RESERVED 0 SITEPROP TIEOFF_X38Y81 IS_TEST 0 SITEPROP TIEOFF_X38Y81 IS_USED 0 SITEPROP TIEOFF_X38Y81 MANUAL_ROUTING SITEPROP TIEOFF_X38Y81 NAME TIEOFF_X38Y81 SITEPROP TIEOFF_X38Y81 NUM_ARCS 0 SITEPROP TIEOFF_X38Y81 NUM_BELS 2 SITEPROP TIEOFF_X38Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y81 NUM_PINS 2 SITEPROP TIEOFF_X38Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y81 PROHIBIT 0 SITEPROP TIEOFF_X38Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y81 RPM_X 148 SITEPROP TIEOFF_X38Y81 RPM_Y 162 SITEPROP TIEOFF_X38Y81 SITE_PIPS SITEPROP TIEOFF_X38Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y82 CLASS site SITEPROP TIEOFF_X38Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y82 IS_BONDED 0 SITEPROP TIEOFF_X38Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y82 IS_PAD 0 SITEPROP TIEOFF_X38Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y82 IS_RESERVED 0 SITEPROP TIEOFF_X38Y82 IS_TEST 0 SITEPROP TIEOFF_X38Y82 IS_USED 0 SITEPROP TIEOFF_X38Y82 MANUAL_ROUTING SITEPROP TIEOFF_X38Y82 NAME TIEOFF_X38Y82 SITEPROP TIEOFF_X38Y82 NUM_ARCS 0 SITEPROP TIEOFF_X38Y82 NUM_BELS 2 SITEPROP TIEOFF_X38Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y82 NUM_PINS 2 SITEPROP TIEOFF_X38Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y82 PROHIBIT 0 SITEPROP TIEOFF_X38Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y82 RPM_X 148 SITEPROP TIEOFF_X38Y82 RPM_Y 164 SITEPROP TIEOFF_X38Y82 SITE_PIPS SITEPROP TIEOFF_X38Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y83 CLASS site SITEPROP TIEOFF_X38Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y83 IS_BONDED 0 SITEPROP TIEOFF_X38Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y83 IS_PAD 0 SITEPROP TIEOFF_X38Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y83 IS_RESERVED 0 SITEPROP TIEOFF_X38Y83 IS_TEST 0 SITEPROP TIEOFF_X38Y83 IS_USED 0 SITEPROP TIEOFF_X38Y83 MANUAL_ROUTING SITEPROP TIEOFF_X38Y83 NAME TIEOFF_X38Y83 SITEPROP TIEOFF_X38Y83 NUM_ARCS 0 SITEPROP TIEOFF_X38Y83 NUM_BELS 2 SITEPROP TIEOFF_X38Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y83 NUM_PINS 2 SITEPROP TIEOFF_X38Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y83 PROHIBIT 0 SITEPROP TIEOFF_X38Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y83 RPM_X 148 SITEPROP TIEOFF_X38Y83 RPM_Y 166 SITEPROP TIEOFF_X38Y83 SITE_PIPS SITEPROP TIEOFF_X38Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y84 CLASS site SITEPROP TIEOFF_X38Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y84 IS_BONDED 0 SITEPROP TIEOFF_X38Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y84 IS_PAD 0 SITEPROP TIEOFF_X38Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y84 IS_RESERVED 0 SITEPROP TIEOFF_X38Y84 IS_TEST 0 SITEPROP TIEOFF_X38Y84 IS_USED 0 SITEPROP TIEOFF_X38Y84 MANUAL_ROUTING SITEPROP TIEOFF_X38Y84 NAME TIEOFF_X38Y84 SITEPROP TIEOFF_X38Y84 NUM_ARCS 0 SITEPROP TIEOFF_X38Y84 NUM_BELS 2 SITEPROP TIEOFF_X38Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y84 NUM_PINS 2 SITEPROP TIEOFF_X38Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y84 PROHIBIT 0 SITEPROP TIEOFF_X38Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y84 RPM_X 148 SITEPROP TIEOFF_X38Y84 RPM_Y 168 SITEPROP TIEOFF_X38Y84 SITE_PIPS SITEPROP TIEOFF_X38Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y85 CLASS site SITEPROP TIEOFF_X38Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y85 IS_BONDED 0 SITEPROP TIEOFF_X38Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y85 IS_PAD 0 SITEPROP TIEOFF_X38Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y85 IS_RESERVED 0 SITEPROP TIEOFF_X38Y85 IS_TEST 0 SITEPROP TIEOFF_X38Y85 IS_USED 0 SITEPROP TIEOFF_X38Y85 MANUAL_ROUTING SITEPROP TIEOFF_X38Y85 NAME TIEOFF_X38Y85 SITEPROP TIEOFF_X38Y85 NUM_ARCS 0 SITEPROP TIEOFF_X38Y85 NUM_BELS 2 SITEPROP TIEOFF_X38Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y85 NUM_PINS 2 SITEPROP TIEOFF_X38Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y85 PROHIBIT 0 SITEPROP TIEOFF_X38Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y85 RPM_X 148 SITEPROP TIEOFF_X38Y85 RPM_Y 170 SITEPROP TIEOFF_X38Y85 SITE_PIPS SITEPROP TIEOFF_X38Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y86 CLASS site SITEPROP TIEOFF_X38Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y86 IS_BONDED 0 SITEPROP TIEOFF_X38Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y86 IS_PAD 0 SITEPROP TIEOFF_X38Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y86 IS_RESERVED 0 SITEPROP TIEOFF_X38Y86 IS_TEST 0 SITEPROP TIEOFF_X38Y86 IS_USED 0 SITEPROP TIEOFF_X38Y86 MANUAL_ROUTING SITEPROP TIEOFF_X38Y86 NAME TIEOFF_X38Y86 SITEPROP TIEOFF_X38Y86 NUM_ARCS 0 SITEPROP TIEOFF_X38Y86 NUM_BELS 2 SITEPROP TIEOFF_X38Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y86 NUM_PINS 2 SITEPROP TIEOFF_X38Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y86 PROHIBIT 0 SITEPROP TIEOFF_X38Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y86 RPM_X 148 SITEPROP TIEOFF_X38Y86 RPM_Y 172 SITEPROP TIEOFF_X38Y86 SITE_PIPS SITEPROP TIEOFF_X38Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y87 CLASS site SITEPROP TIEOFF_X38Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y87 IS_BONDED 0 SITEPROP TIEOFF_X38Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y87 IS_PAD 0 SITEPROP TIEOFF_X38Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y87 IS_RESERVED 0 SITEPROP TIEOFF_X38Y87 IS_TEST 0 SITEPROP TIEOFF_X38Y87 IS_USED 0 SITEPROP TIEOFF_X38Y87 MANUAL_ROUTING SITEPROP TIEOFF_X38Y87 NAME TIEOFF_X38Y87 SITEPROP TIEOFF_X38Y87 NUM_ARCS 0 SITEPROP TIEOFF_X38Y87 NUM_BELS 2 SITEPROP TIEOFF_X38Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y87 NUM_PINS 2 SITEPROP TIEOFF_X38Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y87 PROHIBIT 0 SITEPROP TIEOFF_X38Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y87 RPM_X 148 SITEPROP TIEOFF_X38Y87 RPM_Y 174 SITEPROP TIEOFF_X38Y87 SITE_PIPS SITEPROP TIEOFF_X38Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y88 CLASS site SITEPROP TIEOFF_X38Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y88 IS_BONDED 0 SITEPROP TIEOFF_X38Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y88 IS_PAD 0 SITEPROP TIEOFF_X38Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y88 IS_RESERVED 0 SITEPROP TIEOFF_X38Y88 IS_TEST 0 SITEPROP TIEOFF_X38Y88 IS_USED 0 SITEPROP TIEOFF_X38Y88 MANUAL_ROUTING SITEPROP TIEOFF_X38Y88 NAME TIEOFF_X38Y88 SITEPROP TIEOFF_X38Y88 NUM_ARCS 0 SITEPROP TIEOFF_X38Y88 NUM_BELS 2 SITEPROP TIEOFF_X38Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y88 NUM_PINS 2 SITEPROP TIEOFF_X38Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y88 PROHIBIT 0 SITEPROP TIEOFF_X38Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y88 RPM_X 148 SITEPROP TIEOFF_X38Y88 RPM_Y 176 SITEPROP TIEOFF_X38Y88 SITE_PIPS SITEPROP TIEOFF_X38Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y89 CLASS site SITEPROP TIEOFF_X38Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y89 IS_BONDED 0 SITEPROP TIEOFF_X38Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y89 IS_PAD 0 SITEPROP TIEOFF_X38Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y89 IS_RESERVED 0 SITEPROP TIEOFF_X38Y89 IS_TEST 0 SITEPROP TIEOFF_X38Y89 IS_USED 0 SITEPROP TIEOFF_X38Y89 MANUAL_ROUTING SITEPROP TIEOFF_X38Y89 NAME TIEOFF_X38Y89 SITEPROP TIEOFF_X38Y89 NUM_ARCS 0 SITEPROP TIEOFF_X38Y89 NUM_BELS 2 SITEPROP TIEOFF_X38Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y89 NUM_PINS 2 SITEPROP TIEOFF_X38Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y89 PROHIBIT 0 SITEPROP TIEOFF_X38Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y89 RPM_X 148 SITEPROP TIEOFF_X38Y89 RPM_Y 178 SITEPROP TIEOFF_X38Y89 SITE_PIPS SITEPROP TIEOFF_X38Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y90 CLASS site SITEPROP TIEOFF_X38Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y90 IS_BONDED 0 SITEPROP TIEOFF_X38Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y90 IS_PAD 0 SITEPROP TIEOFF_X38Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y90 IS_RESERVED 0 SITEPROP TIEOFF_X38Y90 IS_TEST 0 SITEPROP TIEOFF_X38Y90 IS_USED 0 SITEPROP TIEOFF_X38Y90 MANUAL_ROUTING SITEPROP TIEOFF_X38Y90 NAME TIEOFF_X38Y90 SITEPROP TIEOFF_X38Y90 NUM_ARCS 0 SITEPROP TIEOFF_X38Y90 NUM_BELS 2 SITEPROP TIEOFF_X38Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y90 NUM_PINS 2 SITEPROP TIEOFF_X38Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y90 PROHIBIT 0 SITEPROP TIEOFF_X38Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y90 RPM_X 148 SITEPROP TIEOFF_X38Y90 RPM_Y 180 SITEPROP TIEOFF_X38Y90 SITE_PIPS SITEPROP TIEOFF_X38Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y91 CLASS site SITEPROP TIEOFF_X38Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y91 IS_BONDED 0 SITEPROP TIEOFF_X38Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y91 IS_PAD 0 SITEPROP TIEOFF_X38Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y91 IS_RESERVED 0 SITEPROP TIEOFF_X38Y91 IS_TEST 0 SITEPROP TIEOFF_X38Y91 IS_USED 0 SITEPROP TIEOFF_X38Y91 MANUAL_ROUTING SITEPROP TIEOFF_X38Y91 NAME TIEOFF_X38Y91 SITEPROP TIEOFF_X38Y91 NUM_ARCS 0 SITEPROP TIEOFF_X38Y91 NUM_BELS 2 SITEPROP TIEOFF_X38Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y91 NUM_PINS 2 SITEPROP TIEOFF_X38Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y91 PROHIBIT 0 SITEPROP TIEOFF_X38Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y91 RPM_X 148 SITEPROP TIEOFF_X38Y91 RPM_Y 182 SITEPROP TIEOFF_X38Y91 SITE_PIPS SITEPROP TIEOFF_X38Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y92 CLASS site SITEPROP TIEOFF_X38Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y92 IS_BONDED 0 SITEPROP TIEOFF_X38Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y92 IS_PAD 0 SITEPROP TIEOFF_X38Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y92 IS_RESERVED 0 SITEPROP TIEOFF_X38Y92 IS_TEST 0 SITEPROP TIEOFF_X38Y92 IS_USED 0 SITEPROP TIEOFF_X38Y92 MANUAL_ROUTING SITEPROP TIEOFF_X38Y92 NAME TIEOFF_X38Y92 SITEPROP TIEOFF_X38Y92 NUM_ARCS 0 SITEPROP TIEOFF_X38Y92 NUM_BELS 2 SITEPROP TIEOFF_X38Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y92 NUM_PINS 2 SITEPROP TIEOFF_X38Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y92 PROHIBIT 0 SITEPROP TIEOFF_X38Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y92 RPM_X 148 SITEPROP TIEOFF_X38Y92 RPM_Y 184 SITEPROP TIEOFF_X38Y92 SITE_PIPS SITEPROP TIEOFF_X38Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y93 CLASS site SITEPROP TIEOFF_X38Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y93 IS_BONDED 0 SITEPROP TIEOFF_X38Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y93 IS_PAD 0 SITEPROP TIEOFF_X38Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y93 IS_RESERVED 0 SITEPROP TIEOFF_X38Y93 IS_TEST 0 SITEPROP TIEOFF_X38Y93 IS_USED 0 SITEPROP TIEOFF_X38Y93 MANUAL_ROUTING SITEPROP TIEOFF_X38Y93 NAME TIEOFF_X38Y93 SITEPROP TIEOFF_X38Y93 NUM_ARCS 0 SITEPROP TIEOFF_X38Y93 NUM_BELS 2 SITEPROP TIEOFF_X38Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y93 NUM_PINS 2 SITEPROP TIEOFF_X38Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y93 PROHIBIT 0 SITEPROP TIEOFF_X38Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y93 RPM_X 148 SITEPROP TIEOFF_X38Y93 RPM_Y 186 SITEPROP TIEOFF_X38Y93 SITE_PIPS SITEPROP TIEOFF_X38Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y94 CLASS site SITEPROP TIEOFF_X38Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y94 IS_BONDED 0 SITEPROP TIEOFF_X38Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y94 IS_PAD 0 SITEPROP TIEOFF_X38Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y94 IS_RESERVED 0 SITEPROP TIEOFF_X38Y94 IS_TEST 0 SITEPROP TIEOFF_X38Y94 IS_USED 0 SITEPROP TIEOFF_X38Y94 MANUAL_ROUTING SITEPROP TIEOFF_X38Y94 NAME TIEOFF_X38Y94 SITEPROP TIEOFF_X38Y94 NUM_ARCS 0 SITEPROP TIEOFF_X38Y94 NUM_BELS 2 SITEPROP TIEOFF_X38Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y94 NUM_PINS 2 SITEPROP TIEOFF_X38Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y94 PROHIBIT 0 SITEPROP TIEOFF_X38Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y94 RPM_X 148 SITEPROP TIEOFF_X38Y94 RPM_Y 188 SITEPROP TIEOFF_X38Y94 SITE_PIPS SITEPROP TIEOFF_X38Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y95 CLASS site SITEPROP TIEOFF_X38Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y95 IS_BONDED 0 SITEPROP TIEOFF_X38Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y95 IS_PAD 0 SITEPROP TIEOFF_X38Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y95 IS_RESERVED 0 SITEPROP TIEOFF_X38Y95 IS_TEST 0 SITEPROP TIEOFF_X38Y95 IS_USED 0 SITEPROP TIEOFF_X38Y95 MANUAL_ROUTING SITEPROP TIEOFF_X38Y95 NAME TIEOFF_X38Y95 SITEPROP TIEOFF_X38Y95 NUM_ARCS 0 SITEPROP TIEOFF_X38Y95 NUM_BELS 2 SITEPROP TIEOFF_X38Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y95 NUM_PINS 2 SITEPROP TIEOFF_X38Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y95 PROHIBIT 0 SITEPROP TIEOFF_X38Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y95 RPM_X 148 SITEPROP TIEOFF_X38Y95 RPM_Y 190 SITEPROP TIEOFF_X38Y95 SITE_PIPS SITEPROP TIEOFF_X38Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y96 CLASS site SITEPROP TIEOFF_X38Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y96 IS_BONDED 0 SITEPROP TIEOFF_X38Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y96 IS_PAD 0 SITEPROP TIEOFF_X38Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y96 IS_RESERVED 0 SITEPROP TIEOFF_X38Y96 IS_TEST 0 SITEPROP TIEOFF_X38Y96 IS_USED 0 SITEPROP TIEOFF_X38Y96 MANUAL_ROUTING SITEPROP TIEOFF_X38Y96 NAME TIEOFF_X38Y96 SITEPROP TIEOFF_X38Y96 NUM_ARCS 0 SITEPROP TIEOFF_X38Y96 NUM_BELS 2 SITEPROP TIEOFF_X38Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y96 NUM_PINS 2 SITEPROP TIEOFF_X38Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y96 PROHIBIT 0 SITEPROP TIEOFF_X38Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y96 RPM_X 148 SITEPROP TIEOFF_X38Y96 RPM_Y 192 SITEPROP TIEOFF_X38Y96 SITE_PIPS SITEPROP TIEOFF_X38Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y97 CLASS site SITEPROP TIEOFF_X38Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y97 IS_BONDED 0 SITEPROP TIEOFF_X38Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y97 IS_PAD 0 SITEPROP TIEOFF_X38Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y97 IS_RESERVED 0 SITEPROP TIEOFF_X38Y97 IS_TEST 0 SITEPROP TIEOFF_X38Y97 IS_USED 0 SITEPROP TIEOFF_X38Y97 MANUAL_ROUTING SITEPROP TIEOFF_X38Y97 NAME TIEOFF_X38Y97 SITEPROP TIEOFF_X38Y97 NUM_ARCS 0 SITEPROP TIEOFF_X38Y97 NUM_BELS 2 SITEPROP TIEOFF_X38Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y97 NUM_PINS 2 SITEPROP TIEOFF_X38Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y97 PROHIBIT 0 SITEPROP TIEOFF_X38Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y97 RPM_X 148 SITEPROP TIEOFF_X38Y97 RPM_Y 194 SITEPROP TIEOFF_X38Y97 SITE_PIPS SITEPROP TIEOFF_X38Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y98 CLASS site SITEPROP TIEOFF_X38Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y98 IS_BONDED 0 SITEPROP TIEOFF_X38Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y98 IS_PAD 0 SITEPROP TIEOFF_X38Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y98 IS_RESERVED 0 SITEPROP TIEOFF_X38Y98 IS_TEST 0 SITEPROP TIEOFF_X38Y98 IS_USED 0 SITEPROP TIEOFF_X38Y98 MANUAL_ROUTING SITEPROP TIEOFF_X38Y98 NAME TIEOFF_X38Y98 SITEPROP TIEOFF_X38Y98 NUM_ARCS 0 SITEPROP TIEOFF_X38Y98 NUM_BELS 2 SITEPROP TIEOFF_X38Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y98 NUM_PINS 2 SITEPROP TIEOFF_X38Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y98 PROHIBIT 0 SITEPROP TIEOFF_X38Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y98 RPM_X 148 SITEPROP TIEOFF_X38Y98 RPM_Y 196 SITEPROP TIEOFF_X38Y98 SITE_PIPS SITEPROP TIEOFF_X38Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y99 CLASS site SITEPROP TIEOFF_X38Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X38Y99 IS_BONDED 0 SITEPROP TIEOFF_X38Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y99 IS_PAD 0 SITEPROP TIEOFF_X38Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y99 IS_RESERVED 0 SITEPROP TIEOFF_X38Y99 IS_TEST 0 SITEPROP TIEOFF_X38Y99 IS_USED 0 SITEPROP TIEOFF_X38Y99 MANUAL_ROUTING SITEPROP TIEOFF_X38Y99 NAME TIEOFF_X38Y99 SITEPROP TIEOFF_X38Y99 NUM_ARCS 0 SITEPROP TIEOFF_X38Y99 NUM_BELS 2 SITEPROP TIEOFF_X38Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y99 NUM_PINS 2 SITEPROP TIEOFF_X38Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y99 PROHIBIT 0 SITEPROP TIEOFF_X38Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y99 RPM_X 148 SITEPROP TIEOFF_X38Y99 RPM_Y 198 SITEPROP TIEOFF_X38Y99 SITE_PIPS SITEPROP TIEOFF_X38Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y100 CLASS site SITEPROP TIEOFF_X38Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y100 IS_BONDED 0 SITEPROP TIEOFF_X38Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y100 IS_PAD 0 SITEPROP TIEOFF_X38Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y100 IS_RESERVED 0 SITEPROP TIEOFF_X38Y100 IS_TEST 0 SITEPROP TIEOFF_X38Y100 IS_USED 0 SITEPROP TIEOFF_X38Y100 MANUAL_ROUTING SITEPROP TIEOFF_X38Y100 NAME TIEOFF_X38Y100 SITEPROP TIEOFF_X38Y100 NUM_ARCS 0 SITEPROP TIEOFF_X38Y100 NUM_BELS 2 SITEPROP TIEOFF_X38Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y100 NUM_PINS 2 SITEPROP TIEOFF_X38Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y100 PROHIBIT 0 SITEPROP TIEOFF_X38Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y100 RPM_X 148 SITEPROP TIEOFF_X38Y100 RPM_Y 200 SITEPROP TIEOFF_X38Y100 SITE_PIPS SITEPROP TIEOFF_X38Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y101 CLASS site SITEPROP TIEOFF_X38Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y101 IS_BONDED 0 SITEPROP TIEOFF_X38Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y101 IS_PAD 0 SITEPROP TIEOFF_X38Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y101 IS_RESERVED 0 SITEPROP TIEOFF_X38Y101 IS_TEST 0 SITEPROP TIEOFF_X38Y101 IS_USED 0 SITEPROP TIEOFF_X38Y101 MANUAL_ROUTING SITEPROP TIEOFF_X38Y101 NAME TIEOFF_X38Y101 SITEPROP TIEOFF_X38Y101 NUM_ARCS 0 SITEPROP TIEOFF_X38Y101 NUM_BELS 2 SITEPROP TIEOFF_X38Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y101 NUM_PINS 2 SITEPROP TIEOFF_X38Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y101 PROHIBIT 0 SITEPROP TIEOFF_X38Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y101 RPM_X 148 SITEPROP TIEOFF_X38Y101 RPM_Y 202 SITEPROP TIEOFF_X38Y101 SITE_PIPS SITEPROP TIEOFF_X38Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y102 CLASS site SITEPROP TIEOFF_X38Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y102 IS_BONDED 0 SITEPROP TIEOFF_X38Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y102 IS_PAD 0 SITEPROP TIEOFF_X38Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y102 IS_RESERVED 0 SITEPROP TIEOFF_X38Y102 IS_TEST 0 SITEPROP TIEOFF_X38Y102 IS_USED 0 SITEPROP TIEOFF_X38Y102 MANUAL_ROUTING SITEPROP TIEOFF_X38Y102 NAME TIEOFF_X38Y102 SITEPROP TIEOFF_X38Y102 NUM_ARCS 0 SITEPROP TIEOFF_X38Y102 NUM_BELS 2 SITEPROP TIEOFF_X38Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y102 NUM_PINS 2 SITEPROP TIEOFF_X38Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y102 PROHIBIT 0 SITEPROP TIEOFF_X38Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y102 RPM_X 148 SITEPROP TIEOFF_X38Y102 RPM_Y 204 SITEPROP TIEOFF_X38Y102 SITE_PIPS SITEPROP TIEOFF_X38Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y103 CLASS site SITEPROP TIEOFF_X38Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y103 IS_BONDED 0 SITEPROP TIEOFF_X38Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y103 IS_PAD 0 SITEPROP TIEOFF_X38Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y103 IS_RESERVED 0 SITEPROP TIEOFF_X38Y103 IS_TEST 0 SITEPROP TIEOFF_X38Y103 IS_USED 0 SITEPROP TIEOFF_X38Y103 MANUAL_ROUTING SITEPROP TIEOFF_X38Y103 NAME TIEOFF_X38Y103 SITEPROP TIEOFF_X38Y103 NUM_ARCS 0 SITEPROP TIEOFF_X38Y103 NUM_BELS 2 SITEPROP TIEOFF_X38Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y103 NUM_PINS 2 SITEPROP TIEOFF_X38Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y103 PROHIBIT 0 SITEPROP TIEOFF_X38Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y103 RPM_X 148 SITEPROP TIEOFF_X38Y103 RPM_Y 206 SITEPROP TIEOFF_X38Y103 SITE_PIPS SITEPROP TIEOFF_X38Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y104 CLASS site SITEPROP TIEOFF_X38Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y104 IS_BONDED 0 SITEPROP TIEOFF_X38Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y104 IS_PAD 0 SITEPROP TIEOFF_X38Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y104 IS_RESERVED 0 SITEPROP TIEOFF_X38Y104 IS_TEST 0 SITEPROP TIEOFF_X38Y104 IS_USED 0 SITEPROP TIEOFF_X38Y104 MANUAL_ROUTING SITEPROP TIEOFF_X38Y104 NAME TIEOFF_X38Y104 SITEPROP TIEOFF_X38Y104 NUM_ARCS 0 SITEPROP TIEOFF_X38Y104 NUM_BELS 2 SITEPROP TIEOFF_X38Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y104 NUM_PINS 2 SITEPROP TIEOFF_X38Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y104 PROHIBIT 0 SITEPROP TIEOFF_X38Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y104 RPM_X 148 SITEPROP TIEOFF_X38Y104 RPM_Y 208 SITEPROP TIEOFF_X38Y104 SITE_PIPS SITEPROP TIEOFF_X38Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y105 CLASS site SITEPROP TIEOFF_X38Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y105 IS_BONDED 0 SITEPROP TIEOFF_X38Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y105 IS_PAD 0 SITEPROP TIEOFF_X38Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y105 IS_RESERVED 0 SITEPROP TIEOFF_X38Y105 IS_TEST 0 SITEPROP TIEOFF_X38Y105 IS_USED 0 SITEPROP TIEOFF_X38Y105 MANUAL_ROUTING SITEPROP TIEOFF_X38Y105 NAME TIEOFF_X38Y105 SITEPROP TIEOFF_X38Y105 NUM_ARCS 0 SITEPROP TIEOFF_X38Y105 NUM_BELS 2 SITEPROP TIEOFF_X38Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y105 NUM_PINS 2 SITEPROP TIEOFF_X38Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y105 PROHIBIT 0 SITEPROP TIEOFF_X38Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y105 RPM_X 148 SITEPROP TIEOFF_X38Y105 RPM_Y 210 SITEPROP TIEOFF_X38Y105 SITE_PIPS SITEPROP TIEOFF_X38Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y106 CLASS site SITEPROP TIEOFF_X38Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y106 IS_BONDED 0 SITEPROP TIEOFF_X38Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y106 IS_PAD 0 SITEPROP TIEOFF_X38Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y106 IS_RESERVED 0 SITEPROP TIEOFF_X38Y106 IS_TEST 0 SITEPROP TIEOFF_X38Y106 IS_USED 0 SITEPROP TIEOFF_X38Y106 MANUAL_ROUTING SITEPROP TIEOFF_X38Y106 NAME TIEOFF_X38Y106 SITEPROP TIEOFF_X38Y106 NUM_ARCS 0 SITEPROP TIEOFF_X38Y106 NUM_BELS 2 SITEPROP TIEOFF_X38Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y106 NUM_PINS 2 SITEPROP TIEOFF_X38Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y106 PROHIBIT 0 SITEPROP TIEOFF_X38Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y106 RPM_X 148 SITEPROP TIEOFF_X38Y106 RPM_Y 212 SITEPROP TIEOFF_X38Y106 SITE_PIPS SITEPROP TIEOFF_X38Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y107 CLASS site SITEPROP TIEOFF_X38Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y107 IS_BONDED 0 SITEPROP TIEOFF_X38Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y107 IS_PAD 0 SITEPROP TIEOFF_X38Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y107 IS_RESERVED 0 SITEPROP TIEOFF_X38Y107 IS_TEST 0 SITEPROP TIEOFF_X38Y107 IS_USED 0 SITEPROP TIEOFF_X38Y107 MANUAL_ROUTING SITEPROP TIEOFF_X38Y107 NAME TIEOFF_X38Y107 SITEPROP TIEOFF_X38Y107 NUM_ARCS 0 SITEPROP TIEOFF_X38Y107 NUM_BELS 2 SITEPROP TIEOFF_X38Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y107 NUM_PINS 2 SITEPROP TIEOFF_X38Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y107 PROHIBIT 0 SITEPROP TIEOFF_X38Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y107 RPM_X 148 SITEPROP TIEOFF_X38Y107 RPM_Y 214 SITEPROP TIEOFF_X38Y107 SITE_PIPS SITEPROP TIEOFF_X38Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y108 CLASS site SITEPROP TIEOFF_X38Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y108 IS_BONDED 0 SITEPROP TIEOFF_X38Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y108 IS_PAD 0 SITEPROP TIEOFF_X38Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y108 IS_RESERVED 0 SITEPROP TIEOFF_X38Y108 IS_TEST 0 SITEPROP TIEOFF_X38Y108 IS_USED 0 SITEPROP TIEOFF_X38Y108 MANUAL_ROUTING SITEPROP TIEOFF_X38Y108 NAME TIEOFF_X38Y108 SITEPROP TIEOFF_X38Y108 NUM_ARCS 0 SITEPROP TIEOFF_X38Y108 NUM_BELS 2 SITEPROP TIEOFF_X38Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y108 NUM_PINS 2 SITEPROP TIEOFF_X38Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y108 PROHIBIT 0 SITEPROP TIEOFF_X38Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y108 RPM_X 148 SITEPROP TIEOFF_X38Y108 RPM_Y 216 SITEPROP TIEOFF_X38Y108 SITE_PIPS SITEPROP TIEOFF_X38Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y109 CLASS site SITEPROP TIEOFF_X38Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y109 IS_BONDED 0 SITEPROP TIEOFF_X38Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y109 IS_PAD 0 SITEPROP TIEOFF_X38Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y109 IS_RESERVED 0 SITEPROP TIEOFF_X38Y109 IS_TEST 0 SITEPROP TIEOFF_X38Y109 IS_USED 0 SITEPROP TIEOFF_X38Y109 MANUAL_ROUTING SITEPROP TIEOFF_X38Y109 NAME TIEOFF_X38Y109 SITEPROP TIEOFF_X38Y109 NUM_ARCS 0 SITEPROP TIEOFF_X38Y109 NUM_BELS 2 SITEPROP TIEOFF_X38Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y109 NUM_PINS 2 SITEPROP TIEOFF_X38Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y109 PROHIBIT 0 SITEPROP TIEOFF_X38Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y109 RPM_X 148 SITEPROP TIEOFF_X38Y109 RPM_Y 218 SITEPROP TIEOFF_X38Y109 SITE_PIPS SITEPROP TIEOFF_X38Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y110 CLASS site SITEPROP TIEOFF_X38Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y110 IS_BONDED 0 SITEPROP TIEOFF_X38Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y110 IS_PAD 0 SITEPROP TIEOFF_X38Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y110 IS_RESERVED 0 SITEPROP TIEOFF_X38Y110 IS_TEST 0 SITEPROP TIEOFF_X38Y110 IS_USED 0 SITEPROP TIEOFF_X38Y110 MANUAL_ROUTING SITEPROP TIEOFF_X38Y110 NAME TIEOFF_X38Y110 SITEPROP TIEOFF_X38Y110 NUM_ARCS 0 SITEPROP TIEOFF_X38Y110 NUM_BELS 2 SITEPROP TIEOFF_X38Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y110 NUM_PINS 2 SITEPROP TIEOFF_X38Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y110 PROHIBIT 0 SITEPROP TIEOFF_X38Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y110 RPM_X 148 SITEPROP TIEOFF_X38Y110 RPM_Y 220 SITEPROP TIEOFF_X38Y110 SITE_PIPS SITEPROP TIEOFF_X38Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y111 CLASS site SITEPROP TIEOFF_X38Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y111 IS_BONDED 0 SITEPROP TIEOFF_X38Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y111 IS_PAD 0 SITEPROP TIEOFF_X38Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y111 IS_RESERVED 0 SITEPROP TIEOFF_X38Y111 IS_TEST 0 SITEPROP TIEOFF_X38Y111 IS_USED 0 SITEPROP TIEOFF_X38Y111 MANUAL_ROUTING SITEPROP TIEOFF_X38Y111 NAME TIEOFF_X38Y111 SITEPROP TIEOFF_X38Y111 NUM_ARCS 0 SITEPROP TIEOFF_X38Y111 NUM_BELS 2 SITEPROP TIEOFF_X38Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y111 NUM_PINS 2 SITEPROP TIEOFF_X38Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y111 PROHIBIT 0 SITEPROP TIEOFF_X38Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y111 RPM_X 148 SITEPROP TIEOFF_X38Y111 RPM_Y 222 SITEPROP TIEOFF_X38Y111 SITE_PIPS SITEPROP TIEOFF_X38Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y112 CLASS site SITEPROP TIEOFF_X38Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y112 IS_BONDED 0 SITEPROP TIEOFF_X38Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y112 IS_PAD 0 SITEPROP TIEOFF_X38Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y112 IS_RESERVED 0 SITEPROP TIEOFF_X38Y112 IS_TEST 0 SITEPROP TIEOFF_X38Y112 IS_USED 0 SITEPROP TIEOFF_X38Y112 MANUAL_ROUTING SITEPROP TIEOFF_X38Y112 NAME TIEOFF_X38Y112 SITEPROP TIEOFF_X38Y112 NUM_ARCS 0 SITEPROP TIEOFF_X38Y112 NUM_BELS 2 SITEPROP TIEOFF_X38Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y112 NUM_PINS 2 SITEPROP TIEOFF_X38Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y112 PROHIBIT 0 SITEPROP TIEOFF_X38Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y112 RPM_X 148 SITEPROP TIEOFF_X38Y112 RPM_Y 224 SITEPROP TIEOFF_X38Y112 SITE_PIPS SITEPROP TIEOFF_X38Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y113 CLASS site SITEPROP TIEOFF_X38Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y113 IS_BONDED 0 SITEPROP TIEOFF_X38Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y113 IS_PAD 0 SITEPROP TIEOFF_X38Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y113 IS_RESERVED 0 SITEPROP TIEOFF_X38Y113 IS_TEST 0 SITEPROP TIEOFF_X38Y113 IS_USED 0 SITEPROP TIEOFF_X38Y113 MANUAL_ROUTING SITEPROP TIEOFF_X38Y113 NAME TIEOFF_X38Y113 SITEPROP TIEOFF_X38Y113 NUM_ARCS 0 SITEPROP TIEOFF_X38Y113 NUM_BELS 2 SITEPROP TIEOFF_X38Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y113 NUM_PINS 2 SITEPROP TIEOFF_X38Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y113 PROHIBIT 0 SITEPROP TIEOFF_X38Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y113 RPM_X 148 SITEPROP TIEOFF_X38Y113 RPM_Y 226 SITEPROP TIEOFF_X38Y113 SITE_PIPS SITEPROP TIEOFF_X38Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y114 CLASS site SITEPROP TIEOFF_X38Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y114 IS_BONDED 0 SITEPROP TIEOFF_X38Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y114 IS_PAD 0 SITEPROP TIEOFF_X38Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y114 IS_RESERVED 0 SITEPROP TIEOFF_X38Y114 IS_TEST 0 SITEPROP TIEOFF_X38Y114 IS_USED 0 SITEPROP TIEOFF_X38Y114 MANUAL_ROUTING SITEPROP TIEOFF_X38Y114 NAME TIEOFF_X38Y114 SITEPROP TIEOFF_X38Y114 NUM_ARCS 0 SITEPROP TIEOFF_X38Y114 NUM_BELS 2 SITEPROP TIEOFF_X38Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y114 NUM_PINS 2 SITEPROP TIEOFF_X38Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y114 PROHIBIT 0 SITEPROP TIEOFF_X38Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y114 RPM_X 148 SITEPROP TIEOFF_X38Y114 RPM_Y 228 SITEPROP TIEOFF_X38Y114 SITE_PIPS SITEPROP TIEOFF_X38Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y115 CLASS site SITEPROP TIEOFF_X38Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y115 IS_BONDED 0 SITEPROP TIEOFF_X38Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y115 IS_PAD 0 SITEPROP TIEOFF_X38Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y115 IS_RESERVED 0 SITEPROP TIEOFF_X38Y115 IS_TEST 0 SITEPROP TIEOFF_X38Y115 IS_USED 0 SITEPROP TIEOFF_X38Y115 MANUAL_ROUTING SITEPROP TIEOFF_X38Y115 NAME TIEOFF_X38Y115 SITEPROP TIEOFF_X38Y115 NUM_ARCS 0 SITEPROP TIEOFF_X38Y115 NUM_BELS 2 SITEPROP TIEOFF_X38Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y115 NUM_PINS 2 SITEPROP TIEOFF_X38Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y115 PROHIBIT 0 SITEPROP TIEOFF_X38Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y115 RPM_X 148 SITEPROP TIEOFF_X38Y115 RPM_Y 230 SITEPROP TIEOFF_X38Y115 SITE_PIPS SITEPROP TIEOFF_X38Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y116 CLASS site SITEPROP TIEOFF_X38Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y116 IS_BONDED 0 SITEPROP TIEOFF_X38Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y116 IS_PAD 0 SITEPROP TIEOFF_X38Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y116 IS_RESERVED 0 SITEPROP TIEOFF_X38Y116 IS_TEST 0 SITEPROP TIEOFF_X38Y116 IS_USED 0 SITEPROP TIEOFF_X38Y116 MANUAL_ROUTING SITEPROP TIEOFF_X38Y116 NAME TIEOFF_X38Y116 SITEPROP TIEOFF_X38Y116 NUM_ARCS 0 SITEPROP TIEOFF_X38Y116 NUM_BELS 2 SITEPROP TIEOFF_X38Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y116 NUM_PINS 2 SITEPROP TIEOFF_X38Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y116 PROHIBIT 0 SITEPROP TIEOFF_X38Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y116 RPM_X 148 SITEPROP TIEOFF_X38Y116 RPM_Y 232 SITEPROP TIEOFF_X38Y116 SITE_PIPS SITEPROP TIEOFF_X38Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y117 CLASS site SITEPROP TIEOFF_X38Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y117 IS_BONDED 0 SITEPROP TIEOFF_X38Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y117 IS_PAD 0 SITEPROP TIEOFF_X38Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y117 IS_RESERVED 0 SITEPROP TIEOFF_X38Y117 IS_TEST 0 SITEPROP TIEOFF_X38Y117 IS_USED 0 SITEPROP TIEOFF_X38Y117 MANUAL_ROUTING SITEPROP TIEOFF_X38Y117 NAME TIEOFF_X38Y117 SITEPROP TIEOFF_X38Y117 NUM_ARCS 0 SITEPROP TIEOFF_X38Y117 NUM_BELS 2 SITEPROP TIEOFF_X38Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y117 NUM_PINS 2 SITEPROP TIEOFF_X38Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y117 PROHIBIT 0 SITEPROP TIEOFF_X38Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y117 RPM_X 148 SITEPROP TIEOFF_X38Y117 RPM_Y 234 SITEPROP TIEOFF_X38Y117 SITE_PIPS SITEPROP TIEOFF_X38Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y118 CLASS site SITEPROP TIEOFF_X38Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y118 IS_BONDED 0 SITEPROP TIEOFF_X38Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y118 IS_PAD 0 SITEPROP TIEOFF_X38Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y118 IS_RESERVED 0 SITEPROP TIEOFF_X38Y118 IS_TEST 0 SITEPROP TIEOFF_X38Y118 IS_USED 0 SITEPROP TIEOFF_X38Y118 MANUAL_ROUTING SITEPROP TIEOFF_X38Y118 NAME TIEOFF_X38Y118 SITEPROP TIEOFF_X38Y118 NUM_ARCS 0 SITEPROP TIEOFF_X38Y118 NUM_BELS 2 SITEPROP TIEOFF_X38Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y118 NUM_PINS 2 SITEPROP TIEOFF_X38Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y118 PROHIBIT 0 SITEPROP TIEOFF_X38Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y118 RPM_X 148 SITEPROP TIEOFF_X38Y118 RPM_Y 236 SITEPROP TIEOFF_X38Y118 SITE_PIPS SITEPROP TIEOFF_X38Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y119 CLASS site SITEPROP TIEOFF_X38Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y119 IS_BONDED 0 SITEPROP TIEOFF_X38Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y119 IS_PAD 0 SITEPROP TIEOFF_X38Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y119 IS_RESERVED 0 SITEPROP TIEOFF_X38Y119 IS_TEST 0 SITEPROP TIEOFF_X38Y119 IS_USED 0 SITEPROP TIEOFF_X38Y119 MANUAL_ROUTING SITEPROP TIEOFF_X38Y119 NAME TIEOFF_X38Y119 SITEPROP TIEOFF_X38Y119 NUM_ARCS 0 SITEPROP TIEOFF_X38Y119 NUM_BELS 2 SITEPROP TIEOFF_X38Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y119 NUM_PINS 2 SITEPROP TIEOFF_X38Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y119 PROHIBIT 0 SITEPROP TIEOFF_X38Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y119 RPM_X 148 SITEPROP TIEOFF_X38Y119 RPM_Y 238 SITEPROP TIEOFF_X38Y119 SITE_PIPS SITEPROP TIEOFF_X38Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y120 CLASS site SITEPROP TIEOFF_X38Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y120 IS_BONDED 0 SITEPROP TIEOFF_X38Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y120 IS_PAD 0 SITEPROP TIEOFF_X38Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y120 IS_RESERVED 0 SITEPROP TIEOFF_X38Y120 IS_TEST 0 SITEPROP TIEOFF_X38Y120 IS_USED 0 SITEPROP TIEOFF_X38Y120 MANUAL_ROUTING SITEPROP TIEOFF_X38Y120 NAME TIEOFF_X38Y120 SITEPROP TIEOFF_X38Y120 NUM_ARCS 0 SITEPROP TIEOFF_X38Y120 NUM_BELS 2 SITEPROP TIEOFF_X38Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y120 NUM_PINS 2 SITEPROP TIEOFF_X38Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y120 PROHIBIT 0 SITEPROP TIEOFF_X38Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y120 RPM_X 148 SITEPROP TIEOFF_X38Y120 RPM_Y 240 SITEPROP TIEOFF_X38Y120 SITE_PIPS SITEPROP TIEOFF_X38Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y121 CLASS site SITEPROP TIEOFF_X38Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y121 IS_BONDED 0 SITEPROP TIEOFF_X38Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y121 IS_PAD 0 SITEPROP TIEOFF_X38Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y121 IS_RESERVED 0 SITEPROP TIEOFF_X38Y121 IS_TEST 0 SITEPROP TIEOFF_X38Y121 IS_USED 0 SITEPROP TIEOFF_X38Y121 MANUAL_ROUTING SITEPROP TIEOFF_X38Y121 NAME TIEOFF_X38Y121 SITEPROP TIEOFF_X38Y121 NUM_ARCS 0 SITEPROP TIEOFF_X38Y121 NUM_BELS 2 SITEPROP TIEOFF_X38Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y121 NUM_PINS 2 SITEPROP TIEOFF_X38Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y121 PROHIBIT 0 SITEPROP TIEOFF_X38Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y121 RPM_X 148 SITEPROP TIEOFF_X38Y121 RPM_Y 242 SITEPROP TIEOFF_X38Y121 SITE_PIPS SITEPROP TIEOFF_X38Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y122 CLASS site SITEPROP TIEOFF_X38Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y122 IS_BONDED 0 SITEPROP TIEOFF_X38Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y122 IS_PAD 0 SITEPROP TIEOFF_X38Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y122 IS_RESERVED 0 SITEPROP TIEOFF_X38Y122 IS_TEST 0 SITEPROP TIEOFF_X38Y122 IS_USED 0 SITEPROP TIEOFF_X38Y122 MANUAL_ROUTING SITEPROP TIEOFF_X38Y122 NAME TIEOFF_X38Y122 SITEPROP TIEOFF_X38Y122 NUM_ARCS 0 SITEPROP TIEOFF_X38Y122 NUM_BELS 2 SITEPROP TIEOFF_X38Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y122 NUM_PINS 2 SITEPROP TIEOFF_X38Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y122 PROHIBIT 0 SITEPROP TIEOFF_X38Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y122 RPM_X 148 SITEPROP TIEOFF_X38Y122 RPM_Y 244 SITEPROP TIEOFF_X38Y122 SITE_PIPS SITEPROP TIEOFF_X38Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y123 CLASS site SITEPROP TIEOFF_X38Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y123 IS_BONDED 0 SITEPROP TIEOFF_X38Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y123 IS_PAD 0 SITEPROP TIEOFF_X38Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y123 IS_RESERVED 0 SITEPROP TIEOFF_X38Y123 IS_TEST 0 SITEPROP TIEOFF_X38Y123 IS_USED 0 SITEPROP TIEOFF_X38Y123 MANUAL_ROUTING SITEPROP TIEOFF_X38Y123 NAME TIEOFF_X38Y123 SITEPROP TIEOFF_X38Y123 NUM_ARCS 0 SITEPROP TIEOFF_X38Y123 NUM_BELS 2 SITEPROP TIEOFF_X38Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y123 NUM_PINS 2 SITEPROP TIEOFF_X38Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y123 PROHIBIT 0 SITEPROP TIEOFF_X38Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y123 RPM_X 148 SITEPROP TIEOFF_X38Y123 RPM_Y 246 SITEPROP TIEOFF_X38Y123 SITE_PIPS SITEPROP TIEOFF_X38Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y124 CLASS site SITEPROP TIEOFF_X38Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y124 IS_BONDED 0 SITEPROP TIEOFF_X38Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y124 IS_PAD 0 SITEPROP TIEOFF_X38Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y124 IS_RESERVED 0 SITEPROP TIEOFF_X38Y124 IS_TEST 0 SITEPROP TIEOFF_X38Y124 IS_USED 0 SITEPROP TIEOFF_X38Y124 MANUAL_ROUTING SITEPROP TIEOFF_X38Y124 NAME TIEOFF_X38Y124 SITEPROP TIEOFF_X38Y124 NUM_ARCS 0 SITEPROP TIEOFF_X38Y124 NUM_BELS 2 SITEPROP TIEOFF_X38Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y124 NUM_PINS 2 SITEPROP TIEOFF_X38Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y124 PROHIBIT 0 SITEPROP TIEOFF_X38Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y124 RPM_X 148 SITEPROP TIEOFF_X38Y124 RPM_Y 248 SITEPROP TIEOFF_X38Y124 SITE_PIPS SITEPROP TIEOFF_X38Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y125 CLASS site SITEPROP TIEOFF_X38Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y125 IS_BONDED 0 SITEPROP TIEOFF_X38Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y125 IS_PAD 0 SITEPROP TIEOFF_X38Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y125 IS_RESERVED 0 SITEPROP TIEOFF_X38Y125 IS_TEST 0 SITEPROP TIEOFF_X38Y125 IS_USED 0 SITEPROP TIEOFF_X38Y125 MANUAL_ROUTING SITEPROP TIEOFF_X38Y125 NAME TIEOFF_X38Y125 SITEPROP TIEOFF_X38Y125 NUM_ARCS 0 SITEPROP TIEOFF_X38Y125 NUM_BELS 2 SITEPROP TIEOFF_X38Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y125 NUM_PINS 2 SITEPROP TIEOFF_X38Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y125 PROHIBIT 0 SITEPROP TIEOFF_X38Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y125 RPM_X 148 SITEPROP TIEOFF_X38Y125 RPM_Y 250 SITEPROP TIEOFF_X38Y125 SITE_PIPS SITEPROP TIEOFF_X38Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y126 CLASS site SITEPROP TIEOFF_X38Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y126 IS_BONDED 0 SITEPROP TIEOFF_X38Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y126 IS_PAD 0 SITEPROP TIEOFF_X38Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y126 IS_RESERVED 0 SITEPROP TIEOFF_X38Y126 IS_TEST 0 SITEPROP TIEOFF_X38Y126 IS_USED 0 SITEPROP TIEOFF_X38Y126 MANUAL_ROUTING SITEPROP TIEOFF_X38Y126 NAME TIEOFF_X38Y126 SITEPROP TIEOFF_X38Y126 NUM_ARCS 0 SITEPROP TIEOFF_X38Y126 NUM_BELS 2 SITEPROP TIEOFF_X38Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y126 NUM_PINS 2 SITEPROP TIEOFF_X38Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y126 PROHIBIT 0 SITEPROP TIEOFF_X38Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y126 RPM_X 148 SITEPROP TIEOFF_X38Y126 RPM_Y 252 SITEPROP TIEOFF_X38Y126 SITE_PIPS SITEPROP TIEOFF_X38Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y127 CLASS site SITEPROP TIEOFF_X38Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y127 IS_BONDED 0 SITEPROP TIEOFF_X38Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y127 IS_PAD 0 SITEPROP TIEOFF_X38Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y127 IS_RESERVED 0 SITEPROP TIEOFF_X38Y127 IS_TEST 0 SITEPROP TIEOFF_X38Y127 IS_USED 0 SITEPROP TIEOFF_X38Y127 MANUAL_ROUTING SITEPROP TIEOFF_X38Y127 NAME TIEOFF_X38Y127 SITEPROP TIEOFF_X38Y127 NUM_ARCS 0 SITEPROP TIEOFF_X38Y127 NUM_BELS 2 SITEPROP TIEOFF_X38Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y127 NUM_PINS 2 SITEPROP TIEOFF_X38Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y127 PROHIBIT 0 SITEPROP TIEOFF_X38Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y127 RPM_X 148 SITEPROP TIEOFF_X38Y127 RPM_Y 254 SITEPROP TIEOFF_X38Y127 SITE_PIPS SITEPROP TIEOFF_X38Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y128 CLASS site SITEPROP TIEOFF_X38Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y128 IS_BONDED 0 SITEPROP TIEOFF_X38Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y128 IS_PAD 0 SITEPROP TIEOFF_X38Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y128 IS_RESERVED 0 SITEPROP TIEOFF_X38Y128 IS_TEST 0 SITEPROP TIEOFF_X38Y128 IS_USED 0 SITEPROP TIEOFF_X38Y128 MANUAL_ROUTING SITEPROP TIEOFF_X38Y128 NAME TIEOFF_X38Y128 SITEPROP TIEOFF_X38Y128 NUM_ARCS 0 SITEPROP TIEOFF_X38Y128 NUM_BELS 2 SITEPROP TIEOFF_X38Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y128 NUM_PINS 2 SITEPROP TIEOFF_X38Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y128 PROHIBIT 0 SITEPROP TIEOFF_X38Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y128 RPM_X 148 SITEPROP TIEOFF_X38Y128 RPM_Y 256 SITEPROP TIEOFF_X38Y128 SITE_PIPS SITEPROP TIEOFF_X38Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y129 CLASS site SITEPROP TIEOFF_X38Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y129 IS_BONDED 0 SITEPROP TIEOFF_X38Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y129 IS_PAD 0 SITEPROP TIEOFF_X38Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y129 IS_RESERVED 0 SITEPROP TIEOFF_X38Y129 IS_TEST 0 SITEPROP TIEOFF_X38Y129 IS_USED 0 SITEPROP TIEOFF_X38Y129 MANUAL_ROUTING SITEPROP TIEOFF_X38Y129 NAME TIEOFF_X38Y129 SITEPROP TIEOFF_X38Y129 NUM_ARCS 0 SITEPROP TIEOFF_X38Y129 NUM_BELS 2 SITEPROP TIEOFF_X38Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y129 NUM_PINS 2 SITEPROP TIEOFF_X38Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y129 PROHIBIT 0 SITEPROP TIEOFF_X38Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y129 RPM_X 148 SITEPROP TIEOFF_X38Y129 RPM_Y 258 SITEPROP TIEOFF_X38Y129 SITE_PIPS SITEPROP TIEOFF_X38Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y130 CLASS site SITEPROP TIEOFF_X38Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y130 IS_BONDED 0 SITEPROP TIEOFF_X38Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y130 IS_PAD 0 SITEPROP TIEOFF_X38Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y130 IS_RESERVED 0 SITEPROP TIEOFF_X38Y130 IS_TEST 0 SITEPROP TIEOFF_X38Y130 IS_USED 0 SITEPROP TIEOFF_X38Y130 MANUAL_ROUTING SITEPROP TIEOFF_X38Y130 NAME TIEOFF_X38Y130 SITEPROP TIEOFF_X38Y130 NUM_ARCS 0 SITEPROP TIEOFF_X38Y130 NUM_BELS 2 SITEPROP TIEOFF_X38Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y130 NUM_PINS 2 SITEPROP TIEOFF_X38Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y130 PROHIBIT 0 SITEPROP TIEOFF_X38Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y130 RPM_X 148 SITEPROP TIEOFF_X38Y130 RPM_Y 260 SITEPROP TIEOFF_X38Y130 SITE_PIPS SITEPROP TIEOFF_X38Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y131 CLASS site SITEPROP TIEOFF_X38Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y131 IS_BONDED 0 SITEPROP TIEOFF_X38Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y131 IS_PAD 0 SITEPROP TIEOFF_X38Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y131 IS_RESERVED 0 SITEPROP TIEOFF_X38Y131 IS_TEST 0 SITEPROP TIEOFF_X38Y131 IS_USED 0 SITEPROP TIEOFF_X38Y131 MANUAL_ROUTING SITEPROP TIEOFF_X38Y131 NAME TIEOFF_X38Y131 SITEPROP TIEOFF_X38Y131 NUM_ARCS 0 SITEPROP TIEOFF_X38Y131 NUM_BELS 2 SITEPROP TIEOFF_X38Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y131 NUM_PINS 2 SITEPROP TIEOFF_X38Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y131 PROHIBIT 0 SITEPROP TIEOFF_X38Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y131 RPM_X 148 SITEPROP TIEOFF_X38Y131 RPM_Y 262 SITEPROP TIEOFF_X38Y131 SITE_PIPS SITEPROP TIEOFF_X38Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y132 CLASS site SITEPROP TIEOFF_X38Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y132 IS_BONDED 0 SITEPROP TIEOFF_X38Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y132 IS_PAD 0 SITEPROP TIEOFF_X38Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y132 IS_RESERVED 0 SITEPROP TIEOFF_X38Y132 IS_TEST 0 SITEPROP TIEOFF_X38Y132 IS_USED 0 SITEPROP TIEOFF_X38Y132 MANUAL_ROUTING SITEPROP TIEOFF_X38Y132 NAME TIEOFF_X38Y132 SITEPROP TIEOFF_X38Y132 NUM_ARCS 0 SITEPROP TIEOFF_X38Y132 NUM_BELS 2 SITEPROP TIEOFF_X38Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y132 NUM_PINS 2 SITEPROP TIEOFF_X38Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y132 PROHIBIT 0 SITEPROP TIEOFF_X38Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y132 RPM_X 148 SITEPROP TIEOFF_X38Y132 RPM_Y 264 SITEPROP TIEOFF_X38Y132 SITE_PIPS SITEPROP TIEOFF_X38Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y133 CLASS site SITEPROP TIEOFF_X38Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y133 IS_BONDED 0 SITEPROP TIEOFF_X38Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y133 IS_PAD 0 SITEPROP TIEOFF_X38Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y133 IS_RESERVED 0 SITEPROP TIEOFF_X38Y133 IS_TEST 0 SITEPROP TIEOFF_X38Y133 IS_USED 0 SITEPROP TIEOFF_X38Y133 MANUAL_ROUTING SITEPROP TIEOFF_X38Y133 NAME TIEOFF_X38Y133 SITEPROP TIEOFF_X38Y133 NUM_ARCS 0 SITEPROP TIEOFF_X38Y133 NUM_BELS 2 SITEPROP TIEOFF_X38Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y133 NUM_PINS 2 SITEPROP TIEOFF_X38Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y133 PROHIBIT 0 SITEPROP TIEOFF_X38Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y133 RPM_X 148 SITEPROP TIEOFF_X38Y133 RPM_Y 266 SITEPROP TIEOFF_X38Y133 SITE_PIPS SITEPROP TIEOFF_X38Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y134 CLASS site SITEPROP TIEOFF_X38Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y134 IS_BONDED 0 SITEPROP TIEOFF_X38Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y134 IS_PAD 0 SITEPROP TIEOFF_X38Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y134 IS_RESERVED 0 SITEPROP TIEOFF_X38Y134 IS_TEST 0 SITEPROP TIEOFF_X38Y134 IS_USED 0 SITEPROP TIEOFF_X38Y134 MANUAL_ROUTING SITEPROP TIEOFF_X38Y134 NAME TIEOFF_X38Y134 SITEPROP TIEOFF_X38Y134 NUM_ARCS 0 SITEPROP TIEOFF_X38Y134 NUM_BELS 2 SITEPROP TIEOFF_X38Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y134 NUM_PINS 2 SITEPROP TIEOFF_X38Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y134 PROHIBIT 0 SITEPROP TIEOFF_X38Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y134 RPM_X 148 SITEPROP TIEOFF_X38Y134 RPM_Y 268 SITEPROP TIEOFF_X38Y134 SITE_PIPS SITEPROP TIEOFF_X38Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y135 CLASS site SITEPROP TIEOFF_X38Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y135 IS_BONDED 0 SITEPROP TIEOFF_X38Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y135 IS_PAD 0 SITEPROP TIEOFF_X38Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y135 IS_RESERVED 0 SITEPROP TIEOFF_X38Y135 IS_TEST 0 SITEPROP TIEOFF_X38Y135 IS_USED 0 SITEPROP TIEOFF_X38Y135 MANUAL_ROUTING SITEPROP TIEOFF_X38Y135 NAME TIEOFF_X38Y135 SITEPROP TIEOFF_X38Y135 NUM_ARCS 0 SITEPROP TIEOFF_X38Y135 NUM_BELS 2 SITEPROP TIEOFF_X38Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y135 NUM_PINS 2 SITEPROP TIEOFF_X38Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y135 PROHIBIT 0 SITEPROP TIEOFF_X38Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y135 RPM_X 148 SITEPROP TIEOFF_X38Y135 RPM_Y 270 SITEPROP TIEOFF_X38Y135 SITE_PIPS SITEPROP TIEOFF_X38Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y136 CLASS site SITEPROP TIEOFF_X38Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y136 IS_BONDED 0 SITEPROP TIEOFF_X38Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y136 IS_PAD 0 SITEPROP TIEOFF_X38Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y136 IS_RESERVED 0 SITEPROP TIEOFF_X38Y136 IS_TEST 0 SITEPROP TIEOFF_X38Y136 IS_USED 0 SITEPROP TIEOFF_X38Y136 MANUAL_ROUTING SITEPROP TIEOFF_X38Y136 NAME TIEOFF_X38Y136 SITEPROP TIEOFF_X38Y136 NUM_ARCS 0 SITEPROP TIEOFF_X38Y136 NUM_BELS 2 SITEPROP TIEOFF_X38Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y136 NUM_PINS 2 SITEPROP TIEOFF_X38Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y136 PROHIBIT 0 SITEPROP TIEOFF_X38Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y136 RPM_X 148 SITEPROP TIEOFF_X38Y136 RPM_Y 272 SITEPROP TIEOFF_X38Y136 SITE_PIPS SITEPROP TIEOFF_X38Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y137 CLASS site SITEPROP TIEOFF_X38Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y137 IS_BONDED 0 SITEPROP TIEOFF_X38Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y137 IS_PAD 0 SITEPROP TIEOFF_X38Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y137 IS_RESERVED 0 SITEPROP TIEOFF_X38Y137 IS_TEST 0 SITEPROP TIEOFF_X38Y137 IS_USED 0 SITEPROP TIEOFF_X38Y137 MANUAL_ROUTING SITEPROP TIEOFF_X38Y137 NAME TIEOFF_X38Y137 SITEPROP TIEOFF_X38Y137 NUM_ARCS 0 SITEPROP TIEOFF_X38Y137 NUM_BELS 2 SITEPROP TIEOFF_X38Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y137 NUM_PINS 2 SITEPROP TIEOFF_X38Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y137 PROHIBIT 0 SITEPROP TIEOFF_X38Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y137 RPM_X 148 SITEPROP TIEOFF_X38Y137 RPM_Y 274 SITEPROP TIEOFF_X38Y137 SITE_PIPS SITEPROP TIEOFF_X38Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y138 CLASS site SITEPROP TIEOFF_X38Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y138 IS_BONDED 0 SITEPROP TIEOFF_X38Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y138 IS_PAD 0 SITEPROP TIEOFF_X38Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y138 IS_RESERVED 0 SITEPROP TIEOFF_X38Y138 IS_TEST 0 SITEPROP TIEOFF_X38Y138 IS_USED 0 SITEPROP TIEOFF_X38Y138 MANUAL_ROUTING SITEPROP TIEOFF_X38Y138 NAME TIEOFF_X38Y138 SITEPROP TIEOFF_X38Y138 NUM_ARCS 0 SITEPROP TIEOFF_X38Y138 NUM_BELS 2 SITEPROP TIEOFF_X38Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y138 NUM_PINS 2 SITEPROP TIEOFF_X38Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y138 PROHIBIT 0 SITEPROP TIEOFF_X38Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y138 RPM_X 148 SITEPROP TIEOFF_X38Y138 RPM_Y 276 SITEPROP TIEOFF_X38Y138 SITE_PIPS SITEPROP TIEOFF_X38Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y139 CLASS site SITEPROP TIEOFF_X38Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y139 IS_BONDED 0 SITEPROP TIEOFF_X38Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y139 IS_PAD 0 SITEPROP TIEOFF_X38Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y139 IS_RESERVED 0 SITEPROP TIEOFF_X38Y139 IS_TEST 0 SITEPROP TIEOFF_X38Y139 IS_USED 0 SITEPROP TIEOFF_X38Y139 MANUAL_ROUTING SITEPROP TIEOFF_X38Y139 NAME TIEOFF_X38Y139 SITEPROP TIEOFF_X38Y139 NUM_ARCS 0 SITEPROP TIEOFF_X38Y139 NUM_BELS 2 SITEPROP TIEOFF_X38Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y139 NUM_PINS 2 SITEPROP TIEOFF_X38Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y139 PROHIBIT 0 SITEPROP TIEOFF_X38Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y139 RPM_X 148 SITEPROP TIEOFF_X38Y139 RPM_Y 278 SITEPROP TIEOFF_X38Y139 SITE_PIPS SITEPROP TIEOFF_X38Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y140 CLASS site SITEPROP TIEOFF_X38Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y140 IS_BONDED 0 SITEPROP TIEOFF_X38Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y140 IS_PAD 0 SITEPROP TIEOFF_X38Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y140 IS_RESERVED 0 SITEPROP TIEOFF_X38Y140 IS_TEST 0 SITEPROP TIEOFF_X38Y140 IS_USED 0 SITEPROP TIEOFF_X38Y140 MANUAL_ROUTING SITEPROP TIEOFF_X38Y140 NAME TIEOFF_X38Y140 SITEPROP TIEOFF_X38Y140 NUM_ARCS 0 SITEPROP TIEOFF_X38Y140 NUM_BELS 2 SITEPROP TIEOFF_X38Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y140 NUM_PINS 2 SITEPROP TIEOFF_X38Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y140 PROHIBIT 0 SITEPROP TIEOFF_X38Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y140 RPM_X 148 SITEPROP TIEOFF_X38Y140 RPM_Y 280 SITEPROP TIEOFF_X38Y140 SITE_PIPS SITEPROP TIEOFF_X38Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y141 CLASS site SITEPROP TIEOFF_X38Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y141 IS_BONDED 0 SITEPROP TIEOFF_X38Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y141 IS_PAD 0 SITEPROP TIEOFF_X38Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y141 IS_RESERVED 0 SITEPROP TIEOFF_X38Y141 IS_TEST 0 SITEPROP TIEOFF_X38Y141 IS_USED 0 SITEPROP TIEOFF_X38Y141 MANUAL_ROUTING SITEPROP TIEOFF_X38Y141 NAME TIEOFF_X38Y141 SITEPROP TIEOFF_X38Y141 NUM_ARCS 0 SITEPROP TIEOFF_X38Y141 NUM_BELS 2 SITEPROP TIEOFF_X38Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y141 NUM_PINS 2 SITEPROP TIEOFF_X38Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y141 PROHIBIT 0 SITEPROP TIEOFF_X38Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y141 RPM_X 148 SITEPROP TIEOFF_X38Y141 RPM_Y 282 SITEPROP TIEOFF_X38Y141 SITE_PIPS SITEPROP TIEOFF_X38Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y142 CLASS site SITEPROP TIEOFF_X38Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y142 IS_BONDED 0 SITEPROP TIEOFF_X38Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y142 IS_PAD 0 SITEPROP TIEOFF_X38Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y142 IS_RESERVED 0 SITEPROP TIEOFF_X38Y142 IS_TEST 0 SITEPROP TIEOFF_X38Y142 IS_USED 0 SITEPROP TIEOFF_X38Y142 MANUAL_ROUTING SITEPROP TIEOFF_X38Y142 NAME TIEOFF_X38Y142 SITEPROP TIEOFF_X38Y142 NUM_ARCS 0 SITEPROP TIEOFF_X38Y142 NUM_BELS 2 SITEPROP TIEOFF_X38Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y142 NUM_PINS 2 SITEPROP TIEOFF_X38Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y142 PROHIBIT 0 SITEPROP TIEOFF_X38Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y142 RPM_X 148 SITEPROP TIEOFF_X38Y142 RPM_Y 284 SITEPROP TIEOFF_X38Y142 SITE_PIPS SITEPROP TIEOFF_X38Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y143 CLASS site SITEPROP TIEOFF_X38Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y143 IS_BONDED 0 SITEPROP TIEOFF_X38Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y143 IS_PAD 0 SITEPROP TIEOFF_X38Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y143 IS_RESERVED 0 SITEPROP TIEOFF_X38Y143 IS_TEST 0 SITEPROP TIEOFF_X38Y143 IS_USED 0 SITEPROP TIEOFF_X38Y143 MANUAL_ROUTING SITEPROP TIEOFF_X38Y143 NAME TIEOFF_X38Y143 SITEPROP TIEOFF_X38Y143 NUM_ARCS 0 SITEPROP TIEOFF_X38Y143 NUM_BELS 2 SITEPROP TIEOFF_X38Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y143 NUM_PINS 2 SITEPROP TIEOFF_X38Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y143 PROHIBIT 0 SITEPROP TIEOFF_X38Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y143 RPM_X 148 SITEPROP TIEOFF_X38Y143 RPM_Y 286 SITEPROP TIEOFF_X38Y143 SITE_PIPS SITEPROP TIEOFF_X38Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y144 CLASS site SITEPROP TIEOFF_X38Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y144 IS_BONDED 0 SITEPROP TIEOFF_X38Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y144 IS_PAD 0 SITEPROP TIEOFF_X38Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y144 IS_RESERVED 0 SITEPROP TIEOFF_X38Y144 IS_TEST 0 SITEPROP TIEOFF_X38Y144 IS_USED 0 SITEPROP TIEOFF_X38Y144 MANUAL_ROUTING SITEPROP TIEOFF_X38Y144 NAME TIEOFF_X38Y144 SITEPROP TIEOFF_X38Y144 NUM_ARCS 0 SITEPROP TIEOFF_X38Y144 NUM_BELS 2 SITEPROP TIEOFF_X38Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y144 NUM_PINS 2 SITEPROP TIEOFF_X38Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y144 PROHIBIT 0 SITEPROP TIEOFF_X38Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y144 RPM_X 148 SITEPROP TIEOFF_X38Y144 RPM_Y 288 SITEPROP TIEOFF_X38Y144 SITE_PIPS SITEPROP TIEOFF_X38Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y145 CLASS site SITEPROP TIEOFF_X38Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y145 IS_BONDED 0 SITEPROP TIEOFF_X38Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y145 IS_PAD 0 SITEPROP TIEOFF_X38Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y145 IS_RESERVED 0 SITEPROP TIEOFF_X38Y145 IS_TEST 0 SITEPROP TIEOFF_X38Y145 IS_USED 0 SITEPROP TIEOFF_X38Y145 MANUAL_ROUTING SITEPROP TIEOFF_X38Y145 NAME TIEOFF_X38Y145 SITEPROP TIEOFF_X38Y145 NUM_ARCS 0 SITEPROP TIEOFF_X38Y145 NUM_BELS 2 SITEPROP TIEOFF_X38Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y145 NUM_PINS 2 SITEPROP TIEOFF_X38Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y145 PROHIBIT 0 SITEPROP TIEOFF_X38Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y145 RPM_X 148 SITEPROP TIEOFF_X38Y145 RPM_Y 290 SITEPROP TIEOFF_X38Y145 SITE_PIPS SITEPROP TIEOFF_X38Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y146 CLASS site SITEPROP TIEOFF_X38Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y146 IS_BONDED 0 SITEPROP TIEOFF_X38Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y146 IS_PAD 0 SITEPROP TIEOFF_X38Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y146 IS_RESERVED 0 SITEPROP TIEOFF_X38Y146 IS_TEST 0 SITEPROP TIEOFF_X38Y146 IS_USED 0 SITEPROP TIEOFF_X38Y146 MANUAL_ROUTING SITEPROP TIEOFF_X38Y146 NAME TIEOFF_X38Y146 SITEPROP TIEOFF_X38Y146 NUM_ARCS 0 SITEPROP TIEOFF_X38Y146 NUM_BELS 2 SITEPROP TIEOFF_X38Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y146 NUM_PINS 2 SITEPROP TIEOFF_X38Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y146 PROHIBIT 0 SITEPROP TIEOFF_X38Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y146 RPM_X 148 SITEPROP TIEOFF_X38Y146 RPM_Y 292 SITEPROP TIEOFF_X38Y146 SITE_PIPS SITEPROP TIEOFF_X38Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y147 CLASS site SITEPROP TIEOFF_X38Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y147 IS_BONDED 0 SITEPROP TIEOFF_X38Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y147 IS_PAD 0 SITEPROP TIEOFF_X38Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y147 IS_RESERVED 0 SITEPROP TIEOFF_X38Y147 IS_TEST 0 SITEPROP TIEOFF_X38Y147 IS_USED 0 SITEPROP TIEOFF_X38Y147 MANUAL_ROUTING SITEPROP TIEOFF_X38Y147 NAME TIEOFF_X38Y147 SITEPROP TIEOFF_X38Y147 NUM_ARCS 0 SITEPROP TIEOFF_X38Y147 NUM_BELS 2 SITEPROP TIEOFF_X38Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y147 NUM_PINS 2 SITEPROP TIEOFF_X38Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y147 PROHIBIT 0 SITEPROP TIEOFF_X38Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y147 RPM_X 148 SITEPROP TIEOFF_X38Y147 RPM_Y 294 SITEPROP TIEOFF_X38Y147 SITE_PIPS SITEPROP TIEOFF_X38Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y148 CLASS site SITEPROP TIEOFF_X38Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y148 IS_BONDED 0 SITEPROP TIEOFF_X38Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y148 IS_PAD 0 SITEPROP TIEOFF_X38Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y148 IS_RESERVED 0 SITEPROP TIEOFF_X38Y148 IS_TEST 0 SITEPROP TIEOFF_X38Y148 IS_USED 0 SITEPROP TIEOFF_X38Y148 MANUAL_ROUTING SITEPROP TIEOFF_X38Y148 NAME TIEOFF_X38Y148 SITEPROP TIEOFF_X38Y148 NUM_ARCS 0 SITEPROP TIEOFF_X38Y148 NUM_BELS 2 SITEPROP TIEOFF_X38Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y148 NUM_PINS 2 SITEPROP TIEOFF_X38Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y148 PROHIBIT 0 SITEPROP TIEOFF_X38Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y148 RPM_X 148 SITEPROP TIEOFF_X38Y148 RPM_Y 296 SITEPROP TIEOFF_X38Y148 SITE_PIPS SITEPROP TIEOFF_X38Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X38Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X38Y149 CLASS site SITEPROP TIEOFF_X38Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X38Y149 IS_BONDED 0 SITEPROP TIEOFF_X38Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y149 IS_PAD 0 SITEPROP TIEOFF_X38Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X38Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X38Y149 IS_RESERVED 0 SITEPROP TIEOFF_X38Y149 IS_TEST 0 SITEPROP TIEOFF_X38Y149 IS_USED 0 SITEPROP TIEOFF_X38Y149 MANUAL_ROUTING SITEPROP TIEOFF_X38Y149 NAME TIEOFF_X38Y149 SITEPROP TIEOFF_X38Y149 NUM_ARCS 0 SITEPROP TIEOFF_X38Y149 NUM_BELS 2 SITEPROP TIEOFF_X38Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X38Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X38Y149 NUM_PINS 2 SITEPROP TIEOFF_X38Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X38Y149 PROHIBIT 0 SITEPROP TIEOFF_X38Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X38Y149 RPM_X 148 SITEPROP TIEOFF_X38Y149 RPM_Y 298 SITEPROP TIEOFF_X38Y149 SITE_PIPS SITEPROP TIEOFF_X38Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y0 CLASS site SITEPROP TIEOFF_X39Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y0 IS_BONDED 0 SITEPROP TIEOFF_X39Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y0 IS_PAD 0 SITEPROP TIEOFF_X39Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y0 IS_RESERVED 0 SITEPROP TIEOFF_X39Y0 IS_TEST 0 SITEPROP TIEOFF_X39Y0 IS_USED 0 SITEPROP TIEOFF_X39Y0 MANUAL_ROUTING SITEPROP TIEOFF_X39Y0 NAME TIEOFF_X39Y0 SITEPROP TIEOFF_X39Y0 NUM_ARCS 0 SITEPROP TIEOFF_X39Y0 NUM_BELS 2 SITEPROP TIEOFF_X39Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y0 NUM_PINS 2 SITEPROP TIEOFF_X39Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y0 PROHIBIT 0 SITEPROP TIEOFF_X39Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y0 RPM_X 152 SITEPROP TIEOFF_X39Y0 RPM_Y 0 SITEPROP TIEOFF_X39Y0 SITE_PIPS SITEPROP TIEOFF_X39Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y1 CLASS site SITEPROP TIEOFF_X39Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y1 IS_BONDED 0 SITEPROP TIEOFF_X39Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y1 IS_PAD 0 SITEPROP TIEOFF_X39Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y1 IS_RESERVED 0 SITEPROP TIEOFF_X39Y1 IS_TEST 0 SITEPROP TIEOFF_X39Y1 IS_USED 0 SITEPROP TIEOFF_X39Y1 MANUAL_ROUTING SITEPROP TIEOFF_X39Y1 NAME TIEOFF_X39Y1 SITEPROP TIEOFF_X39Y1 NUM_ARCS 0 SITEPROP TIEOFF_X39Y1 NUM_BELS 2 SITEPROP TIEOFF_X39Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y1 NUM_PINS 2 SITEPROP TIEOFF_X39Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y1 PROHIBIT 0 SITEPROP TIEOFF_X39Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y1 RPM_X 152 SITEPROP TIEOFF_X39Y1 RPM_Y 2 SITEPROP TIEOFF_X39Y1 SITE_PIPS SITEPROP TIEOFF_X39Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y2 CLASS site SITEPROP TIEOFF_X39Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y2 IS_BONDED 0 SITEPROP TIEOFF_X39Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y2 IS_PAD 0 SITEPROP TIEOFF_X39Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y2 IS_RESERVED 0 SITEPROP TIEOFF_X39Y2 IS_TEST 0 SITEPROP TIEOFF_X39Y2 IS_USED 0 SITEPROP TIEOFF_X39Y2 MANUAL_ROUTING SITEPROP TIEOFF_X39Y2 NAME TIEOFF_X39Y2 SITEPROP TIEOFF_X39Y2 NUM_ARCS 0 SITEPROP TIEOFF_X39Y2 NUM_BELS 2 SITEPROP TIEOFF_X39Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y2 NUM_PINS 2 SITEPROP TIEOFF_X39Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y2 PROHIBIT 0 SITEPROP TIEOFF_X39Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y2 RPM_X 152 SITEPROP TIEOFF_X39Y2 RPM_Y 4 SITEPROP TIEOFF_X39Y2 SITE_PIPS SITEPROP TIEOFF_X39Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y3 CLASS site SITEPROP TIEOFF_X39Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y3 IS_BONDED 0 SITEPROP TIEOFF_X39Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y3 IS_PAD 0 SITEPROP TIEOFF_X39Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y3 IS_RESERVED 0 SITEPROP TIEOFF_X39Y3 IS_TEST 0 SITEPROP TIEOFF_X39Y3 IS_USED 0 SITEPROP TIEOFF_X39Y3 MANUAL_ROUTING SITEPROP TIEOFF_X39Y3 NAME TIEOFF_X39Y3 SITEPROP TIEOFF_X39Y3 NUM_ARCS 0 SITEPROP TIEOFF_X39Y3 NUM_BELS 2 SITEPROP TIEOFF_X39Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y3 NUM_PINS 2 SITEPROP TIEOFF_X39Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y3 PROHIBIT 0 SITEPROP TIEOFF_X39Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y3 RPM_X 152 SITEPROP TIEOFF_X39Y3 RPM_Y 6 SITEPROP TIEOFF_X39Y3 SITE_PIPS SITEPROP TIEOFF_X39Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y4 CLASS site SITEPROP TIEOFF_X39Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y4 IS_BONDED 0 SITEPROP TIEOFF_X39Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y4 IS_PAD 0 SITEPROP TIEOFF_X39Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y4 IS_RESERVED 0 SITEPROP TIEOFF_X39Y4 IS_TEST 0 SITEPROP TIEOFF_X39Y4 IS_USED 0 SITEPROP TIEOFF_X39Y4 MANUAL_ROUTING SITEPROP TIEOFF_X39Y4 NAME TIEOFF_X39Y4 SITEPROP TIEOFF_X39Y4 NUM_ARCS 0 SITEPROP TIEOFF_X39Y4 NUM_BELS 2 SITEPROP TIEOFF_X39Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y4 NUM_PINS 2 SITEPROP TIEOFF_X39Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y4 PROHIBIT 0 SITEPROP TIEOFF_X39Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y4 RPM_X 152 SITEPROP TIEOFF_X39Y4 RPM_Y 8 SITEPROP TIEOFF_X39Y4 SITE_PIPS SITEPROP TIEOFF_X39Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y5 CLASS site SITEPROP TIEOFF_X39Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y5 IS_BONDED 0 SITEPROP TIEOFF_X39Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y5 IS_PAD 0 SITEPROP TIEOFF_X39Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y5 IS_RESERVED 0 SITEPROP TIEOFF_X39Y5 IS_TEST 0 SITEPROP TIEOFF_X39Y5 IS_USED 0 SITEPROP TIEOFF_X39Y5 MANUAL_ROUTING SITEPROP TIEOFF_X39Y5 NAME TIEOFF_X39Y5 SITEPROP TIEOFF_X39Y5 NUM_ARCS 0 SITEPROP TIEOFF_X39Y5 NUM_BELS 2 SITEPROP TIEOFF_X39Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y5 NUM_PINS 2 SITEPROP TIEOFF_X39Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y5 PROHIBIT 0 SITEPROP TIEOFF_X39Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y5 RPM_X 152 SITEPROP TIEOFF_X39Y5 RPM_Y 10 SITEPROP TIEOFF_X39Y5 SITE_PIPS SITEPROP TIEOFF_X39Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y6 CLASS site SITEPROP TIEOFF_X39Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y6 IS_BONDED 0 SITEPROP TIEOFF_X39Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y6 IS_PAD 0 SITEPROP TIEOFF_X39Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y6 IS_RESERVED 0 SITEPROP TIEOFF_X39Y6 IS_TEST 0 SITEPROP TIEOFF_X39Y6 IS_USED 0 SITEPROP TIEOFF_X39Y6 MANUAL_ROUTING SITEPROP TIEOFF_X39Y6 NAME TIEOFF_X39Y6 SITEPROP TIEOFF_X39Y6 NUM_ARCS 0 SITEPROP TIEOFF_X39Y6 NUM_BELS 2 SITEPROP TIEOFF_X39Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y6 NUM_PINS 2 SITEPROP TIEOFF_X39Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y6 PROHIBIT 0 SITEPROP TIEOFF_X39Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y6 RPM_X 152 SITEPROP TIEOFF_X39Y6 RPM_Y 12 SITEPROP TIEOFF_X39Y6 SITE_PIPS SITEPROP TIEOFF_X39Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y7 CLASS site SITEPROP TIEOFF_X39Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y7 IS_BONDED 0 SITEPROP TIEOFF_X39Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y7 IS_PAD 0 SITEPROP TIEOFF_X39Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y7 IS_RESERVED 0 SITEPROP TIEOFF_X39Y7 IS_TEST 0 SITEPROP TIEOFF_X39Y7 IS_USED 0 SITEPROP TIEOFF_X39Y7 MANUAL_ROUTING SITEPROP TIEOFF_X39Y7 NAME TIEOFF_X39Y7 SITEPROP TIEOFF_X39Y7 NUM_ARCS 0 SITEPROP TIEOFF_X39Y7 NUM_BELS 2 SITEPROP TIEOFF_X39Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y7 NUM_PINS 2 SITEPROP TIEOFF_X39Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y7 PROHIBIT 0 SITEPROP TIEOFF_X39Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y7 RPM_X 152 SITEPROP TIEOFF_X39Y7 RPM_Y 14 SITEPROP TIEOFF_X39Y7 SITE_PIPS SITEPROP TIEOFF_X39Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y8 CLASS site SITEPROP TIEOFF_X39Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y8 IS_BONDED 0 SITEPROP TIEOFF_X39Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y8 IS_PAD 0 SITEPROP TIEOFF_X39Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y8 IS_RESERVED 0 SITEPROP TIEOFF_X39Y8 IS_TEST 0 SITEPROP TIEOFF_X39Y8 IS_USED 0 SITEPROP TIEOFF_X39Y8 MANUAL_ROUTING SITEPROP TIEOFF_X39Y8 NAME TIEOFF_X39Y8 SITEPROP TIEOFF_X39Y8 NUM_ARCS 0 SITEPROP TIEOFF_X39Y8 NUM_BELS 2 SITEPROP TIEOFF_X39Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y8 NUM_PINS 2 SITEPROP TIEOFF_X39Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y8 PROHIBIT 0 SITEPROP TIEOFF_X39Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y8 RPM_X 152 SITEPROP TIEOFF_X39Y8 RPM_Y 16 SITEPROP TIEOFF_X39Y8 SITE_PIPS SITEPROP TIEOFF_X39Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y9 CLASS site SITEPROP TIEOFF_X39Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y9 IS_BONDED 0 SITEPROP TIEOFF_X39Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y9 IS_PAD 0 SITEPROP TIEOFF_X39Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y9 IS_RESERVED 0 SITEPROP TIEOFF_X39Y9 IS_TEST 0 SITEPROP TIEOFF_X39Y9 IS_USED 0 SITEPROP TIEOFF_X39Y9 MANUAL_ROUTING SITEPROP TIEOFF_X39Y9 NAME TIEOFF_X39Y9 SITEPROP TIEOFF_X39Y9 NUM_ARCS 0 SITEPROP TIEOFF_X39Y9 NUM_BELS 2 SITEPROP TIEOFF_X39Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y9 NUM_PINS 2 SITEPROP TIEOFF_X39Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y9 PROHIBIT 0 SITEPROP TIEOFF_X39Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y9 RPM_X 152 SITEPROP TIEOFF_X39Y9 RPM_Y 18 SITEPROP TIEOFF_X39Y9 SITE_PIPS SITEPROP TIEOFF_X39Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y10 CLASS site SITEPROP TIEOFF_X39Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y10 IS_BONDED 0 SITEPROP TIEOFF_X39Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y10 IS_PAD 0 SITEPROP TIEOFF_X39Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y10 IS_RESERVED 0 SITEPROP TIEOFF_X39Y10 IS_TEST 0 SITEPROP TIEOFF_X39Y10 IS_USED 0 SITEPROP TIEOFF_X39Y10 MANUAL_ROUTING SITEPROP TIEOFF_X39Y10 NAME TIEOFF_X39Y10 SITEPROP TIEOFF_X39Y10 NUM_ARCS 0 SITEPROP TIEOFF_X39Y10 NUM_BELS 2 SITEPROP TIEOFF_X39Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y10 NUM_PINS 2 SITEPROP TIEOFF_X39Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y10 PROHIBIT 0 SITEPROP TIEOFF_X39Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y10 RPM_X 152 SITEPROP TIEOFF_X39Y10 RPM_Y 20 SITEPROP TIEOFF_X39Y10 SITE_PIPS SITEPROP TIEOFF_X39Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y11 CLASS site SITEPROP TIEOFF_X39Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y11 IS_BONDED 0 SITEPROP TIEOFF_X39Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y11 IS_PAD 0 SITEPROP TIEOFF_X39Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y11 IS_RESERVED 0 SITEPROP TIEOFF_X39Y11 IS_TEST 0 SITEPROP TIEOFF_X39Y11 IS_USED 0 SITEPROP TIEOFF_X39Y11 MANUAL_ROUTING SITEPROP TIEOFF_X39Y11 NAME TIEOFF_X39Y11 SITEPROP TIEOFF_X39Y11 NUM_ARCS 0 SITEPROP TIEOFF_X39Y11 NUM_BELS 2 SITEPROP TIEOFF_X39Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y11 NUM_PINS 2 SITEPROP TIEOFF_X39Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y11 PROHIBIT 0 SITEPROP TIEOFF_X39Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y11 RPM_X 152 SITEPROP TIEOFF_X39Y11 RPM_Y 22 SITEPROP TIEOFF_X39Y11 SITE_PIPS SITEPROP TIEOFF_X39Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y12 CLASS site SITEPROP TIEOFF_X39Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y12 IS_BONDED 0 SITEPROP TIEOFF_X39Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y12 IS_PAD 0 SITEPROP TIEOFF_X39Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y12 IS_RESERVED 0 SITEPROP TIEOFF_X39Y12 IS_TEST 0 SITEPROP TIEOFF_X39Y12 IS_USED 0 SITEPROP TIEOFF_X39Y12 MANUAL_ROUTING SITEPROP TIEOFF_X39Y12 NAME TIEOFF_X39Y12 SITEPROP TIEOFF_X39Y12 NUM_ARCS 0 SITEPROP TIEOFF_X39Y12 NUM_BELS 2 SITEPROP TIEOFF_X39Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y12 NUM_PINS 2 SITEPROP TIEOFF_X39Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y12 PROHIBIT 0 SITEPROP TIEOFF_X39Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y12 RPM_X 152 SITEPROP TIEOFF_X39Y12 RPM_Y 24 SITEPROP TIEOFF_X39Y12 SITE_PIPS SITEPROP TIEOFF_X39Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y13 CLASS site SITEPROP TIEOFF_X39Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y13 IS_BONDED 0 SITEPROP TIEOFF_X39Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y13 IS_PAD 0 SITEPROP TIEOFF_X39Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y13 IS_RESERVED 0 SITEPROP TIEOFF_X39Y13 IS_TEST 0 SITEPROP TIEOFF_X39Y13 IS_USED 0 SITEPROP TIEOFF_X39Y13 MANUAL_ROUTING SITEPROP TIEOFF_X39Y13 NAME TIEOFF_X39Y13 SITEPROP TIEOFF_X39Y13 NUM_ARCS 0 SITEPROP TIEOFF_X39Y13 NUM_BELS 2 SITEPROP TIEOFF_X39Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y13 NUM_PINS 2 SITEPROP TIEOFF_X39Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y13 PROHIBIT 0 SITEPROP TIEOFF_X39Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y13 RPM_X 152 SITEPROP TIEOFF_X39Y13 RPM_Y 26 SITEPROP TIEOFF_X39Y13 SITE_PIPS SITEPROP TIEOFF_X39Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y14 CLASS site SITEPROP TIEOFF_X39Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y14 IS_BONDED 0 SITEPROP TIEOFF_X39Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y14 IS_PAD 0 SITEPROP TIEOFF_X39Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y14 IS_RESERVED 0 SITEPROP TIEOFF_X39Y14 IS_TEST 0 SITEPROP TIEOFF_X39Y14 IS_USED 0 SITEPROP TIEOFF_X39Y14 MANUAL_ROUTING SITEPROP TIEOFF_X39Y14 NAME TIEOFF_X39Y14 SITEPROP TIEOFF_X39Y14 NUM_ARCS 0 SITEPROP TIEOFF_X39Y14 NUM_BELS 2 SITEPROP TIEOFF_X39Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y14 NUM_PINS 2 SITEPROP TIEOFF_X39Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y14 PROHIBIT 0 SITEPROP TIEOFF_X39Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y14 RPM_X 152 SITEPROP TIEOFF_X39Y14 RPM_Y 28 SITEPROP TIEOFF_X39Y14 SITE_PIPS SITEPROP TIEOFF_X39Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y15 CLASS site SITEPROP TIEOFF_X39Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y15 IS_BONDED 0 SITEPROP TIEOFF_X39Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y15 IS_PAD 0 SITEPROP TIEOFF_X39Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y15 IS_RESERVED 0 SITEPROP TIEOFF_X39Y15 IS_TEST 0 SITEPROP TIEOFF_X39Y15 IS_USED 0 SITEPROP TIEOFF_X39Y15 MANUAL_ROUTING SITEPROP TIEOFF_X39Y15 NAME TIEOFF_X39Y15 SITEPROP TIEOFF_X39Y15 NUM_ARCS 0 SITEPROP TIEOFF_X39Y15 NUM_BELS 2 SITEPROP TIEOFF_X39Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y15 NUM_PINS 2 SITEPROP TIEOFF_X39Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y15 PROHIBIT 0 SITEPROP TIEOFF_X39Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y15 RPM_X 152 SITEPROP TIEOFF_X39Y15 RPM_Y 30 SITEPROP TIEOFF_X39Y15 SITE_PIPS SITEPROP TIEOFF_X39Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y16 CLASS site SITEPROP TIEOFF_X39Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y16 IS_BONDED 0 SITEPROP TIEOFF_X39Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y16 IS_PAD 0 SITEPROP TIEOFF_X39Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y16 IS_RESERVED 0 SITEPROP TIEOFF_X39Y16 IS_TEST 0 SITEPROP TIEOFF_X39Y16 IS_USED 0 SITEPROP TIEOFF_X39Y16 MANUAL_ROUTING SITEPROP TIEOFF_X39Y16 NAME TIEOFF_X39Y16 SITEPROP TIEOFF_X39Y16 NUM_ARCS 0 SITEPROP TIEOFF_X39Y16 NUM_BELS 2 SITEPROP TIEOFF_X39Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y16 NUM_PINS 2 SITEPROP TIEOFF_X39Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y16 PROHIBIT 0 SITEPROP TIEOFF_X39Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y16 RPM_X 152 SITEPROP TIEOFF_X39Y16 RPM_Y 32 SITEPROP TIEOFF_X39Y16 SITE_PIPS SITEPROP TIEOFF_X39Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y17 CLASS site SITEPROP TIEOFF_X39Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y17 IS_BONDED 0 SITEPROP TIEOFF_X39Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y17 IS_PAD 0 SITEPROP TIEOFF_X39Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y17 IS_RESERVED 0 SITEPROP TIEOFF_X39Y17 IS_TEST 0 SITEPROP TIEOFF_X39Y17 IS_USED 0 SITEPROP TIEOFF_X39Y17 MANUAL_ROUTING SITEPROP TIEOFF_X39Y17 NAME TIEOFF_X39Y17 SITEPROP TIEOFF_X39Y17 NUM_ARCS 0 SITEPROP TIEOFF_X39Y17 NUM_BELS 2 SITEPROP TIEOFF_X39Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y17 NUM_PINS 2 SITEPROP TIEOFF_X39Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y17 PROHIBIT 0 SITEPROP TIEOFF_X39Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y17 RPM_X 152 SITEPROP TIEOFF_X39Y17 RPM_Y 34 SITEPROP TIEOFF_X39Y17 SITE_PIPS SITEPROP TIEOFF_X39Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y18 CLASS site SITEPROP TIEOFF_X39Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y18 IS_BONDED 0 SITEPROP TIEOFF_X39Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y18 IS_PAD 0 SITEPROP TIEOFF_X39Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y18 IS_RESERVED 0 SITEPROP TIEOFF_X39Y18 IS_TEST 0 SITEPROP TIEOFF_X39Y18 IS_USED 0 SITEPROP TIEOFF_X39Y18 MANUAL_ROUTING SITEPROP TIEOFF_X39Y18 NAME TIEOFF_X39Y18 SITEPROP TIEOFF_X39Y18 NUM_ARCS 0 SITEPROP TIEOFF_X39Y18 NUM_BELS 2 SITEPROP TIEOFF_X39Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y18 NUM_PINS 2 SITEPROP TIEOFF_X39Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y18 PROHIBIT 0 SITEPROP TIEOFF_X39Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y18 RPM_X 152 SITEPROP TIEOFF_X39Y18 RPM_Y 36 SITEPROP TIEOFF_X39Y18 SITE_PIPS SITEPROP TIEOFF_X39Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y19 CLASS site SITEPROP TIEOFF_X39Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y19 IS_BONDED 0 SITEPROP TIEOFF_X39Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y19 IS_PAD 0 SITEPROP TIEOFF_X39Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y19 IS_RESERVED 0 SITEPROP TIEOFF_X39Y19 IS_TEST 0 SITEPROP TIEOFF_X39Y19 IS_USED 0 SITEPROP TIEOFF_X39Y19 MANUAL_ROUTING SITEPROP TIEOFF_X39Y19 NAME TIEOFF_X39Y19 SITEPROP TIEOFF_X39Y19 NUM_ARCS 0 SITEPROP TIEOFF_X39Y19 NUM_BELS 2 SITEPROP TIEOFF_X39Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y19 NUM_PINS 2 SITEPROP TIEOFF_X39Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y19 PROHIBIT 0 SITEPROP TIEOFF_X39Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y19 RPM_X 152 SITEPROP TIEOFF_X39Y19 RPM_Y 38 SITEPROP TIEOFF_X39Y19 SITE_PIPS SITEPROP TIEOFF_X39Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y20 CLASS site SITEPROP TIEOFF_X39Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y20 IS_BONDED 0 SITEPROP TIEOFF_X39Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y20 IS_PAD 0 SITEPROP TIEOFF_X39Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y20 IS_RESERVED 0 SITEPROP TIEOFF_X39Y20 IS_TEST 0 SITEPROP TIEOFF_X39Y20 IS_USED 0 SITEPROP TIEOFF_X39Y20 MANUAL_ROUTING SITEPROP TIEOFF_X39Y20 NAME TIEOFF_X39Y20 SITEPROP TIEOFF_X39Y20 NUM_ARCS 0 SITEPROP TIEOFF_X39Y20 NUM_BELS 2 SITEPROP TIEOFF_X39Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y20 NUM_PINS 2 SITEPROP TIEOFF_X39Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y20 PROHIBIT 0 SITEPROP TIEOFF_X39Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y20 RPM_X 152 SITEPROP TIEOFF_X39Y20 RPM_Y 40 SITEPROP TIEOFF_X39Y20 SITE_PIPS SITEPROP TIEOFF_X39Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y21 CLASS site SITEPROP TIEOFF_X39Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y21 IS_BONDED 0 SITEPROP TIEOFF_X39Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y21 IS_PAD 0 SITEPROP TIEOFF_X39Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y21 IS_RESERVED 0 SITEPROP TIEOFF_X39Y21 IS_TEST 0 SITEPROP TIEOFF_X39Y21 IS_USED 0 SITEPROP TIEOFF_X39Y21 MANUAL_ROUTING SITEPROP TIEOFF_X39Y21 NAME TIEOFF_X39Y21 SITEPROP TIEOFF_X39Y21 NUM_ARCS 0 SITEPROP TIEOFF_X39Y21 NUM_BELS 2 SITEPROP TIEOFF_X39Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y21 NUM_PINS 2 SITEPROP TIEOFF_X39Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y21 PROHIBIT 0 SITEPROP TIEOFF_X39Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y21 RPM_X 152 SITEPROP TIEOFF_X39Y21 RPM_Y 42 SITEPROP TIEOFF_X39Y21 SITE_PIPS SITEPROP TIEOFF_X39Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y22 CLASS site SITEPROP TIEOFF_X39Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y22 IS_BONDED 0 SITEPROP TIEOFF_X39Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y22 IS_PAD 0 SITEPROP TIEOFF_X39Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y22 IS_RESERVED 0 SITEPROP TIEOFF_X39Y22 IS_TEST 0 SITEPROP TIEOFF_X39Y22 IS_USED 0 SITEPROP TIEOFF_X39Y22 MANUAL_ROUTING SITEPROP TIEOFF_X39Y22 NAME TIEOFF_X39Y22 SITEPROP TIEOFF_X39Y22 NUM_ARCS 0 SITEPROP TIEOFF_X39Y22 NUM_BELS 2 SITEPROP TIEOFF_X39Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y22 NUM_PINS 2 SITEPROP TIEOFF_X39Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y22 PROHIBIT 0 SITEPROP TIEOFF_X39Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y22 RPM_X 152 SITEPROP TIEOFF_X39Y22 RPM_Y 44 SITEPROP TIEOFF_X39Y22 SITE_PIPS SITEPROP TIEOFF_X39Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y23 CLASS site SITEPROP TIEOFF_X39Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y23 IS_BONDED 0 SITEPROP TIEOFF_X39Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y23 IS_PAD 0 SITEPROP TIEOFF_X39Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y23 IS_RESERVED 0 SITEPROP TIEOFF_X39Y23 IS_TEST 0 SITEPROP TIEOFF_X39Y23 IS_USED 0 SITEPROP TIEOFF_X39Y23 MANUAL_ROUTING SITEPROP TIEOFF_X39Y23 NAME TIEOFF_X39Y23 SITEPROP TIEOFF_X39Y23 NUM_ARCS 0 SITEPROP TIEOFF_X39Y23 NUM_BELS 2 SITEPROP TIEOFF_X39Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y23 NUM_PINS 2 SITEPROP TIEOFF_X39Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y23 PROHIBIT 0 SITEPROP TIEOFF_X39Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y23 RPM_X 152 SITEPROP TIEOFF_X39Y23 RPM_Y 46 SITEPROP TIEOFF_X39Y23 SITE_PIPS SITEPROP TIEOFF_X39Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y24 CLASS site SITEPROP TIEOFF_X39Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y24 IS_BONDED 0 SITEPROP TIEOFF_X39Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y24 IS_PAD 0 SITEPROP TIEOFF_X39Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y24 IS_RESERVED 0 SITEPROP TIEOFF_X39Y24 IS_TEST 0 SITEPROP TIEOFF_X39Y24 IS_USED 0 SITEPROP TIEOFF_X39Y24 MANUAL_ROUTING SITEPROP TIEOFF_X39Y24 NAME TIEOFF_X39Y24 SITEPROP TIEOFF_X39Y24 NUM_ARCS 0 SITEPROP TIEOFF_X39Y24 NUM_BELS 2 SITEPROP TIEOFF_X39Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y24 NUM_PINS 2 SITEPROP TIEOFF_X39Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y24 PROHIBIT 0 SITEPROP TIEOFF_X39Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y24 RPM_X 152 SITEPROP TIEOFF_X39Y24 RPM_Y 48 SITEPROP TIEOFF_X39Y24 SITE_PIPS SITEPROP TIEOFF_X39Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y25 CLASS site SITEPROP TIEOFF_X39Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y25 IS_BONDED 0 SITEPROP TIEOFF_X39Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y25 IS_PAD 0 SITEPROP TIEOFF_X39Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y25 IS_RESERVED 0 SITEPROP TIEOFF_X39Y25 IS_TEST 0 SITEPROP TIEOFF_X39Y25 IS_USED 0 SITEPROP TIEOFF_X39Y25 MANUAL_ROUTING SITEPROP TIEOFF_X39Y25 NAME TIEOFF_X39Y25 SITEPROP TIEOFF_X39Y25 NUM_ARCS 0 SITEPROP TIEOFF_X39Y25 NUM_BELS 2 SITEPROP TIEOFF_X39Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y25 NUM_PINS 2 SITEPROP TIEOFF_X39Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y25 PROHIBIT 0 SITEPROP TIEOFF_X39Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y25 RPM_X 152 SITEPROP TIEOFF_X39Y25 RPM_Y 50 SITEPROP TIEOFF_X39Y25 SITE_PIPS SITEPROP TIEOFF_X39Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y26 CLASS site SITEPROP TIEOFF_X39Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y26 IS_BONDED 0 SITEPROP TIEOFF_X39Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y26 IS_PAD 0 SITEPROP TIEOFF_X39Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y26 IS_RESERVED 0 SITEPROP TIEOFF_X39Y26 IS_TEST 0 SITEPROP TIEOFF_X39Y26 IS_USED 0 SITEPROP TIEOFF_X39Y26 MANUAL_ROUTING SITEPROP TIEOFF_X39Y26 NAME TIEOFF_X39Y26 SITEPROP TIEOFF_X39Y26 NUM_ARCS 0 SITEPROP TIEOFF_X39Y26 NUM_BELS 2 SITEPROP TIEOFF_X39Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y26 NUM_PINS 2 SITEPROP TIEOFF_X39Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y26 PROHIBIT 0 SITEPROP TIEOFF_X39Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y26 RPM_X 152 SITEPROP TIEOFF_X39Y26 RPM_Y 52 SITEPROP TIEOFF_X39Y26 SITE_PIPS SITEPROP TIEOFF_X39Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y27 CLASS site SITEPROP TIEOFF_X39Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y27 IS_BONDED 0 SITEPROP TIEOFF_X39Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y27 IS_PAD 0 SITEPROP TIEOFF_X39Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y27 IS_RESERVED 0 SITEPROP TIEOFF_X39Y27 IS_TEST 0 SITEPROP TIEOFF_X39Y27 IS_USED 0 SITEPROP TIEOFF_X39Y27 MANUAL_ROUTING SITEPROP TIEOFF_X39Y27 NAME TIEOFF_X39Y27 SITEPROP TIEOFF_X39Y27 NUM_ARCS 0 SITEPROP TIEOFF_X39Y27 NUM_BELS 2 SITEPROP TIEOFF_X39Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y27 NUM_PINS 2 SITEPROP TIEOFF_X39Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y27 PROHIBIT 0 SITEPROP TIEOFF_X39Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y27 RPM_X 152 SITEPROP TIEOFF_X39Y27 RPM_Y 54 SITEPROP TIEOFF_X39Y27 SITE_PIPS SITEPROP TIEOFF_X39Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y28 CLASS site SITEPROP TIEOFF_X39Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y28 IS_BONDED 0 SITEPROP TIEOFF_X39Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y28 IS_PAD 0 SITEPROP TIEOFF_X39Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y28 IS_RESERVED 0 SITEPROP TIEOFF_X39Y28 IS_TEST 0 SITEPROP TIEOFF_X39Y28 IS_USED 0 SITEPROP TIEOFF_X39Y28 MANUAL_ROUTING SITEPROP TIEOFF_X39Y28 NAME TIEOFF_X39Y28 SITEPROP TIEOFF_X39Y28 NUM_ARCS 0 SITEPROP TIEOFF_X39Y28 NUM_BELS 2 SITEPROP TIEOFF_X39Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y28 NUM_PINS 2 SITEPROP TIEOFF_X39Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y28 PROHIBIT 0 SITEPROP TIEOFF_X39Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y28 RPM_X 152 SITEPROP TIEOFF_X39Y28 RPM_Y 56 SITEPROP TIEOFF_X39Y28 SITE_PIPS SITEPROP TIEOFF_X39Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y29 CLASS site SITEPROP TIEOFF_X39Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y29 IS_BONDED 0 SITEPROP TIEOFF_X39Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y29 IS_PAD 0 SITEPROP TIEOFF_X39Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y29 IS_RESERVED 0 SITEPROP TIEOFF_X39Y29 IS_TEST 0 SITEPROP TIEOFF_X39Y29 IS_USED 0 SITEPROP TIEOFF_X39Y29 MANUAL_ROUTING SITEPROP TIEOFF_X39Y29 NAME TIEOFF_X39Y29 SITEPROP TIEOFF_X39Y29 NUM_ARCS 0 SITEPROP TIEOFF_X39Y29 NUM_BELS 2 SITEPROP TIEOFF_X39Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y29 NUM_PINS 2 SITEPROP TIEOFF_X39Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y29 PROHIBIT 0 SITEPROP TIEOFF_X39Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y29 RPM_X 152 SITEPROP TIEOFF_X39Y29 RPM_Y 58 SITEPROP TIEOFF_X39Y29 SITE_PIPS SITEPROP TIEOFF_X39Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y30 CLASS site SITEPROP TIEOFF_X39Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y30 IS_BONDED 0 SITEPROP TIEOFF_X39Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y30 IS_PAD 0 SITEPROP TIEOFF_X39Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y30 IS_RESERVED 0 SITEPROP TIEOFF_X39Y30 IS_TEST 0 SITEPROP TIEOFF_X39Y30 IS_USED 0 SITEPROP TIEOFF_X39Y30 MANUAL_ROUTING SITEPROP TIEOFF_X39Y30 NAME TIEOFF_X39Y30 SITEPROP TIEOFF_X39Y30 NUM_ARCS 0 SITEPROP TIEOFF_X39Y30 NUM_BELS 2 SITEPROP TIEOFF_X39Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y30 NUM_PINS 2 SITEPROP TIEOFF_X39Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y30 PROHIBIT 0 SITEPROP TIEOFF_X39Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y30 RPM_X 152 SITEPROP TIEOFF_X39Y30 RPM_Y 60 SITEPROP TIEOFF_X39Y30 SITE_PIPS SITEPROP TIEOFF_X39Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y31 CLASS site SITEPROP TIEOFF_X39Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y31 IS_BONDED 0 SITEPROP TIEOFF_X39Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y31 IS_PAD 0 SITEPROP TIEOFF_X39Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y31 IS_RESERVED 0 SITEPROP TIEOFF_X39Y31 IS_TEST 0 SITEPROP TIEOFF_X39Y31 IS_USED 0 SITEPROP TIEOFF_X39Y31 MANUAL_ROUTING SITEPROP TIEOFF_X39Y31 NAME TIEOFF_X39Y31 SITEPROP TIEOFF_X39Y31 NUM_ARCS 0 SITEPROP TIEOFF_X39Y31 NUM_BELS 2 SITEPROP TIEOFF_X39Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y31 NUM_PINS 2 SITEPROP TIEOFF_X39Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y31 PROHIBIT 0 SITEPROP TIEOFF_X39Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y31 RPM_X 152 SITEPROP TIEOFF_X39Y31 RPM_Y 62 SITEPROP TIEOFF_X39Y31 SITE_PIPS SITEPROP TIEOFF_X39Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y32 CLASS site SITEPROP TIEOFF_X39Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y32 IS_BONDED 0 SITEPROP TIEOFF_X39Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y32 IS_PAD 0 SITEPROP TIEOFF_X39Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y32 IS_RESERVED 0 SITEPROP TIEOFF_X39Y32 IS_TEST 0 SITEPROP TIEOFF_X39Y32 IS_USED 0 SITEPROP TIEOFF_X39Y32 MANUAL_ROUTING SITEPROP TIEOFF_X39Y32 NAME TIEOFF_X39Y32 SITEPROP TIEOFF_X39Y32 NUM_ARCS 0 SITEPROP TIEOFF_X39Y32 NUM_BELS 2 SITEPROP TIEOFF_X39Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y32 NUM_PINS 2 SITEPROP TIEOFF_X39Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y32 PROHIBIT 0 SITEPROP TIEOFF_X39Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y32 RPM_X 152 SITEPROP TIEOFF_X39Y32 RPM_Y 64 SITEPROP TIEOFF_X39Y32 SITE_PIPS SITEPROP TIEOFF_X39Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y33 CLASS site SITEPROP TIEOFF_X39Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y33 IS_BONDED 0 SITEPROP TIEOFF_X39Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y33 IS_PAD 0 SITEPROP TIEOFF_X39Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y33 IS_RESERVED 0 SITEPROP TIEOFF_X39Y33 IS_TEST 0 SITEPROP TIEOFF_X39Y33 IS_USED 0 SITEPROP TIEOFF_X39Y33 MANUAL_ROUTING SITEPROP TIEOFF_X39Y33 NAME TIEOFF_X39Y33 SITEPROP TIEOFF_X39Y33 NUM_ARCS 0 SITEPROP TIEOFF_X39Y33 NUM_BELS 2 SITEPROP TIEOFF_X39Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y33 NUM_PINS 2 SITEPROP TIEOFF_X39Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y33 PROHIBIT 0 SITEPROP TIEOFF_X39Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y33 RPM_X 152 SITEPROP TIEOFF_X39Y33 RPM_Y 66 SITEPROP TIEOFF_X39Y33 SITE_PIPS SITEPROP TIEOFF_X39Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y34 CLASS site SITEPROP TIEOFF_X39Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y34 IS_BONDED 0 SITEPROP TIEOFF_X39Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y34 IS_PAD 0 SITEPROP TIEOFF_X39Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y34 IS_RESERVED 0 SITEPROP TIEOFF_X39Y34 IS_TEST 0 SITEPROP TIEOFF_X39Y34 IS_USED 0 SITEPROP TIEOFF_X39Y34 MANUAL_ROUTING SITEPROP TIEOFF_X39Y34 NAME TIEOFF_X39Y34 SITEPROP TIEOFF_X39Y34 NUM_ARCS 0 SITEPROP TIEOFF_X39Y34 NUM_BELS 2 SITEPROP TIEOFF_X39Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y34 NUM_PINS 2 SITEPROP TIEOFF_X39Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y34 PROHIBIT 0 SITEPROP TIEOFF_X39Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y34 RPM_X 152 SITEPROP TIEOFF_X39Y34 RPM_Y 68 SITEPROP TIEOFF_X39Y34 SITE_PIPS SITEPROP TIEOFF_X39Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y35 CLASS site SITEPROP TIEOFF_X39Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y35 IS_BONDED 0 SITEPROP TIEOFF_X39Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y35 IS_PAD 0 SITEPROP TIEOFF_X39Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y35 IS_RESERVED 0 SITEPROP TIEOFF_X39Y35 IS_TEST 0 SITEPROP TIEOFF_X39Y35 IS_USED 0 SITEPROP TIEOFF_X39Y35 MANUAL_ROUTING SITEPROP TIEOFF_X39Y35 NAME TIEOFF_X39Y35 SITEPROP TIEOFF_X39Y35 NUM_ARCS 0 SITEPROP TIEOFF_X39Y35 NUM_BELS 2 SITEPROP TIEOFF_X39Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y35 NUM_PINS 2 SITEPROP TIEOFF_X39Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y35 PROHIBIT 0 SITEPROP TIEOFF_X39Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y35 RPM_X 152 SITEPROP TIEOFF_X39Y35 RPM_Y 70 SITEPROP TIEOFF_X39Y35 SITE_PIPS SITEPROP TIEOFF_X39Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y36 CLASS site SITEPROP TIEOFF_X39Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y36 IS_BONDED 0 SITEPROP TIEOFF_X39Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y36 IS_PAD 0 SITEPROP TIEOFF_X39Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y36 IS_RESERVED 0 SITEPROP TIEOFF_X39Y36 IS_TEST 0 SITEPROP TIEOFF_X39Y36 IS_USED 0 SITEPROP TIEOFF_X39Y36 MANUAL_ROUTING SITEPROP TIEOFF_X39Y36 NAME TIEOFF_X39Y36 SITEPROP TIEOFF_X39Y36 NUM_ARCS 0 SITEPROP TIEOFF_X39Y36 NUM_BELS 2 SITEPROP TIEOFF_X39Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y36 NUM_PINS 2 SITEPROP TIEOFF_X39Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y36 PROHIBIT 0 SITEPROP TIEOFF_X39Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y36 RPM_X 152 SITEPROP TIEOFF_X39Y36 RPM_Y 72 SITEPROP TIEOFF_X39Y36 SITE_PIPS SITEPROP TIEOFF_X39Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y37 CLASS site SITEPROP TIEOFF_X39Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y37 IS_BONDED 0 SITEPROP TIEOFF_X39Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y37 IS_PAD 0 SITEPROP TIEOFF_X39Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y37 IS_RESERVED 0 SITEPROP TIEOFF_X39Y37 IS_TEST 0 SITEPROP TIEOFF_X39Y37 IS_USED 0 SITEPROP TIEOFF_X39Y37 MANUAL_ROUTING SITEPROP TIEOFF_X39Y37 NAME TIEOFF_X39Y37 SITEPROP TIEOFF_X39Y37 NUM_ARCS 0 SITEPROP TIEOFF_X39Y37 NUM_BELS 2 SITEPROP TIEOFF_X39Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y37 NUM_PINS 2 SITEPROP TIEOFF_X39Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y37 PROHIBIT 0 SITEPROP TIEOFF_X39Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y37 RPM_X 152 SITEPROP TIEOFF_X39Y37 RPM_Y 74 SITEPROP TIEOFF_X39Y37 SITE_PIPS SITEPROP TIEOFF_X39Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y38 CLASS site SITEPROP TIEOFF_X39Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y38 IS_BONDED 0 SITEPROP TIEOFF_X39Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y38 IS_PAD 0 SITEPROP TIEOFF_X39Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y38 IS_RESERVED 0 SITEPROP TIEOFF_X39Y38 IS_TEST 0 SITEPROP TIEOFF_X39Y38 IS_USED 0 SITEPROP TIEOFF_X39Y38 MANUAL_ROUTING SITEPROP TIEOFF_X39Y38 NAME TIEOFF_X39Y38 SITEPROP TIEOFF_X39Y38 NUM_ARCS 0 SITEPROP TIEOFF_X39Y38 NUM_BELS 2 SITEPROP TIEOFF_X39Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y38 NUM_PINS 2 SITEPROP TIEOFF_X39Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y38 PROHIBIT 0 SITEPROP TIEOFF_X39Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y38 RPM_X 152 SITEPROP TIEOFF_X39Y38 RPM_Y 76 SITEPROP TIEOFF_X39Y38 SITE_PIPS SITEPROP TIEOFF_X39Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y39 CLASS site SITEPROP TIEOFF_X39Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y39 IS_BONDED 0 SITEPROP TIEOFF_X39Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y39 IS_PAD 0 SITEPROP TIEOFF_X39Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y39 IS_RESERVED 0 SITEPROP TIEOFF_X39Y39 IS_TEST 0 SITEPROP TIEOFF_X39Y39 IS_USED 0 SITEPROP TIEOFF_X39Y39 MANUAL_ROUTING SITEPROP TIEOFF_X39Y39 NAME TIEOFF_X39Y39 SITEPROP TIEOFF_X39Y39 NUM_ARCS 0 SITEPROP TIEOFF_X39Y39 NUM_BELS 2 SITEPROP TIEOFF_X39Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y39 NUM_PINS 2 SITEPROP TIEOFF_X39Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y39 PROHIBIT 0 SITEPROP TIEOFF_X39Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y39 RPM_X 152 SITEPROP TIEOFF_X39Y39 RPM_Y 78 SITEPROP TIEOFF_X39Y39 SITE_PIPS SITEPROP TIEOFF_X39Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y40 CLASS site SITEPROP TIEOFF_X39Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y40 IS_BONDED 0 SITEPROP TIEOFF_X39Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y40 IS_PAD 0 SITEPROP TIEOFF_X39Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y40 IS_RESERVED 0 SITEPROP TIEOFF_X39Y40 IS_TEST 0 SITEPROP TIEOFF_X39Y40 IS_USED 0 SITEPROP TIEOFF_X39Y40 MANUAL_ROUTING SITEPROP TIEOFF_X39Y40 NAME TIEOFF_X39Y40 SITEPROP TIEOFF_X39Y40 NUM_ARCS 0 SITEPROP TIEOFF_X39Y40 NUM_BELS 2 SITEPROP TIEOFF_X39Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y40 NUM_PINS 2 SITEPROP TIEOFF_X39Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y40 PROHIBIT 0 SITEPROP TIEOFF_X39Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y40 RPM_X 152 SITEPROP TIEOFF_X39Y40 RPM_Y 80 SITEPROP TIEOFF_X39Y40 SITE_PIPS SITEPROP TIEOFF_X39Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y41 CLASS site SITEPROP TIEOFF_X39Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y41 IS_BONDED 0 SITEPROP TIEOFF_X39Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y41 IS_PAD 0 SITEPROP TIEOFF_X39Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y41 IS_RESERVED 0 SITEPROP TIEOFF_X39Y41 IS_TEST 0 SITEPROP TIEOFF_X39Y41 IS_USED 0 SITEPROP TIEOFF_X39Y41 MANUAL_ROUTING SITEPROP TIEOFF_X39Y41 NAME TIEOFF_X39Y41 SITEPROP TIEOFF_X39Y41 NUM_ARCS 0 SITEPROP TIEOFF_X39Y41 NUM_BELS 2 SITEPROP TIEOFF_X39Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y41 NUM_PINS 2 SITEPROP TIEOFF_X39Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y41 PROHIBIT 0 SITEPROP TIEOFF_X39Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y41 RPM_X 152 SITEPROP TIEOFF_X39Y41 RPM_Y 82 SITEPROP TIEOFF_X39Y41 SITE_PIPS SITEPROP TIEOFF_X39Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y42 CLASS site SITEPROP TIEOFF_X39Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y42 IS_BONDED 0 SITEPROP TIEOFF_X39Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y42 IS_PAD 0 SITEPROP TIEOFF_X39Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y42 IS_RESERVED 0 SITEPROP TIEOFF_X39Y42 IS_TEST 0 SITEPROP TIEOFF_X39Y42 IS_USED 0 SITEPROP TIEOFF_X39Y42 MANUAL_ROUTING SITEPROP TIEOFF_X39Y42 NAME TIEOFF_X39Y42 SITEPROP TIEOFF_X39Y42 NUM_ARCS 0 SITEPROP TIEOFF_X39Y42 NUM_BELS 2 SITEPROP TIEOFF_X39Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y42 NUM_PINS 2 SITEPROP TIEOFF_X39Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y42 PROHIBIT 0 SITEPROP TIEOFF_X39Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y42 RPM_X 152 SITEPROP TIEOFF_X39Y42 RPM_Y 84 SITEPROP TIEOFF_X39Y42 SITE_PIPS SITEPROP TIEOFF_X39Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y43 CLASS site SITEPROP TIEOFF_X39Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y43 IS_BONDED 0 SITEPROP TIEOFF_X39Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y43 IS_PAD 0 SITEPROP TIEOFF_X39Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y43 IS_RESERVED 0 SITEPROP TIEOFF_X39Y43 IS_TEST 0 SITEPROP TIEOFF_X39Y43 IS_USED 0 SITEPROP TIEOFF_X39Y43 MANUAL_ROUTING SITEPROP TIEOFF_X39Y43 NAME TIEOFF_X39Y43 SITEPROP TIEOFF_X39Y43 NUM_ARCS 0 SITEPROP TIEOFF_X39Y43 NUM_BELS 2 SITEPROP TIEOFF_X39Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y43 NUM_PINS 2 SITEPROP TIEOFF_X39Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y43 PROHIBIT 0 SITEPROP TIEOFF_X39Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y43 RPM_X 152 SITEPROP TIEOFF_X39Y43 RPM_Y 86 SITEPROP TIEOFF_X39Y43 SITE_PIPS SITEPROP TIEOFF_X39Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y44 CLASS site SITEPROP TIEOFF_X39Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y44 IS_BONDED 0 SITEPROP TIEOFF_X39Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y44 IS_PAD 0 SITEPROP TIEOFF_X39Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y44 IS_RESERVED 0 SITEPROP TIEOFF_X39Y44 IS_TEST 0 SITEPROP TIEOFF_X39Y44 IS_USED 0 SITEPROP TIEOFF_X39Y44 MANUAL_ROUTING SITEPROP TIEOFF_X39Y44 NAME TIEOFF_X39Y44 SITEPROP TIEOFF_X39Y44 NUM_ARCS 0 SITEPROP TIEOFF_X39Y44 NUM_BELS 2 SITEPROP TIEOFF_X39Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y44 NUM_PINS 2 SITEPROP TIEOFF_X39Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y44 PROHIBIT 0 SITEPROP TIEOFF_X39Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y44 RPM_X 152 SITEPROP TIEOFF_X39Y44 RPM_Y 88 SITEPROP TIEOFF_X39Y44 SITE_PIPS SITEPROP TIEOFF_X39Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y45 CLASS site SITEPROP TIEOFF_X39Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y45 IS_BONDED 0 SITEPROP TIEOFF_X39Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y45 IS_PAD 0 SITEPROP TIEOFF_X39Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y45 IS_RESERVED 0 SITEPROP TIEOFF_X39Y45 IS_TEST 0 SITEPROP TIEOFF_X39Y45 IS_USED 0 SITEPROP TIEOFF_X39Y45 MANUAL_ROUTING SITEPROP TIEOFF_X39Y45 NAME TIEOFF_X39Y45 SITEPROP TIEOFF_X39Y45 NUM_ARCS 0 SITEPROP TIEOFF_X39Y45 NUM_BELS 2 SITEPROP TIEOFF_X39Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y45 NUM_PINS 2 SITEPROP TIEOFF_X39Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y45 PROHIBIT 0 SITEPROP TIEOFF_X39Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y45 RPM_X 152 SITEPROP TIEOFF_X39Y45 RPM_Y 90 SITEPROP TIEOFF_X39Y45 SITE_PIPS SITEPROP TIEOFF_X39Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y46 CLASS site SITEPROP TIEOFF_X39Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y46 IS_BONDED 0 SITEPROP TIEOFF_X39Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y46 IS_PAD 0 SITEPROP TIEOFF_X39Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y46 IS_RESERVED 0 SITEPROP TIEOFF_X39Y46 IS_TEST 0 SITEPROP TIEOFF_X39Y46 IS_USED 0 SITEPROP TIEOFF_X39Y46 MANUAL_ROUTING SITEPROP TIEOFF_X39Y46 NAME TIEOFF_X39Y46 SITEPROP TIEOFF_X39Y46 NUM_ARCS 0 SITEPROP TIEOFF_X39Y46 NUM_BELS 2 SITEPROP TIEOFF_X39Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y46 NUM_PINS 2 SITEPROP TIEOFF_X39Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y46 PROHIBIT 0 SITEPROP TIEOFF_X39Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y46 RPM_X 152 SITEPROP TIEOFF_X39Y46 RPM_Y 92 SITEPROP TIEOFF_X39Y46 SITE_PIPS SITEPROP TIEOFF_X39Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y47 CLASS site SITEPROP TIEOFF_X39Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y47 IS_BONDED 0 SITEPROP TIEOFF_X39Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y47 IS_PAD 0 SITEPROP TIEOFF_X39Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y47 IS_RESERVED 0 SITEPROP TIEOFF_X39Y47 IS_TEST 0 SITEPROP TIEOFF_X39Y47 IS_USED 0 SITEPROP TIEOFF_X39Y47 MANUAL_ROUTING SITEPROP TIEOFF_X39Y47 NAME TIEOFF_X39Y47 SITEPROP TIEOFF_X39Y47 NUM_ARCS 0 SITEPROP TIEOFF_X39Y47 NUM_BELS 2 SITEPROP TIEOFF_X39Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y47 NUM_PINS 2 SITEPROP TIEOFF_X39Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y47 PROHIBIT 0 SITEPROP TIEOFF_X39Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y47 RPM_X 152 SITEPROP TIEOFF_X39Y47 RPM_Y 94 SITEPROP TIEOFF_X39Y47 SITE_PIPS SITEPROP TIEOFF_X39Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y48 CLASS site SITEPROP TIEOFF_X39Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y48 IS_BONDED 0 SITEPROP TIEOFF_X39Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y48 IS_PAD 0 SITEPROP TIEOFF_X39Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y48 IS_RESERVED 0 SITEPROP TIEOFF_X39Y48 IS_TEST 0 SITEPROP TIEOFF_X39Y48 IS_USED 0 SITEPROP TIEOFF_X39Y48 MANUAL_ROUTING SITEPROP TIEOFF_X39Y48 NAME TIEOFF_X39Y48 SITEPROP TIEOFF_X39Y48 NUM_ARCS 0 SITEPROP TIEOFF_X39Y48 NUM_BELS 2 SITEPROP TIEOFF_X39Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y48 NUM_PINS 2 SITEPROP TIEOFF_X39Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y48 PROHIBIT 0 SITEPROP TIEOFF_X39Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y48 RPM_X 152 SITEPROP TIEOFF_X39Y48 RPM_Y 96 SITEPROP TIEOFF_X39Y48 SITE_PIPS SITEPROP TIEOFF_X39Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y49 CLASS site SITEPROP TIEOFF_X39Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X39Y49 IS_BONDED 0 SITEPROP TIEOFF_X39Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y49 IS_PAD 0 SITEPROP TIEOFF_X39Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y49 IS_RESERVED 0 SITEPROP TIEOFF_X39Y49 IS_TEST 0 SITEPROP TIEOFF_X39Y49 IS_USED 0 SITEPROP TIEOFF_X39Y49 MANUAL_ROUTING SITEPROP TIEOFF_X39Y49 NAME TIEOFF_X39Y49 SITEPROP TIEOFF_X39Y49 NUM_ARCS 0 SITEPROP TIEOFF_X39Y49 NUM_BELS 2 SITEPROP TIEOFF_X39Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y49 NUM_PINS 2 SITEPROP TIEOFF_X39Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y49 PROHIBIT 0 SITEPROP TIEOFF_X39Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y49 RPM_X 152 SITEPROP TIEOFF_X39Y49 RPM_Y 98 SITEPROP TIEOFF_X39Y49 SITE_PIPS SITEPROP TIEOFF_X39Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y50 CLASS site SITEPROP TIEOFF_X39Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y50 IS_BONDED 0 SITEPROP TIEOFF_X39Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y50 IS_PAD 0 SITEPROP TIEOFF_X39Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y50 IS_RESERVED 0 SITEPROP TIEOFF_X39Y50 IS_TEST 0 SITEPROP TIEOFF_X39Y50 IS_USED 0 SITEPROP TIEOFF_X39Y50 MANUAL_ROUTING SITEPROP TIEOFF_X39Y50 NAME TIEOFF_X39Y50 SITEPROP TIEOFF_X39Y50 NUM_ARCS 0 SITEPROP TIEOFF_X39Y50 NUM_BELS 2 SITEPROP TIEOFF_X39Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y50 NUM_PINS 2 SITEPROP TIEOFF_X39Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y50 PROHIBIT 0 SITEPROP TIEOFF_X39Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y50 RPM_X 152 SITEPROP TIEOFF_X39Y50 RPM_Y 100 SITEPROP TIEOFF_X39Y50 SITE_PIPS SITEPROP TIEOFF_X39Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y51 CLASS site SITEPROP TIEOFF_X39Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y51 IS_BONDED 0 SITEPROP TIEOFF_X39Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y51 IS_PAD 0 SITEPROP TIEOFF_X39Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y51 IS_RESERVED 0 SITEPROP TIEOFF_X39Y51 IS_TEST 0 SITEPROP TIEOFF_X39Y51 IS_USED 0 SITEPROP TIEOFF_X39Y51 MANUAL_ROUTING SITEPROP TIEOFF_X39Y51 NAME TIEOFF_X39Y51 SITEPROP TIEOFF_X39Y51 NUM_ARCS 0 SITEPROP TIEOFF_X39Y51 NUM_BELS 2 SITEPROP TIEOFF_X39Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y51 NUM_PINS 2 SITEPROP TIEOFF_X39Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y51 PROHIBIT 0 SITEPROP TIEOFF_X39Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y51 RPM_X 152 SITEPROP TIEOFF_X39Y51 RPM_Y 102 SITEPROP TIEOFF_X39Y51 SITE_PIPS SITEPROP TIEOFF_X39Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y52 CLASS site SITEPROP TIEOFF_X39Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y52 IS_BONDED 0 SITEPROP TIEOFF_X39Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y52 IS_PAD 0 SITEPROP TIEOFF_X39Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y52 IS_RESERVED 0 SITEPROP TIEOFF_X39Y52 IS_TEST 0 SITEPROP TIEOFF_X39Y52 IS_USED 0 SITEPROP TIEOFF_X39Y52 MANUAL_ROUTING SITEPROP TIEOFF_X39Y52 NAME TIEOFF_X39Y52 SITEPROP TIEOFF_X39Y52 NUM_ARCS 0 SITEPROP TIEOFF_X39Y52 NUM_BELS 2 SITEPROP TIEOFF_X39Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y52 NUM_PINS 2 SITEPROP TIEOFF_X39Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y52 PROHIBIT 0 SITEPROP TIEOFF_X39Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y52 RPM_X 152 SITEPROP TIEOFF_X39Y52 RPM_Y 104 SITEPROP TIEOFF_X39Y52 SITE_PIPS SITEPROP TIEOFF_X39Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y53 CLASS site SITEPROP TIEOFF_X39Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y53 IS_BONDED 0 SITEPROP TIEOFF_X39Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y53 IS_PAD 0 SITEPROP TIEOFF_X39Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y53 IS_RESERVED 0 SITEPROP TIEOFF_X39Y53 IS_TEST 0 SITEPROP TIEOFF_X39Y53 IS_USED 0 SITEPROP TIEOFF_X39Y53 MANUAL_ROUTING SITEPROP TIEOFF_X39Y53 NAME TIEOFF_X39Y53 SITEPROP TIEOFF_X39Y53 NUM_ARCS 0 SITEPROP TIEOFF_X39Y53 NUM_BELS 2 SITEPROP TIEOFF_X39Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y53 NUM_PINS 2 SITEPROP TIEOFF_X39Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y53 PROHIBIT 0 SITEPROP TIEOFF_X39Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y53 RPM_X 152 SITEPROP TIEOFF_X39Y53 RPM_Y 106 SITEPROP TIEOFF_X39Y53 SITE_PIPS SITEPROP TIEOFF_X39Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y54 CLASS site SITEPROP TIEOFF_X39Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y54 IS_BONDED 0 SITEPROP TIEOFF_X39Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y54 IS_PAD 0 SITEPROP TIEOFF_X39Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y54 IS_RESERVED 0 SITEPROP TIEOFF_X39Y54 IS_TEST 0 SITEPROP TIEOFF_X39Y54 IS_USED 0 SITEPROP TIEOFF_X39Y54 MANUAL_ROUTING SITEPROP TIEOFF_X39Y54 NAME TIEOFF_X39Y54 SITEPROP TIEOFF_X39Y54 NUM_ARCS 0 SITEPROP TIEOFF_X39Y54 NUM_BELS 2 SITEPROP TIEOFF_X39Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y54 NUM_PINS 2 SITEPROP TIEOFF_X39Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y54 PROHIBIT 0 SITEPROP TIEOFF_X39Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y54 RPM_X 152 SITEPROP TIEOFF_X39Y54 RPM_Y 108 SITEPROP TIEOFF_X39Y54 SITE_PIPS SITEPROP TIEOFF_X39Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y55 CLASS site SITEPROP TIEOFF_X39Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y55 IS_BONDED 0 SITEPROP TIEOFF_X39Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y55 IS_PAD 0 SITEPROP TIEOFF_X39Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y55 IS_RESERVED 0 SITEPROP TIEOFF_X39Y55 IS_TEST 0 SITEPROP TIEOFF_X39Y55 IS_USED 0 SITEPROP TIEOFF_X39Y55 MANUAL_ROUTING SITEPROP TIEOFF_X39Y55 NAME TIEOFF_X39Y55 SITEPROP TIEOFF_X39Y55 NUM_ARCS 0 SITEPROP TIEOFF_X39Y55 NUM_BELS 2 SITEPROP TIEOFF_X39Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y55 NUM_PINS 2 SITEPROP TIEOFF_X39Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y55 PROHIBIT 0 SITEPROP TIEOFF_X39Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y55 RPM_X 152 SITEPROP TIEOFF_X39Y55 RPM_Y 110 SITEPROP TIEOFF_X39Y55 SITE_PIPS SITEPROP TIEOFF_X39Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y56 CLASS site SITEPROP TIEOFF_X39Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y56 IS_BONDED 0 SITEPROP TIEOFF_X39Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y56 IS_PAD 0 SITEPROP TIEOFF_X39Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y56 IS_RESERVED 0 SITEPROP TIEOFF_X39Y56 IS_TEST 0 SITEPROP TIEOFF_X39Y56 IS_USED 0 SITEPROP TIEOFF_X39Y56 MANUAL_ROUTING SITEPROP TIEOFF_X39Y56 NAME TIEOFF_X39Y56 SITEPROP TIEOFF_X39Y56 NUM_ARCS 0 SITEPROP TIEOFF_X39Y56 NUM_BELS 2 SITEPROP TIEOFF_X39Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y56 NUM_PINS 2 SITEPROP TIEOFF_X39Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y56 PROHIBIT 0 SITEPROP TIEOFF_X39Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y56 RPM_X 152 SITEPROP TIEOFF_X39Y56 RPM_Y 112 SITEPROP TIEOFF_X39Y56 SITE_PIPS SITEPROP TIEOFF_X39Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y57 CLASS site SITEPROP TIEOFF_X39Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y57 IS_BONDED 0 SITEPROP TIEOFF_X39Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y57 IS_PAD 0 SITEPROP TIEOFF_X39Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y57 IS_RESERVED 0 SITEPROP TIEOFF_X39Y57 IS_TEST 0 SITEPROP TIEOFF_X39Y57 IS_USED 0 SITEPROP TIEOFF_X39Y57 MANUAL_ROUTING SITEPROP TIEOFF_X39Y57 NAME TIEOFF_X39Y57 SITEPROP TIEOFF_X39Y57 NUM_ARCS 0 SITEPROP TIEOFF_X39Y57 NUM_BELS 2 SITEPROP TIEOFF_X39Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y57 NUM_PINS 2 SITEPROP TIEOFF_X39Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y57 PROHIBIT 0 SITEPROP TIEOFF_X39Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y57 RPM_X 152 SITEPROP TIEOFF_X39Y57 RPM_Y 114 SITEPROP TIEOFF_X39Y57 SITE_PIPS SITEPROP TIEOFF_X39Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y58 CLASS site SITEPROP TIEOFF_X39Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y58 IS_BONDED 0 SITEPROP TIEOFF_X39Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y58 IS_PAD 0 SITEPROP TIEOFF_X39Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y58 IS_RESERVED 0 SITEPROP TIEOFF_X39Y58 IS_TEST 0 SITEPROP TIEOFF_X39Y58 IS_USED 0 SITEPROP TIEOFF_X39Y58 MANUAL_ROUTING SITEPROP TIEOFF_X39Y58 NAME TIEOFF_X39Y58 SITEPROP TIEOFF_X39Y58 NUM_ARCS 0 SITEPROP TIEOFF_X39Y58 NUM_BELS 2 SITEPROP TIEOFF_X39Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y58 NUM_PINS 2 SITEPROP TIEOFF_X39Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y58 PROHIBIT 0 SITEPROP TIEOFF_X39Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y58 RPM_X 152 SITEPROP TIEOFF_X39Y58 RPM_Y 116 SITEPROP TIEOFF_X39Y58 SITE_PIPS SITEPROP TIEOFF_X39Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y59 CLASS site SITEPROP TIEOFF_X39Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y59 IS_BONDED 0 SITEPROP TIEOFF_X39Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y59 IS_PAD 0 SITEPROP TIEOFF_X39Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y59 IS_RESERVED 0 SITEPROP TIEOFF_X39Y59 IS_TEST 0 SITEPROP TIEOFF_X39Y59 IS_USED 0 SITEPROP TIEOFF_X39Y59 MANUAL_ROUTING SITEPROP TIEOFF_X39Y59 NAME TIEOFF_X39Y59 SITEPROP TIEOFF_X39Y59 NUM_ARCS 0 SITEPROP TIEOFF_X39Y59 NUM_BELS 2 SITEPROP TIEOFF_X39Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y59 NUM_PINS 2 SITEPROP TIEOFF_X39Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y59 PROHIBIT 0 SITEPROP TIEOFF_X39Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y59 RPM_X 152 SITEPROP TIEOFF_X39Y59 RPM_Y 118 SITEPROP TIEOFF_X39Y59 SITE_PIPS SITEPROP TIEOFF_X39Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y60 CLASS site SITEPROP TIEOFF_X39Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y60 IS_BONDED 0 SITEPROP TIEOFF_X39Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y60 IS_PAD 0 SITEPROP TIEOFF_X39Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y60 IS_RESERVED 0 SITEPROP TIEOFF_X39Y60 IS_TEST 0 SITEPROP TIEOFF_X39Y60 IS_USED 0 SITEPROP TIEOFF_X39Y60 MANUAL_ROUTING SITEPROP TIEOFF_X39Y60 NAME TIEOFF_X39Y60 SITEPROP TIEOFF_X39Y60 NUM_ARCS 0 SITEPROP TIEOFF_X39Y60 NUM_BELS 2 SITEPROP TIEOFF_X39Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y60 NUM_PINS 2 SITEPROP TIEOFF_X39Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y60 PROHIBIT 0 SITEPROP TIEOFF_X39Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y60 RPM_X 152 SITEPROP TIEOFF_X39Y60 RPM_Y 120 SITEPROP TIEOFF_X39Y60 SITE_PIPS SITEPROP TIEOFF_X39Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y61 CLASS site SITEPROP TIEOFF_X39Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y61 IS_BONDED 0 SITEPROP TIEOFF_X39Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y61 IS_PAD 0 SITEPROP TIEOFF_X39Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y61 IS_RESERVED 0 SITEPROP TIEOFF_X39Y61 IS_TEST 0 SITEPROP TIEOFF_X39Y61 IS_USED 0 SITEPROP TIEOFF_X39Y61 MANUAL_ROUTING SITEPROP TIEOFF_X39Y61 NAME TIEOFF_X39Y61 SITEPROP TIEOFF_X39Y61 NUM_ARCS 0 SITEPROP TIEOFF_X39Y61 NUM_BELS 2 SITEPROP TIEOFF_X39Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y61 NUM_PINS 2 SITEPROP TIEOFF_X39Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y61 PROHIBIT 0 SITEPROP TIEOFF_X39Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y61 RPM_X 152 SITEPROP TIEOFF_X39Y61 RPM_Y 122 SITEPROP TIEOFF_X39Y61 SITE_PIPS SITEPROP TIEOFF_X39Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y62 CLASS site SITEPROP TIEOFF_X39Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y62 IS_BONDED 0 SITEPROP TIEOFF_X39Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y62 IS_PAD 0 SITEPROP TIEOFF_X39Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y62 IS_RESERVED 0 SITEPROP TIEOFF_X39Y62 IS_TEST 0 SITEPROP TIEOFF_X39Y62 IS_USED 0 SITEPROP TIEOFF_X39Y62 MANUAL_ROUTING SITEPROP TIEOFF_X39Y62 NAME TIEOFF_X39Y62 SITEPROP TIEOFF_X39Y62 NUM_ARCS 0 SITEPROP TIEOFF_X39Y62 NUM_BELS 2 SITEPROP TIEOFF_X39Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y62 NUM_PINS 2 SITEPROP TIEOFF_X39Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y62 PROHIBIT 0 SITEPROP TIEOFF_X39Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y62 RPM_X 152 SITEPROP TIEOFF_X39Y62 RPM_Y 124 SITEPROP TIEOFF_X39Y62 SITE_PIPS SITEPROP TIEOFF_X39Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y63 CLASS site SITEPROP TIEOFF_X39Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y63 IS_BONDED 0 SITEPROP TIEOFF_X39Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y63 IS_PAD 0 SITEPROP TIEOFF_X39Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y63 IS_RESERVED 0 SITEPROP TIEOFF_X39Y63 IS_TEST 0 SITEPROP TIEOFF_X39Y63 IS_USED 0 SITEPROP TIEOFF_X39Y63 MANUAL_ROUTING SITEPROP TIEOFF_X39Y63 NAME TIEOFF_X39Y63 SITEPROP TIEOFF_X39Y63 NUM_ARCS 0 SITEPROP TIEOFF_X39Y63 NUM_BELS 2 SITEPROP TIEOFF_X39Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y63 NUM_PINS 2 SITEPROP TIEOFF_X39Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y63 PROHIBIT 0 SITEPROP TIEOFF_X39Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y63 RPM_X 152 SITEPROP TIEOFF_X39Y63 RPM_Y 126 SITEPROP TIEOFF_X39Y63 SITE_PIPS SITEPROP TIEOFF_X39Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y64 CLASS site SITEPROP TIEOFF_X39Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y64 IS_BONDED 0 SITEPROP TIEOFF_X39Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y64 IS_PAD 0 SITEPROP TIEOFF_X39Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y64 IS_RESERVED 0 SITEPROP TIEOFF_X39Y64 IS_TEST 0 SITEPROP TIEOFF_X39Y64 IS_USED 0 SITEPROP TIEOFF_X39Y64 MANUAL_ROUTING SITEPROP TIEOFF_X39Y64 NAME TIEOFF_X39Y64 SITEPROP TIEOFF_X39Y64 NUM_ARCS 0 SITEPROP TIEOFF_X39Y64 NUM_BELS 2 SITEPROP TIEOFF_X39Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y64 NUM_PINS 2 SITEPROP TIEOFF_X39Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y64 PROHIBIT 0 SITEPROP TIEOFF_X39Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y64 RPM_X 152 SITEPROP TIEOFF_X39Y64 RPM_Y 128 SITEPROP TIEOFF_X39Y64 SITE_PIPS SITEPROP TIEOFF_X39Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y65 CLASS site SITEPROP TIEOFF_X39Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y65 IS_BONDED 0 SITEPROP TIEOFF_X39Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y65 IS_PAD 0 SITEPROP TIEOFF_X39Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y65 IS_RESERVED 0 SITEPROP TIEOFF_X39Y65 IS_TEST 0 SITEPROP TIEOFF_X39Y65 IS_USED 0 SITEPROP TIEOFF_X39Y65 MANUAL_ROUTING SITEPROP TIEOFF_X39Y65 NAME TIEOFF_X39Y65 SITEPROP TIEOFF_X39Y65 NUM_ARCS 0 SITEPROP TIEOFF_X39Y65 NUM_BELS 2 SITEPROP TIEOFF_X39Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y65 NUM_PINS 2 SITEPROP TIEOFF_X39Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y65 PROHIBIT 0 SITEPROP TIEOFF_X39Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y65 RPM_X 152 SITEPROP TIEOFF_X39Y65 RPM_Y 130 SITEPROP TIEOFF_X39Y65 SITE_PIPS SITEPROP TIEOFF_X39Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y66 CLASS site SITEPROP TIEOFF_X39Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y66 IS_BONDED 0 SITEPROP TIEOFF_X39Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y66 IS_PAD 0 SITEPROP TIEOFF_X39Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y66 IS_RESERVED 0 SITEPROP TIEOFF_X39Y66 IS_TEST 0 SITEPROP TIEOFF_X39Y66 IS_USED 0 SITEPROP TIEOFF_X39Y66 MANUAL_ROUTING SITEPROP TIEOFF_X39Y66 NAME TIEOFF_X39Y66 SITEPROP TIEOFF_X39Y66 NUM_ARCS 0 SITEPROP TIEOFF_X39Y66 NUM_BELS 2 SITEPROP TIEOFF_X39Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y66 NUM_PINS 2 SITEPROP TIEOFF_X39Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y66 PROHIBIT 0 SITEPROP TIEOFF_X39Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y66 RPM_X 152 SITEPROP TIEOFF_X39Y66 RPM_Y 132 SITEPROP TIEOFF_X39Y66 SITE_PIPS SITEPROP TIEOFF_X39Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y67 CLASS site SITEPROP TIEOFF_X39Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y67 IS_BONDED 0 SITEPROP TIEOFF_X39Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y67 IS_PAD 0 SITEPROP TIEOFF_X39Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y67 IS_RESERVED 0 SITEPROP TIEOFF_X39Y67 IS_TEST 0 SITEPROP TIEOFF_X39Y67 IS_USED 0 SITEPROP TIEOFF_X39Y67 MANUAL_ROUTING SITEPROP TIEOFF_X39Y67 NAME TIEOFF_X39Y67 SITEPROP TIEOFF_X39Y67 NUM_ARCS 0 SITEPROP TIEOFF_X39Y67 NUM_BELS 2 SITEPROP TIEOFF_X39Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y67 NUM_PINS 2 SITEPROP TIEOFF_X39Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y67 PROHIBIT 0 SITEPROP TIEOFF_X39Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y67 RPM_X 152 SITEPROP TIEOFF_X39Y67 RPM_Y 134 SITEPROP TIEOFF_X39Y67 SITE_PIPS SITEPROP TIEOFF_X39Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y68 CLASS site SITEPROP TIEOFF_X39Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y68 IS_BONDED 0 SITEPROP TIEOFF_X39Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y68 IS_PAD 0 SITEPROP TIEOFF_X39Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y68 IS_RESERVED 0 SITEPROP TIEOFF_X39Y68 IS_TEST 0 SITEPROP TIEOFF_X39Y68 IS_USED 0 SITEPROP TIEOFF_X39Y68 MANUAL_ROUTING SITEPROP TIEOFF_X39Y68 NAME TIEOFF_X39Y68 SITEPROP TIEOFF_X39Y68 NUM_ARCS 0 SITEPROP TIEOFF_X39Y68 NUM_BELS 2 SITEPROP TIEOFF_X39Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y68 NUM_PINS 2 SITEPROP TIEOFF_X39Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y68 PROHIBIT 0 SITEPROP TIEOFF_X39Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y68 RPM_X 152 SITEPROP TIEOFF_X39Y68 RPM_Y 136 SITEPROP TIEOFF_X39Y68 SITE_PIPS SITEPROP TIEOFF_X39Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y69 CLASS site SITEPROP TIEOFF_X39Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y69 IS_BONDED 0 SITEPROP TIEOFF_X39Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y69 IS_PAD 0 SITEPROP TIEOFF_X39Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y69 IS_RESERVED 0 SITEPROP TIEOFF_X39Y69 IS_TEST 0 SITEPROP TIEOFF_X39Y69 IS_USED 0 SITEPROP TIEOFF_X39Y69 MANUAL_ROUTING SITEPROP TIEOFF_X39Y69 NAME TIEOFF_X39Y69 SITEPROP TIEOFF_X39Y69 NUM_ARCS 0 SITEPROP TIEOFF_X39Y69 NUM_BELS 2 SITEPROP TIEOFF_X39Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y69 NUM_PINS 2 SITEPROP TIEOFF_X39Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y69 PROHIBIT 0 SITEPROP TIEOFF_X39Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y69 RPM_X 152 SITEPROP TIEOFF_X39Y69 RPM_Y 138 SITEPROP TIEOFF_X39Y69 SITE_PIPS SITEPROP TIEOFF_X39Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y70 CLASS site SITEPROP TIEOFF_X39Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y70 IS_BONDED 0 SITEPROP TIEOFF_X39Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y70 IS_PAD 0 SITEPROP TIEOFF_X39Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y70 IS_RESERVED 0 SITEPROP TIEOFF_X39Y70 IS_TEST 0 SITEPROP TIEOFF_X39Y70 IS_USED 0 SITEPROP TIEOFF_X39Y70 MANUAL_ROUTING SITEPROP TIEOFF_X39Y70 NAME TIEOFF_X39Y70 SITEPROP TIEOFF_X39Y70 NUM_ARCS 0 SITEPROP TIEOFF_X39Y70 NUM_BELS 2 SITEPROP TIEOFF_X39Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y70 NUM_PINS 2 SITEPROP TIEOFF_X39Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y70 PROHIBIT 0 SITEPROP TIEOFF_X39Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y70 RPM_X 152 SITEPROP TIEOFF_X39Y70 RPM_Y 140 SITEPROP TIEOFF_X39Y70 SITE_PIPS SITEPROP TIEOFF_X39Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y71 CLASS site SITEPROP TIEOFF_X39Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y71 IS_BONDED 0 SITEPROP TIEOFF_X39Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y71 IS_PAD 0 SITEPROP TIEOFF_X39Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y71 IS_RESERVED 0 SITEPROP TIEOFF_X39Y71 IS_TEST 0 SITEPROP TIEOFF_X39Y71 IS_USED 0 SITEPROP TIEOFF_X39Y71 MANUAL_ROUTING SITEPROP TIEOFF_X39Y71 NAME TIEOFF_X39Y71 SITEPROP TIEOFF_X39Y71 NUM_ARCS 0 SITEPROP TIEOFF_X39Y71 NUM_BELS 2 SITEPROP TIEOFF_X39Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y71 NUM_PINS 2 SITEPROP TIEOFF_X39Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y71 PROHIBIT 0 SITEPROP TIEOFF_X39Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y71 RPM_X 152 SITEPROP TIEOFF_X39Y71 RPM_Y 142 SITEPROP TIEOFF_X39Y71 SITE_PIPS SITEPROP TIEOFF_X39Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y72 CLASS site SITEPROP TIEOFF_X39Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y72 IS_BONDED 0 SITEPROP TIEOFF_X39Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y72 IS_PAD 0 SITEPROP TIEOFF_X39Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y72 IS_RESERVED 0 SITEPROP TIEOFF_X39Y72 IS_TEST 0 SITEPROP TIEOFF_X39Y72 IS_USED 0 SITEPROP TIEOFF_X39Y72 MANUAL_ROUTING SITEPROP TIEOFF_X39Y72 NAME TIEOFF_X39Y72 SITEPROP TIEOFF_X39Y72 NUM_ARCS 0 SITEPROP TIEOFF_X39Y72 NUM_BELS 2 SITEPROP TIEOFF_X39Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y72 NUM_PINS 2 SITEPROP TIEOFF_X39Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y72 PROHIBIT 0 SITEPROP TIEOFF_X39Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y72 RPM_X 152 SITEPROP TIEOFF_X39Y72 RPM_Y 144 SITEPROP TIEOFF_X39Y72 SITE_PIPS SITEPROP TIEOFF_X39Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y73 CLASS site SITEPROP TIEOFF_X39Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y73 IS_BONDED 0 SITEPROP TIEOFF_X39Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y73 IS_PAD 0 SITEPROP TIEOFF_X39Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y73 IS_RESERVED 0 SITEPROP TIEOFF_X39Y73 IS_TEST 0 SITEPROP TIEOFF_X39Y73 IS_USED 0 SITEPROP TIEOFF_X39Y73 MANUAL_ROUTING SITEPROP TIEOFF_X39Y73 NAME TIEOFF_X39Y73 SITEPROP TIEOFF_X39Y73 NUM_ARCS 0 SITEPROP TIEOFF_X39Y73 NUM_BELS 2 SITEPROP TIEOFF_X39Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y73 NUM_PINS 2 SITEPROP TIEOFF_X39Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y73 PROHIBIT 0 SITEPROP TIEOFF_X39Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y73 RPM_X 152 SITEPROP TIEOFF_X39Y73 RPM_Y 146 SITEPROP TIEOFF_X39Y73 SITE_PIPS SITEPROP TIEOFF_X39Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y74 CLASS site SITEPROP TIEOFF_X39Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y74 IS_BONDED 0 SITEPROP TIEOFF_X39Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y74 IS_PAD 0 SITEPROP TIEOFF_X39Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y74 IS_RESERVED 0 SITEPROP TIEOFF_X39Y74 IS_TEST 0 SITEPROP TIEOFF_X39Y74 IS_USED 0 SITEPROP TIEOFF_X39Y74 MANUAL_ROUTING SITEPROP TIEOFF_X39Y74 NAME TIEOFF_X39Y74 SITEPROP TIEOFF_X39Y74 NUM_ARCS 0 SITEPROP TIEOFF_X39Y74 NUM_BELS 2 SITEPROP TIEOFF_X39Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y74 NUM_PINS 2 SITEPROP TIEOFF_X39Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y74 PROHIBIT 0 SITEPROP TIEOFF_X39Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y74 RPM_X 152 SITEPROP TIEOFF_X39Y74 RPM_Y 148 SITEPROP TIEOFF_X39Y74 SITE_PIPS SITEPROP TIEOFF_X39Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y75 CLASS site SITEPROP TIEOFF_X39Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y75 IS_BONDED 0 SITEPROP TIEOFF_X39Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y75 IS_PAD 0 SITEPROP TIEOFF_X39Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y75 IS_RESERVED 0 SITEPROP TIEOFF_X39Y75 IS_TEST 0 SITEPROP TIEOFF_X39Y75 IS_USED 0 SITEPROP TIEOFF_X39Y75 MANUAL_ROUTING SITEPROP TIEOFF_X39Y75 NAME TIEOFF_X39Y75 SITEPROP TIEOFF_X39Y75 NUM_ARCS 0 SITEPROP TIEOFF_X39Y75 NUM_BELS 2 SITEPROP TIEOFF_X39Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y75 NUM_PINS 2 SITEPROP TIEOFF_X39Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y75 PROHIBIT 0 SITEPROP TIEOFF_X39Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y75 RPM_X 152 SITEPROP TIEOFF_X39Y75 RPM_Y 150 SITEPROP TIEOFF_X39Y75 SITE_PIPS SITEPROP TIEOFF_X39Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y76 CLASS site SITEPROP TIEOFF_X39Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y76 IS_BONDED 0 SITEPROP TIEOFF_X39Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y76 IS_PAD 0 SITEPROP TIEOFF_X39Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y76 IS_RESERVED 0 SITEPROP TIEOFF_X39Y76 IS_TEST 0 SITEPROP TIEOFF_X39Y76 IS_USED 0 SITEPROP TIEOFF_X39Y76 MANUAL_ROUTING SITEPROP TIEOFF_X39Y76 NAME TIEOFF_X39Y76 SITEPROP TIEOFF_X39Y76 NUM_ARCS 0 SITEPROP TIEOFF_X39Y76 NUM_BELS 2 SITEPROP TIEOFF_X39Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y76 NUM_PINS 2 SITEPROP TIEOFF_X39Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y76 PROHIBIT 0 SITEPROP TIEOFF_X39Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y76 RPM_X 152 SITEPROP TIEOFF_X39Y76 RPM_Y 152 SITEPROP TIEOFF_X39Y76 SITE_PIPS SITEPROP TIEOFF_X39Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y77 CLASS site SITEPROP TIEOFF_X39Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y77 IS_BONDED 0 SITEPROP TIEOFF_X39Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y77 IS_PAD 0 SITEPROP TIEOFF_X39Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y77 IS_RESERVED 0 SITEPROP TIEOFF_X39Y77 IS_TEST 0 SITEPROP TIEOFF_X39Y77 IS_USED 0 SITEPROP TIEOFF_X39Y77 MANUAL_ROUTING SITEPROP TIEOFF_X39Y77 NAME TIEOFF_X39Y77 SITEPROP TIEOFF_X39Y77 NUM_ARCS 0 SITEPROP TIEOFF_X39Y77 NUM_BELS 2 SITEPROP TIEOFF_X39Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y77 NUM_PINS 2 SITEPROP TIEOFF_X39Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y77 PROHIBIT 0 SITEPROP TIEOFF_X39Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y77 RPM_X 152 SITEPROP TIEOFF_X39Y77 RPM_Y 154 SITEPROP TIEOFF_X39Y77 SITE_PIPS SITEPROP TIEOFF_X39Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y78 CLASS site SITEPROP TIEOFF_X39Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y78 IS_BONDED 0 SITEPROP TIEOFF_X39Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y78 IS_PAD 0 SITEPROP TIEOFF_X39Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y78 IS_RESERVED 0 SITEPROP TIEOFF_X39Y78 IS_TEST 0 SITEPROP TIEOFF_X39Y78 IS_USED 0 SITEPROP TIEOFF_X39Y78 MANUAL_ROUTING SITEPROP TIEOFF_X39Y78 NAME TIEOFF_X39Y78 SITEPROP TIEOFF_X39Y78 NUM_ARCS 0 SITEPROP TIEOFF_X39Y78 NUM_BELS 2 SITEPROP TIEOFF_X39Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y78 NUM_PINS 2 SITEPROP TIEOFF_X39Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y78 PROHIBIT 0 SITEPROP TIEOFF_X39Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y78 RPM_X 152 SITEPROP TIEOFF_X39Y78 RPM_Y 156 SITEPROP TIEOFF_X39Y78 SITE_PIPS SITEPROP TIEOFF_X39Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y79 CLASS site SITEPROP TIEOFF_X39Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y79 IS_BONDED 0 SITEPROP TIEOFF_X39Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y79 IS_PAD 0 SITEPROP TIEOFF_X39Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y79 IS_RESERVED 0 SITEPROP TIEOFF_X39Y79 IS_TEST 0 SITEPROP TIEOFF_X39Y79 IS_USED 0 SITEPROP TIEOFF_X39Y79 MANUAL_ROUTING SITEPROP TIEOFF_X39Y79 NAME TIEOFF_X39Y79 SITEPROP TIEOFF_X39Y79 NUM_ARCS 0 SITEPROP TIEOFF_X39Y79 NUM_BELS 2 SITEPROP TIEOFF_X39Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y79 NUM_PINS 2 SITEPROP TIEOFF_X39Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y79 PROHIBIT 0 SITEPROP TIEOFF_X39Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y79 RPM_X 152 SITEPROP TIEOFF_X39Y79 RPM_Y 158 SITEPROP TIEOFF_X39Y79 SITE_PIPS SITEPROP TIEOFF_X39Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y80 CLASS site SITEPROP TIEOFF_X39Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y80 IS_BONDED 0 SITEPROP TIEOFF_X39Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y80 IS_PAD 0 SITEPROP TIEOFF_X39Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y80 IS_RESERVED 0 SITEPROP TIEOFF_X39Y80 IS_TEST 0 SITEPROP TIEOFF_X39Y80 IS_USED 0 SITEPROP TIEOFF_X39Y80 MANUAL_ROUTING SITEPROP TIEOFF_X39Y80 NAME TIEOFF_X39Y80 SITEPROP TIEOFF_X39Y80 NUM_ARCS 0 SITEPROP TIEOFF_X39Y80 NUM_BELS 2 SITEPROP TIEOFF_X39Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y80 NUM_PINS 2 SITEPROP TIEOFF_X39Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y80 PROHIBIT 0 SITEPROP TIEOFF_X39Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y80 RPM_X 152 SITEPROP TIEOFF_X39Y80 RPM_Y 160 SITEPROP TIEOFF_X39Y80 SITE_PIPS SITEPROP TIEOFF_X39Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y81 CLASS site SITEPROP TIEOFF_X39Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y81 IS_BONDED 0 SITEPROP TIEOFF_X39Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y81 IS_PAD 0 SITEPROP TIEOFF_X39Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y81 IS_RESERVED 0 SITEPROP TIEOFF_X39Y81 IS_TEST 0 SITEPROP TIEOFF_X39Y81 IS_USED 0 SITEPROP TIEOFF_X39Y81 MANUAL_ROUTING SITEPROP TIEOFF_X39Y81 NAME TIEOFF_X39Y81 SITEPROP TIEOFF_X39Y81 NUM_ARCS 0 SITEPROP TIEOFF_X39Y81 NUM_BELS 2 SITEPROP TIEOFF_X39Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y81 NUM_PINS 2 SITEPROP TIEOFF_X39Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y81 PROHIBIT 0 SITEPROP TIEOFF_X39Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y81 RPM_X 152 SITEPROP TIEOFF_X39Y81 RPM_Y 162 SITEPROP TIEOFF_X39Y81 SITE_PIPS SITEPROP TIEOFF_X39Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y82 CLASS site SITEPROP TIEOFF_X39Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y82 IS_BONDED 0 SITEPROP TIEOFF_X39Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y82 IS_PAD 0 SITEPROP TIEOFF_X39Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y82 IS_RESERVED 0 SITEPROP TIEOFF_X39Y82 IS_TEST 0 SITEPROP TIEOFF_X39Y82 IS_USED 0 SITEPROP TIEOFF_X39Y82 MANUAL_ROUTING SITEPROP TIEOFF_X39Y82 NAME TIEOFF_X39Y82 SITEPROP TIEOFF_X39Y82 NUM_ARCS 0 SITEPROP TIEOFF_X39Y82 NUM_BELS 2 SITEPROP TIEOFF_X39Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y82 NUM_PINS 2 SITEPROP TIEOFF_X39Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y82 PROHIBIT 0 SITEPROP TIEOFF_X39Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y82 RPM_X 152 SITEPROP TIEOFF_X39Y82 RPM_Y 164 SITEPROP TIEOFF_X39Y82 SITE_PIPS SITEPROP TIEOFF_X39Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y83 CLASS site SITEPROP TIEOFF_X39Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y83 IS_BONDED 0 SITEPROP TIEOFF_X39Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y83 IS_PAD 0 SITEPROP TIEOFF_X39Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y83 IS_RESERVED 0 SITEPROP TIEOFF_X39Y83 IS_TEST 0 SITEPROP TIEOFF_X39Y83 IS_USED 0 SITEPROP TIEOFF_X39Y83 MANUAL_ROUTING SITEPROP TIEOFF_X39Y83 NAME TIEOFF_X39Y83 SITEPROP TIEOFF_X39Y83 NUM_ARCS 0 SITEPROP TIEOFF_X39Y83 NUM_BELS 2 SITEPROP TIEOFF_X39Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y83 NUM_PINS 2 SITEPROP TIEOFF_X39Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y83 PROHIBIT 0 SITEPROP TIEOFF_X39Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y83 RPM_X 152 SITEPROP TIEOFF_X39Y83 RPM_Y 166 SITEPROP TIEOFF_X39Y83 SITE_PIPS SITEPROP TIEOFF_X39Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y84 CLASS site SITEPROP TIEOFF_X39Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y84 IS_BONDED 0 SITEPROP TIEOFF_X39Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y84 IS_PAD 0 SITEPROP TIEOFF_X39Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y84 IS_RESERVED 0 SITEPROP TIEOFF_X39Y84 IS_TEST 0 SITEPROP TIEOFF_X39Y84 IS_USED 0 SITEPROP TIEOFF_X39Y84 MANUAL_ROUTING SITEPROP TIEOFF_X39Y84 NAME TIEOFF_X39Y84 SITEPROP TIEOFF_X39Y84 NUM_ARCS 0 SITEPROP TIEOFF_X39Y84 NUM_BELS 2 SITEPROP TIEOFF_X39Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y84 NUM_PINS 2 SITEPROP TIEOFF_X39Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y84 PROHIBIT 0 SITEPROP TIEOFF_X39Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y84 RPM_X 152 SITEPROP TIEOFF_X39Y84 RPM_Y 168 SITEPROP TIEOFF_X39Y84 SITE_PIPS SITEPROP TIEOFF_X39Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y85 CLASS site SITEPROP TIEOFF_X39Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y85 IS_BONDED 0 SITEPROP TIEOFF_X39Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y85 IS_PAD 0 SITEPROP TIEOFF_X39Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y85 IS_RESERVED 0 SITEPROP TIEOFF_X39Y85 IS_TEST 0 SITEPROP TIEOFF_X39Y85 IS_USED 0 SITEPROP TIEOFF_X39Y85 MANUAL_ROUTING SITEPROP TIEOFF_X39Y85 NAME TIEOFF_X39Y85 SITEPROP TIEOFF_X39Y85 NUM_ARCS 0 SITEPROP TIEOFF_X39Y85 NUM_BELS 2 SITEPROP TIEOFF_X39Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y85 NUM_PINS 2 SITEPROP TIEOFF_X39Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y85 PROHIBIT 0 SITEPROP TIEOFF_X39Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y85 RPM_X 152 SITEPROP TIEOFF_X39Y85 RPM_Y 170 SITEPROP TIEOFF_X39Y85 SITE_PIPS SITEPROP TIEOFF_X39Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y86 CLASS site SITEPROP TIEOFF_X39Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y86 IS_BONDED 0 SITEPROP TIEOFF_X39Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y86 IS_PAD 0 SITEPROP TIEOFF_X39Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y86 IS_RESERVED 0 SITEPROP TIEOFF_X39Y86 IS_TEST 0 SITEPROP TIEOFF_X39Y86 IS_USED 0 SITEPROP TIEOFF_X39Y86 MANUAL_ROUTING SITEPROP TIEOFF_X39Y86 NAME TIEOFF_X39Y86 SITEPROP TIEOFF_X39Y86 NUM_ARCS 0 SITEPROP TIEOFF_X39Y86 NUM_BELS 2 SITEPROP TIEOFF_X39Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y86 NUM_PINS 2 SITEPROP TIEOFF_X39Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y86 PROHIBIT 0 SITEPROP TIEOFF_X39Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y86 RPM_X 152 SITEPROP TIEOFF_X39Y86 RPM_Y 172 SITEPROP TIEOFF_X39Y86 SITE_PIPS SITEPROP TIEOFF_X39Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y87 CLASS site SITEPROP TIEOFF_X39Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y87 IS_BONDED 0 SITEPROP TIEOFF_X39Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y87 IS_PAD 0 SITEPROP TIEOFF_X39Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y87 IS_RESERVED 0 SITEPROP TIEOFF_X39Y87 IS_TEST 0 SITEPROP TIEOFF_X39Y87 IS_USED 0 SITEPROP TIEOFF_X39Y87 MANUAL_ROUTING SITEPROP TIEOFF_X39Y87 NAME TIEOFF_X39Y87 SITEPROP TIEOFF_X39Y87 NUM_ARCS 0 SITEPROP TIEOFF_X39Y87 NUM_BELS 2 SITEPROP TIEOFF_X39Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y87 NUM_PINS 2 SITEPROP TIEOFF_X39Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y87 PROHIBIT 0 SITEPROP TIEOFF_X39Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y87 RPM_X 152 SITEPROP TIEOFF_X39Y87 RPM_Y 174 SITEPROP TIEOFF_X39Y87 SITE_PIPS SITEPROP TIEOFF_X39Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y88 CLASS site SITEPROP TIEOFF_X39Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y88 IS_BONDED 0 SITEPROP TIEOFF_X39Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y88 IS_PAD 0 SITEPROP TIEOFF_X39Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y88 IS_RESERVED 0 SITEPROP TIEOFF_X39Y88 IS_TEST 0 SITEPROP TIEOFF_X39Y88 IS_USED 0 SITEPROP TIEOFF_X39Y88 MANUAL_ROUTING SITEPROP TIEOFF_X39Y88 NAME TIEOFF_X39Y88 SITEPROP TIEOFF_X39Y88 NUM_ARCS 0 SITEPROP TIEOFF_X39Y88 NUM_BELS 2 SITEPROP TIEOFF_X39Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y88 NUM_PINS 2 SITEPROP TIEOFF_X39Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y88 PROHIBIT 0 SITEPROP TIEOFF_X39Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y88 RPM_X 152 SITEPROP TIEOFF_X39Y88 RPM_Y 176 SITEPROP TIEOFF_X39Y88 SITE_PIPS SITEPROP TIEOFF_X39Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y89 CLASS site SITEPROP TIEOFF_X39Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y89 IS_BONDED 0 SITEPROP TIEOFF_X39Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y89 IS_PAD 0 SITEPROP TIEOFF_X39Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y89 IS_RESERVED 0 SITEPROP TIEOFF_X39Y89 IS_TEST 0 SITEPROP TIEOFF_X39Y89 IS_USED 0 SITEPROP TIEOFF_X39Y89 MANUAL_ROUTING SITEPROP TIEOFF_X39Y89 NAME TIEOFF_X39Y89 SITEPROP TIEOFF_X39Y89 NUM_ARCS 0 SITEPROP TIEOFF_X39Y89 NUM_BELS 2 SITEPROP TIEOFF_X39Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y89 NUM_PINS 2 SITEPROP TIEOFF_X39Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y89 PROHIBIT 0 SITEPROP TIEOFF_X39Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y89 RPM_X 152 SITEPROP TIEOFF_X39Y89 RPM_Y 178 SITEPROP TIEOFF_X39Y89 SITE_PIPS SITEPROP TIEOFF_X39Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y90 CLASS site SITEPROP TIEOFF_X39Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y90 IS_BONDED 0 SITEPROP TIEOFF_X39Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y90 IS_PAD 0 SITEPROP TIEOFF_X39Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y90 IS_RESERVED 0 SITEPROP TIEOFF_X39Y90 IS_TEST 0 SITEPROP TIEOFF_X39Y90 IS_USED 0 SITEPROP TIEOFF_X39Y90 MANUAL_ROUTING SITEPROP TIEOFF_X39Y90 NAME TIEOFF_X39Y90 SITEPROP TIEOFF_X39Y90 NUM_ARCS 0 SITEPROP TIEOFF_X39Y90 NUM_BELS 2 SITEPROP TIEOFF_X39Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y90 NUM_PINS 2 SITEPROP TIEOFF_X39Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y90 PROHIBIT 0 SITEPROP TIEOFF_X39Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y90 RPM_X 152 SITEPROP TIEOFF_X39Y90 RPM_Y 180 SITEPROP TIEOFF_X39Y90 SITE_PIPS SITEPROP TIEOFF_X39Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y91 CLASS site SITEPROP TIEOFF_X39Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y91 IS_BONDED 0 SITEPROP TIEOFF_X39Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y91 IS_PAD 0 SITEPROP TIEOFF_X39Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y91 IS_RESERVED 0 SITEPROP TIEOFF_X39Y91 IS_TEST 0 SITEPROP TIEOFF_X39Y91 IS_USED 0 SITEPROP TIEOFF_X39Y91 MANUAL_ROUTING SITEPROP TIEOFF_X39Y91 NAME TIEOFF_X39Y91 SITEPROP TIEOFF_X39Y91 NUM_ARCS 0 SITEPROP TIEOFF_X39Y91 NUM_BELS 2 SITEPROP TIEOFF_X39Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y91 NUM_PINS 2 SITEPROP TIEOFF_X39Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y91 PROHIBIT 0 SITEPROP TIEOFF_X39Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y91 RPM_X 152 SITEPROP TIEOFF_X39Y91 RPM_Y 182 SITEPROP TIEOFF_X39Y91 SITE_PIPS SITEPROP TIEOFF_X39Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y92 CLASS site SITEPROP TIEOFF_X39Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y92 IS_BONDED 0 SITEPROP TIEOFF_X39Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y92 IS_PAD 0 SITEPROP TIEOFF_X39Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y92 IS_RESERVED 0 SITEPROP TIEOFF_X39Y92 IS_TEST 0 SITEPROP TIEOFF_X39Y92 IS_USED 0 SITEPROP TIEOFF_X39Y92 MANUAL_ROUTING SITEPROP TIEOFF_X39Y92 NAME TIEOFF_X39Y92 SITEPROP TIEOFF_X39Y92 NUM_ARCS 0 SITEPROP TIEOFF_X39Y92 NUM_BELS 2 SITEPROP TIEOFF_X39Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y92 NUM_PINS 2 SITEPROP TIEOFF_X39Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y92 PROHIBIT 0 SITEPROP TIEOFF_X39Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y92 RPM_X 152 SITEPROP TIEOFF_X39Y92 RPM_Y 184 SITEPROP TIEOFF_X39Y92 SITE_PIPS SITEPROP TIEOFF_X39Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y93 CLASS site SITEPROP TIEOFF_X39Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y93 IS_BONDED 0 SITEPROP TIEOFF_X39Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y93 IS_PAD 0 SITEPROP TIEOFF_X39Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y93 IS_RESERVED 0 SITEPROP TIEOFF_X39Y93 IS_TEST 0 SITEPROP TIEOFF_X39Y93 IS_USED 0 SITEPROP TIEOFF_X39Y93 MANUAL_ROUTING SITEPROP TIEOFF_X39Y93 NAME TIEOFF_X39Y93 SITEPROP TIEOFF_X39Y93 NUM_ARCS 0 SITEPROP TIEOFF_X39Y93 NUM_BELS 2 SITEPROP TIEOFF_X39Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y93 NUM_PINS 2 SITEPROP TIEOFF_X39Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y93 PROHIBIT 0 SITEPROP TIEOFF_X39Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y93 RPM_X 152 SITEPROP TIEOFF_X39Y93 RPM_Y 186 SITEPROP TIEOFF_X39Y93 SITE_PIPS SITEPROP TIEOFF_X39Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y94 CLASS site SITEPROP TIEOFF_X39Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y94 IS_BONDED 0 SITEPROP TIEOFF_X39Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y94 IS_PAD 0 SITEPROP TIEOFF_X39Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y94 IS_RESERVED 0 SITEPROP TIEOFF_X39Y94 IS_TEST 0 SITEPROP TIEOFF_X39Y94 IS_USED 0 SITEPROP TIEOFF_X39Y94 MANUAL_ROUTING SITEPROP TIEOFF_X39Y94 NAME TIEOFF_X39Y94 SITEPROP TIEOFF_X39Y94 NUM_ARCS 0 SITEPROP TIEOFF_X39Y94 NUM_BELS 2 SITEPROP TIEOFF_X39Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y94 NUM_PINS 2 SITEPROP TIEOFF_X39Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y94 PROHIBIT 0 SITEPROP TIEOFF_X39Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y94 RPM_X 152 SITEPROP TIEOFF_X39Y94 RPM_Y 188 SITEPROP TIEOFF_X39Y94 SITE_PIPS SITEPROP TIEOFF_X39Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y95 CLASS site SITEPROP TIEOFF_X39Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y95 IS_BONDED 0 SITEPROP TIEOFF_X39Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y95 IS_PAD 0 SITEPROP TIEOFF_X39Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y95 IS_RESERVED 0 SITEPROP TIEOFF_X39Y95 IS_TEST 0 SITEPROP TIEOFF_X39Y95 IS_USED 0 SITEPROP TIEOFF_X39Y95 MANUAL_ROUTING SITEPROP TIEOFF_X39Y95 NAME TIEOFF_X39Y95 SITEPROP TIEOFF_X39Y95 NUM_ARCS 0 SITEPROP TIEOFF_X39Y95 NUM_BELS 2 SITEPROP TIEOFF_X39Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y95 NUM_PINS 2 SITEPROP TIEOFF_X39Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y95 PROHIBIT 0 SITEPROP TIEOFF_X39Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y95 RPM_X 152 SITEPROP TIEOFF_X39Y95 RPM_Y 190 SITEPROP TIEOFF_X39Y95 SITE_PIPS SITEPROP TIEOFF_X39Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y96 CLASS site SITEPROP TIEOFF_X39Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y96 IS_BONDED 0 SITEPROP TIEOFF_X39Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y96 IS_PAD 0 SITEPROP TIEOFF_X39Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y96 IS_RESERVED 0 SITEPROP TIEOFF_X39Y96 IS_TEST 0 SITEPROP TIEOFF_X39Y96 IS_USED 0 SITEPROP TIEOFF_X39Y96 MANUAL_ROUTING SITEPROP TIEOFF_X39Y96 NAME TIEOFF_X39Y96 SITEPROP TIEOFF_X39Y96 NUM_ARCS 0 SITEPROP TIEOFF_X39Y96 NUM_BELS 2 SITEPROP TIEOFF_X39Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y96 NUM_PINS 2 SITEPROP TIEOFF_X39Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y96 PROHIBIT 0 SITEPROP TIEOFF_X39Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y96 RPM_X 152 SITEPROP TIEOFF_X39Y96 RPM_Y 192 SITEPROP TIEOFF_X39Y96 SITE_PIPS SITEPROP TIEOFF_X39Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y97 CLASS site SITEPROP TIEOFF_X39Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y97 IS_BONDED 0 SITEPROP TIEOFF_X39Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y97 IS_PAD 0 SITEPROP TIEOFF_X39Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y97 IS_RESERVED 0 SITEPROP TIEOFF_X39Y97 IS_TEST 0 SITEPROP TIEOFF_X39Y97 IS_USED 0 SITEPROP TIEOFF_X39Y97 MANUAL_ROUTING SITEPROP TIEOFF_X39Y97 NAME TIEOFF_X39Y97 SITEPROP TIEOFF_X39Y97 NUM_ARCS 0 SITEPROP TIEOFF_X39Y97 NUM_BELS 2 SITEPROP TIEOFF_X39Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y97 NUM_PINS 2 SITEPROP TIEOFF_X39Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y97 PROHIBIT 0 SITEPROP TIEOFF_X39Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y97 RPM_X 152 SITEPROP TIEOFF_X39Y97 RPM_Y 194 SITEPROP TIEOFF_X39Y97 SITE_PIPS SITEPROP TIEOFF_X39Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y98 CLASS site SITEPROP TIEOFF_X39Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y98 IS_BONDED 0 SITEPROP TIEOFF_X39Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y98 IS_PAD 0 SITEPROP TIEOFF_X39Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y98 IS_RESERVED 0 SITEPROP TIEOFF_X39Y98 IS_TEST 0 SITEPROP TIEOFF_X39Y98 IS_USED 0 SITEPROP TIEOFF_X39Y98 MANUAL_ROUTING SITEPROP TIEOFF_X39Y98 NAME TIEOFF_X39Y98 SITEPROP TIEOFF_X39Y98 NUM_ARCS 0 SITEPROP TIEOFF_X39Y98 NUM_BELS 2 SITEPROP TIEOFF_X39Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y98 NUM_PINS 2 SITEPROP TIEOFF_X39Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y98 PROHIBIT 0 SITEPROP TIEOFF_X39Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y98 RPM_X 152 SITEPROP TIEOFF_X39Y98 RPM_Y 196 SITEPROP TIEOFF_X39Y98 SITE_PIPS SITEPROP TIEOFF_X39Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y99 CLASS site SITEPROP TIEOFF_X39Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X39Y99 IS_BONDED 0 SITEPROP TIEOFF_X39Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y99 IS_PAD 0 SITEPROP TIEOFF_X39Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y99 IS_RESERVED 0 SITEPROP TIEOFF_X39Y99 IS_TEST 0 SITEPROP TIEOFF_X39Y99 IS_USED 0 SITEPROP TIEOFF_X39Y99 MANUAL_ROUTING SITEPROP TIEOFF_X39Y99 NAME TIEOFF_X39Y99 SITEPROP TIEOFF_X39Y99 NUM_ARCS 0 SITEPROP TIEOFF_X39Y99 NUM_BELS 2 SITEPROP TIEOFF_X39Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y99 NUM_PINS 2 SITEPROP TIEOFF_X39Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y99 PROHIBIT 0 SITEPROP TIEOFF_X39Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y99 RPM_X 152 SITEPROP TIEOFF_X39Y99 RPM_Y 198 SITEPROP TIEOFF_X39Y99 SITE_PIPS SITEPROP TIEOFF_X39Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y100 CLASS site SITEPROP TIEOFF_X39Y100 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y100 IS_BONDED 0 SITEPROP TIEOFF_X39Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y100 IS_PAD 0 SITEPROP TIEOFF_X39Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y100 IS_RESERVED 0 SITEPROP TIEOFF_X39Y100 IS_TEST 0 SITEPROP TIEOFF_X39Y100 IS_USED 0 SITEPROP TIEOFF_X39Y100 MANUAL_ROUTING SITEPROP TIEOFF_X39Y100 NAME TIEOFF_X39Y100 SITEPROP TIEOFF_X39Y100 NUM_ARCS 0 SITEPROP TIEOFF_X39Y100 NUM_BELS 2 SITEPROP TIEOFF_X39Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y100 NUM_PINS 2 SITEPROP TIEOFF_X39Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y100 PROHIBIT 0 SITEPROP TIEOFF_X39Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y100 RPM_X 152 SITEPROP TIEOFF_X39Y100 RPM_Y 200 SITEPROP TIEOFF_X39Y100 SITE_PIPS SITEPROP TIEOFF_X39Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y101 CLASS site SITEPROP TIEOFF_X39Y101 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y101 IS_BONDED 0 SITEPROP TIEOFF_X39Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y101 IS_PAD 0 SITEPROP TIEOFF_X39Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y101 IS_RESERVED 0 SITEPROP TIEOFF_X39Y101 IS_TEST 0 SITEPROP TIEOFF_X39Y101 IS_USED 0 SITEPROP TIEOFF_X39Y101 MANUAL_ROUTING SITEPROP TIEOFF_X39Y101 NAME TIEOFF_X39Y101 SITEPROP TIEOFF_X39Y101 NUM_ARCS 0 SITEPROP TIEOFF_X39Y101 NUM_BELS 2 SITEPROP TIEOFF_X39Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y101 NUM_PINS 2 SITEPROP TIEOFF_X39Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y101 PROHIBIT 0 SITEPROP TIEOFF_X39Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y101 RPM_X 152 SITEPROP TIEOFF_X39Y101 RPM_Y 202 SITEPROP TIEOFF_X39Y101 SITE_PIPS SITEPROP TIEOFF_X39Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y102 CLASS site SITEPROP TIEOFF_X39Y102 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y102 IS_BONDED 0 SITEPROP TIEOFF_X39Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y102 IS_PAD 0 SITEPROP TIEOFF_X39Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y102 IS_RESERVED 0 SITEPROP TIEOFF_X39Y102 IS_TEST 0 SITEPROP TIEOFF_X39Y102 IS_USED 0 SITEPROP TIEOFF_X39Y102 MANUAL_ROUTING SITEPROP TIEOFF_X39Y102 NAME TIEOFF_X39Y102 SITEPROP TIEOFF_X39Y102 NUM_ARCS 0 SITEPROP TIEOFF_X39Y102 NUM_BELS 2 SITEPROP TIEOFF_X39Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y102 NUM_PINS 2 SITEPROP TIEOFF_X39Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y102 PROHIBIT 0 SITEPROP TIEOFF_X39Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y102 RPM_X 152 SITEPROP TIEOFF_X39Y102 RPM_Y 204 SITEPROP TIEOFF_X39Y102 SITE_PIPS SITEPROP TIEOFF_X39Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y103 CLASS site SITEPROP TIEOFF_X39Y103 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y103 IS_BONDED 0 SITEPROP TIEOFF_X39Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y103 IS_PAD 0 SITEPROP TIEOFF_X39Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y103 IS_RESERVED 0 SITEPROP TIEOFF_X39Y103 IS_TEST 0 SITEPROP TIEOFF_X39Y103 IS_USED 0 SITEPROP TIEOFF_X39Y103 MANUAL_ROUTING SITEPROP TIEOFF_X39Y103 NAME TIEOFF_X39Y103 SITEPROP TIEOFF_X39Y103 NUM_ARCS 0 SITEPROP TIEOFF_X39Y103 NUM_BELS 2 SITEPROP TIEOFF_X39Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y103 NUM_PINS 2 SITEPROP TIEOFF_X39Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y103 PROHIBIT 0 SITEPROP TIEOFF_X39Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y103 RPM_X 152 SITEPROP TIEOFF_X39Y103 RPM_Y 206 SITEPROP TIEOFF_X39Y103 SITE_PIPS SITEPROP TIEOFF_X39Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y104 CLASS site SITEPROP TIEOFF_X39Y104 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y104 IS_BONDED 0 SITEPROP TIEOFF_X39Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y104 IS_PAD 0 SITEPROP TIEOFF_X39Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y104 IS_RESERVED 0 SITEPROP TIEOFF_X39Y104 IS_TEST 0 SITEPROP TIEOFF_X39Y104 IS_USED 0 SITEPROP TIEOFF_X39Y104 MANUAL_ROUTING SITEPROP TIEOFF_X39Y104 NAME TIEOFF_X39Y104 SITEPROP TIEOFF_X39Y104 NUM_ARCS 0 SITEPROP TIEOFF_X39Y104 NUM_BELS 2 SITEPROP TIEOFF_X39Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y104 NUM_PINS 2 SITEPROP TIEOFF_X39Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y104 PROHIBIT 0 SITEPROP TIEOFF_X39Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y104 RPM_X 152 SITEPROP TIEOFF_X39Y104 RPM_Y 208 SITEPROP TIEOFF_X39Y104 SITE_PIPS SITEPROP TIEOFF_X39Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y105 CLASS site SITEPROP TIEOFF_X39Y105 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y105 IS_BONDED 0 SITEPROP TIEOFF_X39Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y105 IS_PAD 0 SITEPROP TIEOFF_X39Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y105 IS_RESERVED 0 SITEPROP TIEOFF_X39Y105 IS_TEST 0 SITEPROP TIEOFF_X39Y105 IS_USED 0 SITEPROP TIEOFF_X39Y105 MANUAL_ROUTING SITEPROP TIEOFF_X39Y105 NAME TIEOFF_X39Y105 SITEPROP TIEOFF_X39Y105 NUM_ARCS 0 SITEPROP TIEOFF_X39Y105 NUM_BELS 2 SITEPROP TIEOFF_X39Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y105 NUM_PINS 2 SITEPROP TIEOFF_X39Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y105 PROHIBIT 0 SITEPROP TIEOFF_X39Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y105 RPM_X 152 SITEPROP TIEOFF_X39Y105 RPM_Y 210 SITEPROP TIEOFF_X39Y105 SITE_PIPS SITEPROP TIEOFF_X39Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y106 CLASS site SITEPROP TIEOFF_X39Y106 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y106 IS_BONDED 0 SITEPROP TIEOFF_X39Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y106 IS_PAD 0 SITEPROP TIEOFF_X39Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y106 IS_RESERVED 0 SITEPROP TIEOFF_X39Y106 IS_TEST 0 SITEPROP TIEOFF_X39Y106 IS_USED 0 SITEPROP TIEOFF_X39Y106 MANUAL_ROUTING SITEPROP TIEOFF_X39Y106 NAME TIEOFF_X39Y106 SITEPROP TIEOFF_X39Y106 NUM_ARCS 0 SITEPROP TIEOFF_X39Y106 NUM_BELS 2 SITEPROP TIEOFF_X39Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y106 NUM_PINS 2 SITEPROP TIEOFF_X39Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y106 PROHIBIT 0 SITEPROP TIEOFF_X39Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y106 RPM_X 152 SITEPROP TIEOFF_X39Y106 RPM_Y 212 SITEPROP TIEOFF_X39Y106 SITE_PIPS SITEPROP TIEOFF_X39Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y107 CLASS site SITEPROP TIEOFF_X39Y107 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y107 IS_BONDED 0 SITEPROP TIEOFF_X39Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y107 IS_PAD 0 SITEPROP TIEOFF_X39Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y107 IS_RESERVED 0 SITEPROP TIEOFF_X39Y107 IS_TEST 0 SITEPROP TIEOFF_X39Y107 IS_USED 0 SITEPROP TIEOFF_X39Y107 MANUAL_ROUTING SITEPROP TIEOFF_X39Y107 NAME TIEOFF_X39Y107 SITEPROP TIEOFF_X39Y107 NUM_ARCS 0 SITEPROP TIEOFF_X39Y107 NUM_BELS 2 SITEPROP TIEOFF_X39Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y107 NUM_PINS 2 SITEPROP TIEOFF_X39Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y107 PROHIBIT 0 SITEPROP TIEOFF_X39Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y107 RPM_X 152 SITEPROP TIEOFF_X39Y107 RPM_Y 214 SITEPROP TIEOFF_X39Y107 SITE_PIPS SITEPROP TIEOFF_X39Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y108 CLASS site SITEPROP TIEOFF_X39Y108 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y108 IS_BONDED 0 SITEPROP TIEOFF_X39Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y108 IS_PAD 0 SITEPROP TIEOFF_X39Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y108 IS_RESERVED 0 SITEPROP TIEOFF_X39Y108 IS_TEST 0 SITEPROP TIEOFF_X39Y108 IS_USED 0 SITEPROP TIEOFF_X39Y108 MANUAL_ROUTING SITEPROP TIEOFF_X39Y108 NAME TIEOFF_X39Y108 SITEPROP TIEOFF_X39Y108 NUM_ARCS 0 SITEPROP TIEOFF_X39Y108 NUM_BELS 2 SITEPROP TIEOFF_X39Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y108 NUM_PINS 2 SITEPROP TIEOFF_X39Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y108 PROHIBIT 0 SITEPROP TIEOFF_X39Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y108 RPM_X 152 SITEPROP TIEOFF_X39Y108 RPM_Y 216 SITEPROP TIEOFF_X39Y108 SITE_PIPS SITEPROP TIEOFF_X39Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y109 CLASS site SITEPROP TIEOFF_X39Y109 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y109 IS_BONDED 0 SITEPROP TIEOFF_X39Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y109 IS_PAD 0 SITEPROP TIEOFF_X39Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y109 IS_RESERVED 0 SITEPROP TIEOFF_X39Y109 IS_TEST 0 SITEPROP TIEOFF_X39Y109 IS_USED 0 SITEPROP TIEOFF_X39Y109 MANUAL_ROUTING SITEPROP TIEOFF_X39Y109 NAME TIEOFF_X39Y109 SITEPROP TIEOFF_X39Y109 NUM_ARCS 0 SITEPROP TIEOFF_X39Y109 NUM_BELS 2 SITEPROP TIEOFF_X39Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y109 NUM_PINS 2 SITEPROP TIEOFF_X39Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y109 PROHIBIT 0 SITEPROP TIEOFF_X39Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y109 RPM_X 152 SITEPROP TIEOFF_X39Y109 RPM_Y 218 SITEPROP TIEOFF_X39Y109 SITE_PIPS SITEPROP TIEOFF_X39Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y110 CLASS site SITEPROP TIEOFF_X39Y110 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y110 IS_BONDED 0 SITEPROP TIEOFF_X39Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y110 IS_PAD 0 SITEPROP TIEOFF_X39Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y110 IS_RESERVED 0 SITEPROP TIEOFF_X39Y110 IS_TEST 0 SITEPROP TIEOFF_X39Y110 IS_USED 0 SITEPROP TIEOFF_X39Y110 MANUAL_ROUTING SITEPROP TIEOFF_X39Y110 NAME TIEOFF_X39Y110 SITEPROP TIEOFF_X39Y110 NUM_ARCS 0 SITEPROP TIEOFF_X39Y110 NUM_BELS 2 SITEPROP TIEOFF_X39Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y110 NUM_PINS 2 SITEPROP TIEOFF_X39Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y110 PROHIBIT 0 SITEPROP TIEOFF_X39Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y110 RPM_X 152 SITEPROP TIEOFF_X39Y110 RPM_Y 220 SITEPROP TIEOFF_X39Y110 SITE_PIPS SITEPROP TIEOFF_X39Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y111 CLASS site SITEPROP TIEOFF_X39Y111 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y111 IS_BONDED 0 SITEPROP TIEOFF_X39Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y111 IS_PAD 0 SITEPROP TIEOFF_X39Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y111 IS_RESERVED 0 SITEPROP TIEOFF_X39Y111 IS_TEST 0 SITEPROP TIEOFF_X39Y111 IS_USED 0 SITEPROP TIEOFF_X39Y111 MANUAL_ROUTING SITEPROP TIEOFF_X39Y111 NAME TIEOFF_X39Y111 SITEPROP TIEOFF_X39Y111 NUM_ARCS 0 SITEPROP TIEOFF_X39Y111 NUM_BELS 2 SITEPROP TIEOFF_X39Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y111 NUM_PINS 2 SITEPROP TIEOFF_X39Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y111 PROHIBIT 0 SITEPROP TIEOFF_X39Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y111 RPM_X 152 SITEPROP TIEOFF_X39Y111 RPM_Y 222 SITEPROP TIEOFF_X39Y111 SITE_PIPS SITEPROP TIEOFF_X39Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y112 CLASS site SITEPROP TIEOFF_X39Y112 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y112 IS_BONDED 0 SITEPROP TIEOFF_X39Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y112 IS_PAD 0 SITEPROP TIEOFF_X39Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y112 IS_RESERVED 0 SITEPROP TIEOFF_X39Y112 IS_TEST 0 SITEPROP TIEOFF_X39Y112 IS_USED 0 SITEPROP TIEOFF_X39Y112 MANUAL_ROUTING SITEPROP TIEOFF_X39Y112 NAME TIEOFF_X39Y112 SITEPROP TIEOFF_X39Y112 NUM_ARCS 0 SITEPROP TIEOFF_X39Y112 NUM_BELS 2 SITEPROP TIEOFF_X39Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y112 NUM_PINS 2 SITEPROP TIEOFF_X39Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y112 PROHIBIT 0 SITEPROP TIEOFF_X39Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y112 RPM_X 152 SITEPROP TIEOFF_X39Y112 RPM_Y 224 SITEPROP TIEOFF_X39Y112 SITE_PIPS SITEPROP TIEOFF_X39Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y113 CLASS site SITEPROP TIEOFF_X39Y113 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y113 IS_BONDED 0 SITEPROP TIEOFF_X39Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y113 IS_PAD 0 SITEPROP TIEOFF_X39Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y113 IS_RESERVED 0 SITEPROP TIEOFF_X39Y113 IS_TEST 0 SITEPROP TIEOFF_X39Y113 IS_USED 0 SITEPROP TIEOFF_X39Y113 MANUAL_ROUTING SITEPROP TIEOFF_X39Y113 NAME TIEOFF_X39Y113 SITEPROP TIEOFF_X39Y113 NUM_ARCS 0 SITEPROP TIEOFF_X39Y113 NUM_BELS 2 SITEPROP TIEOFF_X39Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y113 NUM_PINS 2 SITEPROP TIEOFF_X39Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y113 PROHIBIT 0 SITEPROP TIEOFF_X39Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y113 RPM_X 152 SITEPROP TIEOFF_X39Y113 RPM_Y 226 SITEPROP TIEOFF_X39Y113 SITE_PIPS SITEPROP TIEOFF_X39Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y114 CLASS site SITEPROP TIEOFF_X39Y114 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y114 IS_BONDED 0 SITEPROP TIEOFF_X39Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y114 IS_PAD 0 SITEPROP TIEOFF_X39Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y114 IS_RESERVED 0 SITEPROP TIEOFF_X39Y114 IS_TEST 0 SITEPROP TIEOFF_X39Y114 IS_USED 0 SITEPROP TIEOFF_X39Y114 MANUAL_ROUTING SITEPROP TIEOFF_X39Y114 NAME TIEOFF_X39Y114 SITEPROP TIEOFF_X39Y114 NUM_ARCS 0 SITEPROP TIEOFF_X39Y114 NUM_BELS 2 SITEPROP TIEOFF_X39Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y114 NUM_PINS 2 SITEPROP TIEOFF_X39Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y114 PROHIBIT 0 SITEPROP TIEOFF_X39Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y114 RPM_X 152 SITEPROP TIEOFF_X39Y114 RPM_Y 228 SITEPROP TIEOFF_X39Y114 SITE_PIPS SITEPROP TIEOFF_X39Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y115 CLASS site SITEPROP TIEOFF_X39Y115 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y115 IS_BONDED 0 SITEPROP TIEOFF_X39Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y115 IS_PAD 0 SITEPROP TIEOFF_X39Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y115 IS_RESERVED 0 SITEPROP TIEOFF_X39Y115 IS_TEST 0 SITEPROP TIEOFF_X39Y115 IS_USED 0 SITEPROP TIEOFF_X39Y115 MANUAL_ROUTING SITEPROP TIEOFF_X39Y115 NAME TIEOFF_X39Y115 SITEPROP TIEOFF_X39Y115 NUM_ARCS 0 SITEPROP TIEOFF_X39Y115 NUM_BELS 2 SITEPROP TIEOFF_X39Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y115 NUM_PINS 2 SITEPROP TIEOFF_X39Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y115 PROHIBIT 0 SITEPROP TIEOFF_X39Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y115 RPM_X 152 SITEPROP TIEOFF_X39Y115 RPM_Y 230 SITEPROP TIEOFF_X39Y115 SITE_PIPS SITEPROP TIEOFF_X39Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y116 CLASS site SITEPROP TIEOFF_X39Y116 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y116 IS_BONDED 0 SITEPROP TIEOFF_X39Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y116 IS_PAD 0 SITEPROP TIEOFF_X39Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y116 IS_RESERVED 0 SITEPROP TIEOFF_X39Y116 IS_TEST 0 SITEPROP TIEOFF_X39Y116 IS_USED 0 SITEPROP TIEOFF_X39Y116 MANUAL_ROUTING SITEPROP TIEOFF_X39Y116 NAME TIEOFF_X39Y116 SITEPROP TIEOFF_X39Y116 NUM_ARCS 0 SITEPROP TIEOFF_X39Y116 NUM_BELS 2 SITEPROP TIEOFF_X39Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y116 NUM_PINS 2 SITEPROP TIEOFF_X39Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y116 PROHIBIT 0 SITEPROP TIEOFF_X39Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y116 RPM_X 152 SITEPROP TIEOFF_X39Y116 RPM_Y 232 SITEPROP TIEOFF_X39Y116 SITE_PIPS SITEPROP TIEOFF_X39Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y117 CLASS site SITEPROP TIEOFF_X39Y117 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y117 IS_BONDED 0 SITEPROP TIEOFF_X39Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y117 IS_PAD 0 SITEPROP TIEOFF_X39Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y117 IS_RESERVED 0 SITEPROP TIEOFF_X39Y117 IS_TEST 0 SITEPROP TIEOFF_X39Y117 IS_USED 0 SITEPROP TIEOFF_X39Y117 MANUAL_ROUTING SITEPROP TIEOFF_X39Y117 NAME TIEOFF_X39Y117 SITEPROP TIEOFF_X39Y117 NUM_ARCS 0 SITEPROP TIEOFF_X39Y117 NUM_BELS 2 SITEPROP TIEOFF_X39Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y117 NUM_PINS 2 SITEPROP TIEOFF_X39Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y117 PROHIBIT 0 SITEPROP TIEOFF_X39Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y117 RPM_X 152 SITEPROP TIEOFF_X39Y117 RPM_Y 234 SITEPROP TIEOFF_X39Y117 SITE_PIPS SITEPROP TIEOFF_X39Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y118 CLASS site SITEPROP TIEOFF_X39Y118 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y118 IS_BONDED 0 SITEPROP TIEOFF_X39Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y118 IS_PAD 0 SITEPROP TIEOFF_X39Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y118 IS_RESERVED 0 SITEPROP TIEOFF_X39Y118 IS_TEST 0 SITEPROP TIEOFF_X39Y118 IS_USED 0 SITEPROP TIEOFF_X39Y118 MANUAL_ROUTING SITEPROP TIEOFF_X39Y118 NAME TIEOFF_X39Y118 SITEPROP TIEOFF_X39Y118 NUM_ARCS 0 SITEPROP TIEOFF_X39Y118 NUM_BELS 2 SITEPROP TIEOFF_X39Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y118 NUM_PINS 2 SITEPROP TIEOFF_X39Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y118 PROHIBIT 0 SITEPROP TIEOFF_X39Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y118 RPM_X 152 SITEPROP TIEOFF_X39Y118 RPM_Y 236 SITEPROP TIEOFF_X39Y118 SITE_PIPS SITEPROP TIEOFF_X39Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y119 CLASS site SITEPROP TIEOFF_X39Y119 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y119 IS_BONDED 0 SITEPROP TIEOFF_X39Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y119 IS_PAD 0 SITEPROP TIEOFF_X39Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y119 IS_RESERVED 0 SITEPROP TIEOFF_X39Y119 IS_TEST 0 SITEPROP TIEOFF_X39Y119 IS_USED 0 SITEPROP TIEOFF_X39Y119 MANUAL_ROUTING SITEPROP TIEOFF_X39Y119 NAME TIEOFF_X39Y119 SITEPROP TIEOFF_X39Y119 NUM_ARCS 0 SITEPROP TIEOFF_X39Y119 NUM_BELS 2 SITEPROP TIEOFF_X39Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y119 NUM_PINS 2 SITEPROP TIEOFF_X39Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y119 PROHIBIT 0 SITEPROP TIEOFF_X39Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y119 RPM_X 152 SITEPROP TIEOFF_X39Y119 RPM_Y 238 SITEPROP TIEOFF_X39Y119 SITE_PIPS SITEPROP TIEOFF_X39Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y120 CLASS site SITEPROP TIEOFF_X39Y120 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y120 IS_BONDED 0 SITEPROP TIEOFF_X39Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y120 IS_PAD 0 SITEPROP TIEOFF_X39Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y120 IS_RESERVED 0 SITEPROP TIEOFF_X39Y120 IS_TEST 0 SITEPROP TIEOFF_X39Y120 IS_USED 0 SITEPROP TIEOFF_X39Y120 MANUAL_ROUTING SITEPROP TIEOFF_X39Y120 NAME TIEOFF_X39Y120 SITEPROP TIEOFF_X39Y120 NUM_ARCS 0 SITEPROP TIEOFF_X39Y120 NUM_BELS 2 SITEPROP TIEOFF_X39Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y120 NUM_PINS 2 SITEPROP TIEOFF_X39Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y120 PROHIBIT 0 SITEPROP TIEOFF_X39Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y120 RPM_X 152 SITEPROP TIEOFF_X39Y120 RPM_Y 240 SITEPROP TIEOFF_X39Y120 SITE_PIPS SITEPROP TIEOFF_X39Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y121 CLASS site SITEPROP TIEOFF_X39Y121 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y121 IS_BONDED 0 SITEPROP TIEOFF_X39Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y121 IS_PAD 0 SITEPROP TIEOFF_X39Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y121 IS_RESERVED 0 SITEPROP TIEOFF_X39Y121 IS_TEST 0 SITEPROP TIEOFF_X39Y121 IS_USED 0 SITEPROP TIEOFF_X39Y121 MANUAL_ROUTING SITEPROP TIEOFF_X39Y121 NAME TIEOFF_X39Y121 SITEPROP TIEOFF_X39Y121 NUM_ARCS 0 SITEPROP TIEOFF_X39Y121 NUM_BELS 2 SITEPROP TIEOFF_X39Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y121 NUM_PINS 2 SITEPROP TIEOFF_X39Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y121 PROHIBIT 0 SITEPROP TIEOFF_X39Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y121 RPM_X 152 SITEPROP TIEOFF_X39Y121 RPM_Y 242 SITEPROP TIEOFF_X39Y121 SITE_PIPS SITEPROP TIEOFF_X39Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y122 CLASS site SITEPROP TIEOFF_X39Y122 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y122 IS_BONDED 0 SITEPROP TIEOFF_X39Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y122 IS_PAD 0 SITEPROP TIEOFF_X39Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y122 IS_RESERVED 0 SITEPROP TIEOFF_X39Y122 IS_TEST 0 SITEPROP TIEOFF_X39Y122 IS_USED 0 SITEPROP TIEOFF_X39Y122 MANUAL_ROUTING SITEPROP TIEOFF_X39Y122 NAME TIEOFF_X39Y122 SITEPROP TIEOFF_X39Y122 NUM_ARCS 0 SITEPROP TIEOFF_X39Y122 NUM_BELS 2 SITEPROP TIEOFF_X39Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y122 NUM_PINS 2 SITEPROP TIEOFF_X39Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y122 PROHIBIT 0 SITEPROP TIEOFF_X39Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y122 RPM_X 152 SITEPROP TIEOFF_X39Y122 RPM_Y 244 SITEPROP TIEOFF_X39Y122 SITE_PIPS SITEPROP TIEOFF_X39Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y123 CLASS site SITEPROP TIEOFF_X39Y123 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y123 IS_BONDED 0 SITEPROP TIEOFF_X39Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y123 IS_PAD 0 SITEPROP TIEOFF_X39Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y123 IS_RESERVED 0 SITEPROP TIEOFF_X39Y123 IS_TEST 0 SITEPROP TIEOFF_X39Y123 IS_USED 0 SITEPROP TIEOFF_X39Y123 MANUAL_ROUTING SITEPROP TIEOFF_X39Y123 NAME TIEOFF_X39Y123 SITEPROP TIEOFF_X39Y123 NUM_ARCS 0 SITEPROP TIEOFF_X39Y123 NUM_BELS 2 SITEPROP TIEOFF_X39Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y123 NUM_PINS 2 SITEPROP TIEOFF_X39Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y123 PROHIBIT 0 SITEPROP TIEOFF_X39Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y123 RPM_X 152 SITEPROP TIEOFF_X39Y123 RPM_Y 246 SITEPROP TIEOFF_X39Y123 SITE_PIPS SITEPROP TIEOFF_X39Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y124 CLASS site SITEPROP TIEOFF_X39Y124 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y124 IS_BONDED 0 SITEPROP TIEOFF_X39Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y124 IS_PAD 0 SITEPROP TIEOFF_X39Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y124 IS_RESERVED 0 SITEPROP TIEOFF_X39Y124 IS_TEST 0 SITEPROP TIEOFF_X39Y124 IS_USED 0 SITEPROP TIEOFF_X39Y124 MANUAL_ROUTING SITEPROP TIEOFF_X39Y124 NAME TIEOFF_X39Y124 SITEPROP TIEOFF_X39Y124 NUM_ARCS 0 SITEPROP TIEOFF_X39Y124 NUM_BELS 2 SITEPROP TIEOFF_X39Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y124 NUM_PINS 2 SITEPROP TIEOFF_X39Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y124 PROHIBIT 0 SITEPROP TIEOFF_X39Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y124 RPM_X 152 SITEPROP TIEOFF_X39Y124 RPM_Y 248 SITEPROP TIEOFF_X39Y124 SITE_PIPS SITEPROP TIEOFF_X39Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y125 CLASS site SITEPROP TIEOFF_X39Y125 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y125 IS_BONDED 0 SITEPROP TIEOFF_X39Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y125 IS_PAD 0 SITEPROP TIEOFF_X39Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y125 IS_RESERVED 0 SITEPROP TIEOFF_X39Y125 IS_TEST 0 SITEPROP TIEOFF_X39Y125 IS_USED 0 SITEPROP TIEOFF_X39Y125 MANUAL_ROUTING SITEPROP TIEOFF_X39Y125 NAME TIEOFF_X39Y125 SITEPROP TIEOFF_X39Y125 NUM_ARCS 0 SITEPROP TIEOFF_X39Y125 NUM_BELS 2 SITEPROP TIEOFF_X39Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y125 NUM_PINS 2 SITEPROP TIEOFF_X39Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y125 PROHIBIT 0 SITEPROP TIEOFF_X39Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y125 RPM_X 152 SITEPROP TIEOFF_X39Y125 RPM_Y 250 SITEPROP TIEOFF_X39Y125 SITE_PIPS SITEPROP TIEOFF_X39Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y126 CLASS site SITEPROP TIEOFF_X39Y126 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y126 IS_BONDED 0 SITEPROP TIEOFF_X39Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y126 IS_PAD 0 SITEPROP TIEOFF_X39Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y126 IS_RESERVED 0 SITEPROP TIEOFF_X39Y126 IS_TEST 0 SITEPROP TIEOFF_X39Y126 IS_USED 0 SITEPROP TIEOFF_X39Y126 MANUAL_ROUTING SITEPROP TIEOFF_X39Y126 NAME TIEOFF_X39Y126 SITEPROP TIEOFF_X39Y126 NUM_ARCS 0 SITEPROP TIEOFF_X39Y126 NUM_BELS 2 SITEPROP TIEOFF_X39Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y126 NUM_PINS 2 SITEPROP TIEOFF_X39Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y126 PROHIBIT 0 SITEPROP TIEOFF_X39Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y126 RPM_X 152 SITEPROP TIEOFF_X39Y126 RPM_Y 252 SITEPROP TIEOFF_X39Y126 SITE_PIPS SITEPROP TIEOFF_X39Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y127 CLASS site SITEPROP TIEOFF_X39Y127 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y127 IS_BONDED 0 SITEPROP TIEOFF_X39Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y127 IS_PAD 0 SITEPROP TIEOFF_X39Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y127 IS_RESERVED 0 SITEPROP TIEOFF_X39Y127 IS_TEST 0 SITEPROP TIEOFF_X39Y127 IS_USED 0 SITEPROP TIEOFF_X39Y127 MANUAL_ROUTING SITEPROP TIEOFF_X39Y127 NAME TIEOFF_X39Y127 SITEPROP TIEOFF_X39Y127 NUM_ARCS 0 SITEPROP TIEOFF_X39Y127 NUM_BELS 2 SITEPROP TIEOFF_X39Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y127 NUM_PINS 2 SITEPROP TIEOFF_X39Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y127 PROHIBIT 0 SITEPROP TIEOFF_X39Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y127 RPM_X 152 SITEPROP TIEOFF_X39Y127 RPM_Y 254 SITEPROP TIEOFF_X39Y127 SITE_PIPS SITEPROP TIEOFF_X39Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y128 CLASS site SITEPROP TIEOFF_X39Y128 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y128 IS_BONDED 0 SITEPROP TIEOFF_X39Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y128 IS_PAD 0 SITEPROP TIEOFF_X39Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y128 IS_RESERVED 0 SITEPROP TIEOFF_X39Y128 IS_TEST 0 SITEPROP TIEOFF_X39Y128 IS_USED 0 SITEPROP TIEOFF_X39Y128 MANUAL_ROUTING SITEPROP TIEOFF_X39Y128 NAME TIEOFF_X39Y128 SITEPROP TIEOFF_X39Y128 NUM_ARCS 0 SITEPROP TIEOFF_X39Y128 NUM_BELS 2 SITEPROP TIEOFF_X39Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y128 NUM_PINS 2 SITEPROP TIEOFF_X39Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y128 PROHIBIT 0 SITEPROP TIEOFF_X39Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y128 RPM_X 152 SITEPROP TIEOFF_X39Y128 RPM_Y 256 SITEPROP TIEOFF_X39Y128 SITE_PIPS SITEPROP TIEOFF_X39Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y129 CLASS site SITEPROP TIEOFF_X39Y129 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y129 IS_BONDED 0 SITEPROP TIEOFF_X39Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y129 IS_PAD 0 SITEPROP TIEOFF_X39Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y129 IS_RESERVED 0 SITEPROP TIEOFF_X39Y129 IS_TEST 0 SITEPROP TIEOFF_X39Y129 IS_USED 0 SITEPROP TIEOFF_X39Y129 MANUAL_ROUTING SITEPROP TIEOFF_X39Y129 NAME TIEOFF_X39Y129 SITEPROP TIEOFF_X39Y129 NUM_ARCS 0 SITEPROP TIEOFF_X39Y129 NUM_BELS 2 SITEPROP TIEOFF_X39Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y129 NUM_PINS 2 SITEPROP TIEOFF_X39Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y129 PROHIBIT 0 SITEPROP TIEOFF_X39Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y129 RPM_X 152 SITEPROP TIEOFF_X39Y129 RPM_Y 258 SITEPROP TIEOFF_X39Y129 SITE_PIPS SITEPROP TIEOFF_X39Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y130 CLASS site SITEPROP TIEOFF_X39Y130 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y130 IS_BONDED 0 SITEPROP TIEOFF_X39Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y130 IS_PAD 0 SITEPROP TIEOFF_X39Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y130 IS_RESERVED 0 SITEPROP TIEOFF_X39Y130 IS_TEST 0 SITEPROP TIEOFF_X39Y130 IS_USED 0 SITEPROP TIEOFF_X39Y130 MANUAL_ROUTING SITEPROP TIEOFF_X39Y130 NAME TIEOFF_X39Y130 SITEPROP TIEOFF_X39Y130 NUM_ARCS 0 SITEPROP TIEOFF_X39Y130 NUM_BELS 2 SITEPROP TIEOFF_X39Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y130 NUM_PINS 2 SITEPROP TIEOFF_X39Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y130 PROHIBIT 0 SITEPROP TIEOFF_X39Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y130 RPM_X 152 SITEPROP TIEOFF_X39Y130 RPM_Y 260 SITEPROP TIEOFF_X39Y130 SITE_PIPS SITEPROP TIEOFF_X39Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y131 CLASS site SITEPROP TIEOFF_X39Y131 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y131 IS_BONDED 0 SITEPROP TIEOFF_X39Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y131 IS_PAD 0 SITEPROP TIEOFF_X39Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y131 IS_RESERVED 0 SITEPROP TIEOFF_X39Y131 IS_TEST 0 SITEPROP TIEOFF_X39Y131 IS_USED 0 SITEPROP TIEOFF_X39Y131 MANUAL_ROUTING SITEPROP TIEOFF_X39Y131 NAME TIEOFF_X39Y131 SITEPROP TIEOFF_X39Y131 NUM_ARCS 0 SITEPROP TIEOFF_X39Y131 NUM_BELS 2 SITEPROP TIEOFF_X39Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y131 NUM_PINS 2 SITEPROP TIEOFF_X39Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y131 PROHIBIT 0 SITEPROP TIEOFF_X39Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y131 RPM_X 152 SITEPROP TIEOFF_X39Y131 RPM_Y 262 SITEPROP TIEOFF_X39Y131 SITE_PIPS SITEPROP TIEOFF_X39Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y132 CLASS site SITEPROP TIEOFF_X39Y132 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y132 IS_BONDED 0 SITEPROP TIEOFF_X39Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y132 IS_PAD 0 SITEPROP TIEOFF_X39Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y132 IS_RESERVED 0 SITEPROP TIEOFF_X39Y132 IS_TEST 0 SITEPROP TIEOFF_X39Y132 IS_USED 0 SITEPROP TIEOFF_X39Y132 MANUAL_ROUTING SITEPROP TIEOFF_X39Y132 NAME TIEOFF_X39Y132 SITEPROP TIEOFF_X39Y132 NUM_ARCS 0 SITEPROP TIEOFF_X39Y132 NUM_BELS 2 SITEPROP TIEOFF_X39Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y132 NUM_PINS 2 SITEPROP TIEOFF_X39Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y132 PROHIBIT 0 SITEPROP TIEOFF_X39Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y132 RPM_X 152 SITEPROP TIEOFF_X39Y132 RPM_Y 264 SITEPROP TIEOFF_X39Y132 SITE_PIPS SITEPROP TIEOFF_X39Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y133 CLASS site SITEPROP TIEOFF_X39Y133 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y133 IS_BONDED 0 SITEPROP TIEOFF_X39Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y133 IS_PAD 0 SITEPROP TIEOFF_X39Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y133 IS_RESERVED 0 SITEPROP TIEOFF_X39Y133 IS_TEST 0 SITEPROP TIEOFF_X39Y133 IS_USED 0 SITEPROP TIEOFF_X39Y133 MANUAL_ROUTING SITEPROP TIEOFF_X39Y133 NAME TIEOFF_X39Y133 SITEPROP TIEOFF_X39Y133 NUM_ARCS 0 SITEPROP TIEOFF_X39Y133 NUM_BELS 2 SITEPROP TIEOFF_X39Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y133 NUM_PINS 2 SITEPROP TIEOFF_X39Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y133 PROHIBIT 0 SITEPROP TIEOFF_X39Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y133 RPM_X 152 SITEPROP TIEOFF_X39Y133 RPM_Y 266 SITEPROP TIEOFF_X39Y133 SITE_PIPS SITEPROP TIEOFF_X39Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y134 CLASS site SITEPROP TIEOFF_X39Y134 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y134 IS_BONDED 0 SITEPROP TIEOFF_X39Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y134 IS_PAD 0 SITEPROP TIEOFF_X39Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y134 IS_RESERVED 0 SITEPROP TIEOFF_X39Y134 IS_TEST 0 SITEPROP TIEOFF_X39Y134 IS_USED 0 SITEPROP TIEOFF_X39Y134 MANUAL_ROUTING SITEPROP TIEOFF_X39Y134 NAME TIEOFF_X39Y134 SITEPROP TIEOFF_X39Y134 NUM_ARCS 0 SITEPROP TIEOFF_X39Y134 NUM_BELS 2 SITEPROP TIEOFF_X39Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y134 NUM_PINS 2 SITEPROP TIEOFF_X39Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y134 PROHIBIT 0 SITEPROP TIEOFF_X39Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y134 RPM_X 152 SITEPROP TIEOFF_X39Y134 RPM_Y 268 SITEPROP TIEOFF_X39Y134 SITE_PIPS SITEPROP TIEOFF_X39Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y135 CLASS site SITEPROP TIEOFF_X39Y135 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y135 IS_BONDED 0 SITEPROP TIEOFF_X39Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y135 IS_PAD 0 SITEPROP TIEOFF_X39Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y135 IS_RESERVED 0 SITEPROP TIEOFF_X39Y135 IS_TEST 0 SITEPROP TIEOFF_X39Y135 IS_USED 0 SITEPROP TIEOFF_X39Y135 MANUAL_ROUTING SITEPROP TIEOFF_X39Y135 NAME TIEOFF_X39Y135 SITEPROP TIEOFF_X39Y135 NUM_ARCS 0 SITEPROP TIEOFF_X39Y135 NUM_BELS 2 SITEPROP TIEOFF_X39Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y135 NUM_PINS 2 SITEPROP TIEOFF_X39Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y135 PROHIBIT 0 SITEPROP TIEOFF_X39Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y135 RPM_X 152 SITEPROP TIEOFF_X39Y135 RPM_Y 270 SITEPROP TIEOFF_X39Y135 SITE_PIPS SITEPROP TIEOFF_X39Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y136 CLASS site SITEPROP TIEOFF_X39Y136 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y136 IS_BONDED 0 SITEPROP TIEOFF_X39Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y136 IS_PAD 0 SITEPROP TIEOFF_X39Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y136 IS_RESERVED 0 SITEPROP TIEOFF_X39Y136 IS_TEST 0 SITEPROP TIEOFF_X39Y136 IS_USED 0 SITEPROP TIEOFF_X39Y136 MANUAL_ROUTING SITEPROP TIEOFF_X39Y136 NAME TIEOFF_X39Y136 SITEPROP TIEOFF_X39Y136 NUM_ARCS 0 SITEPROP TIEOFF_X39Y136 NUM_BELS 2 SITEPROP TIEOFF_X39Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y136 NUM_PINS 2 SITEPROP TIEOFF_X39Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y136 PROHIBIT 0 SITEPROP TIEOFF_X39Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y136 RPM_X 152 SITEPROP TIEOFF_X39Y136 RPM_Y 272 SITEPROP TIEOFF_X39Y136 SITE_PIPS SITEPROP TIEOFF_X39Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y137 CLASS site SITEPROP TIEOFF_X39Y137 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y137 IS_BONDED 0 SITEPROP TIEOFF_X39Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y137 IS_PAD 0 SITEPROP TIEOFF_X39Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y137 IS_RESERVED 0 SITEPROP TIEOFF_X39Y137 IS_TEST 0 SITEPROP TIEOFF_X39Y137 IS_USED 0 SITEPROP TIEOFF_X39Y137 MANUAL_ROUTING SITEPROP TIEOFF_X39Y137 NAME TIEOFF_X39Y137 SITEPROP TIEOFF_X39Y137 NUM_ARCS 0 SITEPROP TIEOFF_X39Y137 NUM_BELS 2 SITEPROP TIEOFF_X39Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y137 NUM_PINS 2 SITEPROP TIEOFF_X39Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y137 PROHIBIT 0 SITEPROP TIEOFF_X39Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y137 RPM_X 152 SITEPROP TIEOFF_X39Y137 RPM_Y 274 SITEPROP TIEOFF_X39Y137 SITE_PIPS SITEPROP TIEOFF_X39Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y138 CLASS site SITEPROP TIEOFF_X39Y138 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y138 IS_BONDED 0 SITEPROP TIEOFF_X39Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y138 IS_PAD 0 SITEPROP TIEOFF_X39Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y138 IS_RESERVED 0 SITEPROP TIEOFF_X39Y138 IS_TEST 0 SITEPROP TIEOFF_X39Y138 IS_USED 0 SITEPROP TIEOFF_X39Y138 MANUAL_ROUTING SITEPROP TIEOFF_X39Y138 NAME TIEOFF_X39Y138 SITEPROP TIEOFF_X39Y138 NUM_ARCS 0 SITEPROP TIEOFF_X39Y138 NUM_BELS 2 SITEPROP TIEOFF_X39Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y138 NUM_PINS 2 SITEPROP TIEOFF_X39Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y138 PROHIBIT 0 SITEPROP TIEOFF_X39Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y138 RPM_X 152 SITEPROP TIEOFF_X39Y138 RPM_Y 276 SITEPROP TIEOFF_X39Y138 SITE_PIPS SITEPROP TIEOFF_X39Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y139 CLASS site SITEPROP TIEOFF_X39Y139 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y139 IS_BONDED 0 SITEPROP TIEOFF_X39Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y139 IS_PAD 0 SITEPROP TIEOFF_X39Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y139 IS_RESERVED 0 SITEPROP TIEOFF_X39Y139 IS_TEST 0 SITEPROP TIEOFF_X39Y139 IS_USED 0 SITEPROP TIEOFF_X39Y139 MANUAL_ROUTING SITEPROP TIEOFF_X39Y139 NAME TIEOFF_X39Y139 SITEPROP TIEOFF_X39Y139 NUM_ARCS 0 SITEPROP TIEOFF_X39Y139 NUM_BELS 2 SITEPROP TIEOFF_X39Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y139 NUM_PINS 2 SITEPROP TIEOFF_X39Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y139 PROHIBIT 0 SITEPROP TIEOFF_X39Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y139 RPM_X 152 SITEPROP TIEOFF_X39Y139 RPM_Y 278 SITEPROP TIEOFF_X39Y139 SITE_PIPS SITEPROP TIEOFF_X39Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y140 CLASS site SITEPROP TIEOFF_X39Y140 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y140 IS_BONDED 0 SITEPROP TIEOFF_X39Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y140 IS_PAD 0 SITEPROP TIEOFF_X39Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y140 IS_RESERVED 0 SITEPROP TIEOFF_X39Y140 IS_TEST 0 SITEPROP TIEOFF_X39Y140 IS_USED 0 SITEPROP TIEOFF_X39Y140 MANUAL_ROUTING SITEPROP TIEOFF_X39Y140 NAME TIEOFF_X39Y140 SITEPROP TIEOFF_X39Y140 NUM_ARCS 0 SITEPROP TIEOFF_X39Y140 NUM_BELS 2 SITEPROP TIEOFF_X39Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y140 NUM_PINS 2 SITEPROP TIEOFF_X39Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y140 PROHIBIT 0 SITEPROP TIEOFF_X39Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y140 RPM_X 152 SITEPROP TIEOFF_X39Y140 RPM_Y 280 SITEPROP TIEOFF_X39Y140 SITE_PIPS SITEPROP TIEOFF_X39Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y141 CLASS site SITEPROP TIEOFF_X39Y141 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y141 IS_BONDED 0 SITEPROP TIEOFF_X39Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y141 IS_PAD 0 SITEPROP TIEOFF_X39Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y141 IS_RESERVED 0 SITEPROP TIEOFF_X39Y141 IS_TEST 0 SITEPROP TIEOFF_X39Y141 IS_USED 0 SITEPROP TIEOFF_X39Y141 MANUAL_ROUTING SITEPROP TIEOFF_X39Y141 NAME TIEOFF_X39Y141 SITEPROP TIEOFF_X39Y141 NUM_ARCS 0 SITEPROP TIEOFF_X39Y141 NUM_BELS 2 SITEPROP TIEOFF_X39Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y141 NUM_PINS 2 SITEPROP TIEOFF_X39Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y141 PROHIBIT 0 SITEPROP TIEOFF_X39Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y141 RPM_X 152 SITEPROP TIEOFF_X39Y141 RPM_Y 282 SITEPROP TIEOFF_X39Y141 SITE_PIPS SITEPROP TIEOFF_X39Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y142 CLASS site SITEPROP TIEOFF_X39Y142 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y142 IS_BONDED 0 SITEPROP TIEOFF_X39Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y142 IS_PAD 0 SITEPROP TIEOFF_X39Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y142 IS_RESERVED 0 SITEPROP TIEOFF_X39Y142 IS_TEST 0 SITEPROP TIEOFF_X39Y142 IS_USED 0 SITEPROP TIEOFF_X39Y142 MANUAL_ROUTING SITEPROP TIEOFF_X39Y142 NAME TIEOFF_X39Y142 SITEPROP TIEOFF_X39Y142 NUM_ARCS 0 SITEPROP TIEOFF_X39Y142 NUM_BELS 2 SITEPROP TIEOFF_X39Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y142 NUM_PINS 2 SITEPROP TIEOFF_X39Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y142 PROHIBIT 0 SITEPROP TIEOFF_X39Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y142 RPM_X 152 SITEPROP TIEOFF_X39Y142 RPM_Y 284 SITEPROP TIEOFF_X39Y142 SITE_PIPS SITEPROP TIEOFF_X39Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y143 CLASS site SITEPROP TIEOFF_X39Y143 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y143 IS_BONDED 0 SITEPROP TIEOFF_X39Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y143 IS_PAD 0 SITEPROP TIEOFF_X39Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y143 IS_RESERVED 0 SITEPROP TIEOFF_X39Y143 IS_TEST 0 SITEPROP TIEOFF_X39Y143 IS_USED 0 SITEPROP TIEOFF_X39Y143 MANUAL_ROUTING SITEPROP TIEOFF_X39Y143 NAME TIEOFF_X39Y143 SITEPROP TIEOFF_X39Y143 NUM_ARCS 0 SITEPROP TIEOFF_X39Y143 NUM_BELS 2 SITEPROP TIEOFF_X39Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y143 NUM_PINS 2 SITEPROP TIEOFF_X39Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y143 PROHIBIT 0 SITEPROP TIEOFF_X39Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y143 RPM_X 152 SITEPROP TIEOFF_X39Y143 RPM_Y 286 SITEPROP TIEOFF_X39Y143 SITE_PIPS SITEPROP TIEOFF_X39Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y144 CLASS site SITEPROP TIEOFF_X39Y144 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y144 IS_BONDED 0 SITEPROP TIEOFF_X39Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y144 IS_PAD 0 SITEPROP TIEOFF_X39Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y144 IS_RESERVED 0 SITEPROP TIEOFF_X39Y144 IS_TEST 0 SITEPROP TIEOFF_X39Y144 IS_USED 0 SITEPROP TIEOFF_X39Y144 MANUAL_ROUTING SITEPROP TIEOFF_X39Y144 NAME TIEOFF_X39Y144 SITEPROP TIEOFF_X39Y144 NUM_ARCS 0 SITEPROP TIEOFF_X39Y144 NUM_BELS 2 SITEPROP TIEOFF_X39Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y144 NUM_PINS 2 SITEPROP TIEOFF_X39Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y144 PROHIBIT 0 SITEPROP TIEOFF_X39Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y144 RPM_X 152 SITEPROP TIEOFF_X39Y144 RPM_Y 288 SITEPROP TIEOFF_X39Y144 SITE_PIPS SITEPROP TIEOFF_X39Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y145 CLASS site SITEPROP TIEOFF_X39Y145 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y145 IS_BONDED 0 SITEPROP TIEOFF_X39Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y145 IS_PAD 0 SITEPROP TIEOFF_X39Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y145 IS_RESERVED 0 SITEPROP TIEOFF_X39Y145 IS_TEST 0 SITEPROP TIEOFF_X39Y145 IS_USED 0 SITEPROP TIEOFF_X39Y145 MANUAL_ROUTING SITEPROP TIEOFF_X39Y145 NAME TIEOFF_X39Y145 SITEPROP TIEOFF_X39Y145 NUM_ARCS 0 SITEPROP TIEOFF_X39Y145 NUM_BELS 2 SITEPROP TIEOFF_X39Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y145 NUM_PINS 2 SITEPROP TIEOFF_X39Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y145 PROHIBIT 0 SITEPROP TIEOFF_X39Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y145 RPM_X 152 SITEPROP TIEOFF_X39Y145 RPM_Y 290 SITEPROP TIEOFF_X39Y145 SITE_PIPS SITEPROP TIEOFF_X39Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y146 CLASS site SITEPROP TIEOFF_X39Y146 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y146 IS_BONDED 0 SITEPROP TIEOFF_X39Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y146 IS_PAD 0 SITEPROP TIEOFF_X39Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y146 IS_RESERVED 0 SITEPROP TIEOFF_X39Y146 IS_TEST 0 SITEPROP TIEOFF_X39Y146 IS_USED 0 SITEPROP TIEOFF_X39Y146 MANUAL_ROUTING SITEPROP TIEOFF_X39Y146 NAME TIEOFF_X39Y146 SITEPROP TIEOFF_X39Y146 NUM_ARCS 0 SITEPROP TIEOFF_X39Y146 NUM_BELS 2 SITEPROP TIEOFF_X39Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y146 NUM_PINS 2 SITEPROP TIEOFF_X39Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y146 PROHIBIT 0 SITEPROP TIEOFF_X39Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y146 RPM_X 152 SITEPROP TIEOFF_X39Y146 RPM_Y 292 SITEPROP TIEOFF_X39Y146 SITE_PIPS SITEPROP TIEOFF_X39Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y147 CLASS site SITEPROP TIEOFF_X39Y147 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y147 IS_BONDED 0 SITEPROP TIEOFF_X39Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y147 IS_PAD 0 SITEPROP TIEOFF_X39Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y147 IS_RESERVED 0 SITEPROP TIEOFF_X39Y147 IS_TEST 0 SITEPROP TIEOFF_X39Y147 IS_USED 0 SITEPROP TIEOFF_X39Y147 MANUAL_ROUTING SITEPROP TIEOFF_X39Y147 NAME TIEOFF_X39Y147 SITEPROP TIEOFF_X39Y147 NUM_ARCS 0 SITEPROP TIEOFF_X39Y147 NUM_BELS 2 SITEPROP TIEOFF_X39Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y147 NUM_PINS 2 SITEPROP TIEOFF_X39Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y147 PROHIBIT 0 SITEPROP TIEOFF_X39Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y147 RPM_X 152 SITEPROP TIEOFF_X39Y147 RPM_Y 294 SITEPROP TIEOFF_X39Y147 SITE_PIPS SITEPROP TIEOFF_X39Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y148 CLASS site SITEPROP TIEOFF_X39Y148 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y148 IS_BONDED 0 SITEPROP TIEOFF_X39Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y148 IS_PAD 0 SITEPROP TIEOFF_X39Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y148 IS_RESERVED 0 SITEPROP TIEOFF_X39Y148 IS_TEST 0 SITEPROP TIEOFF_X39Y148 IS_USED 0 SITEPROP TIEOFF_X39Y148 MANUAL_ROUTING SITEPROP TIEOFF_X39Y148 NAME TIEOFF_X39Y148 SITEPROP TIEOFF_X39Y148 NUM_ARCS 0 SITEPROP TIEOFF_X39Y148 NUM_BELS 2 SITEPROP TIEOFF_X39Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y148 NUM_PINS 2 SITEPROP TIEOFF_X39Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y148 PROHIBIT 0 SITEPROP TIEOFF_X39Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y148 RPM_X 152 SITEPROP TIEOFF_X39Y148 RPM_Y 296 SITEPROP TIEOFF_X39Y148 SITE_PIPS SITEPROP TIEOFF_X39Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X39Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X39Y149 CLASS site SITEPROP TIEOFF_X39Y149 CLOCK_REGION X1Y2 SITEPROP TIEOFF_X39Y149 IS_BONDED 0 SITEPROP TIEOFF_X39Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y149 IS_PAD 0 SITEPROP TIEOFF_X39Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X39Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X39Y149 IS_RESERVED 0 SITEPROP TIEOFF_X39Y149 IS_TEST 0 SITEPROP TIEOFF_X39Y149 IS_USED 0 SITEPROP TIEOFF_X39Y149 MANUAL_ROUTING SITEPROP TIEOFF_X39Y149 NAME TIEOFF_X39Y149 SITEPROP TIEOFF_X39Y149 NUM_ARCS 0 SITEPROP TIEOFF_X39Y149 NUM_BELS 2 SITEPROP TIEOFF_X39Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X39Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X39Y149 NUM_PINS 2 SITEPROP TIEOFF_X39Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X39Y149 PROHIBIT 0 SITEPROP TIEOFF_X39Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X39Y149 RPM_X 152 SITEPROP TIEOFF_X39Y149 RPM_Y 298 SITEPROP TIEOFF_X39Y149 SITE_PIPS SITEPROP TIEOFF_X39Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y0 CLASS site SITEPROP TIEOFF_X3Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y0 IS_BONDED 0 SITEPROP TIEOFF_X3Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y0 IS_PAD 0 SITEPROP TIEOFF_X3Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y0 IS_RESERVED 0 SITEPROP TIEOFF_X3Y0 IS_TEST 0 SITEPROP TIEOFF_X3Y0 IS_USED 0 SITEPROP TIEOFF_X3Y0 MANUAL_ROUTING SITEPROP TIEOFF_X3Y0 NAME TIEOFF_X3Y0 SITEPROP TIEOFF_X3Y0 NUM_ARCS 0 SITEPROP TIEOFF_X3Y0 NUM_BELS 2 SITEPROP TIEOFF_X3Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y0 NUM_PINS 2 SITEPROP TIEOFF_X3Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y0 PROHIBIT 0 SITEPROP TIEOFF_X3Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y0 RPM_X 20 SITEPROP TIEOFF_X3Y0 RPM_Y 0 SITEPROP TIEOFF_X3Y0 SITE_PIPS SITEPROP TIEOFF_X3Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y1 CLASS site SITEPROP TIEOFF_X3Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y1 IS_BONDED 0 SITEPROP TIEOFF_X3Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y1 IS_PAD 0 SITEPROP TIEOFF_X3Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y1 IS_RESERVED 0 SITEPROP TIEOFF_X3Y1 IS_TEST 0 SITEPROP TIEOFF_X3Y1 IS_USED 0 SITEPROP TIEOFF_X3Y1 MANUAL_ROUTING SITEPROP TIEOFF_X3Y1 NAME TIEOFF_X3Y1 SITEPROP TIEOFF_X3Y1 NUM_ARCS 0 SITEPROP TIEOFF_X3Y1 NUM_BELS 2 SITEPROP TIEOFF_X3Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y1 NUM_PINS 2 SITEPROP TIEOFF_X3Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y1 PROHIBIT 0 SITEPROP TIEOFF_X3Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y1 RPM_X 20 SITEPROP TIEOFF_X3Y1 RPM_Y 2 SITEPROP TIEOFF_X3Y1 SITE_PIPS SITEPROP TIEOFF_X3Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y2 CLASS site SITEPROP TIEOFF_X3Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y2 IS_BONDED 0 SITEPROP TIEOFF_X3Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y2 IS_PAD 0 SITEPROP TIEOFF_X3Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y2 IS_RESERVED 0 SITEPROP TIEOFF_X3Y2 IS_TEST 0 SITEPROP TIEOFF_X3Y2 IS_USED 0 SITEPROP TIEOFF_X3Y2 MANUAL_ROUTING SITEPROP TIEOFF_X3Y2 NAME TIEOFF_X3Y2 SITEPROP TIEOFF_X3Y2 NUM_ARCS 0 SITEPROP TIEOFF_X3Y2 NUM_BELS 2 SITEPROP TIEOFF_X3Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y2 NUM_PINS 2 SITEPROP TIEOFF_X3Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y2 PROHIBIT 0 SITEPROP TIEOFF_X3Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y2 RPM_X 20 SITEPROP TIEOFF_X3Y2 RPM_Y 4 SITEPROP TIEOFF_X3Y2 SITE_PIPS SITEPROP TIEOFF_X3Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y3 CLASS site SITEPROP TIEOFF_X3Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y3 IS_BONDED 0 SITEPROP TIEOFF_X3Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y3 IS_PAD 0 SITEPROP TIEOFF_X3Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y3 IS_RESERVED 0 SITEPROP TIEOFF_X3Y3 IS_TEST 0 SITEPROP TIEOFF_X3Y3 IS_USED 0 SITEPROP TIEOFF_X3Y3 MANUAL_ROUTING SITEPROP TIEOFF_X3Y3 NAME TIEOFF_X3Y3 SITEPROP TIEOFF_X3Y3 NUM_ARCS 0 SITEPROP TIEOFF_X3Y3 NUM_BELS 2 SITEPROP TIEOFF_X3Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y3 NUM_PINS 2 SITEPROP TIEOFF_X3Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y3 PROHIBIT 0 SITEPROP TIEOFF_X3Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y3 RPM_X 20 SITEPROP TIEOFF_X3Y3 RPM_Y 6 SITEPROP TIEOFF_X3Y3 SITE_PIPS SITEPROP TIEOFF_X3Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y4 CLASS site SITEPROP TIEOFF_X3Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y4 IS_BONDED 0 SITEPROP TIEOFF_X3Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y4 IS_PAD 0 SITEPROP TIEOFF_X3Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y4 IS_RESERVED 0 SITEPROP TIEOFF_X3Y4 IS_TEST 0 SITEPROP TIEOFF_X3Y4 IS_USED 0 SITEPROP TIEOFF_X3Y4 MANUAL_ROUTING SITEPROP TIEOFF_X3Y4 NAME TIEOFF_X3Y4 SITEPROP TIEOFF_X3Y4 NUM_ARCS 0 SITEPROP TIEOFF_X3Y4 NUM_BELS 2 SITEPROP TIEOFF_X3Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y4 NUM_PINS 2 SITEPROP TIEOFF_X3Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y4 PROHIBIT 0 SITEPROP TIEOFF_X3Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y4 RPM_X 20 SITEPROP TIEOFF_X3Y4 RPM_Y 8 SITEPROP TIEOFF_X3Y4 SITE_PIPS SITEPROP TIEOFF_X3Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y5 CLASS site SITEPROP TIEOFF_X3Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y5 IS_BONDED 0 SITEPROP TIEOFF_X3Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y5 IS_PAD 0 SITEPROP TIEOFF_X3Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y5 IS_RESERVED 0 SITEPROP TIEOFF_X3Y5 IS_TEST 0 SITEPROP TIEOFF_X3Y5 IS_USED 0 SITEPROP TIEOFF_X3Y5 MANUAL_ROUTING SITEPROP TIEOFF_X3Y5 NAME TIEOFF_X3Y5 SITEPROP TIEOFF_X3Y5 NUM_ARCS 0 SITEPROP TIEOFF_X3Y5 NUM_BELS 2 SITEPROP TIEOFF_X3Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y5 NUM_PINS 2 SITEPROP TIEOFF_X3Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y5 PROHIBIT 0 SITEPROP TIEOFF_X3Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y5 RPM_X 20 SITEPROP TIEOFF_X3Y5 RPM_Y 10 SITEPROP TIEOFF_X3Y5 SITE_PIPS SITEPROP TIEOFF_X3Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y6 CLASS site SITEPROP TIEOFF_X3Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y6 IS_BONDED 0 SITEPROP TIEOFF_X3Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y6 IS_PAD 0 SITEPROP TIEOFF_X3Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y6 IS_RESERVED 0 SITEPROP TIEOFF_X3Y6 IS_TEST 0 SITEPROP TIEOFF_X3Y6 IS_USED 0 SITEPROP TIEOFF_X3Y6 MANUAL_ROUTING SITEPROP TIEOFF_X3Y6 NAME TIEOFF_X3Y6 SITEPROP TIEOFF_X3Y6 NUM_ARCS 0 SITEPROP TIEOFF_X3Y6 NUM_BELS 2 SITEPROP TIEOFF_X3Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y6 NUM_PINS 2 SITEPROP TIEOFF_X3Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y6 PROHIBIT 0 SITEPROP TIEOFF_X3Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y6 RPM_X 20 SITEPROP TIEOFF_X3Y6 RPM_Y 12 SITEPROP TIEOFF_X3Y6 SITE_PIPS SITEPROP TIEOFF_X3Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y7 CLASS site SITEPROP TIEOFF_X3Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y7 IS_BONDED 0 SITEPROP TIEOFF_X3Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y7 IS_PAD 0 SITEPROP TIEOFF_X3Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y7 IS_RESERVED 0 SITEPROP TIEOFF_X3Y7 IS_TEST 0 SITEPROP TIEOFF_X3Y7 IS_USED 0 SITEPROP TIEOFF_X3Y7 MANUAL_ROUTING SITEPROP TIEOFF_X3Y7 NAME TIEOFF_X3Y7 SITEPROP TIEOFF_X3Y7 NUM_ARCS 0 SITEPROP TIEOFF_X3Y7 NUM_BELS 2 SITEPROP TIEOFF_X3Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y7 NUM_PINS 2 SITEPROP TIEOFF_X3Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y7 PROHIBIT 0 SITEPROP TIEOFF_X3Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y7 RPM_X 20 SITEPROP TIEOFF_X3Y7 RPM_Y 14 SITEPROP TIEOFF_X3Y7 SITE_PIPS SITEPROP TIEOFF_X3Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y8 CLASS site SITEPROP TIEOFF_X3Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y8 IS_BONDED 0 SITEPROP TIEOFF_X3Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y8 IS_PAD 0 SITEPROP TIEOFF_X3Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y8 IS_RESERVED 0 SITEPROP TIEOFF_X3Y8 IS_TEST 0 SITEPROP TIEOFF_X3Y8 IS_USED 0 SITEPROP TIEOFF_X3Y8 MANUAL_ROUTING SITEPROP TIEOFF_X3Y8 NAME TIEOFF_X3Y8 SITEPROP TIEOFF_X3Y8 NUM_ARCS 0 SITEPROP TIEOFF_X3Y8 NUM_BELS 2 SITEPROP TIEOFF_X3Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y8 NUM_PINS 2 SITEPROP TIEOFF_X3Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y8 PROHIBIT 0 SITEPROP TIEOFF_X3Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y8 RPM_X 20 SITEPROP TIEOFF_X3Y8 RPM_Y 16 SITEPROP TIEOFF_X3Y8 SITE_PIPS SITEPROP TIEOFF_X3Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y9 CLASS site SITEPROP TIEOFF_X3Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y9 IS_BONDED 0 SITEPROP TIEOFF_X3Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y9 IS_PAD 0 SITEPROP TIEOFF_X3Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y9 IS_RESERVED 0 SITEPROP TIEOFF_X3Y9 IS_TEST 0 SITEPROP TIEOFF_X3Y9 IS_USED 0 SITEPROP TIEOFF_X3Y9 MANUAL_ROUTING SITEPROP TIEOFF_X3Y9 NAME TIEOFF_X3Y9 SITEPROP TIEOFF_X3Y9 NUM_ARCS 0 SITEPROP TIEOFF_X3Y9 NUM_BELS 2 SITEPROP TIEOFF_X3Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y9 NUM_PINS 2 SITEPROP TIEOFF_X3Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y9 PROHIBIT 0 SITEPROP TIEOFF_X3Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y9 RPM_X 20 SITEPROP TIEOFF_X3Y9 RPM_Y 18 SITEPROP TIEOFF_X3Y9 SITE_PIPS SITEPROP TIEOFF_X3Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y10 CLASS site SITEPROP TIEOFF_X3Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y10 IS_BONDED 0 SITEPROP TIEOFF_X3Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y10 IS_PAD 0 SITEPROP TIEOFF_X3Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y10 IS_RESERVED 0 SITEPROP TIEOFF_X3Y10 IS_TEST 0 SITEPROP TIEOFF_X3Y10 IS_USED 0 SITEPROP TIEOFF_X3Y10 MANUAL_ROUTING SITEPROP TIEOFF_X3Y10 NAME TIEOFF_X3Y10 SITEPROP TIEOFF_X3Y10 NUM_ARCS 0 SITEPROP TIEOFF_X3Y10 NUM_BELS 2 SITEPROP TIEOFF_X3Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y10 NUM_PINS 2 SITEPROP TIEOFF_X3Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y10 PROHIBIT 0 SITEPROP TIEOFF_X3Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y10 RPM_X 20 SITEPROP TIEOFF_X3Y10 RPM_Y 20 SITEPROP TIEOFF_X3Y10 SITE_PIPS SITEPROP TIEOFF_X3Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y11 CLASS site SITEPROP TIEOFF_X3Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y11 IS_BONDED 0 SITEPROP TIEOFF_X3Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y11 IS_PAD 0 SITEPROP TIEOFF_X3Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y11 IS_RESERVED 0 SITEPROP TIEOFF_X3Y11 IS_TEST 0 SITEPROP TIEOFF_X3Y11 IS_USED 0 SITEPROP TIEOFF_X3Y11 MANUAL_ROUTING SITEPROP TIEOFF_X3Y11 NAME TIEOFF_X3Y11 SITEPROP TIEOFF_X3Y11 NUM_ARCS 0 SITEPROP TIEOFF_X3Y11 NUM_BELS 2 SITEPROP TIEOFF_X3Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y11 NUM_PINS 2 SITEPROP TIEOFF_X3Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y11 PROHIBIT 0 SITEPROP TIEOFF_X3Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y11 RPM_X 20 SITEPROP TIEOFF_X3Y11 RPM_Y 22 SITEPROP TIEOFF_X3Y11 SITE_PIPS SITEPROP TIEOFF_X3Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y12 CLASS site SITEPROP TIEOFF_X3Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y12 IS_BONDED 0 SITEPROP TIEOFF_X3Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y12 IS_PAD 0 SITEPROP TIEOFF_X3Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y12 IS_RESERVED 0 SITEPROP TIEOFF_X3Y12 IS_TEST 0 SITEPROP TIEOFF_X3Y12 IS_USED 0 SITEPROP TIEOFF_X3Y12 MANUAL_ROUTING SITEPROP TIEOFF_X3Y12 NAME TIEOFF_X3Y12 SITEPROP TIEOFF_X3Y12 NUM_ARCS 0 SITEPROP TIEOFF_X3Y12 NUM_BELS 2 SITEPROP TIEOFF_X3Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y12 NUM_PINS 2 SITEPROP TIEOFF_X3Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y12 PROHIBIT 0 SITEPROP TIEOFF_X3Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y12 RPM_X 20 SITEPROP TIEOFF_X3Y12 RPM_Y 24 SITEPROP TIEOFF_X3Y12 SITE_PIPS SITEPROP TIEOFF_X3Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y13 CLASS site SITEPROP TIEOFF_X3Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y13 IS_BONDED 0 SITEPROP TIEOFF_X3Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y13 IS_PAD 0 SITEPROP TIEOFF_X3Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y13 IS_RESERVED 0 SITEPROP TIEOFF_X3Y13 IS_TEST 0 SITEPROP TIEOFF_X3Y13 IS_USED 0 SITEPROP TIEOFF_X3Y13 MANUAL_ROUTING SITEPROP TIEOFF_X3Y13 NAME TIEOFF_X3Y13 SITEPROP TIEOFF_X3Y13 NUM_ARCS 0 SITEPROP TIEOFF_X3Y13 NUM_BELS 2 SITEPROP TIEOFF_X3Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y13 NUM_PINS 2 SITEPROP TIEOFF_X3Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y13 PROHIBIT 0 SITEPROP TIEOFF_X3Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y13 RPM_X 20 SITEPROP TIEOFF_X3Y13 RPM_Y 26 SITEPROP TIEOFF_X3Y13 SITE_PIPS SITEPROP TIEOFF_X3Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y14 CLASS site SITEPROP TIEOFF_X3Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y14 IS_BONDED 0 SITEPROP TIEOFF_X3Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y14 IS_PAD 0 SITEPROP TIEOFF_X3Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y14 IS_RESERVED 0 SITEPROP TIEOFF_X3Y14 IS_TEST 0 SITEPROP TIEOFF_X3Y14 IS_USED 0 SITEPROP TIEOFF_X3Y14 MANUAL_ROUTING SITEPROP TIEOFF_X3Y14 NAME TIEOFF_X3Y14 SITEPROP TIEOFF_X3Y14 NUM_ARCS 0 SITEPROP TIEOFF_X3Y14 NUM_BELS 2 SITEPROP TIEOFF_X3Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y14 NUM_PINS 2 SITEPROP TIEOFF_X3Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y14 PROHIBIT 0 SITEPROP TIEOFF_X3Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y14 RPM_X 20 SITEPROP TIEOFF_X3Y14 RPM_Y 28 SITEPROP TIEOFF_X3Y14 SITE_PIPS SITEPROP TIEOFF_X3Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y15 CLASS site SITEPROP TIEOFF_X3Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y15 IS_BONDED 0 SITEPROP TIEOFF_X3Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y15 IS_PAD 0 SITEPROP TIEOFF_X3Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y15 IS_RESERVED 0 SITEPROP TIEOFF_X3Y15 IS_TEST 0 SITEPROP TIEOFF_X3Y15 IS_USED 0 SITEPROP TIEOFF_X3Y15 MANUAL_ROUTING SITEPROP TIEOFF_X3Y15 NAME TIEOFF_X3Y15 SITEPROP TIEOFF_X3Y15 NUM_ARCS 0 SITEPROP TIEOFF_X3Y15 NUM_BELS 2 SITEPROP TIEOFF_X3Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y15 NUM_PINS 2 SITEPROP TIEOFF_X3Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y15 PROHIBIT 0 SITEPROP TIEOFF_X3Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y15 RPM_X 20 SITEPROP TIEOFF_X3Y15 RPM_Y 30 SITEPROP TIEOFF_X3Y15 SITE_PIPS SITEPROP TIEOFF_X3Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y16 CLASS site SITEPROP TIEOFF_X3Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y16 IS_BONDED 0 SITEPROP TIEOFF_X3Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y16 IS_PAD 0 SITEPROP TIEOFF_X3Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y16 IS_RESERVED 0 SITEPROP TIEOFF_X3Y16 IS_TEST 0 SITEPROP TIEOFF_X3Y16 IS_USED 0 SITEPROP TIEOFF_X3Y16 MANUAL_ROUTING SITEPROP TIEOFF_X3Y16 NAME TIEOFF_X3Y16 SITEPROP TIEOFF_X3Y16 NUM_ARCS 0 SITEPROP TIEOFF_X3Y16 NUM_BELS 2 SITEPROP TIEOFF_X3Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y16 NUM_PINS 2 SITEPROP TIEOFF_X3Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y16 PROHIBIT 0 SITEPROP TIEOFF_X3Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y16 RPM_X 20 SITEPROP TIEOFF_X3Y16 RPM_Y 32 SITEPROP TIEOFF_X3Y16 SITE_PIPS SITEPROP TIEOFF_X3Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y17 CLASS site SITEPROP TIEOFF_X3Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y17 IS_BONDED 0 SITEPROP TIEOFF_X3Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y17 IS_PAD 0 SITEPROP TIEOFF_X3Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y17 IS_RESERVED 0 SITEPROP TIEOFF_X3Y17 IS_TEST 0 SITEPROP TIEOFF_X3Y17 IS_USED 0 SITEPROP TIEOFF_X3Y17 MANUAL_ROUTING SITEPROP TIEOFF_X3Y17 NAME TIEOFF_X3Y17 SITEPROP TIEOFF_X3Y17 NUM_ARCS 0 SITEPROP TIEOFF_X3Y17 NUM_BELS 2 SITEPROP TIEOFF_X3Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y17 NUM_PINS 2 SITEPROP TIEOFF_X3Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y17 PROHIBIT 0 SITEPROP TIEOFF_X3Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y17 RPM_X 20 SITEPROP TIEOFF_X3Y17 RPM_Y 34 SITEPROP TIEOFF_X3Y17 SITE_PIPS SITEPROP TIEOFF_X3Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y18 CLASS site SITEPROP TIEOFF_X3Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y18 IS_BONDED 0 SITEPROP TIEOFF_X3Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y18 IS_PAD 0 SITEPROP TIEOFF_X3Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y18 IS_RESERVED 0 SITEPROP TIEOFF_X3Y18 IS_TEST 0 SITEPROP TIEOFF_X3Y18 IS_USED 0 SITEPROP TIEOFF_X3Y18 MANUAL_ROUTING SITEPROP TIEOFF_X3Y18 NAME TIEOFF_X3Y18 SITEPROP TIEOFF_X3Y18 NUM_ARCS 0 SITEPROP TIEOFF_X3Y18 NUM_BELS 2 SITEPROP TIEOFF_X3Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y18 NUM_PINS 2 SITEPROP TIEOFF_X3Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y18 PROHIBIT 0 SITEPROP TIEOFF_X3Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y18 RPM_X 20 SITEPROP TIEOFF_X3Y18 RPM_Y 36 SITEPROP TIEOFF_X3Y18 SITE_PIPS SITEPROP TIEOFF_X3Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y19 CLASS site SITEPROP TIEOFF_X3Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y19 IS_BONDED 0 SITEPROP TIEOFF_X3Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y19 IS_PAD 0 SITEPROP TIEOFF_X3Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y19 IS_RESERVED 0 SITEPROP TIEOFF_X3Y19 IS_TEST 0 SITEPROP TIEOFF_X3Y19 IS_USED 0 SITEPROP TIEOFF_X3Y19 MANUAL_ROUTING SITEPROP TIEOFF_X3Y19 NAME TIEOFF_X3Y19 SITEPROP TIEOFF_X3Y19 NUM_ARCS 0 SITEPROP TIEOFF_X3Y19 NUM_BELS 2 SITEPROP TIEOFF_X3Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y19 NUM_PINS 2 SITEPROP TIEOFF_X3Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y19 PROHIBIT 0 SITEPROP TIEOFF_X3Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y19 RPM_X 20 SITEPROP TIEOFF_X3Y19 RPM_Y 38 SITEPROP TIEOFF_X3Y19 SITE_PIPS SITEPROP TIEOFF_X3Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y20 CLASS site SITEPROP TIEOFF_X3Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y20 IS_BONDED 0 SITEPROP TIEOFF_X3Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y20 IS_PAD 0 SITEPROP TIEOFF_X3Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y20 IS_RESERVED 0 SITEPROP TIEOFF_X3Y20 IS_TEST 0 SITEPROP TIEOFF_X3Y20 IS_USED 0 SITEPROP TIEOFF_X3Y20 MANUAL_ROUTING SITEPROP TIEOFF_X3Y20 NAME TIEOFF_X3Y20 SITEPROP TIEOFF_X3Y20 NUM_ARCS 0 SITEPROP TIEOFF_X3Y20 NUM_BELS 2 SITEPROP TIEOFF_X3Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y20 NUM_PINS 2 SITEPROP TIEOFF_X3Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y20 PROHIBIT 0 SITEPROP TIEOFF_X3Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y20 RPM_X 20 SITEPROP TIEOFF_X3Y20 RPM_Y 40 SITEPROP TIEOFF_X3Y20 SITE_PIPS SITEPROP TIEOFF_X3Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y21 CLASS site SITEPROP TIEOFF_X3Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y21 IS_BONDED 0 SITEPROP TIEOFF_X3Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y21 IS_PAD 0 SITEPROP TIEOFF_X3Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y21 IS_RESERVED 0 SITEPROP TIEOFF_X3Y21 IS_TEST 0 SITEPROP TIEOFF_X3Y21 IS_USED 0 SITEPROP TIEOFF_X3Y21 MANUAL_ROUTING SITEPROP TIEOFF_X3Y21 NAME TIEOFF_X3Y21 SITEPROP TIEOFF_X3Y21 NUM_ARCS 0 SITEPROP TIEOFF_X3Y21 NUM_BELS 2 SITEPROP TIEOFF_X3Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y21 NUM_PINS 2 SITEPROP TIEOFF_X3Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y21 PROHIBIT 0 SITEPROP TIEOFF_X3Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y21 RPM_X 20 SITEPROP TIEOFF_X3Y21 RPM_Y 42 SITEPROP TIEOFF_X3Y21 SITE_PIPS SITEPROP TIEOFF_X3Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y22 CLASS site SITEPROP TIEOFF_X3Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y22 IS_BONDED 0 SITEPROP TIEOFF_X3Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y22 IS_PAD 0 SITEPROP TIEOFF_X3Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y22 IS_RESERVED 0 SITEPROP TIEOFF_X3Y22 IS_TEST 0 SITEPROP TIEOFF_X3Y22 IS_USED 0 SITEPROP TIEOFF_X3Y22 MANUAL_ROUTING SITEPROP TIEOFF_X3Y22 NAME TIEOFF_X3Y22 SITEPROP TIEOFF_X3Y22 NUM_ARCS 0 SITEPROP TIEOFF_X3Y22 NUM_BELS 2 SITEPROP TIEOFF_X3Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y22 NUM_PINS 2 SITEPROP TIEOFF_X3Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y22 PROHIBIT 0 SITEPROP TIEOFF_X3Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y22 RPM_X 20 SITEPROP TIEOFF_X3Y22 RPM_Y 44 SITEPROP TIEOFF_X3Y22 SITE_PIPS SITEPROP TIEOFF_X3Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y23 CLASS site SITEPROP TIEOFF_X3Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y23 IS_BONDED 0 SITEPROP TIEOFF_X3Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y23 IS_PAD 0 SITEPROP TIEOFF_X3Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y23 IS_RESERVED 0 SITEPROP TIEOFF_X3Y23 IS_TEST 0 SITEPROP TIEOFF_X3Y23 IS_USED 0 SITEPROP TIEOFF_X3Y23 MANUAL_ROUTING SITEPROP TIEOFF_X3Y23 NAME TIEOFF_X3Y23 SITEPROP TIEOFF_X3Y23 NUM_ARCS 0 SITEPROP TIEOFF_X3Y23 NUM_BELS 2 SITEPROP TIEOFF_X3Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y23 NUM_PINS 2 SITEPROP TIEOFF_X3Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y23 PROHIBIT 0 SITEPROP TIEOFF_X3Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y23 RPM_X 20 SITEPROP TIEOFF_X3Y23 RPM_Y 46 SITEPROP TIEOFF_X3Y23 SITE_PIPS SITEPROP TIEOFF_X3Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y24 CLASS site SITEPROP TIEOFF_X3Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y24 IS_BONDED 0 SITEPROP TIEOFF_X3Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y24 IS_PAD 0 SITEPROP TIEOFF_X3Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y24 IS_RESERVED 0 SITEPROP TIEOFF_X3Y24 IS_TEST 0 SITEPROP TIEOFF_X3Y24 IS_USED 0 SITEPROP TIEOFF_X3Y24 MANUAL_ROUTING SITEPROP TIEOFF_X3Y24 NAME TIEOFF_X3Y24 SITEPROP TIEOFF_X3Y24 NUM_ARCS 0 SITEPROP TIEOFF_X3Y24 NUM_BELS 2 SITEPROP TIEOFF_X3Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y24 NUM_PINS 2 SITEPROP TIEOFF_X3Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y24 PROHIBIT 0 SITEPROP TIEOFF_X3Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y24 RPM_X 20 SITEPROP TIEOFF_X3Y24 RPM_Y 48 SITEPROP TIEOFF_X3Y24 SITE_PIPS SITEPROP TIEOFF_X3Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y25 CLASS site SITEPROP TIEOFF_X3Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y25 IS_BONDED 0 SITEPROP TIEOFF_X3Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y25 IS_PAD 0 SITEPROP TIEOFF_X3Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y25 IS_RESERVED 0 SITEPROP TIEOFF_X3Y25 IS_TEST 0 SITEPROP TIEOFF_X3Y25 IS_USED 0 SITEPROP TIEOFF_X3Y25 MANUAL_ROUTING SITEPROP TIEOFF_X3Y25 NAME TIEOFF_X3Y25 SITEPROP TIEOFF_X3Y25 NUM_ARCS 0 SITEPROP TIEOFF_X3Y25 NUM_BELS 2 SITEPROP TIEOFF_X3Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y25 NUM_PINS 2 SITEPROP TIEOFF_X3Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y25 PROHIBIT 0 SITEPROP TIEOFF_X3Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y25 RPM_X 20 SITEPROP TIEOFF_X3Y25 RPM_Y 50 SITEPROP TIEOFF_X3Y25 SITE_PIPS SITEPROP TIEOFF_X3Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y26 CLASS site SITEPROP TIEOFF_X3Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y26 IS_BONDED 0 SITEPROP TIEOFF_X3Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y26 IS_PAD 0 SITEPROP TIEOFF_X3Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y26 IS_RESERVED 0 SITEPROP TIEOFF_X3Y26 IS_TEST 0 SITEPROP TIEOFF_X3Y26 IS_USED 0 SITEPROP TIEOFF_X3Y26 MANUAL_ROUTING SITEPROP TIEOFF_X3Y26 NAME TIEOFF_X3Y26 SITEPROP TIEOFF_X3Y26 NUM_ARCS 0 SITEPROP TIEOFF_X3Y26 NUM_BELS 2 SITEPROP TIEOFF_X3Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y26 NUM_PINS 2 SITEPROP TIEOFF_X3Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y26 PROHIBIT 0 SITEPROP TIEOFF_X3Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y26 RPM_X 20 SITEPROP TIEOFF_X3Y26 RPM_Y 52 SITEPROP TIEOFF_X3Y26 SITE_PIPS SITEPROP TIEOFF_X3Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y27 CLASS site SITEPROP TIEOFF_X3Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y27 IS_BONDED 0 SITEPROP TIEOFF_X3Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y27 IS_PAD 0 SITEPROP TIEOFF_X3Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y27 IS_RESERVED 0 SITEPROP TIEOFF_X3Y27 IS_TEST 0 SITEPROP TIEOFF_X3Y27 IS_USED 0 SITEPROP TIEOFF_X3Y27 MANUAL_ROUTING SITEPROP TIEOFF_X3Y27 NAME TIEOFF_X3Y27 SITEPROP TIEOFF_X3Y27 NUM_ARCS 0 SITEPROP TIEOFF_X3Y27 NUM_BELS 2 SITEPROP TIEOFF_X3Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y27 NUM_PINS 2 SITEPROP TIEOFF_X3Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y27 PROHIBIT 0 SITEPROP TIEOFF_X3Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y27 RPM_X 20 SITEPROP TIEOFF_X3Y27 RPM_Y 54 SITEPROP TIEOFF_X3Y27 SITE_PIPS SITEPROP TIEOFF_X3Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y28 CLASS site SITEPROP TIEOFF_X3Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y28 IS_BONDED 0 SITEPROP TIEOFF_X3Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y28 IS_PAD 0 SITEPROP TIEOFF_X3Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y28 IS_RESERVED 0 SITEPROP TIEOFF_X3Y28 IS_TEST 0 SITEPROP TIEOFF_X3Y28 IS_USED 0 SITEPROP TIEOFF_X3Y28 MANUAL_ROUTING SITEPROP TIEOFF_X3Y28 NAME TIEOFF_X3Y28 SITEPROP TIEOFF_X3Y28 NUM_ARCS 0 SITEPROP TIEOFF_X3Y28 NUM_BELS 2 SITEPROP TIEOFF_X3Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y28 NUM_PINS 2 SITEPROP TIEOFF_X3Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y28 PROHIBIT 0 SITEPROP TIEOFF_X3Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y28 RPM_X 20 SITEPROP TIEOFF_X3Y28 RPM_Y 56 SITEPROP TIEOFF_X3Y28 SITE_PIPS SITEPROP TIEOFF_X3Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y29 CLASS site SITEPROP TIEOFF_X3Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y29 IS_BONDED 0 SITEPROP TIEOFF_X3Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y29 IS_PAD 0 SITEPROP TIEOFF_X3Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y29 IS_RESERVED 0 SITEPROP TIEOFF_X3Y29 IS_TEST 0 SITEPROP TIEOFF_X3Y29 IS_USED 0 SITEPROP TIEOFF_X3Y29 MANUAL_ROUTING SITEPROP TIEOFF_X3Y29 NAME TIEOFF_X3Y29 SITEPROP TIEOFF_X3Y29 NUM_ARCS 0 SITEPROP TIEOFF_X3Y29 NUM_BELS 2 SITEPROP TIEOFF_X3Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y29 NUM_PINS 2 SITEPROP TIEOFF_X3Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y29 PROHIBIT 0 SITEPROP TIEOFF_X3Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y29 RPM_X 20 SITEPROP TIEOFF_X3Y29 RPM_Y 58 SITEPROP TIEOFF_X3Y29 SITE_PIPS SITEPROP TIEOFF_X3Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y30 CLASS site SITEPROP TIEOFF_X3Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y30 IS_BONDED 0 SITEPROP TIEOFF_X3Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y30 IS_PAD 0 SITEPROP TIEOFF_X3Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y30 IS_RESERVED 0 SITEPROP TIEOFF_X3Y30 IS_TEST 0 SITEPROP TIEOFF_X3Y30 IS_USED 0 SITEPROP TIEOFF_X3Y30 MANUAL_ROUTING SITEPROP TIEOFF_X3Y30 NAME TIEOFF_X3Y30 SITEPROP TIEOFF_X3Y30 NUM_ARCS 0 SITEPROP TIEOFF_X3Y30 NUM_BELS 2 SITEPROP TIEOFF_X3Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y30 NUM_PINS 2 SITEPROP TIEOFF_X3Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y30 PROHIBIT 0 SITEPROP TIEOFF_X3Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y30 RPM_X 20 SITEPROP TIEOFF_X3Y30 RPM_Y 60 SITEPROP TIEOFF_X3Y30 SITE_PIPS SITEPROP TIEOFF_X3Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y31 CLASS site SITEPROP TIEOFF_X3Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y31 IS_BONDED 0 SITEPROP TIEOFF_X3Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y31 IS_PAD 0 SITEPROP TIEOFF_X3Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y31 IS_RESERVED 0 SITEPROP TIEOFF_X3Y31 IS_TEST 0 SITEPROP TIEOFF_X3Y31 IS_USED 0 SITEPROP TIEOFF_X3Y31 MANUAL_ROUTING SITEPROP TIEOFF_X3Y31 NAME TIEOFF_X3Y31 SITEPROP TIEOFF_X3Y31 NUM_ARCS 0 SITEPROP TIEOFF_X3Y31 NUM_BELS 2 SITEPROP TIEOFF_X3Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y31 NUM_PINS 2 SITEPROP TIEOFF_X3Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y31 PROHIBIT 0 SITEPROP TIEOFF_X3Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y31 RPM_X 20 SITEPROP TIEOFF_X3Y31 RPM_Y 62 SITEPROP TIEOFF_X3Y31 SITE_PIPS SITEPROP TIEOFF_X3Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y32 CLASS site SITEPROP TIEOFF_X3Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y32 IS_BONDED 0 SITEPROP TIEOFF_X3Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y32 IS_PAD 0 SITEPROP TIEOFF_X3Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y32 IS_RESERVED 0 SITEPROP TIEOFF_X3Y32 IS_TEST 0 SITEPROP TIEOFF_X3Y32 IS_USED 0 SITEPROP TIEOFF_X3Y32 MANUAL_ROUTING SITEPROP TIEOFF_X3Y32 NAME TIEOFF_X3Y32 SITEPROP TIEOFF_X3Y32 NUM_ARCS 0 SITEPROP TIEOFF_X3Y32 NUM_BELS 2 SITEPROP TIEOFF_X3Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y32 NUM_PINS 2 SITEPROP TIEOFF_X3Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y32 PROHIBIT 0 SITEPROP TIEOFF_X3Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y32 RPM_X 20 SITEPROP TIEOFF_X3Y32 RPM_Y 64 SITEPROP TIEOFF_X3Y32 SITE_PIPS SITEPROP TIEOFF_X3Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y33 CLASS site SITEPROP TIEOFF_X3Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y33 IS_BONDED 0 SITEPROP TIEOFF_X3Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y33 IS_PAD 0 SITEPROP TIEOFF_X3Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y33 IS_RESERVED 0 SITEPROP TIEOFF_X3Y33 IS_TEST 0 SITEPROP TIEOFF_X3Y33 IS_USED 0 SITEPROP TIEOFF_X3Y33 MANUAL_ROUTING SITEPROP TIEOFF_X3Y33 NAME TIEOFF_X3Y33 SITEPROP TIEOFF_X3Y33 NUM_ARCS 0 SITEPROP TIEOFF_X3Y33 NUM_BELS 2 SITEPROP TIEOFF_X3Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y33 NUM_PINS 2 SITEPROP TIEOFF_X3Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y33 PROHIBIT 0 SITEPROP TIEOFF_X3Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y33 RPM_X 20 SITEPROP TIEOFF_X3Y33 RPM_Y 66 SITEPROP TIEOFF_X3Y33 SITE_PIPS SITEPROP TIEOFF_X3Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y34 CLASS site SITEPROP TIEOFF_X3Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y34 IS_BONDED 0 SITEPROP TIEOFF_X3Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y34 IS_PAD 0 SITEPROP TIEOFF_X3Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y34 IS_RESERVED 0 SITEPROP TIEOFF_X3Y34 IS_TEST 0 SITEPROP TIEOFF_X3Y34 IS_USED 0 SITEPROP TIEOFF_X3Y34 MANUAL_ROUTING SITEPROP TIEOFF_X3Y34 NAME TIEOFF_X3Y34 SITEPROP TIEOFF_X3Y34 NUM_ARCS 0 SITEPROP TIEOFF_X3Y34 NUM_BELS 2 SITEPROP TIEOFF_X3Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y34 NUM_PINS 2 SITEPROP TIEOFF_X3Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y34 PROHIBIT 0 SITEPROP TIEOFF_X3Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y34 RPM_X 20 SITEPROP TIEOFF_X3Y34 RPM_Y 68 SITEPROP TIEOFF_X3Y34 SITE_PIPS SITEPROP TIEOFF_X3Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y35 CLASS site SITEPROP TIEOFF_X3Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y35 IS_BONDED 0 SITEPROP TIEOFF_X3Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y35 IS_PAD 0 SITEPROP TIEOFF_X3Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y35 IS_RESERVED 0 SITEPROP TIEOFF_X3Y35 IS_TEST 0 SITEPROP TIEOFF_X3Y35 IS_USED 0 SITEPROP TIEOFF_X3Y35 MANUAL_ROUTING SITEPROP TIEOFF_X3Y35 NAME TIEOFF_X3Y35 SITEPROP TIEOFF_X3Y35 NUM_ARCS 0 SITEPROP TIEOFF_X3Y35 NUM_BELS 2 SITEPROP TIEOFF_X3Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y35 NUM_PINS 2 SITEPROP TIEOFF_X3Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y35 PROHIBIT 0 SITEPROP TIEOFF_X3Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y35 RPM_X 20 SITEPROP TIEOFF_X3Y35 RPM_Y 70 SITEPROP TIEOFF_X3Y35 SITE_PIPS SITEPROP TIEOFF_X3Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y36 CLASS site SITEPROP TIEOFF_X3Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y36 IS_BONDED 0 SITEPROP TIEOFF_X3Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y36 IS_PAD 0 SITEPROP TIEOFF_X3Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y36 IS_RESERVED 0 SITEPROP TIEOFF_X3Y36 IS_TEST 0 SITEPROP TIEOFF_X3Y36 IS_USED 0 SITEPROP TIEOFF_X3Y36 MANUAL_ROUTING SITEPROP TIEOFF_X3Y36 NAME TIEOFF_X3Y36 SITEPROP TIEOFF_X3Y36 NUM_ARCS 0 SITEPROP TIEOFF_X3Y36 NUM_BELS 2 SITEPROP TIEOFF_X3Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y36 NUM_PINS 2 SITEPROP TIEOFF_X3Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y36 PROHIBIT 0 SITEPROP TIEOFF_X3Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y36 RPM_X 20 SITEPROP TIEOFF_X3Y36 RPM_Y 72 SITEPROP TIEOFF_X3Y36 SITE_PIPS SITEPROP TIEOFF_X3Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y37 CLASS site SITEPROP TIEOFF_X3Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y37 IS_BONDED 0 SITEPROP TIEOFF_X3Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y37 IS_PAD 0 SITEPROP TIEOFF_X3Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y37 IS_RESERVED 0 SITEPROP TIEOFF_X3Y37 IS_TEST 0 SITEPROP TIEOFF_X3Y37 IS_USED 0 SITEPROP TIEOFF_X3Y37 MANUAL_ROUTING SITEPROP TIEOFF_X3Y37 NAME TIEOFF_X3Y37 SITEPROP TIEOFF_X3Y37 NUM_ARCS 0 SITEPROP TIEOFF_X3Y37 NUM_BELS 2 SITEPROP TIEOFF_X3Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y37 NUM_PINS 2 SITEPROP TIEOFF_X3Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y37 PROHIBIT 0 SITEPROP TIEOFF_X3Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y37 RPM_X 20 SITEPROP TIEOFF_X3Y37 RPM_Y 74 SITEPROP TIEOFF_X3Y37 SITE_PIPS SITEPROP TIEOFF_X3Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y38 CLASS site SITEPROP TIEOFF_X3Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y38 IS_BONDED 0 SITEPROP TIEOFF_X3Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y38 IS_PAD 0 SITEPROP TIEOFF_X3Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y38 IS_RESERVED 0 SITEPROP TIEOFF_X3Y38 IS_TEST 0 SITEPROP TIEOFF_X3Y38 IS_USED 0 SITEPROP TIEOFF_X3Y38 MANUAL_ROUTING SITEPROP TIEOFF_X3Y38 NAME TIEOFF_X3Y38 SITEPROP TIEOFF_X3Y38 NUM_ARCS 0 SITEPROP TIEOFF_X3Y38 NUM_BELS 2 SITEPROP TIEOFF_X3Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y38 NUM_PINS 2 SITEPROP TIEOFF_X3Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y38 PROHIBIT 0 SITEPROP TIEOFF_X3Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y38 RPM_X 20 SITEPROP TIEOFF_X3Y38 RPM_Y 76 SITEPROP TIEOFF_X3Y38 SITE_PIPS SITEPROP TIEOFF_X3Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y39 CLASS site SITEPROP TIEOFF_X3Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y39 IS_BONDED 0 SITEPROP TIEOFF_X3Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y39 IS_PAD 0 SITEPROP TIEOFF_X3Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y39 IS_RESERVED 0 SITEPROP TIEOFF_X3Y39 IS_TEST 0 SITEPROP TIEOFF_X3Y39 IS_USED 0 SITEPROP TIEOFF_X3Y39 MANUAL_ROUTING SITEPROP TIEOFF_X3Y39 NAME TIEOFF_X3Y39 SITEPROP TIEOFF_X3Y39 NUM_ARCS 0 SITEPROP TIEOFF_X3Y39 NUM_BELS 2 SITEPROP TIEOFF_X3Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y39 NUM_PINS 2 SITEPROP TIEOFF_X3Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y39 PROHIBIT 0 SITEPROP TIEOFF_X3Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y39 RPM_X 20 SITEPROP TIEOFF_X3Y39 RPM_Y 78 SITEPROP TIEOFF_X3Y39 SITE_PIPS SITEPROP TIEOFF_X3Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y40 CLASS site SITEPROP TIEOFF_X3Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y40 IS_BONDED 0 SITEPROP TIEOFF_X3Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y40 IS_PAD 0 SITEPROP TIEOFF_X3Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y40 IS_RESERVED 0 SITEPROP TIEOFF_X3Y40 IS_TEST 0 SITEPROP TIEOFF_X3Y40 IS_USED 0 SITEPROP TIEOFF_X3Y40 MANUAL_ROUTING SITEPROP TIEOFF_X3Y40 NAME TIEOFF_X3Y40 SITEPROP TIEOFF_X3Y40 NUM_ARCS 0 SITEPROP TIEOFF_X3Y40 NUM_BELS 2 SITEPROP TIEOFF_X3Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y40 NUM_PINS 2 SITEPROP TIEOFF_X3Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y40 PROHIBIT 0 SITEPROP TIEOFF_X3Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y40 RPM_X 20 SITEPROP TIEOFF_X3Y40 RPM_Y 80 SITEPROP TIEOFF_X3Y40 SITE_PIPS SITEPROP TIEOFF_X3Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y41 CLASS site SITEPROP TIEOFF_X3Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y41 IS_BONDED 0 SITEPROP TIEOFF_X3Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y41 IS_PAD 0 SITEPROP TIEOFF_X3Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y41 IS_RESERVED 0 SITEPROP TIEOFF_X3Y41 IS_TEST 0 SITEPROP TIEOFF_X3Y41 IS_USED 0 SITEPROP TIEOFF_X3Y41 MANUAL_ROUTING SITEPROP TIEOFF_X3Y41 NAME TIEOFF_X3Y41 SITEPROP TIEOFF_X3Y41 NUM_ARCS 0 SITEPROP TIEOFF_X3Y41 NUM_BELS 2 SITEPROP TIEOFF_X3Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y41 NUM_PINS 2 SITEPROP TIEOFF_X3Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y41 PROHIBIT 0 SITEPROP TIEOFF_X3Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y41 RPM_X 20 SITEPROP TIEOFF_X3Y41 RPM_Y 82 SITEPROP TIEOFF_X3Y41 SITE_PIPS SITEPROP TIEOFF_X3Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y42 CLASS site SITEPROP TIEOFF_X3Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y42 IS_BONDED 0 SITEPROP TIEOFF_X3Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y42 IS_PAD 0 SITEPROP TIEOFF_X3Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y42 IS_RESERVED 0 SITEPROP TIEOFF_X3Y42 IS_TEST 0 SITEPROP TIEOFF_X3Y42 IS_USED 0 SITEPROP TIEOFF_X3Y42 MANUAL_ROUTING SITEPROP TIEOFF_X3Y42 NAME TIEOFF_X3Y42 SITEPROP TIEOFF_X3Y42 NUM_ARCS 0 SITEPROP TIEOFF_X3Y42 NUM_BELS 2 SITEPROP TIEOFF_X3Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y42 NUM_PINS 2 SITEPROP TIEOFF_X3Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y42 PROHIBIT 0 SITEPROP TIEOFF_X3Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y42 RPM_X 20 SITEPROP TIEOFF_X3Y42 RPM_Y 84 SITEPROP TIEOFF_X3Y42 SITE_PIPS SITEPROP TIEOFF_X3Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y43 CLASS site SITEPROP TIEOFF_X3Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y43 IS_BONDED 0 SITEPROP TIEOFF_X3Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y43 IS_PAD 0 SITEPROP TIEOFF_X3Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y43 IS_RESERVED 0 SITEPROP TIEOFF_X3Y43 IS_TEST 0 SITEPROP TIEOFF_X3Y43 IS_USED 0 SITEPROP TIEOFF_X3Y43 MANUAL_ROUTING SITEPROP TIEOFF_X3Y43 NAME TIEOFF_X3Y43 SITEPROP TIEOFF_X3Y43 NUM_ARCS 0 SITEPROP TIEOFF_X3Y43 NUM_BELS 2 SITEPROP TIEOFF_X3Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y43 NUM_PINS 2 SITEPROP TIEOFF_X3Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y43 PROHIBIT 0 SITEPROP TIEOFF_X3Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y43 RPM_X 20 SITEPROP TIEOFF_X3Y43 RPM_Y 86 SITEPROP TIEOFF_X3Y43 SITE_PIPS SITEPROP TIEOFF_X3Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y44 CLASS site SITEPROP TIEOFF_X3Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y44 IS_BONDED 0 SITEPROP TIEOFF_X3Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y44 IS_PAD 0 SITEPROP TIEOFF_X3Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y44 IS_RESERVED 0 SITEPROP TIEOFF_X3Y44 IS_TEST 0 SITEPROP TIEOFF_X3Y44 IS_USED 0 SITEPROP TIEOFF_X3Y44 MANUAL_ROUTING SITEPROP TIEOFF_X3Y44 NAME TIEOFF_X3Y44 SITEPROP TIEOFF_X3Y44 NUM_ARCS 0 SITEPROP TIEOFF_X3Y44 NUM_BELS 2 SITEPROP TIEOFF_X3Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y44 NUM_PINS 2 SITEPROP TIEOFF_X3Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y44 PROHIBIT 0 SITEPROP TIEOFF_X3Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y44 RPM_X 20 SITEPROP TIEOFF_X3Y44 RPM_Y 88 SITEPROP TIEOFF_X3Y44 SITE_PIPS SITEPROP TIEOFF_X3Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y45 CLASS site SITEPROP TIEOFF_X3Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y45 IS_BONDED 0 SITEPROP TIEOFF_X3Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y45 IS_PAD 0 SITEPROP TIEOFF_X3Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y45 IS_RESERVED 0 SITEPROP TIEOFF_X3Y45 IS_TEST 0 SITEPROP TIEOFF_X3Y45 IS_USED 0 SITEPROP TIEOFF_X3Y45 MANUAL_ROUTING SITEPROP TIEOFF_X3Y45 NAME TIEOFF_X3Y45 SITEPROP TIEOFF_X3Y45 NUM_ARCS 0 SITEPROP TIEOFF_X3Y45 NUM_BELS 2 SITEPROP TIEOFF_X3Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y45 NUM_PINS 2 SITEPROP TIEOFF_X3Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y45 PROHIBIT 0 SITEPROP TIEOFF_X3Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y45 RPM_X 20 SITEPROP TIEOFF_X3Y45 RPM_Y 90 SITEPROP TIEOFF_X3Y45 SITE_PIPS SITEPROP TIEOFF_X3Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y46 CLASS site SITEPROP TIEOFF_X3Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y46 IS_BONDED 0 SITEPROP TIEOFF_X3Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y46 IS_PAD 0 SITEPROP TIEOFF_X3Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y46 IS_RESERVED 0 SITEPROP TIEOFF_X3Y46 IS_TEST 0 SITEPROP TIEOFF_X3Y46 IS_USED 0 SITEPROP TIEOFF_X3Y46 MANUAL_ROUTING SITEPROP TIEOFF_X3Y46 NAME TIEOFF_X3Y46 SITEPROP TIEOFF_X3Y46 NUM_ARCS 0 SITEPROP TIEOFF_X3Y46 NUM_BELS 2 SITEPROP TIEOFF_X3Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y46 NUM_PINS 2 SITEPROP TIEOFF_X3Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y46 PROHIBIT 0 SITEPROP TIEOFF_X3Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y46 RPM_X 20 SITEPROP TIEOFF_X3Y46 RPM_Y 92 SITEPROP TIEOFF_X3Y46 SITE_PIPS SITEPROP TIEOFF_X3Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y47 CLASS site SITEPROP TIEOFF_X3Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y47 IS_BONDED 0 SITEPROP TIEOFF_X3Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y47 IS_PAD 0 SITEPROP TIEOFF_X3Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y47 IS_RESERVED 0 SITEPROP TIEOFF_X3Y47 IS_TEST 0 SITEPROP TIEOFF_X3Y47 IS_USED 0 SITEPROP TIEOFF_X3Y47 MANUAL_ROUTING SITEPROP TIEOFF_X3Y47 NAME TIEOFF_X3Y47 SITEPROP TIEOFF_X3Y47 NUM_ARCS 0 SITEPROP TIEOFF_X3Y47 NUM_BELS 2 SITEPROP TIEOFF_X3Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y47 NUM_PINS 2 SITEPROP TIEOFF_X3Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y47 PROHIBIT 0 SITEPROP TIEOFF_X3Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y47 RPM_X 20 SITEPROP TIEOFF_X3Y47 RPM_Y 94 SITEPROP TIEOFF_X3Y47 SITE_PIPS SITEPROP TIEOFF_X3Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y48 CLASS site SITEPROP TIEOFF_X3Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y48 IS_BONDED 0 SITEPROP TIEOFF_X3Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y48 IS_PAD 0 SITEPROP TIEOFF_X3Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y48 IS_RESERVED 0 SITEPROP TIEOFF_X3Y48 IS_TEST 0 SITEPROP TIEOFF_X3Y48 IS_USED 0 SITEPROP TIEOFF_X3Y48 MANUAL_ROUTING SITEPROP TIEOFF_X3Y48 NAME TIEOFF_X3Y48 SITEPROP TIEOFF_X3Y48 NUM_ARCS 0 SITEPROP TIEOFF_X3Y48 NUM_BELS 2 SITEPROP TIEOFF_X3Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y48 NUM_PINS 2 SITEPROP TIEOFF_X3Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y48 PROHIBIT 0 SITEPROP TIEOFF_X3Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y48 RPM_X 20 SITEPROP TIEOFF_X3Y48 RPM_Y 96 SITEPROP TIEOFF_X3Y48 SITE_PIPS SITEPROP TIEOFF_X3Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y49 CLASS site SITEPROP TIEOFF_X3Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X3Y49 IS_BONDED 0 SITEPROP TIEOFF_X3Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y49 IS_PAD 0 SITEPROP TIEOFF_X3Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y49 IS_RESERVED 0 SITEPROP TIEOFF_X3Y49 IS_TEST 0 SITEPROP TIEOFF_X3Y49 IS_USED 0 SITEPROP TIEOFF_X3Y49 MANUAL_ROUTING SITEPROP TIEOFF_X3Y49 NAME TIEOFF_X3Y49 SITEPROP TIEOFF_X3Y49 NUM_ARCS 0 SITEPROP TIEOFF_X3Y49 NUM_BELS 2 SITEPROP TIEOFF_X3Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y49 NUM_PINS 2 SITEPROP TIEOFF_X3Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y49 PROHIBIT 0 SITEPROP TIEOFF_X3Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y49 RPM_X 20 SITEPROP TIEOFF_X3Y49 RPM_Y 98 SITEPROP TIEOFF_X3Y49 SITE_PIPS SITEPROP TIEOFF_X3Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y50 CLASS site SITEPROP TIEOFF_X3Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y50 IS_BONDED 0 SITEPROP TIEOFF_X3Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y50 IS_PAD 0 SITEPROP TIEOFF_X3Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y50 IS_RESERVED 0 SITEPROP TIEOFF_X3Y50 IS_TEST 0 SITEPROP TIEOFF_X3Y50 IS_USED 0 SITEPROP TIEOFF_X3Y50 MANUAL_ROUTING SITEPROP TIEOFF_X3Y50 NAME TIEOFF_X3Y50 SITEPROP TIEOFF_X3Y50 NUM_ARCS 0 SITEPROP TIEOFF_X3Y50 NUM_BELS 2 SITEPROP TIEOFF_X3Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y50 NUM_PINS 2 SITEPROP TIEOFF_X3Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y50 PROHIBIT 0 SITEPROP TIEOFF_X3Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y50 RPM_X 20 SITEPROP TIEOFF_X3Y50 RPM_Y 100 SITEPROP TIEOFF_X3Y50 SITE_PIPS SITEPROP TIEOFF_X3Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y51 CLASS site SITEPROP TIEOFF_X3Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y51 IS_BONDED 0 SITEPROP TIEOFF_X3Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y51 IS_PAD 0 SITEPROP TIEOFF_X3Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y51 IS_RESERVED 0 SITEPROP TIEOFF_X3Y51 IS_TEST 0 SITEPROP TIEOFF_X3Y51 IS_USED 0 SITEPROP TIEOFF_X3Y51 MANUAL_ROUTING SITEPROP TIEOFF_X3Y51 NAME TIEOFF_X3Y51 SITEPROP TIEOFF_X3Y51 NUM_ARCS 0 SITEPROP TIEOFF_X3Y51 NUM_BELS 2 SITEPROP TIEOFF_X3Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y51 NUM_PINS 2 SITEPROP TIEOFF_X3Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y51 PROHIBIT 0 SITEPROP TIEOFF_X3Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y51 RPM_X 20 SITEPROP TIEOFF_X3Y51 RPM_Y 102 SITEPROP TIEOFF_X3Y51 SITE_PIPS SITEPROP TIEOFF_X3Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y52 CLASS site SITEPROP TIEOFF_X3Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y52 IS_BONDED 0 SITEPROP TIEOFF_X3Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y52 IS_PAD 0 SITEPROP TIEOFF_X3Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y52 IS_RESERVED 0 SITEPROP TIEOFF_X3Y52 IS_TEST 0 SITEPROP TIEOFF_X3Y52 IS_USED 0 SITEPROP TIEOFF_X3Y52 MANUAL_ROUTING SITEPROP TIEOFF_X3Y52 NAME TIEOFF_X3Y52 SITEPROP TIEOFF_X3Y52 NUM_ARCS 0 SITEPROP TIEOFF_X3Y52 NUM_BELS 2 SITEPROP TIEOFF_X3Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y52 NUM_PINS 2 SITEPROP TIEOFF_X3Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y52 PROHIBIT 0 SITEPROP TIEOFF_X3Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y52 RPM_X 20 SITEPROP TIEOFF_X3Y52 RPM_Y 104 SITEPROP TIEOFF_X3Y52 SITE_PIPS SITEPROP TIEOFF_X3Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y53 CLASS site SITEPROP TIEOFF_X3Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y53 IS_BONDED 0 SITEPROP TIEOFF_X3Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y53 IS_PAD 0 SITEPROP TIEOFF_X3Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y53 IS_RESERVED 0 SITEPROP TIEOFF_X3Y53 IS_TEST 0 SITEPROP TIEOFF_X3Y53 IS_USED 0 SITEPROP TIEOFF_X3Y53 MANUAL_ROUTING SITEPROP TIEOFF_X3Y53 NAME TIEOFF_X3Y53 SITEPROP TIEOFF_X3Y53 NUM_ARCS 0 SITEPROP TIEOFF_X3Y53 NUM_BELS 2 SITEPROP TIEOFF_X3Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y53 NUM_PINS 2 SITEPROP TIEOFF_X3Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y53 PROHIBIT 0 SITEPROP TIEOFF_X3Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y53 RPM_X 20 SITEPROP TIEOFF_X3Y53 RPM_Y 106 SITEPROP TIEOFF_X3Y53 SITE_PIPS SITEPROP TIEOFF_X3Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y54 CLASS site SITEPROP TIEOFF_X3Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y54 IS_BONDED 0 SITEPROP TIEOFF_X3Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y54 IS_PAD 0 SITEPROP TIEOFF_X3Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y54 IS_RESERVED 0 SITEPROP TIEOFF_X3Y54 IS_TEST 0 SITEPROP TIEOFF_X3Y54 IS_USED 0 SITEPROP TIEOFF_X3Y54 MANUAL_ROUTING SITEPROP TIEOFF_X3Y54 NAME TIEOFF_X3Y54 SITEPROP TIEOFF_X3Y54 NUM_ARCS 0 SITEPROP TIEOFF_X3Y54 NUM_BELS 2 SITEPROP TIEOFF_X3Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y54 NUM_PINS 2 SITEPROP TIEOFF_X3Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y54 PROHIBIT 0 SITEPROP TIEOFF_X3Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y54 RPM_X 20 SITEPROP TIEOFF_X3Y54 RPM_Y 108 SITEPROP TIEOFF_X3Y54 SITE_PIPS SITEPROP TIEOFF_X3Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y55 CLASS site SITEPROP TIEOFF_X3Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y55 IS_BONDED 0 SITEPROP TIEOFF_X3Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y55 IS_PAD 0 SITEPROP TIEOFF_X3Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y55 IS_RESERVED 0 SITEPROP TIEOFF_X3Y55 IS_TEST 0 SITEPROP TIEOFF_X3Y55 IS_USED 0 SITEPROP TIEOFF_X3Y55 MANUAL_ROUTING SITEPROP TIEOFF_X3Y55 NAME TIEOFF_X3Y55 SITEPROP TIEOFF_X3Y55 NUM_ARCS 0 SITEPROP TIEOFF_X3Y55 NUM_BELS 2 SITEPROP TIEOFF_X3Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y55 NUM_PINS 2 SITEPROP TIEOFF_X3Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y55 PROHIBIT 0 SITEPROP TIEOFF_X3Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y55 RPM_X 20 SITEPROP TIEOFF_X3Y55 RPM_Y 110 SITEPROP TIEOFF_X3Y55 SITE_PIPS SITEPROP TIEOFF_X3Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y56 CLASS site SITEPROP TIEOFF_X3Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y56 IS_BONDED 0 SITEPROP TIEOFF_X3Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y56 IS_PAD 0 SITEPROP TIEOFF_X3Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y56 IS_RESERVED 0 SITEPROP TIEOFF_X3Y56 IS_TEST 0 SITEPROP TIEOFF_X3Y56 IS_USED 0 SITEPROP TIEOFF_X3Y56 MANUAL_ROUTING SITEPROP TIEOFF_X3Y56 NAME TIEOFF_X3Y56 SITEPROP TIEOFF_X3Y56 NUM_ARCS 0 SITEPROP TIEOFF_X3Y56 NUM_BELS 2 SITEPROP TIEOFF_X3Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y56 NUM_PINS 2 SITEPROP TIEOFF_X3Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y56 PROHIBIT 0 SITEPROP TIEOFF_X3Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y56 RPM_X 20 SITEPROP TIEOFF_X3Y56 RPM_Y 112 SITEPROP TIEOFF_X3Y56 SITE_PIPS SITEPROP TIEOFF_X3Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y57 CLASS site SITEPROP TIEOFF_X3Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y57 IS_BONDED 0 SITEPROP TIEOFF_X3Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y57 IS_PAD 0 SITEPROP TIEOFF_X3Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y57 IS_RESERVED 0 SITEPROP TIEOFF_X3Y57 IS_TEST 0 SITEPROP TIEOFF_X3Y57 IS_USED 0 SITEPROP TIEOFF_X3Y57 MANUAL_ROUTING SITEPROP TIEOFF_X3Y57 NAME TIEOFF_X3Y57 SITEPROP TIEOFF_X3Y57 NUM_ARCS 0 SITEPROP TIEOFF_X3Y57 NUM_BELS 2 SITEPROP TIEOFF_X3Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y57 NUM_PINS 2 SITEPROP TIEOFF_X3Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y57 PROHIBIT 0 SITEPROP TIEOFF_X3Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y57 RPM_X 20 SITEPROP TIEOFF_X3Y57 RPM_Y 114 SITEPROP TIEOFF_X3Y57 SITE_PIPS SITEPROP TIEOFF_X3Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y58 CLASS site SITEPROP TIEOFF_X3Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y58 IS_BONDED 0 SITEPROP TIEOFF_X3Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y58 IS_PAD 0 SITEPROP TIEOFF_X3Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y58 IS_RESERVED 0 SITEPROP TIEOFF_X3Y58 IS_TEST 0 SITEPROP TIEOFF_X3Y58 IS_USED 0 SITEPROP TIEOFF_X3Y58 MANUAL_ROUTING SITEPROP TIEOFF_X3Y58 NAME TIEOFF_X3Y58 SITEPROP TIEOFF_X3Y58 NUM_ARCS 0 SITEPROP TIEOFF_X3Y58 NUM_BELS 2 SITEPROP TIEOFF_X3Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y58 NUM_PINS 2 SITEPROP TIEOFF_X3Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y58 PROHIBIT 0 SITEPROP TIEOFF_X3Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y58 RPM_X 20 SITEPROP TIEOFF_X3Y58 RPM_Y 116 SITEPROP TIEOFF_X3Y58 SITE_PIPS SITEPROP TIEOFF_X3Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y59 CLASS site SITEPROP TIEOFF_X3Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y59 IS_BONDED 0 SITEPROP TIEOFF_X3Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y59 IS_PAD 0 SITEPROP TIEOFF_X3Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y59 IS_RESERVED 0 SITEPROP TIEOFF_X3Y59 IS_TEST 0 SITEPROP TIEOFF_X3Y59 IS_USED 0 SITEPROP TIEOFF_X3Y59 MANUAL_ROUTING SITEPROP TIEOFF_X3Y59 NAME TIEOFF_X3Y59 SITEPROP TIEOFF_X3Y59 NUM_ARCS 0 SITEPROP TIEOFF_X3Y59 NUM_BELS 2 SITEPROP TIEOFF_X3Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y59 NUM_PINS 2 SITEPROP TIEOFF_X3Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y59 PROHIBIT 0 SITEPROP TIEOFF_X3Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y59 RPM_X 20 SITEPROP TIEOFF_X3Y59 RPM_Y 118 SITEPROP TIEOFF_X3Y59 SITE_PIPS SITEPROP TIEOFF_X3Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y60 CLASS site SITEPROP TIEOFF_X3Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y60 IS_BONDED 0 SITEPROP TIEOFF_X3Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y60 IS_PAD 0 SITEPROP TIEOFF_X3Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y60 IS_RESERVED 0 SITEPROP TIEOFF_X3Y60 IS_TEST 0 SITEPROP TIEOFF_X3Y60 IS_USED 0 SITEPROP TIEOFF_X3Y60 MANUAL_ROUTING SITEPROP TIEOFF_X3Y60 NAME TIEOFF_X3Y60 SITEPROP TIEOFF_X3Y60 NUM_ARCS 0 SITEPROP TIEOFF_X3Y60 NUM_BELS 2 SITEPROP TIEOFF_X3Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y60 NUM_PINS 2 SITEPROP TIEOFF_X3Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y60 PROHIBIT 0 SITEPROP TIEOFF_X3Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y60 RPM_X 20 SITEPROP TIEOFF_X3Y60 RPM_Y 120 SITEPROP TIEOFF_X3Y60 SITE_PIPS SITEPROP TIEOFF_X3Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y61 CLASS site SITEPROP TIEOFF_X3Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y61 IS_BONDED 0 SITEPROP TIEOFF_X3Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y61 IS_PAD 0 SITEPROP TIEOFF_X3Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y61 IS_RESERVED 0 SITEPROP TIEOFF_X3Y61 IS_TEST 0 SITEPROP TIEOFF_X3Y61 IS_USED 0 SITEPROP TIEOFF_X3Y61 MANUAL_ROUTING SITEPROP TIEOFF_X3Y61 NAME TIEOFF_X3Y61 SITEPROP TIEOFF_X3Y61 NUM_ARCS 0 SITEPROP TIEOFF_X3Y61 NUM_BELS 2 SITEPROP TIEOFF_X3Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y61 NUM_PINS 2 SITEPROP TIEOFF_X3Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y61 PROHIBIT 0 SITEPROP TIEOFF_X3Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y61 RPM_X 20 SITEPROP TIEOFF_X3Y61 RPM_Y 122 SITEPROP TIEOFF_X3Y61 SITE_PIPS SITEPROP TIEOFF_X3Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y62 CLASS site SITEPROP TIEOFF_X3Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y62 IS_BONDED 0 SITEPROP TIEOFF_X3Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y62 IS_PAD 0 SITEPROP TIEOFF_X3Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y62 IS_RESERVED 0 SITEPROP TIEOFF_X3Y62 IS_TEST 0 SITEPROP TIEOFF_X3Y62 IS_USED 0 SITEPROP TIEOFF_X3Y62 MANUAL_ROUTING SITEPROP TIEOFF_X3Y62 NAME TIEOFF_X3Y62 SITEPROP TIEOFF_X3Y62 NUM_ARCS 0 SITEPROP TIEOFF_X3Y62 NUM_BELS 2 SITEPROP TIEOFF_X3Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y62 NUM_PINS 2 SITEPROP TIEOFF_X3Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y62 PROHIBIT 0 SITEPROP TIEOFF_X3Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y62 RPM_X 20 SITEPROP TIEOFF_X3Y62 RPM_Y 124 SITEPROP TIEOFF_X3Y62 SITE_PIPS SITEPROP TIEOFF_X3Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y63 CLASS site SITEPROP TIEOFF_X3Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y63 IS_BONDED 0 SITEPROP TIEOFF_X3Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y63 IS_PAD 0 SITEPROP TIEOFF_X3Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y63 IS_RESERVED 0 SITEPROP TIEOFF_X3Y63 IS_TEST 0 SITEPROP TIEOFF_X3Y63 IS_USED 0 SITEPROP TIEOFF_X3Y63 MANUAL_ROUTING SITEPROP TIEOFF_X3Y63 NAME TIEOFF_X3Y63 SITEPROP TIEOFF_X3Y63 NUM_ARCS 0 SITEPROP TIEOFF_X3Y63 NUM_BELS 2 SITEPROP TIEOFF_X3Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y63 NUM_PINS 2 SITEPROP TIEOFF_X3Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y63 PROHIBIT 0 SITEPROP TIEOFF_X3Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y63 RPM_X 20 SITEPROP TIEOFF_X3Y63 RPM_Y 126 SITEPROP TIEOFF_X3Y63 SITE_PIPS SITEPROP TIEOFF_X3Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y64 CLASS site SITEPROP TIEOFF_X3Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y64 IS_BONDED 0 SITEPROP TIEOFF_X3Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y64 IS_PAD 0 SITEPROP TIEOFF_X3Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y64 IS_RESERVED 0 SITEPROP TIEOFF_X3Y64 IS_TEST 0 SITEPROP TIEOFF_X3Y64 IS_USED 0 SITEPROP TIEOFF_X3Y64 MANUAL_ROUTING SITEPROP TIEOFF_X3Y64 NAME TIEOFF_X3Y64 SITEPROP TIEOFF_X3Y64 NUM_ARCS 0 SITEPROP TIEOFF_X3Y64 NUM_BELS 2 SITEPROP TIEOFF_X3Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y64 NUM_PINS 2 SITEPROP TIEOFF_X3Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y64 PROHIBIT 0 SITEPROP TIEOFF_X3Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y64 RPM_X 20 SITEPROP TIEOFF_X3Y64 RPM_Y 128 SITEPROP TIEOFF_X3Y64 SITE_PIPS SITEPROP TIEOFF_X3Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y65 CLASS site SITEPROP TIEOFF_X3Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y65 IS_BONDED 0 SITEPROP TIEOFF_X3Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y65 IS_PAD 0 SITEPROP TIEOFF_X3Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y65 IS_RESERVED 0 SITEPROP TIEOFF_X3Y65 IS_TEST 0 SITEPROP TIEOFF_X3Y65 IS_USED 0 SITEPROP TIEOFF_X3Y65 MANUAL_ROUTING SITEPROP TIEOFF_X3Y65 NAME TIEOFF_X3Y65 SITEPROP TIEOFF_X3Y65 NUM_ARCS 0 SITEPROP TIEOFF_X3Y65 NUM_BELS 2 SITEPROP TIEOFF_X3Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y65 NUM_PINS 2 SITEPROP TIEOFF_X3Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y65 PROHIBIT 0 SITEPROP TIEOFF_X3Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y65 RPM_X 20 SITEPROP TIEOFF_X3Y65 RPM_Y 130 SITEPROP TIEOFF_X3Y65 SITE_PIPS SITEPROP TIEOFF_X3Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y66 CLASS site SITEPROP TIEOFF_X3Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y66 IS_BONDED 0 SITEPROP TIEOFF_X3Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y66 IS_PAD 0 SITEPROP TIEOFF_X3Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y66 IS_RESERVED 0 SITEPROP TIEOFF_X3Y66 IS_TEST 0 SITEPROP TIEOFF_X3Y66 IS_USED 0 SITEPROP TIEOFF_X3Y66 MANUAL_ROUTING SITEPROP TIEOFF_X3Y66 NAME TIEOFF_X3Y66 SITEPROP TIEOFF_X3Y66 NUM_ARCS 0 SITEPROP TIEOFF_X3Y66 NUM_BELS 2 SITEPROP TIEOFF_X3Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y66 NUM_PINS 2 SITEPROP TIEOFF_X3Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y66 PROHIBIT 0 SITEPROP TIEOFF_X3Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y66 RPM_X 20 SITEPROP TIEOFF_X3Y66 RPM_Y 132 SITEPROP TIEOFF_X3Y66 SITE_PIPS SITEPROP TIEOFF_X3Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y67 CLASS site SITEPROP TIEOFF_X3Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y67 IS_BONDED 0 SITEPROP TIEOFF_X3Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y67 IS_PAD 0 SITEPROP TIEOFF_X3Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y67 IS_RESERVED 0 SITEPROP TIEOFF_X3Y67 IS_TEST 0 SITEPROP TIEOFF_X3Y67 IS_USED 0 SITEPROP TIEOFF_X3Y67 MANUAL_ROUTING SITEPROP TIEOFF_X3Y67 NAME TIEOFF_X3Y67 SITEPROP TIEOFF_X3Y67 NUM_ARCS 0 SITEPROP TIEOFF_X3Y67 NUM_BELS 2 SITEPROP TIEOFF_X3Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y67 NUM_PINS 2 SITEPROP TIEOFF_X3Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y67 PROHIBIT 0 SITEPROP TIEOFF_X3Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y67 RPM_X 20 SITEPROP TIEOFF_X3Y67 RPM_Y 134 SITEPROP TIEOFF_X3Y67 SITE_PIPS SITEPROP TIEOFF_X3Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y68 CLASS site SITEPROP TIEOFF_X3Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y68 IS_BONDED 0 SITEPROP TIEOFF_X3Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y68 IS_PAD 0 SITEPROP TIEOFF_X3Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y68 IS_RESERVED 0 SITEPROP TIEOFF_X3Y68 IS_TEST 0 SITEPROP TIEOFF_X3Y68 IS_USED 0 SITEPROP TIEOFF_X3Y68 MANUAL_ROUTING SITEPROP TIEOFF_X3Y68 NAME TIEOFF_X3Y68 SITEPROP TIEOFF_X3Y68 NUM_ARCS 0 SITEPROP TIEOFF_X3Y68 NUM_BELS 2 SITEPROP TIEOFF_X3Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y68 NUM_PINS 2 SITEPROP TIEOFF_X3Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y68 PROHIBIT 0 SITEPROP TIEOFF_X3Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y68 RPM_X 20 SITEPROP TIEOFF_X3Y68 RPM_Y 136 SITEPROP TIEOFF_X3Y68 SITE_PIPS SITEPROP TIEOFF_X3Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y69 CLASS site SITEPROP TIEOFF_X3Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y69 IS_BONDED 0 SITEPROP TIEOFF_X3Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y69 IS_PAD 0 SITEPROP TIEOFF_X3Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y69 IS_RESERVED 0 SITEPROP TIEOFF_X3Y69 IS_TEST 0 SITEPROP TIEOFF_X3Y69 IS_USED 0 SITEPROP TIEOFF_X3Y69 MANUAL_ROUTING SITEPROP TIEOFF_X3Y69 NAME TIEOFF_X3Y69 SITEPROP TIEOFF_X3Y69 NUM_ARCS 0 SITEPROP TIEOFF_X3Y69 NUM_BELS 2 SITEPROP TIEOFF_X3Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y69 NUM_PINS 2 SITEPROP TIEOFF_X3Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y69 PROHIBIT 0 SITEPROP TIEOFF_X3Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y69 RPM_X 20 SITEPROP TIEOFF_X3Y69 RPM_Y 138 SITEPROP TIEOFF_X3Y69 SITE_PIPS SITEPROP TIEOFF_X3Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y70 CLASS site SITEPROP TIEOFF_X3Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y70 IS_BONDED 0 SITEPROP TIEOFF_X3Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y70 IS_PAD 0 SITEPROP TIEOFF_X3Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y70 IS_RESERVED 0 SITEPROP TIEOFF_X3Y70 IS_TEST 0 SITEPROP TIEOFF_X3Y70 IS_USED 0 SITEPROP TIEOFF_X3Y70 MANUAL_ROUTING SITEPROP TIEOFF_X3Y70 NAME TIEOFF_X3Y70 SITEPROP TIEOFF_X3Y70 NUM_ARCS 0 SITEPROP TIEOFF_X3Y70 NUM_BELS 2 SITEPROP TIEOFF_X3Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y70 NUM_PINS 2 SITEPROP TIEOFF_X3Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y70 PROHIBIT 0 SITEPROP TIEOFF_X3Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y70 RPM_X 20 SITEPROP TIEOFF_X3Y70 RPM_Y 140 SITEPROP TIEOFF_X3Y70 SITE_PIPS SITEPROP TIEOFF_X3Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y71 CLASS site SITEPROP TIEOFF_X3Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y71 IS_BONDED 0 SITEPROP TIEOFF_X3Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y71 IS_PAD 0 SITEPROP TIEOFF_X3Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y71 IS_RESERVED 0 SITEPROP TIEOFF_X3Y71 IS_TEST 0 SITEPROP TIEOFF_X3Y71 IS_USED 0 SITEPROP TIEOFF_X3Y71 MANUAL_ROUTING SITEPROP TIEOFF_X3Y71 NAME TIEOFF_X3Y71 SITEPROP TIEOFF_X3Y71 NUM_ARCS 0 SITEPROP TIEOFF_X3Y71 NUM_BELS 2 SITEPROP TIEOFF_X3Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y71 NUM_PINS 2 SITEPROP TIEOFF_X3Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y71 PROHIBIT 0 SITEPROP TIEOFF_X3Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y71 RPM_X 20 SITEPROP TIEOFF_X3Y71 RPM_Y 142 SITEPROP TIEOFF_X3Y71 SITE_PIPS SITEPROP TIEOFF_X3Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y72 CLASS site SITEPROP TIEOFF_X3Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y72 IS_BONDED 0 SITEPROP TIEOFF_X3Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y72 IS_PAD 0 SITEPROP TIEOFF_X3Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y72 IS_RESERVED 0 SITEPROP TIEOFF_X3Y72 IS_TEST 0 SITEPROP TIEOFF_X3Y72 IS_USED 0 SITEPROP TIEOFF_X3Y72 MANUAL_ROUTING SITEPROP TIEOFF_X3Y72 NAME TIEOFF_X3Y72 SITEPROP TIEOFF_X3Y72 NUM_ARCS 0 SITEPROP TIEOFF_X3Y72 NUM_BELS 2 SITEPROP TIEOFF_X3Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y72 NUM_PINS 2 SITEPROP TIEOFF_X3Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y72 PROHIBIT 0 SITEPROP TIEOFF_X3Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y72 RPM_X 20 SITEPROP TIEOFF_X3Y72 RPM_Y 144 SITEPROP TIEOFF_X3Y72 SITE_PIPS SITEPROP TIEOFF_X3Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y73 CLASS site SITEPROP TIEOFF_X3Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y73 IS_BONDED 0 SITEPROP TIEOFF_X3Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y73 IS_PAD 0 SITEPROP TIEOFF_X3Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y73 IS_RESERVED 0 SITEPROP TIEOFF_X3Y73 IS_TEST 0 SITEPROP TIEOFF_X3Y73 IS_USED 0 SITEPROP TIEOFF_X3Y73 MANUAL_ROUTING SITEPROP TIEOFF_X3Y73 NAME TIEOFF_X3Y73 SITEPROP TIEOFF_X3Y73 NUM_ARCS 0 SITEPROP TIEOFF_X3Y73 NUM_BELS 2 SITEPROP TIEOFF_X3Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y73 NUM_PINS 2 SITEPROP TIEOFF_X3Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y73 PROHIBIT 0 SITEPROP TIEOFF_X3Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y73 RPM_X 20 SITEPROP TIEOFF_X3Y73 RPM_Y 146 SITEPROP TIEOFF_X3Y73 SITE_PIPS SITEPROP TIEOFF_X3Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y74 CLASS site SITEPROP TIEOFF_X3Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y74 IS_BONDED 0 SITEPROP TIEOFF_X3Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y74 IS_PAD 0 SITEPROP TIEOFF_X3Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y74 IS_RESERVED 0 SITEPROP TIEOFF_X3Y74 IS_TEST 0 SITEPROP TIEOFF_X3Y74 IS_USED 0 SITEPROP TIEOFF_X3Y74 MANUAL_ROUTING SITEPROP TIEOFF_X3Y74 NAME TIEOFF_X3Y74 SITEPROP TIEOFF_X3Y74 NUM_ARCS 0 SITEPROP TIEOFF_X3Y74 NUM_BELS 2 SITEPROP TIEOFF_X3Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y74 NUM_PINS 2 SITEPROP TIEOFF_X3Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y74 PROHIBIT 0 SITEPROP TIEOFF_X3Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y74 RPM_X 20 SITEPROP TIEOFF_X3Y74 RPM_Y 148 SITEPROP TIEOFF_X3Y74 SITE_PIPS SITEPROP TIEOFF_X3Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y75 CLASS site SITEPROP TIEOFF_X3Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y75 IS_BONDED 0 SITEPROP TIEOFF_X3Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y75 IS_PAD 0 SITEPROP TIEOFF_X3Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y75 IS_RESERVED 0 SITEPROP TIEOFF_X3Y75 IS_TEST 0 SITEPROP TIEOFF_X3Y75 IS_USED 0 SITEPROP TIEOFF_X3Y75 MANUAL_ROUTING SITEPROP TIEOFF_X3Y75 NAME TIEOFF_X3Y75 SITEPROP TIEOFF_X3Y75 NUM_ARCS 0 SITEPROP TIEOFF_X3Y75 NUM_BELS 2 SITEPROP TIEOFF_X3Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y75 NUM_PINS 2 SITEPROP TIEOFF_X3Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y75 PROHIBIT 0 SITEPROP TIEOFF_X3Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y75 RPM_X 20 SITEPROP TIEOFF_X3Y75 RPM_Y 150 SITEPROP TIEOFF_X3Y75 SITE_PIPS SITEPROP TIEOFF_X3Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y76 CLASS site SITEPROP TIEOFF_X3Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y76 IS_BONDED 0 SITEPROP TIEOFF_X3Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y76 IS_PAD 0 SITEPROP TIEOFF_X3Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y76 IS_RESERVED 0 SITEPROP TIEOFF_X3Y76 IS_TEST 0 SITEPROP TIEOFF_X3Y76 IS_USED 0 SITEPROP TIEOFF_X3Y76 MANUAL_ROUTING SITEPROP TIEOFF_X3Y76 NAME TIEOFF_X3Y76 SITEPROP TIEOFF_X3Y76 NUM_ARCS 0 SITEPROP TIEOFF_X3Y76 NUM_BELS 2 SITEPROP TIEOFF_X3Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y76 NUM_PINS 2 SITEPROP TIEOFF_X3Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y76 PROHIBIT 0 SITEPROP TIEOFF_X3Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y76 RPM_X 20 SITEPROP TIEOFF_X3Y76 RPM_Y 152 SITEPROP TIEOFF_X3Y76 SITE_PIPS SITEPROP TIEOFF_X3Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y77 CLASS site SITEPROP TIEOFF_X3Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y77 IS_BONDED 0 SITEPROP TIEOFF_X3Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y77 IS_PAD 0 SITEPROP TIEOFF_X3Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y77 IS_RESERVED 0 SITEPROP TIEOFF_X3Y77 IS_TEST 0 SITEPROP TIEOFF_X3Y77 IS_USED 0 SITEPROP TIEOFF_X3Y77 MANUAL_ROUTING SITEPROP TIEOFF_X3Y77 NAME TIEOFF_X3Y77 SITEPROP TIEOFF_X3Y77 NUM_ARCS 0 SITEPROP TIEOFF_X3Y77 NUM_BELS 2 SITEPROP TIEOFF_X3Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y77 NUM_PINS 2 SITEPROP TIEOFF_X3Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y77 PROHIBIT 0 SITEPROP TIEOFF_X3Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y77 RPM_X 20 SITEPROP TIEOFF_X3Y77 RPM_Y 154 SITEPROP TIEOFF_X3Y77 SITE_PIPS SITEPROP TIEOFF_X3Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y78 CLASS site SITEPROP TIEOFF_X3Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y78 IS_BONDED 0 SITEPROP TIEOFF_X3Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y78 IS_PAD 0 SITEPROP TIEOFF_X3Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y78 IS_RESERVED 0 SITEPROP TIEOFF_X3Y78 IS_TEST 0 SITEPROP TIEOFF_X3Y78 IS_USED 0 SITEPROP TIEOFF_X3Y78 MANUAL_ROUTING SITEPROP TIEOFF_X3Y78 NAME TIEOFF_X3Y78 SITEPROP TIEOFF_X3Y78 NUM_ARCS 0 SITEPROP TIEOFF_X3Y78 NUM_BELS 2 SITEPROP TIEOFF_X3Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y78 NUM_PINS 2 SITEPROP TIEOFF_X3Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y78 PROHIBIT 0 SITEPROP TIEOFF_X3Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y78 RPM_X 20 SITEPROP TIEOFF_X3Y78 RPM_Y 156 SITEPROP TIEOFF_X3Y78 SITE_PIPS SITEPROP TIEOFF_X3Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y79 CLASS site SITEPROP TIEOFF_X3Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y79 IS_BONDED 0 SITEPROP TIEOFF_X3Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y79 IS_PAD 0 SITEPROP TIEOFF_X3Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y79 IS_RESERVED 0 SITEPROP TIEOFF_X3Y79 IS_TEST 0 SITEPROP TIEOFF_X3Y79 IS_USED 0 SITEPROP TIEOFF_X3Y79 MANUAL_ROUTING SITEPROP TIEOFF_X3Y79 NAME TIEOFF_X3Y79 SITEPROP TIEOFF_X3Y79 NUM_ARCS 0 SITEPROP TIEOFF_X3Y79 NUM_BELS 2 SITEPROP TIEOFF_X3Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y79 NUM_PINS 2 SITEPROP TIEOFF_X3Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y79 PROHIBIT 0 SITEPROP TIEOFF_X3Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y79 RPM_X 20 SITEPROP TIEOFF_X3Y79 RPM_Y 158 SITEPROP TIEOFF_X3Y79 SITE_PIPS SITEPROP TIEOFF_X3Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y80 CLASS site SITEPROP TIEOFF_X3Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y80 IS_BONDED 0 SITEPROP TIEOFF_X3Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y80 IS_PAD 0 SITEPROP TIEOFF_X3Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y80 IS_RESERVED 0 SITEPROP TIEOFF_X3Y80 IS_TEST 0 SITEPROP TIEOFF_X3Y80 IS_USED 0 SITEPROP TIEOFF_X3Y80 MANUAL_ROUTING SITEPROP TIEOFF_X3Y80 NAME TIEOFF_X3Y80 SITEPROP TIEOFF_X3Y80 NUM_ARCS 0 SITEPROP TIEOFF_X3Y80 NUM_BELS 2 SITEPROP TIEOFF_X3Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y80 NUM_PINS 2 SITEPROP TIEOFF_X3Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y80 PROHIBIT 0 SITEPROP TIEOFF_X3Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y80 RPM_X 20 SITEPROP TIEOFF_X3Y80 RPM_Y 160 SITEPROP TIEOFF_X3Y80 SITE_PIPS SITEPROP TIEOFF_X3Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y81 CLASS site SITEPROP TIEOFF_X3Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y81 IS_BONDED 0 SITEPROP TIEOFF_X3Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y81 IS_PAD 0 SITEPROP TIEOFF_X3Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y81 IS_RESERVED 0 SITEPROP TIEOFF_X3Y81 IS_TEST 0 SITEPROP TIEOFF_X3Y81 IS_USED 0 SITEPROP TIEOFF_X3Y81 MANUAL_ROUTING SITEPROP TIEOFF_X3Y81 NAME TIEOFF_X3Y81 SITEPROP TIEOFF_X3Y81 NUM_ARCS 0 SITEPROP TIEOFF_X3Y81 NUM_BELS 2 SITEPROP TIEOFF_X3Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y81 NUM_PINS 2 SITEPROP TIEOFF_X3Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y81 PROHIBIT 0 SITEPROP TIEOFF_X3Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y81 RPM_X 20 SITEPROP TIEOFF_X3Y81 RPM_Y 162 SITEPROP TIEOFF_X3Y81 SITE_PIPS SITEPROP TIEOFF_X3Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y82 CLASS site SITEPROP TIEOFF_X3Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y82 IS_BONDED 0 SITEPROP TIEOFF_X3Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y82 IS_PAD 0 SITEPROP TIEOFF_X3Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y82 IS_RESERVED 0 SITEPROP TIEOFF_X3Y82 IS_TEST 0 SITEPROP TIEOFF_X3Y82 IS_USED 0 SITEPROP TIEOFF_X3Y82 MANUAL_ROUTING SITEPROP TIEOFF_X3Y82 NAME TIEOFF_X3Y82 SITEPROP TIEOFF_X3Y82 NUM_ARCS 0 SITEPROP TIEOFF_X3Y82 NUM_BELS 2 SITEPROP TIEOFF_X3Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y82 NUM_PINS 2 SITEPROP TIEOFF_X3Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y82 PROHIBIT 0 SITEPROP TIEOFF_X3Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y82 RPM_X 20 SITEPROP TIEOFF_X3Y82 RPM_Y 164 SITEPROP TIEOFF_X3Y82 SITE_PIPS SITEPROP TIEOFF_X3Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y83 CLASS site SITEPROP TIEOFF_X3Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y83 IS_BONDED 0 SITEPROP TIEOFF_X3Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y83 IS_PAD 0 SITEPROP TIEOFF_X3Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y83 IS_RESERVED 0 SITEPROP TIEOFF_X3Y83 IS_TEST 0 SITEPROP TIEOFF_X3Y83 IS_USED 0 SITEPROP TIEOFF_X3Y83 MANUAL_ROUTING SITEPROP TIEOFF_X3Y83 NAME TIEOFF_X3Y83 SITEPROP TIEOFF_X3Y83 NUM_ARCS 0 SITEPROP TIEOFF_X3Y83 NUM_BELS 2 SITEPROP TIEOFF_X3Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y83 NUM_PINS 2 SITEPROP TIEOFF_X3Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y83 PROHIBIT 0 SITEPROP TIEOFF_X3Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y83 RPM_X 20 SITEPROP TIEOFF_X3Y83 RPM_Y 166 SITEPROP TIEOFF_X3Y83 SITE_PIPS SITEPROP TIEOFF_X3Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y84 CLASS site SITEPROP TIEOFF_X3Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y84 IS_BONDED 0 SITEPROP TIEOFF_X3Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y84 IS_PAD 0 SITEPROP TIEOFF_X3Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y84 IS_RESERVED 0 SITEPROP TIEOFF_X3Y84 IS_TEST 0 SITEPROP TIEOFF_X3Y84 IS_USED 0 SITEPROP TIEOFF_X3Y84 MANUAL_ROUTING SITEPROP TIEOFF_X3Y84 NAME TIEOFF_X3Y84 SITEPROP TIEOFF_X3Y84 NUM_ARCS 0 SITEPROP TIEOFF_X3Y84 NUM_BELS 2 SITEPROP TIEOFF_X3Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y84 NUM_PINS 2 SITEPROP TIEOFF_X3Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y84 PROHIBIT 0 SITEPROP TIEOFF_X3Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y84 RPM_X 20 SITEPROP TIEOFF_X3Y84 RPM_Y 168 SITEPROP TIEOFF_X3Y84 SITE_PIPS SITEPROP TIEOFF_X3Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y85 CLASS site SITEPROP TIEOFF_X3Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y85 IS_BONDED 0 SITEPROP TIEOFF_X3Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y85 IS_PAD 0 SITEPROP TIEOFF_X3Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y85 IS_RESERVED 0 SITEPROP TIEOFF_X3Y85 IS_TEST 0 SITEPROP TIEOFF_X3Y85 IS_USED 0 SITEPROP TIEOFF_X3Y85 MANUAL_ROUTING SITEPROP TIEOFF_X3Y85 NAME TIEOFF_X3Y85 SITEPROP TIEOFF_X3Y85 NUM_ARCS 0 SITEPROP TIEOFF_X3Y85 NUM_BELS 2 SITEPROP TIEOFF_X3Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y85 NUM_PINS 2 SITEPROP TIEOFF_X3Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y85 PROHIBIT 0 SITEPROP TIEOFF_X3Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y85 RPM_X 20 SITEPROP TIEOFF_X3Y85 RPM_Y 170 SITEPROP TIEOFF_X3Y85 SITE_PIPS SITEPROP TIEOFF_X3Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y86 CLASS site SITEPROP TIEOFF_X3Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y86 IS_BONDED 0 SITEPROP TIEOFF_X3Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y86 IS_PAD 0 SITEPROP TIEOFF_X3Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y86 IS_RESERVED 0 SITEPROP TIEOFF_X3Y86 IS_TEST 0 SITEPROP TIEOFF_X3Y86 IS_USED 0 SITEPROP TIEOFF_X3Y86 MANUAL_ROUTING SITEPROP TIEOFF_X3Y86 NAME TIEOFF_X3Y86 SITEPROP TIEOFF_X3Y86 NUM_ARCS 0 SITEPROP TIEOFF_X3Y86 NUM_BELS 2 SITEPROP TIEOFF_X3Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y86 NUM_PINS 2 SITEPROP TIEOFF_X3Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y86 PROHIBIT 0 SITEPROP TIEOFF_X3Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y86 RPM_X 20 SITEPROP TIEOFF_X3Y86 RPM_Y 172 SITEPROP TIEOFF_X3Y86 SITE_PIPS SITEPROP TIEOFF_X3Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y87 CLASS site SITEPROP TIEOFF_X3Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y87 IS_BONDED 0 SITEPROP TIEOFF_X3Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y87 IS_PAD 0 SITEPROP TIEOFF_X3Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y87 IS_RESERVED 0 SITEPROP TIEOFF_X3Y87 IS_TEST 0 SITEPROP TIEOFF_X3Y87 IS_USED 0 SITEPROP TIEOFF_X3Y87 MANUAL_ROUTING SITEPROP TIEOFF_X3Y87 NAME TIEOFF_X3Y87 SITEPROP TIEOFF_X3Y87 NUM_ARCS 0 SITEPROP TIEOFF_X3Y87 NUM_BELS 2 SITEPROP TIEOFF_X3Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y87 NUM_PINS 2 SITEPROP TIEOFF_X3Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y87 PROHIBIT 0 SITEPROP TIEOFF_X3Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y87 RPM_X 20 SITEPROP TIEOFF_X3Y87 RPM_Y 174 SITEPROP TIEOFF_X3Y87 SITE_PIPS SITEPROP TIEOFF_X3Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y88 CLASS site SITEPROP TIEOFF_X3Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y88 IS_BONDED 0 SITEPROP TIEOFF_X3Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y88 IS_PAD 0 SITEPROP TIEOFF_X3Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y88 IS_RESERVED 0 SITEPROP TIEOFF_X3Y88 IS_TEST 0 SITEPROP TIEOFF_X3Y88 IS_USED 0 SITEPROP TIEOFF_X3Y88 MANUAL_ROUTING SITEPROP TIEOFF_X3Y88 NAME TIEOFF_X3Y88 SITEPROP TIEOFF_X3Y88 NUM_ARCS 0 SITEPROP TIEOFF_X3Y88 NUM_BELS 2 SITEPROP TIEOFF_X3Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y88 NUM_PINS 2 SITEPROP TIEOFF_X3Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y88 PROHIBIT 0 SITEPROP TIEOFF_X3Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y88 RPM_X 20 SITEPROP TIEOFF_X3Y88 RPM_Y 176 SITEPROP TIEOFF_X3Y88 SITE_PIPS SITEPROP TIEOFF_X3Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y89 CLASS site SITEPROP TIEOFF_X3Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y89 IS_BONDED 0 SITEPROP TIEOFF_X3Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y89 IS_PAD 0 SITEPROP TIEOFF_X3Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y89 IS_RESERVED 0 SITEPROP TIEOFF_X3Y89 IS_TEST 0 SITEPROP TIEOFF_X3Y89 IS_USED 0 SITEPROP TIEOFF_X3Y89 MANUAL_ROUTING SITEPROP TIEOFF_X3Y89 NAME TIEOFF_X3Y89 SITEPROP TIEOFF_X3Y89 NUM_ARCS 0 SITEPROP TIEOFF_X3Y89 NUM_BELS 2 SITEPROP TIEOFF_X3Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y89 NUM_PINS 2 SITEPROP TIEOFF_X3Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y89 PROHIBIT 0 SITEPROP TIEOFF_X3Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y89 RPM_X 20 SITEPROP TIEOFF_X3Y89 RPM_Y 178 SITEPROP TIEOFF_X3Y89 SITE_PIPS SITEPROP TIEOFF_X3Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y90 CLASS site SITEPROP TIEOFF_X3Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y90 IS_BONDED 0 SITEPROP TIEOFF_X3Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y90 IS_PAD 0 SITEPROP TIEOFF_X3Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y90 IS_RESERVED 0 SITEPROP TIEOFF_X3Y90 IS_TEST 0 SITEPROP TIEOFF_X3Y90 IS_USED 0 SITEPROP TIEOFF_X3Y90 MANUAL_ROUTING SITEPROP TIEOFF_X3Y90 NAME TIEOFF_X3Y90 SITEPROP TIEOFF_X3Y90 NUM_ARCS 0 SITEPROP TIEOFF_X3Y90 NUM_BELS 2 SITEPROP TIEOFF_X3Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y90 NUM_PINS 2 SITEPROP TIEOFF_X3Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y90 PROHIBIT 0 SITEPROP TIEOFF_X3Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y90 RPM_X 20 SITEPROP TIEOFF_X3Y90 RPM_Y 180 SITEPROP TIEOFF_X3Y90 SITE_PIPS SITEPROP TIEOFF_X3Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y91 CLASS site SITEPROP TIEOFF_X3Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y91 IS_BONDED 0 SITEPROP TIEOFF_X3Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y91 IS_PAD 0 SITEPROP TIEOFF_X3Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y91 IS_RESERVED 0 SITEPROP TIEOFF_X3Y91 IS_TEST 0 SITEPROP TIEOFF_X3Y91 IS_USED 0 SITEPROP TIEOFF_X3Y91 MANUAL_ROUTING SITEPROP TIEOFF_X3Y91 NAME TIEOFF_X3Y91 SITEPROP TIEOFF_X3Y91 NUM_ARCS 0 SITEPROP TIEOFF_X3Y91 NUM_BELS 2 SITEPROP TIEOFF_X3Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y91 NUM_PINS 2 SITEPROP TIEOFF_X3Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y91 PROHIBIT 0 SITEPROP TIEOFF_X3Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y91 RPM_X 20 SITEPROP TIEOFF_X3Y91 RPM_Y 182 SITEPROP TIEOFF_X3Y91 SITE_PIPS SITEPROP TIEOFF_X3Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y92 CLASS site SITEPROP TIEOFF_X3Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y92 IS_BONDED 0 SITEPROP TIEOFF_X3Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y92 IS_PAD 0 SITEPROP TIEOFF_X3Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y92 IS_RESERVED 0 SITEPROP TIEOFF_X3Y92 IS_TEST 0 SITEPROP TIEOFF_X3Y92 IS_USED 0 SITEPROP TIEOFF_X3Y92 MANUAL_ROUTING SITEPROP TIEOFF_X3Y92 NAME TIEOFF_X3Y92 SITEPROP TIEOFF_X3Y92 NUM_ARCS 0 SITEPROP TIEOFF_X3Y92 NUM_BELS 2 SITEPROP TIEOFF_X3Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y92 NUM_PINS 2 SITEPROP TIEOFF_X3Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y92 PROHIBIT 0 SITEPROP TIEOFF_X3Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y92 RPM_X 20 SITEPROP TIEOFF_X3Y92 RPM_Y 184 SITEPROP TIEOFF_X3Y92 SITE_PIPS SITEPROP TIEOFF_X3Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y93 CLASS site SITEPROP TIEOFF_X3Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y93 IS_BONDED 0 SITEPROP TIEOFF_X3Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y93 IS_PAD 0 SITEPROP TIEOFF_X3Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y93 IS_RESERVED 0 SITEPROP TIEOFF_X3Y93 IS_TEST 0 SITEPROP TIEOFF_X3Y93 IS_USED 0 SITEPROP TIEOFF_X3Y93 MANUAL_ROUTING SITEPROP TIEOFF_X3Y93 NAME TIEOFF_X3Y93 SITEPROP TIEOFF_X3Y93 NUM_ARCS 0 SITEPROP TIEOFF_X3Y93 NUM_BELS 2 SITEPROP TIEOFF_X3Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y93 NUM_PINS 2 SITEPROP TIEOFF_X3Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y93 PROHIBIT 0 SITEPROP TIEOFF_X3Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y93 RPM_X 20 SITEPROP TIEOFF_X3Y93 RPM_Y 186 SITEPROP TIEOFF_X3Y93 SITE_PIPS SITEPROP TIEOFF_X3Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y94 CLASS site SITEPROP TIEOFF_X3Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y94 IS_BONDED 0 SITEPROP TIEOFF_X3Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y94 IS_PAD 0 SITEPROP TIEOFF_X3Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y94 IS_RESERVED 0 SITEPROP TIEOFF_X3Y94 IS_TEST 0 SITEPROP TIEOFF_X3Y94 IS_USED 0 SITEPROP TIEOFF_X3Y94 MANUAL_ROUTING SITEPROP TIEOFF_X3Y94 NAME TIEOFF_X3Y94 SITEPROP TIEOFF_X3Y94 NUM_ARCS 0 SITEPROP TIEOFF_X3Y94 NUM_BELS 2 SITEPROP TIEOFF_X3Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y94 NUM_PINS 2 SITEPROP TIEOFF_X3Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y94 PROHIBIT 0 SITEPROP TIEOFF_X3Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y94 RPM_X 20 SITEPROP TIEOFF_X3Y94 RPM_Y 188 SITEPROP TIEOFF_X3Y94 SITE_PIPS SITEPROP TIEOFF_X3Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y95 CLASS site SITEPROP TIEOFF_X3Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y95 IS_BONDED 0 SITEPROP TIEOFF_X3Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y95 IS_PAD 0 SITEPROP TIEOFF_X3Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y95 IS_RESERVED 0 SITEPROP TIEOFF_X3Y95 IS_TEST 0 SITEPROP TIEOFF_X3Y95 IS_USED 0 SITEPROP TIEOFF_X3Y95 MANUAL_ROUTING SITEPROP TIEOFF_X3Y95 NAME TIEOFF_X3Y95 SITEPROP TIEOFF_X3Y95 NUM_ARCS 0 SITEPROP TIEOFF_X3Y95 NUM_BELS 2 SITEPROP TIEOFF_X3Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y95 NUM_PINS 2 SITEPROP TIEOFF_X3Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y95 PROHIBIT 0 SITEPROP TIEOFF_X3Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y95 RPM_X 20 SITEPROP TIEOFF_X3Y95 RPM_Y 190 SITEPROP TIEOFF_X3Y95 SITE_PIPS SITEPROP TIEOFF_X3Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y96 CLASS site SITEPROP TIEOFF_X3Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y96 IS_BONDED 0 SITEPROP TIEOFF_X3Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y96 IS_PAD 0 SITEPROP TIEOFF_X3Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y96 IS_RESERVED 0 SITEPROP TIEOFF_X3Y96 IS_TEST 0 SITEPROP TIEOFF_X3Y96 IS_USED 0 SITEPROP TIEOFF_X3Y96 MANUAL_ROUTING SITEPROP TIEOFF_X3Y96 NAME TIEOFF_X3Y96 SITEPROP TIEOFF_X3Y96 NUM_ARCS 0 SITEPROP TIEOFF_X3Y96 NUM_BELS 2 SITEPROP TIEOFF_X3Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y96 NUM_PINS 2 SITEPROP TIEOFF_X3Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y96 PROHIBIT 0 SITEPROP TIEOFF_X3Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y96 RPM_X 20 SITEPROP TIEOFF_X3Y96 RPM_Y 192 SITEPROP TIEOFF_X3Y96 SITE_PIPS SITEPROP TIEOFF_X3Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y97 CLASS site SITEPROP TIEOFF_X3Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y97 IS_BONDED 0 SITEPROP TIEOFF_X3Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y97 IS_PAD 0 SITEPROP TIEOFF_X3Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y97 IS_RESERVED 0 SITEPROP TIEOFF_X3Y97 IS_TEST 0 SITEPROP TIEOFF_X3Y97 IS_USED 0 SITEPROP TIEOFF_X3Y97 MANUAL_ROUTING SITEPROP TIEOFF_X3Y97 NAME TIEOFF_X3Y97 SITEPROP TIEOFF_X3Y97 NUM_ARCS 0 SITEPROP TIEOFF_X3Y97 NUM_BELS 2 SITEPROP TIEOFF_X3Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y97 NUM_PINS 2 SITEPROP TIEOFF_X3Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y97 PROHIBIT 0 SITEPROP TIEOFF_X3Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y97 RPM_X 20 SITEPROP TIEOFF_X3Y97 RPM_Y 194 SITEPROP TIEOFF_X3Y97 SITE_PIPS SITEPROP TIEOFF_X3Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y98 CLASS site SITEPROP TIEOFF_X3Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y98 IS_BONDED 0 SITEPROP TIEOFF_X3Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y98 IS_PAD 0 SITEPROP TIEOFF_X3Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y98 IS_RESERVED 0 SITEPROP TIEOFF_X3Y98 IS_TEST 0 SITEPROP TIEOFF_X3Y98 IS_USED 0 SITEPROP TIEOFF_X3Y98 MANUAL_ROUTING SITEPROP TIEOFF_X3Y98 NAME TIEOFF_X3Y98 SITEPROP TIEOFF_X3Y98 NUM_ARCS 0 SITEPROP TIEOFF_X3Y98 NUM_BELS 2 SITEPROP TIEOFF_X3Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y98 NUM_PINS 2 SITEPROP TIEOFF_X3Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y98 PROHIBIT 0 SITEPROP TIEOFF_X3Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y98 RPM_X 20 SITEPROP TIEOFF_X3Y98 RPM_Y 196 SITEPROP TIEOFF_X3Y98 SITE_PIPS SITEPROP TIEOFF_X3Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y99 CLASS site SITEPROP TIEOFF_X3Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X3Y99 IS_BONDED 0 SITEPROP TIEOFF_X3Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y99 IS_PAD 0 SITEPROP TIEOFF_X3Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y99 IS_RESERVED 0 SITEPROP TIEOFF_X3Y99 IS_TEST 0 SITEPROP TIEOFF_X3Y99 IS_USED 0 SITEPROP TIEOFF_X3Y99 MANUAL_ROUTING SITEPROP TIEOFF_X3Y99 NAME TIEOFF_X3Y99 SITEPROP TIEOFF_X3Y99 NUM_ARCS 0 SITEPROP TIEOFF_X3Y99 NUM_BELS 2 SITEPROP TIEOFF_X3Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y99 NUM_PINS 2 SITEPROP TIEOFF_X3Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y99 PROHIBIT 0 SITEPROP TIEOFF_X3Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y99 RPM_X 20 SITEPROP TIEOFF_X3Y99 RPM_Y 198 SITEPROP TIEOFF_X3Y99 SITE_PIPS SITEPROP TIEOFF_X3Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y100 CLASS site SITEPROP TIEOFF_X3Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y100 IS_BONDED 0 SITEPROP TIEOFF_X3Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y100 IS_PAD 0 SITEPROP TIEOFF_X3Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y100 IS_RESERVED 0 SITEPROP TIEOFF_X3Y100 IS_TEST 0 SITEPROP TIEOFF_X3Y100 IS_USED 0 SITEPROP TIEOFF_X3Y100 MANUAL_ROUTING SITEPROP TIEOFF_X3Y100 NAME TIEOFF_X3Y100 SITEPROP TIEOFF_X3Y100 NUM_ARCS 0 SITEPROP TIEOFF_X3Y100 NUM_BELS 2 SITEPROP TIEOFF_X3Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y100 NUM_PINS 2 SITEPROP TIEOFF_X3Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y100 PROHIBIT 0 SITEPROP TIEOFF_X3Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y100 RPM_X 20 SITEPROP TIEOFF_X3Y100 RPM_Y 200 SITEPROP TIEOFF_X3Y100 SITE_PIPS SITEPROP TIEOFF_X3Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y101 CLASS site SITEPROP TIEOFF_X3Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y101 IS_BONDED 0 SITEPROP TIEOFF_X3Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y101 IS_PAD 0 SITEPROP TIEOFF_X3Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y101 IS_RESERVED 0 SITEPROP TIEOFF_X3Y101 IS_TEST 0 SITEPROP TIEOFF_X3Y101 IS_USED 0 SITEPROP TIEOFF_X3Y101 MANUAL_ROUTING SITEPROP TIEOFF_X3Y101 NAME TIEOFF_X3Y101 SITEPROP TIEOFF_X3Y101 NUM_ARCS 0 SITEPROP TIEOFF_X3Y101 NUM_BELS 2 SITEPROP TIEOFF_X3Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y101 NUM_PINS 2 SITEPROP TIEOFF_X3Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y101 PROHIBIT 0 SITEPROP TIEOFF_X3Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y101 RPM_X 20 SITEPROP TIEOFF_X3Y101 RPM_Y 202 SITEPROP TIEOFF_X3Y101 SITE_PIPS SITEPROP TIEOFF_X3Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y102 CLASS site SITEPROP TIEOFF_X3Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y102 IS_BONDED 0 SITEPROP TIEOFF_X3Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y102 IS_PAD 0 SITEPROP TIEOFF_X3Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y102 IS_RESERVED 0 SITEPROP TIEOFF_X3Y102 IS_TEST 0 SITEPROP TIEOFF_X3Y102 IS_USED 0 SITEPROP TIEOFF_X3Y102 MANUAL_ROUTING SITEPROP TIEOFF_X3Y102 NAME TIEOFF_X3Y102 SITEPROP TIEOFF_X3Y102 NUM_ARCS 0 SITEPROP TIEOFF_X3Y102 NUM_BELS 2 SITEPROP TIEOFF_X3Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y102 NUM_PINS 2 SITEPROP TIEOFF_X3Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y102 PROHIBIT 0 SITEPROP TIEOFF_X3Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y102 RPM_X 20 SITEPROP TIEOFF_X3Y102 RPM_Y 204 SITEPROP TIEOFF_X3Y102 SITE_PIPS SITEPROP TIEOFF_X3Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y103 CLASS site SITEPROP TIEOFF_X3Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y103 IS_BONDED 0 SITEPROP TIEOFF_X3Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y103 IS_PAD 0 SITEPROP TIEOFF_X3Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y103 IS_RESERVED 0 SITEPROP TIEOFF_X3Y103 IS_TEST 0 SITEPROP TIEOFF_X3Y103 IS_USED 0 SITEPROP TIEOFF_X3Y103 MANUAL_ROUTING SITEPROP TIEOFF_X3Y103 NAME TIEOFF_X3Y103 SITEPROP TIEOFF_X3Y103 NUM_ARCS 0 SITEPROP TIEOFF_X3Y103 NUM_BELS 2 SITEPROP TIEOFF_X3Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y103 NUM_PINS 2 SITEPROP TIEOFF_X3Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y103 PROHIBIT 0 SITEPROP TIEOFF_X3Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y103 RPM_X 20 SITEPROP TIEOFF_X3Y103 RPM_Y 206 SITEPROP TIEOFF_X3Y103 SITE_PIPS SITEPROP TIEOFF_X3Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y104 CLASS site SITEPROP TIEOFF_X3Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y104 IS_BONDED 0 SITEPROP TIEOFF_X3Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y104 IS_PAD 0 SITEPROP TIEOFF_X3Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y104 IS_RESERVED 0 SITEPROP TIEOFF_X3Y104 IS_TEST 0 SITEPROP TIEOFF_X3Y104 IS_USED 0 SITEPROP TIEOFF_X3Y104 MANUAL_ROUTING SITEPROP TIEOFF_X3Y104 NAME TIEOFF_X3Y104 SITEPROP TIEOFF_X3Y104 NUM_ARCS 0 SITEPROP TIEOFF_X3Y104 NUM_BELS 2 SITEPROP TIEOFF_X3Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y104 NUM_PINS 2 SITEPROP TIEOFF_X3Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y104 PROHIBIT 0 SITEPROP TIEOFF_X3Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y104 RPM_X 20 SITEPROP TIEOFF_X3Y104 RPM_Y 208 SITEPROP TIEOFF_X3Y104 SITE_PIPS SITEPROP TIEOFF_X3Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y105 CLASS site SITEPROP TIEOFF_X3Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y105 IS_BONDED 0 SITEPROP TIEOFF_X3Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y105 IS_PAD 0 SITEPROP TIEOFF_X3Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y105 IS_RESERVED 0 SITEPROP TIEOFF_X3Y105 IS_TEST 0 SITEPROP TIEOFF_X3Y105 IS_USED 0 SITEPROP TIEOFF_X3Y105 MANUAL_ROUTING SITEPROP TIEOFF_X3Y105 NAME TIEOFF_X3Y105 SITEPROP TIEOFF_X3Y105 NUM_ARCS 0 SITEPROP TIEOFF_X3Y105 NUM_BELS 2 SITEPROP TIEOFF_X3Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y105 NUM_PINS 2 SITEPROP TIEOFF_X3Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y105 PROHIBIT 0 SITEPROP TIEOFF_X3Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y105 RPM_X 20 SITEPROP TIEOFF_X3Y105 RPM_Y 210 SITEPROP TIEOFF_X3Y105 SITE_PIPS SITEPROP TIEOFF_X3Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y106 CLASS site SITEPROP TIEOFF_X3Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y106 IS_BONDED 0 SITEPROP TIEOFF_X3Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y106 IS_PAD 0 SITEPROP TIEOFF_X3Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y106 IS_RESERVED 0 SITEPROP TIEOFF_X3Y106 IS_TEST 0 SITEPROP TIEOFF_X3Y106 IS_USED 0 SITEPROP TIEOFF_X3Y106 MANUAL_ROUTING SITEPROP TIEOFF_X3Y106 NAME TIEOFF_X3Y106 SITEPROP TIEOFF_X3Y106 NUM_ARCS 0 SITEPROP TIEOFF_X3Y106 NUM_BELS 2 SITEPROP TIEOFF_X3Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y106 NUM_PINS 2 SITEPROP TIEOFF_X3Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y106 PROHIBIT 0 SITEPROP TIEOFF_X3Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y106 RPM_X 20 SITEPROP TIEOFF_X3Y106 RPM_Y 212 SITEPROP TIEOFF_X3Y106 SITE_PIPS SITEPROP TIEOFF_X3Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y107 CLASS site SITEPROP TIEOFF_X3Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y107 IS_BONDED 0 SITEPROP TIEOFF_X3Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y107 IS_PAD 0 SITEPROP TIEOFF_X3Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y107 IS_RESERVED 0 SITEPROP TIEOFF_X3Y107 IS_TEST 0 SITEPROP TIEOFF_X3Y107 IS_USED 0 SITEPROP TIEOFF_X3Y107 MANUAL_ROUTING SITEPROP TIEOFF_X3Y107 NAME TIEOFF_X3Y107 SITEPROP TIEOFF_X3Y107 NUM_ARCS 0 SITEPROP TIEOFF_X3Y107 NUM_BELS 2 SITEPROP TIEOFF_X3Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y107 NUM_PINS 2 SITEPROP TIEOFF_X3Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y107 PROHIBIT 0 SITEPROP TIEOFF_X3Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y107 RPM_X 20 SITEPROP TIEOFF_X3Y107 RPM_Y 214 SITEPROP TIEOFF_X3Y107 SITE_PIPS SITEPROP TIEOFF_X3Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y108 CLASS site SITEPROP TIEOFF_X3Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y108 IS_BONDED 0 SITEPROP TIEOFF_X3Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y108 IS_PAD 0 SITEPROP TIEOFF_X3Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y108 IS_RESERVED 0 SITEPROP TIEOFF_X3Y108 IS_TEST 0 SITEPROP TIEOFF_X3Y108 IS_USED 0 SITEPROP TIEOFF_X3Y108 MANUAL_ROUTING SITEPROP TIEOFF_X3Y108 NAME TIEOFF_X3Y108 SITEPROP TIEOFF_X3Y108 NUM_ARCS 0 SITEPROP TIEOFF_X3Y108 NUM_BELS 2 SITEPROP TIEOFF_X3Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y108 NUM_PINS 2 SITEPROP TIEOFF_X3Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y108 PROHIBIT 0 SITEPROP TIEOFF_X3Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y108 RPM_X 20 SITEPROP TIEOFF_X3Y108 RPM_Y 216 SITEPROP TIEOFF_X3Y108 SITE_PIPS SITEPROP TIEOFF_X3Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y109 CLASS site SITEPROP TIEOFF_X3Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y109 IS_BONDED 0 SITEPROP TIEOFF_X3Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y109 IS_PAD 0 SITEPROP TIEOFF_X3Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y109 IS_RESERVED 0 SITEPROP TIEOFF_X3Y109 IS_TEST 0 SITEPROP TIEOFF_X3Y109 IS_USED 0 SITEPROP TIEOFF_X3Y109 MANUAL_ROUTING SITEPROP TIEOFF_X3Y109 NAME TIEOFF_X3Y109 SITEPROP TIEOFF_X3Y109 NUM_ARCS 0 SITEPROP TIEOFF_X3Y109 NUM_BELS 2 SITEPROP TIEOFF_X3Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y109 NUM_PINS 2 SITEPROP TIEOFF_X3Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y109 PROHIBIT 0 SITEPROP TIEOFF_X3Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y109 RPM_X 20 SITEPROP TIEOFF_X3Y109 RPM_Y 218 SITEPROP TIEOFF_X3Y109 SITE_PIPS SITEPROP TIEOFF_X3Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y110 CLASS site SITEPROP TIEOFF_X3Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y110 IS_BONDED 0 SITEPROP TIEOFF_X3Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y110 IS_PAD 0 SITEPROP TIEOFF_X3Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y110 IS_RESERVED 0 SITEPROP TIEOFF_X3Y110 IS_TEST 0 SITEPROP TIEOFF_X3Y110 IS_USED 0 SITEPROP TIEOFF_X3Y110 MANUAL_ROUTING SITEPROP TIEOFF_X3Y110 NAME TIEOFF_X3Y110 SITEPROP TIEOFF_X3Y110 NUM_ARCS 0 SITEPROP TIEOFF_X3Y110 NUM_BELS 2 SITEPROP TIEOFF_X3Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y110 NUM_PINS 2 SITEPROP TIEOFF_X3Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y110 PROHIBIT 0 SITEPROP TIEOFF_X3Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y110 RPM_X 20 SITEPROP TIEOFF_X3Y110 RPM_Y 220 SITEPROP TIEOFF_X3Y110 SITE_PIPS SITEPROP TIEOFF_X3Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y111 CLASS site SITEPROP TIEOFF_X3Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y111 IS_BONDED 0 SITEPROP TIEOFF_X3Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y111 IS_PAD 0 SITEPROP TIEOFF_X3Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y111 IS_RESERVED 0 SITEPROP TIEOFF_X3Y111 IS_TEST 0 SITEPROP TIEOFF_X3Y111 IS_USED 0 SITEPROP TIEOFF_X3Y111 MANUAL_ROUTING SITEPROP TIEOFF_X3Y111 NAME TIEOFF_X3Y111 SITEPROP TIEOFF_X3Y111 NUM_ARCS 0 SITEPROP TIEOFF_X3Y111 NUM_BELS 2 SITEPROP TIEOFF_X3Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y111 NUM_PINS 2 SITEPROP TIEOFF_X3Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y111 PROHIBIT 0 SITEPROP TIEOFF_X3Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y111 RPM_X 20 SITEPROP TIEOFF_X3Y111 RPM_Y 222 SITEPROP TIEOFF_X3Y111 SITE_PIPS SITEPROP TIEOFF_X3Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y112 CLASS site SITEPROP TIEOFF_X3Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y112 IS_BONDED 0 SITEPROP TIEOFF_X3Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y112 IS_PAD 0 SITEPROP TIEOFF_X3Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y112 IS_RESERVED 0 SITEPROP TIEOFF_X3Y112 IS_TEST 0 SITEPROP TIEOFF_X3Y112 IS_USED 0 SITEPROP TIEOFF_X3Y112 MANUAL_ROUTING SITEPROP TIEOFF_X3Y112 NAME TIEOFF_X3Y112 SITEPROP TIEOFF_X3Y112 NUM_ARCS 0 SITEPROP TIEOFF_X3Y112 NUM_BELS 2 SITEPROP TIEOFF_X3Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y112 NUM_PINS 2 SITEPROP TIEOFF_X3Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y112 PROHIBIT 0 SITEPROP TIEOFF_X3Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y112 RPM_X 20 SITEPROP TIEOFF_X3Y112 RPM_Y 224 SITEPROP TIEOFF_X3Y112 SITE_PIPS SITEPROP TIEOFF_X3Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y113 CLASS site SITEPROP TIEOFF_X3Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y113 IS_BONDED 0 SITEPROP TIEOFF_X3Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y113 IS_PAD 0 SITEPROP TIEOFF_X3Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y113 IS_RESERVED 0 SITEPROP TIEOFF_X3Y113 IS_TEST 0 SITEPROP TIEOFF_X3Y113 IS_USED 0 SITEPROP TIEOFF_X3Y113 MANUAL_ROUTING SITEPROP TIEOFF_X3Y113 NAME TIEOFF_X3Y113 SITEPROP TIEOFF_X3Y113 NUM_ARCS 0 SITEPROP TIEOFF_X3Y113 NUM_BELS 2 SITEPROP TIEOFF_X3Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y113 NUM_PINS 2 SITEPROP TIEOFF_X3Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y113 PROHIBIT 0 SITEPROP TIEOFF_X3Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y113 RPM_X 20 SITEPROP TIEOFF_X3Y113 RPM_Y 226 SITEPROP TIEOFF_X3Y113 SITE_PIPS SITEPROP TIEOFF_X3Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y114 CLASS site SITEPROP TIEOFF_X3Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y114 IS_BONDED 0 SITEPROP TIEOFF_X3Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y114 IS_PAD 0 SITEPROP TIEOFF_X3Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y114 IS_RESERVED 0 SITEPROP TIEOFF_X3Y114 IS_TEST 0 SITEPROP TIEOFF_X3Y114 IS_USED 0 SITEPROP TIEOFF_X3Y114 MANUAL_ROUTING SITEPROP TIEOFF_X3Y114 NAME TIEOFF_X3Y114 SITEPROP TIEOFF_X3Y114 NUM_ARCS 0 SITEPROP TIEOFF_X3Y114 NUM_BELS 2 SITEPROP TIEOFF_X3Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y114 NUM_PINS 2 SITEPROP TIEOFF_X3Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y114 PROHIBIT 0 SITEPROP TIEOFF_X3Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y114 RPM_X 20 SITEPROP TIEOFF_X3Y114 RPM_Y 228 SITEPROP TIEOFF_X3Y114 SITE_PIPS SITEPROP TIEOFF_X3Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y115 CLASS site SITEPROP TIEOFF_X3Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y115 IS_BONDED 0 SITEPROP TIEOFF_X3Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y115 IS_PAD 0 SITEPROP TIEOFF_X3Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y115 IS_RESERVED 0 SITEPROP TIEOFF_X3Y115 IS_TEST 0 SITEPROP TIEOFF_X3Y115 IS_USED 0 SITEPROP TIEOFF_X3Y115 MANUAL_ROUTING SITEPROP TIEOFF_X3Y115 NAME TIEOFF_X3Y115 SITEPROP TIEOFF_X3Y115 NUM_ARCS 0 SITEPROP TIEOFF_X3Y115 NUM_BELS 2 SITEPROP TIEOFF_X3Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y115 NUM_PINS 2 SITEPROP TIEOFF_X3Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y115 PROHIBIT 0 SITEPROP TIEOFF_X3Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y115 RPM_X 20 SITEPROP TIEOFF_X3Y115 RPM_Y 230 SITEPROP TIEOFF_X3Y115 SITE_PIPS SITEPROP TIEOFF_X3Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y116 CLASS site SITEPROP TIEOFF_X3Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y116 IS_BONDED 0 SITEPROP TIEOFF_X3Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y116 IS_PAD 0 SITEPROP TIEOFF_X3Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y116 IS_RESERVED 0 SITEPROP TIEOFF_X3Y116 IS_TEST 0 SITEPROP TIEOFF_X3Y116 IS_USED 0 SITEPROP TIEOFF_X3Y116 MANUAL_ROUTING SITEPROP TIEOFF_X3Y116 NAME TIEOFF_X3Y116 SITEPROP TIEOFF_X3Y116 NUM_ARCS 0 SITEPROP TIEOFF_X3Y116 NUM_BELS 2 SITEPROP TIEOFF_X3Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y116 NUM_PINS 2 SITEPROP TIEOFF_X3Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y116 PROHIBIT 0 SITEPROP TIEOFF_X3Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y116 RPM_X 20 SITEPROP TIEOFF_X3Y116 RPM_Y 232 SITEPROP TIEOFF_X3Y116 SITE_PIPS SITEPROP TIEOFF_X3Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y117 CLASS site SITEPROP TIEOFF_X3Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y117 IS_BONDED 0 SITEPROP TIEOFF_X3Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y117 IS_PAD 0 SITEPROP TIEOFF_X3Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y117 IS_RESERVED 0 SITEPROP TIEOFF_X3Y117 IS_TEST 0 SITEPROP TIEOFF_X3Y117 IS_USED 0 SITEPROP TIEOFF_X3Y117 MANUAL_ROUTING SITEPROP TIEOFF_X3Y117 NAME TIEOFF_X3Y117 SITEPROP TIEOFF_X3Y117 NUM_ARCS 0 SITEPROP TIEOFF_X3Y117 NUM_BELS 2 SITEPROP TIEOFF_X3Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y117 NUM_PINS 2 SITEPROP TIEOFF_X3Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y117 PROHIBIT 0 SITEPROP TIEOFF_X3Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y117 RPM_X 20 SITEPROP TIEOFF_X3Y117 RPM_Y 234 SITEPROP TIEOFF_X3Y117 SITE_PIPS SITEPROP TIEOFF_X3Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y118 CLASS site SITEPROP TIEOFF_X3Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y118 IS_BONDED 0 SITEPROP TIEOFF_X3Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y118 IS_PAD 0 SITEPROP TIEOFF_X3Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y118 IS_RESERVED 0 SITEPROP TIEOFF_X3Y118 IS_TEST 0 SITEPROP TIEOFF_X3Y118 IS_USED 0 SITEPROP TIEOFF_X3Y118 MANUAL_ROUTING SITEPROP TIEOFF_X3Y118 NAME TIEOFF_X3Y118 SITEPROP TIEOFF_X3Y118 NUM_ARCS 0 SITEPROP TIEOFF_X3Y118 NUM_BELS 2 SITEPROP TIEOFF_X3Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y118 NUM_PINS 2 SITEPROP TIEOFF_X3Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y118 PROHIBIT 0 SITEPROP TIEOFF_X3Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y118 RPM_X 20 SITEPROP TIEOFF_X3Y118 RPM_Y 236 SITEPROP TIEOFF_X3Y118 SITE_PIPS SITEPROP TIEOFF_X3Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y119 CLASS site SITEPROP TIEOFF_X3Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y119 IS_BONDED 0 SITEPROP TIEOFF_X3Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y119 IS_PAD 0 SITEPROP TIEOFF_X3Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y119 IS_RESERVED 0 SITEPROP TIEOFF_X3Y119 IS_TEST 0 SITEPROP TIEOFF_X3Y119 IS_USED 0 SITEPROP TIEOFF_X3Y119 MANUAL_ROUTING SITEPROP TIEOFF_X3Y119 NAME TIEOFF_X3Y119 SITEPROP TIEOFF_X3Y119 NUM_ARCS 0 SITEPROP TIEOFF_X3Y119 NUM_BELS 2 SITEPROP TIEOFF_X3Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y119 NUM_PINS 2 SITEPROP TIEOFF_X3Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y119 PROHIBIT 0 SITEPROP TIEOFF_X3Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y119 RPM_X 20 SITEPROP TIEOFF_X3Y119 RPM_Y 238 SITEPROP TIEOFF_X3Y119 SITE_PIPS SITEPROP TIEOFF_X3Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y120 CLASS site SITEPROP TIEOFF_X3Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y120 IS_BONDED 0 SITEPROP TIEOFF_X3Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y120 IS_PAD 0 SITEPROP TIEOFF_X3Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y120 IS_RESERVED 0 SITEPROP TIEOFF_X3Y120 IS_TEST 0 SITEPROP TIEOFF_X3Y120 IS_USED 0 SITEPROP TIEOFF_X3Y120 MANUAL_ROUTING SITEPROP TIEOFF_X3Y120 NAME TIEOFF_X3Y120 SITEPROP TIEOFF_X3Y120 NUM_ARCS 0 SITEPROP TIEOFF_X3Y120 NUM_BELS 2 SITEPROP TIEOFF_X3Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y120 NUM_PINS 2 SITEPROP TIEOFF_X3Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y120 PROHIBIT 0 SITEPROP TIEOFF_X3Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y120 RPM_X 20 SITEPROP TIEOFF_X3Y120 RPM_Y 240 SITEPROP TIEOFF_X3Y120 SITE_PIPS SITEPROP TIEOFF_X3Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y121 CLASS site SITEPROP TIEOFF_X3Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y121 IS_BONDED 0 SITEPROP TIEOFF_X3Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y121 IS_PAD 0 SITEPROP TIEOFF_X3Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y121 IS_RESERVED 0 SITEPROP TIEOFF_X3Y121 IS_TEST 0 SITEPROP TIEOFF_X3Y121 IS_USED 0 SITEPROP TIEOFF_X3Y121 MANUAL_ROUTING SITEPROP TIEOFF_X3Y121 NAME TIEOFF_X3Y121 SITEPROP TIEOFF_X3Y121 NUM_ARCS 0 SITEPROP TIEOFF_X3Y121 NUM_BELS 2 SITEPROP TIEOFF_X3Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y121 NUM_PINS 2 SITEPROP TIEOFF_X3Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y121 PROHIBIT 0 SITEPROP TIEOFF_X3Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y121 RPM_X 20 SITEPROP TIEOFF_X3Y121 RPM_Y 242 SITEPROP TIEOFF_X3Y121 SITE_PIPS SITEPROP TIEOFF_X3Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y122 CLASS site SITEPROP TIEOFF_X3Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y122 IS_BONDED 0 SITEPROP TIEOFF_X3Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y122 IS_PAD 0 SITEPROP TIEOFF_X3Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y122 IS_RESERVED 0 SITEPROP TIEOFF_X3Y122 IS_TEST 0 SITEPROP TIEOFF_X3Y122 IS_USED 0 SITEPROP TIEOFF_X3Y122 MANUAL_ROUTING SITEPROP TIEOFF_X3Y122 NAME TIEOFF_X3Y122 SITEPROP TIEOFF_X3Y122 NUM_ARCS 0 SITEPROP TIEOFF_X3Y122 NUM_BELS 2 SITEPROP TIEOFF_X3Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y122 NUM_PINS 2 SITEPROP TIEOFF_X3Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y122 PROHIBIT 0 SITEPROP TIEOFF_X3Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y122 RPM_X 20 SITEPROP TIEOFF_X3Y122 RPM_Y 244 SITEPROP TIEOFF_X3Y122 SITE_PIPS SITEPROP TIEOFF_X3Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y123 CLASS site SITEPROP TIEOFF_X3Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y123 IS_BONDED 0 SITEPROP TIEOFF_X3Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y123 IS_PAD 0 SITEPROP TIEOFF_X3Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y123 IS_RESERVED 0 SITEPROP TIEOFF_X3Y123 IS_TEST 0 SITEPROP TIEOFF_X3Y123 IS_USED 0 SITEPROP TIEOFF_X3Y123 MANUAL_ROUTING SITEPROP TIEOFF_X3Y123 NAME TIEOFF_X3Y123 SITEPROP TIEOFF_X3Y123 NUM_ARCS 0 SITEPROP TIEOFF_X3Y123 NUM_BELS 2 SITEPROP TIEOFF_X3Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y123 NUM_PINS 2 SITEPROP TIEOFF_X3Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y123 PROHIBIT 0 SITEPROP TIEOFF_X3Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y123 RPM_X 20 SITEPROP TIEOFF_X3Y123 RPM_Y 246 SITEPROP TIEOFF_X3Y123 SITE_PIPS SITEPROP TIEOFF_X3Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y124 CLASS site SITEPROP TIEOFF_X3Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y124 IS_BONDED 0 SITEPROP TIEOFF_X3Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y124 IS_PAD 0 SITEPROP TIEOFF_X3Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y124 IS_RESERVED 0 SITEPROP TIEOFF_X3Y124 IS_TEST 0 SITEPROP TIEOFF_X3Y124 IS_USED 0 SITEPROP TIEOFF_X3Y124 MANUAL_ROUTING SITEPROP TIEOFF_X3Y124 NAME TIEOFF_X3Y124 SITEPROP TIEOFF_X3Y124 NUM_ARCS 0 SITEPROP TIEOFF_X3Y124 NUM_BELS 2 SITEPROP TIEOFF_X3Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y124 NUM_PINS 2 SITEPROP TIEOFF_X3Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y124 PROHIBIT 0 SITEPROP TIEOFF_X3Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y124 RPM_X 20 SITEPROP TIEOFF_X3Y124 RPM_Y 248 SITEPROP TIEOFF_X3Y124 SITE_PIPS SITEPROP TIEOFF_X3Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y125 CLASS site SITEPROP TIEOFF_X3Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y125 IS_BONDED 0 SITEPROP TIEOFF_X3Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y125 IS_PAD 0 SITEPROP TIEOFF_X3Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y125 IS_RESERVED 0 SITEPROP TIEOFF_X3Y125 IS_TEST 0 SITEPROP TIEOFF_X3Y125 IS_USED 0 SITEPROP TIEOFF_X3Y125 MANUAL_ROUTING SITEPROP TIEOFF_X3Y125 NAME TIEOFF_X3Y125 SITEPROP TIEOFF_X3Y125 NUM_ARCS 0 SITEPROP TIEOFF_X3Y125 NUM_BELS 2 SITEPROP TIEOFF_X3Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y125 NUM_PINS 2 SITEPROP TIEOFF_X3Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y125 PROHIBIT 0 SITEPROP TIEOFF_X3Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y125 RPM_X 20 SITEPROP TIEOFF_X3Y125 RPM_Y 250 SITEPROP TIEOFF_X3Y125 SITE_PIPS SITEPROP TIEOFF_X3Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y126 CLASS site SITEPROP TIEOFF_X3Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y126 IS_BONDED 0 SITEPROP TIEOFF_X3Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y126 IS_PAD 0 SITEPROP TIEOFF_X3Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y126 IS_RESERVED 0 SITEPROP TIEOFF_X3Y126 IS_TEST 0 SITEPROP TIEOFF_X3Y126 IS_USED 0 SITEPROP TIEOFF_X3Y126 MANUAL_ROUTING SITEPROP TIEOFF_X3Y126 NAME TIEOFF_X3Y126 SITEPROP TIEOFF_X3Y126 NUM_ARCS 0 SITEPROP TIEOFF_X3Y126 NUM_BELS 2 SITEPROP TIEOFF_X3Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y126 NUM_PINS 2 SITEPROP TIEOFF_X3Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y126 PROHIBIT 0 SITEPROP TIEOFF_X3Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y126 RPM_X 20 SITEPROP TIEOFF_X3Y126 RPM_Y 252 SITEPROP TIEOFF_X3Y126 SITE_PIPS SITEPROP TIEOFF_X3Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y127 CLASS site SITEPROP TIEOFF_X3Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y127 IS_BONDED 0 SITEPROP TIEOFF_X3Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y127 IS_PAD 0 SITEPROP TIEOFF_X3Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y127 IS_RESERVED 0 SITEPROP TIEOFF_X3Y127 IS_TEST 0 SITEPROP TIEOFF_X3Y127 IS_USED 0 SITEPROP TIEOFF_X3Y127 MANUAL_ROUTING SITEPROP TIEOFF_X3Y127 NAME TIEOFF_X3Y127 SITEPROP TIEOFF_X3Y127 NUM_ARCS 0 SITEPROP TIEOFF_X3Y127 NUM_BELS 2 SITEPROP TIEOFF_X3Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y127 NUM_PINS 2 SITEPROP TIEOFF_X3Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y127 PROHIBIT 0 SITEPROP TIEOFF_X3Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y127 RPM_X 20 SITEPROP TIEOFF_X3Y127 RPM_Y 254 SITEPROP TIEOFF_X3Y127 SITE_PIPS SITEPROP TIEOFF_X3Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y128 CLASS site SITEPROP TIEOFF_X3Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y128 IS_BONDED 0 SITEPROP TIEOFF_X3Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y128 IS_PAD 0 SITEPROP TIEOFF_X3Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y128 IS_RESERVED 0 SITEPROP TIEOFF_X3Y128 IS_TEST 0 SITEPROP TIEOFF_X3Y128 IS_USED 0 SITEPROP TIEOFF_X3Y128 MANUAL_ROUTING SITEPROP TIEOFF_X3Y128 NAME TIEOFF_X3Y128 SITEPROP TIEOFF_X3Y128 NUM_ARCS 0 SITEPROP TIEOFF_X3Y128 NUM_BELS 2 SITEPROP TIEOFF_X3Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y128 NUM_PINS 2 SITEPROP TIEOFF_X3Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y128 PROHIBIT 0 SITEPROP TIEOFF_X3Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y128 RPM_X 20 SITEPROP TIEOFF_X3Y128 RPM_Y 256 SITEPROP TIEOFF_X3Y128 SITE_PIPS SITEPROP TIEOFF_X3Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y129 CLASS site SITEPROP TIEOFF_X3Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y129 IS_BONDED 0 SITEPROP TIEOFF_X3Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y129 IS_PAD 0 SITEPROP TIEOFF_X3Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y129 IS_RESERVED 0 SITEPROP TIEOFF_X3Y129 IS_TEST 0 SITEPROP TIEOFF_X3Y129 IS_USED 0 SITEPROP TIEOFF_X3Y129 MANUAL_ROUTING SITEPROP TIEOFF_X3Y129 NAME TIEOFF_X3Y129 SITEPROP TIEOFF_X3Y129 NUM_ARCS 0 SITEPROP TIEOFF_X3Y129 NUM_BELS 2 SITEPROP TIEOFF_X3Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y129 NUM_PINS 2 SITEPROP TIEOFF_X3Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y129 PROHIBIT 0 SITEPROP TIEOFF_X3Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y129 RPM_X 20 SITEPROP TIEOFF_X3Y129 RPM_Y 258 SITEPROP TIEOFF_X3Y129 SITE_PIPS SITEPROP TIEOFF_X3Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y130 CLASS site SITEPROP TIEOFF_X3Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y130 IS_BONDED 0 SITEPROP TIEOFF_X3Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y130 IS_PAD 0 SITEPROP TIEOFF_X3Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y130 IS_RESERVED 0 SITEPROP TIEOFF_X3Y130 IS_TEST 0 SITEPROP TIEOFF_X3Y130 IS_USED 0 SITEPROP TIEOFF_X3Y130 MANUAL_ROUTING SITEPROP TIEOFF_X3Y130 NAME TIEOFF_X3Y130 SITEPROP TIEOFF_X3Y130 NUM_ARCS 0 SITEPROP TIEOFF_X3Y130 NUM_BELS 2 SITEPROP TIEOFF_X3Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y130 NUM_PINS 2 SITEPROP TIEOFF_X3Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y130 PROHIBIT 0 SITEPROP TIEOFF_X3Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y130 RPM_X 20 SITEPROP TIEOFF_X3Y130 RPM_Y 260 SITEPROP TIEOFF_X3Y130 SITE_PIPS SITEPROP TIEOFF_X3Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y131 CLASS site SITEPROP TIEOFF_X3Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y131 IS_BONDED 0 SITEPROP TIEOFF_X3Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y131 IS_PAD 0 SITEPROP TIEOFF_X3Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y131 IS_RESERVED 0 SITEPROP TIEOFF_X3Y131 IS_TEST 0 SITEPROP TIEOFF_X3Y131 IS_USED 0 SITEPROP TIEOFF_X3Y131 MANUAL_ROUTING SITEPROP TIEOFF_X3Y131 NAME TIEOFF_X3Y131 SITEPROP TIEOFF_X3Y131 NUM_ARCS 0 SITEPROP TIEOFF_X3Y131 NUM_BELS 2 SITEPROP TIEOFF_X3Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y131 NUM_PINS 2 SITEPROP TIEOFF_X3Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y131 PROHIBIT 0 SITEPROP TIEOFF_X3Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y131 RPM_X 20 SITEPROP TIEOFF_X3Y131 RPM_Y 262 SITEPROP TIEOFF_X3Y131 SITE_PIPS SITEPROP TIEOFF_X3Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y132 CLASS site SITEPROP TIEOFF_X3Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y132 IS_BONDED 0 SITEPROP TIEOFF_X3Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y132 IS_PAD 0 SITEPROP TIEOFF_X3Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y132 IS_RESERVED 0 SITEPROP TIEOFF_X3Y132 IS_TEST 0 SITEPROP TIEOFF_X3Y132 IS_USED 0 SITEPROP TIEOFF_X3Y132 MANUAL_ROUTING SITEPROP TIEOFF_X3Y132 NAME TIEOFF_X3Y132 SITEPROP TIEOFF_X3Y132 NUM_ARCS 0 SITEPROP TIEOFF_X3Y132 NUM_BELS 2 SITEPROP TIEOFF_X3Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y132 NUM_PINS 2 SITEPROP TIEOFF_X3Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y132 PROHIBIT 0 SITEPROP TIEOFF_X3Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y132 RPM_X 20 SITEPROP TIEOFF_X3Y132 RPM_Y 264 SITEPROP TIEOFF_X3Y132 SITE_PIPS SITEPROP TIEOFF_X3Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y133 CLASS site SITEPROP TIEOFF_X3Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y133 IS_BONDED 0 SITEPROP TIEOFF_X3Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y133 IS_PAD 0 SITEPROP TIEOFF_X3Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y133 IS_RESERVED 0 SITEPROP TIEOFF_X3Y133 IS_TEST 0 SITEPROP TIEOFF_X3Y133 IS_USED 0 SITEPROP TIEOFF_X3Y133 MANUAL_ROUTING SITEPROP TIEOFF_X3Y133 NAME TIEOFF_X3Y133 SITEPROP TIEOFF_X3Y133 NUM_ARCS 0 SITEPROP TIEOFF_X3Y133 NUM_BELS 2 SITEPROP TIEOFF_X3Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y133 NUM_PINS 2 SITEPROP TIEOFF_X3Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y133 PROHIBIT 0 SITEPROP TIEOFF_X3Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y133 RPM_X 20 SITEPROP TIEOFF_X3Y133 RPM_Y 266 SITEPROP TIEOFF_X3Y133 SITE_PIPS SITEPROP TIEOFF_X3Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y134 CLASS site SITEPROP TIEOFF_X3Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y134 IS_BONDED 0 SITEPROP TIEOFF_X3Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y134 IS_PAD 0 SITEPROP TIEOFF_X3Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y134 IS_RESERVED 0 SITEPROP TIEOFF_X3Y134 IS_TEST 0 SITEPROP TIEOFF_X3Y134 IS_USED 0 SITEPROP TIEOFF_X3Y134 MANUAL_ROUTING SITEPROP TIEOFF_X3Y134 NAME TIEOFF_X3Y134 SITEPROP TIEOFF_X3Y134 NUM_ARCS 0 SITEPROP TIEOFF_X3Y134 NUM_BELS 2 SITEPROP TIEOFF_X3Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y134 NUM_PINS 2 SITEPROP TIEOFF_X3Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y134 PROHIBIT 0 SITEPROP TIEOFF_X3Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y134 RPM_X 20 SITEPROP TIEOFF_X3Y134 RPM_Y 268 SITEPROP TIEOFF_X3Y134 SITE_PIPS SITEPROP TIEOFF_X3Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y135 CLASS site SITEPROP TIEOFF_X3Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y135 IS_BONDED 0 SITEPROP TIEOFF_X3Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y135 IS_PAD 0 SITEPROP TIEOFF_X3Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y135 IS_RESERVED 0 SITEPROP TIEOFF_X3Y135 IS_TEST 0 SITEPROP TIEOFF_X3Y135 IS_USED 0 SITEPROP TIEOFF_X3Y135 MANUAL_ROUTING SITEPROP TIEOFF_X3Y135 NAME TIEOFF_X3Y135 SITEPROP TIEOFF_X3Y135 NUM_ARCS 0 SITEPROP TIEOFF_X3Y135 NUM_BELS 2 SITEPROP TIEOFF_X3Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y135 NUM_PINS 2 SITEPROP TIEOFF_X3Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y135 PROHIBIT 0 SITEPROP TIEOFF_X3Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y135 RPM_X 20 SITEPROP TIEOFF_X3Y135 RPM_Y 270 SITEPROP TIEOFF_X3Y135 SITE_PIPS SITEPROP TIEOFF_X3Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y136 CLASS site SITEPROP TIEOFF_X3Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y136 IS_BONDED 0 SITEPROP TIEOFF_X3Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y136 IS_PAD 0 SITEPROP TIEOFF_X3Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y136 IS_RESERVED 0 SITEPROP TIEOFF_X3Y136 IS_TEST 0 SITEPROP TIEOFF_X3Y136 IS_USED 0 SITEPROP TIEOFF_X3Y136 MANUAL_ROUTING SITEPROP TIEOFF_X3Y136 NAME TIEOFF_X3Y136 SITEPROP TIEOFF_X3Y136 NUM_ARCS 0 SITEPROP TIEOFF_X3Y136 NUM_BELS 2 SITEPROP TIEOFF_X3Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y136 NUM_PINS 2 SITEPROP TIEOFF_X3Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y136 PROHIBIT 0 SITEPROP TIEOFF_X3Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y136 RPM_X 20 SITEPROP TIEOFF_X3Y136 RPM_Y 272 SITEPROP TIEOFF_X3Y136 SITE_PIPS SITEPROP TIEOFF_X3Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y137 CLASS site SITEPROP TIEOFF_X3Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y137 IS_BONDED 0 SITEPROP TIEOFF_X3Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y137 IS_PAD 0 SITEPROP TIEOFF_X3Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y137 IS_RESERVED 0 SITEPROP TIEOFF_X3Y137 IS_TEST 0 SITEPROP TIEOFF_X3Y137 IS_USED 0 SITEPROP TIEOFF_X3Y137 MANUAL_ROUTING SITEPROP TIEOFF_X3Y137 NAME TIEOFF_X3Y137 SITEPROP TIEOFF_X3Y137 NUM_ARCS 0 SITEPROP TIEOFF_X3Y137 NUM_BELS 2 SITEPROP TIEOFF_X3Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y137 NUM_PINS 2 SITEPROP TIEOFF_X3Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y137 PROHIBIT 0 SITEPROP TIEOFF_X3Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y137 RPM_X 20 SITEPROP TIEOFF_X3Y137 RPM_Y 274 SITEPROP TIEOFF_X3Y137 SITE_PIPS SITEPROP TIEOFF_X3Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y138 CLASS site SITEPROP TIEOFF_X3Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y138 IS_BONDED 0 SITEPROP TIEOFF_X3Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y138 IS_PAD 0 SITEPROP TIEOFF_X3Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y138 IS_RESERVED 0 SITEPROP TIEOFF_X3Y138 IS_TEST 0 SITEPROP TIEOFF_X3Y138 IS_USED 0 SITEPROP TIEOFF_X3Y138 MANUAL_ROUTING SITEPROP TIEOFF_X3Y138 NAME TIEOFF_X3Y138 SITEPROP TIEOFF_X3Y138 NUM_ARCS 0 SITEPROP TIEOFF_X3Y138 NUM_BELS 2 SITEPROP TIEOFF_X3Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y138 NUM_PINS 2 SITEPROP TIEOFF_X3Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y138 PROHIBIT 0 SITEPROP TIEOFF_X3Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y138 RPM_X 20 SITEPROP TIEOFF_X3Y138 RPM_Y 276 SITEPROP TIEOFF_X3Y138 SITE_PIPS SITEPROP TIEOFF_X3Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y139 CLASS site SITEPROP TIEOFF_X3Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y139 IS_BONDED 0 SITEPROP TIEOFF_X3Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y139 IS_PAD 0 SITEPROP TIEOFF_X3Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y139 IS_RESERVED 0 SITEPROP TIEOFF_X3Y139 IS_TEST 0 SITEPROP TIEOFF_X3Y139 IS_USED 0 SITEPROP TIEOFF_X3Y139 MANUAL_ROUTING SITEPROP TIEOFF_X3Y139 NAME TIEOFF_X3Y139 SITEPROP TIEOFF_X3Y139 NUM_ARCS 0 SITEPROP TIEOFF_X3Y139 NUM_BELS 2 SITEPROP TIEOFF_X3Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y139 NUM_PINS 2 SITEPROP TIEOFF_X3Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y139 PROHIBIT 0 SITEPROP TIEOFF_X3Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y139 RPM_X 20 SITEPROP TIEOFF_X3Y139 RPM_Y 278 SITEPROP TIEOFF_X3Y139 SITE_PIPS SITEPROP TIEOFF_X3Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y140 CLASS site SITEPROP TIEOFF_X3Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y140 IS_BONDED 0 SITEPROP TIEOFF_X3Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y140 IS_PAD 0 SITEPROP TIEOFF_X3Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y140 IS_RESERVED 0 SITEPROP TIEOFF_X3Y140 IS_TEST 0 SITEPROP TIEOFF_X3Y140 IS_USED 0 SITEPROP TIEOFF_X3Y140 MANUAL_ROUTING SITEPROP TIEOFF_X3Y140 NAME TIEOFF_X3Y140 SITEPROP TIEOFF_X3Y140 NUM_ARCS 0 SITEPROP TIEOFF_X3Y140 NUM_BELS 2 SITEPROP TIEOFF_X3Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y140 NUM_PINS 2 SITEPROP TIEOFF_X3Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y140 PROHIBIT 0 SITEPROP TIEOFF_X3Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y140 RPM_X 20 SITEPROP TIEOFF_X3Y140 RPM_Y 280 SITEPROP TIEOFF_X3Y140 SITE_PIPS SITEPROP TIEOFF_X3Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y141 CLASS site SITEPROP TIEOFF_X3Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y141 IS_BONDED 0 SITEPROP TIEOFF_X3Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y141 IS_PAD 0 SITEPROP TIEOFF_X3Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y141 IS_RESERVED 0 SITEPROP TIEOFF_X3Y141 IS_TEST 0 SITEPROP TIEOFF_X3Y141 IS_USED 0 SITEPROP TIEOFF_X3Y141 MANUAL_ROUTING SITEPROP TIEOFF_X3Y141 NAME TIEOFF_X3Y141 SITEPROP TIEOFF_X3Y141 NUM_ARCS 0 SITEPROP TIEOFF_X3Y141 NUM_BELS 2 SITEPROP TIEOFF_X3Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y141 NUM_PINS 2 SITEPROP TIEOFF_X3Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y141 PROHIBIT 0 SITEPROP TIEOFF_X3Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y141 RPM_X 20 SITEPROP TIEOFF_X3Y141 RPM_Y 282 SITEPROP TIEOFF_X3Y141 SITE_PIPS SITEPROP TIEOFF_X3Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y142 CLASS site SITEPROP TIEOFF_X3Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y142 IS_BONDED 0 SITEPROP TIEOFF_X3Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y142 IS_PAD 0 SITEPROP TIEOFF_X3Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y142 IS_RESERVED 0 SITEPROP TIEOFF_X3Y142 IS_TEST 0 SITEPROP TIEOFF_X3Y142 IS_USED 0 SITEPROP TIEOFF_X3Y142 MANUAL_ROUTING SITEPROP TIEOFF_X3Y142 NAME TIEOFF_X3Y142 SITEPROP TIEOFF_X3Y142 NUM_ARCS 0 SITEPROP TIEOFF_X3Y142 NUM_BELS 2 SITEPROP TIEOFF_X3Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y142 NUM_PINS 2 SITEPROP TIEOFF_X3Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y142 PROHIBIT 0 SITEPROP TIEOFF_X3Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y142 RPM_X 20 SITEPROP TIEOFF_X3Y142 RPM_Y 284 SITEPROP TIEOFF_X3Y142 SITE_PIPS SITEPROP TIEOFF_X3Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y143 CLASS site SITEPROP TIEOFF_X3Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y143 IS_BONDED 0 SITEPROP TIEOFF_X3Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y143 IS_PAD 0 SITEPROP TIEOFF_X3Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y143 IS_RESERVED 0 SITEPROP TIEOFF_X3Y143 IS_TEST 0 SITEPROP TIEOFF_X3Y143 IS_USED 0 SITEPROP TIEOFF_X3Y143 MANUAL_ROUTING SITEPROP TIEOFF_X3Y143 NAME TIEOFF_X3Y143 SITEPROP TIEOFF_X3Y143 NUM_ARCS 0 SITEPROP TIEOFF_X3Y143 NUM_BELS 2 SITEPROP TIEOFF_X3Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y143 NUM_PINS 2 SITEPROP TIEOFF_X3Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y143 PROHIBIT 0 SITEPROP TIEOFF_X3Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y143 RPM_X 20 SITEPROP TIEOFF_X3Y143 RPM_Y 286 SITEPROP TIEOFF_X3Y143 SITE_PIPS SITEPROP TIEOFF_X3Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y144 CLASS site SITEPROP TIEOFF_X3Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y144 IS_BONDED 0 SITEPROP TIEOFF_X3Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y144 IS_PAD 0 SITEPROP TIEOFF_X3Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y144 IS_RESERVED 0 SITEPROP TIEOFF_X3Y144 IS_TEST 0 SITEPROP TIEOFF_X3Y144 IS_USED 0 SITEPROP TIEOFF_X3Y144 MANUAL_ROUTING SITEPROP TIEOFF_X3Y144 NAME TIEOFF_X3Y144 SITEPROP TIEOFF_X3Y144 NUM_ARCS 0 SITEPROP TIEOFF_X3Y144 NUM_BELS 2 SITEPROP TIEOFF_X3Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y144 NUM_PINS 2 SITEPROP TIEOFF_X3Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y144 PROHIBIT 0 SITEPROP TIEOFF_X3Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y144 RPM_X 20 SITEPROP TIEOFF_X3Y144 RPM_Y 288 SITEPROP TIEOFF_X3Y144 SITE_PIPS SITEPROP TIEOFF_X3Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y145 CLASS site SITEPROP TIEOFF_X3Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y145 IS_BONDED 0 SITEPROP TIEOFF_X3Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y145 IS_PAD 0 SITEPROP TIEOFF_X3Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y145 IS_RESERVED 0 SITEPROP TIEOFF_X3Y145 IS_TEST 0 SITEPROP TIEOFF_X3Y145 IS_USED 0 SITEPROP TIEOFF_X3Y145 MANUAL_ROUTING SITEPROP TIEOFF_X3Y145 NAME TIEOFF_X3Y145 SITEPROP TIEOFF_X3Y145 NUM_ARCS 0 SITEPROP TIEOFF_X3Y145 NUM_BELS 2 SITEPROP TIEOFF_X3Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y145 NUM_PINS 2 SITEPROP TIEOFF_X3Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y145 PROHIBIT 0 SITEPROP TIEOFF_X3Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y145 RPM_X 20 SITEPROP TIEOFF_X3Y145 RPM_Y 290 SITEPROP TIEOFF_X3Y145 SITE_PIPS SITEPROP TIEOFF_X3Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y146 CLASS site SITEPROP TIEOFF_X3Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y146 IS_BONDED 0 SITEPROP TIEOFF_X3Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y146 IS_PAD 0 SITEPROP TIEOFF_X3Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y146 IS_RESERVED 0 SITEPROP TIEOFF_X3Y146 IS_TEST 0 SITEPROP TIEOFF_X3Y146 IS_USED 0 SITEPROP TIEOFF_X3Y146 MANUAL_ROUTING SITEPROP TIEOFF_X3Y146 NAME TIEOFF_X3Y146 SITEPROP TIEOFF_X3Y146 NUM_ARCS 0 SITEPROP TIEOFF_X3Y146 NUM_BELS 2 SITEPROP TIEOFF_X3Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y146 NUM_PINS 2 SITEPROP TIEOFF_X3Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y146 PROHIBIT 0 SITEPROP TIEOFF_X3Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y146 RPM_X 20 SITEPROP TIEOFF_X3Y146 RPM_Y 292 SITEPROP TIEOFF_X3Y146 SITE_PIPS SITEPROP TIEOFF_X3Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y147 CLASS site SITEPROP TIEOFF_X3Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y147 IS_BONDED 0 SITEPROP TIEOFF_X3Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y147 IS_PAD 0 SITEPROP TIEOFF_X3Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y147 IS_RESERVED 0 SITEPROP TIEOFF_X3Y147 IS_TEST 0 SITEPROP TIEOFF_X3Y147 IS_USED 0 SITEPROP TIEOFF_X3Y147 MANUAL_ROUTING SITEPROP TIEOFF_X3Y147 NAME TIEOFF_X3Y147 SITEPROP TIEOFF_X3Y147 NUM_ARCS 0 SITEPROP TIEOFF_X3Y147 NUM_BELS 2 SITEPROP TIEOFF_X3Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y147 NUM_PINS 2 SITEPROP TIEOFF_X3Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y147 PROHIBIT 0 SITEPROP TIEOFF_X3Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y147 RPM_X 20 SITEPROP TIEOFF_X3Y147 RPM_Y 294 SITEPROP TIEOFF_X3Y147 SITE_PIPS SITEPROP TIEOFF_X3Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y148 CLASS site SITEPROP TIEOFF_X3Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y148 IS_BONDED 0 SITEPROP TIEOFF_X3Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y148 IS_PAD 0 SITEPROP TIEOFF_X3Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y148 IS_RESERVED 0 SITEPROP TIEOFF_X3Y148 IS_TEST 0 SITEPROP TIEOFF_X3Y148 IS_USED 0 SITEPROP TIEOFF_X3Y148 MANUAL_ROUTING SITEPROP TIEOFF_X3Y148 NAME TIEOFF_X3Y148 SITEPROP TIEOFF_X3Y148 NUM_ARCS 0 SITEPROP TIEOFF_X3Y148 NUM_BELS 2 SITEPROP TIEOFF_X3Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y148 NUM_PINS 2 SITEPROP TIEOFF_X3Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y148 PROHIBIT 0 SITEPROP TIEOFF_X3Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y148 RPM_X 20 SITEPROP TIEOFF_X3Y148 RPM_Y 296 SITEPROP TIEOFF_X3Y148 SITE_PIPS SITEPROP TIEOFF_X3Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X3Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X3Y149 CLASS site SITEPROP TIEOFF_X3Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X3Y149 IS_BONDED 0 SITEPROP TIEOFF_X3Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y149 IS_PAD 0 SITEPROP TIEOFF_X3Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X3Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X3Y149 IS_RESERVED 0 SITEPROP TIEOFF_X3Y149 IS_TEST 0 SITEPROP TIEOFF_X3Y149 IS_USED 0 SITEPROP TIEOFF_X3Y149 MANUAL_ROUTING SITEPROP TIEOFF_X3Y149 NAME TIEOFF_X3Y149 SITEPROP TIEOFF_X3Y149 NUM_ARCS 0 SITEPROP TIEOFF_X3Y149 NUM_BELS 2 SITEPROP TIEOFF_X3Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X3Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X3Y149 NUM_PINS 2 SITEPROP TIEOFF_X3Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X3Y149 PROHIBIT 0 SITEPROP TIEOFF_X3Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X3Y149 RPM_X 20 SITEPROP TIEOFF_X3Y149 RPM_Y 298 SITEPROP TIEOFF_X3Y149 SITE_PIPS SITEPROP TIEOFF_X3Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y0 CLASS site SITEPROP TIEOFF_X40Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y0 IS_BONDED 0 SITEPROP TIEOFF_X40Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y0 IS_PAD 0 SITEPROP TIEOFF_X40Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y0 IS_RESERVED 0 SITEPROP TIEOFF_X40Y0 IS_TEST 0 SITEPROP TIEOFF_X40Y0 IS_USED 0 SITEPROP TIEOFF_X40Y0 MANUAL_ROUTING SITEPROP TIEOFF_X40Y0 NAME TIEOFF_X40Y0 SITEPROP TIEOFF_X40Y0 NUM_ARCS 0 SITEPROP TIEOFF_X40Y0 NUM_BELS 2 SITEPROP TIEOFF_X40Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y0 NUM_PINS 2 SITEPROP TIEOFF_X40Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y0 PROHIBIT 0 SITEPROP TIEOFF_X40Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y0 RPM_X 156 SITEPROP TIEOFF_X40Y0 RPM_Y 0 SITEPROP TIEOFF_X40Y0 SITE_PIPS SITEPROP TIEOFF_X40Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y1 CLASS site SITEPROP TIEOFF_X40Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y1 IS_BONDED 0 SITEPROP TIEOFF_X40Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y1 IS_PAD 0 SITEPROP TIEOFF_X40Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y1 IS_RESERVED 0 SITEPROP TIEOFF_X40Y1 IS_TEST 0 SITEPROP TIEOFF_X40Y1 IS_USED 0 SITEPROP TIEOFF_X40Y1 MANUAL_ROUTING SITEPROP TIEOFF_X40Y1 NAME TIEOFF_X40Y1 SITEPROP TIEOFF_X40Y1 NUM_ARCS 0 SITEPROP TIEOFF_X40Y1 NUM_BELS 2 SITEPROP TIEOFF_X40Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y1 NUM_PINS 2 SITEPROP TIEOFF_X40Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y1 PROHIBIT 0 SITEPROP TIEOFF_X40Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y1 RPM_X 156 SITEPROP TIEOFF_X40Y1 RPM_Y 2 SITEPROP TIEOFF_X40Y1 SITE_PIPS SITEPROP TIEOFF_X40Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y2 CLASS site SITEPROP TIEOFF_X40Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y2 IS_BONDED 0 SITEPROP TIEOFF_X40Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y2 IS_PAD 0 SITEPROP TIEOFF_X40Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y2 IS_RESERVED 0 SITEPROP TIEOFF_X40Y2 IS_TEST 0 SITEPROP TIEOFF_X40Y2 IS_USED 0 SITEPROP TIEOFF_X40Y2 MANUAL_ROUTING SITEPROP TIEOFF_X40Y2 NAME TIEOFF_X40Y2 SITEPROP TIEOFF_X40Y2 NUM_ARCS 0 SITEPROP TIEOFF_X40Y2 NUM_BELS 2 SITEPROP TIEOFF_X40Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y2 NUM_PINS 2 SITEPROP TIEOFF_X40Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y2 PROHIBIT 0 SITEPROP TIEOFF_X40Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y2 RPM_X 156 SITEPROP TIEOFF_X40Y2 RPM_Y 4 SITEPROP TIEOFF_X40Y2 SITE_PIPS SITEPROP TIEOFF_X40Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y3 CLASS site SITEPROP TIEOFF_X40Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y3 IS_BONDED 0 SITEPROP TIEOFF_X40Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y3 IS_PAD 0 SITEPROP TIEOFF_X40Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y3 IS_RESERVED 0 SITEPROP TIEOFF_X40Y3 IS_TEST 0 SITEPROP TIEOFF_X40Y3 IS_USED 0 SITEPROP TIEOFF_X40Y3 MANUAL_ROUTING SITEPROP TIEOFF_X40Y3 NAME TIEOFF_X40Y3 SITEPROP TIEOFF_X40Y3 NUM_ARCS 0 SITEPROP TIEOFF_X40Y3 NUM_BELS 2 SITEPROP TIEOFF_X40Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y3 NUM_PINS 2 SITEPROP TIEOFF_X40Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y3 PROHIBIT 0 SITEPROP TIEOFF_X40Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y3 RPM_X 156 SITEPROP TIEOFF_X40Y3 RPM_Y 6 SITEPROP TIEOFF_X40Y3 SITE_PIPS SITEPROP TIEOFF_X40Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y4 CLASS site SITEPROP TIEOFF_X40Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y4 IS_BONDED 0 SITEPROP TIEOFF_X40Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y4 IS_PAD 0 SITEPROP TIEOFF_X40Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y4 IS_RESERVED 0 SITEPROP TIEOFF_X40Y4 IS_TEST 0 SITEPROP TIEOFF_X40Y4 IS_USED 0 SITEPROP TIEOFF_X40Y4 MANUAL_ROUTING SITEPROP TIEOFF_X40Y4 NAME TIEOFF_X40Y4 SITEPROP TIEOFF_X40Y4 NUM_ARCS 0 SITEPROP TIEOFF_X40Y4 NUM_BELS 2 SITEPROP TIEOFF_X40Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y4 NUM_PINS 2 SITEPROP TIEOFF_X40Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y4 PROHIBIT 0 SITEPROP TIEOFF_X40Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y4 RPM_X 156 SITEPROP TIEOFF_X40Y4 RPM_Y 8 SITEPROP TIEOFF_X40Y4 SITE_PIPS SITEPROP TIEOFF_X40Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y5 CLASS site SITEPROP TIEOFF_X40Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y5 IS_BONDED 0 SITEPROP TIEOFF_X40Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y5 IS_PAD 0 SITEPROP TIEOFF_X40Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y5 IS_RESERVED 0 SITEPROP TIEOFF_X40Y5 IS_TEST 0 SITEPROP TIEOFF_X40Y5 IS_USED 0 SITEPROP TIEOFF_X40Y5 MANUAL_ROUTING SITEPROP TIEOFF_X40Y5 NAME TIEOFF_X40Y5 SITEPROP TIEOFF_X40Y5 NUM_ARCS 0 SITEPROP TIEOFF_X40Y5 NUM_BELS 2 SITEPROP TIEOFF_X40Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y5 NUM_PINS 2 SITEPROP TIEOFF_X40Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y5 PROHIBIT 0 SITEPROP TIEOFF_X40Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y5 RPM_X 156 SITEPROP TIEOFF_X40Y5 RPM_Y 10 SITEPROP TIEOFF_X40Y5 SITE_PIPS SITEPROP TIEOFF_X40Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y6 CLASS site SITEPROP TIEOFF_X40Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y6 IS_BONDED 0 SITEPROP TIEOFF_X40Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y6 IS_PAD 0 SITEPROP TIEOFF_X40Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y6 IS_RESERVED 0 SITEPROP TIEOFF_X40Y6 IS_TEST 0 SITEPROP TIEOFF_X40Y6 IS_USED 0 SITEPROP TIEOFF_X40Y6 MANUAL_ROUTING SITEPROP TIEOFF_X40Y6 NAME TIEOFF_X40Y6 SITEPROP TIEOFF_X40Y6 NUM_ARCS 0 SITEPROP TIEOFF_X40Y6 NUM_BELS 2 SITEPROP TIEOFF_X40Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y6 NUM_PINS 2 SITEPROP TIEOFF_X40Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y6 PROHIBIT 0 SITEPROP TIEOFF_X40Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y6 RPM_X 156 SITEPROP TIEOFF_X40Y6 RPM_Y 12 SITEPROP TIEOFF_X40Y6 SITE_PIPS SITEPROP TIEOFF_X40Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y7 CLASS site SITEPROP TIEOFF_X40Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y7 IS_BONDED 0 SITEPROP TIEOFF_X40Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y7 IS_PAD 0 SITEPROP TIEOFF_X40Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y7 IS_RESERVED 0 SITEPROP TIEOFF_X40Y7 IS_TEST 0 SITEPROP TIEOFF_X40Y7 IS_USED 0 SITEPROP TIEOFF_X40Y7 MANUAL_ROUTING SITEPROP TIEOFF_X40Y7 NAME TIEOFF_X40Y7 SITEPROP TIEOFF_X40Y7 NUM_ARCS 0 SITEPROP TIEOFF_X40Y7 NUM_BELS 2 SITEPROP TIEOFF_X40Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y7 NUM_PINS 2 SITEPROP TIEOFF_X40Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y7 PROHIBIT 0 SITEPROP TIEOFF_X40Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y7 RPM_X 156 SITEPROP TIEOFF_X40Y7 RPM_Y 14 SITEPROP TIEOFF_X40Y7 SITE_PIPS SITEPROP TIEOFF_X40Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y8 CLASS site SITEPROP TIEOFF_X40Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y8 IS_BONDED 0 SITEPROP TIEOFF_X40Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y8 IS_PAD 0 SITEPROP TIEOFF_X40Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y8 IS_RESERVED 0 SITEPROP TIEOFF_X40Y8 IS_TEST 0 SITEPROP TIEOFF_X40Y8 IS_USED 0 SITEPROP TIEOFF_X40Y8 MANUAL_ROUTING SITEPROP TIEOFF_X40Y8 NAME TIEOFF_X40Y8 SITEPROP TIEOFF_X40Y8 NUM_ARCS 0 SITEPROP TIEOFF_X40Y8 NUM_BELS 2 SITEPROP TIEOFF_X40Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y8 NUM_PINS 2 SITEPROP TIEOFF_X40Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y8 PROHIBIT 0 SITEPROP TIEOFF_X40Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y8 RPM_X 156 SITEPROP TIEOFF_X40Y8 RPM_Y 16 SITEPROP TIEOFF_X40Y8 SITE_PIPS SITEPROP TIEOFF_X40Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y9 CLASS site SITEPROP TIEOFF_X40Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y9 IS_BONDED 0 SITEPROP TIEOFF_X40Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y9 IS_PAD 0 SITEPROP TIEOFF_X40Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y9 IS_RESERVED 0 SITEPROP TIEOFF_X40Y9 IS_TEST 0 SITEPROP TIEOFF_X40Y9 IS_USED 0 SITEPROP TIEOFF_X40Y9 MANUAL_ROUTING SITEPROP TIEOFF_X40Y9 NAME TIEOFF_X40Y9 SITEPROP TIEOFF_X40Y9 NUM_ARCS 0 SITEPROP TIEOFF_X40Y9 NUM_BELS 2 SITEPROP TIEOFF_X40Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y9 NUM_PINS 2 SITEPROP TIEOFF_X40Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y9 PROHIBIT 0 SITEPROP TIEOFF_X40Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y9 RPM_X 156 SITEPROP TIEOFF_X40Y9 RPM_Y 18 SITEPROP TIEOFF_X40Y9 SITE_PIPS SITEPROP TIEOFF_X40Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y10 CLASS site SITEPROP TIEOFF_X40Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y10 IS_BONDED 0 SITEPROP TIEOFF_X40Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y10 IS_PAD 0 SITEPROP TIEOFF_X40Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y10 IS_RESERVED 0 SITEPROP TIEOFF_X40Y10 IS_TEST 0 SITEPROP TIEOFF_X40Y10 IS_USED 0 SITEPROP TIEOFF_X40Y10 MANUAL_ROUTING SITEPROP TIEOFF_X40Y10 NAME TIEOFF_X40Y10 SITEPROP TIEOFF_X40Y10 NUM_ARCS 0 SITEPROP TIEOFF_X40Y10 NUM_BELS 2 SITEPROP TIEOFF_X40Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y10 NUM_PINS 2 SITEPROP TIEOFF_X40Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y10 PROHIBIT 0 SITEPROP TIEOFF_X40Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y10 RPM_X 156 SITEPROP TIEOFF_X40Y10 RPM_Y 20 SITEPROP TIEOFF_X40Y10 SITE_PIPS SITEPROP TIEOFF_X40Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y11 CLASS site SITEPROP TIEOFF_X40Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y11 IS_BONDED 0 SITEPROP TIEOFF_X40Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y11 IS_PAD 0 SITEPROP TIEOFF_X40Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y11 IS_RESERVED 0 SITEPROP TIEOFF_X40Y11 IS_TEST 0 SITEPROP TIEOFF_X40Y11 IS_USED 0 SITEPROP TIEOFF_X40Y11 MANUAL_ROUTING SITEPROP TIEOFF_X40Y11 NAME TIEOFF_X40Y11 SITEPROP TIEOFF_X40Y11 NUM_ARCS 0 SITEPROP TIEOFF_X40Y11 NUM_BELS 2 SITEPROP TIEOFF_X40Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y11 NUM_PINS 2 SITEPROP TIEOFF_X40Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y11 PROHIBIT 0 SITEPROP TIEOFF_X40Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y11 RPM_X 156 SITEPROP TIEOFF_X40Y11 RPM_Y 22 SITEPROP TIEOFF_X40Y11 SITE_PIPS SITEPROP TIEOFF_X40Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y12 CLASS site SITEPROP TIEOFF_X40Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y12 IS_BONDED 0 SITEPROP TIEOFF_X40Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y12 IS_PAD 0 SITEPROP TIEOFF_X40Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y12 IS_RESERVED 0 SITEPROP TIEOFF_X40Y12 IS_TEST 0 SITEPROP TIEOFF_X40Y12 IS_USED 0 SITEPROP TIEOFF_X40Y12 MANUAL_ROUTING SITEPROP TIEOFF_X40Y12 NAME TIEOFF_X40Y12 SITEPROP TIEOFF_X40Y12 NUM_ARCS 0 SITEPROP TIEOFF_X40Y12 NUM_BELS 2 SITEPROP TIEOFF_X40Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y12 NUM_PINS 2 SITEPROP TIEOFF_X40Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y12 PROHIBIT 0 SITEPROP TIEOFF_X40Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y12 RPM_X 156 SITEPROP TIEOFF_X40Y12 RPM_Y 24 SITEPROP TIEOFF_X40Y12 SITE_PIPS SITEPROP TIEOFF_X40Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y13 CLASS site SITEPROP TIEOFF_X40Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y13 IS_BONDED 0 SITEPROP TIEOFF_X40Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y13 IS_PAD 0 SITEPROP TIEOFF_X40Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y13 IS_RESERVED 0 SITEPROP TIEOFF_X40Y13 IS_TEST 0 SITEPROP TIEOFF_X40Y13 IS_USED 0 SITEPROP TIEOFF_X40Y13 MANUAL_ROUTING SITEPROP TIEOFF_X40Y13 NAME TIEOFF_X40Y13 SITEPROP TIEOFF_X40Y13 NUM_ARCS 0 SITEPROP TIEOFF_X40Y13 NUM_BELS 2 SITEPROP TIEOFF_X40Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y13 NUM_PINS 2 SITEPROP TIEOFF_X40Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y13 PROHIBIT 0 SITEPROP TIEOFF_X40Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y13 RPM_X 156 SITEPROP TIEOFF_X40Y13 RPM_Y 26 SITEPROP TIEOFF_X40Y13 SITE_PIPS SITEPROP TIEOFF_X40Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y14 CLASS site SITEPROP TIEOFF_X40Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y14 IS_BONDED 0 SITEPROP TIEOFF_X40Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y14 IS_PAD 0 SITEPROP TIEOFF_X40Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y14 IS_RESERVED 0 SITEPROP TIEOFF_X40Y14 IS_TEST 0 SITEPROP TIEOFF_X40Y14 IS_USED 0 SITEPROP TIEOFF_X40Y14 MANUAL_ROUTING SITEPROP TIEOFF_X40Y14 NAME TIEOFF_X40Y14 SITEPROP TIEOFF_X40Y14 NUM_ARCS 0 SITEPROP TIEOFF_X40Y14 NUM_BELS 2 SITEPROP TIEOFF_X40Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y14 NUM_PINS 2 SITEPROP TIEOFF_X40Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y14 PROHIBIT 0 SITEPROP TIEOFF_X40Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y14 RPM_X 156 SITEPROP TIEOFF_X40Y14 RPM_Y 28 SITEPROP TIEOFF_X40Y14 SITE_PIPS SITEPROP TIEOFF_X40Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y15 CLASS site SITEPROP TIEOFF_X40Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y15 IS_BONDED 0 SITEPROP TIEOFF_X40Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y15 IS_PAD 0 SITEPROP TIEOFF_X40Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y15 IS_RESERVED 0 SITEPROP TIEOFF_X40Y15 IS_TEST 0 SITEPROP TIEOFF_X40Y15 IS_USED 0 SITEPROP TIEOFF_X40Y15 MANUAL_ROUTING SITEPROP TIEOFF_X40Y15 NAME TIEOFF_X40Y15 SITEPROP TIEOFF_X40Y15 NUM_ARCS 0 SITEPROP TIEOFF_X40Y15 NUM_BELS 2 SITEPROP TIEOFF_X40Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y15 NUM_PINS 2 SITEPROP TIEOFF_X40Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y15 PROHIBIT 0 SITEPROP TIEOFF_X40Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y15 RPM_X 156 SITEPROP TIEOFF_X40Y15 RPM_Y 30 SITEPROP TIEOFF_X40Y15 SITE_PIPS SITEPROP TIEOFF_X40Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y16 CLASS site SITEPROP TIEOFF_X40Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y16 IS_BONDED 0 SITEPROP TIEOFF_X40Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y16 IS_PAD 0 SITEPROP TIEOFF_X40Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y16 IS_RESERVED 0 SITEPROP TIEOFF_X40Y16 IS_TEST 0 SITEPROP TIEOFF_X40Y16 IS_USED 0 SITEPROP TIEOFF_X40Y16 MANUAL_ROUTING SITEPROP TIEOFF_X40Y16 NAME TIEOFF_X40Y16 SITEPROP TIEOFF_X40Y16 NUM_ARCS 0 SITEPROP TIEOFF_X40Y16 NUM_BELS 2 SITEPROP TIEOFF_X40Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y16 NUM_PINS 2 SITEPROP TIEOFF_X40Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y16 PROHIBIT 0 SITEPROP TIEOFF_X40Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y16 RPM_X 156 SITEPROP TIEOFF_X40Y16 RPM_Y 32 SITEPROP TIEOFF_X40Y16 SITE_PIPS SITEPROP TIEOFF_X40Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y17 CLASS site SITEPROP TIEOFF_X40Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y17 IS_BONDED 0 SITEPROP TIEOFF_X40Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y17 IS_PAD 0 SITEPROP TIEOFF_X40Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y17 IS_RESERVED 0 SITEPROP TIEOFF_X40Y17 IS_TEST 0 SITEPROP TIEOFF_X40Y17 IS_USED 0 SITEPROP TIEOFF_X40Y17 MANUAL_ROUTING SITEPROP TIEOFF_X40Y17 NAME TIEOFF_X40Y17 SITEPROP TIEOFF_X40Y17 NUM_ARCS 0 SITEPROP TIEOFF_X40Y17 NUM_BELS 2 SITEPROP TIEOFF_X40Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y17 NUM_PINS 2 SITEPROP TIEOFF_X40Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y17 PROHIBIT 0 SITEPROP TIEOFF_X40Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y17 RPM_X 156 SITEPROP TIEOFF_X40Y17 RPM_Y 34 SITEPROP TIEOFF_X40Y17 SITE_PIPS SITEPROP TIEOFF_X40Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y18 CLASS site SITEPROP TIEOFF_X40Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y18 IS_BONDED 0 SITEPROP TIEOFF_X40Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y18 IS_PAD 0 SITEPROP TIEOFF_X40Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y18 IS_RESERVED 0 SITEPROP TIEOFF_X40Y18 IS_TEST 0 SITEPROP TIEOFF_X40Y18 IS_USED 0 SITEPROP TIEOFF_X40Y18 MANUAL_ROUTING SITEPROP TIEOFF_X40Y18 NAME TIEOFF_X40Y18 SITEPROP TIEOFF_X40Y18 NUM_ARCS 0 SITEPROP TIEOFF_X40Y18 NUM_BELS 2 SITEPROP TIEOFF_X40Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y18 NUM_PINS 2 SITEPROP TIEOFF_X40Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y18 PROHIBIT 0 SITEPROP TIEOFF_X40Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y18 RPM_X 156 SITEPROP TIEOFF_X40Y18 RPM_Y 36 SITEPROP TIEOFF_X40Y18 SITE_PIPS SITEPROP TIEOFF_X40Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y19 CLASS site SITEPROP TIEOFF_X40Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y19 IS_BONDED 0 SITEPROP TIEOFF_X40Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y19 IS_PAD 0 SITEPROP TIEOFF_X40Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y19 IS_RESERVED 0 SITEPROP TIEOFF_X40Y19 IS_TEST 0 SITEPROP TIEOFF_X40Y19 IS_USED 0 SITEPROP TIEOFF_X40Y19 MANUAL_ROUTING SITEPROP TIEOFF_X40Y19 NAME TIEOFF_X40Y19 SITEPROP TIEOFF_X40Y19 NUM_ARCS 0 SITEPROP TIEOFF_X40Y19 NUM_BELS 2 SITEPROP TIEOFF_X40Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y19 NUM_PINS 2 SITEPROP TIEOFF_X40Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y19 PROHIBIT 0 SITEPROP TIEOFF_X40Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y19 RPM_X 156 SITEPROP TIEOFF_X40Y19 RPM_Y 38 SITEPROP TIEOFF_X40Y19 SITE_PIPS SITEPROP TIEOFF_X40Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y20 CLASS site SITEPROP TIEOFF_X40Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y20 IS_BONDED 0 SITEPROP TIEOFF_X40Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y20 IS_PAD 0 SITEPROP TIEOFF_X40Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y20 IS_RESERVED 0 SITEPROP TIEOFF_X40Y20 IS_TEST 0 SITEPROP TIEOFF_X40Y20 IS_USED 0 SITEPROP TIEOFF_X40Y20 MANUAL_ROUTING SITEPROP TIEOFF_X40Y20 NAME TIEOFF_X40Y20 SITEPROP TIEOFF_X40Y20 NUM_ARCS 0 SITEPROP TIEOFF_X40Y20 NUM_BELS 2 SITEPROP TIEOFF_X40Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y20 NUM_PINS 2 SITEPROP TIEOFF_X40Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y20 PROHIBIT 0 SITEPROP TIEOFF_X40Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y20 RPM_X 156 SITEPROP TIEOFF_X40Y20 RPM_Y 40 SITEPROP TIEOFF_X40Y20 SITE_PIPS SITEPROP TIEOFF_X40Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y21 CLASS site SITEPROP TIEOFF_X40Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y21 IS_BONDED 0 SITEPROP TIEOFF_X40Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y21 IS_PAD 0 SITEPROP TIEOFF_X40Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y21 IS_RESERVED 0 SITEPROP TIEOFF_X40Y21 IS_TEST 0 SITEPROP TIEOFF_X40Y21 IS_USED 0 SITEPROP TIEOFF_X40Y21 MANUAL_ROUTING SITEPROP TIEOFF_X40Y21 NAME TIEOFF_X40Y21 SITEPROP TIEOFF_X40Y21 NUM_ARCS 0 SITEPROP TIEOFF_X40Y21 NUM_BELS 2 SITEPROP TIEOFF_X40Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y21 NUM_PINS 2 SITEPROP TIEOFF_X40Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y21 PROHIBIT 0 SITEPROP TIEOFF_X40Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y21 RPM_X 156 SITEPROP TIEOFF_X40Y21 RPM_Y 42 SITEPROP TIEOFF_X40Y21 SITE_PIPS SITEPROP TIEOFF_X40Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y22 CLASS site SITEPROP TIEOFF_X40Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y22 IS_BONDED 0 SITEPROP TIEOFF_X40Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y22 IS_PAD 0 SITEPROP TIEOFF_X40Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y22 IS_RESERVED 0 SITEPROP TIEOFF_X40Y22 IS_TEST 0 SITEPROP TIEOFF_X40Y22 IS_USED 0 SITEPROP TIEOFF_X40Y22 MANUAL_ROUTING SITEPROP TIEOFF_X40Y22 NAME TIEOFF_X40Y22 SITEPROP TIEOFF_X40Y22 NUM_ARCS 0 SITEPROP TIEOFF_X40Y22 NUM_BELS 2 SITEPROP TIEOFF_X40Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y22 NUM_PINS 2 SITEPROP TIEOFF_X40Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y22 PROHIBIT 0 SITEPROP TIEOFF_X40Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y22 RPM_X 156 SITEPROP TIEOFF_X40Y22 RPM_Y 44 SITEPROP TIEOFF_X40Y22 SITE_PIPS SITEPROP TIEOFF_X40Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y23 CLASS site SITEPROP TIEOFF_X40Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y23 IS_BONDED 0 SITEPROP TIEOFF_X40Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y23 IS_PAD 0 SITEPROP TIEOFF_X40Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y23 IS_RESERVED 0 SITEPROP TIEOFF_X40Y23 IS_TEST 0 SITEPROP TIEOFF_X40Y23 IS_USED 0 SITEPROP TIEOFF_X40Y23 MANUAL_ROUTING SITEPROP TIEOFF_X40Y23 NAME TIEOFF_X40Y23 SITEPROP TIEOFF_X40Y23 NUM_ARCS 0 SITEPROP TIEOFF_X40Y23 NUM_BELS 2 SITEPROP TIEOFF_X40Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y23 NUM_PINS 2 SITEPROP TIEOFF_X40Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y23 PROHIBIT 0 SITEPROP TIEOFF_X40Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y23 RPM_X 156 SITEPROP TIEOFF_X40Y23 RPM_Y 46 SITEPROP TIEOFF_X40Y23 SITE_PIPS SITEPROP TIEOFF_X40Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y24 CLASS site SITEPROP TIEOFF_X40Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y24 IS_BONDED 0 SITEPROP TIEOFF_X40Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y24 IS_PAD 0 SITEPROP TIEOFF_X40Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y24 IS_RESERVED 0 SITEPROP TIEOFF_X40Y24 IS_TEST 0 SITEPROP TIEOFF_X40Y24 IS_USED 0 SITEPROP TIEOFF_X40Y24 MANUAL_ROUTING SITEPROP TIEOFF_X40Y24 NAME TIEOFF_X40Y24 SITEPROP TIEOFF_X40Y24 NUM_ARCS 0 SITEPROP TIEOFF_X40Y24 NUM_BELS 2 SITEPROP TIEOFF_X40Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y24 NUM_PINS 2 SITEPROP TIEOFF_X40Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y24 PROHIBIT 0 SITEPROP TIEOFF_X40Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y24 RPM_X 156 SITEPROP TIEOFF_X40Y24 RPM_Y 48 SITEPROP TIEOFF_X40Y24 SITE_PIPS SITEPROP TIEOFF_X40Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y25 CLASS site SITEPROP TIEOFF_X40Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y25 IS_BONDED 0 SITEPROP TIEOFF_X40Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y25 IS_PAD 0 SITEPROP TIEOFF_X40Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y25 IS_RESERVED 0 SITEPROP TIEOFF_X40Y25 IS_TEST 0 SITEPROP TIEOFF_X40Y25 IS_USED 0 SITEPROP TIEOFF_X40Y25 MANUAL_ROUTING SITEPROP TIEOFF_X40Y25 NAME TIEOFF_X40Y25 SITEPROP TIEOFF_X40Y25 NUM_ARCS 0 SITEPROP TIEOFF_X40Y25 NUM_BELS 2 SITEPROP TIEOFF_X40Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y25 NUM_PINS 2 SITEPROP TIEOFF_X40Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y25 PROHIBIT 0 SITEPROP TIEOFF_X40Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y25 RPM_X 156 SITEPROP TIEOFF_X40Y25 RPM_Y 50 SITEPROP TIEOFF_X40Y25 SITE_PIPS SITEPROP TIEOFF_X40Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y26 CLASS site SITEPROP TIEOFF_X40Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y26 IS_BONDED 0 SITEPROP TIEOFF_X40Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y26 IS_PAD 0 SITEPROP TIEOFF_X40Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y26 IS_RESERVED 0 SITEPROP TIEOFF_X40Y26 IS_TEST 0 SITEPROP TIEOFF_X40Y26 IS_USED 0 SITEPROP TIEOFF_X40Y26 MANUAL_ROUTING SITEPROP TIEOFF_X40Y26 NAME TIEOFF_X40Y26 SITEPROP TIEOFF_X40Y26 NUM_ARCS 0 SITEPROP TIEOFF_X40Y26 NUM_BELS 2 SITEPROP TIEOFF_X40Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y26 NUM_PINS 2 SITEPROP TIEOFF_X40Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y26 PROHIBIT 0 SITEPROP TIEOFF_X40Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y26 RPM_X 156 SITEPROP TIEOFF_X40Y26 RPM_Y 52 SITEPROP TIEOFF_X40Y26 SITE_PIPS SITEPROP TIEOFF_X40Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y27 CLASS site SITEPROP TIEOFF_X40Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y27 IS_BONDED 0 SITEPROP TIEOFF_X40Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y27 IS_PAD 0 SITEPROP TIEOFF_X40Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y27 IS_RESERVED 0 SITEPROP TIEOFF_X40Y27 IS_TEST 0 SITEPROP TIEOFF_X40Y27 IS_USED 0 SITEPROP TIEOFF_X40Y27 MANUAL_ROUTING SITEPROP TIEOFF_X40Y27 NAME TIEOFF_X40Y27 SITEPROP TIEOFF_X40Y27 NUM_ARCS 0 SITEPROP TIEOFF_X40Y27 NUM_BELS 2 SITEPROP TIEOFF_X40Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y27 NUM_PINS 2 SITEPROP TIEOFF_X40Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y27 PROHIBIT 0 SITEPROP TIEOFF_X40Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y27 RPM_X 156 SITEPROP TIEOFF_X40Y27 RPM_Y 54 SITEPROP TIEOFF_X40Y27 SITE_PIPS SITEPROP TIEOFF_X40Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y28 CLASS site SITEPROP TIEOFF_X40Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y28 IS_BONDED 0 SITEPROP TIEOFF_X40Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y28 IS_PAD 0 SITEPROP TIEOFF_X40Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y28 IS_RESERVED 0 SITEPROP TIEOFF_X40Y28 IS_TEST 0 SITEPROP TIEOFF_X40Y28 IS_USED 0 SITEPROP TIEOFF_X40Y28 MANUAL_ROUTING SITEPROP TIEOFF_X40Y28 NAME TIEOFF_X40Y28 SITEPROP TIEOFF_X40Y28 NUM_ARCS 0 SITEPROP TIEOFF_X40Y28 NUM_BELS 2 SITEPROP TIEOFF_X40Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y28 NUM_PINS 2 SITEPROP TIEOFF_X40Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y28 PROHIBIT 0 SITEPROP TIEOFF_X40Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y28 RPM_X 156 SITEPROP TIEOFF_X40Y28 RPM_Y 56 SITEPROP TIEOFF_X40Y28 SITE_PIPS SITEPROP TIEOFF_X40Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y29 CLASS site SITEPROP TIEOFF_X40Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y29 IS_BONDED 0 SITEPROP TIEOFF_X40Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y29 IS_PAD 0 SITEPROP TIEOFF_X40Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y29 IS_RESERVED 0 SITEPROP TIEOFF_X40Y29 IS_TEST 0 SITEPROP TIEOFF_X40Y29 IS_USED 0 SITEPROP TIEOFF_X40Y29 MANUAL_ROUTING SITEPROP TIEOFF_X40Y29 NAME TIEOFF_X40Y29 SITEPROP TIEOFF_X40Y29 NUM_ARCS 0 SITEPROP TIEOFF_X40Y29 NUM_BELS 2 SITEPROP TIEOFF_X40Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y29 NUM_PINS 2 SITEPROP TIEOFF_X40Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y29 PROHIBIT 0 SITEPROP TIEOFF_X40Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y29 RPM_X 156 SITEPROP TIEOFF_X40Y29 RPM_Y 58 SITEPROP TIEOFF_X40Y29 SITE_PIPS SITEPROP TIEOFF_X40Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y30 CLASS site SITEPROP TIEOFF_X40Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y30 IS_BONDED 0 SITEPROP TIEOFF_X40Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y30 IS_PAD 0 SITEPROP TIEOFF_X40Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y30 IS_RESERVED 0 SITEPROP TIEOFF_X40Y30 IS_TEST 0 SITEPROP TIEOFF_X40Y30 IS_USED 0 SITEPROP TIEOFF_X40Y30 MANUAL_ROUTING SITEPROP TIEOFF_X40Y30 NAME TIEOFF_X40Y30 SITEPROP TIEOFF_X40Y30 NUM_ARCS 0 SITEPROP TIEOFF_X40Y30 NUM_BELS 2 SITEPROP TIEOFF_X40Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y30 NUM_PINS 2 SITEPROP TIEOFF_X40Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y30 PROHIBIT 0 SITEPROP TIEOFF_X40Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y30 RPM_X 156 SITEPROP TIEOFF_X40Y30 RPM_Y 60 SITEPROP TIEOFF_X40Y30 SITE_PIPS SITEPROP TIEOFF_X40Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y31 CLASS site SITEPROP TIEOFF_X40Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y31 IS_BONDED 0 SITEPROP TIEOFF_X40Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y31 IS_PAD 0 SITEPROP TIEOFF_X40Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y31 IS_RESERVED 0 SITEPROP TIEOFF_X40Y31 IS_TEST 0 SITEPROP TIEOFF_X40Y31 IS_USED 0 SITEPROP TIEOFF_X40Y31 MANUAL_ROUTING SITEPROP TIEOFF_X40Y31 NAME TIEOFF_X40Y31 SITEPROP TIEOFF_X40Y31 NUM_ARCS 0 SITEPROP TIEOFF_X40Y31 NUM_BELS 2 SITEPROP TIEOFF_X40Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y31 NUM_PINS 2 SITEPROP TIEOFF_X40Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y31 PROHIBIT 0 SITEPROP TIEOFF_X40Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y31 RPM_X 156 SITEPROP TIEOFF_X40Y31 RPM_Y 62 SITEPROP TIEOFF_X40Y31 SITE_PIPS SITEPROP TIEOFF_X40Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y32 CLASS site SITEPROP TIEOFF_X40Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y32 IS_BONDED 0 SITEPROP TIEOFF_X40Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y32 IS_PAD 0 SITEPROP TIEOFF_X40Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y32 IS_RESERVED 0 SITEPROP TIEOFF_X40Y32 IS_TEST 0 SITEPROP TIEOFF_X40Y32 IS_USED 0 SITEPROP TIEOFF_X40Y32 MANUAL_ROUTING SITEPROP TIEOFF_X40Y32 NAME TIEOFF_X40Y32 SITEPROP TIEOFF_X40Y32 NUM_ARCS 0 SITEPROP TIEOFF_X40Y32 NUM_BELS 2 SITEPROP TIEOFF_X40Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y32 NUM_PINS 2 SITEPROP TIEOFF_X40Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y32 PROHIBIT 0 SITEPROP TIEOFF_X40Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y32 RPM_X 156 SITEPROP TIEOFF_X40Y32 RPM_Y 64 SITEPROP TIEOFF_X40Y32 SITE_PIPS SITEPROP TIEOFF_X40Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y33 CLASS site SITEPROP TIEOFF_X40Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y33 IS_BONDED 0 SITEPROP TIEOFF_X40Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y33 IS_PAD 0 SITEPROP TIEOFF_X40Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y33 IS_RESERVED 0 SITEPROP TIEOFF_X40Y33 IS_TEST 0 SITEPROP TIEOFF_X40Y33 IS_USED 0 SITEPROP TIEOFF_X40Y33 MANUAL_ROUTING SITEPROP TIEOFF_X40Y33 NAME TIEOFF_X40Y33 SITEPROP TIEOFF_X40Y33 NUM_ARCS 0 SITEPROP TIEOFF_X40Y33 NUM_BELS 2 SITEPROP TIEOFF_X40Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y33 NUM_PINS 2 SITEPROP TIEOFF_X40Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y33 PROHIBIT 0 SITEPROP TIEOFF_X40Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y33 RPM_X 156 SITEPROP TIEOFF_X40Y33 RPM_Y 66 SITEPROP TIEOFF_X40Y33 SITE_PIPS SITEPROP TIEOFF_X40Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y34 CLASS site SITEPROP TIEOFF_X40Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y34 IS_BONDED 0 SITEPROP TIEOFF_X40Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y34 IS_PAD 0 SITEPROP TIEOFF_X40Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y34 IS_RESERVED 0 SITEPROP TIEOFF_X40Y34 IS_TEST 0 SITEPROP TIEOFF_X40Y34 IS_USED 0 SITEPROP TIEOFF_X40Y34 MANUAL_ROUTING SITEPROP TIEOFF_X40Y34 NAME TIEOFF_X40Y34 SITEPROP TIEOFF_X40Y34 NUM_ARCS 0 SITEPROP TIEOFF_X40Y34 NUM_BELS 2 SITEPROP TIEOFF_X40Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y34 NUM_PINS 2 SITEPROP TIEOFF_X40Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y34 PROHIBIT 0 SITEPROP TIEOFF_X40Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y34 RPM_X 156 SITEPROP TIEOFF_X40Y34 RPM_Y 68 SITEPROP TIEOFF_X40Y34 SITE_PIPS SITEPROP TIEOFF_X40Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y35 CLASS site SITEPROP TIEOFF_X40Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y35 IS_BONDED 0 SITEPROP TIEOFF_X40Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y35 IS_PAD 0 SITEPROP TIEOFF_X40Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y35 IS_RESERVED 0 SITEPROP TIEOFF_X40Y35 IS_TEST 0 SITEPROP TIEOFF_X40Y35 IS_USED 0 SITEPROP TIEOFF_X40Y35 MANUAL_ROUTING SITEPROP TIEOFF_X40Y35 NAME TIEOFF_X40Y35 SITEPROP TIEOFF_X40Y35 NUM_ARCS 0 SITEPROP TIEOFF_X40Y35 NUM_BELS 2 SITEPROP TIEOFF_X40Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y35 NUM_PINS 2 SITEPROP TIEOFF_X40Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y35 PROHIBIT 0 SITEPROP TIEOFF_X40Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y35 RPM_X 156 SITEPROP TIEOFF_X40Y35 RPM_Y 70 SITEPROP TIEOFF_X40Y35 SITE_PIPS SITEPROP TIEOFF_X40Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y36 CLASS site SITEPROP TIEOFF_X40Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y36 IS_BONDED 0 SITEPROP TIEOFF_X40Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y36 IS_PAD 0 SITEPROP TIEOFF_X40Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y36 IS_RESERVED 0 SITEPROP TIEOFF_X40Y36 IS_TEST 0 SITEPROP TIEOFF_X40Y36 IS_USED 0 SITEPROP TIEOFF_X40Y36 MANUAL_ROUTING SITEPROP TIEOFF_X40Y36 NAME TIEOFF_X40Y36 SITEPROP TIEOFF_X40Y36 NUM_ARCS 0 SITEPROP TIEOFF_X40Y36 NUM_BELS 2 SITEPROP TIEOFF_X40Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y36 NUM_PINS 2 SITEPROP TIEOFF_X40Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y36 PROHIBIT 0 SITEPROP TIEOFF_X40Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y36 RPM_X 156 SITEPROP TIEOFF_X40Y36 RPM_Y 72 SITEPROP TIEOFF_X40Y36 SITE_PIPS SITEPROP TIEOFF_X40Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y37 CLASS site SITEPROP TIEOFF_X40Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y37 IS_BONDED 0 SITEPROP TIEOFF_X40Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y37 IS_PAD 0 SITEPROP TIEOFF_X40Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y37 IS_RESERVED 0 SITEPROP TIEOFF_X40Y37 IS_TEST 0 SITEPROP TIEOFF_X40Y37 IS_USED 0 SITEPROP TIEOFF_X40Y37 MANUAL_ROUTING SITEPROP TIEOFF_X40Y37 NAME TIEOFF_X40Y37 SITEPROP TIEOFF_X40Y37 NUM_ARCS 0 SITEPROP TIEOFF_X40Y37 NUM_BELS 2 SITEPROP TIEOFF_X40Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y37 NUM_PINS 2 SITEPROP TIEOFF_X40Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y37 PROHIBIT 0 SITEPROP TIEOFF_X40Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y37 RPM_X 156 SITEPROP TIEOFF_X40Y37 RPM_Y 74 SITEPROP TIEOFF_X40Y37 SITE_PIPS SITEPROP TIEOFF_X40Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y38 CLASS site SITEPROP TIEOFF_X40Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y38 IS_BONDED 0 SITEPROP TIEOFF_X40Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y38 IS_PAD 0 SITEPROP TIEOFF_X40Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y38 IS_RESERVED 0 SITEPROP TIEOFF_X40Y38 IS_TEST 0 SITEPROP TIEOFF_X40Y38 IS_USED 0 SITEPROP TIEOFF_X40Y38 MANUAL_ROUTING SITEPROP TIEOFF_X40Y38 NAME TIEOFF_X40Y38 SITEPROP TIEOFF_X40Y38 NUM_ARCS 0 SITEPROP TIEOFF_X40Y38 NUM_BELS 2 SITEPROP TIEOFF_X40Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y38 NUM_PINS 2 SITEPROP TIEOFF_X40Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y38 PROHIBIT 0 SITEPROP TIEOFF_X40Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y38 RPM_X 156 SITEPROP TIEOFF_X40Y38 RPM_Y 76 SITEPROP TIEOFF_X40Y38 SITE_PIPS SITEPROP TIEOFF_X40Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y39 CLASS site SITEPROP TIEOFF_X40Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y39 IS_BONDED 0 SITEPROP TIEOFF_X40Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y39 IS_PAD 0 SITEPROP TIEOFF_X40Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y39 IS_RESERVED 0 SITEPROP TIEOFF_X40Y39 IS_TEST 0 SITEPROP TIEOFF_X40Y39 IS_USED 0 SITEPROP TIEOFF_X40Y39 MANUAL_ROUTING SITEPROP TIEOFF_X40Y39 NAME TIEOFF_X40Y39 SITEPROP TIEOFF_X40Y39 NUM_ARCS 0 SITEPROP TIEOFF_X40Y39 NUM_BELS 2 SITEPROP TIEOFF_X40Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y39 NUM_PINS 2 SITEPROP TIEOFF_X40Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y39 PROHIBIT 0 SITEPROP TIEOFF_X40Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y39 RPM_X 156 SITEPROP TIEOFF_X40Y39 RPM_Y 78 SITEPROP TIEOFF_X40Y39 SITE_PIPS SITEPROP TIEOFF_X40Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y40 CLASS site SITEPROP TIEOFF_X40Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y40 IS_BONDED 0 SITEPROP TIEOFF_X40Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y40 IS_PAD 0 SITEPROP TIEOFF_X40Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y40 IS_RESERVED 0 SITEPROP TIEOFF_X40Y40 IS_TEST 0 SITEPROP TIEOFF_X40Y40 IS_USED 0 SITEPROP TIEOFF_X40Y40 MANUAL_ROUTING SITEPROP TIEOFF_X40Y40 NAME TIEOFF_X40Y40 SITEPROP TIEOFF_X40Y40 NUM_ARCS 0 SITEPROP TIEOFF_X40Y40 NUM_BELS 2 SITEPROP TIEOFF_X40Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y40 NUM_PINS 2 SITEPROP TIEOFF_X40Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y40 PROHIBIT 0 SITEPROP TIEOFF_X40Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y40 RPM_X 156 SITEPROP TIEOFF_X40Y40 RPM_Y 80 SITEPROP TIEOFF_X40Y40 SITE_PIPS SITEPROP TIEOFF_X40Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y41 CLASS site SITEPROP TIEOFF_X40Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y41 IS_BONDED 0 SITEPROP TIEOFF_X40Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y41 IS_PAD 0 SITEPROP TIEOFF_X40Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y41 IS_RESERVED 0 SITEPROP TIEOFF_X40Y41 IS_TEST 0 SITEPROP TIEOFF_X40Y41 IS_USED 0 SITEPROP TIEOFF_X40Y41 MANUAL_ROUTING SITEPROP TIEOFF_X40Y41 NAME TIEOFF_X40Y41 SITEPROP TIEOFF_X40Y41 NUM_ARCS 0 SITEPROP TIEOFF_X40Y41 NUM_BELS 2 SITEPROP TIEOFF_X40Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y41 NUM_PINS 2 SITEPROP TIEOFF_X40Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y41 PROHIBIT 0 SITEPROP TIEOFF_X40Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y41 RPM_X 156 SITEPROP TIEOFF_X40Y41 RPM_Y 82 SITEPROP TIEOFF_X40Y41 SITE_PIPS SITEPROP TIEOFF_X40Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y42 CLASS site SITEPROP TIEOFF_X40Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y42 IS_BONDED 0 SITEPROP TIEOFF_X40Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y42 IS_PAD 0 SITEPROP TIEOFF_X40Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y42 IS_RESERVED 0 SITEPROP TIEOFF_X40Y42 IS_TEST 0 SITEPROP TIEOFF_X40Y42 IS_USED 0 SITEPROP TIEOFF_X40Y42 MANUAL_ROUTING SITEPROP TIEOFF_X40Y42 NAME TIEOFF_X40Y42 SITEPROP TIEOFF_X40Y42 NUM_ARCS 0 SITEPROP TIEOFF_X40Y42 NUM_BELS 2 SITEPROP TIEOFF_X40Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y42 NUM_PINS 2 SITEPROP TIEOFF_X40Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y42 PROHIBIT 0 SITEPROP TIEOFF_X40Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y42 RPM_X 156 SITEPROP TIEOFF_X40Y42 RPM_Y 84 SITEPROP TIEOFF_X40Y42 SITE_PIPS SITEPROP TIEOFF_X40Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y43 CLASS site SITEPROP TIEOFF_X40Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y43 IS_BONDED 0 SITEPROP TIEOFF_X40Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y43 IS_PAD 0 SITEPROP TIEOFF_X40Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y43 IS_RESERVED 0 SITEPROP TIEOFF_X40Y43 IS_TEST 0 SITEPROP TIEOFF_X40Y43 IS_USED 0 SITEPROP TIEOFF_X40Y43 MANUAL_ROUTING SITEPROP TIEOFF_X40Y43 NAME TIEOFF_X40Y43 SITEPROP TIEOFF_X40Y43 NUM_ARCS 0 SITEPROP TIEOFF_X40Y43 NUM_BELS 2 SITEPROP TIEOFF_X40Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y43 NUM_PINS 2 SITEPROP TIEOFF_X40Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y43 PROHIBIT 0 SITEPROP TIEOFF_X40Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y43 RPM_X 156 SITEPROP TIEOFF_X40Y43 RPM_Y 86 SITEPROP TIEOFF_X40Y43 SITE_PIPS SITEPROP TIEOFF_X40Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y44 CLASS site SITEPROP TIEOFF_X40Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y44 IS_BONDED 0 SITEPROP TIEOFF_X40Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y44 IS_PAD 0 SITEPROP TIEOFF_X40Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y44 IS_RESERVED 0 SITEPROP TIEOFF_X40Y44 IS_TEST 0 SITEPROP TIEOFF_X40Y44 IS_USED 0 SITEPROP TIEOFF_X40Y44 MANUAL_ROUTING SITEPROP TIEOFF_X40Y44 NAME TIEOFF_X40Y44 SITEPROP TIEOFF_X40Y44 NUM_ARCS 0 SITEPROP TIEOFF_X40Y44 NUM_BELS 2 SITEPROP TIEOFF_X40Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y44 NUM_PINS 2 SITEPROP TIEOFF_X40Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y44 PROHIBIT 0 SITEPROP TIEOFF_X40Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y44 RPM_X 156 SITEPROP TIEOFF_X40Y44 RPM_Y 88 SITEPROP TIEOFF_X40Y44 SITE_PIPS SITEPROP TIEOFF_X40Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y45 CLASS site SITEPROP TIEOFF_X40Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y45 IS_BONDED 0 SITEPROP TIEOFF_X40Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y45 IS_PAD 0 SITEPROP TIEOFF_X40Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y45 IS_RESERVED 0 SITEPROP TIEOFF_X40Y45 IS_TEST 0 SITEPROP TIEOFF_X40Y45 IS_USED 0 SITEPROP TIEOFF_X40Y45 MANUAL_ROUTING SITEPROP TIEOFF_X40Y45 NAME TIEOFF_X40Y45 SITEPROP TIEOFF_X40Y45 NUM_ARCS 0 SITEPROP TIEOFF_X40Y45 NUM_BELS 2 SITEPROP TIEOFF_X40Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y45 NUM_PINS 2 SITEPROP TIEOFF_X40Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y45 PROHIBIT 0 SITEPROP TIEOFF_X40Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y45 RPM_X 156 SITEPROP TIEOFF_X40Y45 RPM_Y 90 SITEPROP TIEOFF_X40Y45 SITE_PIPS SITEPROP TIEOFF_X40Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y46 CLASS site SITEPROP TIEOFF_X40Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y46 IS_BONDED 0 SITEPROP TIEOFF_X40Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y46 IS_PAD 0 SITEPROP TIEOFF_X40Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y46 IS_RESERVED 0 SITEPROP TIEOFF_X40Y46 IS_TEST 0 SITEPROP TIEOFF_X40Y46 IS_USED 0 SITEPROP TIEOFF_X40Y46 MANUAL_ROUTING SITEPROP TIEOFF_X40Y46 NAME TIEOFF_X40Y46 SITEPROP TIEOFF_X40Y46 NUM_ARCS 0 SITEPROP TIEOFF_X40Y46 NUM_BELS 2 SITEPROP TIEOFF_X40Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y46 NUM_PINS 2 SITEPROP TIEOFF_X40Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y46 PROHIBIT 0 SITEPROP TIEOFF_X40Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y46 RPM_X 156 SITEPROP TIEOFF_X40Y46 RPM_Y 92 SITEPROP TIEOFF_X40Y46 SITE_PIPS SITEPROP TIEOFF_X40Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y47 CLASS site SITEPROP TIEOFF_X40Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y47 IS_BONDED 0 SITEPROP TIEOFF_X40Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y47 IS_PAD 0 SITEPROP TIEOFF_X40Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y47 IS_RESERVED 0 SITEPROP TIEOFF_X40Y47 IS_TEST 0 SITEPROP TIEOFF_X40Y47 IS_USED 0 SITEPROP TIEOFF_X40Y47 MANUAL_ROUTING SITEPROP TIEOFF_X40Y47 NAME TIEOFF_X40Y47 SITEPROP TIEOFF_X40Y47 NUM_ARCS 0 SITEPROP TIEOFF_X40Y47 NUM_BELS 2 SITEPROP TIEOFF_X40Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y47 NUM_PINS 2 SITEPROP TIEOFF_X40Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y47 PROHIBIT 0 SITEPROP TIEOFF_X40Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y47 RPM_X 156 SITEPROP TIEOFF_X40Y47 RPM_Y 94 SITEPROP TIEOFF_X40Y47 SITE_PIPS SITEPROP TIEOFF_X40Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y48 CLASS site SITEPROP TIEOFF_X40Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y48 IS_BONDED 0 SITEPROP TIEOFF_X40Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y48 IS_PAD 0 SITEPROP TIEOFF_X40Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y48 IS_RESERVED 0 SITEPROP TIEOFF_X40Y48 IS_TEST 0 SITEPROP TIEOFF_X40Y48 IS_USED 0 SITEPROP TIEOFF_X40Y48 MANUAL_ROUTING SITEPROP TIEOFF_X40Y48 NAME TIEOFF_X40Y48 SITEPROP TIEOFF_X40Y48 NUM_ARCS 0 SITEPROP TIEOFF_X40Y48 NUM_BELS 2 SITEPROP TIEOFF_X40Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y48 NUM_PINS 2 SITEPROP TIEOFF_X40Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y48 PROHIBIT 0 SITEPROP TIEOFF_X40Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y48 RPM_X 156 SITEPROP TIEOFF_X40Y48 RPM_Y 96 SITEPROP TIEOFF_X40Y48 SITE_PIPS SITEPROP TIEOFF_X40Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y49 CLASS site SITEPROP TIEOFF_X40Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X40Y49 IS_BONDED 0 SITEPROP TIEOFF_X40Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y49 IS_PAD 0 SITEPROP TIEOFF_X40Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y49 IS_RESERVED 0 SITEPROP TIEOFF_X40Y49 IS_TEST 0 SITEPROP TIEOFF_X40Y49 IS_USED 0 SITEPROP TIEOFF_X40Y49 MANUAL_ROUTING SITEPROP TIEOFF_X40Y49 NAME TIEOFF_X40Y49 SITEPROP TIEOFF_X40Y49 NUM_ARCS 0 SITEPROP TIEOFF_X40Y49 NUM_BELS 2 SITEPROP TIEOFF_X40Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y49 NUM_PINS 2 SITEPROP TIEOFF_X40Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y49 PROHIBIT 0 SITEPROP TIEOFF_X40Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y49 RPM_X 156 SITEPROP TIEOFF_X40Y49 RPM_Y 98 SITEPROP TIEOFF_X40Y49 SITE_PIPS SITEPROP TIEOFF_X40Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y50 CLASS site SITEPROP TIEOFF_X40Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y50 IS_BONDED 0 SITEPROP TIEOFF_X40Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y50 IS_PAD 0 SITEPROP TIEOFF_X40Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y50 IS_RESERVED 0 SITEPROP TIEOFF_X40Y50 IS_TEST 0 SITEPROP TIEOFF_X40Y50 IS_USED 0 SITEPROP TIEOFF_X40Y50 MANUAL_ROUTING SITEPROP TIEOFF_X40Y50 NAME TIEOFF_X40Y50 SITEPROP TIEOFF_X40Y50 NUM_ARCS 0 SITEPROP TIEOFF_X40Y50 NUM_BELS 2 SITEPROP TIEOFF_X40Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y50 NUM_PINS 2 SITEPROP TIEOFF_X40Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y50 PROHIBIT 0 SITEPROP TIEOFF_X40Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y50 RPM_X 156 SITEPROP TIEOFF_X40Y50 RPM_Y 100 SITEPROP TIEOFF_X40Y50 SITE_PIPS SITEPROP TIEOFF_X40Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y51 CLASS site SITEPROP TIEOFF_X40Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y51 IS_BONDED 0 SITEPROP TIEOFF_X40Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y51 IS_PAD 0 SITEPROP TIEOFF_X40Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y51 IS_RESERVED 0 SITEPROP TIEOFF_X40Y51 IS_TEST 0 SITEPROP TIEOFF_X40Y51 IS_USED 0 SITEPROP TIEOFF_X40Y51 MANUAL_ROUTING SITEPROP TIEOFF_X40Y51 NAME TIEOFF_X40Y51 SITEPROP TIEOFF_X40Y51 NUM_ARCS 0 SITEPROP TIEOFF_X40Y51 NUM_BELS 2 SITEPROP TIEOFF_X40Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y51 NUM_PINS 2 SITEPROP TIEOFF_X40Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y51 PROHIBIT 0 SITEPROP TIEOFF_X40Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y51 RPM_X 156 SITEPROP TIEOFF_X40Y51 RPM_Y 102 SITEPROP TIEOFF_X40Y51 SITE_PIPS SITEPROP TIEOFF_X40Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y52 CLASS site SITEPROP TIEOFF_X40Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y52 IS_BONDED 0 SITEPROP TIEOFF_X40Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y52 IS_PAD 0 SITEPROP TIEOFF_X40Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y52 IS_RESERVED 0 SITEPROP TIEOFF_X40Y52 IS_TEST 0 SITEPROP TIEOFF_X40Y52 IS_USED 0 SITEPROP TIEOFF_X40Y52 MANUAL_ROUTING SITEPROP TIEOFF_X40Y52 NAME TIEOFF_X40Y52 SITEPROP TIEOFF_X40Y52 NUM_ARCS 0 SITEPROP TIEOFF_X40Y52 NUM_BELS 2 SITEPROP TIEOFF_X40Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y52 NUM_PINS 2 SITEPROP TIEOFF_X40Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y52 PROHIBIT 0 SITEPROP TIEOFF_X40Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y52 RPM_X 156 SITEPROP TIEOFF_X40Y52 RPM_Y 104 SITEPROP TIEOFF_X40Y52 SITE_PIPS SITEPROP TIEOFF_X40Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y53 CLASS site SITEPROP TIEOFF_X40Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y53 IS_BONDED 0 SITEPROP TIEOFF_X40Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y53 IS_PAD 0 SITEPROP TIEOFF_X40Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y53 IS_RESERVED 0 SITEPROP TIEOFF_X40Y53 IS_TEST 0 SITEPROP TIEOFF_X40Y53 IS_USED 0 SITEPROP TIEOFF_X40Y53 MANUAL_ROUTING SITEPROP TIEOFF_X40Y53 NAME TIEOFF_X40Y53 SITEPROP TIEOFF_X40Y53 NUM_ARCS 0 SITEPROP TIEOFF_X40Y53 NUM_BELS 2 SITEPROP TIEOFF_X40Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y53 NUM_PINS 2 SITEPROP TIEOFF_X40Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y53 PROHIBIT 0 SITEPROP TIEOFF_X40Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y53 RPM_X 156 SITEPROP TIEOFF_X40Y53 RPM_Y 106 SITEPROP TIEOFF_X40Y53 SITE_PIPS SITEPROP TIEOFF_X40Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y54 CLASS site SITEPROP TIEOFF_X40Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y54 IS_BONDED 0 SITEPROP TIEOFF_X40Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y54 IS_PAD 0 SITEPROP TIEOFF_X40Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y54 IS_RESERVED 0 SITEPROP TIEOFF_X40Y54 IS_TEST 0 SITEPROP TIEOFF_X40Y54 IS_USED 0 SITEPROP TIEOFF_X40Y54 MANUAL_ROUTING SITEPROP TIEOFF_X40Y54 NAME TIEOFF_X40Y54 SITEPROP TIEOFF_X40Y54 NUM_ARCS 0 SITEPROP TIEOFF_X40Y54 NUM_BELS 2 SITEPROP TIEOFF_X40Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y54 NUM_PINS 2 SITEPROP TIEOFF_X40Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y54 PROHIBIT 0 SITEPROP TIEOFF_X40Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y54 RPM_X 156 SITEPROP TIEOFF_X40Y54 RPM_Y 108 SITEPROP TIEOFF_X40Y54 SITE_PIPS SITEPROP TIEOFF_X40Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y55 CLASS site SITEPROP TIEOFF_X40Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y55 IS_BONDED 0 SITEPROP TIEOFF_X40Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y55 IS_PAD 0 SITEPROP TIEOFF_X40Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y55 IS_RESERVED 0 SITEPROP TIEOFF_X40Y55 IS_TEST 0 SITEPROP TIEOFF_X40Y55 IS_USED 0 SITEPROP TIEOFF_X40Y55 MANUAL_ROUTING SITEPROP TIEOFF_X40Y55 NAME TIEOFF_X40Y55 SITEPROP TIEOFF_X40Y55 NUM_ARCS 0 SITEPROP TIEOFF_X40Y55 NUM_BELS 2 SITEPROP TIEOFF_X40Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y55 NUM_PINS 2 SITEPROP TIEOFF_X40Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y55 PROHIBIT 0 SITEPROP TIEOFF_X40Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y55 RPM_X 156 SITEPROP TIEOFF_X40Y55 RPM_Y 110 SITEPROP TIEOFF_X40Y55 SITE_PIPS SITEPROP TIEOFF_X40Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y56 CLASS site SITEPROP TIEOFF_X40Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y56 IS_BONDED 0 SITEPROP TIEOFF_X40Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y56 IS_PAD 0 SITEPROP TIEOFF_X40Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y56 IS_RESERVED 0 SITEPROP TIEOFF_X40Y56 IS_TEST 0 SITEPROP TIEOFF_X40Y56 IS_USED 0 SITEPROP TIEOFF_X40Y56 MANUAL_ROUTING SITEPROP TIEOFF_X40Y56 NAME TIEOFF_X40Y56 SITEPROP TIEOFF_X40Y56 NUM_ARCS 0 SITEPROP TIEOFF_X40Y56 NUM_BELS 2 SITEPROP TIEOFF_X40Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y56 NUM_PINS 2 SITEPROP TIEOFF_X40Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y56 PROHIBIT 0 SITEPROP TIEOFF_X40Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y56 RPM_X 156 SITEPROP TIEOFF_X40Y56 RPM_Y 112 SITEPROP TIEOFF_X40Y56 SITE_PIPS SITEPROP TIEOFF_X40Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y57 CLASS site SITEPROP TIEOFF_X40Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y57 IS_BONDED 0 SITEPROP TIEOFF_X40Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y57 IS_PAD 0 SITEPROP TIEOFF_X40Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y57 IS_RESERVED 0 SITEPROP TIEOFF_X40Y57 IS_TEST 0 SITEPROP TIEOFF_X40Y57 IS_USED 0 SITEPROP TIEOFF_X40Y57 MANUAL_ROUTING SITEPROP TIEOFF_X40Y57 NAME TIEOFF_X40Y57 SITEPROP TIEOFF_X40Y57 NUM_ARCS 0 SITEPROP TIEOFF_X40Y57 NUM_BELS 2 SITEPROP TIEOFF_X40Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y57 NUM_PINS 2 SITEPROP TIEOFF_X40Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y57 PROHIBIT 0 SITEPROP TIEOFF_X40Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y57 RPM_X 156 SITEPROP TIEOFF_X40Y57 RPM_Y 114 SITEPROP TIEOFF_X40Y57 SITE_PIPS SITEPROP TIEOFF_X40Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y58 CLASS site SITEPROP TIEOFF_X40Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y58 IS_BONDED 0 SITEPROP TIEOFF_X40Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y58 IS_PAD 0 SITEPROP TIEOFF_X40Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y58 IS_RESERVED 0 SITEPROP TIEOFF_X40Y58 IS_TEST 0 SITEPROP TIEOFF_X40Y58 IS_USED 0 SITEPROP TIEOFF_X40Y58 MANUAL_ROUTING SITEPROP TIEOFF_X40Y58 NAME TIEOFF_X40Y58 SITEPROP TIEOFF_X40Y58 NUM_ARCS 0 SITEPROP TIEOFF_X40Y58 NUM_BELS 2 SITEPROP TIEOFF_X40Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y58 NUM_PINS 2 SITEPROP TIEOFF_X40Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y58 PROHIBIT 0 SITEPROP TIEOFF_X40Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y58 RPM_X 156 SITEPROP TIEOFF_X40Y58 RPM_Y 116 SITEPROP TIEOFF_X40Y58 SITE_PIPS SITEPROP TIEOFF_X40Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y59 CLASS site SITEPROP TIEOFF_X40Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y59 IS_BONDED 0 SITEPROP TIEOFF_X40Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y59 IS_PAD 0 SITEPROP TIEOFF_X40Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y59 IS_RESERVED 0 SITEPROP TIEOFF_X40Y59 IS_TEST 0 SITEPROP TIEOFF_X40Y59 IS_USED 0 SITEPROP TIEOFF_X40Y59 MANUAL_ROUTING SITEPROP TIEOFF_X40Y59 NAME TIEOFF_X40Y59 SITEPROP TIEOFF_X40Y59 NUM_ARCS 0 SITEPROP TIEOFF_X40Y59 NUM_BELS 2 SITEPROP TIEOFF_X40Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y59 NUM_PINS 2 SITEPROP TIEOFF_X40Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y59 PROHIBIT 0 SITEPROP TIEOFF_X40Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y59 RPM_X 156 SITEPROP TIEOFF_X40Y59 RPM_Y 118 SITEPROP TIEOFF_X40Y59 SITE_PIPS SITEPROP TIEOFF_X40Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y60 CLASS site SITEPROP TIEOFF_X40Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y60 IS_BONDED 0 SITEPROP TIEOFF_X40Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y60 IS_PAD 0 SITEPROP TIEOFF_X40Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y60 IS_RESERVED 0 SITEPROP TIEOFF_X40Y60 IS_TEST 0 SITEPROP TIEOFF_X40Y60 IS_USED 0 SITEPROP TIEOFF_X40Y60 MANUAL_ROUTING SITEPROP TIEOFF_X40Y60 NAME TIEOFF_X40Y60 SITEPROP TIEOFF_X40Y60 NUM_ARCS 0 SITEPROP TIEOFF_X40Y60 NUM_BELS 2 SITEPROP TIEOFF_X40Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y60 NUM_PINS 2 SITEPROP TIEOFF_X40Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y60 PROHIBIT 0 SITEPROP TIEOFF_X40Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y60 RPM_X 156 SITEPROP TIEOFF_X40Y60 RPM_Y 120 SITEPROP TIEOFF_X40Y60 SITE_PIPS SITEPROP TIEOFF_X40Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y61 CLASS site SITEPROP TIEOFF_X40Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y61 IS_BONDED 0 SITEPROP TIEOFF_X40Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y61 IS_PAD 0 SITEPROP TIEOFF_X40Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y61 IS_RESERVED 0 SITEPROP TIEOFF_X40Y61 IS_TEST 0 SITEPROP TIEOFF_X40Y61 IS_USED 0 SITEPROP TIEOFF_X40Y61 MANUAL_ROUTING SITEPROP TIEOFF_X40Y61 NAME TIEOFF_X40Y61 SITEPROP TIEOFF_X40Y61 NUM_ARCS 0 SITEPROP TIEOFF_X40Y61 NUM_BELS 2 SITEPROP TIEOFF_X40Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y61 NUM_PINS 2 SITEPROP TIEOFF_X40Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y61 PROHIBIT 0 SITEPROP TIEOFF_X40Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y61 RPM_X 156 SITEPROP TIEOFF_X40Y61 RPM_Y 122 SITEPROP TIEOFF_X40Y61 SITE_PIPS SITEPROP TIEOFF_X40Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y62 CLASS site SITEPROP TIEOFF_X40Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y62 IS_BONDED 0 SITEPROP TIEOFF_X40Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y62 IS_PAD 0 SITEPROP TIEOFF_X40Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y62 IS_RESERVED 0 SITEPROP TIEOFF_X40Y62 IS_TEST 0 SITEPROP TIEOFF_X40Y62 IS_USED 0 SITEPROP TIEOFF_X40Y62 MANUAL_ROUTING SITEPROP TIEOFF_X40Y62 NAME TIEOFF_X40Y62 SITEPROP TIEOFF_X40Y62 NUM_ARCS 0 SITEPROP TIEOFF_X40Y62 NUM_BELS 2 SITEPROP TIEOFF_X40Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y62 NUM_PINS 2 SITEPROP TIEOFF_X40Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y62 PROHIBIT 0 SITEPROP TIEOFF_X40Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y62 RPM_X 156 SITEPROP TIEOFF_X40Y62 RPM_Y 124 SITEPROP TIEOFF_X40Y62 SITE_PIPS SITEPROP TIEOFF_X40Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y63 CLASS site SITEPROP TIEOFF_X40Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y63 IS_BONDED 0 SITEPROP TIEOFF_X40Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y63 IS_PAD 0 SITEPROP TIEOFF_X40Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y63 IS_RESERVED 0 SITEPROP TIEOFF_X40Y63 IS_TEST 0 SITEPROP TIEOFF_X40Y63 IS_USED 0 SITEPROP TIEOFF_X40Y63 MANUAL_ROUTING SITEPROP TIEOFF_X40Y63 NAME TIEOFF_X40Y63 SITEPROP TIEOFF_X40Y63 NUM_ARCS 0 SITEPROP TIEOFF_X40Y63 NUM_BELS 2 SITEPROP TIEOFF_X40Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y63 NUM_PINS 2 SITEPROP TIEOFF_X40Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y63 PROHIBIT 0 SITEPROP TIEOFF_X40Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y63 RPM_X 156 SITEPROP TIEOFF_X40Y63 RPM_Y 126 SITEPROP TIEOFF_X40Y63 SITE_PIPS SITEPROP TIEOFF_X40Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y64 CLASS site SITEPROP TIEOFF_X40Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y64 IS_BONDED 0 SITEPROP TIEOFF_X40Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y64 IS_PAD 0 SITEPROP TIEOFF_X40Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y64 IS_RESERVED 0 SITEPROP TIEOFF_X40Y64 IS_TEST 0 SITEPROP TIEOFF_X40Y64 IS_USED 0 SITEPROP TIEOFF_X40Y64 MANUAL_ROUTING SITEPROP TIEOFF_X40Y64 NAME TIEOFF_X40Y64 SITEPROP TIEOFF_X40Y64 NUM_ARCS 0 SITEPROP TIEOFF_X40Y64 NUM_BELS 2 SITEPROP TIEOFF_X40Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y64 NUM_PINS 2 SITEPROP TIEOFF_X40Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y64 PROHIBIT 0 SITEPROP TIEOFF_X40Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y64 RPM_X 156 SITEPROP TIEOFF_X40Y64 RPM_Y 128 SITEPROP TIEOFF_X40Y64 SITE_PIPS SITEPROP TIEOFF_X40Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y65 CLASS site SITEPROP TIEOFF_X40Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y65 IS_BONDED 0 SITEPROP TIEOFF_X40Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y65 IS_PAD 0 SITEPROP TIEOFF_X40Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y65 IS_RESERVED 0 SITEPROP TIEOFF_X40Y65 IS_TEST 0 SITEPROP TIEOFF_X40Y65 IS_USED 0 SITEPROP TIEOFF_X40Y65 MANUAL_ROUTING SITEPROP TIEOFF_X40Y65 NAME TIEOFF_X40Y65 SITEPROP TIEOFF_X40Y65 NUM_ARCS 0 SITEPROP TIEOFF_X40Y65 NUM_BELS 2 SITEPROP TIEOFF_X40Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y65 NUM_PINS 2 SITEPROP TIEOFF_X40Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y65 PROHIBIT 0 SITEPROP TIEOFF_X40Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y65 RPM_X 156 SITEPROP TIEOFF_X40Y65 RPM_Y 130 SITEPROP TIEOFF_X40Y65 SITE_PIPS SITEPROP TIEOFF_X40Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y66 CLASS site SITEPROP TIEOFF_X40Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y66 IS_BONDED 0 SITEPROP TIEOFF_X40Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y66 IS_PAD 0 SITEPROP TIEOFF_X40Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y66 IS_RESERVED 0 SITEPROP TIEOFF_X40Y66 IS_TEST 0 SITEPROP TIEOFF_X40Y66 IS_USED 0 SITEPROP TIEOFF_X40Y66 MANUAL_ROUTING SITEPROP TIEOFF_X40Y66 NAME TIEOFF_X40Y66 SITEPROP TIEOFF_X40Y66 NUM_ARCS 0 SITEPROP TIEOFF_X40Y66 NUM_BELS 2 SITEPROP TIEOFF_X40Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y66 NUM_PINS 2 SITEPROP TIEOFF_X40Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y66 PROHIBIT 0 SITEPROP TIEOFF_X40Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y66 RPM_X 156 SITEPROP TIEOFF_X40Y66 RPM_Y 132 SITEPROP TIEOFF_X40Y66 SITE_PIPS SITEPROP TIEOFF_X40Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y67 CLASS site SITEPROP TIEOFF_X40Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y67 IS_BONDED 0 SITEPROP TIEOFF_X40Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y67 IS_PAD 0 SITEPROP TIEOFF_X40Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y67 IS_RESERVED 0 SITEPROP TIEOFF_X40Y67 IS_TEST 0 SITEPROP TIEOFF_X40Y67 IS_USED 0 SITEPROP TIEOFF_X40Y67 MANUAL_ROUTING SITEPROP TIEOFF_X40Y67 NAME TIEOFF_X40Y67 SITEPROP TIEOFF_X40Y67 NUM_ARCS 0 SITEPROP TIEOFF_X40Y67 NUM_BELS 2 SITEPROP TIEOFF_X40Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y67 NUM_PINS 2 SITEPROP TIEOFF_X40Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y67 PROHIBIT 0 SITEPROP TIEOFF_X40Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y67 RPM_X 156 SITEPROP TIEOFF_X40Y67 RPM_Y 134 SITEPROP TIEOFF_X40Y67 SITE_PIPS SITEPROP TIEOFF_X40Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y68 CLASS site SITEPROP TIEOFF_X40Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y68 IS_BONDED 0 SITEPROP TIEOFF_X40Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y68 IS_PAD 0 SITEPROP TIEOFF_X40Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y68 IS_RESERVED 0 SITEPROP TIEOFF_X40Y68 IS_TEST 0 SITEPROP TIEOFF_X40Y68 IS_USED 0 SITEPROP TIEOFF_X40Y68 MANUAL_ROUTING SITEPROP TIEOFF_X40Y68 NAME TIEOFF_X40Y68 SITEPROP TIEOFF_X40Y68 NUM_ARCS 0 SITEPROP TIEOFF_X40Y68 NUM_BELS 2 SITEPROP TIEOFF_X40Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y68 NUM_PINS 2 SITEPROP TIEOFF_X40Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y68 PROHIBIT 0 SITEPROP TIEOFF_X40Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y68 RPM_X 156 SITEPROP TIEOFF_X40Y68 RPM_Y 136 SITEPROP TIEOFF_X40Y68 SITE_PIPS SITEPROP TIEOFF_X40Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y69 CLASS site SITEPROP TIEOFF_X40Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y69 IS_BONDED 0 SITEPROP TIEOFF_X40Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y69 IS_PAD 0 SITEPROP TIEOFF_X40Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y69 IS_RESERVED 0 SITEPROP TIEOFF_X40Y69 IS_TEST 0 SITEPROP TIEOFF_X40Y69 IS_USED 0 SITEPROP TIEOFF_X40Y69 MANUAL_ROUTING SITEPROP TIEOFF_X40Y69 NAME TIEOFF_X40Y69 SITEPROP TIEOFF_X40Y69 NUM_ARCS 0 SITEPROP TIEOFF_X40Y69 NUM_BELS 2 SITEPROP TIEOFF_X40Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y69 NUM_PINS 2 SITEPROP TIEOFF_X40Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y69 PROHIBIT 0 SITEPROP TIEOFF_X40Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y69 RPM_X 156 SITEPROP TIEOFF_X40Y69 RPM_Y 138 SITEPROP TIEOFF_X40Y69 SITE_PIPS SITEPROP TIEOFF_X40Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y70 CLASS site SITEPROP TIEOFF_X40Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y70 IS_BONDED 0 SITEPROP TIEOFF_X40Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y70 IS_PAD 0 SITEPROP TIEOFF_X40Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y70 IS_RESERVED 0 SITEPROP TIEOFF_X40Y70 IS_TEST 0 SITEPROP TIEOFF_X40Y70 IS_USED 0 SITEPROP TIEOFF_X40Y70 MANUAL_ROUTING SITEPROP TIEOFF_X40Y70 NAME TIEOFF_X40Y70 SITEPROP TIEOFF_X40Y70 NUM_ARCS 0 SITEPROP TIEOFF_X40Y70 NUM_BELS 2 SITEPROP TIEOFF_X40Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y70 NUM_PINS 2 SITEPROP TIEOFF_X40Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y70 PROHIBIT 0 SITEPROP TIEOFF_X40Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y70 RPM_X 156 SITEPROP TIEOFF_X40Y70 RPM_Y 140 SITEPROP TIEOFF_X40Y70 SITE_PIPS SITEPROP TIEOFF_X40Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y71 CLASS site SITEPROP TIEOFF_X40Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y71 IS_BONDED 0 SITEPROP TIEOFF_X40Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y71 IS_PAD 0 SITEPROP TIEOFF_X40Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y71 IS_RESERVED 0 SITEPROP TIEOFF_X40Y71 IS_TEST 0 SITEPROP TIEOFF_X40Y71 IS_USED 0 SITEPROP TIEOFF_X40Y71 MANUAL_ROUTING SITEPROP TIEOFF_X40Y71 NAME TIEOFF_X40Y71 SITEPROP TIEOFF_X40Y71 NUM_ARCS 0 SITEPROP TIEOFF_X40Y71 NUM_BELS 2 SITEPROP TIEOFF_X40Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y71 NUM_PINS 2 SITEPROP TIEOFF_X40Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y71 PROHIBIT 0 SITEPROP TIEOFF_X40Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y71 RPM_X 156 SITEPROP TIEOFF_X40Y71 RPM_Y 142 SITEPROP TIEOFF_X40Y71 SITE_PIPS SITEPROP TIEOFF_X40Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y72 CLASS site SITEPROP TIEOFF_X40Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y72 IS_BONDED 0 SITEPROP TIEOFF_X40Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y72 IS_PAD 0 SITEPROP TIEOFF_X40Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y72 IS_RESERVED 0 SITEPROP TIEOFF_X40Y72 IS_TEST 0 SITEPROP TIEOFF_X40Y72 IS_USED 0 SITEPROP TIEOFF_X40Y72 MANUAL_ROUTING SITEPROP TIEOFF_X40Y72 NAME TIEOFF_X40Y72 SITEPROP TIEOFF_X40Y72 NUM_ARCS 0 SITEPROP TIEOFF_X40Y72 NUM_BELS 2 SITEPROP TIEOFF_X40Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y72 NUM_PINS 2 SITEPROP TIEOFF_X40Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y72 PROHIBIT 0 SITEPROP TIEOFF_X40Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y72 RPM_X 156 SITEPROP TIEOFF_X40Y72 RPM_Y 144 SITEPROP TIEOFF_X40Y72 SITE_PIPS SITEPROP TIEOFF_X40Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y73 CLASS site SITEPROP TIEOFF_X40Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y73 IS_BONDED 0 SITEPROP TIEOFF_X40Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y73 IS_PAD 0 SITEPROP TIEOFF_X40Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y73 IS_RESERVED 0 SITEPROP TIEOFF_X40Y73 IS_TEST 0 SITEPROP TIEOFF_X40Y73 IS_USED 0 SITEPROP TIEOFF_X40Y73 MANUAL_ROUTING SITEPROP TIEOFF_X40Y73 NAME TIEOFF_X40Y73 SITEPROP TIEOFF_X40Y73 NUM_ARCS 0 SITEPROP TIEOFF_X40Y73 NUM_BELS 2 SITEPROP TIEOFF_X40Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y73 NUM_PINS 2 SITEPROP TIEOFF_X40Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y73 PROHIBIT 0 SITEPROP TIEOFF_X40Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y73 RPM_X 156 SITEPROP TIEOFF_X40Y73 RPM_Y 146 SITEPROP TIEOFF_X40Y73 SITE_PIPS SITEPROP TIEOFF_X40Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y74 CLASS site SITEPROP TIEOFF_X40Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y74 IS_BONDED 0 SITEPROP TIEOFF_X40Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y74 IS_PAD 0 SITEPROP TIEOFF_X40Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y74 IS_RESERVED 0 SITEPROP TIEOFF_X40Y74 IS_TEST 0 SITEPROP TIEOFF_X40Y74 IS_USED 0 SITEPROP TIEOFF_X40Y74 MANUAL_ROUTING SITEPROP TIEOFF_X40Y74 NAME TIEOFF_X40Y74 SITEPROP TIEOFF_X40Y74 NUM_ARCS 0 SITEPROP TIEOFF_X40Y74 NUM_BELS 2 SITEPROP TIEOFF_X40Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y74 NUM_PINS 2 SITEPROP TIEOFF_X40Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y74 PROHIBIT 0 SITEPROP TIEOFF_X40Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y74 RPM_X 156 SITEPROP TIEOFF_X40Y74 RPM_Y 148 SITEPROP TIEOFF_X40Y74 SITE_PIPS SITEPROP TIEOFF_X40Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y75 CLASS site SITEPROP TIEOFF_X40Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y75 IS_BONDED 0 SITEPROP TIEOFF_X40Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y75 IS_PAD 0 SITEPROP TIEOFF_X40Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y75 IS_RESERVED 0 SITEPROP TIEOFF_X40Y75 IS_TEST 0 SITEPROP TIEOFF_X40Y75 IS_USED 0 SITEPROP TIEOFF_X40Y75 MANUAL_ROUTING SITEPROP TIEOFF_X40Y75 NAME TIEOFF_X40Y75 SITEPROP TIEOFF_X40Y75 NUM_ARCS 0 SITEPROP TIEOFF_X40Y75 NUM_BELS 2 SITEPROP TIEOFF_X40Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y75 NUM_PINS 2 SITEPROP TIEOFF_X40Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y75 PROHIBIT 0 SITEPROP TIEOFF_X40Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y75 RPM_X 156 SITEPROP TIEOFF_X40Y75 RPM_Y 150 SITEPROP TIEOFF_X40Y75 SITE_PIPS SITEPROP TIEOFF_X40Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y76 CLASS site SITEPROP TIEOFF_X40Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y76 IS_BONDED 0 SITEPROP TIEOFF_X40Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y76 IS_PAD 0 SITEPROP TIEOFF_X40Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y76 IS_RESERVED 0 SITEPROP TIEOFF_X40Y76 IS_TEST 0 SITEPROP TIEOFF_X40Y76 IS_USED 0 SITEPROP TIEOFF_X40Y76 MANUAL_ROUTING SITEPROP TIEOFF_X40Y76 NAME TIEOFF_X40Y76 SITEPROP TIEOFF_X40Y76 NUM_ARCS 0 SITEPROP TIEOFF_X40Y76 NUM_BELS 2 SITEPROP TIEOFF_X40Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y76 NUM_PINS 2 SITEPROP TIEOFF_X40Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y76 PROHIBIT 0 SITEPROP TIEOFF_X40Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y76 RPM_X 156 SITEPROP TIEOFF_X40Y76 RPM_Y 152 SITEPROP TIEOFF_X40Y76 SITE_PIPS SITEPROP TIEOFF_X40Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y77 CLASS site SITEPROP TIEOFF_X40Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y77 IS_BONDED 0 SITEPROP TIEOFF_X40Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y77 IS_PAD 0 SITEPROP TIEOFF_X40Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y77 IS_RESERVED 0 SITEPROP TIEOFF_X40Y77 IS_TEST 0 SITEPROP TIEOFF_X40Y77 IS_USED 0 SITEPROP TIEOFF_X40Y77 MANUAL_ROUTING SITEPROP TIEOFF_X40Y77 NAME TIEOFF_X40Y77 SITEPROP TIEOFF_X40Y77 NUM_ARCS 0 SITEPROP TIEOFF_X40Y77 NUM_BELS 2 SITEPROP TIEOFF_X40Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y77 NUM_PINS 2 SITEPROP TIEOFF_X40Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y77 PROHIBIT 0 SITEPROP TIEOFF_X40Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y77 RPM_X 156 SITEPROP TIEOFF_X40Y77 RPM_Y 154 SITEPROP TIEOFF_X40Y77 SITE_PIPS SITEPROP TIEOFF_X40Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y78 CLASS site SITEPROP TIEOFF_X40Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y78 IS_BONDED 0 SITEPROP TIEOFF_X40Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y78 IS_PAD 0 SITEPROP TIEOFF_X40Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y78 IS_RESERVED 0 SITEPROP TIEOFF_X40Y78 IS_TEST 0 SITEPROP TIEOFF_X40Y78 IS_USED 0 SITEPROP TIEOFF_X40Y78 MANUAL_ROUTING SITEPROP TIEOFF_X40Y78 NAME TIEOFF_X40Y78 SITEPROP TIEOFF_X40Y78 NUM_ARCS 0 SITEPROP TIEOFF_X40Y78 NUM_BELS 2 SITEPROP TIEOFF_X40Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y78 NUM_PINS 2 SITEPROP TIEOFF_X40Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y78 PROHIBIT 0 SITEPROP TIEOFF_X40Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y78 RPM_X 156 SITEPROP TIEOFF_X40Y78 RPM_Y 156 SITEPROP TIEOFF_X40Y78 SITE_PIPS SITEPROP TIEOFF_X40Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y79 CLASS site SITEPROP TIEOFF_X40Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y79 IS_BONDED 0 SITEPROP TIEOFF_X40Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y79 IS_PAD 0 SITEPROP TIEOFF_X40Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y79 IS_RESERVED 0 SITEPROP TIEOFF_X40Y79 IS_TEST 0 SITEPROP TIEOFF_X40Y79 IS_USED 0 SITEPROP TIEOFF_X40Y79 MANUAL_ROUTING SITEPROP TIEOFF_X40Y79 NAME TIEOFF_X40Y79 SITEPROP TIEOFF_X40Y79 NUM_ARCS 0 SITEPROP TIEOFF_X40Y79 NUM_BELS 2 SITEPROP TIEOFF_X40Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y79 NUM_PINS 2 SITEPROP TIEOFF_X40Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y79 PROHIBIT 0 SITEPROP TIEOFF_X40Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y79 RPM_X 156 SITEPROP TIEOFF_X40Y79 RPM_Y 158 SITEPROP TIEOFF_X40Y79 SITE_PIPS SITEPROP TIEOFF_X40Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y80 CLASS site SITEPROP TIEOFF_X40Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y80 IS_BONDED 0 SITEPROP TIEOFF_X40Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y80 IS_PAD 0 SITEPROP TIEOFF_X40Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y80 IS_RESERVED 0 SITEPROP TIEOFF_X40Y80 IS_TEST 0 SITEPROP TIEOFF_X40Y80 IS_USED 0 SITEPROP TIEOFF_X40Y80 MANUAL_ROUTING SITEPROP TIEOFF_X40Y80 NAME TIEOFF_X40Y80 SITEPROP TIEOFF_X40Y80 NUM_ARCS 0 SITEPROP TIEOFF_X40Y80 NUM_BELS 2 SITEPROP TIEOFF_X40Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y80 NUM_PINS 2 SITEPROP TIEOFF_X40Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y80 PROHIBIT 0 SITEPROP TIEOFF_X40Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y80 RPM_X 156 SITEPROP TIEOFF_X40Y80 RPM_Y 160 SITEPROP TIEOFF_X40Y80 SITE_PIPS SITEPROP TIEOFF_X40Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y81 CLASS site SITEPROP TIEOFF_X40Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y81 IS_BONDED 0 SITEPROP TIEOFF_X40Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y81 IS_PAD 0 SITEPROP TIEOFF_X40Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y81 IS_RESERVED 0 SITEPROP TIEOFF_X40Y81 IS_TEST 0 SITEPROP TIEOFF_X40Y81 IS_USED 0 SITEPROP TIEOFF_X40Y81 MANUAL_ROUTING SITEPROP TIEOFF_X40Y81 NAME TIEOFF_X40Y81 SITEPROP TIEOFF_X40Y81 NUM_ARCS 0 SITEPROP TIEOFF_X40Y81 NUM_BELS 2 SITEPROP TIEOFF_X40Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y81 NUM_PINS 2 SITEPROP TIEOFF_X40Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y81 PROHIBIT 0 SITEPROP TIEOFF_X40Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y81 RPM_X 156 SITEPROP TIEOFF_X40Y81 RPM_Y 162 SITEPROP TIEOFF_X40Y81 SITE_PIPS SITEPROP TIEOFF_X40Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y82 CLASS site SITEPROP TIEOFF_X40Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y82 IS_BONDED 0 SITEPROP TIEOFF_X40Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y82 IS_PAD 0 SITEPROP TIEOFF_X40Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y82 IS_RESERVED 0 SITEPROP TIEOFF_X40Y82 IS_TEST 0 SITEPROP TIEOFF_X40Y82 IS_USED 0 SITEPROP TIEOFF_X40Y82 MANUAL_ROUTING SITEPROP TIEOFF_X40Y82 NAME TIEOFF_X40Y82 SITEPROP TIEOFF_X40Y82 NUM_ARCS 0 SITEPROP TIEOFF_X40Y82 NUM_BELS 2 SITEPROP TIEOFF_X40Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y82 NUM_PINS 2 SITEPROP TIEOFF_X40Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y82 PROHIBIT 0 SITEPROP TIEOFF_X40Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y82 RPM_X 156 SITEPROP TIEOFF_X40Y82 RPM_Y 164 SITEPROP TIEOFF_X40Y82 SITE_PIPS SITEPROP TIEOFF_X40Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y83 CLASS site SITEPROP TIEOFF_X40Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y83 IS_BONDED 0 SITEPROP TIEOFF_X40Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y83 IS_PAD 0 SITEPROP TIEOFF_X40Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y83 IS_RESERVED 0 SITEPROP TIEOFF_X40Y83 IS_TEST 0 SITEPROP TIEOFF_X40Y83 IS_USED 0 SITEPROP TIEOFF_X40Y83 MANUAL_ROUTING SITEPROP TIEOFF_X40Y83 NAME TIEOFF_X40Y83 SITEPROP TIEOFF_X40Y83 NUM_ARCS 0 SITEPROP TIEOFF_X40Y83 NUM_BELS 2 SITEPROP TIEOFF_X40Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y83 NUM_PINS 2 SITEPROP TIEOFF_X40Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y83 PROHIBIT 0 SITEPROP TIEOFF_X40Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y83 RPM_X 156 SITEPROP TIEOFF_X40Y83 RPM_Y 166 SITEPROP TIEOFF_X40Y83 SITE_PIPS SITEPROP TIEOFF_X40Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y84 CLASS site SITEPROP TIEOFF_X40Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y84 IS_BONDED 0 SITEPROP TIEOFF_X40Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y84 IS_PAD 0 SITEPROP TIEOFF_X40Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y84 IS_RESERVED 0 SITEPROP TIEOFF_X40Y84 IS_TEST 0 SITEPROP TIEOFF_X40Y84 IS_USED 0 SITEPROP TIEOFF_X40Y84 MANUAL_ROUTING SITEPROP TIEOFF_X40Y84 NAME TIEOFF_X40Y84 SITEPROP TIEOFF_X40Y84 NUM_ARCS 0 SITEPROP TIEOFF_X40Y84 NUM_BELS 2 SITEPROP TIEOFF_X40Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y84 NUM_PINS 2 SITEPROP TIEOFF_X40Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y84 PROHIBIT 0 SITEPROP TIEOFF_X40Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y84 RPM_X 156 SITEPROP TIEOFF_X40Y84 RPM_Y 168 SITEPROP TIEOFF_X40Y84 SITE_PIPS SITEPROP TIEOFF_X40Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y85 CLASS site SITEPROP TIEOFF_X40Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y85 IS_BONDED 0 SITEPROP TIEOFF_X40Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y85 IS_PAD 0 SITEPROP TIEOFF_X40Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y85 IS_RESERVED 0 SITEPROP TIEOFF_X40Y85 IS_TEST 0 SITEPROP TIEOFF_X40Y85 IS_USED 0 SITEPROP TIEOFF_X40Y85 MANUAL_ROUTING SITEPROP TIEOFF_X40Y85 NAME TIEOFF_X40Y85 SITEPROP TIEOFF_X40Y85 NUM_ARCS 0 SITEPROP TIEOFF_X40Y85 NUM_BELS 2 SITEPROP TIEOFF_X40Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y85 NUM_PINS 2 SITEPROP TIEOFF_X40Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y85 PROHIBIT 0 SITEPROP TIEOFF_X40Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y85 RPM_X 156 SITEPROP TIEOFF_X40Y85 RPM_Y 170 SITEPROP TIEOFF_X40Y85 SITE_PIPS SITEPROP TIEOFF_X40Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y86 CLASS site SITEPROP TIEOFF_X40Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y86 IS_BONDED 0 SITEPROP TIEOFF_X40Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y86 IS_PAD 0 SITEPROP TIEOFF_X40Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y86 IS_RESERVED 0 SITEPROP TIEOFF_X40Y86 IS_TEST 0 SITEPROP TIEOFF_X40Y86 IS_USED 0 SITEPROP TIEOFF_X40Y86 MANUAL_ROUTING SITEPROP TIEOFF_X40Y86 NAME TIEOFF_X40Y86 SITEPROP TIEOFF_X40Y86 NUM_ARCS 0 SITEPROP TIEOFF_X40Y86 NUM_BELS 2 SITEPROP TIEOFF_X40Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y86 NUM_PINS 2 SITEPROP TIEOFF_X40Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y86 PROHIBIT 0 SITEPROP TIEOFF_X40Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y86 RPM_X 156 SITEPROP TIEOFF_X40Y86 RPM_Y 172 SITEPROP TIEOFF_X40Y86 SITE_PIPS SITEPROP TIEOFF_X40Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y87 CLASS site SITEPROP TIEOFF_X40Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y87 IS_BONDED 0 SITEPROP TIEOFF_X40Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y87 IS_PAD 0 SITEPROP TIEOFF_X40Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y87 IS_RESERVED 0 SITEPROP TIEOFF_X40Y87 IS_TEST 0 SITEPROP TIEOFF_X40Y87 IS_USED 0 SITEPROP TIEOFF_X40Y87 MANUAL_ROUTING SITEPROP TIEOFF_X40Y87 NAME TIEOFF_X40Y87 SITEPROP TIEOFF_X40Y87 NUM_ARCS 0 SITEPROP TIEOFF_X40Y87 NUM_BELS 2 SITEPROP TIEOFF_X40Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y87 NUM_PINS 2 SITEPROP TIEOFF_X40Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y87 PROHIBIT 0 SITEPROP TIEOFF_X40Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y87 RPM_X 156 SITEPROP TIEOFF_X40Y87 RPM_Y 174 SITEPROP TIEOFF_X40Y87 SITE_PIPS SITEPROP TIEOFF_X40Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y88 CLASS site SITEPROP TIEOFF_X40Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y88 IS_BONDED 0 SITEPROP TIEOFF_X40Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y88 IS_PAD 0 SITEPROP TIEOFF_X40Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y88 IS_RESERVED 0 SITEPROP TIEOFF_X40Y88 IS_TEST 0 SITEPROP TIEOFF_X40Y88 IS_USED 0 SITEPROP TIEOFF_X40Y88 MANUAL_ROUTING SITEPROP TIEOFF_X40Y88 NAME TIEOFF_X40Y88 SITEPROP TIEOFF_X40Y88 NUM_ARCS 0 SITEPROP TIEOFF_X40Y88 NUM_BELS 2 SITEPROP TIEOFF_X40Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y88 NUM_PINS 2 SITEPROP TIEOFF_X40Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y88 PROHIBIT 0 SITEPROP TIEOFF_X40Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y88 RPM_X 156 SITEPROP TIEOFF_X40Y88 RPM_Y 176 SITEPROP TIEOFF_X40Y88 SITE_PIPS SITEPROP TIEOFF_X40Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y89 CLASS site SITEPROP TIEOFF_X40Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y89 IS_BONDED 0 SITEPROP TIEOFF_X40Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y89 IS_PAD 0 SITEPROP TIEOFF_X40Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y89 IS_RESERVED 0 SITEPROP TIEOFF_X40Y89 IS_TEST 0 SITEPROP TIEOFF_X40Y89 IS_USED 0 SITEPROP TIEOFF_X40Y89 MANUAL_ROUTING SITEPROP TIEOFF_X40Y89 NAME TIEOFF_X40Y89 SITEPROP TIEOFF_X40Y89 NUM_ARCS 0 SITEPROP TIEOFF_X40Y89 NUM_BELS 2 SITEPROP TIEOFF_X40Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y89 NUM_PINS 2 SITEPROP TIEOFF_X40Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y89 PROHIBIT 0 SITEPROP TIEOFF_X40Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y89 RPM_X 156 SITEPROP TIEOFF_X40Y89 RPM_Y 178 SITEPROP TIEOFF_X40Y89 SITE_PIPS SITEPROP TIEOFF_X40Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y90 CLASS site SITEPROP TIEOFF_X40Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y90 IS_BONDED 0 SITEPROP TIEOFF_X40Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y90 IS_PAD 0 SITEPROP TIEOFF_X40Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y90 IS_RESERVED 0 SITEPROP TIEOFF_X40Y90 IS_TEST 0 SITEPROP TIEOFF_X40Y90 IS_USED 0 SITEPROP TIEOFF_X40Y90 MANUAL_ROUTING SITEPROP TIEOFF_X40Y90 NAME TIEOFF_X40Y90 SITEPROP TIEOFF_X40Y90 NUM_ARCS 0 SITEPROP TIEOFF_X40Y90 NUM_BELS 2 SITEPROP TIEOFF_X40Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y90 NUM_PINS 2 SITEPROP TIEOFF_X40Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y90 PROHIBIT 0 SITEPROP TIEOFF_X40Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y90 RPM_X 156 SITEPROP TIEOFF_X40Y90 RPM_Y 180 SITEPROP TIEOFF_X40Y90 SITE_PIPS SITEPROP TIEOFF_X40Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y91 CLASS site SITEPROP TIEOFF_X40Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y91 IS_BONDED 0 SITEPROP TIEOFF_X40Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y91 IS_PAD 0 SITEPROP TIEOFF_X40Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y91 IS_RESERVED 0 SITEPROP TIEOFF_X40Y91 IS_TEST 0 SITEPROP TIEOFF_X40Y91 IS_USED 0 SITEPROP TIEOFF_X40Y91 MANUAL_ROUTING SITEPROP TIEOFF_X40Y91 NAME TIEOFF_X40Y91 SITEPROP TIEOFF_X40Y91 NUM_ARCS 0 SITEPROP TIEOFF_X40Y91 NUM_BELS 2 SITEPROP TIEOFF_X40Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y91 NUM_PINS 2 SITEPROP TIEOFF_X40Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y91 PROHIBIT 0 SITEPROP TIEOFF_X40Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y91 RPM_X 156 SITEPROP TIEOFF_X40Y91 RPM_Y 182 SITEPROP TIEOFF_X40Y91 SITE_PIPS SITEPROP TIEOFF_X40Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y92 CLASS site SITEPROP TIEOFF_X40Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y92 IS_BONDED 0 SITEPROP TIEOFF_X40Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y92 IS_PAD 0 SITEPROP TIEOFF_X40Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y92 IS_RESERVED 0 SITEPROP TIEOFF_X40Y92 IS_TEST 0 SITEPROP TIEOFF_X40Y92 IS_USED 0 SITEPROP TIEOFF_X40Y92 MANUAL_ROUTING SITEPROP TIEOFF_X40Y92 NAME TIEOFF_X40Y92 SITEPROP TIEOFF_X40Y92 NUM_ARCS 0 SITEPROP TIEOFF_X40Y92 NUM_BELS 2 SITEPROP TIEOFF_X40Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y92 NUM_PINS 2 SITEPROP TIEOFF_X40Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y92 PROHIBIT 0 SITEPROP TIEOFF_X40Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y92 RPM_X 156 SITEPROP TIEOFF_X40Y92 RPM_Y 184 SITEPROP TIEOFF_X40Y92 SITE_PIPS SITEPROP TIEOFF_X40Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y93 CLASS site SITEPROP TIEOFF_X40Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y93 IS_BONDED 0 SITEPROP TIEOFF_X40Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y93 IS_PAD 0 SITEPROP TIEOFF_X40Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y93 IS_RESERVED 0 SITEPROP TIEOFF_X40Y93 IS_TEST 0 SITEPROP TIEOFF_X40Y93 IS_USED 0 SITEPROP TIEOFF_X40Y93 MANUAL_ROUTING SITEPROP TIEOFF_X40Y93 NAME TIEOFF_X40Y93 SITEPROP TIEOFF_X40Y93 NUM_ARCS 0 SITEPROP TIEOFF_X40Y93 NUM_BELS 2 SITEPROP TIEOFF_X40Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y93 NUM_PINS 2 SITEPROP TIEOFF_X40Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y93 PROHIBIT 0 SITEPROP TIEOFF_X40Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y93 RPM_X 156 SITEPROP TIEOFF_X40Y93 RPM_Y 186 SITEPROP TIEOFF_X40Y93 SITE_PIPS SITEPROP TIEOFF_X40Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y94 CLASS site SITEPROP TIEOFF_X40Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y94 IS_BONDED 0 SITEPROP TIEOFF_X40Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y94 IS_PAD 0 SITEPROP TIEOFF_X40Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y94 IS_RESERVED 0 SITEPROP TIEOFF_X40Y94 IS_TEST 0 SITEPROP TIEOFF_X40Y94 IS_USED 0 SITEPROP TIEOFF_X40Y94 MANUAL_ROUTING SITEPROP TIEOFF_X40Y94 NAME TIEOFF_X40Y94 SITEPROP TIEOFF_X40Y94 NUM_ARCS 0 SITEPROP TIEOFF_X40Y94 NUM_BELS 2 SITEPROP TIEOFF_X40Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y94 NUM_PINS 2 SITEPROP TIEOFF_X40Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y94 PROHIBIT 0 SITEPROP TIEOFF_X40Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y94 RPM_X 156 SITEPROP TIEOFF_X40Y94 RPM_Y 188 SITEPROP TIEOFF_X40Y94 SITE_PIPS SITEPROP TIEOFF_X40Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y95 CLASS site SITEPROP TIEOFF_X40Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y95 IS_BONDED 0 SITEPROP TIEOFF_X40Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y95 IS_PAD 0 SITEPROP TIEOFF_X40Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y95 IS_RESERVED 0 SITEPROP TIEOFF_X40Y95 IS_TEST 0 SITEPROP TIEOFF_X40Y95 IS_USED 0 SITEPROP TIEOFF_X40Y95 MANUAL_ROUTING SITEPROP TIEOFF_X40Y95 NAME TIEOFF_X40Y95 SITEPROP TIEOFF_X40Y95 NUM_ARCS 0 SITEPROP TIEOFF_X40Y95 NUM_BELS 2 SITEPROP TIEOFF_X40Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y95 NUM_PINS 2 SITEPROP TIEOFF_X40Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y95 PROHIBIT 0 SITEPROP TIEOFF_X40Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y95 RPM_X 156 SITEPROP TIEOFF_X40Y95 RPM_Y 190 SITEPROP TIEOFF_X40Y95 SITE_PIPS SITEPROP TIEOFF_X40Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y96 CLASS site SITEPROP TIEOFF_X40Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y96 IS_BONDED 0 SITEPROP TIEOFF_X40Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y96 IS_PAD 0 SITEPROP TIEOFF_X40Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y96 IS_RESERVED 0 SITEPROP TIEOFF_X40Y96 IS_TEST 0 SITEPROP TIEOFF_X40Y96 IS_USED 0 SITEPROP TIEOFF_X40Y96 MANUAL_ROUTING SITEPROP TIEOFF_X40Y96 NAME TIEOFF_X40Y96 SITEPROP TIEOFF_X40Y96 NUM_ARCS 0 SITEPROP TIEOFF_X40Y96 NUM_BELS 2 SITEPROP TIEOFF_X40Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y96 NUM_PINS 2 SITEPROP TIEOFF_X40Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y96 PROHIBIT 0 SITEPROP TIEOFF_X40Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y96 RPM_X 156 SITEPROP TIEOFF_X40Y96 RPM_Y 192 SITEPROP TIEOFF_X40Y96 SITE_PIPS SITEPROP TIEOFF_X40Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y97 CLASS site SITEPROP TIEOFF_X40Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y97 IS_BONDED 0 SITEPROP TIEOFF_X40Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y97 IS_PAD 0 SITEPROP TIEOFF_X40Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y97 IS_RESERVED 0 SITEPROP TIEOFF_X40Y97 IS_TEST 0 SITEPROP TIEOFF_X40Y97 IS_USED 0 SITEPROP TIEOFF_X40Y97 MANUAL_ROUTING SITEPROP TIEOFF_X40Y97 NAME TIEOFF_X40Y97 SITEPROP TIEOFF_X40Y97 NUM_ARCS 0 SITEPROP TIEOFF_X40Y97 NUM_BELS 2 SITEPROP TIEOFF_X40Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y97 NUM_PINS 2 SITEPROP TIEOFF_X40Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y97 PROHIBIT 0 SITEPROP TIEOFF_X40Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y97 RPM_X 156 SITEPROP TIEOFF_X40Y97 RPM_Y 194 SITEPROP TIEOFF_X40Y97 SITE_PIPS SITEPROP TIEOFF_X40Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y98 CLASS site SITEPROP TIEOFF_X40Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y98 IS_BONDED 0 SITEPROP TIEOFF_X40Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y98 IS_PAD 0 SITEPROP TIEOFF_X40Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y98 IS_RESERVED 0 SITEPROP TIEOFF_X40Y98 IS_TEST 0 SITEPROP TIEOFF_X40Y98 IS_USED 0 SITEPROP TIEOFF_X40Y98 MANUAL_ROUTING SITEPROP TIEOFF_X40Y98 NAME TIEOFF_X40Y98 SITEPROP TIEOFF_X40Y98 NUM_ARCS 0 SITEPROP TIEOFF_X40Y98 NUM_BELS 2 SITEPROP TIEOFF_X40Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y98 NUM_PINS 2 SITEPROP TIEOFF_X40Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y98 PROHIBIT 0 SITEPROP TIEOFF_X40Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y98 RPM_X 156 SITEPROP TIEOFF_X40Y98 RPM_Y 196 SITEPROP TIEOFF_X40Y98 SITE_PIPS SITEPROP TIEOFF_X40Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X40Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X40Y99 CLASS site SITEPROP TIEOFF_X40Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X40Y99 IS_BONDED 0 SITEPROP TIEOFF_X40Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y99 IS_PAD 0 SITEPROP TIEOFF_X40Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X40Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X40Y99 IS_RESERVED 0 SITEPROP TIEOFF_X40Y99 IS_TEST 0 SITEPROP TIEOFF_X40Y99 IS_USED 0 SITEPROP TIEOFF_X40Y99 MANUAL_ROUTING SITEPROP TIEOFF_X40Y99 NAME TIEOFF_X40Y99 SITEPROP TIEOFF_X40Y99 NUM_ARCS 0 SITEPROP TIEOFF_X40Y99 NUM_BELS 2 SITEPROP TIEOFF_X40Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X40Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X40Y99 NUM_PINS 2 SITEPROP TIEOFF_X40Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X40Y99 PROHIBIT 0 SITEPROP TIEOFF_X40Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X40Y99 RPM_X 156 SITEPROP TIEOFF_X40Y99 RPM_Y 198 SITEPROP TIEOFF_X40Y99 SITE_PIPS SITEPROP TIEOFF_X40Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y0 CLASS site SITEPROP TIEOFF_X41Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y0 IS_BONDED 0 SITEPROP TIEOFF_X41Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y0 IS_PAD 0 SITEPROP TIEOFF_X41Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y0 IS_RESERVED 0 SITEPROP TIEOFF_X41Y0 IS_TEST 0 SITEPROP TIEOFF_X41Y0 IS_USED 0 SITEPROP TIEOFF_X41Y0 MANUAL_ROUTING SITEPROP TIEOFF_X41Y0 NAME TIEOFF_X41Y0 SITEPROP TIEOFF_X41Y0 NUM_ARCS 0 SITEPROP TIEOFF_X41Y0 NUM_BELS 2 SITEPROP TIEOFF_X41Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y0 NUM_PINS 2 SITEPROP TIEOFF_X41Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y0 PROHIBIT 0 SITEPROP TIEOFF_X41Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y0 RPM_X 160 SITEPROP TIEOFF_X41Y0 RPM_Y 0 SITEPROP TIEOFF_X41Y0 SITE_PIPS SITEPROP TIEOFF_X41Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y1 CLASS site SITEPROP TIEOFF_X41Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y1 IS_BONDED 0 SITEPROP TIEOFF_X41Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y1 IS_PAD 0 SITEPROP TIEOFF_X41Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y1 IS_RESERVED 0 SITEPROP TIEOFF_X41Y1 IS_TEST 0 SITEPROP TIEOFF_X41Y1 IS_USED 0 SITEPROP TIEOFF_X41Y1 MANUAL_ROUTING SITEPROP TIEOFF_X41Y1 NAME TIEOFF_X41Y1 SITEPROP TIEOFF_X41Y1 NUM_ARCS 0 SITEPROP TIEOFF_X41Y1 NUM_BELS 2 SITEPROP TIEOFF_X41Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y1 NUM_PINS 2 SITEPROP TIEOFF_X41Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y1 PROHIBIT 0 SITEPROP TIEOFF_X41Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y1 RPM_X 160 SITEPROP TIEOFF_X41Y1 RPM_Y 2 SITEPROP TIEOFF_X41Y1 SITE_PIPS SITEPROP TIEOFF_X41Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y2 CLASS site SITEPROP TIEOFF_X41Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y2 IS_BONDED 0 SITEPROP TIEOFF_X41Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y2 IS_PAD 0 SITEPROP TIEOFF_X41Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y2 IS_RESERVED 0 SITEPROP TIEOFF_X41Y2 IS_TEST 0 SITEPROP TIEOFF_X41Y2 IS_USED 0 SITEPROP TIEOFF_X41Y2 MANUAL_ROUTING SITEPROP TIEOFF_X41Y2 NAME TIEOFF_X41Y2 SITEPROP TIEOFF_X41Y2 NUM_ARCS 0 SITEPROP TIEOFF_X41Y2 NUM_BELS 2 SITEPROP TIEOFF_X41Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y2 NUM_PINS 2 SITEPROP TIEOFF_X41Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y2 PROHIBIT 0 SITEPROP TIEOFF_X41Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y2 RPM_X 160 SITEPROP TIEOFF_X41Y2 RPM_Y 4 SITEPROP TIEOFF_X41Y2 SITE_PIPS SITEPROP TIEOFF_X41Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y3 CLASS site SITEPROP TIEOFF_X41Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y3 IS_BONDED 0 SITEPROP TIEOFF_X41Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y3 IS_PAD 0 SITEPROP TIEOFF_X41Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y3 IS_RESERVED 0 SITEPROP TIEOFF_X41Y3 IS_TEST 0 SITEPROP TIEOFF_X41Y3 IS_USED 0 SITEPROP TIEOFF_X41Y3 MANUAL_ROUTING SITEPROP TIEOFF_X41Y3 NAME TIEOFF_X41Y3 SITEPROP TIEOFF_X41Y3 NUM_ARCS 0 SITEPROP TIEOFF_X41Y3 NUM_BELS 2 SITEPROP TIEOFF_X41Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y3 NUM_PINS 2 SITEPROP TIEOFF_X41Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y3 PROHIBIT 0 SITEPROP TIEOFF_X41Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y3 RPM_X 160 SITEPROP TIEOFF_X41Y3 RPM_Y 6 SITEPROP TIEOFF_X41Y3 SITE_PIPS SITEPROP TIEOFF_X41Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y4 CLASS site SITEPROP TIEOFF_X41Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y4 IS_BONDED 0 SITEPROP TIEOFF_X41Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y4 IS_PAD 0 SITEPROP TIEOFF_X41Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y4 IS_RESERVED 0 SITEPROP TIEOFF_X41Y4 IS_TEST 0 SITEPROP TIEOFF_X41Y4 IS_USED 0 SITEPROP TIEOFF_X41Y4 MANUAL_ROUTING SITEPROP TIEOFF_X41Y4 NAME TIEOFF_X41Y4 SITEPROP TIEOFF_X41Y4 NUM_ARCS 0 SITEPROP TIEOFF_X41Y4 NUM_BELS 2 SITEPROP TIEOFF_X41Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y4 NUM_PINS 2 SITEPROP TIEOFF_X41Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y4 PROHIBIT 0 SITEPROP TIEOFF_X41Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y4 RPM_X 160 SITEPROP TIEOFF_X41Y4 RPM_Y 8 SITEPROP TIEOFF_X41Y4 SITE_PIPS SITEPROP TIEOFF_X41Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y5 CLASS site SITEPROP TIEOFF_X41Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y5 IS_BONDED 0 SITEPROP TIEOFF_X41Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y5 IS_PAD 0 SITEPROP TIEOFF_X41Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y5 IS_RESERVED 0 SITEPROP TIEOFF_X41Y5 IS_TEST 0 SITEPROP TIEOFF_X41Y5 IS_USED 0 SITEPROP TIEOFF_X41Y5 MANUAL_ROUTING SITEPROP TIEOFF_X41Y5 NAME TIEOFF_X41Y5 SITEPROP TIEOFF_X41Y5 NUM_ARCS 0 SITEPROP TIEOFF_X41Y5 NUM_BELS 2 SITEPROP TIEOFF_X41Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y5 NUM_PINS 2 SITEPROP TIEOFF_X41Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y5 PROHIBIT 0 SITEPROP TIEOFF_X41Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y5 RPM_X 160 SITEPROP TIEOFF_X41Y5 RPM_Y 10 SITEPROP TIEOFF_X41Y5 SITE_PIPS SITEPROP TIEOFF_X41Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y6 CLASS site SITEPROP TIEOFF_X41Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y6 IS_BONDED 0 SITEPROP TIEOFF_X41Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y6 IS_PAD 0 SITEPROP TIEOFF_X41Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y6 IS_RESERVED 0 SITEPROP TIEOFF_X41Y6 IS_TEST 0 SITEPROP TIEOFF_X41Y6 IS_USED 0 SITEPROP TIEOFF_X41Y6 MANUAL_ROUTING SITEPROP TIEOFF_X41Y6 NAME TIEOFF_X41Y6 SITEPROP TIEOFF_X41Y6 NUM_ARCS 0 SITEPROP TIEOFF_X41Y6 NUM_BELS 2 SITEPROP TIEOFF_X41Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y6 NUM_PINS 2 SITEPROP TIEOFF_X41Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y6 PROHIBIT 0 SITEPROP TIEOFF_X41Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y6 RPM_X 160 SITEPROP TIEOFF_X41Y6 RPM_Y 12 SITEPROP TIEOFF_X41Y6 SITE_PIPS SITEPROP TIEOFF_X41Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y7 CLASS site SITEPROP TIEOFF_X41Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y7 IS_BONDED 0 SITEPROP TIEOFF_X41Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y7 IS_PAD 0 SITEPROP TIEOFF_X41Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y7 IS_RESERVED 0 SITEPROP TIEOFF_X41Y7 IS_TEST 0 SITEPROP TIEOFF_X41Y7 IS_USED 0 SITEPROP TIEOFF_X41Y7 MANUAL_ROUTING SITEPROP TIEOFF_X41Y7 NAME TIEOFF_X41Y7 SITEPROP TIEOFF_X41Y7 NUM_ARCS 0 SITEPROP TIEOFF_X41Y7 NUM_BELS 2 SITEPROP TIEOFF_X41Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y7 NUM_PINS 2 SITEPROP TIEOFF_X41Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y7 PROHIBIT 0 SITEPROP TIEOFF_X41Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y7 RPM_X 160 SITEPROP TIEOFF_X41Y7 RPM_Y 14 SITEPROP TIEOFF_X41Y7 SITE_PIPS SITEPROP TIEOFF_X41Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y8 CLASS site SITEPROP TIEOFF_X41Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y8 IS_BONDED 0 SITEPROP TIEOFF_X41Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y8 IS_PAD 0 SITEPROP TIEOFF_X41Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y8 IS_RESERVED 0 SITEPROP TIEOFF_X41Y8 IS_TEST 0 SITEPROP TIEOFF_X41Y8 IS_USED 0 SITEPROP TIEOFF_X41Y8 MANUAL_ROUTING SITEPROP TIEOFF_X41Y8 NAME TIEOFF_X41Y8 SITEPROP TIEOFF_X41Y8 NUM_ARCS 0 SITEPROP TIEOFF_X41Y8 NUM_BELS 2 SITEPROP TIEOFF_X41Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y8 NUM_PINS 2 SITEPROP TIEOFF_X41Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y8 PROHIBIT 0 SITEPROP TIEOFF_X41Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y8 RPM_X 160 SITEPROP TIEOFF_X41Y8 RPM_Y 16 SITEPROP TIEOFF_X41Y8 SITE_PIPS SITEPROP TIEOFF_X41Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y9 CLASS site SITEPROP TIEOFF_X41Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y9 IS_BONDED 0 SITEPROP TIEOFF_X41Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y9 IS_PAD 0 SITEPROP TIEOFF_X41Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y9 IS_RESERVED 0 SITEPROP TIEOFF_X41Y9 IS_TEST 0 SITEPROP TIEOFF_X41Y9 IS_USED 0 SITEPROP TIEOFF_X41Y9 MANUAL_ROUTING SITEPROP TIEOFF_X41Y9 NAME TIEOFF_X41Y9 SITEPROP TIEOFF_X41Y9 NUM_ARCS 0 SITEPROP TIEOFF_X41Y9 NUM_BELS 2 SITEPROP TIEOFF_X41Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y9 NUM_PINS 2 SITEPROP TIEOFF_X41Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y9 PROHIBIT 0 SITEPROP TIEOFF_X41Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y9 RPM_X 160 SITEPROP TIEOFF_X41Y9 RPM_Y 18 SITEPROP TIEOFF_X41Y9 SITE_PIPS SITEPROP TIEOFF_X41Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y10 CLASS site SITEPROP TIEOFF_X41Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y10 IS_BONDED 0 SITEPROP TIEOFF_X41Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y10 IS_PAD 0 SITEPROP TIEOFF_X41Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y10 IS_RESERVED 0 SITEPROP TIEOFF_X41Y10 IS_TEST 0 SITEPROP TIEOFF_X41Y10 IS_USED 0 SITEPROP TIEOFF_X41Y10 MANUAL_ROUTING SITEPROP TIEOFF_X41Y10 NAME TIEOFF_X41Y10 SITEPROP TIEOFF_X41Y10 NUM_ARCS 0 SITEPROP TIEOFF_X41Y10 NUM_BELS 2 SITEPROP TIEOFF_X41Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y10 NUM_PINS 2 SITEPROP TIEOFF_X41Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y10 PROHIBIT 0 SITEPROP TIEOFF_X41Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y10 RPM_X 160 SITEPROP TIEOFF_X41Y10 RPM_Y 20 SITEPROP TIEOFF_X41Y10 SITE_PIPS SITEPROP TIEOFF_X41Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y11 CLASS site SITEPROP TIEOFF_X41Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y11 IS_BONDED 0 SITEPROP TIEOFF_X41Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y11 IS_PAD 0 SITEPROP TIEOFF_X41Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y11 IS_RESERVED 0 SITEPROP TIEOFF_X41Y11 IS_TEST 0 SITEPROP TIEOFF_X41Y11 IS_USED 0 SITEPROP TIEOFF_X41Y11 MANUAL_ROUTING SITEPROP TIEOFF_X41Y11 NAME TIEOFF_X41Y11 SITEPROP TIEOFF_X41Y11 NUM_ARCS 0 SITEPROP TIEOFF_X41Y11 NUM_BELS 2 SITEPROP TIEOFF_X41Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y11 NUM_PINS 2 SITEPROP TIEOFF_X41Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y11 PROHIBIT 0 SITEPROP TIEOFF_X41Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y11 RPM_X 160 SITEPROP TIEOFF_X41Y11 RPM_Y 22 SITEPROP TIEOFF_X41Y11 SITE_PIPS SITEPROP TIEOFF_X41Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y12 CLASS site SITEPROP TIEOFF_X41Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y12 IS_BONDED 0 SITEPROP TIEOFF_X41Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y12 IS_PAD 0 SITEPROP TIEOFF_X41Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y12 IS_RESERVED 0 SITEPROP TIEOFF_X41Y12 IS_TEST 0 SITEPROP TIEOFF_X41Y12 IS_USED 0 SITEPROP TIEOFF_X41Y12 MANUAL_ROUTING SITEPROP TIEOFF_X41Y12 NAME TIEOFF_X41Y12 SITEPROP TIEOFF_X41Y12 NUM_ARCS 0 SITEPROP TIEOFF_X41Y12 NUM_BELS 2 SITEPROP TIEOFF_X41Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y12 NUM_PINS 2 SITEPROP TIEOFF_X41Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y12 PROHIBIT 0 SITEPROP TIEOFF_X41Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y12 RPM_X 160 SITEPROP TIEOFF_X41Y12 RPM_Y 24 SITEPROP TIEOFF_X41Y12 SITE_PIPS SITEPROP TIEOFF_X41Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y13 CLASS site SITEPROP TIEOFF_X41Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y13 IS_BONDED 0 SITEPROP TIEOFF_X41Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y13 IS_PAD 0 SITEPROP TIEOFF_X41Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y13 IS_RESERVED 0 SITEPROP TIEOFF_X41Y13 IS_TEST 0 SITEPROP TIEOFF_X41Y13 IS_USED 0 SITEPROP TIEOFF_X41Y13 MANUAL_ROUTING SITEPROP TIEOFF_X41Y13 NAME TIEOFF_X41Y13 SITEPROP TIEOFF_X41Y13 NUM_ARCS 0 SITEPROP TIEOFF_X41Y13 NUM_BELS 2 SITEPROP TIEOFF_X41Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y13 NUM_PINS 2 SITEPROP TIEOFF_X41Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y13 PROHIBIT 0 SITEPROP TIEOFF_X41Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y13 RPM_X 160 SITEPROP TIEOFF_X41Y13 RPM_Y 26 SITEPROP TIEOFF_X41Y13 SITE_PIPS SITEPROP TIEOFF_X41Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y14 CLASS site SITEPROP TIEOFF_X41Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y14 IS_BONDED 0 SITEPROP TIEOFF_X41Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y14 IS_PAD 0 SITEPROP TIEOFF_X41Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y14 IS_RESERVED 0 SITEPROP TIEOFF_X41Y14 IS_TEST 0 SITEPROP TIEOFF_X41Y14 IS_USED 0 SITEPROP TIEOFF_X41Y14 MANUAL_ROUTING SITEPROP TIEOFF_X41Y14 NAME TIEOFF_X41Y14 SITEPROP TIEOFF_X41Y14 NUM_ARCS 0 SITEPROP TIEOFF_X41Y14 NUM_BELS 2 SITEPROP TIEOFF_X41Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y14 NUM_PINS 2 SITEPROP TIEOFF_X41Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y14 PROHIBIT 0 SITEPROP TIEOFF_X41Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y14 RPM_X 160 SITEPROP TIEOFF_X41Y14 RPM_Y 28 SITEPROP TIEOFF_X41Y14 SITE_PIPS SITEPROP TIEOFF_X41Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y15 CLASS site SITEPROP TIEOFF_X41Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y15 IS_BONDED 0 SITEPROP TIEOFF_X41Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y15 IS_PAD 0 SITEPROP TIEOFF_X41Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y15 IS_RESERVED 0 SITEPROP TIEOFF_X41Y15 IS_TEST 0 SITEPROP TIEOFF_X41Y15 IS_USED 0 SITEPROP TIEOFF_X41Y15 MANUAL_ROUTING SITEPROP TIEOFF_X41Y15 NAME TIEOFF_X41Y15 SITEPROP TIEOFF_X41Y15 NUM_ARCS 0 SITEPROP TIEOFF_X41Y15 NUM_BELS 2 SITEPROP TIEOFF_X41Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y15 NUM_PINS 2 SITEPROP TIEOFF_X41Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y15 PROHIBIT 0 SITEPROP TIEOFF_X41Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y15 RPM_X 160 SITEPROP TIEOFF_X41Y15 RPM_Y 30 SITEPROP TIEOFF_X41Y15 SITE_PIPS SITEPROP TIEOFF_X41Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y16 CLASS site SITEPROP TIEOFF_X41Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y16 IS_BONDED 0 SITEPROP TIEOFF_X41Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y16 IS_PAD 0 SITEPROP TIEOFF_X41Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y16 IS_RESERVED 0 SITEPROP TIEOFF_X41Y16 IS_TEST 0 SITEPROP TIEOFF_X41Y16 IS_USED 0 SITEPROP TIEOFF_X41Y16 MANUAL_ROUTING SITEPROP TIEOFF_X41Y16 NAME TIEOFF_X41Y16 SITEPROP TIEOFF_X41Y16 NUM_ARCS 0 SITEPROP TIEOFF_X41Y16 NUM_BELS 2 SITEPROP TIEOFF_X41Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y16 NUM_PINS 2 SITEPROP TIEOFF_X41Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y16 PROHIBIT 0 SITEPROP TIEOFF_X41Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y16 RPM_X 160 SITEPROP TIEOFF_X41Y16 RPM_Y 32 SITEPROP TIEOFF_X41Y16 SITE_PIPS SITEPROP TIEOFF_X41Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y17 CLASS site SITEPROP TIEOFF_X41Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y17 IS_BONDED 0 SITEPROP TIEOFF_X41Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y17 IS_PAD 0 SITEPROP TIEOFF_X41Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y17 IS_RESERVED 0 SITEPROP TIEOFF_X41Y17 IS_TEST 0 SITEPROP TIEOFF_X41Y17 IS_USED 0 SITEPROP TIEOFF_X41Y17 MANUAL_ROUTING SITEPROP TIEOFF_X41Y17 NAME TIEOFF_X41Y17 SITEPROP TIEOFF_X41Y17 NUM_ARCS 0 SITEPROP TIEOFF_X41Y17 NUM_BELS 2 SITEPROP TIEOFF_X41Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y17 NUM_PINS 2 SITEPROP TIEOFF_X41Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y17 PROHIBIT 0 SITEPROP TIEOFF_X41Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y17 RPM_X 160 SITEPROP TIEOFF_X41Y17 RPM_Y 34 SITEPROP TIEOFF_X41Y17 SITE_PIPS SITEPROP TIEOFF_X41Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y18 CLASS site SITEPROP TIEOFF_X41Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y18 IS_BONDED 0 SITEPROP TIEOFF_X41Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y18 IS_PAD 0 SITEPROP TIEOFF_X41Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y18 IS_RESERVED 0 SITEPROP TIEOFF_X41Y18 IS_TEST 0 SITEPROP TIEOFF_X41Y18 IS_USED 0 SITEPROP TIEOFF_X41Y18 MANUAL_ROUTING SITEPROP TIEOFF_X41Y18 NAME TIEOFF_X41Y18 SITEPROP TIEOFF_X41Y18 NUM_ARCS 0 SITEPROP TIEOFF_X41Y18 NUM_BELS 2 SITEPROP TIEOFF_X41Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y18 NUM_PINS 2 SITEPROP TIEOFF_X41Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y18 PROHIBIT 0 SITEPROP TIEOFF_X41Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y18 RPM_X 160 SITEPROP TIEOFF_X41Y18 RPM_Y 36 SITEPROP TIEOFF_X41Y18 SITE_PIPS SITEPROP TIEOFF_X41Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y19 CLASS site SITEPROP TIEOFF_X41Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y19 IS_BONDED 0 SITEPROP TIEOFF_X41Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y19 IS_PAD 0 SITEPROP TIEOFF_X41Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y19 IS_RESERVED 0 SITEPROP TIEOFF_X41Y19 IS_TEST 0 SITEPROP TIEOFF_X41Y19 IS_USED 0 SITEPROP TIEOFF_X41Y19 MANUAL_ROUTING SITEPROP TIEOFF_X41Y19 NAME TIEOFF_X41Y19 SITEPROP TIEOFF_X41Y19 NUM_ARCS 0 SITEPROP TIEOFF_X41Y19 NUM_BELS 2 SITEPROP TIEOFF_X41Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y19 NUM_PINS 2 SITEPROP TIEOFF_X41Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y19 PROHIBIT 0 SITEPROP TIEOFF_X41Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y19 RPM_X 160 SITEPROP TIEOFF_X41Y19 RPM_Y 38 SITEPROP TIEOFF_X41Y19 SITE_PIPS SITEPROP TIEOFF_X41Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y20 CLASS site SITEPROP TIEOFF_X41Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y20 IS_BONDED 0 SITEPROP TIEOFF_X41Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y20 IS_PAD 0 SITEPROP TIEOFF_X41Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y20 IS_RESERVED 0 SITEPROP TIEOFF_X41Y20 IS_TEST 0 SITEPROP TIEOFF_X41Y20 IS_USED 0 SITEPROP TIEOFF_X41Y20 MANUAL_ROUTING SITEPROP TIEOFF_X41Y20 NAME TIEOFF_X41Y20 SITEPROP TIEOFF_X41Y20 NUM_ARCS 0 SITEPROP TIEOFF_X41Y20 NUM_BELS 2 SITEPROP TIEOFF_X41Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y20 NUM_PINS 2 SITEPROP TIEOFF_X41Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y20 PROHIBIT 0 SITEPROP TIEOFF_X41Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y20 RPM_X 160 SITEPROP TIEOFF_X41Y20 RPM_Y 40 SITEPROP TIEOFF_X41Y20 SITE_PIPS SITEPROP TIEOFF_X41Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y21 CLASS site SITEPROP TIEOFF_X41Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y21 IS_BONDED 0 SITEPROP TIEOFF_X41Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y21 IS_PAD 0 SITEPROP TIEOFF_X41Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y21 IS_RESERVED 0 SITEPROP TIEOFF_X41Y21 IS_TEST 0 SITEPROP TIEOFF_X41Y21 IS_USED 0 SITEPROP TIEOFF_X41Y21 MANUAL_ROUTING SITEPROP TIEOFF_X41Y21 NAME TIEOFF_X41Y21 SITEPROP TIEOFF_X41Y21 NUM_ARCS 0 SITEPROP TIEOFF_X41Y21 NUM_BELS 2 SITEPROP TIEOFF_X41Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y21 NUM_PINS 2 SITEPROP TIEOFF_X41Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y21 PROHIBIT 0 SITEPROP TIEOFF_X41Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y21 RPM_X 160 SITEPROP TIEOFF_X41Y21 RPM_Y 42 SITEPROP TIEOFF_X41Y21 SITE_PIPS SITEPROP TIEOFF_X41Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y22 CLASS site SITEPROP TIEOFF_X41Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y22 IS_BONDED 0 SITEPROP TIEOFF_X41Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y22 IS_PAD 0 SITEPROP TIEOFF_X41Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y22 IS_RESERVED 0 SITEPROP TIEOFF_X41Y22 IS_TEST 0 SITEPROP TIEOFF_X41Y22 IS_USED 0 SITEPROP TIEOFF_X41Y22 MANUAL_ROUTING SITEPROP TIEOFF_X41Y22 NAME TIEOFF_X41Y22 SITEPROP TIEOFF_X41Y22 NUM_ARCS 0 SITEPROP TIEOFF_X41Y22 NUM_BELS 2 SITEPROP TIEOFF_X41Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y22 NUM_PINS 2 SITEPROP TIEOFF_X41Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y22 PROHIBIT 0 SITEPROP TIEOFF_X41Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y22 RPM_X 160 SITEPROP TIEOFF_X41Y22 RPM_Y 44 SITEPROP TIEOFF_X41Y22 SITE_PIPS SITEPROP TIEOFF_X41Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y23 CLASS site SITEPROP TIEOFF_X41Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y23 IS_BONDED 0 SITEPROP TIEOFF_X41Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y23 IS_PAD 0 SITEPROP TIEOFF_X41Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y23 IS_RESERVED 0 SITEPROP TIEOFF_X41Y23 IS_TEST 0 SITEPROP TIEOFF_X41Y23 IS_USED 0 SITEPROP TIEOFF_X41Y23 MANUAL_ROUTING SITEPROP TIEOFF_X41Y23 NAME TIEOFF_X41Y23 SITEPROP TIEOFF_X41Y23 NUM_ARCS 0 SITEPROP TIEOFF_X41Y23 NUM_BELS 2 SITEPROP TIEOFF_X41Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y23 NUM_PINS 2 SITEPROP TIEOFF_X41Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y23 PROHIBIT 0 SITEPROP TIEOFF_X41Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y23 RPM_X 160 SITEPROP TIEOFF_X41Y23 RPM_Y 46 SITEPROP TIEOFF_X41Y23 SITE_PIPS SITEPROP TIEOFF_X41Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y24 CLASS site SITEPROP TIEOFF_X41Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y24 IS_BONDED 0 SITEPROP TIEOFF_X41Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y24 IS_PAD 0 SITEPROP TIEOFF_X41Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y24 IS_RESERVED 0 SITEPROP TIEOFF_X41Y24 IS_TEST 0 SITEPROP TIEOFF_X41Y24 IS_USED 0 SITEPROP TIEOFF_X41Y24 MANUAL_ROUTING SITEPROP TIEOFF_X41Y24 NAME TIEOFF_X41Y24 SITEPROP TIEOFF_X41Y24 NUM_ARCS 0 SITEPROP TIEOFF_X41Y24 NUM_BELS 2 SITEPROP TIEOFF_X41Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y24 NUM_PINS 2 SITEPROP TIEOFF_X41Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y24 PROHIBIT 0 SITEPROP TIEOFF_X41Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y24 RPM_X 160 SITEPROP TIEOFF_X41Y24 RPM_Y 48 SITEPROP TIEOFF_X41Y24 SITE_PIPS SITEPROP TIEOFF_X41Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y25 CLASS site SITEPROP TIEOFF_X41Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y25 IS_BONDED 0 SITEPROP TIEOFF_X41Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y25 IS_PAD 0 SITEPROP TIEOFF_X41Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y25 IS_RESERVED 0 SITEPROP TIEOFF_X41Y25 IS_TEST 0 SITEPROP TIEOFF_X41Y25 IS_USED 0 SITEPROP TIEOFF_X41Y25 MANUAL_ROUTING SITEPROP TIEOFF_X41Y25 NAME TIEOFF_X41Y25 SITEPROP TIEOFF_X41Y25 NUM_ARCS 0 SITEPROP TIEOFF_X41Y25 NUM_BELS 2 SITEPROP TIEOFF_X41Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y25 NUM_PINS 2 SITEPROP TIEOFF_X41Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y25 PROHIBIT 0 SITEPROP TIEOFF_X41Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y25 RPM_X 160 SITEPROP TIEOFF_X41Y25 RPM_Y 50 SITEPROP TIEOFF_X41Y25 SITE_PIPS SITEPROP TIEOFF_X41Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y26 CLASS site SITEPROP TIEOFF_X41Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y26 IS_BONDED 0 SITEPROP TIEOFF_X41Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y26 IS_PAD 0 SITEPROP TIEOFF_X41Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y26 IS_RESERVED 0 SITEPROP TIEOFF_X41Y26 IS_TEST 0 SITEPROP TIEOFF_X41Y26 IS_USED 0 SITEPROP TIEOFF_X41Y26 MANUAL_ROUTING SITEPROP TIEOFF_X41Y26 NAME TIEOFF_X41Y26 SITEPROP TIEOFF_X41Y26 NUM_ARCS 0 SITEPROP TIEOFF_X41Y26 NUM_BELS 2 SITEPROP TIEOFF_X41Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y26 NUM_PINS 2 SITEPROP TIEOFF_X41Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y26 PROHIBIT 0 SITEPROP TIEOFF_X41Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y26 RPM_X 160 SITEPROP TIEOFF_X41Y26 RPM_Y 52 SITEPROP TIEOFF_X41Y26 SITE_PIPS SITEPROP TIEOFF_X41Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y27 CLASS site SITEPROP TIEOFF_X41Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y27 IS_BONDED 0 SITEPROP TIEOFF_X41Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y27 IS_PAD 0 SITEPROP TIEOFF_X41Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y27 IS_RESERVED 0 SITEPROP TIEOFF_X41Y27 IS_TEST 0 SITEPROP TIEOFF_X41Y27 IS_USED 0 SITEPROP TIEOFF_X41Y27 MANUAL_ROUTING SITEPROP TIEOFF_X41Y27 NAME TIEOFF_X41Y27 SITEPROP TIEOFF_X41Y27 NUM_ARCS 0 SITEPROP TIEOFF_X41Y27 NUM_BELS 2 SITEPROP TIEOFF_X41Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y27 NUM_PINS 2 SITEPROP TIEOFF_X41Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y27 PROHIBIT 0 SITEPROP TIEOFF_X41Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y27 RPM_X 160 SITEPROP TIEOFF_X41Y27 RPM_Y 54 SITEPROP TIEOFF_X41Y27 SITE_PIPS SITEPROP TIEOFF_X41Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y28 CLASS site SITEPROP TIEOFF_X41Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y28 IS_BONDED 0 SITEPROP TIEOFF_X41Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y28 IS_PAD 0 SITEPROP TIEOFF_X41Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y28 IS_RESERVED 0 SITEPROP TIEOFF_X41Y28 IS_TEST 0 SITEPROP TIEOFF_X41Y28 IS_USED 0 SITEPROP TIEOFF_X41Y28 MANUAL_ROUTING SITEPROP TIEOFF_X41Y28 NAME TIEOFF_X41Y28 SITEPROP TIEOFF_X41Y28 NUM_ARCS 0 SITEPROP TIEOFF_X41Y28 NUM_BELS 2 SITEPROP TIEOFF_X41Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y28 NUM_PINS 2 SITEPROP TIEOFF_X41Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y28 PROHIBIT 0 SITEPROP TIEOFF_X41Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y28 RPM_X 160 SITEPROP TIEOFF_X41Y28 RPM_Y 56 SITEPROP TIEOFF_X41Y28 SITE_PIPS SITEPROP TIEOFF_X41Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y29 CLASS site SITEPROP TIEOFF_X41Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y29 IS_BONDED 0 SITEPROP TIEOFF_X41Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y29 IS_PAD 0 SITEPROP TIEOFF_X41Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y29 IS_RESERVED 0 SITEPROP TIEOFF_X41Y29 IS_TEST 0 SITEPROP TIEOFF_X41Y29 IS_USED 0 SITEPROP TIEOFF_X41Y29 MANUAL_ROUTING SITEPROP TIEOFF_X41Y29 NAME TIEOFF_X41Y29 SITEPROP TIEOFF_X41Y29 NUM_ARCS 0 SITEPROP TIEOFF_X41Y29 NUM_BELS 2 SITEPROP TIEOFF_X41Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y29 NUM_PINS 2 SITEPROP TIEOFF_X41Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y29 PROHIBIT 0 SITEPROP TIEOFF_X41Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y29 RPM_X 160 SITEPROP TIEOFF_X41Y29 RPM_Y 58 SITEPROP TIEOFF_X41Y29 SITE_PIPS SITEPROP TIEOFF_X41Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y30 CLASS site SITEPROP TIEOFF_X41Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y30 IS_BONDED 0 SITEPROP TIEOFF_X41Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y30 IS_PAD 0 SITEPROP TIEOFF_X41Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y30 IS_RESERVED 0 SITEPROP TIEOFF_X41Y30 IS_TEST 0 SITEPROP TIEOFF_X41Y30 IS_USED 0 SITEPROP TIEOFF_X41Y30 MANUAL_ROUTING SITEPROP TIEOFF_X41Y30 NAME TIEOFF_X41Y30 SITEPROP TIEOFF_X41Y30 NUM_ARCS 0 SITEPROP TIEOFF_X41Y30 NUM_BELS 2 SITEPROP TIEOFF_X41Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y30 NUM_PINS 2 SITEPROP TIEOFF_X41Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y30 PROHIBIT 0 SITEPROP TIEOFF_X41Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y30 RPM_X 160 SITEPROP TIEOFF_X41Y30 RPM_Y 60 SITEPROP TIEOFF_X41Y30 SITE_PIPS SITEPROP TIEOFF_X41Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y31 CLASS site SITEPROP TIEOFF_X41Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y31 IS_BONDED 0 SITEPROP TIEOFF_X41Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y31 IS_PAD 0 SITEPROP TIEOFF_X41Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y31 IS_RESERVED 0 SITEPROP TIEOFF_X41Y31 IS_TEST 0 SITEPROP TIEOFF_X41Y31 IS_USED 0 SITEPROP TIEOFF_X41Y31 MANUAL_ROUTING SITEPROP TIEOFF_X41Y31 NAME TIEOFF_X41Y31 SITEPROP TIEOFF_X41Y31 NUM_ARCS 0 SITEPROP TIEOFF_X41Y31 NUM_BELS 2 SITEPROP TIEOFF_X41Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y31 NUM_PINS 2 SITEPROP TIEOFF_X41Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y31 PROHIBIT 0 SITEPROP TIEOFF_X41Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y31 RPM_X 160 SITEPROP TIEOFF_X41Y31 RPM_Y 62 SITEPROP TIEOFF_X41Y31 SITE_PIPS SITEPROP TIEOFF_X41Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y32 CLASS site SITEPROP TIEOFF_X41Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y32 IS_BONDED 0 SITEPROP TIEOFF_X41Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y32 IS_PAD 0 SITEPROP TIEOFF_X41Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y32 IS_RESERVED 0 SITEPROP TIEOFF_X41Y32 IS_TEST 0 SITEPROP TIEOFF_X41Y32 IS_USED 0 SITEPROP TIEOFF_X41Y32 MANUAL_ROUTING SITEPROP TIEOFF_X41Y32 NAME TIEOFF_X41Y32 SITEPROP TIEOFF_X41Y32 NUM_ARCS 0 SITEPROP TIEOFF_X41Y32 NUM_BELS 2 SITEPROP TIEOFF_X41Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y32 NUM_PINS 2 SITEPROP TIEOFF_X41Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y32 PROHIBIT 0 SITEPROP TIEOFF_X41Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y32 RPM_X 160 SITEPROP TIEOFF_X41Y32 RPM_Y 64 SITEPROP TIEOFF_X41Y32 SITE_PIPS SITEPROP TIEOFF_X41Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y33 CLASS site SITEPROP TIEOFF_X41Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y33 IS_BONDED 0 SITEPROP TIEOFF_X41Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y33 IS_PAD 0 SITEPROP TIEOFF_X41Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y33 IS_RESERVED 0 SITEPROP TIEOFF_X41Y33 IS_TEST 0 SITEPROP TIEOFF_X41Y33 IS_USED 0 SITEPROP TIEOFF_X41Y33 MANUAL_ROUTING SITEPROP TIEOFF_X41Y33 NAME TIEOFF_X41Y33 SITEPROP TIEOFF_X41Y33 NUM_ARCS 0 SITEPROP TIEOFF_X41Y33 NUM_BELS 2 SITEPROP TIEOFF_X41Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y33 NUM_PINS 2 SITEPROP TIEOFF_X41Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y33 PROHIBIT 0 SITEPROP TIEOFF_X41Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y33 RPM_X 160 SITEPROP TIEOFF_X41Y33 RPM_Y 66 SITEPROP TIEOFF_X41Y33 SITE_PIPS SITEPROP TIEOFF_X41Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y34 CLASS site SITEPROP TIEOFF_X41Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y34 IS_BONDED 0 SITEPROP TIEOFF_X41Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y34 IS_PAD 0 SITEPROP TIEOFF_X41Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y34 IS_RESERVED 0 SITEPROP TIEOFF_X41Y34 IS_TEST 0 SITEPROP TIEOFF_X41Y34 IS_USED 0 SITEPROP TIEOFF_X41Y34 MANUAL_ROUTING SITEPROP TIEOFF_X41Y34 NAME TIEOFF_X41Y34 SITEPROP TIEOFF_X41Y34 NUM_ARCS 0 SITEPROP TIEOFF_X41Y34 NUM_BELS 2 SITEPROP TIEOFF_X41Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y34 NUM_PINS 2 SITEPROP TIEOFF_X41Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y34 PROHIBIT 0 SITEPROP TIEOFF_X41Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y34 RPM_X 160 SITEPROP TIEOFF_X41Y34 RPM_Y 68 SITEPROP TIEOFF_X41Y34 SITE_PIPS SITEPROP TIEOFF_X41Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y35 CLASS site SITEPROP TIEOFF_X41Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y35 IS_BONDED 0 SITEPROP TIEOFF_X41Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y35 IS_PAD 0 SITEPROP TIEOFF_X41Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y35 IS_RESERVED 0 SITEPROP TIEOFF_X41Y35 IS_TEST 0 SITEPROP TIEOFF_X41Y35 IS_USED 0 SITEPROP TIEOFF_X41Y35 MANUAL_ROUTING SITEPROP TIEOFF_X41Y35 NAME TIEOFF_X41Y35 SITEPROP TIEOFF_X41Y35 NUM_ARCS 0 SITEPROP TIEOFF_X41Y35 NUM_BELS 2 SITEPROP TIEOFF_X41Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y35 NUM_PINS 2 SITEPROP TIEOFF_X41Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y35 PROHIBIT 0 SITEPROP TIEOFF_X41Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y35 RPM_X 160 SITEPROP TIEOFF_X41Y35 RPM_Y 70 SITEPROP TIEOFF_X41Y35 SITE_PIPS SITEPROP TIEOFF_X41Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y36 CLASS site SITEPROP TIEOFF_X41Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y36 IS_BONDED 0 SITEPROP TIEOFF_X41Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y36 IS_PAD 0 SITEPROP TIEOFF_X41Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y36 IS_RESERVED 0 SITEPROP TIEOFF_X41Y36 IS_TEST 0 SITEPROP TIEOFF_X41Y36 IS_USED 0 SITEPROP TIEOFF_X41Y36 MANUAL_ROUTING SITEPROP TIEOFF_X41Y36 NAME TIEOFF_X41Y36 SITEPROP TIEOFF_X41Y36 NUM_ARCS 0 SITEPROP TIEOFF_X41Y36 NUM_BELS 2 SITEPROP TIEOFF_X41Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y36 NUM_PINS 2 SITEPROP TIEOFF_X41Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y36 PROHIBIT 0 SITEPROP TIEOFF_X41Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y36 RPM_X 160 SITEPROP TIEOFF_X41Y36 RPM_Y 72 SITEPROP TIEOFF_X41Y36 SITE_PIPS SITEPROP TIEOFF_X41Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y37 CLASS site SITEPROP TIEOFF_X41Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y37 IS_BONDED 0 SITEPROP TIEOFF_X41Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y37 IS_PAD 0 SITEPROP TIEOFF_X41Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y37 IS_RESERVED 0 SITEPROP TIEOFF_X41Y37 IS_TEST 0 SITEPROP TIEOFF_X41Y37 IS_USED 0 SITEPROP TIEOFF_X41Y37 MANUAL_ROUTING SITEPROP TIEOFF_X41Y37 NAME TIEOFF_X41Y37 SITEPROP TIEOFF_X41Y37 NUM_ARCS 0 SITEPROP TIEOFF_X41Y37 NUM_BELS 2 SITEPROP TIEOFF_X41Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y37 NUM_PINS 2 SITEPROP TIEOFF_X41Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y37 PROHIBIT 0 SITEPROP TIEOFF_X41Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y37 RPM_X 160 SITEPROP TIEOFF_X41Y37 RPM_Y 74 SITEPROP TIEOFF_X41Y37 SITE_PIPS SITEPROP TIEOFF_X41Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y38 CLASS site SITEPROP TIEOFF_X41Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y38 IS_BONDED 0 SITEPROP TIEOFF_X41Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y38 IS_PAD 0 SITEPROP TIEOFF_X41Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y38 IS_RESERVED 0 SITEPROP TIEOFF_X41Y38 IS_TEST 0 SITEPROP TIEOFF_X41Y38 IS_USED 0 SITEPROP TIEOFF_X41Y38 MANUAL_ROUTING SITEPROP TIEOFF_X41Y38 NAME TIEOFF_X41Y38 SITEPROP TIEOFF_X41Y38 NUM_ARCS 0 SITEPROP TIEOFF_X41Y38 NUM_BELS 2 SITEPROP TIEOFF_X41Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y38 NUM_PINS 2 SITEPROP TIEOFF_X41Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y38 PROHIBIT 0 SITEPROP TIEOFF_X41Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y38 RPM_X 160 SITEPROP TIEOFF_X41Y38 RPM_Y 76 SITEPROP TIEOFF_X41Y38 SITE_PIPS SITEPROP TIEOFF_X41Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y39 CLASS site SITEPROP TIEOFF_X41Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y39 IS_BONDED 0 SITEPROP TIEOFF_X41Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y39 IS_PAD 0 SITEPROP TIEOFF_X41Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y39 IS_RESERVED 0 SITEPROP TIEOFF_X41Y39 IS_TEST 0 SITEPROP TIEOFF_X41Y39 IS_USED 0 SITEPROP TIEOFF_X41Y39 MANUAL_ROUTING SITEPROP TIEOFF_X41Y39 NAME TIEOFF_X41Y39 SITEPROP TIEOFF_X41Y39 NUM_ARCS 0 SITEPROP TIEOFF_X41Y39 NUM_BELS 2 SITEPROP TIEOFF_X41Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y39 NUM_PINS 2 SITEPROP TIEOFF_X41Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y39 PROHIBIT 0 SITEPROP TIEOFF_X41Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y39 RPM_X 160 SITEPROP TIEOFF_X41Y39 RPM_Y 78 SITEPROP TIEOFF_X41Y39 SITE_PIPS SITEPROP TIEOFF_X41Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y40 CLASS site SITEPROP TIEOFF_X41Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y40 IS_BONDED 0 SITEPROP TIEOFF_X41Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y40 IS_PAD 0 SITEPROP TIEOFF_X41Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y40 IS_RESERVED 0 SITEPROP TIEOFF_X41Y40 IS_TEST 0 SITEPROP TIEOFF_X41Y40 IS_USED 0 SITEPROP TIEOFF_X41Y40 MANUAL_ROUTING SITEPROP TIEOFF_X41Y40 NAME TIEOFF_X41Y40 SITEPROP TIEOFF_X41Y40 NUM_ARCS 0 SITEPROP TIEOFF_X41Y40 NUM_BELS 2 SITEPROP TIEOFF_X41Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y40 NUM_PINS 2 SITEPROP TIEOFF_X41Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y40 PROHIBIT 0 SITEPROP TIEOFF_X41Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y40 RPM_X 160 SITEPROP TIEOFF_X41Y40 RPM_Y 80 SITEPROP TIEOFF_X41Y40 SITE_PIPS SITEPROP TIEOFF_X41Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y41 CLASS site SITEPROP TIEOFF_X41Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y41 IS_BONDED 0 SITEPROP TIEOFF_X41Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y41 IS_PAD 0 SITEPROP TIEOFF_X41Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y41 IS_RESERVED 0 SITEPROP TIEOFF_X41Y41 IS_TEST 0 SITEPROP TIEOFF_X41Y41 IS_USED 0 SITEPROP TIEOFF_X41Y41 MANUAL_ROUTING SITEPROP TIEOFF_X41Y41 NAME TIEOFF_X41Y41 SITEPROP TIEOFF_X41Y41 NUM_ARCS 0 SITEPROP TIEOFF_X41Y41 NUM_BELS 2 SITEPROP TIEOFF_X41Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y41 NUM_PINS 2 SITEPROP TIEOFF_X41Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y41 PROHIBIT 0 SITEPROP TIEOFF_X41Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y41 RPM_X 160 SITEPROP TIEOFF_X41Y41 RPM_Y 82 SITEPROP TIEOFF_X41Y41 SITE_PIPS SITEPROP TIEOFF_X41Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y42 CLASS site SITEPROP TIEOFF_X41Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y42 IS_BONDED 0 SITEPROP TIEOFF_X41Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y42 IS_PAD 0 SITEPROP TIEOFF_X41Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y42 IS_RESERVED 0 SITEPROP TIEOFF_X41Y42 IS_TEST 0 SITEPROP TIEOFF_X41Y42 IS_USED 0 SITEPROP TIEOFF_X41Y42 MANUAL_ROUTING SITEPROP TIEOFF_X41Y42 NAME TIEOFF_X41Y42 SITEPROP TIEOFF_X41Y42 NUM_ARCS 0 SITEPROP TIEOFF_X41Y42 NUM_BELS 2 SITEPROP TIEOFF_X41Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y42 NUM_PINS 2 SITEPROP TIEOFF_X41Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y42 PROHIBIT 0 SITEPROP TIEOFF_X41Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y42 RPM_X 160 SITEPROP TIEOFF_X41Y42 RPM_Y 84 SITEPROP TIEOFF_X41Y42 SITE_PIPS SITEPROP TIEOFF_X41Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y43 CLASS site SITEPROP TIEOFF_X41Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y43 IS_BONDED 0 SITEPROP TIEOFF_X41Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y43 IS_PAD 0 SITEPROP TIEOFF_X41Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y43 IS_RESERVED 0 SITEPROP TIEOFF_X41Y43 IS_TEST 0 SITEPROP TIEOFF_X41Y43 IS_USED 0 SITEPROP TIEOFF_X41Y43 MANUAL_ROUTING SITEPROP TIEOFF_X41Y43 NAME TIEOFF_X41Y43 SITEPROP TIEOFF_X41Y43 NUM_ARCS 0 SITEPROP TIEOFF_X41Y43 NUM_BELS 2 SITEPROP TIEOFF_X41Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y43 NUM_PINS 2 SITEPROP TIEOFF_X41Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y43 PROHIBIT 0 SITEPROP TIEOFF_X41Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y43 RPM_X 160 SITEPROP TIEOFF_X41Y43 RPM_Y 86 SITEPROP TIEOFF_X41Y43 SITE_PIPS SITEPROP TIEOFF_X41Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y44 CLASS site SITEPROP TIEOFF_X41Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y44 IS_BONDED 0 SITEPROP TIEOFF_X41Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y44 IS_PAD 0 SITEPROP TIEOFF_X41Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y44 IS_RESERVED 0 SITEPROP TIEOFF_X41Y44 IS_TEST 0 SITEPROP TIEOFF_X41Y44 IS_USED 0 SITEPROP TIEOFF_X41Y44 MANUAL_ROUTING SITEPROP TIEOFF_X41Y44 NAME TIEOFF_X41Y44 SITEPROP TIEOFF_X41Y44 NUM_ARCS 0 SITEPROP TIEOFF_X41Y44 NUM_BELS 2 SITEPROP TIEOFF_X41Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y44 NUM_PINS 2 SITEPROP TIEOFF_X41Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y44 PROHIBIT 0 SITEPROP TIEOFF_X41Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y44 RPM_X 160 SITEPROP TIEOFF_X41Y44 RPM_Y 88 SITEPROP TIEOFF_X41Y44 SITE_PIPS SITEPROP TIEOFF_X41Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y45 CLASS site SITEPROP TIEOFF_X41Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y45 IS_BONDED 0 SITEPROP TIEOFF_X41Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y45 IS_PAD 0 SITEPROP TIEOFF_X41Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y45 IS_RESERVED 0 SITEPROP TIEOFF_X41Y45 IS_TEST 0 SITEPROP TIEOFF_X41Y45 IS_USED 0 SITEPROP TIEOFF_X41Y45 MANUAL_ROUTING SITEPROP TIEOFF_X41Y45 NAME TIEOFF_X41Y45 SITEPROP TIEOFF_X41Y45 NUM_ARCS 0 SITEPROP TIEOFF_X41Y45 NUM_BELS 2 SITEPROP TIEOFF_X41Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y45 NUM_PINS 2 SITEPROP TIEOFF_X41Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y45 PROHIBIT 0 SITEPROP TIEOFF_X41Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y45 RPM_X 160 SITEPROP TIEOFF_X41Y45 RPM_Y 90 SITEPROP TIEOFF_X41Y45 SITE_PIPS SITEPROP TIEOFF_X41Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y46 CLASS site SITEPROP TIEOFF_X41Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y46 IS_BONDED 0 SITEPROP TIEOFF_X41Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y46 IS_PAD 0 SITEPROP TIEOFF_X41Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y46 IS_RESERVED 0 SITEPROP TIEOFF_X41Y46 IS_TEST 0 SITEPROP TIEOFF_X41Y46 IS_USED 0 SITEPROP TIEOFF_X41Y46 MANUAL_ROUTING SITEPROP TIEOFF_X41Y46 NAME TIEOFF_X41Y46 SITEPROP TIEOFF_X41Y46 NUM_ARCS 0 SITEPROP TIEOFF_X41Y46 NUM_BELS 2 SITEPROP TIEOFF_X41Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y46 NUM_PINS 2 SITEPROP TIEOFF_X41Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y46 PROHIBIT 0 SITEPROP TIEOFF_X41Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y46 RPM_X 160 SITEPROP TIEOFF_X41Y46 RPM_Y 92 SITEPROP TIEOFF_X41Y46 SITE_PIPS SITEPROP TIEOFF_X41Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y47 CLASS site SITEPROP TIEOFF_X41Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y47 IS_BONDED 0 SITEPROP TIEOFF_X41Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y47 IS_PAD 0 SITEPROP TIEOFF_X41Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y47 IS_RESERVED 0 SITEPROP TIEOFF_X41Y47 IS_TEST 0 SITEPROP TIEOFF_X41Y47 IS_USED 0 SITEPROP TIEOFF_X41Y47 MANUAL_ROUTING SITEPROP TIEOFF_X41Y47 NAME TIEOFF_X41Y47 SITEPROP TIEOFF_X41Y47 NUM_ARCS 0 SITEPROP TIEOFF_X41Y47 NUM_BELS 2 SITEPROP TIEOFF_X41Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y47 NUM_PINS 2 SITEPROP TIEOFF_X41Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y47 PROHIBIT 0 SITEPROP TIEOFF_X41Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y47 RPM_X 160 SITEPROP TIEOFF_X41Y47 RPM_Y 94 SITEPROP TIEOFF_X41Y47 SITE_PIPS SITEPROP TIEOFF_X41Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y48 CLASS site SITEPROP TIEOFF_X41Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y48 IS_BONDED 0 SITEPROP TIEOFF_X41Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y48 IS_PAD 0 SITEPROP TIEOFF_X41Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y48 IS_RESERVED 0 SITEPROP TIEOFF_X41Y48 IS_TEST 0 SITEPROP TIEOFF_X41Y48 IS_USED 0 SITEPROP TIEOFF_X41Y48 MANUAL_ROUTING SITEPROP TIEOFF_X41Y48 NAME TIEOFF_X41Y48 SITEPROP TIEOFF_X41Y48 NUM_ARCS 0 SITEPROP TIEOFF_X41Y48 NUM_BELS 2 SITEPROP TIEOFF_X41Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y48 NUM_PINS 2 SITEPROP TIEOFF_X41Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y48 PROHIBIT 0 SITEPROP TIEOFF_X41Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y48 RPM_X 160 SITEPROP TIEOFF_X41Y48 RPM_Y 96 SITEPROP TIEOFF_X41Y48 SITE_PIPS SITEPROP TIEOFF_X41Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y49 CLASS site SITEPROP TIEOFF_X41Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X41Y49 IS_BONDED 0 SITEPROP TIEOFF_X41Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y49 IS_PAD 0 SITEPROP TIEOFF_X41Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y49 IS_RESERVED 0 SITEPROP TIEOFF_X41Y49 IS_TEST 0 SITEPROP TIEOFF_X41Y49 IS_USED 0 SITEPROP TIEOFF_X41Y49 MANUAL_ROUTING SITEPROP TIEOFF_X41Y49 NAME TIEOFF_X41Y49 SITEPROP TIEOFF_X41Y49 NUM_ARCS 0 SITEPROP TIEOFF_X41Y49 NUM_BELS 2 SITEPROP TIEOFF_X41Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y49 NUM_PINS 2 SITEPROP TIEOFF_X41Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y49 PROHIBIT 0 SITEPROP TIEOFF_X41Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y49 RPM_X 160 SITEPROP TIEOFF_X41Y49 RPM_Y 98 SITEPROP TIEOFF_X41Y49 SITE_PIPS SITEPROP TIEOFF_X41Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y50 CLASS site SITEPROP TIEOFF_X41Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y50 IS_BONDED 0 SITEPROP TIEOFF_X41Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y50 IS_PAD 0 SITEPROP TIEOFF_X41Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y50 IS_RESERVED 0 SITEPROP TIEOFF_X41Y50 IS_TEST 0 SITEPROP TIEOFF_X41Y50 IS_USED 0 SITEPROP TIEOFF_X41Y50 MANUAL_ROUTING SITEPROP TIEOFF_X41Y50 NAME TIEOFF_X41Y50 SITEPROP TIEOFF_X41Y50 NUM_ARCS 0 SITEPROP TIEOFF_X41Y50 NUM_BELS 2 SITEPROP TIEOFF_X41Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y50 NUM_PINS 2 SITEPROP TIEOFF_X41Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y50 PROHIBIT 0 SITEPROP TIEOFF_X41Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y50 RPM_X 160 SITEPROP TIEOFF_X41Y50 RPM_Y 100 SITEPROP TIEOFF_X41Y50 SITE_PIPS SITEPROP TIEOFF_X41Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y51 CLASS site SITEPROP TIEOFF_X41Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y51 IS_BONDED 0 SITEPROP TIEOFF_X41Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y51 IS_PAD 0 SITEPROP TIEOFF_X41Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y51 IS_RESERVED 0 SITEPROP TIEOFF_X41Y51 IS_TEST 0 SITEPROP TIEOFF_X41Y51 IS_USED 0 SITEPROP TIEOFF_X41Y51 MANUAL_ROUTING SITEPROP TIEOFF_X41Y51 NAME TIEOFF_X41Y51 SITEPROP TIEOFF_X41Y51 NUM_ARCS 0 SITEPROP TIEOFF_X41Y51 NUM_BELS 2 SITEPROP TIEOFF_X41Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y51 NUM_PINS 2 SITEPROP TIEOFF_X41Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y51 PROHIBIT 0 SITEPROP TIEOFF_X41Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y51 RPM_X 160 SITEPROP TIEOFF_X41Y51 RPM_Y 102 SITEPROP TIEOFF_X41Y51 SITE_PIPS SITEPROP TIEOFF_X41Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y52 CLASS site SITEPROP TIEOFF_X41Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y52 IS_BONDED 0 SITEPROP TIEOFF_X41Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y52 IS_PAD 0 SITEPROP TIEOFF_X41Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y52 IS_RESERVED 0 SITEPROP TIEOFF_X41Y52 IS_TEST 0 SITEPROP TIEOFF_X41Y52 IS_USED 0 SITEPROP TIEOFF_X41Y52 MANUAL_ROUTING SITEPROP TIEOFF_X41Y52 NAME TIEOFF_X41Y52 SITEPROP TIEOFF_X41Y52 NUM_ARCS 0 SITEPROP TIEOFF_X41Y52 NUM_BELS 2 SITEPROP TIEOFF_X41Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y52 NUM_PINS 2 SITEPROP TIEOFF_X41Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y52 PROHIBIT 0 SITEPROP TIEOFF_X41Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y52 RPM_X 160 SITEPROP TIEOFF_X41Y52 RPM_Y 104 SITEPROP TIEOFF_X41Y52 SITE_PIPS SITEPROP TIEOFF_X41Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y53 CLASS site SITEPROP TIEOFF_X41Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y53 IS_BONDED 0 SITEPROP TIEOFF_X41Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y53 IS_PAD 0 SITEPROP TIEOFF_X41Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y53 IS_RESERVED 0 SITEPROP TIEOFF_X41Y53 IS_TEST 0 SITEPROP TIEOFF_X41Y53 IS_USED 0 SITEPROP TIEOFF_X41Y53 MANUAL_ROUTING SITEPROP TIEOFF_X41Y53 NAME TIEOFF_X41Y53 SITEPROP TIEOFF_X41Y53 NUM_ARCS 0 SITEPROP TIEOFF_X41Y53 NUM_BELS 2 SITEPROP TIEOFF_X41Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y53 NUM_PINS 2 SITEPROP TIEOFF_X41Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y53 PROHIBIT 0 SITEPROP TIEOFF_X41Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y53 RPM_X 160 SITEPROP TIEOFF_X41Y53 RPM_Y 106 SITEPROP TIEOFF_X41Y53 SITE_PIPS SITEPROP TIEOFF_X41Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y54 CLASS site SITEPROP TIEOFF_X41Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y54 IS_BONDED 0 SITEPROP TIEOFF_X41Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y54 IS_PAD 0 SITEPROP TIEOFF_X41Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y54 IS_RESERVED 0 SITEPROP TIEOFF_X41Y54 IS_TEST 0 SITEPROP TIEOFF_X41Y54 IS_USED 0 SITEPROP TIEOFF_X41Y54 MANUAL_ROUTING SITEPROP TIEOFF_X41Y54 NAME TIEOFF_X41Y54 SITEPROP TIEOFF_X41Y54 NUM_ARCS 0 SITEPROP TIEOFF_X41Y54 NUM_BELS 2 SITEPROP TIEOFF_X41Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y54 NUM_PINS 2 SITEPROP TIEOFF_X41Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y54 PROHIBIT 0 SITEPROP TIEOFF_X41Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y54 RPM_X 160 SITEPROP TIEOFF_X41Y54 RPM_Y 108 SITEPROP TIEOFF_X41Y54 SITE_PIPS SITEPROP TIEOFF_X41Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y55 CLASS site SITEPROP TIEOFF_X41Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y55 IS_BONDED 0 SITEPROP TIEOFF_X41Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y55 IS_PAD 0 SITEPROP TIEOFF_X41Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y55 IS_RESERVED 0 SITEPROP TIEOFF_X41Y55 IS_TEST 0 SITEPROP TIEOFF_X41Y55 IS_USED 0 SITEPROP TIEOFF_X41Y55 MANUAL_ROUTING SITEPROP TIEOFF_X41Y55 NAME TIEOFF_X41Y55 SITEPROP TIEOFF_X41Y55 NUM_ARCS 0 SITEPROP TIEOFF_X41Y55 NUM_BELS 2 SITEPROP TIEOFF_X41Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y55 NUM_PINS 2 SITEPROP TIEOFF_X41Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y55 PROHIBIT 0 SITEPROP TIEOFF_X41Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y55 RPM_X 160 SITEPROP TIEOFF_X41Y55 RPM_Y 110 SITEPROP TIEOFF_X41Y55 SITE_PIPS SITEPROP TIEOFF_X41Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y56 CLASS site SITEPROP TIEOFF_X41Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y56 IS_BONDED 0 SITEPROP TIEOFF_X41Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y56 IS_PAD 0 SITEPROP TIEOFF_X41Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y56 IS_RESERVED 0 SITEPROP TIEOFF_X41Y56 IS_TEST 0 SITEPROP TIEOFF_X41Y56 IS_USED 0 SITEPROP TIEOFF_X41Y56 MANUAL_ROUTING SITEPROP TIEOFF_X41Y56 NAME TIEOFF_X41Y56 SITEPROP TIEOFF_X41Y56 NUM_ARCS 0 SITEPROP TIEOFF_X41Y56 NUM_BELS 2 SITEPROP TIEOFF_X41Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y56 NUM_PINS 2 SITEPROP TIEOFF_X41Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y56 PROHIBIT 0 SITEPROP TIEOFF_X41Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y56 RPM_X 160 SITEPROP TIEOFF_X41Y56 RPM_Y 112 SITEPROP TIEOFF_X41Y56 SITE_PIPS SITEPROP TIEOFF_X41Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y57 CLASS site SITEPROP TIEOFF_X41Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y57 IS_BONDED 0 SITEPROP TIEOFF_X41Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y57 IS_PAD 0 SITEPROP TIEOFF_X41Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y57 IS_RESERVED 0 SITEPROP TIEOFF_X41Y57 IS_TEST 0 SITEPROP TIEOFF_X41Y57 IS_USED 0 SITEPROP TIEOFF_X41Y57 MANUAL_ROUTING SITEPROP TIEOFF_X41Y57 NAME TIEOFF_X41Y57 SITEPROP TIEOFF_X41Y57 NUM_ARCS 0 SITEPROP TIEOFF_X41Y57 NUM_BELS 2 SITEPROP TIEOFF_X41Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y57 NUM_PINS 2 SITEPROP TIEOFF_X41Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y57 PROHIBIT 0 SITEPROP TIEOFF_X41Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y57 RPM_X 160 SITEPROP TIEOFF_X41Y57 RPM_Y 114 SITEPROP TIEOFF_X41Y57 SITE_PIPS SITEPROP TIEOFF_X41Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y58 CLASS site SITEPROP TIEOFF_X41Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y58 IS_BONDED 0 SITEPROP TIEOFF_X41Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y58 IS_PAD 0 SITEPROP TIEOFF_X41Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y58 IS_RESERVED 0 SITEPROP TIEOFF_X41Y58 IS_TEST 0 SITEPROP TIEOFF_X41Y58 IS_USED 0 SITEPROP TIEOFF_X41Y58 MANUAL_ROUTING SITEPROP TIEOFF_X41Y58 NAME TIEOFF_X41Y58 SITEPROP TIEOFF_X41Y58 NUM_ARCS 0 SITEPROP TIEOFF_X41Y58 NUM_BELS 2 SITEPROP TIEOFF_X41Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y58 NUM_PINS 2 SITEPROP TIEOFF_X41Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y58 PROHIBIT 0 SITEPROP TIEOFF_X41Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y58 RPM_X 160 SITEPROP TIEOFF_X41Y58 RPM_Y 116 SITEPROP TIEOFF_X41Y58 SITE_PIPS SITEPROP TIEOFF_X41Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y59 CLASS site SITEPROP TIEOFF_X41Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y59 IS_BONDED 0 SITEPROP TIEOFF_X41Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y59 IS_PAD 0 SITEPROP TIEOFF_X41Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y59 IS_RESERVED 0 SITEPROP TIEOFF_X41Y59 IS_TEST 0 SITEPROP TIEOFF_X41Y59 IS_USED 0 SITEPROP TIEOFF_X41Y59 MANUAL_ROUTING SITEPROP TIEOFF_X41Y59 NAME TIEOFF_X41Y59 SITEPROP TIEOFF_X41Y59 NUM_ARCS 0 SITEPROP TIEOFF_X41Y59 NUM_BELS 2 SITEPROP TIEOFF_X41Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y59 NUM_PINS 2 SITEPROP TIEOFF_X41Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y59 PROHIBIT 0 SITEPROP TIEOFF_X41Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y59 RPM_X 160 SITEPROP TIEOFF_X41Y59 RPM_Y 118 SITEPROP TIEOFF_X41Y59 SITE_PIPS SITEPROP TIEOFF_X41Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y60 CLASS site SITEPROP TIEOFF_X41Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y60 IS_BONDED 0 SITEPROP TIEOFF_X41Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y60 IS_PAD 0 SITEPROP TIEOFF_X41Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y60 IS_RESERVED 0 SITEPROP TIEOFF_X41Y60 IS_TEST 0 SITEPROP TIEOFF_X41Y60 IS_USED 0 SITEPROP TIEOFF_X41Y60 MANUAL_ROUTING SITEPROP TIEOFF_X41Y60 NAME TIEOFF_X41Y60 SITEPROP TIEOFF_X41Y60 NUM_ARCS 0 SITEPROP TIEOFF_X41Y60 NUM_BELS 2 SITEPROP TIEOFF_X41Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y60 NUM_PINS 2 SITEPROP TIEOFF_X41Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y60 PROHIBIT 0 SITEPROP TIEOFF_X41Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y60 RPM_X 160 SITEPROP TIEOFF_X41Y60 RPM_Y 120 SITEPROP TIEOFF_X41Y60 SITE_PIPS SITEPROP TIEOFF_X41Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y61 CLASS site SITEPROP TIEOFF_X41Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y61 IS_BONDED 0 SITEPROP TIEOFF_X41Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y61 IS_PAD 0 SITEPROP TIEOFF_X41Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y61 IS_RESERVED 0 SITEPROP TIEOFF_X41Y61 IS_TEST 0 SITEPROP TIEOFF_X41Y61 IS_USED 0 SITEPROP TIEOFF_X41Y61 MANUAL_ROUTING SITEPROP TIEOFF_X41Y61 NAME TIEOFF_X41Y61 SITEPROP TIEOFF_X41Y61 NUM_ARCS 0 SITEPROP TIEOFF_X41Y61 NUM_BELS 2 SITEPROP TIEOFF_X41Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y61 NUM_PINS 2 SITEPROP TIEOFF_X41Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y61 PROHIBIT 0 SITEPROP TIEOFF_X41Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y61 RPM_X 160 SITEPROP TIEOFF_X41Y61 RPM_Y 122 SITEPROP TIEOFF_X41Y61 SITE_PIPS SITEPROP TIEOFF_X41Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y62 CLASS site SITEPROP TIEOFF_X41Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y62 IS_BONDED 0 SITEPROP TIEOFF_X41Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y62 IS_PAD 0 SITEPROP TIEOFF_X41Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y62 IS_RESERVED 0 SITEPROP TIEOFF_X41Y62 IS_TEST 0 SITEPROP TIEOFF_X41Y62 IS_USED 0 SITEPROP TIEOFF_X41Y62 MANUAL_ROUTING SITEPROP TIEOFF_X41Y62 NAME TIEOFF_X41Y62 SITEPROP TIEOFF_X41Y62 NUM_ARCS 0 SITEPROP TIEOFF_X41Y62 NUM_BELS 2 SITEPROP TIEOFF_X41Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y62 NUM_PINS 2 SITEPROP TIEOFF_X41Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y62 PROHIBIT 0 SITEPROP TIEOFF_X41Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y62 RPM_X 160 SITEPROP TIEOFF_X41Y62 RPM_Y 124 SITEPROP TIEOFF_X41Y62 SITE_PIPS SITEPROP TIEOFF_X41Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y63 CLASS site SITEPROP TIEOFF_X41Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y63 IS_BONDED 0 SITEPROP TIEOFF_X41Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y63 IS_PAD 0 SITEPROP TIEOFF_X41Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y63 IS_RESERVED 0 SITEPROP TIEOFF_X41Y63 IS_TEST 0 SITEPROP TIEOFF_X41Y63 IS_USED 0 SITEPROP TIEOFF_X41Y63 MANUAL_ROUTING SITEPROP TIEOFF_X41Y63 NAME TIEOFF_X41Y63 SITEPROP TIEOFF_X41Y63 NUM_ARCS 0 SITEPROP TIEOFF_X41Y63 NUM_BELS 2 SITEPROP TIEOFF_X41Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y63 NUM_PINS 2 SITEPROP TIEOFF_X41Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y63 PROHIBIT 0 SITEPROP TIEOFF_X41Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y63 RPM_X 160 SITEPROP TIEOFF_X41Y63 RPM_Y 126 SITEPROP TIEOFF_X41Y63 SITE_PIPS SITEPROP TIEOFF_X41Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y64 CLASS site SITEPROP TIEOFF_X41Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y64 IS_BONDED 0 SITEPROP TIEOFF_X41Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y64 IS_PAD 0 SITEPROP TIEOFF_X41Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y64 IS_RESERVED 0 SITEPROP TIEOFF_X41Y64 IS_TEST 0 SITEPROP TIEOFF_X41Y64 IS_USED 0 SITEPROP TIEOFF_X41Y64 MANUAL_ROUTING SITEPROP TIEOFF_X41Y64 NAME TIEOFF_X41Y64 SITEPROP TIEOFF_X41Y64 NUM_ARCS 0 SITEPROP TIEOFF_X41Y64 NUM_BELS 2 SITEPROP TIEOFF_X41Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y64 NUM_PINS 2 SITEPROP TIEOFF_X41Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y64 PROHIBIT 0 SITEPROP TIEOFF_X41Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y64 RPM_X 160 SITEPROP TIEOFF_X41Y64 RPM_Y 128 SITEPROP TIEOFF_X41Y64 SITE_PIPS SITEPROP TIEOFF_X41Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y65 CLASS site SITEPROP TIEOFF_X41Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y65 IS_BONDED 0 SITEPROP TIEOFF_X41Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y65 IS_PAD 0 SITEPROP TIEOFF_X41Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y65 IS_RESERVED 0 SITEPROP TIEOFF_X41Y65 IS_TEST 0 SITEPROP TIEOFF_X41Y65 IS_USED 0 SITEPROP TIEOFF_X41Y65 MANUAL_ROUTING SITEPROP TIEOFF_X41Y65 NAME TIEOFF_X41Y65 SITEPROP TIEOFF_X41Y65 NUM_ARCS 0 SITEPROP TIEOFF_X41Y65 NUM_BELS 2 SITEPROP TIEOFF_X41Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y65 NUM_PINS 2 SITEPROP TIEOFF_X41Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y65 PROHIBIT 0 SITEPROP TIEOFF_X41Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y65 RPM_X 160 SITEPROP TIEOFF_X41Y65 RPM_Y 130 SITEPROP TIEOFF_X41Y65 SITE_PIPS SITEPROP TIEOFF_X41Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y66 CLASS site SITEPROP TIEOFF_X41Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y66 IS_BONDED 0 SITEPROP TIEOFF_X41Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y66 IS_PAD 0 SITEPROP TIEOFF_X41Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y66 IS_RESERVED 0 SITEPROP TIEOFF_X41Y66 IS_TEST 0 SITEPROP TIEOFF_X41Y66 IS_USED 0 SITEPROP TIEOFF_X41Y66 MANUAL_ROUTING SITEPROP TIEOFF_X41Y66 NAME TIEOFF_X41Y66 SITEPROP TIEOFF_X41Y66 NUM_ARCS 0 SITEPROP TIEOFF_X41Y66 NUM_BELS 2 SITEPROP TIEOFF_X41Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y66 NUM_PINS 2 SITEPROP TIEOFF_X41Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y66 PROHIBIT 0 SITEPROP TIEOFF_X41Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y66 RPM_X 160 SITEPROP TIEOFF_X41Y66 RPM_Y 132 SITEPROP TIEOFF_X41Y66 SITE_PIPS SITEPROP TIEOFF_X41Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y67 CLASS site SITEPROP TIEOFF_X41Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y67 IS_BONDED 0 SITEPROP TIEOFF_X41Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y67 IS_PAD 0 SITEPROP TIEOFF_X41Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y67 IS_RESERVED 0 SITEPROP TIEOFF_X41Y67 IS_TEST 0 SITEPROP TIEOFF_X41Y67 IS_USED 0 SITEPROP TIEOFF_X41Y67 MANUAL_ROUTING SITEPROP TIEOFF_X41Y67 NAME TIEOFF_X41Y67 SITEPROP TIEOFF_X41Y67 NUM_ARCS 0 SITEPROP TIEOFF_X41Y67 NUM_BELS 2 SITEPROP TIEOFF_X41Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y67 NUM_PINS 2 SITEPROP TIEOFF_X41Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y67 PROHIBIT 0 SITEPROP TIEOFF_X41Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y67 RPM_X 160 SITEPROP TIEOFF_X41Y67 RPM_Y 134 SITEPROP TIEOFF_X41Y67 SITE_PIPS SITEPROP TIEOFF_X41Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y68 CLASS site SITEPROP TIEOFF_X41Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y68 IS_BONDED 0 SITEPROP TIEOFF_X41Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y68 IS_PAD 0 SITEPROP TIEOFF_X41Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y68 IS_RESERVED 0 SITEPROP TIEOFF_X41Y68 IS_TEST 0 SITEPROP TIEOFF_X41Y68 IS_USED 0 SITEPROP TIEOFF_X41Y68 MANUAL_ROUTING SITEPROP TIEOFF_X41Y68 NAME TIEOFF_X41Y68 SITEPROP TIEOFF_X41Y68 NUM_ARCS 0 SITEPROP TIEOFF_X41Y68 NUM_BELS 2 SITEPROP TIEOFF_X41Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y68 NUM_PINS 2 SITEPROP TIEOFF_X41Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y68 PROHIBIT 0 SITEPROP TIEOFF_X41Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y68 RPM_X 160 SITEPROP TIEOFF_X41Y68 RPM_Y 136 SITEPROP TIEOFF_X41Y68 SITE_PIPS SITEPROP TIEOFF_X41Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y69 CLASS site SITEPROP TIEOFF_X41Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y69 IS_BONDED 0 SITEPROP TIEOFF_X41Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y69 IS_PAD 0 SITEPROP TIEOFF_X41Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y69 IS_RESERVED 0 SITEPROP TIEOFF_X41Y69 IS_TEST 0 SITEPROP TIEOFF_X41Y69 IS_USED 0 SITEPROP TIEOFF_X41Y69 MANUAL_ROUTING SITEPROP TIEOFF_X41Y69 NAME TIEOFF_X41Y69 SITEPROP TIEOFF_X41Y69 NUM_ARCS 0 SITEPROP TIEOFF_X41Y69 NUM_BELS 2 SITEPROP TIEOFF_X41Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y69 NUM_PINS 2 SITEPROP TIEOFF_X41Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y69 PROHIBIT 0 SITEPROP TIEOFF_X41Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y69 RPM_X 160 SITEPROP TIEOFF_X41Y69 RPM_Y 138 SITEPROP TIEOFF_X41Y69 SITE_PIPS SITEPROP TIEOFF_X41Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y70 CLASS site SITEPROP TIEOFF_X41Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y70 IS_BONDED 0 SITEPROP TIEOFF_X41Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y70 IS_PAD 0 SITEPROP TIEOFF_X41Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y70 IS_RESERVED 0 SITEPROP TIEOFF_X41Y70 IS_TEST 0 SITEPROP TIEOFF_X41Y70 IS_USED 0 SITEPROP TIEOFF_X41Y70 MANUAL_ROUTING SITEPROP TIEOFF_X41Y70 NAME TIEOFF_X41Y70 SITEPROP TIEOFF_X41Y70 NUM_ARCS 0 SITEPROP TIEOFF_X41Y70 NUM_BELS 2 SITEPROP TIEOFF_X41Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y70 NUM_PINS 2 SITEPROP TIEOFF_X41Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y70 PROHIBIT 0 SITEPROP TIEOFF_X41Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y70 RPM_X 160 SITEPROP TIEOFF_X41Y70 RPM_Y 140 SITEPROP TIEOFF_X41Y70 SITE_PIPS SITEPROP TIEOFF_X41Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y71 CLASS site SITEPROP TIEOFF_X41Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y71 IS_BONDED 0 SITEPROP TIEOFF_X41Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y71 IS_PAD 0 SITEPROP TIEOFF_X41Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y71 IS_RESERVED 0 SITEPROP TIEOFF_X41Y71 IS_TEST 0 SITEPROP TIEOFF_X41Y71 IS_USED 0 SITEPROP TIEOFF_X41Y71 MANUAL_ROUTING SITEPROP TIEOFF_X41Y71 NAME TIEOFF_X41Y71 SITEPROP TIEOFF_X41Y71 NUM_ARCS 0 SITEPROP TIEOFF_X41Y71 NUM_BELS 2 SITEPROP TIEOFF_X41Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y71 NUM_PINS 2 SITEPROP TIEOFF_X41Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y71 PROHIBIT 0 SITEPROP TIEOFF_X41Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y71 RPM_X 160 SITEPROP TIEOFF_X41Y71 RPM_Y 142 SITEPROP TIEOFF_X41Y71 SITE_PIPS SITEPROP TIEOFF_X41Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y72 CLASS site SITEPROP TIEOFF_X41Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y72 IS_BONDED 0 SITEPROP TIEOFF_X41Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y72 IS_PAD 0 SITEPROP TIEOFF_X41Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y72 IS_RESERVED 0 SITEPROP TIEOFF_X41Y72 IS_TEST 0 SITEPROP TIEOFF_X41Y72 IS_USED 0 SITEPROP TIEOFF_X41Y72 MANUAL_ROUTING SITEPROP TIEOFF_X41Y72 NAME TIEOFF_X41Y72 SITEPROP TIEOFF_X41Y72 NUM_ARCS 0 SITEPROP TIEOFF_X41Y72 NUM_BELS 2 SITEPROP TIEOFF_X41Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y72 NUM_PINS 2 SITEPROP TIEOFF_X41Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y72 PROHIBIT 0 SITEPROP TIEOFF_X41Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y72 RPM_X 160 SITEPROP TIEOFF_X41Y72 RPM_Y 144 SITEPROP TIEOFF_X41Y72 SITE_PIPS SITEPROP TIEOFF_X41Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y73 CLASS site SITEPROP TIEOFF_X41Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y73 IS_BONDED 0 SITEPROP TIEOFF_X41Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y73 IS_PAD 0 SITEPROP TIEOFF_X41Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y73 IS_RESERVED 0 SITEPROP TIEOFF_X41Y73 IS_TEST 0 SITEPROP TIEOFF_X41Y73 IS_USED 0 SITEPROP TIEOFF_X41Y73 MANUAL_ROUTING SITEPROP TIEOFF_X41Y73 NAME TIEOFF_X41Y73 SITEPROP TIEOFF_X41Y73 NUM_ARCS 0 SITEPROP TIEOFF_X41Y73 NUM_BELS 2 SITEPROP TIEOFF_X41Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y73 NUM_PINS 2 SITEPROP TIEOFF_X41Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y73 PROHIBIT 0 SITEPROP TIEOFF_X41Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y73 RPM_X 160 SITEPROP TIEOFF_X41Y73 RPM_Y 146 SITEPROP TIEOFF_X41Y73 SITE_PIPS SITEPROP TIEOFF_X41Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y74 CLASS site SITEPROP TIEOFF_X41Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y74 IS_BONDED 0 SITEPROP TIEOFF_X41Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y74 IS_PAD 0 SITEPROP TIEOFF_X41Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y74 IS_RESERVED 0 SITEPROP TIEOFF_X41Y74 IS_TEST 0 SITEPROP TIEOFF_X41Y74 IS_USED 0 SITEPROP TIEOFF_X41Y74 MANUAL_ROUTING SITEPROP TIEOFF_X41Y74 NAME TIEOFF_X41Y74 SITEPROP TIEOFF_X41Y74 NUM_ARCS 0 SITEPROP TIEOFF_X41Y74 NUM_BELS 2 SITEPROP TIEOFF_X41Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y74 NUM_PINS 2 SITEPROP TIEOFF_X41Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y74 PROHIBIT 0 SITEPROP TIEOFF_X41Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y74 RPM_X 160 SITEPROP TIEOFF_X41Y74 RPM_Y 148 SITEPROP TIEOFF_X41Y74 SITE_PIPS SITEPROP TIEOFF_X41Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y75 CLASS site SITEPROP TIEOFF_X41Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y75 IS_BONDED 0 SITEPROP TIEOFF_X41Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y75 IS_PAD 0 SITEPROP TIEOFF_X41Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y75 IS_RESERVED 0 SITEPROP TIEOFF_X41Y75 IS_TEST 0 SITEPROP TIEOFF_X41Y75 IS_USED 0 SITEPROP TIEOFF_X41Y75 MANUAL_ROUTING SITEPROP TIEOFF_X41Y75 NAME TIEOFF_X41Y75 SITEPROP TIEOFF_X41Y75 NUM_ARCS 0 SITEPROP TIEOFF_X41Y75 NUM_BELS 2 SITEPROP TIEOFF_X41Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y75 NUM_PINS 2 SITEPROP TIEOFF_X41Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y75 PROHIBIT 0 SITEPROP TIEOFF_X41Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y75 RPM_X 160 SITEPROP TIEOFF_X41Y75 RPM_Y 150 SITEPROP TIEOFF_X41Y75 SITE_PIPS SITEPROP TIEOFF_X41Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y76 CLASS site SITEPROP TIEOFF_X41Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y76 IS_BONDED 0 SITEPROP TIEOFF_X41Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y76 IS_PAD 0 SITEPROP TIEOFF_X41Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y76 IS_RESERVED 0 SITEPROP TIEOFF_X41Y76 IS_TEST 0 SITEPROP TIEOFF_X41Y76 IS_USED 0 SITEPROP TIEOFF_X41Y76 MANUAL_ROUTING SITEPROP TIEOFF_X41Y76 NAME TIEOFF_X41Y76 SITEPROP TIEOFF_X41Y76 NUM_ARCS 0 SITEPROP TIEOFF_X41Y76 NUM_BELS 2 SITEPROP TIEOFF_X41Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y76 NUM_PINS 2 SITEPROP TIEOFF_X41Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y76 PROHIBIT 0 SITEPROP TIEOFF_X41Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y76 RPM_X 160 SITEPROP TIEOFF_X41Y76 RPM_Y 152 SITEPROP TIEOFF_X41Y76 SITE_PIPS SITEPROP TIEOFF_X41Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y77 CLASS site SITEPROP TIEOFF_X41Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y77 IS_BONDED 0 SITEPROP TIEOFF_X41Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y77 IS_PAD 0 SITEPROP TIEOFF_X41Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y77 IS_RESERVED 0 SITEPROP TIEOFF_X41Y77 IS_TEST 0 SITEPROP TIEOFF_X41Y77 IS_USED 0 SITEPROP TIEOFF_X41Y77 MANUAL_ROUTING SITEPROP TIEOFF_X41Y77 NAME TIEOFF_X41Y77 SITEPROP TIEOFF_X41Y77 NUM_ARCS 0 SITEPROP TIEOFF_X41Y77 NUM_BELS 2 SITEPROP TIEOFF_X41Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y77 NUM_PINS 2 SITEPROP TIEOFF_X41Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y77 PROHIBIT 0 SITEPROP TIEOFF_X41Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y77 RPM_X 160 SITEPROP TIEOFF_X41Y77 RPM_Y 154 SITEPROP TIEOFF_X41Y77 SITE_PIPS SITEPROP TIEOFF_X41Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y78 CLASS site SITEPROP TIEOFF_X41Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y78 IS_BONDED 0 SITEPROP TIEOFF_X41Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y78 IS_PAD 0 SITEPROP TIEOFF_X41Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y78 IS_RESERVED 0 SITEPROP TIEOFF_X41Y78 IS_TEST 0 SITEPROP TIEOFF_X41Y78 IS_USED 0 SITEPROP TIEOFF_X41Y78 MANUAL_ROUTING SITEPROP TIEOFF_X41Y78 NAME TIEOFF_X41Y78 SITEPROP TIEOFF_X41Y78 NUM_ARCS 0 SITEPROP TIEOFF_X41Y78 NUM_BELS 2 SITEPROP TIEOFF_X41Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y78 NUM_PINS 2 SITEPROP TIEOFF_X41Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y78 PROHIBIT 0 SITEPROP TIEOFF_X41Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y78 RPM_X 160 SITEPROP TIEOFF_X41Y78 RPM_Y 156 SITEPROP TIEOFF_X41Y78 SITE_PIPS SITEPROP TIEOFF_X41Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y79 CLASS site SITEPROP TIEOFF_X41Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y79 IS_BONDED 0 SITEPROP TIEOFF_X41Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y79 IS_PAD 0 SITEPROP TIEOFF_X41Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y79 IS_RESERVED 0 SITEPROP TIEOFF_X41Y79 IS_TEST 0 SITEPROP TIEOFF_X41Y79 IS_USED 0 SITEPROP TIEOFF_X41Y79 MANUAL_ROUTING SITEPROP TIEOFF_X41Y79 NAME TIEOFF_X41Y79 SITEPROP TIEOFF_X41Y79 NUM_ARCS 0 SITEPROP TIEOFF_X41Y79 NUM_BELS 2 SITEPROP TIEOFF_X41Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y79 NUM_PINS 2 SITEPROP TIEOFF_X41Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y79 PROHIBIT 0 SITEPROP TIEOFF_X41Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y79 RPM_X 160 SITEPROP TIEOFF_X41Y79 RPM_Y 158 SITEPROP TIEOFF_X41Y79 SITE_PIPS SITEPROP TIEOFF_X41Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y80 CLASS site SITEPROP TIEOFF_X41Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y80 IS_BONDED 0 SITEPROP TIEOFF_X41Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y80 IS_PAD 0 SITEPROP TIEOFF_X41Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y80 IS_RESERVED 0 SITEPROP TIEOFF_X41Y80 IS_TEST 0 SITEPROP TIEOFF_X41Y80 IS_USED 0 SITEPROP TIEOFF_X41Y80 MANUAL_ROUTING SITEPROP TIEOFF_X41Y80 NAME TIEOFF_X41Y80 SITEPROP TIEOFF_X41Y80 NUM_ARCS 0 SITEPROP TIEOFF_X41Y80 NUM_BELS 2 SITEPROP TIEOFF_X41Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y80 NUM_PINS 2 SITEPROP TIEOFF_X41Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y80 PROHIBIT 0 SITEPROP TIEOFF_X41Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y80 RPM_X 160 SITEPROP TIEOFF_X41Y80 RPM_Y 160 SITEPROP TIEOFF_X41Y80 SITE_PIPS SITEPROP TIEOFF_X41Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y81 CLASS site SITEPROP TIEOFF_X41Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y81 IS_BONDED 0 SITEPROP TIEOFF_X41Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y81 IS_PAD 0 SITEPROP TIEOFF_X41Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y81 IS_RESERVED 0 SITEPROP TIEOFF_X41Y81 IS_TEST 0 SITEPROP TIEOFF_X41Y81 IS_USED 0 SITEPROP TIEOFF_X41Y81 MANUAL_ROUTING SITEPROP TIEOFF_X41Y81 NAME TIEOFF_X41Y81 SITEPROP TIEOFF_X41Y81 NUM_ARCS 0 SITEPROP TIEOFF_X41Y81 NUM_BELS 2 SITEPROP TIEOFF_X41Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y81 NUM_PINS 2 SITEPROP TIEOFF_X41Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y81 PROHIBIT 0 SITEPROP TIEOFF_X41Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y81 RPM_X 160 SITEPROP TIEOFF_X41Y81 RPM_Y 162 SITEPROP TIEOFF_X41Y81 SITE_PIPS SITEPROP TIEOFF_X41Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y82 CLASS site SITEPROP TIEOFF_X41Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y82 IS_BONDED 0 SITEPROP TIEOFF_X41Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y82 IS_PAD 0 SITEPROP TIEOFF_X41Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y82 IS_RESERVED 0 SITEPROP TIEOFF_X41Y82 IS_TEST 0 SITEPROP TIEOFF_X41Y82 IS_USED 0 SITEPROP TIEOFF_X41Y82 MANUAL_ROUTING SITEPROP TIEOFF_X41Y82 NAME TIEOFF_X41Y82 SITEPROP TIEOFF_X41Y82 NUM_ARCS 0 SITEPROP TIEOFF_X41Y82 NUM_BELS 2 SITEPROP TIEOFF_X41Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y82 NUM_PINS 2 SITEPROP TIEOFF_X41Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y82 PROHIBIT 0 SITEPROP TIEOFF_X41Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y82 RPM_X 160 SITEPROP TIEOFF_X41Y82 RPM_Y 164 SITEPROP TIEOFF_X41Y82 SITE_PIPS SITEPROP TIEOFF_X41Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y83 CLASS site SITEPROP TIEOFF_X41Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y83 IS_BONDED 0 SITEPROP TIEOFF_X41Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y83 IS_PAD 0 SITEPROP TIEOFF_X41Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y83 IS_RESERVED 0 SITEPROP TIEOFF_X41Y83 IS_TEST 0 SITEPROP TIEOFF_X41Y83 IS_USED 0 SITEPROP TIEOFF_X41Y83 MANUAL_ROUTING SITEPROP TIEOFF_X41Y83 NAME TIEOFF_X41Y83 SITEPROP TIEOFF_X41Y83 NUM_ARCS 0 SITEPROP TIEOFF_X41Y83 NUM_BELS 2 SITEPROP TIEOFF_X41Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y83 NUM_PINS 2 SITEPROP TIEOFF_X41Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y83 PROHIBIT 0 SITEPROP TIEOFF_X41Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y83 RPM_X 160 SITEPROP TIEOFF_X41Y83 RPM_Y 166 SITEPROP TIEOFF_X41Y83 SITE_PIPS SITEPROP TIEOFF_X41Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y84 CLASS site SITEPROP TIEOFF_X41Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y84 IS_BONDED 0 SITEPROP TIEOFF_X41Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y84 IS_PAD 0 SITEPROP TIEOFF_X41Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y84 IS_RESERVED 0 SITEPROP TIEOFF_X41Y84 IS_TEST 0 SITEPROP TIEOFF_X41Y84 IS_USED 0 SITEPROP TIEOFF_X41Y84 MANUAL_ROUTING SITEPROP TIEOFF_X41Y84 NAME TIEOFF_X41Y84 SITEPROP TIEOFF_X41Y84 NUM_ARCS 0 SITEPROP TIEOFF_X41Y84 NUM_BELS 2 SITEPROP TIEOFF_X41Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y84 NUM_PINS 2 SITEPROP TIEOFF_X41Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y84 PROHIBIT 0 SITEPROP TIEOFF_X41Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y84 RPM_X 160 SITEPROP TIEOFF_X41Y84 RPM_Y 168 SITEPROP TIEOFF_X41Y84 SITE_PIPS SITEPROP TIEOFF_X41Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y85 CLASS site SITEPROP TIEOFF_X41Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y85 IS_BONDED 0 SITEPROP TIEOFF_X41Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y85 IS_PAD 0 SITEPROP TIEOFF_X41Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y85 IS_RESERVED 0 SITEPROP TIEOFF_X41Y85 IS_TEST 0 SITEPROP TIEOFF_X41Y85 IS_USED 0 SITEPROP TIEOFF_X41Y85 MANUAL_ROUTING SITEPROP TIEOFF_X41Y85 NAME TIEOFF_X41Y85 SITEPROP TIEOFF_X41Y85 NUM_ARCS 0 SITEPROP TIEOFF_X41Y85 NUM_BELS 2 SITEPROP TIEOFF_X41Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y85 NUM_PINS 2 SITEPROP TIEOFF_X41Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y85 PROHIBIT 0 SITEPROP TIEOFF_X41Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y85 RPM_X 160 SITEPROP TIEOFF_X41Y85 RPM_Y 170 SITEPROP TIEOFF_X41Y85 SITE_PIPS SITEPROP TIEOFF_X41Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y86 CLASS site SITEPROP TIEOFF_X41Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y86 IS_BONDED 0 SITEPROP TIEOFF_X41Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y86 IS_PAD 0 SITEPROP TIEOFF_X41Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y86 IS_RESERVED 0 SITEPROP TIEOFF_X41Y86 IS_TEST 0 SITEPROP TIEOFF_X41Y86 IS_USED 0 SITEPROP TIEOFF_X41Y86 MANUAL_ROUTING SITEPROP TIEOFF_X41Y86 NAME TIEOFF_X41Y86 SITEPROP TIEOFF_X41Y86 NUM_ARCS 0 SITEPROP TIEOFF_X41Y86 NUM_BELS 2 SITEPROP TIEOFF_X41Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y86 NUM_PINS 2 SITEPROP TIEOFF_X41Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y86 PROHIBIT 0 SITEPROP TIEOFF_X41Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y86 RPM_X 160 SITEPROP TIEOFF_X41Y86 RPM_Y 172 SITEPROP TIEOFF_X41Y86 SITE_PIPS SITEPROP TIEOFF_X41Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y87 CLASS site SITEPROP TIEOFF_X41Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y87 IS_BONDED 0 SITEPROP TIEOFF_X41Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y87 IS_PAD 0 SITEPROP TIEOFF_X41Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y87 IS_RESERVED 0 SITEPROP TIEOFF_X41Y87 IS_TEST 0 SITEPROP TIEOFF_X41Y87 IS_USED 0 SITEPROP TIEOFF_X41Y87 MANUAL_ROUTING SITEPROP TIEOFF_X41Y87 NAME TIEOFF_X41Y87 SITEPROP TIEOFF_X41Y87 NUM_ARCS 0 SITEPROP TIEOFF_X41Y87 NUM_BELS 2 SITEPROP TIEOFF_X41Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y87 NUM_PINS 2 SITEPROP TIEOFF_X41Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y87 PROHIBIT 0 SITEPROP TIEOFF_X41Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y87 RPM_X 160 SITEPROP TIEOFF_X41Y87 RPM_Y 174 SITEPROP TIEOFF_X41Y87 SITE_PIPS SITEPROP TIEOFF_X41Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y88 CLASS site SITEPROP TIEOFF_X41Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y88 IS_BONDED 0 SITEPROP TIEOFF_X41Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y88 IS_PAD 0 SITEPROP TIEOFF_X41Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y88 IS_RESERVED 0 SITEPROP TIEOFF_X41Y88 IS_TEST 0 SITEPROP TIEOFF_X41Y88 IS_USED 0 SITEPROP TIEOFF_X41Y88 MANUAL_ROUTING SITEPROP TIEOFF_X41Y88 NAME TIEOFF_X41Y88 SITEPROP TIEOFF_X41Y88 NUM_ARCS 0 SITEPROP TIEOFF_X41Y88 NUM_BELS 2 SITEPROP TIEOFF_X41Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y88 NUM_PINS 2 SITEPROP TIEOFF_X41Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y88 PROHIBIT 0 SITEPROP TIEOFF_X41Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y88 RPM_X 160 SITEPROP TIEOFF_X41Y88 RPM_Y 176 SITEPROP TIEOFF_X41Y88 SITE_PIPS SITEPROP TIEOFF_X41Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y89 CLASS site SITEPROP TIEOFF_X41Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y89 IS_BONDED 0 SITEPROP TIEOFF_X41Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y89 IS_PAD 0 SITEPROP TIEOFF_X41Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y89 IS_RESERVED 0 SITEPROP TIEOFF_X41Y89 IS_TEST 0 SITEPROP TIEOFF_X41Y89 IS_USED 0 SITEPROP TIEOFF_X41Y89 MANUAL_ROUTING SITEPROP TIEOFF_X41Y89 NAME TIEOFF_X41Y89 SITEPROP TIEOFF_X41Y89 NUM_ARCS 0 SITEPROP TIEOFF_X41Y89 NUM_BELS 2 SITEPROP TIEOFF_X41Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y89 NUM_PINS 2 SITEPROP TIEOFF_X41Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y89 PROHIBIT 0 SITEPROP TIEOFF_X41Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y89 RPM_X 160 SITEPROP TIEOFF_X41Y89 RPM_Y 178 SITEPROP TIEOFF_X41Y89 SITE_PIPS SITEPROP TIEOFF_X41Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y90 CLASS site SITEPROP TIEOFF_X41Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y90 IS_BONDED 0 SITEPROP TIEOFF_X41Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y90 IS_PAD 0 SITEPROP TIEOFF_X41Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y90 IS_RESERVED 0 SITEPROP TIEOFF_X41Y90 IS_TEST 0 SITEPROP TIEOFF_X41Y90 IS_USED 0 SITEPROP TIEOFF_X41Y90 MANUAL_ROUTING SITEPROP TIEOFF_X41Y90 NAME TIEOFF_X41Y90 SITEPROP TIEOFF_X41Y90 NUM_ARCS 0 SITEPROP TIEOFF_X41Y90 NUM_BELS 2 SITEPROP TIEOFF_X41Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y90 NUM_PINS 2 SITEPROP TIEOFF_X41Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y90 PROHIBIT 0 SITEPROP TIEOFF_X41Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y90 RPM_X 160 SITEPROP TIEOFF_X41Y90 RPM_Y 180 SITEPROP TIEOFF_X41Y90 SITE_PIPS SITEPROP TIEOFF_X41Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y91 CLASS site SITEPROP TIEOFF_X41Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y91 IS_BONDED 0 SITEPROP TIEOFF_X41Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y91 IS_PAD 0 SITEPROP TIEOFF_X41Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y91 IS_RESERVED 0 SITEPROP TIEOFF_X41Y91 IS_TEST 0 SITEPROP TIEOFF_X41Y91 IS_USED 0 SITEPROP TIEOFF_X41Y91 MANUAL_ROUTING SITEPROP TIEOFF_X41Y91 NAME TIEOFF_X41Y91 SITEPROP TIEOFF_X41Y91 NUM_ARCS 0 SITEPROP TIEOFF_X41Y91 NUM_BELS 2 SITEPROP TIEOFF_X41Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y91 NUM_PINS 2 SITEPROP TIEOFF_X41Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y91 PROHIBIT 0 SITEPROP TIEOFF_X41Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y91 RPM_X 160 SITEPROP TIEOFF_X41Y91 RPM_Y 182 SITEPROP TIEOFF_X41Y91 SITE_PIPS SITEPROP TIEOFF_X41Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y92 CLASS site SITEPROP TIEOFF_X41Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y92 IS_BONDED 0 SITEPROP TIEOFF_X41Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y92 IS_PAD 0 SITEPROP TIEOFF_X41Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y92 IS_RESERVED 0 SITEPROP TIEOFF_X41Y92 IS_TEST 0 SITEPROP TIEOFF_X41Y92 IS_USED 0 SITEPROP TIEOFF_X41Y92 MANUAL_ROUTING SITEPROP TIEOFF_X41Y92 NAME TIEOFF_X41Y92 SITEPROP TIEOFF_X41Y92 NUM_ARCS 0 SITEPROP TIEOFF_X41Y92 NUM_BELS 2 SITEPROP TIEOFF_X41Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y92 NUM_PINS 2 SITEPROP TIEOFF_X41Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y92 PROHIBIT 0 SITEPROP TIEOFF_X41Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y92 RPM_X 160 SITEPROP TIEOFF_X41Y92 RPM_Y 184 SITEPROP TIEOFF_X41Y92 SITE_PIPS SITEPROP TIEOFF_X41Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y93 CLASS site SITEPROP TIEOFF_X41Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y93 IS_BONDED 0 SITEPROP TIEOFF_X41Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y93 IS_PAD 0 SITEPROP TIEOFF_X41Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y93 IS_RESERVED 0 SITEPROP TIEOFF_X41Y93 IS_TEST 0 SITEPROP TIEOFF_X41Y93 IS_USED 0 SITEPROP TIEOFF_X41Y93 MANUAL_ROUTING SITEPROP TIEOFF_X41Y93 NAME TIEOFF_X41Y93 SITEPROP TIEOFF_X41Y93 NUM_ARCS 0 SITEPROP TIEOFF_X41Y93 NUM_BELS 2 SITEPROP TIEOFF_X41Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y93 NUM_PINS 2 SITEPROP TIEOFF_X41Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y93 PROHIBIT 0 SITEPROP TIEOFF_X41Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y93 RPM_X 160 SITEPROP TIEOFF_X41Y93 RPM_Y 186 SITEPROP TIEOFF_X41Y93 SITE_PIPS SITEPROP TIEOFF_X41Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y94 CLASS site SITEPROP TIEOFF_X41Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y94 IS_BONDED 0 SITEPROP TIEOFF_X41Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y94 IS_PAD 0 SITEPROP TIEOFF_X41Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y94 IS_RESERVED 0 SITEPROP TIEOFF_X41Y94 IS_TEST 0 SITEPROP TIEOFF_X41Y94 IS_USED 0 SITEPROP TIEOFF_X41Y94 MANUAL_ROUTING SITEPROP TIEOFF_X41Y94 NAME TIEOFF_X41Y94 SITEPROP TIEOFF_X41Y94 NUM_ARCS 0 SITEPROP TIEOFF_X41Y94 NUM_BELS 2 SITEPROP TIEOFF_X41Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y94 NUM_PINS 2 SITEPROP TIEOFF_X41Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y94 PROHIBIT 0 SITEPROP TIEOFF_X41Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y94 RPM_X 160 SITEPROP TIEOFF_X41Y94 RPM_Y 188 SITEPROP TIEOFF_X41Y94 SITE_PIPS SITEPROP TIEOFF_X41Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y95 CLASS site SITEPROP TIEOFF_X41Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y95 IS_BONDED 0 SITEPROP TIEOFF_X41Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y95 IS_PAD 0 SITEPROP TIEOFF_X41Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y95 IS_RESERVED 0 SITEPROP TIEOFF_X41Y95 IS_TEST 0 SITEPROP TIEOFF_X41Y95 IS_USED 0 SITEPROP TIEOFF_X41Y95 MANUAL_ROUTING SITEPROP TIEOFF_X41Y95 NAME TIEOFF_X41Y95 SITEPROP TIEOFF_X41Y95 NUM_ARCS 0 SITEPROP TIEOFF_X41Y95 NUM_BELS 2 SITEPROP TIEOFF_X41Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y95 NUM_PINS 2 SITEPROP TIEOFF_X41Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y95 PROHIBIT 0 SITEPROP TIEOFF_X41Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y95 RPM_X 160 SITEPROP TIEOFF_X41Y95 RPM_Y 190 SITEPROP TIEOFF_X41Y95 SITE_PIPS SITEPROP TIEOFF_X41Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y96 CLASS site SITEPROP TIEOFF_X41Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y96 IS_BONDED 0 SITEPROP TIEOFF_X41Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y96 IS_PAD 0 SITEPROP TIEOFF_X41Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y96 IS_RESERVED 0 SITEPROP TIEOFF_X41Y96 IS_TEST 0 SITEPROP TIEOFF_X41Y96 IS_USED 0 SITEPROP TIEOFF_X41Y96 MANUAL_ROUTING SITEPROP TIEOFF_X41Y96 NAME TIEOFF_X41Y96 SITEPROP TIEOFF_X41Y96 NUM_ARCS 0 SITEPROP TIEOFF_X41Y96 NUM_BELS 2 SITEPROP TIEOFF_X41Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y96 NUM_PINS 2 SITEPROP TIEOFF_X41Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y96 PROHIBIT 0 SITEPROP TIEOFF_X41Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y96 RPM_X 160 SITEPROP TIEOFF_X41Y96 RPM_Y 192 SITEPROP TIEOFF_X41Y96 SITE_PIPS SITEPROP TIEOFF_X41Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y97 CLASS site SITEPROP TIEOFF_X41Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y97 IS_BONDED 0 SITEPROP TIEOFF_X41Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y97 IS_PAD 0 SITEPROP TIEOFF_X41Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y97 IS_RESERVED 0 SITEPROP TIEOFF_X41Y97 IS_TEST 0 SITEPROP TIEOFF_X41Y97 IS_USED 0 SITEPROP TIEOFF_X41Y97 MANUAL_ROUTING SITEPROP TIEOFF_X41Y97 NAME TIEOFF_X41Y97 SITEPROP TIEOFF_X41Y97 NUM_ARCS 0 SITEPROP TIEOFF_X41Y97 NUM_BELS 2 SITEPROP TIEOFF_X41Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y97 NUM_PINS 2 SITEPROP TIEOFF_X41Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y97 PROHIBIT 0 SITEPROP TIEOFF_X41Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y97 RPM_X 160 SITEPROP TIEOFF_X41Y97 RPM_Y 194 SITEPROP TIEOFF_X41Y97 SITE_PIPS SITEPROP TIEOFF_X41Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y98 CLASS site SITEPROP TIEOFF_X41Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y98 IS_BONDED 0 SITEPROP TIEOFF_X41Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y98 IS_PAD 0 SITEPROP TIEOFF_X41Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y98 IS_RESERVED 0 SITEPROP TIEOFF_X41Y98 IS_TEST 0 SITEPROP TIEOFF_X41Y98 IS_USED 0 SITEPROP TIEOFF_X41Y98 MANUAL_ROUTING SITEPROP TIEOFF_X41Y98 NAME TIEOFF_X41Y98 SITEPROP TIEOFF_X41Y98 NUM_ARCS 0 SITEPROP TIEOFF_X41Y98 NUM_BELS 2 SITEPROP TIEOFF_X41Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y98 NUM_PINS 2 SITEPROP TIEOFF_X41Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y98 PROHIBIT 0 SITEPROP TIEOFF_X41Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y98 RPM_X 160 SITEPROP TIEOFF_X41Y98 RPM_Y 196 SITEPROP TIEOFF_X41Y98 SITE_PIPS SITEPROP TIEOFF_X41Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X41Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X41Y99 CLASS site SITEPROP TIEOFF_X41Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X41Y99 IS_BONDED 0 SITEPROP TIEOFF_X41Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y99 IS_PAD 0 SITEPROP TIEOFF_X41Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X41Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X41Y99 IS_RESERVED 0 SITEPROP TIEOFF_X41Y99 IS_TEST 0 SITEPROP TIEOFF_X41Y99 IS_USED 0 SITEPROP TIEOFF_X41Y99 MANUAL_ROUTING SITEPROP TIEOFF_X41Y99 NAME TIEOFF_X41Y99 SITEPROP TIEOFF_X41Y99 NUM_ARCS 0 SITEPROP TIEOFF_X41Y99 NUM_BELS 2 SITEPROP TIEOFF_X41Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X41Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X41Y99 NUM_PINS 2 SITEPROP TIEOFF_X41Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X41Y99 PROHIBIT 0 SITEPROP TIEOFF_X41Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X41Y99 RPM_X 160 SITEPROP TIEOFF_X41Y99 RPM_Y 198 SITEPROP TIEOFF_X41Y99 SITE_PIPS SITEPROP TIEOFF_X41Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y0 CLASS site SITEPROP TIEOFF_X42Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y0 IS_BONDED 0 SITEPROP TIEOFF_X42Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y0 IS_PAD 0 SITEPROP TIEOFF_X42Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y0 IS_RESERVED 0 SITEPROP TIEOFF_X42Y0 IS_TEST 0 SITEPROP TIEOFF_X42Y0 IS_USED 0 SITEPROP TIEOFF_X42Y0 MANUAL_ROUTING SITEPROP TIEOFF_X42Y0 NAME TIEOFF_X42Y0 SITEPROP TIEOFF_X42Y0 NUM_ARCS 0 SITEPROP TIEOFF_X42Y0 NUM_BELS 2 SITEPROP TIEOFF_X42Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y0 NUM_PINS 2 SITEPROP TIEOFF_X42Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y0 PROHIBIT 0 SITEPROP TIEOFF_X42Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y0 RPM_X 164 SITEPROP TIEOFF_X42Y0 RPM_Y 0 SITEPROP TIEOFF_X42Y0 SITE_PIPS SITEPROP TIEOFF_X42Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y1 CLASS site SITEPROP TIEOFF_X42Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y1 IS_BONDED 0 SITEPROP TIEOFF_X42Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y1 IS_PAD 0 SITEPROP TIEOFF_X42Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y1 IS_RESERVED 0 SITEPROP TIEOFF_X42Y1 IS_TEST 0 SITEPROP TIEOFF_X42Y1 IS_USED 0 SITEPROP TIEOFF_X42Y1 MANUAL_ROUTING SITEPROP TIEOFF_X42Y1 NAME TIEOFF_X42Y1 SITEPROP TIEOFF_X42Y1 NUM_ARCS 0 SITEPROP TIEOFF_X42Y1 NUM_BELS 2 SITEPROP TIEOFF_X42Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y1 NUM_PINS 2 SITEPROP TIEOFF_X42Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y1 PROHIBIT 0 SITEPROP TIEOFF_X42Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y1 RPM_X 164 SITEPROP TIEOFF_X42Y1 RPM_Y 2 SITEPROP TIEOFF_X42Y1 SITE_PIPS SITEPROP TIEOFF_X42Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y2 CLASS site SITEPROP TIEOFF_X42Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y2 IS_BONDED 0 SITEPROP TIEOFF_X42Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y2 IS_PAD 0 SITEPROP TIEOFF_X42Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y2 IS_RESERVED 0 SITEPROP TIEOFF_X42Y2 IS_TEST 0 SITEPROP TIEOFF_X42Y2 IS_USED 0 SITEPROP TIEOFF_X42Y2 MANUAL_ROUTING SITEPROP TIEOFF_X42Y2 NAME TIEOFF_X42Y2 SITEPROP TIEOFF_X42Y2 NUM_ARCS 0 SITEPROP TIEOFF_X42Y2 NUM_BELS 2 SITEPROP TIEOFF_X42Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y2 NUM_PINS 2 SITEPROP TIEOFF_X42Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y2 PROHIBIT 0 SITEPROP TIEOFF_X42Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y2 RPM_X 164 SITEPROP TIEOFF_X42Y2 RPM_Y 4 SITEPROP TIEOFF_X42Y2 SITE_PIPS SITEPROP TIEOFF_X42Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y3 CLASS site SITEPROP TIEOFF_X42Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y3 IS_BONDED 0 SITEPROP TIEOFF_X42Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y3 IS_PAD 0 SITEPROP TIEOFF_X42Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y3 IS_RESERVED 0 SITEPROP TIEOFF_X42Y3 IS_TEST 0 SITEPROP TIEOFF_X42Y3 IS_USED 0 SITEPROP TIEOFF_X42Y3 MANUAL_ROUTING SITEPROP TIEOFF_X42Y3 NAME TIEOFF_X42Y3 SITEPROP TIEOFF_X42Y3 NUM_ARCS 0 SITEPROP TIEOFF_X42Y3 NUM_BELS 2 SITEPROP TIEOFF_X42Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y3 NUM_PINS 2 SITEPROP TIEOFF_X42Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y3 PROHIBIT 0 SITEPROP TIEOFF_X42Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y3 RPM_X 164 SITEPROP TIEOFF_X42Y3 RPM_Y 6 SITEPROP TIEOFF_X42Y3 SITE_PIPS SITEPROP TIEOFF_X42Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y4 CLASS site SITEPROP TIEOFF_X42Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y4 IS_BONDED 0 SITEPROP TIEOFF_X42Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y4 IS_PAD 0 SITEPROP TIEOFF_X42Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y4 IS_RESERVED 0 SITEPROP TIEOFF_X42Y4 IS_TEST 0 SITEPROP TIEOFF_X42Y4 IS_USED 0 SITEPROP TIEOFF_X42Y4 MANUAL_ROUTING SITEPROP TIEOFF_X42Y4 NAME TIEOFF_X42Y4 SITEPROP TIEOFF_X42Y4 NUM_ARCS 0 SITEPROP TIEOFF_X42Y4 NUM_BELS 2 SITEPROP TIEOFF_X42Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y4 NUM_PINS 2 SITEPROP TIEOFF_X42Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y4 PROHIBIT 0 SITEPROP TIEOFF_X42Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y4 RPM_X 164 SITEPROP TIEOFF_X42Y4 RPM_Y 8 SITEPROP TIEOFF_X42Y4 SITE_PIPS SITEPROP TIEOFF_X42Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y5 CLASS site SITEPROP TIEOFF_X42Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y5 IS_BONDED 0 SITEPROP TIEOFF_X42Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y5 IS_PAD 0 SITEPROP TIEOFF_X42Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y5 IS_RESERVED 0 SITEPROP TIEOFF_X42Y5 IS_TEST 0 SITEPROP TIEOFF_X42Y5 IS_USED 0 SITEPROP TIEOFF_X42Y5 MANUAL_ROUTING SITEPROP TIEOFF_X42Y5 NAME TIEOFF_X42Y5 SITEPROP TIEOFF_X42Y5 NUM_ARCS 0 SITEPROP TIEOFF_X42Y5 NUM_BELS 2 SITEPROP TIEOFF_X42Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y5 NUM_PINS 2 SITEPROP TIEOFF_X42Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y5 PROHIBIT 0 SITEPROP TIEOFF_X42Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y5 RPM_X 164 SITEPROP TIEOFF_X42Y5 RPM_Y 10 SITEPROP TIEOFF_X42Y5 SITE_PIPS SITEPROP TIEOFF_X42Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y6 CLASS site SITEPROP TIEOFF_X42Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y6 IS_BONDED 0 SITEPROP TIEOFF_X42Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y6 IS_PAD 0 SITEPROP TIEOFF_X42Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y6 IS_RESERVED 0 SITEPROP TIEOFF_X42Y6 IS_TEST 0 SITEPROP TIEOFF_X42Y6 IS_USED 0 SITEPROP TIEOFF_X42Y6 MANUAL_ROUTING SITEPROP TIEOFF_X42Y6 NAME TIEOFF_X42Y6 SITEPROP TIEOFF_X42Y6 NUM_ARCS 0 SITEPROP TIEOFF_X42Y6 NUM_BELS 2 SITEPROP TIEOFF_X42Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y6 NUM_PINS 2 SITEPROP TIEOFF_X42Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y6 PROHIBIT 0 SITEPROP TIEOFF_X42Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y6 RPM_X 164 SITEPROP TIEOFF_X42Y6 RPM_Y 12 SITEPROP TIEOFF_X42Y6 SITE_PIPS SITEPROP TIEOFF_X42Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y7 CLASS site SITEPROP TIEOFF_X42Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y7 IS_BONDED 0 SITEPROP TIEOFF_X42Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y7 IS_PAD 0 SITEPROP TIEOFF_X42Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y7 IS_RESERVED 0 SITEPROP TIEOFF_X42Y7 IS_TEST 0 SITEPROP TIEOFF_X42Y7 IS_USED 0 SITEPROP TIEOFF_X42Y7 MANUAL_ROUTING SITEPROP TIEOFF_X42Y7 NAME TIEOFF_X42Y7 SITEPROP TIEOFF_X42Y7 NUM_ARCS 0 SITEPROP TIEOFF_X42Y7 NUM_BELS 2 SITEPROP TIEOFF_X42Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y7 NUM_PINS 2 SITEPROP TIEOFF_X42Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y7 PROHIBIT 0 SITEPROP TIEOFF_X42Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y7 RPM_X 164 SITEPROP TIEOFF_X42Y7 RPM_Y 14 SITEPROP TIEOFF_X42Y7 SITE_PIPS SITEPROP TIEOFF_X42Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y8 CLASS site SITEPROP TIEOFF_X42Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y8 IS_BONDED 0 SITEPROP TIEOFF_X42Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y8 IS_PAD 0 SITEPROP TIEOFF_X42Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y8 IS_RESERVED 0 SITEPROP TIEOFF_X42Y8 IS_TEST 0 SITEPROP TIEOFF_X42Y8 IS_USED 0 SITEPROP TIEOFF_X42Y8 MANUAL_ROUTING SITEPROP TIEOFF_X42Y8 NAME TIEOFF_X42Y8 SITEPROP TIEOFF_X42Y8 NUM_ARCS 0 SITEPROP TIEOFF_X42Y8 NUM_BELS 2 SITEPROP TIEOFF_X42Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y8 NUM_PINS 2 SITEPROP TIEOFF_X42Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y8 PROHIBIT 0 SITEPROP TIEOFF_X42Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y8 RPM_X 164 SITEPROP TIEOFF_X42Y8 RPM_Y 16 SITEPROP TIEOFF_X42Y8 SITE_PIPS SITEPROP TIEOFF_X42Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y9 CLASS site SITEPROP TIEOFF_X42Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y9 IS_BONDED 0 SITEPROP TIEOFF_X42Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y9 IS_PAD 0 SITEPROP TIEOFF_X42Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y9 IS_RESERVED 0 SITEPROP TIEOFF_X42Y9 IS_TEST 0 SITEPROP TIEOFF_X42Y9 IS_USED 0 SITEPROP TIEOFF_X42Y9 MANUAL_ROUTING SITEPROP TIEOFF_X42Y9 NAME TIEOFF_X42Y9 SITEPROP TIEOFF_X42Y9 NUM_ARCS 0 SITEPROP TIEOFF_X42Y9 NUM_BELS 2 SITEPROP TIEOFF_X42Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y9 NUM_PINS 2 SITEPROP TIEOFF_X42Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y9 PROHIBIT 0 SITEPROP TIEOFF_X42Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y9 RPM_X 164 SITEPROP TIEOFF_X42Y9 RPM_Y 18 SITEPROP TIEOFF_X42Y9 SITE_PIPS SITEPROP TIEOFF_X42Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y10 CLASS site SITEPROP TIEOFF_X42Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y10 IS_BONDED 0 SITEPROP TIEOFF_X42Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y10 IS_PAD 0 SITEPROP TIEOFF_X42Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y10 IS_RESERVED 0 SITEPROP TIEOFF_X42Y10 IS_TEST 0 SITEPROP TIEOFF_X42Y10 IS_USED 0 SITEPROP TIEOFF_X42Y10 MANUAL_ROUTING SITEPROP TIEOFF_X42Y10 NAME TIEOFF_X42Y10 SITEPROP TIEOFF_X42Y10 NUM_ARCS 0 SITEPROP TIEOFF_X42Y10 NUM_BELS 2 SITEPROP TIEOFF_X42Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y10 NUM_PINS 2 SITEPROP TIEOFF_X42Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y10 PROHIBIT 0 SITEPROP TIEOFF_X42Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y10 RPM_X 164 SITEPROP TIEOFF_X42Y10 RPM_Y 20 SITEPROP TIEOFF_X42Y10 SITE_PIPS SITEPROP TIEOFF_X42Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y11 CLASS site SITEPROP TIEOFF_X42Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y11 IS_BONDED 0 SITEPROP TIEOFF_X42Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y11 IS_PAD 0 SITEPROP TIEOFF_X42Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y11 IS_RESERVED 0 SITEPROP TIEOFF_X42Y11 IS_TEST 0 SITEPROP TIEOFF_X42Y11 IS_USED 0 SITEPROP TIEOFF_X42Y11 MANUAL_ROUTING SITEPROP TIEOFF_X42Y11 NAME TIEOFF_X42Y11 SITEPROP TIEOFF_X42Y11 NUM_ARCS 0 SITEPROP TIEOFF_X42Y11 NUM_BELS 2 SITEPROP TIEOFF_X42Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y11 NUM_PINS 2 SITEPROP TIEOFF_X42Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y11 PROHIBIT 0 SITEPROP TIEOFF_X42Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y11 RPM_X 164 SITEPROP TIEOFF_X42Y11 RPM_Y 22 SITEPROP TIEOFF_X42Y11 SITE_PIPS SITEPROP TIEOFF_X42Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y12 CLASS site SITEPROP TIEOFF_X42Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y12 IS_BONDED 0 SITEPROP TIEOFF_X42Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y12 IS_PAD 0 SITEPROP TIEOFF_X42Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y12 IS_RESERVED 0 SITEPROP TIEOFF_X42Y12 IS_TEST 0 SITEPROP TIEOFF_X42Y12 IS_USED 0 SITEPROP TIEOFF_X42Y12 MANUAL_ROUTING SITEPROP TIEOFF_X42Y12 NAME TIEOFF_X42Y12 SITEPROP TIEOFF_X42Y12 NUM_ARCS 0 SITEPROP TIEOFF_X42Y12 NUM_BELS 2 SITEPROP TIEOFF_X42Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y12 NUM_PINS 2 SITEPROP TIEOFF_X42Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y12 PROHIBIT 0 SITEPROP TIEOFF_X42Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y12 RPM_X 164 SITEPROP TIEOFF_X42Y12 RPM_Y 24 SITEPROP TIEOFF_X42Y12 SITE_PIPS SITEPROP TIEOFF_X42Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y13 CLASS site SITEPROP TIEOFF_X42Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y13 IS_BONDED 0 SITEPROP TIEOFF_X42Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y13 IS_PAD 0 SITEPROP TIEOFF_X42Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y13 IS_RESERVED 0 SITEPROP TIEOFF_X42Y13 IS_TEST 0 SITEPROP TIEOFF_X42Y13 IS_USED 0 SITEPROP TIEOFF_X42Y13 MANUAL_ROUTING SITEPROP TIEOFF_X42Y13 NAME TIEOFF_X42Y13 SITEPROP TIEOFF_X42Y13 NUM_ARCS 0 SITEPROP TIEOFF_X42Y13 NUM_BELS 2 SITEPROP TIEOFF_X42Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y13 NUM_PINS 2 SITEPROP TIEOFF_X42Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y13 PROHIBIT 0 SITEPROP TIEOFF_X42Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y13 RPM_X 164 SITEPROP TIEOFF_X42Y13 RPM_Y 26 SITEPROP TIEOFF_X42Y13 SITE_PIPS SITEPROP TIEOFF_X42Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y14 CLASS site SITEPROP TIEOFF_X42Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y14 IS_BONDED 0 SITEPROP TIEOFF_X42Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y14 IS_PAD 0 SITEPROP TIEOFF_X42Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y14 IS_RESERVED 0 SITEPROP TIEOFF_X42Y14 IS_TEST 0 SITEPROP TIEOFF_X42Y14 IS_USED 0 SITEPROP TIEOFF_X42Y14 MANUAL_ROUTING SITEPROP TIEOFF_X42Y14 NAME TIEOFF_X42Y14 SITEPROP TIEOFF_X42Y14 NUM_ARCS 0 SITEPROP TIEOFF_X42Y14 NUM_BELS 2 SITEPROP TIEOFF_X42Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y14 NUM_PINS 2 SITEPROP TIEOFF_X42Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y14 PROHIBIT 0 SITEPROP TIEOFF_X42Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y14 RPM_X 164 SITEPROP TIEOFF_X42Y14 RPM_Y 28 SITEPROP TIEOFF_X42Y14 SITE_PIPS SITEPROP TIEOFF_X42Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y15 CLASS site SITEPROP TIEOFF_X42Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y15 IS_BONDED 0 SITEPROP TIEOFF_X42Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y15 IS_PAD 0 SITEPROP TIEOFF_X42Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y15 IS_RESERVED 0 SITEPROP TIEOFF_X42Y15 IS_TEST 0 SITEPROP TIEOFF_X42Y15 IS_USED 0 SITEPROP TIEOFF_X42Y15 MANUAL_ROUTING SITEPROP TIEOFF_X42Y15 NAME TIEOFF_X42Y15 SITEPROP TIEOFF_X42Y15 NUM_ARCS 0 SITEPROP TIEOFF_X42Y15 NUM_BELS 2 SITEPROP TIEOFF_X42Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y15 NUM_PINS 2 SITEPROP TIEOFF_X42Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y15 PROHIBIT 0 SITEPROP TIEOFF_X42Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y15 RPM_X 164 SITEPROP TIEOFF_X42Y15 RPM_Y 30 SITEPROP TIEOFF_X42Y15 SITE_PIPS SITEPROP TIEOFF_X42Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y16 CLASS site SITEPROP TIEOFF_X42Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y16 IS_BONDED 0 SITEPROP TIEOFF_X42Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y16 IS_PAD 0 SITEPROP TIEOFF_X42Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y16 IS_RESERVED 0 SITEPROP TIEOFF_X42Y16 IS_TEST 0 SITEPROP TIEOFF_X42Y16 IS_USED 0 SITEPROP TIEOFF_X42Y16 MANUAL_ROUTING SITEPROP TIEOFF_X42Y16 NAME TIEOFF_X42Y16 SITEPROP TIEOFF_X42Y16 NUM_ARCS 0 SITEPROP TIEOFF_X42Y16 NUM_BELS 2 SITEPROP TIEOFF_X42Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y16 NUM_PINS 2 SITEPROP TIEOFF_X42Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y16 PROHIBIT 0 SITEPROP TIEOFF_X42Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y16 RPM_X 164 SITEPROP TIEOFF_X42Y16 RPM_Y 32 SITEPROP TIEOFF_X42Y16 SITE_PIPS SITEPROP TIEOFF_X42Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y17 CLASS site SITEPROP TIEOFF_X42Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y17 IS_BONDED 0 SITEPROP TIEOFF_X42Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y17 IS_PAD 0 SITEPROP TIEOFF_X42Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y17 IS_RESERVED 0 SITEPROP TIEOFF_X42Y17 IS_TEST 0 SITEPROP TIEOFF_X42Y17 IS_USED 0 SITEPROP TIEOFF_X42Y17 MANUAL_ROUTING SITEPROP TIEOFF_X42Y17 NAME TIEOFF_X42Y17 SITEPROP TIEOFF_X42Y17 NUM_ARCS 0 SITEPROP TIEOFF_X42Y17 NUM_BELS 2 SITEPROP TIEOFF_X42Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y17 NUM_PINS 2 SITEPROP TIEOFF_X42Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y17 PROHIBIT 0 SITEPROP TIEOFF_X42Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y17 RPM_X 164 SITEPROP TIEOFF_X42Y17 RPM_Y 34 SITEPROP TIEOFF_X42Y17 SITE_PIPS SITEPROP TIEOFF_X42Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y18 CLASS site SITEPROP TIEOFF_X42Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y18 IS_BONDED 0 SITEPROP TIEOFF_X42Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y18 IS_PAD 0 SITEPROP TIEOFF_X42Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y18 IS_RESERVED 0 SITEPROP TIEOFF_X42Y18 IS_TEST 0 SITEPROP TIEOFF_X42Y18 IS_USED 0 SITEPROP TIEOFF_X42Y18 MANUAL_ROUTING SITEPROP TIEOFF_X42Y18 NAME TIEOFF_X42Y18 SITEPROP TIEOFF_X42Y18 NUM_ARCS 0 SITEPROP TIEOFF_X42Y18 NUM_BELS 2 SITEPROP TIEOFF_X42Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y18 NUM_PINS 2 SITEPROP TIEOFF_X42Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y18 PROHIBIT 0 SITEPROP TIEOFF_X42Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y18 RPM_X 164 SITEPROP TIEOFF_X42Y18 RPM_Y 36 SITEPROP TIEOFF_X42Y18 SITE_PIPS SITEPROP TIEOFF_X42Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y19 CLASS site SITEPROP TIEOFF_X42Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y19 IS_BONDED 0 SITEPROP TIEOFF_X42Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y19 IS_PAD 0 SITEPROP TIEOFF_X42Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y19 IS_RESERVED 0 SITEPROP TIEOFF_X42Y19 IS_TEST 0 SITEPROP TIEOFF_X42Y19 IS_USED 0 SITEPROP TIEOFF_X42Y19 MANUAL_ROUTING SITEPROP TIEOFF_X42Y19 NAME TIEOFF_X42Y19 SITEPROP TIEOFF_X42Y19 NUM_ARCS 0 SITEPROP TIEOFF_X42Y19 NUM_BELS 2 SITEPROP TIEOFF_X42Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y19 NUM_PINS 2 SITEPROP TIEOFF_X42Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y19 PROHIBIT 0 SITEPROP TIEOFF_X42Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y19 RPM_X 164 SITEPROP TIEOFF_X42Y19 RPM_Y 38 SITEPROP TIEOFF_X42Y19 SITE_PIPS SITEPROP TIEOFF_X42Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y20 CLASS site SITEPROP TIEOFF_X42Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y20 IS_BONDED 0 SITEPROP TIEOFF_X42Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y20 IS_PAD 0 SITEPROP TIEOFF_X42Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y20 IS_RESERVED 0 SITEPROP TIEOFF_X42Y20 IS_TEST 0 SITEPROP TIEOFF_X42Y20 IS_USED 0 SITEPROP TIEOFF_X42Y20 MANUAL_ROUTING SITEPROP TIEOFF_X42Y20 NAME TIEOFF_X42Y20 SITEPROP TIEOFF_X42Y20 NUM_ARCS 0 SITEPROP TIEOFF_X42Y20 NUM_BELS 2 SITEPROP TIEOFF_X42Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y20 NUM_PINS 2 SITEPROP TIEOFF_X42Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y20 PROHIBIT 0 SITEPROP TIEOFF_X42Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y20 RPM_X 164 SITEPROP TIEOFF_X42Y20 RPM_Y 40 SITEPROP TIEOFF_X42Y20 SITE_PIPS SITEPROP TIEOFF_X42Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y21 CLASS site SITEPROP TIEOFF_X42Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y21 IS_BONDED 0 SITEPROP TIEOFF_X42Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y21 IS_PAD 0 SITEPROP TIEOFF_X42Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y21 IS_RESERVED 0 SITEPROP TIEOFF_X42Y21 IS_TEST 0 SITEPROP TIEOFF_X42Y21 IS_USED 0 SITEPROP TIEOFF_X42Y21 MANUAL_ROUTING SITEPROP TIEOFF_X42Y21 NAME TIEOFF_X42Y21 SITEPROP TIEOFF_X42Y21 NUM_ARCS 0 SITEPROP TIEOFF_X42Y21 NUM_BELS 2 SITEPROP TIEOFF_X42Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y21 NUM_PINS 2 SITEPROP TIEOFF_X42Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y21 PROHIBIT 0 SITEPROP TIEOFF_X42Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y21 RPM_X 164 SITEPROP TIEOFF_X42Y21 RPM_Y 42 SITEPROP TIEOFF_X42Y21 SITE_PIPS SITEPROP TIEOFF_X42Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y22 CLASS site SITEPROP TIEOFF_X42Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y22 IS_BONDED 0 SITEPROP TIEOFF_X42Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y22 IS_PAD 0 SITEPROP TIEOFF_X42Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y22 IS_RESERVED 0 SITEPROP TIEOFF_X42Y22 IS_TEST 0 SITEPROP TIEOFF_X42Y22 IS_USED 0 SITEPROP TIEOFF_X42Y22 MANUAL_ROUTING SITEPROP TIEOFF_X42Y22 NAME TIEOFF_X42Y22 SITEPROP TIEOFF_X42Y22 NUM_ARCS 0 SITEPROP TIEOFF_X42Y22 NUM_BELS 2 SITEPROP TIEOFF_X42Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y22 NUM_PINS 2 SITEPROP TIEOFF_X42Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y22 PROHIBIT 0 SITEPROP TIEOFF_X42Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y22 RPM_X 164 SITEPROP TIEOFF_X42Y22 RPM_Y 44 SITEPROP TIEOFF_X42Y22 SITE_PIPS SITEPROP TIEOFF_X42Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y23 CLASS site SITEPROP TIEOFF_X42Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y23 IS_BONDED 0 SITEPROP TIEOFF_X42Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y23 IS_PAD 0 SITEPROP TIEOFF_X42Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y23 IS_RESERVED 0 SITEPROP TIEOFF_X42Y23 IS_TEST 0 SITEPROP TIEOFF_X42Y23 IS_USED 0 SITEPROP TIEOFF_X42Y23 MANUAL_ROUTING SITEPROP TIEOFF_X42Y23 NAME TIEOFF_X42Y23 SITEPROP TIEOFF_X42Y23 NUM_ARCS 0 SITEPROP TIEOFF_X42Y23 NUM_BELS 2 SITEPROP TIEOFF_X42Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y23 NUM_PINS 2 SITEPROP TIEOFF_X42Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y23 PROHIBIT 0 SITEPROP TIEOFF_X42Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y23 RPM_X 164 SITEPROP TIEOFF_X42Y23 RPM_Y 46 SITEPROP TIEOFF_X42Y23 SITE_PIPS SITEPROP TIEOFF_X42Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y24 CLASS site SITEPROP TIEOFF_X42Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y24 IS_BONDED 0 SITEPROP TIEOFF_X42Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y24 IS_PAD 0 SITEPROP TIEOFF_X42Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y24 IS_RESERVED 0 SITEPROP TIEOFF_X42Y24 IS_TEST 0 SITEPROP TIEOFF_X42Y24 IS_USED 0 SITEPROP TIEOFF_X42Y24 MANUAL_ROUTING SITEPROP TIEOFF_X42Y24 NAME TIEOFF_X42Y24 SITEPROP TIEOFF_X42Y24 NUM_ARCS 0 SITEPROP TIEOFF_X42Y24 NUM_BELS 2 SITEPROP TIEOFF_X42Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y24 NUM_PINS 2 SITEPROP TIEOFF_X42Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y24 PROHIBIT 0 SITEPROP TIEOFF_X42Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y24 RPM_X 164 SITEPROP TIEOFF_X42Y24 RPM_Y 48 SITEPROP TIEOFF_X42Y24 SITE_PIPS SITEPROP TIEOFF_X42Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y25 CLASS site SITEPROP TIEOFF_X42Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y25 IS_BONDED 0 SITEPROP TIEOFF_X42Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y25 IS_PAD 0 SITEPROP TIEOFF_X42Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y25 IS_RESERVED 0 SITEPROP TIEOFF_X42Y25 IS_TEST 0 SITEPROP TIEOFF_X42Y25 IS_USED 0 SITEPROP TIEOFF_X42Y25 MANUAL_ROUTING SITEPROP TIEOFF_X42Y25 NAME TIEOFF_X42Y25 SITEPROP TIEOFF_X42Y25 NUM_ARCS 0 SITEPROP TIEOFF_X42Y25 NUM_BELS 2 SITEPROP TIEOFF_X42Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y25 NUM_PINS 2 SITEPROP TIEOFF_X42Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y25 PROHIBIT 0 SITEPROP TIEOFF_X42Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y25 RPM_X 164 SITEPROP TIEOFF_X42Y25 RPM_Y 50 SITEPROP TIEOFF_X42Y25 SITE_PIPS SITEPROP TIEOFF_X42Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y26 CLASS site SITEPROP TIEOFF_X42Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y26 IS_BONDED 0 SITEPROP TIEOFF_X42Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y26 IS_PAD 0 SITEPROP TIEOFF_X42Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y26 IS_RESERVED 0 SITEPROP TIEOFF_X42Y26 IS_TEST 0 SITEPROP TIEOFF_X42Y26 IS_USED 0 SITEPROP TIEOFF_X42Y26 MANUAL_ROUTING SITEPROP TIEOFF_X42Y26 NAME TIEOFF_X42Y26 SITEPROP TIEOFF_X42Y26 NUM_ARCS 0 SITEPROP TIEOFF_X42Y26 NUM_BELS 2 SITEPROP TIEOFF_X42Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y26 NUM_PINS 2 SITEPROP TIEOFF_X42Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y26 PROHIBIT 0 SITEPROP TIEOFF_X42Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y26 RPM_X 164 SITEPROP TIEOFF_X42Y26 RPM_Y 52 SITEPROP TIEOFF_X42Y26 SITE_PIPS SITEPROP TIEOFF_X42Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y27 CLASS site SITEPROP TIEOFF_X42Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y27 IS_BONDED 0 SITEPROP TIEOFF_X42Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y27 IS_PAD 0 SITEPROP TIEOFF_X42Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y27 IS_RESERVED 0 SITEPROP TIEOFF_X42Y27 IS_TEST 0 SITEPROP TIEOFF_X42Y27 IS_USED 0 SITEPROP TIEOFF_X42Y27 MANUAL_ROUTING SITEPROP TIEOFF_X42Y27 NAME TIEOFF_X42Y27 SITEPROP TIEOFF_X42Y27 NUM_ARCS 0 SITEPROP TIEOFF_X42Y27 NUM_BELS 2 SITEPROP TIEOFF_X42Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y27 NUM_PINS 2 SITEPROP TIEOFF_X42Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y27 PROHIBIT 0 SITEPROP TIEOFF_X42Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y27 RPM_X 164 SITEPROP TIEOFF_X42Y27 RPM_Y 54 SITEPROP TIEOFF_X42Y27 SITE_PIPS SITEPROP TIEOFF_X42Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y28 CLASS site SITEPROP TIEOFF_X42Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y28 IS_BONDED 0 SITEPROP TIEOFF_X42Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y28 IS_PAD 0 SITEPROP TIEOFF_X42Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y28 IS_RESERVED 0 SITEPROP TIEOFF_X42Y28 IS_TEST 0 SITEPROP TIEOFF_X42Y28 IS_USED 0 SITEPROP TIEOFF_X42Y28 MANUAL_ROUTING SITEPROP TIEOFF_X42Y28 NAME TIEOFF_X42Y28 SITEPROP TIEOFF_X42Y28 NUM_ARCS 0 SITEPROP TIEOFF_X42Y28 NUM_BELS 2 SITEPROP TIEOFF_X42Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y28 NUM_PINS 2 SITEPROP TIEOFF_X42Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y28 PROHIBIT 0 SITEPROP TIEOFF_X42Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y28 RPM_X 164 SITEPROP TIEOFF_X42Y28 RPM_Y 56 SITEPROP TIEOFF_X42Y28 SITE_PIPS SITEPROP TIEOFF_X42Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y29 CLASS site SITEPROP TIEOFF_X42Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y29 IS_BONDED 0 SITEPROP TIEOFF_X42Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y29 IS_PAD 0 SITEPROP TIEOFF_X42Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y29 IS_RESERVED 0 SITEPROP TIEOFF_X42Y29 IS_TEST 0 SITEPROP TIEOFF_X42Y29 IS_USED 0 SITEPROP TIEOFF_X42Y29 MANUAL_ROUTING SITEPROP TIEOFF_X42Y29 NAME TIEOFF_X42Y29 SITEPROP TIEOFF_X42Y29 NUM_ARCS 0 SITEPROP TIEOFF_X42Y29 NUM_BELS 2 SITEPROP TIEOFF_X42Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y29 NUM_PINS 2 SITEPROP TIEOFF_X42Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y29 PROHIBIT 0 SITEPROP TIEOFF_X42Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y29 RPM_X 164 SITEPROP TIEOFF_X42Y29 RPM_Y 58 SITEPROP TIEOFF_X42Y29 SITE_PIPS SITEPROP TIEOFF_X42Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y30 CLASS site SITEPROP TIEOFF_X42Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y30 IS_BONDED 0 SITEPROP TIEOFF_X42Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y30 IS_PAD 0 SITEPROP TIEOFF_X42Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y30 IS_RESERVED 0 SITEPROP TIEOFF_X42Y30 IS_TEST 0 SITEPROP TIEOFF_X42Y30 IS_USED 0 SITEPROP TIEOFF_X42Y30 MANUAL_ROUTING SITEPROP TIEOFF_X42Y30 NAME TIEOFF_X42Y30 SITEPROP TIEOFF_X42Y30 NUM_ARCS 0 SITEPROP TIEOFF_X42Y30 NUM_BELS 2 SITEPROP TIEOFF_X42Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y30 NUM_PINS 2 SITEPROP TIEOFF_X42Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y30 PROHIBIT 0 SITEPROP TIEOFF_X42Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y30 RPM_X 164 SITEPROP TIEOFF_X42Y30 RPM_Y 60 SITEPROP TIEOFF_X42Y30 SITE_PIPS SITEPROP TIEOFF_X42Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y31 CLASS site SITEPROP TIEOFF_X42Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y31 IS_BONDED 0 SITEPROP TIEOFF_X42Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y31 IS_PAD 0 SITEPROP TIEOFF_X42Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y31 IS_RESERVED 0 SITEPROP TIEOFF_X42Y31 IS_TEST 0 SITEPROP TIEOFF_X42Y31 IS_USED 0 SITEPROP TIEOFF_X42Y31 MANUAL_ROUTING SITEPROP TIEOFF_X42Y31 NAME TIEOFF_X42Y31 SITEPROP TIEOFF_X42Y31 NUM_ARCS 0 SITEPROP TIEOFF_X42Y31 NUM_BELS 2 SITEPROP TIEOFF_X42Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y31 NUM_PINS 2 SITEPROP TIEOFF_X42Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y31 PROHIBIT 0 SITEPROP TIEOFF_X42Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y31 RPM_X 164 SITEPROP TIEOFF_X42Y31 RPM_Y 62 SITEPROP TIEOFF_X42Y31 SITE_PIPS SITEPROP TIEOFF_X42Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y32 CLASS site SITEPROP TIEOFF_X42Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y32 IS_BONDED 0 SITEPROP TIEOFF_X42Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y32 IS_PAD 0 SITEPROP TIEOFF_X42Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y32 IS_RESERVED 0 SITEPROP TIEOFF_X42Y32 IS_TEST 0 SITEPROP TIEOFF_X42Y32 IS_USED 0 SITEPROP TIEOFF_X42Y32 MANUAL_ROUTING SITEPROP TIEOFF_X42Y32 NAME TIEOFF_X42Y32 SITEPROP TIEOFF_X42Y32 NUM_ARCS 0 SITEPROP TIEOFF_X42Y32 NUM_BELS 2 SITEPROP TIEOFF_X42Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y32 NUM_PINS 2 SITEPROP TIEOFF_X42Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y32 PROHIBIT 0 SITEPROP TIEOFF_X42Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y32 RPM_X 164 SITEPROP TIEOFF_X42Y32 RPM_Y 64 SITEPROP TIEOFF_X42Y32 SITE_PIPS SITEPROP TIEOFF_X42Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y33 CLASS site SITEPROP TIEOFF_X42Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y33 IS_BONDED 0 SITEPROP TIEOFF_X42Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y33 IS_PAD 0 SITEPROP TIEOFF_X42Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y33 IS_RESERVED 0 SITEPROP TIEOFF_X42Y33 IS_TEST 0 SITEPROP TIEOFF_X42Y33 IS_USED 0 SITEPROP TIEOFF_X42Y33 MANUAL_ROUTING SITEPROP TIEOFF_X42Y33 NAME TIEOFF_X42Y33 SITEPROP TIEOFF_X42Y33 NUM_ARCS 0 SITEPROP TIEOFF_X42Y33 NUM_BELS 2 SITEPROP TIEOFF_X42Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y33 NUM_PINS 2 SITEPROP TIEOFF_X42Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y33 PROHIBIT 0 SITEPROP TIEOFF_X42Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y33 RPM_X 164 SITEPROP TIEOFF_X42Y33 RPM_Y 66 SITEPROP TIEOFF_X42Y33 SITE_PIPS SITEPROP TIEOFF_X42Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y34 CLASS site SITEPROP TIEOFF_X42Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y34 IS_BONDED 0 SITEPROP TIEOFF_X42Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y34 IS_PAD 0 SITEPROP TIEOFF_X42Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y34 IS_RESERVED 0 SITEPROP TIEOFF_X42Y34 IS_TEST 0 SITEPROP TIEOFF_X42Y34 IS_USED 0 SITEPROP TIEOFF_X42Y34 MANUAL_ROUTING SITEPROP TIEOFF_X42Y34 NAME TIEOFF_X42Y34 SITEPROP TIEOFF_X42Y34 NUM_ARCS 0 SITEPROP TIEOFF_X42Y34 NUM_BELS 2 SITEPROP TIEOFF_X42Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y34 NUM_PINS 2 SITEPROP TIEOFF_X42Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y34 PROHIBIT 0 SITEPROP TIEOFF_X42Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y34 RPM_X 164 SITEPROP TIEOFF_X42Y34 RPM_Y 68 SITEPROP TIEOFF_X42Y34 SITE_PIPS SITEPROP TIEOFF_X42Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y35 CLASS site SITEPROP TIEOFF_X42Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y35 IS_BONDED 0 SITEPROP TIEOFF_X42Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y35 IS_PAD 0 SITEPROP TIEOFF_X42Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y35 IS_RESERVED 0 SITEPROP TIEOFF_X42Y35 IS_TEST 0 SITEPROP TIEOFF_X42Y35 IS_USED 0 SITEPROP TIEOFF_X42Y35 MANUAL_ROUTING SITEPROP TIEOFF_X42Y35 NAME TIEOFF_X42Y35 SITEPROP TIEOFF_X42Y35 NUM_ARCS 0 SITEPROP TIEOFF_X42Y35 NUM_BELS 2 SITEPROP TIEOFF_X42Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y35 NUM_PINS 2 SITEPROP TIEOFF_X42Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y35 PROHIBIT 0 SITEPROP TIEOFF_X42Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y35 RPM_X 164 SITEPROP TIEOFF_X42Y35 RPM_Y 70 SITEPROP TIEOFF_X42Y35 SITE_PIPS SITEPROP TIEOFF_X42Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y36 CLASS site SITEPROP TIEOFF_X42Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y36 IS_BONDED 0 SITEPROP TIEOFF_X42Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y36 IS_PAD 0 SITEPROP TIEOFF_X42Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y36 IS_RESERVED 0 SITEPROP TIEOFF_X42Y36 IS_TEST 0 SITEPROP TIEOFF_X42Y36 IS_USED 0 SITEPROP TIEOFF_X42Y36 MANUAL_ROUTING SITEPROP TIEOFF_X42Y36 NAME TIEOFF_X42Y36 SITEPROP TIEOFF_X42Y36 NUM_ARCS 0 SITEPROP TIEOFF_X42Y36 NUM_BELS 2 SITEPROP TIEOFF_X42Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y36 NUM_PINS 2 SITEPROP TIEOFF_X42Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y36 PROHIBIT 0 SITEPROP TIEOFF_X42Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y36 RPM_X 164 SITEPROP TIEOFF_X42Y36 RPM_Y 72 SITEPROP TIEOFF_X42Y36 SITE_PIPS SITEPROP TIEOFF_X42Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y37 CLASS site SITEPROP TIEOFF_X42Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y37 IS_BONDED 0 SITEPROP TIEOFF_X42Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y37 IS_PAD 0 SITEPROP TIEOFF_X42Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y37 IS_RESERVED 0 SITEPROP TIEOFF_X42Y37 IS_TEST 0 SITEPROP TIEOFF_X42Y37 IS_USED 0 SITEPROP TIEOFF_X42Y37 MANUAL_ROUTING SITEPROP TIEOFF_X42Y37 NAME TIEOFF_X42Y37 SITEPROP TIEOFF_X42Y37 NUM_ARCS 0 SITEPROP TIEOFF_X42Y37 NUM_BELS 2 SITEPROP TIEOFF_X42Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y37 NUM_PINS 2 SITEPROP TIEOFF_X42Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y37 PROHIBIT 0 SITEPROP TIEOFF_X42Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y37 RPM_X 164 SITEPROP TIEOFF_X42Y37 RPM_Y 74 SITEPROP TIEOFF_X42Y37 SITE_PIPS SITEPROP TIEOFF_X42Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y38 CLASS site SITEPROP TIEOFF_X42Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y38 IS_BONDED 0 SITEPROP TIEOFF_X42Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y38 IS_PAD 0 SITEPROP TIEOFF_X42Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y38 IS_RESERVED 0 SITEPROP TIEOFF_X42Y38 IS_TEST 0 SITEPROP TIEOFF_X42Y38 IS_USED 0 SITEPROP TIEOFF_X42Y38 MANUAL_ROUTING SITEPROP TIEOFF_X42Y38 NAME TIEOFF_X42Y38 SITEPROP TIEOFF_X42Y38 NUM_ARCS 0 SITEPROP TIEOFF_X42Y38 NUM_BELS 2 SITEPROP TIEOFF_X42Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y38 NUM_PINS 2 SITEPROP TIEOFF_X42Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y38 PROHIBIT 0 SITEPROP TIEOFF_X42Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y38 RPM_X 164 SITEPROP TIEOFF_X42Y38 RPM_Y 76 SITEPROP TIEOFF_X42Y38 SITE_PIPS SITEPROP TIEOFF_X42Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y39 CLASS site SITEPROP TIEOFF_X42Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y39 IS_BONDED 0 SITEPROP TIEOFF_X42Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y39 IS_PAD 0 SITEPROP TIEOFF_X42Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y39 IS_RESERVED 0 SITEPROP TIEOFF_X42Y39 IS_TEST 0 SITEPROP TIEOFF_X42Y39 IS_USED 0 SITEPROP TIEOFF_X42Y39 MANUAL_ROUTING SITEPROP TIEOFF_X42Y39 NAME TIEOFF_X42Y39 SITEPROP TIEOFF_X42Y39 NUM_ARCS 0 SITEPROP TIEOFF_X42Y39 NUM_BELS 2 SITEPROP TIEOFF_X42Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y39 NUM_PINS 2 SITEPROP TIEOFF_X42Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y39 PROHIBIT 0 SITEPROP TIEOFF_X42Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y39 RPM_X 164 SITEPROP TIEOFF_X42Y39 RPM_Y 78 SITEPROP TIEOFF_X42Y39 SITE_PIPS SITEPROP TIEOFF_X42Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y40 CLASS site SITEPROP TIEOFF_X42Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y40 IS_BONDED 0 SITEPROP TIEOFF_X42Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y40 IS_PAD 0 SITEPROP TIEOFF_X42Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y40 IS_RESERVED 0 SITEPROP TIEOFF_X42Y40 IS_TEST 0 SITEPROP TIEOFF_X42Y40 IS_USED 0 SITEPROP TIEOFF_X42Y40 MANUAL_ROUTING SITEPROP TIEOFF_X42Y40 NAME TIEOFF_X42Y40 SITEPROP TIEOFF_X42Y40 NUM_ARCS 0 SITEPROP TIEOFF_X42Y40 NUM_BELS 2 SITEPROP TIEOFF_X42Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y40 NUM_PINS 2 SITEPROP TIEOFF_X42Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y40 PROHIBIT 0 SITEPROP TIEOFF_X42Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y40 RPM_X 164 SITEPROP TIEOFF_X42Y40 RPM_Y 80 SITEPROP TIEOFF_X42Y40 SITE_PIPS SITEPROP TIEOFF_X42Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y41 CLASS site SITEPROP TIEOFF_X42Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y41 IS_BONDED 0 SITEPROP TIEOFF_X42Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y41 IS_PAD 0 SITEPROP TIEOFF_X42Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y41 IS_RESERVED 0 SITEPROP TIEOFF_X42Y41 IS_TEST 0 SITEPROP TIEOFF_X42Y41 IS_USED 0 SITEPROP TIEOFF_X42Y41 MANUAL_ROUTING SITEPROP TIEOFF_X42Y41 NAME TIEOFF_X42Y41 SITEPROP TIEOFF_X42Y41 NUM_ARCS 0 SITEPROP TIEOFF_X42Y41 NUM_BELS 2 SITEPROP TIEOFF_X42Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y41 NUM_PINS 2 SITEPROP TIEOFF_X42Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y41 PROHIBIT 0 SITEPROP TIEOFF_X42Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y41 RPM_X 164 SITEPROP TIEOFF_X42Y41 RPM_Y 82 SITEPROP TIEOFF_X42Y41 SITE_PIPS SITEPROP TIEOFF_X42Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y42 CLASS site SITEPROP TIEOFF_X42Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y42 IS_BONDED 0 SITEPROP TIEOFF_X42Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y42 IS_PAD 0 SITEPROP TIEOFF_X42Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y42 IS_RESERVED 0 SITEPROP TIEOFF_X42Y42 IS_TEST 0 SITEPROP TIEOFF_X42Y42 IS_USED 0 SITEPROP TIEOFF_X42Y42 MANUAL_ROUTING SITEPROP TIEOFF_X42Y42 NAME TIEOFF_X42Y42 SITEPROP TIEOFF_X42Y42 NUM_ARCS 0 SITEPROP TIEOFF_X42Y42 NUM_BELS 2 SITEPROP TIEOFF_X42Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y42 NUM_PINS 2 SITEPROP TIEOFF_X42Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y42 PROHIBIT 0 SITEPROP TIEOFF_X42Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y42 RPM_X 164 SITEPROP TIEOFF_X42Y42 RPM_Y 84 SITEPROP TIEOFF_X42Y42 SITE_PIPS SITEPROP TIEOFF_X42Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y43 CLASS site SITEPROP TIEOFF_X42Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y43 IS_BONDED 0 SITEPROP TIEOFF_X42Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y43 IS_PAD 0 SITEPROP TIEOFF_X42Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y43 IS_RESERVED 0 SITEPROP TIEOFF_X42Y43 IS_TEST 0 SITEPROP TIEOFF_X42Y43 IS_USED 0 SITEPROP TIEOFF_X42Y43 MANUAL_ROUTING SITEPROP TIEOFF_X42Y43 NAME TIEOFF_X42Y43 SITEPROP TIEOFF_X42Y43 NUM_ARCS 0 SITEPROP TIEOFF_X42Y43 NUM_BELS 2 SITEPROP TIEOFF_X42Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y43 NUM_PINS 2 SITEPROP TIEOFF_X42Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y43 PROHIBIT 0 SITEPROP TIEOFF_X42Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y43 RPM_X 164 SITEPROP TIEOFF_X42Y43 RPM_Y 86 SITEPROP TIEOFF_X42Y43 SITE_PIPS SITEPROP TIEOFF_X42Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y44 CLASS site SITEPROP TIEOFF_X42Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y44 IS_BONDED 0 SITEPROP TIEOFF_X42Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y44 IS_PAD 0 SITEPROP TIEOFF_X42Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y44 IS_RESERVED 0 SITEPROP TIEOFF_X42Y44 IS_TEST 0 SITEPROP TIEOFF_X42Y44 IS_USED 0 SITEPROP TIEOFF_X42Y44 MANUAL_ROUTING SITEPROP TIEOFF_X42Y44 NAME TIEOFF_X42Y44 SITEPROP TIEOFF_X42Y44 NUM_ARCS 0 SITEPROP TIEOFF_X42Y44 NUM_BELS 2 SITEPROP TIEOFF_X42Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y44 NUM_PINS 2 SITEPROP TIEOFF_X42Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y44 PROHIBIT 0 SITEPROP TIEOFF_X42Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y44 RPM_X 164 SITEPROP TIEOFF_X42Y44 RPM_Y 88 SITEPROP TIEOFF_X42Y44 SITE_PIPS SITEPROP TIEOFF_X42Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y45 CLASS site SITEPROP TIEOFF_X42Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y45 IS_BONDED 0 SITEPROP TIEOFF_X42Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y45 IS_PAD 0 SITEPROP TIEOFF_X42Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y45 IS_RESERVED 0 SITEPROP TIEOFF_X42Y45 IS_TEST 0 SITEPROP TIEOFF_X42Y45 IS_USED 0 SITEPROP TIEOFF_X42Y45 MANUAL_ROUTING SITEPROP TIEOFF_X42Y45 NAME TIEOFF_X42Y45 SITEPROP TIEOFF_X42Y45 NUM_ARCS 0 SITEPROP TIEOFF_X42Y45 NUM_BELS 2 SITEPROP TIEOFF_X42Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y45 NUM_PINS 2 SITEPROP TIEOFF_X42Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y45 PROHIBIT 0 SITEPROP TIEOFF_X42Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y45 RPM_X 164 SITEPROP TIEOFF_X42Y45 RPM_Y 90 SITEPROP TIEOFF_X42Y45 SITE_PIPS SITEPROP TIEOFF_X42Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y46 CLASS site SITEPROP TIEOFF_X42Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y46 IS_BONDED 0 SITEPROP TIEOFF_X42Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y46 IS_PAD 0 SITEPROP TIEOFF_X42Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y46 IS_RESERVED 0 SITEPROP TIEOFF_X42Y46 IS_TEST 0 SITEPROP TIEOFF_X42Y46 IS_USED 0 SITEPROP TIEOFF_X42Y46 MANUAL_ROUTING SITEPROP TIEOFF_X42Y46 NAME TIEOFF_X42Y46 SITEPROP TIEOFF_X42Y46 NUM_ARCS 0 SITEPROP TIEOFF_X42Y46 NUM_BELS 2 SITEPROP TIEOFF_X42Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y46 NUM_PINS 2 SITEPROP TIEOFF_X42Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y46 PROHIBIT 0 SITEPROP TIEOFF_X42Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y46 RPM_X 164 SITEPROP TIEOFF_X42Y46 RPM_Y 92 SITEPROP TIEOFF_X42Y46 SITE_PIPS SITEPROP TIEOFF_X42Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y47 CLASS site SITEPROP TIEOFF_X42Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y47 IS_BONDED 0 SITEPROP TIEOFF_X42Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y47 IS_PAD 0 SITEPROP TIEOFF_X42Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y47 IS_RESERVED 0 SITEPROP TIEOFF_X42Y47 IS_TEST 0 SITEPROP TIEOFF_X42Y47 IS_USED 0 SITEPROP TIEOFF_X42Y47 MANUAL_ROUTING SITEPROP TIEOFF_X42Y47 NAME TIEOFF_X42Y47 SITEPROP TIEOFF_X42Y47 NUM_ARCS 0 SITEPROP TIEOFF_X42Y47 NUM_BELS 2 SITEPROP TIEOFF_X42Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y47 NUM_PINS 2 SITEPROP TIEOFF_X42Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y47 PROHIBIT 0 SITEPROP TIEOFF_X42Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y47 RPM_X 164 SITEPROP TIEOFF_X42Y47 RPM_Y 94 SITEPROP TIEOFF_X42Y47 SITE_PIPS SITEPROP TIEOFF_X42Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y48 CLASS site SITEPROP TIEOFF_X42Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y48 IS_BONDED 0 SITEPROP TIEOFF_X42Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y48 IS_PAD 0 SITEPROP TIEOFF_X42Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y48 IS_RESERVED 0 SITEPROP TIEOFF_X42Y48 IS_TEST 0 SITEPROP TIEOFF_X42Y48 IS_USED 0 SITEPROP TIEOFF_X42Y48 MANUAL_ROUTING SITEPROP TIEOFF_X42Y48 NAME TIEOFF_X42Y48 SITEPROP TIEOFF_X42Y48 NUM_ARCS 0 SITEPROP TIEOFF_X42Y48 NUM_BELS 2 SITEPROP TIEOFF_X42Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y48 NUM_PINS 2 SITEPROP TIEOFF_X42Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y48 PROHIBIT 0 SITEPROP TIEOFF_X42Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y48 RPM_X 164 SITEPROP TIEOFF_X42Y48 RPM_Y 96 SITEPROP TIEOFF_X42Y48 SITE_PIPS SITEPROP TIEOFF_X42Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y49 CLASS site SITEPROP TIEOFF_X42Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X42Y49 IS_BONDED 0 SITEPROP TIEOFF_X42Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y49 IS_PAD 0 SITEPROP TIEOFF_X42Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y49 IS_RESERVED 0 SITEPROP TIEOFF_X42Y49 IS_TEST 0 SITEPROP TIEOFF_X42Y49 IS_USED 0 SITEPROP TIEOFF_X42Y49 MANUAL_ROUTING SITEPROP TIEOFF_X42Y49 NAME TIEOFF_X42Y49 SITEPROP TIEOFF_X42Y49 NUM_ARCS 0 SITEPROP TIEOFF_X42Y49 NUM_BELS 2 SITEPROP TIEOFF_X42Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y49 NUM_PINS 2 SITEPROP TIEOFF_X42Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y49 PROHIBIT 0 SITEPROP TIEOFF_X42Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y49 RPM_X 164 SITEPROP TIEOFF_X42Y49 RPM_Y 98 SITEPROP TIEOFF_X42Y49 SITE_PIPS SITEPROP TIEOFF_X42Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y50 CLASS site SITEPROP TIEOFF_X42Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y50 IS_BONDED 0 SITEPROP TIEOFF_X42Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y50 IS_PAD 0 SITEPROP TIEOFF_X42Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y50 IS_RESERVED 0 SITEPROP TIEOFF_X42Y50 IS_TEST 0 SITEPROP TIEOFF_X42Y50 IS_USED 0 SITEPROP TIEOFF_X42Y50 MANUAL_ROUTING SITEPROP TIEOFF_X42Y50 NAME TIEOFF_X42Y50 SITEPROP TIEOFF_X42Y50 NUM_ARCS 0 SITEPROP TIEOFF_X42Y50 NUM_BELS 2 SITEPROP TIEOFF_X42Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y50 NUM_PINS 2 SITEPROP TIEOFF_X42Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y50 PROHIBIT 0 SITEPROP TIEOFF_X42Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y50 RPM_X 164 SITEPROP TIEOFF_X42Y50 RPM_Y 100 SITEPROP TIEOFF_X42Y50 SITE_PIPS SITEPROP TIEOFF_X42Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y51 CLASS site SITEPROP TIEOFF_X42Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y51 IS_BONDED 0 SITEPROP TIEOFF_X42Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y51 IS_PAD 0 SITEPROP TIEOFF_X42Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y51 IS_RESERVED 0 SITEPROP TIEOFF_X42Y51 IS_TEST 0 SITEPROP TIEOFF_X42Y51 IS_USED 0 SITEPROP TIEOFF_X42Y51 MANUAL_ROUTING SITEPROP TIEOFF_X42Y51 NAME TIEOFF_X42Y51 SITEPROP TIEOFF_X42Y51 NUM_ARCS 0 SITEPROP TIEOFF_X42Y51 NUM_BELS 2 SITEPROP TIEOFF_X42Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y51 NUM_PINS 2 SITEPROP TIEOFF_X42Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y51 PROHIBIT 0 SITEPROP TIEOFF_X42Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y51 RPM_X 164 SITEPROP TIEOFF_X42Y51 RPM_Y 102 SITEPROP TIEOFF_X42Y51 SITE_PIPS SITEPROP TIEOFF_X42Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y52 CLASS site SITEPROP TIEOFF_X42Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y52 IS_BONDED 0 SITEPROP TIEOFF_X42Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y52 IS_PAD 0 SITEPROP TIEOFF_X42Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y52 IS_RESERVED 0 SITEPROP TIEOFF_X42Y52 IS_TEST 0 SITEPROP TIEOFF_X42Y52 IS_USED 0 SITEPROP TIEOFF_X42Y52 MANUAL_ROUTING SITEPROP TIEOFF_X42Y52 NAME TIEOFF_X42Y52 SITEPROP TIEOFF_X42Y52 NUM_ARCS 0 SITEPROP TIEOFF_X42Y52 NUM_BELS 2 SITEPROP TIEOFF_X42Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y52 NUM_PINS 2 SITEPROP TIEOFF_X42Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y52 PROHIBIT 0 SITEPROP TIEOFF_X42Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y52 RPM_X 164 SITEPROP TIEOFF_X42Y52 RPM_Y 104 SITEPROP TIEOFF_X42Y52 SITE_PIPS SITEPROP TIEOFF_X42Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y53 CLASS site SITEPROP TIEOFF_X42Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y53 IS_BONDED 0 SITEPROP TIEOFF_X42Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y53 IS_PAD 0 SITEPROP TIEOFF_X42Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y53 IS_RESERVED 0 SITEPROP TIEOFF_X42Y53 IS_TEST 0 SITEPROP TIEOFF_X42Y53 IS_USED 0 SITEPROP TIEOFF_X42Y53 MANUAL_ROUTING SITEPROP TIEOFF_X42Y53 NAME TIEOFF_X42Y53 SITEPROP TIEOFF_X42Y53 NUM_ARCS 0 SITEPROP TIEOFF_X42Y53 NUM_BELS 2 SITEPROP TIEOFF_X42Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y53 NUM_PINS 2 SITEPROP TIEOFF_X42Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y53 PROHIBIT 0 SITEPROP TIEOFF_X42Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y53 RPM_X 164 SITEPROP TIEOFF_X42Y53 RPM_Y 106 SITEPROP TIEOFF_X42Y53 SITE_PIPS SITEPROP TIEOFF_X42Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y54 CLASS site SITEPROP TIEOFF_X42Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y54 IS_BONDED 0 SITEPROP TIEOFF_X42Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y54 IS_PAD 0 SITEPROP TIEOFF_X42Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y54 IS_RESERVED 0 SITEPROP TIEOFF_X42Y54 IS_TEST 0 SITEPROP TIEOFF_X42Y54 IS_USED 0 SITEPROP TIEOFF_X42Y54 MANUAL_ROUTING SITEPROP TIEOFF_X42Y54 NAME TIEOFF_X42Y54 SITEPROP TIEOFF_X42Y54 NUM_ARCS 0 SITEPROP TIEOFF_X42Y54 NUM_BELS 2 SITEPROP TIEOFF_X42Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y54 NUM_PINS 2 SITEPROP TIEOFF_X42Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y54 PROHIBIT 0 SITEPROP TIEOFF_X42Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y54 RPM_X 164 SITEPROP TIEOFF_X42Y54 RPM_Y 108 SITEPROP TIEOFF_X42Y54 SITE_PIPS SITEPROP TIEOFF_X42Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y55 CLASS site SITEPROP TIEOFF_X42Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y55 IS_BONDED 0 SITEPROP TIEOFF_X42Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y55 IS_PAD 0 SITEPROP TIEOFF_X42Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y55 IS_RESERVED 0 SITEPROP TIEOFF_X42Y55 IS_TEST 0 SITEPROP TIEOFF_X42Y55 IS_USED 0 SITEPROP TIEOFF_X42Y55 MANUAL_ROUTING SITEPROP TIEOFF_X42Y55 NAME TIEOFF_X42Y55 SITEPROP TIEOFF_X42Y55 NUM_ARCS 0 SITEPROP TIEOFF_X42Y55 NUM_BELS 2 SITEPROP TIEOFF_X42Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y55 NUM_PINS 2 SITEPROP TIEOFF_X42Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y55 PROHIBIT 0 SITEPROP TIEOFF_X42Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y55 RPM_X 164 SITEPROP TIEOFF_X42Y55 RPM_Y 110 SITEPROP TIEOFF_X42Y55 SITE_PIPS SITEPROP TIEOFF_X42Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y56 CLASS site SITEPROP TIEOFF_X42Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y56 IS_BONDED 0 SITEPROP TIEOFF_X42Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y56 IS_PAD 0 SITEPROP TIEOFF_X42Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y56 IS_RESERVED 0 SITEPROP TIEOFF_X42Y56 IS_TEST 0 SITEPROP TIEOFF_X42Y56 IS_USED 0 SITEPROP TIEOFF_X42Y56 MANUAL_ROUTING SITEPROP TIEOFF_X42Y56 NAME TIEOFF_X42Y56 SITEPROP TIEOFF_X42Y56 NUM_ARCS 0 SITEPROP TIEOFF_X42Y56 NUM_BELS 2 SITEPROP TIEOFF_X42Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y56 NUM_PINS 2 SITEPROP TIEOFF_X42Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y56 PROHIBIT 0 SITEPROP TIEOFF_X42Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y56 RPM_X 164 SITEPROP TIEOFF_X42Y56 RPM_Y 112 SITEPROP TIEOFF_X42Y56 SITE_PIPS SITEPROP TIEOFF_X42Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y57 CLASS site SITEPROP TIEOFF_X42Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y57 IS_BONDED 0 SITEPROP TIEOFF_X42Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y57 IS_PAD 0 SITEPROP TIEOFF_X42Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y57 IS_RESERVED 0 SITEPROP TIEOFF_X42Y57 IS_TEST 0 SITEPROP TIEOFF_X42Y57 IS_USED 0 SITEPROP TIEOFF_X42Y57 MANUAL_ROUTING SITEPROP TIEOFF_X42Y57 NAME TIEOFF_X42Y57 SITEPROP TIEOFF_X42Y57 NUM_ARCS 0 SITEPROP TIEOFF_X42Y57 NUM_BELS 2 SITEPROP TIEOFF_X42Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y57 NUM_PINS 2 SITEPROP TIEOFF_X42Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y57 PROHIBIT 0 SITEPROP TIEOFF_X42Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y57 RPM_X 164 SITEPROP TIEOFF_X42Y57 RPM_Y 114 SITEPROP TIEOFF_X42Y57 SITE_PIPS SITEPROP TIEOFF_X42Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y58 CLASS site SITEPROP TIEOFF_X42Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y58 IS_BONDED 0 SITEPROP TIEOFF_X42Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y58 IS_PAD 0 SITEPROP TIEOFF_X42Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y58 IS_RESERVED 0 SITEPROP TIEOFF_X42Y58 IS_TEST 0 SITEPROP TIEOFF_X42Y58 IS_USED 0 SITEPROP TIEOFF_X42Y58 MANUAL_ROUTING SITEPROP TIEOFF_X42Y58 NAME TIEOFF_X42Y58 SITEPROP TIEOFF_X42Y58 NUM_ARCS 0 SITEPROP TIEOFF_X42Y58 NUM_BELS 2 SITEPROP TIEOFF_X42Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y58 NUM_PINS 2 SITEPROP TIEOFF_X42Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y58 PROHIBIT 0 SITEPROP TIEOFF_X42Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y58 RPM_X 164 SITEPROP TIEOFF_X42Y58 RPM_Y 116 SITEPROP TIEOFF_X42Y58 SITE_PIPS SITEPROP TIEOFF_X42Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y59 CLASS site SITEPROP TIEOFF_X42Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y59 IS_BONDED 0 SITEPROP TIEOFF_X42Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y59 IS_PAD 0 SITEPROP TIEOFF_X42Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y59 IS_RESERVED 0 SITEPROP TIEOFF_X42Y59 IS_TEST 0 SITEPROP TIEOFF_X42Y59 IS_USED 0 SITEPROP TIEOFF_X42Y59 MANUAL_ROUTING SITEPROP TIEOFF_X42Y59 NAME TIEOFF_X42Y59 SITEPROP TIEOFF_X42Y59 NUM_ARCS 0 SITEPROP TIEOFF_X42Y59 NUM_BELS 2 SITEPROP TIEOFF_X42Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y59 NUM_PINS 2 SITEPROP TIEOFF_X42Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y59 PROHIBIT 0 SITEPROP TIEOFF_X42Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y59 RPM_X 164 SITEPROP TIEOFF_X42Y59 RPM_Y 118 SITEPROP TIEOFF_X42Y59 SITE_PIPS SITEPROP TIEOFF_X42Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y60 CLASS site SITEPROP TIEOFF_X42Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y60 IS_BONDED 0 SITEPROP TIEOFF_X42Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y60 IS_PAD 0 SITEPROP TIEOFF_X42Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y60 IS_RESERVED 0 SITEPROP TIEOFF_X42Y60 IS_TEST 0 SITEPROP TIEOFF_X42Y60 IS_USED 0 SITEPROP TIEOFF_X42Y60 MANUAL_ROUTING SITEPROP TIEOFF_X42Y60 NAME TIEOFF_X42Y60 SITEPROP TIEOFF_X42Y60 NUM_ARCS 0 SITEPROP TIEOFF_X42Y60 NUM_BELS 2 SITEPROP TIEOFF_X42Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y60 NUM_PINS 2 SITEPROP TIEOFF_X42Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y60 PROHIBIT 0 SITEPROP TIEOFF_X42Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y60 RPM_X 164 SITEPROP TIEOFF_X42Y60 RPM_Y 120 SITEPROP TIEOFF_X42Y60 SITE_PIPS SITEPROP TIEOFF_X42Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y61 CLASS site SITEPROP TIEOFF_X42Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y61 IS_BONDED 0 SITEPROP TIEOFF_X42Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y61 IS_PAD 0 SITEPROP TIEOFF_X42Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y61 IS_RESERVED 0 SITEPROP TIEOFF_X42Y61 IS_TEST 0 SITEPROP TIEOFF_X42Y61 IS_USED 0 SITEPROP TIEOFF_X42Y61 MANUAL_ROUTING SITEPROP TIEOFF_X42Y61 NAME TIEOFF_X42Y61 SITEPROP TIEOFF_X42Y61 NUM_ARCS 0 SITEPROP TIEOFF_X42Y61 NUM_BELS 2 SITEPROP TIEOFF_X42Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y61 NUM_PINS 2 SITEPROP TIEOFF_X42Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y61 PROHIBIT 0 SITEPROP TIEOFF_X42Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y61 RPM_X 164 SITEPROP TIEOFF_X42Y61 RPM_Y 122 SITEPROP TIEOFF_X42Y61 SITE_PIPS SITEPROP TIEOFF_X42Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y62 CLASS site SITEPROP TIEOFF_X42Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y62 IS_BONDED 0 SITEPROP TIEOFF_X42Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y62 IS_PAD 0 SITEPROP TIEOFF_X42Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y62 IS_RESERVED 0 SITEPROP TIEOFF_X42Y62 IS_TEST 0 SITEPROP TIEOFF_X42Y62 IS_USED 0 SITEPROP TIEOFF_X42Y62 MANUAL_ROUTING SITEPROP TIEOFF_X42Y62 NAME TIEOFF_X42Y62 SITEPROP TIEOFF_X42Y62 NUM_ARCS 0 SITEPROP TIEOFF_X42Y62 NUM_BELS 2 SITEPROP TIEOFF_X42Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y62 NUM_PINS 2 SITEPROP TIEOFF_X42Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y62 PROHIBIT 0 SITEPROP TIEOFF_X42Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y62 RPM_X 164 SITEPROP TIEOFF_X42Y62 RPM_Y 124 SITEPROP TIEOFF_X42Y62 SITE_PIPS SITEPROP TIEOFF_X42Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y63 CLASS site SITEPROP TIEOFF_X42Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y63 IS_BONDED 0 SITEPROP TIEOFF_X42Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y63 IS_PAD 0 SITEPROP TIEOFF_X42Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y63 IS_RESERVED 0 SITEPROP TIEOFF_X42Y63 IS_TEST 0 SITEPROP TIEOFF_X42Y63 IS_USED 0 SITEPROP TIEOFF_X42Y63 MANUAL_ROUTING SITEPROP TIEOFF_X42Y63 NAME TIEOFF_X42Y63 SITEPROP TIEOFF_X42Y63 NUM_ARCS 0 SITEPROP TIEOFF_X42Y63 NUM_BELS 2 SITEPROP TIEOFF_X42Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y63 NUM_PINS 2 SITEPROP TIEOFF_X42Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y63 PROHIBIT 0 SITEPROP TIEOFF_X42Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y63 RPM_X 164 SITEPROP TIEOFF_X42Y63 RPM_Y 126 SITEPROP TIEOFF_X42Y63 SITE_PIPS SITEPROP TIEOFF_X42Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y64 CLASS site SITEPROP TIEOFF_X42Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y64 IS_BONDED 0 SITEPROP TIEOFF_X42Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y64 IS_PAD 0 SITEPROP TIEOFF_X42Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y64 IS_RESERVED 0 SITEPROP TIEOFF_X42Y64 IS_TEST 0 SITEPROP TIEOFF_X42Y64 IS_USED 0 SITEPROP TIEOFF_X42Y64 MANUAL_ROUTING SITEPROP TIEOFF_X42Y64 NAME TIEOFF_X42Y64 SITEPROP TIEOFF_X42Y64 NUM_ARCS 0 SITEPROP TIEOFF_X42Y64 NUM_BELS 2 SITEPROP TIEOFF_X42Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y64 NUM_PINS 2 SITEPROP TIEOFF_X42Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y64 PROHIBIT 0 SITEPROP TIEOFF_X42Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y64 RPM_X 164 SITEPROP TIEOFF_X42Y64 RPM_Y 128 SITEPROP TIEOFF_X42Y64 SITE_PIPS SITEPROP TIEOFF_X42Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y65 CLASS site SITEPROP TIEOFF_X42Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y65 IS_BONDED 0 SITEPROP TIEOFF_X42Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y65 IS_PAD 0 SITEPROP TIEOFF_X42Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y65 IS_RESERVED 0 SITEPROP TIEOFF_X42Y65 IS_TEST 0 SITEPROP TIEOFF_X42Y65 IS_USED 0 SITEPROP TIEOFF_X42Y65 MANUAL_ROUTING SITEPROP TIEOFF_X42Y65 NAME TIEOFF_X42Y65 SITEPROP TIEOFF_X42Y65 NUM_ARCS 0 SITEPROP TIEOFF_X42Y65 NUM_BELS 2 SITEPROP TIEOFF_X42Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y65 NUM_PINS 2 SITEPROP TIEOFF_X42Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y65 PROHIBIT 0 SITEPROP TIEOFF_X42Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y65 RPM_X 164 SITEPROP TIEOFF_X42Y65 RPM_Y 130 SITEPROP TIEOFF_X42Y65 SITE_PIPS SITEPROP TIEOFF_X42Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y66 CLASS site SITEPROP TIEOFF_X42Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y66 IS_BONDED 0 SITEPROP TIEOFF_X42Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y66 IS_PAD 0 SITEPROP TIEOFF_X42Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y66 IS_RESERVED 0 SITEPROP TIEOFF_X42Y66 IS_TEST 0 SITEPROP TIEOFF_X42Y66 IS_USED 0 SITEPROP TIEOFF_X42Y66 MANUAL_ROUTING SITEPROP TIEOFF_X42Y66 NAME TIEOFF_X42Y66 SITEPROP TIEOFF_X42Y66 NUM_ARCS 0 SITEPROP TIEOFF_X42Y66 NUM_BELS 2 SITEPROP TIEOFF_X42Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y66 NUM_PINS 2 SITEPROP TIEOFF_X42Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y66 PROHIBIT 0 SITEPROP TIEOFF_X42Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y66 RPM_X 164 SITEPROP TIEOFF_X42Y66 RPM_Y 132 SITEPROP TIEOFF_X42Y66 SITE_PIPS SITEPROP TIEOFF_X42Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y67 CLASS site SITEPROP TIEOFF_X42Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y67 IS_BONDED 0 SITEPROP TIEOFF_X42Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y67 IS_PAD 0 SITEPROP TIEOFF_X42Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y67 IS_RESERVED 0 SITEPROP TIEOFF_X42Y67 IS_TEST 0 SITEPROP TIEOFF_X42Y67 IS_USED 0 SITEPROP TIEOFF_X42Y67 MANUAL_ROUTING SITEPROP TIEOFF_X42Y67 NAME TIEOFF_X42Y67 SITEPROP TIEOFF_X42Y67 NUM_ARCS 0 SITEPROP TIEOFF_X42Y67 NUM_BELS 2 SITEPROP TIEOFF_X42Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y67 NUM_PINS 2 SITEPROP TIEOFF_X42Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y67 PROHIBIT 0 SITEPROP TIEOFF_X42Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y67 RPM_X 164 SITEPROP TIEOFF_X42Y67 RPM_Y 134 SITEPROP TIEOFF_X42Y67 SITE_PIPS SITEPROP TIEOFF_X42Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y68 CLASS site SITEPROP TIEOFF_X42Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y68 IS_BONDED 0 SITEPROP TIEOFF_X42Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y68 IS_PAD 0 SITEPROP TIEOFF_X42Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y68 IS_RESERVED 0 SITEPROP TIEOFF_X42Y68 IS_TEST 0 SITEPROP TIEOFF_X42Y68 IS_USED 0 SITEPROP TIEOFF_X42Y68 MANUAL_ROUTING SITEPROP TIEOFF_X42Y68 NAME TIEOFF_X42Y68 SITEPROP TIEOFF_X42Y68 NUM_ARCS 0 SITEPROP TIEOFF_X42Y68 NUM_BELS 2 SITEPROP TIEOFF_X42Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y68 NUM_PINS 2 SITEPROP TIEOFF_X42Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y68 PROHIBIT 0 SITEPROP TIEOFF_X42Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y68 RPM_X 164 SITEPROP TIEOFF_X42Y68 RPM_Y 136 SITEPROP TIEOFF_X42Y68 SITE_PIPS SITEPROP TIEOFF_X42Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y69 CLASS site SITEPROP TIEOFF_X42Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y69 IS_BONDED 0 SITEPROP TIEOFF_X42Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y69 IS_PAD 0 SITEPROP TIEOFF_X42Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y69 IS_RESERVED 0 SITEPROP TIEOFF_X42Y69 IS_TEST 0 SITEPROP TIEOFF_X42Y69 IS_USED 0 SITEPROP TIEOFF_X42Y69 MANUAL_ROUTING SITEPROP TIEOFF_X42Y69 NAME TIEOFF_X42Y69 SITEPROP TIEOFF_X42Y69 NUM_ARCS 0 SITEPROP TIEOFF_X42Y69 NUM_BELS 2 SITEPROP TIEOFF_X42Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y69 NUM_PINS 2 SITEPROP TIEOFF_X42Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y69 PROHIBIT 0 SITEPROP TIEOFF_X42Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y69 RPM_X 164 SITEPROP TIEOFF_X42Y69 RPM_Y 138 SITEPROP TIEOFF_X42Y69 SITE_PIPS SITEPROP TIEOFF_X42Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y70 CLASS site SITEPROP TIEOFF_X42Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y70 IS_BONDED 0 SITEPROP TIEOFF_X42Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y70 IS_PAD 0 SITEPROP TIEOFF_X42Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y70 IS_RESERVED 0 SITEPROP TIEOFF_X42Y70 IS_TEST 0 SITEPROP TIEOFF_X42Y70 IS_USED 0 SITEPROP TIEOFF_X42Y70 MANUAL_ROUTING SITEPROP TIEOFF_X42Y70 NAME TIEOFF_X42Y70 SITEPROP TIEOFF_X42Y70 NUM_ARCS 0 SITEPROP TIEOFF_X42Y70 NUM_BELS 2 SITEPROP TIEOFF_X42Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y70 NUM_PINS 2 SITEPROP TIEOFF_X42Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y70 PROHIBIT 0 SITEPROP TIEOFF_X42Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y70 RPM_X 164 SITEPROP TIEOFF_X42Y70 RPM_Y 140 SITEPROP TIEOFF_X42Y70 SITE_PIPS SITEPROP TIEOFF_X42Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y71 CLASS site SITEPROP TIEOFF_X42Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y71 IS_BONDED 0 SITEPROP TIEOFF_X42Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y71 IS_PAD 0 SITEPROP TIEOFF_X42Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y71 IS_RESERVED 0 SITEPROP TIEOFF_X42Y71 IS_TEST 0 SITEPROP TIEOFF_X42Y71 IS_USED 0 SITEPROP TIEOFF_X42Y71 MANUAL_ROUTING SITEPROP TIEOFF_X42Y71 NAME TIEOFF_X42Y71 SITEPROP TIEOFF_X42Y71 NUM_ARCS 0 SITEPROP TIEOFF_X42Y71 NUM_BELS 2 SITEPROP TIEOFF_X42Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y71 NUM_PINS 2 SITEPROP TIEOFF_X42Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y71 PROHIBIT 0 SITEPROP TIEOFF_X42Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y71 RPM_X 164 SITEPROP TIEOFF_X42Y71 RPM_Y 142 SITEPROP TIEOFF_X42Y71 SITE_PIPS SITEPROP TIEOFF_X42Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y72 CLASS site SITEPROP TIEOFF_X42Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y72 IS_BONDED 0 SITEPROP TIEOFF_X42Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y72 IS_PAD 0 SITEPROP TIEOFF_X42Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y72 IS_RESERVED 0 SITEPROP TIEOFF_X42Y72 IS_TEST 0 SITEPROP TIEOFF_X42Y72 IS_USED 0 SITEPROP TIEOFF_X42Y72 MANUAL_ROUTING SITEPROP TIEOFF_X42Y72 NAME TIEOFF_X42Y72 SITEPROP TIEOFF_X42Y72 NUM_ARCS 0 SITEPROP TIEOFF_X42Y72 NUM_BELS 2 SITEPROP TIEOFF_X42Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y72 NUM_PINS 2 SITEPROP TIEOFF_X42Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y72 PROHIBIT 0 SITEPROP TIEOFF_X42Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y72 RPM_X 164 SITEPROP TIEOFF_X42Y72 RPM_Y 144 SITEPROP TIEOFF_X42Y72 SITE_PIPS SITEPROP TIEOFF_X42Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y73 CLASS site SITEPROP TIEOFF_X42Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y73 IS_BONDED 0 SITEPROP TIEOFF_X42Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y73 IS_PAD 0 SITEPROP TIEOFF_X42Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y73 IS_RESERVED 0 SITEPROP TIEOFF_X42Y73 IS_TEST 0 SITEPROP TIEOFF_X42Y73 IS_USED 0 SITEPROP TIEOFF_X42Y73 MANUAL_ROUTING SITEPROP TIEOFF_X42Y73 NAME TIEOFF_X42Y73 SITEPROP TIEOFF_X42Y73 NUM_ARCS 0 SITEPROP TIEOFF_X42Y73 NUM_BELS 2 SITEPROP TIEOFF_X42Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y73 NUM_PINS 2 SITEPROP TIEOFF_X42Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y73 PROHIBIT 0 SITEPROP TIEOFF_X42Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y73 RPM_X 164 SITEPROP TIEOFF_X42Y73 RPM_Y 146 SITEPROP TIEOFF_X42Y73 SITE_PIPS SITEPROP TIEOFF_X42Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y74 CLASS site SITEPROP TIEOFF_X42Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y74 IS_BONDED 0 SITEPROP TIEOFF_X42Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y74 IS_PAD 0 SITEPROP TIEOFF_X42Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y74 IS_RESERVED 0 SITEPROP TIEOFF_X42Y74 IS_TEST 0 SITEPROP TIEOFF_X42Y74 IS_USED 0 SITEPROP TIEOFF_X42Y74 MANUAL_ROUTING SITEPROP TIEOFF_X42Y74 NAME TIEOFF_X42Y74 SITEPROP TIEOFF_X42Y74 NUM_ARCS 0 SITEPROP TIEOFF_X42Y74 NUM_BELS 2 SITEPROP TIEOFF_X42Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y74 NUM_PINS 2 SITEPROP TIEOFF_X42Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y74 PROHIBIT 0 SITEPROP TIEOFF_X42Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y74 RPM_X 164 SITEPROP TIEOFF_X42Y74 RPM_Y 148 SITEPROP TIEOFF_X42Y74 SITE_PIPS SITEPROP TIEOFF_X42Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y75 CLASS site SITEPROP TIEOFF_X42Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y75 IS_BONDED 0 SITEPROP TIEOFF_X42Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y75 IS_PAD 0 SITEPROP TIEOFF_X42Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y75 IS_RESERVED 0 SITEPROP TIEOFF_X42Y75 IS_TEST 0 SITEPROP TIEOFF_X42Y75 IS_USED 0 SITEPROP TIEOFF_X42Y75 MANUAL_ROUTING SITEPROP TIEOFF_X42Y75 NAME TIEOFF_X42Y75 SITEPROP TIEOFF_X42Y75 NUM_ARCS 0 SITEPROP TIEOFF_X42Y75 NUM_BELS 2 SITEPROP TIEOFF_X42Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y75 NUM_PINS 2 SITEPROP TIEOFF_X42Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y75 PROHIBIT 0 SITEPROP TIEOFF_X42Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y75 RPM_X 164 SITEPROP TIEOFF_X42Y75 RPM_Y 150 SITEPROP TIEOFF_X42Y75 SITE_PIPS SITEPROP TIEOFF_X42Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y76 CLASS site SITEPROP TIEOFF_X42Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y76 IS_BONDED 0 SITEPROP TIEOFF_X42Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y76 IS_PAD 0 SITEPROP TIEOFF_X42Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y76 IS_RESERVED 0 SITEPROP TIEOFF_X42Y76 IS_TEST 0 SITEPROP TIEOFF_X42Y76 IS_USED 0 SITEPROP TIEOFF_X42Y76 MANUAL_ROUTING SITEPROP TIEOFF_X42Y76 NAME TIEOFF_X42Y76 SITEPROP TIEOFF_X42Y76 NUM_ARCS 0 SITEPROP TIEOFF_X42Y76 NUM_BELS 2 SITEPROP TIEOFF_X42Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y76 NUM_PINS 2 SITEPROP TIEOFF_X42Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y76 PROHIBIT 0 SITEPROP TIEOFF_X42Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y76 RPM_X 164 SITEPROP TIEOFF_X42Y76 RPM_Y 152 SITEPROP TIEOFF_X42Y76 SITE_PIPS SITEPROP TIEOFF_X42Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y77 CLASS site SITEPROP TIEOFF_X42Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y77 IS_BONDED 0 SITEPROP TIEOFF_X42Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y77 IS_PAD 0 SITEPROP TIEOFF_X42Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y77 IS_RESERVED 0 SITEPROP TIEOFF_X42Y77 IS_TEST 0 SITEPROP TIEOFF_X42Y77 IS_USED 0 SITEPROP TIEOFF_X42Y77 MANUAL_ROUTING SITEPROP TIEOFF_X42Y77 NAME TIEOFF_X42Y77 SITEPROP TIEOFF_X42Y77 NUM_ARCS 0 SITEPROP TIEOFF_X42Y77 NUM_BELS 2 SITEPROP TIEOFF_X42Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y77 NUM_PINS 2 SITEPROP TIEOFF_X42Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y77 PROHIBIT 0 SITEPROP TIEOFF_X42Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y77 RPM_X 164 SITEPROP TIEOFF_X42Y77 RPM_Y 154 SITEPROP TIEOFF_X42Y77 SITE_PIPS SITEPROP TIEOFF_X42Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y78 CLASS site SITEPROP TIEOFF_X42Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y78 IS_BONDED 0 SITEPROP TIEOFF_X42Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y78 IS_PAD 0 SITEPROP TIEOFF_X42Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y78 IS_RESERVED 0 SITEPROP TIEOFF_X42Y78 IS_TEST 0 SITEPROP TIEOFF_X42Y78 IS_USED 0 SITEPROP TIEOFF_X42Y78 MANUAL_ROUTING SITEPROP TIEOFF_X42Y78 NAME TIEOFF_X42Y78 SITEPROP TIEOFF_X42Y78 NUM_ARCS 0 SITEPROP TIEOFF_X42Y78 NUM_BELS 2 SITEPROP TIEOFF_X42Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y78 NUM_PINS 2 SITEPROP TIEOFF_X42Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y78 PROHIBIT 0 SITEPROP TIEOFF_X42Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y78 RPM_X 164 SITEPROP TIEOFF_X42Y78 RPM_Y 156 SITEPROP TIEOFF_X42Y78 SITE_PIPS SITEPROP TIEOFF_X42Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y79 CLASS site SITEPROP TIEOFF_X42Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y79 IS_BONDED 0 SITEPROP TIEOFF_X42Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y79 IS_PAD 0 SITEPROP TIEOFF_X42Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y79 IS_RESERVED 0 SITEPROP TIEOFF_X42Y79 IS_TEST 0 SITEPROP TIEOFF_X42Y79 IS_USED 0 SITEPROP TIEOFF_X42Y79 MANUAL_ROUTING SITEPROP TIEOFF_X42Y79 NAME TIEOFF_X42Y79 SITEPROP TIEOFF_X42Y79 NUM_ARCS 0 SITEPROP TIEOFF_X42Y79 NUM_BELS 2 SITEPROP TIEOFF_X42Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y79 NUM_PINS 2 SITEPROP TIEOFF_X42Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y79 PROHIBIT 0 SITEPROP TIEOFF_X42Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y79 RPM_X 164 SITEPROP TIEOFF_X42Y79 RPM_Y 158 SITEPROP TIEOFF_X42Y79 SITE_PIPS SITEPROP TIEOFF_X42Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y80 CLASS site SITEPROP TIEOFF_X42Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y80 IS_BONDED 0 SITEPROP TIEOFF_X42Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y80 IS_PAD 0 SITEPROP TIEOFF_X42Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y80 IS_RESERVED 0 SITEPROP TIEOFF_X42Y80 IS_TEST 0 SITEPROP TIEOFF_X42Y80 IS_USED 0 SITEPROP TIEOFF_X42Y80 MANUAL_ROUTING SITEPROP TIEOFF_X42Y80 NAME TIEOFF_X42Y80 SITEPROP TIEOFF_X42Y80 NUM_ARCS 0 SITEPROP TIEOFF_X42Y80 NUM_BELS 2 SITEPROP TIEOFF_X42Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y80 NUM_PINS 2 SITEPROP TIEOFF_X42Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y80 PROHIBIT 0 SITEPROP TIEOFF_X42Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y80 RPM_X 164 SITEPROP TIEOFF_X42Y80 RPM_Y 160 SITEPROP TIEOFF_X42Y80 SITE_PIPS SITEPROP TIEOFF_X42Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y81 CLASS site SITEPROP TIEOFF_X42Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y81 IS_BONDED 0 SITEPROP TIEOFF_X42Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y81 IS_PAD 0 SITEPROP TIEOFF_X42Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y81 IS_RESERVED 0 SITEPROP TIEOFF_X42Y81 IS_TEST 0 SITEPROP TIEOFF_X42Y81 IS_USED 0 SITEPROP TIEOFF_X42Y81 MANUAL_ROUTING SITEPROP TIEOFF_X42Y81 NAME TIEOFF_X42Y81 SITEPROP TIEOFF_X42Y81 NUM_ARCS 0 SITEPROP TIEOFF_X42Y81 NUM_BELS 2 SITEPROP TIEOFF_X42Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y81 NUM_PINS 2 SITEPROP TIEOFF_X42Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y81 PROHIBIT 0 SITEPROP TIEOFF_X42Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y81 RPM_X 164 SITEPROP TIEOFF_X42Y81 RPM_Y 162 SITEPROP TIEOFF_X42Y81 SITE_PIPS SITEPROP TIEOFF_X42Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y82 CLASS site SITEPROP TIEOFF_X42Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y82 IS_BONDED 0 SITEPROP TIEOFF_X42Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y82 IS_PAD 0 SITEPROP TIEOFF_X42Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y82 IS_RESERVED 0 SITEPROP TIEOFF_X42Y82 IS_TEST 0 SITEPROP TIEOFF_X42Y82 IS_USED 0 SITEPROP TIEOFF_X42Y82 MANUAL_ROUTING SITEPROP TIEOFF_X42Y82 NAME TIEOFF_X42Y82 SITEPROP TIEOFF_X42Y82 NUM_ARCS 0 SITEPROP TIEOFF_X42Y82 NUM_BELS 2 SITEPROP TIEOFF_X42Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y82 NUM_PINS 2 SITEPROP TIEOFF_X42Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y82 PROHIBIT 0 SITEPROP TIEOFF_X42Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y82 RPM_X 164 SITEPROP TIEOFF_X42Y82 RPM_Y 164 SITEPROP TIEOFF_X42Y82 SITE_PIPS SITEPROP TIEOFF_X42Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y83 CLASS site SITEPROP TIEOFF_X42Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y83 IS_BONDED 0 SITEPROP TIEOFF_X42Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y83 IS_PAD 0 SITEPROP TIEOFF_X42Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y83 IS_RESERVED 0 SITEPROP TIEOFF_X42Y83 IS_TEST 0 SITEPROP TIEOFF_X42Y83 IS_USED 0 SITEPROP TIEOFF_X42Y83 MANUAL_ROUTING SITEPROP TIEOFF_X42Y83 NAME TIEOFF_X42Y83 SITEPROP TIEOFF_X42Y83 NUM_ARCS 0 SITEPROP TIEOFF_X42Y83 NUM_BELS 2 SITEPROP TIEOFF_X42Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y83 NUM_PINS 2 SITEPROP TIEOFF_X42Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y83 PROHIBIT 0 SITEPROP TIEOFF_X42Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y83 RPM_X 164 SITEPROP TIEOFF_X42Y83 RPM_Y 166 SITEPROP TIEOFF_X42Y83 SITE_PIPS SITEPROP TIEOFF_X42Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y84 CLASS site SITEPROP TIEOFF_X42Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y84 IS_BONDED 0 SITEPROP TIEOFF_X42Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y84 IS_PAD 0 SITEPROP TIEOFF_X42Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y84 IS_RESERVED 0 SITEPROP TIEOFF_X42Y84 IS_TEST 0 SITEPROP TIEOFF_X42Y84 IS_USED 0 SITEPROP TIEOFF_X42Y84 MANUAL_ROUTING SITEPROP TIEOFF_X42Y84 NAME TIEOFF_X42Y84 SITEPROP TIEOFF_X42Y84 NUM_ARCS 0 SITEPROP TIEOFF_X42Y84 NUM_BELS 2 SITEPROP TIEOFF_X42Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y84 NUM_PINS 2 SITEPROP TIEOFF_X42Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y84 PROHIBIT 0 SITEPROP TIEOFF_X42Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y84 RPM_X 164 SITEPROP TIEOFF_X42Y84 RPM_Y 168 SITEPROP TIEOFF_X42Y84 SITE_PIPS SITEPROP TIEOFF_X42Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y85 CLASS site SITEPROP TIEOFF_X42Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y85 IS_BONDED 0 SITEPROP TIEOFF_X42Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y85 IS_PAD 0 SITEPROP TIEOFF_X42Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y85 IS_RESERVED 0 SITEPROP TIEOFF_X42Y85 IS_TEST 0 SITEPROP TIEOFF_X42Y85 IS_USED 0 SITEPROP TIEOFF_X42Y85 MANUAL_ROUTING SITEPROP TIEOFF_X42Y85 NAME TIEOFF_X42Y85 SITEPROP TIEOFF_X42Y85 NUM_ARCS 0 SITEPROP TIEOFF_X42Y85 NUM_BELS 2 SITEPROP TIEOFF_X42Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y85 NUM_PINS 2 SITEPROP TIEOFF_X42Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y85 PROHIBIT 0 SITEPROP TIEOFF_X42Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y85 RPM_X 164 SITEPROP TIEOFF_X42Y85 RPM_Y 170 SITEPROP TIEOFF_X42Y85 SITE_PIPS SITEPROP TIEOFF_X42Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y86 CLASS site SITEPROP TIEOFF_X42Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y86 IS_BONDED 0 SITEPROP TIEOFF_X42Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y86 IS_PAD 0 SITEPROP TIEOFF_X42Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y86 IS_RESERVED 0 SITEPROP TIEOFF_X42Y86 IS_TEST 0 SITEPROP TIEOFF_X42Y86 IS_USED 0 SITEPROP TIEOFF_X42Y86 MANUAL_ROUTING SITEPROP TIEOFF_X42Y86 NAME TIEOFF_X42Y86 SITEPROP TIEOFF_X42Y86 NUM_ARCS 0 SITEPROP TIEOFF_X42Y86 NUM_BELS 2 SITEPROP TIEOFF_X42Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y86 NUM_PINS 2 SITEPROP TIEOFF_X42Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y86 PROHIBIT 0 SITEPROP TIEOFF_X42Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y86 RPM_X 164 SITEPROP TIEOFF_X42Y86 RPM_Y 172 SITEPROP TIEOFF_X42Y86 SITE_PIPS SITEPROP TIEOFF_X42Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y87 CLASS site SITEPROP TIEOFF_X42Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y87 IS_BONDED 0 SITEPROP TIEOFF_X42Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y87 IS_PAD 0 SITEPROP TIEOFF_X42Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y87 IS_RESERVED 0 SITEPROP TIEOFF_X42Y87 IS_TEST 0 SITEPROP TIEOFF_X42Y87 IS_USED 0 SITEPROP TIEOFF_X42Y87 MANUAL_ROUTING SITEPROP TIEOFF_X42Y87 NAME TIEOFF_X42Y87 SITEPROP TIEOFF_X42Y87 NUM_ARCS 0 SITEPROP TIEOFF_X42Y87 NUM_BELS 2 SITEPROP TIEOFF_X42Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y87 NUM_PINS 2 SITEPROP TIEOFF_X42Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y87 PROHIBIT 0 SITEPROP TIEOFF_X42Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y87 RPM_X 164 SITEPROP TIEOFF_X42Y87 RPM_Y 174 SITEPROP TIEOFF_X42Y87 SITE_PIPS SITEPROP TIEOFF_X42Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y88 CLASS site SITEPROP TIEOFF_X42Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y88 IS_BONDED 0 SITEPROP TIEOFF_X42Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y88 IS_PAD 0 SITEPROP TIEOFF_X42Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y88 IS_RESERVED 0 SITEPROP TIEOFF_X42Y88 IS_TEST 0 SITEPROP TIEOFF_X42Y88 IS_USED 0 SITEPROP TIEOFF_X42Y88 MANUAL_ROUTING SITEPROP TIEOFF_X42Y88 NAME TIEOFF_X42Y88 SITEPROP TIEOFF_X42Y88 NUM_ARCS 0 SITEPROP TIEOFF_X42Y88 NUM_BELS 2 SITEPROP TIEOFF_X42Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y88 NUM_PINS 2 SITEPROP TIEOFF_X42Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y88 PROHIBIT 0 SITEPROP TIEOFF_X42Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y88 RPM_X 164 SITEPROP TIEOFF_X42Y88 RPM_Y 176 SITEPROP TIEOFF_X42Y88 SITE_PIPS SITEPROP TIEOFF_X42Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y89 CLASS site SITEPROP TIEOFF_X42Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y89 IS_BONDED 0 SITEPROP TIEOFF_X42Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y89 IS_PAD 0 SITEPROP TIEOFF_X42Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y89 IS_RESERVED 0 SITEPROP TIEOFF_X42Y89 IS_TEST 0 SITEPROP TIEOFF_X42Y89 IS_USED 0 SITEPROP TIEOFF_X42Y89 MANUAL_ROUTING SITEPROP TIEOFF_X42Y89 NAME TIEOFF_X42Y89 SITEPROP TIEOFF_X42Y89 NUM_ARCS 0 SITEPROP TIEOFF_X42Y89 NUM_BELS 2 SITEPROP TIEOFF_X42Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y89 NUM_PINS 2 SITEPROP TIEOFF_X42Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y89 PROHIBIT 0 SITEPROP TIEOFF_X42Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y89 RPM_X 164 SITEPROP TIEOFF_X42Y89 RPM_Y 178 SITEPROP TIEOFF_X42Y89 SITE_PIPS SITEPROP TIEOFF_X42Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y90 CLASS site SITEPROP TIEOFF_X42Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y90 IS_BONDED 0 SITEPROP TIEOFF_X42Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y90 IS_PAD 0 SITEPROP TIEOFF_X42Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y90 IS_RESERVED 0 SITEPROP TIEOFF_X42Y90 IS_TEST 0 SITEPROP TIEOFF_X42Y90 IS_USED 0 SITEPROP TIEOFF_X42Y90 MANUAL_ROUTING SITEPROP TIEOFF_X42Y90 NAME TIEOFF_X42Y90 SITEPROP TIEOFF_X42Y90 NUM_ARCS 0 SITEPROP TIEOFF_X42Y90 NUM_BELS 2 SITEPROP TIEOFF_X42Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y90 NUM_PINS 2 SITEPROP TIEOFF_X42Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y90 PROHIBIT 0 SITEPROP TIEOFF_X42Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y90 RPM_X 164 SITEPROP TIEOFF_X42Y90 RPM_Y 180 SITEPROP TIEOFF_X42Y90 SITE_PIPS SITEPROP TIEOFF_X42Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y91 CLASS site SITEPROP TIEOFF_X42Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y91 IS_BONDED 0 SITEPROP TIEOFF_X42Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y91 IS_PAD 0 SITEPROP TIEOFF_X42Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y91 IS_RESERVED 0 SITEPROP TIEOFF_X42Y91 IS_TEST 0 SITEPROP TIEOFF_X42Y91 IS_USED 0 SITEPROP TIEOFF_X42Y91 MANUAL_ROUTING SITEPROP TIEOFF_X42Y91 NAME TIEOFF_X42Y91 SITEPROP TIEOFF_X42Y91 NUM_ARCS 0 SITEPROP TIEOFF_X42Y91 NUM_BELS 2 SITEPROP TIEOFF_X42Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y91 NUM_PINS 2 SITEPROP TIEOFF_X42Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y91 PROHIBIT 0 SITEPROP TIEOFF_X42Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y91 RPM_X 164 SITEPROP TIEOFF_X42Y91 RPM_Y 182 SITEPROP TIEOFF_X42Y91 SITE_PIPS SITEPROP TIEOFF_X42Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y92 CLASS site SITEPROP TIEOFF_X42Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y92 IS_BONDED 0 SITEPROP TIEOFF_X42Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y92 IS_PAD 0 SITEPROP TIEOFF_X42Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y92 IS_RESERVED 0 SITEPROP TIEOFF_X42Y92 IS_TEST 0 SITEPROP TIEOFF_X42Y92 IS_USED 0 SITEPROP TIEOFF_X42Y92 MANUAL_ROUTING SITEPROP TIEOFF_X42Y92 NAME TIEOFF_X42Y92 SITEPROP TIEOFF_X42Y92 NUM_ARCS 0 SITEPROP TIEOFF_X42Y92 NUM_BELS 2 SITEPROP TIEOFF_X42Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y92 NUM_PINS 2 SITEPROP TIEOFF_X42Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y92 PROHIBIT 0 SITEPROP TIEOFF_X42Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y92 RPM_X 164 SITEPROP TIEOFF_X42Y92 RPM_Y 184 SITEPROP TIEOFF_X42Y92 SITE_PIPS SITEPROP TIEOFF_X42Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y93 CLASS site SITEPROP TIEOFF_X42Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y93 IS_BONDED 0 SITEPROP TIEOFF_X42Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y93 IS_PAD 0 SITEPROP TIEOFF_X42Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y93 IS_RESERVED 0 SITEPROP TIEOFF_X42Y93 IS_TEST 0 SITEPROP TIEOFF_X42Y93 IS_USED 0 SITEPROP TIEOFF_X42Y93 MANUAL_ROUTING SITEPROP TIEOFF_X42Y93 NAME TIEOFF_X42Y93 SITEPROP TIEOFF_X42Y93 NUM_ARCS 0 SITEPROP TIEOFF_X42Y93 NUM_BELS 2 SITEPROP TIEOFF_X42Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y93 NUM_PINS 2 SITEPROP TIEOFF_X42Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y93 PROHIBIT 0 SITEPROP TIEOFF_X42Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y93 RPM_X 164 SITEPROP TIEOFF_X42Y93 RPM_Y 186 SITEPROP TIEOFF_X42Y93 SITE_PIPS SITEPROP TIEOFF_X42Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y94 CLASS site SITEPROP TIEOFF_X42Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y94 IS_BONDED 0 SITEPROP TIEOFF_X42Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y94 IS_PAD 0 SITEPROP TIEOFF_X42Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y94 IS_RESERVED 0 SITEPROP TIEOFF_X42Y94 IS_TEST 0 SITEPROP TIEOFF_X42Y94 IS_USED 0 SITEPROP TIEOFF_X42Y94 MANUAL_ROUTING SITEPROP TIEOFF_X42Y94 NAME TIEOFF_X42Y94 SITEPROP TIEOFF_X42Y94 NUM_ARCS 0 SITEPROP TIEOFF_X42Y94 NUM_BELS 2 SITEPROP TIEOFF_X42Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y94 NUM_PINS 2 SITEPROP TIEOFF_X42Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y94 PROHIBIT 0 SITEPROP TIEOFF_X42Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y94 RPM_X 164 SITEPROP TIEOFF_X42Y94 RPM_Y 188 SITEPROP TIEOFF_X42Y94 SITE_PIPS SITEPROP TIEOFF_X42Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y95 CLASS site SITEPROP TIEOFF_X42Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y95 IS_BONDED 0 SITEPROP TIEOFF_X42Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y95 IS_PAD 0 SITEPROP TIEOFF_X42Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y95 IS_RESERVED 0 SITEPROP TIEOFF_X42Y95 IS_TEST 0 SITEPROP TIEOFF_X42Y95 IS_USED 0 SITEPROP TIEOFF_X42Y95 MANUAL_ROUTING SITEPROP TIEOFF_X42Y95 NAME TIEOFF_X42Y95 SITEPROP TIEOFF_X42Y95 NUM_ARCS 0 SITEPROP TIEOFF_X42Y95 NUM_BELS 2 SITEPROP TIEOFF_X42Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y95 NUM_PINS 2 SITEPROP TIEOFF_X42Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y95 PROHIBIT 0 SITEPROP TIEOFF_X42Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y95 RPM_X 164 SITEPROP TIEOFF_X42Y95 RPM_Y 190 SITEPROP TIEOFF_X42Y95 SITE_PIPS SITEPROP TIEOFF_X42Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y96 CLASS site SITEPROP TIEOFF_X42Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y96 IS_BONDED 0 SITEPROP TIEOFF_X42Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y96 IS_PAD 0 SITEPROP TIEOFF_X42Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y96 IS_RESERVED 0 SITEPROP TIEOFF_X42Y96 IS_TEST 0 SITEPROP TIEOFF_X42Y96 IS_USED 0 SITEPROP TIEOFF_X42Y96 MANUAL_ROUTING SITEPROP TIEOFF_X42Y96 NAME TIEOFF_X42Y96 SITEPROP TIEOFF_X42Y96 NUM_ARCS 0 SITEPROP TIEOFF_X42Y96 NUM_BELS 2 SITEPROP TIEOFF_X42Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y96 NUM_PINS 2 SITEPROP TIEOFF_X42Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y96 PROHIBIT 0 SITEPROP TIEOFF_X42Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y96 RPM_X 164 SITEPROP TIEOFF_X42Y96 RPM_Y 192 SITEPROP TIEOFF_X42Y96 SITE_PIPS SITEPROP TIEOFF_X42Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y97 CLASS site SITEPROP TIEOFF_X42Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y97 IS_BONDED 0 SITEPROP TIEOFF_X42Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y97 IS_PAD 0 SITEPROP TIEOFF_X42Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y97 IS_RESERVED 0 SITEPROP TIEOFF_X42Y97 IS_TEST 0 SITEPROP TIEOFF_X42Y97 IS_USED 0 SITEPROP TIEOFF_X42Y97 MANUAL_ROUTING SITEPROP TIEOFF_X42Y97 NAME TIEOFF_X42Y97 SITEPROP TIEOFF_X42Y97 NUM_ARCS 0 SITEPROP TIEOFF_X42Y97 NUM_BELS 2 SITEPROP TIEOFF_X42Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y97 NUM_PINS 2 SITEPROP TIEOFF_X42Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y97 PROHIBIT 0 SITEPROP TIEOFF_X42Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y97 RPM_X 164 SITEPROP TIEOFF_X42Y97 RPM_Y 194 SITEPROP TIEOFF_X42Y97 SITE_PIPS SITEPROP TIEOFF_X42Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y98 CLASS site SITEPROP TIEOFF_X42Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y98 IS_BONDED 0 SITEPROP TIEOFF_X42Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y98 IS_PAD 0 SITEPROP TIEOFF_X42Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y98 IS_RESERVED 0 SITEPROP TIEOFF_X42Y98 IS_TEST 0 SITEPROP TIEOFF_X42Y98 IS_USED 0 SITEPROP TIEOFF_X42Y98 MANUAL_ROUTING SITEPROP TIEOFF_X42Y98 NAME TIEOFF_X42Y98 SITEPROP TIEOFF_X42Y98 NUM_ARCS 0 SITEPROP TIEOFF_X42Y98 NUM_BELS 2 SITEPROP TIEOFF_X42Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y98 NUM_PINS 2 SITEPROP TIEOFF_X42Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y98 PROHIBIT 0 SITEPROP TIEOFF_X42Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y98 RPM_X 164 SITEPROP TIEOFF_X42Y98 RPM_Y 196 SITEPROP TIEOFF_X42Y98 SITE_PIPS SITEPROP TIEOFF_X42Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X42Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X42Y99 CLASS site SITEPROP TIEOFF_X42Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X42Y99 IS_BONDED 0 SITEPROP TIEOFF_X42Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y99 IS_PAD 0 SITEPROP TIEOFF_X42Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X42Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X42Y99 IS_RESERVED 0 SITEPROP TIEOFF_X42Y99 IS_TEST 0 SITEPROP TIEOFF_X42Y99 IS_USED 0 SITEPROP TIEOFF_X42Y99 MANUAL_ROUTING SITEPROP TIEOFF_X42Y99 NAME TIEOFF_X42Y99 SITEPROP TIEOFF_X42Y99 NUM_ARCS 0 SITEPROP TIEOFF_X42Y99 NUM_BELS 2 SITEPROP TIEOFF_X42Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X42Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X42Y99 NUM_PINS 2 SITEPROP TIEOFF_X42Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X42Y99 PROHIBIT 0 SITEPROP TIEOFF_X42Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X42Y99 RPM_X 164 SITEPROP TIEOFF_X42Y99 RPM_Y 198 SITEPROP TIEOFF_X42Y99 SITE_PIPS SITEPROP TIEOFF_X42Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y0 CLASS site SITEPROP TIEOFF_X43Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y0 IS_BONDED 0 SITEPROP TIEOFF_X43Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y0 IS_PAD 0 SITEPROP TIEOFF_X43Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y0 IS_RESERVED 0 SITEPROP TIEOFF_X43Y0 IS_TEST 0 SITEPROP TIEOFF_X43Y0 IS_USED 0 SITEPROP TIEOFF_X43Y0 MANUAL_ROUTING SITEPROP TIEOFF_X43Y0 NAME TIEOFF_X43Y0 SITEPROP TIEOFF_X43Y0 NUM_ARCS 0 SITEPROP TIEOFF_X43Y0 NUM_BELS 2 SITEPROP TIEOFF_X43Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y0 NUM_PINS 2 SITEPROP TIEOFF_X43Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y0 PROHIBIT 0 SITEPROP TIEOFF_X43Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y0 RPM_X 168 SITEPROP TIEOFF_X43Y0 RPM_Y 0 SITEPROP TIEOFF_X43Y0 SITE_PIPS SITEPROP TIEOFF_X43Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y1 CLASS site SITEPROP TIEOFF_X43Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y1 IS_BONDED 0 SITEPROP TIEOFF_X43Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y1 IS_PAD 0 SITEPROP TIEOFF_X43Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y1 IS_RESERVED 0 SITEPROP TIEOFF_X43Y1 IS_TEST 0 SITEPROP TIEOFF_X43Y1 IS_USED 0 SITEPROP TIEOFF_X43Y1 MANUAL_ROUTING SITEPROP TIEOFF_X43Y1 NAME TIEOFF_X43Y1 SITEPROP TIEOFF_X43Y1 NUM_ARCS 0 SITEPROP TIEOFF_X43Y1 NUM_BELS 2 SITEPROP TIEOFF_X43Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y1 NUM_PINS 2 SITEPROP TIEOFF_X43Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y1 PROHIBIT 0 SITEPROP TIEOFF_X43Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y1 RPM_X 168 SITEPROP TIEOFF_X43Y1 RPM_Y 2 SITEPROP TIEOFF_X43Y1 SITE_PIPS SITEPROP TIEOFF_X43Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y2 CLASS site SITEPROP TIEOFF_X43Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y2 IS_BONDED 0 SITEPROP TIEOFF_X43Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y2 IS_PAD 0 SITEPROP TIEOFF_X43Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y2 IS_RESERVED 0 SITEPROP TIEOFF_X43Y2 IS_TEST 0 SITEPROP TIEOFF_X43Y2 IS_USED 0 SITEPROP TIEOFF_X43Y2 MANUAL_ROUTING SITEPROP TIEOFF_X43Y2 NAME TIEOFF_X43Y2 SITEPROP TIEOFF_X43Y2 NUM_ARCS 0 SITEPROP TIEOFF_X43Y2 NUM_BELS 2 SITEPROP TIEOFF_X43Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y2 NUM_PINS 2 SITEPROP TIEOFF_X43Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y2 PROHIBIT 0 SITEPROP TIEOFF_X43Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y2 RPM_X 168 SITEPROP TIEOFF_X43Y2 RPM_Y 4 SITEPROP TIEOFF_X43Y2 SITE_PIPS SITEPROP TIEOFF_X43Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y3 CLASS site SITEPROP TIEOFF_X43Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y3 IS_BONDED 0 SITEPROP TIEOFF_X43Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y3 IS_PAD 0 SITEPROP TIEOFF_X43Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y3 IS_RESERVED 0 SITEPROP TIEOFF_X43Y3 IS_TEST 0 SITEPROP TIEOFF_X43Y3 IS_USED 0 SITEPROP TIEOFF_X43Y3 MANUAL_ROUTING SITEPROP TIEOFF_X43Y3 NAME TIEOFF_X43Y3 SITEPROP TIEOFF_X43Y3 NUM_ARCS 0 SITEPROP TIEOFF_X43Y3 NUM_BELS 2 SITEPROP TIEOFF_X43Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y3 NUM_PINS 2 SITEPROP TIEOFF_X43Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y3 PROHIBIT 0 SITEPROP TIEOFF_X43Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y3 RPM_X 168 SITEPROP TIEOFF_X43Y3 RPM_Y 6 SITEPROP TIEOFF_X43Y3 SITE_PIPS SITEPROP TIEOFF_X43Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y4 CLASS site SITEPROP TIEOFF_X43Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y4 IS_BONDED 0 SITEPROP TIEOFF_X43Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y4 IS_PAD 0 SITEPROP TIEOFF_X43Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y4 IS_RESERVED 0 SITEPROP TIEOFF_X43Y4 IS_TEST 0 SITEPROP TIEOFF_X43Y4 IS_USED 0 SITEPROP TIEOFF_X43Y4 MANUAL_ROUTING SITEPROP TIEOFF_X43Y4 NAME TIEOFF_X43Y4 SITEPROP TIEOFF_X43Y4 NUM_ARCS 0 SITEPROP TIEOFF_X43Y4 NUM_BELS 2 SITEPROP TIEOFF_X43Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y4 NUM_PINS 2 SITEPROP TIEOFF_X43Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y4 PROHIBIT 0 SITEPROP TIEOFF_X43Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y4 RPM_X 168 SITEPROP TIEOFF_X43Y4 RPM_Y 8 SITEPROP TIEOFF_X43Y4 SITE_PIPS SITEPROP TIEOFF_X43Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y5 CLASS site SITEPROP TIEOFF_X43Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y5 IS_BONDED 0 SITEPROP TIEOFF_X43Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y5 IS_PAD 0 SITEPROP TIEOFF_X43Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y5 IS_RESERVED 0 SITEPROP TIEOFF_X43Y5 IS_TEST 0 SITEPROP TIEOFF_X43Y5 IS_USED 0 SITEPROP TIEOFF_X43Y5 MANUAL_ROUTING SITEPROP TIEOFF_X43Y5 NAME TIEOFF_X43Y5 SITEPROP TIEOFF_X43Y5 NUM_ARCS 0 SITEPROP TIEOFF_X43Y5 NUM_BELS 2 SITEPROP TIEOFF_X43Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y5 NUM_PINS 2 SITEPROP TIEOFF_X43Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y5 PROHIBIT 0 SITEPROP TIEOFF_X43Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y5 RPM_X 168 SITEPROP TIEOFF_X43Y5 RPM_Y 10 SITEPROP TIEOFF_X43Y5 SITE_PIPS SITEPROP TIEOFF_X43Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y6 CLASS site SITEPROP TIEOFF_X43Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y6 IS_BONDED 0 SITEPROP TIEOFF_X43Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y6 IS_PAD 0 SITEPROP TIEOFF_X43Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y6 IS_RESERVED 0 SITEPROP TIEOFF_X43Y6 IS_TEST 0 SITEPROP TIEOFF_X43Y6 IS_USED 0 SITEPROP TIEOFF_X43Y6 MANUAL_ROUTING SITEPROP TIEOFF_X43Y6 NAME TIEOFF_X43Y6 SITEPROP TIEOFF_X43Y6 NUM_ARCS 0 SITEPROP TIEOFF_X43Y6 NUM_BELS 2 SITEPROP TIEOFF_X43Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y6 NUM_PINS 2 SITEPROP TIEOFF_X43Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y6 PROHIBIT 0 SITEPROP TIEOFF_X43Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y6 RPM_X 168 SITEPROP TIEOFF_X43Y6 RPM_Y 12 SITEPROP TIEOFF_X43Y6 SITE_PIPS SITEPROP TIEOFF_X43Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y7 CLASS site SITEPROP TIEOFF_X43Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y7 IS_BONDED 0 SITEPROP TIEOFF_X43Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y7 IS_PAD 0 SITEPROP TIEOFF_X43Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y7 IS_RESERVED 0 SITEPROP TIEOFF_X43Y7 IS_TEST 0 SITEPROP TIEOFF_X43Y7 IS_USED 0 SITEPROP TIEOFF_X43Y7 MANUAL_ROUTING SITEPROP TIEOFF_X43Y7 NAME TIEOFF_X43Y7 SITEPROP TIEOFF_X43Y7 NUM_ARCS 0 SITEPROP TIEOFF_X43Y7 NUM_BELS 2 SITEPROP TIEOFF_X43Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y7 NUM_PINS 2 SITEPROP TIEOFF_X43Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y7 PROHIBIT 0 SITEPROP TIEOFF_X43Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y7 RPM_X 168 SITEPROP TIEOFF_X43Y7 RPM_Y 14 SITEPROP TIEOFF_X43Y7 SITE_PIPS SITEPROP TIEOFF_X43Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y8 CLASS site SITEPROP TIEOFF_X43Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y8 IS_BONDED 0 SITEPROP TIEOFF_X43Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y8 IS_PAD 0 SITEPROP TIEOFF_X43Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y8 IS_RESERVED 0 SITEPROP TIEOFF_X43Y8 IS_TEST 0 SITEPROP TIEOFF_X43Y8 IS_USED 0 SITEPROP TIEOFF_X43Y8 MANUAL_ROUTING SITEPROP TIEOFF_X43Y8 NAME TIEOFF_X43Y8 SITEPROP TIEOFF_X43Y8 NUM_ARCS 0 SITEPROP TIEOFF_X43Y8 NUM_BELS 2 SITEPROP TIEOFF_X43Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y8 NUM_PINS 2 SITEPROP TIEOFF_X43Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y8 PROHIBIT 0 SITEPROP TIEOFF_X43Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y8 RPM_X 168 SITEPROP TIEOFF_X43Y8 RPM_Y 16 SITEPROP TIEOFF_X43Y8 SITE_PIPS SITEPROP TIEOFF_X43Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y9 CLASS site SITEPROP TIEOFF_X43Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y9 IS_BONDED 0 SITEPROP TIEOFF_X43Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y9 IS_PAD 0 SITEPROP TIEOFF_X43Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y9 IS_RESERVED 0 SITEPROP TIEOFF_X43Y9 IS_TEST 0 SITEPROP TIEOFF_X43Y9 IS_USED 0 SITEPROP TIEOFF_X43Y9 MANUAL_ROUTING SITEPROP TIEOFF_X43Y9 NAME TIEOFF_X43Y9 SITEPROP TIEOFF_X43Y9 NUM_ARCS 0 SITEPROP TIEOFF_X43Y9 NUM_BELS 2 SITEPROP TIEOFF_X43Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y9 NUM_PINS 2 SITEPROP TIEOFF_X43Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y9 PROHIBIT 0 SITEPROP TIEOFF_X43Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y9 RPM_X 168 SITEPROP TIEOFF_X43Y9 RPM_Y 18 SITEPROP TIEOFF_X43Y9 SITE_PIPS SITEPROP TIEOFF_X43Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y10 CLASS site SITEPROP TIEOFF_X43Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y10 IS_BONDED 0 SITEPROP TIEOFF_X43Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y10 IS_PAD 0 SITEPROP TIEOFF_X43Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y10 IS_RESERVED 0 SITEPROP TIEOFF_X43Y10 IS_TEST 0 SITEPROP TIEOFF_X43Y10 IS_USED 0 SITEPROP TIEOFF_X43Y10 MANUAL_ROUTING SITEPROP TIEOFF_X43Y10 NAME TIEOFF_X43Y10 SITEPROP TIEOFF_X43Y10 NUM_ARCS 0 SITEPROP TIEOFF_X43Y10 NUM_BELS 2 SITEPROP TIEOFF_X43Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y10 NUM_PINS 2 SITEPROP TIEOFF_X43Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y10 PROHIBIT 0 SITEPROP TIEOFF_X43Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y10 RPM_X 168 SITEPROP TIEOFF_X43Y10 RPM_Y 20 SITEPROP TIEOFF_X43Y10 SITE_PIPS SITEPROP TIEOFF_X43Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y11 CLASS site SITEPROP TIEOFF_X43Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y11 IS_BONDED 0 SITEPROP TIEOFF_X43Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y11 IS_PAD 0 SITEPROP TIEOFF_X43Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y11 IS_RESERVED 0 SITEPROP TIEOFF_X43Y11 IS_TEST 0 SITEPROP TIEOFF_X43Y11 IS_USED 0 SITEPROP TIEOFF_X43Y11 MANUAL_ROUTING SITEPROP TIEOFF_X43Y11 NAME TIEOFF_X43Y11 SITEPROP TIEOFF_X43Y11 NUM_ARCS 0 SITEPROP TIEOFF_X43Y11 NUM_BELS 2 SITEPROP TIEOFF_X43Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y11 NUM_PINS 2 SITEPROP TIEOFF_X43Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y11 PROHIBIT 0 SITEPROP TIEOFF_X43Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y11 RPM_X 168 SITEPROP TIEOFF_X43Y11 RPM_Y 22 SITEPROP TIEOFF_X43Y11 SITE_PIPS SITEPROP TIEOFF_X43Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y12 CLASS site SITEPROP TIEOFF_X43Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y12 IS_BONDED 0 SITEPROP TIEOFF_X43Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y12 IS_PAD 0 SITEPROP TIEOFF_X43Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y12 IS_RESERVED 0 SITEPROP TIEOFF_X43Y12 IS_TEST 0 SITEPROP TIEOFF_X43Y12 IS_USED 0 SITEPROP TIEOFF_X43Y12 MANUAL_ROUTING SITEPROP TIEOFF_X43Y12 NAME TIEOFF_X43Y12 SITEPROP TIEOFF_X43Y12 NUM_ARCS 0 SITEPROP TIEOFF_X43Y12 NUM_BELS 2 SITEPROP TIEOFF_X43Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y12 NUM_PINS 2 SITEPROP TIEOFF_X43Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y12 PROHIBIT 0 SITEPROP TIEOFF_X43Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y12 RPM_X 168 SITEPROP TIEOFF_X43Y12 RPM_Y 24 SITEPROP TIEOFF_X43Y12 SITE_PIPS SITEPROP TIEOFF_X43Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y13 CLASS site SITEPROP TIEOFF_X43Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y13 IS_BONDED 0 SITEPROP TIEOFF_X43Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y13 IS_PAD 0 SITEPROP TIEOFF_X43Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y13 IS_RESERVED 0 SITEPROP TIEOFF_X43Y13 IS_TEST 0 SITEPROP TIEOFF_X43Y13 IS_USED 0 SITEPROP TIEOFF_X43Y13 MANUAL_ROUTING SITEPROP TIEOFF_X43Y13 NAME TIEOFF_X43Y13 SITEPROP TIEOFF_X43Y13 NUM_ARCS 0 SITEPROP TIEOFF_X43Y13 NUM_BELS 2 SITEPROP TIEOFF_X43Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y13 NUM_PINS 2 SITEPROP TIEOFF_X43Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y13 PROHIBIT 0 SITEPROP TIEOFF_X43Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y13 RPM_X 168 SITEPROP TIEOFF_X43Y13 RPM_Y 26 SITEPROP TIEOFF_X43Y13 SITE_PIPS SITEPROP TIEOFF_X43Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y14 CLASS site SITEPROP TIEOFF_X43Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y14 IS_BONDED 0 SITEPROP TIEOFF_X43Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y14 IS_PAD 0 SITEPROP TIEOFF_X43Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y14 IS_RESERVED 0 SITEPROP TIEOFF_X43Y14 IS_TEST 0 SITEPROP TIEOFF_X43Y14 IS_USED 0 SITEPROP TIEOFF_X43Y14 MANUAL_ROUTING SITEPROP TIEOFF_X43Y14 NAME TIEOFF_X43Y14 SITEPROP TIEOFF_X43Y14 NUM_ARCS 0 SITEPROP TIEOFF_X43Y14 NUM_BELS 2 SITEPROP TIEOFF_X43Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y14 NUM_PINS 2 SITEPROP TIEOFF_X43Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y14 PROHIBIT 0 SITEPROP TIEOFF_X43Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y14 RPM_X 168 SITEPROP TIEOFF_X43Y14 RPM_Y 28 SITEPROP TIEOFF_X43Y14 SITE_PIPS SITEPROP TIEOFF_X43Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y15 CLASS site SITEPROP TIEOFF_X43Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y15 IS_BONDED 0 SITEPROP TIEOFF_X43Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y15 IS_PAD 0 SITEPROP TIEOFF_X43Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y15 IS_RESERVED 0 SITEPROP TIEOFF_X43Y15 IS_TEST 0 SITEPROP TIEOFF_X43Y15 IS_USED 0 SITEPROP TIEOFF_X43Y15 MANUAL_ROUTING SITEPROP TIEOFF_X43Y15 NAME TIEOFF_X43Y15 SITEPROP TIEOFF_X43Y15 NUM_ARCS 0 SITEPROP TIEOFF_X43Y15 NUM_BELS 2 SITEPROP TIEOFF_X43Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y15 NUM_PINS 2 SITEPROP TIEOFF_X43Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y15 PROHIBIT 0 SITEPROP TIEOFF_X43Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y15 RPM_X 168 SITEPROP TIEOFF_X43Y15 RPM_Y 30 SITEPROP TIEOFF_X43Y15 SITE_PIPS SITEPROP TIEOFF_X43Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y16 CLASS site SITEPROP TIEOFF_X43Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y16 IS_BONDED 0 SITEPROP TIEOFF_X43Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y16 IS_PAD 0 SITEPROP TIEOFF_X43Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y16 IS_RESERVED 0 SITEPROP TIEOFF_X43Y16 IS_TEST 0 SITEPROP TIEOFF_X43Y16 IS_USED 0 SITEPROP TIEOFF_X43Y16 MANUAL_ROUTING SITEPROP TIEOFF_X43Y16 NAME TIEOFF_X43Y16 SITEPROP TIEOFF_X43Y16 NUM_ARCS 0 SITEPROP TIEOFF_X43Y16 NUM_BELS 2 SITEPROP TIEOFF_X43Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y16 NUM_PINS 2 SITEPROP TIEOFF_X43Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y16 PROHIBIT 0 SITEPROP TIEOFF_X43Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y16 RPM_X 168 SITEPROP TIEOFF_X43Y16 RPM_Y 32 SITEPROP TIEOFF_X43Y16 SITE_PIPS SITEPROP TIEOFF_X43Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y17 CLASS site SITEPROP TIEOFF_X43Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y17 IS_BONDED 0 SITEPROP TIEOFF_X43Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y17 IS_PAD 0 SITEPROP TIEOFF_X43Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y17 IS_RESERVED 0 SITEPROP TIEOFF_X43Y17 IS_TEST 0 SITEPROP TIEOFF_X43Y17 IS_USED 0 SITEPROP TIEOFF_X43Y17 MANUAL_ROUTING SITEPROP TIEOFF_X43Y17 NAME TIEOFF_X43Y17 SITEPROP TIEOFF_X43Y17 NUM_ARCS 0 SITEPROP TIEOFF_X43Y17 NUM_BELS 2 SITEPROP TIEOFF_X43Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y17 NUM_PINS 2 SITEPROP TIEOFF_X43Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y17 PROHIBIT 0 SITEPROP TIEOFF_X43Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y17 RPM_X 168 SITEPROP TIEOFF_X43Y17 RPM_Y 34 SITEPROP TIEOFF_X43Y17 SITE_PIPS SITEPROP TIEOFF_X43Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y18 CLASS site SITEPROP TIEOFF_X43Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y18 IS_BONDED 0 SITEPROP TIEOFF_X43Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y18 IS_PAD 0 SITEPROP TIEOFF_X43Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y18 IS_RESERVED 0 SITEPROP TIEOFF_X43Y18 IS_TEST 0 SITEPROP TIEOFF_X43Y18 IS_USED 0 SITEPROP TIEOFF_X43Y18 MANUAL_ROUTING SITEPROP TIEOFF_X43Y18 NAME TIEOFF_X43Y18 SITEPROP TIEOFF_X43Y18 NUM_ARCS 0 SITEPROP TIEOFF_X43Y18 NUM_BELS 2 SITEPROP TIEOFF_X43Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y18 NUM_PINS 2 SITEPROP TIEOFF_X43Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y18 PROHIBIT 0 SITEPROP TIEOFF_X43Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y18 RPM_X 168 SITEPROP TIEOFF_X43Y18 RPM_Y 36 SITEPROP TIEOFF_X43Y18 SITE_PIPS SITEPROP TIEOFF_X43Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y19 CLASS site SITEPROP TIEOFF_X43Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y19 IS_BONDED 0 SITEPROP TIEOFF_X43Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y19 IS_PAD 0 SITEPROP TIEOFF_X43Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y19 IS_RESERVED 0 SITEPROP TIEOFF_X43Y19 IS_TEST 0 SITEPROP TIEOFF_X43Y19 IS_USED 0 SITEPROP TIEOFF_X43Y19 MANUAL_ROUTING SITEPROP TIEOFF_X43Y19 NAME TIEOFF_X43Y19 SITEPROP TIEOFF_X43Y19 NUM_ARCS 0 SITEPROP TIEOFF_X43Y19 NUM_BELS 2 SITEPROP TIEOFF_X43Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y19 NUM_PINS 2 SITEPROP TIEOFF_X43Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y19 PROHIBIT 0 SITEPROP TIEOFF_X43Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y19 RPM_X 168 SITEPROP TIEOFF_X43Y19 RPM_Y 38 SITEPROP TIEOFF_X43Y19 SITE_PIPS SITEPROP TIEOFF_X43Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y20 CLASS site SITEPROP TIEOFF_X43Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y20 IS_BONDED 0 SITEPROP TIEOFF_X43Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y20 IS_PAD 0 SITEPROP TIEOFF_X43Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y20 IS_RESERVED 0 SITEPROP TIEOFF_X43Y20 IS_TEST 0 SITEPROP TIEOFF_X43Y20 IS_USED 0 SITEPROP TIEOFF_X43Y20 MANUAL_ROUTING SITEPROP TIEOFF_X43Y20 NAME TIEOFF_X43Y20 SITEPROP TIEOFF_X43Y20 NUM_ARCS 0 SITEPROP TIEOFF_X43Y20 NUM_BELS 2 SITEPROP TIEOFF_X43Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y20 NUM_PINS 2 SITEPROP TIEOFF_X43Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y20 PROHIBIT 0 SITEPROP TIEOFF_X43Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y20 RPM_X 168 SITEPROP TIEOFF_X43Y20 RPM_Y 40 SITEPROP TIEOFF_X43Y20 SITE_PIPS SITEPROP TIEOFF_X43Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y21 CLASS site SITEPROP TIEOFF_X43Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y21 IS_BONDED 0 SITEPROP TIEOFF_X43Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y21 IS_PAD 0 SITEPROP TIEOFF_X43Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y21 IS_RESERVED 0 SITEPROP TIEOFF_X43Y21 IS_TEST 0 SITEPROP TIEOFF_X43Y21 IS_USED 0 SITEPROP TIEOFF_X43Y21 MANUAL_ROUTING SITEPROP TIEOFF_X43Y21 NAME TIEOFF_X43Y21 SITEPROP TIEOFF_X43Y21 NUM_ARCS 0 SITEPROP TIEOFF_X43Y21 NUM_BELS 2 SITEPROP TIEOFF_X43Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y21 NUM_PINS 2 SITEPROP TIEOFF_X43Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y21 PROHIBIT 0 SITEPROP TIEOFF_X43Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y21 RPM_X 168 SITEPROP TIEOFF_X43Y21 RPM_Y 42 SITEPROP TIEOFF_X43Y21 SITE_PIPS SITEPROP TIEOFF_X43Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y22 CLASS site SITEPROP TIEOFF_X43Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y22 IS_BONDED 0 SITEPROP TIEOFF_X43Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y22 IS_PAD 0 SITEPROP TIEOFF_X43Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y22 IS_RESERVED 0 SITEPROP TIEOFF_X43Y22 IS_TEST 0 SITEPROP TIEOFF_X43Y22 IS_USED 0 SITEPROP TIEOFF_X43Y22 MANUAL_ROUTING SITEPROP TIEOFF_X43Y22 NAME TIEOFF_X43Y22 SITEPROP TIEOFF_X43Y22 NUM_ARCS 0 SITEPROP TIEOFF_X43Y22 NUM_BELS 2 SITEPROP TIEOFF_X43Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y22 NUM_PINS 2 SITEPROP TIEOFF_X43Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y22 PROHIBIT 0 SITEPROP TIEOFF_X43Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y22 RPM_X 168 SITEPROP TIEOFF_X43Y22 RPM_Y 44 SITEPROP TIEOFF_X43Y22 SITE_PIPS SITEPROP TIEOFF_X43Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y23 CLASS site SITEPROP TIEOFF_X43Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y23 IS_BONDED 0 SITEPROP TIEOFF_X43Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y23 IS_PAD 0 SITEPROP TIEOFF_X43Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y23 IS_RESERVED 0 SITEPROP TIEOFF_X43Y23 IS_TEST 0 SITEPROP TIEOFF_X43Y23 IS_USED 0 SITEPROP TIEOFF_X43Y23 MANUAL_ROUTING SITEPROP TIEOFF_X43Y23 NAME TIEOFF_X43Y23 SITEPROP TIEOFF_X43Y23 NUM_ARCS 0 SITEPROP TIEOFF_X43Y23 NUM_BELS 2 SITEPROP TIEOFF_X43Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y23 NUM_PINS 2 SITEPROP TIEOFF_X43Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y23 PROHIBIT 0 SITEPROP TIEOFF_X43Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y23 RPM_X 168 SITEPROP TIEOFF_X43Y23 RPM_Y 46 SITEPROP TIEOFF_X43Y23 SITE_PIPS SITEPROP TIEOFF_X43Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y24 CLASS site SITEPROP TIEOFF_X43Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y24 IS_BONDED 0 SITEPROP TIEOFF_X43Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y24 IS_PAD 0 SITEPROP TIEOFF_X43Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y24 IS_RESERVED 0 SITEPROP TIEOFF_X43Y24 IS_TEST 0 SITEPROP TIEOFF_X43Y24 IS_USED 0 SITEPROP TIEOFF_X43Y24 MANUAL_ROUTING SITEPROP TIEOFF_X43Y24 NAME TIEOFF_X43Y24 SITEPROP TIEOFF_X43Y24 NUM_ARCS 0 SITEPROP TIEOFF_X43Y24 NUM_BELS 2 SITEPROP TIEOFF_X43Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y24 NUM_PINS 2 SITEPROP TIEOFF_X43Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y24 PROHIBIT 0 SITEPROP TIEOFF_X43Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y24 RPM_X 168 SITEPROP TIEOFF_X43Y24 RPM_Y 48 SITEPROP TIEOFF_X43Y24 SITE_PIPS SITEPROP TIEOFF_X43Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y25 CLASS site SITEPROP TIEOFF_X43Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y25 IS_BONDED 0 SITEPROP TIEOFF_X43Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y25 IS_PAD 0 SITEPROP TIEOFF_X43Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y25 IS_RESERVED 0 SITEPROP TIEOFF_X43Y25 IS_TEST 0 SITEPROP TIEOFF_X43Y25 IS_USED 0 SITEPROP TIEOFF_X43Y25 MANUAL_ROUTING SITEPROP TIEOFF_X43Y25 NAME TIEOFF_X43Y25 SITEPROP TIEOFF_X43Y25 NUM_ARCS 0 SITEPROP TIEOFF_X43Y25 NUM_BELS 2 SITEPROP TIEOFF_X43Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y25 NUM_PINS 2 SITEPROP TIEOFF_X43Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y25 PROHIBIT 0 SITEPROP TIEOFF_X43Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y25 RPM_X 168 SITEPROP TIEOFF_X43Y25 RPM_Y 50 SITEPROP TIEOFF_X43Y25 SITE_PIPS SITEPROP TIEOFF_X43Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y26 CLASS site SITEPROP TIEOFF_X43Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y26 IS_BONDED 0 SITEPROP TIEOFF_X43Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y26 IS_PAD 0 SITEPROP TIEOFF_X43Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y26 IS_RESERVED 0 SITEPROP TIEOFF_X43Y26 IS_TEST 0 SITEPROP TIEOFF_X43Y26 IS_USED 0 SITEPROP TIEOFF_X43Y26 MANUAL_ROUTING SITEPROP TIEOFF_X43Y26 NAME TIEOFF_X43Y26 SITEPROP TIEOFF_X43Y26 NUM_ARCS 0 SITEPROP TIEOFF_X43Y26 NUM_BELS 2 SITEPROP TIEOFF_X43Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y26 NUM_PINS 2 SITEPROP TIEOFF_X43Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y26 PROHIBIT 0 SITEPROP TIEOFF_X43Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y26 RPM_X 168 SITEPROP TIEOFF_X43Y26 RPM_Y 52 SITEPROP TIEOFF_X43Y26 SITE_PIPS SITEPROP TIEOFF_X43Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y27 CLASS site SITEPROP TIEOFF_X43Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y27 IS_BONDED 0 SITEPROP TIEOFF_X43Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y27 IS_PAD 0 SITEPROP TIEOFF_X43Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y27 IS_RESERVED 0 SITEPROP TIEOFF_X43Y27 IS_TEST 0 SITEPROP TIEOFF_X43Y27 IS_USED 0 SITEPROP TIEOFF_X43Y27 MANUAL_ROUTING SITEPROP TIEOFF_X43Y27 NAME TIEOFF_X43Y27 SITEPROP TIEOFF_X43Y27 NUM_ARCS 0 SITEPROP TIEOFF_X43Y27 NUM_BELS 2 SITEPROP TIEOFF_X43Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y27 NUM_PINS 2 SITEPROP TIEOFF_X43Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y27 PROHIBIT 0 SITEPROP TIEOFF_X43Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y27 RPM_X 168 SITEPROP TIEOFF_X43Y27 RPM_Y 54 SITEPROP TIEOFF_X43Y27 SITE_PIPS SITEPROP TIEOFF_X43Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y28 CLASS site SITEPROP TIEOFF_X43Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y28 IS_BONDED 0 SITEPROP TIEOFF_X43Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y28 IS_PAD 0 SITEPROP TIEOFF_X43Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y28 IS_RESERVED 0 SITEPROP TIEOFF_X43Y28 IS_TEST 0 SITEPROP TIEOFF_X43Y28 IS_USED 0 SITEPROP TIEOFF_X43Y28 MANUAL_ROUTING SITEPROP TIEOFF_X43Y28 NAME TIEOFF_X43Y28 SITEPROP TIEOFF_X43Y28 NUM_ARCS 0 SITEPROP TIEOFF_X43Y28 NUM_BELS 2 SITEPROP TIEOFF_X43Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y28 NUM_PINS 2 SITEPROP TIEOFF_X43Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y28 PROHIBIT 0 SITEPROP TIEOFF_X43Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y28 RPM_X 168 SITEPROP TIEOFF_X43Y28 RPM_Y 56 SITEPROP TIEOFF_X43Y28 SITE_PIPS SITEPROP TIEOFF_X43Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y29 CLASS site SITEPROP TIEOFF_X43Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y29 IS_BONDED 0 SITEPROP TIEOFF_X43Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y29 IS_PAD 0 SITEPROP TIEOFF_X43Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y29 IS_RESERVED 0 SITEPROP TIEOFF_X43Y29 IS_TEST 0 SITEPROP TIEOFF_X43Y29 IS_USED 0 SITEPROP TIEOFF_X43Y29 MANUAL_ROUTING SITEPROP TIEOFF_X43Y29 NAME TIEOFF_X43Y29 SITEPROP TIEOFF_X43Y29 NUM_ARCS 0 SITEPROP TIEOFF_X43Y29 NUM_BELS 2 SITEPROP TIEOFF_X43Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y29 NUM_PINS 2 SITEPROP TIEOFF_X43Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y29 PROHIBIT 0 SITEPROP TIEOFF_X43Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y29 RPM_X 168 SITEPROP TIEOFF_X43Y29 RPM_Y 58 SITEPROP TIEOFF_X43Y29 SITE_PIPS SITEPROP TIEOFF_X43Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y30 CLASS site SITEPROP TIEOFF_X43Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y30 IS_BONDED 0 SITEPROP TIEOFF_X43Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y30 IS_PAD 0 SITEPROP TIEOFF_X43Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y30 IS_RESERVED 0 SITEPROP TIEOFF_X43Y30 IS_TEST 0 SITEPROP TIEOFF_X43Y30 IS_USED 0 SITEPROP TIEOFF_X43Y30 MANUAL_ROUTING SITEPROP TIEOFF_X43Y30 NAME TIEOFF_X43Y30 SITEPROP TIEOFF_X43Y30 NUM_ARCS 0 SITEPROP TIEOFF_X43Y30 NUM_BELS 2 SITEPROP TIEOFF_X43Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y30 NUM_PINS 2 SITEPROP TIEOFF_X43Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y30 PROHIBIT 0 SITEPROP TIEOFF_X43Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y30 RPM_X 168 SITEPROP TIEOFF_X43Y30 RPM_Y 60 SITEPROP TIEOFF_X43Y30 SITE_PIPS SITEPROP TIEOFF_X43Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y31 CLASS site SITEPROP TIEOFF_X43Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y31 IS_BONDED 0 SITEPROP TIEOFF_X43Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y31 IS_PAD 0 SITEPROP TIEOFF_X43Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y31 IS_RESERVED 0 SITEPROP TIEOFF_X43Y31 IS_TEST 0 SITEPROP TIEOFF_X43Y31 IS_USED 0 SITEPROP TIEOFF_X43Y31 MANUAL_ROUTING SITEPROP TIEOFF_X43Y31 NAME TIEOFF_X43Y31 SITEPROP TIEOFF_X43Y31 NUM_ARCS 0 SITEPROP TIEOFF_X43Y31 NUM_BELS 2 SITEPROP TIEOFF_X43Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y31 NUM_PINS 2 SITEPROP TIEOFF_X43Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y31 PROHIBIT 0 SITEPROP TIEOFF_X43Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y31 RPM_X 168 SITEPROP TIEOFF_X43Y31 RPM_Y 62 SITEPROP TIEOFF_X43Y31 SITE_PIPS SITEPROP TIEOFF_X43Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y32 CLASS site SITEPROP TIEOFF_X43Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y32 IS_BONDED 0 SITEPROP TIEOFF_X43Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y32 IS_PAD 0 SITEPROP TIEOFF_X43Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y32 IS_RESERVED 0 SITEPROP TIEOFF_X43Y32 IS_TEST 0 SITEPROP TIEOFF_X43Y32 IS_USED 0 SITEPROP TIEOFF_X43Y32 MANUAL_ROUTING SITEPROP TIEOFF_X43Y32 NAME TIEOFF_X43Y32 SITEPROP TIEOFF_X43Y32 NUM_ARCS 0 SITEPROP TIEOFF_X43Y32 NUM_BELS 2 SITEPROP TIEOFF_X43Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y32 NUM_PINS 2 SITEPROP TIEOFF_X43Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y32 PROHIBIT 0 SITEPROP TIEOFF_X43Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y32 RPM_X 168 SITEPROP TIEOFF_X43Y32 RPM_Y 64 SITEPROP TIEOFF_X43Y32 SITE_PIPS SITEPROP TIEOFF_X43Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y33 CLASS site SITEPROP TIEOFF_X43Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y33 IS_BONDED 0 SITEPROP TIEOFF_X43Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y33 IS_PAD 0 SITEPROP TIEOFF_X43Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y33 IS_RESERVED 0 SITEPROP TIEOFF_X43Y33 IS_TEST 0 SITEPROP TIEOFF_X43Y33 IS_USED 0 SITEPROP TIEOFF_X43Y33 MANUAL_ROUTING SITEPROP TIEOFF_X43Y33 NAME TIEOFF_X43Y33 SITEPROP TIEOFF_X43Y33 NUM_ARCS 0 SITEPROP TIEOFF_X43Y33 NUM_BELS 2 SITEPROP TIEOFF_X43Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y33 NUM_PINS 2 SITEPROP TIEOFF_X43Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y33 PROHIBIT 0 SITEPROP TIEOFF_X43Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y33 RPM_X 168 SITEPROP TIEOFF_X43Y33 RPM_Y 66 SITEPROP TIEOFF_X43Y33 SITE_PIPS SITEPROP TIEOFF_X43Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y34 CLASS site SITEPROP TIEOFF_X43Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y34 IS_BONDED 0 SITEPROP TIEOFF_X43Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y34 IS_PAD 0 SITEPROP TIEOFF_X43Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y34 IS_RESERVED 0 SITEPROP TIEOFF_X43Y34 IS_TEST 0 SITEPROP TIEOFF_X43Y34 IS_USED 0 SITEPROP TIEOFF_X43Y34 MANUAL_ROUTING SITEPROP TIEOFF_X43Y34 NAME TIEOFF_X43Y34 SITEPROP TIEOFF_X43Y34 NUM_ARCS 0 SITEPROP TIEOFF_X43Y34 NUM_BELS 2 SITEPROP TIEOFF_X43Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y34 NUM_PINS 2 SITEPROP TIEOFF_X43Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y34 PROHIBIT 0 SITEPROP TIEOFF_X43Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y34 RPM_X 168 SITEPROP TIEOFF_X43Y34 RPM_Y 68 SITEPROP TIEOFF_X43Y34 SITE_PIPS SITEPROP TIEOFF_X43Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y35 CLASS site SITEPROP TIEOFF_X43Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y35 IS_BONDED 0 SITEPROP TIEOFF_X43Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y35 IS_PAD 0 SITEPROP TIEOFF_X43Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y35 IS_RESERVED 0 SITEPROP TIEOFF_X43Y35 IS_TEST 0 SITEPROP TIEOFF_X43Y35 IS_USED 0 SITEPROP TIEOFF_X43Y35 MANUAL_ROUTING SITEPROP TIEOFF_X43Y35 NAME TIEOFF_X43Y35 SITEPROP TIEOFF_X43Y35 NUM_ARCS 0 SITEPROP TIEOFF_X43Y35 NUM_BELS 2 SITEPROP TIEOFF_X43Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y35 NUM_PINS 2 SITEPROP TIEOFF_X43Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y35 PROHIBIT 0 SITEPROP TIEOFF_X43Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y35 RPM_X 168 SITEPROP TIEOFF_X43Y35 RPM_Y 70 SITEPROP TIEOFF_X43Y35 SITE_PIPS SITEPROP TIEOFF_X43Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y36 CLASS site SITEPROP TIEOFF_X43Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y36 IS_BONDED 0 SITEPROP TIEOFF_X43Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y36 IS_PAD 0 SITEPROP TIEOFF_X43Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y36 IS_RESERVED 0 SITEPROP TIEOFF_X43Y36 IS_TEST 0 SITEPROP TIEOFF_X43Y36 IS_USED 0 SITEPROP TIEOFF_X43Y36 MANUAL_ROUTING SITEPROP TIEOFF_X43Y36 NAME TIEOFF_X43Y36 SITEPROP TIEOFF_X43Y36 NUM_ARCS 0 SITEPROP TIEOFF_X43Y36 NUM_BELS 2 SITEPROP TIEOFF_X43Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y36 NUM_PINS 2 SITEPROP TIEOFF_X43Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y36 PROHIBIT 0 SITEPROP TIEOFF_X43Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y36 RPM_X 168 SITEPROP TIEOFF_X43Y36 RPM_Y 72 SITEPROP TIEOFF_X43Y36 SITE_PIPS SITEPROP TIEOFF_X43Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y37 CLASS site SITEPROP TIEOFF_X43Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y37 IS_BONDED 0 SITEPROP TIEOFF_X43Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y37 IS_PAD 0 SITEPROP TIEOFF_X43Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y37 IS_RESERVED 0 SITEPROP TIEOFF_X43Y37 IS_TEST 0 SITEPROP TIEOFF_X43Y37 IS_USED 0 SITEPROP TIEOFF_X43Y37 MANUAL_ROUTING SITEPROP TIEOFF_X43Y37 NAME TIEOFF_X43Y37 SITEPROP TIEOFF_X43Y37 NUM_ARCS 0 SITEPROP TIEOFF_X43Y37 NUM_BELS 2 SITEPROP TIEOFF_X43Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y37 NUM_PINS 2 SITEPROP TIEOFF_X43Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y37 PROHIBIT 0 SITEPROP TIEOFF_X43Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y37 RPM_X 168 SITEPROP TIEOFF_X43Y37 RPM_Y 74 SITEPROP TIEOFF_X43Y37 SITE_PIPS SITEPROP TIEOFF_X43Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y38 CLASS site SITEPROP TIEOFF_X43Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y38 IS_BONDED 0 SITEPROP TIEOFF_X43Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y38 IS_PAD 0 SITEPROP TIEOFF_X43Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y38 IS_RESERVED 0 SITEPROP TIEOFF_X43Y38 IS_TEST 0 SITEPROP TIEOFF_X43Y38 IS_USED 0 SITEPROP TIEOFF_X43Y38 MANUAL_ROUTING SITEPROP TIEOFF_X43Y38 NAME TIEOFF_X43Y38 SITEPROP TIEOFF_X43Y38 NUM_ARCS 0 SITEPROP TIEOFF_X43Y38 NUM_BELS 2 SITEPROP TIEOFF_X43Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y38 NUM_PINS 2 SITEPROP TIEOFF_X43Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y38 PROHIBIT 0 SITEPROP TIEOFF_X43Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y38 RPM_X 168 SITEPROP TIEOFF_X43Y38 RPM_Y 76 SITEPROP TIEOFF_X43Y38 SITE_PIPS SITEPROP TIEOFF_X43Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y39 CLASS site SITEPROP TIEOFF_X43Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y39 IS_BONDED 0 SITEPROP TIEOFF_X43Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y39 IS_PAD 0 SITEPROP TIEOFF_X43Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y39 IS_RESERVED 0 SITEPROP TIEOFF_X43Y39 IS_TEST 0 SITEPROP TIEOFF_X43Y39 IS_USED 0 SITEPROP TIEOFF_X43Y39 MANUAL_ROUTING SITEPROP TIEOFF_X43Y39 NAME TIEOFF_X43Y39 SITEPROP TIEOFF_X43Y39 NUM_ARCS 0 SITEPROP TIEOFF_X43Y39 NUM_BELS 2 SITEPROP TIEOFF_X43Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y39 NUM_PINS 2 SITEPROP TIEOFF_X43Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y39 PROHIBIT 0 SITEPROP TIEOFF_X43Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y39 RPM_X 168 SITEPROP TIEOFF_X43Y39 RPM_Y 78 SITEPROP TIEOFF_X43Y39 SITE_PIPS SITEPROP TIEOFF_X43Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y40 CLASS site SITEPROP TIEOFF_X43Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y40 IS_BONDED 0 SITEPROP TIEOFF_X43Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y40 IS_PAD 0 SITEPROP TIEOFF_X43Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y40 IS_RESERVED 0 SITEPROP TIEOFF_X43Y40 IS_TEST 0 SITEPROP TIEOFF_X43Y40 IS_USED 0 SITEPROP TIEOFF_X43Y40 MANUAL_ROUTING SITEPROP TIEOFF_X43Y40 NAME TIEOFF_X43Y40 SITEPROP TIEOFF_X43Y40 NUM_ARCS 0 SITEPROP TIEOFF_X43Y40 NUM_BELS 2 SITEPROP TIEOFF_X43Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y40 NUM_PINS 2 SITEPROP TIEOFF_X43Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y40 PROHIBIT 0 SITEPROP TIEOFF_X43Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y40 RPM_X 168 SITEPROP TIEOFF_X43Y40 RPM_Y 80 SITEPROP TIEOFF_X43Y40 SITE_PIPS SITEPROP TIEOFF_X43Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y41 CLASS site SITEPROP TIEOFF_X43Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y41 IS_BONDED 0 SITEPROP TIEOFF_X43Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y41 IS_PAD 0 SITEPROP TIEOFF_X43Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y41 IS_RESERVED 0 SITEPROP TIEOFF_X43Y41 IS_TEST 0 SITEPROP TIEOFF_X43Y41 IS_USED 0 SITEPROP TIEOFF_X43Y41 MANUAL_ROUTING SITEPROP TIEOFF_X43Y41 NAME TIEOFF_X43Y41 SITEPROP TIEOFF_X43Y41 NUM_ARCS 0 SITEPROP TIEOFF_X43Y41 NUM_BELS 2 SITEPROP TIEOFF_X43Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y41 NUM_PINS 2 SITEPROP TIEOFF_X43Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y41 PROHIBIT 0 SITEPROP TIEOFF_X43Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y41 RPM_X 168 SITEPROP TIEOFF_X43Y41 RPM_Y 82 SITEPROP TIEOFF_X43Y41 SITE_PIPS SITEPROP TIEOFF_X43Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y42 CLASS site SITEPROP TIEOFF_X43Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y42 IS_BONDED 0 SITEPROP TIEOFF_X43Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y42 IS_PAD 0 SITEPROP TIEOFF_X43Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y42 IS_RESERVED 0 SITEPROP TIEOFF_X43Y42 IS_TEST 0 SITEPROP TIEOFF_X43Y42 IS_USED 0 SITEPROP TIEOFF_X43Y42 MANUAL_ROUTING SITEPROP TIEOFF_X43Y42 NAME TIEOFF_X43Y42 SITEPROP TIEOFF_X43Y42 NUM_ARCS 0 SITEPROP TIEOFF_X43Y42 NUM_BELS 2 SITEPROP TIEOFF_X43Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y42 NUM_PINS 2 SITEPROP TIEOFF_X43Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y42 PROHIBIT 0 SITEPROP TIEOFF_X43Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y42 RPM_X 168 SITEPROP TIEOFF_X43Y42 RPM_Y 84 SITEPROP TIEOFF_X43Y42 SITE_PIPS SITEPROP TIEOFF_X43Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y43 CLASS site SITEPROP TIEOFF_X43Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y43 IS_BONDED 0 SITEPROP TIEOFF_X43Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y43 IS_PAD 0 SITEPROP TIEOFF_X43Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y43 IS_RESERVED 0 SITEPROP TIEOFF_X43Y43 IS_TEST 0 SITEPROP TIEOFF_X43Y43 IS_USED 0 SITEPROP TIEOFF_X43Y43 MANUAL_ROUTING SITEPROP TIEOFF_X43Y43 NAME TIEOFF_X43Y43 SITEPROP TIEOFF_X43Y43 NUM_ARCS 0 SITEPROP TIEOFF_X43Y43 NUM_BELS 2 SITEPROP TIEOFF_X43Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y43 NUM_PINS 2 SITEPROP TIEOFF_X43Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y43 PROHIBIT 0 SITEPROP TIEOFF_X43Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y43 RPM_X 168 SITEPROP TIEOFF_X43Y43 RPM_Y 86 SITEPROP TIEOFF_X43Y43 SITE_PIPS SITEPROP TIEOFF_X43Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y44 CLASS site SITEPROP TIEOFF_X43Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y44 IS_BONDED 0 SITEPROP TIEOFF_X43Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y44 IS_PAD 0 SITEPROP TIEOFF_X43Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y44 IS_RESERVED 0 SITEPROP TIEOFF_X43Y44 IS_TEST 0 SITEPROP TIEOFF_X43Y44 IS_USED 0 SITEPROP TIEOFF_X43Y44 MANUAL_ROUTING SITEPROP TIEOFF_X43Y44 NAME TIEOFF_X43Y44 SITEPROP TIEOFF_X43Y44 NUM_ARCS 0 SITEPROP TIEOFF_X43Y44 NUM_BELS 2 SITEPROP TIEOFF_X43Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y44 NUM_PINS 2 SITEPROP TIEOFF_X43Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y44 PROHIBIT 0 SITEPROP TIEOFF_X43Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y44 RPM_X 168 SITEPROP TIEOFF_X43Y44 RPM_Y 88 SITEPROP TIEOFF_X43Y44 SITE_PIPS SITEPROP TIEOFF_X43Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y45 CLASS site SITEPROP TIEOFF_X43Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y45 IS_BONDED 0 SITEPROP TIEOFF_X43Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y45 IS_PAD 0 SITEPROP TIEOFF_X43Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y45 IS_RESERVED 0 SITEPROP TIEOFF_X43Y45 IS_TEST 0 SITEPROP TIEOFF_X43Y45 IS_USED 0 SITEPROP TIEOFF_X43Y45 MANUAL_ROUTING SITEPROP TIEOFF_X43Y45 NAME TIEOFF_X43Y45 SITEPROP TIEOFF_X43Y45 NUM_ARCS 0 SITEPROP TIEOFF_X43Y45 NUM_BELS 2 SITEPROP TIEOFF_X43Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y45 NUM_PINS 2 SITEPROP TIEOFF_X43Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y45 PROHIBIT 0 SITEPROP TIEOFF_X43Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y45 RPM_X 168 SITEPROP TIEOFF_X43Y45 RPM_Y 90 SITEPROP TIEOFF_X43Y45 SITE_PIPS SITEPROP TIEOFF_X43Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y46 CLASS site SITEPROP TIEOFF_X43Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y46 IS_BONDED 0 SITEPROP TIEOFF_X43Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y46 IS_PAD 0 SITEPROP TIEOFF_X43Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y46 IS_RESERVED 0 SITEPROP TIEOFF_X43Y46 IS_TEST 0 SITEPROP TIEOFF_X43Y46 IS_USED 0 SITEPROP TIEOFF_X43Y46 MANUAL_ROUTING SITEPROP TIEOFF_X43Y46 NAME TIEOFF_X43Y46 SITEPROP TIEOFF_X43Y46 NUM_ARCS 0 SITEPROP TIEOFF_X43Y46 NUM_BELS 2 SITEPROP TIEOFF_X43Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y46 NUM_PINS 2 SITEPROP TIEOFF_X43Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y46 PROHIBIT 0 SITEPROP TIEOFF_X43Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y46 RPM_X 168 SITEPROP TIEOFF_X43Y46 RPM_Y 92 SITEPROP TIEOFF_X43Y46 SITE_PIPS SITEPROP TIEOFF_X43Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y47 CLASS site SITEPROP TIEOFF_X43Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y47 IS_BONDED 0 SITEPROP TIEOFF_X43Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y47 IS_PAD 0 SITEPROP TIEOFF_X43Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y47 IS_RESERVED 0 SITEPROP TIEOFF_X43Y47 IS_TEST 0 SITEPROP TIEOFF_X43Y47 IS_USED 0 SITEPROP TIEOFF_X43Y47 MANUAL_ROUTING SITEPROP TIEOFF_X43Y47 NAME TIEOFF_X43Y47 SITEPROP TIEOFF_X43Y47 NUM_ARCS 0 SITEPROP TIEOFF_X43Y47 NUM_BELS 2 SITEPROP TIEOFF_X43Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y47 NUM_PINS 2 SITEPROP TIEOFF_X43Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y47 PROHIBIT 0 SITEPROP TIEOFF_X43Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y47 RPM_X 168 SITEPROP TIEOFF_X43Y47 RPM_Y 94 SITEPROP TIEOFF_X43Y47 SITE_PIPS SITEPROP TIEOFF_X43Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y48 CLASS site SITEPROP TIEOFF_X43Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y48 IS_BONDED 0 SITEPROP TIEOFF_X43Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y48 IS_PAD 0 SITEPROP TIEOFF_X43Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y48 IS_RESERVED 0 SITEPROP TIEOFF_X43Y48 IS_TEST 0 SITEPROP TIEOFF_X43Y48 IS_USED 0 SITEPROP TIEOFF_X43Y48 MANUAL_ROUTING SITEPROP TIEOFF_X43Y48 NAME TIEOFF_X43Y48 SITEPROP TIEOFF_X43Y48 NUM_ARCS 0 SITEPROP TIEOFF_X43Y48 NUM_BELS 2 SITEPROP TIEOFF_X43Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y48 NUM_PINS 2 SITEPROP TIEOFF_X43Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y48 PROHIBIT 0 SITEPROP TIEOFF_X43Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y48 RPM_X 168 SITEPROP TIEOFF_X43Y48 RPM_Y 96 SITEPROP TIEOFF_X43Y48 SITE_PIPS SITEPROP TIEOFF_X43Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y49 CLASS site SITEPROP TIEOFF_X43Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X43Y49 IS_BONDED 0 SITEPROP TIEOFF_X43Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y49 IS_PAD 0 SITEPROP TIEOFF_X43Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y49 IS_RESERVED 0 SITEPROP TIEOFF_X43Y49 IS_TEST 0 SITEPROP TIEOFF_X43Y49 IS_USED 0 SITEPROP TIEOFF_X43Y49 MANUAL_ROUTING SITEPROP TIEOFF_X43Y49 NAME TIEOFF_X43Y49 SITEPROP TIEOFF_X43Y49 NUM_ARCS 0 SITEPROP TIEOFF_X43Y49 NUM_BELS 2 SITEPROP TIEOFF_X43Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y49 NUM_PINS 2 SITEPROP TIEOFF_X43Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y49 PROHIBIT 0 SITEPROP TIEOFF_X43Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y49 RPM_X 168 SITEPROP TIEOFF_X43Y49 RPM_Y 98 SITEPROP TIEOFF_X43Y49 SITE_PIPS SITEPROP TIEOFF_X43Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y50 CLASS site SITEPROP TIEOFF_X43Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y50 IS_BONDED 0 SITEPROP TIEOFF_X43Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y50 IS_PAD 0 SITEPROP TIEOFF_X43Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y50 IS_RESERVED 0 SITEPROP TIEOFF_X43Y50 IS_TEST 0 SITEPROP TIEOFF_X43Y50 IS_USED 0 SITEPROP TIEOFF_X43Y50 MANUAL_ROUTING SITEPROP TIEOFF_X43Y50 NAME TIEOFF_X43Y50 SITEPROP TIEOFF_X43Y50 NUM_ARCS 0 SITEPROP TIEOFF_X43Y50 NUM_BELS 2 SITEPROP TIEOFF_X43Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y50 NUM_PINS 2 SITEPROP TIEOFF_X43Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y50 PROHIBIT 0 SITEPROP TIEOFF_X43Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y50 RPM_X 168 SITEPROP TIEOFF_X43Y50 RPM_Y 100 SITEPROP TIEOFF_X43Y50 SITE_PIPS SITEPROP TIEOFF_X43Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y51 CLASS site SITEPROP TIEOFF_X43Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y51 IS_BONDED 0 SITEPROP TIEOFF_X43Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y51 IS_PAD 0 SITEPROP TIEOFF_X43Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y51 IS_RESERVED 0 SITEPROP TIEOFF_X43Y51 IS_TEST 0 SITEPROP TIEOFF_X43Y51 IS_USED 0 SITEPROP TIEOFF_X43Y51 MANUAL_ROUTING SITEPROP TIEOFF_X43Y51 NAME TIEOFF_X43Y51 SITEPROP TIEOFF_X43Y51 NUM_ARCS 0 SITEPROP TIEOFF_X43Y51 NUM_BELS 2 SITEPROP TIEOFF_X43Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y51 NUM_PINS 2 SITEPROP TIEOFF_X43Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y51 PROHIBIT 0 SITEPROP TIEOFF_X43Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y51 RPM_X 168 SITEPROP TIEOFF_X43Y51 RPM_Y 102 SITEPROP TIEOFF_X43Y51 SITE_PIPS SITEPROP TIEOFF_X43Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y52 CLASS site SITEPROP TIEOFF_X43Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y52 IS_BONDED 0 SITEPROP TIEOFF_X43Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y52 IS_PAD 0 SITEPROP TIEOFF_X43Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y52 IS_RESERVED 0 SITEPROP TIEOFF_X43Y52 IS_TEST 0 SITEPROP TIEOFF_X43Y52 IS_USED 0 SITEPROP TIEOFF_X43Y52 MANUAL_ROUTING SITEPROP TIEOFF_X43Y52 NAME TIEOFF_X43Y52 SITEPROP TIEOFF_X43Y52 NUM_ARCS 0 SITEPROP TIEOFF_X43Y52 NUM_BELS 2 SITEPROP TIEOFF_X43Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y52 NUM_PINS 2 SITEPROP TIEOFF_X43Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y52 PROHIBIT 0 SITEPROP TIEOFF_X43Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y52 RPM_X 168 SITEPROP TIEOFF_X43Y52 RPM_Y 104 SITEPROP TIEOFF_X43Y52 SITE_PIPS SITEPROP TIEOFF_X43Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y53 CLASS site SITEPROP TIEOFF_X43Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y53 IS_BONDED 0 SITEPROP TIEOFF_X43Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y53 IS_PAD 0 SITEPROP TIEOFF_X43Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y53 IS_RESERVED 0 SITEPROP TIEOFF_X43Y53 IS_TEST 0 SITEPROP TIEOFF_X43Y53 IS_USED 0 SITEPROP TIEOFF_X43Y53 MANUAL_ROUTING SITEPROP TIEOFF_X43Y53 NAME TIEOFF_X43Y53 SITEPROP TIEOFF_X43Y53 NUM_ARCS 0 SITEPROP TIEOFF_X43Y53 NUM_BELS 2 SITEPROP TIEOFF_X43Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y53 NUM_PINS 2 SITEPROP TIEOFF_X43Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y53 PROHIBIT 0 SITEPROP TIEOFF_X43Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y53 RPM_X 168 SITEPROP TIEOFF_X43Y53 RPM_Y 106 SITEPROP TIEOFF_X43Y53 SITE_PIPS SITEPROP TIEOFF_X43Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y54 CLASS site SITEPROP TIEOFF_X43Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y54 IS_BONDED 0 SITEPROP TIEOFF_X43Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y54 IS_PAD 0 SITEPROP TIEOFF_X43Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y54 IS_RESERVED 0 SITEPROP TIEOFF_X43Y54 IS_TEST 0 SITEPROP TIEOFF_X43Y54 IS_USED 0 SITEPROP TIEOFF_X43Y54 MANUAL_ROUTING SITEPROP TIEOFF_X43Y54 NAME TIEOFF_X43Y54 SITEPROP TIEOFF_X43Y54 NUM_ARCS 0 SITEPROP TIEOFF_X43Y54 NUM_BELS 2 SITEPROP TIEOFF_X43Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y54 NUM_PINS 2 SITEPROP TIEOFF_X43Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y54 PROHIBIT 0 SITEPROP TIEOFF_X43Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y54 RPM_X 168 SITEPROP TIEOFF_X43Y54 RPM_Y 108 SITEPROP TIEOFF_X43Y54 SITE_PIPS SITEPROP TIEOFF_X43Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y55 CLASS site SITEPROP TIEOFF_X43Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y55 IS_BONDED 0 SITEPROP TIEOFF_X43Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y55 IS_PAD 0 SITEPROP TIEOFF_X43Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y55 IS_RESERVED 0 SITEPROP TIEOFF_X43Y55 IS_TEST 0 SITEPROP TIEOFF_X43Y55 IS_USED 0 SITEPROP TIEOFF_X43Y55 MANUAL_ROUTING SITEPROP TIEOFF_X43Y55 NAME TIEOFF_X43Y55 SITEPROP TIEOFF_X43Y55 NUM_ARCS 0 SITEPROP TIEOFF_X43Y55 NUM_BELS 2 SITEPROP TIEOFF_X43Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y55 NUM_PINS 2 SITEPROP TIEOFF_X43Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y55 PROHIBIT 0 SITEPROP TIEOFF_X43Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y55 RPM_X 168 SITEPROP TIEOFF_X43Y55 RPM_Y 110 SITEPROP TIEOFF_X43Y55 SITE_PIPS SITEPROP TIEOFF_X43Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y56 CLASS site SITEPROP TIEOFF_X43Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y56 IS_BONDED 0 SITEPROP TIEOFF_X43Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y56 IS_PAD 0 SITEPROP TIEOFF_X43Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y56 IS_RESERVED 0 SITEPROP TIEOFF_X43Y56 IS_TEST 0 SITEPROP TIEOFF_X43Y56 IS_USED 0 SITEPROP TIEOFF_X43Y56 MANUAL_ROUTING SITEPROP TIEOFF_X43Y56 NAME TIEOFF_X43Y56 SITEPROP TIEOFF_X43Y56 NUM_ARCS 0 SITEPROP TIEOFF_X43Y56 NUM_BELS 2 SITEPROP TIEOFF_X43Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y56 NUM_PINS 2 SITEPROP TIEOFF_X43Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y56 PROHIBIT 0 SITEPROP TIEOFF_X43Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y56 RPM_X 168 SITEPROP TIEOFF_X43Y56 RPM_Y 112 SITEPROP TIEOFF_X43Y56 SITE_PIPS SITEPROP TIEOFF_X43Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y57 CLASS site SITEPROP TIEOFF_X43Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y57 IS_BONDED 0 SITEPROP TIEOFF_X43Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y57 IS_PAD 0 SITEPROP TIEOFF_X43Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y57 IS_RESERVED 0 SITEPROP TIEOFF_X43Y57 IS_TEST 0 SITEPROP TIEOFF_X43Y57 IS_USED 0 SITEPROP TIEOFF_X43Y57 MANUAL_ROUTING SITEPROP TIEOFF_X43Y57 NAME TIEOFF_X43Y57 SITEPROP TIEOFF_X43Y57 NUM_ARCS 0 SITEPROP TIEOFF_X43Y57 NUM_BELS 2 SITEPROP TIEOFF_X43Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y57 NUM_PINS 2 SITEPROP TIEOFF_X43Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y57 PROHIBIT 0 SITEPROP TIEOFF_X43Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y57 RPM_X 168 SITEPROP TIEOFF_X43Y57 RPM_Y 114 SITEPROP TIEOFF_X43Y57 SITE_PIPS SITEPROP TIEOFF_X43Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y58 CLASS site SITEPROP TIEOFF_X43Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y58 IS_BONDED 0 SITEPROP TIEOFF_X43Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y58 IS_PAD 0 SITEPROP TIEOFF_X43Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y58 IS_RESERVED 0 SITEPROP TIEOFF_X43Y58 IS_TEST 0 SITEPROP TIEOFF_X43Y58 IS_USED 0 SITEPROP TIEOFF_X43Y58 MANUAL_ROUTING SITEPROP TIEOFF_X43Y58 NAME TIEOFF_X43Y58 SITEPROP TIEOFF_X43Y58 NUM_ARCS 0 SITEPROP TIEOFF_X43Y58 NUM_BELS 2 SITEPROP TIEOFF_X43Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y58 NUM_PINS 2 SITEPROP TIEOFF_X43Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y58 PROHIBIT 0 SITEPROP TIEOFF_X43Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y58 RPM_X 168 SITEPROP TIEOFF_X43Y58 RPM_Y 116 SITEPROP TIEOFF_X43Y58 SITE_PIPS SITEPROP TIEOFF_X43Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y59 CLASS site SITEPROP TIEOFF_X43Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y59 IS_BONDED 0 SITEPROP TIEOFF_X43Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y59 IS_PAD 0 SITEPROP TIEOFF_X43Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y59 IS_RESERVED 0 SITEPROP TIEOFF_X43Y59 IS_TEST 0 SITEPROP TIEOFF_X43Y59 IS_USED 0 SITEPROP TIEOFF_X43Y59 MANUAL_ROUTING SITEPROP TIEOFF_X43Y59 NAME TIEOFF_X43Y59 SITEPROP TIEOFF_X43Y59 NUM_ARCS 0 SITEPROP TIEOFF_X43Y59 NUM_BELS 2 SITEPROP TIEOFF_X43Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y59 NUM_PINS 2 SITEPROP TIEOFF_X43Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y59 PROHIBIT 0 SITEPROP TIEOFF_X43Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y59 RPM_X 168 SITEPROP TIEOFF_X43Y59 RPM_Y 118 SITEPROP TIEOFF_X43Y59 SITE_PIPS SITEPROP TIEOFF_X43Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y60 CLASS site SITEPROP TIEOFF_X43Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y60 IS_BONDED 0 SITEPROP TIEOFF_X43Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y60 IS_PAD 0 SITEPROP TIEOFF_X43Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y60 IS_RESERVED 0 SITEPROP TIEOFF_X43Y60 IS_TEST 0 SITEPROP TIEOFF_X43Y60 IS_USED 0 SITEPROP TIEOFF_X43Y60 MANUAL_ROUTING SITEPROP TIEOFF_X43Y60 NAME TIEOFF_X43Y60 SITEPROP TIEOFF_X43Y60 NUM_ARCS 0 SITEPROP TIEOFF_X43Y60 NUM_BELS 2 SITEPROP TIEOFF_X43Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y60 NUM_PINS 2 SITEPROP TIEOFF_X43Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y60 PROHIBIT 0 SITEPROP TIEOFF_X43Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y60 RPM_X 168 SITEPROP TIEOFF_X43Y60 RPM_Y 120 SITEPROP TIEOFF_X43Y60 SITE_PIPS SITEPROP TIEOFF_X43Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y61 CLASS site SITEPROP TIEOFF_X43Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y61 IS_BONDED 0 SITEPROP TIEOFF_X43Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y61 IS_PAD 0 SITEPROP TIEOFF_X43Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y61 IS_RESERVED 0 SITEPROP TIEOFF_X43Y61 IS_TEST 0 SITEPROP TIEOFF_X43Y61 IS_USED 0 SITEPROP TIEOFF_X43Y61 MANUAL_ROUTING SITEPROP TIEOFF_X43Y61 NAME TIEOFF_X43Y61 SITEPROP TIEOFF_X43Y61 NUM_ARCS 0 SITEPROP TIEOFF_X43Y61 NUM_BELS 2 SITEPROP TIEOFF_X43Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y61 NUM_PINS 2 SITEPROP TIEOFF_X43Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y61 PROHIBIT 0 SITEPROP TIEOFF_X43Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y61 RPM_X 168 SITEPROP TIEOFF_X43Y61 RPM_Y 122 SITEPROP TIEOFF_X43Y61 SITE_PIPS SITEPROP TIEOFF_X43Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y62 CLASS site SITEPROP TIEOFF_X43Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y62 IS_BONDED 0 SITEPROP TIEOFF_X43Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y62 IS_PAD 0 SITEPROP TIEOFF_X43Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y62 IS_RESERVED 0 SITEPROP TIEOFF_X43Y62 IS_TEST 0 SITEPROP TIEOFF_X43Y62 IS_USED 0 SITEPROP TIEOFF_X43Y62 MANUAL_ROUTING SITEPROP TIEOFF_X43Y62 NAME TIEOFF_X43Y62 SITEPROP TIEOFF_X43Y62 NUM_ARCS 0 SITEPROP TIEOFF_X43Y62 NUM_BELS 2 SITEPROP TIEOFF_X43Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y62 NUM_PINS 2 SITEPROP TIEOFF_X43Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y62 PROHIBIT 0 SITEPROP TIEOFF_X43Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y62 RPM_X 168 SITEPROP TIEOFF_X43Y62 RPM_Y 124 SITEPROP TIEOFF_X43Y62 SITE_PIPS SITEPROP TIEOFF_X43Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y63 CLASS site SITEPROP TIEOFF_X43Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y63 IS_BONDED 0 SITEPROP TIEOFF_X43Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y63 IS_PAD 0 SITEPROP TIEOFF_X43Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y63 IS_RESERVED 0 SITEPROP TIEOFF_X43Y63 IS_TEST 0 SITEPROP TIEOFF_X43Y63 IS_USED 0 SITEPROP TIEOFF_X43Y63 MANUAL_ROUTING SITEPROP TIEOFF_X43Y63 NAME TIEOFF_X43Y63 SITEPROP TIEOFF_X43Y63 NUM_ARCS 0 SITEPROP TIEOFF_X43Y63 NUM_BELS 2 SITEPROP TIEOFF_X43Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y63 NUM_PINS 2 SITEPROP TIEOFF_X43Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y63 PROHIBIT 0 SITEPROP TIEOFF_X43Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y63 RPM_X 168 SITEPROP TIEOFF_X43Y63 RPM_Y 126 SITEPROP TIEOFF_X43Y63 SITE_PIPS SITEPROP TIEOFF_X43Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y64 CLASS site SITEPROP TIEOFF_X43Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y64 IS_BONDED 0 SITEPROP TIEOFF_X43Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y64 IS_PAD 0 SITEPROP TIEOFF_X43Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y64 IS_RESERVED 0 SITEPROP TIEOFF_X43Y64 IS_TEST 0 SITEPROP TIEOFF_X43Y64 IS_USED 0 SITEPROP TIEOFF_X43Y64 MANUAL_ROUTING SITEPROP TIEOFF_X43Y64 NAME TIEOFF_X43Y64 SITEPROP TIEOFF_X43Y64 NUM_ARCS 0 SITEPROP TIEOFF_X43Y64 NUM_BELS 2 SITEPROP TIEOFF_X43Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y64 NUM_PINS 2 SITEPROP TIEOFF_X43Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y64 PROHIBIT 0 SITEPROP TIEOFF_X43Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y64 RPM_X 168 SITEPROP TIEOFF_X43Y64 RPM_Y 128 SITEPROP TIEOFF_X43Y64 SITE_PIPS SITEPROP TIEOFF_X43Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y65 CLASS site SITEPROP TIEOFF_X43Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y65 IS_BONDED 0 SITEPROP TIEOFF_X43Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y65 IS_PAD 0 SITEPROP TIEOFF_X43Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y65 IS_RESERVED 0 SITEPROP TIEOFF_X43Y65 IS_TEST 0 SITEPROP TIEOFF_X43Y65 IS_USED 0 SITEPROP TIEOFF_X43Y65 MANUAL_ROUTING SITEPROP TIEOFF_X43Y65 NAME TIEOFF_X43Y65 SITEPROP TIEOFF_X43Y65 NUM_ARCS 0 SITEPROP TIEOFF_X43Y65 NUM_BELS 2 SITEPROP TIEOFF_X43Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y65 NUM_PINS 2 SITEPROP TIEOFF_X43Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y65 PROHIBIT 0 SITEPROP TIEOFF_X43Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y65 RPM_X 168 SITEPROP TIEOFF_X43Y65 RPM_Y 130 SITEPROP TIEOFF_X43Y65 SITE_PIPS SITEPROP TIEOFF_X43Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y66 CLASS site SITEPROP TIEOFF_X43Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y66 IS_BONDED 0 SITEPROP TIEOFF_X43Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y66 IS_PAD 0 SITEPROP TIEOFF_X43Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y66 IS_RESERVED 0 SITEPROP TIEOFF_X43Y66 IS_TEST 0 SITEPROP TIEOFF_X43Y66 IS_USED 0 SITEPROP TIEOFF_X43Y66 MANUAL_ROUTING SITEPROP TIEOFF_X43Y66 NAME TIEOFF_X43Y66 SITEPROP TIEOFF_X43Y66 NUM_ARCS 0 SITEPROP TIEOFF_X43Y66 NUM_BELS 2 SITEPROP TIEOFF_X43Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y66 NUM_PINS 2 SITEPROP TIEOFF_X43Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y66 PROHIBIT 0 SITEPROP TIEOFF_X43Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y66 RPM_X 168 SITEPROP TIEOFF_X43Y66 RPM_Y 132 SITEPROP TIEOFF_X43Y66 SITE_PIPS SITEPROP TIEOFF_X43Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y67 CLASS site SITEPROP TIEOFF_X43Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y67 IS_BONDED 0 SITEPROP TIEOFF_X43Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y67 IS_PAD 0 SITEPROP TIEOFF_X43Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y67 IS_RESERVED 0 SITEPROP TIEOFF_X43Y67 IS_TEST 0 SITEPROP TIEOFF_X43Y67 IS_USED 0 SITEPROP TIEOFF_X43Y67 MANUAL_ROUTING SITEPROP TIEOFF_X43Y67 NAME TIEOFF_X43Y67 SITEPROP TIEOFF_X43Y67 NUM_ARCS 0 SITEPROP TIEOFF_X43Y67 NUM_BELS 2 SITEPROP TIEOFF_X43Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y67 NUM_PINS 2 SITEPROP TIEOFF_X43Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y67 PROHIBIT 0 SITEPROP TIEOFF_X43Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y67 RPM_X 168 SITEPROP TIEOFF_X43Y67 RPM_Y 134 SITEPROP TIEOFF_X43Y67 SITE_PIPS SITEPROP TIEOFF_X43Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y68 CLASS site SITEPROP TIEOFF_X43Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y68 IS_BONDED 0 SITEPROP TIEOFF_X43Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y68 IS_PAD 0 SITEPROP TIEOFF_X43Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y68 IS_RESERVED 0 SITEPROP TIEOFF_X43Y68 IS_TEST 0 SITEPROP TIEOFF_X43Y68 IS_USED 0 SITEPROP TIEOFF_X43Y68 MANUAL_ROUTING SITEPROP TIEOFF_X43Y68 NAME TIEOFF_X43Y68 SITEPROP TIEOFF_X43Y68 NUM_ARCS 0 SITEPROP TIEOFF_X43Y68 NUM_BELS 2 SITEPROP TIEOFF_X43Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y68 NUM_PINS 2 SITEPROP TIEOFF_X43Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y68 PROHIBIT 0 SITEPROP TIEOFF_X43Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y68 RPM_X 168 SITEPROP TIEOFF_X43Y68 RPM_Y 136 SITEPROP TIEOFF_X43Y68 SITE_PIPS SITEPROP TIEOFF_X43Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y69 CLASS site SITEPROP TIEOFF_X43Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y69 IS_BONDED 0 SITEPROP TIEOFF_X43Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y69 IS_PAD 0 SITEPROP TIEOFF_X43Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y69 IS_RESERVED 0 SITEPROP TIEOFF_X43Y69 IS_TEST 0 SITEPROP TIEOFF_X43Y69 IS_USED 0 SITEPROP TIEOFF_X43Y69 MANUAL_ROUTING SITEPROP TIEOFF_X43Y69 NAME TIEOFF_X43Y69 SITEPROP TIEOFF_X43Y69 NUM_ARCS 0 SITEPROP TIEOFF_X43Y69 NUM_BELS 2 SITEPROP TIEOFF_X43Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y69 NUM_PINS 2 SITEPROP TIEOFF_X43Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y69 PROHIBIT 0 SITEPROP TIEOFF_X43Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y69 RPM_X 168 SITEPROP TIEOFF_X43Y69 RPM_Y 138 SITEPROP TIEOFF_X43Y69 SITE_PIPS SITEPROP TIEOFF_X43Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y70 CLASS site SITEPROP TIEOFF_X43Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y70 IS_BONDED 0 SITEPROP TIEOFF_X43Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y70 IS_PAD 0 SITEPROP TIEOFF_X43Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y70 IS_RESERVED 0 SITEPROP TIEOFF_X43Y70 IS_TEST 0 SITEPROP TIEOFF_X43Y70 IS_USED 0 SITEPROP TIEOFF_X43Y70 MANUAL_ROUTING SITEPROP TIEOFF_X43Y70 NAME TIEOFF_X43Y70 SITEPROP TIEOFF_X43Y70 NUM_ARCS 0 SITEPROP TIEOFF_X43Y70 NUM_BELS 2 SITEPROP TIEOFF_X43Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y70 NUM_PINS 2 SITEPROP TIEOFF_X43Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y70 PROHIBIT 0 SITEPROP TIEOFF_X43Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y70 RPM_X 168 SITEPROP TIEOFF_X43Y70 RPM_Y 140 SITEPROP TIEOFF_X43Y70 SITE_PIPS SITEPROP TIEOFF_X43Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y71 CLASS site SITEPROP TIEOFF_X43Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y71 IS_BONDED 0 SITEPROP TIEOFF_X43Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y71 IS_PAD 0 SITEPROP TIEOFF_X43Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y71 IS_RESERVED 0 SITEPROP TIEOFF_X43Y71 IS_TEST 0 SITEPROP TIEOFF_X43Y71 IS_USED 0 SITEPROP TIEOFF_X43Y71 MANUAL_ROUTING SITEPROP TIEOFF_X43Y71 NAME TIEOFF_X43Y71 SITEPROP TIEOFF_X43Y71 NUM_ARCS 0 SITEPROP TIEOFF_X43Y71 NUM_BELS 2 SITEPROP TIEOFF_X43Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y71 NUM_PINS 2 SITEPROP TIEOFF_X43Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y71 PROHIBIT 0 SITEPROP TIEOFF_X43Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y71 RPM_X 168 SITEPROP TIEOFF_X43Y71 RPM_Y 142 SITEPROP TIEOFF_X43Y71 SITE_PIPS SITEPROP TIEOFF_X43Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y72 CLASS site SITEPROP TIEOFF_X43Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y72 IS_BONDED 0 SITEPROP TIEOFF_X43Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y72 IS_PAD 0 SITEPROP TIEOFF_X43Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y72 IS_RESERVED 0 SITEPROP TIEOFF_X43Y72 IS_TEST 0 SITEPROP TIEOFF_X43Y72 IS_USED 0 SITEPROP TIEOFF_X43Y72 MANUAL_ROUTING SITEPROP TIEOFF_X43Y72 NAME TIEOFF_X43Y72 SITEPROP TIEOFF_X43Y72 NUM_ARCS 0 SITEPROP TIEOFF_X43Y72 NUM_BELS 2 SITEPROP TIEOFF_X43Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y72 NUM_PINS 2 SITEPROP TIEOFF_X43Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y72 PROHIBIT 0 SITEPROP TIEOFF_X43Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y72 RPM_X 168 SITEPROP TIEOFF_X43Y72 RPM_Y 144 SITEPROP TIEOFF_X43Y72 SITE_PIPS SITEPROP TIEOFF_X43Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y73 CLASS site SITEPROP TIEOFF_X43Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y73 IS_BONDED 0 SITEPROP TIEOFF_X43Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y73 IS_PAD 0 SITEPROP TIEOFF_X43Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y73 IS_RESERVED 0 SITEPROP TIEOFF_X43Y73 IS_TEST 0 SITEPROP TIEOFF_X43Y73 IS_USED 0 SITEPROP TIEOFF_X43Y73 MANUAL_ROUTING SITEPROP TIEOFF_X43Y73 NAME TIEOFF_X43Y73 SITEPROP TIEOFF_X43Y73 NUM_ARCS 0 SITEPROP TIEOFF_X43Y73 NUM_BELS 2 SITEPROP TIEOFF_X43Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y73 NUM_PINS 2 SITEPROP TIEOFF_X43Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y73 PROHIBIT 0 SITEPROP TIEOFF_X43Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y73 RPM_X 168 SITEPROP TIEOFF_X43Y73 RPM_Y 146 SITEPROP TIEOFF_X43Y73 SITE_PIPS SITEPROP TIEOFF_X43Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y74 CLASS site SITEPROP TIEOFF_X43Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y74 IS_BONDED 0 SITEPROP TIEOFF_X43Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y74 IS_PAD 0 SITEPROP TIEOFF_X43Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y74 IS_RESERVED 0 SITEPROP TIEOFF_X43Y74 IS_TEST 0 SITEPROP TIEOFF_X43Y74 IS_USED 0 SITEPROP TIEOFF_X43Y74 MANUAL_ROUTING SITEPROP TIEOFF_X43Y74 NAME TIEOFF_X43Y74 SITEPROP TIEOFF_X43Y74 NUM_ARCS 0 SITEPROP TIEOFF_X43Y74 NUM_BELS 2 SITEPROP TIEOFF_X43Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y74 NUM_PINS 2 SITEPROP TIEOFF_X43Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y74 PROHIBIT 0 SITEPROP TIEOFF_X43Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y74 RPM_X 168 SITEPROP TIEOFF_X43Y74 RPM_Y 148 SITEPROP TIEOFF_X43Y74 SITE_PIPS SITEPROP TIEOFF_X43Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y75 CLASS site SITEPROP TIEOFF_X43Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y75 IS_BONDED 0 SITEPROP TIEOFF_X43Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y75 IS_PAD 0 SITEPROP TIEOFF_X43Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y75 IS_RESERVED 0 SITEPROP TIEOFF_X43Y75 IS_TEST 0 SITEPROP TIEOFF_X43Y75 IS_USED 0 SITEPROP TIEOFF_X43Y75 MANUAL_ROUTING SITEPROP TIEOFF_X43Y75 NAME TIEOFF_X43Y75 SITEPROP TIEOFF_X43Y75 NUM_ARCS 0 SITEPROP TIEOFF_X43Y75 NUM_BELS 2 SITEPROP TIEOFF_X43Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y75 NUM_PINS 2 SITEPROP TIEOFF_X43Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y75 PROHIBIT 0 SITEPROP TIEOFF_X43Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y75 RPM_X 168 SITEPROP TIEOFF_X43Y75 RPM_Y 150 SITEPROP TIEOFF_X43Y75 SITE_PIPS SITEPROP TIEOFF_X43Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y76 CLASS site SITEPROP TIEOFF_X43Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y76 IS_BONDED 0 SITEPROP TIEOFF_X43Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y76 IS_PAD 0 SITEPROP TIEOFF_X43Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y76 IS_RESERVED 0 SITEPROP TIEOFF_X43Y76 IS_TEST 0 SITEPROP TIEOFF_X43Y76 IS_USED 0 SITEPROP TIEOFF_X43Y76 MANUAL_ROUTING SITEPROP TIEOFF_X43Y76 NAME TIEOFF_X43Y76 SITEPROP TIEOFF_X43Y76 NUM_ARCS 0 SITEPROP TIEOFF_X43Y76 NUM_BELS 2 SITEPROP TIEOFF_X43Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y76 NUM_PINS 2 SITEPROP TIEOFF_X43Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y76 PROHIBIT 0 SITEPROP TIEOFF_X43Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y76 RPM_X 168 SITEPROP TIEOFF_X43Y76 RPM_Y 152 SITEPROP TIEOFF_X43Y76 SITE_PIPS SITEPROP TIEOFF_X43Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y77 CLASS site SITEPROP TIEOFF_X43Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y77 IS_BONDED 0 SITEPROP TIEOFF_X43Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y77 IS_PAD 0 SITEPROP TIEOFF_X43Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y77 IS_RESERVED 0 SITEPROP TIEOFF_X43Y77 IS_TEST 0 SITEPROP TIEOFF_X43Y77 IS_USED 0 SITEPROP TIEOFF_X43Y77 MANUAL_ROUTING SITEPROP TIEOFF_X43Y77 NAME TIEOFF_X43Y77 SITEPROP TIEOFF_X43Y77 NUM_ARCS 0 SITEPROP TIEOFF_X43Y77 NUM_BELS 2 SITEPROP TIEOFF_X43Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y77 NUM_PINS 2 SITEPROP TIEOFF_X43Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y77 PROHIBIT 0 SITEPROP TIEOFF_X43Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y77 RPM_X 168 SITEPROP TIEOFF_X43Y77 RPM_Y 154 SITEPROP TIEOFF_X43Y77 SITE_PIPS SITEPROP TIEOFF_X43Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y78 CLASS site SITEPROP TIEOFF_X43Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y78 IS_BONDED 0 SITEPROP TIEOFF_X43Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y78 IS_PAD 0 SITEPROP TIEOFF_X43Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y78 IS_RESERVED 0 SITEPROP TIEOFF_X43Y78 IS_TEST 0 SITEPROP TIEOFF_X43Y78 IS_USED 0 SITEPROP TIEOFF_X43Y78 MANUAL_ROUTING SITEPROP TIEOFF_X43Y78 NAME TIEOFF_X43Y78 SITEPROP TIEOFF_X43Y78 NUM_ARCS 0 SITEPROP TIEOFF_X43Y78 NUM_BELS 2 SITEPROP TIEOFF_X43Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y78 NUM_PINS 2 SITEPROP TIEOFF_X43Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y78 PROHIBIT 0 SITEPROP TIEOFF_X43Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y78 RPM_X 168 SITEPROP TIEOFF_X43Y78 RPM_Y 156 SITEPROP TIEOFF_X43Y78 SITE_PIPS SITEPROP TIEOFF_X43Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y79 CLASS site SITEPROP TIEOFF_X43Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y79 IS_BONDED 0 SITEPROP TIEOFF_X43Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y79 IS_PAD 0 SITEPROP TIEOFF_X43Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y79 IS_RESERVED 0 SITEPROP TIEOFF_X43Y79 IS_TEST 0 SITEPROP TIEOFF_X43Y79 IS_USED 0 SITEPROP TIEOFF_X43Y79 MANUAL_ROUTING SITEPROP TIEOFF_X43Y79 NAME TIEOFF_X43Y79 SITEPROP TIEOFF_X43Y79 NUM_ARCS 0 SITEPROP TIEOFF_X43Y79 NUM_BELS 2 SITEPROP TIEOFF_X43Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y79 NUM_PINS 2 SITEPROP TIEOFF_X43Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y79 PROHIBIT 0 SITEPROP TIEOFF_X43Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y79 RPM_X 168 SITEPROP TIEOFF_X43Y79 RPM_Y 158 SITEPROP TIEOFF_X43Y79 SITE_PIPS SITEPROP TIEOFF_X43Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y80 CLASS site SITEPROP TIEOFF_X43Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y80 IS_BONDED 0 SITEPROP TIEOFF_X43Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y80 IS_PAD 0 SITEPROP TIEOFF_X43Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y80 IS_RESERVED 0 SITEPROP TIEOFF_X43Y80 IS_TEST 0 SITEPROP TIEOFF_X43Y80 IS_USED 0 SITEPROP TIEOFF_X43Y80 MANUAL_ROUTING SITEPROP TIEOFF_X43Y80 NAME TIEOFF_X43Y80 SITEPROP TIEOFF_X43Y80 NUM_ARCS 0 SITEPROP TIEOFF_X43Y80 NUM_BELS 2 SITEPROP TIEOFF_X43Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y80 NUM_PINS 2 SITEPROP TIEOFF_X43Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y80 PROHIBIT 0 SITEPROP TIEOFF_X43Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y80 RPM_X 168 SITEPROP TIEOFF_X43Y80 RPM_Y 160 SITEPROP TIEOFF_X43Y80 SITE_PIPS SITEPROP TIEOFF_X43Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y81 CLASS site SITEPROP TIEOFF_X43Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y81 IS_BONDED 0 SITEPROP TIEOFF_X43Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y81 IS_PAD 0 SITEPROP TIEOFF_X43Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y81 IS_RESERVED 0 SITEPROP TIEOFF_X43Y81 IS_TEST 0 SITEPROP TIEOFF_X43Y81 IS_USED 0 SITEPROP TIEOFF_X43Y81 MANUAL_ROUTING SITEPROP TIEOFF_X43Y81 NAME TIEOFF_X43Y81 SITEPROP TIEOFF_X43Y81 NUM_ARCS 0 SITEPROP TIEOFF_X43Y81 NUM_BELS 2 SITEPROP TIEOFF_X43Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y81 NUM_PINS 2 SITEPROP TIEOFF_X43Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y81 PROHIBIT 0 SITEPROP TIEOFF_X43Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y81 RPM_X 168 SITEPROP TIEOFF_X43Y81 RPM_Y 162 SITEPROP TIEOFF_X43Y81 SITE_PIPS SITEPROP TIEOFF_X43Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y82 CLASS site SITEPROP TIEOFF_X43Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y82 IS_BONDED 0 SITEPROP TIEOFF_X43Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y82 IS_PAD 0 SITEPROP TIEOFF_X43Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y82 IS_RESERVED 0 SITEPROP TIEOFF_X43Y82 IS_TEST 0 SITEPROP TIEOFF_X43Y82 IS_USED 0 SITEPROP TIEOFF_X43Y82 MANUAL_ROUTING SITEPROP TIEOFF_X43Y82 NAME TIEOFF_X43Y82 SITEPROP TIEOFF_X43Y82 NUM_ARCS 0 SITEPROP TIEOFF_X43Y82 NUM_BELS 2 SITEPROP TIEOFF_X43Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y82 NUM_PINS 2 SITEPROP TIEOFF_X43Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y82 PROHIBIT 0 SITEPROP TIEOFF_X43Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y82 RPM_X 168 SITEPROP TIEOFF_X43Y82 RPM_Y 164 SITEPROP TIEOFF_X43Y82 SITE_PIPS SITEPROP TIEOFF_X43Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y83 CLASS site SITEPROP TIEOFF_X43Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y83 IS_BONDED 0 SITEPROP TIEOFF_X43Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y83 IS_PAD 0 SITEPROP TIEOFF_X43Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y83 IS_RESERVED 0 SITEPROP TIEOFF_X43Y83 IS_TEST 0 SITEPROP TIEOFF_X43Y83 IS_USED 0 SITEPROP TIEOFF_X43Y83 MANUAL_ROUTING SITEPROP TIEOFF_X43Y83 NAME TIEOFF_X43Y83 SITEPROP TIEOFF_X43Y83 NUM_ARCS 0 SITEPROP TIEOFF_X43Y83 NUM_BELS 2 SITEPROP TIEOFF_X43Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y83 NUM_PINS 2 SITEPROP TIEOFF_X43Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y83 PROHIBIT 0 SITEPROP TIEOFF_X43Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y83 RPM_X 168 SITEPROP TIEOFF_X43Y83 RPM_Y 166 SITEPROP TIEOFF_X43Y83 SITE_PIPS SITEPROP TIEOFF_X43Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y84 CLASS site SITEPROP TIEOFF_X43Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y84 IS_BONDED 0 SITEPROP TIEOFF_X43Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y84 IS_PAD 0 SITEPROP TIEOFF_X43Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y84 IS_RESERVED 0 SITEPROP TIEOFF_X43Y84 IS_TEST 0 SITEPROP TIEOFF_X43Y84 IS_USED 0 SITEPROP TIEOFF_X43Y84 MANUAL_ROUTING SITEPROP TIEOFF_X43Y84 NAME TIEOFF_X43Y84 SITEPROP TIEOFF_X43Y84 NUM_ARCS 0 SITEPROP TIEOFF_X43Y84 NUM_BELS 2 SITEPROP TIEOFF_X43Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y84 NUM_PINS 2 SITEPROP TIEOFF_X43Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y84 PROHIBIT 0 SITEPROP TIEOFF_X43Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y84 RPM_X 168 SITEPROP TIEOFF_X43Y84 RPM_Y 168 SITEPROP TIEOFF_X43Y84 SITE_PIPS SITEPROP TIEOFF_X43Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y85 CLASS site SITEPROP TIEOFF_X43Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y85 IS_BONDED 0 SITEPROP TIEOFF_X43Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y85 IS_PAD 0 SITEPROP TIEOFF_X43Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y85 IS_RESERVED 0 SITEPROP TIEOFF_X43Y85 IS_TEST 0 SITEPROP TIEOFF_X43Y85 IS_USED 0 SITEPROP TIEOFF_X43Y85 MANUAL_ROUTING SITEPROP TIEOFF_X43Y85 NAME TIEOFF_X43Y85 SITEPROP TIEOFF_X43Y85 NUM_ARCS 0 SITEPROP TIEOFF_X43Y85 NUM_BELS 2 SITEPROP TIEOFF_X43Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y85 NUM_PINS 2 SITEPROP TIEOFF_X43Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y85 PROHIBIT 0 SITEPROP TIEOFF_X43Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y85 RPM_X 168 SITEPROP TIEOFF_X43Y85 RPM_Y 170 SITEPROP TIEOFF_X43Y85 SITE_PIPS SITEPROP TIEOFF_X43Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y86 CLASS site SITEPROP TIEOFF_X43Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y86 IS_BONDED 0 SITEPROP TIEOFF_X43Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y86 IS_PAD 0 SITEPROP TIEOFF_X43Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y86 IS_RESERVED 0 SITEPROP TIEOFF_X43Y86 IS_TEST 0 SITEPROP TIEOFF_X43Y86 IS_USED 0 SITEPROP TIEOFF_X43Y86 MANUAL_ROUTING SITEPROP TIEOFF_X43Y86 NAME TIEOFF_X43Y86 SITEPROP TIEOFF_X43Y86 NUM_ARCS 0 SITEPROP TIEOFF_X43Y86 NUM_BELS 2 SITEPROP TIEOFF_X43Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y86 NUM_PINS 2 SITEPROP TIEOFF_X43Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y86 PROHIBIT 0 SITEPROP TIEOFF_X43Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y86 RPM_X 168 SITEPROP TIEOFF_X43Y86 RPM_Y 172 SITEPROP TIEOFF_X43Y86 SITE_PIPS SITEPROP TIEOFF_X43Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y87 CLASS site SITEPROP TIEOFF_X43Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y87 IS_BONDED 0 SITEPROP TIEOFF_X43Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y87 IS_PAD 0 SITEPROP TIEOFF_X43Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y87 IS_RESERVED 0 SITEPROP TIEOFF_X43Y87 IS_TEST 0 SITEPROP TIEOFF_X43Y87 IS_USED 0 SITEPROP TIEOFF_X43Y87 MANUAL_ROUTING SITEPROP TIEOFF_X43Y87 NAME TIEOFF_X43Y87 SITEPROP TIEOFF_X43Y87 NUM_ARCS 0 SITEPROP TIEOFF_X43Y87 NUM_BELS 2 SITEPROP TIEOFF_X43Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y87 NUM_PINS 2 SITEPROP TIEOFF_X43Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y87 PROHIBIT 0 SITEPROP TIEOFF_X43Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y87 RPM_X 168 SITEPROP TIEOFF_X43Y87 RPM_Y 174 SITEPROP TIEOFF_X43Y87 SITE_PIPS SITEPROP TIEOFF_X43Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y88 CLASS site SITEPROP TIEOFF_X43Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y88 IS_BONDED 0 SITEPROP TIEOFF_X43Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y88 IS_PAD 0 SITEPROP TIEOFF_X43Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y88 IS_RESERVED 0 SITEPROP TIEOFF_X43Y88 IS_TEST 0 SITEPROP TIEOFF_X43Y88 IS_USED 0 SITEPROP TIEOFF_X43Y88 MANUAL_ROUTING SITEPROP TIEOFF_X43Y88 NAME TIEOFF_X43Y88 SITEPROP TIEOFF_X43Y88 NUM_ARCS 0 SITEPROP TIEOFF_X43Y88 NUM_BELS 2 SITEPROP TIEOFF_X43Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y88 NUM_PINS 2 SITEPROP TIEOFF_X43Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y88 PROHIBIT 0 SITEPROP TIEOFF_X43Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y88 RPM_X 168 SITEPROP TIEOFF_X43Y88 RPM_Y 176 SITEPROP TIEOFF_X43Y88 SITE_PIPS SITEPROP TIEOFF_X43Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y89 CLASS site SITEPROP TIEOFF_X43Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y89 IS_BONDED 0 SITEPROP TIEOFF_X43Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y89 IS_PAD 0 SITEPROP TIEOFF_X43Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y89 IS_RESERVED 0 SITEPROP TIEOFF_X43Y89 IS_TEST 0 SITEPROP TIEOFF_X43Y89 IS_USED 0 SITEPROP TIEOFF_X43Y89 MANUAL_ROUTING SITEPROP TIEOFF_X43Y89 NAME TIEOFF_X43Y89 SITEPROP TIEOFF_X43Y89 NUM_ARCS 0 SITEPROP TIEOFF_X43Y89 NUM_BELS 2 SITEPROP TIEOFF_X43Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y89 NUM_PINS 2 SITEPROP TIEOFF_X43Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y89 PROHIBIT 0 SITEPROP TIEOFF_X43Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y89 RPM_X 168 SITEPROP TIEOFF_X43Y89 RPM_Y 178 SITEPROP TIEOFF_X43Y89 SITE_PIPS SITEPROP TIEOFF_X43Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y90 CLASS site SITEPROP TIEOFF_X43Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y90 IS_BONDED 0 SITEPROP TIEOFF_X43Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y90 IS_PAD 0 SITEPROP TIEOFF_X43Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y90 IS_RESERVED 0 SITEPROP TIEOFF_X43Y90 IS_TEST 0 SITEPROP TIEOFF_X43Y90 IS_USED 0 SITEPROP TIEOFF_X43Y90 MANUAL_ROUTING SITEPROP TIEOFF_X43Y90 NAME TIEOFF_X43Y90 SITEPROP TIEOFF_X43Y90 NUM_ARCS 0 SITEPROP TIEOFF_X43Y90 NUM_BELS 2 SITEPROP TIEOFF_X43Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y90 NUM_PINS 2 SITEPROP TIEOFF_X43Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y90 PROHIBIT 0 SITEPROP TIEOFF_X43Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y90 RPM_X 168 SITEPROP TIEOFF_X43Y90 RPM_Y 180 SITEPROP TIEOFF_X43Y90 SITE_PIPS SITEPROP TIEOFF_X43Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y91 CLASS site SITEPROP TIEOFF_X43Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y91 IS_BONDED 0 SITEPROP TIEOFF_X43Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y91 IS_PAD 0 SITEPROP TIEOFF_X43Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y91 IS_RESERVED 0 SITEPROP TIEOFF_X43Y91 IS_TEST 0 SITEPROP TIEOFF_X43Y91 IS_USED 0 SITEPROP TIEOFF_X43Y91 MANUAL_ROUTING SITEPROP TIEOFF_X43Y91 NAME TIEOFF_X43Y91 SITEPROP TIEOFF_X43Y91 NUM_ARCS 0 SITEPROP TIEOFF_X43Y91 NUM_BELS 2 SITEPROP TIEOFF_X43Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y91 NUM_PINS 2 SITEPROP TIEOFF_X43Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y91 PROHIBIT 0 SITEPROP TIEOFF_X43Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y91 RPM_X 168 SITEPROP TIEOFF_X43Y91 RPM_Y 182 SITEPROP TIEOFF_X43Y91 SITE_PIPS SITEPROP TIEOFF_X43Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y92 CLASS site SITEPROP TIEOFF_X43Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y92 IS_BONDED 0 SITEPROP TIEOFF_X43Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y92 IS_PAD 0 SITEPROP TIEOFF_X43Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y92 IS_RESERVED 0 SITEPROP TIEOFF_X43Y92 IS_TEST 0 SITEPROP TIEOFF_X43Y92 IS_USED 0 SITEPROP TIEOFF_X43Y92 MANUAL_ROUTING SITEPROP TIEOFF_X43Y92 NAME TIEOFF_X43Y92 SITEPROP TIEOFF_X43Y92 NUM_ARCS 0 SITEPROP TIEOFF_X43Y92 NUM_BELS 2 SITEPROP TIEOFF_X43Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y92 NUM_PINS 2 SITEPROP TIEOFF_X43Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y92 PROHIBIT 0 SITEPROP TIEOFF_X43Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y92 RPM_X 168 SITEPROP TIEOFF_X43Y92 RPM_Y 184 SITEPROP TIEOFF_X43Y92 SITE_PIPS SITEPROP TIEOFF_X43Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y93 CLASS site SITEPROP TIEOFF_X43Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y93 IS_BONDED 0 SITEPROP TIEOFF_X43Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y93 IS_PAD 0 SITEPROP TIEOFF_X43Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y93 IS_RESERVED 0 SITEPROP TIEOFF_X43Y93 IS_TEST 0 SITEPROP TIEOFF_X43Y93 IS_USED 0 SITEPROP TIEOFF_X43Y93 MANUAL_ROUTING SITEPROP TIEOFF_X43Y93 NAME TIEOFF_X43Y93 SITEPROP TIEOFF_X43Y93 NUM_ARCS 0 SITEPROP TIEOFF_X43Y93 NUM_BELS 2 SITEPROP TIEOFF_X43Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y93 NUM_PINS 2 SITEPROP TIEOFF_X43Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y93 PROHIBIT 0 SITEPROP TIEOFF_X43Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y93 RPM_X 168 SITEPROP TIEOFF_X43Y93 RPM_Y 186 SITEPROP TIEOFF_X43Y93 SITE_PIPS SITEPROP TIEOFF_X43Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y94 CLASS site SITEPROP TIEOFF_X43Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y94 IS_BONDED 0 SITEPROP TIEOFF_X43Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y94 IS_PAD 0 SITEPROP TIEOFF_X43Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y94 IS_RESERVED 0 SITEPROP TIEOFF_X43Y94 IS_TEST 0 SITEPROP TIEOFF_X43Y94 IS_USED 0 SITEPROP TIEOFF_X43Y94 MANUAL_ROUTING SITEPROP TIEOFF_X43Y94 NAME TIEOFF_X43Y94 SITEPROP TIEOFF_X43Y94 NUM_ARCS 0 SITEPROP TIEOFF_X43Y94 NUM_BELS 2 SITEPROP TIEOFF_X43Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y94 NUM_PINS 2 SITEPROP TIEOFF_X43Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y94 PROHIBIT 0 SITEPROP TIEOFF_X43Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y94 RPM_X 168 SITEPROP TIEOFF_X43Y94 RPM_Y 188 SITEPROP TIEOFF_X43Y94 SITE_PIPS SITEPROP TIEOFF_X43Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y95 CLASS site SITEPROP TIEOFF_X43Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y95 IS_BONDED 0 SITEPROP TIEOFF_X43Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y95 IS_PAD 0 SITEPROP TIEOFF_X43Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y95 IS_RESERVED 0 SITEPROP TIEOFF_X43Y95 IS_TEST 0 SITEPROP TIEOFF_X43Y95 IS_USED 0 SITEPROP TIEOFF_X43Y95 MANUAL_ROUTING SITEPROP TIEOFF_X43Y95 NAME TIEOFF_X43Y95 SITEPROP TIEOFF_X43Y95 NUM_ARCS 0 SITEPROP TIEOFF_X43Y95 NUM_BELS 2 SITEPROP TIEOFF_X43Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y95 NUM_PINS 2 SITEPROP TIEOFF_X43Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y95 PROHIBIT 0 SITEPROP TIEOFF_X43Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y95 RPM_X 168 SITEPROP TIEOFF_X43Y95 RPM_Y 190 SITEPROP TIEOFF_X43Y95 SITE_PIPS SITEPROP TIEOFF_X43Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y96 CLASS site SITEPROP TIEOFF_X43Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y96 IS_BONDED 0 SITEPROP TIEOFF_X43Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y96 IS_PAD 0 SITEPROP TIEOFF_X43Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y96 IS_RESERVED 0 SITEPROP TIEOFF_X43Y96 IS_TEST 0 SITEPROP TIEOFF_X43Y96 IS_USED 0 SITEPROP TIEOFF_X43Y96 MANUAL_ROUTING SITEPROP TIEOFF_X43Y96 NAME TIEOFF_X43Y96 SITEPROP TIEOFF_X43Y96 NUM_ARCS 0 SITEPROP TIEOFF_X43Y96 NUM_BELS 2 SITEPROP TIEOFF_X43Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y96 NUM_PINS 2 SITEPROP TIEOFF_X43Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y96 PROHIBIT 0 SITEPROP TIEOFF_X43Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y96 RPM_X 168 SITEPROP TIEOFF_X43Y96 RPM_Y 192 SITEPROP TIEOFF_X43Y96 SITE_PIPS SITEPROP TIEOFF_X43Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y97 CLASS site SITEPROP TIEOFF_X43Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y97 IS_BONDED 0 SITEPROP TIEOFF_X43Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y97 IS_PAD 0 SITEPROP TIEOFF_X43Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y97 IS_RESERVED 0 SITEPROP TIEOFF_X43Y97 IS_TEST 0 SITEPROP TIEOFF_X43Y97 IS_USED 0 SITEPROP TIEOFF_X43Y97 MANUAL_ROUTING SITEPROP TIEOFF_X43Y97 NAME TIEOFF_X43Y97 SITEPROP TIEOFF_X43Y97 NUM_ARCS 0 SITEPROP TIEOFF_X43Y97 NUM_BELS 2 SITEPROP TIEOFF_X43Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y97 NUM_PINS 2 SITEPROP TIEOFF_X43Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y97 PROHIBIT 0 SITEPROP TIEOFF_X43Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y97 RPM_X 168 SITEPROP TIEOFF_X43Y97 RPM_Y 194 SITEPROP TIEOFF_X43Y97 SITE_PIPS SITEPROP TIEOFF_X43Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y98 CLASS site SITEPROP TIEOFF_X43Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y98 IS_BONDED 0 SITEPROP TIEOFF_X43Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y98 IS_PAD 0 SITEPROP TIEOFF_X43Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y98 IS_RESERVED 0 SITEPROP TIEOFF_X43Y98 IS_TEST 0 SITEPROP TIEOFF_X43Y98 IS_USED 0 SITEPROP TIEOFF_X43Y98 MANUAL_ROUTING SITEPROP TIEOFF_X43Y98 NAME TIEOFF_X43Y98 SITEPROP TIEOFF_X43Y98 NUM_ARCS 0 SITEPROP TIEOFF_X43Y98 NUM_BELS 2 SITEPROP TIEOFF_X43Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y98 NUM_PINS 2 SITEPROP TIEOFF_X43Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y98 PROHIBIT 0 SITEPROP TIEOFF_X43Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y98 RPM_X 168 SITEPROP TIEOFF_X43Y98 RPM_Y 196 SITEPROP TIEOFF_X43Y98 SITE_PIPS SITEPROP TIEOFF_X43Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X43Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X43Y99 CLASS site SITEPROP TIEOFF_X43Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X43Y99 IS_BONDED 0 SITEPROP TIEOFF_X43Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y99 IS_PAD 0 SITEPROP TIEOFF_X43Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X43Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X43Y99 IS_RESERVED 0 SITEPROP TIEOFF_X43Y99 IS_TEST 0 SITEPROP TIEOFF_X43Y99 IS_USED 0 SITEPROP TIEOFF_X43Y99 MANUAL_ROUTING SITEPROP TIEOFF_X43Y99 NAME TIEOFF_X43Y99 SITEPROP TIEOFF_X43Y99 NUM_ARCS 0 SITEPROP TIEOFF_X43Y99 NUM_BELS 2 SITEPROP TIEOFF_X43Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X43Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X43Y99 NUM_PINS 2 SITEPROP TIEOFF_X43Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X43Y99 PROHIBIT 0 SITEPROP TIEOFF_X43Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X43Y99 RPM_X 168 SITEPROP TIEOFF_X43Y99 RPM_Y 198 SITEPROP TIEOFF_X43Y99 SITE_PIPS SITEPROP TIEOFF_X43Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y0 CLASS site SITEPROP TIEOFF_X44Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y0 IS_BONDED 0 SITEPROP TIEOFF_X44Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y0 IS_PAD 0 SITEPROP TIEOFF_X44Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y0 IS_RESERVED 0 SITEPROP TIEOFF_X44Y0 IS_TEST 0 SITEPROP TIEOFF_X44Y0 IS_USED 0 SITEPROP TIEOFF_X44Y0 MANUAL_ROUTING SITEPROP TIEOFF_X44Y0 NAME TIEOFF_X44Y0 SITEPROP TIEOFF_X44Y0 NUM_ARCS 0 SITEPROP TIEOFF_X44Y0 NUM_BELS 2 SITEPROP TIEOFF_X44Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y0 NUM_PINS 2 SITEPROP TIEOFF_X44Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y0 PROHIBIT 0 SITEPROP TIEOFF_X44Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y0 RPM_X 172 SITEPROP TIEOFF_X44Y0 RPM_Y 0 SITEPROP TIEOFF_X44Y0 SITE_PIPS SITEPROP TIEOFF_X44Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y1 CLASS site SITEPROP TIEOFF_X44Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y1 IS_BONDED 0 SITEPROP TIEOFF_X44Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y1 IS_PAD 0 SITEPROP TIEOFF_X44Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y1 IS_RESERVED 0 SITEPROP TIEOFF_X44Y1 IS_TEST 0 SITEPROP TIEOFF_X44Y1 IS_USED 0 SITEPROP TIEOFF_X44Y1 MANUAL_ROUTING SITEPROP TIEOFF_X44Y1 NAME TIEOFF_X44Y1 SITEPROP TIEOFF_X44Y1 NUM_ARCS 0 SITEPROP TIEOFF_X44Y1 NUM_BELS 2 SITEPROP TIEOFF_X44Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y1 NUM_PINS 2 SITEPROP TIEOFF_X44Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y1 PROHIBIT 0 SITEPROP TIEOFF_X44Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y1 RPM_X 172 SITEPROP TIEOFF_X44Y1 RPM_Y 2 SITEPROP TIEOFF_X44Y1 SITE_PIPS SITEPROP TIEOFF_X44Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y2 CLASS site SITEPROP TIEOFF_X44Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y2 IS_BONDED 0 SITEPROP TIEOFF_X44Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y2 IS_PAD 0 SITEPROP TIEOFF_X44Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y2 IS_RESERVED 0 SITEPROP TIEOFF_X44Y2 IS_TEST 0 SITEPROP TIEOFF_X44Y2 IS_USED 0 SITEPROP TIEOFF_X44Y2 MANUAL_ROUTING SITEPROP TIEOFF_X44Y2 NAME TIEOFF_X44Y2 SITEPROP TIEOFF_X44Y2 NUM_ARCS 0 SITEPROP TIEOFF_X44Y2 NUM_BELS 2 SITEPROP TIEOFF_X44Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y2 NUM_PINS 2 SITEPROP TIEOFF_X44Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y2 PROHIBIT 0 SITEPROP TIEOFF_X44Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y2 RPM_X 172 SITEPROP TIEOFF_X44Y2 RPM_Y 4 SITEPROP TIEOFF_X44Y2 SITE_PIPS SITEPROP TIEOFF_X44Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y3 CLASS site SITEPROP TIEOFF_X44Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y3 IS_BONDED 0 SITEPROP TIEOFF_X44Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y3 IS_PAD 0 SITEPROP TIEOFF_X44Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y3 IS_RESERVED 0 SITEPROP TIEOFF_X44Y3 IS_TEST 0 SITEPROP TIEOFF_X44Y3 IS_USED 0 SITEPROP TIEOFF_X44Y3 MANUAL_ROUTING SITEPROP TIEOFF_X44Y3 NAME TIEOFF_X44Y3 SITEPROP TIEOFF_X44Y3 NUM_ARCS 0 SITEPROP TIEOFF_X44Y3 NUM_BELS 2 SITEPROP TIEOFF_X44Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y3 NUM_PINS 2 SITEPROP TIEOFF_X44Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y3 PROHIBIT 0 SITEPROP TIEOFF_X44Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y3 RPM_X 172 SITEPROP TIEOFF_X44Y3 RPM_Y 6 SITEPROP TIEOFF_X44Y3 SITE_PIPS SITEPROP TIEOFF_X44Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y4 CLASS site SITEPROP TIEOFF_X44Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y4 IS_BONDED 0 SITEPROP TIEOFF_X44Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y4 IS_PAD 0 SITEPROP TIEOFF_X44Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y4 IS_RESERVED 0 SITEPROP TIEOFF_X44Y4 IS_TEST 0 SITEPROP TIEOFF_X44Y4 IS_USED 0 SITEPROP TIEOFF_X44Y4 MANUAL_ROUTING SITEPROP TIEOFF_X44Y4 NAME TIEOFF_X44Y4 SITEPROP TIEOFF_X44Y4 NUM_ARCS 0 SITEPROP TIEOFF_X44Y4 NUM_BELS 2 SITEPROP TIEOFF_X44Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y4 NUM_PINS 2 SITEPROP TIEOFF_X44Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y4 PROHIBIT 0 SITEPROP TIEOFF_X44Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y4 RPM_X 172 SITEPROP TIEOFF_X44Y4 RPM_Y 8 SITEPROP TIEOFF_X44Y4 SITE_PIPS SITEPROP TIEOFF_X44Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y5 CLASS site SITEPROP TIEOFF_X44Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y5 IS_BONDED 0 SITEPROP TIEOFF_X44Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y5 IS_PAD 0 SITEPROP TIEOFF_X44Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y5 IS_RESERVED 0 SITEPROP TIEOFF_X44Y5 IS_TEST 0 SITEPROP TIEOFF_X44Y5 IS_USED 0 SITEPROP TIEOFF_X44Y5 MANUAL_ROUTING SITEPROP TIEOFF_X44Y5 NAME TIEOFF_X44Y5 SITEPROP TIEOFF_X44Y5 NUM_ARCS 0 SITEPROP TIEOFF_X44Y5 NUM_BELS 2 SITEPROP TIEOFF_X44Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y5 NUM_PINS 2 SITEPROP TIEOFF_X44Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y5 PROHIBIT 0 SITEPROP TIEOFF_X44Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y5 RPM_X 172 SITEPROP TIEOFF_X44Y5 RPM_Y 10 SITEPROP TIEOFF_X44Y5 SITE_PIPS SITEPROP TIEOFF_X44Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y6 CLASS site SITEPROP TIEOFF_X44Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y6 IS_BONDED 0 SITEPROP TIEOFF_X44Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y6 IS_PAD 0 SITEPROP TIEOFF_X44Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y6 IS_RESERVED 0 SITEPROP TIEOFF_X44Y6 IS_TEST 0 SITEPROP TIEOFF_X44Y6 IS_USED 0 SITEPROP TIEOFF_X44Y6 MANUAL_ROUTING SITEPROP TIEOFF_X44Y6 NAME TIEOFF_X44Y6 SITEPROP TIEOFF_X44Y6 NUM_ARCS 0 SITEPROP TIEOFF_X44Y6 NUM_BELS 2 SITEPROP TIEOFF_X44Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y6 NUM_PINS 2 SITEPROP TIEOFF_X44Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y6 PROHIBIT 0 SITEPROP TIEOFF_X44Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y6 RPM_X 172 SITEPROP TIEOFF_X44Y6 RPM_Y 12 SITEPROP TIEOFF_X44Y6 SITE_PIPS SITEPROP TIEOFF_X44Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y7 CLASS site SITEPROP TIEOFF_X44Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y7 IS_BONDED 0 SITEPROP TIEOFF_X44Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y7 IS_PAD 0 SITEPROP TIEOFF_X44Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y7 IS_RESERVED 0 SITEPROP TIEOFF_X44Y7 IS_TEST 0 SITEPROP TIEOFF_X44Y7 IS_USED 0 SITEPROP TIEOFF_X44Y7 MANUAL_ROUTING SITEPROP TIEOFF_X44Y7 NAME TIEOFF_X44Y7 SITEPROP TIEOFF_X44Y7 NUM_ARCS 0 SITEPROP TIEOFF_X44Y7 NUM_BELS 2 SITEPROP TIEOFF_X44Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y7 NUM_PINS 2 SITEPROP TIEOFF_X44Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y7 PROHIBIT 0 SITEPROP TIEOFF_X44Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y7 RPM_X 172 SITEPROP TIEOFF_X44Y7 RPM_Y 14 SITEPROP TIEOFF_X44Y7 SITE_PIPS SITEPROP TIEOFF_X44Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y8 CLASS site SITEPROP TIEOFF_X44Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y8 IS_BONDED 0 SITEPROP TIEOFF_X44Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y8 IS_PAD 0 SITEPROP TIEOFF_X44Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y8 IS_RESERVED 0 SITEPROP TIEOFF_X44Y8 IS_TEST 0 SITEPROP TIEOFF_X44Y8 IS_USED 0 SITEPROP TIEOFF_X44Y8 MANUAL_ROUTING SITEPROP TIEOFF_X44Y8 NAME TIEOFF_X44Y8 SITEPROP TIEOFF_X44Y8 NUM_ARCS 0 SITEPROP TIEOFF_X44Y8 NUM_BELS 2 SITEPROP TIEOFF_X44Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y8 NUM_PINS 2 SITEPROP TIEOFF_X44Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y8 PROHIBIT 0 SITEPROP TIEOFF_X44Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y8 RPM_X 172 SITEPROP TIEOFF_X44Y8 RPM_Y 16 SITEPROP TIEOFF_X44Y8 SITE_PIPS SITEPROP TIEOFF_X44Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y9 CLASS site SITEPROP TIEOFF_X44Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y9 IS_BONDED 0 SITEPROP TIEOFF_X44Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y9 IS_PAD 0 SITEPROP TIEOFF_X44Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y9 IS_RESERVED 0 SITEPROP TIEOFF_X44Y9 IS_TEST 0 SITEPROP TIEOFF_X44Y9 IS_USED 0 SITEPROP TIEOFF_X44Y9 MANUAL_ROUTING SITEPROP TIEOFF_X44Y9 NAME TIEOFF_X44Y9 SITEPROP TIEOFF_X44Y9 NUM_ARCS 0 SITEPROP TIEOFF_X44Y9 NUM_BELS 2 SITEPROP TIEOFF_X44Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y9 NUM_PINS 2 SITEPROP TIEOFF_X44Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y9 PROHIBIT 0 SITEPROP TIEOFF_X44Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y9 RPM_X 172 SITEPROP TIEOFF_X44Y9 RPM_Y 18 SITEPROP TIEOFF_X44Y9 SITE_PIPS SITEPROP TIEOFF_X44Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y10 CLASS site SITEPROP TIEOFF_X44Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y10 IS_BONDED 0 SITEPROP TIEOFF_X44Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y10 IS_PAD 0 SITEPROP TIEOFF_X44Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y10 IS_RESERVED 0 SITEPROP TIEOFF_X44Y10 IS_TEST 0 SITEPROP TIEOFF_X44Y10 IS_USED 0 SITEPROP TIEOFF_X44Y10 MANUAL_ROUTING SITEPROP TIEOFF_X44Y10 NAME TIEOFF_X44Y10 SITEPROP TIEOFF_X44Y10 NUM_ARCS 0 SITEPROP TIEOFF_X44Y10 NUM_BELS 2 SITEPROP TIEOFF_X44Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y10 NUM_PINS 2 SITEPROP TIEOFF_X44Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y10 PROHIBIT 0 SITEPROP TIEOFF_X44Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y10 RPM_X 172 SITEPROP TIEOFF_X44Y10 RPM_Y 20 SITEPROP TIEOFF_X44Y10 SITE_PIPS SITEPROP TIEOFF_X44Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y11 CLASS site SITEPROP TIEOFF_X44Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y11 IS_BONDED 0 SITEPROP TIEOFF_X44Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y11 IS_PAD 0 SITEPROP TIEOFF_X44Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y11 IS_RESERVED 0 SITEPROP TIEOFF_X44Y11 IS_TEST 0 SITEPROP TIEOFF_X44Y11 IS_USED 0 SITEPROP TIEOFF_X44Y11 MANUAL_ROUTING SITEPROP TIEOFF_X44Y11 NAME TIEOFF_X44Y11 SITEPROP TIEOFF_X44Y11 NUM_ARCS 0 SITEPROP TIEOFF_X44Y11 NUM_BELS 2 SITEPROP TIEOFF_X44Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y11 NUM_PINS 2 SITEPROP TIEOFF_X44Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y11 PROHIBIT 0 SITEPROP TIEOFF_X44Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y11 RPM_X 172 SITEPROP TIEOFF_X44Y11 RPM_Y 22 SITEPROP TIEOFF_X44Y11 SITE_PIPS SITEPROP TIEOFF_X44Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y12 CLASS site SITEPROP TIEOFF_X44Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y12 IS_BONDED 0 SITEPROP TIEOFF_X44Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y12 IS_PAD 0 SITEPROP TIEOFF_X44Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y12 IS_RESERVED 0 SITEPROP TIEOFF_X44Y12 IS_TEST 0 SITEPROP TIEOFF_X44Y12 IS_USED 0 SITEPROP TIEOFF_X44Y12 MANUAL_ROUTING SITEPROP TIEOFF_X44Y12 NAME TIEOFF_X44Y12 SITEPROP TIEOFF_X44Y12 NUM_ARCS 0 SITEPROP TIEOFF_X44Y12 NUM_BELS 2 SITEPROP TIEOFF_X44Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y12 NUM_PINS 2 SITEPROP TIEOFF_X44Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y12 PROHIBIT 0 SITEPROP TIEOFF_X44Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y12 RPM_X 172 SITEPROP TIEOFF_X44Y12 RPM_Y 24 SITEPROP TIEOFF_X44Y12 SITE_PIPS SITEPROP TIEOFF_X44Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y13 CLASS site SITEPROP TIEOFF_X44Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y13 IS_BONDED 0 SITEPROP TIEOFF_X44Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y13 IS_PAD 0 SITEPROP TIEOFF_X44Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y13 IS_RESERVED 0 SITEPROP TIEOFF_X44Y13 IS_TEST 0 SITEPROP TIEOFF_X44Y13 IS_USED 0 SITEPROP TIEOFF_X44Y13 MANUAL_ROUTING SITEPROP TIEOFF_X44Y13 NAME TIEOFF_X44Y13 SITEPROP TIEOFF_X44Y13 NUM_ARCS 0 SITEPROP TIEOFF_X44Y13 NUM_BELS 2 SITEPROP TIEOFF_X44Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y13 NUM_PINS 2 SITEPROP TIEOFF_X44Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y13 PROHIBIT 0 SITEPROP TIEOFF_X44Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y13 RPM_X 172 SITEPROP TIEOFF_X44Y13 RPM_Y 26 SITEPROP TIEOFF_X44Y13 SITE_PIPS SITEPROP TIEOFF_X44Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y14 CLASS site SITEPROP TIEOFF_X44Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y14 IS_BONDED 0 SITEPROP TIEOFF_X44Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y14 IS_PAD 0 SITEPROP TIEOFF_X44Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y14 IS_RESERVED 0 SITEPROP TIEOFF_X44Y14 IS_TEST 0 SITEPROP TIEOFF_X44Y14 IS_USED 0 SITEPROP TIEOFF_X44Y14 MANUAL_ROUTING SITEPROP TIEOFF_X44Y14 NAME TIEOFF_X44Y14 SITEPROP TIEOFF_X44Y14 NUM_ARCS 0 SITEPROP TIEOFF_X44Y14 NUM_BELS 2 SITEPROP TIEOFF_X44Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y14 NUM_PINS 2 SITEPROP TIEOFF_X44Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y14 PROHIBIT 0 SITEPROP TIEOFF_X44Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y14 RPM_X 172 SITEPROP TIEOFF_X44Y14 RPM_Y 28 SITEPROP TIEOFF_X44Y14 SITE_PIPS SITEPROP TIEOFF_X44Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y15 CLASS site SITEPROP TIEOFF_X44Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y15 IS_BONDED 0 SITEPROP TIEOFF_X44Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y15 IS_PAD 0 SITEPROP TIEOFF_X44Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y15 IS_RESERVED 0 SITEPROP TIEOFF_X44Y15 IS_TEST 0 SITEPROP TIEOFF_X44Y15 IS_USED 0 SITEPROP TIEOFF_X44Y15 MANUAL_ROUTING SITEPROP TIEOFF_X44Y15 NAME TIEOFF_X44Y15 SITEPROP TIEOFF_X44Y15 NUM_ARCS 0 SITEPROP TIEOFF_X44Y15 NUM_BELS 2 SITEPROP TIEOFF_X44Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y15 NUM_PINS 2 SITEPROP TIEOFF_X44Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y15 PROHIBIT 0 SITEPROP TIEOFF_X44Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y15 RPM_X 172 SITEPROP TIEOFF_X44Y15 RPM_Y 30 SITEPROP TIEOFF_X44Y15 SITE_PIPS SITEPROP TIEOFF_X44Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y16 CLASS site SITEPROP TIEOFF_X44Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y16 IS_BONDED 0 SITEPROP TIEOFF_X44Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y16 IS_PAD 0 SITEPROP TIEOFF_X44Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y16 IS_RESERVED 0 SITEPROP TIEOFF_X44Y16 IS_TEST 0 SITEPROP TIEOFF_X44Y16 IS_USED 0 SITEPROP TIEOFF_X44Y16 MANUAL_ROUTING SITEPROP TIEOFF_X44Y16 NAME TIEOFF_X44Y16 SITEPROP TIEOFF_X44Y16 NUM_ARCS 0 SITEPROP TIEOFF_X44Y16 NUM_BELS 2 SITEPROP TIEOFF_X44Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y16 NUM_PINS 2 SITEPROP TIEOFF_X44Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y16 PROHIBIT 0 SITEPROP TIEOFF_X44Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y16 RPM_X 172 SITEPROP TIEOFF_X44Y16 RPM_Y 32 SITEPROP TIEOFF_X44Y16 SITE_PIPS SITEPROP TIEOFF_X44Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y17 CLASS site SITEPROP TIEOFF_X44Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y17 IS_BONDED 0 SITEPROP TIEOFF_X44Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y17 IS_PAD 0 SITEPROP TIEOFF_X44Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y17 IS_RESERVED 0 SITEPROP TIEOFF_X44Y17 IS_TEST 0 SITEPROP TIEOFF_X44Y17 IS_USED 0 SITEPROP TIEOFF_X44Y17 MANUAL_ROUTING SITEPROP TIEOFF_X44Y17 NAME TIEOFF_X44Y17 SITEPROP TIEOFF_X44Y17 NUM_ARCS 0 SITEPROP TIEOFF_X44Y17 NUM_BELS 2 SITEPROP TIEOFF_X44Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y17 NUM_PINS 2 SITEPROP TIEOFF_X44Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y17 PROHIBIT 0 SITEPROP TIEOFF_X44Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y17 RPM_X 172 SITEPROP TIEOFF_X44Y17 RPM_Y 34 SITEPROP TIEOFF_X44Y17 SITE_PIPS SITEPROP TIEOFF_X44Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y18 CLASS site SITEPROP TIEOFF_X44Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y18 IS_BONDED 0 SITEPROP TIEOFF_X44Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y18 IS_PAD 0 SITEPROP TIEOFF_X44Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y18 IS_RESERVED 0 SITEPROP TIEOFF_X44Y18 IS_TEST 0 SITEPROP TIEOFF_X44Y18 IS_USED 0 SITEPROP TIEOFF_X44Y18 MANUAL_ROUTING SITEPROP TIEOFF_X44Y18 NAME TIEOFF_X44Y18 SITEPROP TIEOFF_X44Y18 NUM_ARCS 0 SITEPROP TIEOFF_X44Y18 NUM_BELS 2 SITEPROP TIEOFF_X44Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y18 NUM_PINS 2 SITEPROP TIEOFF_X44Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y18 PROHIBIT 0 SITEPROP TIEOFF_X44Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y18 RPM_X 172 SITEPROP TIEOFF_X44Y18 RPM_Y 36 SITEPROP TIEOFF_X44Y18 SITE_PIPS SITEPROP TIEOFF_X44Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y19 CLASS site SITEPROP TIEOFF_X44Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y19 IS_BONDED 0 SITEPROP TIEOFF_X44Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y19 IS_PAD 0 SITEPROP TIEOFF_X44Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y19 IS_RESERVED 0 SITEPROP TIEOFF_X44Y19 IS_TEST 0 SITEPROP TIEOFF_X44Y19 IS_USED 0 SITEPROP TIEOFF_X44Y19 MANUAL_ROUTING SITEPROP TIEOFF_X44Y19 NAME TIEOFF_X44Y19 SITEPROP TIEOFF_X44Y19 NUM_ARCS 0 SITEPROP TIEOFF_X44Y19 NUM_BELS 2 SITEPROP TIEOFF_X44Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y19 NUM_PINS 2 SITEPROP TIEOFF_X44Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y19 PROHIBIT 0 SITEPROP TIEOFF_X44Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y19 RPM_X 172 SITEPROP TIEOFF_X44Y19 RPM_Y 38 SITEPROP TIEOFF_X44Y19 SITE_PIPS SITEPROP TIEOFF_X44Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y20 CLASS site SITEPROP TIEOFF_X44Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y20 IS_BONDED 0 SITEPROP TIEOFF_X44Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y20 IS_PAD 0 SITEPROP TIEOFF_X44Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y20 IS_RESERVED 0 SITEPROP TIEOFF_X44Y20 IS_TEST 0 SITEPROP TIEOFF_X44Y20 IS_USED 0 SITEPROP TIEOFF_X44Y20 MANUAL_ROUTING SITEPROP TIEOFF_X44Y20 NAME TIEOFF_X44Y20 SITEPROP TIEOFF_X44Y20 NUM_ARCS 0 SITEPROP TIEOFF_X44Y20 NUM_BELS 2 SITEPROP TIEOFF_X44Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y20 NUM_PINS 2 SITEPROP TIEOFF_X44Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y20 PROHIBIT 0 SITEPROP TIEOFF_X44Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y20 RPM_X 172 SITEPROP TIEOFF_X44Y20 RPM_Y 40 SITEPROP TIEOFF_X44Y20 SITE_PIPS SITEPROP TIEOFF_X44Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y21 CLASS site SITEPROP TIEOFF_X44Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y21 IS_BONDED 0 SITEPROP TIEOFF_X44Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y21 IS_PAD 0 SITEPROP TIEOFF_X44Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y21 IS_RESERVED 0 SITEPROP TIEOFF_X44Y21 IS_TEST 0 SITEPROP TIEOFF_X44Y21 IS_USED 0 SITEPROP TIEOFF_X44Y21 MANUAL_ROUTING SITEPROP TIEOFF_X44Y21 NAME TIEOFF_X44Y21 SITEPROP TIEOFF_X44Y21 NUM_ARCS 0 SITEPROP TIEOFF_X44Y21 NUM_BELS 2 SITEPROP TIEOFF_X44Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y21 NUM_PINS 2 SITEPROP TIEOFF_X44Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y21 PROHIBIT 0 SITEPROP TIEOFF_X44Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y21 RPM_X 172 SITEPROP TIEOFF_X44Y21 RPM_Y 42 SITEPROP TIEOFF_X44Y21 SITE_PIPS SITEPROP TIEOFF_X44Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y22 CLASS site SITEPROP TIEOFF_X44Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y22 IS_BONDED 0 SITEPROP TIEOFF_X44Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y22 IS_PAD 0 SITEPROP TIEOFF_X44Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y22 IS_RESERVED 0 SITEPROP TIEOFF_X44Y22 IS_TEST 0 SITEPROP TIEOFF_X44Y22 IS_USED 0 SITEPROP TIEOFF_X44Y22 MANUAL_ROUTING SITEPROP TIEOFF_X44Y22 NAME TIEOFF_X44Y22 SITEPROP TIEOFF_X44Y22 NUM_ARCS 0 SITEPROP TIEOFF_X44Y22 NUM_BELS 2 SITEPROP TIEOFF_X44Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y22 NUM_PINS 2 SITEPROP TIEOFF_X44Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y22 PROHIBIT 0 SITEPROP TIEOFF_X44Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y22 RPM_X 172 SITEPROP TIEOFF_X44Y22 RPM_Y 44 SITEPROP TIEOFF_X44Y22 SITE_PIPS SITEPROP TIEOFF_X44Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y23 CLASS site SITEPROP TIEOFF_X44Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y23 IS_BONDED 0 SITEPROP TIEOFF_X44Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y23 IS_PAD 0 SITEPROP TIEOFF_X44Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y23 IS_RESERVED 0 SITEPROP TIEOFF_X44Y23 IS_TEST 0 SITEPROP TIEOFF_X44Y23 IS_USED 0 SITEPROP TIEOFF_X44Y23 MANUAL_ROUTING SITEPROP TIEOFF_X44Y23 NAME TIEOFF_X44Y23 SITEPROP TIEOFF_X44Y23 NUM_ARCS 0 SITEPROP TIEOFF_X44Y23 NUM_BELS 2 SITEPROP TIEOFF_X44Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y23 NUM_PINS 2 SITEPROP TIEOFF_X44Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y23 PROHIBIT 0 SITEPROP TIEOFF_X44Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y23 RPM_X 172 SITEPROP TIEOFF_X44Y23 RPM_Y 46 SITEPROP TIEOFF_X44Y23 SITE_PIPS SITEPROP TIEOFF_X44Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y24 CLASS site SITEPROP TIEOFF_X44Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y24 IS_BONDED 0 SITEPROP TIEOFF_X44Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y24 IS_PAD 0 SITEPROP TIEOFF_X44Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y24 IS_RESERVED 0 SITEPROP TIEOFF_X44Y24 IS_TEST 0 SITEPROP TIEOFF_X44Y24 IS_USED 0 SITEPROP TIEOFF_X44Y24 MANUAL_ROUTING SITEPROP TIEOFF_X44Y24 NAME TIEOFF_X44Y24 SITEPROP TIEOFF_X44Y24 NUM_ARCS 0 SITEPROP TIEOFF_X44Y24 NUM_BELS 2 SITEPROP TIEOFF_X44Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y24 NUM_PINS 2 SITEPROP TIEOFF_X44Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y24 PROHIBIT 0 SITEPROP TIEOFF_X44Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y24 RPM_X 172 SITEPROP TIEOFF_X44Y24 RPM_Y 48 SITEPROP TIEOFF_X44Y24 SITE_PIPS SITEPROP TIEOFF_X44Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y25 CLASS site SITEPROP TIEOFF_X44Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y25 IS_BONDED 0 SITEPROP TIEOFF_X44Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y25 IS_PAD 0 SITEPROP TIEOFF_X44Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y25 IS_RESERVED 0 SITEPROP TIEOFF_X44Y25 IS_TEST 0 SITEPROP TIEOFF_X44Y25 IS_USED 0 SITEPROP TIEOFF_X44Y25 MANUAL_ROUTING SITEPROP TIEOFF_X44Y25 NAME TIEOFF_X44Y25 SITEPROP TIEOFF_X44Y25 NUM_ARCS 0 SITEPROP TIEOFF_X44Y25 NUM_BELS 2 SITEPROP TIEOFF_X44Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y25 NUM_PINS 2 SITEPROP TIEOFF_X44Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y25 PROHIBIT 0 SITEPROP TIEOFF_X44Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y25 RPM_X 172 SITEPROP TIEOFF_X44Y25 RPM_Y 50 SITEPROP TIEOFF_X44Y25 SITE_PIPS SITEPROP TIEOFF_X44Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y26 CLASS site SITEPROP TIEOFF_X44Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y26 IS_BONDED 0 SITEPROP TIEOFF_X44Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y26 IS_PAD 0 SITEPROP TIEOFF_X44Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y26 IS_RESERVED 0 SITEPROP TIEOFF_X44Y26 IS_TEST 0 SITEPROP TIEOFF_X44Y26 IS_USED 0 SITEPROP TIEOFF_X44Y26 MANUAL_ROUTING SITEPROP TIEOFF_X44Y26 NAME TIEOFF_X44Y26 SITEPROP TIEOFF_X44Y26 NUM_ARCS 0 SITEPROP TIEOFF_X44Y26 NUM_BELS 2 SITEPROP TIEOFF_X44Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y26 NUM_PINS 2 SITEPROP TIEOFF_X44Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y26 PROHIBIT 0 SITEPROP TIEOFF_X44Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y26 RPM_X 172 SITEPROP TIEOFF_X44Y26 RPM_Y 52 SITEPROP TIEOFF_X44Y26 SITE_PIPS SITEPROP TIEOFF_X44Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y27 CLASS site SITEPROP TIEOFF_X44Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y27 IS_BONDED 0 SITEPROP TIEOFF_X44Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y27 IS_PAD 0 SITEPROP TIEOFF_X44Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y27 IS_RESERVED 0 SITEPROP TIEOFF_X44Y27 IS_TEST 0 SITEPROP TIEOFF_X44Y27 IS_USED 0 SITEPROP TIEOFF_X44Y27 MANUAL_ROUTING SITEPROP TIEOFF_X44Y27 NAME TIEOFF_X44Y27 SITEPROP TIEOFF_X44Y27 NUM_ARCS 0 SITEPROP TIEOFF_X44Y27 NUM_BELS 2 SITEPROP TIEOFF_X44Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y27 NUM_PINS 2 SITEPROP TIEOFF_X44Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y27 PROHIBIT 0 SITEPROP TIEOFF_X44Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y27 RPM_X 172 SITEPROP TIEOFF_X44Y27 RPM_Y 54 SITEPROP TIEOFF_X44Y27 SITE_PIPS SITEPROP TIEOFF_X44Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y28 CLASS site SITEPROP TIEOFF_X44Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y28 IS_BONDED 0 SITEPROP TIEOFF_X44Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y28 IS_PAD 0 SITEPROP TIEOFF_X44Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y28 IS_RESERVED 0 SITEPROP TIEOFF_X44Y28 IS_TEST 0 SITEPROP TIEOFF_X44Y28 IS_USED 0 SITEPROP TIEOFF_X44Y28 MANUAL_ROUTING SITEPROP TIEOFF_X44Y28 NAME TIEOFF_X44Y28 SITEPROP TIEOFF_X44Y28 NUM_ARCS 0 SITEPROP TIEOFF_X44Y28 NUM_BELS 2 SITEPROP TIEOFF_X44Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y28 NUM_PINS 2 SITEPROP TIEOFF_X44Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y28 PROHIBIT 0 SITEPROP TIEOFF_X44Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y28 RPM_X 172 SITEPROP TIEOFF_X44Y28 RPM_Y 56 SITEPROP TIEOFF_X44Y28 SITE_PIPS SITEPROP TIEOFF_X44Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y29 CLASS site SITEPROP TIEOFF_X44Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y29 IS_BONDED 0 SITEPROP TIEOFF_X44Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y29 IS_PAD 0 SITEPROP TIEOFF_X44Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y29 IS_RESERVED 0 SITEPROP TIEOFF_X44Y29 IS_TEST 0 SITEPROP TIEOFF_X44Y29 IS_USED 0 SITEPROP TIEOFF_X44Y29 MANUAL_ROUTING SITEPROP TIEOFF_X44Y29 NAME TIEOFF_X44Y29 SITEPROP TIEOFF_X44Y29 NUM_ARCS 0 SITEPROP TIEOFF_X44Y29 NUM_BELS 2 SITEPROP TIEOFF_X44Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y29 NUM_PINS 2 SITEPROP TIEOFF_X44Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y29 PROHIBIT 0 SITEPROP TIEOFF_X44Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y29 RPM_X 172 SITEPROP TIEOFF_X44Y29 RPM_Y 58 SITEPROP TIEOFF_X44Y29 SITE_PIPS SITEPROP TIEOFF_X44Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y30 CLASS site SITEPROP TIEOFF_X44Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y30 IS_BONDED 0 SITEPROP TIEOFF_X44Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y30 IS_PAD 0 SITEPROP TIEOFF_X44Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y30 IS_RESERVED 0 SITEPROP TIEOFF_X44Y30 IS_TEST 0 SITEPROP TIEOFF_X44Y30 IS_USED 0 SITEPROP TIEOFF_X44Y30 MANUAL_ROUTING SITEPROP TIEOFF_X44Y30 NAME TIEOFF_X44Y30 SITEPROP TIEOFF_X44Y30 NUM_ARCS 0 SITEPROP TIEOFF_X44Y30 NUM_BELS 2 SITEPROP TIEOFF_X44Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y30 NUM_PINS 2 SITEPROP TIEOFF_X44Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y30 PROHIBIT 0 SITEPROP TIEOFF_X44Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y30 RPM_X 172 SITEPROP TIEOFF_X44Y30 RPM_Y 60 SITEPROP TIEOFF_X44Y30 SITE_PIPS SITEPROP TIEOFF_X44Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y31 CLASS site SITEPROP TIEOFF_X44Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y31 IS_BONDED 0 SITEPROP TIEOFF_X44Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y31 IS_PAD 0 SITEPROP TIEOFF_X44Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y31 IS_RESERVED 0 SITEPROP TIEOFF_X44Y31 IS_TEST 0 SITEPROP TIEOFF_X44Y31 IS_USED 0 SITEPROP TIEOFF_X44Y31 MANUAL_ROUTING SITEPROP TIEOFF_X44Y31 NAME TIEOFF_X44Y31 SITEPROP TIEOFF_X44Y31 NUM_ARCS 0 SITEPROP TIEOFF_X44Y31 NUM_BELS 2 SITEPROP TIEOFF_X44Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y31 NUM_PINS 2 SITEPROP TIEOFF_X44Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y31 PROHIBIT 0 SITEPROP TIEOFF_X44Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y31 RPM_X 172 SITEPROP TIEOFF_X44Y31 RPM_Y 62 SITEPROP TIEOFF_X44Y31 SITE_PIPS SITEPROP TIEOFF_X44Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y32 CLASS site SITEPROP TIEOFF_X44Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y32 IS_BONDED 0 SITEPROP TIEOFF_X44Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y32 IS_PAD 0 SITEPROP TIEOFF_X44Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y32 IS_RESERVED 0 SITEPROP TIEOFF_X44Y32 IS_TEST 0 SITEPROP TIEOFF_X44Y32 IS_USED 0 SITEPROP TIEOFF_X44Y32 MANUAL_ROUTING SITEPROP TIEOFF_X44Y32 NAME TIEOFF_X44Y32 SITEPROP TIEOFF_X44Y32 NUM_ARCS 0 SITEPROP TIEOFF_X44Y32 NUM_BELS 2 SITEPROP TIEOFF_X44Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y32 NUM_PINS 2 SITEPROP TIEOFF_X44Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y32 PROHIBIT 0 SITEPROP TIEOFF_X44Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y32 RPM_X 172 SITEPROP TIEOFF_X44Y32 RPM_Y 64 SITEPROP TIEOFF_X44Y32 SITE_PIPS SITEPROP TIEOFF_X44Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y33 CLASS site SITEPROP TIEOFF_X44Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y33 IS_BONDED 0 SITEPROP TIEOFF_X44Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y33 IS_PAD 0 SITEPROP TIEOFF_X44Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y33 IS_RESERVED 0 SITEPROP TIEOFF_X44Y33 IS_TEST 0 SITEPROP TIEOFF_X44Y33 IS_USED 0 SITEPROP TIEOFF_X44Y33 MANUAL_ROUTING SITEPROP TIEOFF_X44Y33 NAME TIEOFF_X44Y33 SITEPROP TIEOFF_X44Y33 NUM_ARCS 0 SITEPROP TIEOFF_X44Y33 NUM_BELS 2 SITEPROP TIEOFF_X44Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y33 NUM_PINS 2 SITEPROP TIEOFF_X44Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y33 PROHIBIT 0 SITEPROP TIEOFF_X44Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y33 RPM_X 172 SITEPROP TIEOFF_X44Y33 RPM_Y 66 SITEPROP TIEOFF_X44Y33 SITE_PIPS SITEPROP TIEOFF_X44Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y34 CLASS site SITEPROP TIEOFF_X44Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y34 IS_BONDED 0 SITEPROP TIEOFF_X44Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y34 IS_PAD 0 SITEPROP TIEOFF_X44Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y34 IS_RESERVED 0 SITEPROP TIEOFF_X44Y34 IS_TEST 0 SITEPROP TIEOFF_X44Y34 IS_USED 0 SITEPROP TIEOFF_X44Y34 MANUAL_ROUTING SITEPROP TIEOFF_X44Y34 NAME TIEOFF_X44Y34 SITEPROP TIEOFF_X44Y34 NUM_ARCS 0 SITEPROP TIEOFF_X44Y34 NUM_BELS 2 SITEPROP TIEOFF_X44Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y34 NUM_PINS 2 SITEPROP TIEOFF_X44Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y34 PROHIBIT 0 SITEPROP TIEOFF_X44Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y34 RPM_X 172 SITEPROP TIEOFF_X44Y34 RPM_Y 68 SITEPROP TIEOFF_X44Y34 SITE_PIPS SITEPROP TIEOFF_X44Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y35 CLASS site SITEPROP TIEOFF_X44Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y35 IS_BONDED 0 SITEPROP TIEOFF_X44Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y35 IS_PAD 0 SITEPROP TIEOFF_X44Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y35 IS_RESERVED 0 SITEPROP TIEOFF_X44Y35 IS_TEST 0 SITEPROP TIEOFF_X44Y35 IS_USED 0 SITEPROP TIEOFF_X44Y35 MANUAL_ROUTING SITEPROP TIEOFF_X44Y35 NAME TIEOFF_X44Y35 SITEPROP TIEOFF_X44Y35 NUM_ARCS 0 SITEPROP TIEOFF_X44Y35 NUM_BELS 2 SITEPROP TIEOFF_X44Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y35 NUM_PINS 2 SITEPROP TIEOFF_X44Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y35 PROHIBIT 0 SITEPROP TIEOFF_X44Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y35 RPM_X 172 SITEPROP TIEOFF_X44Y35 RPM_Y 70 SITEPROP TIEOFF_X44Y35 SITE_PIPS SITEPROP TIEOFF_X44Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y36 CLASS site SITEPROP TIEOFF_X44Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y36 IS_BONDED 0 SITEPROP TIEOFF_X44Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y36 IS_PAD 0 SITEPROP TIEOFF_X44Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y36 IS_RESERVED 0 SITEPROP TIEOFF_X44Y36 IS_TEST 0 SITEPROP TIEOFF_X44Y36 IS_USED 0 SITEPROP TIEOFF_X44Y36 MANUAL_ROUTING SITEPROP TIEOFF_X44Y36 NAME TIEOFF_X44Y36 SITEPROP TIEOFF_X44Y36 NUM_ARCS 0 SITEPROP TIEOFF_X44Y36 NUM_BELS 2 SITEPROP TIEOFF_X44Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y36 NUM_PINS 2 SITEPROP TIEOFF_X44Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y36 PROHIBIT 0 SITEPROP TIEOFF_X44Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y36 RPM_X 172 SITEPROP TIEOFF_X44Y36 RPM_Y 72 SITEPROP TIEOFF_X44Y36 SITE_PIPS SITEPROP TIEOFF_X44Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y37 CLASS site SITEPROP TIEOFF_X44Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y37 IS_BONDED 0 SITEPROP TIEOFF_X44Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y37 IS_PAD 0 SITEPROP TIEOFF_X44Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y37 IS_RESERVED 0 SITEPROP TIEOFF_X44Y37 IS_TEST 0 SITEPROP TIEOFF_X44Y37 IS_USED 0 SITEPROP TIEOFF_X44Y37 MANUAL_ROUTING SITEPROP TIEOFF_X44Y37 NAME TIEOFF_X44Y37 SITEPROP TIEOFF_X44Y37 NUM_ARCS 0 SITEPROP TIEOFF_X44Y37 NUM_BELS 2 SITEPROP TIEOFF_X44Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y37 NUM_PINS 2 SITEPROP TIEOFF_X44Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y37 PROHIBIT 0 SITEPROP TIEOFF_X44Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y37 RPM_X 172 SITEPROP TIEOFF_X44Y37 RPM_Y 74 SITEPROP TIEOFF_X44Y37 SITE_PIPS SITEPROP TIEOFF_X44Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y38 CLASS site SITEPROP TIEOFF_X44Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y38 IS_BONDED 0 SITEPROP TIEOFF_X44Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y38 IS_PAD 0 SITEPROP TIEOFF_X44Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y38 IS_RESERVED 0 SITEPROP TIEOFF_X44Y38 IS_TEST 0 SITEPROP TIEOFF_X44Y38 IS_USED 0 SITEPROP TIEOFF_X44Y38 MANUAL_ROUTING SITEPROP TIEOFF_X44Y38 NAME TIEOFF_X44Y38 SITEPROP TIEOFF_X44Y38 NUM_ARCS 0 SITEPROP TIEOFF_X44Y38 NUM_BELS 2 SITEPROP TIEOFF_X44Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y38 NUM_PINS 2 SITEPROP TIEOFF_X44Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y38 PROHIBIT 0 SITEPROP TIEOFF_X44Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y38 RPM_X 172 SITEPROP TIEOFF_X44Y38 RPM_Y 76 SITEPROP TIEOFF_X44Y38 SITE_PIPS SITEPROP TIEOFF_X44Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y39 CLASS site SITEPROP TIEOFF_X44Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y39 IS_BONDED 0 SITEPROP TIEOFF_X44Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y39 IS_PAD 0 SITEPROP TIEOFF_X44Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y39 IS_RESERVED 0 SITEPROP TIEOFF_X44Y39 IS_TEST 0 SITEPROP TIEOFF_X44Y39 IS_USED 0 SITEPROP TIEOFF_X44Y39 MANUAL_ROUTING SITEPROP TIEOFF_X44Y39 NAME TIEOFF_X44Y39 SITEPROP TIEOFF_X44Y39 NUM_ARCS 0 SITEPROP TIEOFF_X44Y39 NUM_BELS 2 SITEPROP TIEOFF_X44Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y39 NUM_PINS 2 SITEPROP TIEOFF_X44Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y39 PROHIBIT 0 SITEPROP TIEOFF_X44Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y39 RPM_X 172 SITEPROP TIEOFF_X44Y39 RPM_Y 78 SITEPROP TIEOFF_X44Y39 SITE_PIPS SITEPROP TIEOFF_X44Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y40 CLASS site SITEPROP TIEOFF_X44Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y40 IS_BONDED 0 SITEPROP TIEOFF_X44Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y40 IS_PAD 0 SITEPROP TIEOFF_X44Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y40 IS_RESERVED 0 SITEPROP TIEOFF_X44Y40 IS_TEST 0 SITEPROP TIEOFF_X44Y40 IS_USED 0 SITEPROP TIEOFF_X44Y40 MANUAL_ROUTING SITEPROP TIEOFF_X44Y40 NAME TIEOFF_X44Y40 SITEPROP TIEOFF_X44Y40 NUM_ARCS 0 SITEPROP TIEOFF_X44Y40 NUM_BELS 2 SITEPROP TIEOFF_X44Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y40 NUM_PINS 2 SITEPROP TIEOFF_X44Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y40 PROHIBIT 0 SITEPROP TIEOFF_X44Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y40 RPM_X 172 SITEPROP TIEOFF_X44Y40 RPM_Y 80 SITEPROP TIEOFF_X44Y40 SITE_PIPS SITEPROP TIEOFF_X44Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y41 CLASS site SITEPROP TIEOFF_X44Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y41 IS_BONDED 0 SITEPROP TIEOFF_X44Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y41 IS_PAD 0 SITEPROP TIEOFF_X44Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y41 IS_RESERVED 0 SITEPROP TIEOFF_X44Y41 IS_TEST 0 SITEPROP TIEOFF_X44Y41 IS_USED 0 SITEPROP TIEOFF_X44Y41 MANUAL_ROUTING SITEPROP TIEOFF_X44Y41 NAME TIEOFF_X44Y41 SITEPROP TIEOFF_X44Y41 NUM_ARCS 0 SITEPROP TIEOFF_X44Y41 NUM_BELS 2 SITEPROP TIEOFF_X44Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y41 NUM_PINS 2 SITEPROP TIEOFF_X44Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y41 PROHIBIT 0 SITEPROP TIEOFF_X44Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y41 RPM_X 172 SITEPROP TIEOFF_X44Y41 RPM_Y 82 SITEPROP TIEOFF_X44Y41 SITE_PIPS SITEPROP TIEOFF_X44Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y42 CLASS site SITEPROP TIEOFF_X44Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y42 IS_BONDED 0 SITEPROP TIEOFF_X44Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y42 IS_PAD 0 SITEPROP TIEOFF_X44Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y42 IS_RESERVED 0 SITEPROP TIEOFF_X44Y42 IS_TEST 0 SITEPROP TIEOFF_X44Y42 IS_USED 0 SITEPROP TIEOFF_X44Y42 MANUAL_ROUTING SITEPROP TIEOFF_X44Y42 NAME TIEOFF_X44Y42 SITEPROP TIEOFF_X44Y42 NUM_ARCS 0 SITEPROP TIEOFF_X44Y42 NUM_BELS 2 SITEPROP TIEOFF_X44Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y42 NUM_PINS 2 SITEPROP TIEOFF_X44Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y42 PROHIBIT 0 SITEPROP TIEOFF_X44Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y42 RPM_X 172 SITEPROP TIEOFF_X44Y42 RPM_Y 84 SITEPROP TIEOFF_X44Y42 SITE_PIPS SITEPROP TIEOFF_X44Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y43 CLASS site SITEPROP TIEOFF_X44Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y43 IS_BONDED 0 SITEPROP TIEOFF_X44Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y43 IS_PAD 0 SITEPROP TIEOFF_X44Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y43 IS_RESERVED 0 SITEPROP TIEOFF_X44Y43 IS_TEST 0 SITEPROP TIEOFF_X44Y43 IS_USED 0 SITEPROP TIEOFF_X44Y43 MANUAL_ROUTING SITEPROP TIEOFF_X44Y43 NAME TIEOFF_X44Y43 SITEPROP TIEOFF_X44Y43 NUM_ARCS 0 SITEPROP TIEOFF_X44Y43 NUM_BELS 2 SITEPROP TIEOFF_X44Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y43 NUM_PINS 2 SITEPROP TIEOFF_X44Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y43 PROHIBIT 0 SITEPROP TIEOFF_X44Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y43 RPM_X 172 SITEPROP TIEOFF_X44Y43 RPM_Y 86 SITEPROP TIEOFF_X44Y43 SITE_PIPS SITEPROP TIEOFF_X44Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y44 CLASS site SITEPROP TIEOFF_X44Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y44 IS_BONDED 0 SITEPROP TIEOFF_X44Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y44 IS_PAD 0 SITEPROP TIEOFF_X44Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y44 IS_RESERVED 0 SITEPROP TIEOFF_X44Y44 IS_TEST 0 SITEPROP TIEOFF_X44Y44 IS_USED 0 SITEPROP TIEOFF_X44Y44 MANUAL_ROUTING SITEPROP TIEOFF_X44Y44 NAME TIEOFF_X44Y44 SITEPROP TIEOFF_X44Y44 NUM_ARCS 0 SITEPROP TIEOFF_X44Y44 NUM_BELS 2 SITEPROP TIEOFF_X44Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y44 NUM_PINS 2 SITEPROP TIEOFF_X44Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y44 PROHIBIT 0 SITEPROP TIEOFF_X44Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y44 RPM_X 172 SITEPROP TIEOFF_X44Y44 RPM_Y 88 SITEPROP TIEOFF_X44Y44 SITE_PIPS SITEPROP TIEOFF_X44Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y45 CLASS site SITEPROP TIEOFF_X44Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y45 IS_BONDED 0 SITEPROP TIEOFF_X44Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y45 IS_PAD 0 SITEPROP TIEOFF_X44Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y45 IS_RESERVED 0 SITEPROP TIEOFF_X44Y45 IS_TEST 0 SITEPROP TIEOFF_X44Y45 IS_USED 0 SITEPROP TIEOFF_X44Y45 MANUAL_ROUTING SITEPROP TIEOFF_X44Y45 NAME TIEOFF_X44Y45 SITEPROP TIEOFF_X44Y45 NUM_ARCS 0 SITEPROP TIEOFF_X44Y45 NUM_BELS 2 SITEPROP TIEOFF_X44Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y45 NUM_PINS 2 SITEPROP TIEOFF_X44Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y45 PROHIBIT 0 SITEPROP TIEOFF_X44Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y45 RPM_X 172 SITEPROP TIEOFF_X44Y45 RPM_Y 90 SITEPROP TIEOFF_X44Y45 SITE_PIPS SITEPROP TIEOFF_X44Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y46 CLASS site SITEPROP TIEOFF_X44Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y46 IS_BONDED 0 SITEPROP TIEOFF_X44Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y46 IS_PAD 0 SITEPROP TIEOFF_X44Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y46 IS_RESERVED 0 SITEPROP TIEOFF_X44Y46 IS_TEST 0 SITEPROP TIEOFF_X44Y46 IS_USED 0 SITEPROP TIEOFF_X44Y46 MANUAL_ROUTING SITEPROP TIEOFF_X44Y46 NAME TIEOFF_X44Y46 SITEPROP TIEOFF_X44Y46 NUM_ARCS 0 SITEPROP TIEOFF_X44Y46 NUM_BELS 2 SITEPROP TIEOFF_X44Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y46 NUM_PINS 2 SITEPROP TIEOFF_X44Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y46 PROHIBIT 0 SITEPROP TIEOFF_X44Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y46 RPM_X 172 SITEPROP TIEOFF_X44Y46 RPM_Y 92 SITEPROP TIEOFF_X44Y46 SITE_PIPS SITEPROP TIEOFF_X44Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y47 CLASS site SITEPROP TIEOFF_X44Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y47 IS_BONDED 0 SITEPROP TIEOFF_X44Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y47 IS_PAD 0 SITEPROP TIEOFF_X44Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y47 IS_RESERVED 0 SITEPROP TIEOFF_X44Y47 IS_TEST 0 SITEPROP TIEOFF_X44Y47 IS_USED 0 SITEPROP TIEOFF_X44Y47 MANUAL_ROUTING SITEPROP TIEOFF_X44Y47 NAME TIEOFF_X44Y47 SITEPROP TIEOFF_X44Y47 NUM_ARCS 0 SITEPROP TIEOFF_X44Y47 NUM_BELS 2 SITEPROP TIEOFF_X44Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y47 NUM_PINS 2 SITEPROP TIEOFF_X44Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y47 PROHIBIT 0 SITEPROP TIEOFF_X44Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y47 RPM_X 172 SITEPROP TIEOFF_X44Y47 RPM_Y 94 SITEPROP TIEOFF_X44Y47 SITE_PIPS SITEPROP TIEOFF_X44Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y48 CLASS site SITEPROP TIEOFF_X44Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y48 IS_BONDED 0 SITEPROP TIEOFF_X44Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y48 IS_PAD 0 SITEPROP TIEOFF_X44Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y48 IS_RESERVED 0 SITEPROP TIEOFF_X44Y48 IS_TEST 0 SITEPROP TIEOFF_X44Y48 IS_USED 0 SITEPROP TIEOFF_X44Y48 MANUAL_ROUTING SITEPROP TIEOFF_X44Y48 NAME TIEOFF_X44Y48 SITEPROP TIEOFF_X44Y48 NUM_ARCS 0 SITEPROP TIEOFF_X44Y48 NUM_BELS 2 SITEPROP TIEOFF_X44Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y48 NUM_PINS 2 SITEPROP TIEOFF_X44Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y48 PROHIBIT 0 SITEPROP TIEOFF_X44Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y48 RPM_X 172 SITEPROP TIEOFF_X44Y48 RPM_Y 96 SITEPROP TIEOFF_X44Y48 SITE_PIPS SITEPROP TIEOFF_X44Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y49 CLASS site SITEPROP TIEOFF_X44Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X44Y49 IS_BONDED 0 SITEPROP TIEOFF_X44Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y49 IS_PAD 0 SITEPROP TIEOFF_X44Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y49 IS_RESERVED 0 SITEPROP TIEOFF_X44Y49 IS_TEST 0 SITEPROP TIEOFF_X44Y49 IS_USED 0 SITEPROP TIEOFF_X44Y49 MANUAL_ROUTING SITEPROP TIEOFF_X44Y49 NAME TIEOFF_X44Y49 SITEPROP TIEOFF_X44Y49 NUM_ARCS 0 SITEPROP TIEOFF_X44Y49 NUM_BELS 2 SITEPROP TIEOFF_X44Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y49 NUM_PINS 2 SITEPROP TIEOFF_X44Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y49 PROHIBIT 0 SITEPROP TIEOFF_X44Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y49 RPM_X 172 SITEPROP TIEOFF_X44Y49 RPM_Y 98 SITEPROP TIEOFF_X44Y49 SITE_PIPS SITEPROP TIEOFF_X44Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y50 CLASS site SITEPROP TIEOFF_X44Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y50 IS_BONDED 0 SITEPROP TIEOFF_X44Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y50 IS_PAD 0 SITEPROP TIEOFF_X44Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y50 IS_RESERVED 0 SITEPROP TIEOFF_X44Y50 IS_TEST 0 SITEPROP TIEOFF_X44Y50 IS_USED 0 SITEPROP TIEOFF_X44Y50 MANUAL_ROUTING SITEPROP TIEOFF_X44Y50 NAME TIEOFF_X44Y50 SITEPROP TIEOFF_X44Y50 NUM_ARCS 0 SITEPROP TIEOFF_X44Y50 NUM_BELS 2 SITEPROP TIEOFF_X44Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y50 NUM_PINS 2 SITEPROP TIEOFF_X44Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y50 PROHIBIT 0 SITEPROP TIEOFF_X44Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y50 RPM_X 172 SITEPROP TIEOFF_X44Y50 RPM_Y 100 SITEPROP TIEOFF_X44Y50 SITE_PIPS SITEPROP TIEOFF_X44Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y51 CLASS site SITEPROP TIEOFF_X44Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y51 IS_BONDED 0 SITEPROP TIEOFF_X44Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y51 IS_PAD 0 SITEPROP TIEOFF_X44Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y51 IS_RESERVED 0 SITEPROP TIEOFF_X44Y51 IS_TEST 0 SITEPROP TIEOFF_X44Y51 IS_USED 0 SITEPROP TIEOFF_X44Y51 MANUAL_ROUTING SITEPROP TIEOFF_X44Y51 NAME TIEOFF_X44Y51 SITEPROP TIEOFF_X44Y51 NUM_ARCS 0 SITEPROP TIEOFF_X44Y51 NUM_BELS 2 SITEPROP TIEOFF_X44Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y51 NUM_PINS 2 SITEPROP TIEOFF_X44Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y51 PROHIBIT 0 SITEPROP TIEOFF_X44Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y51 RPM_X 172 SITEPROP TIEOFF_X44Y51 RPM_Y 102 SITEPROP TIEOFF_X44Y51 SITE_PIPS SITEPROP TIEOFF_X44Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y52 CLASS site SITEPROP TIEOFF_X44Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y52 IS_BONDED 0 SITEPROP TIEOFF_X44Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y52 IS_PAD 0 SITEPROP TIEOFF_X44Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y52 IS_RESERVED 0 SITEPROP TIEOFF_X44Y52 IS_TEST 0 SITEPROP TIEOFF_X44Y52 IS_USED 0 SITEPROP TIEOFF_X44Y52 MANUAL_ROUTING SITEPROP TIEOFF_X44Y52 NAME TIEOFF_X44Y52 SITEPROP TIEOFF_X44Y52 NUM_ARCS 0 SITEPROP TIEOFF_X44Y52 NUM_BELS 2 SITEPROP TIEOFF_X44Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y52 NUM_PINS 2 SITEPROP TIEOFF_X44Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y52 PROHIBIT 0 SITEPROP TIEOFF_X44Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y52 RPM_X 172 SITEPROP TIEOFF_X44Y52 RPM_Y 104 SITEPROP TIEOFF_X44Y52 SITE_PIPS SITEPROP TIEOFF_X44Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y53 CLASS site SITEPROP TIEOFF_X44Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y53 IS_BONDED 0 SITEPROP TIEOFF_X44Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y53 IS_PAD 0 SITEPROP TIEOFF_X44Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y53 IS_RESERVED 0 SITEPROP TIEOFF_X44Y53 IS_TEST 0 SITEPROP TIEOFF_X44Y53 IS_USED 0 SITEPROP TIEOFF_X44Y53 MANUAL_ROUTING SITEPROP TIEOFF_X44Y53 NAME TIEOFF_X44Y53 SITEPROP TIEOFF_X44Y53 NUM_ARCS 0 SITEPROP TIEOFF_X44Y53 NUM_BELS 2 SITEPROP TIEOFF_X44Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y53 NUM_PINS 2 SITEPROP TIEOFF_X44Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y53 PROHIBIT 0 SITEPROP TIEOFF_X44Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y53 RPM_X 172 SITEPROP TIEOFF_X44Y53 RPM_Y 106 SITEPROP TIEOFF_X44Y53 SITE_PIPS SITEPROP TIEOFF_X44Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y54 CLASS site SITEPROP TIEOFF_X44Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y54 IS_BONDED 0 SITEPROP TIEOFF_X44Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y54 IS_PAD 0 SITEPROP TIEOFF_X44Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y54 IS_RESERVED 0 SITEPROP TIEOFF_X44Y54 IS_TEST 0 SITEPROP TIEOFF_X44Y54 IS_USED 0 SITEPROP TIEOFF_X44Y54 MANUAL_ROUTING SITEPROP TIEOFF_X44Y54 NAME TIEOFF_X44Y54 SITEPROP TIEOFF_X44Y54 NUM_ARCS 0 SITEPROP TIEOFF_X44Y54 NUM_BELS 2 SITEPROP TIEOFF_X44Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y54 NUM_PINS 2 SITEPROP TIEOFF_X44Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y54 PROHIBIT 0 SITEPROP TIEOFF_X44Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y54 RPM_X 172 SITEPROP TIEOFF_X44Y54 RPM_Y 108 SITEPROP TIEOFF_X44Y54 SITE_PIPS SITEPROP TIEOFF_X44Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y55 CLASS site SITEPROP TIEOFF_X44Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y55 IS_BONDED 0 SITEPROP TIEOFF_X44Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y55 IS_PAD 0 SITEPROP TIEOFF_X44Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y55 IS_RESERVED 0 SITEPROP TIEOFF_X44Y55 IS_TEST 0 SITEPROP TIEOFF_X44Y55 IS_USED 0 SITEPROP TIEOFF_X44Y55 MANUAL_ROUTING SITEPROP TIEOFF_X44Y55 NAME TIEOFF_X44Y55 SITEPROP TIEOFF_X44Y55 NUM_ARCS 0 SITEPROP TIEOFF_X44Y55 NUM_BELS 2 SITEPROP TIEOFF_X44Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y55 NUM_PINS 2 SITEPROP TIEOFF_X44Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y55 PROHIBIT 0 SITEPROP TIEOFF_X44Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y55 RPM_X 172 SITEPROP TIEOFF_X44Y55 RPM_Y 110 SITEPROP TIEOFF_X44Y55 SITE_PIPS SITEPROP TIEOFF_X44Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y56 CLASS site SITEPROP TIEOFF_X44Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y56 IS_BONDED 0 SITEPROP TIEOFF_X44Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y56 IS_PAD 0 SITEPROP TIEOFF_X44Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y56 IS_RESERVED 0 SITEPROP TIEOFF_X44Y56 IS_TEST 0 SITEPROP TIEOFF_X44Y56 IS_USED 0 SITEPROP TIEOFF_X44Y56 MANUAL_ROUTING SITEPROP TIEOFF_X44Y56 NAME TIEOFF_X44Y56 SITEPROP TIEOFF_X44Y56 NUM_ARCS 0 SITEPROP TIEOFF_X44Y56 NUM_BELS 2 SITEPROP TIEOFF_X44Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y56 NUM_PINS 2 SITEPROP TIEOFF_X44Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y56 PROHIBIT 0 SITEPROP TIEOFF_X44Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y56 RPM_X 172 SITEPROP TIEOFF_X44Y56 RPM_Y 112 SITEPROP TIEOFF_X44Y56 SITE_PIPS SITEPROP TIEOFF_X44Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y57 CLASS site SITEPROP TIEOFF_X44Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y57 IS_BONDED 0 SITEPROP TIEOFF_X44Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y57 IS_PAD 0 SITEPROP TIEOFF_X44Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y57 IS_RESERVED 0 SITEPROP TIEOFF_X44Y57 IS_TEST 0 SITEPROP TIEOFF_X44Y57 IS_USED 0 SITEPROP TIEOFF_X44Y57 MANUAL_ROUTING SITEPROP TIEOFF_X44Y57 NAME TIEOFF_X44Y57 SITEPROP TIEOFF_X44Y57 NUM_ARCS 0 SITEPROP TIEOFF_X44Y57 NUM_BELS 2 SITEPROP TIEOFF_X44Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y57 NUM_PINS 2 SITEPROP TIEOFF_X44Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y57 PROHIBIT 0 SITEPROP TIEOFF_X44Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y57 RPM_X 172 SITEPROP TIEOFF_X44Y57 RPM_Y 114 SITEPROP TIEOFF_X44Y57 SITE_PIPS SITEPROP TIEOFF_X44Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y58 CLASS site SITEPROP TIEOFF_X44Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y58 IS_BONDED 0 SITEPROP TIEOFF_X44Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y58 IS_PAD 0 SITEPROP TIEOFF_X44Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y58 IS_RESERVED 0 SITEPROP TIEOFF_X44Y58 IS_TEST 0 SITEPROP TIEOFF_X44Y58 IS_USED 0 SITEPROP TIEOFF_X44Y58 MANUAL_ROUTING SITEPROP TIEOFF_X44Y58 NAME TIEOFF_X44Y58 SITEPROP TIEOFF_X44Y58 NUM_ARCS 0 SITEPROP TIEOFF_X44Y58 NUM_BELS 2 SITEPROP TIEOFF_X44Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y58 NUM_PINS 2 SITEPROP TIEOFF_X44Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y58 PROHIBIT 0 SITEPROP TIEOFF_X44Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y58 RPM_X 172 SITEPROP TIEOFF_X44Y58 RPM_Y 116 SITEPROP TIEOFF_X44Y58 SITE_PIPS SITEPROP TIEOFF_X44Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y59 CLASS site SITEPROP TIEOFF_X44Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y59 IS_BONDED 0 SITEPROP TIEOFF_X44Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y59 IS_PAD 0 SITEPROP TIEOFF_X44Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y59 IS_RESERVED 0 SITEPROP TIEOFF_X44Y59 IS_TEST 0 SITEPROP TIEOFF_X44Y59 IS_USED 0 SITEPROP TIEOFF_X44Y59 MANUAL_ROUTING SITEPROP TIEOFF_X44Y59 NAME TIEOFF_X44Y59 SITEPROP TIEOFF_X44Y59 NUM_ARCS 0 SITEPROP TIEOFF_X44Y59 NUM_BELS 2 SITEPROP TIEOFF_X44Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y59 NUM_PINS 2 SITEPROP TIEOFF_X44Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y59 PROHIBIT 0 SITEPROP TIEOFF_X44Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y59 RPM_X 172 SITEPROP TIEOFF_X44Y59 RPM_Y 118 SITEPROP TIEOFF_X44Y59 SITE_PIPS SITEPROP TIEOFF_X44Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y60 CLASS site SITEPROP TIEOFF_X44Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y60 IS_BONDED 0 SITEPROP TIEOFF_X44Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y60 IS_PAD 0 SITEPROP TIEOFF_X44Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y60 IS_RESERVED 0 SITEPROP TIEOFF_X44Y60 IS_TEST 0 SITEPROP TIEOFF_X44Y60 IS_USED 0 SITEPROP TIEOFF_X44Y60 MANUAL_ROUTING SITEPROP TIEOFF_X44Y60 NAME TIEOFF_X44Y60 SITEPROP TIEOFF_X44Y60 NUM_ARCS 0 SITEPROP TIEOFF_X44Y60 NUM_BELS 2 SITEPROP TIEOFF_X44Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y60 NUM_PINS 2 SITEPROP TIEOFF_X44Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y60 PROHIBIT 0 SITEPROP TIEOFF_X44Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y60 RPM_X 172 SITEPROP TIEOFF_X44Y60 RPM_Y 120 SITEPROP TIEOFF_X44Y60 SITE_PIPS SITEPROP TIEOFF_X44Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y61 CLASS site SITEPROP TIEOFF_X44Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y61 IS_BONDED 0 SITEPROP TIEOFF_X44Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y61 IS_PAD 0 SITEPROP TIEOFF_X44Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y61 IS_RESERVED 0 SITEPROP TIEOFF_X44Y61 IS_TEST 0 SITEPROP TIEOFF_X44Y61 IS_USED 0 SITEPROP TIEOFF_X44Y61 MANUAL_ROUTING SITEPROP TIEOFF_X44Y61 NAME TIEOFF_X44Y61 SITEPROP TIEOFF_X44Y61 NUM_ARCS 0 SITEPROP TIEOFF_X44Y61 NUM_BELS 2 SITEPROP TIEOFF_X44Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y61 NUM_PINS 2 SITEPROP TIEOFF_X44Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y61 PROHIBIT 0 SITEPROP TIEOFF_X44Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y61 RPM_X 172 SITEPROP TIEOFF_X44Y61 RPM_Y 122 SITEPROP TIEOFF_X44Y61 SITE_PIPS SITEPROP TIEOFF_X44Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y62 CLASS site SITEPROP TIEOFF_X44Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y62 IS_BONDED 0 SITEPROP TIEOFF_X44Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y62 IS_PAD 0 SITEPROP TIEOFF_X44Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y62 IS_RESERVED 0 SITEPROP TIEOFF_X44Y62 IS_TEST 0 SITEPROP TIEOFF_X44Y62 IS_USED 0 SITEPROP TIEOFF_X44Y62 MANUAL_ROUTING SITEPROP TIEOFF_X44Y62 NAME TIEOFF_X44Y62 SITEPROP TIEOFF_X44Y62 NUM_ARCS 0 SITEPROP TIEOFF_X44Y62 NUM_BELS 2 SITEPROP TIEOFF_X44Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y62 NUM_PINS 2 SITEPROP TIEOFF_X44Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y62 PROHIBIT 0 SITEPROP TIEOFF_X44Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y62 RPM_X 172 SITEPROP TIEOFF_X44Y62 RPM_Y 124 SITEPROP TIEOFF_X44Y62 SITE_PIPS SITEPROP TIEOFF_X44Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y63 CLASS site SITEPROP TIEOFF_X44Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y63 IS_BONDED 0 SITEPROP TIEOFF_X44Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y63 IS_PAD 0 SITEPROP TIEOFF_X44Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y63 IS_RESERVED 0 SITEPROP TIEOFF_X44Y63 IS_TEST 0 SITEPROP TIEOFF_X44Y63 IS_USED 0 SITEPROP TIEOFF_X44Y63 MANUAL_ROUTING SITEPROP TIEOFF_X44Y63 NAME TIEOFF_X44Y63 SITEPROP TIEOFF_X44Y63 NUM_ARCS 0 SITEPROP TIEOFF_X44Y63 NUM_BELS 2 SITEPROP TIEOFF_X44Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y63 NUM_PINS 2 SITEPROP TIEOFF_X44Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y63 PROHIBIT 0 SITEPROP TIEOFF_X44Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y63 RPM_X 172 SITEPROP TIEOFF_X44Y63 RPM_Y 126 SITEPROP TIEOFF_X44Y63 SITE_PIPS SITEPROP TIEOFF_X44Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y64 CLASS site SITEPROP TIEOFF_X44Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y64 IS_BONDED 0 SITEPROP TIEOFF_X44Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y64 IS_PAD 0 SITEPROP TIEOFF_X44Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y64 IS_RESERVED 0 SITEPROP TIEOFF_X44Y64 IS_TEST 0 SITEPROP TIEOFF_X44Y64 IS_USED 0 SITEPROP TIEOFF_X44Y64 MANUAL_ROUTING SITEPROP TIEOFF_X44Y64 NAME TIEOFF_X44Y64 SITEPROP TIEOFF_X44Y64 NUM_ARCS 0 SITEPROP TIEOFF_X44Y64 NUM_BELS 2 SITEPROP TIEOFF_X44Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y64 NUM_PINS 2 SITEPROP TIEOFF_X44Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y64 PROHIBIT 0 SITEPROP TIEOFF_X44Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y64 RPM_X 172 SITEPROP TIEOFF_X44Y64 RPM_Y 128 SITEPROP TIEOFF_X44Y64 SITE_PIPS SITEPROP TIEOFF_X44Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y65 CLASS site SITEPROP TIEOFF_X44Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y65 IS_BONDED 0 SITEPROP TIEOFF_X44Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y65 IS_PAD 0 SITEPROP TIEOFF_X44Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y65 IS_RESERVED 0 SITEPROP TIEOFF_X44Y65 IS_TEST 0 SITEPROP TIEOFF_X44Y65 IS_USED 0 SITEPROP TIEOFF_X44Y65 MANUAL_ROUTING SITEPROP TIEOFF_X44Y65 NAME TIEOFF_X44Y65 SITEPROP TIEOFF_X44Y65 NUM_ARCS 0 SITEPROP TIEOFF_X44Y65 NUM_BELS 2 SITEPROP TIEOFF_X44Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y65 NUM_PINS 2 SITEPROP TIEOFF_X44Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y65 PROHIBIT 0 SITEPROP TIEOFF_X44Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y65 RPM_X 172 SITEPROP TIEOFF_X44Y65 RPM_Y 130 SITEPROP TIEOFF_X44Y65 SITE_PIPS SITEPROP TIEOFF_X44Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y66 CLASS site SITEPROP TIEOFF_X44Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y66 IS_BONDED 0 SITEPROP TIEOFF_X44Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y66 IS_PAD 0 SITEPROP TIEOFF_X44Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y66 IS_RESERVED 0 SITEPROP TIEOFF_X44Y66 IS_TEST 0 SITEPROP TIEOFF_X44Y66 IS_USED 0 SITEPROP TIEOFF_X44Y66 MANUAL_ROUTING SITEPROP TIEOFF_X44Y66 NAME TIEOFF_X44Y66 SITEPROP TIEOFF_X44Y66 NUM_ARCS 0 SITEPROP TIEOFF_X44Y66 NUM_BELS 2 SITEPROP TIEOFF_X44Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y66 NUM_PINS 2 SITEPROP TIEOFF_X44Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y66 PROHIBIT 0 SITEPROP TIEOFF_X44Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y66 RPM_X 172 SITEPROP TIEOFF_X44Y66 RPM_Y 132 SITEPROP TIEOFF_X44Y66 SITE_PIPS SITEPROP TIEOFF_X44Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y67 CLASS site SITEPROP TIEOFF_X44Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y67 IS_BONDED 0 SITEPROP TIEOFF_X44Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y67 IS_PAD 0 SITEPROP TIEOFF_X44Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y67 IS_RESERVED 0 SITEPROP TIEOFF_X44Y67 IS_TEST 0 SITEPROP TIEOFF_X44Y67 IS_USED 0 SITEPROP TIEOFF_X44Y67 MANUAL_ROUTING SITEPROP TIEOFF_X44Y67 NAME TIEOFF_X44Y67 SITEPROP TIEOFF_X44Y67 NUM_ARCS 0 SITEPROP TIEOFF_X44Y67 NUM_BELS 2 SITEPROP TIEOFF_X44Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y67 NUM_PINS 2 SITEPROP TIEOFF_X44Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y67 PROHIBIT 0 SITEPROP TIEOFF_X44Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y67 RPM_X 172 SITEPROP TIEOFF_X44Y67 RPM_Y 134 SITEPROP TIEOFF_X44Y67 SITE_PIPS SITEPROP TIEOFF_X44Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y68 CLASS site SITEPROP TIEOFF_X44Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y68 IS_BONDED 0 SITEPROP TIEOFF_X44Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y68 IS_PAD 0 SITEPROP TIEOFF_X44Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y68 IS_RESERVED 0 SITEPROP TIEOFF_X44Y68 IS_TEST 0 SITEPROP TIEOFF_X44Y68 IS_USED 0 SITEPROP TIEOFF_X44Y68 MANUAL_ROUTING SITEPROP TIEOFF_X44Y68 NAME TIEOFF_X44Y68 SITEPROP TIEOFF_X44Y68 NUM_ARCS 0 SITEPROP TIEOFF_X44Y68 NUM_BELS 2 SITEPROP TIEOFF_X44Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y68 NUM_PINS 2 SITEPROP TIEOFF_X44Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y68 PROHIBIT 0 SITEPROP TIEOFF_X44Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y68 RPM_X 172 SITEPROP TIEOFF_X44Y68 RPM_Y 136 SITEPROP TIEOFF_X44Y68 SITE_PIPS SITEPROP TIEOFF_X44Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y69 CLASS site SITEPROP TIEOFF_X44Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y69 IS_BONDED 0 SITEPROP TIEOFF_X44Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y69 IS_PAD 0 SITEPROP TIEOFF_X44Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y69 IS_RESERVED 0 SITEPROP TIEOFF_X44Y69 IS_TEST 0 SITEPROP TIEOFF_X44Y69 IS_USED 0 SITEPROP TIEOFF_X44Y69 MANUAL_ROUTING SITEPROP TIEOFF_X44Y69 NAME TIEOFF_X44Y69 SITEPROP TIEOFF_X44Y69 NUM_ARCS 0 SITEPROP TIEOFF_X44Y69 NUM_BELS 2 SITEPROP TIEOFF_X44Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y69 NUM_PINS 2 SITEPROP TIEOFF_X44Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y69 PROHIBIT 0 SITEPROP TIEOFF_X44Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y69 RPM_X 172 SITEPROP TIEOFF_X44Y69 RPM_Y 138 SITEPROP TIEOFF_X44Y69 SITE_PIPS SITEPROP TIEOFF_X44Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y70 CLASS site SITEPROP TIEOFF_X44Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y70 IS_BONDED 0 SITEPROP TIEOFF_X44Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y70 IS_PAD 0 SITEPROP TIEOFF_X44Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y70 IS_RESERVED 0 SITEPROP TIEOFF_X44Y70 IS_TEST 0 SITEPROP TIEOFF_X44Y70 IS_USED 0 SITEPROP TIEOFF_X44Y70 MANUAL_ROUTING SITEPROP TIEOFF_X44Y70 NAME TIEOFF_X44Y70 SITEPROP TIEOFF_X44Y70 NUM_ARCS 0 SITEPROP TIEOFF_X44Y70 NUM_BELS 2 SITEPROP TIEOFF_X44Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y70 NUM_PINS 2 SITEPROP TIEOFF_X44Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y70 PROHIBIT 0 SITEPROP TIEOFF_X44Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y70 RPM_X 172 SITEPROP TIEOFF_X44Y70 RPM_Y 140 SITEPROP TIEOFF_X44Y70 SITE_PIPS SITEPROP TIEOFF_X44Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y71 CLASS site SITEPROP TIEOFF_X44Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y71 IS_BONDED 0 SITEPROP TIEOFF_X44Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y71 IS_PAD 0 SITEPROP TIEOFF_X44Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y71 IS_RESERVED 0 SITEPROP TIEOFF_X44Y71 IS_TEST 0 SITEPROP TIEOFF_X44Y71 IS_USED 0 SITEPROP TIEOFF_X44Y71 MANUAL_ROUTING SITEPROP TIEOFF_X44Y71 NAME TIEOFF_X44Y71 SITEPROP TIEOFF_X44Y71 NUM_ARCS 0 SITEPROP TIEOFF_X44Y71 NUM_BELS 2 SITEPROP TIEOFF_X44Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y71 NUM_PINS 2 SITEPROP TIEOFF_X44Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y71 PROHIBIT 0 SITEPROP TIEOFF_X44Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y71 RPM_X 172 SITEPROP TIEOFF_X44Y71 RPM_Y 142 SITEPROP TIEOFF_X44Y71 SITE_PIPS SITEPROP TIEOFF_X44Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y72 CLASS site SITEPROP TIEOFF_X44Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y72 IS_BONDED 0 SITEPROP TIEOFF_X44Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y72 IS_PAD 0 SITEPROP TIEOFF_X44Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y72 IS_RESERVED 0 SITEPROP TIEOFF_X44Y72 IS_TEST 0 SITEPROP TIEOFF_X44Y72 IS_USED 0 SITEPROP TIEOFF_X44Y72 MANUAL_ROUTING SITEPROP TIEOFF_X44Y72 NAME TIEOFF_X44Y72 SITEPROP TIEOFF_X44Y72 NUM_ARCS 0 SITEPROP TIEOFF_X44Y72 NUM_BELS 2 SITEPROP TIEOFF_X44Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y72 NUM_PINS 2 SITEPROP TIEOFF_X44Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y72 PROHIBIT 0 SITEPROP TIEOFF_X44Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y72 RPM_X 172 SITEPROP TIEOFF_X44Y72 RPM_Y 144 SITEPROP TIEOFF_X44Y72 SITE_PIPS SITEPROP TIEOFF_X44Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y73 CLASS site SITEPROP TIEOFF_X44Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y73 IS_BONDED 0 SITEPROP TIEOFF_X44Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y73 IS_PAD 0 SITEPROP TIEOFF_X44Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y73 IS_RESERVED 0 SITEPROP TIEOFF_X44Y73 IS_TEST 0 SITEPROP TIEOFF_X44Y73 IS_USED 0 SITEPROP TIEOFF_X44Y73 MANUAL_ROUTING SITEPROP TIEOFF_X44Y73 NAME TIEOFF_X44Y73 SITEPROP TIEOFF_X44Y73 NUM_ARCS 0 SITEPROP TIEOFF_X44Y73 NUM_BELS 2 SITEPROP TIEOFF_X44Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y73 NUM_PINS 2 SITEPROP TIEOFF_X44Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y73 PROHIBIT 0 SITEPROP TIEOFF_X44Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y73 RPM_X 172 SITEPROP TIEOFF_X44Y73 RPM_Y 146 SITEPROP TIEOFF_X44Y73 SITE_PIPS SITEPROP TIEOFF_X44Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y74 CLASS site SITEPROP TIEOFF_X44Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y74 IS_BONDED 0 SITEPROP TIEOFF_X44Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y74 IS_PAD 0 SITEPROP TIEOFF_X44Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y74 IS_RESERVED 0 SITEPROP TIEOFF_X44Y74 IS_TEST 0 SITEPROP TIEOFF_X44Y74 IS_USED 0 SITEPROP TIEOFF_X44Y74 MANUAL_ROUTING SITEPROP TIEOFF_X44Y74 NAME TIEOFF_X44Y74 SITEPROP TIEOFF_X44Y74 NUM_ARCS 0 SITEPROP TIEOFF_X44Y74 NUM_BELS 2 SITEPROP TIEOFF_X44Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y74 NUM_PINS 2 SITEPROP TIEOFF_X44Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y74 PROHIBIT 0 SITEPROP TIEOFF_X44Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y74 RPM_X 172 SITEPROP TIEOFF_X44Y74 RPM_Y 148 SITEPROP TIEOFF_X44Y74 SITE_PIPS SITEPROP TIEOFF_X44Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y75 CLASS site SITEPROP TIEOFF_X44Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y75 IS_BONDED 0 SITEPROP TIEOFF_X44Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y75 IS_PAD 0 SITEPROP TIEOFF_X44Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y75 IS_RESERVED 0 SITEPROP TIEOFF_X44Y75 IS_TEST 0 SITEPROP TIEOFF_X44Y75 IS_USED 0 SITEPROP TIEOFF_X44Y75 MANUAL_ROUTING SITEPROP TIEOFF_X44Y75 NAME TIEOFF_X44Y75 SITEPROP TIEOFF_X44Y75 NUM_ARCS 0 SITEPROP TIEOFF_X44Y75 NUM_BELS 2 SITEPROP TIEOFF_X44Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y75 NUM_PINS 2 SITEPROP TIEOFF_X44Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y75 PROHIBIT 0 SITEPROP TIEOFF_X44Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y75 RPM_X 172 SITEPROP TIEOFF_X44Y75 RPM_Y 150 SITEPROP TIEOFF_X44Y75 SITE_PIPS SITEPROP TIEOFF_X44Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y76 CLASS site SITEPROP TIEOFF_X44Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y76 IS_BONDED 0 SITEPROP TIEOFF_X44Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y76 IS_PAD 0 SITEPROP TIEOFF_X44Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y76 IS_RESERVED 0 SITEPROP TIEOFF_X44Y76 IS_TEST 0 SITEPROP TIEOFF_X44Y76 IS_USED 0 SITEPROP TIEOFF_X44Y76 MANUAL_ROUTING SITEPROP TIEOFF_X44Y76 NAME TIEOFF_X44Y76 SITEPROP TIEOFF_X44Y76 NUM_ARCS 0 SITEPROP TIEOFF_X44Y76 NUM_BELS 2 SITEPROP TIEOFF_X44Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y76 NUM_PINS 2 SITEPROP TIEOFF_X44Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y76 PROHIBIT 0 SITEPROP TIEOFF_X44Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y76 RPM_X 172 SITEPROP TIEOFF_X44Y76 RPM_Y 152 SITEPROP TIEOFF_X44Y76 SITE_PIPS SITEPROP TIEOFF_X44Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y77 CLASS site SITEPROP TIEOFF_X44Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y77 IS_BONDED 0 SITEPROP TIEOFF_X44Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y77 IS_PAD 0 SITEPROP TIEOFF_X44Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y77 IS_RESERVED 0 SITEPROP TIEOFF_X44Y77 IS_TEST 0 SITEPROP TIEOFF_X44Y77 IS_USED 0 SITEPROP TIEOFF_X44Y77 MANUAL_ROUTING SITEPROP TIEOFF_X44Y77 NAME TIEOFF_X44Y77 SITEPROP TIEOFF_X44Y77 NUM_ARCS 0 SITEPROP TIEOFF_X44Y77 NUM_BELS 2 SITEPROP TIEOFF_X44Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y77 NUM_PINS 2 SITEPROP TIEOFF_X44Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y77 PROHIBIT 0 SITEPROP TIEOFF_X44Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y77 RPM_X 172 SITEPROP TIEOFF_X44Y77 RPM_Y 154 SITEPROP TIEOFF_X44Y77 SITE_PIPS SITEPROP TIEOFF_X44Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y78 CLASS site SITEPROP TIEOFF_X44Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y78 IS_BONDED 0 SITEPROP TIEOFF_X44Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y78 IS_PAD 0 SITEPROP TIEOFF_X44Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y78 IS_RESERVED 0 SITEPROP TIEOFF_X44Y78 IS_TEST 0 SITEPROP TIEOFF_X44Y78 IS_USED 0 SITEPROP TIEOFF_X44Y78 MANUAL_ROUTING SITEPROP TIEOFF_X44Y78 NAME TIEOFF_X44Y78 SITEPROP TIEOFF_X44Y78 NUM_ARCS 0 SITEPROP TIEOFF_X44Y78 NUM_BELS 2 SITEPROP TIEOFF_X44Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y78 NUM_PINS 2 SITEPROP TIEOFF_X44Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y78 PROHIBIT 0 SITEPROP TIEOFF_X44Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y78 RPM_X 172 SITEPROP TIEOFF_X44Y78 RPM_Y 156 SITEPROP TIEOFF_X44Y78 SITE_PIPS SITEPROP TIEOFF_X44Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y79 CLASS site SITEPROP TIEOFF_X44Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y79 IS_BONDED 0 SITEPROP TIEOFF_X44Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y79 IS_PAD 0 SITEPROP TIEOFF_X44Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y79 IS_RESERVED 0 SITEPROP TIEOFF_X44Y79 IS_TEST 0 SITEPROP TIEOFF_X44Y79 IS_USED 0 SITEPROP TIEOFF_X44Y79 MANUAL_ROUTING SITEPROP TIEOFF_X44Y79 NAME TIEOFF_X44Y79 SITEPROP TIEOFF_X44Y79 NUM_ARCS 0 SITEPROP TIEOFF_X44Y79 NUM_BELS 2 SITEPROP TIEOFF_X44Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y79 NUM_PINS 2 SITEPROP TIEOFF_X44Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y79 PROHIBIT 0 SITEPROP TIEOFF_X44Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y79 RPM_X 172 SITEPROP TIEOFF_X44Y79 RPM_Y 158 SITEPROP TIEOFF_X44Y79 SITE_PIPS SITEPROP TIEOFF_X44Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y80 CLASS site SITEPROP TIEOFF_X44Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y80 IS_BONDED 0 SITEPROP TIEOFF_X44Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y80 IS_PAD 0 SITEPROP TIEOFF_X44Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y80 IS_RESERVED 0 SITEPROP TIEOFF_X44Y80 IS_TEST 0 SITEPROP TIEOFF_X44Y80 IS_USED 0 SITEPROP TIEOFF_X44Y80 MANUAL_ROUTING SITEPROP TIEOFF_X44Y80 NAME TIEOFF_X44Y80 SITEPROP TIEOFF_X44Y80 NUM_ARCS 0 SITEPROP TIEOFF_X44Y80 NUM_BELS 2 SITEPROP TIEOFF_X44Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y80 NUM_PINS 2 SITEPROP TIEOFF_X44Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y80 PROHIBIT 0 SITEPROP TIEOFF_X44Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y80 RPM_X 172 SITEPROP TIEOFF_X44Y80 RPM_Y 160 SITEPROP TIEOFF_X44Y80 SITE_PIPS SITEPROP TIEOFF_X44Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y81 CLASS site SITEPROP TIEOFF_X44Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y81 IS_BONDED 0 SITEPROP TIEOFF_X44Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y81 IS_PAD 0 SITEPROP TIEOFF_X44Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y81 IS_RESERVED 0 SITEPROP TIEOFF_X44Y81 IS_TEST 0 SITEPROP TIEOFF_X44Y81 IS_USED 0 SITEPROP TIEOFF_X44Y81 MANUAL_ROUTING SITEPROP TIEOFF_X44Y81 NAME TIEOFF_X44Y81 SITEPROP TIEOFF_X44Y81 NUM_ARCS 0 SITEPROP TIEOFF_X44Y81 NUM_BELS 2 SITEPROP TIEOFF_X44Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y81 NUM_PINS 2 SITEPROP TIEOFF_X44Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y81 PROHIBIT 0 SITEPROP TIEOFF_X44Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y81 RPM_X 172 SITEPROP TIEOFF_X44Y81 RPM_Y 162 SITEPROP TIEOFF_X44Y81 SITE_PIPS SITEPROP TIEOFF_X44Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y82 CLASS site SITEPROP TIEOFF_X44Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y82 IS_BONDED 0 SITEPROP TIEOFF_X44Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y82 IS_PAD 0 SITEPROP TIEOFF_X44Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y82 IS_RESERVED 0 SITEPROP TIEOFF_X44Y82 IS_TEST 0 SITEPROP TIEOFF_X44Y82 IS_USED 0 SITEPROP TIEOFF_X44Y82 MANUAL_ROUTING SITEPROP TIEOFF_X44Y82 NAME TIEOFF_X44Y82 SITEPROP TIEOFF_X44Y82 NUM_ARCS 0 SITEPROP TIEOFF_X44Y82 NUM_BELS 2 SITEPROP TIEOFF_X44Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y82 NUM_PINS 2 SITEPROP TIEOFF_X44Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y82 PROHIBIT 0 SITEPROP TIEOFF_X44Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y82 RPM_X 172 SITEPROP TIEOFF_X44Y82 RPM_Y 164 SITEPROP TIEOFF_X44Y82 SITE_PIPS SITEPROP TIEOFF_X44Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y83 CLASS site SITEPROP TIEOFF_X44Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y83 IS_BONDED 0 SITEPROP TIEOFF_X44Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y83 IS_PAD 0 SITEPROP TIEOFF_X44Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y83 IS_RESERVED 0 SITEPROP TIEOFF_X44Y83 IS_TEST 0 SITEPROP TIEOFF_X44Y83 IS_USED 0 SITEPROP TIEOFF_X44Y83 MANUAL_ROUTING SITEPROP TIEOFF_X44Y83 NAME TIEOFF_X44Y83 SITEPROP TIEOFF_X44Y83 NUM_ARCS 0 SITEPROP TIEOFF_X44Y83 NUM_BELS 2 SITEPROP TIEOFF_X44Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y83 NUM_PINS 2 SITEPROP TIEOFF_X44Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y83 PROHIBIT 0 SITEPROP TIEOFF_X44Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y83 RPM_X 172 SITEPROP TIEOFF_X44Y83 RPM_Y 166 SITEPROP TIEOFF_X44Y83 SITE_PIPS SITEPROP TIEOFF_X44Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y84 CLASS site SITEPROP TIEOFF_X44Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y84 IS_BONDED 0 SITEPROP TIEOFF_X44Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y84 IS_PAD 0 SITEPROP TIEOFF_X44Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y84 IS_RESERVED 0 SITEPROP TIEOFF_X44Y84 IS_TEST 0 SITEPROP TIEOFF_X44Y84 IS_USED 0 SITEPROP TIEOFF_X44Y84 MANUAL_ROUTING SITEPROP TIEOFF_X44Y84 NAME TIEOFF_X44Y84 SITEPROP TIEOFF_X44Y84 NUM_ARCS 0 SITEPROP TIEOFF_X44Y84 NUM_BELS 2 SITEPROP TIEOFF_X44Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y84 NUM_PINS 2 SITEPROP TIEOFF_X44Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y84 PROHIBIT 0 SITEPROP TIEOFF_X44Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y84 RPM_X 172 SITEPROP TIEOFF_X44Y84 RPM_Y 168 SITEPROP TIEOFF_X44Y84 SITE_PIPS SITEPROP TIEOFF_X44Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y85 CLASS site SITEPROP TIEOFF_X44Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y85 IS_BONDED 0 SITEPROP TIEOFF_X44Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y85 IS_PAD 0 SITEPROP TIEOFF_X44Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y85 IS_RESERVED 0 SITEPROP TIEOFF_X44Y85 IS_TEST 0 SITEPROP TIEOFF_X44Y85 IS_USED 0 SITEPROP TIEOFF_X44Y85 MANUAL_ROUTING SITEPROP TIEOFF_X44Y85 NAME TIEOFF_X44Y85 SITEPROP TIEOFF_X44Y85 NUM_ARCS 0 SITEPROP TIEOFF_X44Y85 NUM_BELS 2 SITEPROP TIEOFF_X44Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y85 NUM_PINS 2 SITEPROP TIEOFF_X44Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y85 PROHIBIT 0 SITEPROP TIEOFF_X44Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y85 RPM_X 172 SITEPROP TIEOFF_X44Y85 RPM_Y 170 SITEPROP TIEOFF_X44Y85 SITE_PIPS SITEPROP TIEOFF_X44Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y86 CLASS site SITEPROP TIEOFF_X44Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y86 IS_BONDED 0 SITEPROP TIEOFF_X44Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y86 IS_PAD 0 SITEPROP TIEOFF_X44Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y86 IS_RESERVED 0 SITEPROP TIEOFF_X44Y86 IS_TEST 0 SITEPROP TIEOFF_X44Y86 IS_USED 0 SITEPROP TIEOFF_X44Y86 MANUAL_ROUTING SITEPROP TIEOFF_X44Y86 NAME TIEOFF_X44Y86 SITEPROP TIEOFF_X44Y86 NUM_ARCS 0 SITEPROP TIEOFF_X44Y86 NUM_BELS 2 SITEPROP TIEOFF_X44Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y86 NUM_PINS 2 SITEPROP TIEOFF_X44Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y86 PROHIBIT 0 SITEPROP TIEOFF_X44Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y86 RPM_X 172 SITEPROP TIEOFF_X44Y86 RPM_Y 172 SITEPROP TIEOFF_X44Y86 SITE_PIPS SITEPROP TIEOFF_X44Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y87 CLASS site SITEPROP TIEOFF_X44Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y87 IS_BONDED 0 SITEPROP TIEOFF_X44Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y87 IS_PAD 0 SITEPROP TIEOFF_X44Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y87 IS_RESERVED 0 SITEPROP TIEOFF_X44Y87 IS_TEST 0 SITEPROP TIEOFF_X44Y87 IS_USED 0 SITEPROP TIEOFF_X44Y87 MANUAL_ROUTING SITEPROP TIEOFF_X44Y87 NAME TIEOFF_X44Y87 SITEPROP TIEOFF_X44Y87 NUM_ARCS 0 SITEPROP TIEOFF_X44Y87 NUM_BELS 2 SITEPROP TIEOFF_X44Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y87 NUM_PINS 2 SITEPROP TIEOFF_X44Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y87 PROHIBIT 0 SITEPROP TIEOFF_X44Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y87 RPM_X 172 SITEPROP TIEOFF_X44Y87 RPM_Y 174 SITEPROP TIEOFF_X44Y87 SITE_PIPS SITEPROP TIEOFF_X44Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y88 CLASS site SITEPROP TIEOFF_X44Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y88 IS_BONDED 0 SITEPROP TIEOFF_X44Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y88 IS_PAD 0 SITEPROP TIEOFF_X44Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y88 IS_RESERVED 0 SITEPROP TIEOFF_X44Y88 IS_TEST 0 SITEPROP TIEOFF_X44Y88 IS_USED 0 SITEPROP TIEOFF_X44Y88 MANUAL_ROUTING SITEPROP TIEOFF_X44Y88 NAME TIEOFF_X44Y88 SITEPROP TIEOFF_X44Y88 NUM_ARCS 0 SITEPROP TIEOFF_X44Y88 NUM_BELS 2 SITEPROP TIEOFF_X44Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y88 NUM_PINS 2 SITEPROP TIEOFF_X44Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y88 PROHIBIT 0 SITEPROP TIEOFF_X44Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y88 RPM_X 172 SITEPROP TIEOFF_X44Y88 RPM_Y 176 SITEPROP TIEOFF_X44Y88 SITE_PIPS SITEPROP TIEOFF_X44Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y89 CLASS site SITEPROP TIEOFF_X44Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y89 IS_BONDED 0 SITEPROP TIEOFF_X44Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y89 IS_PAD 0 SITEPROP TIEOFF_X44Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y89 IS_RESERVED 0 SITEPROP TIEOFF_X44Y89 IS_TEST 0 SITEPROP TIEOFF_X44Y89 IS_USED 0 SITEPROP TIEOFF_X44Y89 MANUAL_ROUTING SITEPROP TIEOFF_X44Y89 NAME TIEOFF_X44Y89 SITEPROP TIEOFF_X44Y89 NUM_ARCS 0 SITEPROP TIEOFF_X44Y89 NUM_BELS 2 SITEPROP TIEOFF_X44Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y89 NUM_PINS 2 SITEPROP TIEOFF_X44Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y89 PROHIBIT 0 SITEPROP TIEOFF_X44Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y89 RPM_X 172 SITEPROP TIEOFF_X44Y89 RPM_Y 178 SITEPROP TIEOFF_X44Y89 SITE_PIPS SITEPROP TIEOFF_X44Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y90 CLASS site SITEPROP TIEOFF_X44Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y90 IS_BONDED 0 SITEPROP TIEOFF_X44Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y90 IS_PAD 0 SITEPROP TIEOFF_X44Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y90 IS_RESERVED 0 SITEPROP TIEOFF_X44Y90 IS_TEST 0 SITEPROP TIEOFF_X44Y90 IS_USED 0 SITEPROP TIEOFF_X44Y90 MANUAL_ROUTING SITEPROP TIEOFF_X44Y90 NAME TIEOFF_X44Y90 SITEPROP TIEOFF_X44Y90 NUM_ARCS 0 SITEPROP TIEOFF_X44Y90 NUM_BELS 2 SITEPROP TIEOFF_X44Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y90 NUM_PINS 2 SITEPROP TIEOFF_X44Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y90 PROHIBIT 0 SITEPROP TIEOFF_X44Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y90 RPM_X 172 SITEPROP TIEOFF_X44Y90 RPM_Y 180 SITEPROP TIEOFF_X44Y90 SITE_PIPS SITEPROP TIEOFF_X44Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y91 CLASS site SITEPROP TIEOFF_X44Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y91 IS_BONDED 0 SITEPROP TIEOFF_X44Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y91 IS_PAD 0 SITEPROP TIEOFF_X44Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y91 IS_RESERVED 0 SITEPROP TIEOFF_X44Y91 IS_TEST 0 SITEPROP TIEOFF_X44Y91 IS_USED 0 SITEPROP TIEOFF_X44Y91 MANUAL_ROUTING SITEPROP TIEOFF_X44Y91 NAME TIEOFF_X44Y91 SITEPROP TIEOFF_X44Y91 NUM_ARCS 0 SITEPROP TIEOFF_X44Y91 NUM_BELS 2 SITEPROP TIEOFF_X44Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y91 NUM_PINS 2 SITEPROP TIEOFF_X44Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y91 PROHIBIT 0 SITEPROP TIEOFF_X44Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y91 RPM_X 172 SITEPROP TIEOFF_X44Y91 RPM_Y 182 SITEPROP TIEOFF_X44Y91 SITE_PIPS SITEPROP TIEOFF_X44Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y92 CLASS site SITEPROP TIEOFF_X44Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y92 IS_BONDED 0 SITEPROP TIEOFF_X44Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y92 IS_PAD 0 SITEPROP TIEOFF_X44Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y92 IS_RESERVED 0 SITEPROP TIEOFF_X44Y92 IS_TEST 0 SITEPROP TIEOFF_X44Y92 IS_USED 0 SITEPROP TIEOFF_X44Y92 MANUAL_ROUTING SITEPROP TIEOFF_X44Y92 NAME TIEOFF_X44Y92 SITEPROP TIEOFF_X44Y92 NUM_ARCS 0 SITEPROP TIEOFF_X44Y92 NUM_BELS 2 SITEPROP TIEOFF_X44Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y92 NUM_PINS 2 SITEPROP TIEOFF_X44Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y92 PROHIBIT 0 SITEPROP TIEOFF_X44Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y92 RPM_X 172 SITEPROP TIEOFF_X44Y92 RPM_Y 184 SITEPROP TIEOFF_X44Y92 SITE_PIPS SITEPROP TIEOFF_X44Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y93 CLASS site SITEPROP TIEOFF_X44Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y93 IS_BONDED 0 SITEPROP TIEOFF_X44Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y93 IS_PAD 0 SITEPROP TIEOFF_X44Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y93 IS_RESERVED 0 SITEPROP TIEOFF_X44Y93 IS_TEST 0 SITEPROP TIEOFF_X44Y93 IS_USED 0 SITEPROP TIEOFF_X44Y93 MANUAL_ROUTING SITEPROP TIEOFF_X44Y93 NAME TIEOFF_X44Y93 SITEPROP TIEOFF_X44Y93 NUM_ARCS 0 SITEPROP TIEOFF_X44Y93 NUM_BELS 2 SITEPROP TIEOFF_X44Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y93 NUM_PINS 2 SITEPROP TIEOFF_X44Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y93 PROHIBIT 0 SITEPROP TIEOFF_X44Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y93 RPM_X 172 SITEPROP TIEOFF_X44Y93 RPM_Y 186 SITEPROP TIEOFF_X44Y93 SITE_PIPS SITEPROP TIEOFF_X44Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y94 CLASS site SITEPROP TIEOFF_X44Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y94 IS_BONDED 0 SITEPROP TIEOFF_X44Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y94 IS_PAD 0 SITEPROP TIEOFF_X44Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y94 IS_RESERVED 0 SITEPROP TIEOFF_X44Y94 IS_TEST 0 SITEPROP TIEOFF_X44Y94 IS_USED 0 SITEPROP TIEOFF_X44Y94 MANUAL_ROUTING SITEPROP TIEOFF_X44Y94 NAME TIEOFF_X44Y94 SITEPROP TIEOFF_X44Y94 NUM_ARCS 0 SITEPROP TIEOFF_X44Y94 NUM_BELS 2 SITEPROP TIEOFF_X44Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y94 NUM_PINS 2 SITEPROP TIEOFF_X44Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y94 PROHIBIT 0 SITEPROP TIEOFF_X44Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y94 RPM_X 172 SITEPROP TIEOFF_X44Y94 RPM_Y 188 SITEPROP TIEOFF_X44Y94 SITE_PIPS SITEPROP TIEOFF_X44Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y95 CLASS site SITEPROP TIEOFF_X44Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y95 IS_BONDED 0 SITEPROP TIEOFF_X44Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y95 IS_PAD 0 SITEPROP TIEOFF_X44Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y95 IS_RESERVED 0 SITEPROP TIEOFF_X44Y95 IS_TEST 0 SITEPROP TIEOFF_X44Y95 IS_USED 0 SITEPROP TIEOFF_X44Y95 MANUAL_ROUTING SITEPROP TIEOFF_X44Y95 NAME TIEOFF_X44Y95 SITEPROP TIEOFF_X44Y95 NUM_ARCS 0 SITEPROP TIEOFF_X44Y95 NUM_BELS 2 SITEPROP TIEOFF_X44Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y95 NUM_PINS 2 SITEPROP TIEOFF_X44Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y95 PROHIBIT 0 SITEPROP TIEOFF_X44Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y95 RPM_X 172 SITEPROP TIEOFF_X44Y95 RPM_Y 190 SITEPROP TIEOFF_X44Y95 SITE_PIPS SITEPROP TIEOFF_X44Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y96 CLASS site SITEPROP TIEOFF_X44Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y96 IS_BONDED 0 SITEPROP TIEOFF_X44Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y96 IS_PAD 0 SITEPROP TIEOFF_X44Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y96 IS_RESERVED 0 SITEPROP TIEOFF_X44Y96 IS_TEST 0 SITEPROP TIEOFF_X44Y96 IS_USED 0 SITEPROP TIEOFF_X44Y96 MANUAL_ROUTING SITEPROP TIEOFF_X44Y96 NAME TIEOFF_X44Y96 SITEPROP TIEOFF_X44Y96 NUM_ARCS 0 SITEPROP TIEOFF_X44Y96 NUM_BELS 2 SITEPROP TIEOFF_X44Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y96 NUM_PINS 2 SITEPROP TIEOFF_X44Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y96 PROHIBIT 0 SITEPROP TIEOFF_X44Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y96 RPM_X 172 SITEPROP TIEOFF_X44Y96 RPM_Y 192 SITEPROP TIEOFF_X44Y96 SITE_PIPS SITEPROP TIEOFF_X44Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y97 CLASS site SITEPROP TIEOFF_X44Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y97 IS_BONDED 0 SITEPROP TIEOFF_X44Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y97 IS_PAD 0 SITEPROP TIEOFF_X44Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y97 IS_RESERVED 0 SITEPROP TIEOFF_X44Y97 IS_TEST 0 SITEPROP TIEOFF_X44Y97 IS_USED 0 SITEPROP TIEOFF_X44Y97 MANUAL_ROUTING SITEPROP TIEOFF_X44Y97 NAME TIEOFF_X44Y97 SITEPROP TIEOFF_X44Y97 NUM_ARCS 0 SITEPROP TIEOFF_X44Y97 NUM_BELS 2 SITEPROP TIEOFF_X44Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y97 NUM_PINS 2 SITEPROP TIEOFF_X44Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y97 PROHIBIT 0 SITEPROP TIEOFF_X44Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y97 RPM_X 172 SITEPROP TIEOFF_X44Y97 RPM_Y 194 SITEPROP TIEOFF_X44Y97 SITE_PIPS SITEPROP TIEOFF_X44Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y98 CLASS site SITEPROP TIEOFF_X44Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y98 IS_BONDED 0 SITEPROP TIEOFF_X44Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y98 IS_PAD 0 SITEPROP TIEOFF_X44Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y98 IS_RESERVED 0 SITEPROP TIEOFF_X44Y98 IS_TEST 0 SITEPROP TIEOFF_X44Y98 IS_USED 0 SITEPROP TIEOFF_X44Y98 MANUAL_ROUTING SITEPROP TIEOFF_X44Y98 NAME TIEOFF_X44Y98 SITEPROP TIEOFF_X44Y98 NUM_ARCS 0 SITEPROP TIEOFF_X44Y98 NUM_BELS 2 SITEPROP TIEOFF_X44Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y98 NUM_PINS 2 SITEPROP TIEOFF_X44Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y98 PROHIBIT 0 SITEPROP TIEOFF_X44Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y98 RPM_X 172 SITEPROP TIEOFF_X44Y98 RPM_Y 196 SITEPROP TIEOFF_X44Y98 SITE_PIPS SITEPROP TIEOFF_X44Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X44Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X44Y99 CLASS site SITEPROP TIEOFF_X44Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X44Y99 IS_BONDED 0 SITEPROP TIEOFF_X44Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y99 IS_PAD 0 SITEPROP TIEOFF_X44Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X44Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X44Y99 IS_RESERVED 0 SITEPROP TIEOFF_X44Y99 IS_TEST 0 SITEPROP TIEOFF_X44Y99 IS_USED 0 SITEPROP TIEOFF_X44Y99 MANUAL_ROUTING SITEPROP TIEOFF_X44Y99 NAME TIEOFF_X44Y99 SITEPROP TIEOFF_X44Y99 NUM_ARCS 0 SITEPROP TIEOFF_X44Y99 NUM_BELS 2 SITEPROP TIEOFF_X44Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X44Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X44Y99 NUM_PINS 2 SITEPROP TIEOFF_X44Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X44Y99 PROHIBIT 0 SITEPROP TIEOFF_X44Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X44Y99 RPM_X 172 SITEPROP TIEOFF_X44Y99 RPM_Y 198 SITEPROP TIEOFF_X44Y99 SITE_PIPS SITEPROP TIEOFF_X44Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y0 CLASS site SITEPROP TIEOFF_X45Y0 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y0 IS_BONDED 0 SITEPROP TIEOFF_X45Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y0 IS_PAD 0 SITEPROP TIEOFF_X45Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y0 IS_RESERVED 0 SITEPROP TIEOFF_X45Y0 IS_TEST 0 SITEPROP TIEOFF_X45Y0 IS_USED 0 SITEPROP TIEOFF_X45Y0 MANUAL_ROUTING SITEPROP TIEOFF_X45Y0 NAME TIEOFF_X45Y0 SITEPROP TIEOFF_X45Y0 NUM_ARCS 0 SITEPROP TIEOFF_X45Y0 NUM_BELS 2 SITEPROP TIEOFF_X45Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y0 NUM_PINS 2 SITEPROP TIEOFF_X45Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y0 PROHIBIT 0 SITEPROP TIEOFF_X45Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y0 RPM_X 180 SITEPROP TIEOFF_X45Y0 RPM_Y 0 SITEPROP TIEOFF_X45Y0 SITE_PIPS SITEPROP TIEOFF_X45Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y1 CLASS site SITEPROP TIEOFF_X45Y1 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y1 IS_BONDED 0 SITEPROP TIEOFF_X45Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y1 IS_PAD 0 SITEPROP TIEOFF_X45Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y1 IS_RESERVED 0 SITEPROP TIEOFF_X45Y1 IS_TEST 0 SITEPROP TIEOFF_X45Y1 IS_USED 0 SITEPROP TIEOFF_X45Y1 MANUAL_ROUTING SITEPROP TIEOFF_X45Y1 NAME TIEOFF_X45Y1 SITEPROP TIEOFF_X45Y1 NUM_ARCS 0 SITEPROP TIEOFF_X45Y1 NUM_BELS 2 SITEPROP TIEOFF_X45Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y1 NUM_PINS 2 SITEPROP TIEOFF_X45Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y1 PROHIBIT 0 SITEPROP TIEOFF_X45Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y1 RPM_X 180 SITEPROP TIEOFF_X45Y1 RPM_Y 2 SITEPROP TIEOFF_X45Y1 SITE_PIPS SITEPROP TIEOFF_X45Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y2 CLASS site SITEPROP TIEOFF_X45Y2 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y2 IS_BONDED 0 SITEPROP TIEOFF_X45Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y2 IS_PAD 0 SITEPROP TIEOFF_X45Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y2 IS_RESERVED 0 SITEPROP TIEOFF_X45Y2 IS_TEST 0 SITEPROP TIEOFF_X45Y2 IS_USED 0 SITEPROP TIEOFF_X45Y2 MANUAL_ROUTING SITEPROP TIEOFF_X45Y2 NAME TIEOFF_X45Y2 SITEPROP TIEOFF_X45Y2 NUM_ARCS 0 SITEPROP TIEOFF_X45Y2 NUM_BELS 2 SITEPROP TIEOFF_X45Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y2 NUM_PINS 2 SITEPROP TIEOFF_X45Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y2 PROHIBIT 0 SITEPROP TIEOFF_X45Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y2 RPM_X 180 SITEPROP TIEOFF_X45Y2 RPM_Y 4 SITEPROP TIEOFF_X45Y2 SITE_PIPS SITEPROP TIEOFF_X45Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y3 CLASS site SITEPROP TIEOFF_X45Y3 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y3 IS_BONDED 0 SITEPROP TIEOFF_X45Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y3 IS_PAD 0 SITEPROP TIEOFF_X45Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y3 IS_RESERVED 0 SITEPROP TIEOFF_X45Y3 IS_TEST 0 SITEPROP TIEOFF_X45Y3 IS_USED 0 SITEPROP TIEOFF_X45Y3 MANUAL_ROUTING SITEPROP TIEOFF_X45Y3 NAME TIEOFF_X45Y3 SITEPROP TIEOFF_X45Y3 NUM_ARCS 0 SITEPROP TIEOFF_X45Y3 NUM_BELS 2 SITEPROP TIEOFF_X45Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y3 NUM_PINS 2 SITEPROP TIEOFF_X45Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y3 PROHIBIT 0 SITEPROP TIEOFF_X45Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y3 RPM_X 180 SITEPROP TIEOFF_X45Y3 RPM_Y 6 SITEPROP TIEOFF_X45Y3 SITE_PIPS SITEPROP TIEOFF_X45Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y4 CLASS site SITEPROP TIEOFF_X45Y4 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y4 IS_BONDED 0 SITEPROP TIEOFF_X45Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y4 IS_PAD 0 SITEPROP TIEOFF_X45Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y4 IS_RESERVED 0 SITEPROP TIEOFF_X45Y4 IS_TEST 0 SITEPROP TIEOFF_X45Y4 IS_USED 0 SITEPROP TIEOFF_X45Y4 MANUAL_ROUTING SITEPROP TIEOFF_X45Y4 NAME TIEOFF_X45Y4 SITEPROP TIEOFF_X45Y4 NUM_ARCS 0 SITEPROP TIEOFF_X45Y4 NUM_BELS 2 SITEPROP TIEOFF_X45Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y4 NUM_PINS 2 SITEPROP TIEOFF_X45Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y4 PROHIBIT 0 SITEPROP TIEOFF_X45Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y4 RPM_X 180 SITEPROP TIEOFF_X45Y4 RPM_Y 8 SITEPROP TIEOFF_X45Y4 SITE_PIPS SITEPROP TIEOFF_X45Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y5 CLASS site SITEPROP TIEOFF_X45Y5 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y5 IS_BONDED 0 SITEPROP TIEOFF_X45Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y5 IS_PAD 0 SITEPROP TIEOFF_X45Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y5 IS_RESERVED 0 SITEPROP TIEOFF_X45Y5 IS_TEST 0 SITEPROP TIEOFF_X45Y5 IS_USED 0 SITEPROP TIEOFF_X45Y5 MANUAL_ROUTING SITEPROP TIEOFF_X45Y5 NAME TIEOFF_X45Y5 SITEPROP TIEOFF_X45Y5 NUM_ARCS 0 SITEPROP TIEOFF_X45Y5 NUM_BELS 2 SITEPROP TIEOFF_X45Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y5 NUM_PINS 2 SITEPROP TIEOFF_X45Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y5 PROHIBIT 0 SITEPROP TIEOFF_X45Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y5 RPM_X 180 SITEPROP TIEOFF_X45Y5 RPM_Y 10 SITEPROP TIEOFF_X45Y5 SITE_PIPS SITEPROP TIEOFF_X45Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y6 CLASS site SITEPROP TIEOFF_X45Y6 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y6 IS_BONDED 0 SITEPROP TIEOFF_X45Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y6 IS_PAD 0 SITEPROP TIEOFF_X45Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y6 IS_RESERVED 0 SITEPROP TIEOFF_X45Y6 IS_TEST 0 SITEPROP TIEOFF_X45Y6 IS_USED 0 SITEPROP TIEOFF_X45Y6 MANUAL_ROUTING SITEPROP TIEOFF_X45Y6 NAME TIEOFF_X45Y6 SITEPROP TIEOFF_X45Y6 NUM_ARCS 0 SITEPROP TIEOFF_X45Y6 NUM_BELS 2 SITEPROP TIEOFF_X45Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y6 NUM_PINS 2 SITEPROP TIEOFF_X45Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y6 PROHIBIT 0 SITEPROP TIEOFF_X45Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y6 RPM_X 180 SITEPROP TIEOFF_X45Y6 RPM_Y 12 SITEPROP TIEOFF_X45Y6 SITE_PIPS SITEPROP TIEOFF_X45Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y7 CLASS site SITEPROP TIEOFF_X45Y7 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y7 IS_BONDED 0 SITEPROP TIEOFF_X45Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y7 IS_PAD 0 SITEPROP TIEOFF_X45Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y7 IS_RESERVED 0 SITEPROP TIEOFF_X45Y7 IS_TEST 0 SITEPROP TIEOFF_X45Y7 IS_USED 0 SITEPROP TIEOFF_X45Y7 MANUAL_ROUTING SITEPROP TIEOFF_X45Y7 NAME TIEOFF_X45Y7 SITEPROP TIEOFF_X45Y7 NUM_ARCS 0 SITEPROP TIEOFF_X45Y7 NUM_BELS 2 SITEPROP TIEOFF_X45Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y7 NUM_PINS 2 SITEPROP TIEOFF_X45Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y7 PROHIBIT 0 SITEPROP TIEOFF_X45Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y7 RPM_X 180 SITEPROP TIEOFF_X45Y7 RPM_Y 14 SITEPROP TIEOFF_X45Y7 SITE_PIPS SITEPROP TIEOFF_X45Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y8 CLASS site SITEPROP TIEOFF_X45Y8 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y8 IS_BONDED 0 SITEPROP TIEOFF_X45Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y8 IS_PAD 0 SITEPROP TIEOFF_X45Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y8 IS_RESERVED 0 SITEPROP TIEOFF_X45Y8 IS_TEST 0 SITEPROP TIEOFF_X45Y8 IS_USED 0 SITEPROP TIEOFF_X45Y8 MANUAL_ROUTING SITEPROP TIEOFF_X45Y8 NAME TIEOFF_X45Y8 SITEPROP TIEOFF_X45Y8 NUM_ARCS 0 SITEPROP TIEOFF_X45Y8 NUM_BELS 2 SITEPROP TIEOFF_X45Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y8 NUM_PINS 2 SITEPROP TIEOFF_X45Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y8 PROHIBIT 0 SITEPROP TIEOFF_X45Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y8 RPM_X 180 SITEPROP TIEOFF_X45Y8 RPM_Y 16 SITEPROP TIEOFF_X45Y8 SITE_PIPS SITEPROP TIEOFF_X45Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y9 CLASS site SITEPROP TIEOFF_X45Y9 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y9 IS_BONDED 0 SITEPROP TIEOFF_X45Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y9 IS_PAD 0 SITEPROP TIEOFF_X45Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y9 IS_RESERVED 0 SITEPROP TIEOFF_X45Y9 IS_TEST 0 SITEPROP TIEOFF_X45Y9 IS_USED 0 SITEPROP TIEOFF_X45Y9 MANUAL_ROUTING SITEPROP TIEOFF_X45Y9 NAME TIEOFF_X45Y9 SITEPROP TIEOFF_X45Y9 NUM_ARCS 0 SITEPROP TIEOFF_X45Y9 NUM_BELS 2 SITEPROP TIEOFF_X45Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y9 NUM_PINS 2 SITEPROP TIEOFF_X45Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y9 PROHIBIT 0 SITEPROP TIEOFF_X45Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y9 RPM_X 180 SITEPROP TIEOFF_X45Y9 RPM_Y 18 SITEPROP TIEOFF_X45Y9 SITE_PIPS SITEPROP TIEOFF_X45Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y10 CLASS site SITEPROP TIEOFF_X45Y10 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y10 IS_BONDED 0 SITEPROP TIEOFF_X45Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y10 IS_PAD 0 SITEPROP TIEOFF_X45Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y10 IS_RESERVED 0 SITEPROP TIEOFF_X45Y10 IS_TEST 0 SITEPROP TIEOFF_X45Y10 IS_USED 0 SITEPROP TIEOFF_X45Y10 MANUAL_ROUTING SITEPROP TIEOFF_X45Y10 NAME TIEOFF_X45Y10 SITEPROP TIEOFF_X45Y10 NUM_ARCS 0 SITEPROP TIEOFF_X45Y10 NUM_BELS 2 SITEPROP TIEOFF_X45Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y10 NUM_PINS 2 SITEPROP TIEOFF_X45Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y10 PROHIBIT 0 SITEPROP TIEOFF_X45Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y10 RPM_X 180 SITEPROP TIEOFF_X45Y10 RPM_Y 20 SITEPROP TIEOFF_X45Y10 SITE_PIPS SITEPROP TIEOFF_X45Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y11 CLASS site SITEPROP TIEOFF_X45Y11 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y11 IS_BONDED 0 SITEPROP TIEOFF_X45Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y11 IS_PAD 0 SITEPROP TIEOFF_X45Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y11 IS_RESERVED 0 SITEPROP TIEOFF_X45Y11 IS_TEST 0 SITEPROP TIEOFF_X45Y11 IS_USED 0 SITEPROP TIEOFF_X45Y11 MANUAL_ROUTING SITEPROP TIEOFF_X45Y11 NAME TIEOFF_X45Y11 SITEPROP TIEOFF_X45Y11 NUM_ARCS 0 SITEPROP TIEOFF_X45Y11 NUM_BELS 2 SITEPROP TIEOFF_X45Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y11 NUM_PINS 2 SITEPROP TIEOFF_X45Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y11 PROHIBIT 0 SITEPROP TIEOFF_X45Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y11 RPM_X 180 SITEPROP TIEOFF_X45Y11 RPM_Y 22 SITEPROP TIEOFF_X45Y11 SITE_PIPS SITEPROP TIEOFF_X45Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y12 CLASS site SITEPROP TIEOFF_X45Y12 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y12 IS_BONDED 0 SITEPROP TIEOFF_X45Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y12 IS_PAD 0 SITEPROP TIEOFF_X45Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y12 IS_RESERVED 0 SITEPROP TIEOFF_X45Y12 IS_TEST 0 SITEPROP TIEOFF_X45Y12 IS_USED 0 SITEPROP TIEOFF_X45Y12 MANUAL_ROUTING SITEPROP TIEOFF_X45Y12 NAME TIEOFF_X45Y12 SITEPROP TIEOFF_X45Y12 NUM_ARCS 0 SITEPROP TIEOFF_X45Y12 NUM_BELS 2 SITEPROP TIEOFF_X45Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y12 NUM_PINS 2 SITEPROP TIEOFF_X45Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y12 PROHIBIT 0 SITEPROP TIEOFF_X45Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y12 RPM_X 180 SITEPROP TIEOFF_X45Y12 RPM_Y 24 SITEPROP TIEOFF_X45Y12 SITE_PIPS SITEPROP TIEOFF_X45Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y13 CLASS site SITEPROP TIEOFF_X45Y13 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y13 IS_BONDED 0 SITEPROP TIEOFF_X45Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y13 IS_PAD 0 SITEPROP TIEOFF_X45Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y13 IS_RESERVED 0 SITEPROP TIEOFF_X45Y13 IS_TEST 0 SITEPROP TIEOFF_X45Y13 IS_USED 0 SITEPROP TIEOFF_X45Y13 MANUAL_ROUTING SITEPROP TIEOFF_X45Y13 NAME TIEOFF_X45Y13 SITEPROP TIEOFF_X45Y13 NUM_ARCS 0 SITEPROP TIEOFF_X45Y13 NUM_BELS 2 SITEPROP TIEOFF_X45Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y13 NUM_PINS 2 SITEPROP TIEOFF_X45Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y13 PROHIBIT 0 SITEPROP TIEOFF_X45Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y13 RPM_X 180 SITEPROP TIEOFF_X45Y13 RPM_Y 26 SITEPROP TIEOFF_X45Y13 SITE_PIPS SITEPROP TIEOFF_X45Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y14 CLASS site SITEPROP TIEOFF_X45Y14 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y14 IS_BONDED 0 SITEPROP TIEOFF_X45Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y14 IS_PAD 0 SITEPROP TIEOFF_X45Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y14 IS_RESERVED 0 SITEPROP TIEOFF_X45Y14 IS_TEST 0 SITEPROP TIEOFF_X45Y14 IS_USED 0 SITEPROP TIEOFF_X45Y14 MANUAL_ROUTING SITEPROP TIEOFF_X45Y14 NAME TIEOFF_X45Y14 SITEPROP TIEOFF_X45Y14 NUM_ARCS 0 SITEPROP TIEOFF_X45Y14 NUM_BELS 2 SITEPROP TIEOFF_X45Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y14 NUM_PINS 2 SITEPROP TIEOFF_X45Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y14 PROHIBIT 0 SITEPROP TIEOFF_X45Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y14 RPM_X 180 SITEPROP TIEOFF_X45Y14 RPM_Y 28 SITEPROP TIEOFF_X45Y14 SITE_PIPS SITEPROP TIEOFF_X45Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y15 CLASS site SITEPROP TIEOFF_X45Y15 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y15 IS_BONDED 0 SITEPROP TIEOFF_X45Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y15 IS_PAD 0 SITEPROP TIEOFF_X45Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y15 IS_RESERVED 0 SITEPROP TIEOFF_X45Y15 IS_TEST 0 SITEPROP TIEOFF_X45Y15 IS_USED 0 SITEPROP TIEOFF_X45Y15 MANUAL_ROUTING SITEPROP TIEOFF_X45Y15 NAME TIEOFF_X45Y15 SITEPROP TIEOFF_X45Y15 NUM_ARCS 0 SITEPROP TIEOFF_X45Y15 NUM_BELS 2 SITEPROP TIEOFF_X45Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y15 NUM_PINS 2 SITEPROP TIEOFF_X45Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y15 PROHIBIT 0 SITEPROP TIEOFF_X45Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y15 RPM_X 180 SITEPROP TIEOFF_X45Y15 RPM_Y 30 SITEPROP TIEOFF_X45Y15 SITE_PIPS SITEPROP TIEOFF_X45Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y16 CLASS site SITEPROP TIEOFF_X45Y16 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y16 IS_BONDED 0 SITEPROP TIEOFF_X45Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y16 IS_PAD 0 SITEPROP TIEOFF_X45Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y16 IS_RESERVED 0 SITEPROP TIEOFF_X45Y16 IS_TEST 0 SITEPROP TIEOFF_X45Y16 IS_USED 0 SITEPROP TIEOFF_X45Y16 MANUAL_ROUTING SITEPROP TIEOFF_X45Y16 NAME TIEOFF_X45Y16 SITEPROP TIEOFF_X45Y16 NUM_ARCS 0 SITEPROP TIEOFF_X45Y16 NUM_BELS 2 SITEPROP TIEOFF_X45Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y16 NUM_PINS 2 SITEPROP TIEOFF_X45Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y16 PROHIBIT 0 SITEPROP TIEOFF_X45Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y16 RPM_X 180 SITEPROP TIEOFF_X45Y16 RPM_Y 32 SITEPROP TIEOFF_X45Y16 SITE_PIPS SITEPROP TIEOFF_X45Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y17 CLASS site SITEPROP TIEOFF_X45Y17 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y17 IS_BONDED 0 SITEPROP TIEOFF_X45Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y17 IS_PAD 0 SITEPROP TIEOFF_X45Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y17 IS_RESERVED 0 SITEPROP TIEOFF_X45Y17 IS_TEST 0 SITEPROP TIEOFF_X45Y17 IS_USED 0 SITEPROP TIEOFF_X45Y17 MANUAL_ROUTING SITEPROP TIEOFF_X45Y17 NAME TIEOFF_X45Y17 SITEPROP TIEOFF_X45Y17 NUM_ARCS 0 SITEPROP TIEOFF_X45Y17 NUM_BELS 2 SITEPROP TIEOFF_X45Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y17 NUM_PINS 2 SITEPROP TIEOFF_X45Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y17 PROHIBIT 0 SITEPROP TIEOFF_X45Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y17 RPM_X 180 SITEPROP TIEOFF_X45Y17 RPM_Y 34 SITEPROP TIEOFF_X45Y17 SITE_PIPS SITEPROP TIEOFF_X45Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y18 CLASS site SITEPROP TIEOFF_X45Y18 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y18 IS_BONDED 0 SITEPROP TIEOFF_X45Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y18 IS_PAD 0 SITEPROP TIEOFF_X45Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y18 IS_RESERVED 0 SITEPROP TIEOFF_X45Y18 IS_TEST 0 SITEPROP TIEOFF_X45Y18 IS_USED 0 SITEPROP TIEOFF_X45Y18 MANUAL_ROUTING SITEPROP TIEOFF_X45Y18 NAME TIEOFF_X45Y18 SITEPROP TIEOFF_X45Y18 NUM_ARCS 0 SITEPROP TIEOFF_X45Y18 NUM_BELS 2 SITEPROP TIEOFF_X45Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y18 NUM_PINS 2 SITEPROP TIEOFF_X45Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y18 PROHIBIT 0 SITEPROP TIEOFF_X45Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y18 RPM_X 180 SITEPROP TIEOFF_X45Y18 RPM_Y 36 SITEPROP TIEOFF_X45Y18 SITE_PIPS SITEPROP TIEOFF_X45Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y19 CLASS site SITEPROP TIEOFF_X45Y19 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y19 IS_BONDED 0 SITEPROP TIEOFF_X45Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y19 IS_PAD 0 SITEPROP TIEOFF_X45Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y19 IS_RESERVED 0 SITEPROP TIEOFF_X45Y19 IS_TEST 0 SITEPROP TIEOFF_X45Y19 IS_USED 0 SITEPROP TIEOFF_X45Y19 MANUAL_ROUTING SITEPROP TIEOFF_X45Y19 NAME TIEOFF_X45Y19 SITEPROP TIEOFF_X45Y19 NUM_ARCS 0 SITEPROP TIEOFF_X45Y19 NUM_BELS 2 SITEPROP TIEOFF_X45Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y19 NUM_PINS 2 SITEPROP TIEOFF_X45Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y19 PROHIBIT 0 SITEPROP TIEOFF_X45Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y19 RPM_X 180 SITEPROP TIEOFF_X45Y19 RPM_Y 38 SITEPROP TIEOFF_X45Y19 SITE_PIPS SITEPROP TIEOFF_X45Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y20 CLASS site SITEPROP TIEOFF_X45Y20 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y20 IS_BONDED 0 SITEPROP TIEOFF_X45Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y20 IS_PAD 0 SITEPROP TIEOFF_X45Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y20 IS_RESERVED 0 SITEPROP TIEOFF_X45Y20 IS_TEST 0 SITEPROP TIEOFF_X45Y20 IS_USED 0 SITEPROP TIEOFF_X45Y20 MANUAL_ROUTING SITEPROP TIEOFF_X45Y20 NAME TIEOFF_X45Y20 SITEPROP TIEOFF_X45Y20 NUM_ARCS 0 SITEPROP TIEOFF_X45Y20 NUM_BELS 2 SITEPROP TIEOFF_X45Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y20 NUM_PINS 2 SITEPROP TIEOFF_X45Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y20 PROHIBIT 0 SITEPROP TIEOFF_X45Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y20 RPM_X 180 SITEPROP TIEOFF_X45Y20 RPM_Y 40 SITEPROP TIEOFF_X45Y20 SITE_PIPS SITEPROP TIEOFF_X45Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y21 CLASS site SITEPROP TIEOFF_X45Y21 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y21 IS_BONDED 0 SITEPROP TIEOFF_X45Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y21 IS_PAD 0 SITEPROP TIEOFF_X45Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y21 IS_RESERVED 0 SITEPROP TIEOFF_X45Y21 IS_TEST 0 SITEPROP TIEOFF_X45Y21 IS_USED 0 SITEPROP TIEOFF_X45Y21 MANUAL_ROUTING SITEPROP TIEOFF_X45Y21 NAME TIEOFF_X45Y21 SITEPROP TIEOFF_X45Y21 NUM_ARCS 0 SITEPROP TIEOFF_X45Y21 NUM_BELS 2 SITEPROP TIEOFF_X45Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y21 NUM_PINS 2 SITEPROP TIEOFF_X45Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y21 PROHIBIT 0 SITEPROP TIEOFF_X45Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y21 RPM_X 180 SITEPROP TIEOFF_X45Y21 RPM_Y 42 SITEPROP TIEOFF_X45Y21 SITE_PIPS SITEPROP TIEOFF_X45Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y22 CLASS site SITEPROP TIEOFF_X45Y22 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y22 IS_BONDED 0 SITEPROP TIEOFF_X45Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y22 IS_PAD 0 SITEPROP TIEOFF_X45Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y22 IS_RESERVED 0 SITEPROP TIEOFF_X45Y22 IS_TEST 0 SITEPROP TIEOFF_X45Y22 IS_USED 0 SITEPROP TIEOFF_X45Y22 MANUAL_ROUTING SITEPROP TIEOFF_X45Y22 NAME TIEOFF_X45Y22 SITEPROP TIEOFF_X45Y22 NUM_ARCS 0 SITEPROP TIEOFF_X45Y22 NUM_BELS 2 SITEPROP TIEOFF_X45Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y22 NUM_PINS 2 SITEPROP TIEOFF_X45Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y22 PROHIBIT 0 SITEPROP TIEOFF_X45Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y22 RPM_X 180 SITEPROP TIEOFF_X45Y22 RPM_Y 44 SITEPROP TIEOFF_X45Y22 SITE_PIPS SITEPROP TIEOFF_X45Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y23 CLASS site SITEPROP TIEOFF_X45Y23 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y23 IS_BONDED 0 SITEPROP TIEOFF_X45Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y23 IS_PAD 0 SITEPROP TIEOFF_X45Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y23 IS_RESERVED 0 SITEPROP TIEOFF_X45Y23 IS_TEST 0 SITEPROP TIEOFF_X45Y23 IS_USED 0 SITEPROP TIEOFF_X45Y23 MANUAL_ROUTING SITEPROP TIEOFF_X45Y23 NAME TIEOFF_X45Y23 SITEPROP TIEOFF_X45Y23 NUM_ARCS 0 SITEPROP TIEOFF_X45Y23 NUM_BELS 2 SITEPROP TIEOFF_X45Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y23 NUM_PINS 2 SITEPROP TIEOFF_X45Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y23 PROHIBIT 0 SITEPROP TIEOFF_X45Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y23 RPM_X 180 SITEPROP TIEOFF_X45Y23 RPM_Y 46 SITEPROP TIEOFF_X45Y23 SITE_PIPS SITEPROP TIEOFF_X45Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y24 CLASS site SITEPROP TIEOFF_X45Y24 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y24 IS_BONDED 0 SITEPROP TIEOFF_X45Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y24 IS_PAD 0 SITEPROP TIEOFF_X45Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y24 IS_RESERVED 0 SITEPROP TIEOFF_X45Y24 IS_TEST 0 SITEPROP TIEOFF_X45Y24 IS_USED 0 SITEPROP TIEOFF_X45Y24 MANUAL_ROUTING SITEPROP TIEOFF_X45Y24 NAME TIEOFF_X45Y24 SITEPROP TIEOFF_X45Y24 NUM_ARCS 0 SITEPROP TIEOFF_X45Y24 NUM_BELS 2 SITEPROP TIEOFF_X45Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y24 NUM_PINS 2 SITEPROP TIEOFF_X45Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y24 PROHIBIT 0 SITEPROP TIEOFF_X45Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y24 RPM_X 180 SITEPROP TIEOFF_X45Y24 RPM_Y 48 SITEPROP TIEOFF_X45Y24 SITE_PIPS SITEPROP TIEOFF_X45Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y25 CLASS site SITEPROP TIEOFF_X45Y25 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y25 IS_BONDED 0 SITEPROP TIEOFF_X45Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y25 IS_PAD 0 SITEPROP TIEOFF_X45Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y25 IS_RESERVED 0 SITEPROP TIEOFF_X45Y25 IS_TEST 0 SITEPROP TIEOFF_X45Y25 IS_USED 0 SITEPROP TIEOFF_X45Y25 MANUAL_ROUTING SITEPROP TIEOFF_X45Y25 NAME TIEOFF_X45Y25 SITEPROP TIEOFF_X45Y25 NUM_ARCS 0 SITEPROP TIEOFF_X45Y25 NUM_BELS 2 SITEPROP TIEOFF_X45Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y25 NUM_PINS 2 SITEPROP TIEOFF_X45Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y25 PROHIBIT 0 SITEPROP TIEOFF_X45Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y25 RPM_X 180 SITEPROP TIEOFF_X45Y25 RPM_Y 50 SITEPROP TIEOFF_X45Y25 SITE_PIPS SITEPROP TIEOFF_X45Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y26 CLASS site SITEPROP TIEOFF_X45Y26 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y26 IS_BONDED 0 SITEPROP TIEOFF_X45Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y26 IS_PAD 0 SITEPROP TIEOFF_X45Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y26 IS_RESERVED 0 SITEPROP TIEOFF_X45Y26 IS_TEST 0 SITEPROP TIEOFF_X45Y26 IS_USED 0 SITEPROP TIEOFF_X45Y26 MANUAL_ROUTING SITEPROP TIEOFF_X45Y26 NAME TIEOFF_X45Y26 SITEPROP TIEOFF_X45Y26 NUM_ARCS 0 SITEPROP TIEOFF_X45Y26 NUM_BELS 2 SITEPROP TIEOFF_X45Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y26 NUM_PINS 2 SITEPROP TIEOFF_X45Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y26 PROHIBIT 0 SITEPROP TIEOFF_X45Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y26 RPM_X 180 SITEPROP TIEOFF_X45Y26 RPM_Y 52 SITEPROP TIEOFF_X45Y26 SITE_PIPS SITEPROP TIEOFF_X45Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y27 CLASS site SITEPROP TIEOFF_X45Y27 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y27 IS_BONDED 0 SITEPROP TIEOFF_X45Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y27 IS_PAD 0 SITEPROP TIEOFF_X45Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y27 IS_RESERVED 0 SITEPROP TIEOFF_X45Y27 IS_TEST 0 SITEPROP TIEOFF_X45Y27 IS_USED 0 SITEPROP TIEOFF_X45Y27 MANUAL_ROUTING SITEPROP TIEOFF_X45Y27 NAME TIEOFF_X45Y27 SITEPROP TIEOFF_X45Y27 NUM_ARCS 0 SITEPROP TIEOFF_X45Y27 NUM_BELS 2 SITEPROP TIEOFF_X45Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y27 NUM_PINS 2 SITEPROP TIEOFF_X45Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y27 PROHIBIT 0 SITEPROP TIEOFF_X45Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y27 RPM_X 180 SITEPROP TIEOFF_X45Y27 RPM_Y 54 SITEPROP TIEOFF_X45Y27 SITE_PIPS SITEPROP TIEOFF_X45Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y28 CLASS site SITEPROP TIEOFF_X45Y28 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y28 IS_BONDED 0 SITEPROP TIEOFF_X45Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y28 IS_PAD 0 SITEPROP TIEOFF_X45Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y28 IS_RESERVED 0 SITEPROP TIEOFF_X45Y28 IS_TEST 0 SITEPROP TIEOFF_X45Y28 IS_USED 0 SITEPROP TIEOFF_X45Y28 MANUAL_ROUTING SITEPROP TIEOFF_X45Y28 NAME TIEOFF_X45Y28 SITEPROP TIEOFF_X45Y28 NUM_ARCS 0 SITEPROP TIEOFF_X45Y28 NUM_BELS 2 SITEPROP TIEOFF_X45Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y28 NUM_PINS 2 SITEPROP TIEOFF_X45Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y28 PROHIBIT 0 SITEPROP TIEOFF_X45Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y28 RPM_X 180 SITEPROP TIEOFF_X45Y28 RPM_Y 56 SITEPROP TIEOFF_X45Y28 SITE_PIPS SITEPROP TIEOFF_X45Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y29 CLASS site SITEPROP TIEOFF_X45Y29 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y29 IS_BONDED 0 SITEPROP TIEOFF_X45Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y29 IS_PAD 0 SITEPROP TIEOFF_X45Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y29 IS_RESERVED 0 SITEPROP TIEOFF_X45Y29 IS_TEST 0 SITEPROP TIEOFF_X45Y29 IS_USED 0 SITEPROP TIEOFF_X45Y29 MANUAL_ROUTING SITEPROP TIEOFF_X45Y29 NAME TIEOFF_X45Y29 SITEPROP TIEOFF_X45Y29 NUM_ARCS 0 SITEPROP TIEOFF_X45Y29 NUM_BELS 2 SITEPROP TIEOFF_X45Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y29 NUM_PINS 2 SITEPROP TIEOFF_X45Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y29 PROHIBIT 0 SITEPROP TIEOFF_X45Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y29 RPM_X 180 SITEPROP TIEOFF_X45Y29 RPM_Y 58 SITEPROP TIEOFF_X45Y29 SITE_PIPS SITEPROP TIEOFF_X45Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y30 CLASS site SITEPROP TIEOFF_X45Y30 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y30 IS_BONDED 0 SITEPROP TIEOFF_X45Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y30 IS_PAD 0 SITEPROP TIEOFF_X45Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y30 IS_RESERVED 0 SITEPROP TIEOFF_X45Y30 IS_TEST 0 SITEPROP TIEOFF_X45Y30 IS_USED 0 SITEPROP TIEOFF_X45Y30 MANUAL_ROUTING SITEPROP TIEOFF_X45Y30 NAME TIEOFF_X45Y30 SITEPROP TIEOFF_X45Y30 NUM_ARCS 0 SITEPROP TIEOFF_X45Y30 NUM_BELS 2 SITEPROP TIEOFF_X45Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y30 NUM_PINS 2 SITEPROP TIEOFF_X45Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y30 PROHIBIT 0 SITEPROP TIEOFF_X45Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y30 RPM_X 180 SITEPROP TIEOFF_X45Y30 RPM_Y 60 SITEPROP TIEOFF_X45Y30 SITE_PIPS SITEPROP TIEOFF_X45Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y31 CLASS site SITEPROP TIEOFF_X45Y31 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y31 IS_BONDED 0 SITEPROP TIEOFF_X45Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y31 IS_PAD 0 SITEPROP TIEOFF_X45Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y31 IS_RESERVED 0 SITEPROP TIEOFF_X45Y31 IS_TEST 0 SITEPROP TIEOFF_X45Y31 IS_USED 0 SITEPROP TIEOFF_X45Y31 MANUAL_ROUTING SITEPROP TIEOFF_X45Y31 NAME TIEOFF_X45Y31 SITEPROP TIEOFF_X45Y31 NUM_ARCS 0 SITEPROP TIEOFF_X45Y31 NUM_BELS 2 SITEPROP TIEOFF_X45Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y31 NUM_PINS 2 SITEPROP TIEOFF_X45Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y31 PROHIBIT 0 SITEPROP TIEOFF_X45Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y31 RPM_X 180 SITEPROP TIEOFF_X45Y31 RPM_Y 62 SITEPROP TIEOFF_X45Y31 SITE_PIPS SITEPROP TIEOFF_X45Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y32 CLASS site SITEPROP TIEOFF_X45Y32 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y32 IS_BONDED 0 SITEPROP TIEOFF_X45Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y32 IS_PAD 0 SITEPROP TIEOFF_X45Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y32 IS_RESERVED 0 SITEPROP TIEOFF_X45Y32 IS_TEST 0 SITEPROP TIEOFF_X45Y32 IS_USED 0 SITEPROP TIEOFF_X45Y32 MANUAL_ROUTING SITEPROP TIEOFF_X45Y32 NAME TIEOFF_X45Y32 SITEPROP TIEOFF_X45Y32 NUM_ARCS 0 SITEPROP TIEOFF_X45Y32 NUM_BELS 2 SITEPROP TIEOFF_X45Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y32 NUM_PINS 2 SITEPROP TIEOFF_X45Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y32 PROHIBIT 0 SITEPROP TIEOFF_X45Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y32 RPM_X 180 SITEPROP TIEOFF_X45Y32 RPM_Y 64 SITEPROP TIEOFF_X45Y32 SITE_PIPS SITEPROP TIEOFF_X45Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y33 CLASS site SITEPROP TIEOFF_X45Y33 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y33 IS_BONDED 0 SITEPROP TIEOFF_X45Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y33 IS_PAD 0 SITEPROP TIEOFF_X45Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y33 IS_RESERVED 0 SITEPROP TIEOFF_X45Y33 IS_TEST 0 SITEPROP TIEOFF_X45Y33 IS_USED 0 SITEPROP TIEOFF_X45Y33 MANUAL_ROUTING SITEPROP TIEOFF_X45Y33 NAME TIEOFF_X45Y33 SITEPROP TIEOFF_X45Y33 NUM_ARCS 0 SITEPROP TIEOFF_X45Y33 NUM_BELS 2 SITEPROP TIEOFF_X45Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y33 NUM_PINS 2 SITEPROP TIEOFF_X45Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y33 PROHIBIT 0 SITEPROP TIEOFF_X45Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y33 RPM_X 180 SITEPROP TIEOFF_X45Y33 RPM_Y 66 SITEPROP TIEOFF_X45Y33 SITE_PIPS SITEPROP TIEOFF_X45Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y34 CLASS site SITEPROP TIEOFF_X45Y34 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y34 IS_BONDED 0 SITEPROP TIEOFF_X45Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y34 IS_PAD 0 SITEPROP TIEOFF_X45Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y34 IS_RESERVED 0 SITEPROP TIEOFF_X45Y34 IS_TEST 0 SITEPROP TIEOFF_X45Y34 IS_USED 0 SITEPROP TIEOFF_X45Y34 MANUAL_ROUTING SITEPROP TIEOFF_X45Y34 NAME TIEOFF_X45Y34 SITEPROP TIEOFF_X45Y34 NUM_ARCS 0 SITEPROP TIEOFF_X45Y34 NUM_BELS 2 SITEPROP TIEOFF_X45Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y34 NUM_PINS 2 SITEPROP TIEOFF_X45Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y34 PROHIBIT 0 SITEPROP TIEOFF_X45Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y34 RPM_X 180 SITEPROP TIEOFF_X45Y34 RPM_Y 68 SITEPROP TIEOFF_X45Y34 SITE_PIPS SITEPROP TIEOFF_X45Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y35 CLASS site SITEPROP TIEOFF_X45Y35 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y35 IS_BONDED 0 SITEPROP TIEOFF_X45Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y35 IS_PAD 0 SITEPROP TIEOFF_X45Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y35 IS_RESERVED 0 SITEPROP TIEOFF_X45Y35 IS_TEST 0 SITEPROP TIEOFF_X45Y35 IS_USED 0 SITEPROP TIEOFF_X45Y35 MANUAL_ROUTING SITEPROP TIEOFF_X45Y35 NAME TIEOFF_X45Y35 SITEPROP TIEOFF_X45Y35 NUM_ARCS 0 SITEPROP TIEOFF_X45Y35 NUM_BELS 2 SITEPROP TIEOFF_X45Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y35 NUM_PINS 2 SITEPROP TIEOFF_X45Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y35 PROHIBIT 0 SITEPROP TIEOFF_X45Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y35 RPM_X 180 SITEPROP TIEOFF_X45Y35 RPM_Y 70 SITEPROP TIEOFF_X45Y35 SITE_PIPS SITEPROP TIEOFF_X45Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y36 CLASS site SITEPROP TIEOFF_X45Y36 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y36 IS_BONDED 0 SITEPROP TIEOFF_X45Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y36 IS_PAD 0 SITEPROP TIEOFF_X45Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y36 IS_RESERVED 0 SITEPROP TIEOFF_X45Y36 IS_TEST 0 SITEPROP TIEOFF_X45Y36 IS_USED 0 SITEPROP TIEOFF_X45Y36 MANUAL_ROUTING SITEPROP TIEOFF_X45Y36 NAME TIEOFF_X45Y36 SITEPROP TIEOFF_X45Y36 NUM_ARCS 0 SITEPROP TIEOFF_X45Y36 NUM_BELS 2 SITEPROP TIEOFF_X45Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y36 NUM_PINS 2 SITEPROP TIEOFF_X45Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y36 PROHIBIT 0 SITEPROP TIEOFF_X45Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y36 RPM_X 180 SITEPROP TIEOFF_X45Y36 RPM_Y 72 SITEPROP TIEOFF_X45Y36 SITE_PIPS SITEPROP TIEOFF_X45Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y37 CLASS site SITEPROP TIEOFF_X45Y37 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y37 IS_BONDED 0 SITEPROP TIEOFF_X45Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y37 IS_PAD 0 SITEPROP TIEOFF_X45Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y37 IS_RESERVED 0 SITEPROP TIEOFF_X45Y37 IS_TEST 0 SITEPROP TIEOFF_X45Y37 IS_USED 0 SITEPROP TIEOFF_X45Y37 MANUAL_ROUTING SITEPROP TIEOFF_X45Y37 NAME TIEOFF_X45Y37 SITEPROP TIEOFF_X45Y37 NUM_ARCS 0 SITEPROP TIEOFF_X45Y37 NUM_BELS 2 SITEPROP TIEOFF_X45Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y37 NUM_PINS 2 SITEPROP TIEOFF_X45Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y37 PROHIBIT 0 SITEPROP TIEOFF_X45Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y37 RPM_X 180 SITEPROP TIEOFF_X45Y37 RPM_Y 74 SITEPROP TIEOFF_X45Y37 SITE_PIPS SITEPROP TIEOFF_X45Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y38 CLASS site SITEPROP TIEOFF_X45Y38 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y38 IS_BONDED 0 SITEPROP TIEOFF_X45Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y38 IS_PAD 0 SITEPROP TIEOFF_X45Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y38 IS_RESERVED 0 SITEPROP TIEOFF_X45Y38 IS_TEST 0 SITEPROP TIEOFF_X45Y38 IS_USED 0 SITEPROP TIEOFF_X45Y38 MANUAL_ROUTING SITEPROP TIEOFF_X45Y38 NAME TIEOFF_X45Y38 SITEPROP TIEOFF_X45Y38 NUM_ARCS 0 SITEPROP TIEOFF_X45Y38 NUM_BELS 2 SITEPROP TIEOFF_X45Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y38 NUM_PINS 2 SITEPROP TIEOFF_X45Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y38 PROHIBIT 0 SITEPROP TIEOFF_X45Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y38 RPM_X 180 SITEPROP TIEOFF_X45Y38 RPM_Y 76 SITEPROP TIEOFF_X45Y38 SITE_PIPS SITEPROP TIEOFF_X45Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y39 CLASS site SITEPROP TIEOFF_X45Y39 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y39 IS_BONDED 0 SITEPROP TIEOFF_X45Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y39 IS_PAD 0 SITEPROP TIEOFF_X45Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y39 IS_RESERVED 0 SITEPROP TIEOFF_X45Y39 IS_TEST 0 SITEPROP TIEOFF_X45Y39 IS_USED 0 SITEPROP TIEOFF_X45Y39 MANUAL_ROUTING SITEPROP TIEOFF_X45Y39 NAME TIEOFF_X45Y39 SITEPROP TIEOFF_X45Y39 NUM_ARCS 0 SITEPROP TIEOFF_X45Y39 NUM_BELS 2 SITEPROP TIEOFF_X45Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y39 NUM_PINS 2 SITEPROP TIEOFF_X45Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y39 PROHIBIT 0 SITEPROP TIEOFF_X45Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y39 RPM_X 180 SITEPROP TIEOFF_X45Y39 RPM_Y 78 SITEPROP TIEOFF_X45Y39 SITE_PIPS SITEPROP TIEOFF_X45Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y40 CLASS site SITEPROP TIEOFF_X45Y40 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y40 IS_BONDED 0 SITEPROP TIEOFF_X45Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y40 IS_PAD 0 SITEPROP TIEOFF_X45Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y40 IS_RESERVED 0 SITEPROP TIEOFF_X45Y40 IS_TEST 0 SITEPROP TIEOFF_X45Y40 IS_USED 0 SITEPROP TIEOFF_X45Y40 MANUAL_ROUTING SITEPROP TIEOFF_X45Y40 NAME TIEOFF_X45Y40 SITEPROP TIEOFF_X45Y40 NUM_ARCS 0 SITEPROP TIEOFF_X45Y40 NUM_BELS 2 SITEPROP TIEOFF_X45Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y40 NUM_PINS 2 SITEPROP TIEOFF_X45Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y40 PROHIBIT 0 SITEPROP TIEOFF_X45Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y40 RPM_X 180 SITEPROP TIEOFF_X45Y40 RPM_Y 80 SITEPROP TIEOFF_X45Y40 SITE_PIPS SITEPROP TIEOFF_X45Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y41 CLASS site SITEPROP TIEOFF_X45Y41 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y41 IS_BONDED 0 SITEPROP TIEOFF_X45Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y41 IS_PAD 0 SITEPROP TIEOFF_X45Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y41 IS_RESERVED 0 SITEPROP TIEOFF_X45Y41 IS_TEST 0 SITEPROP TIEOFF_X45Y41 IS_USED 0 SITEPROP TIEOFF_X45Y41 MANUAL_ROUTING SITEPROP TIEOFF_X45Y41 NAME TIEOFF_X45Y41 SITEPROP TIEOFF_X45Y41 NUM_ARCS 0 SITEPROP TIEOFF_X45Y41 NUM_BELS 2 SITEPROP TIEOFF_X45Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y41 NUM_PINS 2 SITEPROP TIEOFF_X45Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y41 PROHIBIT 0 SITEPROP TIEOFF_X45Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y41 RPM_X 180 SITEPROP TIEOFF_X45Y41 RPM_Y 82 SITEPROP TIEOFF_X45Y41 SITE_PIPS SITEPROP TIEOFF_X45Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y42 CLASS site SITEPROP TIEOFF_X45Y42 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y42 IS_BONDED 0 SITEPROP TIEOFF_X45Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y42 IS_PAD 0 SITEPROP TIEOFF_X45Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y42 IS_RESERVED 0 SITEPROP TIEOFF_X45Y42 IS_TEST 0 SITEPROP TIEOFF_X45Y42 IS_USED 0 SITEPROP TIEOFF_X45Y42 MANUAL_ROUTING SITEPROP TIEOFF_X45Y42 NAME TIEOFF_X45Y42 SITEPROP TIEOFF_X45Y42 NUM_ARCS 0 SITEPROP TIEOFF_X45Y42 NUM_BELS 2 SITEPROP TIEOFF_X45Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y42 NUM_PINS 2 SITEPROP TIEOFF_X45Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y42 PROHIBIT 0 SITEPROP TIEOFF_X45Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y42 RPM_X 180 SITEPROP TIEOFF_X45Y42 RPM_Y 84 SITEPROP TIEOFF_X45Y42 SITE_PIPS SITEPROP TIEOFF_X45Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y43 CLASS site SITEPROP TIEOFF_X45Y43 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y43 IS_BONDED 0 SITEPROP TIEOFF_X45Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y43 IS_PAD 0 SITEPROP TIEOFF_X45Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y43 IS_RESERVED 0 SITEPROP TIEOFF_X45Y43 IS_TEST 0 SITEPROP TIEOFF_X45Y43 IS_USED 0 SITEPROP TIEOFF_X45Y43 MANUAL_ROUTING SITEPROP TIEOFF_X45Y43 NAME TIEOFF_X45Y43 SITEPROP TIEOFF_X45Y43 NUM_ARCS 0 SITEPROP TIEOFF_X45Y43 NUM_BELS 2 SITEPROP TIEOFF_X45Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y43 NUM_PINS 2 SITEPROP TIEOFF_X45Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y43 PROHIBIT 0 SITEPROP TIEOFF_X45Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y43 RPM_X 180 SITEPROP TIEOFF_X45Y43 RPM_Y 86 SITEPROP TIEOFF_X45Y43 SITE_PIPS SITEPROP TIEOFF_X45Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y44 CLASS site SITEPROP TIEOFF_X45Y44 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y44 IS_BONDED 0 SITEPROP TIEOFF_X45Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y44 IS_PAD 0 SITEPROP TIEOFF_X45Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y44 IS_RESERVED 0 SITEPROP TIEOFF_X45Y44 IS_TEST 0 SITEPROP TIEOFF_X45Y44 IS_USED 0 SITEPROP TIEOFF_X45Y44 MANUAL_ROUTING SITEPROP TIEOFF_X45Y44 NAME TIEOFF_X45Y44 SITEPROP TIEOFF_X45Y44 NUM_ARCS 0 SITEPROP TIEOFF_X45Y44 NUM_BELS 2 SITEPROP TIEOFF_X45Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y44 NUM_PINS 2 SITEPROP TIEOFF_X45Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y44 PROHIBIT 0 SITEPROP TIEOFF_X45Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y44 RPM_X 180 SITEPROP TIEOFF_X45Y44 RPM_Y 88 SITEPROP TIEOFF_X45Y44 SITE_PIPS SITEPROP TIEOFF_X45Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y45 CLASS site SITEPROP TIEOFF_X45Y45 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y45 IS_BONDED 0 SITEPROP TIEOFF_X45Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y45 IS_PAD 0 SITEPROP TIEOFF_X45Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y45 IS_RESERVED 0 SITEPROP TIEOFF_X45Y45 IS_TEST 0 SITEPROP TIEOFF_X45Y45 IS_USED 0 SITEPROP TIEOFF_X45Y45 MANUAL_ROUTING SITEPROP TIEOFF_X45Y45 NAME TIEOFF_X45Y45 SITEPROP TIEOFF_X45Y45 NUM_ARCS 0 SITEPROP TIEOFF_X45Y45 NUM_BELS 2 SITEPROP TIEOFF_X45Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y45 NUM_PINS 2 SITEPROP TIEOFF_X45Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y45 PROHIBIT 0 SITEPROP TIEOFF_X45Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y45 RPM_X 180 SITEPROP TIEOFF_X45Y45 RPM_Y 90 SITEPROP TIEOFF_X45Y45 SITE_PIPS SITEPROP TIEOFF_X45Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y46 CLASS site SITEPROP TIEOFF_X45Y46 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y46 IS_BONDED 0 SITEPROP TIEOFF_X45Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y46 IS_PAD 0 SITEPROP TIEOFF_X45Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y46 IS_RESERVED 0 SITEPROP TIEOFF_X45Y46 IS_TEST 0 SITEPROP TIEOFF_X45Y46 IS_USED 0 SITEPROP TIEOFF_X45Y46 MANUAL_ROUTING SITEPROP TIEOFF_X45Y46 NAME TIEOFF_X45Y46 SITEPROP TIEOFF_X45Y46 NUM_ARCS 0 SITEPROP TIEOFF_X45Y46 NUM_BELS 2 SITEPROP TIEOFF_X45Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y46 NUM_PINS 2 SITEPROP TIEOFF_X45Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y46 PROHIBIT 0 SITEPROP TIEOFF_X45Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y46 RPM_X 180 SITEPROP TIEOFF_X45Y46 RPM_Y 92 SITEPROP TIEOFF_X45Y46 SITE_PIPS SITEPROP TIEOFF_X45Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y47 CLASS site SITEPROP TIEOFF_X45Y47 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y47 IS_BONDED 0 SITEPROP TIEOFF_X45Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y47 IS_PAD 0 SITEPROP TIEOFF_X45Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y47 IS_RESERVED 0 SITEPROP TIEOFF_X45Y47 IS_TEST 0 SITEPROP TIEOFF_X45Y47 IS_USED 0 SITEPROP TIEOFF_X45Y47 MANUAL_ROUTING SITEPROP TIEOFF_X45Y47 NAME TIEOFF_X45Y47 SITEPROP TIEOFF_X45Y47 NUM_ARCS 0 SITEPROP TIEOFF_X45Y47 NUM_BELS 2 SITEPROP TIEOFF_X45Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y47 NUM_PINS 2 SITEPROP TIEOFF_X45Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y47 PROHIBIT 0 SITEPROP TIEOFF_X45Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y47 RPM_X 180 SITEPROP TIEOFF_X45Y47 RPM_Y 94 SITEPROP TIEOFF_X45Y47 SITE_PIPS SITEPROP TIEOFF_X45Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y48 CLASS site SITEPROP TIEOFF_X45Y48 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y48 IS_BONDED 0 SITEPROP TIEOFF_X45Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y48 IS_PAD 0 SITEPROP TIEOFF_X45Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y48 IS_RESERVED 0 SITEPROP TIEOFF_X45Y48 IS_TEST 0 SITEPROP TIEOFF_X45Y48 IS_USED 0 SITEPROP TIEOFF_X45Y48 MANUAL_ROUTING SITEPROP TIEOFF_X45Y48 NAME TIEOFF_X45Y48 SITEPROP TIEOFF_X45Y48 NUM_ARCS 0 SITEPROP TIEOFF_X45Y48 NUM_BELS 2 SITEPROP TIEOFF_X45Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y48 NUM_PINS 2 SITEPROP TIEOFF_X45Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y48 PROHIBIT 0 SITEPROP TIEOFF_X45Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y48 RPM_X 180 SITEPROP TIEOFF_X45Y48 RPM_Y 96 SITEPROP TIEOFF_X45Y48 SITE_PIPS SITEPROP TIEOFF_X45Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y49 CLASS site SITEPROP TIEOFF_X45Y49 CLOCK_REGION X1Y0 SITEPROP TIEOFF_X45Y49 IS_BONDED 0 SITEPROP TIEOFF_X45Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y49 IS_PAD 0 SITEPROP TIEOFF_X45Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y49 IS_RESERVED 0 SITEPROP TIEOFF_X45Y49 IS_TEST 0 SITEPROP TIEOFF_X45Y49 IS_USED 0 SITEPROP TIEOFF_X45Y49 MANUAL_ROUTING SITEPROP TIEOFF_X45Y49 NAME TIEOFF_X45Y49 SITEPROP TIEOFF_X45Y49 NUM_ARCS 0 SITEPROP TIEOFF_X45Y49 NUM_BELS 2 SITEPROP TIEOFF_X45Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y49 NUM_PINS 2 SITEPROP TIEOFF_X45Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y49 PROHIBIT 0 SITEPROP TIEOFF_X45Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y49 RPM_X 180 SITEPROP TIEOFF_X45Y49 RPM_Y 98 SITEPROP TIEOFF_X45Y49 SITE_PIPS SITEPROP TIEOFF_X45Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y50 CLASS site SITEPROP TIEOFF_X45Y50 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y50 IS_BONDED 0 SITEPROP TIEOFF_X45Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y50 IS_PAD 0 SITEPROP TIEOFF_X45Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y50 IS_RESERVED 0 SITEPROP TIEOFF_X45Y50 IS_TEST 0 SITEPROP TIEOFF_X45Y50 IS_USED 0 SITEPROP TIEOFF_X45Y50 MANUAL_ROUTING SITEPROP TIEOFF_X45Y50 NAME TIEOFF_X45Y50 SITEPROP TIEOFF_X45Y50 NUM_ARCS 0 SITEPROP TIEOFF_X45Y50 NUM_BELS 2 SITEPROP TIEOFF_X45Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y50 NUM_PINS 2 SITEPROP TIEOFF_X45Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y50 PROHIBIT 0 SITEPROP TIEOFF_X45Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y50 RPM_X 180 SITEPROP TIEOFF_X45Y50 RPM_Y 100 SITEPROP TIEOFF_X45Y50 SITE_PIPS SITEPROP TIEOFF_X45Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y51 CLASS site SITEPROP TIEOFF_X45Y51 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y51 IS_BONDED 0 SITEPROP TIEOFF_X45Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y51 IS_PAD 0 SITEPROP TIEOFF_X45Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y51 IS_RESERVED 0 SITEPROP TIEOFF_X45Y51 IS_TEST 0 SITEPROP TIEOFF_X45Y51 IS_USED 0 SITEPROP TIEOFF_X45Y51 MANUAL_ROUTING SITEPROP TIEOFF_X45Y51 NAME TIEOFF_X45Y51 SITEPROP TIEOFF_X45Y51 NUM_ARCS 0 SITEPROP TIEOFF_X45Y51 NUM_BELS 2 SITEPROP TIEOFF_X45Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y51 NUM_PINS 2 SITEPROP TIEOFF_X45Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y51 PROHIBIT 0 SITEPROP TIEOFF_X45Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y51 RPM_X 180 SITEPROP TIEOFF_X45Y51 RPM_Y 102 SITEPROP TIEOFF_X45Y51 SITE_PIPS SITEPROP TIEOFF_X45Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y52 CLASS site SITEPROP TIEOFF_X45Y52 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y52 IS_BONDED 0 SITEPROP TIEOFF_X45Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y52 IS_PAD 0 SITEPROP TIEOFF_X45Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y52 IS_RESERVED 0 SITEPROP TIEOFF_X45Y52 IS_TEST 0 SITEPROP TIEOFF_X45Y52 IS_USED 0 SITEPROP TIEOFF_X45Y52 MANUAL_ROUTING SITEPROP TIEOFF_X45Y52 NAME TIEOFF_X45Y52 SITEPROP TIEOFF_X45Y52 NUM_ARCS 0 SITEPROP TIEOFF_X45Y52 NUM_BELS 2 SITEPROP TIEOFF_X45Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y52 NUM_PINS 2 SITEPROP TIEOFF_X45Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y52 PROHIBIT 0 SITEPROP TIEOFF_X45Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y52 RPM_X 180 SITEPROP TIEOFF_X45Y52 RPM_Y 104 SITEPROP TIEOFF_X45Y52 SITE_PIPS SITEPROP TIEOFF_X45Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y53 CLASS site SITEPROP TIEOFF_X45Y53 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y53 IS_BONDED 0 SITEPROP TIEOFF_X45Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y53 IS_PAD 0 SITEPROP TIEOFF_X45Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y53 IS_RESERVED 0 SITEPROP TIEOFF_X45Y53 IS_TEST 0 SITEPROP TIEOFF_X45Y53 IS_USED 0 SITEPROP TIEOFF_X45Y53 MANUAL_ROUTING SITEPROP TIEOFF_X45Y53 NAME TIEOFF_X45Y53 SITEPROP TIEOFF_X45Y53 NUM_ARCS 0 SITEPROP TIEOFF_X45Y53 NUM_BELS 2 SITEPROP TIEOFF_X45Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y53 NUM_PINS 2 SITEPROP TIEOFF_X45Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y53 PROHIBIT 0 SITEPROP TIEOFF_X45Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y53 RPM_X 180 SITEPROP TIEOFF_X45Y53 RPM_Y 106 SITEPROP TIEOFF_X45Y53 SITE_PIPS SITEPROP TIEOFF_X45Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y54 CLASS site SITEPROP TIEOFF_X45Y54 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y54 IS_BONDED 0 SITEPROP TIEOFF_X45Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y54 IS_PAD 0 SITEPROP TIEOFF_X45Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y54 IS_RESERVED 0 SITEPROP TIEOFF_X45Y54 IS_TEST 0 SITEPROP TIEOFF_X45Y54 IS_USED 0 SITEPROP TIEOFF_X45Y54 MANUAL_ROUTING SITEPROP TIEOFF_X45Y54 NAME TIEOFF_X45Y54 SITEPROP TIEOFF_X45Y54 NUM_ARCS 0 SITEPROP TIEOFF_X45Y54 NUM_BELS 2 SITEPROP TIEOFF_X45Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y54 NUM_PINS 2 SITEPROP TIEOFF_X45Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y54 PROHIBIT 0 SITEPROP TIEOFF_X45Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y54 RPM_X 180 SITEPROP TIEOFF_X45Y54 RPM_Y 108 SITEPROP TIEOFF_X45Y54 SITE_PIPS SITEPROP TIEOFF_X45Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y55 CLASS site SITEPROP TIEOFF_X45Y55 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y55 IS_BONDED 0 SITEPROP TIEOFF_X45Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y55 IS_PAD 0 SITEPROP TIEOFF_X45Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y55 IS_RESERVED 0 SITEPROP TIEOFF_X45Y55 IS_TEST 0 SITEPROP TIEOFF_X45Y55 IS_USED 0 SITEPROP TIEOFF_X45Y55 MANUAL_ROUTING SITEPROP TIEOFF_X45Y55 NAME TIEOFF_X45Y55 SITEPROP TIEOFF_X45Y55 NUM_ARCS 0 SITEPROP TIEOFF_X45Y55 NUM_BELS 2 SITEPROP TIEOFF_X45Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y55 NUM_PINS 2 SITEPROP TIEOFF_X45Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y55 PROHIBIT 0 SITEPROP TIEOFF_X45Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y55 RPM_X 180 SITEPROP TIEOFF_X45Y55 RPM_Y 110 SITEPROP TIEOFF_X45Y55 SITE_PIPS SITEPROP TIEOFF_X45Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y56 CLASS site SITEPROP TIEOFF_X45Y56 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y56 IS_BONDED 0 SITEPROP TIEOFF_X45Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y56 IS_PAD 0 SITEPROP TIEOFF_X45Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y56 IS_RESERVED 0 SITEPROP TIEOFF_X45Y56 IS_TEST 0 SITEPROP TIEOFF_X45Y56 IS_USED 0 SITEPROP TIEOFF_X45Y56 MANUAL_ROUTING SITEPROP TIEOFF_X45Y56 NAME TIEOFF_X45Y56 SITEPROP TIEOFF_X45Y56 NUM_ARCS 0 SITEPROP TIEOFF_X45Y56 NUM_BELS 2 SITEPROP TIEOFF_X45Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y56 NUM_PINS 2 SITEPROP TIEOFF_X45Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y56 PROHIBIT 0 SITEPROP TIEOFF_X45Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y56 RPM_X 180 SITEPROP TIEOFF_X45Y56 RPM_Y 112 SITEPROP TIEOFF_X45Y56 SITE_PIPS SITEPROP TIEOFF_X45Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y57 CLASS site SITEPROP TIEOFF_X45Y57 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y57 IS_BONDED 0 SITEPROP TIEOFF_X45Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y57 IS_PAD 0 SITEPROP TIEOFF_X45Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y57 IS_RESERVED 0 SITEPROP TIEOFF_X45Y57 IS_TEST 0 SITEPROP TIEOFF_X45Y57 IS_USED 0 SITEPROP TIEOFF_X45Y57 MANUAL_ROUTING SITEPROP TIEOFF_X45Y57 NAME TIEOFF_X45Y57 SITEPROP TIEOFF_X45Y57 NUM_ARCS 0 SITEPROP TIEOFF_X45Y57 NUM_BELS 2 SITEPROP TIEOFF_X45Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y57 NUM_PINS 2 SITEPROP TIEOFF_X45Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y57 PROHIBIT 0 SITEPROP TIEOFF_X45Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y57 RPM_X 180 SITEPROP TIEOFF_X45Y57 RPM_Y 114 SITEPROP TIEOFF_X45Y57 SITE_PIPS SITEPROP TIEOFF_X45Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y58 CLASS site SITEPROP TIEOFF_X45Y58 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y58 IS_BONDED 0 SITEPROP TIEOFF_X45Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y58 IS_PAD 0 SITEPROP TIEOFF_X45Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y58 IS_RESERVED 0 SITEPROP TIEOFF_X45Y58 IS_TEST 0 SITEPROP TIEOFF_X45Y58 IS_USED 0 SITEPROP TIEOFF_X45Y58 MANUAL_ROUTING SITEPROP TIEOFF_X45Y58 NAME TIEOFF_X45Y58 SITEPROP TIEOFF_X45Y58 NUM_ARCS 0 SITEPROP TIEOFF_X45Y58 NUM_BELS 2 SITEPROP TIEOFF_X45Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y58 NUM_PINS 2 SITEPROP TIEOFF_X45Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y58 PROHIBIT 0 SITEPROP TIEOFF_X45Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y58 RPM_X 180 SITEPROP TIEOFF_X45Y58 RPM_Y 116 SITEPROP TIEOFF_X45Y58 SITE_PIPS SITEPROP TIEOFF_X45Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y59 CLASS site SITEPROP TIEOFF_X45Y59 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y59 IS_BONDED 0 SITEPROP TIEOFF_X45Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y59 IS_PAD 0 SITEPROP TIEOFF_X45Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y59 IS_RESERVED 0 SITEPROP TIEOFF_X45Y59 IS_TEST 0 SITEPROP TIEOFF_X45Y59 IS_USED 0 SITEPROP TIEOFF_X45Y59 MANUAL_ROUTING SITEPROP TIEOFF_X45Y59 NAME TIEOFF_X45Y59 SITEPROP TIEOFF_X45Y59 NUM_ARCS 0 SITEPROP TIEOFF_X45Y59 NUM_BELS 2 SITEPROP TIEOFF_X45Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y59 NUM_PINS 2 SITEPROP TIEOFF_X45Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y59 PROHIBIT 0 SITEPROP TIEOFF_X45Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y59 RPM_X 180 SITEPROP TIEOFF_X45Y59 RPM_Y 118 SITEPROP TIEOFF_X45Y59 SITE_PIPS SITEPROP TIEOFF_X45Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y60 CLASS site SITEPROP TIEOFF_X45Y60 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y60 IS_BONDED 0 SITEPROP TIEOFF_X45Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y60 IS_PAD 0 SITEPROP TIEOFF_X45Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y60 IS_RESERVED 0 SITEPROP TIEOFF_X45Y60 IS_TEST 0 SITEPROP TIEOFF_X45Y60 IS_USED 0 SITEPROP TIEOFF_X45Y60 MANUAL_ROUTING SITEPROP TIEOFF_X45Y60 NAME TIEOFF_X45Y60 SITEPROP TIEOFF_X45Y60 NUM_ARCS 0 SITEPROP TIEOFF_X45Y60 NUM_BELS 2 SITEPROP TIEOFF_X45Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y60 NUM_PINS 2 SITEPROP TIEOFF_X45Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y60 PROHIBIT 0 SITEPROP TIEOFF_X45Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y60 RPM_X 180 SITEPROP TIEOFF_X45Y60 RPM_Y 120 SITEPROP TIEOFF_X45Y60 SITE_PIPS SITEPROP TIEOFF_X45Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y61 CLASS site SITEPROP TIEOFF_X45Y61 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y61 IS_BONDED 0 SITEPROP TIEOFF_X45Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y61 IS_PAD 0 SITEPROP TIEOFF_X45Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y61 IS_RESERVED 0 SITEPROP TIEOFF_X45Y61 IS_TEST 0 SITEPROP TIEOFF_X45Y61 IS_USED 0 SITEPROP TIEOFF_X45Y61 MANUAL_ROUTING SITEPROP TIEOFF_X45Y61 NAME TIEOFF_X45Y61 SITEPROP TIEOFF_X45Y61 NUM_ARCS 0 SITEPROP TIEOFF_X45Y61 NUM_BELS 2 SITEPROP TIEOFF_X45Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y61 NUM_PINS 2 SITEPROP TIEOFF_X45Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y61 PROHIBIT 0 SITEPROP TIEOFF_X45Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y61 RPM_X 180 SITEPROP TIEOFF_X45Y61 RPM_Y 122 SITEPROP TIEOFF_X45Y61 SITE_PIPS SITEPROP TIEOFF_X45Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y62 CLASS site SITEPROP TIEOFF_X45Y62 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y62 IS_BONDED 0 SITEPROP TIEOFF_X45Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y62 IS_PAD 0 SITEPROP TIEOFF_X45Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y62 IS_RESERVED 0 SITEPROP TIEOFF_X45Y62 IS_TEST 0 SITEPROP TIEOFF_X45Y62 IS_USED 0 SITEPROP TIEOFF_X45Y62 MANUAL_ROUTING SITEPROP TIEOFF_X45Y62 NAME TIEOFF_X45Y62 SITEPROP TIEOFF_X45Y62 NUM_ARCS 0 SITEPROP TIEOFF_X45Y62 NUM_BELS 2 SITEPROP TIEOFF_X45Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y62 NUM_PINS 2 SITEPROP TIEOFF_X45Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y62 PROHIBIT 0 SITEPROP TIEOFF_X45Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y62 RPM_X 180 SITEPROP TIEOFF_X45Y62 RPM_Y 124 SITEPROP TIEOFF_X45Y62 SITE_PIPS SITEPROP TIEOFF_X45Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y63 CLASS site SITEPROP TIEOFF_X45Y63 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y63 IS_BONDED 0 SITEPROP TIEOFF_X45Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y63 IS_PAD 0 SITEPROP TIEOFF_X45Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y63 IS_RESERVED 0 SITEPROP TIEOFF_X45Y63 IS_TEST 0 SITEPROP TIEOFF_X45Y63 IS_USED 0 SITEPROP TIEOFF_X45Y63 MANUAL_ROUTING SITEPROP TIEOFF_X45Y63 NAME TIEOFF_X45Y63 SITEPROP TIEOFF_X45Y63 NUM_ARCS 0 SITEPROP TIEOFF_X45Y63 NUM_BELS 2 SITEPROP TIEOFF_X45Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y63 NUM_PINS 2 SITEPROP TIEOFF_X45Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y63 PROHIBIT 0 SITEPROP TIEOFF_X45Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y63 RPM_X 180 SITEPROP TIEOFF_X45Y63 RPM_Y 126 SITEPROP TIEOFF_X45Y63 SITE_PIPS SITEPROP TIEOFF_X45Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y64 CLASS site SITEPROP TIEOFF_X45Y64 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y64 IS_BONDED 0 SITEPROP TIEOFF_X45Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y64 IS_PAD 0 SITEPROP TIEOFF_X45Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y64 IS_RESERVED 0 SITEPROP TIEOFF_X45Y64 IS_TEST 0 SITEPROP TIEOFF_X45Y64 IS_USED 0 SITEPROP TIEOFF_X45Y64 MANUAL_ROUTING SITEPROP TIEOFF_X45Y64 NAME TIEOFF_X45Y64 SITEPROP TIEOFF_X45Y64 NUM_ARCS 0 SITEPROP TIEOFF_X45Y64 NUM_BELS 2 SITEPROP TIEOFF_X45Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y64 NUM_PINS 2 SITEPROP TIEOFF_X45Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y64 PROHIBIT 0 SITEPROP TIEOFF_X45Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y64 RPM_X 180 SITEPROP TIEOFF_X45Y64 RPM_Y 128 SITEPROP TIEOFF_X45Y64 SITE_PIPS SITEPROP TIEOFF_X45Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y65 CLASS site SITEPROP TIEOFF_X45Y65 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y65 IS_BONDED 0 SITEPROP TIEOFF_X45Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y65 IS_PAD 0 SITEPROP TIEOFF_X45Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y65 IS_RESERVED 0 SITEPROP TIEOFF_X45Y65 IS_TEST 0 SITEPROP TIEOFF_X45Y65 IS_USED 0 SITEPROP TIEOFF_X45Y65 MANUAL_ROUTING SITEPROP TIEOFF_X45Y65 NAME TIEOFF_X45Y65 SITEPROP TIEOFF_X45Y65 NUM_ARCS 0 SITEPROP TIEOFF_X45Y65 NUM_BELS 2 SITEPROP TIEOFF_X45Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y65 NUM_PINS 2 SITEPROP TIEOFF_X45Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y65 PROHIBIT 0 SITEPROP TIEOFF_X45Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y65 RPM_X 180 SITEPROP TIEOFF_X45Y65 RPM_Y 130 SITEPROP TIEOFF_X45Y65 SITE_PIPS SITEPROP TIEOFF_X45Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y66 CLASS site SITEPROP TIEOFF_X45Y66 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y66 IS_BONDED 0 SITEPROP TIEOFF_X45Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y66 IS_PAD 0 SITEPROP TIEOFF_X45Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y66 IS_RESERVED 0 SITEPROP TIEOFF_X45Y66 IS_TEST 0 SITEPROP TIEOFF_X45Y66 IS_USED 0 SITEPROP TIEOFF_X45Y66 MANUAL_ROUTING SITEPROP TIEOFF_X45Y66 NAME TIEOFF_X45Y66 SITEPROP TIEOFF_X45Y66 NUM_ARCS 0 SITEPROP TIEOFF_X45Y66 NUM_BELS 2 SITEPROP TIEOFF_X45Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y66 NUM_PINS 2 SITEPROP TIEOFF_X45Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y66 PROHIBIT 0 SITEPROP TIEOFF_X45Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y66 RPM_X 180 SITEPROP TIEOFF_X45Y66 RPM_Y 132 SITEPROP TIEOFF_X45Y66 SITE_PIPS SITEPROP TIEOFF_X45Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y67 CLASS site SITEPROP TIEOFF_X45Y67 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y67 IS_BONDED 0 SITEPROP TIEOFF_X45Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y67 IS_PAD 0 SITEPROP TIEOFF_X45Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y67 IS_RESERVED 0 SITEPROP TIEOFF_X45Y67 IS_TEST 0 SITEPROP TIEOFF_X45Y67 IS_USED 0 SITEPROP TIEOFF_X45Y67 MANUAL_ROUTING SITEPROP TIEOFF_X45Y67 NAME TIEOFF_X45Y67 SITEPROP TIEOFF_X45Y67 NUM_ARCS 0 SITEPROP TIEOFF_X45Y67 NUM_BELS 2 SITEPROP TIEOFF_X45Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y67 NUM_PINS 2 SITEPROP TIEOFF_X45Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y67 PROHIBIT 0 SITEPROP TIEOFF_X45Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y67 RPM_X 180 SITEPROP TIEOFF_X45Y67 RPM_Y 134 SITEPROP TIEOFF_X45Y67 SITE_PIPS SITEPROP TIEOFF_X45Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y68 CLASS site SITEPROP TIEOFF_X45Y68 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y68 IS_BONDED 0 SITEPROP TIEOFF_X45Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y68 IS_PAD 0 SITEPROP TIEOFF_X45Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y68 IS_RESERVED 0 SITEPROP TIEOFF_X45Y68 IS_TEST 0 SITEPROP TIEOFF_X45Y68 IS_USED 0 SITEPROP TIEOFF_X45Y68 MANUAL_ROUTING SITEPROP TIEOFF_X45Y68 NAME TIEOFF_X45Y68 SITEPROP TIEOFF_X45Y68 NUM_ARCS 0 SITEPROP TIEOFF_X45Y68 NUM_BELS 2 SITEPROP TIEOFF_X45Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y68 NUM_PINS 2 SITEPROP TIEOFF_X45Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y68 PROHIBIT 0 SITEPROP TIEOFF_X45Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y68 RPM_X 180 SITEPROP TIEOFF_X45Y68 RPM_Y 136 SITEPROP TIEOFF_X45Y68 SITE_PIPS SITEPROP TIEOFF_X45Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y69 CLASS site SITEPROP TIEOFF_X45Y69 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y69 IS_BONDED 0 SITEPROP TIEOFF_X45Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y69 IS_PAD 0 SITEPROP TIEOFF_X45Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y69 IS_RESERVED 0 SITEPROP TIEOFF_X45Y69 IS_TEST 0 SITEPROP TIEOFF_X45Y69 IS_USED 0 SITEPROP TIEOFF_X45Y69 MANUAL_ROUTING SITEPROP TIEOFF_X45Y69 NAME TIEOFF_X45Y69 SITEPROP TIEOFF_X45Y69 NUM_ARCS 0 SITEPROP TIEOFF_X45Y69 NUM_BELS 2 SITEPROP TIEOFF_X45Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y69 NUM_PINS 2 SITEPROP TIEOFF_X45Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y69 PROHIBIT 0 SITEPROP TIEOFF_X45Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y69 RPM_X 180 SITEPROP TIEOFF_X45Y69 RPM_Y 138 SITEPROP TIEOFF_X45Y69 SITE_PIPS SITEPROP TIEOFF_X45Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y70 CLASS site SITEPROP TIEOFF_X45Y70 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y70 IS_BONDED 0 SITEPROP TIEOFF_X45Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y70 IS_PAD 0 SITEPROP TIEOFF_X45Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y70 IS_RESERVED 0 SITEPROP TIEOFF_X45Y70 IS_TEST 0 SITEPROP TIEOFF_X45Y70 IS_USED 0 SITEPROP TIEOFF_X45Y70 MANUAL_ROUTING SITEPROP TIEOFF_X45Y70 NAME TIEOFF_X45Y70 SITEPROP TIEOFF_X45Y70 NUM_ARCS 0 SITEPROP TIEOFF_X45Y70 NUM_BELS 2 SITEPROP TIEOFF_X45Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y70 NUM_PINS 2 SITEPROP TIEOFF_X45Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y70 PROHIBIT 0 SITEPROP TIEOFF_X45Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y70 RPM_X 180 SITEPROP TIEOFF_X45Y70 RPM_Y 140 SITEPROP TIEOFF_X45Y70 SITE_PIPS SITEPROP TIEOFF_X45Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y71 CLASS site SITEPROP TIEOFF_X45Y71 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y71 IS_BONDED 0 SITEPROP TIEOFF_X45Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y71 IS_PAD 0 SITEPROP TIEOFF_X45Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y71 IS_RESERVED 0 SITEPROP TIEOFF_X45Y71 IS_TEST 0 SITEPROP TIEOFF_X45Y71 IS_USED 0 SITEPROP TIEOFF_X45Y71 MANUAL_ROUTING SITEPROP TIEOFF_X45Y71 NAME TIEOFF_X45Y71 SITEPROP TIEOFF_X45Y71 NUM_ARCS 0 SITEPROP TIEOFF_X45Y71 NUM_BELS 2 SITEPROP TIEOFF_X45Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y71 NUM_PINS 2 SITEPROP TIEOFF_X45Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y71 PROHIBIT 0 SITEPROP TIEOFF_X45Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y71 RPM_X 180 SITEPROP TIEOFF_X45Y71 RPM_Y 142 SITEPROP TIEOFF_X45Y71 SITE_PIPS SITEPROP TIEOFF_X45Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y72 CLASS site SITEPROP TIEOFF_X45Y72 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y72 IS_BONDED 0 SITEPROP TIEOFF_X45Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y72 IS_PAD 0 SITEPROP TIEOFF_X45Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y72 IS_RESERVED 0 SITEPROP TIEOFF_X45Y72 IS_TEST 0 SITEPROP TIEOFF_X45Y72 IS_USED 0 SITEPROP TIEOFF_X45Y72 MANUAL_ROUTING SITEPROP TIEOFF_X45Y72 NAME TIEOFF_X45Y72 SITEPROP TIEOFF_X45Y72 NUM_ARCS 0 SITEPROP TIEOFF_X45Y72 NUM_BELS 2 SITEPROP TIEOFF_X45Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y72 NUM_PINS 2 SITEPROP TIEOFF_X45Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y72 PROHIBIT 0 SITEPROP TIEOFF_X45Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y72 RPM_X 180 SITEPROP TIEOFF_X45Y72 RPM_Y 144 SITEPROP TIEOFF_X45Y72 SITE_PIPS SITEPROP TIEOFF_X45Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y73 CLASS site SITEPROP TIEOFF_X45Y73 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y73 IS_BONDED 0 SITEPROP TIEOFF_X45Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y73 IS_PAD 0 SITEPROP TIEOFF_X45Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y73 IS_RESERVED 0 SITEPROP TIEOFF_X45Y73 IS_TEST 0 SITEPROP TIEOFF_X45Y73 IS_USED 0 SITEPROP TIEOFF_X45Y73 MANUAL_ROUTING SITEPROP TIEOFF_X45Y73 NAME TIEOFF_X45Y73 SITEPROP TIEOFF_X45Y73 NUM_ARCS 0 SITEPROP TIEOFF_X45Y73 NUM_BELS 2 SITEPROP TIEOFF_X45Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y73 NUM_PINS 2 SITEPROP TIEOFF_X45Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y73 PROHIBIT 0 SITEPROP TIEOFF_X45Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y73 RPM_X 180 SITEPROP TIEOFF_X45Y73 RPM_Y 146 SITEPROP TIEOFF_X45Y73 SITE_PIPS SITEPROP TIEOFF_X45Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y74 CLASS site SITEPROP TIEOFF_X45Y74 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y74 IS_BONDED 0 SITEPROP TIEOFF_X45Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y74 IS_PAD 0 SITEPROP TIEOFF_X45Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y74 IS_RESERVED 0 SITEPROP TIEOFF_X45Y74 IS_TEST 0 SITEPROP TIEOFF_X45Y74 IS_USED 0 SITEPROP TIEOFF_X45Y74 MANUAL_ROUTING SITEPROP TIEOFF_X45Y74 NAME TIEOFF_X45Y74 SITEPROP TIEOFF_X45Y74 NUM_ARCS 0 SITEPROP TIEOFF_X45Y74 NUM_BELS 2 SITEPROP TIEOFF_X45Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y74 NUM_PINS 2 SITEPROP TIEOFF_X45Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y74 PROHIBIT 0 SITEPROP TIEOFF_X45Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y74 RPM_X 180 SITEPROP TIEOFF_X45Y74 RPM_Y 148 SITEPROP TIEOFF_X45Y74 SITE_PIPS SITEPROP TIEOFF_X45Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y75 CLASS site SITEPROP TIEOFF_X45Y75 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y75 IS_BONDED 0 SITEPROP TIEOFF_X45Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y75 IS_PAD 0 SITEPROP TIEOFF_X45Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y75 IS_RESERVED 0 SITEPROP TIEOFF_X45Y75 IS_TEST 0 SITEPROP TIEOFF_X45Y75 IS_USED 0 SITEPROP TIEOFF_X45Y75 MANUAL_ROUTING SITEPROP TIEOFF_X45Y75 NAME TIEOFF_X45Y75 SITEPROP TIEOFF_X45Y75 NUM_ARCS 0 SITEPROP TIEOFF_X45Y75 NUM_BELS 2 SITEPROP TIEOFF_X45Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y75 NUM_PINS 2 SITEPROP TIEOFF_X45Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y75 PROHIBIT 0 SITEPROP TIEOFF_X45Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y75 RPM_X 180 SITEPROP TIEOFF_X45Y75 RPM_Y 150 SITEPROP TIEOFF_X45Y75 SITE_PIPS SITEPROP TIEOFF_X45Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y76 CLASS site SITEPROP TIEOFF_X45Y76 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y76 IS_BONDED 0 SITEPROP TIEOFF_X45Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y76 IS_PAD 0 SITEPROP TIEOFF_X45Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y76 IS_RESERVED 0 SITEPROP TIEOFF_X45Y76 IS_TEST 0 SITEPROP TIEOFF_X45Y76 IS_USED 0 SITEPROP TIEOFF_X45Y76 MANUAL_ROUTING SITEPROP TIEOFF_X45Y76 NAME TIEOFF_X45Y76 SITEPROP TIEOFF_X45Y76 NUM_ARCS 0 SITEPROP TIEOFF_X45Y76 NUM_BELS 2 SITEPROP TIEOFF_X45Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y76 NUM_PINS 2 SITEPROP TIEOFF_X45Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y76 PROHIBIT 0 SITEPROP TIEOFF_X45Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y76 RPM_X 180 SITEPROP TIEOFF_X45Y76 RPM_Y 152 SITEPROP TIEOFF_X45Y76 SITE_PIPS SITEPROP TIEOFF_X45Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y77 CLASS site SITEPROP TIEOFF_X45Y77 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y77 IS_BONDED 0 SITEPROP TIEOFF_X45Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y77 IS_PAD 0 SITEPROP TIEOFF_X45Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y77 IS_RESERVED 0 SITEPROP TIEOFF_X45Y77 IS_TEST 0 SITEPROP TIEOFF_X45Y77 IS_USED 0 SITEPROP TIEOFF_X45Y77 MANUAL_ROUTING SITEPROP TIEOFF_X45Y77 NAME TIEOFF_X45Y77 SITEPROP TIEOFF_X45Y77 NUM_ARCS 0 SITEPROP TIEOFF_X45Y77 NUM_BELS 2 SITEPROP TIEOFF_X45Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y77 NUM_PINS 2 SITEPROP TIEOFF_X45Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y77 PROHIBIT 0 SITEPROP TIEOFF_X45Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y77 RPM_X 180 SITEPROP TIEOFF_X45Y77 RPM_Y 154 SITEPROP TIEOFF_X45Y77 SITE_PIPS SITEPROP TIEOFF_X45Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y78 CLASS site SITEPROP TIEOFF_X45Y78 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y78 IS_BONDED 0 SITEPROP TIEOFF_X45Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y78 IS_PAD 0 SITEPROP TIEOFF_X45Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y78 IS_RESERVED 0 SITEPROP TIEOFF_X45Y78 IS_TEST 0 SITEPROP TIEOFF_X45Y78 IS_USED 0 SITEPROP TIEOFF_X45Y78 MANUAL_ROUTING SITEPROP TIEOFF_X45Y78 NAME TIEOFF_X45Y78 SITEPROP TIEOFF_X45Y78 NUM_ARCS 0 SITEPROP TIEOFF_X45Y78 NUM_BELS 2 SITEPROP TIEOFF_X45Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y78 NUM_PINS 2 SITEPROP TIEOFF_X45Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y78 PROHIBIT 0 SITEPROP TIEOFF_X45Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y78 RPM_X 180 SITEPROP TIEOFF_X45Y78 RPM_Y 156 SITEPROP TIEOFF_X45Y78 SITE_PIPS SITEPROP TIEOFF_X45Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y79 CLASS site SITEPROP TIEOFF_X45Y79 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y79 IS_BONDED 0 SITEPROP TIEOFF_X45Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y79 IS_PAD 0 SITEPROP TIEOFF_X45Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y79 IS_RESERVED 0 SITEPROP TIEOFF_X45Y79 IS_TEST 0 SITEPROP TIEOFF_X45Y79 IS_USED 0 SITEPROP TIEOFF_X45Y79 MANUAL_ROUTING SITEPROP TIEOFF_X45Y79 NAME TIEOFF_X45Y79 SITEPROP TIEOFF_X45Y79 NUM_ARCS 0 SITEPROP TIEOFF_X45Y79 NUM_BELS 2 SITEPROP TIEOFF_X45Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y79 NUM_PINS 2 SITEPROP TIEOFF_X45Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y79 PROHIBIT 0 SITEPROP TIEOFF_X45Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y79 RPM_X 180 SITEPROP TIEOFF_X45Y79 RPM_Y 158 SITEPROP TIEOFF_X45Y79 SITE_PIPS SITEPROP TIEOFF_X45Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y80 CLASS site SITEPROP TIEOFF_X45Y80 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y80 IS_BONDED 0 SITEPROP TIEOFF_X45Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y80 IS_PAD 0 SITEPROP TIEOFF_X45Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y80 IS_RESERVED 0 SITEPROP TIEOFF_X45Y80 IS_TEST 0 SITEPROP TIEOFF_X45Y80 IS_USED 0 SITEPROP TIEOFF_X45Y80 MANUAL_ROUTING SITEPROP TIEOFF_X45Y80 NAME TIEOFF_X45Y80 SITEPROP TIEOFF_X45Y80 NUM_ARCS 0 SITEPROP TIEOFF_X45Y80 NUM_BELS 2 SITEPROP TIEOFF_X45Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y80 NUM_PINS 2 SITEPROP TIEOFF_X45Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y80 PROHIBIT 0 SITEPROP TIEOFF_X45Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y80 RPM_X 180 SITEPROP TIEOFF_X45Y80 RPM_Y 160 SITEPROP TIEOFF_X45Y80 SITE_PIPS SITEPROP TIEOFF_X45Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y81 CLASS site SITEPROP TIEOFF_X45Y81 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y81 IS_BONDED 0 SITEPROP TIEOFF_X45Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y81 IS_PAD 0 SITEPROP TIEOFF_X45Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y81 IS_RESERVED 0 SITEPROP TIEOFF_X45Y81 IS_TEST 0 SITEPROP TIEOFF_X45Y81 IS_USED 0 SITEPROP TIEOFF_X45Y81 MANUAL_ROUTING SITEPROP TIEOFF_X45Y81 NAME TIEOFF_X45Y81 SITEPROP TIEOFF_X45Y81 NUM_ARCS 0 SITEPROP TIEOFF_X45Y81 NUM_BELS 2 SITEPROP TIEOFF_X45Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y81 NUM_PINS 2 SITEPROP TIEOFF_X45Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y81 PROHIBIT 0 SITEPROP TIEOFF_X45Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y81 RPM_X 180 SITEPROP TIEOFF_X45Y81 RPM_Y 162 SITEPROP TIEOFF_X45Y81 SITE_PIPS SITEPROP TIEOFF_X45Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y82 CLASS site SITEPROP TIEOFF_X45Y82 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y82 IS_BONDED 0 SITEPROP TIEOFF_X45Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y82 IS_PAD 0 SITEPROP TIEOFF_X45Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y82 IS_RESERVED 0 SITEPROP TIEOFF_X45Y82 IS_TEST 0 SITEPROP TIEOFF_X45Y82 IS_USED 0 SITEPROP TIEOFF_X45Y82 MANUAL_ROUTING SITEPROP TIEOFF_X45Y82 NAME TIEOFF_X45Y82 SITEPROP TIEOFF_X45Y82 NUM_ARCS 0 SITEPROP TIEOFF_X45Y82 NUM_BELS 2 SITEPROP TIEOFF_X45Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y82 NUM_PINS 2 SITEPROP TIEOFF_X45Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y82 PROHIBIT 0 SITEPROP TIEOFF_X45Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y82 RPM_X 180 SITEPROP TIEOFF_X45Y82 RPM_Y 164 SITEPROP TIEOFF_X45Y82 SITE_PIPS SITEPROP TIEOFF_X45Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y83 CLASS site SITEPROP TIEOFF_X45Y83 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y83 IS_BONDED 0 SITEPROP TIEOFF_X45Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y83 IS_PAD 0 SITEPROP TIEOFF_X45Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y83 IS_RESERVED 0 SITEPROP TIEOFF_X45Y83 IS_TEST 0 SITEPROP TIEOFF_X45Y83 IS_USED 0 SITEPROP TIEOFF_X45Y83 MANUAL_ROUTING SITEPROP TIEOFF_X45Y83 NAME TIEOFF_X45Y83 SITEPROP TIEOFF_X45Y83 NUM_ARCS 0 SITEPROP TIEOFF_X45Y83 NUM_BELS 2 SITEPROP TIEOFF_X45Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y83 NUM_PINS 2 SITEPROP TIEOFF_X45Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y83 PROHIBIT 0 SITEPROP TIEOFF_X45Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y83 RPM_X 180 SITEPROP TIEOFF_X45Y83 RPM_Y 166 SITEPROP TIEOFF_X45Y83 SITE_PIPS SITEPROP TIEOFF_X45Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y84 CLASS site SITEPROP TIEOFF_X45Y84 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y84 IS_BONDED 0 SITEPROP TIEOFF_X45Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y84 IS_PAD 0 SITEPROP TIEOFF_X45Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y84 IS_RESERVED 0 SITEPROP TIEOFF_X45Y84 IS_TEST 0 SITEPROP TIEOFF_X45Y84 IS_USED 0 SITEPROP TIEOFF_X45Y84 MANUAL_ROUTING SITEPROP TIEOFF_X45Y84 NAME TIEOFF_X45Y84 SITEPROP TIEOFF_X45Y84 NUM_ARCS 0 SITEPROP TIEOFF_X45Y84 NUM_BELS 2 SITEPROP TIEOFF_X45Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y84 NUM_PINS 2 SITEPROP TIEOFF_X45Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y84 PROHIBIT 0 SITEPROP TIEOFF_X45Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y84 RPM_X 180 SITEPROP TIEOFF_X45Y84 RPM_Y 168 SITEPROP TIEOFF_X45Y84 SITE_PIPS SITEPROP TIEOFF_X45Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y85 CLASS site SITEPROP TIEOFF_X45Y85 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y85 IS_BONDED 0 SITEPROP TIEOFF_X45Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y85 IS_PAD 0 SITEPROP TIEOFF_X45Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y85 IS_RESERVED 0 SITEPROP TIEOFF_X45Y85 IS_TEST 0 SITEPROP TIEOFF_X45Y85 IS_USED 0 SITEPROP TIEOFF_X45Y85 MANUAL_ROUTING SITEPROP TIEOFF_X45Y85 NAME TIEOFF_X45Y85 SITEPROP TIEOFF_X45Y85 NUM_ARCS 0 SITEPROP TIEOFF_X45Y85 NUM_BELS 2 SITEPROP TIEOFF_X45Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y85 NUM_PINS 2 SITEPROP TIEOFF_X45Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y85 PROHIBIT 0 SITEPROP TIEOFF_X45Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y85 RPM_X 180 SITEPROP TIEOFF_X45Y85 RPM_Y 170 SITEPROP TIEOFF_X45Y85 SITE_PIPS SITEPROP TIEOFF_X45Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y86 CLASS site SITEPROP TIEOFF_X45Y86 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y86 IS_BONDED 0 SITEPROP TIEOFF_X45Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y86 IS_PAD 0 SITEPROP TIEOFF_X45Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y86 IS_RESERVED 0 SITEPROP TIEOFF_X45Y86 IS_TEST 0 SITEPROP TIEOFF_X45Y86 IS_USED 0 SITEPROP TIEOFF_X45Y86 MANUAL_ROUTING SITEPROP TIEOFF_X45Y86 NAME TIEOFF_X45Y86 SITEPROP TIEOFF_X45Y86 NUM_ARCS 0 SITEPROP TIEOFF_X45Y86 NUM_BELS 2 SITEPROP TIEOFF_X45Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y86 NUM_PINS 2 SITEPROP TIEOFF_X45Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y86 PROHIBIT 0 SITEPROP TIEOFF_X45Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y86 RPM_X 180 SITEPROP TIEOFF_X45Y86 RPM_Y 172 SITEPROP TIEOFF_X45Y86 SITE_PIPS SITEPROP TIEOFF_X45Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y87 CLASS site SITEPROP TIEOFF_X45Y87 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y87 IS_BONDED 0 SITEPROP TIEOFF_X45Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y87 IS_PAD 0 SITEPROP TIEOFF_X45Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y87 IS_RESERVED 0 SITEPROP TIEOFF_X45Y87 IS_TEST 0 SITEPROP TIEOFF_X45Y87 IS_USED 0 SITEPROP TIEOFF_X45Y87 MANUAL_ROUTING SITEPROP TIEOFF_X45Y87 NAME TIEOFF_X45Y87 SITEPROP TIEOFF_X45Y87 NUM_ARCS 0 SITEPROP TIEOFF_X45Y87 NUM_BELS 2 SITEPROP TIEOFF_X45Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y87 NUM_PINS 2 SITEPROP TIEOFF_X45Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y87 PROHIBIT 0 SITEPROP TIEOFF_X45Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y87 RPM_X 180 SITEPROP TIEOFF_X45Y87 RPM_Y 174 SITEPROP TIEOFF_X45Y87 SITE_PIPS SITEPROP TIEOFF_X45Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y88 CLASS site SITEPROP TIEOFF_X45Y88 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y88 IS_BONDED 0 SITEPROP TIEOFF_X45Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y88 IS_PAD 0 SITEPROP TIEOFF_X45Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y88 IS_RESERVED 0 SITEPROP TIEOFF_X45Y88 IS_TEST 0 SITEPROP TIEOFF_X45Y88 IS_USED 0 SITEPROP TIEOFF_X45Y88 MANUAL_ROUTING SITEPROP TIEOFF_X45Y88 NAME TIEOFF_X45Y88 SITEPROP TIEOFF_X45Y88 NUM_ARCS 0 SITEPROP TIEOFF_X45Y88 NUM_BELS 2 SITEPROP TIEOFF_X45Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y88 NUM_PINS 2 SITEPROP TIEOFF_X45Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y88 PROHIBIT 0 SITEPROP TIEOFF_X45Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y88 RPM_X 180 SITEPROP TIEOFF_X45Y88 RPM_Y 176 SITEPROP TIEOFF_X45Y88 SITE_PIPS SITEPROP TIEOFF_X45Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y89 CLASS site SITEPROP TIEOFF_X45Y89 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y89 IS_BONDED 0 SITEPROP TIEOFF_X45Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y89 IS_PAD 0 SITEPROP TIEOFF_X45Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y89 IS_RESERVED 0 SITEPROP TIEOFF_X45Y89 IS_TEST 0 SITEPROP TIEOFF_X45Y89 IS_USED 0 SITEPROP TIEOFF_X45Y89 MANUAL_ROUTING SITEPROP TIEOFF_X45Y89 NAME TIEOFF_X45Y89 SITEPROP TIEOFF_X45Y89 NUM_ARCS 0 SITEPROP TIEOFF_X45Y89 NUM_BELS 2 SITEPROP TIEOFF_X45Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y89 NUM_PINS 2 SITEPROP TIEOFF_X45Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y89 PROHIBIT 0 SITEPROP TIEOFF_X45Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y89 RPM_X 180 SITEPROP TIEOFF_X45Y89 RPM_Y 178 SITEPROP TIEOFF_X45Y89 SITE_PIPS SITEPROP TIEOFF_X45Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y90 CLASS site SITEPROP TIEOFF_X45Y90 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y90 IS_BONDED 0 SITEPROP TIEOFF_X45Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y90 IS_PAD 0 SITEPROP TIEOFF_X45Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y90 IS_RESERVED 0 SITEPROP TIEOFF_X45Y90 IS_TEST 0 SITEPROP TIEOFF_X45Y90 IS_USED 0 SITEPROP TIEOFF_X45Y90 MANUAL_ROUTING SITEPROP TIEOFF_X45Y90 NAME TIEOFF_X45Y90 SITEPROP TIEOFF_X45Y90 NUM_ARCS 0 SITEPROP TIEOFF_X45Y90 NUM_BELS 2 SITEPROP TIEOFF_X45Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y90 NUM_PINS 2 SITEPROP TIEOFF_X45Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y90 PROHIBIT 0 SITEPROP TIEOFF_X45Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y90 RPM_X 180 SITEPROP TIEOFF_X45Y90 RPM_Y 180 SITEPROP TIEOFF_X45Y90 SITE_PIPS SITEPROP TIEOFF_X45Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y91 CLASS site SITEPROP TIEOFF_X45Y91 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y91 IS_BONDED 0 SITEPROP TIEOFF_X45Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y91 IS_PAD 0 SITEPROP TIEOFF_X45Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y91 IS_RESERVED 0 SITEPROP TIEOFF_X45Y91 IS_TEST 0 SITEPROP TIEOFF_X45Y91 IS_USED 0 SITEPROP TIEOFF_X45Y91 MANUAL_ROUTING SITEPROP TIEOFF_X45Y91 NAME TIEOFF_X45Y91 SITEPROP TIEOFF_X45Y91 NUM_ARCS 0 SITEPROP TIEOFF_X45Y91 NUM_BELS 2 SITEPROP TIEOFF_X45Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y91 NUM_PINS 2 SITEPROP TIEOFF_X45Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y91 PROHIBIT 0 SITEPROP TIEOFF_X45Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y91 RPM_X 180 SITEPROP TIEOFF_X45Y91 RPM_Y 182 SITEPROP TIEOFF_X45Y91 SITE_PIPS SITEPROP TIEOFF_X45Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y92 CLASS site SITEPROP TIEOFF_X45Y92 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y92 IS_BONDED 0 SITEPROP TIEOFF_X45Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y92 IS_PAD 0 SITEPROP TIEOFF_X45Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y92 IS_RESERVED 0 SITEPROP TIEOFF_X45Y92 IS_TEST 0 SITEPROP TIEOFF_X45Y92 IS_USED 0 SITEPROP TIEOFF_X45Y92 MANUAL_ROUTING SITEPROP TIEOFF_X45Y92 NAME TIEOFF_X45Y92 SITEPROP TIEOFF_X45Y92 NUM_ARCS 0 SITEPROP TIEOFF_X45Y92 NUM_BELS 2 SITEPROP TIEOFF_X45Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y92 NUM_PINS 2 SITEPROP TIEOFF_X45Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y92 PROHIBIT 0 SITEPROP TIEOFF_X45Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y92 RPM_X 180 SITEPROP TIEOFF_X45Y92 RPM_Y 184 SITEPROP TIEOFF_X45Y92 SITE_PIPS SITEPROP TIEOFF_X45Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y93 CLASS site SITEPROP TIEOFF_X45Y93 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y93 IS_BONDED 0 SITEPROP TIEOFF_X45Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y93 IS_PAD 0 SITEPROP TIEOFF_X45Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y93 IS_RESERVED 0 SITEPROP TIEOFF_X45Y93 IS_TEST 0 SITEPROP TIEOFF_X45Y93 IS_USED 0 SITEPROP TIEOFF_X45Y93 MANUAL_ROUTING SITEPROP TIEOFF_X45Y93 NAME TIEOFF_X45Y93 SITEPROP TIEOFF_X45Y93 NUM_ARCS 0 SITEPROP TIEOFF_X45Y93 NUM_BELS 2 SITEPROP TIEOFF_X45Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y93 NUM_PINS 2 SITEPROP TIEOFF_X45Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y93 PROHIBIT 0 SITEPROP TIEOFF_X45Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y93 RPM_X 180 SITEPROP TIEOFF_X45Y93 RPM_Y 186 SITEPROP TIEOFF_X45Y93 SITE_PIPS SITEPROP TIEOFF_X45Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y94 CLASS site SITEPROP TIEOFF_X45Y94 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y94 IS_BONDED 0 SITEPROP TIEOFF_X45Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y94 IS_PAD 0 SITEPROP TIEOFF_X45Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y94 IS_RESERVED 0 SITEPROP TIEOFF_X45Y94 IS_TEST 0 SITEPROP TIEOFF_X45Y94 IS_USED 0 SITEPROP TIEOFF_X45Y94 MANUAL_ROUTING SITEPROP TIEOFF_X45Y94 NAME TIEOFF_X45Y94 SITEPROP TIEOFF_X45Y94 NUM_ARCS 0 SITEPROP TIEOFF_X45Y94 NUM_BELS 2 SITEPROP TIEOFF_X45Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y94 NUM_PINS 2 SITEPROP TIEOFF_X45Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y94 PROHIBIT 0 SITEPROP TIEOFF_X45Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y94 RPM_X 180 SITEPROP TIEOFF_X45Y94 RPM_Y 188 SITEPROP TIEOFF_X45Y94 SITE_PIPS SITEPROP TIEOFF_X45Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y95 CLASS site SITEPROP TIEOFF_X45Y95 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y95 IS_BONDED 0 SITEPROP TIEOFF_X45Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y95 IS_PAD 0 SITEPROP TIEOFF_X45Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y95 IS_RESERVED 0 SITEPROP TIEOFF_X45Y95 IS_TEST 0 SITEPROP TIEOFF_X45Y95 IS_USED 0 SITEPROP TIEOFF_X45Y95 MANUAL_ROUTING SITEPROP TIEOFF_X45Y95 NAME TIEOFF_X45Y95 SITEPROP TIEOFF_X45Y95 NUM_ARCS 0 SITEPROP TIEOFF_X45Y95 NUM_BELS 2 SITEPROP TIEOFF_X45Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y95 NUM_PINS 2 SITEPROP TIEOFF_X45Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y95 PROHIBIT 0 SITEPROP TIEOFF_X45Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y95 RPM_X 180 SITEPROP TIEOFF_X45Y95 RPM_Y 190 SITEPROP TIEOFF_X45Y95 SITE_PIPS SITEPROP TIEOFF_X45Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y96 CLASS site SITEPROP TIEOFF_X45Y96 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y96 IS_BONDED 0 SITEPROP TIEOFF_X45Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y96 IS_PAD 0 SITEPROP TIEOFF_X45Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y96 IS_RESERVED 0 SITEPROP TIEOFF_X45Y96 IS_TEST 0 SITEPROP TIEOFF_X45Y96 IS_USED 0 SITEPROP TIEOFF_X45Y96 MANUAL_ROUTING SITEPROP TIEOFF_X45Y96 NAME TIEOFF_X45Y96 SITEPROP TIEOFF_X45Y96 NUM_ARCS 0 SITEPROP TIEOFF_X45Y96 NUM_BELS 2 SITEPROP TIEOFF_X45Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y96 NUM_PINS 2 SITEPROP TIEOFF_X45Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y96 PROHIBIT 0 SITEPROP TIEOFF_X45Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y96 RPM_X 180 SITEPROP TIEOFF_X45Y96 RPM_Y 192 SITEPROP TIEOFF_X45Y96 SITE_PIPS SITEPROP TIEOFF_X45Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y97 CLASS site SITEPROP TIEOFF_X45Y97 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y97 IS_BONDED 0 SITEPROP TIEOFF_X45Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y97 IS_PAD 0 SITEPROP TIEOFF_X45Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y97 IS_RESERVED 0 SITEPROP TIEOFF_X45Y97 IS_TEST 0 SITEPROP TIEOFF_X45Y97 IS_USED 0 SITEPROP TIEOFF_X45Y97 MANUAL_ROUTING SITEPROP TIEOFF_X45Y97 NAME TIEOFF_X45Y97 SITEPROP TIEOFF_X45Y97 NUM_ARCS 0 SITEPROP TIEOFF_X45Y97 NUM_BELS 2 SITEPROP TIEOFF_X45Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y97 NUM_PINS 2 SITEPROP TIEOFF_X45Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y97 PROHIBIT 0 SITEPROP TIEOFF_X45Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y97 RPM_X 180 SITEPROP TIEOFF_X45Y97 RPM_Y 194 SITEPROP TIEOFF_X45Y97 SITE_PIPS SITEPROP TIEOFF_X45Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y98 CLASS site SITEPROP TIEOFF_X45Y98 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y98 IS_BONDED 0 SITEPROP TIEOFF_X45Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y98 IS_PAD 0 SITEPROP TIEOFF_X45Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y98 IS_RESERVED 0 SITEPROP TIEOFF_X45Y98 IS_TEST 0 SITEPROP TIEOFF_X45Y98 IS_USED 0 SITEPROP TIEOFF_X45Y98 MANUAL_ROUTING SITEPROP TIEOFF_X45Y98 NAME TIEOFF_X45Y98 SITEPROP TIEOFF_X45Y98 NUM_ARCS 0 SITEPROP TIEOFF_X45Y98 NUM_BELS 2 SITEPROP TIEOFF_X45Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y98 NUM_PINS 2 SITEPROP TIEOFF_X45Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y98 PROHIBIT 0 SITEPROP TIEOFF_X45Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y98 RPM_X 180 SITEPROP TIEOFF_X45Y98 RPM_Y 196 SITEPROP TIEOFF_X45Y98 SITE_PIPS SITEPROP TIEOFF_X45Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X45Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X45Y99 CLASS site SITEPROP TIEOFF_X45Y99 CLOCK_REGION X1Y1 SITEPROP TIEOFF_X45Y99 IS_BONDED 0 SITEPROP TIEOFF_X45Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y99 IS_PAD 0 SITEPROP TIEOFF_X45Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X45Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X45Y99 IS_RESERVED 0 SITEPROP TIEOFF_X45Y99 IS_TEST 0 SITEPROP TIEOFF_X45Y99 IS_USED 0 SITEPROP TIEOFF_X45Y99 MANUAL_ROUTING SITEPROP TIEOFF_X45Y99 NAME TIEOFF_X45Y99 SITEPROP TIEOFF_X45Y99 NUM_ARCS 0 SITEPROP TIEOFF_X45Y99 NUM_BELS 2 SITEPROP TIEOFF_X45Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X45Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X45Y99 NUM_PINS 2 SITEPROP TIEOFF_X45Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X45Y99 PROHIBIT 0 SITEPROP TIEOFF_X45Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X45Y99 RPM_X 180 SITEPROP TIEOFF_X45Y99 RPM_Y 198 SITEPROP TIEOFF_X45Y99 SITE_PIPS SITEPROP TIEOFF_X45Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y0 CLASS site SITEPROP TIEOFF_X4Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y0 IS_BONDED 0 SITEPROP TIEOFF_X4Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y0 IS_PAD 0 SITEPROP TIEOFF_X4Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y0 IS_RESERVED 0 SITEPROP TIEOFF_X4Y0 IS_TEST 0 SITEPROP TIEOFF_X4Y0 IS_USED 0 SITEPROP TIEOFF_X4Y0 MANUAL_ROUTING SITEPROP TIEOFF_X4Y0 NAME TIEOFF_X4Y0 SITEPROP TIEOFF_X4Y0 NUM_ARCS 0 SITEPROP TIEOFF_X4Y0 NUM_BELS 2 SITEPROP TIEOFF_X4Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y0 NUM_PINS 2 SITEPROP TIEOFF_X4Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y0 PROHIBIT 0 SITEPROP TIEOFF_X4Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y0 RPM_X 24 SITEPROP TIEOFF_X4Y0 RPM_Y 0 SITEPROP TIEOFF_X4Y0 SITE_PIPS SITEPROP TIEOFF_X4Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y1 CLASS site SITEPROP TIEOFF_X4Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y1 IS_BONDED 0 SITEPROP TIEOFF_X4Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y1 IS_PAD 0 SITEPROP TIEOFF_X4Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y1 IS_RESERVED 0 SITEPROP TIEOFF_X4Y1 IS_TEST 0 SITEPROP TIEOFF_X4Y1 IS_USED 0 SITEPROP TIEOFF_X4Y1 MANUAL_ROUTING SITEPROP TIEOFF_X4Y1 NAME TIEOFF_X4Y1 SITEPROP TIEOFF_X4Y1 NUM_ARCS 0 SITEPROP TIEOFF_X4Y1 NUM_BELS 2 SITEPROP TIEOFF_X4Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y1 NUM_PINS 2 SITEPROP TIEOFF_X4Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y1 PROHIBIT 0 SITEPROP TIEOFF_X4Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y1 RPM_X 24 SITEPROP TIEOFF_X4Y1 RPM_Y 2 SITEPROP TIEOFF_X4Y1 SITE_PIPS SITEPROP TIEOFF_X4Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y2 CLASS site SITEPROP TIEOFF_X4Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y2 IS_BONDED 0 SITEPROP TIEOFF_X4Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y2 IS_PAD 0 SITEPROP TIEOFF_X4Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y2 IS_RESERVED 0 SITEPROP TIEOFF_X4Y2 IS_TEST 0 SITEPROP TIEOFF_X4Y2 IS_USED 0 SITEPROP TIEOFF_X4Y2 MANUAL_ROUTING SITEPROP TIEOFF_X4Y2 NAME TIEOFF_X4Y2 SITEPROP TIEOFF_X4Y2 NUM_ARCS 0 SITEPROP TIEOFF_X4Y2 NUM_BELS 2 SITEPROP TIEOFF_X4Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y2 NUM_PINS 2 SITEPROP TIEOFF_X4Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y2 PROHIBIT 0 SITEPROP TIEOFF_X4Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y2 RPM_X 24 SITEPROP TIEOFF_X4Y2 RPM_Y 4 SITEPROP TIEOFF_X4Y2 SITE_PIPS SITEPROP TIEOFF_X4Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y3 CLASS site SITEPROP TIEOFF_X4Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y3 IS_BONDED 0 SITEPROP TIEOFF_X4Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y3 IS_PAD 0 SITEPROP TIEOFF_X4Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y3 IS_RESERVED 0 SITEPROP TIEOFF_X4Y3 IS_TEST 0 SITEPROP TIEOFF_X4Y3 IS_USED 0 SITEPROP TIEOFF_X4Y3 MANUAL_ROUTING SITEPROP TIEOFF_X4Y3 NAME TIEOFF_X4Y3 SITEPROP TIEOFF_X4Y3 NUM_ARCS 0 SITEPROP TIEOFF_X4Y3 NUM_BELS 2 SITEPROP TIEOFF_X4Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y3 NUM_PINS 2 SITEPROP TIEOFF_X4Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y3 PROHIBIT 0 SITEPROP TIEOFF_X4Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y3 RPM_X 24 SITEPROP TIEOFF_X4Y3 RPM_Y 6 SITEPROP TIEOFF_X4Y3 SITE_PIPS SITEPROP TIEOFF_X4Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y4 CLASS site SITEPROP TIEOFF_X4Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y4 IS_BONDED 0 SITEPROP TIEOFF_X4Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y4 IS_PAD 0 SITEPROP TIEOFF_X4Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y4 IS_RESERVED 0 SITEPROP TIEOFF_X4Y4 IS_TEST 0 SITEPROP TIEOFF_X4Y4 IS_USED 0 SITEPROP TIEOFF_X4Y4 MANUAL_ROUTING SITEPROP TIEOFF_X4Y4 NAME TIEOFF_X4Y4 SITEPROP TIEOFF_X4Y4 NUM_ARCS 0 SITEPROP TIEOFF_X4Y4 NUM_BELS 2 SITEPROP TIEOFF_X4Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y4 NUM_PINS 2 SITEPROP TIEOFF_X4Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y4 PROHIBIT 0 SITEPROP TIEOFF_X4Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y4 RPM_X 24 SITEPROP TIEOFF_X4Y4 RPM_Y 8 SITEPROP TIEOFF_X4Y4 SITE_PIPS SITEPROP TIEOFF_X4Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y5 CLASS site SITEPROP TIEOFF_X4Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y5 IS_BONDED 0 SITEPROP TIEOFF_X4Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y5 IS_PAD 0 SITEPROP TIEOFF_X4Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y5 IS_RESERVED 0 SITEPROP TIEOFF_X4Y5 IS_TEST 0 SITEPROP TIEOFF_X4Y5 IS_USED 0 SITEPROP TIEOFF_X4Y5 MANUAL_ROUTING SITEPROP TIEOFF_X4Y5 NAME TIEOFF_X4Y5 SITEPROP TIEOFF_X4Y5 NUM_ARCS 0 SITEPROP TIEOFF_X4Y5 NUM_BELS 2 SITEPROP TIEOFF_X4Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y5 NUM_PINS 2 SITEPROP TIEOFF_X4Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y5 PROHIBIT 0 SITEPROP TIEOFF_X4Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y5 RPM_X 24 SITEPROP TIEOFF_X4Y5 RPM_Y 10 SITEPROP TIEOFF_X4Y5 SITE_PIPS SITEPROP TIEOFF_X4Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y6 CLASS site SITEPROP TIEOFF_X4Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y6 IS_BONDED 0 SITEPROP TIEOFF_X4Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y6 IS_PAD 0 SITEPROP TIEOFF_X4Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y6 IS_RESERVED 0 SITEPROP TIEOFF_X4Y6 IS_TEST 0 SITEPROP TIEOFF_X4Y6 IS_USED 0 SITEPROP TIEOFF_X4Y6 MANUAL_ROUTING SITEPROP TIEOFF_X4Y6 NAME TIEOFF_X4Y6 SITEPROP TIEOFF_X4Y6 NUM_ARCS 0 SITEPROP TIEOFF_X4Y6 NUM_BELS 2 SITEPROP TIEOFF_X4Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y6 NUM_PINS 2 SITEPROP TIEOFF_X4Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y6 PROHIBIT 0 SITEPROP TIEOFF_X4Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y6 RPM_X 24 SITEPROP TIEOFF_X4Y6 RPM_Y 12 SITEPROP TIEOFF_X4Y6 SITE_PIPS SITEPROP TIEOFF_X4Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y7 CLASS site SITEPROP TIEOFF_X4Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y7 IS_BONDED 0 SITEPROP TIEOFF_X4Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y7 IS_PAD 0 SITEPROP TIEOFF_X4Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y7 IS_RESERVED 0 SITEPROP TIEOFF_X4Y7 IS_TEST 0 SITEPROP TIEOFF_X4Y7 IS_USED 0 SITEPROP TIEOFF_X4Y7 MANUAL_ROUTING SITEPROP TIEOFF_X4Y7 NAME TIEOFF_X4Y7 SITEPROP TIEOFF_X4Y7 NUM_ARCS 0 SITEPROP TIEOFF_X4Y7 NUM_BELS 2 SITEPROP TIEOFF_X4Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y7 NUM_PINS 2 SITEPROP TIEOFF_X4Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y7 PROHIBIT 0 SITEPROP TIEOFF_X4Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y7 RPM_X 24 SITEPROP TIEOFF_X4Y7 RPM_Y 14 SITEPROP TIEOFF_X4Y7 SITE_PIPS SITEPROP TIEOFF_X4Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y8 CLASS site SITEPROP TIEOFF_X4Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y8 IS_BONDED 0 SITEPROP TIEOFF_X4Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y8 IS_PAD 0 SITEPROP TIEOFF_X4Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y8 IS_RESERVED 0 SITEPROP TIEOFF_X4Y8 IS_TEST 0 SITEPROP TIEOFF_X4Y8 IS_USED 0 SITEPROP TIEOFF_X4Y8 MANUAL_ROUTING SITEPROP TIEOFF_X4Y8 NAME TIEOFF_X4Y8 SITEPROP TIEOFF_X4Y8 NUM_ARCS 0 SITEPROP TIEOFF_X4Y8 NUM_BELS 2 SITEPROP TIEOFF_X4Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y8 NUM_PINS 2 SITEPROP TIEOFF_X4Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y8 PROHIBIT 0 SITEPROP TIEOFF_X4Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y8 RPM_X 24 SITEPROP TIEOFF_X4Y8 RPM_Y 16 SITEPROP TIEOFF_X4Y8 SITE_PIPS SITEPROP TIEOFF_X4Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y9 CLASS site SITEPROP TIEOFF_X4Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y9 IS_BONDED 0 SITEPROP TIEOFF_X4Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y9 IS_PAD 0 SITEPROP TIEOFF_X4Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y9 IS_RESERVED 0 SITEPROP TIEOFF_X4Y9 IS_TEST 0 SITEPROP TIEOFF_X4Y9 IS_USED 0 SITEPROP TIEOFF_X4Y9 MANUAL_ROUTING SITEPROP TIEOFF_X4Y9 NAME TIEOFF_X4Y9 SITEPROP TIEOFF_X4Y9 NUM_ARCS 0 SITEPROP TIEOFF_X4Y9 NUM_BELS 2 SITEPROP TIEOFF_X4Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y9 NUM_PINS 2 SITEPROP TIEOFF_X4Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y9 PROHIBIT 0 SITEPROP TIEOFF_X4Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y9 RPM_X 24 SITEPROP TIEOFF_X4Y9 RPM_Y 18 SITEPROP TIEOFF_X4Y9 SITE_PIPS SITEPROP TIEOFF_X4Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y10 CLASS site SITEPROP TIEOFF_X4Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y10 IS_BONDED 0 SITEPROP TIEOFF_X4Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y10 IS_PAD 0 SITEPROP TIEOFF_X4Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y10 IS_RESERVED 0 SITEPROP TIEOFF_X4Y10 IS_TEST 0 SITEPROP TIEOFF_X4Y10 IS_USED 0 SITEPROP TIEOFF_X4Y10 MANUAL_ROUTING SITEPROP TIEOFF_X4Y10 NAME TIEOFF_X4Y10 SITEPROP TIEOFF_X4Y10 NUM_ARCS 0 SITEPROP TIEOFF_X4Y10 NUM_BELS 2 SITEPROP TIEOFF_X4Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y10 NUM_PINS 2 SITEPROP TIEOFF_X4Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y10 PROHIBIT 0 SITEPROP TIEOFF_X4Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y10 RPM_X 24 SITEPROP TIEOFF_X4Y10 RPM_Y 20 SITEPROP TIEOFF_X4Y10 SITE_PIPS SITEPROP TIEOFF_X4Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y11 CLASS site SITEPROP TIEOFF_X4Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y11 IS_BONDED 0 SITEPROP TIEOFF_X4Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y11 IS_PAD 0 SITEPROP TIEOFF_X4Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y11 IS_RESERVED 0 SITEPROP TIEOFF_X4Y11 IS_TEST 0 SITEPROP TIEOFF_X4Y11 IS_USED 0 SITEPROP TIEOFF_X4Y11 MANUAL_ROUTING SITEPROP TIEOFF_X4Y11 NAME TIEOFF_X4Y11 SITEPROP TIEOFF_X4Y11 NUM_ARCS 0 SITEPROP TIEOFF_X4Y11 NUM_BELS 2 SITEPROP TIEOFF_X4Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y11 NUM_PINS 2 SITEPROP TIEOFF_X4Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y11 PROHIBIT 0 SITEPROP TIEOFF_X4Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y11 RPM_X 24 SITEPROP TIEOFF_X4Y11 RPM_Y 22 SITEPROP TIEOFF_X4Y11 SITE_PIPS SITEPROP TIEOFF_X4Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y12 CLASS site SITEPROP TIEOFF_X4Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y12 IS_BONDED 0 SITEPROP TIEOFF_X4Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y12 IS_PAD 0 SITEPROP TIEOFF_X4Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y12 IS_RESERVED 0 SITEPROP TIEOFF_X4Y12 IS_TEST 0 SITEPROP TIEOFF_X4Y12 IS_USED 0 SITEPROP TIEOFF_X4Y12 MANUAL_ROUTING SITEPROP TIEOFF_X4Y12 NAME TIEOFF_X4Y12 SITEPROP TIEOFF_X4Y12 NUM_ARCS 0 SITEPROP TIEOFF_X4Y12 NUM_BELS 2 SITEPROP TIEOFF_X4Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y12 NUM_PINS 2 SITEPROP TIEOFF_X4Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y12 PROHIBIT 0 SITEPROP TIEOFF_X4Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y12 RPM_X 24 SITEPROP TIEOFF_X4Y12 RPM_Y 24 SITEPROP TIEOFF_X4Y12 SITE_PIPS SITEPROP TIEOFF_X4Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y13 CLASS site SITEPROP TIEOFF_X4Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y13 IS_BONDED 0 SITEPROP TIEOFF_X4Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y13 IS_PAD 0 SITEPROP TIEOFF_X4Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y13 IS_RESERVED 0 SITEPROP TIEOFF_X4Y13 IS_TEST 0 SITEPROP TIEOFF_X4Y13 IS_USED 0 SITEPROP TIEOFF_X4Y13 MANUAL_ROUTING SITEPROP TIEOFF_X4Y13 NAME TIEOFF_X4Y13 SITEPROP TIEOFF_X4Y13 NUM_ARCS 0 SITEPROP TIEOFF_X4Y13 NUM_BELS 2 SITEPROP TIEOFF_X4Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y13 NUM_PINS 2 SITEPROP TIEOFF_X4Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y13 PROHIBIT 0 SITEPROP TIEOFF_X4Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y13 RPM_X 24 SITEPROP TIEOFF_X4Y13 RPM_Y 26 SITEPROP TIEOFF_X4Y13 SITE_PIPS SITEPROP TIEOFF_X4Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y14 CLASS site SITEPROP TIEOFF_X4Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y14 IS_BONDED 0 SITEPROP TIEOFF_X4Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y14 IS_PAD 0 SITEPROP TIEOFF_X4Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y14 IS_RESERVED 0 SITEPROP TIEOFF_X4Y14 IS_TEST 0 SITEPROP TIEOFF_X4Y14 IS_USED 0 SITEPROP TIEOFF_X4Y14 MANUAL_ROUTING SITEPROP TIEOFF_X4Y14 NAME TIEOFF_X4Y14 SITEPROP TIEOFF_X4Y14 NUM_ARCS 0 SITEPROP TIEOFF_X4Y14 NUM_BELS 2 SITEPROP TIEOFF_X4Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y14 NUM_PINS 2 SITEPROP TIEOFF_X4Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y14 PROHIBIT 0 SITEPROP TIEOFF_X4Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y14 RPM_X 24 SITEPROP TIEOFF_X4Y14 RPM_Y 28 SITEPROP TIEOFF_X4Y14 SITE_PIPS SITEPROP TIEOFF_X4Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y15 CLASS site SITEPROP TIEOFF_X4Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y15 IS_BONDED 0 SITEPROP TIEOFF_X4Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y15 IS_PAD 0 SITEPROP TIEOFF_X4Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y15 IS_RESERVED 0 SITEPROP TIEOFF_X4Y15 IS_TEST 0 SITEPROP TIEOFF_X4Y15 IS_USED 0 SITEPROP TIEOFF_X4Y15 MANUAL_ROUTING SITEPROP TIEOFF_X4Y15 NAME TIEOFF_X4Y15 SITEPROP TIEOFF_X4Y15 NUM_ARCS 0 SITEPROP TIEOFF_X4Y15 NUM_BELS 2 SITEPROP TIEOFF_X4Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y15 NUM_PINS 2 SITEPROP TIEOFF_X4Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y15 PROHIBIT 0 SITEPROP TIEOFF_X4Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y15 RPM_X 24 SITEPROP TIEOFF_X4Y15 RPM_Y 30 SITEPROP TIEOFF_X4Y15 SITE_PIPS SITEPROP TIEOFF_X4Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y16 CLASS site SITEPROP TIEOFF_X4Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y16 IS_BONDED 0 SITEPROP TIEOFF_X4Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y16 IS_PAD 0 SITEPROP TIEOFF_X4Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y16 IS_RESERVED 0 SITEPROP TIEOFF_X4Y16 IS_TEST 0 SITEPROP TIEOFF_X4Y16 IS_USED 0 SITEPROP TIEOFF_X4Y16 MANUAL_ROUTING SITEPROP TIEOFF_X4Y16 NAME TIEOFF_X4Y16 SITEPROP TIEOFF_X4Y16 NUM_ARCS 0 SITEPROP TIEOFF_X4Y16 NUM_BELS 2 SITEPROP TIEOFF_X4Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y16 NUM_PINS 2 SITEPROP TIEOFF_X4Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y16 PROHIBIT 0 SITEPROP TIEOFF_X4Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y16 RPM_X 24 SITEPROP TIEOFF_X4Y16 RPM_Y 32 SITEPROP TIEOFF_X4Y16 SITE_PIPS SITEPROP TIEOFF_X4Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y17 CLASS site SITEPROP TIEOFF_X4Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y17 IS_BONDED 0 SITEPROP TIEOFF_X4Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y17 IS_PAD 0 SITEPROP TIEOFF_X4Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y17 IS_RESERVED 0 SITEPROP TIEOFF_X4Y17 IS_TEST 0 SITEPROP TIEOFF_X4Y17 IS_USED 0 SITEPROP TIEOFF_X4Y17 MANUAL_ROUTING SITEPROP TIEOFF_X4Y17 NAME TIEOFF_X4Y17 SITEPROP TIEOFF_X4Y17 NUM_ARCS 0 SITEPROP TIEOFF_X4Y17 NUM_BELS 2 SITEPROP TIEOFF_X4Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y17 NUM_PINS 2 SITEPROP TIEOFF_X4Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y17 PROHIBIT 0 SITEPROP TIEOFF_X4Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y17 RPM_X 24 SITEPROP TIEOFF_X4Y17 RPM_Y 34 SITEPROP TIEOFF_X4Y17 SITE_PIPS SITEPROP TIEOFF_X4Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y18 CLASS site SITEPROP TIEOFF_X4Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y18 IS_BONDED 0 SITEPROP TIEOFF_X4Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y18 IS_PAD 0 SITEPROP TIEOFF_X4Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y18 IS_RESERVED 0 SITEPROP TIEOFF_X4Y18 IS_TEST 0 SITEPROP TIEOFF_X4Y18 IS_USED 0 SITEPROP TIEOFF_X4Y18 MANUAL_ROUTING SITEPROP TIEOFF_X4Y18 NAME TIEOFF_X4Y18 SITEPROP TIEOFF_X4Y18 NUM_ARCS 0 SITEPROP TIEOFF_X4Y18 NUM_BELS 2 SITEPROP TIEOFF_X4Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y18 NUM_PINS 2 SITEPROP TIEOFF_X4Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y18 PROHIBIT 0 SITEPROP TIEOFF_X4Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y18 RPM_X 24 SITEPROP TIEOFF_X4Y18 RPM_Y 36 SITEPROP TIEOFF_X4Y18 SITE_PIPS SITEPROP TIEOFF_X4Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y19 CLASS site SITEPROP TIEOFF_X4Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y19 IS_BONDED 0 SITEPROP TIEOFF_X4Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y19 IS_PAD 0 SITEPROP TIEOFF_X4Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y19 IS_RESERVED 0 SITEPROP TIEOFF_X4Y19 IS_TEST 0 SITEPROP TIEOFF_X4Y19 IS_USED 0 SITEPROP TIEOFF_X4Y19 MANUAL_ROUTING SITEPROP TIEOFF_X4Y19 NAME TIEOFF_X4Y19 SITEPROP TIEOFF_X4Y19 NUM_ARCS 0 SITEPROP TIEOFF_X4Y19 NUM_BELS 2 SITEPROP TIEOFF_X4Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y19 NUM_PINS 2 SITEPROP TIEOFF_X4Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y19 PROHIBIT 0 SITEPROP TIEOFF_X4Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y19 RPM_X 24 SITEPROP TIEOFF_X4Y19 RPM_Y 38 SITEPROP TIEOFF_X4Y19 SITE_PIPS SITEPROP TIEOFF_X4Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y20 CLASS site SITEPROP TIEOFF_X4Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y20 IS_BONDED 0 SITEPROP TIEOFF_X4Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y20 IS_PAD 0 SITEPROP TIEOFF_X4Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y20 IS_RESERVED 0 SITEPROP TIEOFF_X4Y20 IS_TEST 0 SITEPROP TIEOFF_X4Y20 IS_USED 0 SITEPROP TIEOFF_X4Y20 MANUAL_ROUTING SITEPROP TIEOFF_X4Y20 NAME TIEOFF_X4Y20 SITEPROP TIEOFF_X4Y20 NUM_ARCS 0 SITEPROP TIEOFF_X4Y20 NUM_BELS 2 SITEPROP TIEOFF_X4Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y20 NUM_PINS 2 SITEPROP TIEOFF_X4Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y20 PROHIBIT 0 SITEPROP TIEOFF_X4Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y20 RPM_X 24 SITEPROP TIEOFF_X4Y20 RPM_Y 40 SITEPROP TIEOFF_X4Y20 SITE_PIPS SITEPROP TIEOFF_X4Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y21 CLASS site SITEPROP TIEOFF_X4Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y21 IS_BONDED 0 SITEPROP TIEOFF_X4Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y21 IS_PAD 0 SITEPROP TIEOFF_X4Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y21 IS_RESERVED 0 SITEPROP TIEOFF_X4Y21 IS_TEST 0 SITEPROP TIEOFF_X4Y21 IS_USED 0 SITEPROP TIEOFF_X4Y21 MANUAL_ROUTING SITEPROP TIEOFF_X4Y21 NAME TIEOFF_X4Y21 SITEPROP TIEOFF_X4Y21 NUM_ARCS 0 SITEPROP TIEOFF_X4Y21 NUM_BELS 2 SITEPROP TIEOFF_X4Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y21 NUM_PINS 2 SITEPROP TIEOFF_X4Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y21 PROHIBIT 0 SITEPROP TIEOFF_X4Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y21 RPM_X 24 SITEPROP TIEOFF_X4Y21 RPM_Y 42 SITEPROP TIEOFF_X4Y21 SITE_PIPS SITEPROP TIEOFF_X4Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y22 CLASS site SITEPROP TIEOFF_X4Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y22 IS_BONDED 0 SITEPROP TIEOFF_X4Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y22 IS_PAD 0 SITEPROP TIEOFF_X4Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y22 IS_RESERVED 0 SITEPROP TIEOFF_X4Y22 IS_TEST 0 SITEPROP TIEOFF_X4Y22 IS_USED 0 SITEPROP TIEOFF_X4Y22 MANUAL_ROUTING SITEPROP TIEOFF_X4Y22 NAME TIEOFF_X4Y22 SITEPROP TIEOFF_X4Y22 NUM_ARCS 0 SITEPROP TIEOFF_X4Y22 NUM_BELS 2 SITEPROP TIEOFF_X4Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y22 NUM_PINS 2 SITEPROP TIEOFF_X4Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y22 PROHIBIT 0 SITEPROP TIEOFF_X4Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y22 RPM_X 24 SITEPROP TIEOFF_X4Y22 RPM_Y 44 SITEPROP TIEOFF_X4Y22 SITE_PIPS SITEPROP TIEOFF_X4Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y23 CLASS site SITEPROP TIEOFF_X4Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y23 IS_BONDED 0 SITEPROP TIEOFF_X4Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y23 IS_PAD 0 SITEPROP TIEOFF_X4Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y23 IS_RESERVED 0 SITEPROP TIEOFF_X4Y23 IS_TEST 0 SITEPROP TIEOFF_X4Y23 IS_USED 0 SITEPROP TIEOFF_X4Y23 MANUAL_ROUTING SITEPROP TIEOFF_X4Y23 NAME TIEOFF_X4Y23 SITEPROP TIEOFF_X4Y23 NUM_ARCS 0 SITEPROP TIEOFF_X4Y23 NUM_BELS 2 SITEPROP TIEOFF_X4Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y23 NUM_PINS 2 SITEPROP TIEOFF_X4Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y23 PROHIBIT 0 SITEPROP TIEOFF_X4Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y23 RPM_X 24 SITEPROP TIEOFF_X4Y23 RPM_Y 46 SITEPROP TIEOFF_X4Y23 SITE_PIPS SITEPROP TIEOFF_X4Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y24 CLASS site SITEPROP TIEOFF_X4Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y24 IS_BONDED 0 SITEPROP TIEOFF_X4Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y24 IS_PAD 0 SITEPROP TIEOFF_X4Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y24 IS_RESERVED 0 SITEPROP TIEOFF_X4Y24 IS_TEST 0 SITEPROP TIEOFF_X4Y24 IS_USED 0 SITEPROP TIEOFF_X4Y24 MANUAL_ROUTING SITEPROP TIEOFF_X4Y24 NAME TIEOFF_X4Y24 SITEPROP TIEOFF_X4Y24 NUM_ARCS 0 SITEPROP TIEOFF_X4Y24 NUM_BELS 2 SITEPROP TIEOFF_X4Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y24 NUM_PINS 2 SITEPROP TIEOFF_X4Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y24 PROHIBIT 0 SITEPROP TIEOFF_X4Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y24 RPM_X 24 SITEPROP TIEOFF_X4Y24 RPM_Y 48 SITEPROP TIEOFF_X4Y24 SITE_PIPS SITEPROP TIEOFF_X4Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y25 CLASS site SITEPROP TIEOFF_X4Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y25 IS_BONDED 0 SITEPROP TIEOFF_X4Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y25 IS_PAD 0 SITEPROP TIEOFF_X4Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y25 IS_RESERVED 0 SITEPROP TIEOFF_X4Y25 IS_TEST 0 SITEPROP TIEOFF_X4Y25 IS_USED 0 SITEPROP TIEOFF_X4Y25 MANUAL_ROUTING SITEPROP TIEOFF_X4Y25 NAME TIEOFF_X4Y25 SITEPROP TIEOFF_X4Y25 NUM_ARCS 0 SITEPROP TIEOFF_X4Y25 NUM_BELS 2 SITEPROP TIEOFF_X4Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y25 NUM_PINS 2 SITEPROP TIEOFF_X4Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y25 PROHIBIT 0 SITEPROP TIEOFF_X4Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y25 RPM_X 24 SITEPROP TIEOFF_X4Y25 RPM_Y 50 SITEPROP TIEOFF_X4Y25 SITE_PIPS SITEPROP TIEOFF_X4Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y26 CLASS site SITEPROP TIEOFF_X4Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y26 IS_BONDED 0 SITEPROP TIEOFF_X4Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y26 IS_PAD 0 SITEPROP TIEOFF_X4Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y26 IS_RESERVED 0 SITEPROP TIEOFF_X4Y26 IS_TEST 0 SITEPROP TIEOFF_X4Y26 IS_USED 0 SITEPROP TIEOFF_X4Y26 MANUAL_ROUTING SITEPROP TIEOFF_X4Y26 NAME TIEOFF_X4Y26 SITEPROP TIEOFF_X4Y26 NUM_ARCS 0 SITEPROP TIEOFF_X4Y26 NUM_BELS 2 SITEPROP TIEOFF_X4Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y26 NUM_PINS 2 SITEPROP TIEOFF_X4Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y26 PROHIBIT 0 SITEPROP TIEOFF_X4Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y26 RPM_X 24 SITEPROP TIEOFF_X4Y26 RPM_Y 52 SITEPROP TIEOFF_X4Y26 SITE_PIPS SITEPROP TIEOFF_X4Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y27 CLASS site SITEPROP TIEOFF_X4Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y27 IS_BONDED 0 SITEPROP TIEOFF_X4Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y27 IS_PAD 0 SITEPROP TIEOFF_X4Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y27 IS_RESERVED 0 SITEPROP TIEOFF_X4Y27 IS_TEST 0 SITEPROP TIEOFF_X4Y27 IS_USED 0 SITEPROP TIEOFF_X4Y27 MANUAL_ROUTING SITEPROP TIEOFF_X4Y27 NAME TIEOFF_X4Y27 SITEPROP TIEOFF_X4Y27 NUM_ARCS 0 SITEPROP TIEOFF_X4Y27 NUM_BELS 2 SITEPROP TIEOFF_X4Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y27 NUM_PINS 2 SITEPROP TIEOFF_X4Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y27 PROHIBIT 0 SITEPROP TIEOFF_X4Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y27 RPM_X 24 SITEPROP TIEOFF_X4Y27 RPM_Y 54 SITEPROP TIEOFF_X4Y27 SITE_PIPS SITEPROP TIEOFF_X4Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y28 CLASS site SITEPROP TIEOFF_X4Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y28 IS_BONDED 0 SITEPROP TIEOFF_X4Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y28 IS_PAD 0 SITEPROP TIEOFF_X4Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y28 IS_RESERVED 0 SITEPROP TIEOFF_X4Y28 IS_TEST 0 SITEPROP TIEOFF_X4Y28 IS_USED 0 SITEPROP TIEOFF_X4Y28 MANUAL_ROUTING SITEPROP TIEOFF_X4Y28 NAME TIEOFF_X4Y28 SITEPROP TIEOFF_X4Y28 NUM_ARCS 0 SITEPROP TIEOFF_X4Y28 NUM_BELS 2 SITEPROP TIEOFF_X4Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y28 NUM_PINS 2 SITEPROP TIEOFF_X4Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y28 PROHIBIT 0 SITEPROP TIEOFF_X4Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y28 RPM_X 24 SITEPROP TIEOFF_X4Y28 RPM_Y 56 SITEPROP TIEOFF_X4Y28 SITE_PIPS SITEPROP TIEOFF_X4Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y29 CLASS site SITEPROP TIEOFF_X4Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y29 IS_BONDED 0 SITEPROP TIEOFF_X4Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y29 IS_PAD 0 SITEPROP TIEOFF_X4Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y29 IS_RESERVED 0 SITEPROP TIEOFF_X4Y29 IS_TEST 0 SITEPROP TIEOFF_X4Y29 IS_USED 0 SITEPROP TIEOFF_X4Y29 MANUAL_ROUTING SITEPROP TIEOFF_X4Y29 NAME TIEOFF_X4Y29 SITEPROP TIEOFF_X4Y29 NUM_ARCS 0 SITEPROP TIEOFF_X4Y29 NUM_BELS 2 SITEPROP TIEOFF_X4Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y29 NUM_PINS 2 SITEPROP TIEOFF_X4Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y29 PROHIBIT 0 SITEPROP TIEOFF_X4Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y29 RPM_X 24 SITEPROP TIEOFF_X4Y29 RPM_Y 58 SITEPROP TIEOFF_X4Y29 SITE_PIPS SITEPROP TIEOFF_X4Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y30 CLASS site SITEPROP TIEOFF_X4Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y30 IS_BONDED 0 SITEPROP TIEOFF_X4Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y30 IS_PAD 0 SITEPROP TIEOFF_X4Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y30 IS_RESERVED 0 SITEPROP TIEOFF_X4Y30 IS_TEST 0 SITEPROP TIEOFF_X4Y30 IS_USED 0 SITEPROP TIEOFF_X4Y30 MANUAL_ROUTING SITEPROP TIEOFF_X4Y30 NAME TIEOFF_X4Y30 SITEPROP TIEOFF_X4Y30 NUM_ARCS 0 SITEPROP TIEOFF_X4Y30 NUM_BELS 2 SITEPROP TIEOFF_X4Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y30 NUM_PINS 2 SITEPROP TIEOFF_X4Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y30 PROHIBIT 0 SITEPROP TIEOFF_X4Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y30 RPM_X 24 SITEPROP TIEOFF_X4Y30 RPM_Y 60 SITEPROP TIEOFF_X4Y30 SITE_PIPS SITEPROP TIEOFF_X4Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y31 CLASS site SITEPROP TIEOFF_X4Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y31 IS_BONDED 0 SITEPROP TIEOFF_X4Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y31 IS_PAD 0 SITEPROP TIEOFF_X4Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y31 IS_RESERVED 0 SITEPROP TIEOFF_X4Y31 IS_TEST 0 SITEPROP TIEOFF_X4Y31 IS_USED 0 SITEPROP TIEOFF_X4Y31 MANUAL_ROUTING SITEPROP TIEOFF_X4Y31 NAME TIEOFF_X4Y31 SITEPROP TIEOFF_X4Y31 NUM_ARCS 0 SITEPROP TIEOFF_X4Y31 NUM_BELS 2 SITEPROP TIEOFF_X4Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y31 NUM_PINS 2 SITEPROP TIEOFF_X4Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y31 PROHIBIT 0 SITEPROP TIEOFF_X4Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y31 RPM_X 24 SITEPROP TIEOFF_X4Y31 RPM_Y 62 SITEPROP TIEOFF_X4Y31 SITE_PIPS SITEPROP TIEOFF_X4Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y32 CLASS site SITEPROP TIEOFF_X4Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y32 IS_BONDED 0 SITEPROP TIEOFF_X4Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y32 IS_PAD 0 SITEPROP TIEOFF_X4Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y32 IS_RESERVED 0 SITEPROP TIEOFF_X4Y32 IS_TEST 0 SITEPROP TIEOFF_X4Y32 IS_USED 0 SITEPROP TIEOFF_X4Y32 MANUAL_ROUTING SITEPROP TIEOFF_X4Y32 NAME TIEOFF_X4Y32 SITEPROP TIEOFF_X4Y32 NUM_ARCS 0 SITEPROP TIEOFF_X4Y32 NUM_BELS 2 SITEPROP TIEOFF_X4Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y32 NUM_PINS 2 SITEPROP TIEOFF_X4Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y32 PROHIBIT 0 SITEPROP TIEOFF_X4Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y32 RPM_X 24 SITEPROP TIEOFF_X4Y32 RPM_Y 64 SITEPROP TIEOFF_X4Y32 SITE_PIPS SITEPROP TIEOFF_X4Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y33 CLASS site SITEPROP TIEOFF_X4Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y33 IS_BONDED 0 SITEPROP TIEOFF_X4Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y33 IS_PAD 0 SITEPROP TIEOFF_X4Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y33 IS_RESERVED 0 SITEPROP TIEOFF_X4Y33 IS_TEST 0 SITEPROP TIEOFF_X4Y33 IS_USED 0 SITEPROP TIEOFF_X4Y33 MANUAL_ROUTING SITEPROP TIEOFF_X4Y33 NAME TIEOFF_X4Y33 SITEPROP TIEOFF_X4Y33 NUM_ARCS 0 SITEPROP TIEOFF_X4Y33 NUM_BELS 2 SITEPROP TIEOFF_X4Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y33 NUM_PINS 2 SITEPROP TIEOFF_X4Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y33 PROHIBIT 0 SITEPROP TIEOFF_X4Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y33 RPM_X 24 SITEPROP TIEOFF_X4Y33 RPM_Y 66 SITEPROP TIEOFF_X4Y33 SITE_PIPS SITEPROP TIEOFF_X4Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y34 CLASS site SITEPROP TIEOFF_X4Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y34 IS_BONDED 0 SITEPROP TIEOFF_X4Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y34 IS_PAD 0 SITEPROP TIEOFF_X4Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y34 IS_RESERVED 0 SITEPROP TIEOFF_X4Y34 IS_TEST 0 SITEPROP TIEOFF_X4Y34 IS_USED 0 SITEPROP TIEOFF_X4Y34 MANUAL_ROUTING SITEPROP TIEOFF_X4Y34 NAME TIEOFF_X4Y34 SITEPROP TIEOFF_X4Y34 NUM_ARCS 0 SITEPROP TIEOFF_X4Y34 NUM_BELS 2 SITEPROP TIEOFF_X4Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y34 NUM_PINS 2 SITEPROP TIEOFF_X4Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y34 PROHIBIT 0 SITEPROP TIEOFF_X4Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y34 RPM_X 24 SITEPROP TIEOFF_X4Y34 RPM_Y 68 SITEPROP TIEOFF_X4Y34 SITE_PIPS SITEPROP TIEOFF_X4Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y35 CLASS site SITEPROP TIEOFF_X4Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y35 IS_BONDED 0 SITEPROP TIEOFF_X4Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y35 IS_PAD 0 SITEPROP TIEOFF_X4Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y35 IS_RESERVED 0 SITEPROP TIEOFF_X4Y35 IS_TEST 0 SITEPROP TIEOFF_X4Y35 IS_USED 0 SITEPROP TIEOFF_X4Y35 MANUAL_ROUTING SITEPROP TIEOFF_X4Y35 NAME TIEOFF_X4Y35 SITEPROP TIEOFF_X4Y35 NUM_ARCS 0 SITEPROP TIEOFF_X4Y35 NUM_BELS 2 SITEPROP TIEOFF_X4Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y35 NUM_PINS 2 SITEPROP TIEOFF_X4Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y35 PROHIBIT 0 SITEPROP TIEOFF_X4Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y35 RPM_X 24 SITEPROP TIEOFF_X4Y35 RPM_Y 70 SITEPROP TIEOFF_X4Y35 SITE_PIPS SITEPROP TIEOFF_X4Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y36 CLASS site SITEPROP TIEOFF_X4Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y36 IS_BONDED 0 SITEPROP TIEOFF_X4Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y36 IS_PAD 0 SITEPROP TIEOFF_X4Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y36 IS_RESERVED 0 SITEPROP TIEOFF_X4Y36 IS_TEST 0 SITEPROP TIEOFF_X4Y36 IS_USED 0 SITEPROP TIEOFF_X4Y36 MANUAL_ROUTING SITEPROP TIEOFF_X4Y36 NAME TIEOFF_X4Y36 SITEPROP TIEOFF_X4Y36 NUM_ARCS 0 SITEPROP TIEOFF_X4Y36 NUM_BELS 2 SITEPROP TIEOFF_X4Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y36 NUM_PINS 2 SITEPROP TIEOFF_X4Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y36 PROHIBIT 0 SITEPROP TIEOFF_X4Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y36 RPM_X 24 SITEPROP TIEOFF_X4Y36 RPM_Y 72 SITEPROP TIEOFF_X4Y36 SITE_PIPS SITEPROP TIEOFF_X4Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y37 CLASS site SITEPROP TIEOFF_X4Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y37 IS_BONDED 0 SITEPROP TIEOFF_X4Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y37 IS_PAD 0 SITEPROP TIEOFF_X4Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y37 IS_RESERVED 0 SITEPROP TIEOFF_X4Y37 IS_TEST 0 SITEPROP TIEOFF_X4Y37 IS_USED 0 SITEPROP TIEOFF_X4Y37 MANUAL_ROUTING SITEPROP TIEOFF_X4Y37 NAME TIEOFF_X4Y37 SITEPROP TIEOFF_X4Y37 NUM_ARCS 0 SITEPROP TIEOFF_X4Y37 NUM_BELS 2 SITEPROP TIEOFF_X4Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y37 NUM_PINS 2 SITEPROP TIEOFF_X4Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y37 PROHIBIT 0 SITEPROP TIEOFF_X4Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y37 RPM_X 24 SITEPROP TIEOFF_X4Y37 RPM_Y 74 SITEPROP TIEOFF_X4Y37 SITE_PIPS SITEPROP TIEOFF_X4Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y38 CLASS site SITEPROP TIEOFF_X4Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y38 IS_BONDED 0 SITEPROP TIEOFF_X4Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y38 IS_PAD 0 SITEPROP TIEOFF_X4Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y38 IS_RESERVED 0 SITEPROP TIEOFF_X4Y38 IS_TEST 0 SITEPROP TIEOFF_X4Y38 IS_USED 0 SITEPROP TIEOFF_X4Y38 MANUAL_ROUTING SITEPROP TIEOFF_X4Y38 NAME TIEOFF_X4Y38 SITEPROP TIEOFF_X4Y38 NUM_ARCS 0 SITEPROP TIEOFF_X4Y38 NUM_BELS 2 SITEPROP TIEOFF_X4Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y38 NUM_PINS 2 SITEPROP TIEOFF_X4Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y38 PROHIBIT 0 SITEPROP TIEOFF_X4Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y38 RPM_X 24 SITEPROP TIEOFF_X4Y38 RPM_Y 76 SITEPROP TIEOFF_X4Y38 SITE_PIPS SITEPROP TIEOFF_X4Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y39 CLASS site SITEPROP TIEOFF_X4Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y39 IS_BONDED 0 SITEPROP TIEOFF_X4Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y39 IS_PAD 0 SITEPROP TIEOFF_X4Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y39 IS_RESERVED 0 SITEPROP TIEOFF_X4Y39 IS_TEST 0 SITEPROP TIEOFF_X4Y39 IS_USED 0 SITEPROP TIEOFF_X4Y39 MANUAL_ROUTING SITEPROP TIEOFF_X4Y39 NAME TIEOFF_X4Y39 SITEPROP TIEOFF_X4Y39 NUM_ARCS 0 SITEPROP TIEOFF_X4Y39 NUM_BELS 2 SITEPROP TIEOFF_X4Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y39 NUM_PINS 2 SITEPROP TIEOFF_X4Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y39 PROHIBIT 0 SITEPROP TIEOFF_X4Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y39 RPM_X 24 SITEPROP TIEOFF_X4Y39 RPM_Y 78 SITEPROP TIEOFF_X4Y39 SITE_PIPS SITEPROP TIEOFF_X4Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y40 CLASS site SITEPROP TIEOFF_X4Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y40 IS_BONDED 0 SITEPROP TIEOFF_X4Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y40 IS_PAD 0 SITEPROP TIEOFF_X4Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y40 IS_RESERVED 0 SITEPROP TIEOFF_X4Y40 IS_TEST 0 SITEPROP TIEOFF_X4Y40 IS_USED 0 SITEPROP TIEOFF_X4Y40 MANUAL_ROUTING SITEPROP TIEOFF_X4Y40 NAME TIEOFF_X4Y40 SITEPROP TIEOFF_X4Y40 NUM_ARCS 0 SITEPROP TIEOFF_X4Y40 NUM_BELS 2 SITEPROP TIEOFF_X4Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y40 NUM_PINS 2 SITEPROP TIEOFF_X4Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y40 PROHIBIT 0 SITEPROP TIEOFF_X4Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y40 RPM_X 24 SITEPROP TIEOFF_X4Y40 RPM_Y 80 SITEPROP TIEOFF_X4Y40 SITE_PIPS SITEPROP TIEOFF_X4Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y41 CLASS site SITEPROP TIEOFF_X4Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y41 IS_BONDED 0 SITEPROP TIEOFF_X4Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y41 IS_PAD 0 SITEPROP TIEOFF_X4Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y41 IS_RESERVED 0 SITEPROP TIEOFF_X4Y41 IS_TEST 0 SITEPROP TIEOFF_X4Y41 IS_USED 0 SITEPROP TIEOFF_X4Y41 MANUAL_ROUTING SITEPROP TIEOFF_X4Y41 NAME TIEOFF_X4Y41 SITEPROP TIEOFF_X4Y41 NUM_ARCS 0 SITEPROP TIEOFF_X4Y41 NUM_BELS 2 SITEPROP TIEOFF_X4Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y41 NUM_PINS 2 SITEPROP TIEOFF_X4Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y41 PROHIBIT 0 SITEPROP TIEOFF_X4Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y41 RPM_X 24 SITEPROP TIEOFF_X4Y41 RPM_Y 82 SITEPROP TIEOFF_X4Y41 SITE_PIPS SITEPROP TIEOFF_X4Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y42 CLASS site SITEPROP TIEOFF_X4Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y42 IS_BONDED 0 SITEPROP TIEOFF_X4Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y42 IS_PAD 0 SITEPROP TIEOFF_X4Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y42 IS_RESERVED 0 SITEPROP TIEOFF_X4Y42 IS_TEST 0 SITEPROP TIEOFF_X4Y42 IS_USED 0 SITEPROP TIEOFF_X4Y42 MANUAL_ROUTING SITEPROP TIEOFF_X4Y42 NAME TIEOFF_X4Y42 SITEPROP TIEOFF_X4Y42 NUM_ARCS 0 SITEPROP TIEOFF_X4Y42 NUM_BELS 2 SITEPROP TIEOFF_X4Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y42 NUM_PINS 2 SITEPROP TIEOFF_X4Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y42 PROHIBIT 0 SITEPROP TIEOFF_X4Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y42 RPM_X 24 SITEPROP TIEOFF_X4Y42 RPM_Y 84 SITEPROP TIEOFF_X4Y42 SITE_PIPS SITEPROP TIEOFF_X4Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y43 CLASS site SITEPROP TIEOFF_X4Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y43 IS_BONDED 0 SITEPROP TIEOFF_X4Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y43 IS_PAD 0 SITEPROP TIEOFF_X4Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y43 IS_RESERVED 0 SITEPROP TIEOFF_X4Y43 IS_TEST 0 SITEPROP TIEOFF_X4Y43 IS_USED 0 SITEPROP TIEOFF_X4Y43 MANUAL_ROUTING SITEPROP TIEOFF_X4Y43 NAME TIEOFF_X4Y43 SITEPROP TIEOFF_X4Y43 NUM_ARCS 0 SITEPROP TIEOFF_X4Y43 NUM_BELS 2 SITEPROP TIEOFF_X4Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y43 NUM_PINS 2 SITEPROP TIEOFF_X4Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y43 PROHIBIT 0 SITEPROP TIEOFF_X4Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y43 RPM_X 24 SITEPROP TIEOFF_X4Y43 RPM_Y 86 SITEPROP TIEOFF_X4Y43 SITE_PIPS SITEPROP TIEOFF_X4Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y44 CLASS site SITEPROP TIEOFF_X4Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y44 IS_BONDED 0 SITEPROP TIEOFF_X4Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y44 IS_PAD 0 SITEPROP TIEOFF_X4Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y44 IS_RESERVED 0 SITEPROP TIEOFF_X4Y44 IS_TEST 0 SITEPROP TIEOFF_X4Y44 IS_USED 0 SITEPROP TIEOFF_X4Y44 MANUAL_ROUTING SITEPROP TIEOFF_X4Y44 NAME TIEOFF_X4Y44 SITEPROP TIEOFF_X4Y44 NUM_ARCS 0 SITEPROP TIEOFF_X4Y44 NUM_BELS 2 SITEPROP TIEOFF_X4Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y44 NUM_PINS 2 SITEPROP TIEOFF_X4Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y44 PROHIBIT 0 SITEPROP TIEOFF_X4Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y44 RPM_X 24 SITEPROP TIEOFF_X4Y44 RPM_Y 88 SITEPROP TIEOFF_X4Y44 SITE_PIPS SITEPROP TIEOFF_X4Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y45 CLASS site SITEPROP TIEOFF_X4Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y45 IS_BONDED 0 SITEPROP TIEOFF_X4Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y45 IS_PAD 0 SITEPROP TIEOFF_X4Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y45 IS_RESERVED 0 SITEPROP TIEOFF_X4Y45 IS_TEST 0 SITEPROP TIEOFF_X4Y45 IS_USED 0 SITEPROP TIEOFF_X4Y45 MANUAL_ROUTING SITEPROP TIEOFF_X4Y45 NAME TIEOFF_X4Y45 SITEPROP TIEOFF_X4Y45 NUM_ARCS 0 SITEPROP TIEOFF_X4Y45 NUM_BELS 2 SITEPROP TIEOFF_X4Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y45 NUM_PINS 2 SITEPROP TIEOFF_X4Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y45 PROHIBIT 0 SITEPROP TIEOFF_X4Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y45 RPM_X 24 SITEPROP TIEOFF_X4Y45 RPM_Y 90 SITEPROP TIEOFF_X4Y45 SITE_PIPS SITEPROP TIEOFF_X4Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y46 CLASS site SITEPROP TIEOFF_X4Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y46 IS_BONDED 0 SITEPROP TIEOFF_X4Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y46 IS_PAD 0 SITEPROP TIEOFF_X4Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y46 IS_RESERVED 0 SITEPROP TIEOFF_X4Y46 IS_TEST 0 SITEPROP TIEOFF_X4Y46 IS_USED 0 SITEPROP TIEOFF_X4Y46 MANUAL_ROUTING SITEPROP TIEOFF_X4Y46 NAME TIEOFF_X4Y46 SITEPROP TIEOFF_X4Y46 NUM_ARCS 0 SITEPROP TIEOFF_X4Y46 NUM_BELS 2 SITEPROP TIEOFF_X4Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y46 NUM_PINS 2 SITEPROP TIEOFF_X4Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y46 PROHIBIT 0 SITEPROP TIEOFF_X4Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y46 RPM_X 24 SITEPROP TIEOFF_X4Y46 RPM_Y 92 SITEPROP TIEOFF_X4Y46 SITE_PIPS SITEPROP TIEOFF_X4Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y47 CLASS site SITEPROP TIEOFF_X4Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y47 IS_BONDED 0 SITEPROP TIEOFF_X4Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y47 IS_PAD 0 SITEPROP TIEOFF_X4Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y47 IS_RESERVED 0 SITEPROP TIEOFF_X4Y47 IS_TEST 0 SITEPROP TIEOFF_X4Y47 IS_USED 0 SITEPROP TIEOFF_X4Y47 MANUAL_ROUTING SITEPROP TIEOFF_X4Y47 NAME TIEOFF_X4Y47 SITEPROP TIEOFF_X4Y47 NUM_ARCS 0 SITEPROP TIEOFF_X4Y47 NUM_BELS 2 SITEPROP TIEOFF_X4Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y47 NUM_PINS 2 SITEPROP TIEOFF_X4Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y47 PROHIBIT 0 SITEPROP TIEOFF_X4Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y47 RPM_X 24 SITEPROP TIEOFF_X4Y47 RPM_Y 94 SITEPROP TIEOFF_X4Y47 SITE_PIPS SITEPROP TIEOFF_X4Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y48 CLASS site SITEPROP TIEOFF_X4Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y48 IS_BONDED 0 SITEPROP TIEOFF_X4Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y48 IS_PAD 0 SITEPROP TIEOFF_X4Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y48 IS_RESERVED 0 SITEPROP TIEOFF_X4Y48 IS_TEST 0 SITEPROP TIEOFF_X4Y48 IS_USED 0 SITEPROP TIEOFF_X4Y48 MANUAL_ROUTING SITEPROP TIEOFF_X4Y48 NAME TIEOFF_X4Y48 SITEPROP TIEOFF_X4Y48 NUM_ARCS 0 SITEPROP TIEOFF_X4Y48 NUM_BELS 2 SITEPROP TIEOFF_X4Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y48 NUM_PINS 2 SITEPROP TIEOFF_X4Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y48 PROHIBIT 0 SITEPROP TIEOFF_X4Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y48 RPM_X 24 SITEPROP TIEOFF_X4Y48 RPM_Y 96 SITEPROP TIEOFF_X4Y48 SITE_PIPS SITEPROP TIEOFF_X4Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y49 CLASS site SITEPROP TIEOFF_X4Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X4Y49 IS_BONDED 0 SITEPROP TIEOFF_X4Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y49 IS_PAD 0 SITEPROP TIEOFF_X4Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y49 IS_RESERVED 0 SITEPROP TIEOFF_X4Y49 IS_TEST 0 SITEPROP TIEOFF_X4Y49 IS_USED 0 SITEPROP TIEOFF_X4Y49 MANUAL_ROUTING SITEPROP TIEOFF_X4Y49 NAME TIEOFF_X4Y49 SITEPROP TIEOFF_X4Y49 NUM_ARCS 0 SITEPROP TIEOFF_X4Y49 NUM_BELS 2 SITEPROP TIEOFF_X4Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y49 NUM_PINS 2 SITEPROP TIEOFF_X4Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y49 PROHIBIT 0 SITEPROP TIEOFF_X4Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y49 RPM_X 24 SITEPROP TIEOFF_X4Y49 RPM_Y 98 SITEPROP TIEOFF_X4Y49 SITE_PIPS SITEPROP TIEOFF_X4Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y50 CLASS site SITEPROP TIEOFF_X4Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y50 IS_BONDED 0 SITEPROP TIEOFF_X4Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y50 IS_PAD 0 SITEPROP TIEOFF_X4Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y50 IS_RESERVED 0 SITEPROP TIEOFF_X4Y50 IS_TEST 0 SITEPROP TIEOFF_X4Y50 IS_USED 0 SITEPROP TIEOFF_X4Y50 MANUAL_ROUTING SITEPROP TIEOFF_X4Y50 NAME TIEOFF_X4Y50 SITEPROP TIEOFF_X4Y50 NUM_ARCS 0 SITEPROP TIEOFF_X4Y50 NUM_BELS 2 SITEPROP TIEOFF_X4Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y50 NUM_PINS 2 SITEPROP TIEOFF_X4Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y50 PROHIBIT 0 SITEPROP TIEOFF_X4Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y50 RPM_X 24 SITEPROP TIEOFF_X4Y50 RPM_Y 100 SITEPROP TIEOFF_X4Y50 SITE_PIPS SITEPROP TIEOFF_X4Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y51 CLASS site SITEPROP TIEOFF_X4Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y51 IS_BONDED 0 SITEPROP TIEOFF_X4Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y51 IS_PAD 0 SITEPROP TIEOFF_X4Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y51 IS_RESERVED 0 SITEPROP TIEOFF_X4Y51 IS_TEST 0 SITEPROP TIEOFF_X4Y51 IS_USED 0 SITEPROP TIEOFF_X4Y51 MANUAL_ROUTING SITEPROP TIEOFF_X4Y51 NAME TIEOFF_X4Y51 SITEPROP TIEOFF_X4Y51 NUM_ARCS 0 SITEPROP TIEOFF_X4Y51 NUM_BELS 2 SITEPROP TIEOFF_X4Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y51 NUM_PINS 2 SITEPROP TIEOFF_X4Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y51 PROHIBIT 0 SITEPROP TIEOFF_X4Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y51 RPM_X 24 SITEPROP TIEOFF_X4Y51 RPM_Y 102 SITEPROP TIEOFF_X4Y51 SITE_PIPS SITEPROP TIEOFF_X4Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y52 CLASS site SITEPROP TIEOFF_X4Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y52 IS_BONDED 0 SITEPROP TIEOFF_X4Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y52 IS_PAD 0 SITEPROP TIEOFF_X4Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y52 IS_RESERVED 0 SITEPROP TIEOFF_X4Y52 IS_TEST 0 SITEPROP TIEOFF_X4Y52 IS_USED 0 SITEPROP TIEOFF_X4Y52 MANUAL_ROUTING SITEPROP TIEOFF_X4Y52 NAME TIEOFF_X4Y52 SITEPROP TIEOFF_X4Y52 NUM_ARCS 0 SITEPROP TIEOFF_X4Y52 NUM_BELS 2 SITEPROP TIEOFF_X4Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y52 NUM_PINS 2 SITEPROP TIEOFF_X4Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y52 PROHIBIT 0 SITEPROP TIEOFF_X4Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y52 RPM_X 24 SITEPROP TIEOFF_X4Y52 RPM_Y 104 SITEPROP TIEOFF_X4Y52 SITE_PIPS SITEPROP TIEOFF_X4Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y53 CLASS site SITEPROP TIEOFF_X4Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y53 IS_BONDED 0 SITEPROP TIEOFF_X4Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y53 IS_PAD 0 SITEPROP TIEOFF_X4Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y53 IS_RESERVED 0 SITEPROP TIEOFF_X4Y53 IS_TEST 0 SITEPROP TIEOFF_X4Y53 IS_USED 0 SITEPROP TIEOFF_X4Y53 MANUAL_ROUTING SITEPROP TIEOFF_X4Y53 NAME TIEOFF_X4Y53 SITEPROP TIEOFF_X4Y53 NUM_ARCS 0 SITEPROP TIEOFF_X4Y53 NUM_BELS 2 SITEPROP TIEOFF_X4Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y53 NUM_PINS 2 SITEPROP TIEOFF_X4Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y53 PROHIBIT 0 SITEPROP TIEOFF_X4Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y53 RPM_X 24 SITEPROP TIEOFF_X4Y53 RPM_Y 106 SITEPROP TIEOFF_X4Y53 SITE_PIPS SITEPROP TIEOFF_X4Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y54 CLASS site SITEPROP TIEOFF_X4Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y54 IS_BONDED 0 SITEPROP TIEOFF_X4Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y54 IS_PAD 0 SITEPROP TIEOFF_X4Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y54 IS_RESERVED 0 SITEPROP TIEOFF_X4Y54 IS_TEST 0 SITEPROP TIEOFF_X4Y54 IS_USED 0 SITEPROP TIEOFF_X4Y54 MANUAL_ROUTING SITEPROP TIEOFF_X4Y54 NAME TIEOFF_X4Y54 SITEPROP TIEOFF_X4Y54 NUM_ARCS 0 SITEPROP TIEOFF_X4Y54 NUM_BELS 2 SITEPROP TIEOFF_X4Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y54 NUM_PINS 2 SITEPROP TIEOFF_X4Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y54 PROHIBIT 0 SITEPROP TIEOFF_X4Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y54 RPM_X 24 SITEPROP TIEOFF_X4Y54 RPM_Y 108 SITEPROP TIEOFF_X4Y54 SITE_PIPS SITEPROP TIEOFF_X4Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y55 CLASS site SITEPROP TIEOFF_X4Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y55 IS_BONDED 0 SITEPROP TIEOFF_X4Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y55 IS_PAD 0 SITEPROP TIEOFF_X4Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y55 IS_RESERVED 0 SITEPROP TIEOFF_X4Y55 IS_TEST 0 SITEPROP TIEOFF_X4Y55 IS_USED 0 SITEPROP TIEOFF_X4Y55 MANUAL_ROUTING SITEPROP TIEOFF_X4Y55 NAME TIEOFF_X4Y55 SITEPROP TIEOFF_X4Y55 NUM_ARCS 0 SITEPROP TIEOFF_X4Y55 NUM_BELS 2 SITEPROP TIEOFF_X4Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y55 NUM_PINS 2 SITEPROP TIEOFF_X4Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y55 PROHIBIT 0 SITEPROP TIEOFF_X4Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y55 RPM_X 24 SITEPROP TIEOFF_X4Y55 RPM_Y 110 SITEPROP TIEOFF_X4Y55 SITE_PIPS SITEPROP TIEOFF_X4Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y56 CLASS site SITEPROP TIEOFF_X4Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y56 IS_BONDED 0 SITEPROP TIEOFF_X4Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y56 IS_PAD 0 SITEPROP TIEOFF_X4Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y56 IS_RESERVED 0 SITEPROP TIEOFF_X4Y56 IS_TEST 0 SITEPROP TIEOFF_X4Y56 IS_USED 0 SITEPROP TIEOFF_X4Y56 MANUAL_ROUTING SITEPROP TIEOFF_X4Y56 NAME TIEOFF_X4Y56 SITEPROP TIEOFF_X4Y56 NUM_ARCS 0 SITEPROP TIEOFF_X4Y56 NUM_BELS 2 SITEPROP TIEOFF_X4Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y56 NUM_PINS 2 SITEPROP TIEOFF_X4Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y56 PROHIBIT 0 SITEPROP TIEOFF_X4Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y56 RPM_X 24 SITEPROP TIEOFF_X4Y56 RPM_Y 112 SITEPROP TIEOFF_X4Y56 SITE_PIPS SITEPROP TIEOFF_X4Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y57 CLASS site SITEPROP TIEOFF_X4Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y57 IS_BONDED 0 SITEPROP TIEOFF_X4Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y57 IS_PAD 0 SITEPROP TIEOFF_X4Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y57 IS_RESERVED 0 SITEPROP TIEOFF_X4Y57 IS_TEST 0 SITEPROP TIEOFF_X4Y57 IS_USED 0 SITEPROP TIEOFF_X4Y57 MANUAL_ROUTING SITEPROP TIEOFF_X4Y57 NAME TIEOFF_X4Y57 SITEPROP TIEOFF_X4Y57 NUM_ARCS 0 SITEPROP TIEOFF_X4Y57 NUM_BELS 2 SITEPROP TIEOFF_X4Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y57 NUM_PINS 2 SITEPROP TIEOFF_X4Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y57 PROHIBIT 0 SITEPROP TIEOFF_X4Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y57 RPM_X 24 SITEPROP TIEOFF_X4Y57 RPM_Y 114 SITEPROP TIEOFF_X4Y57 SITE_PIPS SITEPROP TIEOFF_X4Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y58 CLASS site SITEPROP TIEOFF_X4Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y58 IS_BONDED 0 SITEPROP TIEOFF_X4Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y58 IS_PAD 0 SITEPROP TIEOFF_X4Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y58 IS_RESERVED 0 SITEPROP TIEOFF_X4Y58 IS_TEST 0 SITEPROP TIEOFF_X4Y58 IS_USED 0 SITEPROP TIEOFF_X4Y58 MANUAL_ROUTING SITEPROP TIEOFF_X4Y58 NAME TIEOFF_X4Y58 SITEPROP TIEOFF_X4Y58 NUM_ARCS 0 SITEPROP TIEOFF_X4Y58 NUM_BELS 2 SITEPROP TIEOFF_X4Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y58 NUM_PINS 2 SITEPROP TIEOFF_X4Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y58 PROHIBIT 0 SITEPROP TIEOFF_X4Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y58 RPM_X 24 SITEPROP TIEOFF_X4Y58 RPM_Y 116 SITEPROP TIEOFF_X4Y58 SITE_PIPS SITEPROP TIEOFF_X4Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y59 CLASS site SITEPROP TIEOFF_X4Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y59 IS_BONDED 0 SITEPROP TIEOFF_X4Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y59 IS_PAD 0 SITEPROP TIEOFF_X4Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y59 IS_RESERVED 0 SITEPROP TIEOFF_X4Y59 IS_TEST 0 SITEPROP TIEOFF_X4Y59 IS_USED 0 SITEPROP TIEOFF_X4Y59 MANUAL_ROUTING SITEPROP TIEOFF_X4Y59 NAME TIEOFF_X4Y59 SITEPROP TIEOFF_X4Y59 NUM_ARCS 0 SITEPROP TIEOFF_X4Y59 NUM_BELS 2 SITEPROP TIEOFF_X4Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y59 NUM_PINS 2 SITEPROP TIEOFF_X4Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y59 PROHIBIT 0 SITEPROP TIEOFF_X4Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y59 RPM_X 24 SITEPROP TIEOFF_X4Y59 RPM_Y 118 SITEPROP TIEOFF_X4Y59 SITE_PIPS SITEPROP TIEOFF_X4Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y60 CLASS site SITEPROP TIEOFF_X4Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y60 IS_BONDED 0 SITEPROP TIEOFF_X4Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y60 IS_PAD 0 SITEPROP TIEOFF_X4Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y60 IS_RESERVED 0 SITEPROP TIEOFF_X4Y60 IS_TEST 0 SITEPROP TIEOFF_X4Y60 IS_USED 0 SITEPROP TIEOFF_X4Y60 MANUAL_ROUTING SITEPROP TIEOFF_X4Y60 NAME TIEOFF_X4Y60 SITEPROP TIEOFF_X4Y60 NUM_ARCS 0 SITEPROP TIEOFF_X4Y60 NUM_BELS 2 SITEPROP TIEOFF_X4Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y60 NUM_PINS 2 SITEPROP TIEOFF_X4Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y60 PROHIBIT 0 SITEPROP TIEOFF_X4Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y60 RPM_X 24 SITEPROP TIEOFF_X4Y60 RPM_Y 120 SITEPROP TIEOFF_X4Y60 SITE_PIPS SITEPROP TIEOFF_X4Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y61 CLASS site SITEPROP TIEOFF_X4Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y61 IS_BONDED 0 SITEPROP TIEOFF_X4Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y61 IS_PAD 0 SITEPROP TIEOFF_X4Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y61 IS_RESERVED 0 SITEPROP TIEOFF_X4Y61 IS_TEST 0 SITEPROP TIEOFF_X4Y61 IS_USED 0 SITEPROP TIEOFF_X4Y61 MANUAL_ROUTING SITEPROP TIEOFF_X4Y61 NAME TIEOFF_X4Y61 SITEPROP TIEOFF_X4Y61 NUM_ARCS 0 SITEPROP TIEOFF_X4Y61 NUM_BELS 2 SITEPROP TIEOFF_X4Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y61 NUM_PINS 2 SITEPROP TIEOFF_X4Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y61 PROHIBIT 0 SITEPROP TIEOFF_X4Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y61 RPM_X 24 SITEPROP TIEOFF_X4Y61 RPM_Y 122 SITEPROP TIEOFF_X4Y61 SITE_PIPS SITEPROP TIEOFF_X4Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y62 CLASS site SITEPROP TIEOFF_X4Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y62 IS_BONDED 0 SITEPROP TIEOFF_X4Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y62 IS_PAD 0 SITEPROP TIEOFF_X4Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y62 IS_RESERVED 0 SITEPROP TIEOFF_X4Y62 IS_TEST 0 SITEPROP TIEOFF_X4Y62 IS_USED 0 SITEPROP TIEOFF_X4Y62 MANUAL_ROUTING SITEPROP TIEOFF_X4Y62 NAME TIEOFF_X4Y62 SITEPROP TIEOFF_X4Y62 NUM_ARCS 0 SITEPROP TIEOFF_X4Y62 NUM_BELS 2 SITEPROP TIEOFF_X4Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y62 NUM_PINS 2 SITEPROP TIEOFF_X4Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y62 PROHIBIT 0 SITEPROP TIEOFF_X4Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y62 RPM_X 24 SITEPROP TIEOFF_X4Y62 RPM_Y 124 SITEPROP TIEOFF_X4Y62 SITE_PIPS SITEPROP TIEOFF_X4Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y63 CLASS site SITEPROP TIEOFF_X4Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y63 IS_BONDED 0 SITEPROP TIEOFF_X4Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y63 IS_PAD 0 SITEPROP TIEOFF_X4Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y63 IS_RESERVED 0 SITEPROP TIEOFF_X4Y63 IS_TEST 0 SITEPROP TIEOFF_X4Y63 IS_USED 0 SITEPROP TIEOFF_X4Y63 MANUAL_ROUTING SITEPROP TIEOFF_X4Y63 NAME TIEOFF_X4Y63 SITEPROP TIEOFF_X4Y63 NUM_ARCS 0 SITEPROP TIEOFF_X4Y63 NUM_BELS 2 SITEPROP TIEOFF_X4Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y63 NUM_PINS 2 SITEPROP TIEOFF_X4Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y63 PROHIBIT 0 SITEPROP TIEOFF_X4Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y63 RPM_X 24 SITEPROP TIEOFF_X4Y63 RPM_Y 126 SITEPROP TIEOFF_X4Y63 SITE_PIPS SITEPROP TIEOFF_X4Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y64 CLASS site SITEPROP TIEOFF_X4Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y64 IS_BONDED 0 SITEPROP TIEOFF_X4Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y64 IS_PAD 0 SITEPROP TIEOFF_X4Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y64 IS_RESERVED 0 SITEPROP TIEOFF_X4Y64 IS_TEST 0 SITEPROP TIEOFF_X4Y64 IS_USED 0 SITEPROP TIEOFF_X4Y64 MANUAL_ROUTING SITEPROP TIEOFF_X4Y64 NAME TIEOFF_X4Y64 SITEPROP TIEOFF_X4Y64 NUM_ARCS 0 SITEPROP TIEOFF_X4Y64 NUM_BELS 2 SITEPROP TIEOFF_X4Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y64 NUM_PINS 2 SITEPROP TIEOFF_X4Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y64 PROHIBIT 0 SITEPROP TIEOFF_X4Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y64 RPM_X 24 SITEPROP TIEOFF_X4Y64 RPM_Y 128 SITEPROP TIEOFF_X4Y64 SITE_PIPS SITEPROP TIEOFF_X4Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y65 CLASS site SITEPROP TIEOFF_X4Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y65 IS_BONDED 0 SITEPROP TIEOFF_X4Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y65 IS_PAD 0 SITEPROP TIEOFF_X4Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y65 IS_RESERVED 0 SITEPROP TIEOFF_X4Y65 IS_TEST 0 SITEPROP TIEOFF_X4Y65 IS_USED 0 SITEPROP TIEOFF_X4Y65 MANUAL_ROUTING SITEPROP TIEOFF_X4Y65 NAME TIEOFF_X4Y65 SITEPROP TIEOFF_X4Y65 NUM_ARCS 0 SITEPROP TIEOFF_X4Y65 NUM_BELS 2 SITEPROP TIEOFF_X4Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y65 NUM_PINS 2 SITEPROP TIEOFF_X4Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y65 PROHIBIT 0 SITEPROP TIEOFF_X4Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y65 RPM_X 24 SITEPROP TIEOFF_X4Y65 RPM_Y 130 SITEPROP TIEOFF_X4Y65 SITE_PIPS SITEPROP TIEOFF_X4Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y66 CLASS site SITEPROP TIEOFF_X4Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y66 IS_BONDED 0 SITEPROP TIEOFF_X4Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y66 IS_PAD 0 SITEPROP TIEOFF_X4Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y66 IS_RESERVED 0 SITEPROP TIEOFF_X4Y66 IS_TEST 0 SITEPROP TIEOFF_X4Y66 IS_USED 0 SITEPROP TIEOFF_X4Y66 MANUAL_ROUTING SITEPROP TIEOFF_X4Y66 NAME TIEOFF_X4Y66 SITEPROP TIEOFF_X4Y66 NUM_ARCS 0 SITEPROP TIEOFF_X4Y66 NUM_BELS 2 SITEPROP TIEOFF_X4Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y66 NUM_PINS 2 SITEPROP TIEOFF_X4Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y66 PROHIBIT 0 SITEPROP TIEOFF_X4Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y66 RPM_X 24 SITEPROP TIEOFF_X4Y66 RPM_Y 132 SITEPROP TIEOFF_X4Y66 SITE_PIPS SITEPROP TIEOFF_X4Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y67 CLASS site SITEPROP TIEOFF_X4Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y67 IS_BONDED 0 SITEPROP TIEOFF_X4Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y67 IS_PAD 0 SITEPROP TIEOFF_X4Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y67 IS_RESERVED 0 SITEPROP TIEOFF_X4Y67 IS_TEST 0 SITEPROP TIEOFF_X4Y67 IS_USED 0 SITEPROP TIEOFF_X4Y67 MANUAL_ROUTING SITEPROP TIEOFF_X4Y67 NAME TIEOFF_X4Y67 SITEPROP TIEOFF_X4Y67 NUM_ARCS 0 SITEPROP TIEOFF_X4Y67 NUM_BELS 2 SITEPROP TIEOFF_X4Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y67 NUM_PINS 2 SITEPROP TIEOFF_X4Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y67 PROHIBIT 0 SITEPROP TIEOFF_X4Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y67 RPM_X 24 SITEPROP TIEOFF_X4Y67 RPM_Y 134 SITEPROP TIEOFF_X4Y67 SITE_PIPS SITEPROP TIEOFF_X4Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y68 CLASS site SITEPROP TIEOFF_X4Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y68 IS_BONDED 0 SITEPROP TIEOFF_X4Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y68 IS_PAD 0 SITEPROP TIEOFF_X4Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y68 IS_RESERVED 0 SITEPROP TIEOFF_X4Y68 IS_TEST 0 SITEPROP TIEOFF_X4Y68 IS_USED 0 SITEPROP TIEOFF_X4Y68 MANUAL_ROUTING SITEPROP TIEOFF_X4Y68 NAME TIEOFF_X4Y68 SITEPROP TIEOFF_X4Y68 NUM_ARCS 0 SITEPROP TIEOFF_X4Y68 NUM_BELS 2 SITEPROP TIEOFF_X4Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y68 NUM_PINS 2 SITEPROP TIEOFF_X4Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y68 PROHIBIT 0 SITEPROP TIEOFF_X4Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y68 RPM_X 24 SITEPROP TIEOFF_X4Y68 RPM_Y 136 SITEPROP TIEOFF_X4Y68 SITE_PIPS SITEPROP TIEOFF_X4Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y69 CLASS site SITEPROP TIEOFF_X4Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y69 IS_BONDED 0 SITEPROP TIEOFF_X4Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y69 IS_PAD 0 SITEPROP TIEOFF_X4Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y69 IS_RESERVED 0 SITEPROP TIEOFF_X4Y69 IS_TEST 0 SITEPROP TIEOFF_X4Y69 IS_USED 0 SITEPROP TIEOFF_X4Y69 MANUAL_ROUTING SITEPROP TIEOFF_X4Y69 NAME TIEOFF_X4Y69 SITEPROP TIEOFF_X4Y69 NUM_ARCS 0 SITEPROP TIEOFF_X4Y69 NUM_BELS 2 SITEPROP TIEOFF_X4Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y69 NUM_PINS 2 SITEPROP TIEOFF_X4Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y69 PROHIBIT 0 SITEPROP TIEOFF_X4Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y69 RPM_X 24 SITEPROP TIEOFF_X4Y69 RPM_Y 138 SITEPROP TIEOFF_X4Y69 SITE_PIPS SITEPROP TIEOFF_X4Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y70 CLASS site SITEPROP TIEOFF_X4Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y70 IS_BONDED 0 SITEPROP TIEOFF_X4Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y70 IS_PAD 0 SITEPROP TIEOFF_X4Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y70 IS_RESERVED 0 SITEPROP TIEOFF_X4Y70 IS_TEST 0 SITEPROP TIEOFF_X4Y70 IS_USED 0 SITEPROP TIEOFF_X4Y70 MANUAL_ROUTING SITEPROP TIEOFF_X4Y70 NAME TIEOFF_X4Y70 SITEPROP TIEOFF_X4Y70 NUM_ARCS 0 SITEPROP TIEOFF_X4Y70 NUM_BELS 2 SITEPROP TIEOFF_X4Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y70 NUM_PINS 2 SITEPROP TIEOFF_X4Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y70 PROHIBIT 0 SITEPROP TIEOFF_X4Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y70 RPM_X 24 SITEPROP TIEOFF_X4Y70 RPM_Y 140 SITEPROP TIEOFF_X4Y70 SITE_PIPS SITEPROP TIEOFF_X4Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y71 CLASS site SITEPROP TIEOFF_X4Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y71 IS_BONDED 0 SITEPROP TIEOFF_X4Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y71 IS_PAD 0 SITEPROP TIEOFF_X4Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y71 IS_RESERVED 0 SITEPROP TIEOFF_X4Y71 IS_TEST 0 SITEPROP TIEOFF_X4Y71 IS_USED 0 SITEPROP TIEOFF_X4Y71 MANUAL_ROUTING SITEPROP TIEOFF_X4Y71 NAME TIEOFF_X4Y71 SITEPROP TIEOFF_X4Y71 NUM_ARCS 0 SITEPROP TIEOFF_X4Y71 NUM_BELS 2 SITEPROP TIEOFF_X4Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y71 NUM_PINS 2 SITEPROP TIEOFF_X4Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y71 PROHIBIT 0 SITEPROP TIEOFF_X4Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y71 RPM_X 24 SITEPROP TIEOFF_X4Y71 RPM_Y 142 SITEPROP TIEOFF_X4Y71 SITE_PIPS SITEPROP TIEOFF_X4Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y72 CLASS site SITEPROP TIEOFF_X4Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y72 IS_BONDED 0 SITEPROP TIEOFF_X4Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y72 IS_PAD 0 SITEPROP TIEOFF_X4Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y72 IS_RESERVED 0 SITEPROP TIEOFF_X4Y72 IS_TEST 0 SITEPROP TIEOFF_X4Y72 IS_USED 0 SITEPROP TIEOFF_X4Y72 MANUAL_ROUTING SITEPROP TIEOFF_X4Y72 NAME TIEOFF_X4Y72 SITEPROP TIEOFF_X4Y72 NUM_ARCS 0 SITEPROP TIEOFF_X4Y72 NUM_BELS 2 SITEPROP TIEOFF_X4Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y72 NUM_PINS 2 SITEPROP TIEOFF_X4Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y72 PROHIBIT 0 SITEPROP TIEOFF_X4Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y72 RPM_X 24 SITEPROP TIEOFF_X4Y72 RPM_Y 144 SITEPROP TIEOFF_X4Y72 SITE_PIPS SITEPROP TIEOFF_X4Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y73 CLASS site SITEPROP TIEOFF_X4Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y73 IS_BONDED 0 SITEPROP TIEOFF_X4Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y73 IS_PAD 0 SITEPROP TIEOFF_X4Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y73 IS_RESERVED 0 SITEPROP TIEOFF_X4Y73 IS_TEST 0 SITEPROP TIEOFF_X4Y73 IS_USED 0 SITEPROP TIEOFF_X4Y73 MANUAL_ROUTING SITEPROP TIEOFF_X4Y73 NAME TIEOFF_X4Y73 SITEPROP TIEOFF_X4Y73 NUM_ARCS 0 SITEPROP TIEOFF_X4Y73 NUM_BELS 2 SITEPROP TIEOFF_X4Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y73 NUM_PINS 2 SITEPROP TIEOFF_X4Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y73 PROHIBIT 0 SITEPROP TIEOFF_X4Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y73 RPM_X 24 SITEPROP TIEOFF_X4Y73 RPM_Y 146 SITEPROP TIEOFF_X4Y73 SITE_PIPS SITEPROP TIEOFF_X4Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y74 CLASS site SITEPROP TIEOFF_X4Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y74 IS_BONDED 0 SITEPROP TIEOFF_X4Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y74 IS_PAD 0 SITEPROP TIEOFF_X4Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y74 IS_RESERVED 0 SITEPROP TIEOFF_X4Y74 IS_TEST 0 SITEPROP TIEOFF_X4Y74 IS_USED 0 SITEPROP TIEOFF_X4Y74 MANUAL_ROUTING SITEPROP TIEOFF_X4Y74 NAME TIEOFF_X4Y74 SITEPROP TIEOFF_X4Y74 NUM_ARCS 0 SITEPROP TIEOFF_X4Y74 NUM_BELS 2 SITEPROP TIEOFF_X4Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y74 NUM_PINS 2 SITEPROP TIEOFF_X4Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y74 PROHIBIT 0 SITEPROP TIEOFF_X4Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y74 RPM_X 24 SITEPROP TIEOFF_X4Y74 RPM_Y 148 SITEPROP TIEOFF_X4Y74 SITE_PIPS SITEPROP TIEOFF_X4Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y75 CLASS site SITEPROP TIEOFF_X4Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y75 IS_BONDED 0 SITEPROP TIEOFF_X4Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y75 IS_PAD 0 SITEPROP TIEOFF_X4Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y75 IS_RESERVED 0 SITEPROP TIEOFF_X4Y75 IS_TEST 0 SITEPROP TIEOFF_X4Y75 IS_USED 0 SITEPROP TIEOFF_X4Y75 MANUAL_ROUTING SITEPROP TIEOFF_X4Y75 NAME TIEOFF_X4Y75 SITEPROP TIEOFF_X4Y75 NUM_ARCS 0 SITEPROP TIEOFF_X4Y75 NUM_BELS 2 SITEPROP TIEOFF_X4Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y75 NUM_PINS 2 SITEPROP TIEOFF_X4Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y75 PROHIBIT 0 SITEPROP TIEOFF_X4Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y75 RPM_X 24 SITEPROP TIEOFF_X4Y75 RPM_Y 150 SITEPROP TIEOFF_X4Y75 SITE_PIPS SITEPROP TIEOFF_X4Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y76 CLASS site SITEPROP TIEOFF_X4Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y76 IS_BONDED 0 SITEPROP TIEOFF_X4Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y76 IS_PAD 0 SITEPROP TIEOFF_X4Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y76 IS_RESERVED 0 SITEPROP TIEOFF_X4Y76 IS_TEST 0 SITEPROP TIEOFF_X4Y76 IS_USED 0 SITEPROP TIEOFF_X4Y76 MANUAL_ROUTING SITEPROP TIEOFF_X4Y76 NAME TIEOFF_X4Y76 SITEPROP TIEOFF_X4Y76 NUM_ARCS 0 SITEPROP TIEOFF_X4Y76 NUM_BELS 2 SITEPROP TIEOFF_X4Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y76 NUM_PINS 2 SITEPROP TIEOFF_X4Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y76 PROHIBIT 0 SITEPROP TIEOFF_X4Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y76 RPM_X 24 SITEPROP TIEOFF_X4Y76 RPM_Y 152 SITEPROP TIEOFF_X4Y76 SITE_PIPS SITEPROP TIEOFF_X4Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y77 CLASS site SITEPROP TIEOFF_X4Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y77 IS_BONDED 0 SITEPROP TIEOFF_X4Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y77 IS_PAD 0 SITEPROP TIEOFF_X4Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y77 IS_RESERVED 0 SITEPROP TIEOFF_X4Y77 IS_TEST 0 SITEPROP TIEOFF_X4Y77 IS_USED 0 SITEPROP TIEOFF_X4Y77 MANUAL_ROUTING SITEPROP TIEOFF_X4Y77 NAME TIEOFF_X4Y77 SITEPROP TIEOFF_X4Y77 NUM_ARCS 0 SITEPROP TIEOFF_X4Y77 NUM_BELS 2 SITEPROP TIEOFF_X4Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y77 NUM_PINS 2 SITEPROP TIEOFF_X4Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y77 PROHIBIT 0 SITEPROP TIEOFF_X4Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y77 RPM_X 24 SITEPROP TIEOFF_X4Y77 RPM_Y 154 SITEPROP TIEOFF_X4Y77 SITE_PIPS SITEPROP TIEOFF_X4Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y78 CLASS site SITEPROP TIEOFF_X4Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y78 IS_BONDED 0 SITEPROP TIEOFF_X4Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y78 IS_PAD 0 SITEPROP TIEOFF_X4Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y78 IS_RESERVED 0 SITEPROP TIEOFF_X4Y78 IS_TEST 0 SITEPROP TIEOFF_X4Y78 IS_USED 0 SITEPROP TIEOFF_X4Y78 MANUAL_ROUTING SITEPROP TIEOFF_X4Y78 NAME TIEOFF_X4Y78 SITEPROP TIEOFF_X4Y78 NUM_ARCS 0 SITEPROP TIEOFF_X4Y78 NUM_BELS 2 SITEPROP TIEOFF_X4Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y78 NUM_PINS 2 SITEPROP TIEOFF_X4Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y78 PROHIBIT 0 SITEPROP TIEOFF_X4Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y78 RPM_X 24 SITEPROP TIEOFF_X4Y78 RPM_Y 156 SITEPROP TIEOFF_X4Y78 SITE_PIPS SITEPROP TIEOFF_X4Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y79 CLASS site SITEPROP TIEOFF_X4Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y79 IS_BONDED 0 SITEPROP TIEOFF_X4Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y79 IS_PAD 0 SITEPROP TIEOFF_X4Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y79 IS_RESERVED 0 SITEPROP TIEOFF_X4Y79 IS_TEST 0 SITEPROP TIEOFF_X4Y79 IS_USED 0 SITEPROP TIEOFF_X4Y79 MANUAL_ROUTING SITEPROP TIEOFF_X4Y79 NAME TIEOFF_X4Y79 SITEPROP TIEOFF_X4Y79 NUM_ARCS 0 SITEPROP TIEOFF_X4Y79 NUM_BELS 2 SITEPROP TIEOFF_X4Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y79 NUM_PINS 2 SITEPROP TIEOFF_X4Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y79 PROHIBIT 0 SITEPROP TIEOFF_X4Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y79 RPM_X 24 SITEPROP TIEOFF_X4Y79 RPM_Y 158 SITEPROP TIEOFF_X4Y79 SITE_PIPS SITEPROP TIEOFF_X4Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y80 CLASS site SITEPROP TIEOFF_X4Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y80 IS_BONDED 0 SITEPROP TIEOFF_X4Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y80 IS_PAD 0 SITEPROP TIEOFF_X4Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y80 IS_RESERVED 0 SITEPROP TIEOFF_X4Y80 IS_TEST 0 SITEPROP TIEOFF_X4Y80 IS_USED 0 SITEPROP TIEOFF_X4Y80 MANUAL_ROUTING SITEPROP TIEOFF_X4Y80 NAME TIEOFF_X4Y80 SITEPROP TIEOFF_X4Y80 NUM_ARCS 0 SITEPROP TIEOFF_X4Y80 NUM_BELS 2 SITEPROP TIEOFF_X4Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y80 NUM_PINS 2 SITEPROP TIEOFF_X4Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y80 PROHIBIT 0 SITEPROP TIEOFF_X4Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y80 RPM_X 24 SITEPROP TIEOFF_X4Y80 RPM_Y 160 SITEPROP TIEOFF_X4Y80 SITE_PIPS SITEPROP TIEOFF_X4Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y81 CLASS site SITEPROP TIEOFF_X4Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y81 IS_BONDED 0 SITEPROP TIEOFF_X4Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y81 IS_PAD 0 SITEPROP TIEOFF_X4Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y81 IS_RESERVED 0 SITEPROP TIEOFF_X4Y81 IS_TEST 0 SITEPROP TIEOFF_X4Y81 IS_USED 0 SITEPROP TIEOFF_X4Y81 MANUAL_ROUTING SITEPROP TIEOFF_X4Y81 NAME TIEOFF_X4Y81 SITEPROP TIEOFF_X4Y81 NUM_ARCS 0 SITEPROP TIEOFF_X4Y81 NUM_BELS 2 SITEPROP TIEOFF_X4Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y81 NUM_PINS 2 SITEPROP TIEOFF_X4Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y81 PROHIBIT 0 SITEPROP TIEOFF_X4Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y81 RPM_X 24 SITEPROP TIEOFF_X4Y81 RPM_Y 162 SITEPROP TIEOFF_X4Y81 SITE_PIPS SITEPROP TIEOFF_X4Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y82 CLASS site SITEPROP TIEOFF_X4Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y82 IS_BONDED 0 SITEPROP TIEOFF_X4Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y82 IS_PAD 0 SITEPROP TIEOFF_X4Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y82 IS_RESERVED 0 SITEPROP TIEOFF_X4Y82 IS_TEST 0 SITEPROP TIEOFF_X4Y82 IS_USED 0 SITEPROP TIEOFF_X4Y82 MANUAL_ROUTING SITEPROP TIEOFF_X4Y82 NAME TIEOFF_X4Y82 SITEPROP TIEOFF_X4Y82 NUM_ARCS 0 SITEPROP TIEOFF_X4Y82 NUM_BELS 2 SITEPROP TIEOFF_X4Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y82 NUM_PINS 2 SITEPROP TIEOFF_X4Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y82 PROHIBIT 0 SITEPROP TIEOFF_X4Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y82 RPM_X 24 SITEPROP TIEOFF_X4Y82 RPM_Y 164 SITEPROP TIEOFF_X4Y82 SITE_PIPS SITEPROP TIEOFF_X4Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y83 CLASS site SITEPROP TIEOFF_X4Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y83 IS_BONDED 0 SITEPROP TIEOFF_X4Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y83 IS_PAD 0 SITEPROP TIEOFF_X4Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y83 IS_RESERVED 0 SITEPROP TIEOFF_X4Y83 IS_TEST 0 SITEPROP TIEOFF_X4Y83 IS_USED 0 SITEPROP TIEOFF_X4Y83 MANUAL_ROUTING SITEPROP TIEOFF_X4Y83 NAME TIEOFF_X4Y83 SITEPROP TIEOFF_X4Y83 NUM_ARCS 0 SITEPROP TIEOFF_X4Y83 NUM_BELS 2 SITEPROP TIEOFF_X4Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y83 NUM_PINS 2 SITEPROP TIEOFF_X4Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y83 PROHIBIT 0 SITEPROP TIEOFF_X4Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y83 RPM_X 24 SITEPROP TIEOFF_X4Y83 RPM_Y 166 SITEPROP TIEOFF_X4Y83 SITE_PIPS SITEPROP TIEOFF_X4Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y84 CLASS site SITEPROP TIEOFF_X4Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y84 IS_BONDED 0 SITEPROP TIEOFF_X4Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y84 IS_PAD 0 SITEPROP TIEOFF_X4Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y84 IS_RESERVED 0 SITEPROP TIEOFF_X4Y84 IS_TEST 0 SITEPROP TIEOFF_X4Y84 IS_USED 0 SITEPROP TIEOFF_X4Y84 MANUAL_ROUTING SITEPROP TIEOFF_X4Y84 NAME TIEOFF_X4Y84 SITEPROP TIEOFF_X4Y84 NUM_ARCS 0 SITEPROP TIEOFF_X4Y84 NUM_BELS 2 SITEPROP TIEOFF_X4Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y84 NUM_PINS 2 SITEPROP TIEOFF_X4Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y84 PROHIBIT 0 SITEPROP TIEOFF_X4Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y84 RPM_X 24 SITEPROP TIEOFF_X4Y84 RPM_Y 168 SITEPROP TIEOFF_X4Y84 SITE_PIPS SITEPROP TIEOFF_X4Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y85 CLASS site SITEPROP TIEOFF_X4Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y85 IS_BONDED 0 SITEPROP TIEOFF_X4Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y85 IS_PAD 0 SITEPROP TIEOFF_X4Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y85 IS_RESERVED 0 SITEPROP TIEOFF_X4Y85 IS_TEST 0 SITEPROP TIEOFF_X4Y85 IS_USED 0 SITEPROP TIEOFF_X4Y85 MANUAL_ROUTING SITEPROP TIEOFF_X4Y85 NAME TIEOFF_X4Y85 SITEPROP TIEOFF_X4Y85 NUM_ARCS 0 SITEPROP TIEOFF_X4Y85 NUM_BELS 2 SITEPROP TIEOFF_X4Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y85 NUM_PINS 2 SITEPROP TIEOFF_X4Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y85 PROHIBIT 0 SITEPROP TIEOFF_X4Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y85 RPM_X 24 SITEPROP TIEOFF_X4Y85 RPM_Y 170 SITEPROP TIEOFF_X4Y85 SITE_PIPS SITEPROP TIEOFF_X4Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y86 CLASS site SITEPROP TIEOFF_X4Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y86 IS_BONDED 0 SITEPROP TIEOFF_X4Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y86 IS_PAD 0 SITEPROP TIEOFF_X4Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y86 IS_RESERVED 0 SITEPROP TIEOFF_X4Y86 IS_TEST 0 SITEPROP TIEOFF_X4Y86 IS_USED 0 SITEPROP TIEOFF_X4Y86 MANUAL_ROUTING SITEPROP TIEOFF_X4Y86 NAME TIEOFF_X4Y86 SITEPROP TIEOFF_X4Y86 NUM_ARCS 0 SITEPROP TIEOFF_X4Y86 NUM_BELS 2 SITEPROP TIEOFF_X4Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y86 NUM_PINS 2 SITEPROP TIEOFF_X4Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y86 PROHIBIT 0 SITEPROP TIEOFF_X4Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y86 RPM_X 24 SITEPROP TIEOFF_X4Y86 RPM_Y 172 SITEPROP TIEOFF_X4Y86 SITE_PIPS SITEPROP TIEOFF_X4Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y87 CLASS site SITEPROP TIEOFF_X4Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y87 IS_BONDED 0 SITEPROP TIEOFF_X4Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y87 IS_PAD 0 SITEPROP TIEOFF_X4Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y87 IS_RESERVED 0 SITEPROP TIEOFF_X4Y87 IS_TEST 0 SITEPROP TIEOFF_X4Y87 IS_USED 0 SITEPROP TIEOFF_X4Y87 MANUAL_ROUTING SITEPROP TIEOFF_X4Y87 NAME TIEOFF_X4Y87 SITEPROP TIEOFF_X4Y87 NUM_ARCS 0 SITEPROP TIEOFF_X4Y87 NUM_BELS 2 SITEPROP TIEOFF_X4Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y87 NUM_PINS 2 SITEPROP TIEOFF_X4Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y87 PROHIBIT 0 SITEPROP TIEOFF_X4Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y87 RPM_X 24 SITEPROP TIEOFF_X4Y87 RPM_Y 174 SITEPROP TIEOFF_X4Y87 SITE_PIPS SITEPROP TIEOFF_X4Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y88 CLASS site SITEPROP TIEOFF_X4Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y88 IS_BONDED 0 SITEPROP TIEOFF_X4Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y88 IS_PAD 0 SITEPROP TIEOFF_X4Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y88 IS_RESERVED 0 SITEPROP TIEOFF_X4Y88 IS_TEST 0 SITEPROP TIEOFF_X4Y88 IS_USED 0 SITEPROP TIEOFF_X4Y88 MANUAL_ROUTING SITEPROP TIEOFF_X4Y88 NAME TIEOFF_X4Y88 SITEPROP TIEOFF_X4Y88 NUM_ARCS 0 SITEPROP TIEOFF_X4Y88 NUM_BELS 2 SITEPROP TIEOFF_X4Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y88 NUM_PINS 2 SITEPROP TIEOFF_X4Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y88 PROHIBIT 0 SITEPROP TIEOFF_X4Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y88 RPM_X 24 SITEPROP TIEOFF_X4Y88 RPM_Y 176 SITEPROP TIEOFF_X4Y88 SITE_PIPS SITEPROP TIEOFF_X4Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y89 CLASS site SITEPROP TIEOFF_X4Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y89 IS_BONDED 0 SITEPROP TIEOFF_X4Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y89 IS_PAD 0 SITEPROP TIEOFF_X4Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y89 IS_RESERVED 0 SITEPROP TIEOFF_X4Y89 IS_TEST 0 SITEPROP TIEOFF_X4Y89 IS_USED 0 SITEPROP TIEOFF_X4Y89 MANUAL_ROUTING SITEPROP TIEOFF_X4Y89 NAME TIEOFF_X4Y89 SITEPROP TIEOFF_X4Y89 NUM_ARCS 0 SITEPROP TIEOFF_X4Y89 NUM_BELS 2 SITEPROP TIEOFF_X4Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y89 NUM_PINS 2 SITEPROP TIEOFF_X4Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y89 PROHIBIT 0 SITEPROP TIEOFF_X4Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y89 RPM_X 24 SITEPROP TIEOFF_X4Y89 RPM_Y 178 SITEPROP TIEOFF_X4Y89 SITE_PIPS SITEPROP TIEOFF_X4Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y90 CLASS site SITEPROP TIEOFF_X4Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y90 IS_BONDED 0 SITEPROP TIEOFF_X4Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y90 IS_PAD 0 SITEPROP TIEOFF_X4Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y90 IS_RESERVED 0 SITEPROP TIEOFF_X4Y90 IS_TEST 0 SITEPROP TIEOFF_X4Y90 IS_USED 0 SITEPROP TIEOFF_X4Y90 MANUAL_ROUTING SITEPROP TIEOFF_X4Y90 NAME TIEOFF_X4Y90 SITEPROP TIEOFF_X4Y90 NUM_ARCS 0 SITEPROP TIEOFF_X4Y90 NUM_BELS 2 SITEPROP TIEOFF_X4Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y90 NUM_PINS 2 SITEPROP TIEOFF_X4Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y90 PROHIBIT 0 SITEPROP TIEOFF_X4Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y90 RPM_X 24 SITEPROP TIEOFF_X4Y90 RPM_Y 180 SITEPROP TIEOFF_X4Y90 SITE_PIPS SITEPROP TIEOFF_X4Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y91 CLASS site SITEPROP TIEOFF_X4Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y91 IS_BONDED 0 SITEPROP TIEOFF_X4Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y91 IS_PAD 0 SITEPROP TIEOFF_X4Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y91 IS_RESERVED 0 SITEPROP TIEOFF_X4Y91 IS_TEST 0 SITEPROP TIEOFF_X4Y91 IS_USED 0 SITEPROP TIEOFF_X4Y91 MANUAL_ROUTING SITEPROP TIEOFF_X4Y91 NAME TIEOFF_X4Y91 SITEPROP TIEOFF_X4Y91 NUM_ARCS 0 SITEPROP TIEOFF_X4Y91 NUM_BELS 2 SITEPROP TIEOFF_X4Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y91 NUM_PINS 2 SITEPROP TIEOFF_X4Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y91 PROHIBIT 0 SITEPROP TIEOFF_X4Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y91 RPM_X 24 SITEPROP TIEOFF_X4Y91 RPM_Y 182 SITEPROP TIEOFF_X4Y91 SITE_PIPS SITEPROP TIEOFF_X4Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y92 CLASS site SITEPROP TIEOFF_X4Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y92 IS_BONDED 0 SITEPROP TIEOFF_X4Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y92 IS_PAD 0 SITEPROP TIEOFF_X4Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y92 IS_RESERVED 0 SITEPROP TIEOFF_X4Y92 IS_TEST 0 SITEPROP TIEOFF_X4Y92 IS_USED 0 SITEPROP TIEOFF_X4Y92 MANUAL_ROUTING SITEPROP TIEOFF_X4Y92 NAME TIEOFF_X4Y92 SITEPROP TIEOFF_X4Y92 NUM_ARCS 0 SITEPROP TIEOFF_X4Y92 NUM_BELS 2 SITEPROP TIEOFF_X4Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y92 NUM_PINS 2 SITEPROP TIEOFF_X4Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y92 PROHIBIT 0 SITEPROP TIEOFF_X4Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y92 RPM_X 24 SITEPROP TIEOFF_X4Y92 RPM_Y 184 SITEPROP TIEOFF_X4Y92 SITE_PIPS SITEPROP TIEOFF_X4Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y93 CLASS site SITEPROP TIEOFF_X4Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y93 IS_BONDED 0 SITEPROP TIEOFF_X4Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y93 IS_PAD 0 SITEPROP TIEOFF_X4Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y93 IS_RESERVED 0 SITEPROP TIEOFF_X4Y93 IS_TEST 0 SITEPROP TIEOFF_X4Y93 IS_USED 0 SITEPROP TIEOFF_X4Y93 MANUAL_ROUTING SITEPROP TIEOFF_X4Y93 NAME TIEOFF_X4Y93 SITEPROP TIEOFF_X4Y93 NUM_ARCS 0 SITEPROP TIEOFF_X4Y93 NUM_BELS 2 SITEPROP TIEOFF_X4Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y93 NUM_PINS 2 SITEPROP TIEOFF_X4Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y93 PROHIBIT 0 SITEPROP TIEOFF_X4Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y93 RPM_X 24 SITEPROP TIEOFF_X4Y93 RPM_Y 186 SITEPROP TIEOFF_X4Y93 SITE_PIPS SITEPROP TIEOFF_X4Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y94 CLASS site SITEPROP TIEOFF_X4Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y94 IS_BONDED 0 SITEPROP TIEOFF_X4Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y94 IS_PAD 0 SITEPROP TIEOFF_X4Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y94 IS_RESERVED 0 SITEPROP TIEOFF_X4Y94 IS_TEST 0 SITEPROP TIEOFF_X4Y94 IS_USED 0 SITEPROP TIEOFF_X4Y94 MANUAL_ROUTING SITEPROP TIEOFF_X4Y94 NAME TIEOFF_X4Y94 SITEPROP TIEOFF_X4Y94 NUM_ARCS 0 SITEPROP TIEOFF_X4Y94 NUM_BELS 2 SITEPROP TIEOFF_X4Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y94 NUM_PINS 2 SITEPROP TIEOFF_X4Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y94 PROHIBIT 0 SITEPROP TIEOFF_X4Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y94 RPM_X 24 SITEPROP TIEOFF_X4Y94 RPM_Y 188 SITEPROP TIEOFF_X4Y94 SITE_PIPS SITEPROP TIEOFF_X4Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y95 CLASS site SITEPROP TIEOFF_X4Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y95 IS_BONDED 0 SITEPROP TIEOFF_X4Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y95 IS_PAD 0 SITEPROP TIEOFF_X4Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y95 IS_RESERVED 0 SITEPROP TIEOFF_X4Y95 IS_TEST 0 SITEPROP TIEOFF_X4Y95 IS_USED 0 SITEPROP TIEOFF_X4Y95 MANUAL_ROUTING SITEPROP TIEOFF_X4Y95 NAME TIEOFF_X4Y95 SITEPROP TIEOFF_X4Y95 NUM_ARCS 0 SITEPROP TIEOFF_X4Y95 NUM_BELS 2 SITEPROP TIEOFF_X4Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y95 NUM_PINS 2 SITEPROP TIEOFF_X4Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y95 PROHIBIT 0 SITEPROP TIEOFF_X4Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y95 RPM_X 24 SITEPROP TIEOFF_X4Y95 RPM_Y 190 SITEPROP TIEOFF_X4Y95 SITE_PIPS SITEPROP TIEOFF_X4Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y96 CLASS site SITEPROP TIEOFF_X4Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y96 IS_BONDED 0 SITEPROP TIEOFF_X4Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y96 IS_PAD 0 SITEPROP TIEOFF_X4Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y96 IS_RESERVED 0 SITEPROP TIEOFF_X4Y96 IS_TEST 0 SITEPROP TIEOFF_X4Y96 IS_USED 0 SITEPROP TIEOFF_X4Y96 MANUAL_ROUTING SITEPROP TIEOFF_X4Y96 NAME TIEOFF_X4Y96 SITEPROP TIEOFF_X4Y96 NUM_ARCS 0 SITEPROP TIEOFF_X4Y96 NUM_BELS 2 SITEPROP TIEOFF_X4Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y96 NUM_PINS 2 SITEPROP TIEOFF_X4Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y96 PROHIBIT 0 SITEPROP TIEOFF_X4Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y96 RPM_X 24 SITEPROP TIEOFF_X4Y96 RPM_Y 192 SITEPROP TIEOFF_X4Y96 SITE_PIPS SITEPROP TIEOFF_X4Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y97 CLASS site SITEPROP TIEOFF_X4Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y97 IS_BONDED 0 SITEPROP TIEOFF_X4Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y97 IS_PAD 0 SITEPROP TIEOFF_X4Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y97 IS_RESERVED 0 SITEPROP TIEOFF_X4Y97 IS_TEST 0 SITEPROP TIEOFF_X4Y97 IS_USED 0 SITEPROP TIEOFF_X4Y97 MANUAL_ROUTING SITEPROP TIEOFF_X4Y97 NAME TIEOFF_X4Y97 SITEPROP TIEOFF_X4Y97 NUM_ARCS 0 SITEPROP TIEOFF_X4Y97 NUM_BELS 2 SITEPROP TIEOFF_X4Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y97 NUM_PINS 2 SITEPROP TIEOFF_X4Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y97 PROHIBIT 0 SITEPROP TIEOFF_X4Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y97 RPM_X 24 SITEPROP TIEOFF_X4Y97 RPM_Y 194 SITEPROP TIEOFF_X4Y97 SITE_PIPS SITEPROP TIEOFF_X4Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y98 CLASS site SITEPROP TIEOFF_X4Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y98 IS_BONDED 0 SITEPROP TIEOFF_X4Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y98 IS_PAD 0 SITEPROP TIEOFF_X4Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y98 IS_RESERVED 0 SITEPROP TIEOFF_X4Y98 IS_TEST 0 SITEPROP TIEOFF_X4Y98 IS_USED 0 SITEPROP TIEOFF_X4Y98 MANUAL_ROUTING SITEPROP TIEOFF_X4Y98 NAME TIEOFF_X4Y98 SITEPROP TIEOFF_X4Y98 NUM_ARCS 0 SITEPROP TIEOFF_X4Y98 NUM_BELS 2 SITEPROP TIEOFF_X4Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y98 NUM_PINS 2 SITEPROP TIEOFF_X4Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y98 PROHIBIT 0 SITEPROP TIEOFF_X4Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y98 RPM_X 24 SITEPROP TIEOFF_X4Y98 RPM_Y 196 SITEPROP TIEOFF_X4Y98 SITE_PIPS SITEPROP TIEOFF_X4Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y99 CLASS site SITEPROP TIEOFF_X4Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X4Y99 IS_BONDED 0 SITEPROP TIEOFF_X4Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y99 IS_PAD 0 SITEPROP TIEOFF_X4Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y99 IS_RESERVED 0 SITEPROP TIEOFF_X4Y99 IS_TEST 0 SITEPROP TIEOFF_X4Y99 IS_USED 0 SITEPROP TIEOFF_X4Y99 MANUAL_ROUTING SITEPROP TIEOFF_X4Y99 NAME TIEOFF_X4Y99 SITEPROP TIEOFF_X4Y99 NUM_ARCS 0 SITEPROP TIEOFF_X4Y99 NUM_BELS 2 SITEPROP TIEOFF_X4Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y99 NUM_PINS 2 SITEPROP TIEOFF_X4Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y99 PROHIBIT 0 SITEPROP TIEOFF_X4Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y99 RPM_X 24 SITEPROP TIEOFF_X4Y99 RPM_Y 198 SITEPROP TIEOFF_X4Y99 SITE_PIPS SITEPROP TIEOFF_X4Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y100 CLASS site SITEPROP TIEOFF_X4Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y100 IS_BONDED 0 SITEPROP TIEOFF_X4Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y100 IS_PAD 0 SITEPROP TIEOFF_X4Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y100 IS_RESERVED 0 SITEPROP TIEOFF_X4Y100 IS_TEST 0 SITEPROP TIEOFF_X4Y100 IS_USED 0 SITEPROP TIEOFF_X4Y100 MANUAL_ROUTING SITEPROP TIEOFF_X4Y100 NAME TIEOFF_X4Y100 SITEPROP TIEOFF_X4Y100 NUM_ARCS 0 SITEPROP TIEOFF_X4Y100 NUM_BELS 2 SITEPROP TIEOFF_X4Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y100 NUM_PINS 2 SITEPROP TIEOFF_X4Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y100 PROHIBIT 0 SITEPROP TIEOFF_X4Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y100 RPM_X 24 SITEPROP TIEOFF_X4Y100 RPM_Y 200 SITEPROP TIEOFF_X4Y100 SITE_PIPS SITEPROP TIEOFF_X4Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y101 CLASS site SITEPROP TIEOFF_X4Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y101 IS_BONDED 0 SITEPROP TIEOFF_X4Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y101 IS_PAD 0 SITEPROP TIEOFF_X4Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y101 IS_RESERVED 0 SITEPROP TIEOFF_X4Y101 IS_TEST 0 SITEPROP TIEOFF_X4Y101 IS_USED 0 SITEPROP TIEOFF_X4Y101 MANUAL_ROUTING SITEPROP TIEOFF_X4Y101 NAME TIEOFF_X4Y101 SITEPROP TIEOFF_X4Y101 NUM_ARCS 0 SITEPROP TIEOFF_X4Y101 NUM_BELS 2 SITEPROP TIEOFF_X4Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y101 NUM_PINS 2 SITEPROP TIEOFF_X4Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y101 PROHIBIT 0 SITEPROP TIEOFF_X4Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y101 RPM_X 24 SITEPROP TIEOFF_X4Y101 RPM_Y 202 SITEPROP TIEOFF_X4Y101 SITE_PIPS SITEPROP TIEOFF_X4Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y102 CLASS site SITEPROP TIEOFF_X4Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y102 IS_BONDED 0 SITEPROP TIEOFF_X4Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y102 IS_PAD 0 SITEPROP TIEOFF_X4Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y102 IS_RESERVED 0 SITEPROP TIEOFF_X4Y102 IS_TEST 0 SITEPROP TIEOFF_X4Y102 IS_USED 0 SITEPROP TIEOFF_X4Y102 MANUAL_ROUTING SITEPROP TIEOFF_X4Y102 NAME TIEOFF_X4Y102 SITEPROP TIEOFF_X4Y102 NUM_ARCS 0 SITEPROP TIEOFF_X4Y102 NUM_BELS 2 SITEPROP TIEOFF_X4Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y102 NUM_PINS 2 SITEPROP TIEOFF_X4Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y102 PROHIBIT 0 SITEPROP TIEOFF_X4Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y102 RPM_X 24 SITEPROP TIEOFF_X4Y102 RPM_Y 204 SITEPROP TIEOFF_X4Y102 SITE_PIPS SITEPROP TIEOFF_X4Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y103 CLASS site SITEPROP TIEOFF_X4Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y103 IS_BONDED 0 SITEPROP TIEOFF_X4Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y103 IS_PAD 0 SITEPROP TIEOFF_X4Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y103 IS_RESERVED 0 SITEPROP TIEOFF_X4Y103 IS_TEST 0 SITEPROP TIEOFF_X4Y103 IS_USED 0 SITEPROP TIEOFF_X4Y103 MANUAL_ROUTING SITEPROP TIEOFF_X4Y103 NAME TIEOFF_X4Y103 SITEPROP TIEOFF_X4Y103 NUM_ARCS 0 SITEPROP TIEOFF_X4Y103 NUM_BELS 2 SITEPROP TIEOFF_X4Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y103 NUM_PINS 2 SITEPROP TIEOFF_X4Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y103 PROHIBIT 0 SITEPROP TIEOFF_X4Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y103 RPM_X 24 SITEPROP TIEOFF_X4Y103 RPM_Y 206 SITEPROP TIEOFF_X4Y103 SITE_PIPS SITEPROP TIEOFF_X4Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y104 CLASS site SITEPROP TIEOFF_X4Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y104 IS_BONDED 0 SITEPROP TIEOFF_X4Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y104 IS_PAD 0 SITEPROP TIEOFF_X4Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y104 IS_RESERVED 0 SITEPROP TIEOFF_X4Y104 IS_TEST 0 SITEPROP TIEOFF_X4Y104 IS_USED 0 SITEPROP TIEOFF_X4Y104 MANUAL_ROUTING SITEPROP TIEOFF_X4Y104 NAME TIEOFF_X4Y104 SITEPROP TIEOFF_X4Y104 NUM_ARCS 0 SITEPROP TIEOFF_X4Y104 NUM_BELS 2 SITEPROP TIEOFF_X4Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y104 NUM_PINS 2 SITEPROP TIEOFF_X4Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y104 PROHIBIT 0 SITEPROP TIEOFF_X4Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y104 RPM_X 24 SITEPROP TIEOFF_X4Y104 RPM_Y 208 SITEPROP TIEOFF_X4Y104 SITE_PIPS SITEPROP TIEOFF_X4Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y105 CLASS site SITEPROP TIEOFF_X4Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y105 IS_BONDED 0 SITEPROP TIEOFF_X4Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y105 IS_PAD 0 SITEPROP TIEOFF_X4Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y105 IS_RESERVED 0 SITEPROP TIEOFF_X4Y105 IS_TEST 0 SITEPROP TIEOFF_X4Y105 IS_USED 0 SITEPROP TIEOFF_X4Y105 MANUAL_ROUTING SITEPROP TIEOFF_X4Y105 NAME TIEOFF_X4Y105 SITEPROP TIEOFF_X4Y105 NUM_ARCS 0 SITEPROP TIEOFF_X4Y105 NUM_BELS 2 SITEPROP TIEOFF_X4Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y105 NUM_PINS 2 SITEPROP TIEOFF_X4Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y105 PROHIBIT 0 SITEPROP TIEOFF_X4Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y105 RPM_X 24 SITEPROP TIEOFF_X4Y105 RPM_Y 210 SITEPROP TIEOFF_X4Y105 SITE_PIPS SITEPROP TIEOFF_X4Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y106 CLASS site SITEPROP TIEOFF_X4Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y106 IS_BONDED 0 SITEPROP TIEOFF_X4Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y106 IS_PAD 0 SITEPROP TIEOFF_X4Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y106 IS_RESERVED 0 SITEPROP TIEOFF_X4Y106 IS_TEST 0 SITEPROP TIEOFF_X4Y106 IS_USED 0 SITEPROP TIEOFF_X4Y106 MANUAL_ROUTING SITEPROP TIEOFF_X4Y106 NAME TIEOFF_X4Y106 SITEPROP TIEOFF_X4Y106 NUM_ARCS 0 SITEPROP TIEOFF_X4Y106 NUM_BELS 2 SITEPROP TIEOFF_X4Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y106 NUM_PINS 2 SITEPROP TIEOFF_X4Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y106 PROHIBIT 0 SITEPROP TIEOFF_X4Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y106 RPM_X 24 SITEPROP TIEOFF_X4Y106 RPM_Y 212 SITEPROP TIEOFF_X4Y106 SITE_PIPS SITEPROP TIEOFF_X4Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y107 CLASS site SITEPROP TIEOFF_X4Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y107 IS_BONDED 0 SITEPROP TIEOFF_X4Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y107 IS_PAD 0 SITEPROP TIEOFF_X4Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y107 IS_RESERVED 0 SITEPROP TIEOFF_X4Y107 IS_TEST 0 SITEPROP TIEOFF_X4Y107 IS_USED 0 SITEPROP TIEOFF_X4Y107 MANUAL_ROUTING SITEPROP TIEOFF_X4Y107 NAME TIEOFF_X4Y107 SITEPROP TIEOFF_X4Y107 NUM_ARCS 0 SITEPROP TIEOFF_X4Y107 NUM_BELS 2 SITEPROP TIEOFF_X4Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y107 NUM_PINS 2 SITEPROP TIEOFF_X4Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y107 PROHIBIT 0 SITEPROP TIEOFF_X4Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y107 RPM_X 24 SITEPROP TIEOFF_X4Y107 RPM_Y 214 SITEPROP TIEOFF_X4Y107 SITE_PIPS SITEPROP TIEOFF_X4Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y108 CLASS site SITEPROP TIEOFF_X4Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y108 IS_BONDED 0 SITEPROP TIEOFF_X4Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y108 IS_PAD 0 SITEPROP TIEOFF_X4Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y108 IS_RESERVED 0 SITEPROP TIEOFF_X4Y108 IS_TEST 0 SITEPROP TIEOFF_X4Y108 IS_USED 0 SITEPROP TIEOFF_X4Y108 MANUAL_ROUTING SITEPROP TIEOFF_X4Y108 NAME TIEOFF_X4Y108 SITEPROP TIEOFF_X4Y108 NUM_ARCS 0 SITEPROP TIEOFF_X4Y108 NUM_BELS 2 SITEPROP TIEOFF_X4Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y108 NUM_PINS 2 SITEPROP TIEOFF_X4Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y108 PROHIBIT 0 SITEPROP TIEOFF_X4Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y108 RPM_X 24 SITEPROP TIEOFF_X4Y108 RPM_Y 216 SITEPROP TIEOFF_X4Y108 SITE_PIPS SITEPROP TIEOFF_X4Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y109 CLASS site SITEPROP TIEOFF_X4Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y109 IS_BONDED 0 SITEPROP TIEOFF_X4Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y109 IS_PAD 0 SITEPROP TIEOFF_X4Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y109 IS_RESERVED 0 SITEPROP TIEOFF_X4Y109 IS_TEST 0 SITEPROP TIEOFF_X4Y109 IS_USED 0 SITEPROP TIEOFF_X4Y109 MANUAL_ROUTING SITEPROP TIEOFF_X4Y109 NAME TIEOFF_X4Y109 SITEPROP TIEOFF_X4Y109 NUM_ARCS 0 SITEPROP TIEOFF_X4Y109 NUM_BELS 2 SITEPROP TIEOFF_X4Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y109 NUM_PINS 2 SITEPROP TIEOFF_X4Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y109 PROHIBIT 0 SITEPROP TIEOFF_X4Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y109 RPM_X 24 SITEPROP TIEOFF_X4Y109 RPM_Y 218 SITEPROP TIEOFF_X4Y109 SITE_PIPS SITEPROP TIEOFF_X4Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y110 CLASS site SITEPROP TIEOFF_X4Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y110 IS_BONDED 0 SITEPROP TIEOFF_X4Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y110 IS_PAD 0 SITEPROP TIEOFF_X4Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y110 IS_RESERVED 0 SITEPROP TIEOFF_X4Y110 IS_TEST 0 SITEPROP TIEOFF_X4Y110 IS_USED 0 SITEPROP TIEOFF_X4Y110 MANUAL_ROUTING SITEPROP TIEOFF_X4Y110 NAME TIEOFF_X4Y110 SITEPROP TIEOFF_X4Y110 NUM_ARCS 0 SITEPROP TIEOFF_X4Y110 NUM_BELS 2 SITEPROP TIEOFF_X4Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y110 NUM_PINS 2 SITEPROP TIEOFF_X4Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y110 PROHIBIT 0 SITEPROP TIEOFF_X4Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y110 RPM_X 24 SITEPROP TIEOFF_X4Y110 RPM_Y 220 SITEPROP TIEOFF_X4Y110 SITE_PIPS SITEPROP TIEOFF_X4Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y111 CLASS site SITEPROP TIEOFF_X4Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y111 IS_BONDED 0 SITEPROP TIEOFF_X4Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y111 IS_PAD 0 SITEPROP TIEOFF_X4Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y111 IS_RESERVED 0 SITEPROP TIEOFF_X4Y111 IS_TEST 0 SITEPROP TIEOFF_X4Y111 IS_USED 0 SITEPROP TIEOFF_X4Y111 MANUAL_ROUTING SITEPROP TIEOFF_X4Y111 NAME TIEOFF_X4Y111 SITEPROP TIEOFF_X4Y111 NUM_ARCS 0 SITEPROP TIEOFF_X4Y111 NUM_BELS 2 SITEPROP TIEOFF_X4Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y111 NUM_PINS 2 SITEPROP TIEOFF_X4Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y111 PROHIBIT 0 SITEPROP TIEOFF_X4Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y111 RPM_X 24 SITEPROP TIEOFF_X4Y111 RPM_Y 222 SITEPROP TIEOFF_X4Y111 SITE_PIPS SITEPROP TIEOFF_X4Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y112 CLASS site SITEPROP TIEOFF_X4Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y112 IS_BONDED 0 SITEPROP TIEOFF_X4Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y112 IS_PAD 0 SITEPROP TIEOFF_X4Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y112 IS_RESERVED 0 SITEPROP TIEOFF_X4Y112 IS_TEST 0 SITEPROP TIEOFF_X4Y112 IS_USED 0 SITEPROP TIEOFF_X4Y112 MANUAL_ROUTING SITEPROP TIEOFF_X4Y112 NAME TIEOFF_X4Y112 SITEPROP TIEOFF_X4Y112 NUM_ARCS 0 SITEPROP TIEOFF_X4Y112 NUM_BELS 2 SITEPROP TIEOFF_X4Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y112 NUM_PINS 2 SITEPROP TIEOFF_X4Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y112 PROHIBIT 0 SITEPROP TIEOFF_X4Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y112 RPM_X 24 SITEPROP TIEOFF_X4Y112 RPM_Y 224 SITEPROP TIEOFF_X4Y112 SITE_PIPS SITEPROP TIEOFF_X4Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y113 CLASS site SITEPROP TIEOFF_X4Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y113 IS_BONDED 0 SITEPROP TIEOFF_X4Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y113 IS_PAD 0 SITEPROP TIEOFF_X4Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y113 IS_RESERVED 0 SITEPROP TIEOFF_X4Y113 IS_TEST 0 SITEPROP TIEOFF_X4Y113 IS_USED 0 SITEPROP TIEOFF_X4Y113 MANUAL_ROUTING SITEPROP TIEOFF_X4Y113 NAME TIEOFF_X4Y113 SITEPROP TIEOFF_X4Y113 NUM_ARCS 0 SITEPROP TIEOFF_X4Y113 NUM_BELS 2 SITEPROP TIEOFF_X4Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y113 NUM_PINS 2 SITEPROP TIEOFF_X4Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y113 PROHIBIT 0 SITEPROP TIEOFF_X4Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y113 RPM_X 24 SITEPROP TIEOFF_X4Y113 RPM_Y 226 SITEPROP TIEOFF_X4Y113 SITE_PIPS SITEPROP TIEOFF_X4Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y114 CLASS site SITEPROP TIEOFF_X4Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y114 IS_BONDED 0 SITEPROP TIEOFF_X4Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y114 IS_PAD 0 SITEPROP TIEOFF_X4Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y114 IS_RESERVED 0 SITEPROP TIEOFF_X4Y114 IS_TEST 0 SITEPROP TIEOFF_X4Y114 IS_USED 0 SITEPROP TIEOFF_X4Y114 MANUAL_ROUTING SITEPROP TIEOFF_X4Y114 NAME TIEOFF_X4Y114 SITEPROP TIEOFF_X4Y114 NUM_ARCS 0 SITEPROP TIEOFF_X4Y114 NUM_BELS 2 SITEPROP TIEOFF_X4Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y114 NUM_PINS 2 SITEPROP TIEOFF_X4Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y114 PROHIBIT 0 SITEPROP TIEOFF_X4Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y114 RPM_X 24 SITEPROP TIEOFF_X4Y114 RPM_Y 228 SITEPROP TIEOFF_X4Y114 SITE_PIPS SITEPROP TIEOFF_X4Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y115 CLASS site SITEPROP TIEOFF_X4Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y115 IS_BONDED 0 SITEPROP TIEOFF_X4Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y115 IS_PAD 0 SITEPROP TIEOFF_X4Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y115 IS_RESERVED 0 SITEPROP TIEOFF_X4Y115 IS_TEST 0 SITEPROP TIEOFF_X4Y115 IS_USED 0 SITEPROP TIEOFF_X4Y115 MANUAL_ROUTING SITEPROP TIEOFF_X4Y115 NAME TIEOFF_X4Y115 SITEPROP TIEOFF_X4Y115 NUM_ARCS 0 SITEPROP TIEOFF_X4Y115 NUM_BELS 2 SITEPROP TIEOFF_X4Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y115 NUM_PINS 2 SITEPROP TIEOFF_X4Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y115 PROHIBIT 0 SITEPROP TIEOFF_X4Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y115 RPM_X 24 SITEPROP TIEOFF_X4Y115 RPM_Y 230 SITEPROP TIEOFF_X4Y115 SITE_PIPS SITEPROP TIEOFF_X4Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y116 CLASS site SITEPROP TIEOFF_X4Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y116 IS_BONDED 0 SITEPROP TIEOFF_X4Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y116 IS_PAD 0 SITEPROP TIEOFF_X4Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y116 IS_RESERVED 0 SITEPROP TIEOFF_X4Y116 IS_TEST 0 SITEPROP TIEOFF_X4Y116 IS_USED 0 SITEPROP TIEOFF_X4Y116 MANUAL_ROUTING SITEPROP TIEOFF_X4Y116 NAME TIEOFF_X4Y116 SITEPROP TIEOFF_X4Y116 NUM_ARCS 0 SITEPROP TIEOFF_X4Y116 NUM_BELS 2 SITEPROP TIEOFF_X4Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y116 NUM_PINS 2 SITEPROP TIEOFF_X4Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y116 PROHIBIT 0 SITEPROP TIEOFF_X4Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y116 RPM_X 24 SITEPROP TIEOFF_X4Y116 RPM_Y 232 SITEPROP TIEOFF_X4Y116 SITE_PIPS SITEPROP TIEOFF_X4Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y117 CLASS site SITEPROP TIEOFF_X4Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y117 IS_BONDED 0 SITEPROP TIEOFF_X4Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y117 IS_PAD 0 SITEPROP TIEOFF_X4Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y117 IS_RESERVED 0 SITEPROP TIEOFF_X4Y117 IS_TEST 0 SITEPROP TIEOFF_X4Y117 IS_USED 0 SITEPROP TIEOFF_X4Y117 MANUAL_ROUTING SITEPROP TIEOFF_X4Y117 NAME TIEOFF_X4Y117 SITEPROP TIEOFF_X4Y117 NUM_ARCS 0 SITEPROP TIEOFF_X4Y117 NUM_BELS 2 SITEPROP TIEOFF_X4Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y117 NUM_PINS 2 SITEPROP TIEOFF_X4Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y117 PROHIBIT 0 SITEPROP TIEOFF_X4Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y117 RPM_X 24 SITEPROP TIEOFF_X4Y117 RPM_Y 234 SITEPROP TIEOFF_X4Y117 SITE_PIPS SITEPROP TIEOFF_X4Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y118 CLASS site SITEPROP TIEOFF_X4Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y118 IS_BONDED 0 SITEPROP TIEOFF_X4Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y118 IS_PAD 0 SITEPROP TIEOFF_X4Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y118 IS_RESERVED 0 SITEPROP TIEOFF_X4Y118 IS_TEST 0 SITEPROP TIEOFF_X4Y118 IS_USED 0 SITEPROP TIEOFF_X4Y118 MANUAL_ROUTING SITEPROP TIEOFF_X4Y118 NAME TIEOFF_X4Y118 SITEPROP TIEOFF_X4Y118 NUM_ARCS 0 SITEPROP TIEOFF_X4Y118 NUM_BELS 2 SITEPROP TIEOFF_X4Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y118 NUM_PINS 2 SITEPROP TIEOFF_X4Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y118 PROHIBIT 0 SITEPROP TIEOFF_X4Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y118 RPM_X 24 SITEPROP TIEOFF_X4Y118 RPM_Y 236 SITEPROP TIEOFF_X4Y118 SITE_PIPS SITEPROP TIEOFF_X4Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y119 CLASS site SITEPROP TIEOFF_X4Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y119 IS_BONDED 0 SITEPROP TIEOFF_X4Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y119 IS_PAD 0 SITEPROP TIEOFF_X4Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y119 IS_RESERVED 0 SITEPROP TIEOFF_X4Y119 IS_TEST 0 SITEPROP TIEOFF_X4Y119 IS_USED 0 SITEPROP TIEOFF_X4Y119 MANUAL_ROUTING SITEPROP TIEOFF_X4Y119 NAME TIEOFF_X4Y119 SITEPROP TIEOFF_X4Y119 NUM_ARCS 0 SITEPROP TIEOFF_X4Y119 NUM_BELS 2 SITEPROP TIEOFF_X4Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y119 NUM_PINS 2 SITEPROP TIEOFF_X4Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y119 PROHIBIT 0 SITEPROP TIEOFF_X4Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y119 RPM_X 24 SITEPROP TIEOFF_X4Y119 RPM_Y 238 SITEPROP TIEOFF_X4Y119 SITE_PIPS SITEPROP TIEOFF_X4Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y120 CLASS site SITEPROP TIEOFF_X4Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y120 IS_BONDED 0 SITEPROP TIEOFF_X4Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y120 IS_PAD 0 SITEPROP TIEOFF_X4Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y120 IS_RESERVED 0 SITEPROP TIEOFF_X4Y120 IS_TEST 0 SITEPROP TIEOFF_X4Y120 IS_USED 0 SITEPROP TIEOFF_X4Y120 MANUAL_ROUTING SITEPROP TIEOFF_X4Y120 NAME TIEOFF_X4Y120 SITEPROP TIEOFF_X4Y120 NUM_ARCS 0 SITEPROP TIEOFF_X4Y120 NUM_BELS 2 SITEPROP TIEOFF_X4Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y120 NUM_PINS 2 SITEPROP TIEOFF_X4Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y120 PROHIBIT 0 SITEPROP TIEOFF_X4Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y120 RPM_X 24 SITEPROP TIEOFF_X4Y120 RPM_Y 240 SITEPROP TIEOFF_X4Y120 SITE_PIPS SITEPROP TIEOFF_X4Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y121 CLASS site SITEPROP TIEOFF_X4Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y121 IS_BONDED 0 SITEPROP TIEOFF_X4Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y121 IS_PAD 0 SITEPROP TIEOFF_X4Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y121 IS_RESERVED 0 SITEPROP TIEOFF_X4Y121 IS_TEST 0 SITEPROP TIEOFF_X4Y121 IS_USED 0 SITEPROP TIEOFF_X4Y121 MANUAL_ROUTING SITEPROP TIEOFF_X4Y121 NAME TIEOFF_X4Y121 SITEPROP TIEOFF_X4Y121 NUM_ARCS 0 SITEPROP TIEOFF_X4Y121 NUM_BELS 2 SITEPROP TIEOFF_X4Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y121 NUM_PINS 2 SITEPROP TIEOFF_X4Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y121 PROHIBIT 0 SITEPROP TIEOFF_X4Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y121 RPM_X 24 SITEPROP TIEOFF_X4Y121 RPM_Y 242 SITEPROP TIEOFF_X4Y121 SITE_PIPS SITEPROP TIEOFF_X4Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y122 CLASS site SITEPROP TIEOFF_X4Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y122 IS_BONDED 0 SITEPROP TIEOFF_X4Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y122 IS_PAD 0 SITEPROP TIEOFF_X4Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y122 IS_RESERVED 0 SITEPROP TIEOFF_X4Y122 IS_TEST 0 SITEPROP TIEOFF_X4Y122 IS_USED 0 SITEPROP TIEOFF_X4Y122 MANUAL_ROUTING SITEPROP TIEOFF_X4Y122 NAME TIEOFF_X4Y122 SITEPROP TIEOFF_X4Y122 NUM_ARCS 0 SITEPROP TIEOFF_X4Y122 NUM_BELS 2 SITEPROP TIEOFF_X4Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y122 NUM_PINS 2 SITEPROP TIEOFF_X4Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y122 PROHIBIT 0 SITEPROP TIEOFF_X4Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y122 RPM_X 24 SITEPROP TIEOFF_X4Y122 RPM_Y 244 SITEPROP TIEOFF_X4Y122 SITE_PIPS SITEPROP TIEOFF_X4Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y123 CLASS site SITEPROP TIEOFF_X4Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y123 IS_BONDED 0 SITEPROP TIEOFF_X4Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y123 IS_PAD 0 SITEPROP TIEOFF_X4Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y123 IS_RESERVED 0 SITEPROP TIEOFF_X4Y123 IS_TEST 0 SITEPROP TIEOFF_X4Y123 IS_USED 0 SITEPROP TIEOFF_X4Y123 MANUAL_ROUTING SITEPROP TIEOFF_X4Y123 NAME TIEOFF_X4Y123 SITEPROP TIEOFF_X4Y123 NUM_ARCS 0 SITEPROP TIEOFF_X4Y123 NUM_BELS 2 SITEPROP TIEOFF_X4Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y123 NUM_PINS 2 SITEPROP TIEOFF_X4Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y123 PROHIBIT 0 SITEPROP TIEOFF_X4Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y123 RPM_X 24 SITEPROP TIEOFF_X4Y123 RPM_Y 246 SITEPROP TIEOFF_X4Y123 SITE_PIPS SITEPROP TIEOFF_X4Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y124 CLASS site SITEPROP TIEOFF_X4Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y124 IS_BONDED 0 SITEPROP TIEOFF_X4Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y124 IS_PAD 0 SITEPROP TIEOFF_X4Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y124 IS_RESERVED 0 SITEPROP TIEOFF_X4Y124 IS_TEST 0 SITEPROP TIEOFF_X4Y124 IS_USED 0 SITEPROP TIEOFF_X4Y124 MANUAL_ROUTING SITEPROP TIEOFF_X4Y124 NAME TIEOFF_X4Y124 SITEPROP TIEOFF_X4Y124 NUM_ARCS 0 SITEPROP TIEOFF_X4Y124 NUM_BELS 2 SITEPROP TIEOFF_X4Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y124 NUM_PINS 2 SITEPROP TIEOFF_X4Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y124 PROHIBIT 0 SITEPROP TIEOFF_X4Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y124 RPM_X 24 SITEPROP TIEOFF_X4Y124 RPM_Y 248 SITEPROP TIEOFF_X4Y124 SITE_PIPS SITEPROP TIEOFF_X4Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y125 CLASS site SITEPROP TIEOFF_X4Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y125 IS_BONDED 0 SITEPROP TIEOFF_X4Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y125 IS_PAD 0 SITEPROP TIEOFF_X4Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y125 IS_RESERVED 0 SITEPROP TIEOFF_X4Y125 IS_TEST 0 SITEPROP TIEOFF_X4Y125 IS_USED 0 SITEPROP TIEOFF_X4Y125 MANUAL_ROUTING SITEPROP TIEOFF_X4Y125 NAME TIEOFF_X4Y125 SITEPROP TIEOFF_X4Y125 NUM_ARCS 0 SITEPROP TIEOFF_X4Y125 NUM_BELS 2 SITEPROP TIEOFF_X4Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y125 NUM_PINS 2 SITEPROP TIEOFF_X4Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y125 PROHIBIT 0 SITEPROP TIEOFF_X4Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y125 RPM_X 24 SITEPROP TIEOFF_X4Y125 RPM_Y 250 SITEPROP TIEOFF_X4Y125 SITE_PIPS SITEPROP TIEOFF_X4Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y126 CLASS site SITEPROP TIEOFF_X4Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y126 IS_BONDED 0 SITEPROP TIEOFF_X4Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y126 IS_PAD 0 SITEPROP TIEOFF_X4Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y126 IS_RESERVED 0 SITEPROP TIEOFF_X4Y126 IS_TEST 0 SITEPROP TIEOFF_X4Y126 IS_USED 0 SITEPROP TIEOFF_X4Y126 MANUAL_ROUTING SITEPROP TIEOFF_X4Y126 NAME TIEOFF_X4Y126 SITEPROP TIEOFF_X4Y126 NUM_ARCS 0 SITEPROP TIEOFF_X4Y126 NUM_BELS 2 SITEPROP TIEOFF_X4Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y126 NUM_PINS 2 SITEPROP TIEOFF_X4Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y126 PROHIBIT 0 SITEPROP TIEOFF_X4Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y126 RPM_X 24 SITEPROP TIEOFF_X4Y126 RPM_Y 252 SITEPROP TIEOFF_X4Y126 SITE_PIPS SITEPROP TIEOFF_X4Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y127 CLASS site SITEPROP TIEOFF_X4Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y127 IS_BONDED 0 SITEPROP TIEOFF_X4Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y127 IS_PAD 0 SITEPROP TIEOFF_X4Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y127 IS_RESERVED 0 SITEPROP TIEOFF_X4Y127 IS_TEST 0 SITEPROP TIEOFF_X4Y127 IS_USED 0 SITEPROP TIEOFF_X4Y127 MANUAL_ROUTING SITEPROP TIEOFF_X4Y127 NAME TIEOFF_X4Y127 SITEPROP TIEOFF_X4Y127 NUM_ARCS 0 SITEPROP TIEOFF_X4Y127 NUM_BELS 2 SITEPROP TIEOFF_X4Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y127 NUM_PINS 2 SITEPROP TIEOFF_X4Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y127 PROHIBIT 0 SITEPROP TIEOFF_X4Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y127 RPM_X 24 SITEPROP TIEOFF_X4Y127 RPM_Y 254 SITEPROP TIEOFF_X4Y127 SITE_PIPS SITEPROP TIEOFF_X4Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y128 CLASS site SITEPROP TIEOFF_X4Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y128 IS_BONDED 0 SITEPROP TIEOFF_X4Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y128 IS_PAD 0 SITEPROP TIEOFF_X4Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y128 IS_RESERVED 0 SITEPROP TIEOFF_X4Y128 IS_TEST 0 SITEPROP TIEOFF_X4Y128 IS_USED 0 SITEPROP TIEOFF_X4Y128 MANUAL_ROUTING SITEPROP TIEOFF_X4Y128 NAME TIEOFF_X4Y128 SITEPROP TIEOFF_X4Y128 NUM_ARCS 0 SITEPROP TIEOFF_X4Y128 NUM_BELS 2 SITEPROP TIEOFF_X4Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y128 NUM_PINS 2 SITEPROP TIEOFF_X4Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y128 PROHIBIT 0 SITEPROP TIEOFF_X4Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y128 RPM_X 24 SITEPROP TIEOFF_X4Y128 RPM_Y 256 SITEPROP TIEOFF_X4Y128 SITE_PIPS SITEPROP TIEOFF_X4Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y129 CLASS site SITEPROP TIEOFF_X4Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y129 IS_BONDED 0 SITEPROP TIEOFF_X4Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y129 IS_PAD 0 SITEPROP TIEOFF_X4Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y129 IS_RESERVED 0 SITEPROP TIEOFF_X4Y129 IS_TEST 0 SITEPROP TIEOFF_X4Y129 IS_USED 0 SITEPROP TIEOFF_X4Y129 MANUAL_ROUTING SITEPROP TIEOFF_X4Y129 NAME TIEOFF_X4Y129 SITEPROP TIEOFF_X4Y129 NUM_ARCS 0 SITEPROP TIEOFF_X4Y129 NUM_BELS 2 SITEPROP TIEOFF_X4Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y129 NUM_PINS 2 SITEPROP TIEOFF_X4Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y129 PROHIBIT 0 SITEPROP TIEOFF_X4Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y129 RPM_X 24 SITEPROP TIEOFF_X4Y129 RPM_Y 258 SITEPROP TIEOFF_X4Y129 SITE_PIPS SITEPROP TIEOFF_X4Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y130 CLASS site SITEPROP TIEOFF_X4Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y130 IS_BONDED 0 SITEPROP TIEOFF_X4Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y130 IS_PAD 0 SITEPROP TIEOFF_X4Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y130 IS_RESERVED 0 SITEPROP TIEOFF_X4Y130 IS_TEST 0 SITEPROP TIEOFF_X4Y130 IS_USED 0 SITEPROP TIEOFF_X4Y130 MANUAL_ROUTING SITEPROP TIEOFF_X4Y130 NAME TIEOFF_X4Y130 SITEPROP TIEOFF_X4Y130 NUM_ARCS 0 SITEPROP TIEOFF_X4Y130 NUM_BELS 2 SITEPROP TIEOFF_X4Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y130 NUM_PINS 2 SITEPROP TIEOFF_X4Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y130 PROHIBIT 0 SITEPROP TIEOFF_X4Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y130 RPM_X 24 SITEPROP TIEOFF_X4Y130 RPM_Y 260 SITEPROP TIEOFF_X4Y130 SITE_PIPS SITEPROP TIEOFF_X4Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y131 CLASS site SITEPROP TIEOFF_X4Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y131 IS_BONDED 0 SITEPROP TIEOFF_X4Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y131 IS_PAD 0 SITEPROP TIEOFF_X4Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y131 IS_RESERVED 0 SITEPROP TIEOFF_X4Y131 IS_TEST 0 SITEPROP TIEOFF_X4Y131 IS_USED 0 SITEPROP TIEOFF_X4Y131 MANUAL_ROUTING SITEPROP TIEOFF_X4Y131 NAME TIEOFF_X4Y131 SITEPROP TIEOFF_X4Y131 NUM_ARCS 0 SITEPROP TIEOFF_X4Y131 NUM_BELS 2 SITEPROP TIEOFF_X4Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y131 NUM_PINS 2 SITEPROP TIEOFF_X4Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y131 PROHIBIT 0 SITEPROP TIEOFF_X4Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y131 RPM_X 24 SITEPROP TIEOFF_X4Y131 RPM_Y 262 SITEPROP TIEOFF_X4Y131 SITE_PIPS SITEPROP TIEOFF_X4Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y132 CLASS site SITEPROP TIEOFF_X4Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y132 IS_BONDED 0 SITEPROP TIEOFF_X4Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y132 IS_PAD 0 SITEPROP TIEOFF_X4Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y132 IS_RESERVED 0 SITEPROP TIEOFF_X4Y132 IS_TEST 0 SITEPROP TIEOFF_X4Y132 IS_USED 0 SITEPROP TIEOFF_X4Y132 MANUAL_ROUTING SITEPROP TIEOFF_X4Y132 NAME TIEOFF_X4Y132 SITEPROP TIEOFF_X4Y132 NUM_ARCS 0 SITEPROP TIEOFF_X4Y132 NUM_BELS 2 SITEPROP TIEOFF_X4Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y132 NUM_PINS 2 SITEPROP TIEOFF_X4Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y132 PROHIBIT 0 SITEPROP TIEOFF_X4Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y132 RPM_X 24 SITEPROP TIEOFF_X4Y132 RPM_Y 264 SITEPROP TIEOFF_X4Y132 SITE_PIPS SITEPROP TIEOFF_X4Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y133 CLASS site SITEPROP TIEOFF_X4Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y133 IS_BONDED 0 SITEPROP TIEOFF_X4Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y133 IS_PAD 0 SITEPROP TIEOFF_X4Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y133 IS_RESERVED 0 SITEPROP TIEOFF_X4Y133 IS_TEST 0 SITEPROP TIEOFF_X4Y133 IS_USED 0 SITEPROP TIEOFF_X4Y133 MANUAL_ROUTING SITEPROP TIEOFF_X4Y133 NAME TIEOFF_X4Y133 SITEPROP TIEOFF_X4Y133 NUM_ARCS 0 SITEPROP TIEOFF_X4Y133 NUM_BELS 2 SITEPROP TIEOFF_X4Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y133 NUM_PINS 2 SITEPROP TIEOFF_X4Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y133 PROHIBIT 0 SITEPROP TIEOFF_X4Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y133 RPM_X 24 SITEPROP TIEOFF_X4Y133 RPM_Y 266 SITEPROP TIEOFF_X4Y133 SITE_PIPS SITEPROP TIEOFF_X4Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y134 CLASS site SITEPROP TIEOFF_X4Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y134 IS_BONDED 0 SITEPROP TIEOFF_X4Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y134 IS_PAD 0 SITEPROP TIEOFF_X4Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y134 IS_RESERVED 0 SITEPROP TIEOFF_X4Y134 IS_TEST 0 SITEPROP TIEOFF_X4Y134 IS_USED 0 SITEPROP TIEOFF_X4Y134 MANUAL_ROUTING SITEPROP TIEOFF_X4Y134 NAME TIEOFF_X4Y134 SITEPROP TIEOFF_X4Y134 NUM_ARCS 0 SITEPROP TIEOFF_X4Y134 NUM_BELS 2 SITEPROP TIEOFF_X4Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y134 NUM_PINS 2 SITEPROP TIEOFF_X4Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y134 PROHIBIT 0 SITEPROP TIEOFF_X4Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y134 RPM_X 24 SITEPROP TIEOFF_X4Y134 RPM_Y 268 SITEPROP TIEOFF_X4Y134 SITE_PIPS SITEPROP TIEOFF_X4Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y135 CLASS site SITEPROP TIEOFF_X4Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y135 IS_BONDED 0 SITEPROP TIEOFF_X4Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y135 IS_PAD 0 SITEPROP TIEOFF_X4Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y135 IS_RESERVED 0 SITEPROP TIEOFF_X4Y135 IS_TEST 0 SITEPROP TIEOFF_X4Y135 IS_USED 0 SITEPROP TIEOFF_X4Y135 MANUAL_ROUTING SITEPROP TIEOFF_X4Y135 NAME TIEOFF_X4Y135 SITEPROP TIEOFF_X4Y135 NUM_ARCS 0 SITEPROP TIEOFF_X4Y135 NUM_BELS 2 SITEPROP TIEOFF_X4Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y135 NUM_PINS 2 SITEPROP TIEOFF_X4Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y135 PROHIBIT 0 SITEPROP TIEOFF_X4Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y135 RPM_X 24 SITEPROP TIEOFF_X4Y135 RPM_Y 270 SITEPROP TIEOFF_X4Y135 SITE_PIPS SITEPROP TIEOFF_X4Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y136 CLASS site SITEPROP TIEOFF_X4Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y136 IS_BONDED 0 SITEPROP TIEOFF_X4Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y136 IS_PAD 0 SITEPROP TIEOFF_X4Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y136 IS_RESERVED 0 SITEPROP TIEOFF_X4Y136 IS_TEST 0 SITEPROP TIEOFF_X4Y136 IS_USED 0 SITEPROP TIEOFF_X4Y136 MANUAL_ROUTING SITEPROP TIEOFF_X4Y136 NAME TIEOFF_X4Y136 SITEPROP TIEOFF_X4Y136 NUM_ARCS 0 SITEPROP TIEOFF_X4Y136 NUM_BELS 2 SITEPROP TIEOFF_X4Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y136 NUM_PINS 2 SITEPROP TIEOFF_X4Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y136 PROHIBIT 0 SITEPROP TIEOFF_X4Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y136 RPM_X 24 SITEPROP TIEOFF_X4Y136 RPM_Y 272 SITEPROP TIEOFF_X4Y136 SITE_PIPS SITEPROP TIEOFF_X4Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y137 CLASS site SITEPROP TIEOFF_X4Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y137 IS_BONDED 0 SITEPROP TIEOFF_X4Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y137 IS_PAD 0 SITEPROP TIEOFF_X4Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y137 IS_RESERVED 0 SITEPROP TIEOFF_X4Y137 IS_TEST 0 SITEPROP TIEOFF_X4Y137 IS_USED 0 SITEPROP TIEOFF_X4Y137 MANUAL_ROUTING SITEPROP TIEOFF_X4Y137 NAME TIEOFF_X4Y137 SITEPROP TIEOFF_X4Y137 NUM_ARCS 0 SITEPROP TIEOFF_X4Y137 NUM_BELS 2 SITEPROP TIEOFF_X4Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y137 NUM_PINS 2 SITEPROP TIEOFF_X4Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y137 PROHIBIT 0 SITEPROP TIEOFF_X4Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y137 RPM_X 24 SITEPROP TIEOFF_X4Y137 RPM_Y 274 SITEPROP TIEOFF_X4Y137 SITE_PIPS SITEPROP TIEOFF_X4Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y138 CLASS site SITEPROP TIEOFF_X4Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y138 IS_BONDED 0 SITEPROP TIEOFF_X4Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y138 IS_PAD 0 SITEPROP TIEOFF_X4Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y138 IS_RESERVED 0 SITEPROP TIEOFF_X4Y138 IS_TEST 0 SITEPROP TIEOFF_X4Y138 IS_USED 0 SITEPROP TIEOFF_X4Y138 MANUAL_ROUTING SITEPROP TIEOFF_X4Y138 NAME TIEOFF_X4Y138 SITEPROP TIEOFF_X4Y138 NUM_ARCS 0 SITEPROP TIEOFF_X4Y138 NUM_BELS 2 SITEPROP TIEOFF_X4Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y138 NUM_PINS 2 SITEPROP TIEOFF_X4Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y138 PROHIBIT 0 SITEPROP TIEOFF_X4Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y138 RPM_X 24 SITEPROP TIEOFF_X4Y138 RPM_Y 276 SITEPROP TIEOFF_X4Y138 SITE_PIPS SITEPROP TIEOFF_X4Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y139 CLASS site SITEPROP TIEOFF_X4Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y139 IS_BONDED 0 SITEPROP TIEOFF_X4Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y139 IS_PAD 0 SITEPROP TIEOFF_X4Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y139 IS_RESERVED 0 SITEPROP TIEOFF_X4Y139 IS_TEST 0 SITEPROP TIEOFF_X4Y139 IS_USED 0 SITEPROP TIEOFF_X4Y139 MANUAL_ROUTING SITEPROP TIEOFF_X4Y139 NAME TIEOFF_X4Y139 SITEPROP TIEOFF_X4Y139 NUM_ARCS 0 SITEPROP TIEOFF_X4Y139 NUM_BELS 2 SITEPROP TIEOFF_X4Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y139 NUM_PINS 2 SITEPROP TIEOFF_X4Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y139 PROHIBIT 0 SITEPROP TIEOFF_X4Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y139 RPM_X 24 SITEPROP TIEOFF_X4Y139 RPM_Y 278 SITEPROP TIEOFF_X4Y139 SITE_PIPS SITEPROP TIEOFF_X4Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y140 CLASS site SITEPROP TIEOFF_X4Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y140 IS_BONDED 0 SITEPROP TIEOFF_X4Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y140 IS_PAD 0 SITEPROP TIEOFF_X4Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y140 IS_RESERVED 0 SITEPROP TIEOFF_X4Y140 IS_TEST 0 SITEPROP TIEOFF_X4Y140 IS_USED 0 SITEPROP TIEOFF_X4Y140 MANUAL_ROUTING SITEPROP TIEOFF_X4Y140 NAME TIEOFF_X4Y140 SITEPROP TIEOFF_X4Y140 NUM_ARCS 0 SITEPROP TIEOFF_X4Y140 NUM_BELS 2 SITEPROP TIEOFF_X4Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y140 NUM_PINS 2 SITEPROP TIEOFF_X4Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y140 PROHIBIT 0 SITEPROP TIEOFF_X4Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y140 RPM_X 24 SITEPROP TIEOFF_X4Y140 RPM_Y 280 SITEPROP TIEOFF_X4Y140 SITE_PIPS SITEPROP TIEOFF_X4Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y141 CLASS site SITEPROP TIEOFF_X4Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y141 IS_BONDED 0 SITEPROP TIEOFF_X4Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y141 IS_PAD 0 SITEPROP TIEOFF_X4Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y141 IS_RESERVED 0 SITEPROP TIEOFF_X4Y141 IS_TEST 0 SITEPROP TIEOFF_X4Y141 IS_USED 0 SITEPROP TIEOFF_X4Y141 MANUAL_ROUTING SITEPROP TIEOFF_X4Y141 NAME TIEOFF_X4Y141 SITEPROP TIEOFF_X4Y141 NUM_ARCS 0 SITEPROP TIEOFF_X4Y141 NUM_BELS 2 SITEPROP TIEOFF_X4Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y141 NUM_PINS 2 SITEPROP TIEOFF_X4Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y141 PROHIBIT 0 SITEPROP TIEOFF_X4Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y141 RPM_X 24 SITEPROP TIEOFF_X4Y141 RPM_Y 282 SITEPROP TIEOFF_X4Y141 SITE_PIPS SITEPROP TIEOFF_X4Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y142 CLASS site SITEPROP TIEOFF_X4Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y142 IS_BONDED 0 SITEPROP TIEOFF_X4Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y142 IS_PAD 0 SITEPROP TIEOFF_X4Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y142 IS_RESERVED 0 SITEPROP TIEOFF_X4Y142 IS_TEST 0 SITEPROP TIEOFF_X4Y142 IS_USED 0 SITEPROP TIEOFF_X4Y142 MANUAL_ROUTING SITEPROP TIEOFF_X4Y142 NAME TIEOFF_X4Y142 SITEPROP TIEOFF_X4Y142 NUM_ARCS 0 SITEPROP TIEOFF_X4Y142 NUM_BELS 2 SITEPROP TIEOFF_X4Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y142 NUM_PINS 2 SITEPROP TIEOFF_X4Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y142 PROHIBIT 0 SITEPROP TIEOFF_X4Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y142 RPM_X 24 SITEPROP TIEOFF_X4Y142 RPM_Y 284 SITEPROP TIEOFF_X4Y142 SITE_PIPS SITEPROP TIEOFF_X4Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y143 CLASS site SITEPROP TIEOFF_X4Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y143 IS_BONDED 0 SITEPROP TIEOFF_X4Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y143 IS_PAD 0 SITEPROP TIEOFF_X4Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y143 IS_RESERVED 0 SITEPROP TIEOFF_X4Y143 IS_TEST 0 SITEPROP TIEOFF_X4Y143 IS_USED 0 SITEPROP TIEOFF_X4Y143 MANUAL_ROUTING SITEPROP TIEOFF_X4Y143 NAME TIEOFF_X4Y143 SITEPROP TIEOFF_X4Y143 NUM_ARCS 0 SITEPROP TIEOFF_X4Y143 NUM_BELS 2 SITEPROP TIEOFF_X4Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y143 NUM_PINS 2 SITEPROP TIEOFF_X4Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y143 PROHIBIT 0 SITEPROP TIEOFF_X4Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y143 RPM_X 24 SITEPROP TIEOFF_X4Y143 RPM_Y 286 SITEPROP TIEOFF_X4Y143 SITE_PIPS SITEPROP TIEOFF_X4Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y144 CLASS site SITEPROP TIEOFF_X4Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y144 IS_BONDED 0 SITEPROP TIEOFF_X4Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y144 IS_PAD 0 SITEPROP TIEOFF_X4Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y144 IS_RESERVED 0 SITEPROP TIEOFF_X4Y144 IS_TEST 0 SITEPROP TIEOFF_X4Y144 IS_USED 0 SITEPROP TIEOFF_X4Y144 MANUAL_ROUTING SITEPROP TIEOFF_X4Y144 NAME TIEOFF_X4Y144 SITEPROP TIEOFF_X4Y144 NUM_ARCS 0 SITEPROP TIEOFF_X4Y144 NUM_BELS 2 SITEPROP TIEOFF_X4Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y144 NUM_PINS 2 SITEPROP TIEOFF_X4Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y144 PROHIBIT 0 SITEPROP TIEOFF_X4Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y144 RPM_X 24 SITEPROP TIEOFF_X4Y144 RPM_Y 288 SITEPROP TIEOFF_X4Y144 SITE_PIPS SITEPROP TIEOFF_X4Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y145 CLASS site SITEPROP TIEOFF_X4Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y145 IS_BONDED 0 SITEPROP TIEOFF_X4Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y145 IS_PAD 0 SITEPROP TIEOFF_X4Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y145 IS_RESERVED 0 SITEPROP TIEOFF_X4Y145 IS_TEST 0 SITEPROP TIEOFF_X4Y145 IS_USED 0 SITEPROP TIEOFF_X4Y145 MANUAL_ROUTING SITEPROP TIEOFF_X4Y145 NAME TIEOFF_X4Y145 SITEPROP TIEOFF_X4Y145 NUM_ARCS 0 SITEPROP TIEOFF_X4Y145 NUM_BELS 2 SITEPROP TIEOFF_X4Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y145 NUM_PINS 2 SITEPROP TIEOFF_X4Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y145 PROHIBIT 0 SITEPROP TIEOFF_X4Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y145 RPM_X 24 SITEPROP TIEOFF_X4Y145 RPM_Y 290 SITEPROP TIEOFF_X4Y145 SITE_PIPS SITEPROP TIEOFF_X4Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y146 CLASS site SITEPROP TIEOFF_X4Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y146 IS_BONDED 0 SITEPROP TIEOFF_X4Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y146 IS_PAD 0 SITEPROP TIEOFF_X4Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y146 IS_RESERVED 0 SITEPROP TIEOFF_X4Y146 IS_TEST 0 SITEPROP TIEOFF_X4Y146 IS_USED 0 SITEPROP TIEOFF_X4Y146 MANUAL_ROUTING SITEPROP TIEOFF_X4Y146 NAME TIEOFF_X4Y146 SITEPROP TIEOFF_X4Y146 NUM_ARCS 0 SITEPROP TIEOFF_X4Y146 NUM_BELS 2 SITEPROP TIEOFF_X4Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y146 NUM_PINS 2 SITEPROP TIEOFF_X4Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y146 PROHIBIT 0 SITEPROP TIEOFF_X4Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y146 RPM_X 24 SITEPROP TIEOFF_X4Y146 RPM_Y 292 SITEPROP TIEOFF_X4Y146 SITE_PIPS SITEPROP TIEOFF_X4Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y147 CLASS site SITEPROP TIEOFF_X4Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y147 IS_BONDED 0 SITEPROP TIEOFF_X4Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y147 IS_PAD 0 SITEPROP TIEOFF_X4Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y147 IS_RESERVED 0 SITEPROP TIEOFF_X4Y147 IS_TEST 0 SITEPROP TIEOFF_X4Y147 IS_USED 0 SITEPROP TIEOFF_X4Y147 MANUAL_ROUTING SITEPROP TIEOFF_X4Y147 NAME TIEOFF_X4Y147 SITEPROP TIEOFF_X4Y147 NUM_ARCS 0 SITEPROP TIEOFF_X4Y147 NUM_BELS 2 SITEPROP TIEOFF_X4Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y147 NUM_PINS 2 SITEPROP TIEOFF_X4Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y147 PROHIBIT 0 SITEPROP TIEOFF_X4Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y147 RPM_X 24 SITEPROP TIEOFF_X4Y147 RPM_Y 294 SITEPROP TIEOFF_X4Y147 SITE_PIPS SITEPROP TIEOFF_X4Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y148 CLASS site SITEPROP TIEOFF_X4Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y148 IS_BONDED 0 SITEPROP TIEOFF_X4Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y148 IS_PAD 0 SITEPROP TIEOFF_X4Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y148 IS_RESERVED 0 SITEPROP TIEOFF_X4Y148 IS_TEST 0 SITEPROP TIEOFF_X4Y148 IS_USED 0 SITEPROP TIEOFF_X4Y148 MANUAL_ROUTING SITEPROP TIEOFF_X4Y148 NAME TIEOFF_X4Y148 SITEPROP TIEOFF_X4Y148 NUM_ARCS 0 SITEPROP TIEOFF_X4Y148 NUM_BELS 2 SITEPROP TIEOFF_X4Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y148 NUM_PINS 2 SITEPROP TIEOFF_X4Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y148 PROHIBIT 0 SITEPROP TIEOFF_X4Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y148 RPM_X 24 SITEPROP TIEOFF_X4Y148 RPM_Y 296 SITEPROP TIEOFF_X4Y148 SITE_PIPS SITEPROP TIEOFF_X4Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X4Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X4Y149 CLASS site SITEPROP TIEOFF_X4Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X4Y149 IS_BONDED 0 SITEPROP TIEOFF_X4Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y149 IS_PAD 0 SITEPROP TIEOFF_X4Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X4Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X4Y149 IS_RESERVED 0 SITEPROP TIEOFF_X4Y149 IS_TEST 0 SITEPROP TIEOFF_X4Y149 IS_USED 0 SITEPROP TIEOFF_X4Y149 MANUAL_ROUTING SITEPROP TIEOFF_X4Y149 NAME TIEOFF_X4Y149 SITEPROP TIEOFF_X4Y149 NUM_ARCS 0 SITEPROP TIEOFF_X4Y149 NUM_BELS 2 SITEPROP TIEOFF_X4Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X4Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X4Y149 NUM_PINS 2 SITEPROP TIEOFF_X4Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X4Y149 PROHIBIT 0 SITEPROP TIEOFF_X4Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X4Y149 RPM_X 24 SITEPROP TIEOFF_X4Y149 RPM_Y 298 SITEPROP TIEOFF_X4Y149 SITE_PIPS SITEPROP TIEOFF_X4Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y0 CLASS site SITEPROP TIEOFF_X5Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y0 IS_BONDED 0 SITEPROP TIEOFF_X5Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y0 IS_PAD 0 SITEPROP TIEOFF_X5Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y0 IS_RESERVED 0 SITEPROP TIEOFF_X5Y0 IS_TEST 0 SITEPROP TIEOFF_X5Y0 IS_USED 0 SITEPROP TIEOFF_X5Y0 MANUAL_ROUTING SITEPROP TIEOFF_X5Y0 NAME TIEOFF_X5Y0 SITEPROP TIEOFF_X5Y0 NUM_ARCS 0 SITEPROP TIEOFF_X5Y0 NUM_BELS 2 SITEPROP TIEOFF_X5Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y0 NUM_PINS 2 SITEPROP TIEOFF_X5Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y0 PROHIBIT 0 SITEPROP TIEOFF_X5Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y0 RPM_X 28 SITEPROP TIEOFF_X5Y0 RPM_Y 0 SITEPROP TIEOFF_X5Y0 SITE_PIPS SITEPROP TIEOFF_X5Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y1 CLASS site SITEPROP TIEOFF_X5Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y1 IS_BONDED 0 SITEPROP TIEOFF_X5Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y1 IS_PAD 0 SITEPROP TIEOFF_X5Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y1 IS_RESERVED 0 SITEPROP TIEOFF_X5Y1 IS_TEST 0 SITEPROP TIEOFF_X5Y1 IS_USED 0 SITEPROP TIEOFF_X5Y1 MANUAL_ROUTING SITEPROP TIEOFF_X5Y1 NAME TIEOFF_X5Y1 SITEPROP TIEOFF_X5Y1 NUM_ARCS 0 SITEPROP TIEOFF_X5Y1 NUM_BELS 2 SITEPROP TIEOFF_X5Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y1 NUM_PINS 2 SITEPROP TIEOFF_X5Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y1 PROHIBIT 0 SITEPROP TIEOFF_X5Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y1 RPM_X 28 SITEPROP TIEOFF_X5Y1 RPM_Y 2 SITEPROP TIEOFF_X5Y1 SITE_PIPS SITEPROP TIEOFF_X5Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y2 CLASS site SITEPROP TIEOFF_X5Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y2 IS_BONDED 0 SITEPROP TIEOFF_X5Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y2 IS_PAD 0 SITEPROP TIEOFF_X5Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y2 IS_RESERVED 0 SITEPROP TIEOFF_X5Y2 IS_TEST 0 SITEPROP TIEOFF_X5Y2 IS_USED 0 SITEPROP TIEOFF_X5Y2 MANUAL_ROUTING SITEPROP TIEOFF_X5Y2 NAME TIEOFF_X5Y2 SITEPROP TIEOFF_X5Y2 NUM_ARCS 0 SITEPROP TIEOFF_X5Y2 NUM_BELS 2 SITEPROP TIEOFF_X5Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y2 NUM_PINS 2 SITEPROP TIEOFF_X5Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y2 PROHIBIT 0 SITEPROP TIEOFF_X5Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y2 RPM_X 28 SITEPROP TIEOFF_X5Y2 RPM_Y 4 SITEPROP TIEOFF_X5Y2 SITE_PIPS SITEPROP TIEOFF_X5Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y3 CLASS site SITEPROP TIEOFF_X5Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y3 IS_BONDED 0 SITEPROP TIEOFF_X5Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y3 IS_PAD 0 SITEPROP TIEOFF_X5Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y3 IS_RESERVED 0 SITEPROP TIEOFF_X5Y3 IS_TEST 0 SITEPROP TIEOFF_X5Y3 IS_USED 0 SITEPROP TIEOFF_X5Y3 MANUAL_ROUTING SITEPROP TIEOFF_X5Y3 NAME TIEOFF_X5Y3 SITEPROP TIEOFF_X5Y3 NUM_ARCS 0 SITEPROP TIEOFF_X5Y3 NUM_BELS 2 SITEPROP TIEOFF_X5Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y3 NUM_PINS 2 SITEPROP TIEOFF_X5Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y3 PROHIBIT 0 SITEPROP TIEOFF_X5Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y3 RPM_X 28 SITEPROP TIEOFF_X5Y3 RPM_Y 6 SITEPROP TIEOFF_X5Y3 SITE_PIPS SITEPROP TIEOFF_X5Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y4 CLASS site SITEPROP TIEOFF_X5Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y4 IS_BONDED 0 SITEPROP TIEOFF_X5Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y4 IS_PAD 0 SITEPROP TIEOFF_X5Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y4 IS_RESERVED 0 SITEPROP TIEOFF_X5Y4 IS_TEST 0 SITEPROP TIEOFF_X5Y4 IS_USED 0 SITEPROP TIEOFF_X5Y4 MANUAL_ROUTING SITEPROP TIEOFF_X5Y4 NAME TIEOFF_X5Y4 SITEPROP TIEOFF_X5Y4 NUM_ARCS 0 SITEPROP TIEOFF_X5Y4 NUM_BELS 2 SITEPROP TIEOFF_X5Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y4 NUM_PINS 2 SITEPROP TIEOFF_X5Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y4 PROHIBIT 0 SITEPROP TIEOFF_X5Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y4 RPM_X 28 SITEPROP TIEOFF_X5Y4 RPM_Y 8 SITEPROP TIEOFF_X5Y4 SITE_PIPS SITEPROP TIEOFF_X5Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y5 CLASS site SITEPROP TIEOFF_X5Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y5 IS_BONDED 0 SITEPROP TIEOFF_X5Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y5 IS_PAD 0 SITEPROP TIEOFF_X5Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y5 IS_RESERVED 0 SITEPROP TIEOFF_X5Y5 IS_TEST 0 SITEPROP TIEOFF_X5Y5 IS_USED 0 SITEPROP TIEOFF_X5Y5 MANUAL_ROUTING SITEPROP TIEOFF_X5Y5 NAME TIEOFF_X5Y5 SITEPROP TIEOFF_X5Y5 NUM_ARCS 0 SITEPROP TIEOFF_X5Y5 NUM_BELS 2 SITEPROP TIEOFF_X5Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y5 NUM_PINS 2 SITEPROP TIEOFF_X5Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y5 PROHIBIT 0 SITEPROP TIEOFF_X5Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y5 RPM_X 28 SITEPROP TIEOFF_X5Y5 RPM_Y 10 SITEPROP TIEOFF_X5Y5 SITE_PIPS SITEPROP TIEOFF_X5Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y6 CLASS site SITEPROP TIEOFF_X5Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y6 IS_BONDED 0 SITEPROP TIEOFF_X5Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y6 IS_PAD 0 SITEPROP TIEOFF_X5Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y6 IS_RESERVED 0 SITEPROP TIEOFF_X5Y6 IS_TEST 0 SITEPROP TIEOFF_X5Y6 IS_USED 0 SITEPROP TIEOFF_X5Y6 MANUAL_ROUTING SITEPROP TIEOFF_X5Y6 NAME TIEOFF_X5Y6 SITEPROP TIEOFF_X5Y6 NUM_ARCS 0 SITEPROP TIEOFF_X5Y6 NUM_BELS 2 SITEPROP TIEOFF_X5Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y6 NUM_PINS 2 SITEPROP TIEOFF_X5Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y6 PROHIBIT 0 SITEPROP TIEOFF_X5Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y6 RPM_X 28 SITEPROP TIEOFF_X5Y6 RPM_Y 12 SITEPROP TIEOFF_X5Y6 SITE_PIPS SITEPROP TIEOFF_X5Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y7 CLASS site SITEPROP TIEOFF_X5Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y7 IS_BONDED 0 SITEPROP TIEOFF_X5Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y7 IS_PAD 0 SITEPROP TIEOFF_X5Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y7 IS_RESERVED 0 SITEPROP TIEOFF_X5Y7 IS_TEST 0 SITEPROP TIEOFF_X5Y7 IS_USED 0 SITEPROP TIEOFF_X5Y7 MANUAL_ROUTING SITEPROP TIEOFF_X5Y7 NAME TIEOFF_X5Y7 SITEPROP TIEOFF_X5Y7 NUM_ARCS 0 SITEPROP TIEOFF_X5Y7 NUM_BELS 2 SITEPROP TIEOFF_X5Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y7 NUM_PINS 2 SITEPROP TIEOFF_X5Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y7 PROHIBIT 0 SITEPROP TIEOFF_X5Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y7 RPM_X 28 SITEPROP TIEOFF_X5Y7 RPM_Y 14 SITEPROP TIEOFF_X5Y7 SITE_PIPS SITEPROP TIEOFF_X5Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y8 CLASS site SITEPROP TIEOFF_X5Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y8 IS_BONDED 0 SITEPROP TIEOFF_X5Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y8 IS_PAD 0 SITEPROP TIEOFF_X5Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y8 IS_RESERVED 0 SITEPROP TIEOFF_X5Y8 IS_TEST 0 SITEPROP TIEOFF_X5Y8 IS_USED 0 SITEPROP TIEOFF_X5Y8 MANUAL_ROUTING SITEPROP TIEOFF_X5Y8 NAME TIEOFF_X5Y8 SITEPROP TIEOFF_X5Y8 NUM_ARCS 0 SITEPROP TIEOFF_X5Y8 NUM_BELS 2 SITEPROP TIEOFF_X5Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y8 NUM_PINS 2 SITEPROP TIEOFF_X5Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y8 PROHIBIT 0 SITEPROP TIEOFF_X5Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y8 RPM_X 28 SITEPROP TIEOFF_X5Y8 RPM_Y 16 SITEPROP TIEOFF_X5Y8 SITE_PIPS SITEPROP TIEOFF_X5Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y9 CLASS site SITEPROP TIEOFF_X5Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y9 IS_BONDED 0 SITEPROP TIEOFF_X5Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y9 IS_PAD 0 SITEPROP TIEOFF_X5Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y9 IS_RESERVED 0 SITEPROP TIEOFF_X5Y9 IS_TEST 0 SITEPROP TIEOFF_X5Y9 IS_USED 0 SITEPROP TIEOFF_X5Y9 MANUAL_ROUTING SITEPROP TIEOFF_X5Y9 NAME TIEOFF_X5Y9 SITEPROP TIEOFF_X5Y9 NUM_ARCS 0 SITEPROP TIEOFF_X5Y9 NUM_BELS 2 SITEPROP TIEOFF_X5Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y9 NUM_PINS 2 SITEPROP TIEOFF_X5Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y9 PROHIBIT 0 SITEPROP TIEOFF_X5Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y9 RPM_X 28 SITEPROP TIEOFF_X5Y9 RPM_Y 18 SITEPROP TIEOFF_X5Y9 SITE_PIPS SITEPROP TIEOFF_X5Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y10 CLASS site SITEPROP TIEOFF_X5Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y10 IS_BONDED 0 SITEPROP TIEOFF_X5Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y10 IS_PAD 0 SITEPROP TIEOFF_X5Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y10 IS_RESERVED 0 SITEPROP TIEOFF_X5Y10 IS_TEST 0 SITEPROP TIEOFF_X5Y10 IS_USED 0 SITEPROP TIEOFF_X5Y10 MANUAL_ROUTING SITEPROP TIEOFF_X5Y10 NAME TIEOFF_X5Y10 SITEPROP TIEOFF_X5Y10 NUM_ARCS 0 SITEPROP TIEOFF_X5Y10 NUM_BELS 2 SITEPROP TIEOFF_X5Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y10 NUM_PINS 2 SITEPROP TIEOFF_X5Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y10 PROHIBIT 0 SITEPROP TIEOFF_X5Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y10 RPM_X 28 SITEPROP TIEOFF_X5Y10 RPM_Y 20 SITEPROP TIEOFF_X5Y10 SITE_PIPS SITEPROP TIEOFF_X5Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y11 CLASS site SITEPROP TIEOFF_X5Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y11 IS_BONDED 0 SITEPROP TIEOFF_X5Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y11 IS_PAD 0 SITEPROP TIEOFF_X5Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y11 IS_RESERVED 0 SITEPROP TIEOFF_X5Y11 IS_TEST 0 SITEPROP TIEOFF_X5Y11 IS_USED 0 SITEPROP TIEOFF_X5Y11 MANUAL_ROUTING SITEPROP TIEOFF_X5Y11 NAME TIEOFF_X5Y11 SITEPROP TIEOFF_X5Y11 NUM_ARCS 0 SITEPROP TIEOFF_X5Y11 NUM_BELS 2 SITEPROP TIEOFF_X5Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y11 NUM_PINS 2 SITEPROP TIEOFF_X5Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y11 PROHIBIT 0 SITEPROP TIEOFF_X5Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y11 RPM_X 28 SITEPROP TIEOFF_X5Y11 RPM_Y 22 SITEPROP TIEOFF_X5Y11 SITE_PIPS SITEPROP TIEOFF_X5Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y12 CLASS site SITEPROP TIEOFF_X5Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y12 IS_BONDED 0 SITEPROP TIEOFF_X5Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y12 IS_PAD 0 SITEPROP TIEOFF_X5Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y12 IS_RESERVED 0 SITEPROP TIEOFF_X5Y12 IS_TEST 0 SITEPROP TIEOFF_X5Y12 IS_USED 0 SITEPROP TIEOFF_X5Y12 MANUAL_ROUTING SITEPROP TIEOFF_X5Y12 NAME TIEOFF_X5Y12 SITEPROP TIEOFF_X5Y12 NUM_ARCS 0 SITEPROP TIEOFF_X5Y12 NUM_BELS 2 SITEPROP TIEOFF_X5Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y12 NUM_PINS 2 SITEPROP TIEOFF_X5Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y12 PROHIBIT 0 SITEPROP TIEOFF_X5Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y12 RPM_X 28 SITEPROP TIEOFF_X5Y12 RPM_Y 24 SITEPROP TIEOFF_X5Y12 SITE_PIPS SITEPROP TIEOFF_X5Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y13 CLASS site SITEPROP TIEOFF_X5Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y13 IS_BONDED 0 SITEPROP TIEOFF_X5Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y13 IS_PAD 0 SITEPROP TIEOFF_X5Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y13 IS_RESERVED 0 SITEPROP TIEOFF_X5Y13 IS_TEST 0 SITEPROP TIEOFF_X5Y13 IS_USED 0 SITEPROP TIEOFF_X5Y13 MANUAL_ROUTING SITEPROP TIEOFF_X5Y13 NAME TIEOFF_X5Y13 SITEPROP TIEOFF_X5Y13 NUM_ARCS 0 SITEPROP TIEOFF_X5Y13 NUM_BELS 2 SITEPROP TIEOFF_X5Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y13 NUM_PINS 2 SITEPROP TIEOFF_X5Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y13 PROHIBIT 0 SITEPROP TIEOFF_X5Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y13 RPM_X 28 SITEPROP TIEOFF_X5Y13 RPM_Y 26 SITEPROP TIEOFF_X5Y13 SITE_PIPS SITEPROP TIEOFF_X5Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y14 CLASS site SITEPROP TIEOFF_X5Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y14 IS_BONDED 0 SITEPROP TIEOFF_X5Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y14 IS_PAD 0 SITEPROP TIEOFF_X5Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y14 IS_RESERVED 0 SITEPROP TIEOFF_X5Y14 IS_TEST 0 SITEPROP TIEOFF_X5Y14 IS_USED 0 SITEPROP TIEOFF_X5Y14 MANUAL_ROUTING SITEPROP TIEOFF_X5Y14 NAME TIEOFF_X5Y14 SITEPROP TIEOFF_X5Y14 NUM_ARCS 0 SITEPROP TIEOFF_X5Y14 NUM_BELS 2 SITEPROP TIEOFF_X5Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y14 NUM_PINS 2 SITEPROP TIEOFF_X5Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y14 PROHIBIT 0 SITEPROP TIEOFF_X5Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y14 RPM_X 28 SITEPROP TIEOFF_X5Y14 RPM_Y 28 SITEPROP TIEOFF_X5Y14 SITE_PIPS SITEPROP TIEOFF_X5Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y15 CLASS site SITEPROP TIEOFF_X5Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y15 IS_BONDED 0 SITEPROP TIEOFF_X5Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y15 IS_PAD 0 SITEPROP TIEOFF_X5Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y15 IS_RESERVED 0 SITEPROP TIEOFF_X5Y15 IS_TEST 0 SITEPROP TIEOFF_X5Y15 IS_USED 0 SITEPROP TIEOFF_X5Y15 MANUAL_ROUTING SITEPROP TIEOFF_X5Y15 NAME TIEOFF_X5Y15 SITEPROP TIEOFF_X5Y15 NUM_ARCS 0 SITEPROP TIEOFF_X5Y15 NUM_BELS 2 SITEPROP TIEOFF_X5Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y15 NUM_PINS 2 SITEPROP TIEOFF_X5Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y15 PROHIBIT 0 SITEPROP TIEOFF_X5Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y15 RPM_X 28 SITEPROP TIEOFF_X5Y15 RPM_Y 30 SITEPROP TIEOFF_X5Y15 SITE_PIPS SITEPROP TIEOFF_X5Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y16 CLASS site SITEPROP TIEOFF_X5Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y16 IS_BONDED 0 SITEPROP TIEOFF_X5Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y16 IS_PAD 0 SITEPROP TIEOFF_X5Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y16 IS_RESERVED 0 SITEPROP TIEOFF_X5Y16 IS_TEST 0 SITEPROP TIEOFF_X5Y16 IS_USED 0 SITEPROP TIEOFF_X5Y16 MANUAL_ROUTING SITEPROP TIEOFF_X5Y16 NAME TIEOFF_X5Y16 SITEPROP TIEOFF_X5Y16 NUM_ARCS 0 SITEPROP TIEOFF_X5Y16 NUM_BELS 2 SITEPROP TIEOFF_X5Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y16 NUM_PINS 2 SITEPROP TIEOFF_X5Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y16 PROHIBIT 0 SITEPROP TIEOFF_X5Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y16 RPM_X 28 SITEPROP TIEOFF_X5Y16 RPM_Y 32 SITEPROP TIEOFF_X5Y16 SITE_PIPS SITEPROP TIEOFF_X5Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y17 CLASS site SITEPROP TIEOFF_X5Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y17 IS_BONDED 0 SITEPROP TIEOFF_X5Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y17 IS_PAD 0 SITEPROP TIEOFF_X5Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y17 IS_RESERVED 0 SITEPROP TIEOFF_X5Y17 IS_TEST 0 SITEPROP TIEOFF_X5Y17 IS_USED 0 SITEPROP TIEOFF_X5Y17 MANUAL_ROUTING SITEPROP TIEOFF_X5Y17 NAME TIEOFF_X5Y17 SITEPROP TIEOFF_X5Y17 NUM_ARCS 0 SITEPROP TIEOFF_X5Y17 NUM_BELS 2 SITEPROP TIEOFF_X5Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y17 NUM_PINS 2 SITEPROP TIEOFF_X5Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y17 PROHIBIT 0 SITEPROP TIEOFF_X5Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y17 RPM_X 28 SITEPROP TIEOFF_X5Y17 RPM_Y 34 SITEPROP TIEOFF_X5Y17 SITE_PIPS SITEPROP TIEOFF_X5Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y18 CLASS site SITEPROP TIEOFF_X5Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y18 IS_BONDED 0 SITEPROP TIEOFF_X5Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y18 IS_PAD 0 SITEPROP TIEOFF_X5Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y18 IS_RESERVED 0 SITEPROP TIEOFF_X5Y18 IS_TEST 0 SITEPROP TIEOFF_X5Y18 IS_USED 0 SITEPROP TIEOFF_X5Y18 MANUAL_ROUTING SITEPROP TIEOFF_X5Y18 NAME TIEOFF_X5Y18 SITEPROP TIEOFF_X5Y18 NUM_ARCS 0 SITEPROP TIEOFF_X5Y18 NUM_BELS 2 SITEPROP TIEOFF_X5Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y18 NUM_PINS 2 SITEPROP TIEOFF_X5Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y18 PROHIBIT 0 SITEPROP TIEOFF_X5Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y18 RPM_X 28 SITEPROP TIEOFF_X5Y18 RPM_Y 36 SITEPROP TIEOFF_X5Y18 SITE_PIPS SITEPROP TIEOFF_X5Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y19 CLASS site SITEPROP TIEOFF_X5Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y19 IS_BONDED 0 SITEPROP TIEOFF_X5Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y19 IS_PAD 0 SITEPROP TIEOFF_X5Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y19 IS_RESERVED 0 SITEPROP TIEOFF_X5Y19 IS_TEST 0 SITEPROP TIEOFF_X5Y19 IS_USED 0 SITEPROP TIEOFF_X5Y19 MANUAL_ROUTING SITEPROP TIEOFF_X5Y19 NAME TIEOFF_X5Y19 SITEPROP TIEOFF_X5Y19 NUM_ARCS 0 SITEPROP TIEOFF_X5Y19 NUM_BELS 2 SITEPROP TIEOFF_X5Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y19 NUM_PINS 2 SITEPROP TIEOFF_X5Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y19 PROHIBIT 0 SITEPROP TIEOFF_X5Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y19 RPM_X 28 SITEPROP TIEOFF_X5Y19 RPM_Y 38 SITEPROP TIEOFF_X5Y19 SITE_PIPS SITEPROP TIEOFF_X5Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y20 CLASS site SITEPROP TIEOFF_X5Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y20 IS_BONDED 0 SITEPROP TIEOFF_X5Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y20 IS_PAD 0 SITEPROP TIEOFF_X5Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y20 IS_RESERVED 0 SITEPROP TIEOFF_X5Y20 IS_TEST 0 SITEPROP TIEOFF_X5Y20 IS_USED 0 SITEPROP TIEOFF_X5Y20 MANUAL_ROUTING SITEPROP TIEOFF_X5Y20 NAME TIEOFF_X5Y20 SITEPROP TIEOFF_X5Y20 NUM_ARCS 0 SITEPROP TIEOFF_X5Y20 NUM_BELS 2 SITEPROP TIEOFF_X5Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y20 NUM_PINS 2 SITEPROP TIEOFF_X5Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y20 PROHIBIT 0 SITEPROP TIEOFF_X5Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y20 RPM_X 28 SITEPROP TIEOFF_X5Y20 RPM_Y 40 SITEPROP TIEOFF_X5Y20 SITE_PIPS SITEPROP TIEOFF_X5Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y21 CLASS site SITEPROP TIEOFF_X5Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y21 IS_BONDED 0 SITEPROP TIEOFF_X5Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y21 IS_PAD 0 SITEPROP TIEOFF_X5Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y21 IS_RESERVED 0 SITEPROP TIEOFF_X5Y21 IS_TEST 0 SITEPROP TIEOFF_X5Y21 IS_USED 0 SITEPROP TIEOFF_X5Y21 MANUAL_ROUTING SITEPROP TIEOFF_X5Y21 NAME TIEOFF_X5Y21 SITEPROP TIEOFF_X5Y21 NUM_ARCS 0 SITEPROP TIEOFF_X5Y21 NUM_BELS 2 SITEPROP TIEOFF_X5Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y21 NUM_PINS 2 SITEPROP TIEOFF_X5Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y21 PROHIBIT 0 SITEPROP TIEOFF_X5Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y21 RPM_X 28 SITEPROP TIEOFF_X5Y21 RPM_Y 42 SITEPROP TIEOFF_X5Y21 SITE_PIPS SITEPROP TIEOFF_X5Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y22 CLASS site SITEPROP TIEOFF_X5Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y22 IS_BONDED 0 SITEPROP TIEOFF_X5Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y22 IS_PAD 0 SITEPROP TIEOFF_X5Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y22 IS_RESERVED 0 SITEPROP TIEOFF_X5Y22 IS_TEST 0 SITEPROP TIEOFF_X5Y22 IS_USED 0 SITEPROP TIEOFF_X5Y22 MANUAL_ROUTING SITEPROP TIEOFF_X5Y22 NAME TIEOFF_X5Y22 SITEPROP TIEOFF_X5Y22 NUM_ARCS 0 SITEPROP TIEOFF_X5Y22 NUM_BELS 2 SITEPROP TIEOFF_X5Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y22 NUM_PINS 2 SITEPROP TIEOFF_X5Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y22 PROHIBIT 0 SITEPROP TIEOFF_X5Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y22 RPM_X 28 SITEPROP TIEOFF_X5Y22 RPM_Y 44 SITEPROP TIEOFF_X5Y22 SITE_PIPS SITEPROP TIEOFF_X5Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y23 CLASS site SITEPROP TIEOFF_X5Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y23 IS_BONDED 0 SITEPROP TIEOFF_X5Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y23 IS_PAD 0 SITEPROP TIEOFF_X5Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y23 IS_RESERVED 0 SITEPROP TIEOFF_X5Y23 IS_TEST 0 SITEPROP TIEOFF_X5Y23 IS_USED 0 SITEPROP TIEOFF_X5Y23 MANUAL_ROUTING SITEPROP TIEOFF_X5Y23 NAME TIEOFF_X5Y23 SITEPROP TIEOFF_X5Y23 NUM_ARCS 0 SITEPROP TIEOFF_X5Y23 NUM_BELS 2 SITEPROP TIEOFF_X5Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y23 NUM_PINS 2 SITEPROP TIEOFF_X5Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y23 PROHIBIT 0 SITEPROP TIEOFF_X5Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y23 RPM_X 28 SITEPROP TIEOFF_X5Y23 RPM_Y 46 SITEPROP TIEOFF_X5Y23 SITE_PIPS SITEPROP TIEOFF_X5Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y24 CLASS site SITEPROP TIEOFF_X5Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y24 IS_BONDED 0 SITEPROP TIEOFF_X5Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y24 IS_PAD 0 SITEPROP TIEOFF_X5Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y24 IS_RESERVED 0 SITEPROP TIEOFF_X5Y24 IS_TEST 0 SITEPROP TIEOFF_X5Y24 IS_USED 0 SITEPROP TIEOFF_X5Y24 MANUAL_ROUTING SITEPROP TIEOFF_X5Y24 NAME TIEOFF_X5Y24 SITEPROP TIEOFF_X5Y24 NUM_ARCS 0 SITEPROP TIEOFF_X5Y24 NUM_BELS 2 SITEPROP TIEOFF_X5Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y24 NUM_PINS 2 SITEPROP TIEOFF_X5Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y24 PROHIBIT 0 SITEPROP TIEOFF_X5Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y24 RPM_X 28 SITEPROP TIEOFF_X5Y24 RPM_Y 48 SITEPROP TIEOFF_X5Y24 SITE_PIPS SITEPROP TIEOFF_X5Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y25 CLASS site SITEPROP TIEOFF_X5Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y25 IS_BONDED 0 SITEPROP TIEOFF_X5Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y25 IS_PAD 0 SITEPROP TIEOFF_X5Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y25 IS_RESERVED 0 SITEPROP TIEOFF_X5Y25 IS_TEST 0 SITEPROP TIEOFF_X5Y25 IS_USED 0 SITEPROP TIEOFF_X5Y25 MANUAL_ROUTING SITEPROP TIEOFF_X5Y25 NAME TIEOFF_X5Y25 SITEPROP TIEOFF_X5Y25 NUM_ARCS 0 SITEPROP TIEOFF_X5Y25 NUM_BELS 2 SITEPROP TIEOFF_X5Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y25 NUM_PINS 2 SITEPROP TIEOFF_X5Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y25 PROHIBIT 0 SITEPROP TIEOFF_X5Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y25 RPM_X 28 SITEPROP TIEOFF_X5Y25 RPM_Y 50 SITEPROP TIEOFF_X5Y25 SITE_PIPS SITEPROP TIEOFF_X5Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y26 CLASS site SITEPROP TIEOFF_X5Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y26 IS_BONDED 0 SITEPROP TIEOFF_X5Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y26 IS_PAD 0 SITEPROP TIEOFF_X5Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y26 IS_RESERVED 0 SITEPROP TIEOFF_X5Y26 IS_TEST 0 SITEPROP TIEOFF_X5Y26 IS_USED 0 SITEPROP TIEOFF_X5Y26 MANUAL_ROUTING SITEPROP TIEOFF_X5Y26 NAME TIEOFF_X5Y26 SITEPROP TIEOFF_X5Y26 NUM_ARCS 0 SITEPROP TIEOFF_X5Y26 NUM_BELS 2 SITEPROP TIEOFF_X5Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y26 NUM_PINS 2 SITEPROP TIEOFF_X5Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y26 PROHIBIT 0 SITEPROP TIEOFF_X5Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y26 RPM_X 28 SITEPROP TIEOFF_X5Y26 RPM_Y 52 SITEPROP TIEOFF_X5Y26 SITE_PIPS SITEPROP TIEOFF_X5Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y27 CLASS site SITEPROP TIEOFF_X5Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y27 IS_BONDED 0 SITEPROP TIEOFF_X5Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y27 IS_PAD 0 SITEPROP TIEOFF_X5Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y27 IS_RESERVED 0 SITEPROP TIEOFF_X5Y27 IS_TEST 0 SITEPROP TIEOFF_X5Y27 IS_USED 0 SITEPROP TIEOFF_X5Y27 MANUAL_ROUTING SITEPROP TIEOFF_X5Y27 NAME TIEOFF_X5Y27 SITEPROP TIEOFF_X5Y27 NUM_ARCS 0 SITEPROP TIEOFF_X5Y27 NUM_BELS 2 SITEPROP TIEOFF_X5Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y27 NUM_PINS 2 SITEPROP TIEOFF_X5Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y27 PROHIBIT 0 SITEPROP TIEOFF_X5Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y27 RPM_X 28 SITEPROP TIEOFF_X5Y27 RPM_Y 54 SITEPROP TIEOFF_X5Y27 SITE_PIPS SITEPROP TIEOFF_X5Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y28 CLASS site SITEPROP TIEOFF_X5Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y28 IS_BONDED 0 SITEPROP TIEOFF_X5Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y28 IS_PAD 0 SITEPROP TIEOFF_X5Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y28 IS_RESERVED 0 SITEPROP TIEOFF_X5Y28 IS_TEST 0 SITEPROP TIEOFF_X5Y28 IS_USED 0 SITEPROP TIEOFF_X5Y28 MANUAL_ROUTING SITEPROP TIEOFF_X5Y28 NAME TIEOFF_X5Y28 SITEPROP TIEOFF_X5Y28 NUM_ARCS 0 SITEPROP TIEOFF_X5Y28 NUM_BELS 2 SITEPROP TIEOFF_X5Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y28 NUM_PINS 2 SITEPROP TIEOFF_X5Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y28 PROHIBIT 0 SITEPROP TIEOFF_X5Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y28 RPM_X 28 SITEPROP TIEOFF_X5Y28 RPM_Y 56 SITEPROP TIEOFF_X5Y28 SITE_PIPS SITEPROP TIEOFF_X5Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y29 CLASS site SITEPROP TIEOFF_X5Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y29 IS_BONDED 0 SITEPROP TIEOFF_X5Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y29 IS_PAD 0 SITEPROP TIEOFF_X5Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y29 IS_RESERVED 0 SITEPROP TIEOFF_X5Y29 IS_TEST 0 SITEPROP TIEOFF_X5Y29 IS_USED 0 SITEPROP TIEOFF_X5Y29 MANUAL_ROUTING SITEPROP TIEOFF_X5Y29 NAME TIEOFF_X5Y29 SITEPROP TIEOFF_X5Y29 NUM_ARCS 0 SITEPROP TIEOFF_X5Y29 NUM_BELS 2 SITEPROP TIEOFF_X5Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y29 NUM_PINS 2 SITEPROP TIEOFF_X5Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y29 PROHIBIT 0 SITEPROP TIEOFF_X5Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y29 RPM_X 28 SITEPROP TIEOFF_X5Y29 RPM_Y 58 SITEPROP TIEOFF_X5Y29 SITE_PIPS SITEPROP TIEOFF_X5Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y30 CLASS site SITEPROP TIEOFF_X5Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y30 IS_BONDED 0 SITEPROP TIEOFF_X5Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y30 IS_PAD 0 SITEPROP TIEOFF_X5Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y30 IS_RESERVED 0 SITEPROP TIEOFF_X5Y30 IS_TEST 0 SITEPROP TIEOFF_X5Y30 IS_USED 0 SITEPROP TIEOFF_X5Y30 MANUAL_ROUTING SITEPROP TIEOFF_X5Y30 NAME TIEOFF_X5Y30 SITEPROP TIEOFF_X5Y30 NUM_ARCS 0 SITEPROP TIEOFF_X5Y30 NUM_BELS 2 SITEPROP TIEOFF_X5Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y30 NUM_PINS 2 SITEPROP TIEOFF_X5Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y30 PROHIBIT 0 SITEPROP TIEOFF_X5Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y30 RPM_X 28 SITEPROP TIEOFF_X5Y30 RPM_Y 60 SITEPROP TIEOFF_X5Y30 SITE_PIPS SITEPROP TIEOFF_X5Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y31 CLASS site SITEPROP TIEOFF_X5Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y31 IS_BONDED 0 SITEPROP TIEOFF_X5Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y31 IS_PAD 0 SITEPROP TIEOFF_X5Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y31 IS_RESERVED 0 SITEPROP TIEOFF_X5Y31 IS_TEST 0 SITEPROP TIEOFF_X5Y31 IS_USED 0 SITEPROP TIEOFF_X5Y31 MANUAL_ROUTING SITEPROP TIEOFF_X5Y31 NAME TIEOFF_X5Y31 SITEPROP TIEOFF_X5Y31 NUM_ARCS 0 SITEPROP TIEOFF_X5Y31 NUM_BELS 2 SITEPROP TIEOFF_X5Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y31 NUM_PINS 2 SITEPROP TIEOFF_X5Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y31 PROHIBIT 0 SITEPROP TIEOFF_X5Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y31 RPM_X 28 SITEPROP TIEOFF_X5Y31 RPM_Y 62 SITEPROP TIEOFF_X5Y31 SITE_PIPS SITEPROP TIEOFF_X5Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y32 CLASS site SITEPROP TIEOFF_X5Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y32 IS_BONDED 0 SITEPROP TIEOFF_X5Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y32 IS_PAD 0 SITEPROP TIEOFF_X5Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y32 IS_RESERVED 0 SITEPROP TIEOFF_X5Y32 IS_TEST 0 SITEPROP TIEOFF_X5Y32 IS_USED 0 SITEPROP TIEOFF_X5Y32 MANUAL_ROUTING SITEPROP TIEOFF_X5Y32 NAME TIEOFF_X5Y32 SITEPROP TIEOFF_X5Y32 NUM_ARCS 0 SITEPROP TIEOFF_X5Y32 NUM_BELS 2 SITEPROP TIEOFF_X5Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y32 NUM_PINS 2 SITEPROP TIEOFF_X5Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y32 PROHIBIT 0 SITEPROP TIEOFF_X5Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y32 RPM_X 28 SITEPROP TIEOFF_X5Y32 RPM_Y 64 SITEPROP TIEOFF_X5Y32 SITE_PIPS SITEPROP TIEOFF_X5Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y33 CLASS site SITEPROP TIEOFF_X5Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y33 IS_BONDED 0 SITEPROP TIEOFF_X5Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y33 IS_PAD 0 SITEPROP TIEOFF_X5Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y33 IS_RESERVED 0 SITEPROP TIEOFF_X5Y33 IS_TEST 0 SITEPROP TIEOFF_X5Y33 IS_USED 0 SITEPROP TIEOFF_X5Y33 MANUAL_ROUTING SITEPROP TIEOFF_X5Y33 NAME TIEOFF_X5Y33 SITEPROP TIEOFF_X5Y33 NUM_ARCS 0 SITEPROP TIEOFF_X5Y33 NUM_BELS 2 SITEPROP TIEOFF_X5Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y33 NUM_PINS 2 SITEPROP TIEOFF_X5Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y33 PROHIBIT 0 SITEPROP TIEOFF_X5Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y33 RPM_X 28 SITEPROP TIEOFF_X5Y33 RPM_Y 66 SITEPROP TIEOFF_X5Y33 SITE_PIPS SITEPROP TIEOFF_X5Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y34 CLASS site SITEPROP TIEOFF_X5Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y34 IS_BONDED 0 SITEPROP TIEOFF_X5Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y34 IS_PAD 0 SITEPROP TIEOFF_X5Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y34 IS_RESERVED 0 SITEPROP TIEOFF_X5Y34 IS_TEST 0 SITEPROP TIEOFF_X5Y34 IS_USED 0 SITEPROP TIEOFF_X5Y34 MANUAL_ROUTING SITEPROP TIEOFF_X5Y34 NAME TIEOFF_X5Y34 SITEPROP TIEOFF_X5Y34 NUM_ARCS 0 SITEPROP TIEOFF_X5Y34 NUM_BELS 2 SITEPROP TIEOFF_X5Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y34 NUM_PINS 2 SITEPROP TIEOFF_X5Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y34 PROHIBIT 0 SITEPROP TIEOFF_X5Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y34 RPM_X 28 SITEPROP TIEOFF_X5Y34 RPM_Y 68 SITEPROP TIEOFF_X5Y34 SITE_PIPS SITEPROP TIEOFF_X5Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y35 CLASS site SITEPROP TIEOFF_X5Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y35 IS_BONDED 0 SITEPROP TIEOFF_X5Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y35 IS_PAD 0 SITEPROP TIEOFF_X5Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y35 IS_RESERVED 0 SITEPROP TIEOFF_X5Y35 IS_TEST 0 SITEPROP TIEOFF_X5Y35 IS_USED 0 SITEPROP TIEOFF_X5Y35 MANUAL_ROUTING SITEPROP TIEOFF_X5Y35 NAME TIEOFF_X5Y35 SITEPROP TIEOFF_X5Y35 NUM_ARCS 0 SITEPROP TIEOFF_X5Y35 NUM_BELS 2 SITEPROP TIEOFF_X5Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y35 NUM_PINS 2 SITEPROP TIEOFF_X5Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y35 PROHIBIT 0 SITEPROP TIEOFF_X5Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y35 RPM_X 28 SITEPROP TIEOFF_X5Y35 RPM_Y 70 SITEPROP TIEOFF_X5Y35 SITE_PIPS SITEPROP TIEOFF_X5Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y36 CLASS site SITEPROP TIEOFF_X5Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y36 IS_BONDED 0 SITEPROP TIEOFF_X5Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y36 IS_PAD 0 SITEPROP TIEOFF_X5Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y36 IS_RESERVED 0 SITEPROP TIEOFF_X5Y36 IS_TEST 0 SITEPROP TIEOFF_X5Y36 IS_USED 0 SITEPROP TIEOFF_X5Y36 MANUAL_ROUTING SITEPROP TIEOFF_X5Y36 NAME TIEOFF_X5Y36 SITEPROP TIEOFF_X5Y36 NUM_ARCS 0 SITEPROP TIEOFF_X5Y36 NUM_BELS 2 SITEPROP TIEOFF_X5Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y36 NUM_PINS 2 SITEPROP TIEOFF_X5Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y36 PROHIBIT 0 SITEPROP TIEOFF_X5Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y36 RPM_X 28 SITEPROP TIEOFF_X5Y36 RPM_Y 72 SITEPROP TIEOFF_X5Y36 SITE_PIPS SITEPROP TIEOFF_X5Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y37 CLASS site SITEPROP TIEOFF_X5Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y37 IS_BONDED 0 SITEPROP TIEOFF_X5Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y37 IS_PAD 0 SITEPROP TIEOFF_X5Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y37 IS_RESERVED 0 SITEPROP TIEOFF_X5Y37 IS_TEST 0 SITEPROP TIEOFF_X5Y37 IS_USED 0 SITEPROP TIEOFF_X5Y37 MANUAL_ROUTING SITEPROP TIEOFF_X5Y37 NAME TIEOFF_X5Y37 SITEPROP TIEOFF_X5Y37 NUM_ARCS 0 SITEPROP TIEOFF_X5Y37 NUM_BELS 2 SITEPROP TIEOFF_X5Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y37 NUM_PINS 2 SITEPROP TIEOFF_X5Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y37 PROHIBIT 0 SITEPROP TIEOFF_X5Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y37 RPM_X 28 SITEPROP TIEOFF_X5Y37 RPM_Y 74 SITEPROP TIEOFF_X5Y37 SITE_PIPS SITEPROP TIEOFF_X5Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y38 CLASS site SITEPROP TIEOFF_X5Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y38 IS_BONDED 0 SITEPROP TIEOFF_X5Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y38 IS_PAD 0 SITEPROP TIEOFF_X5Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y38 IS_RESERVED 0 SITEPROP TIEOFF_X5Y38 IS_TEST 0 SITEPROP TIEOFF_X5Y38 IS_USED 0 SITEPROP TIEOFF_X5Y38 MANUAL_ROUTING SITEPROP TIEOFF_X5Y38 NAME TIEOFF_X5Y38 SITEPROP TIEOFF_X5Y38 NUM_ARCS 0 SITEPROP TIEOFF_X5Y38 NUM_BELS 2 SITEPROP TIEOFF_X5Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y38 NUM_PINS 2 SITEPROP TIEOFF_X5Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y38 PROHIBIT 0 SITEPROP TIEOFF_X5Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y38 RPM_X 28 SITEPROP TIEOFF_X5Y38 RPM_Y 76 SITEPROP TIEOFF_X5Y38 SITE_PIPS SITEPROP TIEOFF_X5Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y39 CLASS site SITEPROP TIEOFF_X5Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y39 IS_BONDED 0 SITEPROP TIEOFF_X5Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y39 IS_PAD 0 SITEPROP TIEOFF_X5Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y39 IS_RESERVED 0 SITEPROP TIEOFF_X5Y39 IS_TEST 0 SITEPROP TIEOFF_X5Y39 IS_USED 0 SITEPROP TIEOFF_X5Y39 MANUAL_ROUTING SITEPROP TIEOFF_X5Y39 NAME TIEOFF_X5Y39 SITEPROP TIEOFF_X5Y39 NUM_ARCS 0 SITEPROP TIEOFF_X5Y39 NUM_BELS 2 SITEPROP TIEOFF_X5Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y39 NUM_PINS 2 SITEPROP TIEOFF_X5Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y39 PROHIBIT 0 SITEPROP TIEOFF_X5Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y39 RPM_X 28 SITEPROP TIEOFF_X5Y39 RPM_Y 78 SITEPROP TIEOFF_X5Y39 SITE_PIPS SITEPROP TIEOFF_X5Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y40 CLASS site SITEPROP TIEOFF_X5Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y40 IS_BONDED 0 SITEPROP TIEOFF_X5Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y40 IS_PAD 0 SITEPROP TIEOFF_X5Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y40 IS_RESERVED 0 SITEPROP TIEOFF_X5Y40 IS_TEST 0 SITEPROP TIEOFF_X5Y40 IS_USED 0 SITEPROP TIEOFF_X5Y40 MANUAL_ROUTING SITEPROP TIEOFF_X5Y40 NAME TIEOFF_X5Y40 SITEPROP TIEOFF_X5Y40 NUM_ARCS 0 SITEPROP TIEOFF_X5Y40 NUM_BELS 2 SITEPROP TIEOFF_X5Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y40 NUM_PINS 2 SITEPROP TIEOFF_X5Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y40 PROHIBIT 0 SITEPROP TIEOFF_X5Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y40 RPM_X 28 SITEPROP TIEOFF_X5Y40 RPM_Y 80 SITEPROP TIEOFF_X5Y40 SITE_PIPS SITEPROP TIEOFF_X5Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y41 CLASS site SITEPROP TIEOFF_X5Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y41 IS_BONDED 0 SITEPROP TIEOFF_X5Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y41 IS_PAD 0 SITEPROP TIEOFF_X5Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y41 IS_RESERVED 0 SITEPROP TIEOFF_X5Y41 IS_TEST 0 SITEPROP TIEOFF_X5Y41 IS_USED 0 SITEPROP TIEOFF_X5Y41 MANUAL_ROUTING SITEPROP TIEOFF_X5Y41 NAME TIEOFF_X5Y41 SITEPROP TIEOFF_X5Y41 NUM_ARCS 0 SITEPROP TIEOFF_X5Y41 NUM_BELS 2 SITEPROP TIEOFF_X5Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y41 NUM_PINS 2 SITEPROP TIEOFF_X5Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y41 PROHIBIT 0 SITEPROP TIEOFF_X5Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y41 RPM_X 28 SITEPROP TIEOFF_X5Y41 RPM_Y 82 SITEPROP TIEOFF_X5Y41 SITE_PIPS SITEPROP TIEOFF_X5Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y42 CLASS site SITEPROP TIEOFF_X5Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y42 IS_BONDED 0 SITEPROP TIEOFF_X5Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y42 IS_PAD 0 SITEPROP TIEOFF_X5Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y42 IS_RESERVED 0 SITEPROP TIEOFF_X5Y42 IS_TEST 0 SITEPROP TIEOFF_X5Y42 IS_USED 0 SITEPROP TIEOFF_X5Y42 MANUAL_ROUTING SITEPROP TIEOFF_X5Y42 NAME TIEOFF_X5Y42 SITEPROP TIEOFF_X5Y42 NUM_ARCS 0 SITEPROP TIEOFF_X5Y42 NUM_BELS 2 SITEPROP TIEOFF_X5Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y42 NUM_PINS 2 SITEPROP TIEOFF_X5Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y42 PROHIBIT 0 SITEPROP TIEOFF_X5Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y42 RPM_X 28 SITEPROP TIEOFF_X5Y42 RPM_Y 84 SITEPROP TIEOFF_X5Y42 SITE_PIPS SITEPROP TIEOFF_X5Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y43 CLASS site SITEPROP TIEOFF_X5Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y43 IS_BONDED 0 SITEPROP TIEOFF_X5Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y43 IS_PAD 0 SITEPROP TIEOFF_X5Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y43 IS_RESERVED 0 SITEPROP TIEOFF_X5Y43 IS_TEST 0 SITEPROP TIEOFF_X5Y43 IS_USED 0 SITEPROP TIEOFF_X5Y43 MANUAL_ROUTING SITEPROP TIEOFF_X5Y43 NAME TIEOFF_X5Y43 SITEPROP TIEOFF_X5Y43 NUM_ARCS 0 SITEPROP TIEOFF_X5Y43 NUM_BELS 2 SITEPROP TIEOFF_X5Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y43 NUM_PINS 2 SITEPROP TIEOFF_X5Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y43 PROHIBIT 0 SITEPROP TIEOFF_X5Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y43 RPM_X 28 SITEPROP TIEOFF_X5Y43 RPM_Y 86 SITEPROP TIEOFF_X5Y43 SITE_PIPS SITEPROP TIEOFF_X5Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y44 CLASS site SITEPROP TIEOFF_X5Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y44 IS_BONDED 0 SITEPROP TIEOFF_X5Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y44 IS_PAD 0 SITEPROP TIEOFF_X5Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y44 IS_RESERVED 0 SITEPROP TIEOFF_X5Y44 IS_TEST 0 SITEPROP TIEOFF_X5Y44 IS_USED 0 SITEPROP TIEOFF_X5Y44 MANUAL_ROUTING SITEPROP TIEOFF_X5Y44 NAME TIEOFF_X5Y44 SITEPROP TIEOFF_X5Y44 NUM_ARCS 0 SITEPROP TIEOFF_X5Y44 NUM_BELS 2 SITEPROP TIEOFF_X5Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y44 NUM_PINS 2 SITEPROP TIEOFF_X5Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y44 PROHIBIT 0 SITEPROP TIEOFF_X5Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y44 RPM_X 28 SITEPROP TIEOFF_X5Y44 RPM_Y 88 SITEPROP TIEOFF_X5Y44 SITE_PIPS SITEPROP TIEOFF_X5Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y45 CLASS site SITEPROP TIEOFF_X5Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y45 IS_BONDED 0 SITEPROP TIEOFF_X5Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y45 IS_PAD 0 SITEPROP TIEOFF_X5Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y45 IS_RESERVED 0 SITEPROP TIEOFF_X5Y45 IS_TEST 0 SITEPROP TIEOFF_X5Y45 IS_USED 0 SITEPROP TIEOFF_X5Y45 MANUAL_ROUTING SITEPROP TIEOFF_X5Y45 NAME TIEOFF_X5Y45 SITEPROP TIEOFF_X5Y45 NUM_ARCS 0 SITEPROP TIEOFF_X5Y45 NUM_BELS 2 SITEPROP TIEOFF_X5Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y45 NUM_PINS 2 SITEPROP TIEOFF_X5Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y45 PROHIBIT 0 SITEPROP TIEOFF_X5Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y45 RPM_X 28 SITEPROP TIEOFF_X5Y45 RPM_Y 90 SITEPROP TIEOFF_X5Y45 SITE_PIPS SITEPROP TIEOFF_X5Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y46 CLASS site SITEPROP TIEOFF_X5Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y46 IS_BONDED 0 SITEPROP TIEOFF_X5Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y46 IS_PAD 0 SITEPROP TIEOFF_X5Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y46 IS_RESERVED 0 SITEPROP TIEOFF_X5Y46 IS_TEST 0 SITEPROP TIEOFF_X5Y46 IS_USED 0 SITEPROP TIEOFF_X5Y46 MANUAL_ROUTING SITEPROP TIEOFF_X5Y46 NAME TIEOFF_X5Y46 SITEPROP TIEOFF_X5Y46 NUM_ARCS 0 SITEPROP TIEOFF_X5Y46 NUM_BELS 2 SITEPROP TIEOFF_X5Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y46 NUM_PINS 2 SITEPROP TIEOFF_X5Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y46 PROHIBIT 0 SITEPROP TIEOFF_X5Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y46 RPM_X 28 SITEPROP TIEOFF_X5Y46 RPM_Y 92 SITEPROP TIEOFF_X5Y46 SITE_PIPS SITEPROP TIEOFF_X5Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y47 CLASS site SITEPROP TIEOFF_X5Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y47 IS_BONDED 0 SITEPROP TIEOFF_X5Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y47 IS_PAD 0 SITEPROP TIEOFF_X5Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y47 IS_RESERVED 0 SITEPROP TIEOFF_X5Y47 IS_TEST 0 SITEPROP TIEOFF_X5Y47 IS_USED 0 SITEPROP TIEOFF_X5Y47 MANUAL_ROUTING SITEPROP TIEOFF_X5Y47 NAME TIEOFF_X5Y47 SITEPROP TIEOFF_X5Y47 NUM_ARCS 0 SITEPROP TIEOFF_X5Y47 NUM_BELS 2 SITEPROP TIEOFF_X5Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y47 NUM_PINS 2 SITEPROP TIEOFF_X5Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y47 PROHIBIT 0 SITEPROP TIEOFF_X5Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y47 RPM_X 28 SITEPROP TIEOFF_X5Y47 RPM_Y 94 SITEPROP TIEOFF_X5Y47 SITE_PIPS SITEPROP TIEOFF_X5Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y48 CLASS site SITEPROP TIEOFF_X5Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y48 IS_BONDED 0 SITEPROP TIEOFF_X5Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y48 IS_PAD 0 SITEPROP TIEOFF_X5Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y48 IS_RESERVED 0 SITEPROP TIEOFF_X5Y48 IS_TEST 0 SITEPROP TIEOFF_X5Y48 IS_USED 0 SITEPROP TIEOFF_X5Y48 MANUAL_ROUTING SITEPROP TIEOFF_X5Y48 NAME TIEOFF_X5Y48 SITEPROP TIEOFF_X5Y48 NUM_ARCS 0 SITEPROP TIEOFF_X5Y48 NUM_BELS 2 SITEPROP TIEOFF_X5Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y48 NUM_PINS 2 SITEPROP TIEOFF_X5Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y48 PROHIBIT 0 SITEPROP TIEOFF_X5Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y48 RPM_X 28 SITEPROP TIEOFF_X5Y48 RPM_Y 96 SITEPROP TIEOFF_X5Y48 SITE_PIPS SITEPROP TIEOFF_X5Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y49 CLASS site SITEPROP TIEOFF_X5Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X5Y49 IS_BONDED 0 SITEPROP TIEOFF_X5Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y49 IS_PAD 0 SITEPROP TIEOFF_X5Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y49 IS_RESERVED 0 SITEPROP TIEOFF_X5Y49 IS_TEST 0 SITEPROP TIEOFF_X5Y49 IS_USED 0 SITEPROP TIEOFF_X5Y49 MANUAL_ROUTING SITEPROP TIEOFF_X5Y49 NAME TIEOFF_X5Y49 SITEPROP TIEOFF_X5Y49 NUM_ARCS 0 SITEPROP TIEOFF_X5Y49 NUM_BELS 2 SITEPROP TIEOFF_X5Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y49 NUM_PINS 2 SITEPROP TIEOFF_X5Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y49 PROHIBIT 0 SITEPROP TIEOFF_X5Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y49 RPM_X 28 SITEPROP TIEOFF_X5Y49 RPM_Y 98 SITEPROP TIEOFF_X5Y49 SITE_PIPS SITEPROP TIEOFF_X5Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y50 CLASS site SITEPROP TIEOFF_X5Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y50 IS_BONDED 0 SITEPROP TIEOFF_X5Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y50 IS_PAD 0 SITEPROP TIEOFF_X5Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y50 IS_RESERVED 0 SITEPROP TIEOFF_X5Y50 IS_TEST 0 SITEPROP TIEOFF_X5Y50 IS_USED 0 SITEPROP TIEOFF_X5Y50 MANUAL_ROUTING SITEPROP TIEOFF_X5Y50 NAME TIEOFF_X5Y50 SITEPROP TIEOFF_X5Y50 NUM_ARCS 0 SITEPROP TIEOFF_X5Y50 NUM_BELS 2 SITEPROP TIEOFF_X5Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y50 NUM_PINS 2 SITEPROP TIEOFF_X5Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y50 PROHIBIT 0 SITEPROP TIEOFF_X5Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y50 RPM_X 28 SITEPROP TIEOFF_X5Y50 RPM_Y 100 SITEPROP TIEOFF_X5Y50 SITE_PIPS SITEPROP TIEOFF_X5Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y51 CLASS site SITEPROP TIEOFF_X5Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y51 IS_BONDED 0 SITEPROP TIEOFF_X5Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y51 IS_PAD 0 SITEPROP TIEOFF_X5Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y51 IS_RESERVED 0 SITEPROP TIEOFF_X5Y51 IS_TEST 0 SITEPROP TIEOFF_X5Y51 IS_USED 0 SITEPROP TIEOFF_X5Y51 MANUAL_ROUTING SITEPROP TIEOFF_X5Y51 NAME TIEOFF_X5Y51 SITEPROP TIEOFF_X5Y51 NUM_ARCS 0 SITEPROP TIEOFF_X5Y51 NUM_BELS 2 SITEPROP TIEOFF_X5Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y51 NUM_PINS 2 SITEPROP TIEOFF_X5Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y51 PROHIBIT 0 SITEPROP TIEOFF_X5Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y51 RPM_X 28 SITEPROP TIEOFF_X5Y51 RPM_Y 102 SITEPROP TIEOFF_X5Y51 SITE_PIPS SITEPROP TIEOFF_X5Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y52 CLASS site SITEPROP TIEOFF_X5Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y52 IS_BONDED 0 SITEPROP TIEOFF_X5Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y52 IS_PAD 0 SITEPROP TIEOFF_X5Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y52 IS_RESERVED 0 SITEPROP TIEOFF_X5Y52 IS_TEST 0 SITEPROP TIEOFF_X5Y52 IS_USED 0 SITEPROP TIEOFF_X5Y52 MANUAL_ROUTING SITEPROP TIEOFF_X5Y52 NAME TIEOFF_X5Y52 SITEPROP TIEOFF_X5Y52 NUM_ARCS 0 SITEPROP TIEOFF_X5Y52 NUM_BELS 2 SITEPROP TIEOFF_X5Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y52 NUM_PINS 2 SITEPROP TIEOFF_X5Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y52 PROHIBIT 0 SITEPROP TIEOFF_X5Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y52 RPM_X 28 SITEPROP TIEOFF_X5Y52 RPM_Y 104 SITEPROP TIEOFF_X5Y52 SITE_PIPS SITEPROP TIEOFF_X5Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y53 CLASS site SITEPROP TIEOFF_X5Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y53 IS_BONDED 0 SITEPROP TIEOFF_X5Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y53 IS_PAD 0 SITEPROP TIEOFF_X5Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y53 IS_RESERVED 0 SITEPROP TIEOFF_X5Y53 IS_TEST 0 SITEPROP TIEOFF_X5Y53 IS_USED 0 SITEPROP TIEOFF_X5Y53 MANUAL_ROUTING SITEPROP TIEOFF_X5Y53 NAME TIEOFF_X5Y53 SITEPROP TIEOFF_X5Y53 NUM_ARCS 0 SITEPROP TIEOFF_X5Y53 NUM_BELS 2 SITEPROP TIEOFF_X5Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y53 NUM_PINS 2 SITEPROP TIEOFF_X5Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y53 PROHIBIT 0 SITEPROP TIEOFF_X5Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y53 RPM_X 28 SITEPROP TIEOFF_X5Y53 RPM_Y 106 SITEPROP TIEOFF_X5Y53 SITE_PIPS SITEPROP TIEOFF_X5Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y54 CLASS site SITEPROP TIEOFF_X5Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y54 IS_BONDED 0 SITEPROP TIEOFF_X5Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y54 IS_PAD 0 SITEPROP TIEOFF_X5Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y54 IS_RESERVED 0 SITEPROP TIEOFF_X5Y54 IS_TEST 0 SITEPROP TIEOFF_X5Y54 IS_USED 0 SITEPROP TIEOFF_X5Y54 MANUAL_ROUTING SITEPROP TIEOFF_X5Y54 NAME TIEOFF_X5Y54 SITEPROP TIEOFF_X5Y54 NUM_ARCS 0 SITEPROP TIEOFF_X5Y54 NUM_BELS 2 SITEPROP TIEOFF_X5Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y54 NUM_PINS 2 SITEPROP TIEOFF_X5Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y54 PROHIBIT 0 SITEPROP TIEOFF_X5Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y54 RPM_X 28 SITEPROP TIEOFF_X5Y54 RPM_Y 108 SITEPROP TIEOFF_X5Y54 SITE_PIPS SITEPROP TIEOFF_X5Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y55 CLASS site SITEPROP TIEOFF_X5Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y55 IS_BONDED 0 SITEPROP TIEOFF_X5Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y55 IS_PAD 0 SITEPROP TIEOFF_X5Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y55 IS_RESERVED 0 SITEPROP TIEOFF_X5Y55 IS_TEST 0 SITEPROP TIEOFF_X5Y55 IS_USED 0 SITEPROP TIEOFF_X5Y55 MANUAL_ROUTING SITEPROP TIEOFF_X5Y55 NAME TIEOFF_X5Y55 SITEPROP TIEOFF_X5Y55 NUM_ARCS 0 SITEPROP TIEOFF_X5Y55 NUM_BELS 2 SITEPROP TIEOFF_X5Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y55 NUM_PINS 2 SITEPROP TIEOFF_X5Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y55 PROHIBIT 0 SITEPROP TIEOFF_X5Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y55 RPM_X 28 SITEPROP TIEOFF_X5Y55 RPM_Y 110 SITEPROP TIEOFF_X5Y55 SITE_PIPS SITEPROP TIEOFF_X5Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y56 CLASS site SITEPROP TIEOFF_X5Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y56 IS_BONDED 0 SITEPROP TIEOFF_X5Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y56 IS_PAD 0 SITEPROP TIEOFF_X5Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y56 IS_RESERVED 0 SITEPROP TIEOFF_X5Y56 IS_TEST 0 SITEPROP TIEOFF_X5Y56 IS_USED 0 SITEPROP TIEOFF_X5Y56 MANUAL_ROUTING SITEPROP TIEOFF_X5Y56 NAME TIEOFF_X5Y56 SITEPROP TIEOFF_X5Y56 NUM_ARCS 0 SITEPROP TIEOFF_X5Y56 NUM_BELS 2 SITEPROP TIEOFF_X5Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y56 NUM_PINS 2 SITEPROP TIEOFF_X5Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y56 PROHIBIT 0 SITEPROP TIEOFF_X5Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y56 RPM_X 28 SITEPROP TIEOFF_X5Y56 RPM_Y 112 SITEPROP TIEOFF_X5Y56 SITE_PIPS SITEPROP TIEOFF_X5Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y57 CLASS site SITEPROP TIEOFF_X5Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y57 IS_BONDED 0 SITEPROP TIEOFF_X5Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y57 IS_PAD 0 SITEPROP TIEOFF_X5Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y57 IS_RESERVED 0 SITEPROP TIEOFF_X5Y57 IS_TEST 0 SITEPROP TIEOFF_X5Y57 IS_USED 0 SITEPROP TIEOFF_X5Y57 MANUAL_ROUTING SITEPROP TIEOFF_X5Y57 NAME TIEOFF_X5Y57 SITEPROP TIEOFF_X5Y57 NUM_ARCS 0 SITEPROP TIEOFF_X5Y57 NUM_BELS 2 SITEPROP TIEOFF_X5Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y57 NUM_PINS 2 SITEPROP TIEOFF_X5Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y57 PROHIBIT 0 SITEPROP TIEOFF_X5Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y57 RPM_X 28 SITEPROP TIEOFF_X5Y57 RPM_Y 114 SITEPROP TIEOFF_X5Y57 SITE_PIPS SITEPROP TIEOFF_X5Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y58 CLASS site SITEPROP TIEOFF_X5Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y58 IS_BONDED 0 SITEPROP TIEOFF_X5Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y58 IS_PAD 0 SITEPROP TIEOFF_X5Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y58 IS_RESERVED 0 SITEPROP TIEOFF_X5Y58 IS_TEST 0 SITEPROP TIEOFF_X5Y58 IS_USED 0 SITEPROP TIEOFF_X5Y58 MANUAL_ROUTING SITEPROP TIEOFF_X5Y58 NAME TIEOFF_X5Y58 SITEPROP TIEOFF_X5Y58 NUM_ARCS 0 SITEPROP TIEOFF_X5Y58 NUM_BELS 2 SITEPROP TIEOFF_X5Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y58 NUM_PINS 2 SITEPROP TIEOFF_X5Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y58 PROHIBIT 0 SITEPROP TIEOFF_X5Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y58 RPM_X 28 SITEPROP TIEOFF_X5Y58 RPM_Y 116 SITEPROP TIEOFF_X5Y58 SITE_PIPS SITEPROP TIEOFF_X5Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y59 CLASS site SITEPROP TIEOFF_X5Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y59 IS_BONDED 0 SITEPROP TIEOFF_X5Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y59 IS_PAD 0 SITEPROP TIEOFF_X5Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y59 IS_RESERVED 0 SITEPROP TIEOFF_X5Y59 IS_TEST 0 SITEPROP TIEOFF_X5Y59 IS_USED 0 SITEPROP TIEOFF_X5Y59 MANUAL_ROUTING SITEPROP TIEOFF_X5Y59 NAME TIEOFF_X5Y59 SITEPROP TIEOFF_X5Y59 NUM_ARCS 0 SITEPROP TIEOFF_X5Y59 NUM_BELS 2 SITEPROP TIEOFF_X5Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y59 NUM_PINS 2 SITEPROP TIEOFF_X5Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y59 PROHIBIT 0 SITEPROP TIEOFF_X5Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y59 RPM_X 28 SITEPROP TIEOFF_X5Y59 RPM_Y 118 SITEPROP TIEOFF_X5Y59 SITE_PIPS SITEPROP TIEOFF_X5Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y60 CLASS site SITEPROP TIEOFF_X5Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y60 IS_BONDED 0 SITEPROP TIEOFF_X5Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y60 IS_PAD 0 SITEPROP TIEOFF_X5Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y60 IS_RESERVED 0 SITEPROP TIEOFF_X5Y60 IS_TEST 0 SITEPROP TIEOFF_X5Y60 IS_USED 0 SITEPROP TIEOFF_X5Y60 MANUAL_ROUTING SITEPROP TIEOFF_X5Y60 NAME TIEOFF_X5Y60 SITEPROP TIEOFF_X5Y60 NUM_ARCS 0 SITEPROP TIEOFF_X5Y60 NUM_BELS 2 SITEPROP TIEOFF_X5Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y60 NUM_PINS 2 SITEPROP TIEOFF_X5Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y60 PROHIBIT 0 SITEPROP TIEOFF_X5Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y60 RPM_X 28 SITEPROP TIEOFF_X5Y60 RPM_Y 120 SITEPROP TIEOFF_X5Y60 SITE_PIPS SITEPROP TIEOFF_X5Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y61 CLASS site SITEPROP TIEOFF_X5Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y61 IS_BONDED 0 SITEPROP TIEOFF_X5Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y61 IS_PAD 0 SITEPROP TIEOFF_X5Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y61 IS_RESERVED 0 SITEPROP TIEOFF_X5Y61 IS_TEST 0 SITEPROP TIEOFF_X5Y61 IS_USED 0 SITEPROP TIEOFF_X5Y61 MANUAL_ROUTING SITEPROP TIEOFF_X5Y61 NAME TIEOFF_X5Y61 SITEPROP TIEOFF_X5Y61 NUM_ARCS 0 SITEPROP TIEOFF_X5Y61 NUM_BELS 2 SITEPROP TIEOFF_X5Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y61 NUM_PINS 2 SITEPROP TIEOFF_X5Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y61 PROHIBIT 0 SITEPROP TIEOFF_X5Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y61 RPM_X 28 SITEPROP TIEOFF_X5Y61 RPM_Y 122 SITEPROP TIEOFF_X5Y61 SITE_PIPS SITEPROP TIEOFF_X5Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y62 CLASS site SITEPROP TIEOFF_X5Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y62 IS_BONDED 0 SITEPROP TIEOFF_X5Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y62 IS_PAD 0 SITEPROP TIEOFF_X5Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y62 IS_RESERVED 0 SITEPROP TIEOFF_X5Y62 IS_TEST 0 SITEPROP TIEOFF_X5Y62 IS_USED 0 SITEPROP TIEOFF_X5Y62 MANUAL_ROUTING SITEPROP TIEOFF_X5Y62 NAME TIEOFF_X5Y62 SITEPROP TIEOFF_X5Y62 NUM_ARCS 0 SITEPROP TIEOFF_X5Y62 NUM_BELS 2 SITEPROP TIEOFF_X5Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y62 NUM_PINS 2 SITEPROP TIEOFF_X5Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y62 PROHIBIT 0 SITEPROP TIEOFF_X5Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y62 RPM_X 28 SITEPROP TIEOFF_X5Y62 RPM_Y 124 SITEPROP TIEOFF_X5Y62 SITE_PIPS SITEPROP TIEOFF_X5Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y63 CLASS site SITEPROP TIEOFF_X5Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y63 IS_BONDED 0 SITEPROP TIEOFF_X5Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y63 IS_PAD 0 SITEPROP TIEOFF_X5Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y63 IS_RESERVED 0 SITEPROP TIEOFF_X5Y63 IS_TEST 0 SITEPROP TIEOFF_X5Y63 IS_USED 0 SITEPROP TIEOFF_X5Y63 MANUAL_ROUTING SITEPROP TIEOFF_X5Y63 NAME TIEOFF_X5Y63 SITEPROP TIEOFF_X5Y63 NUM_ARCS 0 SITEPROP TIEOFF_X5Y63 NUM_BELS 2 SITEPROP TIEOFF_X5Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y63 NUM_PINS 2 SITEPROP TIEOFF_X5Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y63 PROHIBIT 0 SITEPROP TIEOFF_X5Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y63 RPM_X 28 SITEPROP TIEOFF_X5Y63 RPM_Y 126 SITEPROP TIEOFF_X5Y63 SITE_PIPS SITEPROP TIEOFF_X5Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y64 CLASS site SITEPROP TIEOFF_X5Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y64 IS_BONDED 0 SITEPROP TIEOFF_X5Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y64 IS_PAD 0 SITEPROP TIEOFF_X5Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y64 IS_RESERVED 0 SITEPROP TIEOFF_X5Y64 IS_TEST 0 SITEPROP TIEOFF_X5Y64 IS_USED 0 SITEPROP TIEOFF_X5Y64 MANUAL_ROUTING SITEPROP TIEOFF_X5Y64 NAME TIEOFF_X5Y64 SITEPROP TIEOFF_X5Y64 NUM_ARCS 0 SITEPROP TIEOFF_X5Y64 NUM_BELS 2 SITEPROP TIEOFF_X5Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y64 NUM_PINS 2 SITEPROP TIEOFF_X5Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y64 PROHIBIT 0 SITEPROP TIEOFF_X5Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y64 RPM_X 28 SITEPROP TIEOFF_X5Y64 RPM_Y 128 SITEPROP TIEOFF_X5Y64 SITE_PIPS SITEPROP TIEOFF_X5Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y65 CLASS site SITEPROP TIEOFF_X5Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y65 IS_BONDED 0 SITEPROP TIEOFF_X5Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y65 IS_PAD 0 SITEPROP TIEOFF_X5Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y65 IS_RESERVED 0 SITEPROP TIEOFF_X5Y65 IS_TEST 0 SITEPROP TIEOFF_X5Y65 IS_USED 0 SITEPROP TIEOFF_X5Y65 MANUAL_ROUTING SITEPROP TIEOFF_X5Y65 NAME TIEOFF_X5Y65 SITEPROP TIEOFF_X5Y65 NUM_ARCS 0 SITEPROP TIEOFF_X5Y65 NUM_BELS 2 SITEPROP TIEOFF_X5Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y65 NUM_PINS 2 SITEPROP TIEOFF_X5Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y65 PROHIBIT 0 SITEPROP TIEOFF_X5Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y65 RPM_X 28 SITEPROP TIEOFF_X5Y65 RPM_Y 130 SITEPROP TIEOFF_X5Y65 SITE_PIPS SITEPROP TIEOFF_X5Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y66 CLASS site SITEPROP TIEOFF_X5Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y66 IS_BONDED 0 SITEPROP TIEOFF_X5Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y66 IS_PAD 0 SITEPROP TIEOFF_X5Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y66 IS_RESERVED 0 SITEPROP TIEOFF_X5Y66 IS_TEST 0 SITEPROP TIEOFF_X5Y66 IS_USED 0 SITEPROP TIEOFF_X5Y66 MANUAL_ROUTING SITEPROP TIEOFF_X5Y66 NAME TIEOFF_X5Y66 SITEPROP TIEOFF_X5Y66 NUM_ARCS 0 SITEPROP TIEOFF_X5Y66 NUM_BELS 2 SITEPROP TIEOFF_X5Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y66 NUM_PINS 2 SITEPROP TIEOFF_X5Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y66 PROHIBIT 0 SITEPROP TIEOFF_X5Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y66 RPM_X 28 SITEPROP TIEOFF_X5Y66 RPM_Y 132 SITEPROP TIEOFF_X5Y66 SITE_PIPS SITEPROP TIEOFF_X5Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y67 CLASS site SITEPROP TIEOFF_X5Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y67 IS_BONDED 0 SITEPROP TIEOFF_X5Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y67 IS_PAD 0 SITEPROP TIEOFF_X5Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y67 IS_RESERVED 0 SITEPROP TIEOFF_X5Y67 IS_TEST 0 SITEPROP TIEOFF_X5Y67 IS_USED 0 SITEPROP TIEOFF_X5Y67 MANUAL_ROUTING SITEPROP TIEOFF_X5Y67 NAME TIEOFF_X5Y67 SITEPROP TIEOFF_X5Y67 NUM_ARCS 0 SITEPROP TIEOFF_X5Y67 NUM_BELS 2 SITEPROP TIEOFF_X5Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y67 NUM_PINS 2 SITEPROP TIEOFF_X5Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y67 PROHIBIT 0 SITEPROP TIEOFF_X5Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y67 RPM_X 28 SITEPROP TIEOFF_X5Y67 RPM_Y 134 SITEPROP TIEOFF_X5Y67 SITE_PIPS SITEPROP TIEOFF_X5Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y68 CLASS site SITEPROP TIEOFF_X5Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y68 IS_BONDED 0 SITEPROP TIEOFF_X5Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y68 IS_PAD 0 SITEPROP TIEOFF_X5Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y68 IS_RESERVED 0 SITEPROP TIEOFF_X5Y68 IS_TEST 0 SITEPROP TIEOFF_X5Y68 IS_USED 0 SITEPROP TIEOFF_X5Y68 MANUAL_ROUTING SITEPROP TIEOFF_X5Y68 NAME TIEOFF_X5Y68 SITEPROP TIEOFF_X5Y68 NUM_ARCS 0 SITEPROP TIEOFF_X5Y68 NUM_BELS 2 SITEPROP TIEOFF_X5Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y68 NUM_PINS 2 SITEPROP TIEOFF_X5Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y68 PROHIBIT 0 SITEPROP TIEOFF_X5Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y68 RPM_X 28 SITEPROP TIEOFF_X5Y68 RPM_Y 136 SITEPROP TIEOFF_X5Y68 SITE_PIPS SITEPROP TIEOFF_X5Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y69 CLASS site SITEPROP TIEOFF_X5Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y69 IS_BONDED 0 SITEPROP TIEOFF_X5Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y69 IS_PAD 0 SITEPROP TIEOFF_X5Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y69 IS_RESERVED 0 SITEPROP TIEOFF_X5Y69 IS_TEST 0 SITEPROP TIEOFF_X5Y69 IS_USED 0 SITEPROP TIEOFF_X5Y69 MANUAL_ROUTING SITEPROP TIEOFF_X5Y69 NAME TIEOFF_X5Y69 SITEPROP TIEOFF_X5Y69 NUM_ARCS 0 SITEPROP TIEOFF_X5Y69 NUM_BELS 2 SITEPROP TIEOFF_X5Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y69 NUM_PINS 2 SITEPROP TIEOFF_X5Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y69 PROHIBIT 0 SITEPROP TIEOFF_X5Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y69 RPM_X 28 SITEPROP TIEOFF_X5Y69 RPM_Y 138 SITEPROP TIEOFF_X5Y69 SITE_PIPS SITEPROP TIEOFF_X5Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y70 CLASS site SITEPROP TIEOFF_X5Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y70 IS_BONDED 0 SITEPROP TIEOFF_X5Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y70 IS_PAD 0 SITEPROP TIEOFF_X5Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y70 IS_RESERVED 0 SITEPROP TIEOFF_X5Y70 IS_TEST 0 SITEPROP TIEOFF_X5Y70 IS_USED 0 SITEPROP TIEOFF_X5Y70 MANUAL_ROUTING SITEPROP TIEOFF_X5Y70 NAME TIEOFF_X5Y70 SITEPROP TIEOFF_X5Y70 NUM_ARCS 0 SITEPROP TIEOFF_X5Y70 NUM_BELS 2 SITEPROP TIEOFF_X5Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y70 NUM_PINS 2 SITEPROP TIEOFF_X5Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y70 PROHIBIT 0 SITEPROP TIEOFF_X5Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y70 RPM_X 28 SITEPROP TIEOFF_X5Y70 RPM_Y 140 SITEPROP TIEOFF_X5Y70 SITE_PIPS SITEPROP TIEOFF_X5Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y71 CLASS site SITEPROP TIEOFF_X5Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y71 IS_BONDED 0 SITEPROP TIEOFF_X5Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y71 IS_PAD 0 SITEPROP TIEOFF_X5Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y71 IS_RESERVED 0 SITEPROP TIEOFF_X5Y71 IS_TEST 0 SITEPROP TIEOFF_X5Y71 IS_USED 0 SITEPROP TIEOFF_X5Y71 MANUAL_ROUTING SITEPROP TIEOFF_X5Y71 NAME TIEOFF_X5Y71 SITEPROP TIEOFF_X5Y71 NUM_ARCS 0 SITEPROP TIEOFF_X5Y71 NUM_BELS 2 SITEPROP TIEOFF_X5Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y71 NUM_PINS 2 SITEPROP TIEOFF_X5Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y71 PROHIBIT 0 SITEPROP TIEOFF_X5Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y71 RPM_X 28 SITEPROP TIEOFF_X5Y71 RPM_Y 142 SITEPROP TIEOFF_X5Y71 SITE_PIPS SITEPROP TIEOFF_X5Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y72 CLASS site SITEPROP TIEOFF_X5Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y72 IS_BONDED 0 SITEPROP TIEOFF_X5Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y72 IS_PAD 0 SITEPROP TIEOFF_X5Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y72 IS_RESERVED 0 SITEPROP TIEOFF_X5Y72 IS_TEST 0 SITEPROP TIEOFF_X5Y72 IS_USED 0 SITEPROP TIEOFF_X5Y72 MANUAL_ROUTING SITEPROP TIEOFF_X5Y72 NAME TIEOFF_X5Y72 SITEPROP TIEOFF_X5Y72 NUM_ARCS 0 SITEPROP TIEOFF_X5Y72 NUM_BELS 2 SITEPROP TIEOFF_X5Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y72 NUM_PINS 2 SITEPROP TIEOFF_X5Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y72 PROHIBIT 0 SITEPROP TIEOFF_X5Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y72 RPM_X 28 SITEPROP TIEOFF_X5Y72 RPM_Y 144 SITEPROP TIEOFF_X5Y72 SITE_PIPS SITEPROP TIEOFF_X5Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y73 CLASS site SITEPROP TIEOFF_X5Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y73 IS_BONDED 0 SITEPROP TIEOFF_X5Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y73 IS_PAD 0 SITEPROP TIEOFF_X5Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y73 IS_RESERVED 0 SITEPROP TIEOFF_X5Y73 IS_TEST 0 SITEPROP TIEOFF_X5Y73 IS_USED 0 SITEPROP TIEOFF_X5Y73 MANUAL_ROUTING SITEPROP TIEOFF_X5Y73 NAME TIEOFF_X5Y73 SITEPROP TIEOFF_X5Y73 NUM_ARCS 0 SITEPROP TIEOFF_X5Y73 NUM_BELS 2 SITEPROP TIEOFF_X5Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y73 NUM_PINS 2 SITEPROP TIEOFF_X5Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y73 PROHIBIT 0 SITEPROP TIEOFF_X5Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y73 RPM_X 28 SITEPROP TIEOFF_X5Y73 RPM_Y 146 SITEPROP TIEOFF_X5Y73 SITE_PIPS SITEPROP TIEOFF_X5Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y74 CLASS site SITEPROP TIEOFF_X5Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y74 IS_BONDED 0 SITEPROP TIEOFF_X5Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y74 IS_PAD 0 SITEPROP TIEOFF_X5Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y74 IS_RESERVED 0 SITEPROP TIEOFF_X5Y74 IS_TEST 0 SITEPROP TIEOFF_X5Y74 IS_USED 0 SITEPROP TIEOFF_X5Y74 MANUAL_ROUTING SITEPROP TIEOFF_X5Y74 NAME TIEOFF_X5Y74 SITEPROP TIEOFF_X5Y74 NUM_ARCS 0 SITEPROP TIEOFF_X5Y74 NUM_BELS 2 SITEPROP TIEOFF_X5Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y74 NUM_PINS 2 SITEPROP TIEOFF_X5Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y74 PROHIBIT 0 SITEPROP TIEOFF_X5Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y74 RPM_X 28 SITEPROP TIEOFF_X5Y74 RPM_Y 148 SITEPROP TIEOFF_X5Y74 SITE_PIPS SITEPROP TIEOFF_X5Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y75 CLASS site SITEPROP TIEOFF_X5Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y75 IS_BONDED 0 SITEPROP TIEOFF_X5Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y75 IS_PAD 0 SITEPROP TIEOFF_X5Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y75 IS_RESERVED 0 SITEPROP TIEOFF_X5Y75 IS_TEST 0 SITEPROP TIEOFF_X5Y75 IS_USED 0 SITEPROP TIEOFF_X5Y75 MANUAL_ROUTING SITEPROP TIEOFF_X5Y75 NAME TIEOFF_X5Y75 SITEPROP TIEOFF_X5Y75 NUM_ARCS 0 SITEPROP TIEOFF_X5Y75 NUM_BELS 2 SITEPROP TIEOFF_X5Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y75 NUM_PINS 2 SITEPROP TIEOFF_X5Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y75 PROHIBIT 0 SITEPROP TIEOFF_X5Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y75 RPM_X 28 SITEPROP TIEOFF_X5Y75 RPM_Y 150 SITEPROP TIEOFF_X5Y75 SITE_PIPS SITEPROP TIEOFF_X5Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y76 CLASS site SITEPROP TIEOFF_X5Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y76 IS_BONDED 0 SITEPROP TIEOFF_X5Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y76 IS_PAD 0 SITEPROP TIEOFF_X5Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y76 IS_RESERVED 0 SITEPROP TIEOFF_X5Y76 IS_TEST 0 SITEPROP TIEOFF_X5Y76 IS_USED 0 SITEPROP TIEOFF_X5Y76 MANUAL_ROUTING SITEPROP TIEOFF_X5Y76 NAME TIEOFF_X5Y76 SITEPROP TIEOFF_X5Y76 NUM_ARCS 0 SITEPROP TIEOFF_X5Y76 NUM_BELS 2 SITEPROP TIEOFF_X5Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y76 NUM_PINS 2 SITEPROP TIEOFF_X5Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y76 PROHIBIT 0 SITEPROP TIEOFF_X5Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y76 RPM_X 28 SITEPROP TIEOFF_X5Y76 RPM_Y 152 SITEPROP TIEOFF_X5Y76 SITE_PIPS SITEPROP TIEOFF_X5Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y77 CLASS site SITEPROP TIEOFF_X5Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y77 IS_BONDED 0 SITEPROP TIEOFF_X5Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y77 IS_PAD 0 SITEPROP TIEOFF_X5Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y77 IS_RESERVED 0 SITEPROP TIEOFF_X5Y77 IS_TEST 0 SITEPROP TIEOFF_X5Y77 IS_USED 0 SITEPROP TIEOFF_X5Y77 MANUAL_ROUTING SITEPROP TIEOFF_X5Y77 NAME TIEOFF_X5Y77 SITEPROP TIEOFF_X5Y77 NUM_ARCS 0 SITEPROP TIEOFF_X5Y77 NUM_BELS 2 SITEPROP TIEOFF_X5Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y77 NUM_PINS 2 SITEPROP TIEOFF_X5Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y77 PROHIBIT 0 SITEPROP TIEOFF_X5Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y77 RPM_X 28 SITEPROP TIEOFF_X5Y77 RPM_Y 154 SITEPROP TIEOFF_X5Y77 SITE_PIPS SITEPROP TIEOFF_X5Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y78 CLASS site SITEPROP TIEOFF_X5Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y78 IS_BONDED 0 SITEPROP TIEOFF_X5Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y78 IS_PAD 0 SITEPROP TIEOFF_X5Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y78 IS_RESERVED 0 SITEPROP TIEOFF_X5Y78 IS_TEST 0 SITEPROP TIEOFF_X5Y78 IS_USED 0 SITEPROP TIEOFF_X5Y78 MANUAL_ROUTING SITEPROP TIEOFF_X5Y78 NAME TIEOFF_X5Y78 SITEPROP TIEOFF_X5Y78 NUM_ARCS 0 SITEPROP TIEOFF_X5Y78 NUM_BELS 2 SITEPROP TIEOFF_X5Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y78 NUM_PINS 2 SITEPROP TIEOFF_X5Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y78 PROHIBIT 0 SITEPROP TIEOFF_X5Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y78 RPM_X 28 SITEPROP TIEOFF_X5Y78 RPM_Y 156 SITEPROP TIEOFF_X5Y78 SITE_PIPS SITEPROP TIEOFF_X5Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y79 CLASS site SITEPROP TIEOFF_X5Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y79 IS_BONDED 0 SITEPROP TIEOFF_X5Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y79 IS_PAD 0 SITEPROP TIEOFF_X5Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y79 IS_RESERVED 0 SITEPROP TIEOFF_X5Y79 IS_TEST 0 SITEPROP TIEOFF_X5Y79 IS_USED 0 SITEPROP TIEOFF_X5Y79 MANUAL_ROUTING SITEPROP TIEOFF_X5Y79 NAME TIEOFF_X5Y79 SITEPROP TIEOFF_X5Y79 NUM_ARCS 0 SITEPROP TIEOFF_X5Y79 NUM_BELS 2 SITEPROP TIEOFF_X5Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y79 NUM_PINS 2 SITEPROP TIEOFF_X5Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y79 PROHIBIT 0 SITEPROP TIEOFF_X5Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y79 RPM_X 28 SITEPROP TIEOFF_X5Y79 RPM_Y 158 SITEPROP TIEOFF_X5Y79 SITE_PIPS SITEPROP TIEOFF_X5Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y80 CLASS site SITEPROP TIEOFF_X5Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y80 IS_BONDED 0 SITEPROP TIEOFF_X5Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y80 IS_PAD 0 SITEPROP TIEOFF_X5Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y80 IS_RESERVED 0 SITEPROP TIEOFF_X5Y80 IS_TEST 0 SITEPROP TIEOFF_X5Y80 IS_USED 0 SITEPROP TIEOFF_X5Y80 MANUAL_ROUTING SITEPROP TIEOFF_X5Y80 NAME TIEOFF_X5Y80 SITEPROP TIEOFF_X5Y80 NUM_ARCS 0 SITEPROP TIEOFF_X5Y80 NUM_BELS 2 SITEPROP TIEOFF_X5Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y80 NUM_PINS 2 SITEPROP TIEOFF_X5Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y80 PROHIBIT 0 SITEPROP TIEOFF_X5Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y80 RPM_X 28 SITEPROP TIEOFF_X5Y80 RPM_Y 160 SITEPROP TIEOFF_X5Y80 SITE_PIPS SITEPROP TIEOFF_X5Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y81 CLASS site SITEPROP TIEOFF_X5Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y81 IS_BONDED 0 SITEPROP TIEOFF_X5Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y81 IS_PAD 0 SITEPROP TIEOFF_X5Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y81 IS_RESERVED 0 SITEPROP TIEOFF_X5Y81 IS_TEST 0 SITEPROP TIEOFF_X5Y81 IS_USED 0 SITEPROP TIEOFF_X5Y81 MANUAL_ROUTING SITEPROP TIEOFF_X5Y81 NAME TIEOFF_X5Y81 SITEPROP TIEOFF_X5Y81 NUM_ARCS 0 SITEPROP TIEOFF_X5Y81 NUM_BELS 2 SITEPROP TIEOFF_X5Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y81 NUM_PINS 2 SITEPROP TIEOFF_X5Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y81 PROHIBIT 0 SITEPROP TIEOFF_X5Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y81 RPM_X 28 SITEPROP TIEOFF_X5Y81 RPM_Y 162 SITEPROP TIEOFF_X5Y81 SITE_PIPS SITEPROP TIEOFF_X5Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y82 CLASS site SITEPROP TIEOFF_X5Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y82 IS_BONDED 0 SITEPROP TIEOFF_X5Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y82 IS_PAD 0 SITEPROP TIEOFF_X5Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y82 IS_RESERVED 0 SITEPROP TIEOFF_X5Y82 IS_TEST 0 SITEPROP TIEOFF_X5Y82 IS_USED 0 SITEPROP TIEOFF_X5Y82 MANUAL_ROUTING SITEPROP TIEOFF_X5Y82 NAME TIEOFF_X5Y82 SITEPROP TIEOFF_X5Y82 NUM_ARCS 0 SITEPROP TIEOFF_X5Y82 NUM_BELS 2 SITEPROP TIEOFF_X5Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y82 NUM_PINS 2 SITEPROP TIEOFF_X5Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y82 PROHIBIT 0 SITEPROP TIEOFF_X5Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y82 RPM_X 28 SITEPROP TIEOFF_X5Y82 RPM_Y 164 SITEPROP TIEOFF_X5Y82 SITE_PIPS SITEPROP TIEOFF_X5Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y83 CLASS site SITEPROP TIEOFF_X5Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y83 IS_BONDED 0 SITEPROP TIEOFF_X5Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y83 IS_PAD 0 SITEPROP TIEOFF_X5Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y83 IS_RESERVED 0 SITEPROP TIEOFF_X5Y83 IS_TEST 0 SITEPROP TIEOFF_X5Y83 IS_USED 0 SITEPROP TIEOFF_X5Y83 MANUAL_ROUTING SITEPROP TIEOFF_X5Y83 NAME TIEOFF_X5Y83 SITEPROP TIEOFF_X5Y83 NUM_ARCS 0 SITEPROP TIEOFF_X5Y83 NUM_BELS 2 SITEPROP TIEOFF_X5Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y83 NUM_PINS 2 SITEPROP TIEOFF_X5Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y83 PROHIBIT 0 SITEPROP TIEOFF_X5Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y83 RPM_X 28 SITEPROP TIEOFF_X5Y83 RPM_Y 166 SITEPROP TIEOFF_X5Y83 SITE_PIPS SITEPROP TIEOFF_X5Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y84 CLASS site SITEPROP TIEOFF_X5Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y84 IS_BONDED 0 SITEPROP TIEOFF_X5Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y84 IS_PAD 0 SITEPROP TIEOFF_X5Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y84 IS_RESERVED 0 SITEPROP TIEOFF_X5Y84 IS_TEST 0 SITEPROP TIEOFF_X5Y84 IS_USED 0 SITEPROP TIEOFF_X5Y84 MANUAL_ROUTING SITEPROP TIEOFF_X5Y84 NAME TIEOFF_X5Y84 SITEPROP TIEOFF_X5Y84 NUM_ARCS 0 SITEPROP TIEOFF_X5Y84 NUM_BELS 2 SITEPROP TIEOFF_X5Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y84 NUM_PINS 2 SITEPROP TIEOFF_X5Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y84 PROHIBIT 0 SITEPROP TIEOFF_X5Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y84 RPM_X 28 SITEPROP TIEOFF_X5Y84 RPM_Y 168 SITEPROP TIEOFF_X5Y84 SITE_PIPS SITEPROP TIEOFF_X5Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y85 CLASS site SITEPROP TIEOFF_X5Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y85 IS_BONDED 0 SITEPROP TIEOFF_X5Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y85 IS_PAD 0 SITEPROP TIEOFF_X5Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y85 IS_RESERVED 0 SITEPROP TIEOFF_X5Y85 IS_TEST 0 SITEPROP TIEOFF_X5Y85 IS_USED 0 SITEPROP TIEOFF_X5Y85 MANUAL_ROUTING SITEPROP TIEOFF_X5Y85 NAME TIEOFF_X5Y85 SITEPROP TIEOFF_X5Y85 NUM_ARCS 0 SITEPROP TIEOFF_X5Y85 NUM_BELS 2 SITEPROP TIEOFF_X5Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y85 NUM_PINS 2 SITEPROP TIEOFF_X5Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y85 PROHIBIT 0 SITEPROP TIEOFF_X5Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y85 RPM_X 28 SITEPROP TIEOFF_X5Y85 RPM_Y 170 SITEPROP TIEOFF_X5Y85 SITE_PIPS SITEPROP TIEOFF_X5Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y86 CLASS site SITEPROP TIEOFF_X5Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y86 IS_BONDED 0 SITEPROP TIEOFF_X5Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y86 IS_PAD 0 SITEPROP TIEOFF_X5Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y86 IS_RESERVED 0 SITEPROP TIEOFF_X5Y86 IS_TEST 0 SITEPROP TIEOFF_X5Y86 IS_USED 0 SITEPROP TIEOFF_X5Y86 MANUAL_ROUTING SITEPROP TIEOFF_X5Y86 NAME TIEOFF_X5Y86 SITEPROP TIEOFF_X5Y86 NUM_ARCS 0 SITEPROP TIEOFF_X5Y86 NUM_BELS 2 SITEPROP TIEOFF_X5Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y86 NUM_PINS 2 SITEPROP TIEOFF_X5Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y86 PROHIBIT 0 SITEPROP TIEOFF_X5Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y86 RPM_X 28 SITEPROP TIEOFF_X5Y86 RPM_Y 172 SITEPROP TIEOFF_X5Y86 SITE_PIPS SITEPROP TIEOFF_X5Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y87 CLASS site SITEPROP TIEOFF_X5Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y87 IS_BONDED 0 SITEPROP TIEOFF_X5Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y87 IS_PAD 0 SITEPROP TIEOFF_X5Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y87 IS_RESERVED 0 SITEPROP TIEOFF_X5Y87 IS_TEST 0 SITEPROP TIEOFF_X5Y87 IS_USED 0 SITEPROP TIEOFF_X5Y87 MANUAL_ROUTING SITEPROP TIEOFF_X5Y87 NAME TIEOFF_X5Y87 SITEPROP TIEOFF_X5Y87 NUM_ARCS 0 SITEPROP TIEOFF_X5Y87 NUM_BELS 2 SITEPROP TIEOFF_X5Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y87 NUM_PINS 2 SITEPROP TIEOFF_X5Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y87 PROHIBIT 0 SITEPROP TIEOFF_X5Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y87 RPM_X 28 SITEPROP TIEOFF_X5Y87 RPM_Y 174 SITEPROP TIEOFF_X5Y87 SITE_PIPS SITEPROP TIEOFF_X5Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y88 CLASS site SITEPROP TIEOFF_X5Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y88 IS_BONDED 0 SITEPROP TIEOFF_X5Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y88 IS_PAD 0 SITEPROP TIEOFF_X5Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y88 IS_RESERVED 0 SITEPROP TIEOFF_X5Y88 IS_TEST 0 SITEPROP TIEOFF_X5Y88 IS_USED 0 SITEPROP TIEOFF_X5Y88 MANUAL_ROUTING SITEPROP TIEOFF_X5Y88 NAME TIEOFF_X5Y88 SITEPROP TIEOFF_X5Y88 NUM_ARCS 0 SITEPROP TIEOFF_X5Y88 NUM_BELS 2 SITEPROP TIEOFF_X5Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y88 NUM_PINS 2 SITEPROP TIEOFF_X5Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y88 PROHIBIT 0 SITEPROP TIEOFF_X5Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y88 RPM_X 28 SITEPROP TIEOFF_X5Y88 RPM_Y 176 SITEPROP TIEOFF_X5Y88 SITE_PIPS SITEPROP TIEOFF_X5Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y89 CLASS site SITEPROP TIEOFF_X5Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y89 IS_BONDED 0 SITEPROP TIEOFF_X5Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y89 IS_PAD 0 SITEPROP TIEOFF_X5Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y89 IS_RESERVED 0 SITEPROP TIEOFF_X5Y89 IS_TEST 0 SITEPROP TIEOFF_X5Y89 IS_USED 0 SITEPROP TIEOFF_X5Y89 MANUAL_ROUTING SITEPROP TIEOFF_X5Y89 NAME TIEOFF_X5Y89 SITEPROP TIEOFF_X5Y89 NUM_ARCS 0 SITEPROP TIEOFF_X5Y89 NUM_BELS 2 SITEPROP TIEOFF_X5Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y89 NUM_PINS 2 SITEPROP TIEOFF_X5Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y89 PROHIBIT 0 SITEPROP TIEOFF_X5Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y89 RPM_X 28 SITEPROP TIEOFF_X5Y89 RPM_Y 178 SITEPROP TIEOFF_X5Y89 SITE_PIPS SITEPROP TIEOFF_X5Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y90 CLASS site SITEPROP TIEOFF_X5Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y90 IS_BONDED 0 SITEPROP TIEOFF_X5Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y90 IS_PAD 0 SITEPROP TIEOFF_X5Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y90 IS_RESERVED 0 SITEPROP TIEOFF_X5Y90 IS_TEST 0 SITEPROP TIEOFF_X5Y90 IS_USED 0 SITEPROP TIEOFF_X5Y90 MANUAL_ROUTING SITEPROP TIEOFF_X5Y90 NAME TIEOFF_X5Y90 SITEPROP TIEOFF_X5Y90 NUM_ARCS 0 SITEPROP TIEOFF_X5Y90 NUM_BELS 2 SITEPROP TIEOFF_X5Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y90 NUM_PINS 2 SITEPROP TIEOFF_X5Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y90 PROHIBIT 0 SITEPROP TIEOFF_X5Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y90 RPM_X 28 SITEPROP TIEOFF_X5Y90 RPM_Y 180 SITEPROP TIEOFF_X5Y90 SITE_PIPS SITEPROP TIEOFF_X5Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y91 CLASS site SITEPROP TIEOFF_X5Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y91 IS_BONDED 0 SITEPROP TIEOFF_X5Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y91 IS_PAD 0 SITEPROP TIEOFF_X5Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y91 IS_RESERVED 0 SITEPROP TIEOFF_X5Y91 IS_TEST 0 SITEPROP TIEOFF_X5Y91 IS_USED 0 SITEPROP TIEOFF_X5Y91 MANUAL_ROUTING SITEPROP TIEOFF_X5Y91 NAME TIEOFF_X5Y91 SITEPROP TIEOFF_X5Y91 NUM_ARCS 0 SITEPROP TIEOFF_X5Y91 NUM_BELS 2 SITEPROP TIEOFF_X5Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y91 NUM_PINS 2 SITEPROP TIEOFF_X5Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y91 PROHIBIT 0 SITEPROP TIEOFF_X5Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y91 RPM_X 28 SITEPROP TIEOFF_X5Y91 RPM_Y 182 SITEPROP TIEOFF_X5Y91 SITE_PIPS SITEPROP TIEOFF_X5Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y92 CLASS site SITEPROP TIEOFF_X5Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y92 IS_BONDED 0 SITEPROP TIEOFF_X5Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y92 IS_PAD 0 SITEPROP TIEOFF_X5Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y92 IS_RESERVED 0 SITEPROP TIEOFF_X5Y92 IS_TEST 0 SITEPROP TIEOFF_X5Y92 IS_USED 0 SITEPROP TIEOFF_X5Y92 MANUAL_ROUTING SITEPROP TIEOFF_X5Y92 NAME TIEOFF_X5Y92 SITEPROP TIEOFF_X5Y92 NUM_ARCS 0 SITEPROP TIEOFF_X5Y92 NUM_BELS 2 SITEPROP TIEOFF_X5Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y92 NUM_PINS 2 SITEPROP TIEOFF_X5Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y92 PROHIBIT 0 SITEPROP TIEOFF_X5Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y92 RPM_X 28 SITEPROP TIEOFF_X5Y92 RPM_Y 184 SITEPROP TIEOFF_X5Y92 SITE_PIPS SITEPROP TIEOFF_X5Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y93 CLASS site SITEPROP TIEOFF_X5Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y93 IS_BONDED 0 SITEPROP TIEOFF_X5Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y93 IS_PAD 0 SITEPROP TIEOFF_X5Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y93 IS_RESERVED 0 SITEPROP TIEOFF_X5Y93 IS_TEST 0 SITEPROP TIEOFF_X5Y93 IS_USED 0 SITEPROP TIEOFF_X5Y93 MANUAL_ROUTING SITEPROP TIEOFF_X5Y93 NAME TIEOFF_X5Y93 SITEPROP TIEOFF_X5Y93 NUM_ARCS 0 SITEPROP TIEOFF_X5Y93 NUM_BELS 2 SITEPROP TIEOFF_X5Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y93 NUM_PINS 2 SITEPROP TIEOFF_X5Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y93 PROHIBIT 0 SITEPROP TIEOFF_X5Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y93 RPM_X 28 SITEPROP TIEOFF_X5Y93 RPM_Y 186 SITEPROP TIEOFF_X5Y93 SITE_PIPS SITEPROP TIEOFF_X5Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y94 CLASS site SITEPROP TIEOFF_X5Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y94 IS_BONDED 0 SITEPROP TIEOFF_X5Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y94 IS_PAD 0 SITEPROP TIEOFF_X5Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y94 IS_RESERVED 0 SITEPROP TIEOFF_X5Y94 IS_TEST 0 SITEPROP TIEOFF_X5Y94 IS_USED 0 SITEPROP TIEOFF_X5Y94 MANUAL_ROUTING SITEPROP TIEOFF_X5Y94 NAME TIEOFF_X5Y94 SITEPROP TIEOFF_X5Y94 NUM_ARCS 0 SITEPROP TIEOFF_X5Y94 NUM_BELS 2 SITEPROP TIEOFF_X5Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y94 NUM_PINS 2 SITEPROP TIEOFF_X5Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y94 PROHIBIT 0 SITEPROP TIEOFF_X5Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y94 RPM_X 28 SITEPROP TIEOFF_X5Y94 RPM_Y 188 SITEPROP TIEOFF_X5Y94 SITE_PIPS SITEPROP TIEOFF_X5Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y95 CLASS site SITEPROP TIEOFF_X5Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y95 IS_BONDED 0 SITEPROP TIEOFF_X5Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y95 IS_PAD 0 SITEPROP TIEOFF_X5Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y95 IS_RESERVED 0 SITEPROP TIEOFF_X5Y95 IS_TEST 0 SITEPROP TIEOFF_X5Y95 IS_USED 0 SITEPROP TIEOFF_X5Y95 MANUAL_ROUTING SITEPROP TIEOFF_X5Y95 NAME TIEOFF_X5Y95 SITEPROP TIEOFF_X5Y95 NUM_ARCS 0 SITEPROP TIEOFF_X5Y95 NUM_BELS 2 SITEPROP TIEOFF_X5Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y95 NUM_PINS 2 SITEPROP TIEOFF_X5Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y95 PROHIBIT 0 SITEPROP TIEOFF_X5Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y95 RPM_X 28 SITEPROP TIEOFF_X5Y95 RPM_Y 190 SITEPROP TIEOFF_X5Y95 SITE_PIPS SITEPROP TIEOFF_X5Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y96 CLASS site SITEPROP TIEOFF_X5Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y96 IS_BONDED 0 SITEPROP TIEOFF_X5Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y96 IS_PAD 0 SITEPROP TIEOFF_X5Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y96 IS_RESERVED 0 SITEPROP TIEOFF_X5Y96 IS_TEST 0 SITEPROP TIEOFF_X5Y96 IS_USED 0 SITEPROP TIEOFF_X5Y96 MANUAL_ROUTING SITEPROP TIEOFF_X5Y96 NAME TIEOFF_X5Y96 SITEPROP TIEOFF_X5Y96 NUM_ARCS 0 SITEPROP TIEOFF_X5Y96 NUM_BELS 2 SITEPROP TIEOFF_X5Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y96 NUM_PINS 2 SITEPROP TIEOFF_X5Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y96 PROHIBIT 0 SITEPROP TIEOFF_X5Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y96 RPM_X 28 SITEPROP TIEOFF_X5Y96 RPM_Y 192 SITEPROP TIEOFF_X5Y96 SITE_PIPS SITEPROP TIEOFF_X5Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y97 CLASS site SITEPROP TIEOFF_X5Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y97 IS_BONDED 0 SITEPROP TIEOFF_X5Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y97 IS_PAD 0 SITEPROP TIEOFF_X5Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y97 IS_RESERVED 0 SITEPROP TIEOFF_X5Y97 IS_TEST 0 SITEPROP TIEOFF_X5Y97 IS_USED 0 SITEPROP TIEOFF_X5Y97 MANUAL_ROUTING SITEPROP TIEOFF_X5Y97 NAME TIEOFF_X5Y97 SITEPROP TIEOFF_X5Y97 NUM_ARCS 0 SITEPROP TIEOFF_X5Y97 NUM_BELS 2 SITEPROP TIEOFF_X5Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y97 NUM_PINS 2 SITEPROP TIEOFF_X5Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y97 PROHIBIT 0 SITEPROP TIEOFF_X5Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y97 RPM_X 28 SITEPROP TIEOFF_X5Y97 RPM_Y 194 SITEPROP TIEOFF_X5Y97 SITE_PIPS SITEPROP TIEOFF_X5Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y98 CLASS site SITEPROP TIEOFF_X5Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y98 IS_BONDED 0 SITEPROP TIEOFF_X5Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y98 IS_PAD 0 SITEPROP TIEOFF_X5Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y98 IS_RESERVED 0 SITEPROP TIEOFF_X5Y98 IS_TEST 0 SITEPROP TIEOFF_X5Y98 IS_USED 0 SITEPROP TIEOFF_X5Y98 MANUAL_ROUTING SITEPROP TIEOFF_X5Y98 NAME TIEOFF_X5Y98 SITEPROP TIEOFF_X5Y98 NUM_ARCS 0 SITEPROP TIEOFF_X5Y98 NUM_BELS 2 SITEPROP TIEOFF_X5Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y98 NUM_PINS 2 SITEPROP TIEOFF_X5Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y98 PROHIBIT 0 SITEPROP TIEOFF_X5Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y98 RPM_X 28 SITEPROP TIEOFF_X5Y98 RPM_Y 196 SITEPROP TIEOFF_X5Y98 SITE_PIPS SITEPROP TIEOFF_X5Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y99 CLASS site SITEPROP TIEOFF_X5Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X5Y99 IS_BONDED 0 SITEPROP TIEOFF_X5Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y99 IS_PAD 0 SITEPROP TIEOFF_X5Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y99 IS_RESERVED 0 SITEPROP TIEOFF_X5Y99 IS_TEST 0 SITEPROP TIEOFF_X5Y99 IS_USED 0 SITEPROP TIEOFF_X5Y99 MANUAL_ROUTING SITEPROP TIEOFF_X5Y99 NAME TIEOFF_X5Y99 SITEPROP TIEOFF_X5Y99 NUM_ARCS 0 SITEPROP TIEOFF_X5Y99 NUM_BELS 2 SITEPROP TIEOFF_X5Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y99 NUM_PINS 2 SITEPROP TIEOFF_X5Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y99 PROHIBIT 0 SITEPROP TIEOFF_X5Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y99 RPM_X 28 SITEPROP TIEOFF_X5Y99 RPM_Y 198 SITEPROP TIEOFF_X5Y99 SITE_PIPS SITEPROP TIEOFF_X5Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y100 CLASS site SITEPROP TIEOFF_X5Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y100 IS_BONDED 0 SITEPROP TIEOFF_X5Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y100 IS_PAD 0 SITEPROP TIEOFF_X5Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y100 IS_RESERVED 0 SITEPROP TIEOFF_X5Y100 IS_TEST 0 SITEPROP TIEOFF_X5Y100 IS_USED 0 SITEPROP TIEOFF_X5Y100 MANUAL_ROUTING SITEPROP TIEOFF_X5Y100 NAME TIEOFF_X5Y100 SITEPROP TIEOFF_X5Y100 NUM_ARCS 0 SITEPROP TIEOFF_X5Y100 NUM_BELS 2 SITEPROP TIEOFF_X5Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y100 NUM_PINS 2 SITEPROP TIEOFF_X5Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y100 PROHIBIT 0 SITEPROP TIEOFF_X5Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y100 RPM_X 28 SITEPROP TIEOFF_X5Y100 RPM_Y 200 SITEPROP TIEOFF_X5Y100 SITE_PIPS SITEPROP TIEOFF_X5Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y101 CLASS site SITEPROP TIEOFF_X5Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y101 IS_BONDED 0 SITEPROP TIEOFF_X5Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y101 IS_PAD 0 SITEPROP TIEOFF_X5Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y101 IS_RESERVED 0 SITEPROP TIEOFF_X5Y101 IS_TEST 0 SITEPROP TIEOFF_X5Y101 IS_USED 0 SITEPROP TIEOFF_X5Y101 MANUAL_ROUTING SITEPROP TIEOFF_X5Y101 NAME TIEOFF_X5Y101 SITEPROP TIEOFF_X5Y101 NUM_ARCS 0 SITEPROP TIEOFF_X5Y101 NUM_BELS 2 SITEPROP TIEOFF_X5Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y101 NUM_PINS 2 SITEPROP TIEOFF_X5Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y101 PROHIBIT 0 SITEPROP TIEOFF_X5Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y101 RPM_X 28 SITEPROP TIEOFF_X5Y101 RPM_Y 202 SITEPROP TIEOFF_X5Y101 SITE_PIPS SITEPROP TIEOFF_X5Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y102 CLASS site SITEPROP TIEOFF_X5Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y102 IS_BONDED 0 SITEPROP TIEOFF_X5Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y102 IS_PAD 0 SITEPROP TIEOFF_X5Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y102 IS_RESERVED 0 SITEPROP TIEOFF_X5Y102 IS_TEST 0 SITEPROP TIEOFF_X5Y102 IS_USED 0 SITEPROP TIEOFF_X5Y102 MANUAL_ROUTING SITEPROP TIEOFF_X5Y102 NAME TIEOFF_X5Y102 SITEPROP TIEOFF_X5Y102 NUM_ARCS 0 SITEPROP TIEOFF_X5Y102 NUM_BELS 2 SITEPROP TIEOFF_X5Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y102 NUM_PINS 2 SITEPROP TIEOFF_X5Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y102 PROHIBIT 0 SITEPROP TIEOFF_X5Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y102 RPM_X 28 SITEPROP TIEOFF_X5Y102 RPM_Y 204 SITEPROP TIEOFF_X5Y102 SITE_PIPS SITEPROP TIEOFF_X5Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y103 CLASS site SITEPROP TIEOFF_X5Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y103 IS_BONDED 0 SITEPROP TIEOFF_X5Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y103 IS_PAD 0 SITEPROP TIEOFF_X5Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y103 IS_RESERVED 0 SITEPROP TIEOFF_X5Y103 IS_TEST 0 SITEPROP TIEOFF_X5Y103 IS_USED 0 SITEPROP TIEOFF_X5Y103 MANUAL_ROUTING SITEPROP TIEOFF_X5Y103 NAME TIEOFF_X5Y103 SITEPROP TIEOFF_X5Y103 NUM_ARCS 0 SITEPROP TIEOFF_X5Y103 NUM_BELS 2 SITEPROP TIEOFF_X5Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y103 NUM_PINS 2 SITEPROP TIEOFF_X5Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y103 PROHIBIT 0 SITEPROP TIEOFF_X5Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y103 RPM_X 28 SITEPROP TIEOFF_X5Y103 RPM_Y 206 SITEPROP TIEOFF_X5Y103 SITE_PIPS SITEPROP TIEOFF_X5Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y104 CLASS site SITEPROP TIEOFF_X5Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y104 IS_BONDED 0 SITEPROP TIEOFF_X5Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y104 IS_PAD 0 SITEPROP TIEOFF_X5Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y104 IS_RESERVED 0 SITEPROP TIEOFF_X5Y104 IS_TEST 0 SITEPROP TIEOFF_X5Y104 IS_USED 0 SITEPROP TIEOFF_X5Y104 MANUAL_ROUTING SITEPROP TIEOFF_X5Y104 NAME TIEOFF_X5Y104 SITEPROP TIEOFF_X5Y104 NUM_ARCS 0 SITEPROP TIEOFF_X5Y104 NUM_BELS 2 SITEPROP TIEOFF_X5Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y104 NUM_PINS 2 SITEPROP TIEOFF_X5Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y104 PROHIBIT 0 SITEPROP TIEOFF_X5Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y104 RPM_X 28 SITEPROP TIEOFF_X5Y104 RPM_Y 208 SITEPROP TIEOFF_X5Y104 SITE_PIPS SITEPROP TIEOFF_X5Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y105 CLASS site SITEPROP TIEOFF_X5Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y105 IS_BONDED 0 SITEPROP TIEOFF_X5Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y105 IS_PAD 0 SITEPROP TIEOFF_X5Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y105 IS_RESERVED 0 SITEPROP TIEOFF_X5Y105 IS_TEST 0 SITEPROP TIEOFF_X5Y105 IS_USED 0 SITEPROP TIEOFF_X5Y105 MANUAL_ROUTING SITEPROP TIEOFF_X5Y105 NAME TIEOFF_X5Y105 SITEPROP TIEOFF_X5Y105 NUM_ARCS 0 SITEPROP TIEOFF_X5Y105 NUM_BELS 2 SITEPROP TIEOFF_X5Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y105 NUM_PINS 2 SITEPROP TIEOFF_X5Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y105 PROHIBIT 0 SITEPROP TIEOFF_X5Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y105 RPM_X 28 SITEPROP TIEOFF_X5Y105 RPM_Y 210 SITEPROP TIEOFF_X5Y105 SITE_PIPS SITEPROP TIEOFF_X5Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y106 CLASS site SITEPROP TIEOFF_X5Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y106 IS_BONDED 0 SITEPROP TIEOFF_X5Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y106 IS_PAD 0 SITEPROP TIEOFF_X5Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y106 IS_RESERVED 0 SITEPROP TIEOFF_X5Y106 IS_TEST 0 SITEPROP TIEOFF_X5Y106 IS_USED 0 SITEPROP TIEOFF_X5Y106 MANUAL_ROUTING SITEPROP TIEOFF_X5Y106 NAME TIEOFF_X5Y106 SITEPROP TIEOFF_X5Y106 NUM_ARCS 0 SITEPROP TIEOFF_X5Y106 NUM_BELS 2 SITEPROP TIEOFF_X5Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y106 NUM_PINS 2 SITEPROP TIEOFF_X5Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y106 PROHIBIT 0 SITEPROP TIEOFF_X5Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y106 RPM_X 28 SITEPROP TIEOFF_X5Y106 RPM_Y 212 SITEPROP TIEOFF_X5Y106 SITE_PIPS SITEPROP TIEOFF_X5Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y107 CLASS site SITEPROP TIEOFF_X5Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y107 IS_BONDED 0 SITEPROP TIEOFF_X5Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y107 IS_PAD 0 SITEPROP TIEOFF_X5Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y107 IS_RESERVED 0 SITEPROP TIEOFF_X5Y107 IS_TEST 0 SITEPROP TIEOFF_X5Y107 IS_USED 0 SITEPROP TIEOFF_X5Y107 MANUAL_ROUTING SITEPROP TIEOFF_X5Y107 NAME TIEOFF_X5Y107 SITEPROP TIEOFF_X5Y107 NUM_ARCS 0 SITEPROP TIEOFF_X5Y107 NUM_BELS 2 SITEPROP TIEOFF_X5Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y107 NUM_PINS 2 SITEPROP TIEOFF_X5Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y107 PROHIBIT 0 SITEPROP TIEOFF_X5Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y107 RPM_X 28 SITEPROP TIEOFF_X5Y107 RPM_Y 214 SITEPROP TIEOFF_X5Y107 SITE_PIPS SITEPROP TIEOFF_X5Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y108 CLASS site SITEPROP TIEOFF_X5Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y108 IS_BONDED 0 SITEPROP TIEOFF_X5Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y108 IS_PAD 0 SITEPROP TIEOFF_X5Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y108 IS_RESERVED 0 SITEPROP TIEOFF_X5Y108 IS_TEST 0 SITEPROP TIEOFF_X5Y108 IS_USED 0 SITEPROP TIEOFF_X5Y108 MANUAL_ROUTING SITEPROP TIEOFF_X5Y108 NAME TIEOFF_X5Y108 SITEPROP TIEOFF_X5Y108 NUM_ARCS 0 SITEPROP TIEOFF_X5Y108 NUM_BELS 2 SITEPROP TIEOFF_X5Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y108 NUM_PINS 2 SITEPROP TIEOFF_X5Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y108 PROHIBIT 0 SITEPROP TIEOFF_X5Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y108 RPM_X 28 SITEPROP TIEOFF_X5Y108 RPM_Y 216 SITEPROP TIEOFF_X5Y108 SITE_PIPS SITEPROP TIEOFF_X5Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y109 CLASS site SITEPROP TIEOFF_X5Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y109 IS_BONDED 0 SITEPROP TIEOFF_X5Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y109 IS_PAD 0 SITEPROP TIEOFF_X5Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y109 IS_RESERVED 0 SITEPROP TIEOFF_X5Y109 IS_TEST 0 SITEPROP TIEOFF_X5Y109 IS_USED 0 SITEPROP TIEOFF_X5Y109 MANUAL_ROUTING SITEPROP TIEOFF_X5Y109 NAME TIEOFF_X5Y109 SITEPROP TIEOFF_X5Y109 NUM_ARCS 0 SITEPROP TIEOFF_X5Y109 NUM_BELS 2 SITEPROP TIEOFF_X5Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y109 NUM_PINS 2 SITEPROP TIEOFF_X5Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y109 PROHIBIT 0 SITEPROP TIEOFF_X5Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y109 RPM_X 28 SITEPROP TIEOFF_X5Y109 RPM_Y 218 SITEPROP TIEOFF_X5Y109 SITE_PIPS SITEPROP TIEOFF_X5Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y110 CLASS site SITEPROP TIEOFF_X5Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y110 IS_BONDED 0 SITEPROP TIEOFF_X5Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y110 IS_PAD 0 SITEPROP TIEOFF_X5Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y110 IS_RESERVED 0 SITEPROP TIEOFF_X5Y110 IS_TEST 0 SITEPROP TIEOFF_X5Y110 IS_USED 0 SITEPROP TIEOFF_X5Y110 MANUAL_ROUTING SITEPROP TIEOFF_X5Y110 NAME TIEOFF_X5Y110 SITEPROP TIEOFF_X5Y110 NUM_ARCS 0 SITEPROP TIEOFF_X5Y110 NUM_BELS 2 SITEPROP TIEOFF_X5Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y110 NUM_PINS 2 SITEPROP TIEOFF_X5Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y110 PROHIBIT 0 SITEPROP TIEOFF_X5Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y110 RPM_X 28 SITEPROP TIEOFF_X5Y110 RPM_Y 220 SITEPROP TIEOFF_X5Y110 SITE_PIPS SITEPROP TIEOFF_X5Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y111 CLASS site SITEPROP TIEOFF_X5Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y111 IS_BONDED 0 SITEPROP TIEOFF_X5Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y111 IS_PAD 0 SITEPROP TIEOFF_X5Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y111 IS_RESERVED 0 SITEPROP TIEOFF_X5Y111 IS_TEST 0 SITEPROP TIEOFF_X5Y111 IS_USED 0 SITEPROP TIEOFF_X5Y111 MANUAL_ROUTING SITEPROP TIEOFF_X5Y111 NAME TIEOFF_X5Y111 SITEPROP TIEOFF_X5Y111 NUM_ARCS 0 SITEPROP TIEOFF_X5Y111 NUM_BELS 2 SITEPROP TIEOFF_X5Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y111 NUM_PINS 2 SITEPROP TIEOFF_X5Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y111 PROHIBIT 0 SITEPROP TIEOFF_X5Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y111 RPM_X 28 SITEPROP TIEOFF_X5Y111 RPM_Y 222 SITEPROP TIEOFF_X5Y111 SITE_PIPS SITEPROP TIEOFF_X5Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y112 CLASS site SITEPROP TIEOFF_X5Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y112 IS_BONDED 0 SITEPROP TIEOFF_X5Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y112 IS_PAD 0 SITEPROP TIEOFF_X5Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y112 IS_RESERVED 0 SITEPROP TIEOFF_X5Y112 IS_TEST 0 SITEPROP TIEOFF_X5Y112 IS_USED 0 SITEPROP TIEOFF_X5Y112 MANUAL_ROUTING SITEPROP TIEOFF_X5Y112 NAME TIEOFF_X5Y112 SITEPROP TIEOFF_X5Y112 NUM_ARCS 0 SITEPROP TIEOFF_X5Y112 NUM_BELS 2 SITEPROP TIEOFF_X5Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y112 NUM_PINS 2 SITEPROP TIEOFF_X5Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y112 PROHIBIT 0 SITEPROP TIEOFF_X5Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y112 RPM_X 28 SITEPROP TIEOFF_X5Y112 RPM_Y 224 SITEPROP TIEOFF_X5Y112 SITE_PIPS SITEPROP TIEOFF_X5Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y113 CLASS site SITEPROP TIEOFF_X5Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y113 IS_BONDED 0 SITEPROP TIEOFF_X5Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y113 IS_PAD 0 SITEPROP TIEOFF_X5Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y113 IS_RESERVED 0 SITEPROP TIEOFF_X5Y113 IS_TEST 0 SITEPROP TIEOFF_X5Y113 IS_USED 0 SITEPROP TIEOFF_X5Y113 MANUAL_ROUTING SITEPROP TIEOFF_X5Y113 NAME TIEOFF_X5Y113 SITEPROP TIEOFF_X5Y113 NUM_ARCS 0 SITEPROP TIEOFF_X5Y113 NUM_BELS 2 SITEPROP TIEOFF_X5Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y113 NUM_PINS 2 SITEPROP TIEOFF_X5Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y113 PROHIBIT 0 SITEPROP TIEOFF_X5Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y113 RPM_X 28 SITEPROP TIEOFF_X5Y113 RPM_Y 226 SITEPROP TIEOFF_X5Y113 SITE_PIPS SITEPROP TIEOFF_X5Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y114 CLASS site SITEPROP TIEOFF_X5Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y114 IS_BONDED 0 SITEPROP TIEOFF_X5Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y114 IS_PAD 0 SITEPROP TIEOFF_X5Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y114 IS_RESERVED 0 SITEPROP TIEOFF_X5Y114 IS_TEST 0 SITEPROP TIEOFF_X5Y114 IS_USED 0 SITEPROP TIEOFF_X5Y114 MANUAL_ROUTING SITEPROP TIEOFF_X5Y114 NAME TIEOFF_X5Y114 SITEPROP TIEOFF_X5Y114 NUM_ARCS 0 SITEPROP TIEOFF_X5Y114 NUM_BELS 2 SITEPROP TIEOFF_X5Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y114 NUM_PINS 2 SITEPROP TIEOFF_X5Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y114 PROHIBIT 0 SITEPROP TIEOFF_X5Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y114 RPM_X 28 SITEPROP TIEOFF_X5Y114 RPM_Y 228 SITEPROP TIEOFF_X5Y114 SITE_PIPS SITEPROP TIEOFF_X5Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y115 CLASS site SITEPROP TIEOFF_X5Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y115 IS_BONDED 0 SITEPROP TIEOFF_X5Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y115 IS_PAD 0 SITEPROP TIEOFF_X5Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y115 IS_RESERVED 0 SITEPROP TIEOFF_X5Y115 IS_TEST 0 SITEPROP TIEOFF_X5Y115 IS_USED 0 SITEPROP TIEOFF_X5Y115 MANUAL_ROUTING SITEPROP TIEOFF_X5Y115 NAME TIEOFF_X5Y115 SITEPROP TIEOFF_X5Y115 NUM_ARCS 0 SITEPROP TIEOFF_X5Y115 NUM_BELS 2 SITEPROP TIEOFF_X5Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y115 NUM_PINS 2 SITEPROP TIEOFF_X5Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y115 PROHIBIT 0 SITEPROP TIEOFF_X5Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y115 RPM_X 28 SITEPROP TIEOFF_X5Y115 RPM_Y 230 SITEPROP TIEOFF_X5Y115 SITE_PIPS SITEPROP TIEOFF_X5Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y116 CLASS site SITEPROP TIEOFF_X5Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y116 IS_BONDED 0 SITEPROP TIEOFF_X5Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y116 IS_PAD 0 SITEPROP TIEOFF_X5Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y116 IS_RESERVED 0 SITEPROP TIEOFF_X5Y116 IS_TEST 0 SITEPROP TIEOFF_X5Y116 IS_USED 0 SITEPROP TIEOFF_X5Y116 MANUAL_ROUTING SITEPROP TIEOFF_X5Y116 NAME TIEOFF_X5Y116 SITEPROP TIEOFF_X5Y116 NUM_ARCS 0 SITEPROP TIEOFF_X5Y116 NUM_BELS 2 SITEPROP TIEOFF_X5Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y116 NUM_PINS 2 SITEPROP TIEOFF_X5Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y116 PROHIBIT 0 SITEPROP TIEOFF_X5Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y116 RPM_X 28 SITEPROP TIEOFF_X5Y116 RPM_Y 232 SITEPROP TIEOFF_X5Y116 SITE_PIPS SITEPROP TIEOFF_X5Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y117 CLASS site SITEPROP TIEOFF_X5Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y117 IS_BONDED 0 SITEPROP TIEOFF_X5Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y117 IS_PAD 0 SITEPROP TIEOFF_X5Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y117 IS_RESERVED 0 SITEPROP TIEOFF_X5Y117 IS_TEST 0 SITEPROP TIEOFF_X5Y117 IS_USED 0 SITEPROP TIEOFF_X5Y117 MANUAL_ROUTING SITEPROP TIEOFF_X5Y117 NAME TIEOFF_X5Y117 SITEPROP TIEOFF_X5Y117 NUM_ARCS 0 SITEPROP TIEOFF_X5Y117 NUM_BELS 2 SITEPROP TIEOFF_X5Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y117 NUM_PINS 2 SITEPROP TIEOFF_X5Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y117 PROHIBIT 0 SITEPROP TIEOFF_X5Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y117 RPM_X 28 SITEPROP TIEOFF_X5Y117 RPM_Y 234 SITEPROP TIEOFF_X5Y117 SITE_PIPS SITEPROP TIEOFF_X5Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y118 CLASS site SITEPROP TIEOFF_X5Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y118 IS_BONDED 0 SITEPROP TIEOFF_X5Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y118 IS_PAD 0 SITEPROP TIEOFF_X5Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y118 IS_RESERVED 0 SITEPROP TIEOFF_X5Y118 IS_TEST 0 SITEPROP TIEOFF_X5Y118 IS_USED 0 SITEPROP TIEOFF_X5Y118 MANUAL_ROUTING SITEPROP TIEOFF_X5Y118 NAME TIEOFF_X5Y118 SITEPROP TIEOFF_X5Y118 NUM_ARCS 0 SITEPROP TIEOFF_X5Y118 NUM_BELS 2 SITEPROP TIEOFF_X5Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y118 NUM_PINS 2 SITEPROP TIEOFF_X5Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y118 PROHIBIT 0 SITEPROP TIEOFF_X5Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y118 RPM_X 28 SITEPROP TIEOFF_X5Y118 RPM_Y 236 SITEPROP TIEOFF_X5Y118 SITE_PIPS SITEPROP TIEOFF_X5Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y119 CLASS site SITEPROP TIEOFF_X5Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y119 IS_BONDED 0 SITEPROP TIEOFF_X5Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y119 IS_PAD 0 SITEPROP TIEOFF_X5Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y119 IS_RESERVED 0 SITEPROP TIEOFF_X5Y119 IS_TEST 0 SITEPROP TIEOFF_X5Y119 IS_USED 0 SITEPROP TIEOFF_X5Y119 MANUAL_ROUTING SITEPROP TIEOFF_X5Y119 NAME TIEOFF_X5Y119 SITEPROP TIEOFF_X5Y119 NUM_ARCS 0 SITEPROP TIEOFF_X5Y119 NUM_BELS 2 SITEPROP TIEOFF_X5Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y119 NUM_PINS 2 SITEPROP TIEOFF_X5Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y119 PROHIBIT 0 SITEPROP TIEOFF_X5Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y119 RPM_X 28 SITEPROP TIEOFF_X5Y119 RPM_Y 238 SITEPROP TIEOFF_X5Y119 SITE_PIPS SITEPROP TIEOFF_X5Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y120 CLASS site SITEPROP TIEOFF_X5Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y120 IS_BONDED 0 SITEPROP TIEOFF_X5Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y120 IS_PAD 0 SITEPROP TIEOFF_X5Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y120 IS_RESERVED 0 SITEPROP TIEOFF_X5Y120 IS_TEST 0 SITEPROP TIEOFF_X5Y120 IS_USED 0 SITEPROP TIEOFF_X5Y120 MANUAL_ROUTING SITEPROP TIEOFF_X5Y120 NAME TIEOFF_X5Y120 SITEPROP TIEOFF_X5Y120 NUM_ARCS 0 SITEPROP TIEOFF_X5Y120 NUM_BELS 2 SITEPROP TIEOFF_X5Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y120 NUM_PINS 2 SITEPROP TIEOFF_X5Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y120 PROHIBIT 0 SITEPROP TIEOFF_X5Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y120 RPM_X 28 SITEPROP TIEOFF_X5Y120 RPM_Y 240 SITEPROP TIEOFF_X5Y120 SITE_PIPS SITEPROP TIEOFF_X5Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y121 CLASS site SITEPROP TIEOFF_X5Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y121 IS_BONDED 0 SITEPROP TIEOFF_X5Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y121 IS_PAD 0 SITEPROP TIEOFF_X5Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y121 IS_RESERVED 0 SITEPROP TIEOFF_X5Y121 IS_TEST 0 SITEPROP TIEOFF_X5Y121 IS_USED 0 SITEPROP TIEOFF_X5Y121 MANUAL_ROUTING SITEPROP TIEOFF_X5Y121 NAME TIEOFF_X5Y121 SITEPROP TIEOFF_X5Y121 NUM_ARCS 0 SITEPROP TIEOFF_X5Y121 NUM_BELS 2 SITEPROP TIEOFF_X5Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y121 NUM_PINS 2 SITEPROP TIEOFF_X5Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y121 PROHIBIT 0 SITEPROP TIEOFF_X5Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y121 RPM_X 28 SITEPROP TIEOFF_X5Y121 RPM_Y 242 SITEPROP TIEOFF_X5Y121 SITE_PIPS SITEPROP TIEOFF_X5Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y122 CLASS site SITEPROP TIEOFF_X5Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y122 IS_BONDED 0 SITEPROP TIEOFF_X5Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y122 IS_PAD 0 SITEPROP TIEOFF_X5Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y122 IS_RESERVED 0 SITEPROP TIEOFF_X5Y122 IS_TEST 0 SITEPROP TIEOFF_X5Y122 IS_USED 0 SITEPROP TIEOFF_X5Y122 MANUAL_ROUTING SITEPROP TIEOFF_X5Y122 NAME TIEOFF_X5Y122 SITEPROP TIEOFF_X5Y122 NUM_ARCS 0 SITEPROP TIEOFF_X5Y122 NUM_BELS 2 SITEPROP TIEOFF_X5Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y122 NUM_PINS 2 SITEPROP TIEOFF_X5Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y122 PROHIBIT 0 SITEPROP TIEOFF_X5Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y122 RPM_X 28 SITEPROP TIEOFF_X5Y122 RPM_Y 244 SITEPROP TIEOFF_X5Y122 SITE_PIPS SITEPROP TIEOFF_X5Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y123 CLASS site SITEPROP TIEOFF_X5Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y123 IS_BONDED 0 SITEPROP TIEOFF_X5Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y123 IS_PAD 0 SITEPROP TIEOFF_X5Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y123 IS_RESERVED 0 SITEPROP TIEOFF_X5Y123 IS_TEST 0 SITEPROP TIEOFF_X5Y123 IS_USED 0 SITEPROP TIEOFF_X5Y123 MANUAL_ROUTING SITEPROP TIEOFF_X5Y123 NAME TIEOFF_X5Y123 SITEPROP TIEOFF_X5Y123 NUM_ARCS 0 SITEPROP TIEOFF_X5Y123 NUM_BELS 2 SITEPROP TIEOFF_X5Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y123 NUM_PINS 2 SITEPROP TIEOFF_X5Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y123 PROHIBIT 0 SITEPROP TIEOFF_X5Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y123 RPM_X 28 SITEPROP TIEOFF_X5Y123 RPM_Y 246 SITEPROP TIEOFF_X5Y123 SITE_PIPS SITEPROP TIEOFF_X5Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y124 CLASS site SITEPROP TIEOFF_X5Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y124 IS_BONDED 0 SITEPROP TIEOFF_X5Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y124 IS_PAD 0 SITEPROP TIEOFF_X5Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y124 IS_RESERVED 0 SITEPROP TIEOFF_X5Y124 IS_TEST 0 SITEPROP TIEOFF_X5Y124 IS_USED 0 SITEPROP TIEOFF_X5Y124 MANUAL_ROUTING SITEPROP TIEOFF_X5Y124 NAME TIEOFF_X5Y124 SITEPROP TIEOFF_X5Y124 NUM_ARCS 0 SITEPROP TIEOFF_X5Y124 NUM_BELS 2 SITEPROP TIEOFF_X5Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y124 NUM_PINS 2 SITEPROP TIEOFF_X5Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y124 PROHIBIT 0 SITEPROP TIEOFF_X5Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y124 RPM_X 28 SITEPROP TIEOFF_X5Y124 RPM_Y 248 SITEPROP TIEOFF_X5Y124 SITE_PIPS SITEPROP TIEOFF_X5Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y125 CLASS site SITEPROP TIEOFF_X5Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y125 IS_BONDED 0 SITEPROP TIEOFF_X5Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y125 IS_PAD 0 SITEPROP TIEOFF_X5Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y125 IS_RESERVED 0 SITEPROP TIEOFF_X5Y125 IS_TEST 0 SITEPROP TIEOFF_X5Y125 IS_USED 0 SITEPROP TIEOFF_X5Y125 MANUAL_ROUTING SITEPROP TIEOFF_X5Y125 NAME TIEOFF_X5Y125 SITEPROP TIEOFF_X5Y125 NUM_ARCS 0 SITEPROP TIEOFF_X5Y125 NUM_BELS 2 SITEPROP TIEOFF_X5Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y125 NUM_PINS 2 SITEPROP TIEOFF_X5Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y125 PROHIBIT 0 SITEPROP TIEOFF_X5Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y125 RPM_X 28 SITEPROP TIEOFF_X5Y125 RPM_Y 250 SITEPROP TIEOFF_X5Y125 SITE_PIPS SITEPROP TIEOFF_X5Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y126 CLASS site SITEPROP TIEOFF_X5Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y126 IS_BONDED 0 SITEPROP TIEOFF_X5Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y126 IS_PAD 0 SITEPROP TIEOFF_X5Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y126 IS_RESERVED 0 SITEPROP TIEOFF_X5Y126 IS_TEST 0 SITEPROP TIEOFF_X5Y126 IS_USED 0 SITEPROP TIEOFF_X5Y126 MANUAL_ROUTING SITEPROP TIEOFF_X5Y126 NAME TIEOFF_X5Y126 SITEPROP TIEOFF_X5Y126 NUM_ARCS 0 SITEPROP TIEOFF_X5Y126 NUM_BELS 2 SITEPROP TIEOFF_X5Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y126 NUM_PINS 2 SITEPROP TIEOFF_X5Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y126 PROHIBIT 0 SITEPROP TIEOFF_X5Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y126 RPM_X 28 SITEPROP TIEOFF_X5Y126 RPM_Y 252 SITEPROP TIEOFF_X5Y126 SITE_PIPS SITEPROP TIEOFF_X5Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y127 CLASS site SITEPROP TIEOFF_X5Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y127 IS_BONDED 0 SITEPROP TIEOFF_X5Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y127 IS_PAD 0 SITEPROP TIEOFF_X5Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y127 IS_RESERVED 0 SITEPROP TIEOFF_X5Y127 IS_TEST 0 SITEPROP TIEOFF_X5Y127 IS_USED 0 SITEPROP TIEOFF_X5Y127 MANUAL_ROUTING SITEPROP TIEOFF_X5Y127 NAME TIEOFF_X5Y127 SITEPROP TIEOFF_X5Y127 NUM_ARCS 0 SITEPROP TIEOFF_X5Y127 NUM_BELS 2 SITEPROP TIEOFF_X5Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y127 NUM_PINS 2 SITEPROP TIEOFF_X5Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y127 PROHIBIT 0 SITEPROP TIEOFF_X5Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y127 RPM_X 28 SITEPROP TIEOFF_X5Y127 RPM_Y 254 SITEPROP TIEOFF_X5Y127 SITE_PIPS SITEPROP TIEOFF_X5Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y128 CLASS site SITEPROP TIEOFF_X5Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y128 IS_BONDED 0 SITEPROP TIEOFF_X5Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y128 IS_PAD 0 SITEPROP TIEOFF_X5Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y128 IS_RESERVED 0 SITEPROP TIEOFF_X5Y128 IS_TEST 0 SITEPROP TIEOFF_X5Y128 IS_USED 0 SITEPROP TIEOFF_X5Y128 MANUAL_ROUTING SITEPROP TIEOFF_X5Y128 NAME TIEOFF_X5Y128 SITEPROP TIEOFF_X5Y128 NUM_ARCS 0 SITEPROP TIEOFF_X5Y128 NUM_BELS 2 SITEPROP TIEOFF_X5Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y128 NUM_PINS 2 SITEPROP TIEOFF_X5Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y128 PROHIBIT 0 SITEPROP TIEOFF_X5Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y128 RPM_X 28 SITEPROP TIEOFF_X5Y128 RPM_Y 256 SITEPROP TIEOFF_X5Y128 SITE_PIPS SITEPROP TIEOFF_X5Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y129 CLASS site SITEPROP TIEOFF_X5Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y129 IS_BONDED 0 SITEPROP TIEOFF_X5Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y129 IS_PAD 0 SITEPROP TIEOFF_X5Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y129 IS_RESERVED 0 SITEPROP TIEOFF_X5Y129 IS_TEST 0 SITEPROP TIEOFF_X5Y129 IS_USED 0 SITEPROP TIEOFF_X5Y129 MANUAL_ROUTING SITEPROP TIEOFF_X5Y129 NAME TIEOFF_X5Y129 SITEPROP TIEOFF_X5Y129 NUM_ARCS 0 SITEPROP TIEOFF_X5Y129 NUM_BELS 2 SITEPROP TIEOFF_X5Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y129 NUM_PINS 2 SITEPROP TIEOFF_X5Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y129 PROHIBIT 0 SITEPROP TIEOFF_X5Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y129 RPM_X 28 SITEPROP TIEOFF_X5Y129 RPM_Y 258 SITEPROP TIEOFF_X5Y129 SITE_PIPS SITEPROP TIEOFF_X5Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y130 CLASS site SITEPROP TIEOFF_X5Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y130 IS_BONDED 0 SITEPROP TIEOFF_X5Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y130 IS_PAD 0 SITEPROP TIEOFF_X5Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y130 IS_RESERVED 0 SITEPROP TIEOFF_X5Y130 IS_TEST 0 SITEPROP TIEOFF_X5Y130 IS_USED 0 SITEPROP TIEOFF_X5Y130 MANUAL_ROUTING SITEPROP TIEOFF_X5Y130 NAME TIEOFF_X5Y130 SITEPROP TIEOFF_X5Y130 NUM_ARCS 0 SITEPROP TIEOFF_X5Y130 NUM_BELS 2 SITEPROP TIEOFF_X5Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y130 NUM_PINS 2 SITEPROP TIEOFF_X5Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y130 PROHIBIT 0 SITEPROP TIEOFF_X5Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y130 RPM_X 28 SITEPROP TIEOFF_X5Y130 RPM_Y 260 SITEPROP TIEOFF_X5Y130 SITE_PIPS SITEPROP TIEOFF_X5Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y131 CLASS site SITEPROP TIEOFF_X5Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y131 IS_BONDED 0 SITEPROP TIEOFF_X5Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y131 IS_PAD 0 SITEPROP TIEOFF_X5Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y131 IS_RESERVED 0 SITEPROP TIEOFF_X5Y131 IS_TEST 0 SITEPROP TIEOFF_X5Y131 IS_USED 0 SITEPROP TIEOFF_X5Y131 MANUAL_ROUTING SITEPROP TIEOFF_X5Y131 NAME TIEOFF_X5Y131 SITEPROP TIEOFF_X5Y131 NUM_ARCS 0 SITEPROP TIEOFF_X5Y131 NUM_BELS 2 SITEPROP TIEOFF_X5Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y131 NUM_PINS 2 SITEPROP TIEOFF_X5Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y131 PROHIBIT 0 SITEPROP TIEOFF_X5Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y131 RPM_X 28 SITEPROP TIEOFF_X5Y131 RPM_Y 262 SITEPROP TIEOFF_X5Y131 SITE_PIPS SITEPROP TIEOFF_X5Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y132 CLASS site SITEPROP TIEOFF_X5Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y132 IS_BONDED 0 SITEPROP TIEOFF_X5Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y132 IS_PAD 0 SITEPROP TIEOFF_X5Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y132 IS_RESERVED 0 SITEPROP TIEOFF_X5Y132 IS_TEST 0 SITEPROP TIEOFF_X5Y132 IS_USED 0 SITEPROP TIEOFF_X5Y132 MANUAL_ROUTING SITEPROP TIEOFF_X5Y132 NAME TIEOFF_X5Y132 SITEPROP TIEOFF_X5Y132 NUM_ARCS 0 SITEPROP TIEOFF_X5Y132 NUM_BELS 2 SITEPROP TIEOFF_X5Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y132 NUM_PINS 2 SITEPROP TIEOFF_X5Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y132 PROHIBIT 0 SITEPROP TIEOFF_X5Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y132 RPM_X 28 SITEPROP TIEOFF_X5Y132 RPM_Y 264 SITEPROP TIEOFF_X5Y132 SITE_PIPS SITEPROP TIEOFF_X5Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y133 CLASS site SITEPROP TIEOFF_X5Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y133 IS_BONDED 0 SITEPROP TIEOFF_X5Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y133 IS_PAD 0 SITEPROP TIEOFF_X5Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y133 IS_RESERVED 0 SITEPROP TIEOFF_X5Y133 IS_TEST 0 SITEPROP TIEOFF_X5Y133 IS_USED 0 SITEPROP TIEOFF_X5Y133 MANUAL_ROUTING SITEPROP TIEOFF_X5Y133 NAME TIEOFF_X5Y133 SITEPROP TIEOFF_X5Y133 NUM_ARCS 0 SITEPROP TIEOFF_X5Y133 NUM_BELS 2 SITEPROP TIEOFF_X5Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y133 NUM_PINS 2 SITEPROP TIEOFF_X5Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y133 PROHIBIT 0 SITEPROP TIEOFF_X5Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y133 RPM_X 28 SITEPROP TIEOFF_X5Y133 RPM_Y 266 SITEPROP TIEOFF_X5Y133 SITE_PIPS SITEPROP TIEOFF_X5Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y134 CLASS site SITEPROP TIEOFF_X5Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y134 IS_BONDED 0 SITEPROP TIEOFF_X5Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y134 IS_PAD 0 SITEPROP TIEOFF_X5Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y134 IS_RESERVED 0 SITEPROP TIEOFF_X5Y134 IS_TEST 0 SITEPROP TIEOFF_X5Y134 IS_USED 0 SITEPROP TIEOFF_X5Y134 MANUAL_ROUTING SITEPROP TIEOFF_X5Y134 NAME TIEOFF_X5Y134 SITEPROP TIEOFF_X5Y134 NUM_ARCS 0 SITEPROP TIEOFF_X5Y134 NUM_BELS 2 SITEPROP TIEOFF_X5Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y134 NUM_PINS 2 SITEPROP TIEOFF_X5Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y134 PROHIBIT 0 SITEPROP TIEOFF_X5Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y134 RPM_X 28 SITEPROP TIEOFF_X5Y134 RPM_Y 268 SITEPROP TIEOFF_X5Y134 SITE_PIPS SITEPROP TIEOFF_X5Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y135 CLASS site SITEPROP TIEOFF_X5Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y135 IS_BONDED 0 SITEPROP TIEOFF_X5Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y135 IS_PAD 0 SITEPROP TIEOFF_X5Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y135 IS_RESERVED 0 SITEPROP TIEOFF_X5Y135 IS_TEST 0 SITEPROP TIEOFF_X5Y135 IS_USED 0 SITEPROP TIEOFF_X5Y135 MANUAL_ROUTING SITEPROP TIEOFF_X5Y135 NAME TIEOFF_X5Y135 SITEPROP TIEOFF_X5Y135 NUM_ARCS 0 SITEPROP TIEOFF_X5Y135 NUM_BELS 2 SITEPROP TIEOFF_X5Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y135 NUM_PINS 2 SITEPROP TIEOFF_X5Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y135 PROHIBIT 0 SITEPROP TIEOFF_X5Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y135 RPM_X 28 SITEPROP TIEOFF_X5Y135 RPM_Y 270 SITEPROP TIEOFF_X5Y135 SITE_PIPS SITEPROP TIEOFF_X5Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y136 CLASS site SITEPROP TIEOFF_X5Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y136 IS_BONDED 0 SITEPROP TIEOFF_X5Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y136 IS_PAD 0 SITEPROP TIEOFF_X5Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y136 IS_RESERVED 0 SITEPROP TIEOFF_X5Y136 IS_TEST 0 SITEPROP TIEOFF_X5Y136 IS_USED 0 SITEPROP TIEOFF_X5Y136 MANUAL_ROUTING SITEPROP TIEOFF_X5Y136 NAME TIEOFF_X5Y136 SITEPROP TIEOFF_X5Y136 NUM_ARCS 0 SITEPROP TIEOFF_X5Y136 NUM_BELS 2 SITEPROP TIEOFF_X5Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y136 NUM_PINS 2 SITEPROP TIEOFF_X5Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y136 PROHIBIT 0 SITEPROP TIEOFF_X5Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y136 RPM_X 28 SITEPROP TIEOFF_X5Y136 RPM_Y 272 SITEPROP TIEOFF_X5Y136 SITE_PIPS SITEPROP TIEOFF_X5Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y137 CLASS site SITEPROP TIEOFF_X5Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y137 IS_BONDED 0 SITEPROP TIEOFF_X5Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y137 IS_PAD 0 SITEPROP TIEOFF_X5Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y137 IS_RESERVED 0 SITEPROP TIEOFF_X5Y137 IS_TEST 0 SITEPROP TIEOFF_X5Y137 IS_USED 0 SITEPROP TIEOFF_X5Y137 MANUAL_ROUTING SITEPROP TIEOFF_X5Y137 NAME TIEOFF_X5Y137 SITEPROP TIEOFF_X5Y137 NUM_ARCS 0 SITEPROP TIEOFF_X5Y137 NUM_BELS 2 SITEPROP TIEOFF_X5Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y137 NUM_PINS 2 SITEPROP TIEOFF_X5Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y137 PROHIBIT 0 SITEPROP TIEOFF_X5Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y137 RPM_X 28 SITEPROP TIEOFF_X5Y137 RPM_Y 274 SITEPROP TIEOFF_X5Y137 SITE_PIPS SITEPROP TIEOFF_X5Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y138 CLASS site SITEPROP TIEOFF_X5Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y138 IS_BONDED 0 SITEPROP TIEOFF_X5Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y138 IS_PAD 0 SITEPROP TIEOFF_X5Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y138 IS_RESERVED 0 SITEPROP TIEOFF_X5Y138 IS_TEST 0 SITEPROP TIEOFF_X5Y138 IS_USED 0 SITEPROP TIEOFF_X5Y138 MANUAL_ROUTING SITEPROP TIEOFF_X5Y138 NAME TIEOFF_X5Y138 SITEPROP TIEOFF_X5Y138 NUM_ARCS 0 SITEPROP TIEOFF_X5Y138 NUM_BELS 2 SITEPROP TIEOFF_X5Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y138 NUM_PINS 2 SITEPROP TIEOFF_X5Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y138 PROHIBIT 0 SITEPROP TIEOFF_X5Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y138 RPM_X 28 SITEPROP TIEOFF_X5Y138 RPM_Y 276 SITEPROP TIEOFF_X5Y138 SITE_PIPS SITEPROP TIEOFF_X5Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y139 CLASS site SITEPROP TIEOFF_X5Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y139 IS_BONDED 0 SITEPROP TIEOFF_X5Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y139 IS_PAD 0 SITEPROP TIEOFF_X5Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y139 IS_RESERVED 0 SITEPROP TIEOFF_X5Y139 IS_TEST 0 SITEPROP TIEOFF_X5Y139 IS_USED 0 SITEPROP TIEOFF_X5Y139 MANUAL_ROUTING SITEPROP TIEOFF_X5Y139 NAME TIEOFF_X5Y139 SITEPROP TIEOFF_X5Y139 NUM_ARCS 0 SITEPROP TIEOFF_X5Y139 NUM_BELS 2 SITEPROP TIEOFF_X5Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y139 NUM_PINS 2 SITEPROP TIEOFF_X5Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y139 PROHIBIT 0 SITEPROP TIEOFF_X5Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y139 RPM_X 28 SITEPROP TIEOFF_X5Y139 RPM_Y 278 SITEPROP TIEOFF_X5Y139 SITE_PIPS SITEPROP TIEOFF_X5Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y140 CLASS site SITEPROP TIEOFF_X5Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y140 IS_BONDED 0 SITEPROP TIEOFF_X5Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y140 IS_PAD 0 SITEPROP TIEOFF_X5Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y140 IS_RESERVED 0 SITEPROP TIEOFF_X5Y140 IS_TEST 0 SITEPROP TIEOFF_X5Y140 IS_USED 0 SITEPROP TIEOFF_X5Y140 MANUAL_ROUTING SITEPROP TIEOFF_X5Y140 NAME TIEOFF_X5Y140 SITEPROP TIEOFF_X5Y140 NUM_ARCS 0 SITEPROP TIEOFF_X5Y140 NUM_BELS 2 SITEPROP TIEOFF_X5Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y140 NUM_PINS 2 SITEPROP TIEOFF_X5Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y140 PROHIBIT 0 SITEPROP TIEOFF_X5Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y140 RPM_X 28 SITEPROP TIEOFF_X5Y140 RPM_Y 280 SITEPROP TIEOFF_X5Y140 SITE_PIPS SITEPROP TIEOFF_X5Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y141 CLASS site SITEPROP TIEOFF_X5Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y141 IS_BONDED 0 SITEPROP TIEOFF_X5Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y141 IS_PAD 0 SITEPROP TIEOFF_X5Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y141 IS_RESERVED 0 SITEPROP TIEOFF_X5Y141 IS_TEST 0 SITEPROP TIEOFF_X5Y141 IS_USED 0 SITEPROP TIEOFF_X5Y141 MANUAL_ROUTING SITEPROP TIEOFF_X5Y141 NAME TIEOFF_X5Y141 SITEPROP TIEOFF_X5Y141 NUM_ARCS 0 SITEPROP TIEOFF_X5Y141 NUM_BELS 2 SITEPROP TIEOFF_X5Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y141 NUM_PINS 2 SITEPROP TIEOFF_X5Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y141 PROHIBIT 0 SITEPROP TIEOFF_X5Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y141 RPM_X 28 SITEPROP TIEOFF_X5Y141 RPM_Y 282 SITEPROP TIEOFF_X5Y141 SITE_PIPS SITEPROP TIEOFF_X5Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y142 CLASS site SITEPROP TIEOFF_X5Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y142 IS_BONDED 0 SITEPROP TIEOFF_X5Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y142 IS_PAD 0 SITEPROP TIEOFF_X5Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y142 IS_RESERVED 0 SITEPROP TIEOFF_X5Y142 IS_TEST 0 SITEPROP TIEOFF_X5Y142 IS_USED 0 SITEPROP TIEOFF_X5Y142 MANUAL_ROUTING SITEPROP TIEOFF_X5Y142 NAME TIEOFF_X5Y142 SITEPROP TIEOFF_X5Y142 NUM_ARCS 0 SITEPROP TIEOFF_X5Y142 NUM_BELS 2 SITEPROP TIEOFF_X5Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y142 NUM_PINS 2 SITEPROP TIEOFF_X5Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y142 PROHIBIT 0 SITEPROP TIEOFF_X5Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y142 RPM_X 28 SITEPROP TIEOFF_X5Y142 RPM_Y 284 SITEPROP TIEOFF_X5Y142 SITE_PIPS SITEPROP TIEOFF_X5Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y143 CLASS site SITEPROP TIEOFF_X5Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y143 IS_BONDED 0 SITEPROP TIEOFF_X5Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y143 IS_PAD 0 SITEPROP TIEOFF_X5Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y143 IS_RESERVED 0 SITEPROP TIEOFF_X5Y143 IS_TEST 0 SITEPROP TIEOFF_X5Y143 IS_USED 0 SITEPROP TIEOFF_X5Y143 MANUAL_ROUTING SITEPROP TIEOFF_X5Y143 NAME TIEOFF_X5Y143 SITEPROP TIEOFF_X5Y143 NUM_ARCS 0 SITEPROP TIEOFF_X5Y143 NUM_BELS 2 SITEPROP TIEOFF_X5Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y143 NUM_PINS 2 SITEPROP TIEOFF_X5Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y143 PROHIBIT 0 SITEPROP TIEOFF_X5Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y143 RPM_X 28 SITEPROP TIEOFF_X5Y143 RPM_Y 286 SITEPROP TIEOFF_X5Y143 SITE_PIPS SITEPROP TIEOFF_X5Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y144 CLASS site SITEPROP TIEOFF_X5Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y144 IS_BONDED 0 SITEPROP TIEOFF_X5Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y144 IS_PAD 0 SITEPROP TIEOFF_X5Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y144 IS_RESERVED 0 SITEPROP TIEOFF_X5Y144 IS_TEST 0 SITEPROP TIEOFF_X5Y144 IS_USED 0 SITEPROP TIEOFF_X5Y144 MANUAL_ROUTING SITEPROP TIEOFF_X5Y144 NAME TIEOFF_X5Y144 SITEPROP TIEOFF_X5Y144 NUM_ARCS 0 SITEPROP TIEOFF_X5Y144 NUM_BELS 2 SITEPROP TIEOFF_X5Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y144 NUM_PINS 2 SITEPROP TIEOFF_X5Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y144 PROHIBIT 0 SITEPROP TIEOFF_X5Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y144 RPM_X 28 SITEPROP TIEOFF_X5Y144 RPM_Y 288 SITEPROP TIEOFF_X5Y144 SITE_PIPS SITEPROP TIEOFF_X5Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y145 CLASS site SITEPROP TIEOFF_X5Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y145 IS_BONDED 0 SITEPROP TIEOFF_X5Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y145 IS_PAD 0 SITEPROP TIEOFF_X5Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y145 IS_RESERVED 0 SITEPROP TIEOFF_X5Y145 IS_TEST 0 SITEPROP TIEOFF_X5Y145 IS_USED 0 SITEPROP TIEOFF_X5Y145 MANUAL_ROUTING SITEPROP TIEOFF_X5Y145 NAME TIEOFF_X5Y145 SITEPROP TIEOFF_X5Y145 NUM_ARCS 0 SITEPROP TIEOFF_X5Y145 NUM_BELS 2 SITEPROP TIEOFF_X5Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y145 NUM_PINS 2 SITEPROP TIEOFF_X5Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y145 PROHIBIT 0 SITEPROP TIEOFF_X5Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y145 RPM_X 28 SITEPROP TIEOFF_X5Y145 RPM_Y 290 SITEPROP TIEOFF_X5Y145 SITE_PIPS SITEPROP TIEOFF_X5Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y146 CLASS site SITEPROP TIEOFF_X5Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y146 IS_BONDED 0 SITEPROP TIEOFF_X5Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y146 IS_PAD 0 SITEPROP TIEOFF_X5Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y146 IS_RESERVED 0 SITEPROP TIEOFF_X5Y146 IS_TEST 0 SITEPROP TIEOFF_X5Y146 IS_USED 0 SITEPROP TIEOFF_X5Y146 MANUAL_ROUTING SITEPROP TIEOFF_X5Y146 NAME TIEOFF_X5Y146 SITEPROP TIEOFF_X5Y146 NUM_ARCS 0 SITEPROP TIEOFF_X5Y146 NUM_BELS 2 SITEPROP TIEOFF_X5Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y146 NUM_PINS 2 SITEPROP TIEOFF_X5Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y146 PROHIBIT 0 SITEPROP TIEOFF_X5Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y146 RPM_X 28 SITEPROP TIEOFF_X5Y146 RPM_Y 292 SITEPROP TIEOFF_X5Y146 SITE_PIPS SITEPROP TIEOFF_X5Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y147 CLASS site SITEPROP TIEOFF_X5Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y147 IS_BONDED 0 SITEPROP TIEOFF_X5Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y147 IS_PAD 0 SITEPROP TIEOFF_X5Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y147 IS_RESERVED 0 SITEPROP TIEOFF_X5Y147 IS_TEST 0 SITEPROP TIEOFF_X5Y147 IS_USED 0 SITEPROP TIEOFF_X5Y147 MANUAL_ROUTING SITEPROP TIEOFF_X5Y147 NAME TIEOFF_X5Y147 SITEPROP TIEOFF_X5Y147 NUM_ARCS 0 SITEPROP TIEOFF_X5Y147 NUM_BELS 2 SITEPROP TIEOFF_X5Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y147 NUM_PINS 2 SITEPROP TIEOFF_X5Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y147 PROHIBIT 0 SITEPROP TIEOFF_X5Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y147 RPM_X 28 SITEPROP TIEOFF_X5Y147 RPM_Y 294 SITEPROP TIEOFF_X5Y147 SITE_PIPS SITEPROP TIEOFF_X5Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y148 CLASS site SITEPROP TIEOFF_X5Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y148 IS_BONDED 0 SITEPROP TIEOFF_X5Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y148 IS_PAD 0 SITEPROP TIEOFF_X5Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y148 IS_RESERVED 0 SITEPROP TIEOFF_X5Y148 IS_TEST 0 SITEPROP TIEOFF_X5Y148 IS_USED 0 SITEPROP TIEOFF_X5Y148 MANUAL_ROUTING SITEPROP TIEOFF_X5Y148 NAME TIEOFF_X5Y148 SITEPROP TIEOFF_X5Y148 NUM_ARCS 0 SITEPROP TIEOFF_X5Y148 NUM_BELS 2 SITEPROP TIEOFF_X5Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y148 NUM_PINS 2 SITEPROP TIEOFF_X5Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y148 PROHIBIT 0 SITEPROP TIEOFF_X5Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y148 RPM_X 28 SITEPROP TIEOFF_X5Y148 RPM_Y 296 SITEPROP TIEOFF_X5Y148 SITE_PIPS SITEPROP TIEOFF_X5Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X5Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X5Y149 CLASS site SITEPROP TIEOFF_X5Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X5Y149 IS_BONDED 0 SITEPROP TIEOFF_X5Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y149 IS_PAD 0 SITEPROP TIEOFF_X5Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X5Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X5Y149 IS_RESERVED 0 SITEPROP TIEOFF_X5Y149 IS_TEST 0 SITEPROP TIEOFF_X5Y149 IS_USED 0 SITEPROP TIEOFF_X5Y149 MANUAL_ROUTING SITEPROP TIEOFF_X5Y149 NAME TIEOFF_X5Y149 SITEPROP TIEOFF_X5Y149 NUM_ARCS 0 SITEPROP TIEOFF_X5Y149 NUM_BELS 2 SITEPROP TIEOFF_X5Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X5Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X5Y149 NUM_PINS 2 SITEPROP TIEOFF_X5Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X5Y149 PROHIBIT 0 SITEPROP TIEOFF_X5Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X5Y149 RPM_X 28 SITEPROP TIEOFF_X5Y149 RPM_Y 298 SITEPROP TIEOFF_X5Y149 SITE_PIPS SITEPROP TIEOFF_X5Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y0 CLASS site SITEPROP TIEOFF_X6Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y0 IS_BONDED 0 SITEPROP TIEOFF_X6Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y0 IS_PAD 0 SITEPROP TIEOFF_X6Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y0 IS_RESERVED 0 SITEPROP TIEOFF_X6Y0 IS_TEST 0 SITEPROP TIEOFF_X6Y0 IS_USED 0 SITEPROP TIEOFF_X6Y0 MANUAL_ROUTING SITEPROP TIEOFF_X6Y0 NAME TIEOFF_X6Y0 SITEPROP TIEOFF_X6Y0 NUM_ARCS 0 SITEPROP TIEOFF_X6Y0 NUM_BELS 2 SITEPROP TIEOFF_X6Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y0 NUM_PINS 2 SITEPROP TIEOFF_X6Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y0 PROHIBIT 0 SITEPROP TIEOFF_X6Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y0 RPM_X 32 SITEPROP TIEOFF_X6Y0 RPM_Y 0 SITEPROP TIEOFF_X6Y0 SITE_PIPS SITEPROP TIEOFF_X6Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y1 CLASS site SITEPROP TIEOFF_X6Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y1 IS_BONDED 0 SITEPROP TIEOFF_X6Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y1 IS_PAD 0 SITEPROP TIEOFF_X6Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y1 IS_RESERVED 0 SITEPROP TIEOFF_X6Y1 IS_TEST 0 SITEPROP TIEOFF_X6Y1 IS_USED 0 SITEPROP TIEOFF_X6Y1 MANUAL_ROUTING SITEPROP TIEOFF_X6Y1 NAME TIEOFF_X6Y1 SITEPROP TIEOFF_X6Y1 NUM_ARCS 0 SITEPROP TIEOFF_X6Y1 NUM_BELS 2 SITEPROP TIEOFF_X6Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y1 NUM_PINS 2 SITEPROP TIEOFF_X6Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y1 PROHIBIT 0 SITEPROP TIEOFF_X6Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y1 RPM_X 32 SITEPROP TIEOFF_X6Y1 RPM_Y 2 SITEPROP TIEOFF_X6Y1 SITE_PIPS SITEPROP TIEOFF_X6Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y2 CLASS site SITEPROP TIEOFF_X6Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y2 IS_BONDED 0 SITEPROP TIEOFF_X6Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y2 IS_PAD 0 SITEPROP TIEOFF_X6Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y2 IS_RESERVED 0 SITEPROP TIEOFF_X6Y2 IS_TEST 0 SITEPROP TIEOFF_X6Y2 IS_USED 0 SITEPROP TIEOFF_X6Y2 MANUAL_ROUTING SITEPROP TIEOFF_X6Y2 NAME TIEOFF_X6Y2 SITEPROP TIEOFF_X6Y2 NUM_ARCS 0 SITEPROP TIEOFF_X6Y2 NUM_BELS 2 SITEPROP TIEOFF_X6Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y2 NUM_PINS 2 SITEPROP TIEOFF_X6Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y2 PROHIBIT 0 SITEPROP TIEOFF_X6Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y2 RPM_X 32 SITEPROP TIEOFF_X6Y2 RPM_Y 4 SITEPROP TIEOFF_X6Y2 SITE_PIPS SITEPROP TIEOFF_X6Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y3 CLASS site SITEPROP TIEOFF_X6Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y3 IS_BONDED 0 SITEPROP TIEOFF_X6Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y3 IS_PAD 0 SITEPROP TIEOFF_X6Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y3 IS_RESERVED 0 SITEPROP TIEOFF_X6Y3 IS_TEST 0 SITEPROP TIEOFF_X6Y3 IS_USED 0 SITEPROP TIEOFF_X6Y3 MANUAL_ROUTING SITEPROP TIEOFF_X6Y3 NAME TIEOFF_X6Y3 SITEPROP TIEOFF_X6Y3 NUM_ARCS 0 SITEPROP TIEOFF_X6Y3 NUM_BELS 2 SITEPROP TIEOFF_X6Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y3 NUM_PINS 2 SITEPROP TIEOFF_X6Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y3 PROHIBIT 0 SITEPROP TIEOFF_X6Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y3 RPM_X 32 SITEPROP TIEOFF_X6Y3 RPM_Y 6 SITEPROP TIEOFF_X6Y3 SITE_PIPS SITEPROP TIEOFF_X6Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y4 CLASS site SITEPROP TIEOFF_X6Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y4 IS_BONDED 0 SITEPROP TIEOFF_X6Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y4 IS_PAD 0 SITEPROP TIEOFF_X6Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y4 IS_RESERVED 0 SITEPROP TIEOFF_X6Y4 IS_TEST 0 SITEPROP TIEOFF_X6Y4 IS_USED 0 SITEPROP TIEOFF_X6Y4 MANUAL_ROUTING SITEPROP TIEOFF_X6Y4 NAME TIEOFF_X6Y4 SITEPROP TIEOFF_X6Y4 NUM_ARCS 0 SITEPROP TIEOFF_X6Y4 NUM_BELS 2 SITEPROP TIEOFF_X6Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y4 NUM_PINS 2 SITEPROP TIEOFF_X6Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y4 PROHIBIT 0 SITEPROP TIEOFF_X6Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y4 RPM_X 32 SITEPROP TIEOFF_X6Y4 RPM_Y 8 SITEPROP TIEOFF_X6Y4 SITE_PIPS SITEPROP TIEOFF_X6Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y5 CLASS site SITEPROP TIEOFF_X6Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y5 IS_BONDED 0 SITEPROP TIEOFF_X6Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y5 IS_PAD 0 SITEPROP TIEOFF_X6Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y5 IS_RESERVED 0 SITEPROP TIEOFF_X6Y5 IS_TEST 0 SITEPROP TIEOFF_X6Y5 IS_USED 0 SITEPROP TIEOFF_X6Y5 MANUAL_ROUTING SITEPROP TIEOFF_X6Y5 NAME TIEOFF_X6Y5 SITEPROP TIEOFF_X6Y5 NUM_ARCS 0 SITEPROP TIEOFF_X6Y5 NUM_BELS 2 SITEPROP TIEOFF_X6Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y5 NUM_PINS 2 SITEPROP TIEOFF_X6Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y5 PROHIBIT 0 SITEPROP TIEOFF_X6Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y5 RPM_X 32 SITEPROP TIEOFF_X6Y5 RPM_Y 10 SITEPROP TIEOFF_X6Y5 SITE_PIPS SITEPROP TIEOFF_X6Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y6 CLASS site SITEPROP TIEOFF_X6Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y6 IS_BONDED 0 SITEPROP TIEOFF_X6Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y6 IS_PAD 0 SITEPROP TIEOFF_X6Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y6 IS_RESERVED 0 SITEPROP TIEOFF_X6Y6 IS_TEST 0 SITEPROP TIEOFF_X6Y6 IS_USED 0 SITEPROP TIEOFF_X6Y6 MANUAL_ROUTING SITEPROP TIEOFF_X6Y6 NAME TIEOFF_X6Y6 SITEPROP TIEOFF_X6Y6 NUM_ARCS 0 SITEPROP TIEOFF_X6Y6 NUM_BELS 2 SITEPROP TIEOFF_X6Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y6 NUM_PINS 2 SITEPROP TIEOFF_X6Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y6 PROHIBIT 0 SITEPROP TIEOFF_X6Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y6 RPM_X 32 SITEPROP TIEOFF_X6Y6 RPM_Y 12 SITEPROP TIEOFF_X6Y6 SITE_PIPS SITEPROP TIEOFF_X6Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y7 CLASS site SITEPROP TIEOFF_X6Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y7 IS_BONDED 0 SITEPROP TIEOFF_X6Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y7 IS_PAD 0 SITEPROP TIEOFF_X6Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y7 IS_RESERVED 0 SITEPROP TIEOFF_X6Y7 IS_TEST 0 SITEPROP TIEOFF_X6Y7 IS_USED 0 SITEPROP TIEOFF_X6Y7 MANUAL_ROUTING SITEPROP TIEOFF_X6Y7 NAME TIEOFF_X6Y7 SITEPROP TIEOFF_X6Y7 NUM_ARCS 0 SITEPROP TIEOFF_X6Y7 NUM_BELS 2 SITEPROP TIEOFF_X6Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y7 NUM_PINS 2 SITEPROP TIEOFF_X6Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y7 PROHIBIT 0 SITEPROP TIEOFF_X6Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y7 RPM_X 32 SITEPROP TIEOFF_X6Y7 RPM_Y 14 SITEPROP TIEOFF_X6Y7 SITE_PIPS SITEPROP TIEOFF_X6Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y8 CLASS site SITEPROP TIEOFF_X6Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y8 IS_BONDED 0 SITEPROP TIEOFF_X6Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y8 IS_PAD 0 SITEPROP TIEOFF_X6Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y8 IS_RESERVED 0 SITEPROP TIEOFF_X6Y8 IS_TEST 0 SITEPROP TIEOFF_X6Y8 IS_USED 0 SITEPROP TIEOFF_X6Y8 MANUAL_ROUTING SITEPROP TIEOFF_X6Y8 NAME TIEOFF_X6Y8 SITEPROP TIEOFF_X6Y8 NUM_ARCS 0 SITEPROP TIEOFF_X6Y8 NUM_BELS 2 SITEPROP TIEOFF_X6Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y8 NUM_PINS 2 SITEPROP TIEOFF_X6Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y8 PROHIBIT 0 SITEPROP TIEOFF_X6Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y8 RPM_X 32 SITEPROP TIEOFF_X6Y8 RPM_Y 16 SITEPROP TIEOFF_X6Y8 SITE_PIPS SITEPROP TIEOFF_X6Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y9 CLASS site SITEPROP TIEOFF_X6Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y9 IS_BONDED 0 SITEPROP TIEOFF_X6Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y9 IS_PAD 0 SITEPROP TIEOFF_X6Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y9 IS_RESERVED 0 SITEPROP TIEOFF_X6Y9 IS_TEST 0 SITEPROP TIEOFF_X6Y9 IS_USED 0 SITEPROP TIEOFF_X6Y9 MANUAL_ROUTING SITEPROP TIEOFF_X6Y9 NAME TIEOFF_X6Y9 SITEPROP TIEOFF_X6Y9 NUM_ARCS 0 SITEPROP TIEOFF_X6Y9 NUM_BELS 2 SITEPROP TIEOFF_X6Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y9 NUM_PINS 2 SITEPROP TIEOFF_X6Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y9 PROHIBIT 0 SITEPROP TIEOFF_X6Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y9 RPM_X 32 SITEPROP TIEOFF_X6Y9 RPM_Y 18 SITEPROP TIEOFF_X6Y9 SITE_PIPS SITEPROP TIEOFF_X6Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y10 CLASS site SITEPROP TIEOFF_X6Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y10 IS_BONDED 0 SITEPROP TIEOFF_X6Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y10 IS_PAD 0 SITEPROP TIEOFF_X6Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y10 IS_RESERVED 0 SITEPROP TIEOFF_X6Y10 IS_TEST 0 SITEPROP TIEOFF_X6Y10 IS_USED 0 SITEPROP TIEOFF_X6Y10 MANUAL_ROUTING SITEPROP TIEOFF_X6Y10 NAME TIEOFF_X6Y10 SITEPROP TIEOFF_X6Y10 NUM_ARCS 0 SITEPROP TIEOFF_X6Y10 NUM_BELS 2 SITEPROP TIEOFF_X6Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y10 NUM_PINS 2 SITEPROP TIEOFF_X6Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y10 PROHIBIT 0 SITEPROP TIEOFF_X6Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y10 RPM_X 32 SITEPROP TIEOFF_X6Y10 RPM_Y 20 SITEPROP TIEOFF_X6Y10 SITE_PIPS SITEPROP TIEOFF_X6Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y11 CLASS site SITEPROP TIEOFF_X6Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y11 IS_BONDED 0 SITEPROP TIEOFF_X6Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y11 IS_PAD 0 SITEPROP TIEOFF_X6Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y11 IS_RESERVED 0 SITEPROP TIEOFF_X6Y11 IS_TEST 0 SITEPROP TIEOFF_X6Y11 IS_USED 0 SITEPROP TIEOFF_X6Y11 MANUAL_ROUTING SITEPROP TIEOFF_X6Y11 NAME TIEOFF_X6Y11 SITEPROP TIEOFF_X6Y11 NUM_ARCS 0 SITEPROP TIEOFF_X6Y11 NUM_BELS 2 SITEPROP TIEOFF_X6Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y11 NUM_PINS 2 SITEPROP TIEOFF_X6Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y11 PROHIBIT 0 SITEPROP TIEOFF_X6Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y11 RPM_X 32 SITEPROP TIEOFF_X6Y11 RPM_Y 22 SITEPROP TIEOFF_X6Y11 SITE_PIPS SITEPROP TIEOFF_X6Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y12 CLASS site SITEPROP TIEOFF_X6Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y12 IS_BONDED 0 SITEPROP TIEOFF_X6Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y12 IS_PAD 0 SITEPROP TIEOFF_X6Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y12 IS_RESERVED 0 SITEPROP TIEOFF_X6Y12 IS_TEST 0 SITEPROP TIEOFF_X6Y12 IS_USED 0 SITEPROP TIEOFF_X6Y12 MANUAL_ROUTING SITEPROP TIEOFF_X6Y12 NAME TIEOFF_X6Y12 SITEPROP TIEOFF_X6Y12 NUM_ARCS 0 SITEPROP TIEOFF_X6Y12 NUM_BELS 2 SITEPROP TIEOFF_X6Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y12 NUM_PINS 2 SITEPROP TIEOFF_X6Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y12 PROHIBIT 0 SITEPROP TIEOFF_X6Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y12 RPM_X 32 SITEPROP TIEOFF_X6Y12 RPM_Y 24 SITEPROP TIEOFF_X6Y12 SITE_PIPS SITEPROP TIEOFF_X6Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y13 CLASS site SITEPROP TIEOFF_X6Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y13 IS_BONDED 0 SITEPROP TIEOFF_X6Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y13 IS_PAD 0 SITEPROP TIEOFF_X6Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y13 IS_RESERVED 0 SITEPROP TIEOFF_X6Y13 IS_TEST 0 SITEPROP TIEOFF_X6Y13 IS_USED 0 SITEPROP TIEOFF_X6Y13 MANUAL_ROUTING SITEPROP TIEOFF_X6Y13 NAME TIEOFF_X6Y13 SITEPROP TIEOFF_X6Y13 NUM_ARCS 0 SITEPROP TIEOFF_X6Y13 NUM_BELS 2 SITEPROP TIEOFF_X6Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y13 NUM_PINS 2 SITEPROP TIEOFF_X6Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y13 PROHIBIT 0 SITEPROP TIEOFF_X6Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y13 RPM_X 32 SITEPROP TIEOFF_X6Y13 RPM_Y 26 SITEPROP TIEOFF_X6Y13 SITE_PIPS SITEPROP TIEOFF_X6Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y14 CLASS site SITEPROP TIEOFF_X6Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y14 IS_BONDED 0 SITEPROP TIEOFF_X6Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y14 IS_PAD 0 SITEPROP TIEOFF_X6Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y14 IS_RESERVED 0 SITEPROP TIEOFF_X6Y14 IS_TEST 0 SITEPROP TIEOFF_X6Y14 IS_USED 0 SITEPROP TIEOFF_X6Y14 MANUAL_ROUTING SITEPROP TIEOFF_X6Y14 NAME TIEOFF_X6Y14 SITEPROP TIEOFF_X6Y14 NUM_ARCS 0 SITEPROP TIEOFF_X6Y14 NUM_BELS 2 SITEPROP TIEOFF_X6Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y14 NUM_PINS 2 SITEPROP TIEOFF_X6Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y14 PROHIBIT 0 SITEPROP TIEOFF_X6Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y14 RPM_X 32 SITEPROP TIEOFF_X6Y14 RPM_Y 28 SITEPROP TIEOFF_X6Y14 SITE_PIPS SITEPROP TIEOFF_X6Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y15 CLASS site SITEPROP TIEOFF_X6Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y15 IS_BONDED 0 SITEPROP TIEOFF_X6Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y15 IS_PAD 0 SITEPROP TIEOFF_X6Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y15 IS_RESERVED 0 SITEPROP TIEOFF_X6Y15 IS_TEST 0 SITEPROP TIEOFF_X6Y15 IS_USED 0 SITEPROP TIEOFF_X6Y15 MANUAL_ROUTING SITEPROP TIEOFF_X6Y15 NAME TIEOFF_X6Y15 SITEPROP TIEOFF_X6Y15 NUM_ARCS 0 SITEPROP TIEOFF_X6Y15 NUM_BELS 2 SITEPROP TIEOFF_X6Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y15 NUM_PINS 2 SITEPROP TIEOFF_X6Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y15 PROHIBIT 0 SITEPROP TIEOFF_X6Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y15 RPM_X 32 SITEPROP TIEOFF_X6Y15 RPM_Y 30 SITEPROP TIEOFF_X6Y15 SITE_PIPS SITEPROP TIEOFF_X6Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y16 CLASS site SITEPROP TIEOFF_X6Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y16 IS_BONDED 0 SITEPROP TIEOFF_X6Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y16 IS_PAD 0 SITEPROP TIEOFF_X6Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y16 IS_RESERVED 0 SITEPROP TIEOFF_X6Y16 IS_TEST 0 SITEPROP TIEOFF_X6Y16 IS_USED 0 SITEPROP TIEOFF_X6Y16 MANUAL_ROUTING SITEPROP TIEOFF_X6Y16 NAME TIEOFF_X6Y16 SITEPROP TIEOFF_X6Y16 NUM_ARCS 0 SITEPROP TIEOFF_X6Y16 NUM_BELS 2 SITEPROP TIEOFF_X6Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y16 NUM_PINS 2 SITEPROP TIEOFF_X6Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y16 PROHIBIT 0 SITEPROP TIEOFF_X6Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y16 RPM_X 32 SITEPROP TIEOFF_X6Y16 RPM_Y 32 SITEPROP TIEOFF_X6Y16 SITE_PIPS SITEPROP TIEOFF_X6Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y17 CLASS site SITEPROP TIEOFF_X6Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y17 IS_BONDED 0 SITEPROP TIEOFF_X6Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y17 IS_PAD 0 SITEPROP TIEOFF_X6Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y17 IS_RESERVED 0 SITEPROP TIEOFF_X6Y17 IS_TEST 0 SITEPROP TIEOFF_X6Y17 IS_USED 0 SITEPROP TIEOFF_X6Y17 MANUAL_ROUTING SITEPROP TIEOFF_X6Y17 NAME TIEOFF_X6Y17 SITEPROP TIEOFF_X6Y17 NUM_ARCS 0 SITEPROP TIEOFF_X6Y17 NUM_BELS 2 SITEPROP TIEOFF_X6Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y17 NUM_PINS 2 SITEPROP TIEOFF_X6Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y17 PROHIBIT 0 SITEPROP TIEOFF_X6Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y17 RPM_X 32 SITEPROP TIEOFF_X6Y17 RPM_Y 34 SITEPROP TIEOFF_X6Y17 SITE_PIPS SITEPROP TIEOFF_X6Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y18 CLASS site SITEPROP TIEOFF_X6Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y18 IS_BONDED 0 SITEPROP TIEOFF_X6Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y18 IS_PAD 0 SITEPROP TIEOFF_X6Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y18 IS_RESERVED 0 SITEPROP TIEOFF_X6Y18 IS_TEST 0 SITEPROP TIEOFF_X6Y18 IS_USED 0 SITEPROP TIEOFF_X6Y18 MANUAL_ROUTING SITEPROP TIEOFF_X6Y18 NAME TIEOFF_X6Y18 SITEPROP TIEOFF_X6Y18 NUM_ARCS 0 SITEPROP TIEOFF_X6Y18 NUM_BELS 2 SITEPROP TIEOFF_X6Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y18 NUM_PINS 2 SITEPROP TIEOFF_X6Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y18 PROHIBIT 0 SITEPROP TIEOFF_X6Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y18 RPM_X 32 SITEPROP TIEOFF_X6Y18 RPM_Y 36 SITEPROP TIEOFF_X6Y18 SITE_PIPS SITEPROP TIEOFF_X6Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y19 CLASS site SITEPROP TIEOFF_X6Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y19 IS_BONDED 0 SITEPROP TIEOFF_X6Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y19 IS_PAD 0 SITEPROP TIEOFF_X6Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y19 IS_RESERVED 0 SITEPROP TIEOFF_X6Y19 IS_TEST 0 SITEPROP TIEOFF_X6Y19 IS_USED 0 SITEPROP TIEOFF_X6Y19 MANUAL_ROUTING SITEPROP TIEOFF_X6Y19 NAME TIEOFF_X6Y19 SITEPROP TIEOFF_X6Y19 NUM_ARCS 0 SITEPROP TIEOFF_X6Y19 NUM_BELS 2 SITEPROP TIEOFF_X6Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y19 NUM_PINS 2 SITEPROP TIEOFF_X6Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y19 PROHIBIT 0 SITEPROP TIEOFF_X6Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y19 RPM_X 32 SITEPROP TIEOFF_X6Y19 RPM_Y 38 SITEPROP TIEOFF_X6Y19 SITE_PIPS SITEPROP TIEOFF_X6Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y20 CLASS site SITEPROP TIEOFF_X6Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y20 IS_BONDED 0 SITEPROP TIEOFF_X6Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y20 IS_PAD 0 SITEPROP TIEOFF_X6Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y20 IS_RESERVED 0 SITEPROP TIEOFF_X6Y20 IS_TEST 0 SITEPROP TIEOFF_X6Y20 IS_USED 0 SITEPROP TIEOFF_X6Y20 MANUAL_ROUTING SITEPROP TIEOFF_X6Y20 NAME TIEOFF_X6Y20 SITEPROP TIEOFF_X6Y20 NUM_ARCS 0 SITEPROP TIEOFF_X6Y20 NUM_BELS 2 SITEPROP TIEOFF_X6Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y20 NUM_PINS 2 SITEPROP TIEOFF_X6Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y20 PROHIBIT 0 SITEPROP TIEOFF_X6Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y20 RPM_X 32 SITEPROP TIEOFF_X6Y20 RPM_Y 40 SITEPROP TIEOFF_X6Y20 SITE_PIPS SITEPROP TIEOFF_X6Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y21 CLASS site SITEPROP TIEOFF_X6Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y21 IS_BONDED 0 SITEPROP TIEOFF_X6Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y21 IS_PAD 0 SITEPROP TIEOFF_X6Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y21 IS_RESERVED 0 SITEPROP TIEOFF_X6Y21 IS_TEST 0 SITEPROP TIEOFF_X6Y21 IS_USED 0 SITEPROP TIEOFF_X6Y21 MANUAL_ROUTING SITEPROP TIEOFF_X6Y21 NAME TIEOFF_X6Y21 SITEPROP TIEOFF_X6Y21 NUM_ARCS 0 SITEPROP TIEOFF_X6Y21 NUM_BELS 2 SITEPROP TIEOFF_X6Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y21 NUM_PINS 2 SITEPROP TIEOFF_X6Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y21 PROHIBIT 0 SITEPROP TIEOFF_X6Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y21 RPM_X 32 SITEPROP TIEOFF_X6Y21 RPM_Y 42 SITEPROP TIEOFF_X6Y21 SITE_PIPS SITEPROP TIEOFF_X6Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y22 CLASS site SITEPROP TIEOFF_X6Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y22 IS_BONDED 0 SITEPROP TIEOFF_X6Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y22 IS_PAD 0 SITEPROP TIEOFF_X6Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y22 IS_RESERVED 0 SITEPROP TIEOFF_X6Y22 IS_TEST 0 SITEPROP TIEOFF_X6Y22 IS_USED 0 SITEPROP TIEOFF_X6Y22 MANUAL_ROUTING SITEPROP TIEOFF_X6Y22 NAME TIEOFF_X6Y22 SITEPROP TIEOFF_X6Y22 NUM_ARCS 0 SITEPROP TIEOFF_X6Y22 NUM_BELS 2 SITEPROP TIEOFF_X6Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y22 NUM_PINS 2 SITEPROP TIEOFF_X6Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y22 PROHIBIT 0 SITEPROP TIEOFF_X6Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y22 RPM_X 32 SITEPROP TIEOFF_X6Y22 RPM_Y 44 SITEPROP TIEOFF_X6Y22 SITE_PIPS SITEPROP TIEOFF_X6Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y23 CLASS site SITEPROP TIEOFF_X6Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y23 IS_BONDED 0 SITEPROP TIEOFF_X6Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y23 IS_PAD 0 SITEPROP TIEOFF_X6Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y23 IS_RESERVED 0 SITEPROP TIEOFF_X6Y23 IS_TEST 0 SITEPROP TIEOFF_X6Y23 IS_USED 0 SITEPROP TIEOFF_X6Y23 MANUAL_ROUTING SITEPROP TIEOFF_X6Y23 NAME TIEOFF_X6Y23 SITEPROP TIEOFF_X6Y23 NUM_ARCS 0 SITEPROP TIEOFF_X6Y23 NUM_BELS 2 SITEPROP TIEOFF_X6Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y23 NUM_PINS 2 SITEPROP TIEOFF_X6Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y23 PROHIBIT 0 SITEPROP TIEOFF_X6Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y23 RPM_X 32 SITEPROP TIEOFF_X6Y23 RPM_Y 46 SITEPROP TIEOFF_X6Y23 SITE_PIPS SITEPROP TIEOFF_X6Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y24 CLASS site SITEPROP TIEOFF_X6Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y24 IS_BONDED 0 SITEPROP TIEOFF_X6Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y24 IS_PAD 0 SITEPROP TIEOFF_X6Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y24 IS_RESERVED 0 SITEPROP TIEOFF_X6Y24 IS_TEST 0 SITEPROP TIEOFF_X6Y24 IS_USED 0 SITEPROP TIEOFF_X6Y24 MANUAL_ROUTING SITEPROP TIEOFF_X6Y24 NAME TIEOFF_X6Y24 SITEPROP TIEOFF_X6Y24 NUM_ARCS 0 SITEPROP TIEOFF_X6Y24 NUM_BELS 2 SITEPROP TIEOFF_X6Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y24 NUM_PINS 2 SITEPROP TIEOFF_X6Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y24 PROHIBIT 0 SITEPROP TIEOFF_X6Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y24 RPM_X 32 SITEPROP TIEOFF_X6Y24 RPM_Y 48 SITEPROP TIEOFF_X6Y24 SITE_PIPS SITEPROP TIEOFF_X6Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y25 CLASS site SITEPROP TIEOFF_X6Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y25 IS_BONDED 0 SITEPROP TIEOFF_X6Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y25 IS_PAD 0 SITEPROP TIEOFF_X6Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y25 IS_RESERVED 0 SITEPROP TIEOFF_X6Y25 IS_TEST 0 SITEPROP TIEOFF_X6Y25 IS_USED 0 SITEPROP TIEOFF_X6Y25 MANUAL_ROUTING SITEPROP TIEOFF_X6Y25 NAME TIEOFF_X6Y25 SITEPROP TIEOFF_X6Y25 NUM_ARCS 0 SITEPROP TIEOFF_X6Y25 NUM_BELS 2 SITEPROP TIEOFF_X6Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y25 NUM_PINS 2 SITEPROP TIEOFF_X6Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y25 PROHIBIT 0 SITEPROP TIEOFF_X6Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y25 RPM_X 32 SITEPROP TIEOFF_X6Y25 RPM_Y 50 SITEPROP TIEOFF_X6Y25 SITE_PIPS SITEPROP TIEOFF_X6Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y26 CLASS site SITEPROP TIEOFF_X6Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y26 IS_BONDED 0 SITEPROP TIEOFF_X6Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y26 IS_PAD 0 SITEPROP TIEOFF_X6Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y26 IS_RESERVED 0 SITEPROP TIEOFF_X6Y26 IS_TEST 0 SITEPROP TIEOFF_X6Y26 IS_USED 0 SITEPROP TIEOFF_X6Y26 MANUAL_ROUTING SITEPROP TIEOFF_X6Y26 NAME TIEOFF_X6Y26 SITEPROP TIEOFF_X6Y26 NUM_ARCS 0 SITEPROP TIEOFF_X6Y26 NUM_BELS 2 SITEPROP TIEOFF_X6Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y26 NUM_PINS 2 SITEPROP TIEOFF_X6Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y26 PROHIBIT 0 SITEPROP TIEOFF_X6Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y26 RPM_X 32 SITEPROP TIEOFF_X6Y26 RPM_Y 52 SITEPROP TIEOFF_X6Y26 SITE_PIPS SITEPROP TIEOFF_X6Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y27 CLASS site SITEPROP TIEOFF_X6Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y27 IS_BONDED 0 SITEPROP TIEOFF_X6Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y27 IS_PAD 0 SITEPROP TIEOFF_X6Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y27 IS_RESERVED 0 SITEPROP TIEOFF_X6Y27 IS_TEST 0 SITEPROP TIEOFF_X6Y27 IS_USED 0 SITEPROP TIEOFF_X6Y27 MANUAL_ROUTING SITEPROP TIEOFF_X6Y27 NAME TIEOFF_X6Y27 SITEPROP TIEOFF_X6Y27 NUM_ARCS 0 SITEPROP TIEOFF_X6Y27 NUM_BELS 2 SITEPROP TIEOFF_X6Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y27 NUM_PINS 2 SITEPROP TIEOFF_X6Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y27 PROHIBIT 0 SITEPROP TIEOFF_X6Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y27 RPM_X 32 SITEPROP TIEOFF_X6Y27 RPM_Y 54 SITEPROP TIEOFF_X6Y27 SITE_PIPS SITEPROP TIEOFF_X6Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y28 CLASS site SITEPROP TIEOFF_X6Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y28 IS_BONDED 0 SITEPROP TIEOFF_X6Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y28 IS_PAD 0 SITEPROP TIEOFF_X6Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y28 IS_RESERVED 0 SITEPROP TIEOFF_X6Y28 IS_TEST 0 SITEPROP TIEOFF_X6Y28 IS_USED 0 SITEPROP TIEOFF_X6Y28 MANUAL_ROUTING SITEPROP TIEOFF_X6Y28 NAME TIEOFF_X6Y28 SITEPROP TIEOFF_X6Y28 NUM_ARCS 0 SITEPROP TIEOFF_X6Y28 NUM_BELS 2 SITEPROP TIEOFF_X6Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y28 NUM_PINS 2 SITEPROP TIEOFF_X6Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y28 PROHIBIT 0 SITEPROP TIEOFF_X6Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y28 RPM_X 32 SITEPROP TIEOFF_X6Y28 RPM_Y 56 SITEPROP TIEOFF_X6Y28 SITE_PIPS SITEPROP TIEOFF_X6Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y29 CLASS site SITEPROP TIEOFF_X6Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y29 IS_BONDED 0 SITEPROP TIEOFF_X6Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y29 IS_PAD 0 SITEPROP TIEOFF_X6Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y29 IS_RESERVED 0 SITEPROP TIEOFF_X6Y29 IS_TEST 0 SITEPROP TIEOFF_X6Y29 IS_USED 0 SITEPROP TIEOFF_X6Y29 MANUAL_ROUTING SITEPROP TIEOFF_X6Y29 NAME TIEOFF_X6Y29 SITEPROP TIEOFF_X6Y29 NUM_ARCS 0 SITEPROP TIEOFF_X6Y29 NUM_BELS 2 SITEPROP TIEOFF_X6Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y29 NUM_PINS 2 SITEPROP TIEOFF_X6Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y29 PROHIBIT 0 SITEPROP TIEOFF_X6Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y29 RPM_X 32 SITEPROP TIEOFF_X6Y29 RPM_Y 58 SITEPROP TIEOFF_X6Y29 SITE_PIPS SITEPROP TIEOFF_X6Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y30 CLASS site SITEPROP TIEOFF_X6Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y30 IS_BONDED 0 SITEPROP TIEOFF_X6Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y30 IS_PAD 0 SITEPROP TIEOFF_X6Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y30 IS_RESERVED 0 SITEPROP TIEOFF_X6Y30 IS_TEST 0 SITEPROP TIEOFF_X6Y30 IS_USED 0 SITEPROP TIEOFF_X6Y30 MANUAL_ROUTING SITEPROP TIEOFF_X6Y30 NAME TIEOFF_X6Y30 SITEPROP TIEOFF_X6Y30 NUM_ARCS 0 SITEPROP TIEOFF_X6Y30 NUM_BELS 2 SITEPROP TIEOFF_X6Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y30 NUM_PINS 2 SITEPROP TIEOFF_X6Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y30 PROHIBIT 0 SITEPROP TIEOFF_X6Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y30 RPM_X 32 SITEPROP TIEOFF_X6Y30 RPM_Y 60 SITEPROP TIEOFF_X6Y30 SITE_PIPS SITEPROP TIEOFF_X6Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y31 CLASS site SITEPROP TIEOFF_X6Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y31 IS_BONDED 0 SITEPROP TIEOFF_X6Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y31 IS_PAD 0 SITEPROP TIEOFF_X6Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y31 IS_RESERVED 0 SITEPROP TIEOFF_X6Y31 IS_TEST 0 SITEPROP TIEOFF_X6Y31 IS_USED 0 SITEPROP TIEOFF_X6Y31 MANUAL_ROUTING SITEPROP TIEOFF_X6Y31 NAME TIEOFF_X6Y31 SITEPROP TIEOFF_X6Y31 NUM_ARCS 0 SITEPROP TIEOFF_X6Y31 NUM_BELS 2 SITEPROP TIEOFF_X6Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y31 NUM_PINS 2 SITEPROP TIEOFF_X6Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y31 PROHIBIT 0 SITEPROP TIEOFF_X6Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y31 RPM_X 32 SITEPROP TIEOFF_X6Y31 RPM_Y 62 SITEPROP TIEOFF_X6Y31 SITE_PIPS SITEPROP TIEOFF_X6Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y32 CLASS site SITEPROP TIEOFF_X6Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y32 IS_BONDED 0 SITEPROP TIEOFF_X6Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y32 IS_PAD 0 SITEPROP TIEOFF_X6Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y32 IS_RESERVED 0 SITEPROP TIEOFF_X6Y32 IS_TEST 0 SITEPROP TIEOFF_X6Y32 IS_USED 0 SITEPROP TIEOFF_X6Y32 MANUAL_ROUTING SITEPROP TIEOFF_X6Y32 NAME TIEOFF_X6Y32 SITEPROP TIEOFF_X6Y32 NUM_ARCS 0 SITEPROP TIEOFF_X6Y32 NUM_BELS 2 SITEPROP TIEOFF_X6Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y32 NUM_PINS 2 SITEPROP TIEOFF_X6Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y32 PROHIBIT 0 SITEPROP TIEOFF_X6Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y32 RPM_X 32 SITEPROP TIEOFF_X6Y32 RPM_Y 64 SITEPROP TIEOFF_X6Y32 SITE_PIPS SITEPROP TIEOFF_X6Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y33 CLASS site SITEPROP TIEOFF_X6Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y33 IS_BONDED 0 SITEPROP TIEOFF_X6Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y33 IS_PAD 0 SITEPROP TIEOFF_X6Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y33 IS_RESERVED 0 SITEPROP TIEOFF_X6Y33 IS_TEST 0 SITEPROP TIEOFF_X6Y33 IS_USED 0 SITEPROP TIEOFF_X6Y33 MANUAL_ROUTING SITEPROP TIEOFF_X6Y33 NAME TIEOFF_X6Y33 SITEPROP TIEOFF_X6Y33 NUM_ARCS 0 SITEPROP TIEOFF_X6Y33 NUM_BELS 2 SITEPROP TIEOFF_X6Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y33 NUM_PINS 2 SITEPROP TIEOFF_X6Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y33 PROHIBIT 0 SITEPROP TIEOFF_X6Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y33 RPM_X 32 SITEPROP TIEOFF_X6Y33 RPM_Y 66 SITEPROP TIEOFF_X6Y33 SITE_PIPS SITEPROP TIEOFF_X6Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y34 CLASS site SITEPROP TIEOFF_X6Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y34 IS_BONDED 0 SITEPROP TIEOFF_X6Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y34 IS_PAD 0 SITEPROP TIEOFF_X6Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y34 IS_RESERVED 0 SITEPROP TIEOFF_X6Y34 IS_TEST 0 SITEPROP TIEOFF_X6Y34 IS_USED 0 SITEPROP TIEOFF_X6Y34 MANUAL_ROUTING SITEPROP TIEOFF_X6Y34 NAME TIEOFF_X6Y34 SITEPROP TIEOFF_X6Y34 NUM_ARCS 0 SITEPROP TIEOFF_X6Y34 NUM_BELS 2 SITEPROP TIEOFF_X6Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y34 NUM_PINS 2 SITEPROP TIEOFF_X6Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y34 PROHIBIT 0 SITEPROP TIEOFF_X6Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y34 RPM_X 32 SITEPROP TIEOFF_X6Y34 RPM_Y 68 SITEPROP TIEOFF_X6Y34 SITE_PIPS SITEPROP TIEOFF_X6Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y35 CLASS site SITEPROP TIEOFF_X6Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y35 IS_BONDED 0 SITEPROP TIEOFF_X6Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y35 IS_PAD 0 SITEPROP TIEOFF_X6Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y35 IS_RESERVED 0 SITEPROP TIEOFF_X6Y35 IS_TEST 0 SITEPROP TIEOFF_X6Y35 IS_USED 0 SITEPROP TIEOFF_X6Y35 MANUAL_ROUTING SITEPROP TIEOFF_X6Y35 NAME TIEOFF_X6Y35 SITEPROP TIEOFF_X6Y35 NUM_ARCS 0 SITEPROP TIEOFF_X6Y35 NUM_BELS 2 SITEPROP TIEOFF_X6Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y35 NUM_PINS 2 SITEPROP TIEOFF_X6Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y35 PROHIBIT 0 SITEPROP TIEOFF_X6Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y35 RPM_X 32 SITEPROP TIEOFF_X6Y35 RPM_Y 70 SITEPROP TIEOFF_X6Y35 SITE_PIPS SITEPROP TIEOFF_X6Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y36 CLASS site SITEPROP TIEOFF_X6Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y36 IS_BONDED 0 SITEPROP TIEOFF_X6Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y36 IS_PAD 0 SITEPROP TIEOFF_X6Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y36 IS_RESERVED 0 SITEPROP TIEOFF_X6Y36 IS_TEST 0 SITEPROP TIEOFF_X6Y36 IS_USED 0 SITEPROP TIEOFF_X6Y36 MANUAL_ROUTING SITEPROP TIEOFF_X6Y36 NAME TIEOFF_X6Y36 SITEPROP TIEOFF_X6Y36 NUM_ARCS 0 SITEPROP TIEOFF_X6Y36 NUM_BELS 2 SITEPROP TIEOFF_X6Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y36 NUM_PINS 2 SITEPROP TIEOFF_X6Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y36 PROHIBIT 0 SITEPROP TIEOFF_X6Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y36 RPM_X 32 SITEPROP TIEOFF_X6Y36 RPM_Y 72 SITEPROP TIEOFF_X6Y36 SITE_PIPS SITEPROP TIEOFF_X6Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y37 CLASS site SITEPROP TIEOFF_X6Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y37 IS_BONDED 0 SITEPROP TIEOFF_X6Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y37 IS_PAD 0 SITEPROP TIEOFF_X6Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y37 IS_RESERVED 0 SITEPROP TIEOFF_X6Y37 IS_TEST 0 SITEPROP TIEOFF_X6Y37 IS_USED 0 SITEPROP TIEOFF_X6Y37 MANUAL_ROUTING SITEPROP TIEOFF_X6Y37 NAME TIEOFF_X6Y37 SITEPROP TIEOFF_X6Y37 NUM_ARCS 0 SITEPROP TIEOFF_X6Y37 NUM_BELS 2 SITEPROP TIEOFF_X6Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y37 NUM_PINS 2 SITEPROP TIEOFF_X6Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y37 PROHIBIT 0 SITEPROP TIEOFF_X6Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y37 RPM_X 32 SITEPROP TIEOFF_X6Y37 RPM_Y 74 SITEPROP TIEOFF_X6Y37 SITE_PIPS SITEPROP TIEOFF_X6Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y38 CLASS site SITEPROP TIEOFF_X6Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y38 IS_BONDED 0 SITEPROP TIEOFF_X6Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y38 IS_PAD 0 SITEPROP TIEOFF_X6Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y38 IS_RESERVED 0 SITEPROP TIEOFF_X6Y38 IS_TEST 0 SITEPROP TIEOFF_X6Y38 IS_USED 0 SITEPROP TIEOFF_X6Y38 MANUAL_ROUTING SITEPROP TIEOFF_X6Y38 NAME TIEOFF_X6Y38 SITEPROP TIEOFF_X6Y38 NUM_ARCS 0 SITEPROP TIEOFF_X6Y38 NUM_BELS 2 SITEPROP TIEOFF_X6Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y38 NUM_PINS 2 SITEPROP TIEOFF_X6Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y38 PROHIBIT 0 SITEPROP TIEOFF_X6Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y38 RPM_X 32 SITEPROP TIEOFF_X6Y38 RPM_Y 76 SITEPROP TIEOFF_X6Y38 SITE_PIPS SITEPROP TIEOFF_X6Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y39 CLASS site SITEPROP TIEOFF_X6Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y39 IS_BONDED 0 SITEPROP TIEOFF_X6Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y39 IS_PAD 0 SITEPROP TIEOFF_X6Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y39 IS_RESERVED 0 SITEPROP TIEOFF_X6Y39 IS_TEST 0 SITEPROP TIEOFF_X6Y39 IS_USED 0 SITEPROP TIEOFF_X6Y39 MANUAL_ROUTING SITEPROP TIEOFF_X6Y39 NAME TIEOFF_X6Y39 SITEPROP TIEOFF_X6Y39 NUM_ARCS 0 SITEPROP TIEOFF_X6Y39 NUM_BELS 2 SITEPROP TIEOFF_X6Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y39 NUM_PINS 2 SITEPROP TIEOFF_X6Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y39 PROHIBIT 0 SITEPROP TIEOFF_X6Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y39 RPM_X 32 SITEPROP TIEOFF_X6Y39 RPM_Y 78 SITEPROP TIEOFF_X6Y39 SITE_PIPS SITEPROP TIEOFF_X6Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y40 CLASS site SITEPROP TIEOFF_X6Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y40 IS_BONDED 0 SITEPROP TIEOFF_X6Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y40 IS_PAD 0 SITEPROP TIEOFF_X6Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y40 IS_RESERVED 0 SITEPROP TIEOFF_X6Y40 IS_TEST 0 SITEPROP TIEOFF_X6Y40 IS_USED 0 SITEPROP TIEOFF_X6Y40 MANUAL_ROUTING SITEPROP TIEOFF_X6Y40 NAME TIEOFF_X6Y40 SITEPROP TIEOFF_X6Y40 NUM_ARCS 0 SITEPROP TIEOFF_X6Y40 NUM_BELS 2 SITEPROP TIEOFF_X6Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y40 NUM_PINS 2 SITEPROP TIEOFF_X6Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y40 PROHIBIT 0 SITEPROP TIEOFF_X6Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y40 RPM_X 32 SITEPROP TIEOFF_X6Y40 RPM_Y 80 SITEPROP TIEOFF_X6Y40 SITE_PIPS SITEPROP TIEOFF_X6Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y41 CLASS site SITEPROP TIEOFF_X6Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y41 IS_BONDED 0 SITEPROP TIEOFF_X6Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y41 IS_PAD 0 SITEPROP TIEOFF_X6Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y41 IS_RESERVED 0 SITEPROP TIEOFF_X6Y41 IS_TEST 0 SITEPROP TIEOFF_X6Y41 IS_USED 0 SITEPROP TIEOFF_X6Y41 MANUAL_ROUTING SITEPROP TIEOFF_X6Y41 NAME TIEOFF_X6Y41 SITEPROP TIEOFF_X6Y41 NUM_ARCS 0 SITEPROP TIEOFF_X6Y41 NUM_BELS 2 SITEPROP TIEOFF_X6Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y41 NUM_PINS 2 SITEPROP TIEOFF_X6Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y41 PROHIBIT 0 SITEPROP TIEOFF_X6Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y41 RPM_X 32 SITEPROP TIEOFF_X6Y41 RPM_Y 82 SITEPROP TIEOFF_X6Y41 SITE_PIPS SITEPROP TIEOFF_X6Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y42 CLASS site SITEPROP TIEOFF_X6Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y42 IS_BONDED 0 SITEPROP TIEOFF_X6Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y42 IS_PAD 0 SITEPROP TIEOFF_X6Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y42 IS_RESERVED 0 SITEPROP TIEOFF_X6Y42 IS_TEST 0 SITEPROP TIEOFF_X6Y42 IS_USED 0 SITEPROP TIEOFF_X6Y42 MANUAL_ROUTING SITEPROP TIEOFF_X6Y42 NAME TIEOFF_X6Y42 SITEPROP TIEOFF_X6Y42 NUM_ARCS 0 SITEPROP TIEOFF_X6Y42 NUM_BELS 2 SITEPROP TIEOFF_X6Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y42 NUM_PINS 2 SITEPROP TIEOFF_X6Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y42 PROHIBIT 0 SITEPROP TIEOFF_X6Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y42 RPM_X 32 SITEPROP TIEOFF_X6Y42 RPM_Y 84 SITEPROP TIEOFF_X6Y42 SITE_PIPS SITEPROP TIEOFF_X6Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y43 CLASS site SITEPROP TIEOFF_X6Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y43 IS_BONDED 0 SITEPROP TIEOFF_X6Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y43 IS_PAD 0 SITEPROP TIEOFF_X6Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y43 IS_RESERVED 0 SITEPROP TIEOFF_X6Y43 IS_TEST 0 SITEPROP TIEOFF_X6Y43 IS_USED 0 SITEPROP TIEOFF_X6Y43 MANUAL_ROUTING SITEPROP TIEOFF_X6Y43 NAME TIEOFF_X6Y43 SITEPROP TIEOFF_X6Y43 NUM_ARCS 0 SITEPROP TIEOFF_X6Y43 NUM_BELS 2 SITEPROP TIEOFF_X6Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y43 NUM_PINS 2 SITEPROP TIEOFF_X6Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y43 PROHIBIT 0 SITEPROP TIEOFF_X6Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y43 RPM_X 32 SITEPROP TIEOFF_X6Y43 RPM_Y 86 SITEPROP TIEOFF_X6Y43 SITE_PIPS SITEPROP TIEOFF_X6Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y44 CLASS site SITEPROP TIEOFF_X6Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y44 IS_BONDED 0 SITEPROP TIEOFF_X6Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y44 IS_PAD 0 SITEPROP TIEOFF_X6Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y44 IS_RESERVED 0 SITEPROP TIEOFF_X6Y44 IS_TEST 0 SITEPROP TIEOFF_X6Y44 IS_USED 0 SITEPROP TIEOFF_X6Y44 MANUAL_ROUTING SITEPROP TIEOFF_X6Y44 NAME TIEOFF_X6Y44 SITEPROP TIEOFF_X6Y44 NUM_ARCS 0 SITEPROP TIEOFF_X6Y44 NUM_BELS 2 SITEPROP TIEOFF_X6Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y44 NUM_PINS 2 SITEPROP TIEOFF_X6Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y44 PROHIBIT 0 SITEPROP TIEOFF_X6Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y44 RPM_X 32 SITEPROP TIEOFF_X6Y44 RPM_Y 88 SITEPROP TIEOFF_X6Y44 SITE_PIPS SITEPROP TIEOFF_X6Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y45 CLASS site SITEPROP TIEOFF_X6Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y45 IS_BONDED 0 SITEPROP TIEOFF_X6Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y45 IS_PAD 0 SITEPROP TIEOFF_X6Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y45 IS_RESERVED 0 SITEPROP TIEOFF_X6Y45 IS_TEST 0 SITEPROP TIEOFF_X6Y45 IS_USED 0 SITEPROP TIEOFF_X6Y45 MANUAL_ROUTING SITEPROP TIEOFF_X6Y45 NAME TIEOFF_X6Y45 SITEPROP TIEOFF_X6Y45 NUM_ARCS 0 SITEPROP TIEOFF_X6Y45 NUM_BELS 2 SITEPROP TIEOFF_X6Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y45 NUM_PINS 2 SITEPROP TIEOFF_X6Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y45 PROHIBIT 0 SITEPROP TIEOFF_X6Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y45 RPM_X 32 SITEPROP TIEOFF_X6Y45 RPM_Y 90 SITEPROP TIEOFF_X6Y45 SITE_PIPS SITEPROP TIEOFF_X6Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y46 CLASS site SITEPROP TIEOFF_X6Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y46 IS_BONDED 0 SITEPROP TIEOFF_X6Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y46 IS_PAD 0 SITEPROP TIEOFF_X6Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y46 IS_RESERVED 0 SITEPROP TIEOFF_X6Y46 IS_TEST 0 SITEPROP TIEOFF_X6Y46 IS_USED 0 SITEPROP TIEOFF_X6Y46 MANUAL_ROUTING SITEPROP TIEOFF_X6Y46 NAME TIEOFF_X6Y46 SITEPROP TIEOFF_X6Y46 NUM_ARCS 0 SITEPROP TIEOFF_X6Y46 NUM_BELS 2 SITEPROP TIEOFF_X6Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y46 NUM_PINS 2 SITEPROP TIEOFF_X6Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y46 PROHIBIT 0 SITEPROP TIEOFF_X6Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y46 RPM_X 32 SITEPROP TIEOFF_X6Y46 RPM_Y 92 SITEPROP TIEOFF_X6Y46 SITE_PIPS SITEPROP TIEOFF_X6Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y47 CLASS site SITEPROP TIEOFF_X6Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y47 IS_BONDED 0 SITEPROP TIEOFF_X6Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y47 IS_PAD 0 SITEPROP TIEOFF_X6Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y47 IS_RESERVED 0 SITEPROP TIEOFF_X6Y47 IS_TEST 0 SITEPROP TIEOFF_X6Y47 IS_USED 0 SITEPROP TIEOFF_X6Y47 MANUAL_ROUTING SITEPROP TIEOFF_X6Y47 NAME TIEOFF_X6Y47 SITEPROP TIEOFF_X6Y47 NUM_ARCS 0 SITEPROP TIEOFF_X6Y47 NUM_BELS 2 SITEPROP TIEOFF_X6Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y47 NUM_PINS 2 SITEPROP TIEOFF_X6Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y47 PROHIBIT 0 SITEPROP TIEOFF_X6Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y47 RPM_X 32 SITEPROP TIEOFF_X6Y47 RPM_Y 94 SITEPROP TIEOFF_X6Y47 SITE_PIPS SITEPROP TIEOFF_X6Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y48 CLASS site SITEPROP TIEOFF_X6Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y48 IS_BONDED 0 SITEPROP TIEOFF_X6Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y48 IS_PAD 0 SITEPROP TIEOFF_X6Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y48 IS_RESERVED 0 SITEPROP TIEOFF_X6Y48 IS_TEST 0 SITEPROP TIEOFF_X6Y48 IS_USED 0 SITEPROP TIEOFF_X6Y48 MANUAL_ROUTING SITEPROP TIEOFF_X6Y48 NAME TIEOFF_X6Y48 SITEPROP TIEOFF_X6Y48 NUM_ARCS 0 SITEPROP TIEOFF_X6Y48 NUM_BELS 2 SITEPROP TIEOFF_X6Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y48 NUM_PINS 2 SITEPROP TIEOFF_X6Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y48 PROHIBIT 0 SITEPROP TIEOFF_X6Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y48 RPM_X 32 SITEPROP TIEOFF_X6Y48 RPM_Y 96 SITEPROP TIEOFF_X6Y48 SITE_PIPS SITEPROP TIEOFF_X6Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y49 CLASS site SITEPROP TIEOFF_X6Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X6Y49 IS_BONDED 0 SITEPROP TIEOFF_X6Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y49 IS_PAD 0 SITEPROP TIEOFF_X6Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y49 IS_RESERVED 0 SITEPROP TIEOFF_X6Y49 IS_TEST 0 SITEPROP TIEOFF_X6Y49 IS_USED 0 SITEPROP TIEOFF_X6Y49 MANUAL_ROUTING SITEPROP TIEOFF_X6Y49 NAME TIEOFF_X6Y49 SITEPROP TIEOFF_X6Y49 NUM_ARCS 0 SITEPROP TIEOFF_X6Y49 NUM_BELS 2 SITEPROP TIEOFF_X6Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y49 NUM_PINS 2 SITEPROP TIEOFF_X6Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y49 PROHIBIT 0 SITEPROP TIEOFF_X6Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y49 RPM_X 32 SITEPROP TIEOFF_X6Y49 RPM_Y 98 SITEPROP TIEOFF_X6Y49 SITE_PIPS SITEPROP TIEOFF_X6Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y50 CLASS site SITEPROP TIEOFF_X6Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y50 IS_BONDED 0 SITEPROP TIEOFF_X6Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y50 IS_PAD 0 SITEPROP TIEOFF_X6Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y50 IS_RESERVED 0 SITEPROP TIEOFF_X6Y50 IS_TEST 0 SITEPROP TIEOFF_X6Y50 IS_USED 0 SITEPROP TIEOFF_X6Y50 MANUAL_ROUTING SITEPROP TIEOFF_X6Y50 NAME TIEOFF_X6Y50 SITEPROP TIEOFF_X6Y50 NUM_ARCS 0 SITEPROP TIEOFF_X6Y50 NUM_BELS 2 SITEPROP TIEOFF_X6Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y50 NUM_PINS 2 SITEPROP TIEOFF_X6Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y50 PROHIBIT 0 SITEPROP TIEOFF_X6Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y50 RPM_X 32 SITEPROP TIEOFF_X6Y50 RPM_Y 100 SITEPROP TIEOFF_X6Y50 SITE_PIPS SITEPROP TIEOFF_X6Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y51 CLASS site SITEPROP TIEOFF_X6Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y51 IS_BONDED 0 SITEPROP TIEOFF_X6Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y51 IS_PAD 0 SITEPROP TIEOFF_X6Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y51 IS_RESERVED 0 SITEPROP TIEOFF_X6Y51 IS_TEST 0 SITEPROP TIEOFF_X6Y51 IS_USED 0 SITEPROP TIEOFF_X6Y51 MANUAL_ROUTING SITEPROP TIEOFF_X6Y51 NAME TIEOFF_X6Y51 SITEPROP TIEOFF_X6Y51 NUM_ARCS 0 SITEPROP TIEOFF_X6Y51 NUM_BELS 2 SITEPROP TIEOFF_X6Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y51 NUM_PINS 2 SITEPROP TIEOFF_X6Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y51 PROHIBIT 0 SITEPROP TIEOFF_X6Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y51 RPM_X 32 SITEPROP TIEOFF_X6Y51 RPM_Y 102 SITEPROP TIEOFF_X6Y51 SITE_PIPS SITEPROP TIEOFF_X6Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y52 CLASS site SITEPROP TIEOFF_X6Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y52 IS_BONDED 0 SITEPROP TIEOFF_X6Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y52 IS_PAD 0 SITEPROP TIEOFF_X6Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y52 IS_RESERVED 0 SITEPROP TIEOFF_X6Y52 IS_TEST 0 SITEPROP TIEOFF_X6Y52 IS_USED 0 SITEPROP TIEOFF_X6Y52 MANUAL_ROUTING SITEPROP TIEOFF_X6Y52 NAME TIEOFF_X6Y52 SITEPROP TIEOFF_X6Y52 NUM_ARCS 0 SITEPROP TIEOFF_X6Y52 NUM_BELS 2 SITEPROP TIEOFF_X6Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y52 NUM_PINS 2 SITEPROP TIEOFF_X6Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y52 PROHIBIT 0 SITEPROP TIEOFF_X6Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y52 RPM_X 32 SITEPROP TIEOFF_X6Y52 RPM_Y 104 SITEPROP TIEOFF_X6Y52 SITE_PIPS SITEPROP TIEOFF_X6Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y53 CLASS site SITEPROP TIEOFF_X6Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y53 IS_BONDED 0 SITEPROP TIEOFF_X6Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y53 IS_PAD 0 SITEPROP TIEOFF_X6Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y53 IS_RESERVED 0 SITEPROP TIEOFF_X6Y53 IS_TEST 0 SITEPROP TIEOFF_X6Y53 IS_USED 0 SITEPROP TIEOFF_X6Y53 MANUAL_ROUTING SITEPROP TIEOFF_X6Y53 NAME TIEOFF_X6Y53 SITEPROP TIEOFF_X6Y53 NUM_ARCS 0 SITEPROP TIEOFF_X6Y53 NUM_BELS 2 SITEPROP TIEOFF_X6Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y53 NUM_PINS 2 SITEPROP TIEOFF_X6Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y53 PROHIBIT 0 SITEPROP TIEOFF_X6Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y53 RPM_X 32 SITEPROP TIEOFF_X6Y53 RPM_Y 106 SITEPROP TIEOFF_X6Y53 SITE_PIPS SITEPROP TIEOFF_X6Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y54 CLASS site SITEPROP TIEOFF_X6Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y54 IS_BONDED 0 SITEPROP TIEOFF_X6Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y54 IS_PAD 0 SITEPROP TIEOFF_X6Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y54 IS_RESERVED 0 SITEPROP TIEOFF_X6Y54 IS_TEST 0 SITEPROP TIEOFF_X6Y54 IS_USED 0 SITEPROP TIEOFF_X6Y54 MANUAL_ROUTING SITEPROP TIEOFF_X6Y54 NAME TIEOFF_X6Y54 SITEPROP TIEOFF_X6Y54 NUM_ARCS 0 SITEPROP TIEOFF_X6Y54 NUM_BELS 2 SITEPROP TIEOFF_X6Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y54 NUM_PINS 2 SITEPROP TIEOFF_X6Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y54 PROHIBIT 0 SITEPROP TIEOFF_X6Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y54 RPM_X 32 SITEPROP TIEOFF_X6Y54 RPM_Y 108 SITEPROP TIEOFF_X6Y54 SITE_PIPS SITEPROP TIEOFF_X6Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y55 CLASS site SITEPROP TIEOFF_X6Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y55 IS_BONDED 0 SITEPROP TIEOFF_X6Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y55 IS_PAD 0 SITEPROP TIEOFF_X6Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y55 IS_RESERVED 0 SITEPROP TIEOFF_X6Y55 IS_TEST 0 SITEPROP TIEOFF_X6Y55 IS_USED 0 SITEPROP TIEOFF_X6Y55 MANUAL_ROUTING SITEPROP TIEOFF_X6Y55 NAME TIEOFF_X6Y55 SITEPROP TIEOFF_X6Y55 NUM_ARCS 0 SITEPROP TIEOFF_X6Y55 NUM_BELS 2 SITEPROP TIEOFF_X6Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y55 NUM_PINS 2 SITEPROP TIEOFF_X6Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y55 PROHIBIT 0 SITEPROP TIEOFF_X6Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y55 RPM_X 32 SITEPROP TIEOFF_X6Y55 RPM_Y 110 SITEPROP TIEOFF_X6Y55 SITE_PIPS SITEPROP TIEOFF_X6Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y56 CLASS site SITEPROP TIEOFF_X6Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y56 IS_BONDED 0 SITEPROP TIEOFF_X6Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y56 IS_PAD 0 SITEPROP TIEOFF_X6Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y56 IS_RESERVED 0 SITEPROP TIEOFF_X6Y56 IS_TEST 0 SITEPROP TIEOFF_X6Y56 IS_USED 0 SITEPROP TIEOFF_X6Y56 MANUAL_ROUTING SITEPROP TIEOFF_X6Y56 NAME TIEOFF_X6Y56 SITEPROP TIEOFF_X6Y56 NUM_ARCS 0 SITEPROP TIEOFF_X6Y56 NUM_BELS 2 SITEPROP TIEOFF_X6Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y56 NUM_PINS 2 SITEPROP TIEOFF_X6Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y56 PROHIBIT 0 SITEPROP TIEOFF_X6Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y56 RPM_X 32 SITEPROP TIEOFF_X6Y56 RPM_Y 112 SITEPROP TIEOFF_X6Y56 SITE_PIPS SITEPROP TIEOFF_X6Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y57 CLASS site SITEPROP TIEOFF_X6Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y57 IS_BONDED 0 SITEPROP TIEOFF_X6Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y57 IS_PAD 0 SITEPROP TIEOFF_X6Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y57 IS_RESERVED 0 SITEPROP TIEOFF_X6Y57 IS_TEST 0 SITEPROP TIEOFF_X6Y57 IS_USED 0 SITEPROP TIEOFF_X6Y57 MANUAL_ROUTING SITEPROP TIEOFF_X6Y57 NAME TIEOFF_X6Y57 SITEPROP TIEOFF_X6Y57 NUM_ARCS 0 SITEPROP TIEOFF_X6Y57 NUM_BELS 2 SITEPROP TIEOFF_X6Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y57 NUM_PINS 2 SITEPROP TIEOFF_X6Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y57 PROHIBIT 0 SITEPROP TIEOFF_X6Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y57 RPM_X 32 SITEPROP TIEOFF_X6Y57 RPM_Y 114 SITEPROP TIEOFF_X6Y57 SITE_PIPS SITEPROP TIEOFF_X6Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y58 CLASS site SITEPROP TIEOFF_X6Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y58 IS_BONDED 0 SITEPROP TIEOFF_X6Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y58 IS_PAD 0 SITEPROP TIEOFF_X6Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y58 IS_RESERVED 0 SITEPROP TIEOFF_X6Y58 IS_TEST 0 SITEPROP TIEOFF_X6Y58 IS_USED 0 SITEPROP TIEOFF_X6Y58 MANUAL_ROUTING SITEPROP TIEOFF_X6Y58 NAME TIEOFF_X6Y58 SITEPROP TIEOFF_X6Y58 NUM_ARCS 0 SITEPROP TIEOFF_X6Y58 NUM_BELS 2 SITEPROP TIEOFF_X6Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y58 NUM_PINS 2 SITEPROP TIEOFF_X6Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y58 PROHIBIT 0 SITEPROP TIEOFF_X6Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y58 RPM_X 32 SITEPROP TIEOFF_X6Y58 RPM_Y 116 SITEPROP TIEOFF_X6Y58 SITE_PIPS SITEPROP TIEOFF_X6Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y59 CLASS site SITEPROP TIEOFF_X6Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y59 IS_BONDED 0 SITEPROP TIEOFF_X6Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y59 IS_PAD 0 SITEPROP TIEOFF_X6Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y59 IS_RESERVED 0 SITEPROP TIEOFF_X6Y59 IS_TEST 0 SITEPROP TIEOFF_X6Y59 IS_USED 0 SITEPROP TIEOFF_X6Y59 MANUAL_ROUTING SITEPROP TIEOFF_X6Y59 NAME TIEOFF_X6Y59 SITEPROP TIEOFF_X6Y59 NUM_ARCS 0 SITEPROP TIEOFF_X6Y59 NUM_BELS 2 SITEPROP TIEOFF_X6Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y59 NUM_PINS 2 SITEPROP TIEOFF_X6Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y59 PROHIBIT 0 SITEPROP TIEOFF_X6Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y59 RPM_X 32 SITEPROP TIEOFF_X6Y59 RPM_Y 118 SITEPROP TIEOFF_X6Y59 SITE_PIPS SITEPROP TIEOFF_X6Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y60 CLASS site SITEPROP TIEOFF_X6Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y60 IS_BONDED 0 SITEPROP TIEOFF_X6Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y60 IS_PAD 0 SITEPROP TIEOFF_X6Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y60 IS_RESERVED 0 SITEPROP TIEOFF_X6Y60 IS_TEST 0 SITEPROP TIEOFF_X6Y60 IS_USED 0 SITEPROP TIEOFF_X6Y60 MANUAL_ROUTING SITEPROP TIEOFF_X6Y60 NAME TIEOFF_X6Y60 SITEPROP TIEOFF_X6Y60 NUM_ARCS 0 SITEPROP TIEOFF_X6Y60 NUM_BELS 2 SITEPROP TIEOFF_X6Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y60 NUM_PINS 2 SITEPROP TIEOFF_X6Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y60 PROHIBIT 0 SITEPROP TIEOFF_X6Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y60 RPM_X 32 SITEPROP TIEOFF_X6Y60 RPM_Y 120 SITEPROP TIEOFF_X6Y60 SITE_PIPS SITEPROP TIEOFF_X6Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y61 CLASS site SITEPROP TIEOFF_X6Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y61 IS_BONDED 0 SITEPROP TIEOFF_X6Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y61 IS_PAD 0 SITEPROP TIEOFF_X6Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y61 IS_RESERVED 0 SITEPROP TIEOFF_X6Y61 IS_TEST 0 SITEPROP TIEOFF_X6Y61 IS_USED 0 SITEPROP TIEOFF_X6Y61 MANUAL_ROUTING SITEPROP TIEOFF_X6Y61 NAME TIEOFF_X6Y61 SITEPROP TIEOFF_X6Y61 NUM_ARCS 0 SITEPROP TIEOFF_X6Y61 NUM_BELS 2 SITEPROP TIEOFF_X6Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y61 NUM_PINS 2 SITEPROP TIEOFF_X6Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y61 PROHIBIT 0 SITEPROP TIEOFF_X6Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y61 RPM_X 32 SITEPROP TIEOFF_X6Y61 RPM_Y 122 SITEPROP TIEOFF_X6Y61 SITE_PIPS SITEPROP TIEOFF_X6Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y62 CLASS site SITEPROP TIEOFF_X6Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y62 IS_BONDED 0 SITEPROP TIEOFF_X6Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y62 IS_PAD 0 SITEPROP TIEOFF_X6Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y62 IS_RESERVED 0 SITEPROP TIEOFF_X6Y62 IS_TEST 0 SITEPROP TIEOFF_X6Y62 IS_USED 0 SITEPROP TIEOFF_X6Y62 MANUAL_ROUTING SITEPROP TIEOFF_X6Y62 NAME TIEOFF_X6Y62 SITEPROP TIEOFF_X6Y62 NUM_ARCS 0 SITEPROP TIEOFF_X6Y62 NUM_BELS 2 SITEPROP TIEOFF_X6Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y62 NUM_PINS 2 SITEPROP TIEOFF_X6Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y62 PROHIBIT 0 SITEPROP TIEOFF_X6Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y62 RPM_X 32 SITEPROP TIEOFF_X6Y62 RPM_Y 124 SITEPROP TIEOFF_X6Y62 SITE_PIPS SITEPROP TIEOFF_X6Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y63 CLASS site SITEPROP TIEOFF_X6Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y63 IS_BONDED 0 SITEPROP TIEOFF_X6Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y63 IS_PAD 0 SITEPROP TIEOFF_X6Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y63 IS_RESERVED 0 SITEPROP TIEOFF_X6Y63 IS_TEST 0 SITEPROP TIEOFF_X6Y63 IS_USED 0 SITEPROP TIEOFF_X6Y63 MANUAL_ROUTING SITEPROP TIEOFF_X6Y63 NAME TIEOFF_X6Y63 SITEPROP TIEOFF_X6Y63 NUM_ARCS 0 SITEPROP TIEOFF_X6Y63 NUM_BELS 2 SITEPROP TIEOFF_X6Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y63 NUM_PINS 2 SITEPROP TIEOFF_X6Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y63 PROHIBIT 0 SITEPROP TIEOFF_X6Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y63 RPM_X 32 SITEPROP TIEOFF_X6Y63 RPM_Y 126 SITEPROP TIEOFF_X6Y63 SITE_PIPS SITEPROP TIEOFF_X6Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y64 CLASS site SITEPROP TIEOFF_X6Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y64 IS_BONDED 0 SITEPROP TIEOFF_X6Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y64 IS_PAD 0 SITEPROP TIEOFF_X6Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y64 IS_RESERVED 0 SITEPROP TIEOFF_X6Y64 IS_TEST 0 SITEPROP TIEOFF_X6Y64 IS_USED 0 SITEPROP TIEOFF_X6Y64 MANUAL_ROUTING SITEPROP TIEOFF_X6Y64 NAME TIEOFF_X6Y64 SITEPROP TIEOFF_X6Y64 NUM_ARCS 0 SITEPROP TIEOFF_X6Y64 NUM_BELS 2 SITEPROP TIEOFF_X6Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y64 NUM_PINS 2 SITEPROP TIEOFF_X6Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y64 PROHIBIT 0 SITEPROP TIEOFF_X6Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y64 RPM_X 32 SITEPROP TIEOFF_X6Y64 RPM_Y 128 SITEPROP TIEOFF_X6Y64 SITE_PIPS SITEPROP TIEOFF_X6Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y65 CLASS site SITEPROP TIEOFF_X6Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y65 IS_BONDED 0 SITEPROP TIEOFF_X6Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y65 IS_PAD 0 SITEPROP TIEOFF_X6Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y65 IS_RESERVED 0 SITEPROP TIEOFF_X6Y65 IS_TEST 0 SITEPROP TIEOFF_X6Y65 IS_USED 0 SITEPROP TIEOFF_X6Y65 MANUAL_ROUTING SITEPROP TIEOFF_X6Y65 NAME TIEOFF_X6Y65 SITEPROP TIEOFF_X6Y65 NUM_ARCS 0 SITEPROP TIEOFF_X6Y65 NUM_BELS 2 SITEPROP TIEOFF_X6Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y65 NUM_PINS 2 SITEPROP TIEOFF_X6Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y65 PROHIBIT 0 SITEPROP TIEOFF_X6Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y65 RPM_X 32 SITEPROP TIEOFF_X6Y65 RPM_Y 130 SITEPROP TIEOFF_X6Y65 SITE_PIPS SITEPROP TIEOFF_X6Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y66 CLASS site SITEPROP TIEOFF_X6Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y66 IS_BONDED 0 SITEPROP TIEOFF_X6Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y66 IS_PAD 0 SITEPROP TIEOFF_X6Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y66 IS_RESERVED 0 SITEPROP TIEOFF_X6Y66 IS_TEST 0 SITEPROP TIEOFF_X6Y66 IS_USED 0 SITEPROP TIEOFF_X6Y66 MANUAL_ROUTING SITEPROP TIEOFF_X6Y66 NAME TIEOFF_X6Y66 SITEPROP TIEOFF_X6Y66 NUM_ARCS 0 SITEPROP TIEOFF_X6Y66 NUM_BELS 2 SITEPROP TIEOFF_X6Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y66 NUM_PINS 2 SITEPROP TIEOFF_X6Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y66 PROHIBIT 0 SITEPROP TIEOFF_X6Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y66 RPM_X 32 SITEPROP TIEOFF_X6Y66 RPM_Y 132 SITEPROP TIEOFF_X6Y66 SITE_PIPS SITEPROP TIEOFF_X6Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y67 CLASS site SITEPROP TIEOFF_X6Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y67 IS_BONDED 0 SITEPROP TIEOFF_X6Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y67 IS_PAD 0 SITEPROP TIEOFF_X6Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y67 IS_RESERVED 0 SITEPROP TIEOFF_X6Y67 IS_TEST 0 SITEPROP TIEOFF_X6Y67 IS_USED 0 SITEPROP TIEOFF_X6Y67 MANUAL_ROUTING SITEPROP TIEOFF_X6Y67 NAME TIEOFF_X6Y67 SITEPROP TIEOFF_X6Y67 NUM_ARCS 0 SITEPROP TIEOFF_X6Y67 NUM_BELS 2 SITEPROP TIEOFF_X6Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y67 NUM_PINS 2 SITEPROP TIEOFF_X6Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y67 PROHIBIT 0 SITEPROP TIEOFF_X6Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y67 RPM_X 32 SITEPROP TIEOFF_X6Y67 RPM_Y 134 SITEPROP TIEOFF_X6Y67 SITE_PIPS SITEPROP TIEOFF_X6Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y68 CLASS site SITEPROP TIEOFF_X6Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y68 IS_BONDED 0 SITEPROP TIEOFF_X6Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y68 IS_PAD 0 SITEPROP TIEOFF_X6Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y68 IS_RESERVED 0 SITEPROP TIEOFF_X6Y68 IS_TEST 0 SITEPROP TIEOFF_X6Y68 IS_USED 0 SITEPROP TIEOFF_X6Y68 MANUAL_ROUTING SITEPROP TIEOFF_X6Y68 NAME TIEOFF_X6Y68 SITEPROP TIEOFF_X6Y68 NUM_ARCS 0 SITEPROP TIEOFF_X6Y68 NUM_BELS 2 SITEPROP TIEOFF_X6Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y68 NUM_PINS 2 SITEPROP TIEOFF_X6Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y68 PROHIBIT 0 SITEPROP TIEOFF_X6Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y68 RPM_X 32 SITEPROP TIEOFF_X6Y68 RPM_Y 136 SITEPROP TIEOFF_X6Y68 SITE_PIPS SITEPROP TIEOFF_X6Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y69 CLASS site SITEPROP TIEOFF_X6Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y69 IS_BONDED 0 SITEPROP TIEOFF_X6Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y69 IS_PAD 0 SITEPROP TIEOFF_X6Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y69 IS_RESERVED 0 SITEPROP TIEOFF_X6Y69 IS_TEST 0 SITEPROP TIEOFF_X6Y69 IS_USED 0 SITEPROP TIEOFF_X6Y69 MANUAL_ROUTING SITEPROP TIEOFF_X6Y69 NAME TIEOFF_X6Y69 SITEPROP TIEOFF_X6Y69 NUM_ARCS 0 SITEPROP TIEOFF_X6Y69 NUM_BELS 2 SITEPROP TIEOFF_X6Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y69 NUM_PINS 2 SITEPROP TIEOFF_X6Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y69 PROHIBIT 0 SITEPROP TIEOFF_X6Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y69 RPM_X 32 SITEPROP TIEOFF_X6Y69 RPM_Y 138 SITEPROP TIEOFF_X6Y69 SITE_PIPS SITEPROP TIEOFF_X6Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y70 CLASS site SITEPROP TIEOFF_X6Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y70 IS_BONDED 0 SITEPROP TIEOFF_X6Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y70 IS_PAD 0 SITEPROP TIEOFF_X6Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y70 IS_RESERVED 0 SITEPROP TIEOFF_X6Y70 IS_TEST 0 SITEPROP TIEOFF_X6Y70 IS_USED 0 SITEPROP TIEOFF_X6Y70 MANUAL_ROUTING SITEPROP TIEOFF_X6Y70 NAME TIEOFF_X6Y70 SITEPROP TIEOFF_X6Y70 NUM_ARCS 0 SITEPROP TIEOFF_X6Y70 NUM_BELS 2 SITEPROP TIEOFF_X6Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y70 NUM_PINS 2 SITEPROP TIEOFF_X6Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y70 PROHIBIT 0 SITEPROP TIEOFF_X6Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y70 RPM_X 32 SITEPROP TIEOFF_X6Y70 RPM_Y 140 SITEPROP TIEOFF_X6Y70 SITE_PIPS SITEPROP TIEOFF_X6Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y71 CLASS site SITEPROP TIEOFF_X6Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y71 IS_BONDED 0 SITEPROP TIEOFF_X6Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y71 IS_PAD 0 SITEPROP TIEOFF_X6Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y71 IS_RESERVED 0 SITEPROP TIEOFF_X6Y71 IS_TEST 0 SITEPROP TIEOFF_X6Y71 IS_USED 0 SITEPROP TIEOFF_X6Y71 MANUAL_ROUTING SITEPROP TIEOFF_X6Y71 NAME TIEOFF_X6Y71 SITEPROP TIEOFF_X6Y71 NUM_ARCS 0 SITEPROP TIEOFF_X6Y71 NUM_BELS 2 SITEPROP TIEOFF_X6Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y71 NUM_PINS 2 SITEPROP TIEOFF_X6Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y71 PROHIBIT 0 SITEPROP TIEOFF_X6Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y71 RPM_X 32 SITEPROP TIEOFF_X6Y71 RPM_Y 142 SITEPROP TIEOFF_X6Y71 SITE_PIPS SITEPROP TIEOFF_X6Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y72 CLASS site SITEPROP TIEOFF_X6Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y72 IS_BONDED 0 SITEPROP TIEOFF_X6Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y72 IS_PAD 0 SITEPROP TIEOFF_X6Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y72 IS_RESERVED 0 SITEPROP TIEOFF_X6Y72 IS_TEST 0 SITEPROP TIEOFF_X6Y72 IS_USED 0 SITEPROP TIEOFF_X6Y72 MANUAL_ROUTING SITEPROP TIEOFF_X6Y72 NAME TIEOFF_X6Y72 SITEPROP TIEOFF_X6Y72 NUM_ARCS 0 SITEPROP TIEOFF_X6Y72 NUM_BELS 2 SITEPROP TIEOFF_X6Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y72 NUM_PINS 2 SITEPROP TIEOFF_X6Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y72 PROHIBIT 0 SITEPROP TIEOFF_X6Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y72 RPM_X 32 SITEPROP TIEOFF_X6Y72 RPM_Y 144 SITEPROP TIEOFF_X6Y72 SITE_PIPS SITEPROP TIEOFF_X6Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y73 CLASS site SITEPROP TIEOFF_X6Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y73 IS_BONDED 0 SITEPROP TIEOFF_X6Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y73 IS_PAD 0 SITEPROP TIEOFF_X6Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y73 IS_RESERVED 0 SITEPROP TIEOFF_X6Y73 IS_TEST 0 SITEPROP TIEOFF_X6Y73 IS_USED 0 SITEPROP TIEOFF_X6Y73 MANUAL_ROUTING SITEPROP TIEOFF_X6Y73 NAME TIEOFF_X6Y73 SITEPROP TIEOFF_X6Y73 NUM_ARCS 0 SITEPROP TIEOFF_X6Y73 NUM_BELS 2 SITEPROP TIEOFF_X6Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y73 NUM_PINS 2 SITEPROP TIEOFF_X6Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y73 PROHIBIT 0 SITEPROP TIEOFF_X6Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y73 RPM_X 32 SITEPROP TIEOFF_X6Y73 RPM_Y 146 SITEPROP TIEOFF_X6Y73 SITE_PIPS SITEPROP TIEOFF_X6Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y74 CLASS site SITEPROP TIEOFF_X6Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y74 IS_BONDED 0 SITEPROP TIEOFF_X6Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y74 IS_PAD 0 SITEPROP TIEOFF_X6Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y74 IS_RESERVED 0 SITEPROP TIEOFF_X6Y74 IS_TEST 0 SITEPROP TIEOFF_X6Y74 IS_USED 0 SITEPROP TIEOFF_X6Y74 MANUAL_ROUTING SITEPROP TIEOFF_X6Y74 NAME TIEOFF_X6Y74 SITEPROP TIEOFF_X6Y74 NUM_ARCS 0 SITEPROP TIEOFF_X6Y74 NUM_BELS 2 SITEPROP TIEOFF_X6Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y74 NUM_PINS 2 SITEPROP TIEOFF_X6Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y74 PROHIBIT 0 SITEPROP TIEOFF_X6Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y74 RPM_X 32 SITEPROP TIEOFF_X6Y74 RPM_Y 148 SITEPROP TIEOFF_X6Y74 SITE_PIPS SITEPROP TIEOFF_X6Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y75 CLASS site SITEPROP TIEOFF_X6Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y75 IS_BONDED 0 SITEPROP TIEOFF_X6Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y75 IS_PAD 0 SITEPROP TIEOFF_X6Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y75 IS_RESERVED 0 SITEPROP TIEOFF_X6Y75 IS_TEST 0 SITEPROP TIEOFF_X6Y75 IS_USED 0 SITEPROP TIEOFF_X6Y75 MANUAL_ROUTING SITEPROP TIEOFF_X6Y75 NAME TIEOFF_X6Y75 SITEPROP TIEOFF_X6Y75 NUM_ARCS 0 SITEPROP TIEOFF_X6Y75 NUM_BELS 2 SITEPROP TIEOFF_X6Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y75 NUM_PINS 2 SITEPROP TIEOFF_X6Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y75 PROHIBIT 0 SITEPROP TIEOFF_X6Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y75 RPM_X 32 SITEPROP TIEOFF_X6Y75 RPM_Y 150 SITEPROP TIEOFF_X6Y75 SITE_PIPS SITEPROP TIEOFF_X6Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y76 CLASS site SITEPROP TIEOFF_X6Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y76 IS_BONDED 0 SITEPROP TIEOFF_X6Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y76 IS_PAD 0 SITEPROP TIEOFF_X6Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y76 IS_RESERVED 0 SITEPROP TIEOFF_X6Y76 IS_TEST 0 SITEPROP TIEOFF_X6Y76 IS_USED 0 SITEPROP TIEOFF_X6Y76 MANUAL_ROUTING SITEPROP TIEOFF_X6Y76 NAME TIEOFF_X6Y76 SITEPROP TIEOFF_X6Y76 NUM_ARCS 0 SITEPROP TIEOFF_X6Y76 NUM_BELS 2 SITEPROP TIEOFF_X6Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y76 NUM_PINS 2 SITEPROP TIEOFF_X6Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y76 PROHIBIT 0 SITEPROP TIEOFF_X6Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y76 RPM_X 32 SITEPROP TIEOFF_X6Y76 RPM_Y 152 SITEPROP TIEOFF_X6Y76 SITE_PIPS SITEPROP TIEOFF_X6Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y77 CLASS site SITEPROP TIEOFF_X6Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y77 IS_BONDED 0 SITEPROP TIEOFF_X6Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y77 IS_PAD 0 SITEPROP TIEOFF_X6Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y77 IS_RESERVED 0 SITEPROP TIEOFF_X6Y77 IS_TEST 0 SITEPROP TIEOFF_X6Y77 IS_USED 0 SITEPROP TIEOFF_X6Y77 MANUAL_ROUTING SITEPROP TIEOFF_X6Y77 NAME TIEOFF_X6Y77 SITEPROP TIEOFF_X6Y77 NUM_ARCS 0 SITEPROP TIEOFF_X6Y77 NUM_BELS 2 SITEPROP TIEOFF_X6Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y77 NUM_PINS 2 SITEPROP TIEOFF_X6Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y77 PROHIBIT 0 SITEPROP TIEOFF_X6Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y77 RPM_X 32 SITEPROP TIEOFF_X6Y77 RPM_Y 154 SITEPROP TIEOFF_X6Y77 SITE_PIPS SITEPROP TIEOFF_X6Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y78 CLASS site SITEPROP TIEOFF_X6Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y78 IS_BONDED 0 SITEPROP TIEOFF_X6Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y78 IS_PAD 0 SITEPROP TIEOFF_X6Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y78 IS_RESERVED 0 SITEPROP TIEOFF_X6Y78 IS_TEST 0 SITEPROP TIEOFF_X6Y78 IS_USED 0 SITEPROP TIEOFF_X6Y78 MANUAL_ROUTING SITEPROP TIEOFF_X6Y78 NAME TIEOFF_X6Y78 SITEPROP TIEOFF_X6Y78 NUM_ARCS 0 SITEPROP TIEOFF_X6Y78 NUM_BELS 2 SITEPROP TIEOFF_X6Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y78 NUM_PINS 2 SITEPROP TIEOFF_X6Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y78 PROHIBIT 0 SITEPROP TIEOFF_X6Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y78 RPM_X 32 SITEPROP TIEOFF_X6Y78 RPM_Y 156 SITEPROP TIEOFF_X6Y78 SITE_PIPS SITEPROP TIEOFF_X6Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y79 CLASS site SITEPROP TIEOFF_X6Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y79 IS_BONDED 0 SITEPROP TIEOFF_X6Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y79 IS_PAD 0 SITEPROP TIEOFF_X6Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y79 IS_RESERVED 0 SITEPROP TIEOFF_X6Y79 IS_TEST 0 SITEPROP TIEOFF_X6Y79 IS_USED 0 SITEPROP TIEOFF_X6Y79 MANUAL_ROUTING SITEPROP TIEOFF_X6Y79 NAME TIEOFF_X6Y79 SITEPROP TIEOFF_X6Y79 NUM_ARCS 0 SITEPROP TIEOFF_X6Y79 NUM_BELS 2 SITEPROP TIEOFF_X6Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y79 NUM_PINS 2 SITEPROP TIEOFF_X6Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y79 PROHIBIT 0 SITEPROP TIEOFF_X6Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y79 RPM_X 32 SITEPROP TIEOFF_X6Y79 RPM_Y 158 SITEPROP TIEOFF_X6Y79 SITE_PIPS SITEPROP TIEOFF_X6Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y80 CLASS site SITEPROP TIEOFF_X6Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y80 IS_BONDED 0 SITEPROP TIEOFF_X6Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y80 IS_PAD 0 SITEPROP TIEOFF_X6Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y80 IS_RESERVED 0 SITEPROP TIEOFF_X6Y80 IS_TEST 0 SITEPROP TIEOFF_X6Y80 IS_USED 0 SITEPROP TIEOFF_X6Y80 MANUAL_ROUTING SITEPROP TIEOFF_X6Y80 NAME TIEOFF_X6Y80 SITEPROP TIEOFF_X6Y80 NUM_ARCS 0 SITEPROP TIEOFF_X6Y80 NUM_BELS 2 SITEPROP TIEOFF_X6Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y80 NUM_PINS 2 SITEPROP TIEOFF_X6Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y80 PROHIBIT 0 SITEPROP TIEOFF_X6Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y80 RPM_X 32 SITEPROP TIEOFF_X6Y80 RPM_Y 160 SITEPROP TIEOFF_X6Y80 SITE_PIPS SITEPROP TIEOFF_X6Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y81 CLASS site SITEPROP TIEOFF_X6Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y81 IS_BONDED 0 SITEPROP TIEOFF_X6Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y81 IS_PAD 0 SITEPROP TIEOFF_X6Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y81 IS_RESERVED 0 SITEPROP TIEOFF_X6Y81 IS_TEST 0 SITEPROP TIEOFF_X6Y81 IS_USED 0 SITEPROP TIEOFF_X6Y81 MANUAL_ROUTING SITEPROP TIEOFF_X6Y81 NAME TIEOFF_X6Y81 SITEPROP TIEOFF_X6Y81 NUM_ARCS 0 SITEPROP TIEOFF_X6Y81 NUM_BELS 2 SITEPROP TIEOFF_X6Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y81 NUM_PINS 2 SITEPROP TIEOFF_X6Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y81 PROHIBIT 0 SITEPROP TIEOFF_X6Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y81 RPM_X 32 SITEPROP TIEOFF_X6Y81 RPM_Y 162 SITEPROP TIEOFF_X6Y81 SITE_PIPS SITEPROP TIEOFF_X6Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y82 CLASS site SITEPROP TIEOFF_X6Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y82 IS_BONDED 0 SITEPROP TIEOFF_X6Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y82 IS_PAD 0 SITEPROP TIEOFF_X6Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y82 IS_RESERVED 0 SITEPROP TIEOFF_X6Y82 IS_TEST 0 SITEPROP TIEOFF_X6Y82 IS_USED 0 SITEPROP TIEOFF_X6Y82 MANUAL_ROUTING SITEPROP TIEOFF_X6Y82 NAME TIEOFF_X6Y82 SITEPROP TIEOFF_X6Y82 NUM_ARCS 0 SITEPROP TIEOFF_X6Y82 NUM_BELS 2 SITEPROP TIEOFF_X6Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y82 NUM_PINS 2 SITEPROP TIEOFF_X6Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y82 PROHIBIT 0 SITEPROP TIEOFF_X6Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y82 RPM_X 32 SITEPROP TIEOFF_X6Y82 RPM_Y 164 SITEPROP TIEOFF_X6Y82 SITE_PIPS SITEPROP TIEOFF_X6Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y83 CLASS site SITEPROP TIEOFF_X6Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y83 IS_BONDED 0 SITEPROP TIEOFF_X6Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y83 IS_PAD 0 SITEPROP TIEOFF_X6Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y83 IS_RESERVED 0 SITEPROP TIEOFF_X6Y83 IS_TEST 0 SITEPROP TIEOFF_X6Y83 IS_USED 0 SITEPROP TIEOFF_X6Y83 MANUAL_ROUTING SITEPROP TIEOFF_X6Y83 NAME TIEOFF_X6Y83 SITEPROP TIEOFF_X6Y83 NUM_ARCS 0 SITEPROP TIEOFF_X6Y83 NUM_BELS 2 SITEPROP TIEOFF_X6Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y83 NUM_PINS 2 SITEPROP TIEOFF_X6Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y83 PROHIBIT 0 SITEPROP TIEOFF_X6Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y83 RPM_X 32 SITEPROP TIEOFF_X6Y83 RPM_Y 166 SITEPROP TIEOFF_X6Y83 SITE_PIPS SITEPROP TIEOFF_X6Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y84 CLASS site SITEPROP TIEOFF_X6Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y84 IS_BONDED 0 SITEPROP TIEOFF_X6Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y84 IS_PAD 0 SITEPROP TIEOFF_X6Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y84 IS_RESERVED 0 SITEPROP TIEOFF_X6Y84 IS_TEST 0 SITEPROP TIEOFF_X6Y84 IS_USED 0 SITEPROP TIEOFF_X6Y84 MANUAL_ROUTING SITEPROP TIEOFF_X6Y84 NAME TIEOFF_X6Y84 SITEPROP TIEOFF_X6Y84 NUM_ARCS 0 SITEPROP TIEOFF_X6Y84 NUM_BELS 2 SITEPROP TIEOFF_X6Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y84 NUM_PINS 2 SITEPROP TIEOFF_X6Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y84 PROHIBIT 0 SITEPROP TIEOFF_X6Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y84 RPM_X 32 SITEPROP TIEOFF_X6Y84 RPM_Y 168 SITEPROP TIEOFF_X6Y84 SITE_PIPS SITEPROP TIEOFF_X6Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y85 CLASS site SITEPROP TIEOFF_X6Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y85 IS_BONDED 0 SITEPROP TIEOFF_X6Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y85 IS_PAD 0 SITEPROP TIEOFF_X6Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y85 IS_RESERVED 0 SITEPROP TIEOFF_X6Y85 IS_TEST 0 SITEPROP TIEOFF_X6Y85 IS_USED 0 SITEPROP TIEOFF_X6Y85 MANUAL_ROUTING SITEPROP TIEOFF_X6Y85 NAME TIEOFF_X6Y85 SITEPROP TIEOFF_X6Y85 NUM_ARCS 0 SITEPROP TIEOFF_X6Y85 NUM_BELS 2 SITEPROP TIEOFF_X6Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y85 NUM_PINS 2 SITEPROP TIEOFF_X6Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y85 PROHIBIT 0 SITEPROP TIEOFF_X6Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y85 RPM_X 32 SITEPROP TIEOFF_X6Y85 RPM_Y 170 SITEPROP TIEOFF_X6Y85 SITE_PIPS SITEPROP TIEOFF_X6Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y86 CLASS site SITEPROP TIEOFF_X6Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y86 IS_BONDED 0 SITEPROP TIEOFF_X6Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y86 IS_PAD 0 SITEPROP TIEOFF_X6Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y86 IS_RESERVED 0 SITEPROP TIEOFF_X6Y86 IS_TEST 0 SITEPROP TIEOFF_X6Y86 IS_USED 0 SITEPROP TIEOFF_X6Y86 MANUAL_ROUTING SITEPROP TIEOFF_X6Y86 NAME TIEOFF_X6Y86 SITEPROP TIEOFF_X6Y86 NUM_ARCS 0 SITEPROP TIEOFF_X6Y86 NUM_BELS 2 SITEPROP TIEOFF_X6Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y86 NUM_PINS 2 SITEPROP TIEOFF_X6Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y86 PROHIBIT 0 SITEPROP TIEOFF_X6Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y86 RPM_X 32 SITEPROP TIEOFF_X6Y86 RPM_Y 172 SITEPROP TIEOFF_X6Y86 SITE_PIPS SITEPROP TIEOFF_X6Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y87 CLASS site SITEPROP TIEOFF_X6Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y87 IS_BONDED 0 SITEPROP TIEOFF_X6Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y87 IS_PAD 0 SITEPROP TIEOFF_X6Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y87 IS_RESERVED 0 SITEPROP TIEOFF_X6Y87 IS_TEST 0 SITEPROP TIEOFF_X6Y87 IS_USED 0 SITEPROP TIEOFF_X6Y87 MANUAL_ROUTING SITEPROP TIEOFF_X6Y87 NAME TIEOFF_X6Y87 SITEPROP TIEOFF_X6Y87 NUM_ARCS 0 SITEPROP TIEOFF_X6Y87 NUM_BELS 2 SITEPROP TIEOFF_X6Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y87 NUM_PINS 2 SITEPROP TIEOFF_X6Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y87 PROHIBIT 0 SITEPROP TIEOFF_X6Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y87 RPM_X 32 SITEPROP TIEOFF_X6Y87 RPM_Y 174 SITEPROP TIEOFF_X6Y87 SITE_PIPS SITEPROP TIEOFF_X6Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y88 CLASS site SITEPROP TIEOFF_X6Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y88 IS_BONDED 0 SITEPROP TIEOFF_X6Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y88 IS_PAD 0 SITEPROP TIEOFF_X6Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y88 IS_RESERVED 0 SITEPROP TIEOFF_X6Y88 IS_TEST 0 SITEPROP TIEOFF_X6Y88 IS_USED 0 SITEPROP TIEOFF_X6Y88 MANUAL_ROUTING SITEPROP TIEOFF_X6Y88 NAME TIEOFF_X6Y88 SITEPROP TIEOFF_X6Y88 NUM_ARCS 0 SITEPROP TIEOFF_X6Y88 NUM_BELS 2 SITEPROP TIEOFF_X6Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y88 NUM_PINS 2 SITEPROP TIEOFF_X6Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y88 PROHIBIT 0 SITEPROP TIEOFF_X6Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y88 RPM_X 32 SITEPROP TIEOFF_X6Y88 RPM_Y 176 SITEPROP TIEOFF_X6Y88 SITE_PIPS SITEPROP TIEOFF_X6Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y89 CLASS site SITEPROP TIEOFF_X6Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y89 IS_BONDED 0 SITEPROP TIEOFF_X6Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y89 IS_PAD 0 SITEPROP TIEOFF_X6Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y89 IS_RESERVED 0 SITEPROP TIEOFF_X6Y89 IS_TEST 0 SITEPROP TIEOFF_X6Y89 IS_USED 0 SITEPROP TIEOFF_X6Y89 MANUAL_ROUTING SITEPROP TIEOFF_X6Y89 NAME TIEOFF_X6Y89 SITEPROP TIEOFF_X6Y89 NUM_ARCS 0 SITEPROP TIEOFF_X6Y89 NUM_BELS 2 SITEPROP TIEOFF_X6Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y89 NUM_PINS 2 SITEPROP TIEOFF_X6Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y89 PROHIBIT 0 SITEPROP TIEOFF_X6Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y89 RPM_X 32 SITEPROP TIEOFF_X6Y89 RPM_Y 178 SITEPROP TIEOFF_X6Y89 SITE_PIPS SITEPROP TIEOFF_X6Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y90 CLASS site SITEPROP TIEOFF_X6Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y90 IS_BONDED 0 SITEPROP TIEOFF_X6Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y90 IS_PAD 0 SITEPROP TIEOFF_X6Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y90 IS_RESERVED 0 SITEPROP TIEOFF_X6Y90 IS_TEST 0 SITEPROP TIEOFF_X6Y90 IS_USED 0 SITEPROP TIEOFF_X6Y90 MANUAL_ROUTING SITEPROP TIEOFF_X6Y90 NAME TIEOFF_X6Y90 SITEPROP TIEOFF_X6Y90 NUM_ARCS 0 SITEPROP TIEOFF_X6Y90 NUM_BELS 2 SITEPROP TIEOFF_X6Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y90 NUM_PINS 2 SITEPROP TIEOFF_X6Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y90 PROHIBIT 0 SITEPROP TIEOFF_X6Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y90 RPM_X 32 SITEPROP TIEOFF_X6Y90 RPM_Y 180 SITEPROP TIEOFF_X6Y90 SITE_PIPS SITEPROP TIEOFF_X6Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y91 CLASS site SITEPROP TIEOFF_X6Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y91 IS_BONDED 0 SITEPROP TIEOFF_X6Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y91 IS_PAD 0 SITEPROP TIEOFF_X6Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y91 IS_RESERVED 0 SITEPROP TIEOFF_X6Y91 IS_TEST 0 SITEPROP TIEOFF_X6Y91 IS_USED 0 SITEPROP TIEOFF_X6Y91 MANUAL_ROUTING SITEPROP TIEOFF_X6Y91 NAME TIEOFF_X6Y91 SITEPROP TIEOFF_X6Y91 NUM_ARCS 0 SITEPROP TIEOFF_X6Y91 NUM_BELS 2 SITEPROP TIEOFF_X6Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y91 NUM_PINS 2 SITEPROP TIEOFF_X6Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y91 PROHIBIT 0 SITEPROP TIEOFF_X6Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y91 RPM_X 32 SITEPROP TIEOFF_X6Y91 RPM_Y 182 SITEPROP TIEOFF_X6Y91 SITE_PIPS SITEPROP TIEOFF_X6Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y92 CLASS site SITEPROP TIEOFF_X6Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y92 IS_BONDED 0 SITEPROP TIEOFF_X6Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y92 IS_PAD 0 SITEPROP TIEOFF_X6Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y92 IS_RESERVED 0 SITEPROP TIEOFF_X6Y92 IS_TEST 0 SITEPROP TIEOFF_X6Y92 IS_USED 0 SITEPROP TIEOFF_X6Y92 MANUAL_ROUTING SITEPROP TIEOFF_X6Y92 NAME TIEOFF_X6Y92 SITEPROP TIEOFF_X6Y92 NUM_ARCS 0 SITEPROP TIEOFF_X6Y92 NUM_BELS 2 SITEPROP TIEOFF_X6Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y92 NUM_PINS 2 SITEPROP TIEOFF_X6Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y92 PROHIBIT 0 SITEPROP TIEOFF_X6Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y92 RPM_X 32 SITEPROP TIEOFF_X6Y92 RPM_Y 184 SITEPROP TIEOFF_X6Y92 SITE_PIPS SITEPROP TIEOFF_X6Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y93 CLASS site SITEPROP TIEOFF_X6Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y93 IS_BONDED 0 SITEPROP TIEOFF_X6Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y93 IS_PAD 0 SITEPROP TIEOFF_X6Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y93 IS_RESERVED 0 SITEPROP TIEOFF_X6Y93 IS_TEST 0 SITEPROP TIEOFF_X6Y93 IS_USED 0 SITEPROP TIEOFF_X6Y93 MANUAL_ROUTING SITEPROP TIEOFF_X6Y93 NAME TIEOFF_X6Y93 SITEPROP TIEOFF_X6Y93 NUM_ARCS 0 SITEPROP TIEOFF_X6Y93 NUM_BELS 2 SITEPROP TIEOFF_X6Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y93 NUM_PINS 2 SITEPROP TIEOFF_X6Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y93 PROHIBIT 0 SITEPROP TIEOFF_X6Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y93 RPM_X 32 SITEPROP TIEOFF_X6Y93 RPM_Y 186 SITEPROP TIEOFF_X6Y93 SITE_PIPS SITEPROP TIEOFF_X6Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y94 CLASS site SITEPROP TIEOFF_X6Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y94 IS_BONDED 0 SITEPROP TIEOFF_X6Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y94 IS_PAD 0 SITEPROP TIEOFF_X6Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y94 IS_RESERVED 0 SITEPROP TIEOFF_X6Y94 IS_TEST 0 SITEPROP TIEOFF_X6Y94 IS_USED 0 SITEPROP TIEOFF_X6Y94 MANUAL_ROUTING SITEPROP TIEOFF_X6Y94 NAME TIEOFF_X6Y94 SITEPROP TIEOFF_X6Y94 NUM_ARCS 0 SITEPROP TIEOFF_X6Y94 NUM_BELS 2 SITEPROP TIEOFF_X6Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y94 NUM_PINS 2 SITEPROP TIEOFF_X6Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y94 PROHIBIT 0 SITEPROP TIEOFF_X6Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y94 RPM_X 32 SITEPROP TIEOFF_X6Y94 RPM_Y 188 SITEPROP TIEOFF_X6Y94 SITE_PIPS SITEPROP TIEOFF_X6Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y95 CLASS site SITEPROP TIEOFF_X6Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y95 IS_BONDED 0 SITEPROP TIEOFF_X6Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y95 IS_PAD 0 SITEPROP TIEOFF_X6Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y95 IS_RESERVED 0 SITEPROP TIEOFF_X6Y95 IS_TEST 0 SITEPROP TIEOFF_X6Y95 IS_USED 0 SITEPROP TIEOFF_X6Y95 MANUAL_ROUTING SITEPROP TIEOFF_X6Y95 NAME TIEOFF_X6Y95 SITEPROP TIEOFF_X6Y95 NUM_ARCS 0 SITEPROP TIEOFF_X6Y95 NUM_BELS 2 SITEPROP TIEOFF_X6Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y95 NUM_PINS 2 SITEPROP TIEOFF_X6Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y95 PROHIBIT 0 SITEPROP TIEOFF_X6Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y95 RPM_X 32 SITEPROP TIEOFF_X6Y95 RPM_Y 190 SITEPROP TIEOFF_X6Y95 SITE_PIPS SITEPROP TIEOFF_X6Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y96 CLASS site SITEPROP TIEOFF_X6Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y96 IS_BONDED 0 SITEPROP TIEOFF_X6Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y96 IS_PAD 0 SITEPROP TIEOFF_X6Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y96 IS_RESERVED 0 SITEPROP TIEOFF_X6Y96 IS_TEST 0 SITEPROP TIEOFF_X6Y96 IS_USED 0 SITEPROP TIEOFF_X6Y96 MANUAL_ROUTING SITEPROP TIEOFF_X6Y96 NAME TIEOFF_X6Y96 SITEPROP TIEOFF_X6Y96 NUM_ARCS 0 SITEPROP TIEOFF_X6Y96 NUM_BELS 2 SITEPROP TIEOFF_X6Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y96 NUM_PINS 2 SITEPROP TIEOFF_X6Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y96 PROHIBIT 0 SITEPROP TIEOFF_X6Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y96 RPM_X 32 SITEPROP TIEOFF_X6Y96 RPM_Y 192 SITEPROP TIEOFF_X6Y96 SITE_PIPS SITEPROP TIEOFF_X6Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y97 CLASS site SITEPROP TIEOFF_X6Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y97 IS_BONDED 0 SITEPROP TIEOFF_X6Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y97 IS_PAD 0 SITEPROP TIEOFF_X6Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y97 IS_RESERVED 0 SITEPROP TIEOFF_X6Y97 IS_TEST 0 SITEPROP TIEOFF_X6Y97 IS_USED 0 SITEPROP TIEOFF_X6Y97 MANUAL_ROUTING SITEPROP TIEOFF_X6Y97 NAME TIEOFF_X6Y97 SITEPROP TIEOFF_X6Y97 NUM_ARCS 0 SITEPROP TIEOFF_X6Y97 NUM_BELS 2 SITEPROP TIEOFF_X6Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y97 NUM_PINS 2 SITEPROP TIEOFF_X6Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y97 PROHIBIT 0 SITEPROP TIEOFF_X6Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y97 RPM_X 32 SITEPROP TIEOFF_X6Y97 RPM_Y 194 SITEPROP TIEOFF_X6Y97 SITE_PIPS SITEPROP TIEOFF_X6Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y98 CLASS site SITEPROP TIEOFF_X6Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y98 IS_BONDED 0 SITEPROP TIEOFF_X6Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y98 IS_PAD 0 SITEPROP TIEOFF_X6Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y98 IS_RESERVED 0 SITEPROP TIEOFF_X6Y98 IS_TEST 0 SITEPROP TIEOFF_X6Y98 IS_USED 0 SITEPROP TIEOFF_X6Y98 MANUAL_ROUTING SITEPROP TIEOFF_X6Y98 NAME TIEOFF_X6Y98 SITEPROP TIEOFF_X6Y98 NUM_ARCS 0 SITEPROP TIEOFF_X6Y98 NUM_BELS 2 SITEPROP TIEOFF_X6Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y98 NUM_PINS 2 SITEPROP TIEOFF_X6Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y98 PROHIBIT 0 SITEPROP TIEOFF_X6Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y98 RPM_X 32 SITEPROP TIEOFF_X6Y98 RPM_Y 196 SITEPROP TIEOFF_X6Y98 SITE_PIPS SITEPROP TIEOFF_X6Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y99 CLASS site SITEPROP TIEOFF_X6Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X6Y99 IS_BONDED 0 SITEPROP TIEOFF_X6Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y99 IS_PAD 0 SITEPROP TIEOFF_X6Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y99 IS_RESERVED 0 SITEPROP TIEOFF_X6Y99 IS_TEST 0 SITEPROP TIEOFF_X6Y99 IS_USED 0 SITEPROP TIEOFF_X6Y99 MANUAL_ROUTING SITEPROP TIEOFF_X6Y99 NAME TIEOFF_X6Y99 SITEPROP TIEOFF_X6Y99 NUM_ARCS 0 SITEPROP TIEOFF_X6Y99 NUM_BELS 2 SITEPROP TIEOFF_X6Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y99 NUM_PINS 2 SITEPROP TIEOFF_X6Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y99 PROHIBIT 0 SITEPROP TIEOFF_X6Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y99 RPM_X 32 SITEPROP TIEOFF_X6Y99 RPM_Y 198 SITEPROP TIEOFF_X6Y99 SITE_PIPS SITEPROP TIEOFF_X6Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y100 CLASS site SITEPROP TIEOFF_X6Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y100 IS_BONDED 0 SITEPROP TIEOFF_X6Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y100 IS_PAD 0 SITEPROP TIEOFF_X6Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y100 IS_RESERVED 0 SITEPROP TIEOFF_X6Y100 IS_TEST 0 SITEPROP TIEOFF_X6Y100 IS_USED 0 SITEPROP TIEOFF_X6Y100 MANUAL_ROUTING SITEPROP TIEOFF_X6Y100 NAME TIEOFF_X6Y100 SITEPROP TIEOFF_X6Y100 NUM_ARCS 0 SITEPROP TIEOFF_X6Y100 NUM_BELS 2 SITEPROP TIEOFF_X6Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y100 NUM_PINS 2 SITEPROP TIEOFF_X6Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y100 PROHIBIT 0 SITEPROP TIEOFF_X6Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y100 RPM_X 32 SITEPROP TIEOFF_X6Y100 RPM_Y 200 SITEPROP TIEOFF_X6Y100 SITE_PIPS SITEPROP TIEOFF_X6Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y101 CLASS site SITEPROP TIEOFF_X6Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y101 IS_BONDED 0 SITEPROP TIEOFF_X6Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y101 IS_PAD 0 SITEPROP TIEOFF_X6Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y101 IS_RESERVED 0 SITEPROP TIEOFF_X6Y101 IS_TEST 0 SITEPROP TIEOFF_X6Y101 IS_USED 0 SITEPROP TIEOFF_X6Y101 MANUAL_ROUTING SITEPROP TIEOFF_X6Y101 NAME TIEOFF_X6Y101 SITEPROP TIEOFF_X6Y101 NUM_ARCS 0 SITEPROP TIEOFF_X6Y101 NUM_BELS 2 SITEPROP TIEOFF_X6Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y101 NUM_PINS 2 SITEPROP TIEOFF_X6Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y101 PROHIBIT 0 SITEPROP TIEOFF_X6Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y101 RPM_X 32 SITEPROP TIEOFF_X6Y101 RPM_Y 202 SITEPROP TIEOFF_X6Y101 SITE_PIPS SITEPROP TIEOFF_X6Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y102 CLASS site SITEPROP TIEOFF_X6Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y102 IS_BONDED 0 SITEPROP TIEOFF_X6Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y102 IS_PAD 0 SITEPROP TIEOFF_X6Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y102 IS_RESERVED 0 SITEPROP TIEOFF_X6Y102 IS_TEST 0 SITEPROP TIEOFF_X6Y102 IS_USED 0 SITEPROP TIEOFF_X6Y102 MANUAL_ROUTING SITEPROP TIEOFF_X6Y102 NAME TIEOFF_X6Y102 SITEPROP TIEOFF_X6Y102 NUM_ARCS 0 SITEPROP TIEOFF_X6Y102 NUM_BELS 2 SITEPROP TIEOFF_X6Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y102 NUM_PINS 2 SITEPROP TIEOFF_X6Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y102 PROHIBIT 0 SITEPROP TIEOFF_X6Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y102 RPM_X 32 SITEPROP TIEOFF_X6Y102 RPM_Y 204 SITEPROP TIEOFF_X6Y102 SITE_PIPS SITEPROP TIEOFF_X6Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y103 CLASS site SITEPROP TIEOFF_X6Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y103 IS_BONDED 0 SITEPROP TIEOFF_X6Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y103 IS_PAD 0 SITEPROP TIEOFF_X6Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y103 IS_RESERVED 0 SITEPROP TIEOFF_X6Y103 IS_TEST 0 SITEPROP TIEOFF_X6Y103 IS_USED 0 SITEPROP TIEOFF_X6Y103 MANUAL_ROUTING SITEPROP TIEOFF_X6Y103 NAME TIEOFF_X6Y103 SITEPROP TIEOFF_X6Y103 NUM_ARCS 0 SITEPROP TIEOFF_X6Y103 NUM_BELS 2 SITEPROP TIEOFF_X6Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y103 NUM_PINS 2 SITEPROP TIEOFF_X6Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y103 PROHIBIT 0 SITEPROP TIEOFF_X6Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y103 RPM_X 32 SITEPROP TIEOFF_X6Y103 RPM_Y 206 SITEPROP TIEOFF_X6Y103 SITE_PIPS SITEPROP TIEOFF_X6Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y104 CLASS site SITEPROP TIEOFF_X6Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y104 IS_BONDED 0 SITEPROP TIEOFF_X6Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y104 IS_PAD 0 SITEPROP TIEOFF_X6Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y104 IS_RESERVED 0 SITEPROP TIEOFF_X6Y104 IS_TEST 0 SITEPROP TIEOFF_X6Y104 IS_USED 0 SITEPROP TIEOFF_X6Y104 MANUAL_ROUTING SITEPROP TIEOFF_X6Y104 NAME TIEOFF_X6Y104 SITEPROP TIEOFF_X6Y104 NUM_ARCS 0 SITEPROP TIEOFF_X6Y104 NUM_BELS 2 SITEPROP TIEOFF_X6Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y104 NUM_PINS 2 SITEPROP TIEOFF_X6Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y104 PROHIBIT 0 SITEPROP TIEOFF_X6Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y104 RPM_X 32 SITEPROP TIEOFF_X6Y104 RPM_Y 208 SITEPROP TIEOFF_X6Y104 SITE_PIPS SITEPROP TIEOFF_X6Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y105 CLASS site SITEPROP TIEOFF_X6Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y105 IS_BONDED 0 SITEPROP TIEOFF_X6Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y105 IS_PAD 0 SITEPROP TIEOFF_X6Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y105 IS_RESERVED 0 SITEPROP TIEOFF_X6Y105 IS_TEST 0 SITEPROP TIEOFF_X6Y105 IS_USED 0 SITEPROP TIEOFF_X6Y105 MANUAL_ROUTING SITEPROP TIEOFF_X6Y105 NAME TIEOFF_X6Y105 SITEPROP TIEOFF_X6Y105 NUM_ARCS 0 SITEPROP TIEOFF_X6Y105 NUM_BELS 2 SITEPROP TIEOFF_X6Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y105 NUM_PINS 2 SITEPROP TIEOFF_X6Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y105 PROHIBIT 0 SITEPROP TIEOFF_X6Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y105 RPM_X 32 SITEPROP TIEOFF_X6Y105 RPM_Y 210 SITEPROP TIEOFF_X6Y105 SITE_PIPS SITEPROP TIEOFF_X6Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y106 CLASS site SITEPROP TIEOFF_X6Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y106 IS_BONDED 0 SITEPROP TIEOFF_X6Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y106 IS_PAD 0 SITEPROP TIEOFF_X6Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y106 IS_RESERVED 0 SITEPROP TIEOFF_X6Y106 IS_TEST 0 SITEPROP TIEOFF_X6Y106 IS_USED 0 SITEPROP TIEOFF_X6Y106 MANUAL_ROUTING SITEPROP TIEOFF_X6Y106 NAME TIEOFF_X6Y106 SITEPROP TIEOFF_X6Y106 NUM_ARCS 0 SITEPROP TIEOFF_X6Y106 NUM_BELS 2 SITEPROP TIEOFF_X6Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y106 NUM_PINS 2 SITEPROP TIEOFF_X6Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y106 PROHIBIT 0 SITEPROP TIEOFF_X6Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y106 RPM_X 32 SITEPROP TIEOFF_X6Y106 RPM_Y 212 SITEPROP TIEOFF_X6Y106 SITE_PIPS SITEPROP TIEOFF_X6Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y107 CLASS site SITEPROP TIEOFF_X6Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y107 IS_BONDED 0 SITEPROP TIEOFF_X6Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y107 IS_PAD 0 SITEPROP TIEOFF_X6Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y107 IS_RESERVED 0 SITEPROP TIEOFF_X6Y107 IS_TEST 0 SITEPROP TIEOFF_X6Y107 IS_USED 0 SITEPROP TIEOFF_X6Y107 MANUAL_ROUTING SITEPROP TIEOFF_X6Y107 NAME TIEOFF_X6Y107 SITEPROP TIEOFF_X6Y107 NUM_ARCS 0 SITEPROP TIEOFF_X6Y107 NUM_BELS 2 SITEPROP TIEOFF_X6Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y107 NUM_PINS 2 SITEPROP TIEOFF_X6Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y107 PROHIBIT 0 SITEPROP TIEOFF_X6Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y107 RPM_X 32 SITEPROP TIEOFF_X6Y107 RPM_Y 214 SITEPROP TIEOFF_X6Y107 SITE_PIPS SITEPROP TIEOFF_X6Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y108 CLASS site SITEPROP TIEOFF_X6Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y108 IS_BONDED 0 SITEPROP TIEOFF_X6Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y108 IS_PAD 0 SITEPROP TIEOFF_X6Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y108 IS_RESERVED 0 SITEPROP TIEOFF_X6Y108 IS_TEST 0 SITEPROP TIEOFF_X6Y108 IS_USED 0 SITEPROP TIEOFF_X6Y108 MANUAL_ROUTING SITEPROP TIEOFF_X6Y108 NAME TIEOFF_X6Y108 SITEPROP TIEOFF_X6Y108 NUM_ARCS 0 SITEPROP TIEOFF_X6Y108 NUM_BELS 2 SITEPROP TIEOFF_X6Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y108 NUM_PINS 2 SITEPROP TIEOFF_X6Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y108 PROHIBIT 0 SITEPROP TIEOFF_X6Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y108 RPM_X 32 SITEPROP TIEOFF_X6Y108 RPM_Y 216 SITEPROP TIEOFF_X6Y108 SITE_PIPS SITEPROP TIEOFF_X6Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y109 CLASS site SITEPROP TIEOFF_X6Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y109 IS_BONDED 0 SITEPROP TIEOFF_X6Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y109 IS_PAD 0 SITEPROP TIEOFF_X6Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y109 IS_RESERVED 0 SITEPROP TIEOFF_X6Y109 IS_TEST 0 SITEPROP TIEOFF_X6Y109 IS_USED 0 SITEPROP TIEOFF_X6Y109 MANUAL_ROUTING SITEPROP TIEOFF_X6Y109 NAME TIEOFF_X6Y109 SITEPROP TIEOFF_X6Y109 NUM_ARCS 0 SITEPROP TIEOFF_X6Y109 NUM_BELS 2 SITEPROP TIEOFF_X6Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y109 NUM_PINS 2 SITEPROP TIEOFF_X6Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y109 PROHIBIT 0 SITEPROP TIEOFF_X6Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y109 RPM_X 32 SITEPROP TIEOFF_X6Y109 RPM_Y 218 SITEPROP TIEOFF_X6Y109 SITE_PIPS SITEPROP TIEOFF_X6Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y110 CLASS site SITEPROP TIEOFF_X6Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y110 IS_BONDED 0 SITEPROP TIEOFF_X6Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y110 IS_PAD 0 SITEPROP TIEOFF_X6Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y110 IS_RESERVED 0 SITEPROP TIEOFF_X6Y110 IS_TEST 0 SITEPROP TIEOFF_X6Y110 IS_USED 0 SITEPROP TIEOFF_X6Y110 MANUAL_ROUTING SITEPROP TIEOFF_X6Y110 NAME TIEOFF_X6Y110 SITEPROP TIEOFF_X6Y110 NUM_ARCS 0 SITEPROP TIEOFF_X6Y110 NUM_BELS 2 SITEPROP TIEOFF_X6Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y110 NUM_PINS 2 SITEPROP TIEOFF_X6Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y110 PROHIBIT 0 SITEPROP TIEOFF_X6Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y110 RPM_X 32 SITEPROP TIEOFF_X6Y110 RPM_Y 220 SITEPROP TIEOFF_X6Y110 SITE_PIPS SITEPROP TIEOFF_X6Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y111 CLASS site SITEPROP TIEOFF_X6Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y111 IS_BONDED 0 SITEPROP TIEOFF_X6Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y111 IS_PAD 0 SITEPROP TIEOFF_X6Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y111 IS_RESERVED 0 SITEPROP TIEOFF_X6Y111 IS_TEST 0 SITEPROP TIEOFF_X6Y111 IS_USED 0 SITEPROP TIEOFF_X6Y111 MANUAL_ROUTING SITEPROP TIEOFF_X6Y111 NAME TIEOFF_X6Y111 SITEPROP TIEOFF_X6Y111 NUM_ARCS 0 SITEPROP TIEOFF_X6Y111 NUM_BELS 2 SITEPROP TIEOFF_X6Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y111 NUM_PINS 2 SITEPROP TIEOFF_X6Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y111 PROHIBIT 0 SITEPROP TIEOFF_X6Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y111 RPM_X 32 SITEPROP TIEOFF_X6Y111 RPM_Y 222 SITEPROP TIEOFF_X6Y111 SITE_PIPS SITEPROP TIEOFF_X6Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y112 CLASS site SITEPROP TIEOFF_X6Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y112 IS_BONDED 0 SITEPROP TIEOFF_X6Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y112 IS_PAD 0 SITEPROP TIEOFF_X6Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y112 IS_RESERVED 0 SITEPROP TIEOFF_X6Y112 IS_TEST 0 SITEPROP TIEOFF_X6Y112 IS_USED 0 SITEPROP TIEOFF_X6Y112 MANUAL_ROUTING SITEPROP TIEOFF_X6Y112 NAME TIEOFF_X6Y112 SITEPROP TIEOFF_X6Y112 NUM_ARCS 0 SITEPROP TIEOFF_X6Y112 NUM_BELS 2 SITEPROP TIEOFF_X6Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y112 NUM_PINS 2 SITEPROP TIEOFF_X6Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y112 PROHIBIT 0 SITEPROP TIEOFF_X6Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y112 RPM_X 32 SITEPROP TIEOFF_X6Y112 RPM_Y 224 SITEPROP TIEOFF_X6Y112 SITE_PIPS SITEPROP TIEOFF_X6Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y113 CLASS site SITEPROP TIEOFF_X6Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y113 IS_BONDED 0 SITEPROP TIEOFF_X6Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y113 IS_PAD 0 SITEPROP TIEOFF_X6Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y113 IS_RESERVED 0 SITEPROP TIEOFF_X6Y113 IS_TEST 0 SITEPROP TIEOFF_X6Y113 IS_USED 0 SITEPROP TIEOFF_X6Y113 MANUAL_ROUTING SITEPROP TIEOFF_X6Y113 NAME TIEOFF_X6Y113 SITEPROP TIEOFF_X6Y113 NUM_ARCS 0 SITEPROP TIEOFF_X6Y113 NUM_BELS 2 SITEPROP TIEOFF_X6Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y113 NUM_PINS 2 SITEPROP TIEOFF_X6Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y113 PROHIBIT 0 SITEPROP TIEOFF_X6Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y113 RPM_X 32 SITEPROP TIEOFF_X6Y113 RPM_Y 226 SITEPROP TIEOFF_X6Y113 SITE_PIPS SITEPROP TIEOFF_X6Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y114 CLASS site SITEPROP TIEOFF_X6Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y114 IS_BONDED 0 SITEPROP TIEOFF_X6Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y114 IS_PAD 0 SITEPROP TIEOFF_X6Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y114 IS_RESERVED 0 SITEPROP TIEOFF_X6Y114 IS_TEST 0 SITEPROP TIEOFF_X6Y114 IS_USED 0 SITEPROP TIEOFF_X6Y114 MANUAL_ROUTING SITEPROP TIEOFF_X6Y114 NAME TIEOFF_X6Y114 SITEPROP TIEOFF_X6Y114 NUM_ARCS 0 SITEPROP TIEOFF_X6Y114 NUM_BELS 2 SITEPROP TIEOFF_X6Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y114 NUM_PINS 2 SITEPROP TIEOFF_X6Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y114 PROHIBIT 0 SITEPROP TIEOFF_X6Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y114 RPM_X 32 SITEPROP TIEOFF_X6Y114 RPM_Y 228 SITEPROP TIEOFF_X6Y114 SITE_PIPS SITEPROP TIEOFF_X6Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y115 CLASS site SITEPROP TIEOFF_X6Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y115 IS_BONDED 0 SITEPROP TIEOFF_X6Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y115 IS_PAD 0 SITEPROP TIEOFF_X6Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y115 IS_RESERVED 0 SITEPROP TIEOFF_X6Y115 IS_TEST 0 SITEPROP TIEOFF_X6Y115 IS_USED 0 SITEPROP TIEOFF_X6Y115 MANUAL_ROUTING SITEPROP TIEOFF_X6Y115 NAME TIEOFF_X6Y115 SITEPROP TIEOFF_X6Y115 NUM_ARCS 0 SITEPROP TIEOFF_X6Y115 NUM_BELS 2 SITEPROP TIEOFF_X6Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y115 NUM_PINS 2 SITEPROP TIEOFF_X6Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y115 PROHIBIT 0 SITEPROP TIEOFF_X6Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y115 RPM_X 32 SITEPROP TIEOFF_X6Y115 RPM_Y 230 SITEPROP TIEOFF_X6Y115 SITE_PIPS SITEPROP TIEOFF_X6Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y116 CLASS site SITEPROP TIEOFF_X6Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y116 IS_BONDED 0 SITEPROP TIEOFF_X6Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y116 IS_PAD 0 SITEPROP TIEOFF_X6Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y116 IS_RESERVED 0 SITEPROP TIEOFF_X6Y116 IS_TEST 0 SITEPROP TIEOFF_X6Y116 IS_USED 0 SITEPROP TIEOFF_X6Y116 MANUAL_ROUTING SITEPROP TIEOFF_X6Y116 NAME TIEOFF_X6Y116 SITEPROP TIEOFF_X6Y116 NUM_ARCS 0 SITEPROP TIEOFF_X6Y116 NUM_BELS 2 SITEPROP TIEOFF_X6Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y116 NUM_PINS 2 SITEPROP TIEOFF_X6Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y116 PROHIBIT 0 SITEPROP TIEOFF_X6Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y116 RPM_X 32 SITEPROP TIEOFF_X6Y116 RPM_Y 232 SITEPROP TIEOFF_X6Y116 SITE_PIPS SITEPROP TIEOFF_X6Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y117 CLASS site SITEPROP TIEOFF_X6Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y117 IS_BONDED 0 SITEPROP TIEOFF_X6Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y117 IS_PAD 0 SITEPROP TIEOFF_X6Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y117 IS_RESERVED 0 SITEPROP TIEOFF_X6Y117 IS_TEST 0 SITEPROP TIEOFF_X6Y117 IS_USED 0 SITEPROP TIEOFF_X6Y117 MANUAL_ROUTING SITEPROP TIEOFF_X6Y117 NAME TIEOFF_X6Y117 SITEPROP TIEOFF_X6Y117 NUM_ARCS 0 SITEPROP TIEOFF_X6Y117 NUM_BELS 2 SITEPROP TIEOFF_X6Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y117 NUM_PINS 2 SITEPROP TIEOFF_X6Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y117 PROHIBIT 0 SITEPROP TIEOFF_X6Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y117 RPM_X 32 SITEPROP TIEOFF_X6Y117 RPM_Y 234 SITEPROP TIEOFF_X6Y117 SITE_PIPS SITEPROP TIEOFF_X6Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y118 CLASS site SITEPROP TIEOFF_X6Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y118 IS_BONDED 0 SITEPROP TIEOFF_X6Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y118 IS_PAD 0 SITEPROP TIEOFF_X6Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y118 IS_RESERVED 0 SITEPROP TIEOFF_X6Y118 IS_TEST 0 SITEPROP TIEOFF_X6Y118 IS_USED 0 SITEPROP TIEOFF_X6Y118 MANUAL_ROUTING SITEPROP TIEOFF_X6Y118 NAME TIEOFF_X6Y118 SITEPROP TIEOFF_X6Y118 NUM_ARCS 0 SITEPROP TIEOFF_X6Y118 NUM_BELS 2 SITEPROP TIEOFF_X6Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y118 NUM_PINS 2 SITEPROP TIEOFF_X6Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y118 PROHIBIT 0 SITEPROP TIEOFF_X6Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y118 RPM_X 32 SITEPROP TIEOFF_X6Y118 RPM_Y 236 SITEPROP TIEOFF_X6Y118 SITE_PIPS SITEPROP TIEOFF_X6Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y119 CLASS site SITEPROP TIEOFF_X6Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y119 IS_BONDED 0 SITEPROP TIEOFF_X6Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y119 IS_PAD 0 SITEPROP TIEOFF_X6Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y119 IS_RESERVED 0 SITEPROP TIEOFF_X6Y119 IS_TEST 0 SITEPROP TIEOFF_X6Y119 IS_USED 0 SITEPROP TIEOFF_X6Y119 MANUAL_ROUTING SITEPROP TIEOFF_X6Y119 NAME TIEOFF_X6Y119 SITEPROP TIEOFF_X6Y119 NUM_ARCS 0 SITEPROP TIEOFF_X6Y119 NUM_BELS 2 SITEPROP TIEOFF_X6Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y119 NUM_PINS 2 SITEPROP TIEOFF_X6Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y119 PROHIBIT 0 SITEPROP TIEOFF_X6Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y119 RPM_X 32 SITEPROP TIEOFF_X6Y119 RPM_Y 238 SITEPROP TIEOFF_X6Y119 SITE_PIPS SITEPROP TIEOFF_X6Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y120 CLASS site SITEPROP TIEOFF_X6Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y120 IS_BONDED 0 SITEPROP TIEOFF_X6Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y120 IS_PAD 0 SITEPROP TIEOFF_X6Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y120 IS_RESERVED 0 SITEPROP TIEOFF_X6Y120 IS_TEST 0 SITEPROP TIEOFF_X6Y120 IS_USED 0 SITEPROP TIEOFF_X6Y120 MANUAL_ROUTING SITEPROP TIEOFF_X6Y120 NAME TIEOFF_X6Y120 SITEPROP TIEOFF_X6Y120 NUM_ARCS 0 SITEPROP TIEOFF_X6Y120 NUM_BELS 2 SITEPROP TIEOFF_X6Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y120 NUM_PINS 2 SITEPROP TIEOFF_X6Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y120 PROHIBIT 0 SITEPROP TIEOFF_X6Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y120 RPM_X 32 SITEPROP TIEOFF_X6Y120 RPM_Y 240 SITEPROP TIEOFF_X6Y120 SITE_PIPS SITEPROP TIEOFF_X6Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y121 CLASS site SITEPROP TIEOFF_X6Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y121 IS_BONDED 0 SITEPROP TIEOFF_X6Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y121 IS_PAD 0 SITEPROP TIEOFF_X6Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y121 IS_RESERVED 0 SITEPROP TIEOFF_X6Y121 IS_TEST 0 SITEPROP TIEOFF_X6Y121 IS_USED 0 SITEPROP TIEOFF_X6Y121 MANUAL_ROUTING SITEPROP TIEOFF_X6Y121 NAME TIEOFF_X6Y121 SITEPROP TIEOFF_X6Y121 NUM_ARCS 0 SITEPROP TIEOFF_X6Y121 NUM_BELS 2 SITEPROP TIEOFF_X6Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y121 NUM_PINS 2 SITEPROP TIEOFF_X6Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y121 PROHIBIT 0 SITEPROP TIEOFF_X6Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y121 RPM_X 32 SITEPROP TIEOFF_X6Y121 RPM_Y 242 SITEPROP TIEOFF_X6Y121 SITE_PIPS SITEPROP TIEOFF_X6Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y122 CLASS site SITEPROP TIEOFF_X6Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y122 IS_BONDED 0 SITEPROP TIEOFF_X6Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y122 IS_PAD 0 SITEPROP TIEOFF_X6Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y122 IS_RESERVED 0 SITEPROP TIEOFF_X6Y122 IS_TEST 0 SITEPROP TIEOFF_X6Y122 IS_USED 0 SITEPROP TIEOFF_X6Y122 MANUAL_ROUTING SITEPROP TIEOFF_X6Y122 NAME TIEOFF_X6Y122 SITEPROP TIEOFF_X6Y122 NUM_ARCS 0 SITEPROP TIEOFF_X6Y122 NUM_BELS 2 SITEPROP TIEOFF_X6Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y122 NUM_PINS 2 SITEPROP TIEOFF_X6Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y122 PROHIBIT 0 SITEPROP TIEOFF_X6Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y122 RPM_X 32 SITEPROP TIEOFF_X6Y122 RPM_Y 244 SITEPROP TIEOFF_X6Y122 SITE_PIPS SITEPROP TIEOFF_X6Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y123 CLASS site SITEPROP TIEOFF_X6Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y123 IS_BONDED 0 SITEPROP TIEOFF_X6Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y123 IS_PAD 0 SITEPROP TIEOFF_X6Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y123 IS_RESERVED 0 SITEPROP TIEOFF_X6Y123 IS_TEST 0 SITEPROP TIEOFF_X6Y123 IS_USED 0 SITEPROP TIEOFF_X6Y123 MANUAL_ROUTING SITEPROP TIEOFF_X6Y123 NAME TIEOFF_X6Y123 SITEPROP TIEOFF_X6Y123 NUM_ARCS 0 SITEPROP TIEOFF_X6Y123 NUM_BELS 2 SITEPROP TIEOFF_X6Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y123 NUM_PINS 2 SITEPROP TIEOFF_X6Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y123 PROHIBIT 0 SITEPROP TIEOFF_X6Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y123 RPM_X 32 SITEPROP TIEOFF_X6Y123 RPM_Y 246 SITEPROP TIEOFF_X6Y123 SITE_PIPS SITEPROP TIEOFF_X6Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y124 CLASS site SITEPROP TIEOFF_X6Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y124 IS_BONDED 0 SITEPROP TIEOFF_X6Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y124 IS_PAD 0 SITEPROP TIEOFF_X6Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y124 IS_RESERVED 0 SITEPROP TIEOFF_X6Y124 IS_TEST 0 SITEPROP TIEOFF_X6Y124 IS_USED 0 SITEPROP TIEOFF_X6Y124 MANUAL_ROUTING SITEPROP TIEOFF_X6Y124 NAME TIEOFF_X6Y124 SITEPROP TIEOFF_X6Y124 NUM_ARCS 0 SITEPROP TIEOFF_X6Y124 NUM_BELS 2 SITEPROP TIEOFF_X6Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y124 NUM_PINS 2 SITEPROP TIEOFF_X6Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y124 PROHIBIT 0 SITEPROP TIEOFF_X6Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y124 RPM_X 32 SITEPROP TIEOFF_X6Y124 RPM_Y 248 SITEPROP TIEOFF_X6Y124 SITE_PIPS SITEPROP TIEOFF_X6Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y125 CLASS site SITEPROP TIEOFF_X6Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y125 IS_BONDED 0 SITEPROP TIEOFF_X6Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y125 IS_PAD 0 SITEPROP TIEOFF_X6Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y125 IS_RESERVED 0 SITEPROP TIEOFF_X6Y125 IS_TEST 0 SITEPROP TIEOFF_X6Y125 IS_USED 0 SITEPROP TIEOFF_X6Y125 MANUAL_ROUTING SITEPROP TIEOFF_X6Y125 NAME TIEOFF_X6Y125 SITEPROP TIEOFF_X6Y125 NUM_ARCS 0 SITEPROP TIEOFF_X6Y125 NUM_BELS 2 SITEPROP TIEOFF_X6Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y125 NUM_PINS 2 SITEPROP TIEOFF_X6Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y125 PROHIBIT 0 SITEPROP TIEOFF_X6Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y125 RPM_X 32 SITEPROP TIEOFF_X6Y125 RPM_Y 250 SITEPROP TIEOFF_X6Y125 SITE_PIPS SITEPROP TIEOFF_X6Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y126 CLASS site SITEPROP TIEOFF_X6Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y126 IS_BONDED 0 SITEPROP TIEOFF_X6Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y126 IS_PAD 0 SITEPROP TIEOFF_X6Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y126 IS_RESERVED 0 SITEPROP TIEOFF_X6Y126 IS_TEST 0 SITEPROP TIEOFF_X6Y126 IS_USED 0 SITEPROP TIEOFF_X6Y126 MANUAL_ROUTING SITEPROP TIEOFF_X6Y126 NAME TIEOFF_X6Y126 SITEPROP TIEOFF_X6Y126 NUM_ARCS 0 SITEPROP TIEOFF_X6Y126 NUM_BELS 2 SITEPROP TIEOFF_X6Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y126 NUM_PINS 2 SITEPROP TIEOFF_X6Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y126 PROHIBIT 0 SITEPROP TIEOFF_X6Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y126 RPM_X 32 SITEPROP TIEOFF_X6Y126 RPM_Y 252 SITEPROP TIEOFF_X6Y126 SITE_PIPS SITEPROP TIEOFF_X6Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y127 CLASS site SITEPROP TIEOFF_X6Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y127 IS_BONDED 0 SITEPROP TIEOFF_X6Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y127 IS_PAD 0 SITEPROP TIEOFF_X6Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y127 IS_RESERVED 0 SITEPROP TIEOFF_X6Y127 IS_TEST 0 SITEPROP TIEOFF_X6Y127 IS_USED 0 SITEPROP TIEOFF_X6Y127 MANUAL_ROUTING SITEPROP TIEOFF_X6Y127 NAME TIEOFF_X6Y127 SITEPROP TIEOFF_X6Y127 NUM_ARCS 0 SITEPROP TIEOFF_X6Y127 NUM_BELS 2 SITEPROP TIEOFF_X6Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y127 NUM_PINS 2 SITEPROP TIEOFF_X6Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y127 PROHIBIT 0 SITEPROP TIEOFF_X6Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y127 RPM_X 32 SITEPROP TIEOFF_X6Y127 RPM_Y 254 SITEPROP TIEOFF_X6Y127 SITE_PIPS SITEPROP TIEOFF_X6Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y128 CLASS site SITEPROP TIEOFF_X6Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y128 IS_BONDED 0 SITEPROP TIEOFF_X6Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y128 IS_PAD 0 SITEPROP TIEOFF_X6Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y128 IS_RESERVED 0 SITEPROP TIEOFF_X6Y128 IS_TEST 0 SITEPROP TIEOFF_X6Y128 IS_USED 0 SITEPROP TIEOFF_X6Y128 MANUAL_ROUTING SITEPROP TIEOFF_X6Y128 NAME TIEOFF_X6Y128 SITEPROP TIEOFF_X6Y128 NUM_ARCS 0 SITEPROP TIEOFF_X6Y128 NUM_BELS 2 SITEPROP TIEOFF_X6Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y128 NUM_PINS 2 SITEPROP TIEOFF_X6Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y128 PROHIBIT 0 SITEPROP TIEOFF_X6Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y128 RPM_X 32 SITEPROP TIEOFF_X6Y128 RPM_Y 256 SITEPROP TIEOFF_X6Y128 SITE_PIPS SITEPROP TIEOFF_X6Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y129 CLASS site SITEPROP TIEOFF_X6Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y129 IS_BONDED 0 SITEPROP TIEOFF_X6Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y129 IS_PAD 0 SITEPROP TIEOFF_X6Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y129 IS_RESERVED 0 SITEPROP TIEOFF_X6Y129 IS_TEST 0 SITEPROP TIEOFF_X6Y129 IS_USED 0 SITEPROP TIEOFF_X6Y129 MANUAL_ROUTING SITEPROP TIEOFF_X6Y129 NAME TIEOFF_X6Y129 SITEPROP TIEOFF_X6Y129 NUM_ARCS 0 SITEPROP TIEOFF_X6Y129 NUM_BELS 2 SITEPROP TIEOFF_X6Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y129 NUM_PINS 2 SITEPROP TIEOFF_X6Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y129 PROHIBIT 0 SITEPROP TIEOFF_X6Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y129 RPM_X 32 SITEPROP TIEOFF_X6Y129 RPM_Y 258 SITEPROP TIEOFF_X6Y129 SITE_PIPS SITEPROP TIEOFF_X6Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y130 CLASS site SITEPROP TIEOFF_X6Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y130 IS_BONDED 0 SITEPROP TIEOFF_X6Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y130 IS_PAD 0 SITEPROP TIEOFF_X6Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y130 IS_RESERVED 0 SITEPROP TIEOFF_X6Y130 IS_TEST 0 SITEPROP TIEOFF_X6Y130 IS_USED 0 SITEPROP TIEOFF_X6Y130 MANUAL_ROUTING SITEPROP TIEOFF_X6Y130 NAME TIEOFF_X6Y130 SITEPROP TIEOFF_X6Y130 NUM_ARCS 0 SITEPROP TIEOFF_X6Y130 NUM_BELS 2 SITEPROP TIEOFF_X6Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y130 NUM_PINS 2 SITEPROP TIEOFF_X6Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y130 PROHIBIT 0 SITEPROP TIEOFF_X6Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y130 RPM_X 32 SITEPROP TIEOFF_X6Y130 RPM_Y 260 SITEPROP TIEOFF_X6Y130 SITE_PIPS SITEPROP TIEOFF_X6Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y131 CLASS site SITEPROP TIEOFF_X6Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y131 IS_BONDED 0 SITEPROP TIEOFF_X6Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y131 IS_PAD 0 SITEPROP TIEOFF_X6Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y131 IS_RESERVED 0 SITEPROP TIEOFF_X6Y131 IS_TEST 0 SITEPROP TIEOFF_X6Y131 IS_USED 0 SITEPROP TIEOFF_X6Y131 MANUAL_ROUTING SITEPROP TIEOFF_X6Y131 NAME TIEOFF_X6Y131 SITEPROP TIEOFF_X6Y131 NUM_ARCS 0 SITEPROP TIEOFF_X6Y131 NUM_BELS 2 SITEPROP TIEOFF_X6Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y131 NUM_PINS 2 SITEPROP TIEOFF_X6Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y131 PROHIBIT 0 SITEPROP TIEOFF_X6Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y131 RPM_X 32 SITEPROP TIEOFF_X6Y131 RPM_Y 262 SITEPROP TIEOFF_X6Y131 SITE_PIPS SITEPROP TIEOFF_X6Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y132 CLASS site SITEPROP TIEOFF_X6Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y132 IS_BONDED 0 SITEPROP TIEOFF_X6Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y132 IS_PAD 0 SITEPROP TIEOFF_X6Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y132 IS_RESERVED 0 SITEPROP TIEOFF_X6Y132 IS_TEST 0 SITEPROP TIEOFF_X6Y132 IS_USED 0 SITEPROP TIEOFF_X6Y132 MANUAL_ROUTING SITEPROP TIEOFF_X6Y132 NAME TIEOFF_X6Y132 SITEPROP TIEOFF_X6Y132 NUM_ARCS 0 SITEPROP TIEOFF_X6Y132 NUM_BELS 2 SITEPROP TIEOFF_X6Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y132 NUM_PINS 2 SITEPROP TIEOFF_X6Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y132 PROHIBIT 0 SITEPROP TIEOFF_X6Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y132 RPM_X 32 SITEPROP TIEOFF_X6Y132 RPM_Y 264 SITEPROP TIEOFF_X6Y132 SITE_PIPS SITEPROP TIEOFF_X6Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y133 CLASS site SITEPROP TIEOFF_X6Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y133 IS_BONDED 0 SITEPROP TIEOFF_X6Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y133 IS_PAD 0 SITEPROP TIEOFF_X6Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y133 IS_RESERVED 0 SITEPROP TIEOFF_X6Y133 IS_TEST 0 SITEPROP TIEOFF_X6Y133 IS_USED 0 SITEPROP TIEOFF_X6Y133 MANUAL_ROUTING SITEPROP TIEOFF_X6Y133 NAME TIEOFF_X6Y133 SITEPROP TIEOFF_X6Y133 NUM_ARCS 0 SITEPROP TIEOFF_X6Y133 NUM_BELS 2 SITEPROP TIEOFF_X6Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y133 NUM_PINS 2 SITEPROP TIEOFF_X6Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y133 PROHIBIT 0 SITEPROP TIEOFF_X6Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y133 RPM_X 32 SITEPROP TIEOFF_X6Y133 RPM_Y 266 SITEPROP TIEOFF_X6Y133 SITE_PIPS SITEPROP TIEOFF_X6Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y134 CLASS site SITEPROP TIEOFF_X6Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y134 IS_BONDED 0 SITEPROP TIEOFF_X6Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y134 IS_PAD 0 SITEPROP TIEOFF_X6Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y134 IS_RESERVED 0 SITEPROP TIEOFF_X6Y134 IS_TEST 0 SITEPROP TIEOFF_X6Y134 IS_USED 0 SITEPROP TIEOFF_X6Y134 MANUAL_ROUTING SITEPROP TIEOFF_X6Y134 NAME TIEOFF_X6Y134 SITEPROP TIEOFF_X6Y134 NUM_ARCS 0 SITEPROP TIEOFF_X6Y134 NUM_BELS 2 SITEPROP TIEOFF_X6Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y134 NUM_PINS 2 SITEPROP TIEOFF_X6Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y134 PROHIBIT 0 SITEPROP TIEOFF_X6Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y134 RPM_X 32 SITEPROP TIEOFF_X6Y134 RPM_Y 268 SITEPROP TIEOFF_X6Y134 SITE_PIPS SITEPROP TIEOFF_X6Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y135 CLASS site SITEPROP TIEOFF_X6Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y135 IS_BONDED 0 SITEPROP TIEOFF_X6Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y135 IS_PAD 0 SITEPROP TIEOFF_X6Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y135 IS_RESERVED 0 SITEPROP TIEOFF_X6Y135 IS_TEST 0 SITEPROP TIEOFF_X6Y135 IS_USED 0 SITEPROP TIEOFF_X6Y135 MANUAL_ROUTING SITEPROP TIEOFF_X6Y135 NAME TIEOFF_X6Y135 SITEPROP TIEOFF_X6Y135 NUM_ARCS 0 SITEPROP TIEOFF_X6Y135 NUM_BELS 2 SITEPROP TIEOFF_X6Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y135 NUM_PINS 2 SITEPROP TIEOFF_X6Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y135 PROHIBIT 0 SITEPROP TIEOFF_X6Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y135 RPM_X 32 SITEPROP TIEOFF_X6Y135 RPM_Y 270 SITEPROP TIEOFF_X6Y135 SITE_PIPS SITEPROP TIEOFF_X6Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y136 CLASS site SITEPROP TIEOFF_X6Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y136 IS_BONDED 0 SITEPROP TIEOFF_X6Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y136 IS_PAD 0 SITEPROP TIEOFF_X6Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y136 IS_RESERVED 0 SITEPROP TIEOFF_X6Y136 IS_TEST 0 SITEPROP TIEOFF_X6Y136 IS_USED 0 SITEPROP TIEOFF_X6Y136 MANUAL_ROUTING SITEPROP TIEOFF_X6Y136 NAME TIEOFF_X6Y136 SITEPROP TIEOFF_X6Y136 NUM_ARCS 0 SITEPROP TIEOFF_X6Y136 NUM_BELS 2 SITEPROP TIEOFF_X6Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y136 NUM_PINS 2 SITEPROP TIEOFF_X6Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y136 PROHIBIT 0 SITEPROP TIEOFF_X6Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y136 RPM_X 32 SITEPROP TIEOFF_X6Y136 RPM_Y 272 SITEPROP TIEOFF_X6Y136 SITE_PIPS SITEPROP TIEOFF_X6Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y137 CLASS site SITEPROP TIEOFF_X6Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y137 IS_BONDED 0 SITEPROP TIEOFF_X6Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y137 IS_PAD 0 SITEPROP TIEOFF_X6Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y137 IS_RESERVED 0 SITEPROP TIEOFF_X6Y137 IS_TEST 0 SITEPROP TIEOFF_X6Y137 IS_USED 0 SITEPROP TIEOFF_X6Y137 MANUAL_ROUTING SITEPROP TIEOFF_X6Y137 NAME TIEOFF_X6Y137 SITEPROP TIEOFF_X6Y137 NUM_ARCS 0 SITEPROP TIEOFF_X6Y137 NUM_BELS 2 SITEPROP TIEOFF_X6Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y137 NUM_PINS 2 SITEPROP TIEOFF_X6Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y137 PROHIBIT 0 SITEPROP TIEOFF_X6Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y137 RPM_X 32 SITEPROP TIEOFF_X6Y137 RPM_Y 274 SITEPROP TIEOFF_X6Y137 SITE_PIPS SITEPROP TIEOFF_X6Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y138 CLASS site SITEPROP TIEOFF_X6Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y138 IS_BONDED 0 SITEPROP TIEOFF_X6Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y138 IS_PAD 0 SITEPROP TIEOFF_X6Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y138 IS_RESERVED 0 SITEPROP TIEOFF_X6Y138 IS_TEST 0 SITEPROP TIEOFF_X6Y138 IS_USED 0 SITEPROP TIEOFF_X6Y138 MANUAL_ROUTING SITEPROP TIEOFF_X6Y138 NAME TIEOFF_X6Y138 SITEPROP TIEOFF_X6Y138 NUM_ARCS 0 SITEPROP TIEOFF_X6Y138 NUM_BELS 2 SITEPROP TIEOFF_X6Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y138 NUM_PINS 2 SITEPROP TIEOFF_X6Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y138 PROHIBIT 0 SITEPROP TIEOFF_X6Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y138 RPM_X 32 SITEPROP TIEOFF_X6Y138 RPM_Y 276 SITEPROP TIEOFF_X6Y138 SITE_PIPS SITEPROP TIEOFF_X6Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y139 CLASS site SITEPROP TIEOFF_X6Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y139 IS_BONDED 0 SITEPROP TIEOFF_X6Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y139 IS_PAD 0 SITEPROP TIEOFF_X6Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y139 IS_RESERVED 0 SITEPROP TIEOFF_X6Y139 IS_TEST 0 SITEPROP TIEOFF_X6Y139 IS_USED 0 SITEPROP TIEOFF_X6Y139 MANUAL_ROUTING SITEPROP TIEOFF_X6Y139 NAME TIEOFF_X6Y139 SITEPROP TIEOFF_X6Y139 NUM_ARCS 0 SITEPROP TIEOFF_X6Y139 NUM_BELS 2 SITEPROP TIEOFF_X6Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y139 NUM_PINS 2 SITEPROP TIEOFF_X6Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y139 PROHIBIT 0 SITEPROP TIEOFF_X6Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y139 RPM_X 32 SITEPROP TIEOFF_X6Y139 RPM_Y 278 SITEPROP TIEOFF_X6Y139 SITE_PIPS SITEPROP TIEOFF_X6Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y140 CLASS site SITEPROP TIEOFF_X6Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y140 IS_BONDED 0 SITEPROP TIEOFF_X6Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y140 IS_PAD 0 SITEPROP TIEOFF_X6Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y140 IS_RESERVED 0 SITEPROP TIEOFF_X6Y140 IS_TEST 0 SITEPROP TIEOFF_X6Y140 IS_USED 0 SITEPROP TIEOFF_X6Y140 MANUAL_ROUTING SITEPROP TIEOFF_X6Y140 NAME TIEOFF_X6Y140 SITEPROP TIEOFF_X6Y140 NUM_ARCS 0 SITEPROP TIEOFF_X6Y140 NUM_BELS 2 SITEPROP TIEOFF_X6Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y140 NUM_PINS 2 SITEPROP TIEOFF_X6Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y140 PROHIBIT 0 SITEPROP TIEOFF_X6Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y140 RPM_X 32 SITEPROP TIEOFF_X6Y140 RPM_Y 280 SITEPROP TIEOFF_X6Y140 SITE_PIPS SITEPROP TIEOFF_X6Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y141 CLASS site SITEPROP TIEOFF_X6Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y141 IS_BONDED 0 SITEPROP TIEOFF_X6Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y141 IS_PAD 0 SITEPROP TIEOFF_X6Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y141 IS_RESERVED 0 SITEPROP TIEOFF_X6Y141 IS_TEST 0 SITEPROP TIEOFF_X6Y141 IS_USED 0 SITEPROP TIEOFF_X6Y141 MANUAL_ROUTING SITEPROP TIEOFF_X6Y141 NAME TIEOFF_X6Y141 SITEPROP TIEOFF_X6Y141 NUM_ARCS 0 SITEPROP TIEOFF_X6Y141 NUM_BELS 2 SITEPROP TIEOFF_X6Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y141 NUM_PINS 2 SITEPROP TIEOFF_X6Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y141 PROHIBIT 0 SITEPROP TIEOFF_X6Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y141 RPM_X 32 SITEPROP TIEOFF_X6Y141 RPM_Y 282 SITEPROP TIEOFF_X6Y141 SITE_PIPS SITEPROP TIEOFF_X6Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y142 CLASS site SITEPROP TIEOFF_X6Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y142 IS_BONDED 0 SITEPROP TIEOFF_X6Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y142 IS_PAD 0 SITEPROP TIEOFF_X6Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y142 IS_RESERVED 0 SITEPROP TIEOFF_X6Y142 IS_TEST 0 SITEPROP TIEOFF_X6Y142 IS_USED 0 SITEPROP TIEOFF_X6Y142 MANUAL_ROUTING SITEPROP TIEOFF_X6Y142 NAME TIEOFF_X6Y142 SITEPROP TIEOFF_X6Y142 NUM_ARCS 0 SITEPROP TIEOFF_X6Y142 NUM_BELS 2 SITEPROP TIEOFF_X6Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y142 NUM_PINS 2 SITEPROP TIEOFF_X6Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y142 PROHIBIT 0 SITEPROP TIEOFF_X6Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y142 RPM_X 32 SITEPROP TIEOFF_X6Y142 RPM_Y 284 SITEPROP TIEOFF_X6Y142 SITE_PIPS SITEPROP TIEOFF_X6Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y143 CLASS site SITEPROP TIEOFF_X6Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y143 IS_BONDED 0 SITEPROP TIEOFF_X6Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y143 IS_PAD 0 SITEPROP TIEOFF_X6Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y143 IS_RESERVED 0 SITEPROP TIEOFF_X6Y143 IS_TEST 0 SITEPROP TIEOFF_X6Y143 IS_USED 0 SITEPROP TIEOFF_X6Y143 MANUAL_ROUTING SITEPROP TIEOFF_X6Y143 NAME TIEOFF_X6Y143 SITEPROP TIEOFF_X6Y143 NUM_ARCS 0 SITEPROP TIEOFF_X6Y143 NUM_BELS 2 SITEPROP TIEOFF_X6Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y143 NUM_PINS 2 SITEPROP TIEOFF_X6Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y143 PROHIBIT 0 SITEPROP TIEOFF_X6Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y143 RPM_X 32 SITEPROP TIEOFF_X6Y143 RPM_Y 286 SITEPROP TIEOFF_X6Y143 SITE_PIPS SITEPROP TIEOFF_X6Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y144 CLASS site SITEPROP TIEOFF_X6Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y144 IS_BONDED 0 SITEPROP TIEOFF_X6Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y144 IS_PAD 0 SITEPROP TIEOFF_X6Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y144 IS_RESERVED 0 SITEPROP TIEOFF_X6Y144 IS_TEST 0 SITEPROP TIEOFF_X6Y144 IS_USED 0 SITEPROP TIEOFF_X6Y144 MANUAL_ROUTING SITEPROP TIEOFF_X6Y144 NAME TIEOFF_X6Y144 SITEPROP TIEOFF_X6Y144 NUM_ARCS 0 SITEPROP TIEOFF_X6Y144 NUM_BELS 2 SITEPROP TIEOFF_X6Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y144 NUM_PINS 2 SITEPROP TIEOFF_X6Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y144 PROHIBIT 0 SITEPROP TIEOFF_X6Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y144 RPM_X 32 SITEPROP TIEOFF_X6Y144 RPM_Y 288 SITEPROP TIEOFF_X6Y144 SITE_PIPS SITEPROP TIEOFF_X6Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y145 CLASS site SITEPROP TIEOFF_X6Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y145 IS_BONDED 0 SITEPROP TIEOFF_X6Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y145 IS_PAD 0 SITEPROP TIEOFF_X6Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y145 IS_RESERVED 0 SITEPROP TIEOFF_X6Y145 IS_TEST 0 SITEPROP TIEOFF_X6Y145 IS_USED 0 SITEPROP TIEOFF_X6Y145 MANUAL_ROUTING SITEPROP TIEOFF_X6Y145 NAME TIEOFF_X6Y145 SITEPROP TIEOFF_X6Y145 NUM_ARCS 0 SITEPROP TIEOFF_X6Y145 NUM_BELS 2 SITEPROP TIEOFF_X6Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y145 NUM_PINS 2 SITEPROP TIEOFF_X6Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y145 PROHIBIT 0 SITEPROP TIEOFF_X6Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y145 RPM_X 32 SITEPROP TIEOFF_X6Y145 RPM_Y 290 SITEPROP TIEOFF_X6Y145 SITE_PIPS SITEPROP TIEOFF_X6Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y146 CLASS site SITEPROP TIEOFF_X6Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y146 IS_BONDED 0 SITEPROP TIEOFF_X6Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y146 IS_PAD 0 SITEPROP TIEOFF_X6Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y146 IS_RESERVED 0 SITEPROP TIEOFF_X6Y146 IS_TEST 0 SITEPROP TIEOFF_X6Y146 IS_USED 0 SITEPROP TIEOFF_X6Y146 MANUAL_ROUTING SITEPROP TIEOFF_X6Y146 NAME TIEOFF_X6Y146 SITEPROP TIEOFF_X6Y146 NUM_ARCS 0 SITEPROP TIEOFF_X6Y146 NUM_BELS 2 SITEPROP TIEOFF_X6Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y146 NUM_PINS 2 SITEPROP TIEOFF_X6Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y146 PROHIBIT 0 SITEPROP TIEOFF_X6Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y146 RPM_X 32 SITEPROP TIEOFF_X6Y146 RPM_Y 292 SITEPROP TIEOFF_X6Y146 SITE_PIPS SITEPROP TIEOFF_X6Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y147 CLASS site SITEPROP TIEOFF_X6Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y147 IS_BONDED 0 SITEPROP TIEOFF_X6Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y147 IS_PAD 0 SITEPROP TIEOFF_X6Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y147 IS_RESERVED 0 SITEPROP TIEOFF_X6Y147 IS_TEST 0 SITEPROP TIEOFF_X6Y147 IS_USED 0 SITEPROP TIEOFF_X6Y147 MANUAL_ROUTING SITEPROP TIEOFF_X6Y147 NAME TIEOFF_X6Y147 SITEPROP TIEOFF_X6Y147 NUM_ARCS 0 SITEPROP TIEOFF_X6Y147 NUM_BELS 2 SITEPROP TIEOFF_X6Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y147 NUM_PINS 2 SITEPROP TIEOFF_X6Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y147 PROHIBIT 0 SITEPROP TIEOFF_X6Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y147 RPM_X 32 SITEPROP TIEOFF_X6Y147 RPM_Y 294 SITEPROP TIEOFF_X6Y147 SITE_PIPS SITEPROP TIEOFF_X6Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y148 CLASS site SITEPROP TIEOFF_X6Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y148 IS_BONDED 0 SITEPROP TIEOFF_X6Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y148 IS_PAD 0 SITEPROP TIEOFF_X6Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y148 IS_RESERVED 0 SITEPROP TIEOFF_X6Y148 IS_TEST 0 SITEPROP TIEOFF_X6Y148 IS_USED 0 SITEPROP TIEOFF_X6Y148 MANUAL_ROUTING SITEPROP TIEOFF_X6Y148 NAME TIEOFF_X6Y148 SITEPROP TIEOFF_X6Y148 NUM_ARCS 0 SITEPROP TIEOFF_X6Y148 NUM_BELS 2 SITEPROP TIEOFF_X6Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y148 NUM_PINS 2 SITEPROP TIEOFF_X6Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y148 PROHIBIT 0 SITEPROP TIEOFF_X6Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y148 RPM_X 32 SITEPROP TIEOFF_X6Y148 RPM_Y 296 SITEPROP TIEOFF_X6Y148 SITE_PIPS SITEPROP TIEOFF_X6Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X6Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X6Y149 CLASS site SITEPROP TIEOFF_X6Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X6Y149 IS_BONDED 0 SITEPROP TIEOFF_X6Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y149 IS_PAD 0 SITEPROP TIEOFF_X6Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X6Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X6Y149 IS_RESERVED 0 SITEPROP TIEOFF_X6Y149 IS_TEST 0 SITEPROP TIEOFF_X6Y149 IS_USED 0 SITEPROP TIEOFF_X6Y149 MANUAL_ROUTING SITEPROP TIEOFF_X6Y149 NAME TIEOFF_X6Y149 SITEPROP TIEOFF_X6Y149 NUM_ARCS 0 SITEPROP TIEOFF_X6Y149 NUM_BELS 2 SITEPROP TIEOFF_X6Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X6Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X6Y149 NUM_PINS 2 SITEPROP TIEOFF_X6Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X6Y149 PROHIBIT 0 SITEPROP TIEOFF_X6Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X6Y149 RPM_X 32 SITEPROP TIEOFF_X6Y149 RPM_Y 298 SITEPROP TIEOFF_X6Y149 SITE_PIPS SITEPROP TIEOFF_X6Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y0 CLASS site SITEPROP TIEOFF_X7Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y0 IS_BONDED 0 SITEPROP TIEOFF_X7Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y0 IS_PAD 0 SITEPROP TIEOFF_X7Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y0 IS_RESERVED 0 SITEPROP TIEOFF_X7Y0 IS_TEST 0 SITEPROP TIEOFF_X7Y0 IS_USED 0 SITEPROP TIEOFF_X7Y0 MANUAL_ROUTING SITEPROP TIEOFF_X7Y0 NAME TIEOFF_X7Y0 SITEPROP TIEOFF_X7Y0 NUM_ARCS 0 SITEPROP TIEOFF_X7Y0 NUM_BELS 2 SITEPROP TIEOFF_X7Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y0 NUM_PINS 2 SITEPROP TIEOFF_X7Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y0 PROHIBIT 0 SITEPROP TIEOFF_X7Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y0 RPM_X 36 SITEPROP TIEOFF_X7Y0 RPM_Y 0 SITEPROP TIEOFF_X7Y0 SITE_PIPS SITEPROP TIEOFF_X7Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y1 CLASS site SITEPROP TIEOFF_X7Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y1 IS_BONDED 0 SITEPROP TIEOFF_X7Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y1 IS_PAD 0 SITEPROP TIEOFF_X7Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y1 IS_RESERVED 0 SITEPROP TIEOFF_X7Y1 IS_TEST 0 SITEPROP TIEOFF_X7Y1 IS_USED 0 SITEPROP TIEOFF_X7Y1 MANUAL_ROUTING SITEPROP TIEOFF_X7Y1 NAME TIEOFF_X7Y1 SITEPROP TIEOFF_X7Y1 NUM_ARCS 0 SITEPROP TIEOFF_X7Y1 NUM_BELS 2 SITEPROP TIEOFF_X7Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y1 NUM_PINS 2 SITEPROP TIEOFF_X7Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y1 PROHIBIT 0 SITEPROP TIEOFF_X7Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y1 RPM_X 36 SITEPROP TIEOFF_X7Y1 RPM_Y 2 SITEPROP TIEOFF_X7Y1 SITE_PIPS SITEPROP TIEOFF_X7Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y2 CLASS site SITEPROP TIEOFF_X7Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y2 IS_BONDED 0 SITEPROP TIEOFF_X7Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y2 IS_PAD 0 SITEPROP TIEOFF_X7Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y2 IS_RESERVED 0 SITEPROP TIEOFF_X7Y2 IS_TEST 0 SITEPROP TIEOFF_X7Y2 IS_USED 0 SITEPROP TIEOFF_X7Y2 MANUAL_ROUTING SITEPROP TIEOFF_X7Y2 NAME TIEOFF_X7Y2 SITEPROP TIEOFF_X7Y2 NUM_ARCS 0 SITEPROP TIEOFF_X7Y2 NUM_BELS 2 SITEPROP TIEOFF_X7Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y2 NUM_PINS 2 SITEPROP TIEOFF_X7Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y2 PROHIBIT 0 SITEPROP TIEOFF_X7Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y2 RPM_X 36 SITEPROP TIEOFF_X7Y2 RPM_Y 4 SITEPROP TIEOFF_X7Y2 SITE_PIPS SITEPROP TIEOFF_X7Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y3 CLASS site SITEPROP TIEOFF_X7Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y3 IS_BONDED 0 SITEPROP TIEOFF_X7Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y3 IS_PAD 0 SITEPROP TIEOFF_X7Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y3 IS_RESERVED 0 SITEPROP TIEOFF_X7Y3 IS_TEST 0 SITEPROP TIEOFF_X7Y3 IS_USED 0 SITEPROP TIEOFF_X7Y3 MANUAL_ROUTING SITEPROP TIEOFF_X7Y3 NAME TIEOFF_X7Y3 SITEPROP TIEOFF_X7Y3 NUM_ARCS 0 SITEPROP TIEOFF_X7Y3 NUM_BELS 2 SITEPROP TIEOFF_X7Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y3 NUM_PINS 2 SITEPROP TIEOFF_X7Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y3 PROHIBIT 0 SITEPROP TIEOFF_X7Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y3 RPM_X 36 SITEPROP TIEOFF_X7Y3 RPM_Y 6 SITEPROP TIEOFF_X7Y3 SITE_PIPS SITEPROP TIEOFF_X7Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y4 CLASS site SITEPROP TIEOFF_X7Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y4 IS_BONDED 0 SITEPROP TIEOFF_X7Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y4 IS_PAD 0 SITEPROP TIEOFF_X7Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y4 IS_RESERVED 0 SITEPROP TIEOFF_X7Y4 IS_TEST 0 SITEPROP TIEOFF_X7Y4 IS_USED 0 SITEPROP TIEOFF_X7Y4 MANUAL_ROUTING SITEPROP TIEOFF_X7Y4 NAME TIEOFF_X7Y4 SITEPROP TIEOFF_X7Y4 NUM_ARCS 0 SITEPROP TIEOFF_X7Y4 NUM_BELS 2 SITEPROP TIEOFF_X7Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y4 NUM_PINS 2 SITEPROP TIEOFF_X7Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y4 PROHIBIT 0 SITEPROP TIEOFF_X7Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y4 RPM_X 36 SITEPROP TIEOFF_X7Y4 RPM_Y 8 SITEPROP TIEOFF_X7Y4 SITE_PIPS SITEPROP TIEOFF_X7Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y5 CLASS site SITEPROP TIEOFF_X7Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y5 IS_BONDED 0 SITEPROP TIEOFF_X7Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y5 IS_PAD 0 SITEPROP TIEOFF_X7Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y5 IS_RESERVED 0 SITEPROP TIEOFF_X7Y5 IS_TEST 0 SITEPROP TIEOFF_X7Y5 IS_USED 0 SITEPROP TIEOFF_X7Y5 MANUAL_ROUTING SITEPROP TIEOFF_X7Y5 NAME TIEOFF_X7Y5 SITEPROP TIEOFF_X7Y5 NUM_ARCS 0 SITEPROP TIEOFF_X7Y5 NUM_BELS 2 SITEPROP TIEOFF_X7Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y5 NUM_PINS 2 SITEPROP TIEOFF_X7Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y5 PROHIBIT 0 SITEPROP TIEOFF_X7Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y5 RPM_X 36 SITEPROP TIEOFF_X7Y5 RPM_Y 10 SITEPROP TIEOFF_X7Y5 SITE_PIPS SITEPROP TIEOFF_X7Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y6 CLASS site SITEPROP TIEOFF_X7Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y6 IS_BONDED 0 SITEPROP TIEOFF_X7Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y6 IS_PAD 0 SITEPROP TIEOFF_X7Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y6 IS_RESERVED 0 SITEPROP TIEOFF_X7Y6 IS_TEST 0 SITEPROP TIEOFF_X7Y6 IS_USED 0 SITEPROP TIEOFF_X7Y6 MANUAL_ROUTING SITEPROP TIEOFF_X7Y6 NAME TIEOFF_X7Y6 SITEPROP TIEOFF_X7Y6 NUM_ARCS 0 SITEPROP TIEOFF_X7Y6 NUM_BELS 2 SITEPROP TIEOFF_X7Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y6 NUM_PINS 2 SITEPROP TIEOFF_X7Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y6 PROHIBIT 0 SITEPROP TIEOFF_X7Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y6 RPM_X 36 SITEPROP TIEOFF_X7Y6 RPM_Y 12 SITEPROP TIEOFF_X7Y6 SITE_PIPS SITEPROP TIEOFF_X7Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y7 CLASS site SITEPROP TIEOFF_X7Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y7 IS_BONDED 0 SITEPROP TIEOFF_X7Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y7 IS_PAD 0 SITEPROP TIEOFF_X7Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y7 IS_RESERVED 0 SITEPROP TIEOFF_X7Y7 IS_TEST 0 SITEPROP TIEOFF_X7Y7 IS_USED 0 SITEPROP TIEOFF_X7Y7 MANUAL_ROUTING SITEPROP TIEOFF_X7Y7 NAME TIEOFF_X7Y7 SITEPROP TIEOFF_X7Y7 NUM_ARCS 0 SITEPROP TIEOFF_X7Y7 NUM_BELS 2 SITEPROP TIEOFF_X7Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y7 NUM_PINS 2 SITEPROP TIEOFF_X7Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y7 PROHIBIT 0 SITEPROP TIEOFF_X7Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y7 RPM_X 36 SITEPROP TIEOFF_X7Y7 RPM_Y 14 SITEPROP TIEOFF_X7Y7 SITE_PIPS SITEPROP TIEOFF_X7Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y8 CLASS site SITEPROP TIEOFF_X7Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y8 IS_BONDED 0 SITEPROP TIEOFF_X7Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y8 IS_PAD 0 SITEPROP TIEOFF_X7Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y8 IS_RESERVED 0 SITEPROP TIEOFF_X7Y8 IS_TEST 0 SITEPROP TIEOFF_X7Y8 IS_USED 0 SITEPROP TIEOFF_X7Y8 MANUAL_ROUTING SITEPROP TIEOFF_X7Y8 NAME TIEOFF_X7Y8 SITEPROP TIEOFF_X7Y8 NUM_ARCS 0 SITEPROP TIEOFF_X7Y8 NUM_BELS 2 SITEPROP TIEOFF_X7Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y8 NUM_PINS 2 SITEPROP TIEOFF_X7Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y8 PROHIBIT 0 SITEPROP TIEOFF_X7Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y8 RPM_X 36 SITEPROP TIEOFF_X7Y8 RPM_Y 16 SITEPROP TIEOFF_X7Y8 SITE_PIPS SITEPROP TIEOFF_X7Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y9 CLASS site SITEPROP TIEOFF_X7Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y9 IS_BONDED 0 SITEPROP TIEOFF_X7Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y9 IS_PAD 0 SITEPROP TIEOFF_X7Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y9 IS_RESERVED 0 SITEPROP TIEOFF_X7Y9 IS_TEST 0 SITEPROP TIEOFF_X7Y9 IS_USED 0 SITEPROP TIEOFF_X7Y9 MANUAL_ROUTING SITEPROP TIEOFF_X7Y9 NAME TIEOFF_X7Y9 SITEPROP TIEOFF_X7Y9 NUM_ARCS 0 SITEPROP TIEOFF_X7Y9 NUM_BELS 2 SITEPROP TIEOFF_X7Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y9 NUM_PINS 2 SITEPROP TIEOFF_X7Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y9 PROHIBIT 0 SITEPROP TIEOFF_X7Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y9 RPM_X 36 SITEPROP TIEOFF_X7Y9 RPM_Y 18 SITEPROP TIEOFF_X7Y9 SITE_PIPS SITEPROP TIEOFF_X7Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y10 CLASS site SITEPROP TIEOFF_X7Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y10 IS_BONDED 0 SITEPROP TIEOFF_X7Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y10 IS_PAD 0 SITEPROP TIEOFF_X7Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y10 IS_RESERVED 0 SITEPROP TIEOFF_X7Y10 IS_TEST 0 SITEPROP TIEOFF_X7Y10 IS_USED 0 SITEPROP TIEOFF_X7Y10 MANUAL_ROUTING SITEPROP TIEOFF_X7Y10 NAME TIEOFF_X7Y10 SITEPROP TIEOFF_X7Y10 NUM_ARCS 0 SITEPROP TIEOFF_X7Y10 NUM_BELS 2 SITEPROP TIEOFF_X7Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y10 NUM_PINS 2 SITEPROP TIEOFF_X7Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y10 PROHIBIT 0 SITEPROP TIEOFF_X7Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y10 RPM_X 36 SITEPROP TIEOFF_X7Y10 RPM_Y 20 SITEPROP TIEOFF_X7Y10 SITE_PIPS SITEPROP TIEOFF_X7Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y11 CLASS site SITEPROP TIEOFF_X7Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y11 IS_BONDED 0 SITEPROP TIEOFF_X7Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y11 IS_PAD 0 SITEPROP TIEOFF_X7Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y11 IS_RESERVED 0 SITEPROP TIEOFF_X7Y11 IS_TEST 0 SITEPROP TIEOFF_X7Y11 IS_USED 0 SITEPROP TIEOFF_X7Y11 MANUAL_ROUTING SITEPROP TIEOFF_X7Y11 NAME TIEOFF_X7Y11 SITEPROP TIEOFF_X7Y11 NUM_ARCS 0 SITEPROP TIEOFF_X7Y11 NUM_BELS 2 SITEPROP TIEOFF_X7Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y11 NUM_PINS 2 SITEPROP TIEOFF_X7Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y11 PROHIBIT 0 SITEPROP TIEOFF_X7Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y11 RPM_X 36 SITEPROP TIEOFF_X7Y11 RPM_Y 22 SITEPROP TIEOFF_X7Y11 SITE_PIPS SITEPROP TIEOFF_X7Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y12 CLASS site SITEPROP TIEOFF_X7Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y12 IS_BONDED 0 SITEPROP TIEOFF_X7Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y12 IS_PAD 0 SITEPROP TIEOFF_X7Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y12 IS_RESERVED 0 SITEPROP TIEOFF_X7Y12 IS_TEST 0 SITEPROP TIEOFF_X7Y12 IS_USED 0 SITEPROP TIEOFF_X7Y12 MANUAL_ROUTING SITEPROP TIEOFF_X7Y12 NAME TIEOFF_X7Y12 SITEPROP TIEOFF_X7Y12 NUM_ARCS 0 SITEPROP TIEOFF_X7Y12 NUM_BELS 2 SITEPROP TIEOFF_X7Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y12 NUM_PINS 2 SITEPROP TIEOFF_X7Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y12 PROHIBIT 0 SITEPROP TIEOFF_X7Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y12 RPM_X 36 SITEPROP TIEOFF_X7Y12 RPM_Y 24 SITEPROP TIEOFF_X7Y12 SITE_PIPS SITEPROP TIEOFF_X7Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y13 CLASS site SITEPROP TIEOFF_X7Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y13 IS_BONDED 0 SITEPROP TIEOFF_X7Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y13 IS_PAD 0 SITEPROP TIEOFF_X7Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y13 IS_RESERVED 0 SITEPROP TIEOFF_X7Y13 IS_TEST 0 SITEPROP TIEOFF_X7Y13 IS_USED 0 SITEPROP TIEOFF_X7Y13 MANUAL_ROUTING SITEPROP TIEOFF_X7Y13 NAME TIEOFF_X7Y13 SITEPROP TIEOFF_X7Y13 NUM_ARCS 0 SITEPROP TIEOFF_X7Y13 NUM_BELS 2 SITEPROP TIEOFF_X7Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y13 NUM_PINS 2 SITEPROP TIEOFF_X7Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y13 PROHIBIT 0 SITEPROP TIEOFF_X7Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y13 RPM_X 36 SITEPROP TIEOFF_X7Y13 RPM_Y 26 SITEPROP TIEOFF_X7Y13 SITE_PIPS SITEPROP TIEOFF_X7Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y14 CLASS site SITEPROP TIEOFF_X7Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y14 IS_BONDED 0 SITEPROP TIEOFF_X7Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y14 IS_PAD 0 SITEPROP TIEOFF_X7Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y14 IS_RESERVED 0 SITEPROP TIEOFF_X7Y14 IS_TEST 0 SITEPROP TIEOFF_X7Y14 IS_USED 0 SITEPROP TIEOFF_X7Y14 MANUAL_ROUTING SITEPROP TIEOFF_X7Y14 NAME TIEOFF_X7Y14 SITEPROP TIEOFF_X7Y14 NUM_ARCS 0 SITEPROP TIEOFF_X7Y14 NUM_BELS 2 SITEPROP TIEOFF_X7Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y14 NUM_PINS 2 SITEPROP TIEOFF_X7Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y14 PROHIBIT 0 SITEPROP TIEOFF_X7Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y14 RPM_X 36 SITEPROP TIEOFF_X7Y14 RPM_Y 28 SITEPROP TIEOFF_X7Y14 SITE_PIPS SITEPROP TIEOFF_X7Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y15 CLASS site SITEPROP TIEOFF_X7Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y15 IS_BONDED 0 SITEPROP TIEOFF_X7Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y15 IS_PAD 0 SITEPROP TIEOFF_X7Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y15 IS_RESERVED 0 SITEPROP TIEOFF_X7Y15 IS_TEST 0 SITEPROP TIEOFF_X7Y15 IS_USED 0 SITEPROP TIEOFF_X7Y15 MANUAL_ROUTING SITEPROP TIEOFF_X7Y15 NAME TIEOFF_X7Y15 SITEPROP TIEOFF_X7Y15 NUM_ARCS 0 SITEPROP TIEOFF_X7Y15 NUM_BELS 2 SITEPROP TIEOFF_X7Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y15 NUM_PINS 2 SITEPROP TIEOFF_X7Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y15 PROHIBIT 0 SITEPROP TIEOFF_X7Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y15 RPM_X 36 SITEPROP TIEOFF_X7Y15 RPM_Y 30 SITEPROP TIEOFF_X7Y15 SITE_PIPS SITEPROP TIEOFF_X7Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y16 CLASS site SITEPROP TIEOFF_X7Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y16 IS_BONDED 0 SITEPROP TIEOFF_X7Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y16 IS_PAD 0 SITEPROP TIEOFF_X7Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y16 IS_RESERVED 0 SITEPROP TIEOFF_X7Y16 IS_TEST 0 SITEPROP TIEOFF_X7Y16 IS_USED 0 SITEPROP TIEOFF_X7Y16 MANUAL_ROUTING SITEPROP TIEOFF_X7Y16 NAME TIEOFF_X7Y16 SITEPROP TIEOFF_X7Y16 NUM_ARCS 0 SITEPROP TIEOFF_X7Y16 NUM_BELS 2 SITEPROP TIEOFF_X7Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y16 NUM_PINS 2 SITEPROP TIEOFF_X7Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y16 PROHIBIT 0 SITEPROP TIEOFF_X7Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y16 RPM_X 36 SITEPROP TIEOFF_X7Y16 RPM_Y 32 SITEPROP TIEOFF_X7Y16 SITE_PIPS SITEPROP TIEOFF_X7Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y17 CLASS site SITEPROP TIEOFF_X7Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y17 IS_BONDED 0 SITEPROP TIEOFF_X7Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y17 IS_PAD 0 SITEPROP TIEOFF_X7Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y17 IS_RESERVED 0 SITEPROP TIEOFF_X7Y17 IS_TEST 0 SITEPROP TIEOFF_X7Y17 IS_USED 0 SITEPROP TIEOFF_X7Y17 MANUAL_ROUTING SITEPROP TIEOFF_X7Y17 NAME TIEOFF_X7Y17 SITEPROP TIEOFF_X7Y17 NUM_ARCS 0 SITEPROP TIEOFF_X7Y17 NUM_BELS 2 SITEPROP TIEOFF_X7Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y17 NUM_PINS 2 SITEPROP TIEOFF_X7Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y17 PROHIBIT 0 SITEPROP TIEOFF_X7Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y17 RPM_X 36 SITEPROP TIEOFF_X7Y17 RPM_Y 34 SITEPROP TIEOFF_X7Y17 SITE_PIPS SITEPROP TIEOFF_X7Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y18 CLASS site SITEPROP TIEOFF_X7Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y18 IS_BONDED 0 SITEPROP TIEOFF_X7Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y18 IS_PAD 0 SITEPROP TIEOFF_X7Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y18 IS_RESERVED 0 SITEPROP TIEOFF_X7Y18 IS_TEST 0 SITEPROP TIEOFF_X7Y18 IS_USED 0 SITEPROP TIEOFF_X7Y18 MANUAL_ROUTING SITEPROP TIEOFF_X7Y18 NAME TIEOFF_X7Y18 SITEPROP TIEOFF_X7Y18 NUM_ARCS 0 SITEPROP TIEOFF_X7Y18 NUM_BELS 2 SITEPROP TIEOFF_X7Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y18 NUM_PINS 2 SITEPROP TIEOFF_X7Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y18 PROHIBIT 0 SITEPROP TIEOFF_X7Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y18 RPM_X 36 SITEPROP TIEOFF_X7Y18 RPM_Y 36 SITEPROP TIEOFF_X7Y18 SITE_PIPS SITEPROP TIEOFF_X7Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y19 CLASS site SITEPROP TIEOFF_X7Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y19 IS_BONDED 0 SITEPROP TIEOFF_X7Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y19 IS_PAD 0 SITEPROP TIEOFF_X7Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y19 IS_RESERVED 0 SITEPROP TIEOFF_X7Y19 IS_TEST 0 SITEPROP TIEOFF_X7Y19 IS_USED 0 SITEPROP TIEOFF_X7Y19 MANUAL_ROUTING SITEPROP TIEOFF_X7Y19 NAME TIEOFF_X7Y19 SITEPROP TIEOFF_X7Y19 NUM_ARCS 0 SITEPROP TIEOFF_X7Y19 NUM_BELS 2 SITEPROP TIEOFF_X7Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y19 NUM_PINS 2 SITEPROP TIEOFF_X7Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y19 PROHIBIT 0 SITEPROP TIEOFF_X7Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y19 RPM_X 36 SITEPROP TIEOFF_X7Y19 RPM_Y 38 SITEPROP TIEOFF_X7Y19 SITE_PIPS SITEPROP TIEOFF_X7Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y20 CLASS site SITEPROP TIEOFF_X7Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y20 IS_BONDED 0 SITEPROP TIEOFF_X7Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y20 IS_PAD 0 SITEPROP TIEOFF_X7Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y20 IS_RESERVED 0 SITEPROP TIEOFF_X7Y20 IS_TEST 0 SITEPROP TIEOFF_X7Y20 IS_USED 0 SITEPROP TIEOFF_X7Y20 MANUAL_ROUTING SITEPROP TIEOFF_X7Y20 NAME TIEOFF_X7Y20 SITEPROP TIEOFF_X7Y20 NUM_ARCS 0 SITEPROP TIEOFF_X7Y20 NUM_BELS 2 SITEPROP TIEOFF_X7Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y20 NUM_PINS 2 SITEPROP TIEOFF_X7Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y20 PROHIBIT 0 SITEPROP TIEOFF_X7Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y20 RPM_X 36 SITEPROP TIEOFF_X7Y20 RPM_Y 40 SITEPROP TIEOFF_X7Y20 SITE_PIPS SITEPROP TIEOFF_X7Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y21 CLASS site SITEPROP TIEOFF_X7Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y21 IS_BONDED 0 SITEPROP TIEOFF_X7Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y21 IS_PAD 0 SITEPROP TIEOFF_X7Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y21 IS_RESERVED 0 SITEPROP TIEOFF_X7Y21 IS_TEST 0 SITEPROP TIEOFF_X7Y21 IS_USED 0 SITEPROP TIEOFF_X7Y21 MANUAL_ROUTING SITEPROP TIEOFF_X7Y21 NAME TIEOFF_X7Y21 SITEPROP TIEOFF_X7Y21 NUM_ARCS 0 SITEPROP TIEOFF_X7Y21 NUM_BELS 2 SITEPROP TIEOFF_X7Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y21 NUM_PINS 2 SITEPROP TIEOFF_X7Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y21 PROHIBIT 0 SITEPROP TIEOFF_X7Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y21 RPM_X 36 SITEPROP TIEOFF_X7Y21 RPM_Y 42 SITEPROP TIEOFF_X7Y21 SITE_PIPS SITEPROP TIEOFF_X7Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y22 CLASS site SITEPROP TIEOFF_X7Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y22 IS_BONDED 0 SITEPROP TIEOFF_X7Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y22 IS_PAD 0 SITEPROP TIEOFF_X7Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y22 IS_RESERVED 0 SITEPROP TIEOFF_X7Y22 IS_TEST 0 SITEPROP TIEOFF_X7Y22 IS_USED 0 SITEPROP TIEOFF_X7Y22 MANUAL_ROUTING SITEPROP TIEOFF_X7Y22 NAME TIEOFF_X7Y22 SITEPROP TIEOFF_X7Y22 NUM_ARCS 0 SITEPROP TIEOFF_X7Y22 NUM_BELS 2 SITEPROP TIEOFF_X7Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y22 NUM_PINS 2 SITEPROP TIEOFF_X7Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y22 PROHIBIT 0 SITEPROP TIEOFF_X7Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y22 RPM_X 36 SITEPROP TIEOFF_X7Y22 RPM_Y 44 SITEPROP TIEOFF_X7Y22 SITE_PIPS SITEPROP TIEOFF_X7Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y23 CLASS site SITEPROP TIEOFF_X7Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y23 IS_BONDED 0 SITEPROP TIEOFF_X7Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y23 IS_PAD 0 SITEPROP TIEOFF_X7Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y23 IS_RESERVED 0 SITEPROP TIEOFF_X7Y23 IS_TEST 0 SITEPROP TIEOFF_X7Y23 IS_USED 0 SITEPROP TIEOFF_X7Y23 MANUAL_ROUTING SITEPROP TIEOFF_X7Y23 NAME TIEOFF_X7Y23 SITEPROP TIEOFF_X7Y23 NUM_ARCS 0 SITEPROP TIEOFF_X7Y23 NUM_BELS 2 SITEPROP TIEOFF_X7Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y23 NUM_PINS 2 SITEPROP TIEOFF_X7Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y23 PROHIBIT 0 SITEPROP TIEOFF_X7Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y23 RPM_X 36 SITEPROP TIEOFF_X7Y23 RPM_Y 46 SITEPROP TIEOFF_X7Y23 SITE_PIPS SITEPROP TIEOFF_X7Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y24 CLASS site SITEPROP TIEOFF_X7Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y24 IS_BONDED 0 SITEPROP TIEOFF_X7Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y24 IS_PAD 0 SITEPROP TIEOFF_X7Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y24 IS_RESERVED 0 SITEPROP TIEOFF_X7Y24 IS_TEST 0 SITEPROP TIEOFF_X7Y24 IS_USED 0 SITEPROP TIEOFF_X7Y24 MANUAL_ROUTING SITEPROP TIEOFF_X7Y24 NAME TIEOFF_X7Y24 SITEPROP TIEOFF_X7Y24 NUM_ARCS 0 SITEPROP TIEOFF_X7Y24 NUM_BELS 2 SITEPROP TIEOFF_X7Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y24 NUM_PINS 2 SITEPROP TIEOFF_X7Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y24 PROHIBIT 0 SITEPROP TIEOFF_X7Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y24 RPM_X 36 SITEPROP TIEOFF_X7Y24 RPM_Y 48 SITEPROP TIEOFF_X7Y24 SITE_PIPS SITEPROP TIEOFF_X7Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y25 CLASS site SITEPROP TIEOFF_X7Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y25 IS_BONDED 0 SITEPROP TIEOFF_X7Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y25 IS_PAD 0 SITEPROP TIEOFF_X7Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y25 IS_RESERVED 0 SITEPROP TIEOFF_X7Y25 IS_TEST 0 SITEPROP TIEOFF_X7Y25 IS_USED 0 SITEPROP TIEOFF_X7Y25 MANUAL_ROUTING SITEPROP TIEOFF_X7Y25 NAME TIEOFF_X7Y25 SITEPROP TIEOFF_X7Y25 NUM_ARCS 0 SITEPROP TIEOFF_X7Y25 NUM_BELS 2 SITEPROP TIEOFF_X7Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y25 NUM_PINS 2 SITEPROP TIEOFF_X7Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y25 PROHIBIT 0 SITEPROP TIEOFF_X7Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y25 RPM_X 36 SITEPROP TIEOFF_X7Y25 RPM_Y 50 SITEPROP TIEOFF_X7Y25 SITE_PIPS SITEPROP TIEOFF_X7Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y26 CLASS site SITEPROP TIEOFF_X7Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y26 IS_BONDED 0 SITEPROP TIEOFF_X7Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y26 IS_PAD 0 SITEPROP TIEOFF_X7Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y26 IS_RESERVED 0 SITEPROP TIEOFF_X7Y26 IS_TEST 0 SITEPROP TIEOFF_X7Y26 IS_USED 0 SITEPROP TIEOFF_X7Y26 MANUAL_ROUTING SITEPROP TIEOFF_X7Y26 NAME TIEOFF_X7Y26 SITEPROP TIEOFF_X7Y26 NUM_ARCS 0 SITEPROP TIEOFF_X7Y26 NUM_BELS 2 SITEPROP TIEOFF_X7Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y26 NUM_PINS 2 SITEPROP TIEOFF_X7Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y26 PROHIBIT 0 SITEPROP TIEOFF_X7Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y26 RPM_X 36 SITEPROP TIEOFF_X7Y26 RPM_Y 52 SITEPROP TIEOFF_X7Y26 SITE_PIPS SITEPROP TIEOFF_X7Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y27 CLASS site SITEPROP TIEOFF_X7Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y27 IS_BONDED 0 SITEPROP TIEOFF_X7Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y27 IS_PAD 0 SITEPROP TIEOFF_X7Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y27 IS_RESERVED 0 SITEPROP TIEOFF_X7Y27 IS_TEST 0 SITEPROP TIEOFF_X7Y27 IS_USED 0 SITEPROP TIEOFF_X7Y27 MANUAL_ROUTING SITEPROP TIEOFF_X7Y27 NAME TIEOFF_X7Y27 SITEPROP TIEOFF_X7Y27 NUM_ARCS 0 SITEPROP TIEOFF_X7Y27 NUM_BELS 2 SITEPROP TIEOFF_X7Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y27 NUM_PINS 2 SITEPROP TIEOFF_X7Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y27 PROHIBIT 0 SITEPROP TIEOFF_X7Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y27 RPM_X 36 SITEPROP TIEOFF_X7Y27 RPM_Y 54 SITEPROP TIEOFF_X7Y27 SITE_PIPS SITEPROP TIEOFF_X7Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y28 CLASS site SITEPROP TIEOFF_X7Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y28 IS_BONDED 0 SITEPROP TIEOFF_X7Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y28 IS_PAD 0 SITEPROP TIEOFF_X7Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y28 IS_RESERVED 0 SITEPROP TIEOFF_X7Y28 IS_TEST 0 SITEPROP TIEOFF_X7Y28 IS_USED 0 SITEPROP TIEOFF_X7Y28 MANUAL_ROUTING SITEPROP TIEOFF_X7Y28 NAME TIEOFF_X7Y28 SITEPROP TIEOFF_X7Y28 NUM_ARCS 0 SITEPROP TIEOFF_X7Y28 NUM_BELS 2 SITEPROP TIEOFF_X7Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y28 NUM_PINS 2 SITEPROP TIEOFF_X7Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y28 PROHIBIT 0 SITEPROP TIEOFF_X7Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y28 RPM_X 36 SITEPROP TIEOFF_X7Y28 RPM_Y 56 SITEPROP TIEOFF_X7Y28 SITE_PIPS SITEPROP TIEOFF_X7Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y29 CLASS site SITEPROP TIEOFF_X7Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y29 IS_BONDED 0 SITEPROP TIEOFF_X7Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y29 IS_PAD 0 SITEPROP TIEOFF_X7Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y29 IS_RESERVED 0 SITEPROP TIEOFF_X7Y29 IS_TEST 0 SITEPROP TIEOFF_X7Y29 IS_USED 0 SITEPROP TIEOFF_X7Y29 MANUAL_ROUTING SITEPROP TIEOFF_X7Y29 NAME TIEOFF_X7Y29 SITEPROP TIEOFF_X7Y29 NUM_ARCS 0 SITEPROP TIEOFF_X7Y29 NUM_BELS 2 SITEPROP TIEOFF_X7Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y29 NUM_PINS 2 SITEPROP TIEOFF_X7Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y29 PROHIBIT 0 SITEPROP TIEOFF_X7Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y29 RPM_X 36 SITEPROP TIEOFF_X7Y29 RPM_Y 58 SITEPROP TIEOFF_X7Y29 SITE_PIPS SITEPROP TIEOFF_X7Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y30 CLASS site SITEPROP TIEOFF_X7Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y30 IS_BONDED 0 SITEPROP TIEOFF_X7Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y30 IS_PAD 0 SITEPROP TIEOFF_X7Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y30 IS_RESERVED 0 SITEPROP TIEOFF_X7Y30 IS_TEST 0 SITEPROP TIEOFF_X7Y30 IS_USED 0 SITEPROP TIEOFF_X7Y30 MANUAL_ROUTING SITEPROP TIEOFF_X7Y30 NAME TIEOFF_X7Y30 SITEPROP TIEOFF_X7Y30 NUM_ARCS 0 SITEPROP TIEOFF_X7Y30 NUM_BELS 2 SITEPROP TIEOFF_X7Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y30 NUM_PINS 2 SITEPROP TIEOFF_X7Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y30 PROHIBIT 0 SITEPROP TIEOFF_X7Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y30 RPM_X 36 SITEPROP TIEOFF_X7Y30 RPM_Y 60 SITEPROP TIEOFF_X7Y30 SITE_PIPS SITEPROP TIEOFF_X7Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y31 CLASS site SITEPROP TIEOFF_X7Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y31 IS_BONDED 0 SITEPROP TIEOFF_X7Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y31 IS_PAD 0 SITEPROP TIEOFF_X7Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y31 IS_RESERVED 0 SITEPROP TIEOFF_X7Y31 IS_TEST 0 SITEPROP TIEOFF_X7Y31 IS_USED 0 SITEPROP TIEOFF_X7Y31 MANUAL_ROUTING SITEPROP TIEOFF_X7Y31 NAME TIEOFF_X7Y31 SITEPROP TIEOFF_X7Y31 NUM_ARCS 0 SITEPROP TIEOFF_X7Y31 NUM_BELS 2 SITEPROP TIEOFF_X7Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y31 NUM_PINS 2 SITEPROP TIEOFF_X7Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y31 PROHIBIT 0 SITEPROP TIEOFF_X7Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y31 RPM_X 36 SITEPROP TIEOFF_X7Y31 RPM_Y 62 SITEPROP TIEOFF_X7Y31 SITE_PIPS SITEPROP TIEOFF_X7Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y32 CLASS site SITEPROP TIEOFF_X7Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y32 IS_BONDED 0 SITEPROP TIEOFF_X7Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y32 IS_PAD 0 SITEPROP TIEOFF_X7Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y32 IS_RESERVED 0 SITEPROP TIEOFF_X7Y32 IS_TEST 0 SITEPROP TIEOFF_X7Y32 IS_USED 0 SITEPROP TIEOFF_X7Y32 MANUAL_ROUTING SITEPROP TIEOFF_X7Y32 NAME TIEOFF_X7Y32 SITEPROP TIEOFF_X7Y32 NUM_ARCS 0 SITEPROP TIEOFF_X7Y32 NUM_BELS 2 SITEPROP TIEOFF_X7Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y32 NUM_PINS 2 SITEPROP TIEOFF_X7Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y32 PROHIBIT 0 SITEPROP TIEOFF_X7Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y32 RPM_X 36 SITEPROP TIEOFF_X7Y32 RPM_Y 64 SITEPROP TIEOFF_X7Y32 SITE_PIPS SITEPROP TIEOFF_X7Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y33 CLASS site SITEPROP TIEOFF_X7Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y33 IS_BONDED 0 SITEPROP TIEOFF_X7Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y33 IS_PAD 0 SITEPROP TIEOFF_X7Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y33 IS_RESERVED 0 SITEPROP TIEOFF_X7Y33 IS_TEST 0 SITEPROP TIEOFF_X7Y33 IS_USED 0 SITEPROP TIEOFF_X7Y33 MANUAL_ROUTING SITEPROP TIEOFF_X7Y33 NAME TIEOFF_X7Y33 SITEPROP TIEOFF_X7Y33 NUM_ARCS 0 SITEPROP TIEOFF_X7Y33 NUM_BELS 2 SITEPROP TIEOFF_X7Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y33 NUM_PINS 2 SITEPROP TIEOFF_X7Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y33 PROHIBIT 0 SITEPROP TIEOFF_X7Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y33 RPM_X 36 SITEPROP TIEOFF_X7Y33 RPM_Y 66 SITEPROP TIEOFF_X7Y33 SITE_PIPS SITEPROP TIEOFF_X7Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y34 CLASS site SITEPROP TIEOFF_X7Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y34 IS_BONDED 0 SITEPROP TIEOFF_X7Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y34 IS_PAD 0 SITEPROP TIEOFF_X7Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y34 IS_RESERVED 0 SITEPROP TIEOFF_X7Y34 IS_TEST 0 SITEPROP TIEOFF_X7Y34 IS_USED 0 SITEPROP TIEOFF_X7Y34 MANUAL_ROUTING SITEPROP TIEOFF_X7Y34 NAME TIEOFF_X7Y34 SITEPROP TIEOFF_X7Y34 NUM_ARCS 0 SITEPROP TIEOFF_X7Y34 NUM_BELS 2 SITEPROP TIEOFF_X7Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y34 NUM_PINS 2 SITEPROP TIEOFF_X7Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y34 PROHIBIT 0 SITEPROP TIEOFF_X7Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y34 RPM_X 36 SITEPROP TIEOFF_X7Y34 RPM_Y 68 SITEPROP TIEOFF_X7Y34 SITE_PIPS SITEPROP TIEOFF_X7Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y35 CLASS site SITEPROP TIEOFF_X7Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y35 IS_BONDED 0 SITEPROP TIEOFF_X7Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y35 IS_PAD 0 SITEPROP TIEOFF_X7Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y35 IS_RESERVED 0 SITEPROP TIEOFF_X7Y35 IS_TEST 0 SITEPROP TIEOFF_X7Y35 IS_USED 0 SITEPROP TIEOFF_X7Y35 MANUAL_ROUTING SITEPROP TIEOFF_X7Y35 NAME TIEOFF_X7Y35 SITEPROP TIEOFF_X7Y35 NUM_ARCS 0 SITEPROP TIEOFF_X7Y35 NUM_BELS 2 SITEPROP TIEOFF_X7Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y35 NUM_PINS 2 SITEPROP TIEOFF_X7Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y35 PROHIBIT 0 SITEPROP TIEOFF_X7Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y35 RPM_X 36 SITEPROP TIEOFF_X7Y35 RPM_Y 70 SITEPROP TIEOFF_X7Y35 SITE_PIPS SITEPROP TIEOFF_X7Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y36 CLASS site SITEPROP TIEOFF_X7Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y36 IS_BONDED 0 SITEPROP TIEOFF_X7Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y36 IS_PAD 0 SITEPROP TIEOFF_X7Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y36 IS_RESERVED 0 SITEPROP TIEOFF_X7Y36 IS_TEST 0 SITEPROP TIEOFF_X7Y36 IS_USED 0 SITEPROP TIEOFF_X7Y36 MANUAL_ROUTING SITEPROP TIEOFF_X7Y36 NAME TIEOFF_X7Y36 SITEPROP TIEOFF_X7Y36 NUM_ARCS 0 SITEPROP TIEOFF_X7Y36 NUM_BELS 2 SITEPROP TIEOFF_X7Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y36 NUM_PINS 2 SITEPROP TIEOFF_X7Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y36 PROHIBIT 0 SITEPROP TIEOFF_X7Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y36 RPM_X 36 SITEPROP TIEOFF_X7Y36 RPM_Y 72 SITEPROP TIEOFF_X7Y36 SITE_PIPS SITEPROP TIEOFF_X7Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y37 CLASS site SITEPROP TIEOFF_X7Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y37 IS_BONDED 0 SITEPROP TIEOFF_X7Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y37 IS_PAD 0 SITEPROP TIEOFF_X7Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y37 IS_RESERVED 0 SITEPROP TIEOFF_X7Y37 IS_TEST 0 SITEPROP TIEOFF_X7Y37 IS_USED 0 SITEPROP TIEOFF_X7Y37 MANUAL_ROUTING SITEPROP TIEOFF_X7Y37 NAME TIEOFF_X7Y37 SITEPROP TIEOFF_X7Y37 NUM_ARCS 0 SITEPROP TIEOFF_X7Y37 NUM_BELS 2 SITEPROP TIEOFF_X7Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y37 NUM_PINS 2 SITEPROP TIEOFF_X7Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y37 PROHIBIT 0 SITEPROP TIEOFF_X7Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y37 RPM_X 36 SITEPROP TIEOFF_X7Y37 RPM_Y 74 SITEPROP TIEOFF_X7Y37 SITE_PIPS SITEPROP TIEOFF_X7Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y38 CLASS site SITEPROP TIEOFF_X7Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y38 IS_BONDED 0 SITEPROP TIEOFF_X7Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y38 IS_PAD 0 SITEPROP TIEOFF_X7Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y38 IS_RESERVED 0 SITEPROP TIEOFF_X7Y38 IS_TEST 0 SITEPROP TIEOFF_X7Y38 IS_USED 0 SITEPROP TIEOFF_X7Y38 MANUAL_ROUTING SITEPROP TIEOFF_X7Y38 NAME TIEOFF_X7Y38 SITEPROP TIEOFF_X7Y38 NUM_ARCS 0 SITEPROP TIEOFF_X7Y38 NUM_BELS 2 SITEPROP TIEOFF_X7Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y38 NUM_PINS 2 SITEPROP TIEOFF_X7Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y38 PROHIBIT 0 SITEPROP TIEOFF_X7Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y38 RPM_X 36 SITEPROP TIEOFF_X7Y38 RPM_Y 76 SITEPROP TIEOFF_X7Y38 SITE_PIPS SITEPROP TIEOFF_X7Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y39 CLASS site SITEPROP TIEOFF_X7Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y39 IS_BONDED 0 SITEPROP TIEOFF_X7Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y39 IS_PAD 0 SITEPROP TIEOFF_X7Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y39 IS_RESERVED 0 SITEPROP TIEOFF_X7Y39 IS_TEST 0 SITEPROP TIEOFF_X7Y39 IS_USED 0 SITEPROP TIEOFF_X7Y39 MANUAL_ROUTING SITEPROP TIEOFF_X7Y39 NAME TIEOFF_X7Y39 SITEPROP TIEOFF_X7Y39 NUM_ARCS 0 SITEPROP TIEOFF_X7Y39 NUM_BELS 2 SITEPROP TIEOFF_X7Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y39 NUM_PINS 2 SITEPROP TIEOFF_X7Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y39 PROHIBIT 0 SITEPROP TIEOFF_X7Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y39 RPM_X 36 SITEPROP TIEOFF_X7Y39 RPM_Y 78 SITEPROP TIEOFF_X7Y39 SITE_PIPS SITEPROP TIEOFF_X7Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y40 CLASS site SITEPROP TIEOFF_X7Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y40 IS_BONDED 0 SITEPROP TIEOFF_X7Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y40 IS_PAD 0 SITEPROP TIEOFF_X7Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y40 IS_RESERVED 0 SITEPROP TIEOFF_X7Y40 IS_TEST 0 SITEPROP TIEOFF_X7Y40 IS_USED 0 SITEPROP TIEOFF_X7Y40 MANUAL_ROUTING SITEPROP TIEOFF_X7Y40 NAME TIEOFF_X7Y40 SITEPROP TIEOFF_X7Y40 NUM_ARCS 0 SITEPROP TIEOFF_X7Y40 NUM_BELS 2 SITEPROP TIEOFF_X7Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y40 NUM_PINS 2 SITEPROP TIEOFF_X7Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y40 PROHIBIT 0 SITEPROP TIEOFF_X7Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y40 RPM_X 36 SITEPROP TIEOFF_X7Y40 RPM_Y 80 SITEPROP TIEOFF_X7Y40 SITE_PIPS SITEPROP TIEOFF_X7Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y41 CLASS site SITEPROP TIEOFF_X7Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y41 IS_BONDED 0 SITEPROP TIEOFF_X7Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y41 IS_PAD 0 SITEPROP TIEOFF_X7Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y41 IS_RESERVED 0 SITEPROP TIEOFF_X7Y41 IS_TEST 0 SITEPROP TIEOFF_X7Y41 IS_USED 0 SITEPROP TIEOFF_X7Y41 MANUAL_ROUTING SITEPROP TIEOFF_X7Y41 NAME TIEOFF_X7Y41 SITEPROP TIEOFF_X7Y41 NUM_ARCS 0 SITEPROP TIEOFF_X7Y41 NUM_BELS 2 SITEPROP TIEOFF_X7Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y41 NUM_PINS 2 SITEPROP TIEOFF_X7Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y41 PROHIBIT 0 SITEPROP TIEOFF_X7Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y41 RPM_X 36 SITEPROP TIEOFF_X7Y41 RPM_Y 82 SITEPROP TIEOFF_X7Y41 SITE_PIPS SITEPROP TIEOFF_X7Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y42 CLASS site SITEPROP TIEOFF_X7Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y42 IS_BONDED 0 SITEPROP TIEOFF_X7Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y42 IS_PAD 0 SITEPROP TIEOFF_X7Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y42 IS_RESERVED 0 SITEPROP TIEOFF_X7Y42 IS_TEST 0 SITEPROP TIEOFF_X7Y42 IS_USED 0 SITEPROP TIEOFF_X7Y42 MANUAL_ROUTING SITEPROP TIEOFF_X7Y42 NAME TIEOFF_X7Y42 SITEPROP TIEOFF_X7Y42 NUM_ARCS 0 SITEPROP TIEOFF_X7Y42 NUM_BELS 2 SITEPROP TIEOFF_X7Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y42 NUM_PINS 2 SITEPROP TIEOFF_X7Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y42 PROHIBIT 0 SITEPROP TIEOFF_X7Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y42 RPM_X 36 SITEPROP TIEOFF_X7Y42 RPM_Y 84 SITEPROP TIEOFF_X7Y42 SITE_PIPS SITEPROP TIEOFF_X7Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y43 CLASS site SITEPROP TIEOFF_X7Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y43 IS_BONDED 0 SITEPROP TIEOFF_X7Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y43 IS_PAD 0 SITEPROP TIEOFF_X7Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y43 IS_RESERVED 0 SITEPROP TIEOFF_X7Y43 IS_TEST 0 SITEPROP TIEOFF_X7Y43 IS_USED 0 SITEPROP TIEOFF_X7Y43 MANUAL_ROUTING SITEPROP TIEOFF_X7Y43 NAME TIEOFF_X7Y43 SITEPROP TIEOFF_X7Y43 NUM_ARCS 0 SITEPROP TIEOFF_X7Y43 NUM_BELS 2 SITEPROP TIEOFF_X7Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y43 NUM_PINS 2 SITEPROP TIEOFF_X7Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y43 PROHIBIT 0 SITEPROP TIEOFF_X7Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y43 RPM_X 36 SITEPROP TIEOFF_X7Y43 RPM_Y 86 SITEPROP TIEOFF_X7Y43 SITE_PIPS SITEPROP TIEOFF_X7Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y44 CLASS site SITEPROP TIEOFF_X7Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y44 IS_BONDED 0 SITEPROP TIEOFF_X7Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y44 IS_PAD 0 SITEPROP TIEOFF_X7Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y44 IS_RESERVED 0 SITEPROP TIEOFF_X7Y44 IS_TEST 0 SITEPROP TIEOFF_X7Y44 IS_USED 0 SITEPROP TIEOFF_X7Y44 MANUAL_ROUTING SITEPROP TIEOFF_X7Y44 NAME TIEOFF_X7Y44 SITEPROP TIEOFF_X7Y44 NUM_ARCS 0 SITEPROP TIEOFF_X7Y44 NUM_BELS 2 SITEPROP TIEOFF_X7Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y44 NUM_PINS 2 SITEPROP TIEOFF_X7Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y44 PROHIBIT 0 SITEPROP TIEOFF_X7Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y44 RPM_X 36 SITEPROP TIEOFF_X7Y44 RPM_Y 88 SITEPROP TIEOFF_X7Y44 SITE_PIPS SITEPROP TIEOFF_X7Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y45 CLASS site SITEPROP TIEOFF_X7Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y45 IS_BONDED 0 SITEPROP TIEOFF_X7Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y45 IS_PAD 0 SITEPROP TIEOFF_X7Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y45 IS_RESERVED 0 SITEPROP TIEOFF_X7Y45 IS_TEST 0 SITEPROP TIEOFF_X7Y45 IS_USED 0 SITEPROP TIEOFF_X7Y45 MANUAL_ROUTING SITEPROP TIEOFF_X7Y45 NAME TIEOFF_X7Y45 SITEPROP TIEOFF_X7Y45 NUM_ARCS 0 SITEPROP TIEOFF_X7Y45 NUM_BELS 2 SITEPROP TIEOFF_X7Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y45 NUM_PINS 2 SITEPROP TIEOFF_X7Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y45 PROHIBIT 0 SITEPROP TIEOFF_X7Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y45 RPM_X 36 SITEPROP TIEOFF_X7Y45 RPM_Y 90 SITEPROP TIEOFF_X7Y45 SITE_PIPS SITEPROP TIEOFF_X7Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y46 CLASS site SITEPROP TIEOFF_X7Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y46 IS_BONDED 0 SITEPROP TIEOFF_X7Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y46 IS_PAD 0 SITEPROP TIEOFF_X7Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y46 IS_RESERVED 0 SITEPROP TIEOFF_X7Y46 IS_TEST 0 SITEPROP TIEOFF_X7Y46 IS_USED 0 SITEPROP TIEOFF_X7Y46 MANUAL_ROUTING SITEPROP TIEOFF_X7Y46 NAME TIEOFF_X7Y46 SITEPROP TIEOFF_X7Y46 NUM_ARCS 0 SITEPROP TIEOFF_X7Y46 NUM_BELS 2 SITEPROP TIEOFF_X7Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y46 NUM_PINS 2 SITEPROP TIEOFF_X7Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y46 PROHIBIT 0 SITEPROP TIEOFF_X7Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y46 RPM_X 36 SITEPROP TIEOFF_X7Y46 RPM_Y 92 SITEPROP TIEOFF_X7Y46 SITE_PIPS SITEPROP TIEOFF_X7Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y47 CLASS site SITEPROP TIEOFF_X7Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y47 IS_BONDED 0 SITEPROP TIEOFF_X7Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y47 IS_PAD 0 SITEPROP TIEOFF_X7Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y47 IS_RESERVED 0 SITEPROP TIEOFF_X7Y47 IS_TEST 0 SITEPROP TIEOFF_X7Y47 IS_USED 0 SITEPROP TIEOFF_X7Y47 MANUAL_ROUTING SITEPROP TIEOFF_X7Y47 NAME TIEOFF_X7Y47 SITEPROP TIEOFF_X7Y47 NUM_ARCS 0 SITEPROP TIEOFF_X7Y47 NUM_BELS 2 SITEPROP TIEOFF_X7Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y47 NUM_PINS 2 SITEPROP TIEOFF_X7Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y47 PROHIBIT 0 SITEPROP TIEOFF_X7Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y47 RPM_X 36 SITEPROP TIEOFF_X7Y47 RPM_Y 94 SITEPROP TIEOFF_X7Y47 SITE_PIPS SITEPROP TIEOFF_X7Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y48 CLASS site SITEPROP TIEOFF_X7Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y48 IS_BONDED 0 SITEPROP TIEOFF_X7Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y48 IS_PAD 0 SITEPROP TIEOFF_X7Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y48 IS_RESERVED 0 SITEPROP TIEOFF_X7Y48 IS_TEST 0 SITEPROP TIEOFF_X7Y48 IS_USED 0 SITEPROP TIEOFF_X7Y48 MANUAL_ROUTING SITEPROP TIEOFF_X7Y48 NAME TIEOFF_X7Y48 SITEPROP TIEOFF_X7Y48 NUM_ARCS 0 SITEPROP TIEOFF_X7Y48 NUM_BELS 2 SITEPROP TIEOFF_X7Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y48 NUM_PINS 2 SITEPROP TIEOFF_X7Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y48 PROHIBIT 0 SITEPROP TIEOFF_X7Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y48 RPM_X 36 SITEPROP TIEOFF_X7Y48 RPM_Y 96 SITEPROP TIEOFF_X7Y48 SITE_PIPS SITEPROP TIEOFF_X7Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y49 CLASS site SITEPROP TIEOFF_X7Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X7Y49 IS_BONDED 0 SITEPROP TIEOFF_X7Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y49 IS_PAD 0 SITEPROP TIEOFF_X7Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y49 IS_RESERVED 0 SITEPROP TIEOFF_X7Y49 IS_TEST 0 SITEPROP TIEOFF_X7Y49 IS_USED 0 SITEPROP TIEOFF_X7Y49 MANUAL_ROUTING SITEPROP TIEOFF_X7Y49 NAME TIEOFF_X7Y49 SITEPROP TIEOFF_X7Y49 NUM_ARCS 0 SITEPROP TIEOFF_X7Y49 NUM_BELS 2 SITEPROP TIEOFF_X7Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y49 NUM_PINS 2 SITEPROP TIEOFF_X7Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y49 PROHIBIT 0 SITEPROP TIEOFF_X7Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y49 RPM_X 36 SITEPROP TIEOFF_X7Y49 RPM_Y 98 SITEPROP TIEOFF_X7Y49 SITE_PIPS SITEPROP TIEOFF_X7Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y50 CLASS site SITEPROP TIEOFF_X7Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y50 IS_BONDED 0 SITEPROP TIEOFF_X7Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y50 IS_PAD 0 SITEPROP TIEOFF_X7Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y50 IS_RESERVED 0 SITEPROP TIEOFF_X7Y50 IS_TEST 0 SITEPROP TIEOFF_X7Y50 IS_USED 0 SITEPROP TIEOFF_X7Y50 MANUAL_ROUTING SITEPROP TIEOFF_X7Y50 NAME TIEOFF_X7Y50 SITEPROP TIEOFF_X7Y50 NUM_ARCS 0 SITEPROP TIEOFF_X7Y50 NUM_BELS 2 SITEPROP TIEOFF_X7Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y50 NUM_PINS 2 SITEPROP TIEOFF_X7Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y50 PROHIBIT 0 SITEPROP TIEOFF_X7Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y50 RPM_X 36 SITEPROP TIEOFF_X7Y50 RPM_Y 100 SITEPROP TIEOFF_X7Y50 SITE_PIPS SITEPROP TIEOFF_X7Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y51 CLASS site SITEPROP TIEOFF_X7Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y51 IS_BONDED 0 SITEPROP TIEOFF_X7Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y51 IS_PAD 0 SITEPROP TIEOFF_X7Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y51 IS_RESERVED 0 SITEPROP TIEOFF_X7Y51 IS_TEST 0 SITEPROP TIEOFF_X7Y51 IS_USED 0 SITEPROP TIEOFF_X7Y51 MANUAL_ROUTING SITEPROP TIEOFF_X7Y51 NAME TIEOFF_X7Y51 SITEPROP TIEOFF_X7Y51 NUM_ARCS 0 SITEPROP TIEOFF_X7Y51 NUM_BELS 2 SITEPROP TIEOFF_X7Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y51 NUM_PINS 2 SITEPROP TIEOFF_X7Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y51 PROHIBIT 0 SITEPROP TIEOFF_X7Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y51 RPM_X 36 SITEPROP TIEOFF_X7Y51 RPM_Y 102 SITEPROP TIEOFF_X7Y51 SITE_PIPS SITEPROP TIEOFF_X7Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y52 CLASS site SITEPROP TIEOFF_X7Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y52 IS_BONDED 0 SITEPROP TIEOFF_X7Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y52 IS_PAD 0 SITEPROP TIEOFF_X7Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y52 IS_RESERVED 0 SITEPROP TIEOFF_X7Y52 IS_TEST 0 SITEPROP TIEOFF_X7Y52 IS_USED 0 SITEPROP TIEOFF_X7Y52 MANUAL_ROUTING SITEPROP TIEOFF_X7Y52 NAME TIEOFF_X7Y52 SITEPROP TIEOFF_X7Y52 NUM_ARCS 0 SITEPROP TIEOFF_X7Y52 NUM_BELS 2 SITEPROP TIEOFF_X7Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y52 NUM_PINS 2 SITEPROP TIEOFF_X7Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y52 PROHIBIT 0 SITEPROP TIEOFF_X7Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y52 RPM_X 36 SITEPROP TIEOFF_X7Y52 RPM_Y 104 SITEPROP TIEOFF_X7Y52 SITE_PIPS SITEPROP TIEOFF_X7Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y53 CLASS site SITEPROP TIEOFF_X7Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y53 IS_BONDED 0 SITEPROP TIEOFF_X7Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y53 IS_PAD 0 SITEPROP TIEOFF_X7Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y53 IS_RESERVED 0 SITEPROP TIEOFF_X7Y53 IS_TEST 0 SITEPROP TIEOFF_X7Y53 IS_USED 0 SITEPROP TIEOFF_X7Y53 MANUAL_ROUTING SITEPROP TIEOFF_X7Y53 NAME TIEOFF_X7Y53 SITEPROP TIEOFF_X7Y53 NUM_ARCS 0 SITEPROP TIEOFF_X7Y53 NUM_BELS 2 SITEPROP TIEOFF_X7Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y53 NUM_PINS 2 SITEPROP TIEOFF_X7Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y53 PROHIBIT 0 SITEPROP TIEOFF_X7Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y53 RPM_X 36 SITEPROP TIEOFF_X7Y53 RPM_Y 106 SITEPROP TIEOFF_X7Y53 SITE_PIPS SITEPROP TIEOFF_X7Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y54 CLASS site SITEPROP TIEOFF_X7Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y54 IS_BONDED 0 SITEPROP TIEOFF_X7Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y54 IS_PAD 0 SITEPROP TIEOFF_X7Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y54 IS_RESERVED 0 SITEPROP TIEOFF_X7Y54 IS_TEST 0 SITEPROP TIEOFF_X7Y54 IS_USED 0 SITEPROP TIEOFF_X7Y54 MANUAL_ROUTING SITEPROP TIEOFF_X7Y54 NAME TIEOFF_X7Y54 SITEPROP TIEOFF_X7Y54 NUM_ARCS 0 SITEPROP TIEOFF_X7Y54 NUM_BELS 2 SITEPROP TIEOFF_X7Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y54 NUM_PINS 2 SITEPROP TIEOFF_X7Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y54 PROHIBIT 0 SITEPROP TIEOFF_X7Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y54 RPM_X 36 SITEPROP TIEOFF_X7Y54 RPM_Y 108 SITEPROP TIEOFF_X7Y54 SITE_PIPS SITEPROP TIEOFF_X7Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y55 CLASS site SITEPROP TIEOFF_X7Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y55 IS_BONDED 0 SITEPROP TIEOFF_X7Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y55 IS_PAD 0 SITEPROP TIEOFF_X7Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y55 IS_RESERVED 0 SITEPROP TIEOFF_X7Y55 IS_TEST 0 SITEPROP TIEOFF_X7Y55 IS_USED 0 SITEPROP TIEOFF_X7Y55 MANUAL_ROUTING SITEPROP TIEOFF_X7Y55 NAME TIEOFF_X7Y55 SITEPROP TIEOFF_X7Y55 NUM_ARCS 0 SITEPROP TIEOFF_X7Y55 NUM_BELS 2 SITEPROP TIEOFF_X7Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y55 NUM_PINS 2 SITEPROP TIEOFF_X7Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y55 PROHIBIT 0 SITEPROP TIEOFF_X7Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y55 RPM_X 36 SITEPROP TIEOFF_X7Y55 RPM_Y 110 SITEPROP TIEOFF_X7Y55 SITE_PIPS SITEPROP TIEOFF_X7Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y56 CLASS site SITEPROP TIEOFF_X7Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y56 IS_BONDED 0 SITEPROP TIEOFF_X7Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y56 IS_PAD 0 SITEPROP TIEOFF_X7Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y56 IS_RESERVED 0 SITEPROP TIEOFF_X7Y56 IS_TEST 0 SITEPROP TIEOFF_X7Y56 IS_USED 0 SITEPROP TIEOFF_X7Y56 MANUAL_ROUTING SITEPROP TIEOFF_X7Y56 NAME TIEOFF_X7Y56 SITEPROP TIEOFF_X7Y56 NUM_ARCS 0 SITEPROP TIEOFF_X7Y56 NUM_BELS 2 SITEPROP TIEOFF_X7Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y56 NUM_PINS 2 SITEPROP TIEOFF_X7Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y56 PROHIBIT 0 SITEPROP TIEOFF_X7Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y56 RPM_X 36 SITEPROP TIEOFF_X7Y56 RPM_Y 112 SITEPROP TIEOFF_X7Y56 SITE_PIPS SITEPROP TIEOFF_X7Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y57 CLASS site SITEPROP TIEOFF_X7Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y57 IS_BONDED 0 SITEPROP TIEOFF_X7Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y57 IS_PAD 0 SITEPROP TIEOFF_X7Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y57 IS_RESERVED 0 SITEPROP TIEOFF_X7Y57 IS_TEST 0 SITEPROP TIEOFF_X7Y57 IS_USED 0 SITEPROP TIEOFF_X7Y57 MANUAL_ROUTING SITEPROP TIEOFF_X7Y57 NAME TIEOFF_X7Y57 SITEPROP TIEOFF_X7Y57 NUM_ARCS 0 SITEPROP TIEOFF_X7Y57 NUM_BELS 2 SITEPROP TIEOFF_X7Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y57 NUM_PINS 2 SITEPROP TIEOFF_X7Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y57 PROHIBIT 0 SITEPROP TIEOFF_X7Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y57 RPM_X 36 SITEPROP TIEOFF_X7Y57 RPM_Y 114 SITEPROP TIEOFF_X7Y57 SITE_PIPS SITEPROP TIEOFF_X7Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y58 CLASS site SITEPROP TIEOFF_X7Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y58 IS_BONDED 0 SITEPROP TIEOFF_X7Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y58 IS_PAD 0 SITEPROP TIEOFF_X7Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y58 IS_RESERVED 0 SITEPROP TIEOFF_X7Y58 IS_TEST 0 SITEPROP TIEOFF_X7Y58 IS_USED 0 SITEPROP TIEOFF_X7Y58 MANUAL_ROUTING SITEPROP TIEOFF_X7Y58 NAME TIEOFF_X7Y58 SITEPROP TIEOFF_X7Y58 NUM_ARCS 0 SITEPROP TIEOFF_X7Y58 NUM_BELS 2 SITEPROP TIEOFF_X7Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y58 NUM_PINS 2 SITEPROP TIEOFF_X7Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y58 PROHIBIT 0 SITEPROP TIEOFF_X7Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y58 RPM_X 36 SITEPROP TIEOFF_X7Y58 RPM_Y 116 SITEPROP TIEOFF_X7Y58 SITE_PIPS SITEPROP TIEOFF_X7Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y59 CLASS site SITEPROP TIEOFF_X7Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y59 IS_BONDED 0 SITEPROP TIEOFF_X7Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y59 IS_PAD 0 SITEPROP TIEOFF_X7Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y59 IS_RESERVED 0 SITEPROP TIEOFF_X7Y59 IS_TEST 0 SITEPROP TIEOFF_X7Y59 IS_USED 0 SITEPROP TIEOFF_X7Y59 MANUAL_ROUTING SITEPROP TIEOFF_X7Y59 NAME TIEOFF_X7Y59 SITEPROP TIEOFF_X7Y59 NUM_ARCS 0 SITEPROP TIEOFF_X7Y59 NUM_BELS 2 SITEPROP TIEOFF_X7Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y59 NUM_PINS 2 SITEPROP TIEOFF_X7Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y59 PROHIBIT 0 SITEPROP TIEOFF_X7Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y59 RPM_X 36 SITEPROP TIEOFF_X7Y59 RPM_Y 118 SITEPROP TIEOFF_X7Y59 SITE_PIPS SITEPROP TIEOFF_X7Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y60 CLASS site SITEPROP TIEOFF_X7Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y60 IS_BONDED 0 SITEPROP TIEOFF_X7Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y60 IS_PAD 0 SITEPROP TIEOFF_X7Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y60 IS_RESERVED 0 SITEPROP TIEOFF_X7Y60 IS_TEST 0 SITEPROP TIEOFF_X7Y60 IS_USED 0 SITEPROP TIEOFF_X7Y60 MANUAL_ROUTING SITEPROP TIEOFF_X7Y60 NAME TIEOFF_X7Y60 SITEPROP TIEOFF_X7Y60 NUM_ARCS 0 SITEPROP TIEOFF_X7Y60 NUM_BELS 2 SITEPROP TIEOFF_X7Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y60 NUM_PINS 2 SITEPROP TIEOFF_X7Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y60 PROHIBIT 0 SITEPROP TIEOFF_X7Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y60 RPM_X 36 SITEPROP TIEOFF_X7Y60 RPM_Y 120 SITEPROP TIEOFF_X7Y60 SITE_PIPS SITEPROP TIEOFF_X7Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y61 CLASS site SITEPROP TIEOFF_X7Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y61 IS_BONDED 0 SITEPROP TIEOFF_X7Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y61 IS_PAD 0 SITEPROP TIEOFF_X7Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y61 IS_RESERVED 0 SITEPROP TIEOFF_X7Y61 IS_TEST 0 SITEPROP TIEOFF_X7Y61 IS_USED 0 SITEPROP TIEOFF_X7Y61 MANUAL_ROUTING SITEPROP TIEOFF_X7Y61 NAME TIEOFF_X7Y61 SITEPROP TIEOFF_X7Y61 NUM_ARCS 0 SITEPROP TIEOFF_X7Y61 NUM_BELS 2 SITEPROP TIEOFF_X7Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y61 NUM_PINS 2 SITEPROP TIEOFF_X7Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y61 PROHIBIT 0 SITEPROP TIEOFF_X7Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y61 RPM_X 36 SITEPROP TIEOFF_X7Y61 RPM_Y 122 SITEPROP TIEOFF_X7Y61 SITE_PIPS SITEPROP TIEOFF_X7Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y62 CLASS site SITEPROP TIEOFF_X7Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y62 IS_BONDED 0 SITEPROP TIEOFF_X7Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y62 IS_PAD 0 SITEPROP TIEOFF_X7Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y62 IS_RESERVED 0 SITEPROP TIEOFF_X7Y62 IS_TEST 0 SITEPROP TIEOFF_X7Y62 IS_USED 0 SITEPROP TIEOFF_X7Y62 MANUAL_ROUTING SITEPROP TIEOFF_X7Y62 NAME TIEOFF_X7Y62 SITEPROP TIEOFF_X7Y62 NUM_ARCS 0 SITEPROP TIEOFF_X7Y62 NUM_BELS 2 SITEPROP TIEOFF_X7Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y62 NUM_PINS 2 SITEPROP TIEOFF_X7Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y62 PROHIBIT 0 SITEPROP TIEOFF_X7Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y62 RPM_X 36 SITEPROP TIEOFF_X7Y62 RPM_Y 124 SITEPROP TIEOFF_X7Y62 SITE_PIPS SITEPROP TIEOFF_X7Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y63 CLASS site SITEPROP TIEOFF_X7Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y63 IS_BONDED 0 SITEPROP TIEOFF_X7Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y63 IS_PAD 0 SITEPROP TIEOFF_X7Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y63 IS_RESERVED 0 SITEPROP TIEOFF_X7Y63 IS_TEST 0 SITEPROP TIEOFF_X7Y63 IS_USED 0 SITEPROP TIEOFF_X7Y63 MANUAL_ROUTING SITEPROP TIEOFF_X7Y63 NAME TIEOFF_X7Y63 SITEPROP TIEOFF_X7Y63 NUM_ARCS 0 SITEPROP TIEOFF_X7Y63 NUM_BELS 2 SITEPROP TIEOFF_X7Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y63 NUM_PINS 2 SITEPROP TIEOFF_X7Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y63 PROHIBIT 0 SITEPROP TIEOFF_X7Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y63 RPM_X 36 SITEPROP TIEOFF_X7Y63 RPM_Y 126 SITEPROP TIEOFF_X7Y63 SITE_PIPS SITEPROP TIEOFF_X7Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y64 CLASS site SITEPROP TIEOFF_X7Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y64 IS_BONDED 0 SITEPROP TIEOFF_X7Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y64 IS_PAD 0 SITEPROP TIEOFF_X7Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y64 IS_RESERVED 0 SITEPROP TIEOFF_X7Y64 IS_TEST 0 SITEPROP TIEOFF_X7Y64 IS_USED 0 SITEPROP TIEOFF_X7Y64 MANUAL_ROUTING SITEPROP TIEOFF_X7Y64 NAME TIEOFF_X7Y64 SITEPROP TIEOFF_X7Y64 NUM_ARCS 0 SITEPROP TIEOFF_X7Y64 NUM_BELS 2 SITEPROP TIEOFF_X7Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y64 NUM_PINS 2 SITEPROP TIEOFF_X7Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y64 PROHIBIT 0 SITEPROP TIEOFF_X7Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y64 RPM_X 36 SITEPROP TIEOFF_X7Y64 RPM_Y 128 SITEPROP TIEOFF_X7Y64 SITE_PIPS SITEPROP TIEOFF_X7Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y65 CLASS site SITEPROP TIEOFF_X7Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y65 IS_BONDED 0 SITEPROP TIEOFF_X7Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y65 IS_PAD 0 SITEPROP TIEOFF_X7Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y65 IS_RESERVED 0 SITEPROP TIEOFF_X7Y65 IS_TEST 0 SITEPROP TIEOFF_X7Y65 IS_USED 0 SITEPROP TIEOFF_X7Y65 MANUAL_ROUTING SITEPROP TIEOFF_X7Y65 NAME TIEOFF_X7Y65 SITEPROP TIEOFF_X7Y65 NUM_ARCS 0 SITEPROP TIEOFF_X7Y65 NUM_BELS 2 SITEPROP TIEOFF_X7Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y65 NUM_PINS 2 SITEPROP TIEOFF_X7Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y65 PROHIBIT 0 SITEPROP TIEOFF_X7Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y65 RPM_X 36 SITEPROP TIEOFF_X7Y65 RPM_Y 130 SITEPROP TIEOFF_X7Y65 SITE_PIPS SITEPROP TIEOFF_X7Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y66 CLASS site SITEPROP TIEOFF_X7Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y66 IS_BONDED 0 SITEPROP TIEOFF_X7Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y66 IS_PAD 0 SITEPROP TIEOFF_X7Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y66 IS_RESERVED 0 SITEPROP TIEOFF_X7Y66 IS_TEST 0 SITEPROP TIEOFF_X7Y66 IS_USED 0 SITEPROP TIEOFF_X7Y66 MANUAL_ROUTING SITEPROP TIEOFF_X7Y66 NAME TIEOFF_X7Y66 SITEPROP TIEOFF_X7Y66 NUM_ARCS 0 SITEPROP TIEOFF_X7Y66 NUM_BELS 2 SITEPROP TIEOFF_X7Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y66 NUM_PINS 2 SITEPROP TIEOFF_X7Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y66 PROHIBIT 0 SITEPROP TIEOFF_X7Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y66 RPM_X 36 SITEPROP TIEOFF_X7Y66 RPM_Y 132 SITEPROP TIEOFF_X7Y66 SITE_PIPS SITEPROP TIEOFF_X7Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y67 CLASS site SITEPROP TIEOFF_X7Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y67 IS_BONDED 0 SITEPROP TIEOFF_X7Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y67 IS_PAD 0 SITEPROP TIEOFF_X7Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y67 IS_RESERVED 0 SITEPROP TIEOFF_X7Y67 IS_TEST 0 SITEPROP TIEOFF_X7Y67 IS_USED 0 SITEPROP TIEOFF_X7Y67 MANUAL_ROUTING SITEPROP TIEOFF_X7Y67 NAME TIEOFF_X7Y67 SITEPROP TIEOFF_X7Y67 NUM_ARCS 0 SITEPROP TIEOFF_X7Y67 NUM_BELS 2 SITEPROP TIEOFF_X7Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y67 NUM_PINS 2 SITEPROP TIEOFF_X7Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y67 PROHIBIT 0 SITEPROP TIEOFF_X7Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y67 RPM_X 36 SITEPROP TIEOFF_X7Y67 RPM_Y 134 SITEPROP TIEOFF_X7Y67 SITE_PIPS SITEPROP TIEOFF_X7Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y68 CLASS site SITEPROP TIEOFF_X7Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y68 IS_BONDED 0 SITEPROP TIEOFF_X7Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y68 IS_PAD 0 SITEPROP TIEOFF_X7Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y68 IS_RESERVED 0 SITEPROP TIEOFF_X7Y68 IS_TEST 0 SITEPROP TIEOFF_X7Y68 IS_USED 0 SITEPROP TIEOFF_X7Y68 MANUAL_ROUTING SITEPROP TIEOFF_X7Y68 NAME TIEOFF_X7Y68 SITEPROP TIEOFF_X7Y68 NUM_ARCS 0 SITEPROP TIEOFF_X7Y68 NUM_BELS 2 SITEPROP TIEOFF_X7Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y68 NUM_PINS 2 SITEPROP TIEOFF_X7Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y68 PROHIBIT 0 SITEPROP TIEOFF_X7Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y68 RPM_X 36 SITEPROP TIEOFF_X7Y68 RPM_Y 136 SITEPROP TIEOFF_X7Y68 SITE_PIPS SITEPROP TIEOFF_X7Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y69 CLASS site SITEPROP TIEOFF_X7Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y69 IS_BONDED 0 SITEPROP TIEOFF_X7Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y69 IS_PAD 0 SITEPROP TIEOFF_X7Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y69 IS_RESERVED 0 SITEPROP TIEOFF_X7Y69 IS_TEST 0 SITEPROP TIEOFF_X7Y69 IS_USED 0 SITEPROP TIEOFF_X7Y69 MANUAL_ROUTING SITEPROP TIEOFF_X7Y69 NAME TIEOFF_X7Y69 SITEPROP TIEOFF_X7Y69 NUM_ARCS 0 SITEPROP TIEOFF_X7Y69 NUM_BELS 2 SITEPROP TIEOFF_X7Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y69 NUM_PINS 2 SITEPROP TIEOFF_X7Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y69 PROHIBIT 0 SITEPROP TIEOFF_X7Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y69 RPM_X 36 SITEPROP TIEOFF_X7Y69 RPM_Y 138 SITEPROP TIEOFF_X7Y69 SITE_PIPS SITEPROP TIEOFF_X7Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y70 CLASS site SITEPROP TIEOFF_X7Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y70 IS_BONDED 0 SITEPROP TIEOFF_X7Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y70 IS_PAD 0 SITEPROP TIEOFF_X7Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y70 IS_RESERVED 0 SITEPROP TIEOFF_X7Y70 IS_TEST 0 SITEPROP TIEOFF_X7Y70 IS_USED 0 SITEPROP TIEOFF_X7Y70 MANUAL_ROUTING SITEPROP TIEOFF_X7Y70 NAME TIEOFF_X7Y70 SITEPROP TIEOFF_X7Y70 NUM_ARCS 0 SITEPROP TIEOFF_X7Y70 NUM_BELS 2 SITEPROP TIEOFF_X7Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y70 NUM_PINS 2 SITEPROP TIEOFF_X7Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y70 PROHIBIT 0 SITEPROP TIEOFF_X7Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y70 RPM_X 36 SITEPROP TIEOFF_X7Y70 RPM_Y 140 SITEPROP TIEOFF_X7Y70 SITE_PIPS SITEPROP TIEOFF_X7Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y71 CLASS site SITEPROP TIEOFF_X7Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y71 IS_BONDED 0 SITEPROP TIEOFF_X7Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y71 IS_PAD 0 SITEPROP TIEOFF_X7Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y71 IS_RESERVED 0 SITEPROP TIEOFF_X7Y71 IS_TEST 0 SITEPROP TIEOFF_X7Y71 IS_USED 0 SITEPROP TIEOFF_X7Y71 MANUAL_ROUTING SITEPROP TIEOFF_X7Y71 NAME TIEOFF_X7Y71 SITEPROP TIEOFF_X7Y71 NUM_ARCS 0 SITEPROP TIEOFF_X7Y71 NUM_BELS 2 SITEPROP TIEOFF_X7Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y71 NUM_PINS 2 SITEPROP TIEOFF_X7Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y71 PROHIBIT 0 SITEPROP TIEOFF_X7Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y71 RPM_X 36 SITEPROP TIEOFF_X7Y71 RPM_Y 142 SITEPROP TIEOFF_X7Y71 SITE_PIPS SITEPROP TIEOFF_X7Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y72 CLASS site SITEPROP TIEOFF_X7Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y72 IS_BONDED 0 SITEPROP TIEOFF_X7Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y72 IS_PAD 0 SITEPROP TIEOFF_X7Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y72 IS_RESERVED 0 SITEPROP TIEOFF_X7Y72 IS_TEST 0 SITEPROP TIEOFF_X7Y72 IS_USED 0 SITEPROP TIEOFF_X7Y72 MANUAL_ROUTING SITEPROP TIEOFF_X7Y72 NAME TIEOFF_X7Y72 SITEPROP TIEOFF_X7Y72 NUM_ARCS 0 SITEPROP TIEOFF_X7Y72 NUM_BELS 2 SITEPROP TIEOFF_X7Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y72 NUM_PINS 2 SITEPROP TIEOFF_X7Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y72 PROHIBIT 0 SITEPROP TIEOFF_X7Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y72 RPM_X 36 SITEPROP TIEOFF_X7Y72 RPM_Y 144 SITEPROP TIEOFF_X7Y72 SITE_PIPS SITEPROP TIEOFF_X7Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y73 CLASS site SITEPROP TIEOFF_X7Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y73 IS_BONDED 0 SITEPROP TIEOFF_X7Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y73 IS_PAD 0 SITEPROP TIEOFF_X7Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y73 IS_RESERVED 0 SITEPROP TIEOFF_X7Y73 IS_TEST 0 SITEPROP TIEOFF_X7Y73 IS_USED 0 SITEPROP TIEOFF_X7Y73 MANUAL_ROUTING SITEPROP TIEOFF_X7Y73 NAME TIEOFF_X7Y73 SITEPROP TIEOFF_X7Y73 NUM_ARCS 0 SITEPROP TIEOFF_X7Y73 NUM_BELS 2 SITEPROP TIEOFF_X7Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y73 NUM_PINS 2 SITEPROP TIEOFF_X7Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y73 PROHIBIT 0 SITEPROP TIEOFF_X7Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y73 RPM_X 36 SITEPROP TIEOFF_X7Y73 RPM_Y 146 SITEPROP TIEOFF_X7Y73 SITE_PIPS SITEPROP TIEOFF_X7Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y74 CLASS site SITEPROP TIEOFF_X7Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y74 IS_BONDED 0 SITEPROP TIEOFF_X7Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y74 IS_PAD 0 SITEPROP TIEOFF_X7Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y74 IS_RESERVED 0 SITEPROP TIEOFF_X7Y74 IS_TEST 0 SITEPROP TIEOFF_X7Y74 IS_USED 0 SITEPROP TIEOFF_X7Y74 MANUAL_ROUTING SITEPROP TIEOFF_X7Y74 NAME TIEOFF_X7Y74 SITEPROP TIEOFF_X7Y74 NUM_ARCS 0 SITEPROP TIEOFF_X7Y74 NUM_BELS 2 SITEPROP TIEOFF_X7Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y74 NUM_PINS 2 SITEPROP TIEOFF_X7Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y74 PROHIBIT 0 SITEPROP TIEOFF_X7Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y74 RPM_X 36 SITEPROP TIEOFF_X7Y74 RPM_Y 148 SITEPROP TIEOFF_X7Y74 SITE_PIPS SITEPROP TIEOFF_X7Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y75 CLASS site SITEPROP TIEOFF_X7Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y75 IS_BONDED 0 SITEPROP TIEOFF_X7Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y75 IS_PAD 0 SITEPROP TIEOFF_X7Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y75 IS_RESERVED 0 SITEPROP TIEOFF_X7Y75 IS_TEST 0 SITEPROP TIEOFF_X7Y75 IS_USED 0 SITEPROP TIEOFF_X7Y75 MANUAL_ROUTING SITEPROP TIEOFF_X7Y75 NAME TIEOFF_X7Y75 SITEPROP TIEOFF_X7Y75 NUM_ARCS 0 SITEPROP TIEOFF_X7Y75 NUM_BELS 2 SITEPROP TIEOFF_X7Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y75 NUM_PINS 2 SITEPROP TIEOFF_X7Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y75 PROHIBIT 0 SITEPROP TIEOFF_X7Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y75 RPM_X 36 SITEPROP TIEOFF_X7Y75 RPM_Y 150 SITEPROP TIEOFF_X7Y75 SITE_PIPS SITEPROP TIEOFF_X7Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y76 CLASS site SITEPROP TIEOFF_X7Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y76 IS_BONDED 0 SITEPROP TIEOFF_X7Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y76 IS_PAD 0 SITEPROP TIEOFF_X7Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y76 IS_RESERVED 0 SITEPROP TIEOFF_X7Y76 IS_TEST 0 SITEPROP TIEOFF_X7Y76 IS_USED 0 SITEPROP TIEOFF_X7Y76 MANUAL_ROUTING SITEPROP TIEOFF_X7Y76 NAME TIEOFF_X7Y76 SITEPROP TIEOFF_X7Y76 NUM_ARCS 0 SITEPROP TIEOFF_X7Y76 NUM_BELS 2 SITEPROP TIEOFF_X7Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y76 NUM_PINS 2 SITEPROP TIEOFF_X7Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y76 PROHIBIT 0 SITEPROP TIEOFF_X7Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y76 RPM_X 36 SITEPROP TIEOFF_X7Y76 RPM_Y 152 SITEPROP TIEOFF_X7Y76 SITE_PIPS SITEPROP TIEOFF_X7Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y77 CLASS site SITEPROP TIEOFF_X7Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y77 IS_BONDED 0 SITEPROP TIEOFF_X7Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y77 IS_PAD 0 SITEPROP TIEOFF_X7Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y77 IS_RESERVED 0 SITEPROP TIEOFF_X7Y77 IS_TEST 0 SITEPROP TIEOFF_X7Y77 IS_USED 0 SITEPROP TIEOFF_X7Y77 MANUAL_ROUTING SITEPROP TIEOFF_X7Y77 NAME TIEOFF_X7Y77 SITEPROP TIEOFF_X7Y77 NUM_ARCS 0 SITEPROP TIEOFF_X7Y77 NUM_BELS 2 SITEPROP TIEOFF_X7Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y77 NUM_PINS 2 SITEPROP TIEOFF_X7Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y77 PROHIBIT 0 SITEPROP TIEOFF_X7Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y77 RPM_X 36 SITEPROP TIEOFF_X7Y77 RPM_Y 154 SITEPROP TIEOFF_X7Y77 SITE_PIPS SITEPROP TIEOFF_X7Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y78 CLASS site SITEPROP TIEOFF_X7Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y78 IS_BONDED 0 SITEPROP TIEOFF_X7Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y78 IS_PAD 0 SITEPROP TIEOFF_X7Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y78 IS_RESERVED 0 SITEPROP TIEOFF_X7Y78 IS_TEST 0 SITEPROP TIEOFF_X7Y78 IS_USED 0 SITEPROP TIEOFF_X7Y78 MANUAL_ROUTING SITEPROP TIEOFF_X7Y78 NAME TIEOFF_X7Y78 SITEPROP TIEOFF_X7Y78 NUM_ARCS 0 SITEPROP TIEOFF_X7Y78 NUM_BELS 2 SITEPROP TIEOFF_X7Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y78 NUM_PINS 2 SITEPROP TIEOFF_X7Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y78 PROHIBIT 0 SITEPROP TIEOFF_X7Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y78 RPM_X 36 SITEPROP TIEOFF_X7Y78 RPM_Y 156 SITEPROP TIEOFF_X7Y78 SITE_PIPS SITEPROP TIEOFF_X7Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y79 CLASS site SITEPROP TIEOFF_X7Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y79 IS_BONDED 0 SITEPROP TIEOFF_X7Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y79 IS_PAD 0 SITEPROP TIEOFF_X7Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y79 IS_RESERVED 0 SITEPROP TIEOFF_X7Y79 IS_TEST 0 SITEPROP TIEOFF_X7Y79 IS_USED 0 SITEPROP TIEOFF_X7Y79 MANUAL_ROUTING SITEPROP TIEOFF_X7Y79 NAME TIEOFF_X7Y79 SITEPROP TIEOFF_X7Y79 NUM_ARCS 0 SITEPROP TIEOFF_X7Y79 NUM_BELS 2 SITEPROP TIEOFF_X7Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y79 NUM_PINS 2 SITEPROP TIEOFF_X7Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y79 PROHIBIT 0 SITEPROP TIEOFF_X7Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y79 RPM_X 36 SITEPROP TIEOFF_X7Y79 RPM_Y 158 SITEPROP TIEOFF_X7Y79 SITE_PIPS SITEPROP TIEOFF_X7Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y80 CLASS site SITEPROP TIEOFF_X7Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y80 IS_BONDED 0 SITEPROP TIEOFF_X7Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y80 IS_PAD 0 SITEPROP TIEOFF_X7Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y80 IS_RESERVED 0 SITEPROP TIEOFF_X7Y80 IS_TEST 0 SITEPROP TIEOFF_X7Y80 IS_USED 0 SITEPROP TIEOFF_X7Y80 MANUAL_ROUTING SITEPROP TIEOFF_X7Y80 NAME TIEOFF_X7Y80 SITEPROP TIEOFF_X7Y80 NUM_ARCS 0 SITEPROP TIEOFF_X7Y80 NUM_BELS 2 SITEPROP TIEOFF_X7Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y80 NUM_PINS 2 SITEPROP TIEOFF_X7Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y80 PROHIBIT 0 SITEPROP TIEOFF_X7Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y80 RPM_X 36 SITEPROP TIEOFF_X7Y80 RPM_Y 160 SITEPROP TIEOFF_X7Y80 SITE_PIPS SITEPROP TIEOFF_X7Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y81 CLASS site SITEPROP TIEOFF_X7Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y81 IS_BONDED 0 SITEPROP TIEOFF_X7Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y81 IS_PAD 0 SITEPROP TIEOFF_X7Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y81 IS_RESERVED 0 SITEPROP TIEOFF_X7Y81 IS_TEST 0 SITEPROP TIEOFF_X7Y81 IS_USED 0 SITEPROP TIEOFF_X7Y81 MANUAL_ROUTING SITEPROP TIEOFF_X7Y81 NAME TIEOFF_X7Y81 SITEPROP TIEOFF_X7Y81 NUM_ARCS 0 SITEPROP TIEOFF_X7Y81 NUM_BELS 2 SITEPROP TIEOFF_X7Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y81 NUM_PINS 2 SITEPROP TIEOFF_X7Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y81 PROHIBIT 0 SITEPROP TIEOFF_X7Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y81 RPM_X 36 SITEPROP TIEOFF_X7Y81 RPM_Y 162 SITEPROP TIEOFF_X7Y81 SITE_PIPS SITEPROP TIEOFF_X7Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y82 CLASS site SITEPROP TIEOFF_X7Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y82 IS_BONDED 0 SITEPROP TIEOFF_X7Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y82 IS_PAD 0 SITEPROP TIEOFF_X7Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y82 IS_RESERVED 0 SITEPROP TIEOFF_X7Y82 IS_TEST 0 SITEPROP TIEOFF_X7Y82 IS_USED 0 SITEPROP TIEOFF_X7Y82 MANUAL_ROUTING SITEPROP TIEOFF_X7Y82 NAME TIEOFF_X7Y82 SITEPROP TIEOFF_X7Y82 NUM_ARCS 0 SITEPROP TIEOFF_X7Y82 NUM_BELS 2 SITEPROP TIEOFF_X7Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y82 NUM_PINS 2 SITEPROP TIEOFF_X7Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y82 PROHIBIT 0 SITEPROP TIEOFF_X7Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y82 RPM_X 36 SITEPROP TIEOFF_X7Y82 RPM_Y 164 SITEPROP TIEOFF_X7Y82 SITE_PIPS SITEPROP TIEOFF_X7Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y83 CLASS site SITEPROP TIEOFF_X7Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y83 IS_BONDED 0 SITEPROP TIEOFF_X7Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y83 IS_PAD 0 SITEPROP TIEOFF_X7Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y83 IS_RESERVED 0 SITEPROP TIEOFF_X7Y83 IS_TEST 0 SITEPROP TIEOFF_X7Y83 IS_USED 0 SITEPROP TIEOFF_X7Y83 MANUAL_ROUTING SITEPROP TIEOFF_X7Y83 NAME TIEOFF_X7Y83 SITEPROP TIEOFF_X7Y83 NUM_ARCS 0 SITEPROP TIEOFF_X7Y83 NUM_BELS 2 SITEPROP TIEOFF_X7Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y83 NUM_PINS 2 SITEPROP TIEOFF_X7Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y83 PROHIBIT 0 SITEPROP TIEOFF_X7Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y83 RPM_X 36 SITEPROP TIEOFF_X7Y83 RPM_Y 166 SITEPROP TIEOFF_X7Y83 SITE_PIPS SITEPROP TIEOFF_X7Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y84 CLASS site SITEPROP TIEOFF_X7Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y84 IS_BONDED 0 SITEPROP TIEOFF_X7Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y84 IS_PAD 0 SITEPROP TIEOFF_X7Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y84 IS_RESERVED 0 SITEPROP TIEOFF_X7Y84 IS_TEST 0 SITEPROP TIEOFF_X7Y84 IS_USED 0 SITEPROP TIEOFF_X7Y84 MANUAL_ROUTING SITEPROP TIEOFF_X7Y84 NAME TIEOFF_X7Y84 SITEPROP TIEOFF_X7Y84 NUM_ARCS 0 SITEPROP TIEOFF_X7Y84 NUM_BELS 2 SITEPROP TIEOFF_X7Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y84 NUM_PINS 2 SITEPROP TIEOFF_X7Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y84 PROHIBIT 0 SITEPROP TIEOFF_X7Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y84 RPM_X 36 SITEPROP TIEOFF_X7Y84 RPM_Y 168 SITEPROP TIEOFF_X7Y84 SITE_PIPS SITEPROP TIEOFF_X7Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y85 CLASS site SITEPROP TIEOFF_X7Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y85 IS_BONDED 0 SITEPROP TIEOFF_X7Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y85 IS_PAD 0 SITEPROP TIEOFF_X7Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y85 IS_RESERVED 0 SITEPROP TIEOFF_X7Y85 IS_TEST 0 SITEPROP TIEOFF_X7Y85 IS_USED 0 SITEPROP TIEOFF_X7Y85 MANUAL_ROUTING SITEPROP TIEOFF_X7Y85 NAME TIEOFF_X7Y85 SITEPROP TIEOFF_X7Y85 NUM_ARCS 0 SITEPROP TIEOFF_X7Y85 NUM_BELS 2 SITEPROP TIEOFF_X7Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y85 NUM_PINS 2 SITEPROP TIEOFF_X7Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y85 PROHIBIT 0 SITEPROP TIEOFF_X7Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y85 RPM_X 36 SITEPROP TIEOFF_X7Y85 RPM_Y 170 SITEPROP TIEOFF_X7Y85 SITE_PIPS SITEPROP TIEOFF_X7Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y86 CLASS site SITEPROP TIEOFF_X7Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y86 IS_BONDED 0 SITEPROP TIEOFF_X7Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y86 IS_PAD 0 SITEPROP TIEOFF_X7Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y86 IS_RESERVED 0 SITEPROP TIEOFF_X7Y86 IS_TEST 0 SITEPROP TIEOFF_X7Y86 IS_USED 0 SITEPROP TIEOFF_X7Y86 MANUAL_ROUTING SITEPROP TIEOFF_X7Y86 NAME TIEOFF_X7Y86 SITEPROP TIEOFF_X7Y86 NUM_ARCS 0 SITEPROP TIEOFF_X7Y86 NUM_BELS 2 SITEPROP TIEOFF_X7Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y86 NUM_PINS 2 SITEPROP TIEOFF_X7Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y86 PROHIBIT 0 SITEPROP TIEOFF_X7Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y86 RPM_X 36 SITEPROP TIEOFF_X7Y86 RPM_Y 172 SITEPROP TIEOFF_X7Y86 SITE_PIPS SITEPROP TIEOFF_X7Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y87 CLASS site SITEPROP TIEOFF_X7Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y87 IS_BONDED 0 SITEPROP TIEOFF_X7Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y87 IS_PAD 0 SITEPROP TIEOFF_X7Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y87 IS_RESERVED 0 SITEPROP TIEOFF_X7Y87 IS_TEST 0 SITEPROP TIEOFF_X7Y87 IS_USED 0 SITEPROP TIEOFF_X7Y87 MANUAL_ROUTING SITEPROP TIEOFF_X7Y87 NAME TIEOFF_X7Y87 SITEPROP TIEOFF_X7Y87 NUM_ARCS 0 SITEPROP TIEOFF_X7Y87 NUM_BELS 2 SITEPROP TIEOFF_X7Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y87 NUM_PINS 2 SITEPROP TIEOFF_X7Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y87 PROHIBIT 0 SITEPROP TIEOFF_X7Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y87 RPM_X 36 SITEPROP TIEOFF_X7Y87 RPM_Y 174 SITEPROP TIEOFF_X7Y87 SITE_PIPS SITEPROP TIEOFF_X7Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y88 CLASS site SITEPROP TIEOFF_X7Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y88 IS_BONDED 0 SITEPROP TIEOFF_X7Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y88 IS_PAD 0 SITEPROP TIEOFF_X7Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y88 IS_RESERVED 0 SITEPROP TIEOFF_X7Y88 IS_TEST 0 SITEPROP TIEOFF_X7Y88 IS_USED 0 SITEPROP TIEOFF_X7Y88 MANUAL_ROUTING SITEPROP TIEOFF_X7Y88 NAME TIEOFF_X7Y88 SITEPROP TIEOFF_X7Y88 NUM_ARCS 0 SITEPROP TIEOFF_X7Y88 NUM_BELS 2 SITEPROP TIEOFF_X7Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y88 NUM_PINS 2 SITEPROP TIEOFF_X7Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y88 PROHIBIT 0 SITEPROP TIEOFF_X7Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y88 RPM_X 36 SITEPROP TIEOFF_X7Y88 RPM_Y 176 SITEPROP TIEOFF_X7Y88 SITE_PIPS SITEPROP TIEOFF_X7Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y89 CLASS site SITEPROP TIEOFF_X7Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y89 IS_BONDED 0 SITEPROP TIEOFF_X7Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y89 IS_PAD 0 SITEPROP TIEOFF_X7Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y89 IS_RESERVED 0 SITEPROP TIEOFF_X7Y89 IS_TEST 0 SITEPROP TIEOFF_X7Y89 IS_USED 0 SITEPROP TIEOFF_X7Y89 MANUAL_ROUTING SITEPROP TIEOFF_X7Y89 NAME TIEOFF_X7Y89 SITEPROP TIEOFF_X7Y89 NUM_ARCS 0 SITEPROP TIEOFF_X7Y89 NUM_BELS 2 SITEPROP TIEOFF_X7Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y89 NUM_PINS 2 SITEPROP TIEOFF_X7Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y89 PROHIBIT 0 SITEPROP TIEOFF_X7Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y89 RPM_X 36 SITEPROP TIEOFF_X7Y89 RPM_Y 178 SITEPROP TIEOFF_X7Y89 SITE_PIPS SITEPROP TIEOFF_X7Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y90 CLASS site SITEPROP TIEOFF_X7Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y90 IS_BONDED 0 SITEPROP TIEOFF_X7Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y90 IS_PAD 0 SITEPROP TIEOFF_X7Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y90 IS_RESERVED 0 SITEPROP TIEOFF_X7Y90 IS_TEST 0 SITEPROP TIEOFF_X7Y90 IS_USED 0 SITEPROP TIEOFF_X7Y90 MANUAL_ROUTING SITEPROP TIEOFF_X7Y90 NAME TIEOFF_X7Y90 SITEPROP TIEOFF_X7Y90 NUM_ARCS 0 SITEPROP TIEOFF_X7Y90 NUM_BELS 2 SITEPROP TIEOFF_X7Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y90 NUM_PINS 2 SITEPROP TIEOFF_X7Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y90 PROHIBIT 0 SITEPROP TIEOFF_X7Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y90 RPM_X 36 SITEPROP TIEOFF_X7Y90 RPM_Y 180 SITEPROP TIEOFF_X7Y90 SITE_PIPS SITEPROP TIEOFF_X7Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y91 CLASS site SITEPROP TIEOFF_X7Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y91 IS_BONDED 0 SITEPROP TIEOFF_X7Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y91 IS_PAD 0 SITEPROP TIEOFF_X7Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y91 IS_RESERVED 0 SITEPROP TIEOFF_X7Y91 IS_TEST 0 SITEPROP TIEOFF_X7Y91 IS_USED 0 SITEPROP TIEOFF_X7Y91 MANUAL_ROUTING SITEPROP TIEOFF_X7Y91 NAME TIEOFF_X7Y91 SITEPROP TIEOFF_X7Y91 NUM_ARCS 0 SITEPROP TIEOFF_X7Y91 NUM_BELS 2 SITEPROP TIEOFF_X7Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y91 NUM_PINS 2 SITEPROP TIEOFF_X7Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y91 PROHIBIT 0 SITEPROP TIEOFF_X7Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y91 RPM_X 36 SITEPROP TIEOFF_X7Y91 RPM_Y 182 SITEPROP TIEOFF_X7Y91 SITE_PIPS SITEPROP TIEOFF_X7Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y92 CLASS site SITEPROP TIEOFF_X7Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y92 IS_BONDED 0 SITEPROP TIEOFF_X7Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y92 IS_PAD 0 SITEPROP TIEOFF_X7Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y92 IS_RESERVED 0 SITEPROP TIEOFF_X7Y92 IS_TEST 0 SITEPROP TIEOFF_X7Y92 IS_USED 0 SITEPROP TIEOFF_X7Y92 MANUAL_ROUTING SITEPROP TIEOFF_X7Y92 NAME TIEOFF_X7Y92 SITEPROP TIEOFF_X7Y92 NUM_ARCS 0 SITEPROP TIEOFF_X7Y92 NUM_BELS 2 SITEPROP TIEOFF_X7Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y92 NUM_PINS 2 SITEPROP TIEOFF_X7Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y92 PROHIBIT 0 SITEPROP TIEOFF_X7Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y92 RPM_X 36 SITEPROP TIEOFF_X7Y92 RPM_Y 184 SITEPROP TIEOFF_X7Y92 SITE_PIPS SITEPROP TIEOFF_X7Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y93 CLASS site SITEPROP TIEOFF_X7Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y93 IS_BONDED 0 SITEPROP TIEOFF_X7Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y93 IS_PAD 0 SITEPROP TIEOFF_X7Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y93 IS_RESERVED 0 SITEPROP TIEOFF_X7Y93 IS_TEST 0 SITEPROP TIEOFF_X7Y93 IS_USED 0 SITEPROP TIEOFF_X7Y93 MANUAL_ROUTING SITEPROP TIEOFF_X7Y93 NAME TIEOFF_X7Y93 SITEPROP TIEOFF_X7Y93 NUM_ARCS 0 SITEPROP TIEOFF_X7Y93 NUM_BELS 2 SITEPROP TIEOFF_X7Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y93 NUM_PINS 2 SITEPROP TIEOFF_X7Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y93 PROHIBIT 0 SITEPROP TIEOFF_X7Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y93 RPM_X 36 SITEPROP TIEOFF_X7Y93 RPM_Y 186 SITEPROP TIEOFF_X7Y93 SITE_PIPS SITEPROP TIEOFF_X7Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y94 CLASS site SITEPROP TIEOFF_X7Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y94 IS_BONDED 0 SITEPROP TIEOFF_X7Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y94 IS_PAD 0 SITEPROP TIEOFF_X7Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y94 IS_RESERVED 0 SITEPROP TIEOFF_X7Y94 IS_TEST 0 SITEPROP TIEOFF_X7Y94 IS_USED 0 SITEPROP TIEOFF_X7Y94 MANUAL_ROUTING SITEPROP TIEOFF_X7Y94 NAME TIEOFF_X7Y94 SITEPROP TIEOFF_X7Y94 NUM_ARCS 0 SITEPROP TIEOFF_X7Y94 NUM_BELS 2 SITEPROP TIEOFF_X7Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y94 NUM_PINS 2 SITEPROP TIEOFF_X7Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y94 PROHIBIT 0 SITEPROP TIEOFF_X7Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y94 RPM_X 36 SITEPROP TIEOFF_X7Y94 RPM_Y 188 SITEPROP TIEOFF_X7Y94 SITE_PIPS SITEPROP TIEOFF_X7Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y95 CLASS site SITEPROP TIEOFF_X7Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y95 IS_BONDED 0 SITEPROP TIEOFF_X7Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y95 IS_PAD 0 SITEPROP TIEOFF_X7Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y95 IS_RESERVED 0 SITEPROP TIEOFF_X7Y95 IS_TEST 0 SITEPROP TIEOFF_X7Y95 IS_USED 0 SITEPROP TIEOFF_X7Y95 MANUAL_ROUTING SITEPROP TIEOFF_X7Y95 NAME TIEOFF_X7Y95 SITEPROP TIEOFF_X7Y95 NUM_ARCS 0 SITEPROP TIEOFF_X7Y95 NUM_BELS 2 SITEPROP TIEOFF_X7Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y95 NUM_PINS 2 SITEPROP TIEOFF_X7Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y95 PROHIBIT 0 SITEPROP TIEOFF_X7Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y95 RPM_X 36 SITEPROP TIEOFF_X7Y95 RPM_Y 190 SITEPROP TIEOFF_X7Y95 SITE_PIPS SITEPROP TIEOFF_X7Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y96 CLASS site SITEPROP TIEOFF_X7Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y96 IS_BONDED 0 SITEPROP TIEOFF_X7Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y96 IS_PAD 0 SITEPROP TIEOFF_X7Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y96 IS_RESERVED 0 SITEPROP TIEOFF_X7Y96 IS_TEST 0 SITEPROP TIEOFF_X7Y96 IS_USED 0 SITEPROP TIEOFF_X7Y96 MANUAL_ROUTING SITEPROP TIEOFF_X7Y96 NAME TIEOFF_X7Y96 SITEPROP TIEOFF_X7Y96 NUM_ARCS 0 SITEPROP TIEOFF_X7Y96 NUM_BELS 2 SITEPROP TIEOFF_X7Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y96 NUM_PINS 2 SITEPROP TIEOFF_X7Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y96 PROHIBIT 0 SITEPROP TIEOFF_X7Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y96 RPM_X 36 SITEPROP TIEOFF_X7Y96 RPM_Y 192 SITEPROP TIEOFF_X7Y96 SITE_PIPS SITEPROP TIEOFF_X7Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y97 CLASS site SITEPROP TIEOFF_X7Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y97 IS_BONDED 0 SITEPROP TIEOFF_X7Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y97 IS_PAD 0 SITEPROP TIEOFF_X7Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y97 IS_RESERVED 0 SITEPROP TIEOFF_X7Y97 IS_TEST 0 SITEPROP TIEOFF_X7Y97 IS_USED 0 SITEPROP TIEOFF_X7Y97 MANUAL_ROUTING SITEPROP TIEOFF_X7Y97 NAME TIEOFF_X7Y97 SITEPROP TIEOFF_X7Y97 NUM_ARCS 0 SITEPROP TIEOFF_X7Y97 NUM_BELS 2 SITEPROP TIEOFF_X7Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y97 NUM_PINS 2 SITEPROP TIEOFF_X7Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y97 PROHIBIT 0 SITEPROP TIEOFF_X7Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y97 RPM_X 36 SITEPROP TIEOFF_X7Y97 RPM_Y 194 SITEPROP TIEOFF_X7Y97 SITE_PIPS SITEPROP TIEOFF_X7Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y98 CLASS site SITEPROP TIEOFF_X7Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y98 IS_BONDED 0 SITEPROP TIEOFF_X7Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y98 IS_PAD 0 SITEPROP TIEOFF_X7Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y98 IS_RESERVED 0 SITEPROP TIEOFF_X7Y98 IS_TEST 0 SITEPROP TIEOFF_X7Y98 IS_USED 0 SITEPROP TIEOFF_X7Y98 MANUAL_ROUTING SITEPROP TIEOFF_X7Y98 NAME TIEOFF_X7Y98 SITEPROP TIEOFF_X7Y98 NUM_ARCS 0 SITEPROP TIEOFF_X7Y98 NUM_BELS 2 SITEPROP TIEOFF_X7Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y98 NUM_PINS 2 SITEPROP TIEOFF_X7Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y98 PROHIBIT 0 SITEPROP TIEOFF_X7Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y98 RPM_X 36 SITEPROP TIEOFF_X7Y98 RPM_Y 196 SITEPROP TIEOFF_X7Y98 SITE_PIPS SITEPROP TIEOFF_X7Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y99 CLASS site SITEPROP TIEOFF_X7Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X7Y99 IS_BONDED 0 SITEPROP TIEOFF_X7Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y99 IS_PAD 0 SITEPROP TIEOFF_X7Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y99 IS_RESERVED 0 SITEPROP TIEOFF_X7Y99 IS_TEST 0 SITEPROP TIEOFF_X7Y99 IS_USED 0 SITEPROP TIEOFF_X7Y99 MANUAL_ROUTING SITEPROP TIEOFF_X7Y99 NAME TIEOFF_X7Y99 SITEPROP TIEOFF_X7Y99 NUM_ARCS 0 SITEPROP TIEOFF_X7Y99 NUM_BELS 2 SITEPROP TIEOFF_X7Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y99 NUM_PINS 2 SITEPROP TIEOFF_X7Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y99 PROHIBIT 0 SITEPROP TIEOFF_X7Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y99 RPM_X 36 SITEPROP TIEOFF_X7Y99 RPM_Y 198 SITEPROP TIEOFF_X7Y99 SITE_PIPS SITEPROP TIEOFF_X7Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y100 CLASS site SITEPROP TIEOFF_X7Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y100 IS_BONDED 0 SITEPROP TIEOFF_X7Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y100 IS_PAD 0 SITEPROP TIEOFF_X7Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y100 IS_RESERVED 0 SITEPROP TIEOFF_X7Y100 IS_TEST 0 SITEPROP TIEOFF_X7Y100 IS_USED 0 SITEPROP TIEOFF_X7Y100 MANUAL_ROUTING SITEPROP TIEOFF_X7Y100 NAME TIEOFF_X7Y100 SITEPROP TIEOFF_X7Y100 NUM_ARCS 0 SITEPROP TIEOFF_X7Y100 NUM_BELS 2 SITEPROP TIEOFF_X7Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y100 NUM_PINS 2 SITEPROP TIEOFF_X7Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y100 PROHIBIT 0 SITEPROP TIEOFF_X7Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y100 RPM_X 36 SITEPROP TIEOFF_X7Y100 RPM_Y 200 SITEPROP TIEOFF_X7Y100 SITE_PIPS SITEPROP TIEOFF_X7Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y101 CLASS site SITEPROP TIEOFF_X7Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y101 IS_BONDED 0 SITEPROP TIEOFF_X7Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y101 IS_PAD 0 SITEPROP TIEOFF_X7Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y101 IS_RESERVED 0 SITEPROP TIEOFF_X7Y101 IS_TEST 0 SITEPROP TIEOFF_X7Y101 IS_USED 0 SITEPROP TIEOFF_X7Y101 MANUAL_ROUTING SITEPROP TIEOFF_X7Y101 NAME TIEOFF_X7Y101 SITEPROP TIEOFF_X7Y101 NUM_ARCS 0 SITEPROP TIEOFF_X7Y101 NUM_BELS 2 SITEPROP TIEOFF_X7Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y101 NUM_PINS 2 SITEPROP TIEOFF_X7Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y101 PROHIBIT 0 SITEPROP TIEOFF_X7Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y101 RPM_X 36 SITEPROP TIEOFF_X7Y101 RPM_Y 202 SITEPROP TIEOFF_X7Y101 SITE_PIPS SITEPROP TIEOFF_X7Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y102 CLASS site SITEPROP TIEOFF_X7Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y102 IS_BONDED 0 SITEPROP TIEOFF_X7Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y102 IS_PAD 0 SITEPROP TIEOFF_X7Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y102 IS_RESERVED 0 SITEPROP TIEOFF_X7Y102 IS_TEST 0 SITEPROP TIEOFF_X7Y102 IS_USED 0 SITEPROP TIEOFF_X7Y102 MANUAL_ROUTING SITEPROP TIEOFF_X7Y102 NAME TIEOFF_X7Y102 SITEPROP TIEOFF_X7Y102 NUM_ARCS 0 SITEPROP TIEOFF_X7Y102 NUM_BELS 2 SITEPROP TIEOFF_X7Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y102 NUM_PINS 2 SITEPROP TIEOFF_X7Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y102 PROHIBIT 0 SITEPROP TIEOFF_X7Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y102 RPM_X 36 SITEPROP TIEOFF_X7Y102 RPM_Y 204 SITEPROP TIEOFF_X7Y102 SITE_PIPS SITEPROP TIEOFF_X7Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y103 CLASS site SITEPROP TIEOFF_X7Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y103 IS_BONDED 0 SITEPROP TIEOFF_X7Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y103 IS_PAD 0 SITEPROP TIEOFF_X7Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y103 IS_RESERVED 0 SITEPROP TIEOFF_X7Y103 IS_TEST 0 SITEPROP TIEOFF_X7Y103 IS_USED 0 SITEPROP TIEOFF_X7Y103 MANUAL_ROUTING SITEPROP TIEOFF_X7Y103 NAME TIEOFF_X7Y103 SITEPROP TIEOFF_X7Y103 NUM_ARCS 0 SITEPROP TIEOFF_X7Y103 NUM_BELS 2 SITEPROP TIEOFF_X7Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y103 NUM_PINS 2 SITEPROP TIEOFF_X7Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y103 PROHIBIT 0 SITEPROP TIEOFF_X7Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y103 RPM_X 36 SITEPROP TIEOFF_X7Y103 RPM_Y 206 SITEPROP TIEOFF_X7Y103 SITE_PIPS SITEPROP TIEOFF_X7Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y104 CLASS site SITEPROP TIEOFF_X7Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y104 IS_BONDED 0 SITEPROP TIEOFF_X7Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y104 IS_PAD 0 SITEPROP TIEOFF_X7Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y104 IS_RESERVED 0 SITEPROP TIEOFF_X7Y104 IS_TEST 0 SITEPROP TIEOFF_X7Y104 IS_USED 0 SITEPROP TIEOFF_X7Y104 MANUAL_ROUTING SITEPROP TIEOFF_X7Y104 NAME TIEOFF_X7Y104 SITEPROP TIEOFF_X7Y104 NUM_ARCS 0 SITEPROP TIEOFF_X7Y104 NUM_BELS 2 SITEPROP TIEOFF_X7Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y104 NUM_PINS 2 SITEPROP TIEOFF_X7Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y104 PROHIBIT 0 SITEPROP TIEOFF_X7Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y104 RPM_X 36 SITEPROP TIEOFF_X7Y104 RPM_Y 208 SITEPROP TIEOFF_X7Y104 SITE_PIPS SITEPROP TIEOFF_X7Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y105 CLASS site SITEPROP TIEOFF_X7Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y105 IS_BONDED 0 SITEPROP TIEOFF_X7Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y105 IS_PAD 0 SITEPROP TIEOFF_X7Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y105 IS_RESERVED 0 SITEPROP TIEOFF_X7Y105 IS_TEST 0 SITEPROP TIEOFF_X7Y105 IS_USED 0 SITEPROP TIEOFF_X7Y105 MANUAL_ROUTING SITEPROP TIEOFF_X7Y105 NAME TIEOFF_X7Y105 SITEPROP TIEOFF_X7Y105 NUM_ARCS 0 SITEPROP TIEOFF_X7Y105 NUM_BELS 2 SITEPROP TIEOFF_X7Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y105 NUM_PINS 2 SITEPROP TIEOFF_X7Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y105 PROHIBIT 0 SITEPROP TIEOFF_X7Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y105 RPM_X 36 SITEPROP TIEOFF_X7Y105 RPM_Y 210 SITEPROP TIEOFF_X7Y105 SITE_PIPS SITEPROP TIEOFF_X7Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y106 CLASS site SITEPROP TIEOFF_X7Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y106 IS_BONDED 0 SITEPROP TIEOFF_X7Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y106 IS_PAD 0 SITEPROP TIEOFF_X7Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y106 IS_RESERVED 0 SITEPROP TIEOFF_X7Y106 IS_TEST 0 SITEPROP TIEOFF_X7Y106 IS_USED 0 SITEPROP TIEOFF_X7Y106 MANUAL_ROUTING SITEPROP TIEOFF_X7Y106 NAME TIEOFF_X7Y106 SITEPROP TIEOFF_X7Y106 NUM_ARCS 0 SITEPROP TIEOFF_X7Y106 NUM_BELS 2 SITEPROP TIEOFF_X7Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y106 NUM_PINS 2 SITEPROP TIEOFF_X7Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y106 PROHIBIT 0 SITEPROP TIEOFF_X7Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y106 RPM_X 36 SITEPROP TIEOFF_X7Y106 RPM_Y 212 SITEPROP TIEOFF_X7Y106 SITE_PIPS SITEPROP TIEOFF_X7Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y107 CLASS site SITEPROP TIEOFF_X7Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y107 IS_BONDED 0 SITEPROP TIEOFF_X7Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y107 IS_PAD 0 SITEPROP TIEOFF_X7Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y107 IS_RESERVED 0 SITEPROP TIEOFF_X7Y107 IS_TEST 0 SITEPROP TIEOFF_X7Y107 IS_USED 0 SITEPROP TIEOFF_X7Y107 MANUAL_ROUTING SITEPROP TIEOFF_X7Y107 NAME TIEOFF_X7Y107 SITEPROP TIEOFF_X7Y107 NUM_ARCS 0 SITEPROP TIEOFF_X7Y107 NUM_BELS 2 SITEPROP TIEOFF_X7Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y107 NUM_PINS 2 SITEPROP TIEOFF_X7Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y107 PROHIBIT 0 SITEPROP TIEOFF_X7Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y107 RPM_X 36 SITEPROP TIEOFF_X7Y107 RPM_Y 214 SITEPROP TIEOFF_X7Y107 SITE_PIPS SITEPROP TIEOFF_X7Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y108 CLASS site SITEPROP TIEOFF_X7Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y108 IS_BONDED 0 SITEPROP TIEOFF_X7Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y108 IS_PAD 0 SITEPROP TIEOFF_X7Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y108 IS_RESERVED 0 SITEPROP TIEOFF_X7Y108 IS_TEST 0 SITEPROP TIEOFF_X7Y108 IS_USED 0 SITEPROP TIEOFF_X7Y108 MANUAL_ROUTING SITEPROP TIEOFF_X7Y108 NAME TIEOFF_X7Y108 SITEPROP TIEOFF_X7Y108 NUM_ARCS 0 SITEPROP TIEOFF_X7Y108 NUM_BELS 2 SITEPROP TIEOFF_X7Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y108 NUM_PINS 2 SITEPROP TIEOFF_X7Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y108 PROHIBIT 0 SITEPROP TIEOFF_X7Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y108 RPM_X 36 SITEPROP TIEOFF_X7Y108 RPM_Y 216 SITEPROP TIEOFF_X7Y108 SITE_PIPS SITEPROP TIEOFF_X7Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y109 CLASS site SITEPROP TIEOFF_X7Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y109 IS_BONDED 0 SITEPROP TIEOFF_X7Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y109 IS_PAD 0 SITEPROP TIEOFF_X7Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y109 IS_RESERVED 0 SITEPROP TIEOFF_X7Y109 IS_TEST 0 SITEPROP TIEOFF_X7Y109 IS_USED 0 SITEPROP TIEOFF_X7Y109 MANUAL_ROUTING SITEPROP TIEOFF_X7Y109 NAME TIEOFF_X7Y109 SITEPROP TIEOFF_X7Y109 NUM_ARCS 0 SITEPROP TIEOFF_X7Y109 NUM_BELS 2 SITEPROP TIEOFF_X7Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y109 NUM_PINS 2 SITEPROP TIEOFF_X7Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y109 PROHIBIT 0 SITEPROP TIEOFF_X7Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y109 RPM_X 36 SITEPROP TIEOFF_X7Y109 RPM_Y 218 SITEPROP TIEOFF_X7Y109 SITE_PIPS SITEPROP TIEOFF_X7Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y110 CLASS site SITEPROP TIEOFF_X7Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y110 IS_BONDED 0 SITEPROP TIEOFF_X7Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y110 IS_PAD 0 SITEPROP TIEOFF_X7Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y110 IS_RESERVED 0 SITEPROP TIEOFF_X7Y110 IS_TEST 0 SITEPROP TIEOFF_X7Y110 IS_USED 0 SITEPROP TIEOFF_X7Y110 MANUAL_ROUTING SITEPROP TIEOFF_X7Y110 NAME TIEOFF_X7Y110 SITEPROP TIEOFF_X7Y110 NUM_ARCS 0 SITEPROP TIEOFF_X7Y110 NUM_BELS 2 SITEPROP TIEOFF_X7Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y110 NUM_PINS 2 SITEPROP TIEOFF_X7Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y110 PROHIBIT 0 SITEPROP TIEOFF_X7Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y110 RPM_X 36 SITEPROP TIEOFF_X7Y110 RPM_Y 220 SITEPROP TIEOFF_X7Y110 SITE_PIPS SITEPROP TIEOFF_X7Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y111 CLASS site SITEPROP TIEOFF_X7Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y111 IS_BONDED 0 SITEPROP TIEOFF_X7Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y111 IS_PAD 0 SITEPROP TIEOFF_X7Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y111 IS_RESERVED 0 SITEPROP TIEOFF_X7Y111 IS_TEST 0 SITEPROP TIEOFF_X7Y111 IS_USED 0 SITEPROP TIEOFF_X7Y111 MANUAL_ROUTING SITEPROP TIEOFF_X7Y111 NAME TIEOFF_X7Y111 SITEPROP TIEOFF_X7Y111 NUM_ARCS 0 SITEPROP TIEOFF_X7Y111 NUM_BELS 2 SITEPROP TIEOFF_X7Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y111 NUM_PINS 2 SITEPROP TIEOFF_X7Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y111 PROHIBIT 0 SITEPROP TIEOFF_X7Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y111 RPM_X 36 SITEPROP TIEOFF_X7Y111 RPM_Y 222 SITEPROP TIEOFF_X7Y111 SITE_PIPS SITEPROP TIEOFF_X7Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y112 CLASS site SITEPROP TIEOFF_X7Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y112 IS_BONDED 0 SITEPROP TIEOFF_X7Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y112 IS_PAD 0 SITEPROP TIEOFF_X7Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y112 IS_RESERVED 0 SITEPROP TIEOFF_X7Y112 IS_TEST 0 SITEPROP TIEOFF_X7Y112 IS_USED 0 SITEPROP TIEOFF_X7Y112 MANUAL_ROUTING SITEPROP TIEOFF_X7Y112 NAME TIEOFF_X7Y112 SITEPROP TIEOFF_X7Y112 NUM_ARCS 0 SITEPROP TIEOFF_X7Y112 NUM_BELS 2 SITEPROP TIEOFF_X7Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y112 NUM_PINS 2 SITEPROP TIEOFF_X7Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y112 PROHIBIT 0 SITEPROP TIEOFF_X7Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y112 RPM_X 36 SITEPROP TIEOFF_X7Y112 RPM_Y 224 SITEPROP TIEOFF_X7Y112 SITE_PIPS SITEPROP TIEOFF_X7Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y113 CLASS site SITEPROP TIEOFF_X7Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y113 IS_BONDED 0 SITEPROP TIEOFF_X7Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y113 IS_PAD 0 SITEPROP TIEOFF_X7Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y113 IS_RESERVED 0 SITEPROP TIEOFF_X7Y113 IS_TEST 0 SITEPROP TIEOFF_X7Y113 IS_USED 0 SITEPROP TIEOFF_X7Y113 MANUAL_ROUTING SITEPROP TIEOFF_X7Y113 NAME TIEOFF_X7Y113 SITEPROP TIEOFF_X7Y113 NUM_ARCS 0 SITEPROP TIEOFF_X7Y113 NUM_BELS 2 SITEPROP TIEOFF_X7Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y113 NUM_PINS 2 SITEPROP TIEOFF_X7Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y113 PROHIBIT 0 SITEPROP TIEOFF_X7Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y113 RPM_X 36 SITEPROP TIEOFF_X7Y113 RPM_Y 226 SITEPROP TIEOFF_X7Y113 SITE_PIPS SITEPROP TIEOFF_X7Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y114 CLASS site SITEPROP TIEOFF_X7Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y114 IS_BONDED 0 SITEPROP TIEOFF_X7Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y114 IS_PAD 0 SITEPROP TIEOFF_X7Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y114 IS_RESERVED 0 SITEPROP TIEOFF_X7Y114 IS_TEST 0 SITEPROP TIEOFF_X7Y114 IS_USED 0 SITEPROP TIEOFF_X7Y114 MANUAL_ROUTING SITEPROP TIEOFF_X7Y114 NAME TIEOFF_X7Y114 SITEPROP TIEOFF_X7Y114 NUM_ARCS 0 SITEPROP TIEOFF_X7Y114 NUM_BELS 2 SITEPROP TIEOFF_X7Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y114 NUM_PINS 2 SITEPROP TIEOFF_X7Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y114 PROHIBIT 0 SITEPROP TIEOFF_X7Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y114 RPM_X 36 SITEPROP TIEOFF_X7Y114 RPM_Y 228 SITEPROP TIEOFF_X7Y114 SITE_PIPS SITEPROP TIEOFF_X7Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y115 CLASS site SITEPROP TIEOFF_X7Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y115 IS_BONDED 0 SITEPROP TIEOFF_X7Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y115 IS_PAD 0 SITEPROP TIEOFF_X7Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y115 IS_RESERVED 0 SITEPROP TIEOFF_X7Y115 IS_TEST 0 SITEPROP TIEOFF_X7Y115 IS_USED 0 SITEPROP TIEOFF_X7Y115 MANUAL_ROUTING SITEPROP TIEOFF_X7Y115 NAME TIEOFF_X7Y115 SITEPROP TIEOFF_X7Y115 NUM_ARCS 0 SITEPROP TIEOFF_X7Y115 NUM_BELS 2 SITEPROP TIEOFF_X7Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y115 NUM_PINS 2 SITEPROP TIEOFF_X7Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y115 PROHIBIT 0 SITEPROP TIEOFF_X7Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y115 RPM_X 36 SITEPROP TIEOFF_X7Y115 RPM_Y 230 SITEPROP TIEOFF_X7Y115 SITE_PIPS SITEPROP TIEOFF_X7Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y116 CLASS site SITEPROP TIEOFF_X7Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y116 IS_BONDED 0 SITEPROP TIEOFF_X7Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y116 IS_PAD 0 SITEPROP TIEOFF_X7Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y116 IS_RESERVED 0 SITEPROP TIEOFF_X7Y116 IS_TEST 0 SITEPROP TIEOFF_X7Y116 IS_USED 0 SITEPROP TIEOFF_X7Y116 MANUAL_ROUTING SITEPROP TIEOFF_X7Y116 NAME TIEOFF_X7Y116 SITEPROP TIEOFF_X7Y116 NUM_ARCS 0 SITEPROP TIEOFF_X7Y116 NUM_BELS 2 SITEPROP TIEOFF_X7Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y116 NUM_PINS 2 SITEPROP TIEOFF_X7Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y116 PROHIBIT 0 SITEPROP TIEOFF_X7Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y116 RPM_X 36 SITEPROP TIEOFF_X7Y116 RPM_Y 232 SITEPROP TIEOFF_X7Y116 SITE_PIPS SITEPROP TIEOFF_X7Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y117 CLASS site SITEPROP TIEOFF_X7Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y117 IS_BONDED 0 SITEPROP TIEOFF_X7Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y117 IS_PAD 0 SITEPROP TIEOFF_X7Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y117 IS_RESERVED 0 SITEPROP TIEOFF_X7Y117 IS_TEST 0 SITEPROP TIEOFF_X7Y117 IS_USED 0 SITEPROP TIEOFF_X7Y117 MANUAL_ROUTING SITEPROP TIEOFF_X7Y117 NAME TIEOFF_X7Y117 SITEPROP TIEOFF_X7Y117 NUM_ARCS 0 SITEPROP TIEOFF_X7Y117 NUM_BELS 2 SITEPROP TIEOFF_X7Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y117 NUM_PINS 2 SITEPROP TIEOFF_X7Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y117 PROHIBIT 0 SITEPROP TIEOFF_X7Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y117 RPM_X 36 SITEPROP TIEOFF_X7Y117 RPM_Y 234 SITEPROP TIEOFF_X7Y117 SITE_PIPS SITEPROP TIEOFF_X7Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y118 CLASS site SITEPROP TIEOFF_X7Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y118 IS_BONDED 0 SITEPROP TIEOFF_X7Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y118 IS_PAD 0 SITEPROP TIEOFF_X7Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y118 IS_RESERVED 0 SITEPROP TIEOFF_X7Y118 IS_TEST 0 SITEPROP TIEOFF_X7Y118 IS_USED 0 SITEPROP TIEOFF_X7Y118 MANUAL_ROUTING SITEPROP TIEOFF_X7Y118 NAME TIEOFF_X7Y118 SITEPROP TIEOFF_X7Y118 NUM_ARCS 0 SITEPROP TIEOFF_X7Y118 NUM_BELS 2 SITEPROP TIEOFF_X7Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y118 NUM_PINS 2 SITEPROP TIEOFF_X7Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y118 PROHIBIT 0 SITEPROP TIEOFF_X7Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y118 RPM_X 36 SITEPROP TIEOFF_X7Y118 RPM_Y 236 SITEPROP TIEOFF_X7Y118 SITE_PIPS SITEPROP TIEOFF_X7Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y119 CLASS site SITEPROP TIEOFF_X7Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y119 IS_BONDED 0 SITEPROP TIEOFF_X7Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y119 IS_PAD 0 SITEPROP TIEOFF_X7Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y119 IS_RESERVED 0 SITEPROP TIEOFF_X7Y119 IS_TEST 0 SITEPROP TIEOFF_X7Y119 IS_USED 0 SITEPROP TIEOFF_X7Y119 MANUAL_ROUTING SITEPROP TIEOFF_X7Y119 NAME TIEOFF_X7Y119 SITEPROP TIEOFF_X7Y119 NUM_ARCS 0 SITEPROP TIEOFF_X7Y119 NUM_BELS 2 SITEPROP TIEOFF_X7Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y119 NUM_PINS 2 SITEPROP TIEOFF_X7Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y119 PROHIBIT 0 SITEPROP TIEOFF_X7Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y119 RPM_X 36 SITEPROP TIEOFF_X7Y119 RPM_Y 238 SITEPROP TIEOFF_X7Y119 SITE_PIPS SITEPROP TIEOFF_X7Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y120 CLASS site SITEPROP TIEOFF_X7Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y120 IS_BONDED 0 SITEPROP TIEOFF_X7Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y120 IS_PAD 0 SITEPROP TIEOFF_X7Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y120 IS_RESERVED 0 SITEPROP TIEOFF_X7Y120 IS_TEST 0 SITEPROP TIEOFF_X7Y120 IS_USED 0 SITEPROP TIEOFF_X7Y120 MANUAL_ROUTING SITEPROP TIEOFF_X7Y120 NAME TIEOFF_X7Y120 SITEPROP TIEOFF_X7Y120 NUM_ARCS 0 SITEPROP TIEOFF_X7Y120 NUM_BELS 2 SITEPROP TIEOFF_X7Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y120 NUM_PINS 2 SITEPROP TIEOFF_X7Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y120 PROHIBIT 0 SITEPROP TIEOFF_X7Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y120 RPM_X 36 SITEPROP TIEOFF_X7Y120 RPM_Y 240 SITEPROP TIEOFF_X7Y120 SITE_PIPS SITEPROP TIEOFF_X7Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y121 CLASS site SITEPROP TIEOFF_X7Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y121 IS_BONDED 0 SITEPROP TIEOFF_X7Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y121 IS_PAD 0 SITEPROP TIEOFF_X7Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y121 IS_RESERVED 0 SITEPROP TIEOFF_X7Y121 IS_TEST 0 SITEPROP TIEOFF_X7Y121 IS_USED 0 SITEPROP TIEOFF_X7Y121 MANUAL_ROUTING SITEPROP TIEOFF_X7Y121 NAME TIEOFF_X7Y121 SITEPROP TIEOFF_X7Y121 NUM_ARCS 0 SITEPROP TIEOFF_X7Y121 NUM_BELS 2 SITEPROP TIEOFF_X7Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y121 NUM_PINS 2 SITEPROP TIEOFF_X7Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y121 PROHIBIT 0 SITEPROP TIEOFF_X7Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y121 RPM_X 36 SITEPROP TIEOFF_X7Y121 RPM_Y 242 SITEPROP TIEOFF_X7Y121 SITE_PIPS SITEPROP TIEOFF_X7Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y122 CLASS site SITEPROP TIEOFF_X7Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y122 IS_BONDED 0 SITEPROP TIEOFF_X7Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y122 IS_PAD 0 SITEPROP TIEOFF_X7Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y122 IS_RESERVED 0 SITEPROP TIEOFF_X7Y122 IS_TEST 0 SITEPROP TIEOFF_X7Y122 IS_USED 0 SITEPROP TIEOFF_X7Y122 MANUAL_ROUTING SITEPROP TIEOFF_X7Y122 NAME TIEOFF_X7Y122 SITEPROP TIEOFF_X7Y122 NUM_ARCS 0 SITEPROP TIEOFF_X7Y122 NUM_BELS 2 SITEPROP TIEOFF_X7Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y122 NUM_PINS 2 SITEPROP TIEOFF_X7Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y122 PROHIBIT 0 SITEPROP TIEOFF_X7Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y122 RPM_X 36 SITEPROP TIEOFF_X7Y122 RPM_Y 244 SITEPROP TIEOFF_X7Y122 SITE_PIPS SITEPROP TIEOFF_X7Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y123 CLASS site SITEPROP TIEOFF_X7Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y123 IS_BONDED 0 SITEPROP TIEOFF_X7Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y123 IS_PAD 0 SITEPROP TIEOFF_X7Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y123 IS_RESERVED 0 SITEPROP TIEOFF_X7Y123 IS_TEST 0 SITEPROP TIEOFF_X7Y123 IS_USED 0 SITEPROP TIEOFF_X7Y123 MANUAL_ROUTING SITEPROP TIEOFF_X7Y123 NAME TIEOFF_X7Y123 SITEPROP TIEOFF_X7Y123 NUM_ARCS 0 SITEPROP TIEOFF_X7Y123 NUM_BELS 2 SITEPROP TIEOFF_X7Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y123 NUM_PINS 2 SITEPROP TIEOFF_X7Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y123 PROHIBIT 0 SITEPROP TIEOFF_X7Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y123 RPM_X 36 SITEPROP TIEOFF_X7Y123 RPM_Y 246 SITEPROP TIEOFF_X7Y123 SITE_PIPS SITEPROP TIEOFF_X7Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y124 CLASS site SITEPROP TIEOFF_X7Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y124 IS_BONDED 0 SITEPROP TIEOFF_X7Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y124 IS_PAD 0 SITEPROP TIEOFF_X7Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y124 IS_RESERVED 0 SITEPROP TIEOFF_X7Y124 IS_TEST 0 SITEPROP TIEOFF_X7Y124 IS_USED 0 SITEPROP TIEOFF_X7Y124 MANUAL_ROUTING SITEPROP TIEOFF_X7Y124 NAME TIEOFF_X7Y124 SITEPROP TIEOFF_X7Y124 NUM_ARCS 0 SITEPROP TIEOFF_X7Y124 NUM_BELS 2 SITEPROP TIEOFF_X7Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y124 NUM_PINS 2 SITEPROP TIEOFF_X7Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y124 PROHIBIT 0 SITEPROP TIEOFF_X7Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y124 RPM_X 36 SITEPROP TIEOFF_X7Y124 RPM_Y 248 SITEPROP TIEOFF_X7Y124 SITE_PIPS SITEPROP TIEOFF_X7Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y125 CLASS site SITEPROP TIEOFF_X7Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y125 IS_BONDED 0 SITEPROP TIEOFF_X7Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y125 IS_PAD 0 SITEPROP TIEOFF_X7Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y125 IS_RESERVED 0 SITEPROP TIEOFF_X7Y125 IS_TEST 0 SITEPROP TIEOFF_X7Y125 IS_USED 0 SITEPROP TIEOFF_X7Y125 MANUAL_ROUTING SITEPROP TIEOFF_X7Y125 NAME TIEOFF_X7Y125 SITEPROP TIEOFF_X7Y125 NUM_ARCS 0 SITEPROP TIEOFF_X7Y125 NUM_BELS 2 SITEPROP TIEOFF_X7Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y125 NUM_PINS 2 SITEPROP TIEOFF_X7Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y125 PROHIBIT 0 SITEPROP TIEOFF_X7Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y125 RPM_X 36 SITEPROP TIEOFF_X7Y125 RPM_Y 250 SITEPROP TIEOFF_X7Y125 SITE_PIPS SITEPROP TIEOFF_X7Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y126 CLASS site SITEPROP TIEOFF_X7Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y126 IS_BONDED 0 SITEPROP TIEOFF_X7Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y126 IS_PAD 0 SITEPROP TIEOFF_X7Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y126 IS_RESERVED 0 SITEPROP TIEOFF_X7Y126 IS_TEST 0 SITEPROP TIEOFF_X7Y126 IS_USED 0 SITEPROP TIEOFF_X7Y126 MANUAL_ROUTING SITEPROP TIEOFF_X7Y126 NAME TIEOFF_X7Y126 SITEPROP TIEOFF_X7Y126 NUM_ARCS 0 SITEPROP TIEOFF_X7Y126 NUM_BELS 2 SITEPROP TIEOFF_X7Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y126 NUM_PINS 2 SITEPROP TIEOFF_X7Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y126 PROHIBIT 0 SITEPROP TIEOFF_X7Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y126 RPM_X 36 SITEPROP TIEOFF_X7Y126 RPM_Y 252 SITEPROP TIEOFF_X7Y126 SITE_PIPS SITEPROP TIEOFF_X7Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y127 CLASS site SITEPROP TIEOFF_X7Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y127 IS_BONDED 0 SITEPROP TIEOFF_X7Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y127 IS_PAD 0 SITEPROP TIEOFF_X7Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y127 IS_RESERVED 0 SITEPROP TIEOFF_X7Y127 IS_TEST 0 SITEPROP TIEOFF_X7Y127 IS_USED 0 SITEPROP TIEOFF_X7Y127 MANUAL_ROUTING SITEPROP TIEOFF_X7Y127 NAME TIEOFF_X7Y127 SITEPROP TIEOFF_X7Y127 NUM_ARCS 0 SITEPROP TIEOFF_X7Y127 NUM_BELS 2 SITEPROP TIEOFF_X7Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y127 NUM_PINS 2 SITEPROP TIEOFF_X7Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y127 PROHIBIT 0 SITEPROP TIEOFF_X7Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y127 RPM_X 36 SITEPROP TIEOFF_X7Y127 RPM_Y 254 SITEPROP TIEOFF_X7Y127 SITE_PIPS SITEPROP TIEOFF_X7Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y128 CLASS site SITEPROP TIEOFF_X7Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y128 IS_BONDED 0 SITEPROP TIEOFF_X7Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y128 IS_PAD 0 SITEPROP TIEOFF_X7Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y128 IS_RESERVED 0 SITEPROP TIEOFF_X7Y128 IS_TEST 0 SITEPROP TIEOFF_X7Y128 IS_USED 0 SITEPROP TIEOFF_X7Y128 MANUAL_ROUTING SITEPROP TIEOFF_X7Y128 NAME TIEOFF_X7Y128 SITEPROP TIEOFF_X7Y128 NUM_ARCS 0 SITEPROP TIEOFF_X7Y128 NUM_BELS 2 SITEPROP TIEOFF_X7Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y128 NUM_PINS 2 SITEPROP TIEOFF_X7Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y128 PROHIBIT 0 SITEPROP TIEOFF_X7Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y128 RPM_X 36 SITEPROP TIEOFF_X7Y128 RPM_Y 256 SITEPROP TIEOFF_X7Y128 SITE_PIPS SITEPROP TIEOFF_X7Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y129 CLASS site SITEPROP TIEOFF_X7Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y129 IS_BONDED 0 SITEPROP TIEOFF_X7Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y129 IS_PAD 0 SITEPROP TIEOFF_X7Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y129 IS_RESERVED 0 SITEPROP TIEOFF_X7Y129 IS_TEST 0 SITEPROP TIEOFF_X7Y129 IS_USED 0 SITEPROP TIEOFF_X7Y129 MANUAL_ROUTING SITEPROP TIEOFF_X7Y129 NAME TIEOFF_X7Y129 SITEPROP TIEOFF_X7Y129 NUM_ARCS 0 SITEPROP TIEOFF_X7Y129 NUM_BELS 2 SITEPROP TIEOFF_X7Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y129 NUM_PINS 2 SITEPROP TIEOFF_X7Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y129 PROHIBIT 0 SITEPROP TIEOFF_X7Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y129 RPM_X 36 SITEPROP TIEOFF_X7Y129 RPM_Y 258 SITEPROP TIEOFF_X7Y129 SITE_PIPS SITEPROP TIEOFF_X7Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y130 CLASS site SITEPROP TIEOFF_X7Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y130 IS_BONDED 0 SITEPROP TIEOFF_X7Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y130 IS_PAD 0 SITEPROP TIEOFF_X7Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y130 IS_RESERVED 0 SITEPROP TIEOFF_X7Y130 IS_TEST 0 SITEPROP TIEOFF_X7Y130 IS_USED 0 SITEPROP TIEOFF_X7Y130 MANUAL_ROUTING SITEPROP TIEOFF_X7Y130 NAME TIEOFF_X7Y130 SITEPROP TIEOFF_X7Y130 NUM_ARCS 0 SITEPROP TIEOFF_X7Y130 NUM_BELS 2 SITEPROP TIEOFF_X7Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y130 NUM_PINS 2 SITEPROP TIEOFF_X7Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y130 PROHIBIT 0 SITEPROP TIEOFF_X7Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y130 RPM_X 36 SITEPROP TIEOFF_X7Y130 RPM_Y 260 SITEPROP TIEOFF_X7Y130 SITE_PIPS SITEPROP TIEOFF_X7Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y131 CLASS site SITEPROP TIEOFF_X7Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y131 IS_BONDED 0 SITEPROP TIEOFF_X7Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y131 IS_PAD 0 SITEPROP TIEOFF_X7Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y131 IS_RESERVED 0 SITEPROP TIEOFF_X7Y131 IS_TEST 0 SITEPROP TIEOFF_X7Y131 IS_USED 0 SITEPROP TIEOFF_X7Y131 MANUAL_ROUTING SITEPROP TIEOFF_X7Y131 NAME TIEOFF_X7Y131 SITEPROP TIEOFF_X7Y131 NUM_ARCS 0 SITEPROP TIEOFF_X7Y131 NUM_BELS 2 SITEPROP TIEOFF_X7Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y131 NUM_PINS 2 SITEPROP TIEOFF_X7Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y131 PROHIBIT 0 SITEPROP TIEOFF_X7Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y131 RPM_X 36 SITEPROP TIEOFF_X7Y131 RPM_Y 262 SITEPROP TIEOFF_X7Y131 SITE_PIPS SITEPROP TIEOFF_X7Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y132 CLASS site SITEPROP TIEOFF_X7Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y132 IS_BONDED 0 SITEPROP TIEOFF_X7Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y132 IS_PAD 0 SITEPROP TIEOFF_X7Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y132 IS_RESERVED 0 SITEPROP TIEOFF_X7Y132 IS_TEST 0 SITEPROP TIEOFF_X7Y132 IS_USED 0 SITEPROP TIEOFF_X7Y132 MANUAL_ROUTING SITEPROP TIEOFF_X7Y132 NAME TIEOFF_X7Y132 SITEPROP TIEOFF_X7Y132 NUM_ARCS 0 SITEPROP TIEOFF_X7Y132 NUM_BELS 2 SITEPROP TIEOFF_X7Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y132 NUM_PINS 2 SITEPROP TIEOFF_X7Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y132 PROHIBIT 0 SITEPROP TIEOFF_X7Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y132 RPM_X 36 SITEPROP TIEOFF_X7Y132 RPM_Y 264 SITEPROP TIEOFF_X7Y132 SITE_PIPS SITEPROP TIEOFF_X7Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y133 CLASS site SITEPROP TIEOFF_X7Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y133 IS_BONDED 0 SITEPROP TIEOFF_X7Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y133 IS_PAD 0 SITEPROP TIEOFF_X7Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y133 IS_RESERVED 0 SITEPROP TIEOFF_X7Y133 IS_TEST 0 SITEPROP TIEOFF_X7Y133 IS_USED 0 SITEPROP TIEOFF_X7Y133 MANUAL_ROUTING SITEPROP TIEOFF_X7Y133 NAME TIEOFF_X7Y133 SITEPROP TIEOFF_X7Y133 NUM_ARCS 0 SITEPROP TIEOFF_X7Y133 NUM_BELS 2 SITEPROP TIEOFF_X7Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y133 NUM_PINS 2 SITEPROP TIEOFF_X7Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y133 PROHIBIT 0 SITEPROP TIEOFF_X7Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y133 RPM_X 36 SITEPROP TIEOFF_X7Y133 RPM_Y 266 SITEPROP TIEOFF_X7Y133 SITE_PIPS SITEPROP TIEOFF_X7Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y134 CLASS site SITEPROP TIEOFF_X7Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y134 IS_BONDED 0 SITEPROP TIEOFF_X7Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y134 IS_PAD 0 SITEPROP TIEOFF_X7Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y134 IS_RESERVED 0 SITEPROP TIEOFF_X7Y134 IS_TEST 0 SITEPROP TIEOFF_X7Y134 IS_USED 0 SITEPROP TIEOFF_X7Y134 MANUAL_ROUTING SITEPROP TIEOFF_X7Y134 NAME TIEOFF_X7Y134 SITEPROP TIEOFF_X7Y134 NUM_ARCS 0 SITEPROP TIEOFF_X7Y134 NUM_BELS 2 SITEPROP TIEOFF_X7Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y134 NUM_PINS 2 SITEPROP TIEOFF_X7Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y134 PROHIBIT 0 SITEPROP TIEOFF_X7Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y134 RPM_X 36 SITEPROP TIEOFF_X7Y134 RPM_Y 268 SITEPROP TIEOFF_X7Y134 SITE_PIPS SITEPROP TIEOFF_X7Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y135 CLASS site SITEPROP TIEOFF_X7Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y135 IS_BONDED 0 SITEPROP TIEOFF_X7Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y135 IS_PAD 0 SITEPROP TIEOFF_X7Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y135 IS_RESERVED 0 SITEPROP TIEOFF_X7Y135 IS_TEST 0 SITEPROP TIEOFF_X7Y135 IS_USED 0 SITEPROP TIEOFF_X7Y135 MANUAL_ROUTING SITEPROP TIEOFF_X7Y135 NAME TIEOFF_X7Y135 SITEPROP TIEOFF_X7Y135 NUM_ARCS 0 SITEPROP TIEOFF_X7Y135 NUM_BELS 2 SITEPROP TIEOFF_X7Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y135 NUM_PINS 2 SITEPROP TIEOFF_X7Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y135 PROHIBIT 0 SITEPROP TIEOFF_X7Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y135 RPM_X 36 SITEPROP TIEOFF_X7Y135 RPM_Y 270 SITEPROP TIEOFF_X7Y135 SITE_PIPS SITEPROP TIEOFF_X7Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y136 CLASS site SITEPROP TIEOFF_X7Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y136 IS_BONDED 0 SITEPROP TIEOFF_X7Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y136 IS_PAD 0 SITEPROP TIEOFF_X7Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y136 IS_RESERVED 0 SITEPROP TIEOFF_X7Y136 IS_TEST 0 SITEPROP TIEOFF_X7Y136 IS_USED 0 SITEPROP TIEOFF_X7Y136 MANUAL_ROUTING SITEPROP TIEOFF_X7Y136 NAME TIEOFF_X7Y136 SITEPROP TIEOFF_X7Y136 NUM_ARCS 0 SITEPROP TIEOFF_X7Y136 NUM_BELS 2 SITEPROP TIEOFF_X7Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y136 NUM_PINS 2 SITEPROP TIEOFF_X7Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y136 PROHIBIT 0 SITEPROP TIEOFF_X7Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y136 RPM_X 36 SITEPROP TIEOFF_X7Y136 RPM_Y 272 SITEPROP TIEOFF_X7Y136 SITE_PIPS SITEPROP TIEOFF_X7Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y137 CLASS site SITEPROP TIEOFF_X7Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y137 IS_BONDED 0 SITEPROP TIEOFF_X7Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y137 IS_PAD 0 SITEPROP TIEOFF_X7Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y137 IS_RESERVED 0 SITEPROP TIEOFF_X7Y137 IS_TEST 0 SITEPROP TIEOFF_X7Y137 IS_USED 0 SITEPROP TIEOFF_X7Y137 MANUAL_ROUTING SITEPROP TIEOFF_X7Y137 NAME TIEOFF_X7Y137 SITEPROP TIEOFF_X7Y137 NUM_ARCS 0 SITEPROP TIEOFF_X7Y137 NUM_BELS 2 SITEPROP TIEOFF_X7Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y137 NUM_PINS 2 SITEPROP TIEOFF_X7Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y137 PROHIBIT 0 SITEPROP TIEOFF_X7Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y137 RPM_X 36 SITEPROP TIEOFF_X7Y137 RPM_Y 274 SITEPROP TIEOFF_X7Y137 SITE_PIPS SITEPROP TIEOFF_X7Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y138 CLASS site SITEPROP TIEOFF_X7Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y138 IS_BONDED 0 SITEPROP TIEOFF_X7Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y138 IS_PAD 0 SITEPROP TIEOFF_X7Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y138 IS_RESERVED 0 SITEPROP TIEOFF_X7Y138 IS_TEST 0 SITEPROP TIEOFF_X7Y138 IS_USED 0 SITEPROP TIEOFF_X7Y138 MANUAL_ROUTING SITEPROP TIEOFF_X7Y138 NAME TIEOFF_X7Y138 SITEPROP TIEOFF_X7Y138 NUM_ARCS 0 SITEPROP TIEOFF_X7Y138 NUM_BELS 2 SITEPROP TIEOFF_X7Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y138 NUM_PINS 2 SITEPROP TIEOFF_X7Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y138 PROHIBIT 0 SITEPROP TIEOFF_X7Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y138 RPM_X 36 SITEPROP TIEOFF_X7Y138 RPM_Y 276 SITEPROP TIEOFF_X7Y138 SITE_PIPS SITEPROP TIEOFF_X7Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y139 CLASS site SITEPROP TIEOFF_X7Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y139 IS_BONDED 0 SITEPROP TIEOFF_X7Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y139 IS_PAD 0 SITEPROP TIEOFF_X7Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y139 IS_RESERVED 0 SITEPROP TIEOFF_X7Y139 IS_TEST 0 SITEPROP TIEOFF_X7Y139 IS_USED 0 SITEPROP TIEOFF_X7Y139 MANUAL_ROUTING SITEPROP TIEOFF_X7Y139 NAME TIEOFF_X7Y139 SITEPROP TIEOFF_X7Y139 NUM_ARCS 0 SITEPROP TIEOFF_X7Y139 NUM_BELS 2 SITEPROP TIEOFF_X7Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y139 NUM_PINS 2 SITEPROP TIEOFF_X7Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y139 PROHIBIT 0 SITEPROP TIEOFF_X7Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y139 RPM_X 36 SITEPROP TIEOFF_X7Y139 RPM_Y 278 SITEPROP TIEOFF_X7Y139 SITE_PIPS SITEPROP TIEOFF_X7Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y140 CLASS site SITEPROP TIEOFF_X7Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y140 IS_BONDED 0 SITEPROP TIEOFF_X7Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y140 IS_PAD 0 SITEPROP TIEOFF_X7Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y140 IS_RESERVED 0 SITEPROP TIEOFF_X7Y140 IS_TEST 0 SITEPROP TIEOFF_X7Y140 IS_USED 0 SITEPROP TIEOFF_X7Y140 MANUAL_ROUTING SITEPROP TIEOFF_X7Y140 NAME TIEOFF_X7Y140 SITEPROP TIEOFF_X7Y140 NUM_ARCS 0 SITEPROP TIEOFF_X7Y140 NUM_BELS 2 SITEPROP TIEOFF_X7Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y140 NUM_PINS 2 SITEPROP TIEOFF_X7Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y140 PROHIBIT 0 SITEPROP TIEOFF_X7Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y140 RPM_X 36 SITEPROP TIEOFF_X7Y140 RPM_Y 280 SITEPROP TIEOFF_X7Y140 SITE_PIPS SITEPROP TIEOFF_X7Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y141 CLASS site SITEPROP TIEOFF_X7Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y141 IS_BONDED 0 SITEPROP TIEOFF_X7Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y141 IS_PAD 0 SITEPROP TIEOFF_X7Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y141 IS_RESERVED 0 SITEPROP TIEOFF_X7Y141 IS_TEST 0 SITEPROP TIEOFF_X7Y141 IS_USED 0 SITEPROP TIEOFF_X7Y141 MANUAL_ROUTING SITEPROP TIEOFF_X7Y141 NAME TIEOFF_X7Y141 SITEPROP TIEOFF_X7Y141 NUM_ARCS 0 SITEPROP TIEOFF_X7Y141 NUM_BELS 2 SITEPROP TIEOFF_X7Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y141 NUM_PINS 2 SITEPROP TIEOFF_X7Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y141 PROHIBIT 0 SITEPROP TIEOFF_X7Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y141 RPM_X 36 SITEPROP TIEOFF_X7Y141 RPM_Y 282 SITEPROP TIEOFF_X7Y141 SITE_PIPS SITEPROP TIEOFF_X7Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y142 CLASS site SITEPROP TIEOFF_X7Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y142 IS_BONDED 0 SITEPROP TIEOFF_X7Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y142 IS_PAD 0 SITEPROP TIEOFF_X7Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y142 IS_RESERVED 0 SITEPROP TIEOFF_X7Y142 IS_TEST 0 SITEPROP TIEOFF_X7Y142 IS_USED 0 SITEPROP TIEOFF_X7Y142 MANUAL_ROUTING SITEPROP TIEOFF_X7Y142 NAME TIEOFF_X7Y142 SITEPROP TIEOFF_X7Y142 NUM_ARCS 0 SITEPROP TIEOFF_X7Y142 NUM_BELS 2 SITEPROP TIEOFF_X7Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y142 NUM_PINS 2 SITEPROP TIEOFF_X7Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y142 PROHIBIT 0 SITEPROP TIEOFF_X7Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y142 RPM_X 36 SITEPROP TIEOFF_X7Y142 RPM_Y 284 SITEPROP TIEOFF_X7Y142 SITE_PIPS SITEPROP TIEOFF_X7Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y143 CLASS site SITEPROP TIEOFF_X7Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y143 IS_BONDED 0 SITEPROP TIEOFF_X7Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y143 IS_PAD 0 SITEPROP TIEOFF_X7Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y143 IS_RESERVED 0 SITEPROP TIEOFF_X7Y143 IS_TEST 0 SITEPROP TIEOFF_X7Y143 IS_USED 0 SITEPROP TIEOFF_X7Y143 MANUAL_ROUTING SITEPROP TIEOFF_X7Y143 NAME TIEOFF_X7Y143 SITEPROP TIEOFF_X7Y143 NUM_ARCS 0 SITEPROP TIEOFF_X7Y143 NUM_BELS 2 SITEPROP TIEOFF_X7Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y143 NUM_PINS 2 SITEPROP TIEOFF_X7Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y143 PROHIBIT 0 SITEPROP TIEOFF_X7Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y143 RPM_X 36 SITEPROP TIEOFF_X7Y143 RPM_Y 286 SITEPROP TIEOFF_X7Y143 SITE_PIPS SITEPROP TIEOFF_X7Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y144 CLASS site SITEPROP TIEOFF_X7Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y144 IS_BONDED 0 SITEPROP TIEOFF_X7Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y144 IS_PAD 0 SITEPROP TIEOFF_X7Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y144 IS_RESERVED 0 SITEPROP TIEOFF_X7Y144 IS_TEST 0 SITEPROP TIEOFF_X7Y144 IS_USED 0 SITEPROP TIEOFF_X7Y144 MANUAL_ROUTING SITEPROP TIEOFF_X7Y144 NAME TIEOFF_X7Y144 SITEPROP TIEOFF_X7Y144 NUM_ARCS 0 SITEPROP TIEOFF_X7Y144 NUM_BELS 2 SITEPROP TIEOFF_X7Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y144 NUM_PINS 2 SITEPROP TIEOFF_X7Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y144 PROHIBIT 0 SITEPROP TIEOFF_X7Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y144 RPM_X 36 SITEPROP TIEOFF_X7Y144 RPM_Y 288 SITEPROP TIEOFF_X7Y144 SITE_PIPS SITEPROP TIEOFF_X7Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y145 CLASS site SITEPROP TIEOFF_X7Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y145 IS_BONDED 0 SITEPROP TIEOFF_X7Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y145 IS_PAD 0 SITEPROP TIEOFF_X7Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y145 IS_RESERVED 0 SITEPROP TIEOFF_X7Y145 IS_TEST 0 SITEPROP TIEOFF_X7Y145 IS_USED 0 SITEPROP TIEOFF_X7Y145 MANUAL_ROUTING SITEPROP TIEOFF_X7Y145 NAME TIEOFF_X7Y145 SITEPROP TIEOFF_X7Y145 NUM_ARCS 0 SITEPROP TIEOFF_X7Y145 NUM_BELS 2 SITEPROP TIEOFF_X7Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y145 NUM_PINS 2 SITEPROP TIEOFF_X7Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y145 PROHIBIT 0 SITEPROP TIEOFF_X7Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y145 RPM_X 36 SITEPROP TIEOFF_X7Y145 RPM_Y 290 SITEPROP TIEOFF_X7Y145 SITE_PIPS SITEPROP TIEOFF_X7Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y146 CLASS site SITEPROP TIEOFF_X7Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y146 IS_BONDED 0 SITEPROP TIEOFF_X7Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y146 IS_PAD 0 SITEPROP TIEOFF_X7Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y146 IS_RESERVED 0 SITEPROP TIEOFF_X7Y146 IS_TEST 0 SITEPROP TIEOFF_X7Y146 IS_USED 0 SITEPROP TIEOFF_X7Y146 MANUAL_ROUTING SITEPROP TIEOFF_X7Y146 NAME TIEOFF_X7Y146 SITEPROP TIEOFF_X7Y146 NUM_ARCS 0 SITEPROP TIEOFF_X7Y146 NUM_BELS 2 SITEPROP TIEOFF_X7Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y146 NUM_PINS 2 SITEPROP TIEOFF_X7Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y146 PROHIBIT 0 SITEPROP TIEOFF_X7Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y146 RPM_X 36 SITEPROP TIEOFF_X7Y146 RPM_Y 292 SITEPROP TIEOFF_X7Y146 SITE_PIPS SITEPROP TIEOFF_X7Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y147 CLASS site SITEPROP TIEOFF_X7Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y147 IS_BONDED 0 SITEPROP TIEOFF_X7Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y147 IS_PAD 0 SITEPROP TIEOFF_X7Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y147 IS_RESERVED 0 SITEPROP TIEOFF_X7Y147 IS_TEST 0 SITEPROP TIEOFF_X7Y147 IS_USED 0 SITEPROP TIEOFF_X7Y147 MANUAL_ROUTING SITEPROP TIEOFF_X7Y147 NAME TIEOFF_X7Y147 SITEPROP TIEOFF_X7Y147 NUM_ARCS 0 SITEPROP TIEOFF_X7Y147 NUM_BELS 2 SITEPROP TIEOFF_X7Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y147 NUM_PINS 2 SITEPROP TIEOFF_X7Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y147 PROHIBIT 0 SITEPROP TIEOFF_X7Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y147 RPM_X 36 SITEPROP TIEOFF_X7Y147 RPM_Y 294 SITEPROP TIEOFF_X7Y147 SITE_PIPS SITEPROP TIEOFF_X7Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y148 CLASS site SITEPROP TIEOFF_X7Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y148 IS_BONDED 0 SITEPROP TIEOFF_X7Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y148 IS_PAD 0 SITEPROP TIEOFF_X7Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y148 IS_RESERVED 0 SITEPROP TIEOFF_X7Y148 IS_TEST 0 SITEPROP TIEOFF_X7Y148 IS_USED 0 SITEPROP TIEOFF_X7Y148 MANUAL_ROUTING SITEPROP TIEOFF_X7Y148 NAME TIEOFF_X7Y148 SITEPROP TIEOFF_X7Y148 NUM_ARCS 0 SITEPROP TIEOFF_X7Y148 NUM_BELS 2 SITEPROP TIEOFF_X7Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y148 NUM_PINS 2 SITEPROP TIEOFF_X7Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y148 PROHIBIT 0 SITEPROP TIEOFF_X7Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y148 RPM_X 36 SITEPROP TIEOFF_X7Y148 RPM_Y 296 SITEPROP TIEOFF_X7Y148 SITE_PIPS SITEPROP TIEOFF_X7Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X7Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X7Y149 CLASS site SITEPROP TIEOFF_X7Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X7Y149 IS_BONDED 0 SITEPROP TIEOFF_X7Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y149 IS_PAD 0 SITEPROP TIEOFF_X7Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X7Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X7Y149 IS_RESERVED 0 SITEPROP TIEOFF_X7Y149 IS_TEST 0 SITEPROP TIEOFF_X7Y149 IS_USED 0 SITEPROP TIEOFF_X7Y149 MANUAL_ROUTING SITEPROP TIEOFF_X7Y149 NAME TIEOFF_X7Y149 SITEPROP TIEOFF_X7Y149 NUM_ARCS 0 SITEPROP TIEOFF_X7Y149 NUM_BELS 2 SITEPROP TIEOFF_X7Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X7Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X7Y149 NUM_PINS 2 SITEPROP TIEOFF_X7Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X7Y149 PROHIBIT 0 SITEPROP TIEOFF_X7Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X7Y149 RPM_X 36 SITEPROP TIEOFF_X7Y149 RPM_Y 298 SITEPROP TIEOFF_X7Y149 SITE_PIPS SITEPROP TIEOFF_X7Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y0 CLASS site SITEPROP TIEOFF_X8Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y0 IS_BONDED 0 SITEPROP TIEOFF_X8Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y0 IS_PAD 0 SITEPROP TIEOFF_X8Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y0 IS_RESERVED 0 SITEPROP TIEOFF_X8Y0 IS_TEST 0 SITEPROP TIEOFF_X8Y0 IS_USED 0 SITEPROP TIEOFF_X8Y0 MANUAL_ROUTING SITEPROP TIEOFF_X8Y0 NAME TIEOFF_X8Y0 SITEPROP TIEOFF_X8Y0 NUM_ARCS 0 SITEPROP TIEOFF_X8Y0 NUM_BELS 2 SITEPROP TIEOFF_X8Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y0 NUM_PINS 2 SITEPROP TIEOFF_X8Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y0 PROHIBIT 0 SITEPROP TIEOFF_X8Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y0 RPM_X 40 SITEPROP TIEOFF_X8Y0 RPM_Y 0 SITEPROP TIEOFF_X8Y0 SITE_PIPS SITEPROP TIEOFF_X8Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y1 CLASS site SITEPROP TIEOFF_X8Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y1 IS_BONDED 0 SITEPROP TIEOFF_X8Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y1 IS_PAD 0 SITEPROP TIEOFF_X8Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y1 IS_RESERVED 0 SITEPROP TIEOFF_X8Y1 IS_TEST 0 SITEPROP TIEOFF_X8Y1 IS_USED 0 SITEPROP TIEOFF_X8Y1 MANUAL_ROUTING SITEPROP TIEOFF_X8Y1 NAME TIEOFF_X8Y1 SITEPROP TIEOFF_X8Y1 NUM_ARCS 0 SITEPROP TIEOFF_X8Y1 NUM_BELS 2 SITEPROP TIEOFF_X8Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y1 NUM_PINS 2 SITEPROP TIEOFF_X8Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y1 PROHIBIT 0 SITEPROP TIEOFF_X8Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y1 RPM_X 40 SITEPROP TIEOFF_X8Y1 RPM_Y 2 SITEPROP TIEOFF_X8Y1 SITE_PIPS SITEPROP TIEOFF_X8Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y2 CLASS site SITEPROP TIEOFF_X8Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y2 IS_BONDED 0 SITEPROP TIEOFF_X8Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y2 IS_PAD 0 SITEPROP TIEOFF_X8Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y2 IS_RESERVED 0 SITEPROP TIEOFF_X8Y2 IS_TEST 0 SITEPROP TIEOFF_X8Y2 IS_USED 0 SITEPROP TIEOFF_X8Y2 MANUAL_ROUTING SITEPROP TIEOFF_X8Y2 NAME TIEOFF_X8Y2 SITEPROP TIEOFF_X8Y2 NUM_ARCS 0 SITEPROP TIEOFF_X8Y2 NUM_BELS 2 SITEPROP TIEOFF_X8Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y2 NUM_PINS 2 SITEPROP TIEOFF_X8Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y2 PROHIBIT 0 SITEPROP TIEOFF_X8Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y2 RPM_X 40 SITEPROP TIEOFF_X8Y2 RPM_Y 4 SITEPROP TIEOFF_X8Y2 SITE_PIPS SITEPROP TIEOFF_X8Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y3 CLASS site SITEPROP TIEOFF_X8Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y3 IS_BONDED 0 SITEPROP TIEOFF_X8Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y3 IS_PAD 0 SITEPROP TIEOFF_X8Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y3 IS_RESERVED 0 SITEPROP TIEOFF_X8Y3 IS_TEST 0 SITEPROP TIEOFF_X8Y3 IS_USED 0 SITEPROP TIEOFF_X8Y3 MANUAL_ROUTING SITEPROP TIEOFF_X8Y3 NAME TIEOFF_X8Y3 SITEPROP TIEOFF_X8Y3 NUM_ARCS 0 SITEPROP TIEOFF_X8Y3 NUM_BELS 2 SITEPROP TIEOFF_X8Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y3 NUM_PINS 2 SITEPROP TIEOFF_X8Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y3 PROHIBIT 0 SITEPROP TIEOFF_X8Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y3 RPM_X 40 SITEPROP TIEOFF_X8Y3 RPM_Y 6 SITEPROP TIEOFF_X8Y3 SITE_PIPS SITEPROP TIEOFF_X8Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y4 CLASS site SITEPROP TIEOFF_X8Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y4 IS_BONDED 0 SITEPROP TIEOFF_X8Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y4 IS_PAD 0 SITEPROP TIEOFF_X8Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y4 IS_RESERVED 0 SITEPROP TIEOFF_X8Y4 IS_TEST 0 SITEPROP TIEOFF_X8Y4 IS_USED 0 SITEPROP TIEOFF_X8Y4 MANUAL_ROUTING SITEPROP TIEOFF_X8Y4 NAME TIEOFF_X8Y4 SITEPROP TIEOFF_X8Y4 NUM_ARCS 0 SITEPROP TIEOFF_X8Y4 NUM_BELS 2 SITEPROP TIEOFF_X8Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y4 NUM_PINS 2 SITEPROP TIEOFF_X8Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y4 PROHIBIT 0 SITEPROP TIEOFF_X8Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y4 RPM_X 40 SITEPROP TIEOFF_X8Y4 RPM_Y 8 SITEPROP TIEOFF_X8Y4 SITE_PIPS SITEPROP TIEOFF_X8Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y5 CLASS site SITEPROP TIEOFF_X8Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y5 IS_BONDED 0 SITEPROP TIEOFF_X8Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y5 IS_PAD 0 SITEPROP TIEOFF_X8Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y5 IS_RESERVED 0 SITEPROP TIEOFF_X8Y5 IS_TEST 0 SITEPROP TIEOFF_X8Y5 IS_USED 0 SITEPROP TIEOFF_X8Y5 MANUAL_ROUTING SITEPROP TIEOFF_X8Y5 NAME TIEOFF_X8Y5 SITEPROP TIEOFF_X8Y5 NUM_ARCS 0 SITEPROP TIEOFF_X8Y5 NUM_BELS 2 SITEPROP TIEOFF_X8Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y5 NUM_PINS 2 SITEPROP TIEOFF_X8Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y5 PROHIBIT 0 SITEPROP TIEOFF_X8Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y5 RPM_X 40 SITEPROP TIEOFF_X8Y5 RPM_Y 10 SITEPROP TIEOFF_X8Y5 SITE_PIPS SITEPROP TIEOFF_X8Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y6 CLASS site SITEPROP TIEOFF_X8Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y6 IS_BONDED 0 SITEPROP TIEOFF_X8Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y6 IS_PAD 0 SITEPROP TIEOFF_X8Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y6 IS_RESERVED 0 SITEPROP TIEOFF_X8Y6 IS_TEST 0 SITEPROP TIEOFF_X8Y6 IS_USED 0 SITEPROP TIEOFF_X8Y6 MANUAL_ROUTING SITEPROP TIEOFF_X8Y6 NAME TIEOFF_X8Y6 SITEPROP TIEOFF_X8Y6 NUM_ARCS 0 SITEPROP TIEOFF_X8Y6 NUM_BELS 2 SITEPROP TIEOFF_X8Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y6 NUM_PINS 2 SITEPROP TIEOFF_X8Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y6 PROHIBIT 0 SITEPROP TIEOFF_X8Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y6 RPM_X 40 SITEPROP TIEOFF_X8Y6 RPM_Y 12 SITEPROP TIEOFF_X8Y6 SITE_PIPS SITEPROP TIEOFF_X8Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y7 CLASS site SITEPROP TIEOFF_X8Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y7 IS_BONDED 0 SITEPROP TIEOFF_X8Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y7 IS_PAD 0 SITEPROP TIEOFF_X8Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y7 IS_RESERVED 0 SITEPROP TIEOFF_X8Y7 IS_TEST 0 SITEPROP TIEOFF_X8Y7 IS_USED 0 SITEPROP TIEOFF_X8Y7 MANUAL_ROUTING SITEPROP TIEOFF_X8Y7 NAME TIEOFF_X8Y7 SITEPROP TIEOFF_X8Y7 NUM_ARCS 0 SITEPROP TIEOFF_X8Y7 NUM_BELS 2 SITEPROP TIEOFF_X8Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y7 NUM_PINS 2 SITEPROP TIEOFF_X8Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y7 PROHIBIT 0 SITEPROP TIEOFF_X8Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y7 RPM_X 40 SITEPROP TIEOFF_X8Y7 RPM_Y 14 SITEPROP TIEOFF_X8Y7 SITE_PIPS SITEPROP TIEOFF_X8Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y8 CLASS site SITEPROP TIEOFF_X8Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y8 IS_BONDED 0 SITEPROP TIEOFF_X8Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y8 IS_PAD 0 SITEPROP TIEOFF_X8Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y8 IS_RESERVED 0 SITEPROP TIEOFF_X8Y8 IS_TEST 0 SITEPROP TIEOFF_X8Y8 IS_USED 0 SITEPROP TIEOFF_X8Y8 MANUAL_ROUTING SITEPROP TIEOFF_X8Y8 NAME TIEOFF_X8Y8 SITEPROP TIEOFF_X8Y8 NUM_ARCS 0 SITEPROP TIEOFF_X8Y8 NUM_BELS 2 SITEPROP TIEOFF_X8Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y8 NUM_PINS 2 SITEPROP TIEOFF_X8Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y8 PROHIBIT 0 SITEPROP TIEOFF_X8Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y8 RPM_X 40 SITEPROP TIEOFF_X8Y8 RPM_Y 16 SITEPROP TIEOFF_X8Y8 SITE_PIPS SITEPROP TIEOFF_X8Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y9 CLASS site SITEPROP TIEOFF_X8Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y9 IS_BONDED 0 SITEPROP TIEOFF_X8Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y9 IS_PAD 0 SITEPROP TIEOFF_X8Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y9 IS_RESERVED 0 SITEPROP TIEOFF_X8Y9 IS_TEST 0 SITEPROP TIEOFF_X8Y9 IS_USED 0 SITEPROP TIEOFF_X8Y9 MANUAL_ROUTING SITEPROP TIEOFF_X8Y9 NAME TIEOFF_X8Y9 SITEPROP TIEOFF_X8Y9 NUM_ARCS 0 SITEPROP TIEOFF_X8Y9 NUM_BELS 2 SITEPROP TIEOFF_X8Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y9 NUM_PINS 2 SITEPROP TIEOFF_X8Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y9 PROHIBIT 0 SITEPROP TIEOFF_X8Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y9 RPM_X 40 SITEPROP TIEOFF_X8Y9 RPM_Y 18 SITEPROP TIEOFF_X8Y9 SITE_PIPS SITEPROP TIEOFF_X8Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y10 CLASS site SITEPROP TIEOFF_X8Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y10 IS_BONDED 0 SITEPROP TIEOFF_X8Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y10 IS_PAD 0 SITEPROP TIEOFF_X8Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y10 IS_RESERVED 0 SITEPROP TIEOFF_X8Y10 IS_TEST 0 SITEPROP TIEOFF_X8Y10 IS_USED 0 SITEPROP TIEOFF_X8Y10 MANUAL_ROUTING SITEPROP TIEOFF_X8Y10 NAME TIEOFF_X8Y10 SITEPROP TIEOFF_X8Y10 NUM_ARCS 0 SITEPROP TIEOFF_X8Y10 NUM_BELS 2 SITEPROP TIEOFF_X8Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y10 NUM_PINS 2 SITEPROP TIEOFF_X8Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y10 PROHIBIT 0 SITEPROP TIEOFF_X8Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y10 RPM_X 40 SITEPROP TIEOFF_X8Y10 RPM_Y 20 SITEPROP TIEOFF_X8Y10 SITE_PIPS SITEPROP TIEOFF_X8Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y11 CLASS site SITEPROP TIEOFF_X8Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y11 IS_BONDED 0 SITEPROP TIEOFF_X8Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y11 IS_PAD 0 SITEPROP TIEOFF_X8Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y11 IS_RESERVED 0 SITEPROP TIEOFF_X8Y11 IS_TEST 0 SITEPROP TIEOFF_X8Y11 IS_USED 0 SITEPROP TIEOFF_X8Y11 MANUAL_ROUTING SITEPROP TIEOFF_X8Y11 NAME TIEOFF_X8Y11 SITEPROP TIEOFF_X8Y11 NUM_ARCS 0 SITEPROP TIEOFF_X8Y11 NUM_BELS 2 SITEPROP TIEOFF_X8Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y11 NUM_PINS 2 SITEPROP TIEOFF_X8Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y11 PROHIBIT 0 SITEPROP TIEOFF_X8Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y11 RPM_X 40 SITEPROP TIEOFF_X8Y11 RPM_Y 22 SITEPROP TIEOFF_X8Y11 SITE_PIPS SITEPROP TIEOFF_X8Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y12 CLASS site SITEPROP TIEOFF_X8Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y12 IS_BONDED 0 SITEPROP TIEOFF_X8Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y12 IS_PAD 0 SITEPROP TIEOFF_X8Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y12 IS_RESERVED 0 SITEPROP TIEOFF_X8Y12 IS_TEST 0 SITEPROP TIEOFF_X8Y12 IS_USED 0 SITEPROP TIEOFF_X8Y12 MANUAL_ROUTING SITEPROP TIEOFF_X8Y12 NAME TIEOFF_X8Y12 SITEPROP TIEOFF_X8Y12 NUM_ARCS 0 SITEPROP TIEOFF_X8Y12 NUM_BELS 2 SITEPROP TIEOFF_X8Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y12 NUM_PINS 2 SITEPROP TIEOFF_X8Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y12 PROHIBIT 0 SITEPROP TIEOFF_X8Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y12 RPM_X 40 SITEPROP TIEOFF_X8Y12 RPM_Y 24 SITEPROP TIEOFF_X8Y12 SITE_PIPS SITEPROP TIEOFF_X8Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y13 CLASS site SITEPROP TIEOFF_X8Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y13 IS_BONDED 0 SITEPROP TIEOFF_X8Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y13 IS_PAD 0 SITEPROP TIEOFF_X8Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y13 IS_RESERVED 0 SITEPROP TIEOFF_X8Y13 IS_TEST 0 SITEPROP TIEOFF_X8Y13 IS_USED 0 SITEPROP TIEOFF_X8Y13 MANUAL_ROUTING SITEPROP TIEOFF_X8Y13 NAME TIEOFF_X8Y13 SITEPROP TIEOFF_X8Y13 NUM_ARCS 0 SITEPROP TIEOFF_X8Y13 NUM_BELS 2 SITEPROP TIEOFF_X8Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y13 NUM_PINS 2 SITEPROP TIEOFF_X8Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y13 PROHIBIT 0 SITEPROP TIEOFF_X8Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y13 RPM_X 40 SITEPROP TIEOFF_X8Y13 RPM_Y 26 SITEPROP TIEOFF_X8Y13 SITE_PIPS SITEPROP TIEOFF_X8Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y14 CLASS site SITEPROP TIEOFF_X8Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y14 IS_BONDED 0 SITEPROP TIEOFF_X8Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y14 IS_PAD 0 SITEPROP TIEOFF_X8Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y14 IS_RESERVED 0 SITEPROP TIEOFF_X8Y14 IS_TEST 0 SITEPROP TIEOFF_X8Y14 IS_USED 0 SITEPROP TIEOFF_X8Y14 MANUAL_ROUTING SITEPROP TIEOFF_X8Y14 NAME TIEOFF_X8Y14 SITEPROP TIEOFF_X8Y14 NUM_ARCS 0 SITEPROP TIEOFF_X8Y14 NUM_BELS 2 SITEPROP TIEOFF_X8Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y14 NUM_PINS 2 SITEPROP TIEOFF_X8Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y14 PROHIBIT 0 SITEPROP TIEOFF_X8Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y14 RPM_X 40 SITEPROP TIEOFF_X8Y14 RPM_Y 28 SITEPROP TIEOFF_X8Y14 SITE_PIPS SITEPROP TIEOFF_X8Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y15 CLASS site SITEPROP TIEOFF_X8Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y15 IS_BONDED 0 SITEPROP TIEOFF_X8Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y15 IS_PAD 0 SITEPROP TIEOFF_X8Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y15 IS_RESERVED 0 SITEPROP TIEOFF_X8Y15 IS_TEST 0 SITEPROP TIEOFF_X8Y15 IS_USED 0 SITEPROP TIEOFF_X8Y15 MANUAL_ROUTING SITEPROP TIEOFF_X8Y15 NAME TIEOFF_X8Y15 SITEPROP TIEOFF_X8Y15 NUM_ARCS 0 SITEPROP TIEOFF_X8Y15 NUM_BELS 2 SITEPROP TIEOFF_X8Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y15 NUM_PINS 2 SITEPROP TIEOFF_X8Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y15 PROHIBIT 0 SITEPROP TIEOFF_X8Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y15 RPM_X 40 SITEPROP TIEOFF_X8Y15 RPM_Y 30 SITEPROP TIEOFF_X8Y15 SITE_PIPS SITEPROP TIEOFF_X8Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y16 CLASS site SITEPROP TIEOFF_X8Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y16 IS_BONDED 0 SITEPROP TIEOFF_X8Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y16 IS_PAD 0 SITEPROP TIEOFF_X8Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y16 IS_RESERVED 0 SITEPROP TIEOFF_X8Y16 IS_TEST 0 SITEPROP TIEOFF_X8Y16 IS_USED 0 SITEPROP TIEOFF_X8Y16 MANUAL_ROUTING SITEPROP TIEOFF_X8Y16 NAME TIEOFF_X8Y16 SITEPROP TIEOFF_X8Y16 NUM_ARCS 0 SITEPROP TIEOFF_X8Y16 NUM_BELS 2 SITEPROP TIEOFF_X8Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y16 NUM_PINS 2 SITEPROP TIEOFF_X8Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y16 PROHIBIT 0 SITEPROP TIEOFF_X8Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y16 RPM_X 40 SITEPROP TIEOFF_X8Y16 RPM_Y 32 SITEPROP TIEOFF_X8Y16 SITE_PIPS SITEPROP TIEOFF_X8Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y17 CLASS site SITEPROP TIEOFF_X8Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y17 IS_BONDED 0 SITEPROP TIEOFF_X8Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y17 IS_PAD 0 SITEPROP TIEOFF_X8Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y17 IS_RESERVED 0 SITEPROP TIEOFF_X8Y17 IS_TEST 0 SITEPROP TIEOFF_X8Y17 IS_USED 0 SITEPROP TIEOFF_X8Y17 MANUAL_ROUTING SITEPROP TIEOFF_X8Y17 NAME TIEOFF_X8Y17 SITEPROP TIEOFF_X8Y17 NUM_ARCS 0 SITEPROP TIEOFF_X8Y17 NUM_BELS 2 SITEPROP TIEOFF_X8Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y17 NUM_PINS 2 SITEPROP TIEOFF_X8Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y17 PROHIBIT 0 SITEPROP TIEOFF_X8Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y17 RPM_X 40 SITEPROP TIEOFF_X8Y17 RPM_Y 34 SITEPROP TIEOFF_X8Y17 SITE_PIPS SITEPROP TIEOFF_X8Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y18 CLASS site SITEPROP TIEOFF_X8Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y18 IS_BONDED 0 SITEPROP TIEOFF_X8Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y18 IS_PAD 0 SITEPROP TIEOFF_X8Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y18 IS_RESERVED 0 SITEPROP TIEOFF_X8Y18 IS_TEST 0 SITEPROP TIEOFF_X8Y18 IS_USED 0 SITEPROP TIEOFF_X8Y18 MANUAL_ROUTING SITEPROP TIEOFF_X8Y18 NAME TIEOFF_X8Y18 SITEPROP TIEOFF_X8Y18 NUM_ARCS 0 SITEPROP TIEOFF_X8Y18 NUM_BELS 2 SITEPROP TIEOFF_X8Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y18 NUM_PINS 2 SITEPROP TIEOFF_X8Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y18 PROHIBIT 0 SITEPROP TIEOFF_X8Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y18 RPM_X 40 SITEPROP TIEOFF_X8Y18 RPM_Y 36 SITEPROP TIEOFF_X8Y18 SITE_PIPS SITEPROP TIEOFF_X8Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y19 CLASS site SITEPROP TIEOFF_X8Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y19 IS_BONDED 0 SITEPROP TIEOFF_X8Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y19 IS_PAD 0 SITEPROP TIEOFF_X8Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y19 IS_RESERVED 0 SITEPROP TIEOFF_X8Y19 IS_TEST 0 SITEPROP TIEOFF_X8Y19 IS_USED 0 SITEPROP TIEOFF_X8Y19 MANUAL_ROUTING SITEPROP TIEOFF_X8Y19 NAME TIEOFF_X8Y19 SITEPROP TIEOFF_X8Y19 NUM_ARCS 0 SITEPROP TIEOFF_X8Y19 NUM_BELS 2 SITEPROP TIEOFF_X8Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y19 NUM_PINS 2 SITEPROP TIEOFF_X8Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y19 PROHIBIT 0 SITEPROP TIEOFF_X8Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y19 RPM_X 40 SITEPROP TIEOFF_X8Y19 RPM_Y 38 SITEPROP TIEOFF_X8Y19 SITE_PIPS SITEPROP TIEOFF_X8Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y20 CLASS site SITEPROP TIEOFF_X8Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y20 IS_BONDED 0 SITEPROP TIEOFF_X8Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y20 IS_PAD 0 SITEPROP TIEOFF_X8Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y20 IS_RESERVED 0 SITEPROP TIEOFF_X8Y20 IS_TEST 0 SITEPROP TIEOFF_X8Y20 IS_USED 0 SITEPROP TIEOFF_X8Y20 MANUAL_ROUTING SITEPROP TIEOFF_X8Y20 NAME TIEOFF_X8Y20 SITEPROP TIEOFF_X8Y20 NUM_ARCS 0 SITEPROP TIEOFF_X8Y20 NUM_BELS 2 SITEPROP TIEOFF_X8Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y20 NUM_PINS 2 SITEPROP TIEOFF_X8Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y20 PROHIBIT 0 SITEPROP TIEOFF_X8Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y20 RPM_X 40 SITEPROP TIEOFF_X8Y20 RPM_Y 40 SITEPROP TIEOFF_X8Y20 SITE_PIPS SITEPROP TIEOFF_X8Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y21 CLASS site SITEPROP TIEOFF_X8Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y21 IS_BONDED 0 SITEPROP TIEOFF_X8Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y21 IS_PAD 0 SITEPROP TIEOFF_X8Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y21 IS_RESERVED 0 SITEPROP TIEOFF_X8Y21 IS_TEST 0 SITEPROP TIEOFF_X8Y21 IS_USED 0 SITEPROP TIEOFF_X8Y21 MANUAL_ROUTING SITEPROP TIEOFF_X8Y21 NAME TIEOFF_X8Y21 SITEPROP TIEOFF_X8Y21 NUM_ARCS 0 SITEPROP TIEOFF_X8Y21 NUM_BELS 2 SITEPROP TIEOFF_X8Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y21 NUM_PINS 2 SITEPROP TIEOFF_X8Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y21 PROHIBIT 0 SITEPROP TIEOFF_X8Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y21 RPM_X 40 SITEPROP TIEOFF_X8Y21 RPM_Y 42 SITEPROP TIEOFF_X8Y21 SITE_PIPS SITEPROP TIEOFF_X8Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y22 CLASS site SITEPROP TIEOFF_X8Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y22 IS_BONDED 0 SITEPROP TIEOFF_X8Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y22 IS_PAD 0 SITEPROP TIEOFF_X8Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y22 IS_RESERVED 0 SITEPROP TIEOFF_X8Y22 IS_TEST 0 SITEPROP TIEOFF_X8Y22 IS_USED 0 SITEPROP TIEOFF_X8Y22 MANUAL_ROUTING SITEPROP TIEOFF_X8Y22 NAME TIEOFF_X8Y22 SITEPROP TIEOFF_X8Y22 NUM_ARCS 0 SITEPROP TIEOFF_X8Y22 NUM_BELS 2 SITEPROP TIEOFF_X8Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y22 NUM_PINS 2 SITEPROP TIEOFF_X8Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y22 PROHIBIT 0 SITEPROP TIEOFF_X8Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y22 RPM_X 40 SITEPROP TIEOFF_X8Y22 RPM_Y 44 SITEPROP TIEOFF_X8Y22 SITE_PIPS SITEPROP TIEOFF_X8Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y23 CLASS site SITEPROP TIEOFF_X8Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y23 IS_BONDED 0 SITEPROP TIEOFF_X8Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y23 IS_PAD 0 SITEPROP TIEOFF_X8Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y23 IS_RESERVED 0 SITEPROP TIEOFF_X8Y23 IS_TEST 0 SITEPROP TIEOFF_X8Y23 IS_USED 0 SITEPROP TIEOFF_X8Y23 MANUAL_ROUTING SITEPROP TIEOFF_X8Y23 NAME TIEOFF_X8Y23 SITEPROP TIEOFF_X8Y23 NUM_ARCS 0 SITEPROP TIEOFF_X8Y23 NUM_BELS 2 SITEPROP TIEOFF_X8Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y23 NUM_PINS 2 SITEPROP TIEOFF_X8Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y23 PROHIBIT 0 SITEPROP TIEOFF_X8Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y23 RPM_X 40 SITEPROP TIEOFF_X8Y23 RPM_Y 46 SITEPROP TIEOFF_X8Y23 SITE_PIPS SITEPROP TIEOFF_X8Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y24 CLASS site SITEPROP TIEOFF_X8Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y24 IS_BONDED 0 SITEPROP TIEOFF_X8Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y24 IS_PAD 0 SITEPROP TIEOFF_X8Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y24 IS_RESERVED 0 SITEPROP TIEOFF_X8Y24 IS_TEST 0 SITEPROP TIEOFF_X8Y24 IS_USED 0 SITEPROP TIEOFF_X8Y24 MANUAL_ROUTING SITEPROP TIEOFF_X8Y24 NAME TIEOFF_X8Y24 SITEPROP TIEOFF_X8Y24 NUM_ARCS 0 SITEPROP TIEOFF_X8Y24 NUM_BELS 2 SITEPROP TIEOFF_X8Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y24 NUM_PINS 2 SITEPROP TIEOFF_X8Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y24 PROHIBIT 0 SITEPROP TIEOFF_X8Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y24 RPM_X 40 SITEPROP TIEOFF_X8Y24 RPM_Y 48 SITEPROP TIEOFF_X8Y24 SITE_PIPS SITEPROP TIEOFF_X8Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y25 CLASS site SITEPROP TIEOFF_X8Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y25 IS_BONDED 0 SITEPROP TIEOFF_X8Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y25 IS_PAD 0 SITEPROP TIEOFF_X8Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y25 IS_RESERVED 0 SITEPROP TIEOFF_X8Y25 IS_TEST 0 SITEPROP TIEOFF_X8Y25 IS_USED 0 SITEPROP TIEOFF_X8Y25 MANUAL_ROUTING SITEPROP TIEOFF_X8Y25 NAME TIEOFF_X8Y25 SITEPROP TIEOFF_X8Y25 NUM_ARCS 0 SITEPROP TIEOFF_X8Y25 NUM_BELS 2 SITEPROP TIEOFF_X8Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y25 NUM_PINS 2 SITEPROP TIEOFF_X8Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y25 PROHIBIT 0 SITEPROP TIEOFF_X8Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y25 RPM_X 40 SITEPROP TIEOFF_X8Y25 RPM_Y 50 SITEPROP TIEOFF_X8Y25 SITE_PIPS SITEPROP TIEOFF_X8Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y26 CLASS site SITEPROP TIEOFF_X8Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y26 IS_BONDED 0 SITEPROP TIEOFF_X8Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y26 IS_PAD 0 SITEPROP TIEOFF_X8Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y26 IS_RESERVED 0 SITEPROP TIEOFF_X8Y26 IS_TEST 0 SITEPROP TIEOFF_X8Y26 IS_USED 0 SITEPROP TIEOFF_X8Y26 MANUAL_ROUTING SITEPROP TIEOFF_X8Y26 NAME TIEOFF_X8Y26 SITEPROP TIEOFF_X8Y26 NUM_ARCS 0 SITEPROP TIEOFF_X8Y26 NUM_BELS 2 SITEPROP TIEOFF_X8Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y26 NUM_PINS 2 SITEPROP TIEOFF_X8Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y26 PROHIBIT 0 SITEPROP TIEOFF_X8Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y26 RPM_X 40 SITEPROP TIEOFF_X8Y26 RPM_Y 52 SITEPROP TIEOFF_X8Y26 SITE_PIPS SITEPROP TIEOFF_X8Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y27 CLASS site SITEPROP TIEOFF_X8Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y27 IS_BONDED 0 SITEPROP TIEOFF_X8Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y27 IS_PAD 0 SITEPROP TIEOFF_X8Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y27 IS_RESERVED 0 SITEPROP TIEOFF_X8Y27 IS_TEST 0 SITEPROP TIEOFF_X8Y27 IS_USED 0 SITEPROP TIEOFF_X8Y27 MANUAL_ROUTING SITEPROP TIEOFF_X8Y27 NAME TIEOFF_X8Y27 SITEPROP TIEOFF_X8Y27 NUM_ARCS 0 SITEPROP TIEOFF_X8Y27 NUM_BELS 2 SITEPROP TIEOFF_X8Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y27 NUM_PINS 2 SITEPROP TIEOFF_X8Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y27 PROHIBIT 0 SITEPROP TIEOFF_X8Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y27 RPM_X 40 SITEPROP TIEOFF_X8Y27 RPM_Y 54 SITEPROP TIEOFF_X8Y27 SITE_PIPS SITEPROP TIEOFF_X8Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y28 CLASS site SITEPROP TIEOFF_X8Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y28 IS_BONDED 0 SITEPROP TIEOFF_X8Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y28 IS_PAD 0 SITEPROP TIEOFF_X8Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y28 IS_RESERVED 0 SITEPROP TIEOFF_X8Y28 IS_TEST 0 SITEPROP TIEOFF_X8Y28 IS_USED 0 SITEPROP TIEOFF_X8Y28 MANUAL_ROUTING SITEPROP TIEOFF_X8Y28 NAME TIEOFF_X8Y28 SITEPROP TIEOFF_X8Y28 NUM_ARCS 0 SITEPROP TIEOFF_X8Y28 NUM_BELS 2 SITEPROP TIEOFF_X8Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y28 NUM_PINS 2 SITEPROP TIEOFF_X8Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y28 PROHIBIT 0 SITEPROP TIEOFF_X8Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y28 RPM_X 40 SITEPROP TIEOFF_X8Y28 RPM_Y 56 SITEPROP TIEOFF_X8Y28 SITE_PIPS SITEPROP TIEOFF_X8Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y29 CLASS site SITEPROP TIEOFF_X8Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y29 IS_BONDED 0 SITEPROP TIEOFF_X8Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y29 IS_PAD 0 SITEPROP TIEOFF_X8Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y29 IS_RESERVED 0 SITEPROP TIEOFF_X8Y29 IS_TEST 0 SITEPROP TIEOFF_X8Y29 IS_USED 0 SITEPROP TIEOFF_X8Y29 MANUAL_ROUTING SITEPROP TIEOFF_X8Y29 NAME TIEOFF_X8Y29 SITEPROP TIEOFF_X8Y29 NUM_ARCS 0 SITEPROP TIEOFF_X8Y29 NUM_BELS 2 SITEPROP TIEOFF_X8Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y29 NUM_PINS 2 SITEPROP TIEOFF_X8Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y29 PROHIBIT 0 SITEPROP TIEOFF_X8Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y29 RPM_X 40 SITEPROP TIEOFF_X8Y29 RPM_Y 58 SITEPROP TIEOFF_X8Y29 SITE_PIPS SITEPROP TIEOFF_X8Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y30 CLASS site SITEPROP TIEOFF_X8Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y30 IS_BONDED 0 SITEPROP TIEOFF_X8Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y30 IS_PAD 0 SITEPROP TIEOFF_X8Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y30 IS_RESERVED 0 SITEPROP TIEOFF_X8Y30 IS_TEST 0 SITEPROP TIEOFF_X8Y30 IS_USED 0 SITEPROP TIEOFF_X8Y30 MANUAL_ROUTING SITEPROP TIEOFF_X8Y30 NAME TIEOFF_X8Y30 SITEPROP TIEOFF_X8Y30 NUM_ARCS 0 SITEPROP TIEOFF_X8Y30 NUM_BELS 2 SITEPROP TIEOFF_X8Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y30 NUM_PINS 2 SITEPROP TIEOFF_X8Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y30 PROHIBIT 0 SITEPROP TIEOFF_X8Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y30 RPM_X 40 SITEPROP TIEOFF_X8Y30 RPM_Y 60 SITEPROP TIEOFF_X8Y30 SITE_PIPS SITEPROP TIEOFF_X8Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y31 CLASS site SITEPROP TIEOFF_X8Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y31 IS_BONDED 0 SITEPROP TIEOFF_X8Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y31 IS_PAD 0 SITEPROP TIEOFF_X8Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y31 IS_RESERVED 0 SITEPROP TIEOFF_X8Y31 IS_TEST 0 SITEPROP TIEOFF_X8Y31 IS_USED 0 SITEPROP TIEOFF_X8Y31 MANUAL_ROUTING SITEPROP TIEOFF_X8Y31 NAME TIEOFF_X8Y31 SITEPROP TIEOFF_X8Y31 NUM_ARCS 0 SITEPROP TIEOFF_X8Y31 NUM_BELS 2 SITEPROP TIEOFF_X8Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y31 NUM_PINS 2 SITEPROP TIEOFF_X8Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y31 PROHIBIT 0 SITEPROP TIEOFF_X8Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y31 RPM_X 40 SITEPROP TIEOFF_X8Y31 RPM_Y 62 SITEPROP TIEOFF_X8Y31 SITE_PIPS SITEPROP TIEOFF_X8Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y32 CLASS site SITEPROP TIEOFF_X8Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y32 IS_BONDED 0 SITEPROP TIEOFF_X8Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y32 IS_PAD 0 SITEPROP TIEOFF_X8Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y32 IS_RESERVED 0 SITEPROP TIEOFF_X8Y32 IS_TEST 0 SITEPROP TIEOFF_X8Y32 IS_USED 0 SITEPROP TIEOFF_X8Y32 MANUAL_ROUTING SITEPROP TIEOFF_X8Y32 NAME TIEOFF_X8Y32 SITEPROP TIEOFF_X8Y32 NUM_ARCS 0 SITEPROP TIEOFF_X8Y32 NUM_BELS 2 SITEPROP TIEOFF_X8Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y32 NUM_PINS 2 SITEPROP TIEOFF_X8Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y32 PROHIBIT 0 SITEPROP TIEOFF_X8Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y32 RPM_X 40 SITEPROP TIEOFF_X8Y32 RPM_Y 64 SITEPROP TIEOFF_X8Y32 SITE_PIPS SITEPROP TIEOFF_X8Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y33 CLASS site SITEPROP TIEOFF_X8Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y33 IS_BONDED 0 SITEPROP TIEOFF_X8Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y33 IS_PAD 0 SITEPROP TIEOFF_X8Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y33 IS_RESERVED 0 SITEPROP TIEOFF_X8Y33 IS_TEST 0 SITEPROP TIEOFF_X8Y33 IS_USED 0 SITEPROP TIEOFF_X8Y33 MANUAL_ROUTING SITEPROP TIEOFF_X8Y33 NAME TIEOFF_X8Y33 SITEPROP TIEOFF_X8Y33 NUM_ARCS 0 SITEPROP TIEOFF_X8Y33 NUM_BELS 2 SITEPROP TIEOFF_X8Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y33 NUM_PINS 2 SITEPROP TIEOFF_X8Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y33 PROHIBIT 0 SITEPROP TIEOFF_X8Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y33 RPM_X 40 SITEPROP TIEOFF_X8Y33 RPM_Y 66 SITEPROP TIEOFF_X8Y33 SITE_PIPS SITEPROP TIEOFF_X8Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y34 CLASS site SITEPROP TIEOFF_X8Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y34 IS_BONDED 0 SITEPROP TIEOFF_X8Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y34 IS_PAD 0 SITEPROP TIEOFF_X8Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y34 IS_RESERVED 0 SITEPROP TIEOFF_X8Y34 IS_TEST 0 SITEPROP TIEOFF_X8Y34 IS_USED 0 SITEPROP TIEOFF_X8Y34 MANUAL_ROUTING SITEPROP TIEOFF_X8Y34 NAME TIEOFF_X8Y34 SITEPROP TIEOFF_X8Y34 NUM_ARCS 0 SITEPROP TIEOFF_X8Y34 NUM_BELS 2 SITEPROP TIEOFF_X8Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y34 NUM_PINS 2 SITEPROP TIEOFF_X8Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y34 PROHIBIT 0 SITEPROP TIEOFF_X8Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y34 RPM_X 40 SITEPROP TIEOFF_X8Y34 RPM_Y 68 SITEPROP TIEOFF_X8Y34 SITE_PIPS SITEPROP TIEOFF_X8Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y35 CLASS site SITEPROP TIEOFF_X8Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y35 IS_BONDED 0 SITEPROP TIEOFF_X8Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y35 IS_PAD 0 SITEPROP TIEOFF_X8Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y35 IS_RESERVED 0 SITEPROP TIEOFF_X8Y35 IS_TEST 0 SITEPROP TIEOFF_X8Y35 IS_USED 0 SITEPROP TIEOFF_X8Y35 MANUAL_ROUTING SITEPROP TIEOFF_X8Y35 NAME TIEOFF_X8Y35 SITEPROP TIEOFF_X8Y35 NUM_ARCS 0 SITEPROP TIEOFF_X8Y35 NUM_BELS 2 SITEPROP TIEOFF_X8Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y35 NUM_PINS 2 SITEPROP TIEOFF_X8Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y35 PROHIBIT 0 SITEPROP TIEOFF_X8Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y35 RPM_X 40 SITEPROP TIEOFF_X8Y35 RPM_Y 70 SITEPROP TIEOFF_X8Y35 SITE_PIPS SITEPROP TIEOFF_X8Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y36 CLASS site SITEPROP TIEOFF_X8Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y36 IS_BONDED 0 SITEPROP TIEOFF_X8Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y36 IS_PAD 0 SITEPROP TIEOFF_X8Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y36 IS_RESERVED 0 SITEPROP TIEOFF_X8Y36 IS_TEST 0 SITEPROP TIEOFF_X8Y36 IS_USED 0 SITEPROP TIEOFF_X8Y36 MANUAL_ROUTING SITEPROP TIEOFF_X8Y36 NAME TIEOFF_X8Y36 SITEPROP TIEOFF_X8Y36 NUM_ARCS 0 SITEPROP TIEOFF_X8Y36 NUM_BELS 2 SITEPROP TIEOFF_X8Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y36 NUM_PINS 2 SITEPROP TIEOFF_X8Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y36 PROHIBIT 0 SITEPROP TIEOFF_X8Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y36 RPM_X 40 SITEPROP TIEOFF_X8Y36 RPM_Y 72 SITEPROP TIEOFF_X8Y36 SITE_PIPS SITEPROP TIEOFF_X8Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y37 CLASS site SITEPROP TIEOFF_X8Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y37 IS_BONDED 0 SITEPROP TIEOFF_X8Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y37 IS_PAD 0 SITEPROP TIEOFF_X8Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y37 IS_RESERVED 0 SITEPROP TIEOFF_X8Y37 IS_TEST 0 SITEPROP TIEOFF_X8Y37 IS_USED 0 SITEPROP TIEOFF_X8Y37 MANUAL_ROUTING SITEPROP TIEOFF_X8Y37 NAME TIEOFF_X8Y37 SITEPROP TIEOFF_X8Y37 NUM_ARCS 0 SITEPROP TIEOFF_X8Y37 NUM_BELS 2 SITEPROP TIEOFF_X8Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y37 NUM_PINS 2 SITEPROP TIEOFF_X8Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y37 PROHIBIT 0 SITEPROP TIEOFF_X8Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y37 RPM_X 40 SITEPROP TIEOFF_X8Y37 RPM_Y 74 SITEPROP TIEOFF_X8Y37 SITE_PIPS SITEPROP TIEOFF_X8Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y38 CLASS site SITEPROP TIEOFF_X8Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y38 IS_BONDED 0 SITEPROP TIEOFF_X8Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y38 IS_PAD 0 SITEPROP TIEOFF_X8Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y38 IS_RESERVED 0 SITEPROP TIEOFF_X8Y38 IS_TEST 0 SITEPROP TIEOFF_X8Y38 IS_USED 0 SITEPROP TIEOFF_X8Y38 MANUAL_ROUTING SITEPROP TIEOFF_X8Y38 NAME TIEOFF_X8Y38 SITEPROP TIEOFF_X8Y38 NUM_ARCS 0 SITEPROP TIEOFF_X8Y38 NUM_BELS 2 SITEPROP TIEOFF_X8Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y38 NUM_PINS 2 SITEPROP TIEOFF_X8Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y38 PROHIBIT 0 SITEPROP TIEOFF_X8Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y38 RPM_X 40 SITEPROP TIEOFF_X8Y38 RPM_Y 76 SITEPROP TIEOFF_X8Y38 SITE_PIPS SITEPROP TIEOFF_X8Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y39 CLASS site SITEPROP TIEOFF_X8Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y39 IS_BONDED 0 SITEPROP TIEOFF_X8Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y39 IS_PAD 0 SITEPROP TIEOFF_X8Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y39 IS_RESERVED 0 SITEPROP TIEOFF_X8Y39 IS_TEST 0 SITEPROP TIEOFF_X8Y39 IS_USED 0 SITEPROP TIEOFF_X8Y39 MANUAL_ROUTING SITEPROP TIEOFF_X8Y39 NAME TIEOFF_X8Y39 SITEPROP TIEOFF_X8Y39 NUM_ARCS 0 SITEPROP TIEOFF_X8Y39 NUM_BELS 2 SITEPROP TIEOFF_X8Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y39 NUM_PINS 2 SITEPROP TIEOFF_X8Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y39 PROHIBIT 0 SITEPROP TIEOFF_X8Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y39 RPM_X 40 SITEPROP TIEOFF_X8Y39 RPM_Y 78 SITEPROP TIEOFF_X8Y39 SITE_PIPS SITEPROP TIEOFF_X8Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y40 CLASS site SITEPROP TIEOFF_X8Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y40 IS_BONDED 0 SITEPROP TIEOFF_X8Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y40 IS_PAD 0 SITEPROP TIEOFF_X8Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y40 IS_RESERVED 0 SITEPROP TIEOFF_X8Y40 IS_TEST 0 SITEPROP TIEOFF_X8Y40 IS_USED 0 SITEPROP TIEOFF_X8Y40 MANUAL_ROUTING SITEPROP TIEOFF_X8Y40 NAME TIEOFF_X8Y40 SITEPROP TIEOFF_X8Y40 NUM_ARCS 0 SITEPROP TIEOFF_X8Y40 NUM_BELS 2 SITEPROP TIEOFF_X8Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y40 NUM_PINS 2 SITEPROP TIEOFF_X8Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y40 PROHIBIT 0 SITEPROP TIEOFF_X8Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y40 RPM_X 40 SITEPROP TIEOFF_X8Y40 RPM_Y 80 SITEPROP TIEOFF_X8Y40 SITE_PIPS SITEPROP TIEOFF_X8Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y41 CLASS site SITEPROP TIEOFF_X8Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y41 IS_BONDED 0 SITEPROP TIEOFF_X8Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y41 IS_PAD 0 SITEPROP TIEOFF_X8Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y41 IS_RESERVED 0 SITEPROP TIEOFF_X8Y41 IS_TEST 0 SITEPROP TIEOFF_X8Y41 IS_USED 0 SITEPROP TIEOFF_X8Y41 MANUAL_ROUTING SITEPROP TIEOFF_X8Y41 NAME TIEOFF_X8Y41 SITEPROP TIEOFF_X8Y41 NUM_ARCS 0 SITEPROP TIEOFF_X8Y41 NUM_BELS 2 SITEPROP TIEOFF_X8Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y41 NUM_PINS 2 SITEPROP TIEOFF_X8Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y41 PROHIBIT 0 SITEPROP TIEOFF_X8Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y41 RPM_X 40 SITEPROP TIEOFF_X8Y41 RPM_Y 82 SITEPROP TIEOFF_X8Y41 SITE_PIPS SITEPROP TIEOFF_X8Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y42 CLASS site SITEPROP TIEOFF_X8Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y42 IS_BONDED 0 SITEPROP TIEOFF_X8Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y42 IS_PAD 0 SITEPROP TIEOFF_X8Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y42 IS_RESERVED 0 SITEPROP TIEOFF_X8Y42 IS_TEST 0 SITEPROP TIEOFF_X8Y42 IS_USED 0 SITEPROP TIEOFF_X8Y42 MANUAL_ROUTING SITEPROP TIEOFF_X8Y42 NAME TIEOFF_X8Y42 SITEPROP TIEOFF_X8Y42 NUM_ARCS 0 SITEPROP TIEOFF_X8Y42 NUM_BELS 2 SITEPROP TIEOFF_X8Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y42 NUM_PINS 2 SITEPROP TIEOFF_X8Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y42 PROHIBIT 0 SITEPROP TIEOFF_X8Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y42 RPM_X 40 SITEPROP TIEOFF_X8Y42 RPM_Y 84 SITEPROP TIEOFF_X8Y42 SITE_PIPS SITEPROP TIEOFF_X8Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y43 CLASS site SITEPROP TIEOFF_X8Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y43 IS_BONDED 0 SITEPROP TIEOFF_X8Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y43 IS_PAD 0 SITEPROP TIEOFF_X8Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y43 IS_RESERVED 0 SITEPROP TIEOFF_X8Y43 IS_TEST 0 SITEPROP TIEOFF_X8Y43 IS_USED 0 SITEPROP TIEOFF_X8Y43 MANUAL_ROUTING SITEPROP TIEOFF_X8Y43 NAME TIEOFF_X8Y43 SITEPROP TIEOFF_X8Y43 NUM_ARCS 0 SITEPROP TIEOFF_X8Y43 NUM_BELS 2 SITEPROP TIEOFF_X8Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y43 NUM_PINS 2 SITEPROP TIEOFF_X8Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y43 PROHIBIT 0 SITEPROP TIEOFF_X8Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y43 RPM_X 40 SITEPROP TIEOFF_X8Y43 RPM_Y 86 SITEPROP TIEOFF_X8Y43 SITE_PIPS SITEPROP TIEOFF_X8Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y44 CLASS site SITEPROP TIEOFF_X8Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y44 IS_BONDED 0 SITEPROP TIEOFF_X8Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y44 IS_PAD 0 SITEPROP TIEOFF_X8Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y44 IS_RESERVED 0 SITEPROP TIEOFF_X8Y44 IS_TEST 0 SITEPROP TIEOFF_X8Y44 IS_USED 0 SITEPROP TIEOFF_X8Y44 MANUAL_ROUTING SITEPROP TIEOFF_X8Y44 NAME TIEOFF_X8Y44 SITEPROP TIEOFF_X8Y44 NUM_ARCS 0 SITEPROP TIEOFF_X8Y44 NUM_BELS 2 SITEPROP TIEOFF_X8Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y44 NUM_PINS 2 SITEPROP TIEOFF_X8Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y44 PROHIBIT 0 SITEPROP TIEOFF_X8Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y44 RPM_X 40 SITEPROP TIEOFF_X8Y44 RPM_Y 88 SITEPROP TIEOFF_X8Y44 SITE_PIPS SITEPROP TIEOFF_X8Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y45 CLASS site SITEPROP TIEOFF_X8Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y45 IS_BONDED 0 SITEPROP TIEOFF_X8Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y45 IS_PAD 0 SITEPROP TIEOFF_X8Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y45 IS_RESERVED 0 SITEPROP TIEOFF_X8Y45 IS_TEST 0 SITEPROP TIEOFF_X8Y45 IS_USED 0 SITEPROP TIEOFF_X8Y45 MANUAL_ROUTING SITEPROP TIEOFF_X8Y45 NAME TIEOFF_X8Y45 SITEPROP TIEOFF_X8Y45 NUM_ARCS 0 SITEPROP TIEOFF_X8Y45 NUM_BELS 2 SITEPROP TIEOFF_X8Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y45 NUM_PINS 2 SITEPROP TIEOFF_X8Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y45 PROHIBIT 0 SITEPROP TIEOFF_X8Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y45 RPM_X 40 SITEPROP TIEOFF_X8Y45 RPM_Y 90 SITEPROP TIEOFF_X8Y45 SITE_PIPS SITEPROP TIEOFF_X8Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y46 CLASS site SITEPROP TIEOFF_X8Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y46 IS_BONDED 0 SITEPROP TIEOFF_X8Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y46 IS_PAD 0 SITEPROP TIEOFF_X8Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y46 IS_RESERVED 0 SITEPROP TIEOFF_X8Y46 IS_TEST 0 SITEPROP TIEOFF_X8Y46 IS_USED 0 SITEPROP TIEOFF_X8Y46 MANUAL_ROUTING SITEPROP TIEOFF_X8Y46 NAME TIEOFF_X8Y46 SITEPROP TIEOFF_X8Y46 NUM_ARCS 0 SITEPROP TIEOFF_X8Y46 NUM_BELS 2 SITEPROP TIEOFF_X8Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y46 NUM_PINS 2 SITEPROP TIEOFF_X8Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y46 PROHIBIT 0 SITEPROP TIEOFF_X8Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y46 RPM_X 40 SITEPROP TIEOFF_X8Y46 RPM_Y 92 SITEPROP TIEOFF_X8Y46 SITE_PIPS SITEPROP TIEOFF_X8Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y47 CLASS site SITEPROP TIEOFF_X8Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y47 IS_BONDED 0 SITEPROP TIEOFF_X8Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y47 IS_PAD 0 SITEPROP TIEOFF_X8Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y47 IS_RESERVED 0 SITEPROP TIEOFF_X8Y47 IS_TEST 0 SITEPROP TIEOFF_X8Y47 IS_USED 0 SITEPROP TIEOFF_X8Y47 MANUAL_ROUTING SITEPROP TIEOFF_X8Y47 NAME TIEOFF_X8Y47 SITEPROP TIEOFF_X8Y47 NUM_ARCS 0 SITEPROP TIEOFF_X8Y47 NUM_BELS 2 SITEPROP TIEOFF_X8Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y47 NUM_PINS 2 SITEPROP TIEOFF_X8Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y47 PROHIBIT 0 SITEPROP TIEOFF_X8Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y47 RPM_X 40 SITEPROP TIEOFF_X8Y47 RPM_Y 94 SITEPROP TIEOFF_X8Y47 SITE_PIPS SITEPROP TIEOFF_X8Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y48 CLASS site SITEPROP TIEOFF_X8Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y48 IS_BONDED 0 SITEPROP TIEOFF_X8Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y48 IS_PAD 0 SITEPROP TIEOFF_X8Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y48 IS_RESERVED 0 SITEPROP TIEOFF_X8Y48 IS_TEST 0 SITEPROP TIEOFF_X8Y48 IS_USED 0 SITEPROP TIEOFF_X8Y48 MANUAL_ROUTING SITEPROP TIEOFF_X8Y48 NAME TIEOFF_X8Y48 SITEPROP TIEOFF_X8Y48 NUM_ARCS 0 SITEPROP TIEOFF_X8Y48 NUM_BELS 2 SITEPROP TIEOFF_X8Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y48 NUM_PINS 2 SITEPROP TIEOFF_X8Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y48 PROHIBIT 0 SITEPROP TIEOFF_X8Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y48 RPM_X 40 SITEPROP TIEOFF_X8Y48 RPM_Y 96 SITEPROP TIEOFF_X8Y48 SITE_PIPS SITEPROP TIEOFF_X8Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y49 CLASS site SITEPROP TIEOFF_X8Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X8Y49 IS_BONDED 0 SITEPROP TIEOFF_X8Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y49 IS_PAD 0 SITEPROP TIEOFF_X8Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y49 IS_RESERVED 0 SITEPROP TIEOFF_X8Y49 IS_TEST 0 SITEPROP TIEOFF_X8Y49 IS_USED 0 SITEPROP TIEOFF_X8Y49 MANUAL_ROUTING SITEPROP TIEOFF_X8Y49 NAME TIEOFF_X8Y49 SITEPROP TIEOFF_X8Y49 NUM_ARCS 0 SITEPROP TIEOFF_X8Y49 NUM_BELS 2 SITEPROP TIEOFF_X8Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y49 NUM_PINS 2 SITEPROP TIEOFF_X8Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y49 PROHIBIT 0 SITEPROP TIEOFF_X8Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y49 RPM_X 40 SITEPROP TIEOFF_X8Y49 RPM_Y 98 SITEPROP TIEOFF_X8Y49 SITE_PIPS SITEPROP TIEOFF_X8Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y50 CLASS site SITEPROP TIEOFF_X8Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y50 IS_BONDED 0 SITEPROP TIEOFF_X8Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y50 IS_PAD 0 SITEPROP TIEOFF_X8Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y50 IS_RESERVED 0 SITEPROP TIEOFF_X8Y50 IS_TEST 0 SITEPROP TIEOFF_X8Y50 IS_USED 0 SITEPROP TIEOFF_X8Y50 MANUAL_ROUTING SITEPROP TIEOFF_X8Y50 NAME TIEOFF_X8Y50 SITEPROP TIEOFF_X8Y50 NUM_ARCS 0 SITEPROP TIEOFF_X8Y50 NUM_BELS 2 SITEPROP TIEOFF_X8Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y50 NUM_PINS 2 SITEPROP TIEOFF_X8Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y50 PROHIBIT 0 SITEPROP TIEOFF_X8Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y50 RPM_X 40 SITEPROP TIEOFF_X8Y50 RPM_Y 100 SITEPROP TIEOFF_X8Y50 SITE_PIPS SITEPROP TIEOFF_X8Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y51 CLASS site SITEPROP TIEOFF_X8Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y51 IS_BONDED 0 SITEPROP TIEOFF_X8Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y51 IS_PAD 0 SITEPROP TIEOFF_X8Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y51 IS_RESERVED 0 SITEPROP TIEOFF_X8Y51 IS_TEST 0 SITEPROP TIEOFF_X8Y51 IS_USED 0 SITEPROP TIEOFF_X8Y51 MANUAL_ROUTING SITEPROP TIEOFF_X8Y51 NAME TIEOFF_X8Y51 SITEPROP TIEOFF_X8Y51 NUM_ARCS 0 SITEPROP TIEOFF_X8Y51 NUM_BELS 2 SITEPROP TIEOFF_X8Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y51 NUM_PINS 2 SITEPROP TIEOFF_X8Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y51 PROHIBIT 0 SITEPROP TIEOFF_X8Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y51 RPM_X 40 SITEPROP TIEOFF_X8Y51 RPM_Y 102 SITEPROP TIEOFF_X8Y51 SITE_PIPS SITEPROP TIEOFF_X8Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y52 CLASS site SITEPROP TIEOFF_X8Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y52 IS_BONDED 0 SITEPROP TIEOFF_X8Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y52 IS_PAD 0 SITEPROP TIEOFF_X8Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y52 IS_RESERVED 0 SITEPROP TIEOFF_X8Y52 IS_TEST 0 SITEPROP TIEOFF_X8Y52 IS_USED 0 SITEPROP TIEOFF_X8Y52 MANUAL_ROUTING SITEPROP TIEOFF_X8Y52 NAME TIEOFF_X8Y52 SITEPROP TIEOFF_X8Y52 NUM_ARCS 0 SITEPROP TIEOFF_X8Y52 NUM_BELS 2 SITEPROP TIEOFF_X8Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y52 NUM_PINS 2 SITEPROP TIEOFF_X8Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y52 PROHIBIT 0 SITEPROP TIEOFF_X8Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y52 RPM_X 40 SITEPROP TIEOFF_X8Y52 RPM_Y 104 SITEPROP TIEOFF_X8Y52 SITE_PIPS SITEPROP TIEOFF_X8Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y53 CLASS site SITEPROP TIEOFF_X8Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y53 IS_BONDED 0 SITEPROP TIEOFF_X8Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y53 IS_PAD 0 SITEPROP TIEOFF_X8Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y53 IS_RESERVED 0 SITEPROP TIEOFF_X8Y53 IS_TEST 0 SITEPROP TIEOFF_X8Y53 IS_USED 0 SITEPROP TIEOFF_X8Y53 MANUAL_ROUTING SITEPROP TIEOFF_X8Y53 NAME TIEOFF_X8Y53 SITEPROP TIEOFF_X8Y53 NUM_ARCS 0 SITEPROP TIEOFF_X8Y53 NUM_BELS 2 SITEPROP TIEOFF_X8Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y53 NUM_PINS 2 SITEPROP TIEOFF_X8Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y53 PROHIBIT 0 SITEPROP TIEOFF_X8Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y53 RPM_X 40 SITEPROP TIEOFF_X8Y53 RPM_Y 106 SITEPROP TIEOFF_X8Y53 SITE_PIPS SITEPROP TIEOFF_X8Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y54 CLASS site SITEPROP TIEOFF_X8Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y54 IS_BONDED 0 SITEPROP TIEOFF_X8Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y54 IS_PAD 0 SITEPROP TIEOFF_X8Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y54 IS_RESERVED 0 SITEPROP TIEOFF_X8Y54 IS_TEST 0 SITEPROP TIEOFF_X8Y54 IS_USED 0 SITEPROP TIEOFF_X8Y54 MANUAL_ROUTING SITEPROP TIEOFF_X8Y54 NAME TIEOFF_X8Y54 SITEPROP TIEOFF_X8Y54 NUM_ARCS 0 SITEPROP TIEOFF_X8Y54 NUM_BELS 2 SITEPROP TIEOFF_X8Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y54 NUM_PINS 2 SITEPROP TIEOFF_X8Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y54 PROHIBIT 0 SITEPROP TIEOFF_X8Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y54 RPM_X 40 SITEPROP TIEOFF_X8Y54 RPM_Y 108 SITEPROP TIEOFF_X8Y54 SITE_PIPS SITEPROP TIEOFF_X8Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y55 CLASS site SITEPROP TIEOFF_X8Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y55 IS_BONDED 0 SITEPROP TIEOFF_X8Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y55 IS_PAD 0 SITEPROP TIEOFF_X8Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y55 IS_RESERVED 0 SITEPROP TIEOFF_X8Y55 IS_TEST 0 SITEPROP TIEOFF_X8Y55 IS_USED 0 SITEPROP TIEOFF_X8Y55 MANUAL_ROUTING SITEPROP TIEOFF_X8Y55 NAME TIEOFF_X8Y55 SITEPROP TIEOFF_X8Y55 NUM_ARCS 0 SITEPROP TIEOFF_X8Y55 NUM_BELS 2 SITEPROP TIEOFF_X8Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y55 NUM_PINS 2 SITEPROP TIEOFF_X8Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y55 PROHIBIT 0 SITEPROP TIEOFF_X8Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y55 RPM_X 40 SITEPROP TIEOFF_X8Y55 RPM_Y 110 SITEPROP TIEOFF_X8Y55 SITE_PIPS SITEPROP TIEOFF_X8Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y56 CLASS site SITEPROP TIEOFF_X8Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y56 IS_BONDED 0 SITEPROP TIEOFF_X8Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y56 IS_PAD 0 SITEPROP TIEOFF_X8Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y56 IS_RESERVED 0 SITEPROP TIEOFF_X8Y56 IS_TEST 0 SITEPROP TIEOFF_X8Y56 IS_USED 0 SITEPROP TIEOFF_X8Y56 MANUAL_ROUTING SITEPROP TIEOFF_X8Y56 NAME TIEOFF_X8Y56 SITEPROP TIEOFF_X8Y56 NUM_ARCS 0 SITEPROP TIEOFF_X8Y56 NUM_BELS 2 SITEPROP TIEOFF_X8Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y56 NUM_PINS 2 SITEPROP TIEOFF_X8Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y56 PROHIBIT 0 SITEPROP TIEOFF_X8Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y56 RPM_X 40 SITEPROP TIEOFF_X8Y56 RPM_Y 112 SITEPROP TIEOFF_X8Y56 SITE_PIPS SITEPROP TIEOFF_X8Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y57 CLASS site SITEPROP TIEOFF_X8Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y57 IS_BONDED 0 SITEPROP TIEOFF_X8Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y57 IS_PAD 0 SITEPROP TIEOFF_X8Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y57 IS_RESERVED 0 SITEPROP TIEOFF_X8Y57 IS_TEST 0 SITEPROP TIEOFF_X8Y57 IS_USED 0 SITEPROP TIEOFF_X8Y57 MANUAL_ROUTING SITEPROP TIEOFF_X8Y57 NAME TIEOFF_X8Y57 SITEPROP TIEOFF_X8Y57 NUM_ARCS 0 SITEPROP TIEOFF_X8Y57 NUM_BELS 2 SITEPROP TIEOFF_X8Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y57 NUM_PINS 2 SITEPROP TIEOFF_X8Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y57 PROHIBIT 0 SITEPROP TIEOFF_X8Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y57 RPM_X 40 SITEPROP TIEOFF_X8Y57 RPM_Y 114 SITEPROP TIEOFF_X8Y57 SITE_PIPS SITEPROP TIEOFF_X8Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y58 CLASS site SITEPROP TIEOFF_X8Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y58 IS_BONDED 0 SITEPROP TIEOFF_X8Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y58 IS_PAD 0 SITEPROP TIEOFF_X8Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y58 IS_RESERVED 0 SITEPROP TIEOFF_X8Y58 IS_TEST 0 SITEPROP TIEOFF_X8Y58 IS_USED 0 SITEPROP TIEOFF_X8Y58 MANUAL_ROUTING SITEPROP TIEOFF_X8Y58 NAME TIEOFF_X8Y58 SITEPROP TIEOFF_X8Y58 NUM_ARCS 0 SITEPROP TIEOFF_X8Y58 NUM_BELS 2 SITEPROP TIEOFF_X8Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y58 NUM_PINS 2 SITEPROP TIEOFF_X8Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y58 PROHIBIT 0 SITEPROP TIEOFF_X8Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y58 RPM_X 40 SITEPROP TIEOFF_X8Y58 RPM_Y 116 SITEPROP TIEOFF_X8Y58 SITE_PIPS SITEPROP TIEOFF_X8Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y59 CLASS site SITEPROP TIEOFF_X8Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y59 IS_BONDED 0 SITEPROP TIEOFF_X8Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y59 IS_PAD 0 SITEPROP TIEOFF_X8Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y59 IS_RESERVED 0 SITEPROP TIEOFF_X8Y59 IS_TEST 0 SITEPROP TIEOFF_X8Y59 IS_USED 0 SITEPROP TIEOFF_X8Y59 MANUAL_ROUTING SITEPROP TIEOFF_X8Y59 NAME TIEOFF_X8Y59 SITEPROP TIEOFF_X8Y59 NUM_ARCS 0 SITEPROP TIEOFF_X8Y59 NUM_BELS 2 SITEPROP TIEOFF_X8Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y59 NUM_PINS 2 SITEPROP TIEOFF_X8Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y59 PROHIBIT 0 SITEPROP TIEOFF_X8Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y59 RPM_X 40 SITEPROP TIEOFF_X8Y59 RPM_Y 118 SITEPROP TIEOFF_X8Y59 SITE_PIPS SITEPROP TIEOFF_X8Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y60 CLASS site SITEPROP TIEOFF_X8Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y60 IS_BONDED 0 SITEPROP TIEOFF_X8Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y60 IS_PAD 0 SITEPROP TIEOFF_X8Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y60 IS_RESERVED 0 SITEPROP TIEOFF_X8Y60 IS_TEST 0 SITEPROP TIEOFF_X8Y60 IS_USED 0 SITEPROP TIEOFF_X8Y60 MANUAL_ROUTING SITEPROP TIEOFF_X8Y60 NAME TIEOFF_X8Y60 SITEPROP TIEOFF_X8Y60 NUM_ARCS 0 SITEPROP TIEOFF_X8Y60 NUM_BELS 2 SITEPROP TIEOFF_X8Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y60 NUM_PINS 2 SITEPROP TIEOFF_X8Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y60 PROHIBIT 0 SITEPROP TIEOFF_X8Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y60 RPM_X 40 SITEPROP TIEOFF_X8Y60 RPM_Y 120 SITEPROP TIEOFF_X8Y60 SITE_PIPS SITEPROP TIEOFF_X8Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y61 CLASS site SITEPROP TIEOFF_X8Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y61 IS_BONDED 0 SITEPROP TIEOFF_X8Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y61 IS_PAD 0 SITEPROP TIEOFF_X8Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y61 IS_RESERVED 0 SITEPROP TIEOFF_X8Y61 IS_TEST 0 SITEPROP TIEOFF_X8Y61 IS_USED 0 SITEPROP TIEOFF_X8Y61 MANUAL_ROUTING SITEPROP TIEOFF_X8Y61 NAME TIEOFF_X8Y61 SITEPROP TIEOFF_X8Y61 NUM_ARCS 0 SITEPROP TIEOFF_X8Y61 NUM_BELS 2 SITEPROP TIEOFF_X8Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y61 NUM_PINS 2 SITEPROP TIEOFF_X8Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y61 PROHIBIT 0 SITEPROP TIEOFF_X8Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y61 RPM_X 40 SITEPROP TIEOFF_X8Y61 RPM_Y 122 SITEPROP TIEOFF_X8Y61 SITE_PIPS SITEPROP TIEOFF_X8Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y62 CLASS site SITEPROP TIEOFF_X8Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y62 IS_BONDED 0 SITEPROP TIEOFF_X8Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y62 IS_PAD 0 SITEPROP TIEOFF_X8Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y62 IS_RESERVED 0 SITEPROP TIEOFF_X8Y62 IS_TEST 0 SITEPROP TIEOFF_X8Y62 IS_USED 0 SITEPROP TIEOFF_X8Y62 MANUAL_ROUTING SITEPROP TIEOFF_X8Y62 NAME TIEOFF_X8Y62 SITEPROP TIEOFF_X8Y62 NUM_ARCS 0 SITEPROP TIEOFF_X8Y62 NUM_BELS 2 SITEPROP TIEOFF_X8Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y62 NUM_PINS 2 SITEPROP TIEOFF_X8Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y62 PROHIBIT 0 SITEPROP TIEOFF_X8Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y62 RPM_X 40 SITEPROP TIEOFF_X8Y62 RPM_Y 124 SITEPROP TIEOFF_X8Y62 SITE_PIPS SITEPROP TIEOFF_X8Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y63 CLASS site SITEPROP TIEOFF_X8Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y63 IS_BONDED 0 SITEPROP TIEOFF_X8Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y63 IS_PAD 0 SITEPROP TIEOFF_X8Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y63 IS_RESERVED 0 SITEPROP TIEOFF_X8Y63 IS_TEST 0 SITEPROP TIEOFF_X8Y63 IS_USED 0 SITEPROP TIEOFF_X8Y63 MANUAL_ROUTING SITEPROP TIEOFF_X8Y63 NAME TIEOFF_X8Y63 SITEPROP TIEOFF_X8Y63 NUM_ARCS 0 SITEPROP TIEOFF_X8Y63 NUM_BELS 2 SITEPROP TIEOFF_X8Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y63 NUM_PINS 2 SITEPROP TIEOFF_X8Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y63 PROHIBIT 0 SITEPROP TIEOFF_X8Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y63 RPM_X 40 SITEPROP TIEOFF_X8Y63 RPM_Y 126 SITEPROP TIEOFF_X8Y63 SITE_PIPS SITEPROP TIEOFF_X8Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y64 CLASS site SITEPROP TIEOFF_X8Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y64 IS_BONDED 0 SITEPROP TIEOFF_X8Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y64 IS_PAD 0 SITEPROP TIEOFF_X8Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y64 IS_RESERVED 0 SITEPROP TIEOFF_X8Y64 IS_TEST 0 SITEPROP TIEOFF_X8Y64 IS_USED 0 SITEPROP TIEOFF_X8Y64 MANUAL_ROUTING SITEPROP TIEOFF_X8Y64 NAME TIEOFF_X8Y64 SITEPROP TIEOFF_X8Y64 NUM_ARCS 0 SITEPROP TIEOFF_X8Y64 NUM_BELS 2 SITEPROP TIEOFF_X8Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y64 NUM_PINS 2 SITEPROP TIEOFF_X8Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y64 PROHIBIT 0 SITEPROP TIEOFF_X8Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y64 RPM_X 40 SITEPROP TIEOFF_X8Y64 RPM_Y 128 SITEPROP TIEOFF_X8Y64 SITE_PIPS SITEPROP TIEOFF_X8Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y65 CLASS site SITEPROP TIEOFF_X8Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y65 IS_BONDED 0 SITEPROP TIEOFF_X8Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y65 IS_PAD 0 SITEPROP TIEOFF_X8Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y65 IS_RESERVED 0 SITEPROP TIEOFF_X8Y65 IS_TEST 0 SITEPROP TIEOFF_X8Y65 IS_USED 0 SITEPROP TIEOFF_X8Y65 MANUAL_ROUTING SITEPROP TIEOFF_X8Y65 NAME TIEOFF_X8Y65 SITEPROP TIEOFF_X8Y65 NUM_ARCS 0 SITEPROP TIEOFF_X8Y65 NUM_BELS 2 SITEPROP TIEOFF_X8Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y65 NUM_PINS 2 SITEPROP TIEOFF_X8Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y65 PROHIBIT 0 SITEPROP TIEOFF_X8Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y65 RPM_X 40 SITEPROP TIEOFF_X8Y65 RPM_Y 130 SITEPROP TIEOFF_X8Y65 SITE_PIPS SITEPROP TIEOFF_X8Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y66 CLASS site SITEPROP TIEOFF_X8Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y66 IS_BONDED 0 SITEPROP TIEOFF_X8Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y66 IS_PAD 0 SITEPROP TIEOFF_X8Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y66 IS_RESERVED 0 SITEPROP TIEOFF_X8Y66 IS_TEST 0 SITEPROP TIEOFF_X8Y66 IS_USED 0 SITEPROP TIEOFF_X8Y66 MANUAL_ROUTING SITEPROP TIEOFF_X8Y66 NAME TIEOFF_X8Y66 SITEPROP TIEOFF_X8Y66 NUM_ARCS 0 SITEPROP TIEOFF_X8Y66 NUM_BELS 2 SITEPROP TIEOFF_X8Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y66 NUM_PINS 2 SITEPROP TIEOFF_X8Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y66 PROHIBIT 0 SITEPROP TIEOFF_X8Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y66 RPM_X 40 SITEPROP TIEOFF_X8Y66 RPM_Y 132 SITEPROP TIEOFF_X8Y66 SITE_PIPS SITEPROP TIEOFF_X8Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y67 CLASS site SITEPROP TIEOFF_X8Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y67 IS_BONDED 0 SITEPROP TIEOFF_X8Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y67 IS_PAD 0 SITEPROP TIEOFF_X8Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y67 IS_RESERVED 0 SITEPROP TIEOFF_X8Y67 IS_TEST 0 SITEPROP TIEOFF_X8Y67 IS_USED 0 SITEPROP TIEOFF_X8Y67 MANUAL_ROUTING SITEPROP TIEOFF_X8Y67 NAME TIEOFF_X8Y67 SITEPROP TIEOFF_X8Y67 NUM_ARCS 0 SITEPROP TIEOFF_X8Y67 NUM_BELS 2 SITEPROP TIEOFF_X8Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y67 NUM_PINS 2 SITEPROP TIEOFF_X8Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y67 PROHIBIT 0 SITEPROP TIEOFF_X8Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y67 RPM_X 40 SITEPROP TIEOFF_X8Y67 RPM_Y 134 SITEPROP TIEOFF_X8Y67 SITE_PIPS SITEPROP TIEOFF_X8Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y68 CLASS site SITEPROP TIEOFF_X8Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y68 IS_BONDED 0 SITEPROP TIEOFF_X8Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y68 IS_PAD 0 SITEPROP TIEOFF_X8Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y68 IS_RESERVED 0 SITEPROP TIEOFF_X8Y68 IS_TEST 0 SITEPROP TIEOFF_X8Y68 IS_USED 0 SITEPROP TIEOFF_X8Y68 MANUAL_ROUTING SITEPROP TIEOFF_X8Y68 NAME TIEOFF_X8Y68 SITEPROP TIEOFF_X8Y68 NUM_ARCS 0 SITEPROP TIEOFF_X8Y68 NUM_BELS 2 SITEPROP TIEOFF_X8Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y68 NUM_PINS 2 SITEPROP TIEOFF_X8Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y68 PROHIBIT 0 SITEPROP TIEOFF_X8Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y68 RPM_X 40 SITEPROP TIEOFF_X8Y68 RPM_Y 136 SITEPROP TIEOFF_X8Y68 SITE_PIPS SITEPROP TIEOFF_X8Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y69 CLASS site SITEPROP TIEOFF_X8Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y69 IS_BONDED 0 SITEPROP TIEOFF_X8Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y69 IS_PAD 0 SITEPROP TIEOFF_X8Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y69 IS_RESERVED 0 SITEPROP TIEOFF_X8Y69 IS_TEST 0 SITEPROP TIEOFF_X8Y69 IS_USED 0 SITEPROP TIEOFF_X8Y69 MANUAL_ROUTING SITEPROP TIEOFF_X8Y69 NAME TIEOFF_X8Y69 SITEPROP TIEOFF_X8Y69 NUM_ARCS 0 SITEPROP TIEOFF_X8Y69 NUM_BELS 2 SITEPROP TIEOFF_X8Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y69 NUM_PINS 2 SITEPROP TIEOFF_X8Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y69 PROHIBIT 0 SITEPROP TIEOFF_X8Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y69 RPM_X 40 SITEPROP TIEOFF_X8Y69 RPM_Y 138 SITEPROP TIEOFF_X8Y69 SITE_PIPS SITEPROP TIEOFF_X8Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y70 CLASS site SITEPROP TIEOFF_X8Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y70 IS_BONDED 0 SITEPROP TIEOFF_X8Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y70 IS_PAD 0 SITEPROP TIEOFF_X8Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y70 IS_RESERVED 0 SITEPROP TIEOFF_X8Y70 IS_TEST 0 SITEPROP TIEOFF_X8Y70 IS_USED 0 SITEPROP TIEOFF_X8Y70 MANUAL_ROUTING SITEPROP TIEOFF_X8Y70 NAME TIEOFF_X8Y70 SITEPROP TIEOFF_X8Y70 NUM_ARCS 0 SITEPROP TIEOFF_X8Y70 NUM_BELS 2 SITEPROP TIEOFF_X8Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y70 NUM_PINS 2 SITEPROP TIEOFF_X8Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y70 PROHIBIT 0 SITEPROP TIEOFF_X8Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y70 RPM_X 40 SITEPROP TIEOFF_X8Y70 RPM_Y 140 SITEPROP TIEOFF_X8Y70 SITE_PIPS SITEPROP TIEOFF_X8Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y71 CLASS site SITEPROP TIEOFF_X8Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y71 IS_BONDED 0 SITEPROP TIEOFF_X8Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y71 IS_PAD 0 SITEPROP TIEOFF_X8Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y71 IS_RESERVED 0 SITEPROP TIEOFF_X8Y71 IS_TEST 0 SITEPROP TIEOFF_X8Y71 IS_USED 0 SITEPROP TIEOFF_X8Y71 MANUAL_ROUTING SITEPROP TIEOFF_X8Y71 NAME TIEOFF_X8Y71 SITEPROP TIEOFF_X8Y71 NUM_ARCS 0 SITEPROP TIEOFF_X8Y71 NUM_BELS 2 SITEPROP TIEOFF_X8Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y71 NUM_PINS 2 SITEPROP TIEOFF_X8Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y71 PROHIBIT 0 SITEPROP TIEOFF_X8Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y71 RPM_X 40 SITEPROP TIEOFF_X8Y71 RPM_Y 142 SITEPROP TIEOFF_X8Y71 SITE_PIPS SITEPROP TIEOFF_X8Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y72 CLASS site SITEPROP TIEOFF_X8Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y72 IS_BONDED 0 SITEPROP TIEOFF_X8Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y72 IS_PAD 0 SITEPROP TIEOFF_X8Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y72 IS_RESERVED 0 SITEPROP TIEOFF_X8Y72 IS_TEST 0 SITEPROP TIEOFF_X8Y72 IS_USED 0 SITEPROP TIEOFF_X8Y72 MANUAL_ROUTING SITEPROP TIEOFF_X8Y72 NAME TIEOFF_X8Y72 SITEPROP TIEOFF_X8Y72 NUM_ARCS 0 SITEPROP TIEOFF_X8Y72 NUM_BELS 2 SITEPROP TIEOFF_X8Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y72 NUM_PINS 2 SITEPROP TIEOFF_X8Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y72 PROHIBIT 0 SITEPROP TIEOFF_X8Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y72 RPM_X 40 SITEPROP TIEOFF_X8Y72 RPM_Y 144 SITEPROP TIEOFF_X8Y72 SITE_PIPS SITEPROP TIEOFF_X8Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y73 CLASS site SITEPROP TIEOFF_X8Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y73 IS_BONDED 0 SITEPROP TIEOFF_X8Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y73 IS_PAD 0 SITEPROP TIEOFF_X8Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y73 IS_RESERVED 0 SITEPROP TIEOFF_X8Y73 IS_TEST 0 SITEPROP TIEOFF_X8Y73 IS_USED 0 SITEPROP TIEOFF_X8Y73 MANUAL_ROUTING SITEPROP TIEOFF_X8Y73 NAME TIEOFF_X8Y73 SITEPROP TIEOFF_X8Y73 NUM_ARCS 0 SITEPROP TIEOFF_X8Y73 NUM_BELS 2 SITEPROP TIEOFF_X8Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y73 NUM_PINS 2 SITEPROP TIEOFF_X8Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y73 PROHIBIT 0 SITEPROP TIEOFF_X8Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y73 RPM_X 40 SITEPROP TIEOFF_X8Y73 RPM_Y 146 SITEPROP TIEOFF_X8Y73 SITE_PIPS SITEPROP TIEOFF_X8Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y74 CLASS site SITEPROP TIEOFF_X8Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y74 IS_BONDED 0 SITEPROP TIEOFF_X8Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y74 IS_PAD 0 SITEPROP TIEOFF_X8Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y74 IS_RESERVED 0 SITEPROP TIEOFF_X8Y74 IS_TEST 0 SITEPROP TIEOFF_X8Y74 IS_USED 0 SITEPROP TIEOFF_X8Y74 MANUAL_ROUTING SITEPROP TIEOFF_X8Y74 NAME TIEOFF_X8Y74 SITEPROP TIEOFF_X8Y74 NUM_ARCS 0 SITEPROP TIEOFF_X8Y74 NUM_BELS 2 SITEPROP TIEOFF_X8Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y74 NUM_PINS 2 SITEPROP TIEOFF_X8Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y74 PROHIBIT 0 SITEPROP TIEOFF_X8Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y74 RPM_X 40 SITEPROP TIEOFF_X8Y74 RPM_Y 148 SITEPROP TIEOFF_X8Y74 SITE_PIPS SITEPROP TIEOFF_X8Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y75 CLASS site SITEPROP TIEOFF_X8Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y75 IS_BONDED 0 SITEPROP TIEOFF_X8Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y75 IS_PAD 0 SITEPROP TIEOFF_X8Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y75 IS_RESERVED 0 SITEPROP TIEOFF_X8Y75 IS_TEST 0 SITEPROP TIEOFF_X8Y75 IS_USED 0 SITEPROP TIEOFF_X8Y75 MANUAL_ROUTING SITEPROP TIEOFF_X8Y75 NAME TIEOFF_X8Y75 SITEPROP TIEOFF_X8Y75 NUM_ARCS 0 SITEPROP TIEOFF_X8Y75 NUM_BELS 2 SITEPROP TIEOFF_X8Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y75 NUM_PINS 2 SITEPROP TIEOFF_X8Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y75 PROHIBIT 0 SITEPROP TIEOFF_X8Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y75 RPM_X 40 SITEPROP TIEOFF_X8Y75 RPM_Y 150 SITEPROP TIEOFF_X8Y75 SITE_PIPS SITEPROP TIEOFF_X8Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y76 CLASS site SITEPROP TIEOFF_X8Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y76 IS_BONDED 0 SITEPROP TIEOFF_X8Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y76 IS_PAD 0 SITEPROP TIEOFF_X8Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y76 IS_RESERVED 0 SITEPROP TIEOFF_X8Y76 IS_TEST 0 SITEPROP TIEOFF_X8Y76 IS_USED 0 SITEPROP TIEOFF_X8Y76 MANUAL_ROUTING SITEPROP TIEOFF_X8Y76 NAME TIEOFF_X8Y76 SITEPROP TIEOFF_X8Y76 NUM_ARCS 0 SITEPROP TIEOFF_X8Y76 NUM_BELS 2 SITEPROP TIEOFF_X8Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y76 NUM_PINS 2 SITEPROP TIEOFF_X8Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y76 PROHIBIT 0 SITEPROP TIEOFF_X8Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y76 RPM_X 40 SITEPROP TIEOFF_X8Y76 RPM_Y 152 SITEPROP TIEOFF_X8Y76 SITE_PIPS SITEPROP TIEOFF_X8Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y77 CLASS site SITEPROP TIEOFF_X8Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y77 IS_BONDED 0 SITEPROP TIEOFF_X8Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y77 IS_PAD 0 SITEPROP TIEOFF_X8Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y77 IS_RESERVED 0 SITEPROP TIEOFF_X8Y77 IS_TEST 0 SITEPROP TIEOFF_X8Y77 IS_USED 0 SITEPROP TIEOFF_X8Y77 MANUAL_ROUTING SITEPROP TIEOFF_X8Y77 NAME TIEOFF_X8Y77 SITEPROP TIEOFF_X8Y77 NUM_ARCS 0 SITEPROP TIEOFF_X8Y77 NUM_BELS 2 SITEPROP TIEOFF_X8Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y77 NUM_PINS 2 SITEPROP TIEOFF_X8Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y77 PROHIBIT 0 SITEPROP TIEOFF_X8Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y77 RPM_X 40 SITEPROP TIEOFF_X8Y77 RPM_Y 154 SITEPROP TIEOFF_X8Y77 SITE_PIPS SITEPROP TIEOFF_X8Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y78 CLASS site SITEPROP TIEOFF_X8Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y78 IS_BONDED 0 SITEPROP TIEOFF_X8Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y78 IS_PAD 0 SITEPROP TIEOFF_X8Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y78 IS_RESERVED 0 SITEPROP TIEOFF_X8Y78 IS_TEST 0 SITEPROP TIEOFF_X8Y78 IS_USED 0 SITEPROP TIEOFF_X8Y78 MANUAL_ROUTING SITEPROP TIEOFF_X8Y78 NAME TIEOFF_X8Y78 SITEPROP TIEOFF_X8Y78 NUM_ARCS 0 SITEPROP TIEOFF_X8Y78 NUM_BELS 2 SITEPROP TIEOFF_X8Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y78 NUM_PINS 2 SITEPROP TIEOFF_X8Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y78 PROHIBIT 0 SITEPROP TIEOFF_X8Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y78 RPM_X 40 SITEPROP TIEOFF_X8Y78 RPM_Y 156 SITEPROP TIEOFF_X8Y78 SITE_PIPS SITEPROP TIEOFF_X8Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y79 CLASS site SITEPROP TIEOFF_X8Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y79 IS_BONDED 0 SITEPROP TIEOFF_X8Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y79 IS_PAD 0 SITEPROP TIEOFF_X8Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y79 IS_RESERVED 0 SITEPROP TIEOFF_X8Y79 IS_TEST 0 SITEPROP TIEOFF_X8Y79 IS_USED 0 SITEPROP TIEOFF_X8Y79 MANUAL_ROUTING SITEPROP TIEOFF_X8Y79 NAME TIEOFF_X8Y79 SITEPROP TIEOFF_X8Y79 NUM_ARCS 0 SITEPROP TIEOFF_X8Y79 NUM_BELS 2 SITEPROP TIEOFF_X8Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y79 NUM_PINS 2 SITEPROP TIEOFF_X8Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y79 PROHIBIT 0 SITEPROP TIEOFF_X8Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y79 RPM_X 40 SITEPROP TIEOFF_X8Y79 RPM_Y 158 SITEPROP TIEOFF_X8Y79 SITE_PIPS SITEPROP TIEOFF_X8Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y80 CLASS site SITEPROP TIEOFF_X8Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y80 IS_BONDED 0 SITEPROP TIEOFF_X8Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y80 IS_PAD 0 SITEPROP TIEOFF_X8Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y80 IS_RESERVED 0 SITEPROP TIEOFF_X8Y80 IS_TEST 0 SITEPROP TIEOFF_X8Y80 IS_USED 0 SITEPROP TIEOFF_X8Y80 MANUAL_ROUTING SITEPROP TIEOFF_X8Y80 NAME TIEOFF_X8Y80 SITEPROP TIEOFF_X8Y80 NUM_ARCS 0 SITEPROP TIEOFF_X8Y80 NUM_BELS 2 SITEPROP TIEOFF_X8Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y80 NUM_PINS 2 SITEPROP TIEOFF_X8Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y80 PROHIBIT 0 SITEPROP TIEOFF_X8Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y80 RPM_X 40 SITEPROP TIEOFF_X8Y80 RPM_Y 160 SITEPROP TIEOFF_X8Y80 SITE_PIPS SITEPROP TIEOFF_X8Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y81 CLASS site SITEPROP TIEOFF_X8Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y81 IS_BONDED 0 SITEPROP TIEOFF_X8Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y81 IS_PAD 0 SITEPROP TIEOFF_X8Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y81 IS_RESERVED 0 SITEPROP TIEOFF_X8Y81 IS_TEST 0 SITEPROP TIEOFF_X8Y81 IS_USED 0 SITEPROP TIEOFF_X8Y81 MANUAL_ROUTING SITEPROP TIEOFF_X8Y81 NAME TIEOFF_X8Y81 SITEPROP TIEOFF_X8Y81 NUM_ARCS 0 SITEPROP TIEOFF_X8Y81 NUM_BELS 2 SITEPROP TIEOFF_X8Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y81 NUM_PINS 2 SITEPROP TIEOFF_X8Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y81 PROHIBIT 0 SITEPROP TIEOFF_X8Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y81 RPM_X 40 SITEPROP TIEOFF_X8Y81 RPM_Y 162 SITEPROP TIEOFF_X8Y81 SITE_PIPS SITEPROP TIEOFF_X8Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y82 CLASS site SITEPROP TIEOFF_X8Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y82 IS_BONDED 0 SITEPROP TIEOFF_X8Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y82 IS_PAD 0 SITEPROP TIEOFF_X8Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y82 IS_RESERVED 0 SITEPROP TIEOFF_X8Y82 IS_TEST 0 SITEPROP TIEOFF_X8Y82 IS_USED 0 SITEPROP TIEOFF_X8Y82 MANUAL_ROUTING SITEPROP TIEOFF_X8Y82 NAME TIEOFF_X8Y82 SITEPROP TIEOFF_X8Y82 NUM_ARCS 0 SITEPROP TIEOFF_X8Y82 NUM_BELS 2 SITEPROP TIEOFF_X8Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y82 NUM_PINS 2 SITEPROP TIEOFF_X8Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y82 PROHIBIT 0 SITEPROP TIEOFF_X8Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y82 RPM_X 40 SITEPROP TIEOFF_X8Y82 RPM_Y 164 SITEPROP TIEOFF_X8Y82 SITE_PIPS SITEPROP TIEOFF_X8Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y83 CLASS site SITEPROP TIEOFF_X8Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y83 IS_BONDED 0 SITEPROP TIEOFF_X8Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y83 IS_PAD 0 SITEPROP TIEOFF_X8Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y83 IS_RESERVED 0 SITEPROP TIEOFF_X8Y83 IS_TEST 0 SITEPROP TIEOFF_X8Y83 IS_USED 0 SITEPROP TIEOFF_X8Y83 MANUAL_ROUTING SITEPROP TIEOFF_X8Y83 NAME TIEOFF_X8Y83 SITEPROP TIEOFF_X8Y83 NUM_ARCS 0 SITEPROP TIEOFF_X8Y83 NUM_BELS 2 SITEPROP TIEOFF_X8Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y83 NUM_PINS 2 SITEPROP TIEOFF_X8Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y83 PROHIBIT 0 SITEPROP TIEOFF_X8Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y83 RPM_X 40 SITEPROP TIEOFF_X8Y83 RPM_Y 166 SITEPROP TIEOFF_X8Y83 SITE_PIPS SITEPROP TIEOFF_X8Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y84 CLASS site SITEPROP TIEOFF_X8Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y84 IS_BONDED 0 SITEPROP TIEOFF_X8Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y84 IS_PAD 0 SITEPROP TIEOFF_X8Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y84 IS_RESERVED 0 SITEPROP TIEOFF_X8Y84 IS_TEST 0 SITEPROP TIEOFF_X8Y84 IS_USED 0 SITEPROP TIEOFF_X8Y84 MANUAL_ROUTING SITEPROP TIEOFF_X8Y84 NAME TIEOFF_X8Y84 SITEPROP TIEOFF_X8Y84 NUM_ARCS 0 SITEPROP TIEOFF_X8Y84 NUM_BELS 2 SITEPROP TIEOFF_X8Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y84 NUM_PINS 2 SITEPROP TIEOFF_X8Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y84 PROHIBIT 0 SITEPROP TIEOFF_X8Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y84 RPM_X 40 SITEPROP TIEOFF_X8Y84 RPM_Y 168 SITEPROP TIEOFF_X8Y84 SITE_PIPS SITEPROP TIEOFF_X8Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y85 CLASS site SITEPROP TIEOFF_X8Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y85 IS_BONDED 0 SITEPROP TIEOFF_X8Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y85 IS_PAD 0 SITEPROP TIEOFF_X8Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y85 IS_RESERVED 0 SITEPROP TIEOFF_X8Y85 IS_TEST 0 SITEPROP TIEOFF_X8Y85 IS_USED 0 SITEPROP TIEOFF_X8Y85 MANUAL_ROUTING SITEPROP TIEOFF_X8Y85 NAME TIEOFF_X8Y85 SITEPROP TIEOFF_X8Y85 NUM_ARCS 0 SITEPROP TIEOFF_X8Y85 NUM_BELS 2 SITEPROP TIEOFF_X8Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y85 NUM_PINS 2 SITEPROP TIEOFF_X8Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y85 PROHIBIT 0 SITEPROP TIEOFF_X8Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y85 RPM_X 40 SITEPROP TIEOFF_X8Y85 RPM_Y 170 SITEPROP TIEOFF_X8Y85 SITE_PIPS SITEPROP TIEOFF_X8Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y86 CLASS site SITEPROP TIEOFF_X8Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y86 IS_BONDED 0 SITEPROP TIEOFF_X8Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y86 IS_PAD 0 SITEPROP TIEOFF_X8Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y86 IS_RESERVED 0 SITEPROP TIEOFF_X8Y86 IS_TEST 0 SITEPROP TIEOFF_X8Y86 IS_USED 0 SITEPROP TIEOFF_X8Y86 MANUAL_ROUTING SITEPROP TIEOFF_X8Y86 NAME TIEOFF_X8Y86 SITEPROP TIEOFF_X8Y86 NUM_ARCS 0 SITEPROP TIEOFF_X8Y86 NUM_BELS 2 SITEPROP TIEOFF_X8Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y86 NUM_PINS 2 SITEPROP TIEOFF_X8Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y86 PROHIBIT 0 SITEPROP TIEOFF_X8Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y86 RPM_X 40 SITEPROP TIEOFF_X8Y86 RPM_Y 172 SITEPROP TIEOFF_X8Y86 SITE_PIPS SITEPROP TIEOFF_X8Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y87 CLASS site SITEPROP TIEOFF_X8Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y87 IS_BONDED 0 SITEPROP TIEOFF_X8Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y87 IS_PAD 0 SITEPROP TIEOFF_X8Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y87 IS_RESERVED 0 SITEPROP TIEOFF_X8Y87 IS_TEST 0 SITEPROP TIEOFF_X8Y87 IS_USED 0 SITEPROP TIEOFF_X8Y87 MANUAL_ROUTING SITEPROP TIEOFF_X8Y87 NAME TIEOFF_X8Y87 SITEPROP TIEOFF_X8Y87 NUM_ARCS 0 SITEPROP TIEOFF_X8Y87 NUM_BELS 2 SITEPROP TIEOFF_X8Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y87 NUM_PINS 2 SITEPROP TIEOFF_X8Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y87 PROHIBIT 0 SITEPROP TIEOFF_X8Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y87 RPM_X 40 SITEPROP TIEOFF_X8Y87 RPM_Y 174 SITEPROP TIEOFF_X8Y87 SITE_PIPS SITEPROP TIEOFF_X8Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y88 CLASS site SITEPROP TIEOFF_X8Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y88 IS_BONDED 0 SITEPROP TIEOFF_X8Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y88 IS_PAD 0 SITEPROP TIEOFF_X8Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y88 IS_RESERVED 0 SITEPROP TIEOFF_X8Y88 IS_TEST 0 SITEPROP TIEOFF_X8Y88 IS_USED 0 SITEPROP TIEOFF_X8Y88 MANUAL_ROUTING SITEPROP TIEOFF_X8Y88 NAME TIEOFF_X8Y88 SITEPROP TIEOFF_X8Y88 NUM_ARCS 0 SITEPROP TIEOFF_X8Y88 NUM_BELS 2 SITEPROP TIEOFF_X8Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y88 NUM_PINS 2 SITEPROP TIEOFF_X8Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y88 PROHIBIT 0 SITEPROP TIEOFF_X8Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y88 RPM_X 40 SITEPROP TIEOFF_X8Y88 RPM_Y 176 SITEPROP TIEOFF_X8Y88 SITE_PIPS SITEPROP TIEOFF_X8Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y89 CLASS site SITEPROP TIEOFF_X8Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y89 IS_BONDED 0 SITEPROP TIEOFF_X8Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y89 IS_PAD 0 SITEPROP TIEOFF_X8Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y89 IS_RESERVED 0 SITEPROP TIEOFF_X8Y89 IS_TEST 0 SITEPROP TIEOFF_X8Y89 IS_USED 0 SITEPROP TIEOFF_X8Y89 MANUAL_ROUTING SITEPROP TIEOFF_X8Y89 NAME TIEOFF_X8Y89 SITEPROP TIEOFF_X8Y89 NUM_ARCS 0 SITEPROP TIEOFF_X8Y89 NUM_BELS 2 SITEPROP TIEOFF_X8Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y89 NUM_PINS 2 SITEPROP TIEOFF_X8Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y89 PROHIBIT 0 SITEPROP TIEOFF_X8Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y89 RPM_X 40 SITEPROP TIEOFF_X8Y89 RPM_Y 178 SITEPROP TIEOFF_X8Y89 SITE_PIPS SITEPROP TIEOFF_X8Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y90 CLASS site SITEPROP TIEOFF_X8Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y90 IS_BONDED 0 SITEPROP TIEOFF_X8Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y90 IS_PAD 0 SITEPROP TIEOFF_X8Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y90 IS_RESERVED 0 SITEPROP TIEOFF_X8Y90 IS_TEST 0 SITEPROP TIEOFF_X8Y90 IS_USED 0 SITEPROP TIEOFF_X8Y90 MANUAL_ROUTING SITEPROP TIEOFF_X8Y90 NAME TIEOFF_X8Y90 SITEPROP TIEOFF_X8Y90 NUM_ARCS 0 SITEPROP TIEOFF_X8Y90 NUM_BELS 2 SITEPROP TIEOFF_X8Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y90 NUM_PINS 2 SITEPROP TIEOFF_X8Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y90 PROHIBIT 0 SITEPROP TIEOFF_X8Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y90 RPM_X 40 SITEPROP TIEOFF_X8Y90 RPM_Y 180 SITEPROP TIEOFF_X8Y90 SITE_PIPS SITEPROP TIEOFF_X8Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y91 CLASS site SITEPROP TIEOFF_X8Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y91 IS_BONDED 0 SITEPROP TIEOFF_X8Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y91 IS_PAD 0 SITEPROP TIEOFF_X8Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y91 IS_RESERVED 0 SITEPROP TIEOFF_X8Y91 IS_TEST 0 SITEPROP TIEOFF_X8Y91 IS_USED 0 SITEPROP TIEOFF_X8Y91 MANUAL_ROUTING SITEPROP TIEOFF_X8Y91 NAME TIEOFF_X8Y91 SITEPROP TIEOFF_X8Y91 NUM_ARCS 0 SITEPROP TIEOFF_X8Y91 NUM_BELS 2 SITEPROP TIEOFF_X8Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y91 NUM_PINS 2 SITEPROP TIEOFF_X8Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y91 PROHIBIT 0 SITEPROP TIEOFF_X8Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y91 RPM_X 40 SITEPROP TIEOFF_X8Y91 RPM_Y 182 SITEPROP TIEOFF_X8Y91 SITE_PIPS SITEPROP TIEOFF_X8Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y92 CLASS site SITEPROP TIEOFF_X8Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y92 IS_BONDED 0 SITEPROP TIEOFF_X8Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y92 IS_PAD 0 SITEPROP TIEOFF_X8Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y92 IS_RESERVED 0 SITEPROP TIEOFF_X8Y92 IS_TEST 0 SITEPROP TIEOFF_X8Y92 IS_USED 0 SITEPROP TIEOFF_X8Y92 MANUAL_ROUTING SITEPROP TIEOFF_X8Y92 NAME TIEOFF_X8Y92 SITEPROP TIEOFF_X8Y92 NUM_ARCS 0 SITEPROP TIEOFF_X8Y92 NUM_BELS 2 SITEPROP TIEOFF_X8Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y92 NUM_PINS 2 SITEPROP TIEOFF_X8Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y92 PROHIBIT 0 SITEPROP TIEOFF_X8Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y92 RPM_X 40 SITEPROP TIEOFF_X8Y92 RPM_Y 184 SITEPROP TIEOFF_X8Y92 SITE_PIPS SITEPROP TIEOFF_X8Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y93 CLASS site SITEPROP TIEOFF_X8Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y93 IS_BONDED 0 SITEPROP TIEOFF_X8Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y93 IS_PAD 0 SITEPROP TIEOFF_X8Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y93 IS_RESERVED 0 SITEPROP TIEOFF_X8Y93 IS_TEST 0 SITEPROP TIEOFF_X8Y93 IS_USED 0 SITEPROP TIEOFF_X8Y93 MANUAL_ROUTING SITEPROP TIEOFF_X8Y93 NAME TIEOFF_X8Y93 SITEPROP TIEOFF_X8Y93 NUM_ARCS 0 SITEPROP TIEOFF_X8Y93 NUM_BELS 2 SITEPROP TIEOFF_X8Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y93 NUM_PINS 2 SITEPROP TIEOFF_X8Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y93 PROHIBIT 0 SITEPROP TIEOFF_X8Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y93 RPM_X 40 SITEPROP TIEOFF_X8Y93 RPM_Y 186 SITEPROP TIEOFF_X8Y93 SITE_PIPS SITEPROP TIEOFF_X8Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y94 CLASS site SITEPROP TIEOFF_X8Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y94 IS_BONDED 0 SITEPROP TIEOFF_X8Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y94 IS_PAD 0 SITEPROP TIEOFF_X8Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y94 IS_RESERVED 0 SITEPROP TIEOFF_X8Y94 IS_TEST 0 SITEPROP TIEOFF_X8Y94 IS_USED 0 SITEPROP TIEOFF_X8Y94 MANUAL_ROUTING SITEPROP TIEOFF_X8Y94 NAME TIEOFF_X8Y94 SITEPROP TIEOFF_X8Y94 NUM_ARCS 0 SITEPROP TIEOFF_X8Y94 NUM_BELS 2 SITEPROP TIEOFF_X8Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y94 NUM_PINS 2 SITEPROP TIEOFF_X8Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y94 PROHIBIT 0 SITEPROP TIEOFF_X8Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y94 RPM_X 40 SITEPROP TIEOFF_X8Y94 RPM_Y 188 SITEPROP TIEOFF_X8Y94 SITE_PIPS SITEPROP TIEOFF_X8Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y95 CLASS site SITEPROP TIEOFF_X8Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y95 IS_BONDED 0 SITEPROP TIEOFF_X8Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y95 IS_PAD 0 SITEPROP TIEOFF_X8Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y95 IS_RESERVED 0 SITEPROP TIEOFF_X8Y95 IS_TEST 0 SITEPROP TIEOFF_X8Y95 IS_USED 0 SITEPROP TIEOFF_X8Y95 MANUAL_ROUTING SITEPROP TIEOFF_X8Y95 NAME TIEOFF_X8Y95 SITEPROP TIEOFF_X8Y95 NUM_ARCS 0 SITEPROP TIEOFF_X8Y95 NUM_BELS 2 SITEPROP TIEOFF_X8Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y95 NUM_PINS 2 SITEPROP TIEOFF_X8Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y95 PROHIBIT 0 SITEPROP TIEOFF_X8Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y95 RPM_X 40 SITEPROP TIEOFF_X8Y95 RPM_Y 190 SITEPROP TIEOFF_X8Y95 SITE_PIPS SITEPROP TIEOFF_X8Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y96 CLASS site SITEPROP TIEOFF_X8Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y96 IS_BONDED 0 SITEPROP TIEOFF_X8Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y96 IS_PAD 0 SITEPROP TIEOFF_X8Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y96 IS_RESERVED 0 SITEPROP TIEOFF_X8Y96 IS_TEST 0 SITEPROP TIEOFF_X8Y96 IS_USED 0 SITEPROP TIEOFF_X8Y96 MANUAL_ROUTING SITEPROP TIEOFF_X8Y96 NAME TIEOFF_X8Y96 SITEPROP TIEOFF_X8Y96 NUM_ARCS 0 SITEPROP TIEOFF_X8Y96 NUM_BELS 2 SITEPROP TIEOFF_X8Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y96 NUM_PINS 2 SITEPROP TIEOFF_X8Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y96 PROHIBIT 0 SITEPROP TIEOFF_X8Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y96 RPM_X 40 SITEPROP TIEOFF_X8Y96 RPM_Y 192 SITEPROP TIEOFF_X8Y96 SITE_PIPS SITEPROP TIEOFF_X8Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y97 CLASS site SITEPROP TIEOFF_X8Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y97 IS_BONDED 0 SITEPROP TIEOFF_X8Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y97 IS_PAD 0 SITEPROP TIEOFF_X8Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y97 IS_RESERVED 0 SITEPROP TIEOFF_X8Y97 IS_TEST 0 SITEPROP TIEOFF_X8Y97 IS_USED 0 SITEPROP TIEOFF_X8Y97 MANUAL_ROUTING SITEPROP TIEOFF_X8Y97 NAME TIEOFF_X8Y97 SITEPROP TIEOFF_X8Y97 NUM_ARCS 0 SITEPROP TIEOFF_X8Y97 NUM_BELS 2 SITEPROP TIEOFF_X8Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y97 NUM_PINS 2 SITEPROP TIEOFF_X8Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y97 PROHIBIT 0 SITEPROP TIEOFF_X8Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y97 RPM_X 40 SITEPROP TIEOFF_X8Y97 RPM_Y 194 SITEPROP TIEOFF_X8Y97 SITE_PIPS SITEPROP TIEOFF_X8Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y98 CLASS site SITEPROP TIEOFF_X8Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y98 IS_BONDED 0 SITEPROP TIEOFF_X8Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y98 IS_PAD 0 SITEPROP TIEOFF_X8Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y98 IS_RESERVED 0 SITEPROP TIEOFF_X8Y98 IS_TEST 0 SITEPROP TIEOFF_X8Y98 IS_USED 0 SITEPROP TIEOFF_X8Y98 MANUAL_ROUTING SITEPROP TIEOFF_X8Y98 NAME TIEOFF_X8Y98 SITEPROP TIEOFF_X8Y98 NUM_ARCS 0 SITEPROP TIEOFF_X8Y98 NUM_BELS 2 SITEPROP TIEOFF_X8Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y98 NUM_PINS 2 SITEPROP TIEOFF_X8Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y98 PROHIBIT 0 SITEPROP TIEOFF_X8Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y98 RPM_X 40 SITEPROP TIEOFF_X8Y98 RPM_Y 196 SITEPROP TIEOFF_X8Y98 SITE_PIPS SITEPROP TIEOFF_X8Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y99 CLASS site SITEPROP TIEOFF_X8Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X8Y99 IS_BONDED 0 SITEPROP TIEOFF_X8Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y99 IS_PAD 0 SITEPROP TIEOFF_X8Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y99 IS_RESERVED 0 SITEPROP TIEOFF_X8Y99 IS_TEST 0 SITEPROP TIEOFF_X8Y99 IS_USED 0 SITEPROP TIEOFF_X8Y99 MANUAL_ROUTING SITEPROP TIEOFF_X8Y99 NAME TIEOFF_X8Y99 SITEPROP TIEOFF_X8Y99 NUM_ARCS 0 SITEPROP TIEOFF_X8Y99 NUM_BELS 2 SITEPROP TIEOFF_X8Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y99 NUM_PINS 2 SITEPROP TIEOFF_X8Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y99 PROHIBIT 0 SITEPROP TIEOFF_X8Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y99 RPM_X 40 SITEPROP TIEOFF_X8Y99 RPM_Y 198 SITEPROP TIEOFF_X8Y99 SITE_PIPS SITEPROP TIEOFF_X8Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y100 CLASS site SITEPROP TIEOFF_X8Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y100 IS_BONDED 0 SITEPROP TIEOFF_X8Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y100 IS_PAD 0 SITEPROP TIEOFF_X8Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y100 IS_RESERVED 0 SITEPROP TIEOFF_X8Y100 IS_TEST 0 SITEPROP TIEOFF_X8Y100 IS_USED 0 SITEPROP TIEOFF_X8Y100 MANUAL_ROUTING SITEPROP TIEOFF_X8Y100 NAME TIEOFF_X8Y100 SITEPROP TIEOFF_X8Y100 NUM_ARCS 0 SITEPROP TIEOFF_X8Y100 NUM_BELS 2 SITEPROP TIEOFF_X8Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y100 NUM_PINS 2 SITEPROP TIEOFF_X8Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y100 PROHIBIT 0 SITEPROP TIEOFF_X8Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y100 RPM_X 40 SITEPROP TIEOFF_X8Y100 RPM_Y 200 SITEPROP TIEOFF_X8Y100 SITE_PIPS SITEPROP TIEOFF_X8Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y101 CLASS site SITEPROP TIEOFF_X8Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y101 IS_BONDED 0 SITEPROP TIEOFF_X8Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y101 IS_PAD 0 SITEPROP TIEOFF_X8Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y101 IS_RESERVED 0 SITEPROP TIEOFF_X8Y101 IS_TEST 0 SITEPROP TIEOFF_X8Y101 IS_USED 0 SITEPROP TIEOFF_X8Y101 MANUAL_ROUTING SITEPROP TIEOFF_X8Y101 NAME TIEOFF_X8Y101 SITEPROP TIEOFF_X8Y101 NUM_ARCS 0 SITEPROP TIEOFF_X8Y101 NUM_BELS 2 SITEPROP TIEOFF_X8Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y101 NUM_PINS 2 SITEPROP TIEOFF_X8Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y101 PROHIBIT 0 SITEPROP TIEOFF_X8Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y101 RPM_X 40 SITEPROP TIEOFF_X8Y101 RPM_Y 202 SITEPROP TIEOFF_X8Y101 SITE_PIPS SITEPROP TIEOFF_X8Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y102 CLASS site SITEPROP TIEOFF_X8Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y102 IS_BONDED 0 SITEPROP TIEOFF_X8Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y102 IS_PAD 0 SITEPROP TIEOFF_X8Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y102 IS_RESERVED 0 SITEPROP TIEOFF_X8Y102 IS_TEST 0 SITEPROP TIEOFF_X8Y102 IS_USED 0 SITEPROP TIEOFF_X8Y102 MANUAL_ROUTING SITEPROP TIEOFF_X8Y102 NAME TIEOFF_X8Y102 SITEPROP TIEOFF_X8Y102 NUM_ARCS 0 SITEPROP TIEOFF_X8Y102 NUM_BELS 2 SITEPROP TIEOFF_X8Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y102 NUM_PINS 2 SITEPROP TIEOFF_X8Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y102 PROHIBIT 0 SITEPROP TIEOFF_X8Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y102 RPM_X 40 SITEPROP TIEOFF_X8Y102 RPM_Y 204 SITEPROP TIEOFF_X8Y102 SITE_PIPS SITEPROP TIEOFF_X8Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y103 CLASS site SITEPROP TIEOFF_X8Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y103 IS_BONDED 0 SITEPROP TIEOFF_X8Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y103 IS_PAD 0 SITEPROP TIEOFF_X8Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y103 IS_RESERVED 0 SITEPROP TIEOFF_X8Y103 IS_TEST 0 SITEPROP TIEOFF_X8Y103 IS_USED 0 SITEPROP TIEOFF_X8Y103 MANUAL_ROUTING SITEPROP TIEOFF_X8Y103 NAME TIEOFF_X8Y103 SITEPROP TIEOFF_X8Y103 NUM_ARCS 0 SITEPROP TIEOFF_X8Y103 NUM_BELS 2 SITEPROP TIEOFF_X8Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y103 NUM_PINS 2 SITEPROP TIEOFF_X8Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y103 PROHIBIT 0 SITEPROP TIEOFF_X8Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y103 RPM_X 40 SITEPROP TIEOFF_X8Y103 RPM_Y 206 SITEPROP TIEOFF_X8Y103 SITE_PIPS SITEPROP TIEOFF_X8Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y104 CLASS site SITEPROP TIEOFF_X8Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y104 IS_BONDED 0 SITEPROP TIEOFF_X8Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y104 IS_PAD 0 SITEPROP TIEOFF_X8Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y104 IS_RESERVED 0 SITEPROP TIEOFF_X8Y104 IS_TEST 0 SITEPROP TIEOFF_X8Y104 IS_USED 0 SITEPROP TIEOFF_X8Y104 MANUAL_ROUTING SITEPROP TIEOFF_X8Y104 NAME TIEOFF_X8Y104 SITEPROP TIEOFF_X8Y104 NUM_ARCS 0 SITEPROP TIEOFF_X8Y104 NUM_BELS 2 SITEPROP TIEOFF_X8Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y104 NUM_PINS 2 SITEPROP TIEOFF_X8Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y104 PROHIBIT 0 SITEPROP TIEOFF_X8Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y104 RPM_X 40 SITEPROP TIEOFF_X8Y104 RPM_Y 208 SITEPROP TIEOFF_X8Y104 SITE_PIPS SITEPROP TIEOFF_X8Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y105 CLASS site SITEPROP TIEOFF_X8Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y105 IS_BONDED 0 SITEPROP TIEOFF_X8Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y105 IS_PAD 0 SITEPROP TIEOFF_X8Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y105 IS_RESERVED 0 SITEPROP TIEOFF_X8Y105 IS_TEST 0 SITEPROP TIEOFF_X8Y105 IS_USED 0 SITEPROP TIEOFF_X8Y105 MANUAL_ROUTING SITEPROP TIEOFF_X8Y105 NAME TIEOFF_X8Y105 SITEPROP TIEOFF_X8Y105 NUM_ARCS 0 SITEPROP TIEOFF_X8Y105 NUM_BELS 2 SITEPROP TIEOFF_X8Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y105 NUM_PINS 2 SITEPROP TIEOFF_X8Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y105 PROHIBIT 0 SITEPROP TIEOFF_X8Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y105 RPM_X 40 SITEPROP TIEOFF_X8Y105 RPM_Y 210 SITEPROP TIEOFF_X8Y105 SITE_PIPS SITEPROP TIEOFF_X8Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y106 CLASS site SITEPROP TIEOFF_X8Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y106 IS_BONDED 0 SITEPROP TIEOFF_X8Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y106 IS_PAD 0 SITEPROP TIEOFF_X8Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y106 IS_RESERVED 0 SITEPROP TIEOFF_X8Y106 IS_TEST 0 SITEPROP TIEOFF_X8Y106 IS_USED 0 SITEPROP TIEOFF_X8Y106 MANUAL_ROUTING SITEPROP TIEOFF_X8Y106 NAME TIEOFF_X8Y106 SITEPROP TIEOFF_X8Y106 NUM_ARCS 0 SITEPROP TIEOFF_X8Y106 NUM_BELS 2 SITEPROP TIEOFF_X8Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y106 NUM_PINS 2 SITEPROP TIEOFF_X8Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y106 PROHIBIT 0 SITEPROP TIEOFF_X8Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y106 RPM_X 40 SITEPROP TIEOFF_X8Y106 RPM_Y 212 SITEPROP TIEOFF_X8Y106 SITE_PIPS SITEPROP TIEOFF_X8Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y107 CLASS site SITEPROP TIEOFF_X8Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y107 IS_BONDED 0 SITEPROP TIEOFF_X8Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y107 IS_PAD 0 SITEPROP TIEOFF_X8Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y107 IS_RESERVED 0 SITEPROP TIEOFF_X8Y107 IS_TEST 0 SITEPROP TIEOFF_X8Y107 IS_USED 0 SITEPROP TIEOFF_X8Y107 MANUAL_ROUTING SITEPROP TIEOFF_X8Y107 NAME TIEOFF_X8Y107 SITEPROP TIEOFF_X8Y107 NUM_ARCS 0 SITEPROP TIEOFF_X8Y107 NUM_BELS 2 SITEPROP TIEOFF_X8Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y107 NUM_PINS 2 SITEPROP TIEOFF_X8Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y107 PROHIBIT 0 SITEPROP TIEOFF_X8Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y107 RPM_X 40 SITEPROP TIEOFF_X8Y107 RPM_Y 214 SITEPROP TIEOFF_X8Y107 SITE_PIPS SITEPROP TIEOFF_X8Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y108 CLASS site SITEPROP TIEOFF_X8Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y108 IS_BONDED 0 SITEPROP TIEOFF_X8Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y108 IS_PAD 0 SITEPROP TIEOFF_X8Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y108 IS_RESERVED 0 SITEPROP TIEOFF_X8Y108 IS_TEST 0 SITEPROP TIEOFF_X8Y108 IS_USED 0 SITEPROP TIEOFF_X8Y108 MANUAL_ROUTING SITEPROP TIEOFF_X8Y108 NAME TIEOFF_X8Y108 SITEPROP TIEOFF_X8Y108 NUM_ARCS 0 SITEPROP TIEOFF_X8Y108 NUM_BELS 2 SITEPROP TIEOFF_X8Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y108 NUM_PINS 2 SITEPROP TIEOFF_X8Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y108 PROHIBIT 0 SITEPROP TIEOFF_X8Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y108 RPM_X 40 SITEPROP TIEOFF_X8Y108 RPM_Y 216 SITEPROP TIEOFF_X8Y108 SITE_PIPS SITEPROP TIEOFF_X8Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y109 CLASS site SITEPROP TIEOFF_X8Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y109 IS_BONDED 0 SITEPROP TIEOFF_X8Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y109 IS_PAD 0 SITEPROP TIEOFF_X8Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y109 IS_RESERVED 0 SITEPROP TIEOFF_X8Y109 IS_TEST 0 SITEPROP TIEOFF_X8Y109 IS_USED 0 SITEPROP TIEOFF_X8Y109 MANUAL_ROUTING SITEPROP TIEOFF_X8Y109 NAME TIEOFF_X8Y109 SITEPROP TIEOFF_X8Y109 NUM_ARCS 0 SITEPROP TIEOFF_X8Y109 NUM_BELS 2 SITEPROP TIEOFF_X8Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y109 NUM_PINS 2 SITEPROP TIEOFF_X8Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y109 PROHIBIT 0 SITEPROP TIEOFF_X8Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y109 RPM_X 40 SITEPROP TIEOFF_X8Y109 RPM_Y 218 SITEPROP TIEOFF_X8Y109 SITE_PIPS SITEPROP TIEOFF_X8Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y110 CLASS site SITEPROP TIEOFF_X8Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y110 IS_BONDED 0 SITEPROP TIEOFF_X8Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y110 IS_PAD 0 SITEPROP TIEOFF_X8Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y110 IS_RESERVED 0 SITEPROP TIEOFF_X8Y110 IS_TEST 0 SITEPROP TIEOFF_X8Y110 IS_USED 0 SITEPROP TIEOFF_X8Y110 MANUAL_ROUTING SITEPROP TIEOFF_X8Y110 NAME TIEOFF_X8Y110 SITEPROP TIEOFF_X8Y110 NUM_ARCS 0 SITEPROP TIEOFF_X8Y110 NUM_BELS 2 SITEPROP TIEOFF_X8Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y110 NUM_PINS 2 SITEPROP TIEOFF_X8Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y110 PROHIBIT 0 SITEPROP TIEOFF_X8Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y110 RPM_X 40 SITEPROP TIEOFF_X8Y110 RPM_Y 220 SITEPROP TIEOFF_X8Y110 SITE_PIPS SITEPROP TIEOFF_X8Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y111 CLASS site SITEPROP TIEOFF_X8Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y111 IS_BONDED 0 SITEPROP TIEOFF_X8Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y111 IS_PAD 0 SITEPROP TIEOFF_X8Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y111 IS_RESERVED 0 SITEPROP TIEOFF_X8Y111 IS_TEST 0 SITEPROP TIEOFF_X8Y111 IS_USED 0 SITEPROP TIEOFF_X8Y111 MANUAL_ROUTING SITEPROP TIEOFF_X8Y111 NAME TIEOFF_X8Y111 SITEPROP TIEOFF_X8Y111 NUM_ARCS 0 SITEPROP TIEOFF_X8Y111 NUM_BELS 2 SITEPROP TIEOFF_X8Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y111 NUM_PINS 2 SITEPROP TIEOFF_X8Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y111 PROHIBIT 0 SITEPROP TIEOFF_X8Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y111 RPM_X 40 SITEPROP TIEOFF_X8Y111 RPM_Y 222 SITEPROP TIEOFF_X8Y111 SITE_PIPS SITEPROP TIEOFF_X8Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y112 CLASS site SITEPROP TIEOFF_X8Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y112 IS_BONDED 0 SITEPROP TIEOFF_X8Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y112 IS_PAD 0 SITEPROP TIEOFF_X8Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y112 IS_RESERVED 0 SITEPROP TIEOFF_X8Y112 IS_TEST 0 SITEPROP TIEOFF_X8Y112 IS_USED 0 SITEPROP TIEOFF_X8Y112 MANUAL_ROUTING SITEPROP TIEOFF_X8Y112 NAME TIEOFF_X8Y112 SITEPROP TIEOFF_X8Y112 NUM_ARCS 0 SITEPROP TIEOFF_X8Y112 NUM_BELS 2 SITEPROP TIEOFF_X8Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y112 NUM_PINS 2 SITEPROP TIEOFF_X8Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y112 PROHIBIT 0 SITEPROP TIEOFF_X8Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y112 RPM_X 40 SITEPROP TIEOFF_X8Y112 RPM_Y 224 SITEPROP TIEOFF_X8Y112 SITE_PIPS SITEPROP TIEOFF_X8Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y113 CLASS site SITEPROP TIEOFF_X8Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y113 IS_BONDED 0 SITEPROP TIEOFF_X8Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y113 IS_PAD 0 SITEPROP TIEOFF_X8Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y113 IS_RESERVED 0 SITEPROP TIEOFF_X8Y113 IS_TEST 0 SITEPROP TIEOFF_X8Y113 IS_USED 0 SITEPROP TIEOFF_X8Y113 MANUAL_ROUTING SITEPROP TIEOFF_X8Y113 NAME TIEOFF_X8Y113 SITEPROP TIEOFF_X8Y113 NUM_ARCS 0 SITEPROP TIEOFF_X8Y113 NUM_BELS 2 SITEPROP TIEOFF_X8Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y113 NUM_PINS 2 SITEPROP TIEOFF_X8Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y113 PROHIBIT 0 SITEPROP TIEOFF_X8Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y113 RPM_X 40 SITEPROP TIEOFF_X8Y113 RPM_Y 226 SITEPROP TIEOFF_X8Y113 SITE_PIPS SITEPROP TIEOFF_X8Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y114 CLASS site SITEPROP TIEOFF_X8Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y114 IS_BONDED 0 SITEPROP TIEOFF_X8Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y114 IS_PAD 0 SITEPROP TIEOFF_X8Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y114 IS_RESERVED 0 SITEPROP TIEOFF_X8Y114 IS_TEST 0 SITEPROP TIEOFF_X8Y114 IS_USED 0 SITEPROP TIEOFF_X8Y114 MANUAL_ROUTING SITEPROP TIEOFF_X8Y114 NAME TIEOFF_X8Y114 SITEPROP TIEOFF_X8Y114 NUM_ARCS 0 SITEPROP TIEOFF_X8Y114 NUM_BELS 2 SITEPROP TIEOFF_X8Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y114 NUM_PINS 2 SITEPROP TIEOFF_X8Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y114 PROHIBIT 0 SITEPROP TIEOFF_X8Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y114 RPM_X 40 SITEPROP TIEOFF_X8Y114 RPM_Y 228 SITEPROP TIEOFF_X8Y114 SITE_PIPS SITEPROP TIEOFF_X8Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y115 CLASS site SITEPROP TIEOFF_X8Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y115 IS_BONDED 0 SITEPROP TIEOFF_X8Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y115 IS_PAD 0 SITEPROP TIEOFF_X8Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y115 IS_RESERVED 0 SITEPROP TIEOFF_X8Y115 IS_TEST 0 SITEPROP TIEOFF_X8Y115 IS_USED 0 SITEPROP TIEOFF_X8Y115 MANUAL_ROUTING SITEPROP TIEOFF_X8Y115 NAME TIEOFF_X8Y115 SITEPROP TIEOFF_X8Y115 NUM_ARCS 0 SITEPROP TIEOFF_X8Y115 NUM_BELS 2 SITEPROP TIEOFF_X8Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y115 NUM_PINS 2 SITEPROP TIEOFF_X8Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y115 PROHIBIT 0 SITEPROP TIEOFF_X8Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y115 RPM_X 40 SITEPROP TIEOFF_X8Y115 RPM_Y 230 SITEPROP TIEOFF_X8Y115 SITE_PIPS SITEPROP TIEOFF_X8Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y116 CLASS site SITEPROP TIEOFF_X8Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y116 IS_BONDED 0 SITEPROP TIEOFF_X8Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y116 IS_PAD 0 SITEPROP TIEOFF_X8Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y116 IS_RESERVED 0 SITEPROP TIEOFF_X8Y116 IS_TEST 0 SITEPROP TIEOFF_X8Y116 IS_USED 0 SITEPROP TIEOFF_X8Y116 MANUAL_ROUTING SITEPROP TIEOFF_X8Y116 NAME TIEOFF_X8Y116 SITEPROP TIEOFF_X8Y116 NUM_ARCS 0 SITEPROP TIEOFF_X8Y116 NUM_BELS 2 SITEPROP TIEOFF_X8Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y116 NUM_PINS 2 SITEPROP TIEOFF_X8Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y116 PROHIBIT 0 SITEPROP TIEOFF_X8Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y116 RPM_X 40 SITEPROP TIEOFF_X8Y116 RPM_Y 232 SITEPROP TIEOFF_X8Y116 SITE_PIPS SITEPROP TIEOFF_X8Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y117 CLASS site SITEPROP TIEOFF_X8Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y117 IS_BONDED 0 SITEPROP TIEOFF_X8Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y117 IS_PAD 0 SITEPROP TIEOFF_X8Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y117 IS_RESERVED 0 SITEPROP TIEOFF_X8Y117 IS_TEST 0 SITEPROP TIEOFF_X8Y117 IS_USED 0 SITEPROP TIEOFF_X8Y117 MANUAL_ROUTING SITEPROP TIEOFF_X8Y117 NAME TIEOFF_X8Y117 SITEPROP TIEOFF_X8Y117 NUM_ARCS 0 SITEPROP TIEOFF_X8Y117 NUM_BELS 2 SITEPROP TIEOFF_X8Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y117 NUM_PINS 2 SITEPROP TIEOFF_X8Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y117 PROHIBIT 0 SITEPROP TIEOFF_X8Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y117 RPM_X 40 SITEPROP TIEOFF_X8Y117 RPM_Y 234 SITEPROP TIEOFF_X8Y117 SITE_PIPS SITEPROP TIEOFF_X8Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y118 CLASS site SITEPROP TIEOFF_X8Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y118 IS_BONDED 0 SITEPROP TIEOFF_X8Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y118 IS_PAD 0 SITEPROP TIEOFF_X8Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y118 IS_RESERVED 0 SITEPROP TIEOFF_X8Y118 IS_TEST 0 SITEPROP TIEOFF_X8Y118 IS_USED 0 SITEPROP TIEOFF_X8Y118 MANUAL_ROUTING SITEPROP TIEOFF_X8Y118 NAME TIEOFF_X8Y118 SITEPROP TIEOFF_X8Y118 NUM_ARCS 0 SITEPROP TIEOFF_X8Y118 NUM_BELS 2 SITEPROP TIEOFF_X8Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y118 NUM_PINS 2 SITEPROP TIEOFF_X8Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y118 PROHIBIT 0 SITEPROP TIEOFF_X8Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y118 RPM_X 40 SITEPROP TIEOFF_X8Y118 RPM_Y 236 SITEPROP TIEOFF_X8Y118 SITE_PIPS SITEPROP TIEOFF_X8Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y119 CLASS site SITEPROP TIEOFF_X8Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y119 IS_BONDED 0 SITEPROP TIEOFF_X8Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y119 IS_PAD 0 SITEPROP TIEOFF_X8Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y119 IS_RESERVED 0 SITEPROP TIEOFF_X8Y119 IS_TEST 0 SITEPROP TIEOFF_X8Y119 IS_USED 0 SITEPROP TIEOFF_X8Y119 MANUAL_ROUTING SITEPROP TIEOFF_X8Y119 NAME TIEOFF_X8Y119 SITEPROP TIEOFF_X8Y119 NUM_ARCS 0 SITEPROP TIEOFF_X8Y119 NUM_BELS 2 SITEPROP TIEOFF_X8Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y119 NUM_PINS 2 SITEPROP TIEOFF_X8Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y119 PROHIBIT 0 SITEPROP TIEOFF_X8Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y119 RPM_X 40 SITEPROP TIEOFF_X8Y119 RPM_Y 238 SITEPROP TIEOFF_X8Y119 SITE_PIPS SITEPROP TIEOFF_X8Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y120 CLASS site SITEPROP TIEOFF_X8Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y120 IS_BONDED 0 SITEPROP TIEOFF_X8Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y120 IS_PAD 0 SITEPROP TIEOFF_X8Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y120 IS_RESERVED 0 SITEPROP TIEOFF_X8Y120 IS_TEST 0 SITEPROP TIEOFF_X8Y120 IS_USED 0 SITEPROP TIEOFF_X8Y120 MANUAL_ROUTING SITEPROP TIEOFF_X8Y120 NAME TIEOFF_X8Y120 SITEPROP TIEOFF_X8Y120 NUM_ARCS 0 SITEPROP TIEOFF_X8Y120 NUM_BELS 2 SITEPROP TIEOFF_X8Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y120 NUM_PINS 2 SITEPROP TIEOFF_X8Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y120 PROHIBIT 0 SITEPROP TIEOFF_X8Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y120 RPM_X 40 SITEPROP TIEOFF_X8Y120 RPM_Y 240 SITEPROP TIEOFF_X8Y120 SITE_PIPS SITEPROP TIEOFF_X8Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y121 CLASS site SITEPROP TIEOFF_X8Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y121 IS_BONDED 0 SITEPROP TIEOFF_X8Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y121 IS_PAD 0 SITEPROP TIEOFF_X8Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y121 IS_RESERVED 0 SITEPROP TIEOFF_X8Y121 IS_TEST 0 SITEPROP TIEOFF_X8Y121 IS_USED 0 SITEPROP TIEOFF_X8Y121 MANUAL_ROUTING SITEPROP TIEOFF_X8Y121 NAME TIEOFF_X8Y121 SITEPROP TIEOFF_X8Y121 NUM_ARCS 0 SITEPROP TIEOFF_X8Y121 NUM_BELS 2 SITEPROP TIEOFF_X8Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y121 NUM_PINS 2 SITEPROP TIEOFF_X8Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y121 PROHIBIT 0 SITEPROP TIEOFF_X8Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y121 RPM_X 40 SITEPROP TIEOFF_X8Y121 RPM_Y 242 SITEPROP TIEOFF_X8Y121 SITE_PIPS SITEPROP TIEOFF_X8Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y122 CLASS site SITEPROP TIEOFF_X8Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y122 IS_BONDED 0 SITEPROP TIEOFF_X8Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y122 IS_PAD 0 SITEPROP TIEOFF_X8Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y122 IS_RESERVED 0 SITEPROP TIEOFF_X8Y122 IS_TEST 0 SITEPROP TIEOFF_X8Y122 IS_USED 0 SITEPROP TIEOFF_X8Y122 MANUAL_ROUTING SITEPROP TIEOFF_X8Y122 NAME TIEOFF_X8Y122 SITEPROP TIEOFF_X8Y122 NUM_ARCS 0 SITEPROP TIEOFF_X8Y122 NUM_BELS 2 SITEPROP TIEOFF_X8Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y122 NUM_PINS 2 SITEPROP TIEOFF_X8Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y122 PROHIBIT 0 SITEPROP TIEOFF_X8Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y122 RPM_X 40 SITEPROP TIEOFF_X8Y122 RPM_Y 244 SITEPROP TIEOFF_X8Y122 SITE_PIPS SITEPROP TIEOFF_X8Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y123 CLASS site SITEPROP TIEOFF_X8Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y123 IS_BONDED 0 SITEPROP TIEOFF_X8Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y123 IS_PAD 0 SITEPROP TIEOFF_X8Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y123 IS_RESERVED 0 SITEPROP TIEOFF_X8Y123 IS_TEST 0 SITEPROP TIEOFF_X8Y123 IS_USED 0 SITEPROP TIEOFF_X8Y123 MANUAL_ROUTING SITEPROP TIEOFF_X8Y123 NAME TIEOFF_X8Y123 SITEPROP TIEOFF_X8Y123 NUM_ARCS 0 SITEPROP TIEOFF_X8Y123 NUM_BELS 2 SITEPROP TIEOFF_X8Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y123 NUM_PINS 2 SITEPROP TIEOFF_X8Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y123 PROHIBIT 0 SITEPROP TIEOFF_X8Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y123 RPM_X 40 SITEPROP TIEOFF_X8Y123 RPM_Y 246 SITEPROP TIEOFF_X8Y123 SITE_PIPS SITEPROP TIEOFF_X8Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y124 CLASS site SITEPROP TIEOFF_X8Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y124 IS_BONDED 0 SITEPROP TIEOFF_X8Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y124 IS_PAD 0 SITEPROP TIEOFF_X8Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y124 IS_RESERVED 0 SITEPROP TIEOFF_X8Y124 IS_TEST 0 SITEPROP TIEOFF_X8Y124 IS_USED 0 SITEPROP TIEOFF_X8Y124 MANUAL_ROUTING SITEPROP TIEOFF_X8Y124 NAME TIEOFF_X8Y124 SITEPROP TIEOFF_X8Y124 NUM_ARCS 0 SITEPROP TIEOFF_X8Y124 NUM_BELS 2 SITEPROP TIEOFF_X8Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y124 NUM_PINS 2 SITEPROP TIEOFF_X8Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y124 PROHIBIT 0 SITEPROP TIEOFF_X8Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y124 RPM_X 40 SITEPROP TIEOFF_X8Y124 RPM_Y 248 SITEPROP TIEOFF_X8Y124 SITE_PIPS SITEPROP TIEOFF_X8Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y125 CLASS site SITEPROP TIEOFF_X8Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y125 IS_BONDED 0 SITEPROP TIEOFF_X8Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y125 IS_PAD 0 SITEPROP TIEOFF_X8Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y125 IS_RESERVED 0 SITEPROP TIEOFF_X8Y125 IS_TEST 0 SITEPROP TIEOFF_X8Y125 IS_USED 0 SITEPROP TIEOFF_X8Y125 MANUAL_ROUTING SITEPROP TIEOFF_X8Y125 NAME TIEOFF_X8Y125 SITEPROP TIEOFF_X8Y125 NUM_ARCS 0 SITEPROP TIEOFF_X8Y125 NUM_BELS 2 SITEPROP TIEOFF_X8Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y125 NUM_PINS 2 SITEPROP TIEOFF_X8Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y125 PROHIBIT 0 SITEPROP TIEOFF_X8Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y125 RPM_X 40 SITEPROP TIEOFF_X8Y125 RPM_Y 250 SITEPROP TIEOFF_X8Y125 SITE_PIPS SITEPROP TIEOFF_X8Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y126 CLASS site SITEPROP TIEOFF_X8Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y126 IS_BONDED 0 SITEPROP TIEOFF_X8Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y126 IS_PAD 0 SITEPROP TIEOFF_X8Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y126 IS_RESERVED 0 SITEPROP TIEOFF_X8Y126 IS_TEST 0 SITEPROP TIEOFF_X8Y126 IS_USED 0 SITEPROP TIEOFF_X8Y126 MANUAL_ROUTING SITEPROP TIEOFF_X8Y126 NAME TIEOFF_X8Y126 SITEPROP TIEOFF_X8Y126 NUM_ARCS 0 SITEPROP TIEOFF_X8Y126 NUM_BELS 2 SITEPROP TIEOFF_X8Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y126 NUM_PINS 2 SITEPROP TIEOFF_X8Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y126 PROHIBIT 0 SITEPROP TIEOFF_X8Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y126 RPM_X 40 SITEPROP TIEOFF_X8Y126 RPM_Y 252 SITEPROP TIEOFF_X8Y126 SITE_PIPS SITEPROP TIEOFF_X8Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y127 CLASS site SITEPROP TIEOFF_X8Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y127 IS_BONDED 0 SITEPROP TIEOFF_X8Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y127 IS_PAD 0 SITEPROP TIEOFF_X8Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y127 IS_RESERVED 0 SITEPROP TIEOFF_X8Y127 IS_TEST 0 SITEPROP TIEOFF_X8Y127 IS_USED 0 SITEPROP TIEOFF_X8Y127 MANUAL_ROUTING SITEPROP TIEOFF_X8Y127 NAME TIEOFF_X8Y127 SITEPROP TIEOFF_X8Y127 NUM_ARCS 0 SITEPROP TIEOFF_X8Y127 NUM_BELS 2 SITEPROP TIEOFF_X8Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y127 NUM_PINS 2 SITEPROP TIEOFF_X8Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y127 PROHIBIT 0 SITEPROP TIEOFF_X8Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y127 RPM_X 40 SITEPROP TIEOFF_X8Y127 RPM_Y 254 SITEPROP TIEOFF_X8Y127 SITE_PIPS SITEPROP TIEOFF_X8Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y128 CLASS site SITEPROP TIEOFF_X8Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y128 IS_BONDED 0 SITEPROP TIEOFF_X8Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y128 IS_PAD 0 SITEPROP TIEOFF_X8Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y128 IS_RESERVED 0 SITEPROP TIEOFF_X8Y128 IS_TEST 0 SITEPROP TIEOFF_X8Y128 IS_USED 0 SITEPROP TIEOFF_X8Y128 MANUAL_ROUTING SITEPROP TIEOFF_X8Y128 NAME TIEOFF_X8Y128 SITEPROP TIEOFF_X8Y128 NUM_ARCS 0 SITEPROP TIEOFF_X8Y128 NUM_BELS 2 SITEPROP TIEOFF_X8Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y128 NUM_PINS 2 SITEPROP TIEOFF_X8Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y128 PROHIBIT 0 SITEPROP TIEOFF_X8Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y128 RPM_X 40 SITEPROP TIEOFF_X8Y128 RPM_Y 256 SITEPROP TIEOFF_X8Y128 SITE_PIPS SITEPROP TIEOFF_X8Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y129 CLASS site SITEPROP TIEOFF_X8Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y129 IS_BONDED 0 SITEPROP TIEOFF_X8Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y129 IS_PAD 0 SITEPROP TIEOFF_X8Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y129 IS_RESERVED 0 SITEPROP TIEOFF_X8Y129 IS_TEST 0 SITEPROP TIEOFF_X8Y129 IS_USED 0 SITEPROP TIEOFF_X8Y129 MANUAL_ROUTING SITEPROP TIEOFF_X8Y129 NAME TIEOFF_X8Y129 SITEPROP TIEOFF_X8Y129 NUM_ARCS 0 SITEPROP TIEOFF_X8Y129 NUM_BELS 2 SITEPROP TIEOFF_X8Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y129 NUM_PINS 2 SITEPROP TIEOFF_X8Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y129 PROHIBIT 0 SITEPROP TIEOFF_X8Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y129 RPM_X 40 SITEPROP TIEOFF_X8Y129 RPM_Y 258 SITEPROP TIEOFF_X8Y129 SITE_PIPS SITEPROP TIEOFF_X8Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y130 CLASS site SITEPROP TIEOFF_X8Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y130 IS_BONDED 0 SITEPROP TIEOFF_X8Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y130 IS_PAD 0 SITEPROP TIEOFF_X8Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y130 IS_RESERVED 0 SITEPROP TIEOFF_X8Y130 IS_TEST 0 SITEPROP TIEOFF_X8Y130 IS_USED 0 SITEPROP TIEOFF_X8Y130 MANUAL_ROUTING SITEPROP TIEOFF_X8Y130 NAME TIEOFF_X8Y130 SITEPROP TIEOFF_X8Y130 NUM_ARCS 0 SITEPROP TIEOFF_X8Y130 NUM_BELS 2 SITEPROP TIEOFF_X8Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y130 NUM_PINS 2 SITEPROP TIEOFF_X8Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y130 PROHIBIT 0 SITEPROP TIEOFF_X8Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y130 RPM_X 40 SITEPROP TIEOFF_X8Y130 RPM_Y 260 SITEPROP TIEOFF_X8Y130 SITE_PIPS SITEPROP TIEOFF_X8Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y131 CLASS site SITEPROP TIEOFF_X8Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y131 IS_BONDED 0 SITEPROP TIEOFF_X8Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y131 IS_PAD 0 SITEPROP TIEOFF_X8Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y131 IS_RESERVED 0 SITEPROP TIEOFF_X8Y131 IS_TEST 0 SITEPROP TIEOFF_X8Y131 IS_USED 0 SITEPROP TIEOFF_X8Y131 MANUAL_ROUTING SITEPROP TIEOFF_X8Y131 NAME TIEOFF_X8Y131 SITEPROP TIEOFF_X8Y131 NUM_ARCS 0 SITEPROP TIEOFF_X8Y131 NUM_BELS 2 SITEPROP TIEOFF_X8Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y131 NUM_PINS 2 SITEPROP TIEOFF_X8Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y131 PROHIBIT 0 SITEPROP TIEOFF_X8Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y131 RPM_X 40 SITEPROP TIEOFF_X8Y131 RPM_Y 262 SITEPROP TIEOFF_X8Y131 SITE_PIPS SITEPROP TIEOFF_X8Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y132 CLASS site SITEPROP TIEOFF_X8Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y132 IS_BONDED 0 SITEPROP TIEOFF_X8Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y132 IS_PAD 0 SITEPROP TIEOFF_X8Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y132 IS_RESERVED 0 SITEPROP TIEOFF_X8Y132 IS_TEST 0 SITEPROP TIEOFF_X8Y132 IS_USED 0 SITEPROP TIEOFF_X8Y132 MANUAL_ROUTING SITEPROP TIEOFF_X8Y132 NAME TIEOFF_X8Y132 SITEPROP TIEOFF_X8Y132 NUM_ARCS 0 SITEPROP TIEOFF_X8Y132 NUM_BELS 2 SITEPROP TIEOFF_X8Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y132 NUM_PINS 2 SITEPROP TIEOFF_X8Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y132 PROHIBIT 0 SITEPROP TIEOFF_X8Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y132 RPM_X 40 SITEPROP TIEOFF_X8Y132 RPM_Y 264 SITEPROP TIEOFF_X8Y132 SITE_PIPS SITEPROP TIEOFF_X8Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y133 CLASS site SITEPROP TIEOFF_X8Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y133 IS_BONDED 0 SITEPROP TIEOFF_X8Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y133 IS_PAD 0 SITEPROP TIEOFF_X8Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y133 IS_RESERVED 0 SITEPROP TIEOFF_X8Y133 IS_TEST 0 SITEPROP TIEOFF_X8Y133 IS_USED 0 SITEPROP TIEOFF_X8Y133 MANUAL_ROUTING SITEPROP TIEOFF_X8Y133 NAME TIEOFF_X8Y133 SITEPROP TIEOFF_X8Y133 NUM_ARCS 0 SITEPROP TIEOFF_X8Y133 NUM_BELS 2 SITEPROP TIEOFF_X8Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y133 NUM_PINS 2 SITEPROP TIEOFF_X8Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y133 PROHIBIT 0 SITEPROP TIEOFF_X8Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y133 RPM_X 40 SITEPROP TIEOFF_X8Y133 RPM_Y 266 SITEPROP TIEOFF_X8Y133 SITE_PIPS SITEPROP TIEOFF_X8Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y134 CLASS site SITEPROP TIEOFF_X8Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y134 IS_BONDED 0 SITEPROP TIEOFF_X8Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y134 IS_PAD 0 SITEPROP TIEOFF_X8Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y134 IS_RESERVED 0 SITEPROP TIEOFF_X8Y134 IS_TEST 0 SITEPROP TIEOFF_X8Y134 IS_USED 0 SITEPROP TIEOFF_X8Y134 MANUAL_ROUTING SITEPROP TIEOFF_X8Y134 NAME TIEOFF_X8Y134 SITEPROP TIEOFF_X8Y134 NUM_ARCS 0 SITEPROP TIEOFF_X8Y134 NUM_BELS 2 SITEPROP TIEOFF_X8Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y134 NUM_PINS 2 SITEPROP TIEOFF_X8Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y134 PROHIBIT 0 SITEPROP TIEOFF_X8Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y134 RPM_X 40 SITEPROP TIEOFF_X8Y134 RPM_Y 268 SITEPROP TIEOFF_X8Y134 SITE_PIPS SITEPROP TIEOFF_X8Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y135 CLASS site SITEPROP TIEOFF_X8Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y135 IS_BONDED 0 SITEPROP TIEOFF_X8Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y135 IS_PAD 0 SITEPROP TIEOFF_X8Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y135 IS_RESERVED 0 SITEPROP TIEOFF_X8Y135 IS_TEST 0 SITEPROP TIEOFF_X8Y135 IS_USED 0 SITEPROP TIEOFF_X8Y135 MANUAL_ROUTING SITEPROP TIEOFF_X8Y135 NAME TIEOFF_X8Y135 SITEPROP TIEOFF_X8Y135 NUM_ARCS 0 SITEPROP TIEOFF_X8Y135 NUM_BELS 2 SITEPROP TIEOFF_X8Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y135 NUM_PINS 2 SITEPROP TIEOFF_X8Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y135 PROHIBIT 0 SITEPROP TIEOFF_X8Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y135 RPM_X 40 SITEPROP TIEOFF_X8Y135 RPM_Y 270 SITEPROP TIEOFF_X8Y135 SITE_PIPS SITEPROP TIEOFF_X8Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y136 CLASS site SITEPROP TIEOFF_X8Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y136 IS_BONDED 0 SITEPROP TIEOFF_X8Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y136 IS_PAD 0 SITEPROP TIEOFF_X8Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y136 IS_RESERVED 0 SITEPROP TIEOFF_X8Y136 IS_TEST 0 SITEPROP TIEOFF_X8Y136 IS_USED 0 SITEPROP TIEOFF_X8Y136 MANUAL_ROUTING SITEPROP TIEOFF_X8Y136 NAME TIEOFF_X8Y136 SITEPROP TIEOFF_X8Y136 NUM_ARCS 0 SITEPROP TIEOFF_X8Y136 NUM_BELS 2 SITEPROP TIEOFF_X8Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y136 NUM_PINS 2 SITEPROP TIEOFF_X8Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y136 PROHIBIT 0 SITEPROP TIEOFF_X8Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y136 RPM_X 40 SITEPROP TIEOFF_X8Y136 RPM_Y 272 SITEPROP TIEOFF_X8Y136 SITE_PIPS SITEPROP TIEOFF_X8Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y137 CLASS site SITEPROP TIEOFF_X8Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y137 IS_BONDED 0 SITEPROP TIEOFF_X8Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y137 IS_PAD 0 SITEPROP TIEOFF_X8Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y137 IS_RESERVED 0 SITEPROP TIEOFF_X8Y137 IS_TEST 0 SITEPROP TIEOFF_X8Y137 IS_USED 0 SITEPROP TIEOFF_X8Y137 MANUAL_ROUTING SITEPROP TIEOFF_X8Y137 NAME TIEOFF_X8Y137 SITEPROP TIEOFF_X8Y137 NUM_ARCS 0 SITEPROP TIEOFF_X8Y137 NUM_BELS 2 SITEPROP TIEOFF_X8Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y137 NUM_PINS 2 SITEPROP TIEOFF_X8Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y137 PROHIBIT 0 SITEPROP TIEOFF_X8Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y137 RPM_X 40 SITEPROP TIEOFF_X8Y137 RPM_Y 274 SITEPROP TIEOFF_X8Y137 SITE_PIPS SITEPROP TIEOFF_X8Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y138 CLASS site SITEPROP TIEOFF_X8Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y138 IS_BONDED 0 SITEPROP TIEOFF_X8Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y138 IS_PAD 0 SITEPROP TIEOFF_X8Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y138 IS_RESERVED 0 SITEPROP TIEOFF_X8Y138 IS_TEST 0 SITEPROP TIEOFF_X8Y138 IS_USED 0 SITEPROP TIEOFF_X8Y138 MANUAL_ROUTING SITEPROP TIEOFF_X8Y138 NAME TIEOFF_X8Y138 SITEPROP TIEOFF_X8Y138 NUM_ARCS 0 SITEPROP TIEOFF_X8Y138 NUM_BELS 2 SITEPROP TIEOFF_X8Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y138 NUM_PINS 2 SITEPROP TIEOFF_X8Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y138 PROHIBIT 0 SITEPROP TIEOFF_X8Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y138 RPM_X 40 SITEPROP TIEOFF_X8Y138 RPM_Y 276 SITEPROP TIEOFF_X8Y138 SITE_PIPS SITEPROP TIEOFF_X8Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y139 CLASS site SITEPROP TIEOFF_X8Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y139 IS_BONDED 0 SITEPROP TIEOFF_X8Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y139 IS_PAD 0 SITEPROP TIEOFF_X8Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y139 IS_RESERVED 0 SITEPROP TIEOFF_X8Y139 IS_TEST 0 SITEPROP TIEOFF_X8Y139 IS_USED 0 SITEPROP TIEOFF_X8Y139 MANUAL_ROUTING SITEPROP TIEOFF_X8Y139 NAME TIEOFF_X8Y139 SITEPROP TIEOFF_X8Y139 NUM_ARCS 0 SITEPROP TIEOFF_X8Y139 NUM_BELS 2 SITEPROP TIEOFF_X8Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y139 NUM_PINS 2 SITEPROP TIEOFF_X8Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y139 PROHIBIT 0 SITEPROP TIEOFF_X8Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y139 RPM_X 40 SITEPROP TIEOFF_X8Y139 RPM_Y 278 SITEPROP TIEOFF_X8Y139 SITE_PIPS SITEPROP TIEOFF_X8Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y140 CLASS site SITEPROP TIEOFF_X8Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y140 IS_BONDED 0 SITEPROP TIEOFF_X8Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y140 IS_PAD 0 SITEPROP TIEOFF_X8Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y140 IS_RESERVED 0 SITEPROP TIEOFF_X8Y140 IS_TEST 0 SITEPROP TIEOFF_X8Y140 IS_USED 0 SITEPROP TIEOFF_X8Y140 MANUAL_ROUTING SITEPROP TIEOFF_X8Y140 NAME TIEOFF_X8Y140 SITEPROP TIEOFF_X8Y140 NUM_ARCS 0 SITEPROP TIEOFF_X8Y140 NUM_BELS 2 SITEPROP TIEOFF_X8Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y140 NUM_PINS 2 SITEPROP TIEOFF_X8Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y140 PROHIBIT 0 SITEPROP TIEOFF_X8Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y140 RPM_X 40 SITEPROP TIEOFF_X8Y140 RPM_Y 280 SITEPROP TIEOFF_X8Y140 SITE_PIPS SITEPROP TIEOFF_X8Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y141 CLASS site SITEPROP TIEOFF_X8Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y141 IS_BONDED 0 SITEPROP TIEOFF_X8Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y141 IS_PAD 0 SITEPROP TIEOFF_X8Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y141 IS_RESERVED 0 SITEPROP TIEOFF_X8Y141 IS_TEST 0 SITEPROP TIEOFF_X8Y141 IS_USED 0 SITEPROP TIEOFF_X8Y141 MANUAL_ROUTING SITEPROP TIEOFF_X8Y141 NAME TIEOFF_X8Y141 SITEPROP TIEOFF_X8Y141 NUM_ARCS 0 SITEPROP TIEOFF_X8Y141 NUM_BELS 2 SITEPROP TIEOFF_X8Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y141 NUM_PINS 2 SITEPROP TIEOFF_X8Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y141 PROHIBIT 0 SITEPROP TIEOFF_X8Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y141 RPM_X 40 SITEPROP TIEOFF_X8Y141 RPM_Y 282 SITEPROP TIEOFF_X8Y141 SITE_PIPS SITEPROP TIEOFF_X8Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y142 CLASS site SITEPROP TIEOFF_X8Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y142 IS_BONDED 0 SITEPROP TIEOFF_X8Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y142 IS_PAD 0 SITEPROP TIEOFF_X8Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y142 IS_RESERVED 0 SITEPROP TIEOFF_X8Y142 IS_TEST 0 SITEPROP TIEOFF_X8Y142 IS_USED 0 SITEPROP TIEOFF_X8Y142 MANUAL_ROUTING SITEPROP TIEOFF_X8Y142 NAME TIEOFF_X8Y142 SITEPROP TIEOFF_X8Y142 NUM_ARCS 0 SITEPROP TIEOFF_X8Y142 NUM_BELS 2 SITEPROP TIEOFF_X8Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y142 NUM_PINS 2 SITEPROP TIEOFF_X8Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y142 PROHIBIT 0 SITEPROP TIEOFF_X8Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y142 RPM_X 40 SITEPROP TIEOFF_X8Y142 RPM_Y 284 SITEPROP TIEOFF_X8Y142 SITE_PIPS SITEPROP TIEOFF_X8Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y143 CLASS site SITEPROP TIEOFF_X8Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y143 IS_BONDED 0 SITEPROP TIEOFF_X8Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y143 IS_PAD 0 SITEPROP TIEOFF_X8Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y143 IS_RESERVED 0 SITEPROP TIEOFF_X8Y143 IS_TEST 0 SITEPROP TIEOFF_X8Y143 IS_USED 0 SITEPROP TIEOFF_X8Y143 MANUAL_ROUTING SITEPROP TIEOFF_X8Y143 NAME TIEOFF_X8Y143 SITEPROP TIEOFF_X8Y143 NUM_ARCS 0 SITEPROP TIEOFF_X8Y143 NUM_BELS 2 SITEPROP TIEOFF_X8Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y143 NUM_PINS 2 SITEPROP TIEOFF_X8Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y143 PROHIBIT 0 SITEPROP TIEOFF_X8Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y143 RPM_X 40 SITEPROP TIEOFF_X8Y143 RPM_Y 286 SITEPROP TIEOFF_X8Y143 SITE_PIPS SITEPROP TIEOFF_X8Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y144 CLASS site SITEPROP TIEOFF_X8Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y144 IS_BONDED 0 SITEPROP TIEOFF_X8Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y144 IS_PAD 0 SITEPROP TIEOFF_X8Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y144 IS_RESERVED 0 SITEPROP TIEOFF_X8Y144 IS_TEST 0 SITEPROP TIEOFF_X8Y144 IS_USED 0 SITEPROP TIEOFF_X8Y144 MANUAL_ROUTING SITEPROP TIEOFF_X8Y144 NAME TIEOFF_X8Y144 SITEPROP TIEOFF_X8Y144 NUM_ARCS 0 SITEPROP TIEOFF_X8Y144 NUM_BELS 2 SITEPROP TIEOFF_X8Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y144 NUM_PINS 2 SITEPROP TIEOFF_X8Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y144 PROHIBIT 0 SITEPROP TIEOFF_X8Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y144 RPM_X 40 SITEPROP TIEOFF_X8Y144 RPM_Y 288 SITEPROP TIEOFF_X8Y144 SITE_PIPS SITEPROP TIEOFF_X8Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y145 CLASS site SITEPROP TIEOFF_X8Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y145 IS_BONDED 0 SITEPROP TIEOFF_X8Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y145 IS_PAD 0 SITEPROP TIEOFF_X8Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y145 IS_RESERVED 0 SITEPROP TIEOFF_X8Y145 IS_TEST 0 SITEPROP TIEOFF_X8Y145 IS_USED 0 SITEPROP TIEOFF_X8Y145 MANUAL_ROUTING SITEPROP TIEOFF_X8Y145 NAME TIEOFF_X8Y145 SITEPROP TIEOFF_X8Y145 NUM_ARCS 0 SITEPROP TIEOFF_X8Y145 NUM_BELS 2 SITEPROP TIEOFF_X8Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y145 NUM_PINS 2 SITEPROP TIEOFF_X8Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y145 PROHIBIT 0 SITEPROP TIEOFF_X8Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y145 RPM_X 40 SITEPROP TIEOFF_X8Y145 RPM_Y 290 SITEPROP TIEOFF_X8Y145 SITE_PIPS SITEPROP TIEOFF_X8Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y146 CLASS site SITEPROP TIEOFF_X8Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y146 IS_BONDED 0 SITEPROP TIEOFF_X8Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y146 IS_PAD 0 SITEPROP TIEOFF_X8Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y146 IS_RESERVED 0 SITEPROP TIEOFF_X8Y146 IS_TEST 0 SITEPROP TIEOFF_X8Y146 IS_USED 0 SITEPROP TIEOFF_X8Y146 MANUAL_ROUTING SITEPROP TIEOFF_X8Y146 NAME TIEOFF_X8Y146 SITEPROP TIEOFF_X8Y146 NUM_ARCS 0 SITEPROP TIEOFF_X8Y146 NUM_BELS 2 SITEPROP TIEOFF_X8Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y146 NUM_PINS 2 SITEPROP TIEOFF_X8Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y146 PROHIBIT 0 SITEPROP TIEOFF_X8Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y146 RPM_X 40 SITEPROP TIEOFF_X8Y146 RPM_Y 292 SITEPROP TIEOFF_X8Y146 SITE_PIPS SITEPROP TIEOFF_X8Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y147 CLASS site SITEPROP TIEOFF_X8Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y147 IS_BONDED 0 SITEPROP TIEOFF_X8Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y147 IS_PAD 0 SITEPROP TIEOFF_X8Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y147 IS_RESERVED 0 SITEPROP TIEOFF_X8Y147 IS_TEST 0 SITEPROP TIEOFF_X8Y147 IS_USED 0 SITEPROP TIEOFF_X8Y147 MANUAL_ROUTING SITEPROP TIEOFF_X8Y147 NAME TIEOFF_X8Y147 SITEPROP TIEOFF_X8Y147 NUM_ARCS 0 SITEPROP TIEOFF_X8Y147 NUM_BELS 2 SITEPROP TIEOFF_X8Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y147 NUM_PINS 2 SITEPROP TIEOFF_X8Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y147 PROHIBIT 0 SITEPROP TIEOFF_X8Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y147 RPM_X 40 SITEPROP TIEOFF_X8Y147 RPM_Y 294 SITEPROP TIEOFF_X8Y147 SITE_PIPS SITEPROP TIEOFF_X8Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y148 CLASS site SITEPROP TIEOFF_X8Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y148 IS_BONDED 0 SITEPROP TIEOFF_X8Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y148 IS_PAD 0 SITEPROP TIEOFF_X8Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y148 IS_RESERVED 0 SITEPROP TIEOFF_X8Y148 IS_TEST 0 SITEPROP TIEOFF_X8Y148 IS_USED 0 SITEPROP TIEOFF_X8Y148 MANUAL_ROUTING SITEPROP TIEOFF_X8Y148 NAME TIEOFF_X8Y148 SITEPROP TIEOFF_X8Y148 NUM_ARCS 0 SITEPROP TIEOFF_X8Y148 NUM_BELS 2 SITEPROP TIEOFF_X8Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y148 NUM_PINS 2 SITEPROP TIEOFF_X8Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y148 PROHIBIT 0 SITEPROP TIEOFF_X8Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y148 RPM_X 40 SITEPROP TIEOFF_X8Y148 RPM_Y 296 SITEPROP TIEOFF_X8Y148 SITE_PIPS SITEPROP TIEOFF_X8Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X8Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X8Y149 CLASS site SITEPROP TIEOFF_X8Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X8Y149 IS_BONDED 0 SITEPROP TIEOFF_X8Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y149 IS_PAD 0 SITEPROP TIEOFF_X8Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X8Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X8Y149 IS_RESERVED 0 SITEPROP TIEOFF_X8Y149 IS_TEST 0 SITEPROP TIEOFF_X8Y149 IS_USED 0 SITEPROP TIEOFF_X8Y149 MANUAL_ROUTING SITEPROP TIEOFF_X8Y149 NAME TIEOFF_X8Y149 SITEPROP TIEOFF_X8Y149 NUM_ARCS 0 SITEPROP TIEOFF_X8Y149 NUM_BELS 2 SITEPROP TIEOFF_X8Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X8Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X8Y149 NUM_PINS 2 SITEPROP TIEOFF_X8Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X8Y149 PROHIBIT 0 SITEPROP TIEOFF_X8Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X8Y149 RPM_X 40 SITEPROP TIEOFF_X8Y149 RPM_Y 298 SITEPROP TIEOFF_X8Y149 SITE_PIPS SITEPROP TIEOFF_X8Y149 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y0 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y0 CLASS site SITEPROP TIEOFF_X9Y0 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y0 IS_BONDED 0 SITEPROP TIEOFF_X9Y0 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y0 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y0 IS_PAD 0 SITEPROP TIEOFF_X9Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y0 IS_RESERVED 0 SITEPROP TIEOFF_X9Y0 IS_TEST 0 SITEPROP TIEOFF_X9Y0 IS_USED 0 SITEPROP TIEOFF_X9Y0 MANUAL_ROUTING SITEPROP TIEOFF_X9Y0 NAME TIEOFF_X9Y0 SITEPROP TIEOFF_X9Y0 NUM_ARCS 0 SITEPROP TIEOFF_X9Y0 NUM_BELS 2 SITEPROP TIEOFF_X9Y0 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y0 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y0 NUM_PINS 2 SITEPROP TIEOFF_X9Y0 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y0 PROHIBIT 0 SITEPROP TIEOFF_X9Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y0 RPM_X 44 SITEPROP TIEOFF_X9Y0 RPM_Y 0 SITEPROP TIEOFF_X9Y0 SITE_PIPS SITEPROP TIEOFF_X9Y0 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y1 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y1 CLASS site SITEPROP TIEOFF_X9Y1 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y1 IS_BONDED 0 SITEPROP TIEOFF_X9Y1 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y1 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y1 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y1 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y1 IS_PAD 0 SITEPROP TIEOFF_X9Y1 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y1 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y1 IS_RESERVED 0 SITEPROP TIEOFF_X9Y1 IS_TEST 0 SITEPROP TIEOFF_X9Y1 IS_USED 0 SITEPROP TIEOFF_X9Y1 MANUAL_ROUTING SITEPROP TIEOFF_X9Y1 NAME TIEOFF_X9Y1 SITEPROP TIEOFF_X9Y1 NUM_ARCS 0 SITEPROP TIEOFF_X9Y1 NUM_BELS 2 SITEPROP TIEOFF_X9Y1 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y1 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y1 NUM_PINS 2 SITEPROP TIEOFF_X9Y1 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y1 PROHIBIT 0 SITEPROP TIEOFF_X9Y1 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y1 RPM_X 44 SITEPROP TIEOFF_X9Y1 RPM_Y 2 SITEPROP TIEOFF_X9Y1 SITE_PIPS SITEPROP TIEOFF_X9Y1 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y2 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y2 CLASS site SITEPROP TIEOFF_X9Y2 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y2 IS_BONDED 0 SITEPROP TIEOFF_X9Y2 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y2 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y2 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y2 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y2 IS_PAD 0 SITEPROP TIEOFF_X9Y2 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y2 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y2 IS_RESERVED 0 SITEPROP TIEOFF_X9Y2 IS_TEST 0 SITEPROP TIEOFF_X9Y2 IS_USED 0 SITEPROP TIEOFF_X9Y2 MANUAL_ROUTING SITEPROP TIEOFF_X9Y2 NAME TIEOFF_X9Y2 SITEPROP TIEOFF_X9Y2 NUM_ARCS 0 SITEPROP TIEOFF_X9Y2 NUM_BELS 2 SITEPROP TIEOFF_X9Y2 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y2 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y2 NUM_PINS 2 SITEPROP TIEOFF_X9Y2 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y2 PROHIBIT 0 SITEPROP TIEOFF_X9Y2 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y2 RPM_X 44 SITEPROP TIEOFF_X9Y2 RPM_Y 4 SITEPROP TIEOFF_X9Y2 SITE_PIPS SITEPROP TIEOFF_X9Y2 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y3 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y3 CLASS site SITEPROP TIEOFF_X9Y3 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y3 IS_BONDED 0 SITEPROP TIEOFF_X9Y3 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y3 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y3 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y3 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y3 IS_PAD 0 SITEPROP TIEOFF_X9Y3 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y3 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y3 IS_RESERVED 0 SITEPROP TIEOFF_X9Y3 IS_TEST 0 SITEPROP TIEOFF_X9Y3 IS_USED 0 SITEPROP TIEOFF_X9Y3 MANUAL_ROUTING SITEPROP TIEOFF_X9Y3 NAME TIEOFF_X9Y3 SITEPROP TIEOFF_X9Y3 NUM_ARCS 0 SITEPROP TIEOFF_X9Y3 NUM_BELS 2 SITEPROP TIEOFF_X9Y3 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y3 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y3 NUM_PINS 2 SITEPROP TIEOFF_X9Y3 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y3 PROHIBIT 0 SITEPROP TIEOFF_X9Y3 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y3 RPM_X 44 SITEPROP TIEOFF_X9Y3 RPM_Y 6 SITEPROP TIEOFF_X9Y3 SITE_PIPS SITEPROP TIEOFF_X9Y3 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y4 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y4 CLASS site SITEPROP TIEOFF_X9Y4 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y4 IS_BONDED 0 SITEPROP TIEOFF_X9Y4 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y4 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y4 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y4 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y4 IS_PAD 0 SITEPROP TIEOFF_X9Y4 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y4 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y4 IS_RESERVED 0 SITEPROP TIEOFF_X9Y4 IS_TEST 0 SITEPROP TIEOFF_X9Y4 IS_USED 0 SITEPROP TIEOFF_X9Y4 MANUAL_ROUTING SITEPROP TIEOFF_X9Y4 NAME TIEOFF_X9Y4 SITEPROP TIEOFF_X9Y4 NUM_ARCS 0 SITEPROP TIEOFF_X9Y4 NUM_BELS 2 SITEPROP TIEOFF_X9Y4 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y4 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y4 NUM_PINS 2 SITEPROP TIEOFF_X9Y4 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y4 PROHIBIT 0 SITEPROP TIEOFF_X9Y4 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y4 RPM_X 44 SITEPROP TIEOFF_X9Y4 RPM_Y 8 SITEPROP TIEOFF_X9Y4 SITE_PIPS SITEPROP TIEOFF_X9Y4 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y5 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y5 CLASS site SITEPROP TIEOFF_X9Y5 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y5 IS_BONDED 0 SITEPROP TIEOFF_X9Y5 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y5 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y5 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y5 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y5 IS_PAD 0 SITEPROP TIEOFF_X9Y5 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y5 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y5 IS_RESERVED 0 SITEPROP TIEOFF_X9Y5 IS_TEST 0 SITEPROP TIEOFF_X9Y5 IS_USED 0 SITEPROP TIEOFF_X9Y5 MANUAL_ROUTING SITEPROP TIEOFF_X9Y5 NAME TIEOFF_X9Y5 SITEPROP TIEOFF_X9Y5 NUM_ARCS 0 SITEPROP TIEOFF_X9Y5 NUM_BELS 2 SITEPROP TIEOFF_X9Y5 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y5 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y5 NUM_PINS 2 SITEPROP TIEOFF_X9Y5 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y5 PROHIBIT 0 SITEPROP TIEOFF_X9Y5 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y5 RPM_X 44 SITEPROP TIEOFF_X9Y5 RPM_Y 10 SITEPROP TIEOFF_X9Y5 SITE_PIPS SITEPROP TIEOFF_X9Y5 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y6 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y6 CLASS site SITEPROP TIEOFF_X9Y6 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y6 IS_BONDED 0 SITEPROP TIEOFF_X9Y6 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y6 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y6 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y6 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y6 IS_PAD 0 SITEPROP TIEOFF_X9Y6 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y6 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y6 IS_RESERVED 0 SITEPROP TIEOFF_X9Y6 IS_TEST 0 SITEPROP TIEOFF_X9Y6 IS_USED 0 SITEPROP TIEOFF_X9Y6 MANUAL_ROUTING SITEPROP TIEOFF_X9Y6 NAME TIEOFF_X9Y6 SITEPROP TIEOFF_X9Y6 NUM_ARCS 0 SITEPROP TIEOFF_X9Y6 NUM_BELS 2 SITEPROP TIEOFF_X9Y6 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y6 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y6 NUM_PINS 2 SITEPROP TIEOFF_X9Y6 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y6 PROHIBIT 0 SITEPROP TIEOFF_X9Y6 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y6 RPM_X 44 SITEPROP TIEOFF_X9Y6 RPM_Y 12 SITEPROP TIEOFF_X9Y6 SITE_PIPS SITEPROP TIEOFF_X9Y6 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y7 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y7 CLASS site SITEPROP TIEOFF_X9Y7 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y7 IS_BONDED 0 SITEPROP TIEOFF_X9Y7 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y7 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y7 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y7 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y7 IS_PAD 0 SITEPROP TIEOFF_X9Y7 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y7 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y7 IS_RESERVED 0 SITEPROP TIEOFF_X9Y7 IS_TEST 0 SITEPROP TIEOFF_X9Y7 IS_USED 0 SITEPROP TIEOFF_X9Y7 MANUAL_ROUTING SITEPROP TIEOFF_X9Y7 NAME TIEOFF_X9Y7 SITEPROP TIEOFF_X9Y7 NUM_ARCS 0 SITEPROP TIEOFF_X9Y7 NUM_BELS 2 SITEPROP TIEOFF_X9Y7 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y7 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y7 NUM_PINS 2 SITEPROP TIEOFF_X9Y7 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y7 PROHIBIT 0 SITEPROP TIEOFF_X9Y7 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y7 RPM_X 44 SITEPROP TIEOFF_X9Y7 RPM_Y 14 SITEPROP TIEOFF_X9Y7 SITE_PIPS SITEPROP TIEOFF_X9Y7 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y8 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y8 CLASS site SITEPROP TIEOFF_X9Y8 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y8 IS_BONDED 0 SITEPROP TIEOFF_X9Y8 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y8 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y8 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y8 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y8 IS_PAD 0 SITEPROP TIEOFF_X9Y8 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y8 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y8 IS_RESERVED 0 SITEPROP TIEOFF_X9Y8 IS_TEST 0 SITEPROP TIEOFF_X9Y8 IS_USED 0 SITEPROP TIEOFF_X9Y8 MANUAL_ROUTING SITEPROP TIEOFF_X9Y8 NAME TIEOFF_X9Y8 SITEPROP TIEOFF_X9Y8 NUM_ARCS 0 SITEPROP TIEOFF_X9Y8 NUM_BELS 2 SITEPROP TIEOFF_X9Y8 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y8 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y8 NUM_PINS 2 SITEPROP TIEOFF_X9Y8 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y8 PROHIBIT 0 SITEPROP TIEOFF_X9Y8 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y8 RPM_X 44 SITEPROP TIEOFF_X9Y8 RPM_Y 16 SITEPROP TIEOFF_X9Y8 SITE_PIPS SITEPROP TIEOFF_X9Y8 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y9 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y9 CLASS site SITEPROP TIEOFF_X9Y9 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y9 IS_BONDED 0 SITEPROP TIEOFF_X9Y9 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y9 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y9 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y9 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y9 IS_PAD 0 SITEPROP TIEOFF_X9Y9 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y9 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y9 IS_RESERVED 0 SITEPROP TIEOFF_X9Y9 IS_TEST 0 SITEPROP TIEOFF_X9Y9 IS_USED 0 SITEPROP TIEOFF_X9Y9 MANUAL_ROUTING SITEPROP TIEOFF_X9Y9 NAME TIEOFF_X9Y9 SITEPROP TIEOFF_X9Y9 NUM_ARCS 0 SITEPROP TIEOFF_X9Y9 NUM_BELS 2 SITEPROP TIEOFF_X9Y9 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y9 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y9 NUM_PINS 2 SITEPROP TIEOFF_X9Y9 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y9 PROHIBIT 0 SITEPROP TIEOFF_X9Y9 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y9 RPM_X 44 SITEPROP TIEOFF_X9Y9 RPM_Y 18 SITEPROP TIEOFF_X9Y9 SITE_PIPS SITEPROP TIEOFF_X9Y9 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y10 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y10 CLASS site SITEPROP TIEOFF_X9Y10 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y10 IS_BONDED 0 SITEPROP TIEOFF_X9Y10 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y10 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y10 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y10 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y10 IS_PAD 0 SITEPROP TIEOFF_X9Y10 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y10 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y10 IS_RESERVED 0 SITEPROP TIEOFF_X9Y10 IS_TEST 0 SITEPROP TIEOFF_X9Y10 IS_USED 0 SITEPROP TIEOFF_X9Y10 MANUAL_ROUTING SITEPROP TIEOFF_X9Y10 NAME TIEOFF_X9Y10 SITEPROP TIEOFF_X9Y10 NUM_ARCS 0 SITEPROP TIEOFF_X9Y10 NUM_BELS 2 SITEPROP TIEOFF_X9Y10 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y10 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y10 NUM_PINS 2 SITEPROP TIEOFF_X9Y10 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y10 PROHIBIT 0 SITEPROP TIEOFF_X9Y10 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y10 RPM_X 44 SITEPROP TIEOFF_X9Y10 RPM_Y 20 SITEPROP TIEOFF_X9Y10 SITE_PIPS SITEPROP TIEOFF_X9Y10 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y11 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y11 CLASS site SITEPROP TIEOFF_X9Y11 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y11 IS_BONDED 0 SITEPROP TIEOFF_X9Y11 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y11 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y11 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y11 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y11 IS_PAD 0 SITEPROP TIEOFF_X9Y11 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y11 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y11 IS_RESERVED 0 SITEPROP TIEOFF_X9Y11 IS_TEST 0 SITEPROP TIEOFF_X9Y11 IS_USED 0 SITEPROP TIEOFF_X9Y11 MANUAL_ROUTING SITEPROP TIEOFF_X9Y11 NAME TIEOFF_X9Y11 SITEPROP TIEOFF_X9Y11 NUM_ARCS 0 SITEPROP TIEOFF_X9Y11 NUM_BELS 2 SITEPROP TIEOFF_X9Y11 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y11 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y11 NUM_PINS 2 SITEPROP TIEOFF_X9Y11 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y11 PROHIBIT 0 SITEPROP TIEOFF_X9Y11 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y11 RPM_X 44 SITEPROP TIEOFF_X9Y11 RPM_Y 22 SITEPROP TIEOFF_X9Y11 SITE_PIPS SITEPROP TIEOFF_X9Y11 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y12 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y12 CLASS site SITEPROP TIEOFF_X9Y12 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y12 IS_BONDED 0 SITEPROP TIEOFF_X9Y12 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y12 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y12 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y12 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y12 IS_PAD 0 SITEPROP TIEOFF_X9Y12 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y12 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y12 IS_RESERVED 0 SITEPROP TIEOFF_X9Y12 IS_TEST 0 SITEPROP TIEOFF_X9Y12 IS_USED 0 SITEPROP TIEOFF_X9Y12 MANUAL_ROUTING SITEPROP TIEOFF_X9Y12 NAME TIEOFF_X9Y12 SITEPROP TIEOFF_X9Y12 NUM_ARCS 0 SITEPROP TIEOFF_X9Y12 NUM_BELS 2 SITEPROP TIEOFF_X9Y12 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y12 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y12 NUM_PINS 2 SITEPROP TIEOFF_X9Y12 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y12 PROHIBIT 0 SITEPROP TIEOFF_X9Y12 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y12 RPM_X 44 SITEPROP TIEOFF_X9Y12 RPM_Y 24 SITEPROP TIEOFF_X9Y12 SITE_PIPS SITEPROP TIEOFF_X9Y12 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y13 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y13 CLASS site SITEPROP TIEOFF_X9Y13 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y13 IS_BONDED 0 SITEPROP TIEOFF_X9Y13 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y13 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y13 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y13 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y13 IS_PAD 0 SITEPROP TIEOFF_X9Y13 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y13 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y13 IS_RESERVED 0 SITEPROP TIEOFF_X9Y13 IS_TEST 0 SITEPROP TIEOFF_X9Y13 IS_USED 0 SITEPROP TIEOFF_X9Y13 MANUAL_ROUTING SITEPROP TIEOFF_X9Y13 NAME TIEOFF_X9Y13 SITEPROP TIEOFF_X9Y13 NUM_ARCS 0 SITEPROP TIEOFF_X9Y13 NUM_BELS 2 SITEPROP TIEOFF_X9Y13 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y13 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y13 NUM_PINS 2 SITEPROP TIEOFF_X9Y13 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y13 PROHIBIT 0 SITEPROP TIEOFF_X9Y13 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y13 RPM_X 44 SITEPROP TIEOFF_X9Y13 RPM_Y 26 SITEPROP TIEOFF_X9Y13 SITE_PIPS SITEPROP TIEOFF_X9Y13 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y14 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y14 CLASS site SITEPROP TIEOFF_X9Y14 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y14 IS_BONDED 0 SITEPROP TIEOFF_X9Y14 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y14 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y14 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y14 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y14 IS_PAD 0 SITEPROP TIEOFF_X9Y14 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y14 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y14 IS_RESERVED 0 SITEPROP TIEOFF_X9Y14 IS_TEST 0 SITEPROP TIEOFF_X9Y14 IS_USED 0 SITEPROP TIEOFF_X9Y14 MANUAL_ROUTING SITEPROP TIEOFF_X9Y14 NAME TIEOFF_X9Y14 SITEPROP TIEOFF_X9Y14 NUM_ARCS 0 SITEPROP TIEOFF_X9Y14 NUM_BELS 2 SITEPROP TIEOFF_X9Y14 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y14 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y14 NUM_PINS 2 SITEPROP TIEOFF_X9Y14 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y14 PROHIBIT 0 SITEPROP TIEOFF_X9Y14 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y14 RPM_X 44 SITEPROP TIEOFF_X9Y14 RPM_Y 28 SITEPROP TIEOFF_X9Y14 SITE_PIPS SITEPROP TIEOFF_X9Y14 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y15 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y15 CLASS site SITEPROP TIEOFF_X9Y15 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y15 IS_BONDED 0 SITEPROP TIEOFF_X9Y15 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y15 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y15 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y15 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y15 IS_PAD 0 SITEPROP TIEOFF_X9Y15 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y15 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y15 IS_RESERVED 0 SITEPROP TIEOFF_X9Y15 IS_TEST 0 SITEPROP TIEOFF_X9Y15 IS_USED 0 SITEPROP TIEOFF_X9Y15 MANUAL_ROUTING SITEPROP TIEOFF_X9Y15 NAME TIEOFF_X9Y15 SITEPROP TIEOFF_X9Y15 NUM_ARCS 0 SITEPROP TIEOFF_X9Y15 NUM_BELS 2 SITEPROP TIEOFF_X9Y15 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y15 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y15 NUM_PINS 2 SITEPROP TIEOFF_X9Y15 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y15 PROHIBIT 0 SITEPROP TIEOFF_X9Y15 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y15 RPM_X 44 SITEPROP TIEOFF_X9Y15 RPM_Y 30 SITEPROP TIEOFF_X9Y15 SITE_PIPS SITEPROP TIEOFF_X9Y15 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y16 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y16 CLASS site SITEPROP TIEOFF_X9Y16 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y16 IS_BONDED 0 SITEPROP TIEOFF_X9Y16 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y16 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y16 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y16 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y16 IS_PAD 0 SITEPROP TIEOFF_X9Y16 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y16 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y16 IS_RESERVED 0 SITEPROP TIEOFF_X9Y16 IS_TEST 0 SITEPROP TIEOFF_X9Y16 IS_USED 0 SITEPROP TIEOFF_X9Y16 MANUAL_ROUTING SITEPROP TIEOFF_X9Y16 NAME TIEOFF_X9Y16 SITEPROP TIEOFF_X9Y16 NUM_ARCS 0 SITEPROP TIEOFF_X9Y16 NUM_BELS 2 SITEPROP TIEOFF_X9Y16 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y16 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y16 NUM_PINS 2 SITEPROP TIEOFF_X9Y16 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y16 PROHIBIT 0 SITEPROP TIEOFF_X9Y16 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y16 RPM_X 44 SITEPROP TIEOFF_X9Y16 RPM_Y 32 SITEPROP TIEOFF_X9Y16 SITE_PIPS SITEPROP TIEOFF_X9Y16 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y17 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y17 CLASS site SITEPROP TIEOFF_X9Y17 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y17 IS_BONDED 0 SITEPROP TIEOFF_X9Y17 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y17 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y17 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y17 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y17 IS_PAD 0 SITEPROP TIEOFF_X9Y17 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y17 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y17 IS_RESERVED 0 SITEPROP TIEOFF_X9Y17 IS_TEST 0 SITEPROP TIEOFF_X9Y17 IS_USED 0 SITEPROP TIEOFF_X9Y17 MANUAL_ROUTING SITEPROP TIEOFF_X9Y17 NAME TIEOFF_X9Y17 SITEPROP TIEOFF_X9Y17 NUM_ARCS 0 SITEPROP TIEOFF_X9Y17 NUM_BELS 2 SITEPROP TIEOFF_X9Y17 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y17 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y17 NUM_PINS 2 SITEPROP TIEOFF_X9Y17 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y17 PROHIBIT 0 SITEPROP TIEOFF_X9Y17 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y17 RPM_X 44 SITEPROP TIEOFF_X9Y17 RPM_Y 34 SITEPROP TIEOFF_X9Y17 SITE_PIPS SITEPROP TIEOFF_X9Y17 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y18 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y18 CLASS site SITEPROP TIEOFF_X9Y18 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y18 IS_BONDED 0 SITEPROP TIEOFF_X9Y18 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y18 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y18 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y18 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y18 IS_PAD 0 SITEPROP TIEOFF_X9Y18 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y18 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y18 IS_RESERVED 0 SITEPROP TIEOFF_X9Y18 IS_TEST 0 SITEPROP TIEOFF_X9Y18 IS_USED 0 SITEPROP TIEOFF_X9Y18 MANUAL_ROUTING SITEPROP TIEOFF_X9Y18 NAME TIEOFF_X9Y18 SITEPROP TIEOFF_X9Y18 NUM_ARCS 0 SITEPROP TIEOFF_X9Y18 NUM_BELS 2 SITEPROP TIEOFF_X9Y18 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y18 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y18 NUM_PINS 2 SITEPROP TIEOFF_X9Y18 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y18 PROHIBIT 0 SITEPROP TIEOFF_X9Y18 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y18 RPM_X 44 SITEPROP TIEOFF_X9Y18 RPM_Y 36 SITEPROP TIEOFF_X9Y18 SITE_PIPS SITEPROP TIEOFF_X9Y18 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y19 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y19 CLASS site SITEPROP TIEOFF_X9Y19 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y19 IS_BONDED 0 SITEPROP TIEOFF_X9Y19 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y19 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y19 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y19 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y19 IS_PAD 0 SITEPROP TIEOFF_X9Y19 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y19 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y19 IS_RESERVED 0 SITEPROP TIEOFF_X9Y19 IS_TEST 0 SITEPROP TIEOFF_X9Y19 IS_USED 0 SITEPROP TIEOFF_X9Y19 MANUAL_ROUTING SITEPROP TIEOFF_X9Y19 NAME TIEOFF_X9Y19 SITEPROP TIEOFF_X9Y19 NUM_ARCS 0 SITEPROP TIEOFF_X9Y19 NUM_BELS 2 SITEPROP TIEOFF_X9Y19 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y19 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y19 NUM_PINS 2 SITEPROP TIEOFF_X9Y19 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y19 PROHIBIT 0 SITEPROP TIEOFF_X9Y19 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y19 RPM_X 44 SITEPROP TIEOFF_X9Y19 RPM_Y 38 SITEPROP TIEOFF_X9Y19 SITE_PIPS SITEPROP TIEOFF_X9Y19 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y20 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y20 CLASS site SITEPROP TIEOFF_X9Y20 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y20 IS_BONDED 0 SITEPROP TIEOFF_X9Y20 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y20 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y20 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y20 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y20 IS_PAD 0 SITEPROP TIEOFF_X9Y20 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y20 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y20 IS_RESERVED 0 SITEPROP TIEOFF_X9Y20 IS_TEST 0 SITEPROP TIEOFF_X9Y20 IS_USED 0 SITEPROP TIEOFF_X9Y20 MANUAL_ROUTING SITEPROP TIEOFF_X9Y20 NAME TIEOFF_X9Y20 SITEPROP TIEOFF_X9Y20 NUM_ARCS 0 SITEPROP TIEOFF_X9Y20 NUM_BELS 2 SITEPROP TIEOFF_X9Y20 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y20 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y20 NUM_PINS 2 SITEPROP TIEOFF_X9Y20 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y20 PROHIBIT 0 SITEPROP TIEOFF_X9Y20 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y20 RPM_X 44 SITEPROP TIEOFF_X9Y20 RPM_Y 40 SITEPROP TIEOFF_X9Y20 SITE_PIPS SITEPROP TIEOFF_X9Y20 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y21 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y21 CLASS site SITEPROP TIEOFF_X9Y21 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y21 IS_BONDED 0 SITEPROP TIEOFF_X9Y21 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y21 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y21 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y21 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y21 IS_PAD 0 SITEPROP TIEOFF_X9Y21 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y21 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y21 IS_RESERVED 0 SITEPROP TIEOFF_X9Y21 IS_TEST 0 SITEPROP TIEOFF_X9Y21 IS_USED 0 SITEPROP TIEOFF_X9Y21 MANUAL_ROUTING SITEPROP TIEOFF_X9Y21 NAME TIEOFF_X9Y21 SITEPROP TIEOFF_X9Y21 NUM_ARCS 0 SITEPROP TIEOFF_X9Y21 NUM_BELS 2 SITEPROP TIEOFF_X9Y21 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y21 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y21 NUM_PINS 2 SITEPROP TIEOFF_X9Y21 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y21 PROHIBIT 0 SITEPROP TIEOFF_X9Y21 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y21 RPM_X 44 SITEPROP TIEOFF_X9Y21 RPM_Y 42 SITEPROP TIEOFF_X9Y21 SITE_PIPS SITEPROP TIEOFF_X9Y21 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y22 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y22 CLASS site SITEPROP TIEOFF_X9Y22 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y22 IS_BONDED 0 SITEPROP TIEOFF_X9Y22 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y22 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y22 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y22 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y22 IS_PAD 0 SITEPROP TIEOFF_X9Y22 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y22 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y22 IS_RESERVED 0 SITEPROP TIEOFF_X9Y22 IS_TEST 0 SITEPROP TIEOFF_X9Y22 IS_USED 0 SITEPROP TIEOFF_X9Y22 MANUAL_ROUTING SITEPROP TIEOFF_X9Y22 NAME TIEOFF_X9Y22 SITEPROP TIEOFF_X9Y22 NUM_ARCS 0 SITEPROP TIEOFF_X9Y22 NUM_BELS 2 SITEPROP TIEOFF_X9Y22 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y22 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y22 NUM_PINS 2 SITEPROP TIEOFF_X9Y22 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y22 PROHIBIT 0 SITEPROP TIEOFF_X9Y22 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y22 RPM_X 44 SITEPROP TIEOFF_X9Y22 RPM_Y 44 SITEPROP TIEOFF_X9Y22 SITE_PIPS SITEPROP TIEOFF_X9Y22 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y23 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y23 CLASS site SITEPROP TIEOFF_X9Y23 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y23 IS_BONDED 0 SITEPROP TIEOFF_X9Y23 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y23 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y23 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y23 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y23 IS_PAD 0 SITEPROP TIEOFF_X9Y23 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y23 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y23 IS_RESERVED 0 SITEPROP TIEOFF_X9Y23 IS_TEST 0 SITEPROP TIEOFF_X9Y23 IS_USED 0 SITEPROP TIEOFF_X9Y23 MANUAL_ROUTING SITEPROP TIEOFF_X9Y23 NAME TIEOFF_X9Y23 SITEPROP TIEOFF_X9Y23 NUM_ARCS 0 SITEPROP TIEOFF_X9Y23 NUM_BELS 2 SITEPROP TIEOFF_X9Y23 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y23 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y23 NUM_PINS 2 SITEPROP TIEOFF_X9Y23 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y23 PROHIBIT 0 SITEPROP TIEOFF_X9Y23 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y23 RPM_X 44 SITEPROP TIEOFF_X9Y23 RPM_Y 46 SITEPROP TIEOFF_X9Y23 SITE_PIPS SITEPROP TIEOFF_X9Y23 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y24 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y24 CLASS site SITEPROP TIEOFF_X9Y24 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y24 IS_BONDED 0 SITEPROP TIEOFF_X9Y24 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y24 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y24 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y24 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y24 IS_PAD 0 SITEPROP TIEOFF_X9Y24 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y24 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y24 IS_RESERVED 0 SITEPROP TIEOFF_X9Y24 IS_TEST 0 SITEPROP TIEOFF_X9Y24 IS_USED 0 SITEPROP TIEOFF_X9Y24 MANUAL_ROUTING SITEPROP TIEOFF_X9Y24 NAME TIEOFF_X9Y24 SITEPROP TIEOFF_X9Y24 NUM_ARCS 0 SITEPROP TIEOFF_X9Y24 NUM_BELS 2 SITEPROP TIEOFF_X9Y24 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y24 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y24 NUM_PINS 2 SITEPROP TIEOFF_X9Y24 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y24 PROHIBIT 0 SITEPROP TIEOFF_X9Y24 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y24 RPM_X 44 SITEPROP TIEOFF_X9Y24 RPM_Y 48 SITEPROP TIEOFF_X9Y24 SITE_PIPS SITEPROP TIEOFF_X9Y24 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y25 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y25 CLASS site SITEPROP TIEOFF_X9Y25 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y25 IS_BONDED 0 SITEPROP TIEOFF_X9Y25 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y25 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y25 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y25 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y25 IS_PAD 0 SITEPROP TIEOFF_X9Y25 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y25 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y25 IS_RESERVED 0 SITEPROP TIEOFF_X9Y25 IS_TEST 0 SITEPROP TIEOFF_X9Y25 IS_USED 0 SITEPROP TIEOFF_X9Y25 MANUAL_ROUTING SITEPROP TIEOFF_X9Y25 NAME TIEOFF_X9Y25 SITEPROP TIEOFF_X9Y25 NUM_ARCS 0 SITEPROP TIEOFF_X9Y25 NUM_BELS 2 SITEPROP TIEOFF_X9Y25 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y25 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y25 NUM_PINS 2 SITEPROP TIEOFF_X9Y25 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y25 PROHIBIT 0 SITEPROP TIEOFF_X9Y25 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y25 RPM_X 44 SITEPROP TIEOFF_X9Y25 RPM_Y 50 SITEPROP TIEOFF_X9Y25 SITE_PIPS SITEPROP TIEOFF_X9Y25 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y26 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y26 CLASS site SITEPROP TIEOFF_X9Y26 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y26 IS_BONDED 0 SITEPROP TIEOFF_X9Y26 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y26 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y26 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y26 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y26 IS_PAD 0 SITEPROP TIEOFF_X9Y26 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y26 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y26 IS_RESERVED 0 SITEPROP TIEOFF_X9Y26 IS_TEST 0 SITEPROP TIEOFF_X9Y26 IS_USED 0 SITEPROP TIEOFF_X9Y26 MANUAL_ROUTING SITEPROP TIEOFF_X9Y26 NAME TIEOFF_X9Y26 SITEPROP TIEOFF_X9Y26 NUM_ARCS 0 SITEPROP TIEOFF_X9Y26 NUM_BELS 2 SITEPROP TIEOFF_X9Y26 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y26 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y26 NUM_PINS 2 SITEPROP TIEOFF_X9Y26 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y26 PROHIBIT 0 SITEPROP TIEOFF_X9Y26 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y26 RPM_X 44 SITEPROP TIEOFF_X9Y26 RPM_Y 52 SITEPROP TIEOFF_X9Y26 SITE_PIPS SITEPROP TIEOFF_X9Y26 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y27 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y27 CLASS site SITEPROP TIEOFF_X9Y27 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y27 IS_BONDED 0 SITEPROP TIEOFF_X9Y27 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y27 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y27 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y27 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y27 IS_PAD 0 SITEPROP TIEOFF_X9Y27 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y27 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y27 IS_RESERVED 0 SITEPROP TIEOFF_X9Y27 IS_TEST 0 SITEPROP TIEOFF_X9Y27 IS_USED 0 SITEPROP TIEOFF_X9Y27 MANUAL_ROUTING SITEPROP TIEOFF_X9Y27 NAME TIEOFF_X9Y27 SITEPROP TIEOFF_X9Y27 NUM_ARCS 0 SITEPROP TIEOFF_X9Y27 NUM_BELS 2 SITEPROP TIEOFF_X9Y27 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y27 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y27 NUM_PINS 2 SITEPROP TIEOFF_X9Y27 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y27 PROHIBIT 0 SITEPROP TIEOFF_X9Y27 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y27 RPM_X 44 SITEPROP TIEOFF_X9Y27 RPM_Y 54 SITEPROP TIEOFF_X9Y27 SITE_PIPS SITEPROP TIEOFF_X9Y27 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y28 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y28 CLASS site SITEPROP TIEOFF_X9Y28 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y28 IS_BONDED 0 SITEPROP TIEOFF_X9Y28 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y28 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y28 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y28 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y28 IS_PAD 0 SITEPROP TIEOFF_X9Y28 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y28 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y28 IS_RESERVED 0 SITEPROP TIEOFF_X9Y28 IS_TEST 0 SITEPROP TIEOFF_X9Y28 IS_USED 0 SITEPROP TIEOFF_X9Y28 MANUAL_ROUTING SITEPROP TIEOFF_X9Y28 NAME TIEOFF_X9Y28 SITEPROP TIEOFF_X9Y28 NUM_ARCS 0 SITEPROP TIEOFF_X9Y28 NUM_BELS 2 SITEPROP TIEOFF_X9Y28 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y28 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y28 NUM_PINS 2 SITEPROP TIEOFF_X9Y28 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y28 PROHIBIT 0 SITEPROP TIEOFF_X9Y28 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y28 RPM_X 44 SITEPROP TIEOFF_X9Y28 RPM_Y 56 SITEPROP TIEOFF_X9Y28 SITE_PIPS SITEPROP TIEOFF_X9Y28 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y29 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y29 CLASS site SITEPROP TIEOFF_X9Y29 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y29 IS_BONDED 0 SITEPROP TIEOFF_X9Y29 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y29 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y29 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y29 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y29 IS_PAD 0 SITEPROP TIEOFF_X9Y29 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y29 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y29 IS_RESERVED 0 SITEPROP TIEOFF_X9Y29 IS_TEST 0 SITEPROP TIEOFF_X9Y29 IS_USED 0 SITEPROP TIEOFF_X9Y29 MANUAL_ROUTING SITEPROP TIEOFF_X9Y29 NAME TIEOFF_X9Y29 SITEPROP TIEOFF_X9Y29 NUM_ARCS 0 SITEPROP TIEOFF_X9Y29 NUM_BELS 2 SITEPROP TIEOFF_X9Y29 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y29 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y29 NUM_PINS 2 SITEPROP TIEOFF_X9Y29 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y29 PROHIBIT 0 SITEPROP TIEOFF_X9Y29 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y29 RPM_X 44 SITEPROP TIEOFF_X9Y29 RPM_Y 58 SITEPROP TIEOFF_X9Y29 SITE_PIPS SITEPROP TIEOFF_X9Y29 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y30 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y30 CLASS site SITEPROP TIEOFF_X9Y30 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y30 IS_BONDED 0 SITEPROP TIEOFF_X9Y30 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y30 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y30 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y30 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y30 IS_PAD 0 SITEPROP TIEOFF_X9Y30 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y30 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y30 IS_RESERVED 0 SITEPROP TIEOFF_X9Y30 IS_TEST 0 SITEPROP TIEOFF_X9Y30 IS_USED 0 SITEPROP TIEOFF_X9Y30 MANUAL_ROUTING SITEPROP TIEOFF_X9Y30 NAME TIEOFF_X9Y30 SITEPROP TIEOFF_X9Y30 NUM_ARCS 0 SITEPROP TIEOFF_X9Y30 NUM_BELS 2 SITEPROP TIEOFF_X9Y30 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y30 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y30 NUM_PINS 2 SITEPROP TIEOFF_X9Y30 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y30 PROHIBIT 0 SITEPROP TIEOFF_X9Y30 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y30 RPM_X 44 SITEPROP TIEOFF_X9Y30 RPM_Y 60 SITEPROP TIEOFF_X9Y30 SITE_PIPS SITEPROP TIEOFF_X9Y30 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y31 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y31 CLASS site SITEPROP TIEOFF_X9Y31 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y31 IS_BONDED 0 SITEPROP TIEOFF_X9Y31 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y31 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y31 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y31 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y31 IS_PAD 0 SITEPROP TIEOFF_X9Y31 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y31 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y31 IS_RESERVED 0 SITEPROP TIEOFF_X9Y31 IS_TEST 0 SITEPROP TIEOFF_X9Y31 IS_USED 0 SITEPROP TIEOFF_X9Y31 MANUAL_ROUTING SITEPROP TIEOFF_X9Y31 NAME TIEOFF_X9Y31 SITEPROP TIEOFF_X9Y31 NUM_ARCS 0 SITEPROP TIEOFF_X9Y31 NUM_BELS 2 SITEPROP TIEOFF_X9Y31 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y31 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y31 NUM_PINS 2 SITEPROP TIEOFF_X9Y31 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y31 PROHIBIT 0 SITEPROP TIEOFF_X9Y31 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y31 RPM_X 44 SITEPROP TIEOFF_X9Y31 RPM_Y 62 SITEPROP TIEOFF_X9Y31 SITE_PIPS SITEPROP TIEOFF_X9Y31 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y32 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y32 CLASS site SITEPROP TIEOFF_X9Y32 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y32 IS_BONDED 0 SITEPROP TIEOFF_X9Y32 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y32 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y32 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y32 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y32 IS_PAD 0 SITEPROP TIEOFF_X9Y32 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y32 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y32 IS_RESERVED 0 SITEPROP TIEOFF_X9Y32 IS_TEST 0 SITEPROP TIEOFF_X9Y32 IS_USED 0 SITEPROP TIEOFF_X9Y32 MANUAL_ROUTING SITEPROP TIEOFF_X9Y32 NAME TIEOFF_X9Y32 SITEPROP TIEOFF_X9Y32 NUM_ARCS 0 SITEPROP TIEOFF_X9Y32 NUM_BELS 2 SITEPROP TIEOFF_X9Y32 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y32 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y32 NUM_PINS 2 SITEPROP TIEOFF_X9Y32 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y32 PROHIBIT 0 SITEPROP TIEOFF_X9Y32 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y32 RPM_X 44 SITEPROP TIEOFF_X9Y32 RPM_Y 64 SITEPROP TIEOFF_X9Y32 SITE_PIPS SITEPROP TIEOFF_X9Y32 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y33 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y33 CLASS site SITEPROP TIEOFF_X9Y33 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y33 IS_BONDED 0 SITEPROP TIEOFF_X9Y33 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y33 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y33 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y33 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y33 IS_PAD 0 SITEPROP TIEOFF_X9Y33 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y33 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y33 IS_RESERVED 0 SITEPROP TIEOFF_X9Y33 IS_TEST 0 SITEPROP TIEOFF_X9Y33 IS_USED 0 SITEPROP TIEOFF_X9Y33 MANUAL_ROUTING SITEPROP TIEOFF_X9Y33 NAME TIEOFF_X9Y33 SITEPROP TIEOFF_X9Y33 NUM_ARCS 0 SITEPROP TIEOFF_X9Y33 NUM_BELS 2 SITEPROP TIEOFF_X9Y33 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y33 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y33 NUM_PINS 2 SITEPROP TIEOFF_X9Y33 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y33 PROHIBIT 0 SITEPROP TIEOFF_X9Y33 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y33 RPM_X 44 SITEPROP TIEOFF_X9Y33 RPM_Y 66 SITEPROP TIEOFF_X9Y33 SITE_PIPS SITEPROP TIEOFF_X9Y33 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y34 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y34 CLASS site SITEPROP TIEOFF_X9Y34 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y34 IS_BONDED 0 SITEPROP TIEOFF_X9Y34 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y34 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y34 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y34 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y34 IS_PAD 0 SITEPROP TIEOFF_X9Y34 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y34 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y34 IS_RESERVED 0 SITEPROP TIEOFF_X9Y34 IS_TEST 0 SITEPROP TIEOFF_X9Y34 IS_USED 0 SITEPROP TIEOFF_X9Y34 MANUAL_ROUTING SITEPROP TIEOFF_X9Y34 NAME TIEOFF_X9Y34 SITEPROP TIEOFF_X9Y34 NUM_ARCS 0 SITEPROP TIEOFF_X9Y34 NUM_BELS 2 SITEPROP TIEOFF_X9Y34 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y34 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y34 NUM_PINS 2 SITEPROP TIEOFF_X9Y34 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y34 PROHIBIT 0 SITEPROP TIEOFF_X9Y34 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y34 RPM_X 44 SITEPROP TIEOFF_X9Y34 RPM_Y 68 SITEPROP TIEOFF_X9Y34 SITE_PIPS SITEPROP TIEOFF_X9Y34 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y35 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y35 CLASS site SITEPROP TIEOFF_X9Y35 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y35 IS_BONDED 0 SITEPROP TIEOFF_X9Y35 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y35 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y35 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y35 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y35 IS_PAD 0 SITEPROP TIEOFF_X9Y35 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y35 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y35 IS_RESERVED 0 SITEPROP TIEOFF_X9Y35 IS_TEST 0 SITEPROP TIEOFF_X9Y35 IS_USED 0 SITEPROP TIEOFF_X9Y35 MANUAL_ROUTING SITEPROP TIEOFF_X9Y35 NAME TIEOFF_X9Y35 SITEPROP TIEOFF_X9Y35 NUM_ARCS 0 SITEPROP TIEOFF_X9Y35 NUM_BELS 2 SITEPROP TIEOFF_X9Y35 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y35 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y35 NUM_PINS 2 SITEPROP TIEOFF_X9Y35 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y35 PROHIBIT 0 SITEPROP TIEOFF_X9Y35 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y35 RPM_X 44 SITEPROP TIEOFF_X9Y35 RPM_Y 70 SITEPROP TIEOFF_X9Y35 SITE_PIPS SITEPROP TIEOFF_X9Y35 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y36 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y36 CLASS site SITEPROP TIEOFF_X9Y36 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y36 IS_BONDED 0 SITEPROP TIEOFF_X9Y36 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y36 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y36 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y36 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y36 IS_PAD 0 SITEPROP TIEOFF_X9Y36 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y36 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y36 IS_RESERVED 0 SITEPROP TIEOFF_X9Y36 IS_TEST 0 SITEPROP TIEOFF_X9Y36 IS_USED 0 SITEPROP TIEOFF_X9Y36 MANUAL_ROUTING SITEPROP TIEOFF_X9Y36 NAME TIEOFF_X9Y36 SITEPROP TIEOFF_X9Y36 NUM_ARCS 0 SITEPROP TIEOFF_X9Y36 NUM_BELS 2 SITEPROP TIEOFF_X9Y36 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y36 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y36 NUM_PINS 2 SITEPROP TIEOFF_X9Y36 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y36 PROHIBIT 0 SITEPROP TIEOFF_X9Y36 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y36 RPM_X 44 SITEPROP TIEOFF_X9Y36 RPM_Y 72 SITEPROP TIEOFF_X9Y36 SITE_PIPS SITEPROP TIEOFF_X9Y36 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y37 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y37 CLASS site SITEPROP TIEOFF_X9Y37 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y37 IS_BONDED 0 SITEPROP TIEOFF_X9Y37 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y37 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y37 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y37 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y37 IS_PAD 0 SITEPROP TIEOFF_X9Y37 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y37 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y37 IS_RESERVED 0 SITEPROP TIEOFF_X9Y37 IS_TEST 0 SITEPROP TIEOFF_X9Y37 IS_USED 0 SITEPROP TIEOFF_X9Y37 MANUAL_ROUTING SITEPROP TIEOFF_X9Y37 NAME TIEOFF_X9Y37 SITEPROP TIEOFF_X9Y37 NUM_ARCS 0 SITEPROP TIEOFF_X9Y37 NUM_BELS 2 SITEPROP TIEOFF_X9Y37 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y37 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y37 NUM_PINS 2 SITEPROP TIEOFF_X9Y37 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y37 PROHIBIT 0 SITEPROP TIEOFF_X9Y37 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y37 RPM_X 44 SITEPROP TIEOFF_X9Y37 RPM_Y 74 SITEPROP TIEOFF_X9Y37 SITE_PIPS SITEPROP TIEOFF_X9Y37 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y38 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y38 CLASS site SITEPROP TIEOFF_X9Y38 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y38 IS_BONDED 0 SITEPROP TIEOFF_X9Y38 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y38 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y38 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y38 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y38 IS_PAD 0 SITEPROP TIEOFF_X9Y38 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y38 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y38 IS_RESERVED 0 SITEPROP TIEOFF_X9Y38 IS_TEST 0 SITEPROP TIEOFF_X9Y38 IS_USED 0 SITEPROP TIEOFF_X9Y38 MANUAL_ROUTING SITEPROP TIEOFF_X9Y38 NAME TIEOFF_X9Y38 SITEPROP TIEOFF_X9Y38 NUM_ARCS 0 SITEPROP TIEOFF_X9Y38 NUM_BELS 2 SITEPROP TIEOFF_X9Y38 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y38 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y38 NUM_PINS 2 SITEPROP TIEOFF_X9Y38 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y38 PROHIBIT 0 SITEPROP TIEOFF_X9Y38 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y38 RPM_X 44 SITEPROP TIEOFF_X9Y38 RPM_Y 76 SITEPROP TIEOFF_X9Y38 SITE_PIPS SITEPROP TIEOFF_X9Y38 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y39 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y39 CLASS site SITEPROP TIEOFF_X9Y39 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y39 IS_BONDED 0 SITEPROP TIEOFF_X9Y39 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y39 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y39 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y39 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y39 IS_PAD 0 SITEPROP TIEOFF_X9Y39 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y39 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y39 IS_RESERVED 0 SITEPROP TIEOFF_X9Y39 IS_TEST 0 SITEPROP TIEOFF_X9Y39 IS_USED 0 SITEPROP TIEOFF_X9Y39 MANUAL_ROUTING SITEPROP TIEOFF_X9Y39 NAME TIEOFF_X9Y39 SITEPROP TIEOFF_X9Y39 NUM_ARCS 0 SITEPROP TIEOFF_X9Y39 NUM_BELS 2 SITEPROP TIEOFF_X9Y39 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y39 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y39 NUM_PINS 2 SITEPROP TIEOFF_X9Y39 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y39 PROHIBIT 0 SITEPROP TIEOFF_X9Y39 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y39 RPM_X 44 SITEPROP TIEOFF_X9Y39 RPM_Y 78 SITEPROP TIEOFF_X9Y39 SITE_PIPS SITEPROP TIEOFF_X9Y39 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y40 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y40 CLASS site SITEPROP TIEOFF_X9Y40 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y40 IS_BONDED 0 SITEPROP TIEOFF_X9Y40 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y40 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y40 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y40 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y40 IS_PAD 0 SITEPROP TIEOFF_X9Y40 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y40 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y40 IS_RESERVED 0 SITEPROP TIEOFF_X9Y40 IS_TEST 0 SITEPROP TIEOFF_X9Y40 IS_USED 0 SITEPROP TIEOFF_X9Y40 MANUAL_ROUTING SITEPROP TIEOFF_X9Y40 NAME TIEOFF_X9Y40 SITEPROP TIEOFF_X9Y40 NUM_ARCS 0 SITEPROP TIEOFF_X9Y40 NUM_BELS 2 SITEPROP TIEOFF_X9Y40 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y40 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y40 NUM_PINS 2 SITEPROP TIEOFF_X9Y40 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y40 PROHIBIT 0 SITEPROP TIEOFF_X9Y40 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y40 RPM_X 44 SITEPROP TIEOFF_X9Y40 RPM_Y 80 SITEPROP TIEOFF_X9Y40 SITE_PIPS SITEPROP TIEOFF_X9Y40 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y41 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y41 CLASS site SITEPROP TIEOFF_X9Y41 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y41 IS_BONDED 0 SITEPROP TIEOFF_X9Y41 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y41 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y41 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y41 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y41 IS_PAD 0 SITEPROP TIEOFF_X9Y41 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y41 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y41 IS_RESERVED 0 SITEPROP TIEOFF_X9Y41 IS_TEST 0 SITEPROP TIEOFF_X9Y41 IS_USED 0 SITEPROP TIEOFF_X9Y41 MANUAL_ROUTING SITEPROP TIEOFF_X9Y41 NAME TIEOFF_X9Y41 SITEPROP TIEOFF_X9Y41 NUM_ARCS 0 SITEPROP TIEOFF_X9Y41 NUM_BELS 2 SITEPROP TIEOFF_X9Y41 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y41 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y41 NUM_PINS 2 SITEPROP TIEOFF_X9Y41 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y41 PROHIBIT 0 SITEPROP TIEOFF_X9Y41 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y41 RPM_X 44 SITEPROP TIEOFF_X9Y41 RPM_Y 82 SITEPROP TIEOFF_X9Y41 SITE_PIPS SITEPROP TIEOFF_X9Y41 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y42 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y42 CLASS site SITEPROP TIEOFF_X9Y42 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y42 IS_BONDED 0 SITEPROP TIEOFF_X9Y42 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y42 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y42 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y42 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y42 IS_PAD 0 SITEPROP TIEOFF_X9Y42 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y42 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y42 IS_RESERVED 0 SITEPROP TIEOFF_X9Y42 IS_TEST 0 SITEPROP TIEOFF_X9Y42 IS_USED 0 SITEPROP TIEOFF_X9Y42 MANUAL_ROUTING SITEPROP TIEOFF_X9Y42 NAME TIEOFF_X9Y42 SITEPROP TIEOFF_X9Y42 NUM_ARCS 0 SITEPROP TIEOFF_X9Y42 NUM_BELS 2 SITEPROP TIEOFF_X9Y42 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y42 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y42 NUM_PINS 2 SITEPROP TIEOFF_X9Y42 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y42 PROHIBIT 0 SITEPROP TIEOFF_X9Y42 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y42 RPM_X 44 SITEPROP TIEOFF_X9Y42 RPM_Y 84 SITEPROP TIEOFF_X9Y42 SITE_PIPS SITEPROP TIEOFF_X9Y42 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y43 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y43 CLASS site SITEPROP TIEOFF_X9Y43 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y43 IS_BONDED 0 SITEPROP TIEOFF_X9Y43 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y43 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y43 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y43 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y43 IS_PAD 0 SITEPROP TIEOFF_X9Y43 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y43 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y43 IS_RESERVED 0 SITEPROP TIEOFF_X9Y43 IS_TEST 0 SITEPROP TIEOFF_X9Y43 IS_USED 0 SITEPROP TIEOFF_X9Y43 MANUAL_ROUTING SITEPROP TIEOFF_X9Y43 NAME TIEOFF_X9Y43 SITEPROP TIEOFF_X9Y43 NUM_ARCS 0 SITEPROP TIEOFF_X9Y43 NUM_BELS 2 SITEPROP TIEOFF_X9Y43 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y43 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y43 NUM_PINS 2 SITEPROP TIEOFF_X9Y43 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y43 PROHIBIT 0 SITEPROP TIEOFF_X9Y43 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y43 RPM_X 44 SITEPROP TIEOFF_X9Y43 RPM_Y 86 SITEPROP TIEOFF_X9Y43 SITE_PIPS SITEPROP TIEOFF_X9Y43 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y44 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y44 CLASS site SITEPROP TIEOFF_X9Y44 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y44 IS_BONDED 0 SITEPROP TIEOFF_X9Y44 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y44 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y44 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y44 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y44 IS_PAD 0 SITEPROP TIEOFF_X9Y44 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y44 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y44 IS_RESERVED 0 SITEPROP TIEOFF_X9Y44 IS_TEST 0 SITEPROP TIEOFF_X9Y44 IS_USED 0 SITEPROP TIEOFF_X9Y44 MANUAL_ROUTING SITEPROP TIEOFF_X9Y44 NAME TIEOFF_X9Y44 SITEPROP TIEOFF_X9Y44 NUM_ARCS 0 SITEPROP TIEOFF_X9Y44 NUM_BELS 2 SITEPROP TIEOFF_X9Y44 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y44 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y44 NUM_PINS 2 SITEPROP TIEOFF_X9Y44 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y44 PROHIBIT 0 SITEPROP TIEOFF_X9Y44 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y44 RPM_X 44 SITEPROP TIEOFF_X9Y44 RPM_Y 88 SITEPROP TIEOFF_X9Y44 SITE_PIPS SITEPROP TIEOFF_X9Y44 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y45 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y45 CLASS site SITEPROP TIEOFF_X9Y45 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y45 IS_BONDED 0 SITEPROP TIEOFF_X9Y45 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y45 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y45 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y45 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y45 IS_PAD 0 SITEPROP TIEOFF_X9Y45 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y45 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y45 IS_RESERVED 0 SITEPROP TIEOFF_X9Y45 IS_TEST 0 SITEPROP TIEOFF_X9Y45 IS_USED 0 SITEPROP TIEOFF_X9Y45 MANUAL_ROUTING SITEPROP TIEOFF_X9Y45 NAME TIEOFF_X9Y45 SITEPROP TIEOFF_X9Y45 NUM_ARCS 0 SITEPROP TIEOFF_X9Y45 NUM_BELS 2 SITEPROP TIEOFF_X9Y45 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y45 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y45 NUM_PINS 2 SITEPROP TIEOFF_X9Y45 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y45 PROHIBIT 0 SITEPROP TIEOFF_X9Y45 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y45 RPM_X 44 SITEPROP TIEOFF_X9Y45 RPM_Y 90 SITEPROP TIEOFF_X9Y45 SITE_PIPS SITEPROP TIEOFF_X9Y45 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y46 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y46 CLASS site SITEPROP TIEOFF_X9Y46 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y46 IS_BONDED 0 SITEPROP TIEOFF_X9Y46 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y46 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y46 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y46 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y46 IS_PAD 0 SITEPROP TIEOFF_X9Y46 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y46 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y46 IS_RESERVED 0 SITEPROP TIEOFF_X9Y46 IS_TEST 0 SITEPROP TIEOFF_X9Y46 IS_USED 0 SITEPROP TIEOFF_X9Y46 MANUAL_ROUTING SITEPROP TIEOFF_X9Y46 NAME TIEOFF_X9Y46 SITEPROP TIEOFF_X9Y46 NUM_ARCS 0 SITEPROP TIEOFF_X9Y46 NUM_BELS 2 SITEPROP TIEOFF_X9Y46 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y46 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y46 NUM_PINS 2 SITEPROP TIEOFF_X9Y46 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y46 PROHIBIT 0 SITEPROP TIEOFF_X9Y46 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y46 RPM_X 44 SITEPROP TIEOFF_X9Y46 RPM_Y 92 SITEPROP TIEOFF_X9Y46 SITE_PIPS SITEPROP TIEOFF_X9Y46 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y47 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y47 CLASS site SITEPROP TIEOFF_X9Y47 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y47 IS_BONDED 0 SITEPROP TIEOFF_X9Y47 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y47 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y47 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y47 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y47 IS_PAD 0 SITEPROP TIEOFF_X9Y47 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y47 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y47 IS_RESERVED 0 SITEPROP TIEOFF_X9Y47 IS_TEST 0 SITEPROP TIEOFF_X9Y47 IS_USED 0 SITEPROP TIEOFF_X9Y47 MANUAL_ROUTING SITEPROP TIEOFF_X9Y47 NAME TIEOFF_X9Y47 SITEPROP TIEOFF_X9Y47 NUM_ARCS 0 SITEPROP TIEOFF_X9Y47 NUM_BELS 2 SITEPROP TIEOFF_X9Y47 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y47 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y47 NUM_PINS 2 SITEPROP TIEOFF_X9Y47 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y47 PROHIBIT 0 SITEPROP TIEOFF_X9Y47 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y47 RPM_X 44 SITEPROP TIEOFF_X9Y47 RPM_Y 94 SITEPROP TIEOFF_X9Y47 SITE_PIPS SITEPROP TIEOFF_X9Y47 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y48 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y48 CLASS site SITEPROP TIEOFF_X9Y48 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y48 IS_BONDED 0 SITEPROP TIEOFF_X9Y48 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y48 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y48 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y48 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y48 IS_PAD 0 SITEPROP TIEOFF_X9Y48 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y48 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y48 IS_RESERVED 0 SITEPROP TIEOFF_X9Y48 IS_TEST 0 SITEPROP TIEOFF_X9Y48 IS_USED 0 SITEPROP TIEOFF_X9Y48 MANUAL_ROUTING SITEPROP TIEOFF_X9Y48 NAME TIEOFF_X9Y48 SITEPROP TIEOFF_X9Y48 NUM_ARCS 0 SITEPROP TIEOFF_X9Y48 NUM_BELS 2 SITEPROP TIEOFF_X9Y48 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y48 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y48 NUM_PINS 2 SITEPROP TIEOFF_X9Y48 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y48 PROHIBIT 0 SITEPROP TIEOFF_X9Y48 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y48 RPM_X 44 SITEPROP TIEOFF_X9Y48 RPM_Y 96 SITEPROP TIEOFF_X9Y48 SITE_PIPS SITEPROP TIEOFF_X9Y48 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y49 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y49 CLASS site SITEPROP TIEOFF_X9Y49 CLOCK_REGION X0Y0 SITEPROP TIEOFF_X9Y49 IS_BONDED 0 SITEPROP TIEOFF_X9Y49 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y49 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y49 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y49 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y49 IS_PAD 0 SITEPROP TIEOFF_X9Y49 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y49 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y49 IS_RESERVED 0 SITEPROP TIEOFF_X9Y49 IS_TEST 0 SITEPROP TIEOFF_X9Y49 IS_USED 0 SITEPROP TIEOFF_X9Y49 MANUAL_ROUTING SITEPROP TIEOFF_X9Y49 NAME TIEOFF_X9Y49 SITEPROP TIEOFF_X9Y49 NUM_ARCS 0 SITEPROP TIEOFF_X9Y49 NUM_BELS 2 SITEPROP TIEOFF_X9Y49 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y49 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y49 NUM_PINS 2 SITEPROP TIEOFF_X9Y49 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y49 PROHIBIT 0 SITEPROP TIEOFF_X9Y49 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y49 RPM_X 44 SITEPROP TIEOFF_X9Y49 RPM_Y 98 SITEPROP TIEOFF_X9Y49 SITE_PIPS SITEPROP TIEOFF_X9Y49 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y50 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y50 CLASS site SITEPROP TIEOFF_X9Y50 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y50 IS_BONDED 0 SITEPROP TIEOFF_X9Y50 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y50 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y50 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y50 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y50 IS_PAD 0 SITEPROP TIEOFF_X9Y50 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y50 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y50 IS_RESERVED 0 SITEPROP TIEOFF_X9Y50 IS_TEST 0 SITEPROP TIEOFF_X9Y50 IS_USED 0 SITEPROP TIEOFF_X9Y50 MANUAL_ROUTING SITEPROP TIEOFF_X9Y50 NAME TIEOFF_X9Y50 SITEPROP TIEOFF_X9Y50 NUM_ARCS 0 SITEPROP TIEOFF_X9Y50 NUM_BELS 2 SITEPROP TIEOFF_X9Y50 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y50 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y50 NUM_PINS 2 SITEPROP TIEOFF_X9Y50 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y50 PROHIBIT 0 SITEPROP TIEOFF_X9Y50 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y50 RPM_X 44 SITEPROP TIEOFF_X9Y50 RPM_Y 100 SITEPROP TIEOFF_X9Y50 SITE_PIPS SITEPROP TIEOFF_X9Y50 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y51 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y51 CLASS site SITEPROP TIEOFF_X9Y51 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y51 IS_BONDED 0 SITEPROP TIEOFF_X9Y51 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y51 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y51 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y51 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y51 IS_PAD 0 SITEPROP TIEOFF_X9Y51 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y51 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y51 IS_RESERVED 0 SITEPROP TIEOFF_X9Y51 IS_TEST 0 SITEPROP TIEOFF_X9Y51 IS_USED 0 SITEPROP TIEOFF_X9Y51 MANUAL_ROUTING SITEPROP TIEOFF_X9Y51 NAME TIEOFF_X9Y51 SITEPROP TIEOFF_X9Y51 NUM_ARCS 0 SITEPROP TIEOFF_X9Y51 NUM_BELS 2 SITEPROP TIEOFF_X9Y51 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y51 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y51 NUM_PINS 2 SITEPROP TIEOFF_X9Y51 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y51 PROHIBIT 0 SITEPROP TIEOFF_X9Y51 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y51 RPM_X 44 SITEPROP TIEOFF_X9Y51 RPM_Y 102 SITEPROP TIEOFF_X9Y51 SITE_PIPS SITEPROP TIEOFF_X9Y51 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y52 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y52 CLASS site SITEPROP TIEOFF_X9Y52 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y52 IS_BONDED 0 SITEPROP TIEOFF_X9Y52 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y52 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y52 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y52 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y52 IS_PAD 0 SITEPROP TIEOFF_X9Y52 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y52 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y52 IS_RESERVED 0 SITEPROP TIEOFF_X9Y52 IS_TEST 0 SITEPROP TIEOFF_X9Y52 IS_USED 0 SITEPROP TIEOFF_X9Y52 MANUAL_ROUTING SITEPROP TIEOFF_X9Y52 NAME TIEOFF_X9Y52 SITEPROP TIEOFF_X9Y52 NUM_ARCS 0 SITEPROP TIEOFF_X9Y52 NUM_BELS 2 SITEPROP TIEOFF_X9Y52 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y52 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y52 NUM_PINS 2 SITEPROP TIEOFF_X9Y52 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y52 PROHIBIT 0 SITEPROP TIEOFF_X9Y52 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y52 RPM_X 44 SITEPROP TIEOFF_X9Y52 RPM_Y 104 SITEPROP TIEOFF_X9Y52 SITE_PIPS SITEPROP TIEOFF_X9Y52 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y53 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y53 CLASS site SITEPROP TIEOFF_X9Y53 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y53 IS_BONDED 0 SITEPROP TIEOFF_X9Y53 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y53 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y53 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y53 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y53 IS_PAD 0 SITEPROP TIEOFF_X9Y53 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y53 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y53 IS_RESERVED 0 SITEPROP TIEOFF_X9Y53 IS_TEST 0 SITEPROP TIEOFF_X9Y53 IS_USED 0 SITEPROP TIEOFF_X9Y53 MANUAL_ROUTING SITEPROP TIEOFF_X9Y53 NAME TIEOFF_X9Y53 SITEPROP TIEOFF_X9Y53 NUM_ARCS 0 SITEPROP TIEOFF_X9Y53 NUM_BELS 2 SITEPROP TIEOFF_X9Y53 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y53 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y53 NUM_PINS 2 SITEPROP TIEOFF_X9Y53 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y53 PROHIBIT 0 SITEPROP TIEOFF_X9Y53 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y53 RPM_X 44 SITEPROP TIEOFF_X9Y53 RPM_Y 106 SITEPROP TIEOFF_X9Y53 SITE_PIPS SITEPROP TIEOFF_X9Y53 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y54 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y54 CLASS site SITEPROP TIEOFF_X9Y54 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y54 IS_BONDED 0 SITEPROP TIEOFF_X9Y54 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y54 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y54 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y54 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y54 IS_PAD 0 SITEPROP TIEOFF_X9Y54 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y54 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y54 IS_RESERVED 0 SITEPROP TIEOFF_X9Y54 IS_TEST 0 SITEPROP TIEOFF_X9Y54 IS_USED 0 SITEPROP TIEOFF_X9Y54 MANUAL_ROUTING SITEPROP TIEOFF_X9Y54 NAME TIEOFF_X9Y54 SITEPROP TIEOFF_X9Y54 NUM_ARCS 0 SITEPROP TIEOFF_X9Y54 NUM_BELS 2 SITEPROP TIEOFF_X9Y54 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y54 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y54 NUM_PINS 2 SITEPROP TIEOFF_X9Y54 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y54 PROHIBIT 0 SITEPROP TIEOFF_X9Y54 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y54 RPM_X 44 SITEPROP TIEOFF_X9Y54 RPM_Y 108 SITEPROP TIEOFF_X9Y54 SITE_PIPS SITEPROP TIEOFF_X9Y54 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y55 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y55 CLASS site SITEPROP TIEOFF_X9Y55 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y55 IS_BONDED 0 SITEPROP TIEOFF_X9Y55 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y55 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y55 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y55 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y55 IS_PAD 0 SITEPROP TIEOFF_X9Y55 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y55 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y55 IS_RESERVED 0 SITEPROP TIEOFF_X9Y55 IS_TEST 0 SITEPROP TIEOFF_X9Y55 IS_USED 0 SITEPROP TIEOFF_X9Y55 MANUAL_ROUTING SITEPROP TIEOFF_X9Y55 NAME TIEOFF_X9Y55 SITEPROP TIEOFF_X9Y55 NUM_ARCS 0 SITEPROP TIEOFF_X9Y55 NUM_BELS 2 SITEPROP TIEOFF_X9Y55 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y55 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y55 NUM_PINS 2 SITEPROP TIEOFF_X9Y55 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y55 PROHIBIT 0 SITEPROP TIEOFF_X9Y55 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y55 RPM_X 44 SITEPROP TIEOFF_X9Y55 RPM_Y 110 SITEPROP TIEOFF_X9Y55 SITE_PIPS SITEPROP TIEOFF_X9Y55 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y56 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y56 CLASS site SITEPROP TIEOFF_X9Y56 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y56 IS_BONDED 0 SITEPROP TIEOFF_X9Y56 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y56 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y56 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y56 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y56 IS_PAD 0 SITEPROP TIEOFF_X9Y56 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y56 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y56 IS_RESERVED 0 SITEPROP TIEOFF_X9Y56 IS_TEST 0 SITEPROP TIEOFF_X9Y56 IS_USED 0 SITEPROP TIEOFF_X9Y56 MANUAL_ROUTING SITEPROP TIEOFF_X9Y56 NAME TIEOFF_X9Y56 SITEPROP TIEOFF_X9Y56 NUM_ARCS 0 SITEPROP TIEOFF_X9Y56 NUM_BELS 2 SITEPROP TIEOFF_X9Y56 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y56 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y56 NUM_PINS 2 SITEPROP TIEOFF_X9Y56 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y56 PROHIBIT 0 SITEPROP TIEOFF_X9Y56 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y56 RPM_X 44 SITEPROP TIEOFF_X9Y56 RPM_Y 112 SITEPROP TIEOFF_X9Y56 SITE_PIPS SITEPROP TIEOFF_X9Y56 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y57 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y57 CLASS site SITEPROP TIEOFF_X9Y57 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y57 IS_BONDED 0 SITEPROP TIEOFF_X9Y57 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y57 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y57 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y57 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y57 IS_PAD 0 SITEPROP TIEOFF_X9Y57 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y57 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y57 IS_RESERVED 0 SITEPROP TIEOFF_X9Y57 IS_TEST 0 SITEPROP TIEOFF_X9Y57 IS_USED 0 SITEPROP TIEOFF_X9Y57 MANUAL_ROUTING SITEPROP TIEOFF_X9Y57 NAME TIEOFF_X9Y57 SITEPROP TIEOFF_X9Y57 NUM_ARCS 0 SITEPROP TIEOFF_X9Y57 NUM_BELS 2 SITEPROP TIEOFF_X9Y57 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y57 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y57 NUM_PINS 2 SITEPROP TIEOFF_X9Y57 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y57 PROHIBIT 0 SITEPROP TIEOFF_X9Y57 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y57 RPM_X 44 SITEPROP TIEOFF_X9Y57 RPM_Y 114 SITEPROP TIEOFF_X9Y57 SITE_PIPS SITEPROP TIEOFF_X9Y57 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y58 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y58 CLASS site SITEPROP TIEOFF_X9Y58 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y58 IS_BONDED 0 SITEPROP TIEOFF_X9Y58 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y58 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y58 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y58 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y58 IS_PAD 0 SITEPROP TIEOFF_X9Y58 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y58 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y58 IS_RESERVED 0 SITEPROP TIEOFF_X9Y58 IS_TEST 0 SITEPROP TIEOFF_X9Y58 IS_USED 0 SITEPROP TIEOFF_X9Y58 MANUAL_ROUTING SITEPROP TIEOFF_X9Y58 NAME TIEOFF_X9Y58 SITEPROP TIEOFF_X9Y58 NUM_ARCS 0 SITEPROP TIEOFF_X9Y58 NUM_BELS 2 SITEPROP TIEOFF_X9Y58 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y58 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y58 NUM_PINS 2 SITEPROP TIEOFF_X9Y58 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y58 PROHIBIT 0 SITEPROP TIEOFF_X9Y58 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y58 RPM_X 44 SITEPROP TIEOFF_X9Y58 RPM_Y 116 SITEPROP TIEOFF_X9Y58 SITE_PIPS SITEPROP TIEOFF_X9Y58 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y59 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y59 CLASS site SITEPROP TIEOFF_X9Y59 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y59 IS_BONDED 0 SITEPROP TIEOFF_X9Y59 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y59 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y59 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y59 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y59 IS_PAD 0 SITEPROP TIEOFF_X9Y59 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y59 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y59 IS_RESERVED 0 SITEPROP TIEOFF_X9Y59 IS_TEST 0 SITEPROP TIEOFF_X9Y59 IS_USED 0 SITEPROP TIEOFF_X9Y59 MANUAL_ROUTING SITEPROP TIEOFF_X9Y59 NAME TIEOFF_X9Y59 SITEPROP TIEOFF_X9Y59 NUM_ARCS 0 SITEPROP TIEOFF_X9Y59 NUM_BELS 2 SITEPROP TIEOFF_X9Y59 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y59 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y59 NUM_PINS 2 SITEPROP TIEOFF_X9Y59 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y59 PROHIBIT 0 SITEPROP TIEOFF_X9Y59 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y59 RPM_X 44 SITEPROP TIEOFF_X9Y59 RPM_Y 118 SITEPROP TIEOFF_X9Y59 SITE_PIPS SITEPROP TIEOFF_X9Y59 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y60 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y60 CLASS site SITEPROP TIEOFF_X9Y60 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y60 IS_BONDED 0 SITEPROP TIEOFF_X9Y60 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y60 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y60 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y60 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y60 IS_PAD 0 SITEPROP TIEOFF_X9Y60 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y60 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y60 IS_RESERVED 0 SITEPROP TIEOFF_X9Y60 IS_TEST 0 SITEPROP TIEOFF_X9Y60 IS_USED 0 SITEPROP TIEOFF_X9Y60 MANUAL_ROUTING SITEPROP TIEOFF_X9Y60 NAME TIEOFF_X9Y60 SITEPROP TIEOFF_X9Y60 NUM_ARCS 0 SITEPROP TIEOFF_X9Y60 NUM_BELS 2 SITEPROP TIEOFF_X9Y60 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y60 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y60 NUM_PINS 2 SITEPROP TIEOFF_X9Y60 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y60 PROHIBIT 0 SITEPROP TIEOFF_X9Y60 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y60 RPM_X 44 SITEPROP TIEOFF_X9Y60 RPM_Y 120 SITEPROP TIEOFF_X9Y60 SITE_PIPS SITEPROP TIEOFF_X9Y60 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y61 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y61 CLASS site SITEPROP TIEOFF_X9Y61 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y61 IS_BONDED 0 SITEPROP TIEOFF_X9Y61 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y61 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y61 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y61 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y61 IS_PAD 0 SITEPROP TIEOFF_X9Y61 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y61 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y61 IS_RESERVED 0 SITEPROP TIEOFF_X9Y61 IS_TEST 0 SITEPROP TIEOFF_X9Y61 IS_USED 0 SITEPROP TIEOFF_X9Y61 MANUAL_ROUTING SITEPROP TIEOFF_X9Y61 NAME TIEOFF_X9Y61 SITEPROP TIEOFF_X9Y61 NUM_ARCS 0 SITEPROP TIEOFF_X9Y61 NUM_BELS 2 SITEPROP TIEOFF_X9Y61 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y61 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y61 NUM_PINS 2 SITEPROP TIEOFF_X9Y61 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y61 PROHIBIT 0 SITEPROP TIEOFF_X9Y61 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y61 RPM_X 44 SITEPROP TIEOFF_X9Y61 RPM_Y 122 SITEPROP TIEOFF_X9Y61 SITE_PIPS SITEPROP TIEOFF_X9Y61 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y62 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y62 CLASS site SITEPROP TIEOFF_X9Y62 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y62 IS_BONDED 0 SITEPROP TIEOFF_X9Y62 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y62 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y62 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y62 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y62 IS_PAD 0 SITEPROP TIEOFF_X9Y62 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y62 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y62 IS_RESERVED 0 SITEPROP TIEOFF_X9Y62 IS_TEST 0 SITEPROP TIEOFF_X9Y62 IS_USED 0 SITEPROP TIEOFF_X9Y62 MANUAL_ROUTING SITEPROP TIEOFF_X9Y62 NAME TIEOFF_X9Y62 SITEPROP TIEOFF_X9Y62 NUM_ARCS 0 SITEPROP TIEOFF_X9Y62 NUM_BELS 2 SITEPROP TIEOFF_X9Y62 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y62 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y62 NUM_PINS 2 SITEPROP TIEOFF_X9Y62 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y62 PROHIBIT 0 SITEPROP TIEOFF_X9Y62 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y62 RPM_X 44 SITEPROP TIEOFF_X9Y62 RPM_Y 124 SITEPROP TIEOFF_X9Y62 SITE_PIPS SITEPROP TIEOFF_X9Y62 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y63 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y63 CLASS site SITEPROP TIEOFF_X9Y63 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y63 IS_BONDED 0 SITEPROP TIEOFF_X9Y63 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y63 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y63 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y63 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y63 IS_PAD 0 SITEPROP TIEOFF_X9Y63 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y63 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y63 IS_RESERVED 0 SITEPROP TIEOFF_X9Y63 IS_TEST 0 SITEPROP TIEOFF_X9Y63 IS_USED 0 SITEPROP TIEOFF_X9Y63 MANUAL_ROUTING SITEPROP TIEOFF_X9Y63 NAME TIEOFF_X9Y63 SITEPROP TIEOFF_X9Y63 NUM_ARCS 0 SITEPROP TIEOFF_X9Y63 NUM_BELS 2 SITEPROP TIEOFF_X9Y63 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y63 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y63 NUM_PINS 2 SITEPROP TIEOFF_X9Y63 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y63 PROHIBIT 0 SITEPROP TIEOFF_X9Y63 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y63 RPM_X 44 SITEPROP TIEOFF_X9Y63 RPM_Y 126 SITEPROP TIEOFF_X9Y63 SITE_PIPS SITEPROP TIEOFF_X9Y63 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y64 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y64 CLASS site SITEPROP TIEOFF_X9Y64 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y64 IS_BONDED 0 SITEPROP TIEOFF_X9Y64 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y64 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y64 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y64 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y64 IS_PAD 0 SITEPROP TIEOFF_X9Y64 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y64 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y64 IS_RESERVED 0 SITEPROP TIEOFF_X9Y64 IS_TEST 0 SITEPROP TIEOFF_X9Y64 IS_USED 0 SITEPROP TIEOFF_X9Y64 MANUAL_ROUTING SITEPROP TIEOFF_X9Y64 NAME TIEOFF_X9Y64 SITEPROP TIEOFF_X9Y64 NUM_ARCS 0 SITEPROP TIEOFF_X9Y64 NUM_BELS 2 SITEPROP TIEOFF_X9Y64 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y64 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y64 NUM_PINS 2 SITEPROP TIEOFF_X9Y64 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y64 PROHIBIT 0 SITEPROP TIEOFF_X9Y64 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y64 RPM_X 44 SITEPROP TIEOFF_X9Y64 RPM_Y 128 SITEPROP TIEOFF_X9Y64 SITE_PIPS SITEPROP TIEOFF_X9Y64 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y65 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y65 CLASS site SITEPROP TIEOFF_X9Y65 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y65 IS_BONDED 0 SITEPROP TIEOFF_X9Y65 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y65 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y65 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y65 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y65 IS_PAD 0 SITEPROP TIEOFF_X9Y65 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y65 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y65 IS_RESERVED 0 SITEPROP TIEOFF_X9Y65 IS_TEST 0 SITEPROP TIEOFF_X9Y65 IS_USED 0 SITEPROP TIEOFF_X9Y65 MANUAL_ROUTING SITEPROP TIEOFF_X9Y65 NAME TIEOFF_X9Y65 SITEPROP TIEOFF_X9Y65 NUM_ARCS 0 SITEPROP TIEOFF_X9Y65 NUM_BELS 2 SITEPROP TIEOFF_X9Y65 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y65 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y65 NUM_PINS 2 SITEPROP TIEOFF_X9Y65 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y65 PROHIBIT 0 SITEPROP TIEOFF_X9Y65 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y65 RPM_X 44 SITEPROP TIEOFF_X9Y65 RPM_Y 130 SITEPROP TIEOFF_X9Y65 SITE_PIPS SITEPROP TIEOFF_X9Y65 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y66 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y66 CLASS site SITEPROP TIEOFF_X9Y66 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y66 IS_BONDED 0 SITEPROP TIEOFF_X9Y66 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y66 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y66 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y66 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y66 IS_PAD 0 SITEPROP TIEOFF_X9Y66 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y66 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y66 IS_RESERVED 0 SITEPROP TIEOFF_X9Y66 IS_TEST 0 SITEPROP TIEOFF_X9Y66 IS_USED 0 SITEPROP TIEOFF_X9Y66 MANUAL_ROUTING SITEPROP TIEOFF_X9Y66 NAME TIEOFF_X9Y66 SITEPROP TIEOFF_X9Y66 NUM_ARCS 0 SITEPROP TIEOFF_X9Y66 NUM_BELS 2 SITEPROP TIEOFF_X9Y66 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y66 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y66 NUM_PINS 2 SITEPROP TIEOFF_X9Y66 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y66 PROHIBIT 0 SITEPROP TIEOFF_X9Y66 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y66 RPM_X 44 SITEPROP TIEOFF_X9Y66 RPM_Y 132 SITEPROP TIEOFF_X9Y66 SITE_PIPS SITEPROP TIEOFF_X9Y66 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y67 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y67 CLASS site SITEPROP TIEOFF_X9Y67 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y67 IS_BONDED 0 SITEPROP TIEOFF_X9Y67 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y67 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y67 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y67 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y67 IS_PAD 0 SITEPROP TIEOFF_X9Y67 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y67 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y67 IS_RESERVED 0 SITEPROP TIEOFF_X9Y67 IS_TEST 0 SITEPROP TIEOFF_X9Y67 IS_USED 0 SITEPROP TIEOFF_X9Y67 MANUAL_ROUTING SITEPROP TIEOFF_X9Y67 NAME TIEOFF_X9Y67 SITEPROP TIEOFF_X9Y67 NUM_ARCS 0 SITEPROP TIEOFF_X9Y67 NUM_BELS 2 SITEPROP TIEOFF_X9Y67 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y67 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y67 NUM_PINS 2 SITEPROP TIEOFF_X9Y67 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y67 PROHIBIT 0 SITEPROP TIEOFF_X9Y67 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y67 RPM_X 44 SITEPROP TIEOFF_X9Y67 RPM_Y 134 SITEPROP TIEOFF_X9Y67 SITE_PIPS SITEPROP TIEOFF_X9Y67 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y68 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y68 CLASS site SITEPROP TIEOFF_X9Y68 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y68 IS_BONDED 0 SITEPROP TIEOFF_X9Y68 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y68 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y68 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y68 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y68 IS_PAD 0 SITEPROP TIEOFF_X9Y68 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y68 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y68 IS_RESERVED 0 SITEPROP TIEOFF_X9Y68 IS_TEST 0 SITEPROP TIEOFF_X9Y68 IS_USED 0 SITEPROP TIEOFF_X9Y68 MANUAL_ROUTING SITEPROP TIEOFF_X9Y68 NAME TIEOFF_X9Y68 SITEPROP TIEOFF_X9Y68 NUM_ARCS 0 SITEPROP TIEOFF_X9Y68 NUM_BELS 2 SITEPROP TIEOFF_X9Y68 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y68 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y68 NUM_PINS 2 SITEPROP TIEOFF_X9Y68 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y68 PROHIBIT 0 SITEPROP TIEOFF_X9Y68 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y68 RPM_X 44 SITEPROP TIEOFF_X9Y68 RPM_Y 136 SITEPROP TIEOFF_X9Y68 SITE_PIPS SITEPROP TIEOFF_X9Y68 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y69 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y69 CLASS site SITEPROP TIEOFF_X9Y69 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y69 IS_BONDED 0 SITEPROP TIEOFF_X9Y69 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y69 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y69 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y69 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y69 IS_PAD 0 SITEPROP TIEOFF_X9Y69 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y69 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y69 IS_RESERVED 0 SITEPROP TIEOFF_X9Y69 IS_TEST 0 SITEPROP TIEOFF_X9Y69 IS_USED 0 SITEPROP TIEOFF_X9Y69 MANUAL_ROUTING SITEPROP TIEOFF_X9Y69 NAME TIEOFF_X9Y69 SITEPROP TIEOFF_X9Y69 NUM_ARCS 0 SITEPROP TIEOFF_X9Y69 NUM_BELS 2 SITEPROP TIEOFF_X9Y69 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y69 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y69 NUM_PINS 2 SITEPROP TIEOFF_X9Y69 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y69 PROHIBIT 0 SITEPROP TIEOFF_X9Y69 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y69 RPM_X 44 SITEPROP TIEOFF_X9Y69 RPM_Y 138 SITEPROP TIEOFF_X9Y69 SITE_PIPS SITEPROP TIEOFF_X9Y69 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y70 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y70 CLASS site SITEPROP TIEOFF_X9Y70 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y70 IS_BONDED 0 SITEPROP TIEOFF_X9Y70 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y70 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y70 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y70 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y70 IS_PAD 0 SITEPROP TIEOFF_X9Y70 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y70 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y70 IS_RESERVED 0 SITEPROP TIEOFF_X9Y70 IS_TEST 0 SITEPROP TIEOFF_X9Y70 IS_USED 0 SITEPROP TIEOFF_X9Y70 MANUAL_ROUTING SITEPROP TIEOFF_X9Y70 NAME TIEOFF_X9Y70 SITEPROP TIEOFF_X9Y70 NUM_ARCS 0 SITEPROP TIEOFF_X9Y70 NUM_BELS 2 SITEPROP TIEOFF_X9Y70 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y70 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y70 NUM_PINS 2 SITEPROP TIEOFF_X9Y70 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y70 PROHIBIT 0 SITEPROP TIEOFF_X9Y70 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y70 RPM_X 44 SITEPROP TIEOFF_X9Y70 RPM_Y 140 SITEPROP TIEOFF_X9Y70 SITE_PIPS SITEPROP TIEOFF_X9Y70 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y71 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y71 CLASS site SITEPROP TIEOFF_X9Y71 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y71 IS_BONDED 0 SITEPROP TIEOFF_X9Y71 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y71 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y71 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y71 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y71 IS_PAD 0 SITEPROP TIEOFF_X9Y71 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y71 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y71 IS_RESERVED 0 SITEPROP TIEOFF_X9Y71 IS_TEST 0 SITEPROP TIEOFF_X9Y71 IS_USED 0 SITEPROP TIEOFF_X9Y71 MANUAL_ROUTING SITEPROP TIEOFF_X9Y71 NAME TIEOFF_X9Y71 SITEPROP TIEOFF_X9Y71 NUM_ARCS 0 SITEPROP TIEOFF_X9Y71 NUM_BELS 2 SITEPROP TIEOFF_X9Y71 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y71 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y71 NUM_PINS 2 SITEPROP TIEOFF_X9Y71 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y71 PROHIBIT 0 SITEPROP TIEOFF_X9Y71 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y71 RPM_X 44 SITEPROP TIEOFF_X9Y71 RPM_Y 142 SITEPROP TIEOFF_X9Y71 SITE_PIPS SITEPROP TIEOFF_X9Y71 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y72 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y72 CLASS site SITEPROP TIEOFF_X9Y72 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y72 IS_BONDED 0 SITEPROP TIEOFF_X9Y72 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y72 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y72 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y72 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y72 IS_PAD 0 SITEPROP TIEOFF_X9Y72 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y72 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y72 IS_RESERVED 0 SITEPROP TIEOFF_X9Y72 IS_TEST 0 SITEPROP TIEOFF_X9Y72 IS_USED 0 SITEPROP TIEOFF_X9Y72 MANUAL_ROUTING SITEPROP TIEOFF_X9Y72 NAME TIEOFF_X9Y72 SITEPROP TIEOFF_X9Y72 NUM_ARCS 0 SITEPROP TIEOFF_X9Y72 NUM_BELS 2 SITEPROP TIEOFF_X9Y72 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y72 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y72 NUM_PINS 2 SITEPROP TIEOFF_X9Y72 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y72 PROHIBIT 0 SITEPROP TIEOFF_X9Y72 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y72 RPM_X 44 SITEPROP TIEOFF_X9Y72 RPM_Y 144 SITEPROP TIEOFF_X9Y72 SITE_PIPS SITEPROP TIEOFF_X9Y72 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y73 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y73 CLASS site SITEPROP TIEOFF_X9Y73 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y73 IS_BONDED 0 SITEPROP TIEOFF_X9Y73 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y73 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y73 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y73 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y73 IS_PAD 0 SITEPROP TIEOFF_X9Y73 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y73 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y73 IS_RESERVED 0 SITEPROP TIEOFF_X9Y73 IS_TEST 0 SITEPROP TIEOFF_X9Y73 IS_USED 0 SITEPROP TIEOFF_X9Y73 MANUAL_ROUTING SITEPROP TIEOFF_X9Y73 NAME TIEOFF_X9Y73 SITEPROP TIEOFF_X9Y73 NUM_ARCS 0 SITEPROP TIEOFF_X9Y73 NUM_BELS 2 SITEPROP TIEOFF_X9Y73 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y73 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y73 NUM_PINS 2 SITEPROP TIEOFF_X9Y73 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y73 PROHIBIT 0 SITEPROP TIEOFF_X9Y73 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y73 RPM_X 44 SITEPROP TIEOFF_X9Y73 RPM_Y 146 SITEPROP TIEOFF_X9Y73 SITE_PIPS SITEPROP TIEOFF_X9Y73 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y74 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y74 CLASS site SITEPROP TIEOFF_X9Y74 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y74 IS_BONDED 0 SITEPROP TIEOFF_X9Y74 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y74 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y74 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y74 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y74 IS_PAD 0 SITEPROP TIEOFF_X9Y74 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y74 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y74 IS_RESERVED 0 SITEPROP TIEOFF_X9Y74 IS_TEST 0 SITEPROP TIEOFF_X9Y74 IS_USED 0 SITEPROP TIEOFF_X9Y74 MANUAL_ROUTING SITEPROP TIEOFF_X9Y74 NAME TIEOFF_X9Y74 SITEPROP TIEOFF_X9Y74 NUM_ARCS 0 SITEPROP TIEOFF_X9Y74 NUM_BELS 2 SITEPROP TIEOFF_X9Y74 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y74 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y74 NUM_PINS 2 SITEPROP TIEOFF_X9Y74 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y74 PROHIBIT 0 SITEPROP TIEOFF_X9Y74 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y74 RPM_X 44 SITEPROP TIEOFF_X9Y74 RPM_Y 148 SITEPROP TIEOFF_X9Y74 SITE_PIPS SITEPROP TIEOFF_X9Y74 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y75 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y75 CLASS site SITEPROP TIEOFF_X9Y75 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y75 IS_BONDED 0 SITEPROP TIEOFF_X9Y75 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y75 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y75 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y75 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y75 IS_PAD 0 SITEPROP TIEOFF_X9Y75 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y75 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y75 IS_RESERVED 0 SITEPROP TIEOFF_X9Y75 IS_TEST 0 SITEPROP TIEOFF_X9Y75 IS_USED 0 SITEPROP TIEOFF_X9Y75 MANUAL_ROUTING SITEPROP TIEOFF_X9Y75 NAME TIEOFF_X9Y75 SITEPROP TIEOFF_X9Y75 NUM_ARCS 0 SITEPROP TIEOFF_X9Y75 NUM_BELS 2 SITEPROP TIEOFF_X9Y75 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y75 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y75 NUM_PINS 2 SITEPROP TIEOFF_X9Y75 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y75 PROHIBIT 0 SITEPROP TIEOFF_X9Y75 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y75 RPM_X 44 SITEPROP TIEOFF_X9Y75 RPM_Y 150 SITEPROP TIEOFF_X9Y75 SITE_PIPS SITEPROP TIEOFF_X9Y75 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y76 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y76 CLASS site SITEPROP TIEOFF_X9Y76 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y76 IS_BONDED 0 SITEPROP TIEOFF_X9Y76 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y76 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y76 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y76 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y76 IS_PAD 0 SITEPROP TIEOFF_X9Y76 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y76 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y76 IS_RESERVED 0 SITEPROP TIEOFF_X9Y76 IS_TEST 0 SITEPROP TIEOFF_X9Y76 IS_USED 0 SITEPROP TIEOFF_X9Y76 MANUAL_ROUTING SITEPROP TIEOFF_X9Y76 NAME TIEOFF_X9Y76 SITEPROP TIEOFF_X9Y76 NUM_ARCS 0 SITEPROP TIEOFF_X9Y76 NUM_BELS 2 SITEPROP TIEOFF_X9Y76 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y76 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y76 NUM_PINS 2 SITEPROP TIEOFF_X9Y76 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y76 PROHIBIT 0 SITEPROP TIEOFF_X9Y76 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y76 RPM_X 44 SITEPROP TIEOFF_X9Y76 RPM_Y 152 SITEPROP TIEOFF_X9Y76 SITE_PIPS SITEPROP TIEOFF_X9Y76 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y77 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y77 CLASS site SITEPROP TIEOFF_X9Y77 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y77 IS_BONDED 0 SITEPROP TIEOFF_X9Y77 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y77 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y77 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y77 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y77 IS_PAD 0 SITEPROP TIEOFF_X9Y77 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y77 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y77 IS_RESERVED 0 SITEPROP TIEOFF_X9Y77 IS_TEST 0 SITEPROP TIEOFF_X9Y77 IS_USED 0 SITEPROP TIEOFF_X9Y77 MANUAL_ROUTING SITEPROP TIEOFF_X9Y77 NAME TIEOFF_X9Y77 SITEPROP TIEOFF_X9Y77 NUM_ARCS 0 SITEPROP TIEOFF_X9Y77 NUM_BELS 2 SITEPROP TIEOFF_X9Y77 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y77 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y77 NUM_PINS 2 SITEPROP TIEOFF_X9Y77 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y77 PROHIBIT 0 SITEPROP TIEOFF_X9Y77 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y77 RPM_X 44 SITEPROP TIEOFF_X9Y77 RPM_Y 154 SITEPROP TIEOFF_X9Y77 SITE_PIPS SITEPROP TIEOFF_X9Y77 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y78 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y78 CLASS site SITEPROP TIEOFF_X9Y78 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y78 IS_BONDED 0 SITEPROP TIEOFF_X9Y78 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y78 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y78 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y78 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y78 IS_PAD 0 SITEPROP TIEOFF_X9Y78 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y78 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y78 IS_RESERVED 0 SITEPROP TIEOFF_X9Y78 IS_TEST 0 SITEPROP TIEOFF_X9Y78 IS_USED 0 SITEPROP TIEOFF_X9Y78 MANUAL_ROUTING SITEPROP TIEOFF_X9Y78 NAME TIEOFF_X9Y78 SITEPROP TIEOFF_X9Y78 NUM_ARCS 0 SITEPROP TIEOFF_X9Y78 NUM_BELS 2 SITEPROP TIEOFF_X9Y78 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y78 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y78 NUM_PINS 2 SITEPROP TIEOFF_X9Y78 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y78 PROHIBIT 0 SITEPROP TIEOFF_X9Y78 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y78 RPM_X 44 SITEPROP TIEOFF_X9Y78 RPM_Y 156 SITEPROP TIEOFF_X9Y78 SITE_PIPS SITEPROP TIEOFF_X9Y78 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y79 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y79 CLASS site SITEPROP TIEOFF_X9Y79 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y79 IS_BONDED 0 SITEPROP TIEOFF_X9Y79 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y79 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y79 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y79 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y79 IS_PAD 0 SITEPROP TIEOFF_X9Y79 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y79 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y79 IS_RESERVED 0 SITEPROP TIEOFF_X9Y79 IS_TEST 0 SITEPROP TIEOFF_X9Y79 IS_USED 0 SITEPROP TIEOFF_X9Y79 MANUAL_ROUTING SITEPROP TIEOFF_X9Y79 NAME TIEOFF_X9Y79 SITEPROP TIEOFF_X9Y79 NUM_ARCS 0 SITEPROP TIEOFF_X9Y79 NUM_BELS 2 SITEPROP TIEOFF_X9Y79 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y79 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y79 NUM_PINS 2 SITEPROP TIEOFF_X9Y79 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y79 PROHIBIT 0 SITEPROP TIEOFF_X9Y79 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y79 RPM_X 44 SITEPROP TIEOFF_X9Y79 RPM_Y 158 SITEPROP TIEOFF_X9Y79 SITE_PIPS SITEPROP TIEOFF_X9Y79 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y80 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y80 CLASS site SITEPROP TIEOFF_X9Y80 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y80 IS_BONDED 0 SITEPROP TIEOFF_X9Y80 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y80 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y80 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y80 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y80 IS_PAD 0 SITEPROP TIEOFF_X9Y80 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y80 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y80 IS_RESERVED 0 SITEPROP TIEOFF_X9Y80 IS_TEST 0 SITEPROP TIEOFF_X9Y80 IS_USED 0 SITEPROP TIEOFF_X9Y80 MANUAL_ROUTING SITEPROP TIEOFF_X9Y80 NAME TIEOFF_X9Y80 SITEPROP TIEOFF_X9Y80 NUM_ARCS 0 SITEPROP TIEOFF_X9Y80 NUM_BELS 2 SITEPROP TIEOFF_X9Y80 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y80 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y80 NUM_PINS 2 SITEPROP TIEOFF_X9Y80 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y80 PROHIBIT 0 SITEPROP TIEOFF_X9Y80 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y80 RPM_X 44 SITEPROP TIEOFF_X9Y80 RPM_Y 160 SITEPROP TIEOFF_X9Y80 SITE_PIPS SITEPROP TIEOFF_X9Y80 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y81 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y81 CLASS site SITEPROP TIEOFF_X9Y81 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y81 IS_BONDED 0 SITEPROP TIEOFF_X9Y81 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y81 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y81 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y81 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y81 IS_PAD 0 SITEPROP TIEOFF_X9Y81 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y81 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y81 IS_RESERVED 0 SITEPROP TIEOFF_X9Y81 IS_TEST 0 SITEPROP TIEOFF_X9Y81 IS_USED 0 SITEPROP TIEOFF_X9Y81 MANUAL_ROUTING SITEPROP TIEOFF_X9Y81 NAME TIEOFF_X9Y81 SITEPROP TIEOFF_X9Y81 NUM_ARCS 0 SITEPROP TIEOFF_X9Y81 NUM_BELS 2 SITEPROP TIEOFF_X9Y81 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y81 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y81 NUM_PINS 2 SITEPROP TIEOFF_X9Y81 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y81 PROHIBIT 0 SITEPROP TIEOFF_X9Y81 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y81 RPM_X 44 SITEPROP TIEOFF_X9Y81 RPM_Y 162 SITEPROP TIEOFF_X9Y81 SITE_PIPS SITEPROP TIEOFF_X9Y81 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y82 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y82 CLASS site SITEPROP TIEOFF_X9Y82 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y82 IS_BONDED 0 SITEPROP TIEOFF_X9Y82 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y82 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y82 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y82 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y82 IS_PAD 0 SITEPROP TIEOFF_X9Y82 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y82 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y82 IS_RESERVED 0 SITEPROP TIEOFF_X9Y82 IS_TEST 0 SITEPROP TIEOFF_X9Y82 IS_USED 0 SITEPROP TIEOFF_X9Y82 MANUAL_ROUTING SITEPROP TIEOFF_X9Y82 NAME TIEOFF_X9Y82 SITEPROP TIEOFF_X9Y82 NUM_ARCS 0 SITEPROP TIEOFF_X9Y82 NUM_BELS 2 SITEPROP TIEOFF_X9Y82 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y82 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y82 NUM_PINS 2 SITEPROP TIEOFF_X9Y82 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y82 PROHIBIT 0 SITEPROP TIEOFF_X9Y82 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y82 RPM_X 44 SITEPROP TIEOFF_X9Y82 RPM_Y 164 SITEPROP TIEOFF_X9Y82 SITE_PIPS SITEPROP TIEOFF_X9Y82 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y83 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y83 CLASS site SITEPROP TIEOFF_X9Y83 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y83 IS_BONDED 0 SITEPROP TIEOFF_X9Y83 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y83 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y83 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y83 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y83 IS_PAD 0 SITEPROP TIEOFF_X9Y83 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y83 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y83 IS_RESERVED 0 SITEPROP TIEOFF_X9Y83 IS_TEST 0 SITEPROP TIEOFF_X9Y83 IS_USED 0 SITEPROP TIEOFF_X9Y83 MANUAL_ROUTING SITEPROP TIEOFF_X9Y83 NAME TIEOFF_X9Y83 SITEPROP TIEOFF_X9Y83 NUM_ARCS 0 SITEPROP TIEOFF_X9Y83 NUM_BELS 2 SITEPROP TIEOFF_X9Y83 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y83 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y83 NUM_PINS 2 SITEPROP TIEOFF_X9Y83 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y83 PROHIBIT 0 SITEPROP TIEOFF_X9Y83 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y83 RPM_X 44 SITEPROP TIEOFF_X9Y83 RPM_Y 166 SITEPROP TIEOFF_X9Y83 SITE_PIPS SITEPROP TIEOFF_X9Y83 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y84 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y84 CLASS site SITEPROP TIEOFF_X9Y84 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y84 IS_BONDED 0 SITEPROP TIEOFF_X9Y84 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y84 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y84 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y84 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y84 IS_PAD 0 SITEPROP TIEOFF_X9Y84 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y84 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y84 IS_RESERVED 0 SITEPROP TIEOFF_X9Y84 IS_TEST 0 SITEPROP TIEOFF_X9Y84 IS_USED 0 SITEPROP TIEOFF_X9Y84 MANUAL_ROUTING SITEPROP TIEOFF_X9Y84 NAME TIEOFF_X9Y84 SITEPROP TIEOFF_X9Y84 NUM_ARCS 0 SITEPROP TIEOFF_X9Y84 NUM_BELS 2 SITEPROP TIEOFF_X9Y84 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y84 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y84 NUM_PINS 2 SITEPROP TIEOFF_X9Y84 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y84 PROHIBIT 0 SITEPROP TIEOFF_X9Y84 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y84 RPM_X 44 SITEPROP TIEOFF_X9Y84 RPM_Y 168 SITEPROP TIEOFF_X9Y84 SITE_PIPS SITEPROP TIEOFF_X9Y84 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y85 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y85 CLASS site SITEPROP TIEOFF_X9Y85 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y85 IS_BONDED 0 SITEPROP TIEOFF_X9Y85 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y85 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y85 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y85 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y85 IS_PAD 0 SITEPROP TIEOFF_X9Y85 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y85 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y85 IS_RESERVED 0 SITEPROP TIEOFF_X9Y85 IS_TEST 0 SITEPROP TIEOFF_X9Y85 IS_USED 0 SITEPROP TIEOFF_X9Y85 MANUAL_ROUTING SITEPROP TIEOFF_X9Y85 NAME TIEOFF_X9Y85 SITEPROP TIEOFF_X9Y85 NUM_ARCS 0 SITEPROP TIEOFF_X9Y85 NUM_BELS 2 SITEPROP TIEOFF_X9Y85 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y85 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y85 NUM_PINS 2 SITEPROP TIEOFF_X9Y85 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y85 PROHIBIT 0 SITEPROP TIEOFF_X9Y85 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y85 RPM_X 44 SITEPROP TIEOFF_X9Y85 RPM_Y 170 SITEPROP TIEOFF_X9Y85 SITE_PIPS SITEPROP TIEOFF_X9Y85 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y86 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y86 CLASS site SITEPROP TIEOFF_X9Y86 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y86 IS_BONDED 0 SITEPROP TIEOFF_X9Y86 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y86 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y86 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y86 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y86 IS_PAD 0 SITEPROP TIEOFF_X9Y86 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y86 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y86 IS_RESERVED 0 SITEPROP TIEOFF_X9Y86 IS_TEST 0 SITEPROP TIEOFF_X9Y86 IS_USED 0 SITEPROP TIEOFF_X9Y86 MANUAL_ROUTING SITEPROP TIEOFF_X9Y86 NAME TIEOFF_X9Y86 SITEPROP TIEOFF_X9Y86 NUM_ARCS 0 SITEPROP TIEOFF_X9Y86 NUM_BELS 2 SITEPROP TIEOFF_X9Y86 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y86 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y86 NUM_PINS 2 SITEPROP TIEOFF_X9Y86 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y86 PROHIBIT 0 SITEPROP TIEOFF_X9Y86 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y86 RPM_X 44 SITEPROP TIEOFF_X9Y86 RPM_Y 172 SITEPROP TIEOFF_X9Y86 SITE_PIPS SITEPROP TIEOFF_X9Y86 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y87 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y87 CLASS site SITEPROP TIEOFF_X9Y87 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y87 IS_BONDED 0 SITEPROP TIEOFF_X9Y87 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y87 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y87 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y87 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y87 IS_PAD 0 SITEPROP TIEOFF_X9Y87 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y87 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y87 IS_RESERVED 0 SITEPROP TIEOFF_X9Y87 IS_TEST 0 SITEPROP TIEOFF_X9Y87 IS_USED 0 SITEPROP TIEOFF_X9Y87 MANUAL_ROUTING SITEPROP TIEOFF_X9Y87 NAME TIEOFF_X9Y87 SITEPROP TIEOFF_X9Y87 NUM_ARCS 0 SITEPROP TIEOFF_X9Y87 NUM_BELS 2 SITEPROP TIEOFF_X9Y87 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y87 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y87 NUM_PINS 2 SITEPROP TIEOFF_X9Y87 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y87 PROHIBIT 0 SITEPROP TIEOFF_X9Y87 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y87 RPM_X 44 SITEPROP TIEOFF_X9Y87 RPM_Y 174 SITEPROP TIEOFF_X9Y87 SITE_PIPS SITEPROP TIEOFF_X9Y87 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y88 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y88 CLASS site SITEPROP TIEOFF_X9Y88 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y88 IS_BONDED 0 SITEPROP TIEOFF_X9Y88 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y88 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y88 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y88 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y88 IS_PAD 0 SITEPROP TIEOFF_X9Y88 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y88 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y88 IS_RESERVED 0 SITEPROP TIEOFF_X9Y88 IS_TEST 0 SITEPROP TIEOFF_X9Y88 IS_USED 0 SITEPROP TIEOFF_X9Y88 MANUAL_ROUTING SITEPROP TIEOFF_X9Y88 NAME TIEOFF_X9Y88 SITEPROP TIEOFF_X9Y88 NUM_ARCS 0 SITEPROP TIEOFF_X9Y88 NUM_BELS 2 SITEPROP TIEOFF_X9Y88 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y88 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y88 NUM_PINS 2 SITEPROP TIEOFF_X9Y88 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y88 PROHIBIT 0 SITEPROP TIEOFF_X9Y88 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y88 RPM_X 44 SITEPROP TIEOFF_X9Y88 RPM_Y 176 SITEPROP TIEOFF_X9Y88 SITE_PIPS SITEPROP TIEOFF_X9Y88 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y89 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y89 CLASS site SITEPROP TIEOFF_X9Y89 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y89 IS_BONDED 0 SITEPROP TIEOFF_X9Y89 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y89 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y89 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y89 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y89 IS_PAD 0 SITEPROP TIEOFF_X9Y89 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y89 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y89 IS_RESERVED 0 SITEPROP TIEOFF_X9Y89 IS_TEST 0 SITEPROP TIEOFF_X9Y89 IS_USED 0 SITEPROP TIEOFF_X9Y89 MANUAL_ROUTING SITEPROP TIEOFF_X9Y89 NAME TIEOFF_X9Y89 SITEPROP TIEOFF_X9Y89 NUM_ARCS 0 SITEPROP TIEOFF_X9Y89 NUM_BELS 2 SITEPROP TIEOFF_X9Y89 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y89 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y89 NUM_PINS 2 SITEPROP TIEOFF_X9Y89 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y89 PROHIBIT 0 SITEPROP TIEOFF_X9Y89 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y89 RPM_X 44 SITEPROP TIEOFF_X9Y89 RPM_Y 178 SITEPROP TIEOFF_X9Y89 SITE_PIPS SITEPROP TIEOFF_X9Y89 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y90 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y90 CLASS site SITEPROP TIEOFF_X9Y90 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y90 IS_BONDED 0 SITEPROP TIEOFF_X9Y90 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y90 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y90 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y90 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y90 IS_PAD 0 SITEPROP TIEOFF_X9Y90 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y90 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y90 IS_RESERVED 0 SITEPROP TIEOFF_X9Y90 IS_TEST 0 SITEPROP TIEOFF_X9Y90 IS_USED 0 SITEPROP TIEOFF_X9Y90 MANUAL_ROUTING SITEPROP TIEOFF_X9Y90 NAME TIEOFF_X9Y90 SITEPROP TIEOFF_X9Y90 NUM_ARCS 0 SITEPROP TIEOFF_X9Y90 NUM_BELS 2 SITEPROP TIEOFF_X9Y90 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y90 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y90 NUM_PINS 2 SITEPROP TIEOFF_X9Y90 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y90 PROHIBIT 0 SITEPROP TIEOFF_X9Y90 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y90 RPM_X 44 SITEPROP TIEOFF_X9Y90 RPM_Y 180 SITEPROP TIEOFF_X9Y90 SITE_PIPS SITEPROP TIEOFF_X9Y90 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y91 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y91 CLASS site SITEPROP TIEOFF_X9Y91 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y91 IS_BONDED 0 SITEPROP TIEOFF_X9Y91 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y91 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y91 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y91 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y91 IS_PAD 0 SITEPROP TIEOFF_X9Y91 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y91 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y91 IS_RESERVED 0 SITEPROP TIEOFF_X9Y91 IS_TEST 0 SITEPROP TIEOFF_X9Y91 IS_USED 0 SITEPROP TIEOFF_X9Y91 MANUAL_ROUTING SITEPROP TIEOFF_X9Y91 NAME TIEOFF_X9Y91 SITEPROP TIEOFF_X9Y91 NUM_ARCS 0 SITEPROP TIEOFF_X9Y91 NUM_BELS 2 SITEPROP TIEOFF_X9Y91 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y91 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y91 NUM_PINS 2 SITEPROP TIEOFF_X9Y91 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y91 PROHIBIT 0 SITEPROP TIEOFF_X9Y91 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y91 RPM_X 44 SITEPROP TIEOFF_X9Y91 RPM_Y 182 SITEPROP TIEOFF_X9Y91 SITE_PIPS SITEPROP TIEOFF_X9Y91 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y92 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y92 CLASS site SITEPROP TIEOFF_X9Y92 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y92 IS_BONDED 0 SITEPROP TIEOFF_X9Y92 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y92 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y92 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y92 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y92 IS_PAD 0 SITEPROP TIEOFF_X9Y92 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y92 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y92 IS_RESERVED 0 SITEPROP TIEOFF_X9Y92 IS_TEST 0 SITEPROP TIEOFF_X9Y92 IS_USED 0 SITEPROP TIEOFF_X9Y92 MANUAL_ROUTING SITEPROP TIEOFF_X9Y92 NAME TIEOFF_X9Y92 SITEPROP TIEOFF_X9Y92 NUM_ARCS 0 SITEPROP TIEOFF_X9Y92 NUM_BELS 2 SITEPROP TIEOFF_X9Y92 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y92 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y92 NUM_PINS 2 SITEPROP TIEOFF_X9Y92 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y92 PROHIBIT 0 SITEPROP TIEOFF_X9Y92 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y92 RPM_X 44 SITEPROP TIEOFF_X9Y92 RPM_Y 184 SITEPROP TIEOFF_X9Y92 SITE_PIPS SITEPROP TIEOFF_X9Y92 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y93 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y93 CLASS site SITEPROP TIEOFF_X9Y93 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y93 IS_BONDED 0 SITEPROP TIEOFF_X9Y93 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y93 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y93 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y93 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y93 IS_PAD 0 SITEPROP TIEOFF_X9Y93 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y93 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y93 IS_RESERVED 0 SITEPROP TIEOFF_X9Y93 IS_TEST 0 SITEPROP TIEOFF_X9Y93 IS_USED 0 SITEPROP TIEOFF_X9Y93 MANUAL_ROUTING SITEPROP TIEOFF_X9Y93 NAME TIEOFF_X9Y93 SITEPROP TIEOFF_X9Y93 NUM_ARCS 0 SITEPROP TIEOFF_X9Y93 NUM_BELS 2 SITEPROP TIEOFF_X9Y93 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y93 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y93 NUM_PINS 2 SITEPROP TIEOFF_X9Y93 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y93 PROHIBIT 0 SITEPROP TIEOFF_X9Y93 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y93 RPM_X 44 SITEPROP TIEOFF_X9Y93 RPM_Y 186 SITEPROP TIEOFF_X9Y93 SITE_PIPS SITEPROP TIEOFF_X9Y93 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y94 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y94 CLASS site SITEPROP TIEOFF_X9Y94 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y94 IS_BONDED 0 SITEPROP TIEOFF_X9Y94 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y94 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y94 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y94 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y94 IS_PAD 0 SITEPROP TIEOFF_X9Y94 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y94 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y94 IS_RESERVED 0 SITEPROP TIEOFF_X9Y94 IS_TEST 0 SITEPROP TIEOFF_X9Y94 IS_USED 0 SITEPROP TIEOFF_X9Y94 MANUAL_ROUTING SITEPROP TIEOFF_X9Y94 NAME TIEOFF_X9Y94 SITEPROP TIEOFF_X9Y94 NUM_ARCS 0 SITEPROP TIEOFF_X9Y94 NUM_BELS 2 SITEPROP TIEOFF_X9Y94 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y94 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y94 NUM_PINS 2 SITEPROP TIEOFF_X9Y94 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y94 PROHIBIT 0 SITEPROP TIEOFF_X9Y94 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y94 RPM_X 44 SITEPROP TIEOFF_X9Y94 RPM_Y 188 SITEPROP TIEOFF_X9Y94 SITE_PIPS SITEPROP TIEOFF_X9Y94 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y95 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y95 CLASS site SITEPROP TIEOFF_X9Y95 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y95 IS_BONDED 0 SITEPROP TIEOFF_X9Y95 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y95 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y95 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y95 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y95 IS_PAD 0 SITEPROP TIEOFF_X9Y95 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y95 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y95 IS_RESERVED 0 SITEPROP TIEOFF_X9Y95 IS_TEST 0 SITEPROP TIEOFF_X9Y95 IS_USED 0 SITEPROP TIEOFF_X9Y95 MANUAL_ROUTING SITEPROP TIEOFF_X9Y95 NAME TIEOFF_X9Y95 SITEPROP TIEOFF_X9Y95 NUM_ARCS 0 SITEPROP TIEOFF_X9Y95 NUM_BELS 2 SITEPROP TIEOFF_X9Y95 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y95 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y95 NUM_PINS 2 SITEPROP TIEOFF_X9Y95 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y95 PROHIBIT 0 SITEPROP TIEOFF_X9Y95 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y95 RPM_X 44 SITEPROP TIEOFF_X9Y95 RPM_Y 190 SITEPROP TIEOFF_X9Y95 SITE_PIPS SITEPROP TIEOFF_X9Y95 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y96 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y96 CLASS site SITEPROP TIEOFF_X9Y96 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y96 IS_BONDED 0 SITEPROP TIEOFF_X9Y96 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y96 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y96 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y96 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y96 IS_PAD 0 SITEPROP TIEOFF_X9Y96 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y96 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y96 IS_RESERVED 0 SITEPROP TIEOFF_X9Y96 IS_TEST 0 SITEPROP TIEOFF_X9Y96 IS_USED 0 SITEPROP TIEOFF_X9Y96 MANUAL_ROUTING SITEPROP TIEOFF_X9Y96 NAME TIEOFF_X9Y96 SITEPROP TIEOFF_X9Y96 NUM_ARCS 0 SITEPROP TIEOFF_X9Y96 NUM_BELS 2 SITEPROP TIEOFF_X9Y96 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y96 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y96 NUM_PINS 2 SITEPROP TIEOFF_X9Y96 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y96 PROHIBIT 0 SITEPROP TIEOFF_X9Y96 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y96 RPM_X 44 SITEPROP TIEOFF_X9Y96 RPM_Y 192 SITEPROP TIEOFF_X9Y96 SITE_PIPS SITEPROP TIEOFF_X9Y96 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y97 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y97 CLASS site SITEPROP TIEOFF_X9Y97 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y97 IS_BONDED 0 SITEPROP TIEOFF_X9Y97 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y97 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y97 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y97 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y97 IS_PAD 0 SITEPROP TIEOFF_X9Y97 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y97 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y97 IS_RESERVED 0 SITEPROP TIEOFF_X9Y97 IS_TEST 0 SITEPROP TIEOFF_X9Y97 IS_USED 0 SITEPROP TIEOFF_X9Y97 MANUAL_ROUTING SITEPROP TIEOFF_X9Y97 NAME TIEOFF_X9Y97 SITEPROP TIEOFF_X9Y97 NUM_ARCS 0 SITEPROP TIEOFF_X9Y97 NUM_BELS 2 SITEPROP TIEOFF_X9Y97 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y97 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y97 NUM_PINS 2 SITEPROP TIEOFF_X9Y97 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y97 PROHIBIT 0 SITEPROP TIEOFF_X9Y97 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y97 RPM_X 44 SITEPROP TIEOFF_X9Y97 RPM_Y 194 SITEPROP TIEOFF_X9Y97 SITE_PIPS SITEPROP TIEOFF_X9Y97 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y98 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y98 CLASS site SITEPROP TIEOFF_X9Y98 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y98 IS_BONDED 0 SITEPROP TIEOFF_X9Y98 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y98 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y98 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y98 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y98 IS_PAD 0 SITEPROP TIEOFF_X9Y98 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y98 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y98 IS_RESERVED 0 SITEPROP TIEOFF_X9Y98 IS_TEST 0 SITEPROP TIEOFF_X9Y98 IS_USED 0 SITEPROP TIEOFF_X9Y98 MANUAL_ROUTING SITEPROP TIEOFF_X9Y98 NAME TIEOFF_X9Y98 SITEPROP TIEOFF_X9Y98 NUM_ARCS 0 SITEPROP TIEOFF_X9Y98 NUM_BELS 2 SITEPROP TIEOFF_X9Y98 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y98 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y98 NUM_PINS 2 SITEPROP TIEOFF_X9Y98 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y98 PROHIBIT 0 SITEPROP TIEOFF_X9Y98 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y98 RPM_X 44 SITEPROP TIEOFF_X9Y98 RPM_Y 196 SITEPROP TIEOFF_X9Y98 SITE_PIPS SITEPROP TIEOFF_X9Y98 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y99 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y99 CLASS site SITEPROP TIEOFF_X9Y99 CLOCK_REGION X0Y1 SITEPROP TIEOFF_X9Y99 IS_BONDED 0 SITEPROP TIEOFF_X9Y99 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y99 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y99 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y99 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y99 IS_PAD 0 SITEPROP TIEOFF_X9Y99 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y99 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y99 IS_RESERVED 0 SITEPROP TIEOFF_X9Y99 IS_TEST 0 SITEPROP TIEOFF_X9Y99 IS_USED 0 SITEPROP TIEOFF_X9Y99 MANUAL_ROUTING SITEPROP TIEOFF_X9Y99 NAME TIEOFF_X9Y99 SITEPROP TIEOFF_X9Y99 NUM_ARCS 0 SITEPROP TIEOFF_X9Y99 NUM_BELS 2 SITEPROP TIEOFF_X9Y99 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y99 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y99 NUM_PINS 2 SITEPROP TIEOFF_X9Y99 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y99 PROHIBIT 0 SITEPROP TIEOFF_X9Y99 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y99 RPM_X 44 SITEPROP TIEOFF_X9Y99 RPM_Y 198 SITEPROP TIEOFF_X9Y99 SITE_PIPS SITEPROP TIEOFF_X9Y99 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y100 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y100 CLASS site SITEPROP TIEOFF_X9Y100 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y100 IS_BONDED 0 SITEPROP TIEOFF_X9Y100 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y100 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y100 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y100 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y100 IS_PAD 0 SITEPROP TIEOFF_X9Y100 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y100 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y100 IS_RESERVED 0 SITEPROP TIEOFF_X9Y100 IS_TEST 0 SITEPROP TIEOFF_X9Y100 IS_USED 0 SITEPROP TIEOFF_X9Y100 MANUAL_ROUTING SITEPROP TIEOFF_X9Y100 NAME TIEOFF_X9Y100 SITEPROP TIEOFF_X9Y100 NUM_ARCS 0 SITEPROP TIEOFF_X9Y100 NUM_BELS 2 SITEPROP TIEOFF_X9Y100 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y100 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y100 NUM_PINS 2 SITEPROP TIEOFF_X9Y100 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y100 PROHIBIT 0 SITEPROP TIEOFF_X9Y100 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y100 RPM_X 44 SITEPROP TIEOFF_X9Y100 RPM_Y 200 SITEPROP TIEOFF_X9Y100 SITE_PIPS SITEPROP TIEOFF_X9Y100 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y101 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y101 CLASS site SITEPROP TIEOFF_X9Y101 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y101 IS_BONDED 0 SITEPROP TIEOFF_X9Y101 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y101 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y101 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y101 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y101 IS_PAD 0 SITEPROP TIEOFF_X9Y101 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y101 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y101 IS_RESERVED 0 SITEPROP TIEOFF_X9Y101 IS_TEST 0 SITEPROP TIEOFF_X9Y101 IS_USED 0 SITEPROP TIEOFF_X9Y101 MANUAL_ROUTING SITEPROP TIEOFF_X9Y101 NAME TIEOFF_X9Y101 SITEPROP TIEOFF_X9Y101 NUM_ARCS 0 SITEPROP TIEOFF_X9Y101 NUM_BELS 2 SITEPROP TIEOFF_X9Y101 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y101 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y101 NUM_PINS 2 SITEPROP TIEOFF_X9Y101 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y101 PROHIBIT 0 SITEPROP TIEOFF_X9Y101 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y101 RPM_X 44 SITEPROP TIEOFF_X9Y101 RPM_Y 202 SITEPROP TIEOFF_X9Y101 SITE_PIPS SITEPROP TIEOFF_X9Y101 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y102 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y102 CLASS site SITEPROP TIEOFF_X9Y102 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y102 IS_BONDED 0 SITEPROP TIEOFF_X9Y102 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y102 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y102 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y102 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y102 IS_PAD 0 SITEPROP TIEOFF_X9Y102 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y102 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y102 IS_RESERVED 0 SITEPROP TIEOFF_X9Y102 IS_TEST 0 SITEPROP TIEOFF_X9Y102 IS_USED 0 SITEPROP TIEOFF_X9Y102 MANUAL_ROUTING SITEPROP TIEOFF_X9Y102 NAME TIEOFF_X9Y102 SITEPROP TIEOFF_X9Y102 NUM_ARCS 0 SITEPROP TIEOFF_X9Y102 NUM_BELS 2 SITEPROP TIEOFF_X9Y102 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y102 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y102 NUM_PINS 2 SITEPROP TIEOFF_X9Y102 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y102 PROHIBIT 0 SITEPROP TIEOFF_X9Y102 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y102 RPM_X 44 SITEPROP TIEOFF_X9Y102 RPM_Y 204 SITEPROP TIEOFF_X9Y102 SITE_PIPS SITEPROP TIEOFF_X9Y102 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y103 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y103 CLASS site SITEPROP TIEOFF_X9Y103 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y103 IS_BONDED 0 SITEPROP TIEOFF_X9Y103 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y103 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y103 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y103 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y103 IS_PAD 0 SITEPROP TIEOFF_X9Y103 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y103 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y103 IS_RESERVED 0 SITEPROP TIEOFF_X9Y103 IS_TEST 0 SITEPROP TIEOFF_X9Y103 IS_USED 0 SITEPROP TIEOFF_X9Y103 MANUAL_ROUTING SITEPROP TIEOFF_X9Y103 NAME TIEOFF_X9Y103 SITEPROP TIEOFF_X9Y103 NUM_ARCS 0 SITEPROP TIEOFF_X9Y103 NUM_BELS 2 SITEPROP TIEOFF_X9Y103 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y103 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y103 NUM_PINS 2 SITEPROP TIEOFF_X9Y103 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y103 PROHIBIT 0 SITEPROP TIEOFF_X9Y103 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y103 RPM_X 44 SITEPROP TIEOFF_X9Y103 RPM_Y 206 SITEPROP TIEOFF_X9Y103 SITE_PIPS SITEPROP TIEOFF_X9Y103 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y104 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y104 CLASS site SITEPROP TIEOFF_X9Y104 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y104 IS_BONDED 0 SITEPROP TIEOFF_X9Y104 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y104 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y104 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y104 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y104 IS_PAD 0 SITEPROP TIEOFF_X9Y104 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y104 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y104 IS_RESERVED 0 SITEPROP TIEOFF_X9Y104 IS_TEST 0 SITEPROP TIEOFF_X9Y104 IS_USED 0 SITEPROP TIEOFF_X9Y104 MANUAL_ROUTING SITEPROP TIEOFF_X9Y104 NAME TIEOFF_X9Y104 SITEPROP TIEOFF_X9Y104 NUM_ARCS 0 SITEPROP TIEOFF_X9Y104 NUM_BELS 2 SITEPROP TIEOFF_X9Y104 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y104 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y104 NUM_PINS 2 SITEPROP TIEOFF_X9Y104 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y104 PROHIBIT 0 SITEPROP TIEOFF_X9Y104 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y104 RPM_X 44 SITEPROP TIEOFF_X9Y104 RPM_Y 208 SITEPROP TIEOFF_X9Y104 SITE_PIPS SITEPROP TIEOFF_X9Y104 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y105 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y105 CLASS site SITEPROP TIEOFF_X9Y105 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y105 IS_BONDED 0 SITEPROP TIEOFF_X9Y105 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y105 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y105 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y105 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y105 IS_PAD 0 SITEPROP TIEOFF_X9Y105 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y105 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y105 IS_RESERVED 0 SITEPROP TIEOFF_X9Y105 IS_TEST 0 SITEPROP TIEOFF_X9Y105 IS_USED 0 SITEPROP TIEOFF_X9Y105 MANUAL_ROUTING SITEPROP TIEOFF_X9Y105 NAME TIEOFF_X9Y105 SITEPROP TIEOFF_X9Y105 NUM_ARCS 0 SITEPROP TIEOFF_X9Y105 NUM_BELS 2 SITEPROP TIEOFF_X9Y105 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y105 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y105 NUM_PINS 2 SITEPROP TIEOFF_X9Y105 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y105 PROHIBIT 0 SITEPROP TIEOFF_X9Y105 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y105 RPM_X 44 SITEPROP TIEOFF_X9Y105 RPM_Y 210 SITEPROP TIEOFF_X9Y105 SITE_PIPS SITEPROP TIEOFF_X9Y105 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y106 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y106 CLASS site SITEPROP TIEOFF_X9Y106 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y106 IS_BONDED 0 SITEPROP TIEOFF_X9Y106 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y106 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y106 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y106 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y106 IS_PAD 0 SITEPROP TIEOFF_X9Y106 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y106 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y106 IS_RESERVED 0 SITEPROP TIEOFF_X9Y106 IS_TEST 0 SITEPROP TIEOFF_X9Y106 IS_USED 0 SITEPROP TIEOFF_X9Y106 MANUAL_ROUTING SITEPROP TIEOFF_X9Y106 NAME TIEOFF_X9Y106 SITEPROP TIEOFF_X9Y106 NUM_ARCS 0 SITEPROP TIEOFF_X9Y106 NUM_BELS 2 SITEPROP TIEOFF_X9Y106 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y106 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y106 NUM_PINS 2 SITEPROP TIEOFF_X9Y106 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y106 PROHIBIT 0 SITEPROP TIEOFF_X9Y106 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y106 RPM_X 44 SITEPROP TIEOFF_X9Y106 RPM_Y 212 SITEPROP TIEOFF_X9Y106 SITE_PIPS SITEPROP TIEOFF_X9Y106 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y107 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y107 CLASS site SITEPROP TIEOFF_X9Y107 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y107 IS_BONDED 0 SITEPROP TIEOFF_X9Y107 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y107 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y107 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y107 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y107 IS_PAD 0 SITEPROP TIEOFF_X9Y107 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y107 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y107 IS_RESERVED 0 SITEPROP TIEOFF_X9Y107 IS_TEST 0 SITEPROP TIEOFF_X9Y107 IS_USED 0 SITEPROP TIEOFF_X9Y107 MANUAL_ROUTING SITEPROP TIEOFF_X9Y107 NAME TIEOFF_X9Y107 SITEPROP TIEOFF_X9Y107 NUM_ARCS 0 SITEPROP TIEOFF_X9Y107 NUM_BELS 2 SITEPROP TIEOFF_X9Y107 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y107 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y107 NUM_PINS 2 SITEPROP TIEOFF_X9Y107 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y107 PROHIBIT 0 SITEPROP TIEOFF_X9Y107 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y107 RPM_X 44 SITEPROP TIEOFF_X9Y107 RPM_Y 214 SITEPROP TIEOFF_X9Y107 SITE_PIPS SITEPROP TIEOFF_X9Y107 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y108 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y108 CLASS site SITEPROP TIEOFF_X9Y108 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y108 IS_BONDED 0 SITEPROP TIEOFF_X9Y108 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y108 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y108 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y108 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y108 IS_PAD 0 SITEPROP TIEOFF_X9Y108 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y108 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y108 IS_RESERVED 0 SITEPROP TIEOFF_X9Y108 IS_TEST 0 SITEPROP TIEOFF_X9Y108 IS_USED 0 SITEPROP TIEOFF_X9Y108 MANUAL_ROUTING SITEPROP TIEOFF_X9Y108 NAME TIEOFF_X9Y108 SITEPROP TIEOFF_X9Y108 NUM_ARCS 0 SITEPROP TIEOFF_X9Y108 NUM_BELS 2 SITEPROP TIEOFF_X9Y108 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y108 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y108 NUM_PINS 2 SITEPROP TIEOFF_X9Y108 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y108 PROHIBIT 0 SITEPROP TIEOFF_X9Y108 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y108 RPM_X 44 SITEPROP TIEOFF_X9Y108 RPM_Y 216 SITEPROP TIEOFF_X9Y108 SITE_PIPS SITEPROP TIEOFF_X9Y108 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y109 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y109 CLASS site SITEPROP TIEOFF_X9Y109 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y109 IS_BONDED 0 SITEPROP TIEOFF_X9Y109 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y109 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y109 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y109 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y109 IS_PAD 0 SITEPROP TIEOFF_X9Y109 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y109 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y109 IS_RESERVED 0 SITEPROP TIEOFF_X9Y109 IS_TEST 0 SITEPROP TIEOFF_X9Y109 IS_USED 0 SITEPROP TIEOFF_X9Y109 MANUAL_ROUTING SITEPROP TIEOFF_X9Y109 NAME TIEOFF_X9Y109 SITEPROP TIEOFF_X9Y109 NUM_ARCS 0 SITEPROP TIEOFF_X9Y109 NUM_BELS 2 SITEPROP TIEOFF_X9Y109 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y109 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y109 NUM_PINS 2 SITEPROP TIEOFF_X9Y109 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y109 PROHIBIT 0 SITEPROP TIEOFF_X9Y109 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y109 RPM_X 44 SITEPROP TIEOFF_X9Y109 RPM_Y 218 SITEPROP TIEOFF_X9Y109 SITE_PIPS SITEPROP TIEOFF_X9Y109 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y110 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y110 CLASS site SITEPROP TIEOFF_X9Y110 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y110 IS_BONDED 0 SITEPROP TIEOFF_X9Y110 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y110 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y110 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y110 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y110 IS_PAD 0 SITEPROP TIEOFF_X9Y110 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y110 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y110 IS_RESERVED 0 SITEPROP TIEOFF_X9Y110 IS_TEST 0 SITEPROP TIEOFF_X9Y110 IS_USED 0 SITEPROP TIEOFF_X9Y110 MANUAL_ROUTING SITEPROP TIEOFF_X9Y110 NAME TIEOFF_X9Y110 SITEPROP TIEOFF_X9Y110 NUM_ARCS 0 SITEPROP TIEOFF_X9Y110 NUM_BELS 2 SITEPROP TIEOFF_X9Y110 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y110 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y110 NUM_PINS 2 SITEPROP TIEOFF_X9Y110 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y110 PROHIBIT 0 SITEPROP TIEOFF_X9Y110 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y110 RPM_X 44 SITEPROP TIEOFF_X9Y110 RPM_Y 220 SITEPROP TIEOFF_X9Y110 SITE_PIPS SITEPROP TIEOFF_X9Y110 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y111 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y111 CLASS site SITEPROP TIEOFF_X9Y111 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y111 IS_BONDED 0 SITEPROP TIEOFF_X9Y111 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y111 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y111 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y111 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y111 IS_PAD 0 SITEPROP TIEOFF_X9Y111 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y111 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y111 IS_RESERVED 0 SITEPROP TIEOFF_X9Y111 IS_TEST 0 SITEPROP TIEOFF_X9Y111 IS_USED 0 SITEPROP TIEOFF_X9Y111 MANUAL_ROUTING SITEPROP TIEOFF_X9Y111 NAME TIEOFF_X9Y111 SITEPROP TIEOFF_X9Y111 NUM_ARCS 0 SITEPROP TIEOFF_X9Y111 NUM_BELS 2 SITEPROP TIEOFF_X9Y111 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y111 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y111 NUM_PINS 2 SITEPROP TIEOFF_X9Y111 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y111 PROHIBIT 0 SITEPROP TIEOFF_X9Y111 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y111 RPM_X 44 SITEPROP TIEOFF_X9Y111 RPM_Y 222 SITEPROP TIEOFF_X9Y111 SITE_PIPS SITEPROP TIEOFF_X9Y111 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y112 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y112 CLASS site SITEPROP TIEOFF_X9Y112 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y112 IS_BONDED 0 SITEPROP TIEOFF_X9Y112 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y112 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y112 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y112 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y112 IS_PAD 0 SITEPROP TIEOFF_X9Y112 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y112 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y112 IS_RESERVED 0 SITEPROP TIEOFF_X9Y112 IS_TEST 0 SITEPROP TIEOFF_X9Y112 IS_USED 0 SITEPROP TIEOFF_X9Y112 MANUAL_ROUTING SITEPROP TIEOFF_X9Y112 NAME TIEOFF_X9Y112 SITEPROP TIEOFF_X9Y112 NUM_ARCS 0 SITEPROP TIEOFF_X9Y112 NUM_BELS 2 SITEPROP TIEOFF_X9Y112 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y112 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y112 NUM_PINS 2 SITEPROP TIEOFF_X9Y112 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y112 PROHIBIT 0 SITEPROP TIEOFF_X9Y112 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y112 RPM_X 44 SITEPROP TIEOFF_X9Y112 RPM_Y 224 SITEPROP TIEOFF_X9Y112 SITE_PIPS SITEPROP TIEOFF_X9Y112 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y113 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y113 CLASS site SITEPROP TIEOFF_X9Y113 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y113 IS_BONDED 0 SITEPROP TIEOFF_X9Y113 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y113 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y113 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y113 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y113 IS_PAD 0 SITEPROP TIEOFF_X9Y113 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y113 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y113 IS_RESERVED 0 SITEPROP TIEOFF_X9Y113 IS_TEST 0 SITEPROP TIEOFF_X9Y113 IS_USED 0 SITEPROP TIEOFF_X9Y113 MANUAL_ROUTING SITEPROP TIEOFF_X9Y113 NAME TIEOFF_X9Y113 SITEPROP TIEOFF_X9Y113 NUM_ARCS 0 SITEPROP TIEOFF_X9Y113 NUM_BELS 2 SITEPROP TIEOFF_X9Y113 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y113 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y113 NUM_PINS 2 SITEPROP TIEOFF_X9Y113 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y113 PROHIBIT 0 SITEPROP TIEOFF_X9Y113 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y113 RPM_X 44 SITEPROP TIEOFF_X9Y113 RPM_Y 226 SITEPROP TIEOFF_X9Y113 SITE_PIPS SITEPROP TIEOFF_X9Y113 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y114 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y114 CLASS site SITEPROP TIEOFF_X9Y114 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y114 IS_BONDED 0 SITEPROP TIEOFF_X9Y114 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y114 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y114 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y114 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y114 IS_PAD 0 SITEPROP TIEOFF_X9Y114 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y114 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y114 IS_RESERVED 0 SITEPROP TIEOFF_X9Y114 IS_TEST 0 SITEPROP TIEOFF_X9Y114 IS_USED 0 SITEPROP TIEOFF_X9Y114 MANUAL_ROUTING SITEPROP TIEOFF_X9Y114 NAME TIEOFF_X9Y114 SITEPROP TIEOFF_X9Y114 NUM_ARCS 0 SITEPROP TIEOFF_X9Y114 NUM_BELS 2 SITEPROP TIEOFF_X9Y114 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y114 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y114 NUM_PINS 2 SITEPROP TIEOFF_X9Y114 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y114 PROHIBIT 0 SITEPROP TIEOFF_X9Y114 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y114 RPM_X 44 SITEPROP TIEOFF_X9Y114 RPM_Y 228 SITEPROP TIEOFF_X9Y114 SITE_PIPS SITEPROP TIEOFF_X9Y114 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y115 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y115 CLASS site SITEPROP TIEOFF_X9Y115 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y115 IS_BONDED 0 SITEPROP TIEOFF_X9Y115 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y115 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y115 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y115 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y115 IS_PAD 0 SITEPROP TIEOFF_X9Y115 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y115 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y115 IS_RESERVED 0 SITEPROP TIEOFF_X9Y115 IS_TEST 0 SITEPROP TIEOFF_X9Y115 IS_USED 0 SITEPROP TIEOFF_X9Y115 MANUAL_ROUTING SITEPROP TIEOFF_X9Y115 NAME TIEOFF_X9Y115 SITEPROP TIEOFF_X9Y115 NUM_ARCS 0 SITEPROP TIEOFF_X9Y115 NUM_BELS 2 SITEPROP TIEOFF_X9Y115 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y115 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y115 NUM_PINS 2 SITEPROP TIEOFF_X9Y115 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y115 PROHIBIT 0 SITEPROP TIEOFF_X9Y115 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y115 RPM_X 44 SITEPROP TIEOFF_X9Y115 RPM_Y 230 SITEPROP TIEOFF_X9Y115 SITE_PIPS SITEPROP TIEOFF_X9Y115 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y116 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y116 CLASS site SITEPROP TIEOFF_X9Y116 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y116 IS_BONDED 0 SITEPROP TIEOFF_X9Y116 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y116 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y116 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y116 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y116 IS_PAD 0 SITEPROP TIEOFF_X9Y116 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y116 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y116 IS_RESERVED 0 SITEPROP TIEOFF_X9Y116 IS_TEST 0 SITEPROP TIEOFF_X9Y116 IS_USED 0 SITEPROP TIEOFF_X9Y116 MANUAL_ROUTING SITEPROP TIEOFF_X9Y116 NAME TIEOFF_X9Y116 SITEPROP TIEOFF_X9Y116 NUM_ARCS 0 SITEPROP TIEOFF_X9Y116 NUM_BELS 2 SITEPROP TIEOFF_X9Y116 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y116 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y116 NUM_PINS 2 SITEPROP TIEOFF_X9Y116 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y116 PROHIBIT 0 SITEPROP TIEOFF_X9Y116 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y116 RPM_X 44 SITEPROP TIEOFF_X9Y116 RPM_Y 232 SITEPROP TIEOFF_X9Y116 SITE_PIPS SITEPROP TIEOFF_X9Y116 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y117 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y117 CLASS site SITEPROP TIEOFF_X9Y117 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y117 IS_BONDED 0 SITEPROP TIEOFF_X9Y117 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y117 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y117 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y117 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y117 IS_PAD 0 SITEPROP TIEOFF_X9Y117 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y117 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y117 IS_RESERVED 0 SITEPROP TIEOFF_X9Y117 IS_TEST 0 SITEPROP TIEOFF_X9Y117 IS_USED 0 SITEPROP TIEOFF_X9Y117 MANUAL_ROUTING SITEPROP TIEOFF_X9Y117 NAME TIEOFF_X9Y117 SITEPROP TIEOFF_X9Y117 NUM_ARCS 0 SITEPROP TIEOFF_X9Y117 NUM_BELS 2 SITEPROP TIEOFF_X9Y117 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y117 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y117 NUM_PINS 2 SITEPROP TIEOFF_X9Y117 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y117 PROHIBIT 0 SITEPROP TIEOFF_X9Y117 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y117 RPM_X 44 SITEPROP TIEOFF_X9Y117 RPM_Y 234 SITEPROP TIEOFF_X9Y117 SITE_PIPS SITEPROP TIEOFF_X9Y117 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y118 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y118 CLASS site SITEPROP TIEOFF_X9Y118 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y118 IS_BONDED 0 SITEPROP TIEOFF_X9Y118 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y118 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y118 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y118 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y118 IS_PAD 0 SITEPROP TIEOFF_X9Y118 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y118 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y118 IS_RESERVED 0 SITEPROP TIEOFF_X9Y118 IS_TEST 0 SITEPROP TIEOFF_X9Y118 IS_USED 0 SITEPROP TIEOFF_X9Y118 MANUAL_ROUTING SITEPROP TIEOFF_X9Y118 NAME TIEOFF_X9Y118 SITEPROP TIEOFF_X9Y118 NUM_ARCS 0 SITEPROP TIEOFF_X9Y118 NUM_BELS 2 SITEPROP TIEOFF_X9Y118 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y118 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y118 NUM_PINS 2 SITEPROP TIEOFF_X9Y118 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y118 PROHIBIT 0 SITEPROP TIEOFF_X9Y118 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y118 RPM_X 44 SITEPROP TIEOFF_X9Y118 RPM_Y 236 SITEPROP TIEOFF_X9Y118 SITE_PIPS SITEPROP TIEOFF_X9Y118 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y119 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y119 CLASS site SITEPROP TIEOFF_X9Y119 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y119 IS_BONDED 0 SITEPROP TIEOFF_X9Y119 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y119 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y119 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y119 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y119 IS_PAD 0 SITEPROP TIEOFF_X9Y119 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y119 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y119 IS_RESERVED 0 SITEPROP TIEOFF_X9Y119 IS_TEST 0 SITEPROP TIEOFF_X9Y119 IS_USED 0 SITEPROP TIEOFF_X9Y119 MANUAL_ROUTING SITEPROP TIEOFF_X9Y119 NAME TIEOFF_X9Y119 SITEPROP TIEOFF_X9Y119 NUM_ARCS 0 SITEPROP TIEOFF_X9Y119 NUM_BELS 2 SITEPROP TIEOFF_X9Y119 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y119 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y119 NUM_PINS 2 SITEPROP TIEOFF_X9Y119 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y119 PROHIBIT 0 SITEPROP TIEOFF_X9Y119 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y119 RPM_X 44 SITEPROP TIEOFF_X9Y119 RPM_Y 238 SITEPROP TIEOFF_X9Y119 SITE_PIPS SITEPROP TIEOFF_X9Y119 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y120 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y120 CLASS site SITEPROP TIEOFF_X9Y120 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y120 IS_BONDED 0 SITEPROP TIEOFF_X9Y120 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y120 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y120 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y120 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y120 IS_PAD 0 SITEPROP TIEOFF_X9Y120 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y120 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y120 IS_RESERVED 0 SITEPROP TIEOFF_X9Y120 IS_TEST 0 SITEPROP TIEOFF_X9Y120 IS_USED 0 SITEPROP TIEOFF_X9Y120 MANUAL_ROUTING SITEPROP TIEOFF_X9Y120 NAME TIEOFF_X9Y120 SITEPROP TIEOFF_X9Y120 NUM_ARCS 0 SITEPROP TIEOFF_X9Y120 NUM_BELS 2 SITEPROP TIEOFF_X9Y120 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y120 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y120 NUM_PINS 2 SITEPROP TIEOFF_X9Y120 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y120 PROHIBIT 0 SITEPROP TIEOFF_X9Y120 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y120 RPM_X 44 SITEPROP TIEOFF_X9Y120 RPM_Y 240 SITEPROP TIEOFF_X9Y120 SITE_PIPS SITEPROP TIEOFF_X9Y120 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y121 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y121 CLASS site SITEPROP TIEOFF_X9Y121 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y121 IS_BONDED 0 SITEPROP TIEOFF_X9Y121 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y121 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y121 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y121 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y121 IS_PAD 0 SITEPROP TIEOFF_X9Y121 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y121 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y121 IS_RESERVED 0 SITEPROP TIEOFF_X9Y121 IS_TEST 0 SITEPROP TIEOFF_X9Y121 IS_USED 0 SITEPROP TIEOFF_X9Y121 MANUAL_ROUTING SITEPROP TIEOFF_X9Y121 NAME TIEOFF_X9Y121 SITEPROP TIEOFF_X9Y121 NUM_ARCS 0 SITEPROP TIEOFF_X9Y121 NUM_BELS 2 SITEPROP TIEOFF_X9Y121 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y121 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y121 NUM_PINS 2 SITEPROP TIEOFF_X9Y121 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y121 PROHIBIT 0 SITEPROP TIEOFF_X9Y121 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y121 RPM_X 44 SITEPROP TIEOFF_X9Y121 RPM_Y 242 SITEPROP TIEOFF_X9Y121 SITE_PIPS SITEPROP TIEOFF_X9Y121 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y122 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y122 CLASS site SITEPROP TIEOFF_X9Y122 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y122 IS_BONDED 0 SITEPROP TIEOFF_X9Y122 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y122 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y122 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y122 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y122 IS_PAD 0 SITEPROP TIEOFF_X9Y122 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y122 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y122 IS_RESERVED 0 SITEPROP TIEOFF_X9Y122 IS_TEST 0 SITEPROP TIEOFF_X9Y122 IS_USED 0 SITEPROP TIEOFF_X9Y122 MANUAL_ROUTING SITEPROP TIEOFF_X9Y122 NAME TIEOFF_X9Y122 SITEPROP TIEOFF_X9Y122 NUM_ARCS 0 SITEPROP TIEOFF_X9Y122 NUM_BELS 2 SITEPROP TIEOFF_X9Y122 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y122 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y122 NUM_PINS 2 SITEPROP TIEOFF_X9Y122 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y122 PROHIBIT 0 SITEPROP TIEOFF_X9Y122 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y122 RPM_X 44 SITEPROP TIEOFF_X9Y122 RPM_Y 244 SITEPROP TIEOFF_X9Y122 SITE_PIPS SITEPROP TIEOFF_X9Y122 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y123 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y123 CLASS site SITEPROP TIEOFF_X9Y123 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y123 IS_BONDED 0 SITEPROP TIEOFF_X9Y123 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y123 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y123 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y123 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y123 IS_PAD 0 SITEPROP TIEOFF_X9Y123 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y123 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y123 IS_RESERVED 0 SITEPROP TIEOFF_X9Y123 IS_TEST 0 SITEPROP TIEOFF_X9Y123 IS_USED 0 SITEPROP TIEOFF_X9Y123 MANUAL_ROUTING SITEPROP TIEOFF_X9Y123 NAME TIEOFF_X9Y123 SITEPROP TIEOFF_X9Y123 NUM_ARCS 0 SITEPROP TIEOFF_X9Y123 NUM_BELS 2 SITEPROP TIEOFF_X9Y123 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y123 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y123 NUM_PINS 2 SITEPROP TIEOFF_X9Y123 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y123 PROHIBIT 0 SITEPROP TIEOFF_X9Y123 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y123 RPM_X 44 SITEPROP TIEOFF_X9Y123 RPM_Y 246 SITEPROP TIEOFF_X9Y123 SITE_PIPS SITEPROP TIEOFF_X9Y123 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y124 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y124 CLASS site SITEPROP TIEOFF_X9Y124 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y124 IS_BONDED 0 SITEPROP TIEOFF_X9Y124 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y124 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y124 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y124 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y124 IS_PAD 0 SITEPROP TIEOFF_X9Y124 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y124 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y124 IS_RESERVED 0 SITEPROP TIEOFF_X9Y124 IS_TEST 0 SITEPROP TIEOFF_X9Y124 IS_USED 0 SITEPROP TIEOFF_X9Y124 MANUAL_ROUTING SITEPROP TIEOFF_X9Y124 NAME TIEOFF_X9Y124 SITEPROP TIEOFF_X9Y124 NUM_ARCS 0 SITEPROP TIEOFF_X9Y124 NUM_BELS 2 SITEPROP TIEOFF_X9Y124 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y124 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y124 NUM_PINS 2 SITEPROP TIEOFF_X9Y124 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y124 PROHIBIT 0 SITEPROP TIEOFF_X9Y124 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y124 RPM_X 44 SITEPROP TIEOFF_X9Y124 RPM_Y 248 SITEPROP TIEOFF_X9Y124 SITE_PIPS SITEPROP TIEOFF_X9Y124 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y125 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y125 CLASS site SITEPROP TIEOFF_X9Y125 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y125 IS_BONDED 0 SITEPROP TIEOFF_X9Y125 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y125 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y125 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y125 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y125 IS_PAD 0 SITEPROP TIEOFF_X9Y125 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y125 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y125 IS_RESERVED 0 SITEPROP TIEOFF_X9Y125 IS_TEST 0 SITEPROP TIEOFF_X9Y125 IS_USED 0 SITEPROP TIEOFF_X9Y125 MANUAL_ROUTING SITEPROP TIEOFF_X9Y125 NAME TIEOFF_X9Y125 SITEPROP TIEOFF_X9Y125 NUM_ARCS 0 SITEPROP TIEOFF_X9Y125 NUM_BELS 2 SITEPROP TIEOFF_X9Y125 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y125 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y125 NUM_PINS 2 SITEPROP TIEOFF_X9Y125 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y125 PROHIBIT 0 SITEPROP TIEOFF_X9Y125 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y125 RPM_X 44 SITEPROP TIEOFF_X9Y125 RPM_Y 250 SITEPROP TIEOFF_X9Y125 SITE_PIPS SITEPROP TIEOFF_X9Y125 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y126 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y126 CLASS site SITEPROP TIEOFF_X9Y126 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y126 IS_BONDED 0 SITEPROP TIEOFF_X9Y126 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y126 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y126 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y126 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y126 IS_PAD 0 SITEPROP TIEOFF_X9Y126 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y126 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y126 IS_RESERVED 0 SITEPROP TIEOFF_X9Y126 IS_TEST 0 SITEPROP TIEOFF_X9Y126 IS_USED 0 SITEPROP TIEOFF_X9Y126 MANUAL_ROUTING SITEPROP TIEOFF_X9Y126 NAME TIEOFF_X9Y126 SITEPROP TIEOFF_X9Y126 NUM_ARCS 0 SITEPROP TIEOFF_X9Y126 NUM_BELS 2 SITEPROP TIEOFF_X9Y126 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y126 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y126 NUM_PINS 2 SITEPROP TIEOFF_X9Y126 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y126 PROHIBIT 0 SITEPROP TIEOFF_X9Y126 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y126 RPM_X 44 SITEPROP TIEOFF_X9Y126 RPM_Y 252 SITEPROP TIEOFF_X9Y126 SITE_PIPS SITEPROP TIEOFF_X9Y126 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y127 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y127 CLASS site SITEPROP TIEOFF_X9Y127 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y127 IS_BONDED 0 SITEPROP TIEOFF_X9Y127 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y127 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y127 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y127 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y127 IS_PAD 0 SITEPROP TIEOFF_X9Y127 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y127 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y127 IS_RESERVED 0 SITEPROP TIEOFF_X9Y127 IS_TEST 0 SITEPROP TIEOFF_X9Y127 IS_USED 0 SITEPROP TIEOFF_X9Y127 MANUAL_ROUTING SITEPROP TIEOFF_X9Y127 NAME TIEOFF_X9Y127 SITEPROP TIEOFF_X9Y127 NUM_ARCS 0 SITEPROP TIEOFF_X9Y127 NUM_BELS 2 SITEPROP TIEOFF_X9Y127 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y127 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y127 NUM_PINS 2 SITEPROP TIEOFF_X9Y127 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y127 PROHIBIT 0 SITEPROP TIEOFF_X9Y127 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y127 RPM_X 44 SITEPROP TIEOFF_X9Y127 RPM_Y 254 SITEPROP TIEOFF_X9Y127 SITE_PIPS SITEPROP TIEOFF_X9Y127 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y128 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y128 CLASS site SITEPROP TIEOFF_X9Y128 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y128 IS_BONDED 0 SITEPROP TIEOFF_X9Y128 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y128 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y128 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y128 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y128 IS_PAD 0 SITEPROP TIEOFF_X9Y128 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y128 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y128 IS_RESERVED 0 SITEPROP TIEOFF_X9Y128 IS_TEST 0 SITEPROP TIEOFF_X9Y128 IS_USED 0 SITEPROP TIEOFF_X9Y128 MANUAL_ROUTING SITEPROP TIEOFF_X9Y128 NAME TIEOFF_X9Y128 SITEPROP TIEOFF_X9Y128 NUM_ARCS 0 SITEPROP TIEOFF_X9Y128 NUM_BELS 2 SITEPROP TIEOFF_X9Y128 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y128 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y128 NUM_PINS 2 SITEPROP TIEOFF_X9Y128 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y128 PROHIBIT 0 SITEPROP TIEOFF_X9Y128 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y128 RPM_X 44 SITEPROP TIEOFF_X9Y128 RPM_Y 256 SITEPROP TIEOFF_X9Y128 SITE_PIPS SITEPROP TIEOFF_X9Y128 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y129 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y129 CLASS site SITEPROP TIEOFF_X9Y129 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y129 IS_BONDED 0 SITEPROP TIEOFF_X9Y129 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y129 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y129 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y129 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y129 IS_PAD 0 SITEPROP TIEOFF_X9Y129 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y129 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y129 IS_RESERVED 0 SITEPROP TIEOFF_X9Y129 IS_TEST 0 SITEPROP TIEOFF_X9Y129 IS_USED 0 SITEPROP TIEOFF_X9Y129 MANUAL_ROUTING SITEPROP TIEOFF_X9Y129 NAME TIEOFF_X9Y129 SITEPROP TIEOFF_X9Y129 NUM_ARCS 0 SITEPROP TIEOFF_X9Y129 NUM_BELS 2 SITEPROP TIEOFF_X9Y129 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y129 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y129 NUM_PINS 2 SITEPROP TIEOFF_X9Y129 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y129 PROHIBIT 0 SITEPROP TIEOFF_X9Y129 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y129 RPM_X 44 SITEPROP TIEOFF_X9Y129 RPM_Y 258 SITEPROP TIEOFF_X9Y129 SITE_PIPS SITEPROP TIEOFF_X9Y129 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y130 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y130 CLASS site SITEPROP TIEOFF_X9Y130 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y130 IS_BONDED 0 SITEPROP TIEOFF_X9Y130 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y130 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y130 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y130 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y130 IS_PAD 0 SITEPROP TIEOFF_X9Y130 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y130 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y130 IS_RESERVED 0 SITEPROP TIEOFF_X9Y130 IS_TEST 0 SITEPROP TIEOFF_X9Y130 IS_USED 0 SITEPROP TIEOFF_X9Y130 MANUAL_ROUTING SITEPROP TIEOFF_X9Y130 NAME TIEOFF_X9Y130 SITEPROP TIEOFF_X9Y130 NUM_ARCS 0 SITEPROP TIEOFF_X9Y130 NUM_BELS 2 SITEPROP TIEOFF_X9Y130 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y130 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y130 NUM_PINS 2 SITEPROP TIEOFF_X9Y130 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y130 PROHIBIT 0 SITEPROP TIEOFF_X9Y130 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y130 RPM_X 44 SITEPROP TIEOFF_X9Y130 RPM_Y 260 SITEPROP TIEOFF_X9Y130 SITE_PIPS SITEPROP TIEOFF_X9Y130 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y131 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y131 CLASS site SITEPROP TIEOFF_X9Y131 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y131 IS_BONDED 0 SITEPROP TIEOFF_X9Y131 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y131 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y131 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y131 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y131 IS_PAD 0 SITEPROP TIEOFF_X9Y131 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y131 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y131 IS_RESERVED 0 SITEPROP TIEOFF_X9Y131 IS_TEST 0 SITEPROP TIEOFF_X9Y131 IS_USED 0 SITEPROP TIEOFF_X9Y131 MANUAL_ROUTING SITEPROP TIEOFF_X9Y131 NAME TIEOFF_X9Y131 SITEPROP TIEOFF_X9Y131 NUM_ARCS 0 SITEPROP TIEOFF_X9Y131 NUM_BELS 2 SITEPROP TIEOFF_X9Y131 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y131 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y131 NUM_PINS 2 SITEPROP TIEOFF_X9Y131 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y131 PROHIBIT 0 SITEPROP TIEOFF_X9Y131 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y131 RPM_X 44 SITEPROP TIEOFF_X9Y131 RPM_Y 262 SITEPROP TIEOFF_X9Y131 SITE_PIPS SITEPROP TIEOFF_X9Y131 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y132 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y132 CLASS site SITEPROP TIEOFF_X9Y132 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y132 IS_BONDED 0 SITEPROP TIEOFF_X9Y132 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y132 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y132 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y132 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y132 IS_PAD 0 SITEPROP TIEOFF_X9Y132 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y132 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y132 IS_RESERVED 0 SITEPROP TIEOFF_X9Y132 IS_TEST 0 SITEPROP TIEOFF_X9Y132 IS_USED 0 SITEPROP TIEOFF_X9Y132 MANUAL_ROUTING SITEPROP TIEOFF_X9Y132 NAME TIEOFF_X9Y132 SITEPROP TIEOFF_X9Y132 NUM_ARCS 0 SITEPROP TIEOFF_X9Y132 NUM_BELS 2 SITEPROP TIEOFF_X9Y132 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y132 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y132 NUM_PINS 2 SITEPROP TIEOFF_X9Y132 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y132 PROHIBIT 0 SITEPROP TIEOFF_X9Y132 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y132 RPM_X 44 SITEPROP TIEOFF_X9Y132 RPM_Y 264 SITEPROP TIEOFF_X9Y132 SITE_PIPS SITEPROP TIEOFF_X9Y132 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y133 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y133 CLASS site SITEPROP TIEOFF_X9Y133 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y133 IS_BONDED 0 SITEPROP TIEOFF_X9Y133 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y133 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y133 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y133 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y133 IS_PAD 0 SITEPROP TIEOFF_X9Y133 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y133 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y133 IS_RESERVED 0 SITEPROP TIEOFF_X9Y133 IS_TEST 0 SITEPROP TIEOFF_X9Y133 IS_USED 0 SITEPROP TIEOFF_X9Y133 MANUAL_ROUTING SITEPROP TIEOFF_X9Y133 NAME TIEOFF_X9Y133 SITEPROP TIEOFF_X9Y133 NUM_ARCS 0 SITEPROP TIEOFF_X9Y133 NUM_BELS 2 SITEPROP TIEOFF_X9Y133 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y133 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y133 NUM_PINS 2 SITEPROP TIEOFF_X9Y133 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y133 PROHIBIT 0 SITEPROP TIEOFF_X9Y133 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y133 RPM_X 44 SITEPROP TIEOFF_X9Y133 RPM_Y 266 SITEPROP TIEOFF_X9Y133 SITE_PIPS SITEPROP TIEOFF_X9Y133 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y134 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y134 CLASS site SITEPROP TIEOFF_X9Y134 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y134 IS_BONDED 0 SITEPROP TIEOFF_X9Y134 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y134 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y134 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y134 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y134 IS_PAD 0 SITEPROP TIEOFF_X9Y134 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y134 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y134 IS_RESERVED 0 SITEPROP TIEOFF_X9Y134 IS_TEST 0 SITEPROP TIEOFF_X9Y134 IS_USED 0 SITEPROP TIEOFF_X9Y134 MANUAL_ROUTING SITEPROP TIEOFF_X9Y134 NAME TIEOFF_X9Y134 SITEPROP TIEOFF_X9Y134 NUM_ARCS 0 SITEPROP TIEOFF_X9Y134 NUM_BELS 2 SITEPROP TIEOFF_X9Y134 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y134 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y134 NUM_PINS 2 SITEPROP TIEOFF_X9Y134 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y134 PROHIBIT 0 SITEPROP TIEOFF_X9Y134 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y134 RPM_X 44 SITEPROP TIEOFF_X9Y134 RPM_Y 268 SITEPROP TIEOFF_X9Y134 SITE_PIPS SITEPROP TIEOFF_X9Y134 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y135 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y135 CLASS site SITEPROP TIEOFF_X9Y135 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y135 IS_BONDED 0 SITEPROP TIEOFF_X9Y135 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y135 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y135 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y135 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y135 IS_PAD 0 SITEPROP TIEOFF_X9Y135 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y135 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y135 IS_RESERVED 0 SITEPROP TIEOFF_X9Y135 IS_TEST 0 SITEPROP TIEOFF_X9Y135 IS_USED 0 SITEPROP TIEOFF_X9Y135 MANUAL_ROUTING SITEPROP TIEOFF_X9Y135 NAME TIEOFF_X9Y135 SITEPROP TIEOFF_X9Y135 NUM_ARCS 0 SITEPROP TIEOFF_X9Y135 NUM_BELS 2 SITEPROP TIEOFF_X9Y135 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y135 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y135 NUM_PINS 2 SITEPROP TIEOFF_X9Y135 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y135 PROHIBIT 0 SITEPROP TIEOFF_X9Y135 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y135 RPM_X 44 SITEPROP TIEOFF_X9Y135 RPM_Y 270 SITEPROP TIEOFF_X9Y135 SITE_PIPS SITEPROP TIEOFF_X9Y135 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y136 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y136 CLASS site SITEPROP TIEOFF_X9Y136 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y136 IS_BONDED 0 SITEPROP TIEOFF_X9Y136 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y136 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y136 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y136 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y136 IS_PAD 0 SITEPROP TIEOFF_X9Y136 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y136 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y136 IS_RESERVED 0 SITEPROP TIEOFF_X9Y136 IS_TEST 0 SITEPROP TIEOFF_X9Y136 IS_USED 0 SITEPROP TIEOFF_X9Y136 MANUAL_ROUTING SITEPROP TIEOFF_X9Y136 NAME TIEOFF_X9Y136 SITEPROP TIEOFF_X9Y136 NUM_ARCS 0 SITEPROP TIEOFF_X9Y136 NUM_BELS 2 SITEPROP TIEOFF_X9Y136 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y136 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y136 NUM_PINS 2 SITEPROP TIEOFF_X9Y136 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y136 PROHIBIT 0 SITEPROP TIEOFF_X9Y136 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y136 RPM_X 44 SITEPROP TIEOFF_X9Y136 RPM_Y 272 SITEPROP TIEOFF_X9Y136 SITE_PIPS SITEPROP TIEOFF_X9Y136 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y137 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y137 CLASS site SITEPROP TIEOFF_X9Y137 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y137 IS_BONDED 0 SITEPROP TIEOFF_X9Y137 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y137 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y137 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y137 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y137 IS_PAD 0 SITEPROP TIEOFF_X9Y137 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y137 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y137 IS_RESERVED 0 SITEPROP TIEOFF_X9Y137 IS_TEST 0 SITEPROP TIEOFF_X9Y137 IS_USED 0 SITEPROP TIEOFF_X9Y137 MANUAL_ROUTING SITEPROP TIEOFF_X9Y137 NAME TIEOFF_X9Y137 SITEPROP TIEOFF_X9Y137 NUM_ARCS 0 SITEPROP TIEOFF_X9Y137 NUM_BELS 2 SITEPROP TIEOFF_X9Y137 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y137 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y137 NUM_PINS 2 SITEPROP TIEOFF_X9Y137 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y137 PROHIBIT 0 SITEPROP TIEOFF_X9Y137 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y137 RPM_X 44 SITEPROP TIEOFF_X9Y137 RPM_Y 274 SITEPROP TIEOFF_X9Y137 SITE_PIPS SITEPROP TIEOFF_X9Y137 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y138 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y138 CLASS site SITEPROP TIEOFF_X9Y138 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y138 IS_BONDED 0 SITEPROP TIEOFF_X9Y138 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y138 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y138 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y138 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y138 IS_PAD 0 SITEPROP TIEOFF_X9Y138 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y138 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y138 IS_RESERVED 0 SITEPROP TIEOFF_X9Y138 IS_TEST 0 SITEPROP TIEOFF_X9Y138 IS_USED 0 SITEPROP TIEOFF_X9Y138 MANUAL_ROUTING SITEPROP TIEOFF_X9Y138 NAME TIEOFF_X9Y138 SITEPROP TIEOFF_X9Y138 NUM_ARCS 0 SITEPROP TIEOFF_X9Y138 NUM_BELS 2 SITEPROP TIEOFF_X9Y138 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y138 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y138 NUM_PINS 2 SITEPROP TIEOFF_X9Y138 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y138 PROHIBIT 0 SITEPROP TIEOFF_X9Y138 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y138 RPM_X 44 SITEPROP TIEOFF_X9Y138 RPM_Y 276 SITEPROP TIEOFF_X9Y138 SITE_PIPS SITEPROP TIEOFF_X9Y138 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y139 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y139 CLASS site SITEPROP TIEOFF_X9Y139 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y139 IS_BONDED 0 SITEPROP TIEOFF_X9Y139 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y139 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y139 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y139 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y139 IS_PAD 0 SITEPROP TIEOFF_X9Y139 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y139 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y139 IS_RESERVED 0 SITEPROP TIEOFF_X9Y139 IS_TEST 0 SITEPROP TIEOFF_X9Y139 IS_USED 0 SITEPROP TIEOFF_X9Y139 MANUAL_ROUTING SITEPROP TIEOFF_X9Y139 NAME TIEOFF_X9Y139 SITEPROP TIEOFF_X9Y139 NUM_ARCS 0 SITEPROP TIEOFF_X9Y139 NUM_BELS 2 SITEPROP TIEOFF_X9Y139 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y139 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y139 NUM_PINS 2 SITEPROP TIEOFF_X9Y139 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y139 PROHIBIT 0 SITEPROP TIEOFF_X9Y139 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y139 RPM_X 44 SITEPROP TIEOFF_X9Y139 RPM_Y 278 SITEPROP TIEOFF_X9Y139 SITE_PIPS SITEPROP TIEOFF_X9Y139 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y140 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y140 CLASS site SITEPROP TIEOFF_X9Y140 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y140 IS_BONDED 0 SITEPROP TIEOFF_X9Y140 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y140 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y140 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y140 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y140 IS_PAD 0 SITEPROP TIEOFF_X9Y140 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y140 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y140 IS_RESERVED 0 SITEPROP TIEOFF_X9Y140 IS_TEST 0 SITEPROP TIEOFF_X9Y140 IS_USED 0 SITEPROP TIEOFF_X9Y140 MANUAL_ROUTING SITEPROP TIEOFF_X9Y140 NAME TIEOFF_X9Y140 SITEPROP TIEOFF_X9Y140 NUM_ARCS 0 SITEPROP TIEOFF_X9Y140 NUM_BELS 2 SITEPROP TIEOFF_X9Y140 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y140 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y140 NUM_PINS 2 SITEPROP TIEOFF_X9Y140 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y140 PROHIBIT 0 SITEPROP TIEOFF_X9Y140 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y140 RPM_X 44 SITEPROP TIEOFF_X9Y140 RPM_Y 280 SITEPROP TIEOFF_X9Y140 SITE_PIPS SITEPROP TIEOFF_X9Y140 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y141 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y141 CLASS site SITEPROP TIEOFF_X9Y141 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y141 IS_BONDED 0 SITEPROP TIEOFF_X9Y141 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y141 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y141 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y141 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y141 IS_PAD 0 SITEPROP TIEOFF_X9Y141 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y141 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y141 IS_RESERVED 0 SITEPROP TIEOFF_X9Y141 IS_TEST 0 SITEPROP TIEOFF_X9Y141 IS_USED 0 SITEPROP TIEOFF_X9Y141 MANUAL_ROUTING SITEPROP TIEOFF_X9Y141 NAME TIEOFF_X9Y141 SITEPROP TIEOFF_X9Y141 NUM_ARCS 0 SITEPROP TIEOFF_X9Y141 NUM_BELS 2 SITEPROP TIEOFF_X9Y141 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y141 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y141 NUM_PINS 2 SITEPROP TIEOFF_X9Y141 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y141 PROHIBIT 0 SITEPROP TIEOFF_X9Y141 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y141 RPM_X 44 SITEPROP TIEOFF_X9Y141 RPM_Y 282 SITEPROP TIEOFF_X9Y141 SITE_PIPS SITEPROP TIEOFF_X9Y141 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y142 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y142 CLASS site SITEPROP TIEOFF_X9Y142 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y142 IS_BONDED 0 SITEPROP TIEOFF_X9Y142 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y142 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y142 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y142 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y142 IS_PAD 0 SITEPROP TIEOFF_X9Y142 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y142 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y142 IS_RESERVED 0 SITEPROP TIEOFF_X9Y142 IS_TEST 0 SITEPROP TIEOFF_X9Y142 IS_USED 0 SITEPROP TIEOFF_X9Y142 MANUAL_ROUTING SITEPROP TIEOFF_X9Y142 NAME TIEOFF_X9Y142 SITEPROP TIEOFF_X9Y142 NUM_ARCS 0 SITEPROP TIEOFF_X9Y142 NUM_BELS 2 SITEPROP TIEOFF_X9Y142 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y142 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y142 NUM_PINS 2 SITEPROP TIEOFF_X9Y142 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y142 PROHIBIT 0 SITEPROP TIEOFF_X9Y142 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y142 RPM_X 44 SITEPROP TIEOFF_X9Y142 RPM_Y 284 SITEPROP TIEOFF_X9Y142 SITE_PIPS SITEPROP TIEOFF_X9Y142 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y143 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y143 CLASS site SITEPROP TIEOFF_X9Y143 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y143 IS_BONDED 0 SITEPROP TIEOFF_X9Y143 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y143 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y143 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y143 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y143 IS_PAD 0 SITEPROP TIEOFF_X9Y143 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y143 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y143 IS_RESERVED 0 SITEPROP TIEOFF_X9Y143 IS_TEST 0 SITEPROP TIEOFF_X9Y143 IS_USED 0 SITEPROP TIEOFF_X9Y143 MANUAL_ROUTING SITEPROP TIEOFF_X9Y143 NAME TIEOFF_X9Y143 SITEPROP TIEOFF_X9Y143 NUM_ARCS 0 SITEPROP TIEOFF_X9Y143 NUM_BELS 2 SITEPROP TIEOFF_X9Y143 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y143 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y143 NUM_PINS 2 SITEPROP TIEOFF_X9Y143 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y143 PROHIBIT 0 SITEPROP TIEOFF_X9Y143 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y143 RPM_X 44 SITEPROP TIEOFF_X9Y143 RPM_Y 286 SITEPROP TIEOFF_X9Y143 SITE_PIPS SITEPROP TIEOFF_X9Y143 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y144 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y144 CLASS site SITEPROP TIEOFF_X9Y144 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y144 IS_BONDED 0 SITEPROP TIEOFF_X9Y144 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y144 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y144 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y144 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y144 IS_PAD 0 SITEPROP TIEOFF_X9Y144 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y144 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y144 IS_RESERVED 0 SITEPROP TIEOFF_X9Y144 IS_TEST 0 SITEPROP TIEOFF_X9Y144 IS_USED 0 SITEPROP TIEOFF_X9Y144 MANUAL_ROUTING SITEPROP TIEOFF_X9Y144 NAME TIEOFF_X9Y144 SITEPROP TIEOFF_X9Y144 NUM_ARCS 0 SITEPROP TIEOFF_X9Y144 NUM_BELS 2 SITEPROP TIEOFF_X9Y144 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y144 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y144 NUM_PINS 2 SITEPROP TIEOFF_X9Y144 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y144 PROHIBIT 0 SITEPROP TIEOFF_X9Y144 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y144 RPM_X 44 SITEPROP TIEOFF_X9Y144 RPM_Y 288 SITEPROP TIEOFF_X9Y144 SITE_PIPS SITEPROP TIEOFF_X9Y144 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y145 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y145 CLASS site SITEPROP TIEOFF_X9Y145 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y145 IS_BONDED 0 SITEPROP TIEOFF_X9Y145 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y145 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y145 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y145 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y145 IS_PAD 0 SITEPROP TIEOFF_X9Y145 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y145 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y145 IS_RESERVED 0 SITEPROP TIEOFF_X9Y145 IS_TEST 0 SITEPROP TIEOFF_X9Y145 IS_USED 0 SITEPROP TIEOFF_X9Y145 MANUAL_ROUTING SITEPROP TIEOFF_X9Y145 NAME TIEOFF_X9Y145 SITEPROP TIEOFF_X9Y145 NUM_ARCS 0 SITEPROP TIEOFF_X9Y145 NUM_BELS 2 SITEPROP TIEOFF_X9Y145 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y145 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y145 NUM_PINS 2 SITEPROP TIEOFF_X9Y145 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y145 PROHIBIT 0 SITEPROP TIEOFF_X9Y145 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y145 RPM_X 44 SITEPROP TIEOFF_X9Y145 RPM_Y 290 SITEPROP TIEOFF_X9Y145 SITE_PIPS SITEPROP TIEOFF_X9Y145 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y146 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y146 CLASS site SITEPROP TIEOFF_X9Y146 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y146 IS_BONDED 0 SITEPROP TIEOFF_X9Y146 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y146 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y146 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y146 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y146 IS_PAD 0 SITEPROP TIEOFF_X9Y146 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y146 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y146 IS_RESERVED 0 SITEPROP TIEOFF_X9Y146 IS_TEST 0 SITEPROP TIEOFF_X9Y146 IS_USED 0 SITEPROP TIEOFF_X9Y146 MANUAL_ROUTING SITEPROP TIEOFF_X9Y146 NAME TIEOFF_X9Y146 SITEPROP TIEOFF_X9Y146 NUM_ARCS 0 SITEPROP TIEOFF_X9Y146 NUM_BELS 2 SITEPROP TIEOFF_X9Y146 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y146 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y146 NUM_PINS 2 SITEPROP TIEOFF_X9Y146 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y146 PROHIBIT 0 SITEPROP TIEOFF_X9Y146 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y146 RPM_X 44 SITEPROP TIEOFF_X9Y146 RPM_Y 292 SITEPROP TIEOFF_X9Y146 SITE_PIPS SITEPROP TIEOFF_X9Y146 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y147 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y147 CLASS site SITEPROP TIEOFF_X9Y147 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y147 IS_BONDED 0 SITEPROP TIEOFF_X9Y147 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y147 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y147 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y147 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y147 IS_PAD 0 SITEPROP TIEOFF_X9Y147 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y147 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y147 IS_RESERVED 0 SITEPROP TIEOFF_X9Y147 IS_TEST 0 SITEPROP TIEOFF_X9Y147 IS_USED 0 SITEPROP TIEOFF_X9Y147 MANUAL_ROUTING SITEPROP TIEOFF_X9Y147 NAME TIEOFF_X9Y147 SITEPROP TIEOFF_X9Y147 NUM_ARCS 0 SITEPROP TIEOFF_X9Y147 NUM_BELS 2 SITEPROP TIEOFF_X9Y147 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y147 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y147 NUM_PINS 2 SITEPROP TIEOFF_X9Y147 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y147 PROHIBIT 0 SITEPROP TIEOFF_X9Y147 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y147 RPM_X 44 SITEPROP TIEOFF_X9Y147 RPM_Y 294 SITEPROP TIEOFF_X9Y147 SITE_PIPS SITEPROP TIEOFF_X9Y147 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y148 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y148 CLASS site SITEPROP TIEOFF_X9Y148 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y148 IS_BONDED 0 SITEPROP TIEOFF_X9Y148 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y148 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y148 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y148 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y148 IS_PAD 0 SITEPROP TIEOFF_X9Y148 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y148 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y148 IS_RESERVED 0 SITEPROP TIEOFF_X9Y148 IS_TEST 0 SITEPROP TIEOFF_X9Y148 IS_USED 0 SITEPROP TIEOFF_X9Y148 MANUAL_ROUTING SITEPROP TIEOFF_X9Y148 NAME TIEOFF_X9Y148 SITEPROP TIEOFF_X9Y148 NUM_ARCS 0 SITEPROP TIEOFF_X9Y148 NUM_BELS 2 SITEPROP TIEOFF_X9Y148 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y148 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y148 NUM_PINS 2 SITEPROP TIEOFF_X9Y148 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y148 PROHIBIT 0 SITEPROP TIEOFF_X9Y148 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y148 RPM_X 44 SITEPROP TIEOFF_X9Y148 RPM_Y 296 SITEPROP TIEOFF_X9Y148 SITE_PIPS SITEPROP TIEOFF_X9Y148 SITE_TYPE TIEOFF SITEPROP TIEOFF_X9Y149 ALTERNATE_SITE_TYPES SITEPROP TIEOFF_X9Y149 CLASS site SITEPROP TIEOFF_X9Y149 CLOCK_REGION X0Y2 SITEPROP TIEOFF_X9Y149 IS_BONDED 0 SITEPROP TIEOFF_X9Y149 IS_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y149 IS_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y149 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y149 IS_GLOBAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y149 IS_PAD 0 SITEPROP TIEOFF_X9Y149 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP TIEOFF_X9Y149 IS_REGIONAL_CLOCK_PAD 0 SITEPROP TIEOFF_X9Y149 IS_RESERVED 0 SITEPROP TIEOFF_X9Y149 IS_TEST 0 SITEPROP TIEOFF_X9Y149 IS_USED 0 SITEPROP TIEOFF_X9Y149 MANUAL_ROUTING SITEPROP TIEOFF_X9Y149 NAME TIEOFF_X9Y149 SITEPROP TIEOFF_X9Y149 NUM_ARCS 0 SITEPROP TIEOFF_X9Y149 NUM_BELS 2 SITEPROP TIEOFF_X9Y149 NUM_INPUTS 0 SITEPROP TIEOFF_X9Y149 NUM_OUTPUTS 2 SITEPROP TIEOFF_X9Y149 NUM_PINS 2 SITEPROP TIEOFF_X9Y149 PRIMITIVE_COUNT 0 SITEPROP TIEOFF_X9Y149 PROHIBIT 0 SITEPROP TIEOFF_X9Y149 PROHIBIT_FROM_PERSIST 0 SITEPROP TIEOFF_X9Y149 RPM_X 44 SITEPROP TIEOFF_X9Y149 RPM_Y 298 SITEPROP TIEOFF_X9Y149 SITE_PIPS SITEPROP TIEOFF_X9Y149 SITE_TYPE TIEOFF SITEPROP USR_ACCESS_X0Y0 ALTERNATE_SITE_TYPES SITEPROP USR_ACCESS_X0Y0 CLASS site SITEPROP USR_ACCESS_X0Y0 CLOCK_REGION X0Y0 SITEPROP USR_ACCESS_X0Y0 IS_BONDED 0 SITEPROP USR_ACCESS_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP USR_ACCESS_X0Y0 IS_CLOCK_PAD 0 SITEPROP USR_ACCESS_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP USR_ACCESS_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP USR_ACCESS_X0Y0 IS_PAD 0 SITEPROP USR_ACCESS_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP USR_ACCESS_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP USR_ACCESS_X0Y0 IS_RESERVED 0 SITEPROP USR_ACCESS_X0Y0 IS_TEST 0 SITEPROP USR_ACCESS_X0Y0 IS_USED 0 SITEPROP USR_ACCESS_X0Y0 MANUAL_ROUTING SITEPROP USR_ACCESS_X0Y0 NAME USR_ACCESS_X0Y0 SITEPROP USR_ACCESS_X0Y0 NUM_ARCS 0 SITEPROP USR_ACCESS_X0Y0 NUM_BELS 1 SITEPROP USR_ACCESS_X0Y0 NUM_INPUTS 0 SITEPROP USR_ACCESS_X0Y0 NUM_OUTPUTS 34 SITEPROP USR_ACCESS_X0Y0 NUM_PINS 34 SITEPROP USR_ACCESS_X0Y0 PRIMITIVE_COUNT 0 SITEPROP USR_ACCESS_X0Y0 PROHIBIT 0 SITEPROP USR_ACCESS_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP USR_ACCESS_X0Y0 RPM_X 77 SITEPROP USR_ACCESS_X0Y0 RPM_Y 40 SITEPROP USR_ACCESS_X0Y0 SITE_PIPS SITEPROP USR_ACCESS_X0Y0 SITE_TYPE USR_ACCESS SITEPROP XADC_X0Y0 ALTERNATE_SITE_TYPES SITEPROP XADC_X0Y0 CLASS site SITEPROP XADC_X0Y0 CLOCK_REGION X0Y1 SITEPROP XADC_X0Y0 IS_BONDED 0 SITEPROP XADC_X0Y0 IS_CLOCK_BUFFER 0 SITEPROP XADC_X0Y0 IS_CLOCK_PAD 0 SITEPROP XADC_X0Y0 IS_GLOBAL_CLOCK_BUFFER 0 SITEPROP XADC_X0Y0 IS_GLOBAL_CLOCK_PAD 0 SITEPROP XADC_X0Y0 IS_PAD 0 SITEPROP XADC_X0Y0 IS_REGIONAL_CLOCK_BUFFER 0 SITEPROP XADC_X0Y0 IS_REGIONAL_CLOCK_PAD 0 SITEPROP XADC_X0Y0 IS_RESERVED 0 SITEPROP XADC_X0Y0 IS_TEST 0 SITEPROP XADC_X0Y0 IS_USED 0 SITEPROP XADC_X0Y0 MANUAL_ROUTING SITEPROP XADC_X0Y0 NAME XADC_X0Y0 SITEPROP XADC_X0Y0 NUM_ARCS 4 SITEPROP XADC_X0Y0 NUM_BELS 1 SITEPROP XADC_X0Y0 NUM_INPUTS 136 SITEPROP XADC_X0Y0 NUM_OUTPUTS 84 SITEPROP XADC_X0Y0 NUM_PINS 220 SITEPROP XADC_X0Y0 PRIMITIVE_COUNT 0 SITEPROP XADC_X0Y0 PROHIBIT 0 SITEPROP XADC_X0Y0 PROHIBIT_FROM_PERSIST 0 SITEPROP XADC_X0Y0 RPM_X 77 SITEPROP XADC_X0Y0 RPM_Y 151 SITEPROP XADC_X0Y0 SITE_PIPS SITEPROP XADC_X0Y0 SITE_TYPE XADC SLICEBIT SLICE_X0Y0 bit_0040011f_00_0f_t0_h1_r0_c2_m31_w0_b15 SLICEBIT SLICE_X0Y50 bit_0000011f_00_0f_t0_h0_r0_c2_m31_w0_b15 SLICEBIT SLICE_X0Y100 bit_0002011f_00_0f_t0_h0_r1_c2_m31_w0_b15 SLICEBIT SLICE_X10Y0 bit_00400421_00_0f_t0_h1_r0_c8_m33_w0_b15 SLICEBIT SLICE_X10Y50 bit_00000421_00_0f_t0_h0_r0_c8_m33_w0_b15 SLICEBIT SLICE_X10Y100 bit_00020421_00_0f_t0_h0_r1_c8_m33_w0_b15 SLICEBIT SLICE_X11Y0 bit_00400419_00_0f_t0_h1_r0_c8_m25_w0_b15 SLICEBIT SLICE_X11Y50 bit_00000419_00_0f_t0_h0_r0_c8_m25_w0_b15 SLICEBIT SLICE_X11Y100 bit_00020419_00_0f_t0_h0_r1_c8_m25_w0_b15 SLICEBIT SLICE_X12Y0 bit_00400521_00_0f_t0_h1_r0_c10_m33_w0_b15 SLICEBIT SLICE_X12Y50 bit_00000521_00_0f_t0_h0_r0_c10_m33_w0_b15 SLICEBIT SLICE_X12Y100 bit_00020521_00_0f_t0_h0_r1_c10_m33_w0_b15 SLICEBIT SLICE_X13Y0 bit_00400519_00_0f_t0_h1_r0_c10_m25_w0_b15 SLICEBIT SLICE_X13Y50 bit_00000519_00_0f_t0_h0_r0_c10_m25_w0_b15 SLICEBIT SLICE_X13Y100 bit_00020519_00_0f_t0_h0_r1_c10_m25_w0_b15 SLICEBIT SLICE_X14Y0 bit_004005a1_00_0f_t0_h1_r0_c11_m33_w0_b15 SLICEBIT SLICE_X14Y50 bit_000005a1_00_0f_t0_h0_r0_c11_m33_w0_b15 SLICEBIT SLICE_X14Y100 bit_000205a1_00_0f_t0_h0_r1_c11_m33_w0_b15 SLICEBIT SLICE_X15Y0 bit_00400599_00_0f_t0_h1_r0_c11_m25_w0_b15 SLICEBIT SLICE_X15Y50 bit_00000599_00_0f_t0_h0_r0_c11_m25_w0_b15 SLICEBIT SLICE_X15Y100 bit_00020599_00_0f_t0_h0_r1_c11_m25_w0_b15 SLICEBIT SLICE_X16Y100 bit_0002061f_00_0f_t0_h0_r1_c12_m31_w0_b15 SLICEBIT SLICE_X17Y100 bit_00020619_00_0f_t0_h0_r1_c12_m25_w0_b15 SLICEBIT SLICE_X18Y100 bit_0002069f_00_0f_t0_h0_r1_c13_m31_w0_b15 SLICEBIT SLICE_X19Y100 bit_00020699_00_0f_t0_h0_r1_c13_m25_w0_b15 SLICEBIT SLICE_X1Y0 bit_00400119_00_0f_t0_h1_r0_c2_m25_w0_b15 SLICEBIT SLICE_X1Y50 bit_00000119_00_0f_t0_h0_r0_c2_m25_w0_b15 SLICEBIT SLICE_X1Y100 bit_00020119_00_0f_t0_h0_r1_c2_m25_w0_b15 SLICEBIT SLICE_X20Y100 bit_0002071f_00_0f_t0_h0_r1_c14_m31_w0_b15 SLICEBIT SLICE_X21Y100 bit_00020719_00_0f_t0_h0_r1_c14_m25_w0_b15 SLICEBIT SLICE_X22Y100 bit_0002079f_00_0f_t0_h0_r1_c15_m31_w0_b15 SLICEBIT SLICE_X23Y100 bit_00020799_00_0f_t0_h0_r1_c15_m25_w0_b15 SLICEBIT SLICE_X24Y100 bit_0002081f_00_0f_t0_h0_r1_c16_m31_w0_b15 SLICEBIT SLICE_X25Y100 bit_00020819_00_0f_t0_h0_r1_c16_m25_w0_b15 SLICEBIT SLICE_X26Y100 bit_0002089f_00_0f_t0_h0_r1_c17_m31_w0_b15 SLICEBIT SLICE_X27Y100 bit_00020899_00_0f_t0_h0_r1_c17_m25_w0_b15 SLICEBIT SLICE_X28Y0 bit_0040099f_00_0f_t0_h1_r0_c19_m31_w0_b15 SLICEBIT SLICE_X28Y50 bit_0000099f_00_0f_t0_h0_r0_c19_m31_w0_b15 SLICEBIT SLICE_X28Y100 bit_0002099f_00_0f_t0_h0_r1_c19_m31_w0_b15 SLICEBIT SLICE_X29Y0 bit_00400999_00_0f_t0_h1_r0_c19_m25_w0_b15 SLICEBIT SLICE_X29Y50 bit_00000999_00_0f_t0_h0_r0_c19_m25_w0_b15 SLICEBIT SLICE_X29Y100 bit_00020999_00_0f_t0_h0_r1_c19_m25_w0_b15 SLICEBIT SLICE_X2Y0 bit_004001a1_00_0f_t0_h1_r0_c3_m33_w0_b15 SLICEBIT SLICE_X2Y50 bit_000001a1_00_0f_t0_h0_r0_c3_m33_w0_b15 SLICEBIT SLICE_X2Y100 bit_000201a1_00_0f_t0_h0_r1_c3_m33_w0_b15 SLICEBIT SLICE_X30Y0 bit_00400a21_00_0f_t0_h1_r0_c20_m33_w0_b15 SLICEBIT SLICE_X30Y50 bit_00000a21_00_0f_t0_h0_r0_c20_m33_w0_b15 SLICEBIT SLICE_X30Y100 bit_00020a21_00_0f_t0_h0_r1_c20_m33_w0_b15 SLICEBIT SLICE_X31Y0 bit_00400a19_00_0f_t0_h1_r0_c20_m25_w0_b15 SLICEBIT SLICE_X31Y50 bit_00000a19_00_0f_t0_h0_r0_c20_m25_w0_b15 SLICEBIT SLICE_X31Y100 bit_00020a19_00_0f_t0_h0_r1_c20_m25_w0_b15 SLICEBIT SLICE_X32Y0 bit_00400a9f_00_0f_t0_h1_r0_c21_m31_w0_b15 SLICEBIT SLICE_X32Y50 bit_00000a9f_00_0f_t0_h0_r0_c21_m31_w0_b15 SLICEBIT SLICE_X32Y100 bit_00020a9f_00_0f_t0_h0_r1_c21_m31_w0_b15 SLICEBIT SLICE_X33Y0 bit_00400a99_00_0f_t0_h1_r0_c21_m25_w0_b15 SLICEBIT SLICE_X33Y50 bit_00000a99_00_0f_t0_h0_r0_c21_m25_w0_b15 SLICEBIT SLICE_X33Y100 bit_00020a99_00_0f_t0_h0_r1_c21_m25_w0_b15 SLICEBIT SLICE_X34Y0 bit_00400b21_00_0f_t0_h1_r0_c22_m33_w0_b15 SLICEBIT SLICE_X34Y50 bit_00000b21_00_0f_t0_h0_r0_c22_m33_w0_b15 SLICEBIT SLICE_X34Y100 bit_00020b21_00_0f_t0_h0_r1_c22_m33_w0_b15 SLICEBIT SLICE_X35Y0 bit_00400b19_00_0f_t0_h1_r0_c22_m25_w0_b15 SLICEBIT SLICE_X35Y50 bit_00000b19_00_0f_t0_h0_r0_c22_m25_w0_b15 SLICEBIT SLICE_X35Y100 bit_00020b19_00_0f_t0_h0_r1_c22_m25_w0_b15 SLICEBIT SLICE_X36Y0 bit_00400c1f_00_0f_t0_h1_r0_c24_m31_w0_b15 SLICEBIT SLICE_X36Y50 bit_00000c1f_00_0f_t0_h0_r0_c24_m31_w0_b15 SLICEBIT SLICE_X36Y100 bit_00020c1f_00_0f_t0_h0_r1_c24_m31_w0_b15 SLICEBIT SLICE_X37Y0 bit_00400c19_00_0f_t0_h1_r0_c24_m25_w0_b15 SLICEBIT SLICE_X37Y50 bit_00000c19_00_0f_t0_h0_r0_c24_m25_w0_b15 SLICEBIT SLICE_X37Y100 bit_00020c19_00_0f_t0_h0_r1_c24_m25_w0_b15 SLICEBIT SLICE_X38Y0 bit_00400ca1_00_0f_t0_h1_r0_c25_m33_w0_b15 SLICEBIT SLICE_X38Y50 bit_00000ca1_00_0f_t0_h0_r0_c25_m33_w0_b15 SLICEBIT SLICE_X38Y100 bit_00020ca1_00_0f_t0_h0_r1_c25_m33_w0_b15 SLICEBIT SLICE_X39Y0 bit_00400c99_00_0f_t0_h1_r0_c25_m25_w0_b15 SLICEBIT SLICE_X39Y50 bit_00000c99_00_0f_t0_h0_r0_c25_m25_w0_b15 SLICEBIT SLICE_X39Y100 bit_00020c99_00_0f_t0_h0_r1_c25_m25_w0_b15 SLICEBIT SLICE_X3Y0 bit_00400199_00_0f_t0_h1_r0_c3_m25_w0_b15 SLICEBIT SLICE_X3Y50 bit_00000199_00_0f_t0_h0_r0_c3_m25_w0_b15 SLICEBIT SLICE_X3Y100 bit_00020199_00_0f_t0_h0_r1_c3_m25_w0_b15 SLICEBIT SLICE_X40Y0 bit_00400d1f_00_0f_t0_h1_r0_c26_m31_w0_b15 SLICEBIT SLICE_X40Y50 bit_00000d1f_00_0f_t0_h0_r0_c26_m31_w0_b15 SLICEBIT SLICE_X40Y100 bit_00020d1f_00_0f_t0_h0_r1_c26_m31_w0_b15 SLICEBIT SLICE_X41Y0 bit_00400d19_00_0f_t0_h1_r0_c26_m25_w0_b15 SLICEBIT SLICE_X41Y50 bit_00000d19_00_0f_t0_h0_r0_c26_m25_w0_b15 SLICEBIT SLICE_X41Y100 bit_00020d19_00_0f_t0_h0_r1_c26_m25_w0_b15 SLICEBIT SLICE_X42Y0 bit_00400da1_00_0f_t0_h1_r0_c27_m33_w0_b15 SLICEBIT SLICE_X42Y50 bit_00000da1_00_0f_t0_h0_r0_c27_m33_w0_b15 SLICEBIT SLICE_X43Y0 bit_00400d99_00_0f_t0_h1_r0_c27_m25_w0_b15 SLICEBIT SLICE_X43Y50 bit_00000d99_00_0f_t0_h0_r0_c27_m25_w0_b15 SLICEBIT SLICE_X44Y0 bit_00400e1f_00_0f_t0_h1_r0_c28_m31_w0_b15 SLICEBIT SLICE_X44Y50 bit_00000e1f_00_0f_t0_h0_r0_c28_m31_w0_b15 SLICEBIT SLICE_X45Y0 bit_00400e19_00_0f_t0_h1_r0_c28_m25_w0_b15 SLICEBIT SLICE_X45Y50 bit_00000e19_00_0f_t0_h0_r0_c28_m25_w0_b15 SLICEBIT SLICE_X46Y0 bit_00400ea1_00_0f_t0_h1_r0_c29_m33_w0_b15 SLICEBIT SLICE_X46Y50 bit_00000ea1_00_0f_t0_h0_r0_c29_m33_w0_b15 SLICEBIT SLICE_X47Y0 bit_00400e99_00_0f_t0_h1_r0_c29_m25_w0_b15 SLICEBIT SLICE_X47Y50 bit_00000e99_00_0f_t0_h0_r0_c29_m25_w0_b15 SLICEBIT SLICE_X48Y0 bit_00400f9f_00_0f_t0_h1_r0_c31_m31_w0_b15 SLICEBIT SLICE_X48Y50 bit_00000f9f_00_0f_t0_h0_r0_c31_m31_w0_b15 SLICEBIT SLICE_X48Y100 bit_00020f9f_00_0f_t0_h0_r1_c31_m31_w0_b15 SLICEBIT SLICE_X49Y0 bit_00400f99_00_0f_t0_h1_r0_c31_m25_w0_b15 SLICEBIT SLICE_X49Y50 bit_00000f99_00_0f_t0_h0_r0_c31_m25_w0_b15 SLICEBIT SLICE_X49Y100 bit_00020f99_00_0f_t0_h0_r1_c31_m25_w0_b15 SLICEBIT SLICE_X4Y0 bit_0040021f_00_0f_t0_h1_r0_c4_m31_w0_b15 SLICEBIT SLICE_X4Y50 bit_0000021f_00_0f_t0_h0_r0_c4_m31_w0_b15 SLICEBIT SLICE_X4Y100 bit_0002021f_00_0f_t0_h0_r1_c4_m31_w0_b15 SLICEBIT SLICE_X50Y0 bit_00401021_00_0f_t0_h1_r0_c32_m33_w0_b15 SLICEBIT SLICE_X50Y50 bit_00001021_00_0f_t0_h0_r0_c32_m33_w0_b15 SLICEBIT SLICE_X50Y100 bit_00021021_00_0f_t0_h0_r1_c32_m33_w0_b15 SLICEBIT SLICE_X51Y0 bit_00401019_00_0f_t0_h1_r0_c32_m25_w0_b15 SLICEBIT SLICE_X51Y50 bit_00001019_00_0f_t0_h0_r0_c32_m25_w0_b15 SLICEBIT SLICE_X51Y100 bit_00021019_00_0f_t0_h0_r1_c32_m25_w0_b15 SLICEBIT SLICE_X52Y0 bit_004010a1_00_0f_t0_h1_r0_c33_m33_w0_b15 SLICEBIT SLICE_X52Y50 bit_000010a1_00_0f_t0_h0_r0_c33_m33_w0_b15 SLICEBIT SLICE_X52Y100 bit_000210a1_00_0f_t0_h0_r1_c33_m33_w0_b15 SLICEBIT SLICE_X53Y0 bit_00401099_00_0f_t0_h1_r0_c33_m25_w0_b15 SLICEBIT SLICE_X53Y50 bit_00001099_00_0f_t0_h0_r0_c33_m25_w0_b15 SLICEBIT SLICE_X53Y100 bit_00021099_00_0f_t0_h0_r1_c33_m25_w0_b15 SLICEBIT SLICE_X54Y0 bit_004011a1_00_0f_t0_h1_r0_c35_m33_w0_b15 SLICEBIT SLICE_X54Y50 bit_000011a1_00_0f_t0_h0_r0_c35_m33_w0_b15 SLICEBIT SLICE_X54Y100 bit_000211a1_00_0f_t0_h0_r1_c35_m33_w0_b15 SLICEBIT SLICE_X55Y0 bit_00401199_00_0f_t0_h1_r0_c35_m25_w0_b15 SLICEBIT SLICE_X55Y50 bit_00001199_00_0f_t0_h0_r0_c35_m25_w0_b15 SLICEBIT SLICE_X55Y100 bit_00021199_00_0f_t0_h0_r1_c35_m25_w0_b15 SLICEBIT SLICE_X56Y0 bit_00401221_00_0f_t0_h1_r0_c36_m33_w0_b15 SLICEBIT SLICE_X56Y50 bit_00001221_00_0f_t0_h0_r0_c36_m33_w0_b15 SLICEBIT SLICE_X56Y100 bit_00021221_00_0f_t0_h0_r1_c36_m33_w0_b15 SLICEBIT SLICE_X57Y0 bit_00401219_00_0f_t0_h1_r0_c36_m25_w0_b15 SLICEBIT SLICE_X57Y50 bit_00001219_00_0f_t0_h0_r0_c36_m25_w0_b15 SLICEBIT SLICE_X57Y100 bit_00021219_00_0f_t0_h0_r1_c36_m25_w0_b15 SLICEBIT SLICE_X58Y0 bit_0040131f_00_0f_t0_h1_r0_c38_m31_w0_b15 SLICEBIT SLICE_X58Y50 bit_0000131f_00_0f_t0_h0_r0_c38_m31_w0_b15 SLICEBIT SLICE_X59Y0 bit_00401319_00_0f_t0_h1_r0_c38_m25_w0_b15 SLICEBIT SLICE_X59Y50 bit_00001319_00_0f_t0_h0_r0_c38_m25_w0_b15 SLICEBIT SLICE_X5Y0 bit_00400219_00_0f_t0_h1_r0_c4_m25_w0_b15 SLICEBIT SLICE_X5Y50 bit_00000219_00_0f_t0_h0_r0_c4_m25_w0_b15 SLICEBIT SLICE_X5Y100 bit_00020219_00_0f_t0_h0_r1_c4_m25_w0_b15 SLICEBIT SLICE_X60Y0 bit_004013a1_00_0f_t0_h1_r0_c39_m33_w0_b15 SLICEBIT SLICE_X60Y50 bit_000013a1_00_0f_t0_h0_r0_c39_m33_w0_b15 SLICEBIT SLICE_X61Y0 bit_00401399_00_0f_t0_h1_r0_c39_m25_w0_b15 SLICEBIT SLICE_X61Y50 bit_00001399_00_0f_t0_h0_r0_c39_m25_w0_b15 SLICEBIT SLICE_X62Y0 bit_0040141f_00_0f_t0_h1_r0_c40_m31_w0_b15 SLICEBIT SLICE_X62Y50 bit_0000141f_00_0f_t0_h0_r0_c40_m31_w0_b15 SLICEBIT SLICE_X63Y0 bit_00401419_00_0f_t0_h1_r0_c40_m25_w0_b15 SLICEBIT SLICE_X63Y50 bit_00001419_00_0f_t0_h0_r0_c40_m25_w0_b15 SLICEBIT SLICE_X64Y0 bit_004014a1_00_0f_t0_h1_r0_c41_m33_w0_b15 SLICEBIT SLICE_X64Y50 bit_000014a1_00_0f_t0_h0_r0_c41_m33_w0_b15 SLICEBIT SLICE_X65Y0 bit_00401499_00_0f_t0_h1_r0_c41_m25_w0_b15 SLICEBIT SLICE_X65Y50 bit_00001499_00_0f_t0_h0_r0_c41_m25_w0_b15 SLICEBIT SLICE_X6Y0 bit_004002a1_00_0f_t0_h1_r0_c5_m33_w0_b15 SLICEBIT SLICE_X6Y50 bit_000002a1_00_0f_t0_h0_r0_c5_m33_w0_b15 SLICEBIT SLICE_X6Y100 bit_000202a1_00_0f_t0_h0_r1_c5_m33_w0_b15 SLICEBIT SLICE_X7Y0 bit_00400299_00_0f_t0_h1_r0_c5_m25_w0_b15 SLICEBIT SLICE_X7Y50 bit_00000299_00_0f_t0_h0_r0_c5_m25_w0_b15 SLICEBIT SLICE_X7Y100 bit_00020299_00_0f_t0_h0_r1_c5_m25_w0_b15 SLICEBIT SLICE_X8Y0 bit_004003a1_00_0f_t0_h1_r0_c7_m33_w0_b15 SLICEBIT SLICE_X8Y50 bit_000003a1_00_0f_t0_h0_r0_c7_m33_w0_b15 SLICEBIT SLICE_X8Y100 bit_000203a1_00_0f_t0_h0_r1_c7_m33_w0_b15 SLICEBIT SLICE_X9Y0 bit_00400399_00_0f_t0_h1_r0_c7_m25_w0_b15 SLICEBIT SLICE_X9Y50 bit_00000399_00_0f_t0_h0_r0_c7_m25_w0_b15 SLICEBIT SLICE_X9Y100 bit_00020399_00_0f_t0_h0_r1_c7_m25_w0_b15 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y0 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 FIRST_SITE_ID 15775 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 GRID_POINT_Y 155 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 INDEX 17901 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 INT_TILE_Y 149 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 NAME BRAM_INT_INTERFACE_L_X30Y0 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 ROW 155 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y0 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 TILE_Y -239672 TILEPROP BRAM_INT_INTERFACE_L_X30Y0 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y1 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y1 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 FIRST_SITE_ID 15662 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 GRID_POINT_Y 154 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 INDEX 17786 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 INT_TILE_Y 148 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 NAME BRAM_INT_INTERFACE_L_X30Y1 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 ROW 154 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y1 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 TILE_Y -236472 TILEPROP BRAM_INT_INTERFACE_L_X30Y1 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y2 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y2 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 FIRST_SITE_ID 15561 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 GRID_POINT_Y 153 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 INDEX 17671 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 INT_TILE_Y 147 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 NAME BRAM_INT_INTERFACE_L_X30Y2 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 ROW 153 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y2 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 TILE_Y -233272 TILEPROP BRAM_INT_INTERFACE_L_X30Y2 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y3 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y3 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 FIRST_SITE_ID 15461 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 GRID_POINT_Y 152 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 INDEX 17556 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 INT_TILE_Y 146 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 NAME BRAM_INT_INTERFACE_L_X30Y3 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 ROW 152 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y3 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 TILE_Y -230072 TILEPROP BRAM_INT_INTERFACE_L_X30Y3 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y4 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y4 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 FIRST_SITE_ID 15361 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 GRID_POINT_Y 151 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 INDEX 17441 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 INT_TILE_Y 145 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 NAME BRAM_INT_INTERFACE_L_X30Y4 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 ROW 151 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y4 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 TILE_Y -226872 TILEPROP BRAM_INT_INTERFACE_L_X30Y4 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y5 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y5 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 FIRST_SITE_ID 15255 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 GRID_POINT_Y 150 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 INDEX 17326 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 INT_TILE_Y 144 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 NAME BRAM_INT_INTERFACE_L_X30Y5 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 ROW 150 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y5 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 TILE_Y -223672 TILEPROP BRAM_INT_INTERFACE_L_X30Y5 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y6 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y6 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 FIRST_SITE_ID 15146 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 GRID_POINT_Y 149 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 INDEX 17211 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 INT_TILE_Y 143 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 NAME BRAM_INT_INTERFACE_L_X30Y6 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 ROW 149 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y6 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 TILE_Y -220472 TILEPROP BRAM_INT_INTERFACE_L_X30Y6 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y7 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y7 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 FIRST_SITE_ID 15044 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 GRID_POINT_Y 148 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 INDEX 17096 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 INT_TILE_Y 142 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 NAME BRAM_INT_INTERFACE_L_X30Y7 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 ROW 148 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y7 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 TILE_Y -217272 TILEPROP BRAM_INT_INTERFACE_L_X30Y7 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y8 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y8 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 FIRST_SITE_ID 14941 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 GRID_POINT_Y 147 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 INDEX 16981 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 INT_TILE_Y 141 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 NAME BRAM_INT_INTERFACE_L_X30Y8 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 ROW 147 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y8 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 TILE_Y -214072 TILEPROP BRAM_INT_INTERFACE_L_X30Y8 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y9 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y9 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 FIRST_SITE_ID 14840 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 GRID_POINT_Y 146 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 INDEX 16866 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 INT_TILE_Y 140 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 NAME BRAM_INT_INTERFACE_L_X30Y9 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 ROW 146 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y9 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 TILE_Y -210872 TILEPROP BRAM_INT_INTERFACE_L_X30Y9 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y10 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y10 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 FIRST_SITE_ID 14734 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 GRID_POINT_Y 145 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 INDEX 16751 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 INT_TILE_Y 139 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 NAME BRAM_INT_INTERFACE_L_X30Y10 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 ROW 145 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y10 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 TILE_Y -207672 TILEPROP BRAM_INT_INTERFACE_L_X30Y10 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y11 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y11 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 FIRST_SITE_ID 14625 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 GRID_POINT_Y 144 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 INDEX 16636 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 INT_TILE_Y 138 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 NAME BRAM_INT_INTERFACE_L_X30Y11 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 ROW 144 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y11 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 TILE_Y -204472 TILEPROP BRAM_INT_INTERFACE_L_X30Y11 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y12 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y12 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 FIRST_SITE_ID 14525 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 GRID_POINT_Y 143 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 INDEX 16521 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 INT_TILE_Y 137 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 NAME BRAM_INT_INTERFACE_L_X30Y12 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 ROW 143 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y12 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 TILE_Y -201272 TILEPROP BRAM_INT_INTERFACE_L_X30Y12 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y13 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y13 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 FIRST_SITE_ID 14393 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 GRID_POINT_Y 142 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 INDEX 16406 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 INT_TILE_Y 136 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 NAME BRAM_INT_INTERFACE_L_X30Y13 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 ROW 142 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y13 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 TILE_Y -198072 TILEPROP BRAM_INT_INTERFACE_L_X30Y13 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y14 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y14 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 FIRST_SITE_ID 14293 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 GRID_POINT_Y 141 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 INDEX 16291 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 INT_TILE_Y 135 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 NAME BRAM_INT_INTERFACE_L_X30Y14 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 ROW 141 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y14 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 TILE_Y -194872 TILEPROP BRAM_INT_INTERFACE_L_X30Y14 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y15 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y15 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 FIRST_SITE_ID 14187 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 GRID_POINT_Y 140 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 INDEX 16176 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 INT_TILE_Y 134 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 NAME BRAM_INT_INTERFACE_L_X30Y15 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 ROW 140 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y15 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 TILE_Y -191672 TILEPROP BRAM_INT_INTERFACE_L_X30Y15 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y16 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y16 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 FIRST_SITE_ID 14078 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 GRID_POINT_Y 139 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 INDEX 16061 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 INT_TILE_Y 133 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 NAME BRAM_INT_INTERFACE_L_X30Y16 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 ROW 139 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y16 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 TILE_Y -188472 TILEPROP BRAM_INT_INTERFACE_L_X30Y16 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y17 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y17 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 FIRST_SITE_ID 13974 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 GRID_POINT_Y 138 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 INDEX 15946 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 INT_TILE_Y 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 NAME BRAM_INT_INTERFACE_L_X30Y17 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 ROW 138 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y17 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 TILE_Y -185272 TILEPROP BRAM_INT_INTERFACE_L_X30Y17 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y18 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y18 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 FIRST_SITE_ID 13869 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 GRID_POINT_Y 137 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 INDEX 15831 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 INT_TILE_Y 131 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 NAME BRAM_INT_INTERFACE_L_X30Y18 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 ROW 137 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y18 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 TILE_Y -182072 TILEPROP BRAM_INT_INTERFACE_L_X30Y18 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y19 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y19 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 FIRST_SITE_ID 13767 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 GRID_POINT_Y 136 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 INDEX 15716 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 INT_TILE_Y 130 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 NAME BRAM_INT_INTERFACE_L_X30Y19 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 ROW 136 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y19 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 TILE_Y -178872 TILEPROP BRAM_INT_INTERFACE_L_X30Y19 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y20 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y20 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 FIRST_SITE_ID 13659 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 GRID_POINT_Y 135 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 INDEX 15601 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 INT_TILE_Y 129 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 NAME BRAM_INT_INTERFACE_L_X30Y20 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 ROW 135 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y20 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 TILE_Y -175672 TILEPROP BRAM_INT_INTERFACE_L_X30Y20 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y21 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y21 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 FIRST_SITE_ID 13550 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 GRID_POINT_Y 134 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 INDEX 15486 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 INT_TILE_Y 128 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 NAME BRAM_INT_INTERFACE_L_X30Y21 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 ROW 134 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y21 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 TILE_Y -172472 TILEPROP BRAM_INT_INTERFACE_L_X30Y21 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y22 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y22 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 FIRST_SITE_ID 13450 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 GRID_POINT_Y 133 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 INDEX 15371 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 INT_TILE_Y 127 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 NAME BRAM_INT_INTERFACE_L_X30Y22 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 ROW 133 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y22 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 TILE_Y -169272 TILEPROP BRAM_INT_INTERFACE_L_X30Y22 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y23 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y23 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 FIRST_SITE_ID 13350 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 GRID_POINT_Y 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 INDEX 15256 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 INT_TILE_Y 126 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 NAME BRAM_INT_INTERFACE_L_X30Y23 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 ROW 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y23 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 TILE_Y -166072 TILEPROP BRAM_INT_INTERFACE_L_X30Y23 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y24 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y24 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 FIRST_SITE_ID 13250 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 GRID_POINT_Y 131 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 INDEX 15141 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 INT_TILE_Y 125 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 NAME BRAM_INT_INTERFACE_L_X30Y24 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 ROW 131 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y24 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 TILE_Y -162872 TILEPROP BRAM_INT_INTERFACE_L_X30Y24 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y25 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y25 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 FIRST_SITE_ID 13061 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 GRID_POINT_Y 129 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 INDEX 14911 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 INT_TILE_Y 124 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 NAME BRAM_INT_INTERFACE_L_X30Y25 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 ROW 129 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y25 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 TILE_Y -158648 TILEPROP BRAM_INT_INTERFACE_L_X30Y25 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y26 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y26 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 FIRST_SITE_ID 12952 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 GRID_POINT_Y 128 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 INDEX 14796 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 INT_TILE_Y 123 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 NAME BRAM_INT_INTERFACE_L_X30Y26 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 ROW 128 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y26 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 TILE_Y -155448 TILEPROP BRAM_INT_INTERFACE_L_X30Y26 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y27 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y27 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 FIRST_SITE_ID 12852 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 GRID_POINT_Y 127 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 INDEX 14681 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 INT_TILE_Y 122 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 NAME BRAM_INT_INTERFACE_L_X30Y27 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 ROW 127 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y27 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 TILE_Y -152248 TILEPROP BRAM_INT_INTERFACE_L_X30Y27 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y28 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y28 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 FIRST_SITE_ID 12752 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 GRID_POINT_Y 126 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 INDEX 14566 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 INT_TILE_Y 121 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 NAME BRAM_INT_INTERFACE_L_X30Y28 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 ROW 126 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y28 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 TILE_Y -149048 TILEPROP BRAM_INT_INTERFACE_L_X30Y28 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y29 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y29 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 FIRST_SITE_ID 12646 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 GRID_POINT_Y 125 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 INDEX 14451 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 INT_TILE_Y 120 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 NAME BRAM_INT_INTERFACE_L_X30Y29 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 ROW 125 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y29 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 TILE_Y -145848 TILEPROP BRAM_INT_INTERFACE_L_X30Y29 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y30 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y30 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 FIRST_SITE_ID 12534 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 GRID_POINT_Y 124 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 INDEX 14336 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 INT_TILE_Y 119 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 NAME BRAM_INT_INTERFACE_L_X30Y30 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 ROW 124 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y30 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 TILE_Y -142648 TILEPROP BRAM_INT_INTERFACE_L_X30Y30 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y31 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y31 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 FIRST_SITE_ID 12410 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 GRID_POINT_Y 123 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 INDEX 14221 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 INT_TILE_Y 118 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 NAME BRAM_INT_INTERFACE_L_X30Y31 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 ROW 123 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y31 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 TILE_Y -139448 TILEPROP BRAM_INT_INTERFACE_L_X30Y31 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y32 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y32 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 FIRST_SITE_ID 12308 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 GRID_POINT_Y 122 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 INDEX 14106 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 INT_TILE_Y 117 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 NAME BRAM_INT_INTERFACE_L_X30Y32 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 ROW 122 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y32 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 TILE_Y -136248 TILEPROP BRAM_INT_INTERFACE_L_X30Y32 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y33 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y33 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 FIRST_SITE_ID 12207 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 GRID_POINT_Y 121 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 INDEX 13991 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 INT_TILE_Y 116 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 NAME BRAM_INT_INTERFACE_L_X30Y33 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 ROW 121 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y33 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 TILE_Y -133048 TILEPROP BRAM_INT_INTERFACE_L_X30Y33 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y34 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y34 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 FIRST_SITE_ID 12107 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 GRID_POINT_Y 120 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 INDEX 13876 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 INT_TILE_Y 115 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 NAME BRAM_INT_INTERFACE_L_X30Y34 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 ROW 120 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y34 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 TILE_Y -129848 TILEPROP BRAM_INT_INTERFACE_L_X30Y34 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y35 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y35 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 FIRST_SITE_ID 12001 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 GRID_POINT_Y 119 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 INDEX 13761 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 INT_TILE_Y 114 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 NAME BRAM_INT_INTERFACE_L_X30Y35 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 ROW 119 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y35 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 TILE_Y -126648 TILEPROP BRAM_INT_INTERFACE_L_X30Y35 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y36 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y36 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 FIRST_SITE_ID 11892 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 GRID_POINT_Y 118 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 INDEX 13646 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 INT_TILE_Y 113 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 NAME BRAM_INT_INTERFACE_L_X30Y36 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 ROW 118 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y36 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 TILE_Y -123448 TILEPROP BRAM_INT_INTERFACE_L_X30Y36 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y37 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y37 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 FIRST_SITE_ID 11760 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 GRID_POINT_Y 117 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 INDEX 13531 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 INT_TILE_Y 112 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 NAME BRAM_INT_INTERFACE_L_X30Y37 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 ROW 117 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y37 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 TILE_Y -120248 TILEPROP BRAM_INT_INTERFACE_L_X30Y37 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y38 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y38 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 FIRST_SITE_ID 11660 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 GRID_POINT_Y 116 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 INDEX 13416 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 INT_TILE_Y 111 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 NAME BRAM_INT_INTERFACE_L_X30Y38 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 ROW 116 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y38 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 TILE_Y -117048 TILEPROP BRAM_INT_INTERFACE_L_X30Y38 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y39 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y39 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 FIRST_SITE_ID 11560 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 GRID_POINT_Y 115 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 INDEX 13301 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 INT_TILE_Y 110 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 NAME BRAM_INT_INTERFACE_L_X30Y39 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 ROW 115 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y39 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 TILE_Y -113848 TILEPROP BRAM_INT_INTERFACE_L_X30Y39 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y40 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y40 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 FIRST_SITE_ID 11454 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 GRID_POINT_Y 114 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 INDEX 13186 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 INT_TILE_Y 109 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 NAME BRAM_INT_INTERFACE_L_X30Y40 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 ROW 114 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y40 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 TILE_Y -110648 TILEPROP BRAM_INT_INTERFACE_L_X30Y40 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y41 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y41 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 FIRST_SITE_ID 11343 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 GRID_POINT_Y 113 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 INDEX 13071 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 INT_TILE_Y 108 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 NAME BRAM_INT_INTERFACE_L_X30Y41 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 ROW 113 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y41 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 TILE_Y -107448 TILEPROP BRAM_INT_INTERFACE_L_X30Y41 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y42 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y42 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 FIRST_SITE_ID 11241 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 GRID_POINT_Y 112 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 INDEX 12956 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 INT_TILE_Y 107 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 NAME BRAM_INT_INTERFACE_L_X30Y42 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 ROW 112 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y42 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 TILE_Y -104248 TILEPROP BRAM_INT_INTERFACE_L_X30Y42 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y43 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y43 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 FIRST_SITE_ID 11138 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 GRID_POINT_Y 111 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 INDEX 12841 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 INT_TILE_Y 106 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 NAME BRAM_INT_INTERFACE_L_X30Y43 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 ROW 111 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y43 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 TILE_Y -101048 TILEPROP BRAM_INT_INTERFACE_L_X30Y43 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y44 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y44 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 FIRST_SITE_ID 11036 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 GRID_POINT_Y 110 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 INDEX 12726 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 INT_TILE_Y 105 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 NAME BRAM_INT_INTERFACE_L_X30Y44 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 ROW 110 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y44 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 TILE_Y -97848 TILEPROP BRAM_INT_INTERFACE_L_X30Y44 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y45 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y45 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 FIRST_SITE_ID 10930 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 GRID_POINT_Y 109 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 INDEX 12611 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 INT_TILE_Y 104 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 NAME BRAM_INT_INTERFACE_L_X30Y45 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 ROW 109 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y45 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 TILE_Y -94648 TILEPROP BRAM_INT_INTERFACE_L_X30Y45 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y46 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y46 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 FIRST_SITE_ID 10820 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 GRID_POINT_Y 108 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 INDEX 12496 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 INT_TILE_Y 103 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 NAME BRAM_INT_INTERFACE_L_X30Y46 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 ROW 108 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y46 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 TILE_Y -91448 TILEPROP BRAM_INT_INTERFACE_L_X30Y46 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y47 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y47 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 FIRST_SITE_ID 10704 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 GRID_POINT_Y 107 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 INDEX 12381 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 INT_TILE_Y 102 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 NAME BRAM_INT_INTERFACE_L_X30Y47 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 ROW 107 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y47 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 TILE_Y -88248 TILEPROP BRAM_INT_INTERFACE_L_X30Y47 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y48 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y48 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 FIRST_SITE_ID 10604 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 GRID_POINT_Y 106 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 INDEX 12266 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 INT_TILE_Y 101 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 NAME BRAM_INT_INTERFACE_L_X30Y48 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 ROW 106 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y48 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 TILE_Y -85048 TILEPROP BRAM_INT_INTERFACE_L_X30Y48 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y49 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y49 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 FIRST_SITE_ID 10508 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 GRID_POINT_Y 105 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 INDEX 12151 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 INT_TILE_Y 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 NAME BRAM_INT_INTERFACE_L_X30Y49 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 ROW 105 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y49 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 TILE_Y -81848 TILEPROP BRAM_INT_INTERFACE_L_X30Y49 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y50 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y50 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 FIRST_SITE_ID 10402 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 GRID_POINT_Y 103 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 INDEX 11921 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 INT_TILE_Y 99 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 NAME BRAM_INT_INTERFACE_L_X30Y50 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 ROW 103 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y50 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 TILE_Y -78400 TILEPROP BRAM_INT_INTERFACE_L_X30Y50 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y51 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y51 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 FIRST_SITE_ID 10273 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 GRID_POINT_Y 102 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 INDEX 11806 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 INT_TILE_Y 98 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 NAME BRAM_INT_INTERFACE_L_X30Y51 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 ROW 102 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y51 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 TILE_Y -75200 TILEPROP BRAM_INT_INTERFACE_L_X30Y51 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y52 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y52 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 FIRST_SITE_ID 10173 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 GRID_POINT_Y 101 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 INDEX 11691 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 INT_TILE_Y 97 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 NAME BRAM_INT_INTERFACE_L_X30Y52 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 ROW 101 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y52 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 TILE_Y -72000 TILEPROP BRAM_INT_INTERFACE_L_X30Y52 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y53 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y53 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 FIRST_SITE_ID 10073 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 GRID_POINT_Y 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 INDEX 11576 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 INT_TILE_Y 96 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 NAME BRAM_INT_INTERFACE_L_X30Y53 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 ROW 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y53 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 TILE_Y -68800 TILEPROP BRAM_INT_INTERFACE_L_X30Y53 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y54 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y54 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 FIRST_SITE_ID 9973 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 GRID_POINT_Y 99 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 INDEX 11461 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 INT_TILE_Y 95 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 NAME BRAM_INT_INTERFACE_L_X30Y54 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 ROW 99 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y54 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 TILE_Y -65600 TILEPROP BRAM_INT_INTERFACE_L_X30Y54 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y55 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y55 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 FIRST_SITE_ID 9867 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 GRID_POINT_Y 98 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 INDEX 11346 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 INT_TILE_Y 94 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 NAME BRAM_INT_INTERFACE_L_X30Y55 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 ROW 98 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y55 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 TILE_Y -62400 TILEPROP BRAM_INT_INTERFACE_L_X30Y55 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y56 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y56 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 FIRST_SITE_ID 9758 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 GRID_POINT_Y 97 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 INDEX 11231 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 INT_TILE_Y 93 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 NAME BRAM_INT_INTERFACE_L_X30Y56 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 ROW 97 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y56 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 TILE_Y -59200 TILEPROP BRAM_INT_INTERFACE_L_X30Y56 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y57 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y57 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 FIRST_SITE_ID 9656 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 GRID_POINT_Y 96 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 INDEX 11116 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 INT_TILE_Y 92 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 NAME BRAM_INT_INTERFACE_L_X30Y57 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 ROW 96 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y57 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 TILE_Y -56000 TILEPROP BRAM_INT_INTERFACE_L_X30Y57 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y58 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y58 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 FIRST_SITE_ID 9553 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 GRID_POINT_Y 95 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 INDEX 11001 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 INT_TILE_Y 91 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 NAME BRAM_INT_INTERFACE_L_X30Y58 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 ROW 95 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y58 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 TILE_Y -52800 TILEPROP BRAM_INT_INTERFACE_L_X30Y58 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y59 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y59 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 FIRST_SITE_ID 9452 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 GRID_POINT_Y 94 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 INDEX 10886 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 INT_TILE_Y 90 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 NAME BRAM_INT_INTERFACE_L_X30Y59 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 ROW 94 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y59 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 TILE_Y -49600 TILEPROP BRAM_INT_INTERFACE_L_X30Y59 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y60 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y60 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 FIRST_SITE_ID 9346 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 GRID_POINT_Y 93 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 INDEX 10771 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 INT_TILE_Y 89 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 NAME BRAM_INT_INTERFACE_L_X30Y60 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 ROW 93 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y60 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 TILE_Y -46400 TILEPROP BRAM_INT_INTERFACE_L_X30Y60 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y61 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y61 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 FIRST_SITE_ID 9237 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 GRID_POINT_Y 92 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 INDEX 10656 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 INT_TILE_Y 88 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 NAME BRAM_INT_INTERFACE_L_X30Y61 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 ROW 92 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y61 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 TILE_Y -43200 TILEPROP BRAM_INT_INTERFACE_L_X30Y61 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y62 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y62 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 FIRST_SITE_ID 9137 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 GRID_POINT_Y 91 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 INDEX 10541 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 INT_TILE_Y 87 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 NAME BRAM_INT_INTERFACE_L_X30Y62 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 ROW 91 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y62 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 TILE_Y -40000 TILEPROP BRAM_INT_INTERFACE_L_X30Y62 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y63 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y63 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 FIRST_SITE_ID 9005 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 GRID_POINT_Y 90 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 INDEX 10426 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 INT_TILE_Y 86 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 NAME BRAM_INT_INTERFACE_L_X30Y63 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 ROW 90 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y63 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 TILE_Y -36800 TILEPROP BRAM_INT_INTERFACE_L_X30Y63 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y64 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y64 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 FIRST_SITE_ID 8905 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 GRID_POINT_Y 89 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 INDEX 10311 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 INT_TILE_Y 85 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 NAME BRAM_INT_INTERFACE_L_X30Y64 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 ROW 89 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y64 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 TILE_Y -33600 TILEPROP BRAM_INT_INTERFACE_L_X30Y64 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y65 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y65 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 FIRST_SITE_ID 8799 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 GRID_POINT_Y 88 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 INDEX 10196 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 INT_TILE_Y 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 NAME BRAM_INT_INTERFACE_L_X30Y65 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 ROW 88 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y65 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 TILE_Y -30400 TILEPROP BRAM_INT_INTERFACE_L_X30Y65 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y66 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y66 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 FIRST_SITE_ID 8690 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 GRID_POINT_Y 87 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 INDEX 10081 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 INT_TILE_Y 83 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 NAME BRAM_INT_INTERFACE_L_X30Y66 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 ROW 87 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y66 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 TILE_Y -27200 TILEPROP BRAM_INT_INTERFACE_L_X30Y66 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y67 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y67 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 FIRST_SITE_ID 8586 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 GRID_POINT_Y 86 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 INDEX 9966 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 INT_TILE_Y 82 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 NAME BRAM_INT_INTERFACE_L_X30Y67 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 ROW 86 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y67 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 TILE_Y -24000 TILEPROP BRAM_INT_INTERFACE_L_X30Y67 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y68 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y68 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 FIRST_SITE_ID 8482 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 GRID_POINT_Y 85 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 INDEX 9851 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 INT_TILE_Y 81 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 NAME BRAM_INT_INTERFACE_L_X30Y68 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 ROW 85 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y68 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 TILE_Y -20800 TILEPROP BRAM_INT_INTERFACE_L_X30Y68 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y69 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y69 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 FIRST_SITE_ID 8380 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 GRID_POINT_Y 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 INDEX 9736 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 INT_TILE_Y 80 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 NAME BRAM_INT_INTERFACE_L_X30Y69 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 ROW 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y69 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 TILE_Y -17600 TILEPROP BRAM_INT_INTERFACE_L_X30Y69 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y70 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y70 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 FIRST_SITE_ID 8272 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 GRID_POINT_Y 83 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 INDEX 9621 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 INT_TILE_Y 79 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 NAME BRAM_INT_INTERFACE_L_X30Y70 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 ROW 83 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y70 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 TILE_Y -14400 TILEPROP BRAM_INT_INTERFACE_L_X30Y70 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y71 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y71 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 FIRST_SITE_ID 8163 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 GRID_POINT_Y 82 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 INDEX 9506 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 INT_TILE_Y 78 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 NAME BRAM_INT_INTERFACE_L_X30Y71 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 ROW 82 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y71 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 TILE_Y -11200 TILEPROP BRAM_INT_INTERFACE_L_X30Y71 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y72 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y72 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 FIRST_SITE_ID 8063 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 GRID_POINT_Y 81 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 INDEX 9391 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 INT_TILE_Y 77 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 NAME BRAM_INT_INTERFACE_L_X30Y72 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 ROW 81 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y72 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 TILE_Y -8000 TILEPROP BRAM_INT_INTERFACE_L_X30Y72 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y73 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y73 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 FIRST_SITE_ID 7963 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 GRID_POINT_Y 80 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 INDEX 9276 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 INT_TILE_Y 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 NAME BRAM_INT_INTERFACE_L_X30Y73 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 ROW 80 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y73 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 TILE_Y -4800 TILEPROP BRAM_INT_INTERFACE_L_X30Y73 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y74 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y74 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 FIRST_SITE_ID 7863 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 GRID_POINT_Y 79 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 INDEX 9161 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 INT_TILE_Y 75 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 NAME BRAM_INT_INTERFACE_L_X30Y74 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 ROW 79 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y74 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 TILE_Y -1600 TILEPROP BRAM_INT_INTERFACE_L_X30Y74 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y75 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y75 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 FIRST_SITE_ID 7674 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 GRID_POINT_Y 77 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 INDEX 8931 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 INT_TILE_Y 74 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 NAME BRAM_INT_INTERFACE_L_X30Y75 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 ROW 77 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y75 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 TILE_Y 2624 TILEPROP BRAM_INT_INTERFACE_L_X30Y75 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y76 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y76 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 FIRST_SITE_ID 7562 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 GRID_POINT_Y 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 INDEX 8816 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 INT_TILE_Y 73 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 NAME BRAM_INT_INTERFACE_L_X30Y76 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 ROW 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y76 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 TILE_Y 5824 TILEPROP BRAM_INT_INTERFACE_L_X30Y76 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y77 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y77 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 FIRST_SITE_ID 7462 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 GRID_POINT_Y 75 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 INDEX 8701 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 INT_TILE_Y 72 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 NAME BRAM_INT_INTERFACE_L_X30Y77 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 ROW 75 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y77 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 TILE_Y 9024 TILEPROP BRAM_INT_INTERFACE_L_X30Y77 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y78 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y78 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 FIRST_SITE_ID 7362 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 GRID_POINT_Y 74 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 INDEX 8586 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 INT_TILE_Y 71 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 NAME BRAM_INT_INTERFACE_L_X30Y78 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 ROW 74 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y78 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 TILE_Y 12224 TILEPROP BRAM_INT_INTERFACE_L_X30Y78 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y79 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y79 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 FIRST_SITE_ID 7256 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 GRID_POINT_Y 73 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 INDEX 8471 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 INT_TILE_Y 70 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 NAME BRAM_INT_INTERFACE_L_X30Y79 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 ROW 73 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y79 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 TILE_Y 15424 TILEPROP BRAM_INT_INTERFACE_L_X30Y79 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y80 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y80 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 FIRST_SITE_ID 7144 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 GRID_POINT_Y 72 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 INDEX 8356 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 INT_TILE_Y 69 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 NAME BRAM_INT_INTERFACE_L_X30Y80 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 ROW 72 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y80 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 TILE_Y 18624 TILEPROP BRAM_INT_INTERFACE_L_X30Y80 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y81 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y81 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 FIRST_SITE_ID 7033 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 GRID_POINT_Y 71 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 INDEX 8241 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 INT_TILE_Y 68 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 NAME BRAM_INT_INTERFACE_L_X30Y81 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 ROW 71 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y81 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 TILE_Y 21824 TILEPROP BRAM_INT_INTERFACE_L_X30Y81 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y82 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y82 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 FIRST_SITE_ID 6931 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 GRID_POINT_Y 70 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 INDEX 8126 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 INT_TILE_Y 67 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 NAME BRAM_INT_INTERFACE_L_X30Y82 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 ROW 70 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y82 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 TILE_Y 25024 TILEPROP BRAM_INT_INTERFACE_L_X30Y82 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y83 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y83 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 FIRST_SITE_ID 6831 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 GRID_POINT_Y 69 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 INDEX 8011 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 INT_TILE_Y 66 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 NAME BRAM_INT_INTERFACE_L_X30Y83 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 ROW 69 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y83 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 TILE_Y 28224 TILEPROP BRAM_INT_INTERFACE_L_X30Y83 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y84 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y84 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 FIRST_SITE_ID 6731 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 GRID_POINT_Y 68 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 INDEX 7896 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 INT_TILE_Y 65 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 NAME BRAM_INT_INTERFACE_L_X30Y84 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 ROW 68 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y84 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 TILE_Y 31424 TILEPROP BRAM_INT_INTERFACE_L_X30Y84 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y85 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y85 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 FIRST_SITE_ID 6625 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 GRID_POINT_Y 67 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 INDEX 7781 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 INT_TILE_Y 64 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 NAME BRAM_INT_INTERFACE_L_X30Y85 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 ROW 67 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y85 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 TILE_Y 34624 TILEPROP BRAM_INT_INTERFACE_L_X30Y85 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y86 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y86 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 FIRST_SITE_ID 6516 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 GRID_POINT_Y 66 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 INDEX 7666 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 INT_TILE_Y 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 NAME BRAM_INT_INTERFACE_L_X30Y86 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 ROW 66 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y86 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 TILE_Y 37824 TILEPROP BRAM_INT_INTERFACE_L_X30Y86 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y87 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y87 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 FIRST_SITE_ID 6384 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 GRID_POINT_Y 65 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 INDEX 7551 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 INT_TILE_Y 62 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 NAME BRAM_INT_INTERFACE_L_X30Y87 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 ROW 65 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y87 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 TILE_Y 41024 TILEPROP BRAM_INT_INTERFACE_L_X30Y87 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y88 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y88 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 FIRST_SITE_ID 6284 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 GRID_POINT_Y 64 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 INDEX 7436 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 INT_TILE_Y 61 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 NAME BRAM_INT_INTERFACE_L_X30Y88 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 ROW 64 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y88 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 TILE_Y 44224 TILEPROP BRAM_INT_INTERFACE_L_X30Y88 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y89 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y89 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 FIRST_SITE_ID 6184 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 GRID_POINT_Y 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 INDEX 7321 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 INT_TILE_Y 60 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 NAME BRAM_INT_INTERFACE_L_X30Y89 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 ROW 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y89 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 TILE_Y 47424 TILEPROP BRAM_INT_INTERFACE_L_X30Y89 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y90 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y90 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 FIRST_SITE_ID 6078 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 GRID_POINT_Y 62 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 INDEX 7206 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 INT_TILE_Y 59 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 NAME BRAM_INT_INTERFACE_L_X30Y90 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 ROW 62 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y90 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 TILE_Y 50624 TILEPROP BRAM_INT_INTERFACE_L_X30Y90 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y91 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y91 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 FIRST_SITE_ID 5969 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 GRID_POINT_Y 61 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 INDEX 7091 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 INT_TILE_Y 58 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 NAME BRAM_INT_INTERFACE_L_X30Y91 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 ROW 61 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y91 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 TILE_Y 53824 TILEPROP BRAM_INT_INTERFACE_L_X30Y91 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y92 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y92 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 FIRST_SITE_ID 5868 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 GRID_POINT_Y 60 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 INDEX 6976 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 INT_TILE_Y 57 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 NAME BRAM_INT_INTERFACE_L_X30Y92 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 ROW 60 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y92 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 TILE_Y 57024 TILEPROP BRAM_INT_INTERFACE_L_X30Y92 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y93 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y93 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 FIRST_SITE_ID 5765 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 GRID_POINT_Y 59 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 INDEX 6861 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 INT_TILE_Y 56 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 NAME BRAM_INT_INTERFACE_L_X30Y93 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 ROW 59 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y93 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 TILE_Y 60224 TILEPROP BRAM_INT_INTERFACE_L_X30Y93 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y94 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y94 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 FIRST_SITE_ID 5663 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 GRID_POINT_Y 58 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 INDEX 6746 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 INT_TILE_Y 55 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 NAME BRAM_INT_INTERFACE_L_X30Y94 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 ROW 58 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y94 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 TILE_Y 63424 TILEPROP BRAM_INT_INTERFACE_L_X30Y94 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y95 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y95 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 FIRST_SITE_ID 5557 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 GRID_POINT_Y 57 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 INDEX 6631 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 INT_TILE_Y 54 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 NAME BRAM_INT_INTERFACE_L_X30Y95 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 ROW 57 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y95 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 TILE_Y 66624 TILEPROP BRAM_INT_INTERFACE_L_X30Y95 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y96 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y96 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 FIRST_SITE_ID 5448 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 GRID_POINT_Y 56 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 INDEX 6516 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 INT_TILE_Y 53 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 NAME BRAM_INT_INTERFACE_L_X30Y96 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 ROW 56 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y96 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 TILE_Y 69824 TILEPROP BRAM_INT_INTERFACE_L_X30Y96 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y97 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y97 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 FIRST_SITE_ID 5348 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 GRID_POINT_Y 55 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 INDEX 6401 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 INT_TILE_Y 52 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 NAME BRAM_INT_INTERFACE_L_X30Y97 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 ROW 55 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y97 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 TILE_Y 73024 TILEPROP BRAM_INT_INTERFACE_L_X30Y97 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y98 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y98 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 FIRST_SITE_ID 5248 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 GRID_POINT_Y 54 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 INDEX 6286 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 INT_TILE_Y 51 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 NAME BRAM_INT_INTERFACE_L_X30Y98 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 ROW 54 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y98 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 TILE_Y 76224 TILEPROP BRAM_INT_INTERFACE_L_X30Y98 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y99 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y99 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 FIRST_SITE_ID 5152 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 GRID_POINT_Y 53 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 INDEX 6171 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 INT_TILE_Y 50 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 NAME BRAM_INT_INTERFACE_L_X30Y99 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 ROW 53 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y99 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 TILE_Y 79424 TILEPROP BRAM_INT_INTERFACE_L_X30Y99 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y125 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y125 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 FIRST_SITE_ID 2592 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 GRID_POINT_Y 25 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 INDEX 2951 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 INT_TILE_Y 24 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 NAME BRAM_INT_INTERFACE_L_X30Y125 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 ROW 25 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y125 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 TILE_Y 163896 TILEPROP BRAM_INT_INTERFACE_L_X30Y125 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y126 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y126 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 FIRST_SITE_ID 2479 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 GRID_POINT_Y 24 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 INDEX 2836 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 INT_TILE_Y 23 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 NAME BRAM_INT_INTERFACE_L_X30Y126 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 ROW 24 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y126 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 TILE_Y 167096 TILEPROP BRAM_INT_INTERFACE_L_X30Y126 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y127 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y127 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 FIRST_SITE_ID 2383 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 GRID_POINT_Y 23 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 INDEX 2721 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 INT_TILE_Y 22 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 NAME BRAM_INT_INTERFACE_L_X30Y127 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 ROW 23 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y127 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 TILE_Y 170296 TILEPROP BRAM_INT_INTERFACE_L_X30Y127 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y128 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y128 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 FIRST_SITE_ID 2279 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 GRID_POINT_Y 22 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 INDEX 2606 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 INT_TILE_Y 21 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 NAME BRAM_INT_INTERFACE_L_X30Y128 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 ROW 22 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y128 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 TILE_Y 173496 TILEPROP BRAM_INT_INTERFACE_L_X30Y128 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y129 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y129 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 FIRST_SITE_ID 2183 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 GRID_POINT_Y 21 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 INDEX 2491 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 INT_TILE_Y 20 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 NAME BRAM_INT_INTERFACE_L_X30Y129 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 ROW 21 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y129 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 TILE_Y 176696 TILEPROP BRAM_INT_INTERFACE_L_X30Y129 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y130 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y130 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 FIRST_SITE_ID 2070 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 GRID_POINT_Y 20 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 INDEX 2376 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 INT_TILE_Y 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 NAME BRAM_INT_INTERFACE_L_X30Y130 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 ROW 20 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y130 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 TILE_Y 179896 TILEPROP BRAM_INT_INTERFACE_L_X30Y130 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y131 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y131 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 FIRST_SITE_ID 1965 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 GRID_POINT_Y 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 INDEX 2261 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 INT_TILE_Y 18 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 NAME BRAM_INT_INTERFACE_L_X30Y131 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 ROW 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y131 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 TILE_Y 183096 TILEPROP BRAM_INT_INTERFACE_L_X30Y131 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y132 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y132 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 FIRST_SITE_ID 1859 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 GRID_POINT_Y 18 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 INDEX 2146 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 INT_TILE_Y 17 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 NAME BRAM_INT_INTERFACE_L_X30Y132 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 ROW 18 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y132 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 TILE_Y 186296 TILEPROP BRAM_INT_INTERFACE_L_X30Y132 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y133 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y133 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 FIRST_SITE_ID 1758 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 GRID_POINT_Y 17 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 INDEX 2031 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 INT_TILE_Y 16 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 NAME BRAM_INT_INTERFACE_L_X30Y133 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 ROW 17 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y133 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 TILE_Y 189496 TILEPROP BRAM_INT_INTERFACE_L_X30Y133 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y134 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y134 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 FIRST_SITE_ID 1654 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 GRID_POINT_Y 16 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 INDEX 1916 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 INT_TILE_Y 15 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 NAME BRAM_INT_INTERFACE_L_X30Y134 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 ROW 16 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y134 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 TILE_Y 192696 TILEPROP BRAM_INT_INTERFACE_L_X30Y134 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y135 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y135 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 FIRST_SITE_ID 1555 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 GRID_POINT_Y 15 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 INDEX 1801 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 INT_TILE_Y 14 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 NAME BRAM_INT_INTERFACE_L_X30Y135 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 ROW 15 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y135 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 TILE_Y 195896 TILEPROP BRAM_INT_INTERFACE_L_X30Y135 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y136 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y136 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 FIRST_SITE_ID 1442 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 GRID_POINT_Y 14 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 INDEX 1686 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 INT_TILE_Y 13 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 NAME BRAM_INT_INTERFACE_L_X30Y136 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 ROW 14 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y136 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 TILE_Y 199096 TILEPROP BRAM_INT_INTERFACE_L_X30Y136 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y137 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y137 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 FIRST_SITE_ID 1314 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 GRID_POINT_Y 13 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 INDEX 1571 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 INT_TILE_Y 12 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 NAME BRAM_INT_INTERFACE_L_X30Y137 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 ROW 13 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y137 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 TILE_Y 202296 TILEPROP BRAM_INT_INTERFACE_L_X30Y137 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y138 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y138 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 FIRST_SITE_ID 1210 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 GRID_POINT_Y 12 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 INDEX 1456 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 INT_TILE_Y 11 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 NAME BRAM_INT_INTERFACE_L_X30Y138 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 ROW 12 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y138 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 TILE_Y 205496 TILEPROP BRAM_INT_INTERFACE_L_X30Y138 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y139 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y139 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 FIRST_SITE_ID 1114 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 GRID_POINT_Y 11 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 INDEX 1341 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 INT_TILE_Y 10 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 NAME BRAM_INT_INTERFACE_L_X30Y139 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 ROW 11 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y139 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 TILE_Y 208696 TILEPROP BRAM_INT_INTERFACE_L_X30Y139 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y140 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y140 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 FIRST_SITE_ID 1007 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 GRID_POINT_Y 10 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 INDEX 1226 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 INT_TILE_Y 9 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 NAME BRAM_INT_INTERFACE_L_X30Y140 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 ROW 10 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y140 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 TILE_Y 211896 TILEPROP BRAM_INT_INTERFACE_L_X30Y140 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y141 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y141 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 FIRST_SITE_ID 902 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 GRID_POINT_Y 9 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 INDEX 1111 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 INT_TILE_Y 8 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 NAME BRAM_INT_INTERFACE_L_X30Y141 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 ROW 9 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y141 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 TILE_Y 215096 TILEPROP BRAM_INT_INTERFACE_L_X30Y141 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y142 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y142 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 FIRST_SITE_ID 798 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 GRID_POINT_Y 8 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 INDEX 996 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 INT_TILE_Y 7 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 NAME BRAM_INT_INTERFACE_L_X30Y142 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 ROW 8 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y142 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 TILE_Y 218296 TILEPROP BRAM_INT_INTERFACE_L_X30Y142 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y143 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y143 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 FIRST_SITE_ID 701 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 GRID_POINT_Y 7 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 INDEX 881 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 INT_TILE_Y 6 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 NAME BRAM_INT_INTERFACE_L_X30Y143 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 ROW 7 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y143 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 TILE_Y 221496 TILEPROP BRAM_INT_INTERFACE_L_X30Y143 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y144 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y144 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 FIRST_SITE_ID 590 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 GRID_POINT_Y 6 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 INDEX 766 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 INT_TILE_Y 5 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 NAME BRAM_INT_INTERFACE_L_X30Y144 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 ROW 6 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y144 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 TILE_Y 224696 TILEPROP BRAM_INT_INTERFACE_L_X30Y144 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y145 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y145 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 FIRST_SITE_ID 491 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 GRID_POINT_Y 5 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 INDEX 651 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 INT_TILE_Y 4 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 NAME BRAM_INT_INTERFACE_L_X30Y145 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 ROW 5 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y145 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 TILE_Y 227896 TILEPROP BRAM_INT_INTERFACE_L_X30Y145 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y146 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y146 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 FIRST_SITE_ID 378 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 GRID_POINT_Y 4 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 INDEX 536 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 INT_TILE_Y 3 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 NAME BRAM_INT_INTERFACE_L_X30Y146 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 ROW 4 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y146 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 TILE_Y 231096 TILEPROP BRAM_INT_INTERFACE_L_X30Y146 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y147 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y147 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 FIRST_SITE_ID 282 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 GRID_POINT_Y 3 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 INDEX 421 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 INT_TILE_Y 2 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 NAME BRAM_INT_INTERFACE_L_X30Y147 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 ROW 3 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y147 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 TILE_Y 234296 TILEPROP BRAM_INT_INTERFACE_L_X30Y147 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y148 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y148 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 FIRST_SITE_ID 178 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 GRID_POINT_Y 2 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 INDEX 306 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 INT_TILE_Y 1 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 NAME BRAM_INT_INTERFACE_L_X30Y148 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 ROW 2 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y148 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 TILE_Y 237496 TILEPROP BRAM_INT_INTERFACE_L_X30Y148 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y149 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X30Y149 COLUMN 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 FIRST_SITE_ID 82 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 GRID_POINT_X 76 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 GRID_POINT_Y 1 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 INDEX 191 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 INT_TILE_X 29 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 INT_TILE_Y 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 NAME BRAM_INT_INTERFACE_L_X30Y149 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 ROW 1 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X30Y149 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 TILE_X 40024 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 TILE_Y 240696 TILEPROP BRAM_INT_INTERFACE_L_X30Y149 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y0 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y0 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 FIRST_SITE_ID 15723 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 GRID_POINT_Y 155 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 INDEX 17845 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 INT_TILE_Y 149 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 NAME BRAM_INT_INTERFACE_L_X6Y0 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 ROW 155 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y0 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 TILE_Y -239672 TILEPROP BRAM_INT_INTERFACE_L_X6Y0 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y1 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y1 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 FIRST_SITE_ID 15615 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 GRID_POINT_Y 154 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 INDEX 17730 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 INT_TILE_Y 148 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 NAME BRAM_INT_INTERFACE_L_X6Y1 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 ROW 154 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y1 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 TILE_Y -236472 TILEPROP BRAM_INT_INTERFACE_L_X6Y1 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y2 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y2 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 FIRST_SITE_ID 15515 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 GRID_POINT_Y 153 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 INDEX 17615 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 INT_TILE_Y 147 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 NAME BRAM_INT_INTERFACE_L_X6Y2 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 ROW 153 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y2 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 TILE_Y -233272 TILEPROP BRAM_INT_INTERFACE_L_X6Y2 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y3 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y3 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 FIRST_SITE_ID 15415 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 GRID_POINT_Y 152 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 INDEX 17500 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 INT_TILE_Y 146 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 NAME BRAM_INT_INTERFACE_L_X6Y3 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 ROW 152 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y3 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 TILE_Y -230072 TILEPROP BRAM_INT_INTERFACE_L_X6Y3 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y4 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y4 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 FIRST_SITE_ID 15315 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 GRID_POINT_Y 151 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 INDEX 17385 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 INT_TILE_Y 145 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 NAME BRAM_INT_INTERFACE_L_X6Y4 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 ROW 151 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y4 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 TILE_Y -226872 TILEPROP BRAM_INT_INTERFACE_L_X6Y4 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y5 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y5 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 FIRST_SITE_ID 15203 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 GRID_POINT_Y 150 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 INDEX 17270 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 INT_TILE_Y 144 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 NAME BRAM_INT_INTERFACE_L_X6Y5 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 ROW 150 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y5 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 TILE_Y -223672 TILEPROP BRAM_INT_INTERFACE_L_X6Y5 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y6 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y6 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 FIRST_SITE_ID 15100 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 GRID_POINT_Y 149 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 INDEX 17155 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 INT_TILE_Y 143 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 NAME BRAM_INT_INTERFACE_L_X6Y6 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 ROW 149 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y6 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 TILE_Y -220472 TILEPROP BRAM_INT_INTERFACE_L_X6Y6 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y7 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y7 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 FIRST_SITE_ID 14998 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 GRID_POINT_Y 148 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 INDEX 17040 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 INT_TILE_Y 142 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 NAME BRAM_INT_INTERFACE_L_X6Y7 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 ROW 148 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y7 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 TILE_Y -217272 TILEPROP BRAM_INT_INTERFACE_L_X6Y7 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y8 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y8 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 FIRST_SITE_ID 14895 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 GRID_POINT_Y 147 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 INDEX 16925 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 INT_TILE_Y 141 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 NAME BRAM_INT_INTERFACE_L_X6Y8 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 ROW 147 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y8 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 TILE_Y -214072 TILEPROP BRAM_INT_INTERFACE_L_X6Y8 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y9 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y9 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 FIRST_SITE_ID 14794 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 GRID_POINT_Y 146 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 INDEX 16810 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 INT_TILE_Y 140 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 NAME BRAM_INT_INTERFACE_L_X6Y9 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 ROW 146 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y9 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 TILE_Y -210872 TILEPROP BRAM_INT_INTERFACE_L_X6Y9 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y10 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y10 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 FIRST_SITE_ID 14682 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 GRID_POINT_Y 145 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 INDEX 16695 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 INT_TILE_Y 139 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 NAME BRAM_INT_INTERFACE_L_X6Y10 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 ROW 145 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y10 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 TILE_Y -207672 TILEPROP BRAM_INT_INTERFACE_L_X6Y10 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y11 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y11 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 FIRST_SITE_ID 14579 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 GRID_POINT_Y 144 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 INDEX 16580 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 INT_TILE_Y 138 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 NAME BRAM_INT_INTERFACE_L_X6Y11 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 ROW 144 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y11 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 TILE_Y -204472 TILEPROP BRAM_INT_INTERFACE_L_X6Y11 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y12 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y12 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 FIRST_SITE_ID 14447 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 GRID_POINT_Y 143 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 INDEX 16465 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 INT_TILE_Y 137 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 NAME BRAM_INT_INTERFACE_L_X6Y12 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 ROW 143 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y12 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 TILE_Y -201272 TILEPROP BRAM_INT_INTERFACE_L_X6Y12 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y13 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y13 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 FIRST_SITE_ID 14347 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 GRID_POINT_Y 142 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 INDEX 16350 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 INT_TILE_Y 136 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 NAME BRAM_INT_INTERFACE_L_X6Y13 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 ROW 142 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y13 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 TILE_Y -198072 TILEPROP BRAM_INT_INTERFACE_L_X6Y13 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y14 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y14 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 FIRST_SITE_ID 14247 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 GRID_POINT_Y 141 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 INDEX 16235 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 INT_TILE_Y 135 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 NAME BRAM_INT_INTERFACE_L_X6Y14 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 ROW 141 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y14 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 TILE_Y -194872 TILEPROP BRAM_INT_INTERFACE_L_X6Y14 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y15 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y15 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 FIRST_SITE_ID 14135 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 GRID_POINT_Y 140 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 INDEX 16120 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 INT_TILE_Y 134 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 NAME BRAM_INT_INTERFACE_L_X6Y15 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 ROW 140 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y15 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 TILE_Y -191672 TILEPROP BRAM_INT_INTERFACE_L_X6Y15 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y16 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y16 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 FIRST_SITE_ID 14032 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 GRID_POINT_Y 139 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 INDEX 16005 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 INT_TILE_Y 133 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 NAME BRAM_INT_INTERFACE_L_X6Y16 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 ROW 139 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y16 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 TILE_Y -188472 TILEPROP BRAM_INT_INTERFACE_L_X6Y16 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y17 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y17 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 FIRST_SITE_ID 13927 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 GRID_POINT_Y 138 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 INDEX 15890 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 INT_TILE_Y 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 NAME BRAM_INT_INTERFACE_L_X6Y17 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 ROW 138 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y17 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 TILE_Y -185272 TILEPROP BRAM_INT_INTERFACE_L_X6Y17 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y18 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y18 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 FIRST_SITE_ID 13823 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 GRID_POINT_Y 137 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 INDEX 15775 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 INT_TILE_Y 131 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 NAME BRAM_INT_INTERFACE_L_X6Y18 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 ROW 137 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y18 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 TILE_Y -182072 TILEPROP BRAM_INT_INTERFACE_L_X6Y18 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y19 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y19 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 FIRST_SITE_ID 13721 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 GRID_POINT_Y 136 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 INDEX 15660 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 INT_TILE_Y 130 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 NAME BRAM_INT_INTERFACE_L_X6Y19 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 ROW 136 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y19 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 TILE_Y -178872 TILEPROP BRAM_INT_INTERFACE_L_X6Y19 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y20 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y20 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 FIRST_SITE_ID 13607 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 GRID_POINT_Y 135 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 INDEX 15545 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 INT_TILE_Y 129 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 NAME BRAM_INT_INTERFACE_L_X6Y20 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 ROW 135 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y20 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 TILE_Y -175672 TILEPROP BRAM_INT_INTERFACE_L_X6Y20 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y21 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y21 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 FIRST_SITE_ID 13504 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 GRID_POINT_Y 134 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 INDEX 15430 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 INT_TILE_Y 128 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 NAME BRAM_INT_INTERFACE_L_X6Y21 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 ROW 134 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y21 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 TILE_Y -172472 TILEPROP BRAM_INT_INTERFACE_L_X6Y21 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y22 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y22 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 FIRST_SITE_ID 13404 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 GRID_POINT_Y 133 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 INDEX 15315 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 INT_TILE_Y 127 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 NAME BRAM_INT_INTERFACE_L_X6Y22 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 ROW 133 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y22 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 TILE_Y -169272 TILEPROP BRAM_INT_INTERFACE_L_X6Y22 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y23 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y23 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 FIRST_SITE_ID 13304 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 GRID_POINT_Y 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 INDEX 15200 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 INT_TILE_Y 126 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 NAME BRAM_INT_INTERFACE_L_X6Y23 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 ROW 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y23 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 TILE_Y -166072 TILEPROP BRAM_INT_INTERFACE_L_X6Y23 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y24 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y24 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 FIRST_SITE_ID 13204 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 GRID_POINT_Y 131 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 INDEX 15085 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 INT_TILE_Y 125 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 NAME BRAM_INT_INTERFACE_L_X6Y24 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 ROW 131 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y24 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 TILE_Y -162872 TILEPROP BRAM_INT_INTERFACE_L_X6Y24 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y25 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y25 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 FIRST_SITE_ID 13009 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 GRID_POINT_Y 129 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 INDEX 14855 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 INT_TILE_Y 124 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 NAME BRAM_INT_INTERFACE_L_X6Y25 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 ROW 129 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y25 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 TILE_Y -158648 TILEPROP BRAM_INT_INTERFACE_L_X6Y25 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y26 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y26 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 FIRST_SITE_ID 12906 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 GRID_POINT_Y 128 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 INDEX 14740 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 INT_TILE_Y 123 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 NAME BRAM_INT_INTERFACE_L_X6Y26 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 ROW 128 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y26 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 TILE_Y -155448 TILEPROP BRAM_INT_INTERFACE_L_X6Y26 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y27 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y27 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 FIRST_SITE_ID 12806 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 GRID_POINT_Y 127 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 INDEX 14625 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 INT_TILE_Y 122 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 NAME BRAM_INT_INTERFACE_L_X6Y27 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 ROW 127 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y27 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 TILE_Y -152248 TILEPROP BRAM_INT_INTERFACE_L_X6Y27 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y28 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y28 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 FIRST_SITE_ID 12706 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 GRID_POINT_Y 126 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 INDEX 14510 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 INT_TILE_Y 121 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 NAME BRAM_INT_INTERFACE_L_X6Y28 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 ROW 126 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y28 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 TILE_Y -149048 TILEPROP BRAM_INT_INTERFACE_L_X6Y28 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y29 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y29 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 FIRST_SITE_ID 12600 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 GRID_POINT_Y 125 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 INDEX 14395 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 INT_TILE_Y 120 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 NAME BRAM_INT_INTERFACE_L_X6Y29 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 ROW 125 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y29 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 TILE_Y -145848 TILEPROP BRAM_INT_INTERFACE_L_X6Y29 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y30 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y30 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 FIRST_SITE_ID 12469 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 GRID_POINT_Y 124 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 INDEX 14280 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 INT_TILE_Y 119 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 NAME BRAM_INT_INTERFACE_L_X6Y30 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 ROW 124 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y30 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 TILE_Y -142648 TILEPROP BRAM_INT_INTERFACE_L_X6Y30 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y31 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y31 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 FIRST_SITE_ID 12364 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 GRID_POINT_Y 123 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 INDEX 14165 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 INT_TILE_Y 118 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 NAME BRAM_INT_INTERFACE_L_X6Y31 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 ROW 123 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y31 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 TILE_Y -139448 TILEPROP BRAM_INT_INTERFACE_L_X6Y31 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y32 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y32 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 FIRST_SITE_ID 12261 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 GRID_POINT_Y 122 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 INDEX 14050 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 INT_TILE_Y 117 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 NAME BRAM_INT_INTERFACE_L_X6Y32 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 ROW 122 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y32 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 TILE_Y -136248 TILEPROP BRAM_INT_INTERFACE_L_X6Y32 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y33 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y33 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 FIRST_SITE_ID 12161 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 GRID_POINT_Y 121 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 INDEX 13935 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 INT_TILE_Y 116 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 NAME BRAM_INT_INTERFACE_L_X6Y33 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 ROW 121 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y33 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 TILE_Y -133048 TILEPROP BRAM_INT_INTERFACE_L_X6Y33 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y34 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y34 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 FIRST_SITE_ID 12061 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 GRID_POINT_Y 120 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 INDEX 13820 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 INT_TILE_Y 115 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 NAME BRAM_INT_INTERFACE_L_X6Y34 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 ROW 120 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y34 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 TILE_Y -129848 TILEPROP BRAM_INT_INTERFACE_L_X6Y34 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y35 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y35 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 FIRST_SITE_ID 11949 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 GRID_POINT_Y 119 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 INDEX 13705 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 INT_TILE_Y 114 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 NAME BRAM_INT_INTERFACE_L_X6Y35 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 ROW 119 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y35 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 TILE_Y -126648 TILEPROP BRAM_INT_INTERFACE_L_X6Y35 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y36 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y36 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 FIRST_SITE_ID 11814 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 GRID_POINT_Y 118 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 INDEX 13590 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 INT_TILE_Y 113 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 NAME BRAM_INT_INTERFACE_L_X6Y36 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 ROW 118 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y36 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 TILE_Y -123448 TILEPROP BRAM_INT_INTERFACE_L_X6Y36 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y37 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y37 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 FIRST_SITE_ID 11714 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 GRID_POINT_Y 117 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 INDEX 13475 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 INT_TILE_Y 112 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 NAME BRAM_INT_INTERFACE_L_X6Y37 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 ROW 117 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y37 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 TILE_Y -120248 TILEPROP BRAM_INT_INTERFACE_L_X6Y37 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y38 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y38 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 FIRST_SITE_ID 11614 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 GRID_POINT_Y 116 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 INDEX 13360 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 INT_TILE_Y 111 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 NAME BRAM_INT_INTERFACE_L_X6Y38 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 ROW 116 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y38 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 TILE_Y -117048 TILEPROP BRAM_INT_INTERFACE_L_X6Y38 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y39 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y39 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 FIRST_SITE_ID 11514 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 GRID_POINT_Y 115 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 INDEX 13245 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 INT_TILE_Y 110 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 NAME BRAM_INT_INTERFACE_L_X6Y39 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 ROW 115 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y39 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 TILE_Y -113848 TILEPROP BRAM_INT_INTERFACE_L_X6Y39 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y40 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y40 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 FIRST_SITE_ID 11400 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 GRID_POINT_Y 114 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 INDEX 13130 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 INT_TILE_Y 109 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 NAME BRAM_INT_INTERFACE_L_X6Y40 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 ROW 114 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y40 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 TILE_Y -110648 TILEPROP BRAM_INT_INTERFACE_L_X6Y40 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y41 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y41 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 FIRST_SITE_ID 11296 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 GRID_POINT_Y 113 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 INDEX 13015 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 INT_TILE_Y 108 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 NAME BRAM_INT_INTERFACE_L_X6Y41 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 ROW 113 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y41 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 TILE_Y -107448 TILEPROP BRAM_INT_INTERFACE_L_X6Y41 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y42 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y42 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 FIRST_SITE_ID 11195 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 GRID_POINT_Y 112 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 INDEX 12900 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 INT_TILE_Y 107 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 NAME BRAM_INT_INTERFACE_L_X6Y42 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 ROW 112 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y42 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 TILE_Y -104248 TILEPROP BRAM_INT_INTERFACE_L_X6Y42 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y43 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y43 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 FIRST_SITE_ID 11092 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 GRID_POINT_Y 111 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 INDEX 12785 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 INT_TILE_Y 106 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 NAME BRAM_INT_INTERFACE_L_X6Y43 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 ROW 111 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y43 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 TILE_Y -101048 TILEPROP BRAM_INT_INTERFACE_L_X6Y43 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y44 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y44 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 FIRST_SITE_ID 10990 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 GRID_POINT_Y 110 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 INDEX 12670 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 INT_TILE_Y 105 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 NAME BRAM_INT_INTERFACE_L_X6Y44 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 ROW 110 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y44 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 TILE_Y -97848 TILEPROP BRAM_INT_INTERFACE_L_X6Y44 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y45 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y45 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 FIRST_SITE_ID 10877 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 GRID_POINT_Y 109 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 INDEX 12555 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 INT_TILE_Y 104 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 NAME BRAM_INT_INTERFACE_L_X6Y45 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 ROW 109 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y45 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 TILE_Y -94648 TILEPROP BRAM_INT_INTERFACE_L_X6Y45 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y46 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y46 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 FIRST_SITE_ID 10758 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 GRID_POINT_Y 108 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 INDEX 12440 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 INT_TILE_Y 103 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 NAME BRAM_INT_INTERFACE_L_X6Y46 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 ROW 108 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y46 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 TILE_Y -91448 TILEPROP BRAM_INT_INTERFACE_L_X6Y46 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y47 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y47 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 FIRST_SITE_ID 10658 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 GRID_POINT_Y 107 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 INDEX 12325 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 INT_TILE_Y 102 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 NAME BRAM_INT_INTERFACE_L_X6Y47 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 ROW 107 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y47 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 TILE_Y -88248 TILEPROP BRAM_INT_INTERFACE_L_X6Y47 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y48 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y48 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 FIRST_SITE_ID 10558 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 GRID_POINT_Y 106 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 INDEX 12210 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 INT_TILE_Y 101 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 NAME BRAM_INT_INTERFACE_L_X6Y48 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 ROW 106 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y48 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 TILE_Y -85048 TILEPROP BRAM_INT_INTERFACE_L_X6Y48 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y49 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y49 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 FIRST_SITE_ID 10462 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 GRID_POINT_Y 105 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 INDEX 12095 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 INT_TILE_Y 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 NAME BRAM_INT_INTERFACE_L_X6Y49 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 ROW 105 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y49 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 TILE_Y -81848 TILEPROP BRAM_INT_INTERFACE_L_X6Y49 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y50 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y50 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 FIRST_SITE_ID 10334 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 GRID_POINT_Y 103 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 INDEX 11865 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 INT_TILE_Y 99 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 NAME BRAM_INT_INTERFACE_L_X6Y50 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 ROW 103 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y50 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 TILE_Y -78400 TILEPROP BRAM_INT_INTERFACE_L_X6Y50 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y51 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y51 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 FIRST_SITE_ID 10227 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 GRID_POINT_Y 102 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 INDEX 11750 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 INT_TILE_Y 98 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 NAME BRAM_INT_INTERFACE_L_X6Y51 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 ROW 102 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y51 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 TILE_Y -75200 TILEPROP BRAM_INT_INTERFACE_L_X6Y51 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y52 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y52 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 FIRST_SITE_ID 10127 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 GRID_POINT_Y 101 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 INDEX 11635 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 INT_TILE_Y 97 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 NAME BRAM_INT_INTERFACE_L_X6Y52 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 ROW 101 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y52 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 TILE_Y -72000 TILEPROP BRAM_INT_INTERFACE_L_X6Y52 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y53 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y53 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 FIRST_SITE_ID 10027 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 GRID_POINT_Y 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 INDEX 11520 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 INT_TILE_Y 96 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 NAME BRAM_INT_INTERFACE_L_X6Y53 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 ROW 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y53 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 TILE_Y -68800 TILEPROP BRAM_INT_INTERFACE_L_X6Y53 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y54 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y54 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 FIRST_SITE_ID 9927 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 GRID_POINT_Y 99 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 INDEX 11405 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 INT_TILE_Y 95 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 NAME BRAM_INT_INTERFACE_L_X6Y54 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 ROW 99 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y54 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 TILE_Y -65600 TILEPROP BRAM_INT_INTERFACE_L_X6Y54 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y55 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y55 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 FIRST_SITE_ID 9815 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 GRID_POINT_Y 98 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 INDEX 11290 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 INT_TILE_Y 94 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 NAME BRAM_INT_INTERFACE_L_X6Y55 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 ROW 98 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y55 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 TILE_Y -62400 TILEPROP BRAM_INT_INTERFACE_L_X6Y55 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y56 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y56 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 FIRST_SITE_ID 9712 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 GRID_POINT_Y 97 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 INDEX 11175 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 INT_TILE_Y 93 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 NAME BRAM_INT_INTERFACE_L_X6Y56 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 ROW 97 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y56 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 TILE_Y -59200 TILEPROP BRAM_INT_INTERFACE_L_X6Y56 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y57 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y57 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 FIRST_SITE_ID 9610 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 GRID_POINT_Y 96 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 INDEX 11060 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 INT_TILE_Y 92 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 NAME BRAM_INT_INTERFACE_L_X6Y57 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 ROW 96 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y57 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 TILE_Y -56000 TILEPROP BRAM_INT_INTERFACE_L_X6Y57 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y58 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y58 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 FIRST_SITE_ID 9507 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 GRID_POINT_Y 95 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 INDEX 10945 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 INT_TILE_Y 91 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 NAME BRAM_INT_INTERFACE_L_X6Y58 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 ROW 95 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y58 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 TILE_Y -52800 TILEPROP BRAM_INT_INTERFACE_L_X6Y58 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y59 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y59 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 FIRST_SITE_ID 9406 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 GRID_POINT_Y 94 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 INDEX 10830 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 INT_TILE_Y 90 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 NAME BRAM_INT_INTERFACE_L_X6Y59 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 ROW 94 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y59 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 TILE_Y -49600 TILEPROP BRAM_INT_INTERFACE_L_X6Y59 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y60 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y60 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 FIRST_SITE_ID 9294 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 GRID_POINT_Y 93 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 INDEX 10715 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 INT_TILE_Y 89 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 NAME BRAM_INT_INTERFACE_L_X6Y60 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 ROW 93 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y60 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 TILE_Y -46400 TILEPROP BRAM_INT_INTERFACE_L_X6Y60 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y61 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y61 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 FIRST_SITE_ID 9191 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 GRID_POINT_Y 92 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 INDEX 10600 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 INT_TILE_Y 88 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 NAME BRAM_INT_INTERFACE_L_X6Y61 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 ROW 92 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y61 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 TILE_Y -43200 TILEPROP BRAM_INT_INTERFACE_L_X6Y61 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y62 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y62 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 FIRST_SITE_ID 9059 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 GRID_POINT_Y 91 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 INDEX 10485 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 INT_TILE_Y 87 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 NAME BRAM_INT_INTERFACE_L_X6Y62 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 ROW 91 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y62 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 TILE_Y -40000 TILEPROP BRAM_INT_INTERFACE_L_X6Y62 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y63 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y63 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 FIRST_SITE_ID 8959 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 GRID_POINT_Y 90 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 INDEX 10370 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 INT_TILE_Y 86 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 NAME BRAM_INT_INTERFACE_L_X6Y63 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 ROW 90 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y63 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 TILE_Y -36800 TILEPROP BRAM_INT_INTERFACE_L_X6Y63 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y64 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y64 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 FIRST_SITE_ID 8859 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 GRID_POINT_Y 89 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 INDEX 10255 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 INT_TILE_Y 85 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 NAME BRAM_INT_INTERFACE_L_X6Y64 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 ROW 89 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y64 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 TILE_Y -33600 TILEPROP BRAM_INT_INTERFACE_L_X6Y64 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y65 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y65 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 FIRST_SITE_ID 8747 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 GRID_POINT_Y 88 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 INDEX 10140 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 INT_TILE_Y 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 NAME BRAM_INT_INTERFACE_L_X6Y65 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 ROW 88 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y65 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 TILE_Y -30400 TILEPROP BRAM_INT_INTERFACE_L_X6Y65 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y66 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y66 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 FIRST_SITE_ID 8644 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 GRID_POINT_Y 87 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 INDEX 10025 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 INT_TILE_Y 83 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 NAME BRAM_INT_INTERFACE_L_X6Y66 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 ROW 87 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y66 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 TILE_Y -27200 TILEPROP BRAM_INT_INTERFACE_L_X6Y66 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y67 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y67 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 FIRST_SITE_ID 8540 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 GRID_POINT_Y 86 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 INDEX 9910 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 INT_TILE_Y 82 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 NAME BRAM_INT_INTERFACE_L_X6Y67 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 ROW 86 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y67 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 TILE_Y -24000 TILEPROP BRAM_INT_INTERFACE_L_X6Y67 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y68 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y68 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 FIRST_SITE_ID 8436 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 GRID_POINT_Y 85 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 INDEX 9795 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 INT_TILE_Y 81 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 NAME BRAM_INT_INTERFACE_L_X6Y68 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 ROW 85 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y68 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 TILE_Y -20800 TILEPROP BRAM_INT_INTERFACE_L_X6Y68 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y69 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y69 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 FIRST_SITE_ID 8334 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 GRID_POINT_Y 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 INDEX 9680 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 INT_TILE_Y 80 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 NAME BRAM_INT_INTERFACE_L_X6Y69 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 ROW 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y69 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 TILE_Y -17600 TILEPROP BRAM_INT_INTERFACE_L_X6Y69 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y70 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y70 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 FIRST_SITE_ID 8220 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 GRID_POINT_Y 83 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 INDEX 9565 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 INT_TILE_Y 79 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 NAME BRAM_INT_INTERFACE_L_X6Y70 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 ROW 83 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y70 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 TILE_Y -14400 TILEPROP BRAM_INT_INTERFACE_L_X6Y70 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y71 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y71 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 FIRST_SITE_ID 8117 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 GRID_POINT_Y 82 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 INDEX 9450 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 INT_TILE_Y 78 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 NAME BRAM_INT_INTERFACE_L_X6Y71 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 ROW 82 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y71 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 TILE_Y -11200 TILEPROP BRAM_INT_INTERFACE_L_X6Y71 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y72 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y72 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 FIRST_SITE_ID 8017 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 GRID_POINT_Y 81 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 INDEX 9335 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 INT_TILE_Y 77 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 NAME BRAM_INT_INTERFACE_L_X6Y72 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 ROW 81 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y72 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 TILE_Y -8000 TILEPROP BRAM_INT_INTERFACE_L_X6Y72 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y73 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y73 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 FIRST_SITE_ID 7917 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 GRID_POINT_Y 80 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 INDEX 9220 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 INT_TILE_Y 76 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 NAME BRAM_INT_INTERFACE_L_X6Y73 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 ROW 80 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y73 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 TILE_Y -4800 TILEPROP BRAM_INT_INTERFACE_L_X6Y73 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y74 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y74 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 FIRST_SITE_ID 7817 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 GRID_POINT_Y 79 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 INDEX 9105 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 INT_TILE_Y 75 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 NAME BRAM_INT_INTERFACE_L_X6Y74 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 ROW 79 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y74 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 TILE_Y -1600 TILEPROP BRAM_INT_INTERFACE_L_X6Y74 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y75 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y75 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 FIRST_SITE_ID 7619 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 GRID_POINT_Y 77 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 INDEX 8875 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 INT_TILE_Y 74 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 NAME BRAM_INT_INTERFACE_L_X6Y75 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 ROW 77 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y75 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 TILE_Y 2624 TILEPROP BRAM_INT_INTERFACE_L_X6Y75 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y76 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y76 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 FIRST_SITE_ID 7516 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 GRID_POINT_Y 76 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 INDEX 8760 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 INT_TILE_Y 73 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 NAME BRAM_INT_INTERFACE_L_X6Y76 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 ROW 76 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y76 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 TILE_Y 5824 TILEPROP BRAM_INT_INTERFACE_L_X6Y76 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y77 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y77 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 FIRST_SITE_ID 7416 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 GRID_POINT_Y 75 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 INDEX 8645 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 INT_TILE_Y 72 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 NAME BRAM_INT_INTERFACE_L_X6Y77 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 ROW 75 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y77 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 TILE_Y 9024 TILEPROP BRAM_INT_INTERFACE_L_X6Y77 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y78 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y78 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 FIRST_SITE_ID 7316 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 GRID_POINT_Y 74 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 INDEX 8530 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 INT_TILE_Y 71 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 NAME BRAM_INT_INTERFACE_L_X6Y78 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 ROW 74 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y78 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 TILE_Y 12224 TILEPROP BRAM_INT_INTERFACE_L_X6Y78 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y79 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y79 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 FIRST_SITE_ID 7210 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 GRID_POINT_Y 73 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 INDEX 8415 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 INT_TILE_Y 70 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 NAME BRAM_INT_INTERFACE_L_X6Y79 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 ROW 73 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y79 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 TILE_Y 15424 TILEPROP BRAM_INT_INTERFACE_L_X6Y79 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y80 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y80 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 FIRST_SITE_ID 7092 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 GRID_POINT_Y 72 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 INDEX 8300 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 INT_TILE_Y 69 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 NAME BRAM_INT_INTERFACE_L_X6Y80 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 ROW 72 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y80 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 TILE_Y 18624 TILEPROP BRAM_INT_INTERFACE_L_X6Y80 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y81 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y81 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 FIRST_SITE_ID 6987 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 GRID_POINT_Y 71 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 INDEX 8185 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 INT_TILE_Y 68 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 NAME BRAM_INT_INTERFACE_L_X6Y81 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 ROW 71 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y81 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 TILE_Y 21824 TILEPROP BRAM_INT_INTERFACE_L_X6Y81 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y82 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y82 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 FIRST_SITE_ID 6885 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 GRID_POINT_Y 70 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 INDEX 8070 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 INT_TILE_Y 67 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 NAME BRAM_INT_INTERFACE_L_X6Y82 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 ROW 70 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y82 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 TILE_Y 25024 TILEPROP BRAM_INT_INTERFACE_L_X6Y82 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y83 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y83 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 FIRST_SITE_ID 6785 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 GRID_POINT_Y 69 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 INDEX 7955 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 INT_TILE_Y 66 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 NAME BRAM_INT_INTERFACE_L_X6Y83 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 ROW 69 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y83 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 TILE_Y 28224 TILEPROP BRAM_INT_INTERFACE_L_X6Y83 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y84 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y84 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 FIRST_SITE_ID 6685 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 GRID_POINT_Y 68 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 INDEX 7840 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 INT_TILE_Y 65 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 NAME BRAM_INT_INTERFACE_L_X6Y84 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 ROW 68 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y84 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 TILE_Y 31424 TILEPROP BRAM_INT_INTERFACE_L_X6Y84 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y85 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y85 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 FIRST_SITE_ID 6573 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 GRID_POINT_Y 67 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 INDEX 7725 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 INT_TILE_Y 64 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 NAME BRAM_INT_INTERFACE_L_X6Y85 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 ROW 67 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y85 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 TILE_Y 34624 TILEPROP BRAM_INT_INTERFACE_L_X6Y85 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y86 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y86 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 FIRST_SITE_ID 6438 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 GRID_POINT_Y 66 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 INDEX 7610 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 INT_TILE_Y 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 NAME BRAM_INT_INTERFACE_L_X6Y86 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 ROW 66 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y86 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 TILE_Y 37824 TILEPROP BRAM_INT_INTERFACE_L_X6Y86 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y87 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y87 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 FIRST_SITE_ID 6338 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 GRID_POINT_Y 65 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 INDEX 7495 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 INT_TILE_Y 62 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 NAME BRAM_INT_INTERFACE_L_X6Y87 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 ROW 65 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y87 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 TILE_Y 41024 TILEPROP BRAM_INT_INTERFACE_L_X6Y87 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y88 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y88 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 FIRST_SITE_ID 6238 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 GRID_POINT_Y 64 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 INDEX 7380 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 INT_TILE_Y 61 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 NAME BRAM_INT_INTERFACE_L_X6Y88 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 ROW 64 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y88 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 TILE_Y 44224 TILEPROP BRAM_INT_INTERFACE_L_X6Y88 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y89 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y89 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 FIRST_SITE_ID 6138 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 GRID_POINT_Y 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 INDEX 7265 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 INT_TILE_Y 60 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 NAME BRAM_INT_INTERFACE_L_X6Y89 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 ROW 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y89 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 TILE_Y 47424 TILEPROP BRAM_INT_INTERFACE_L_X6Y89 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y90 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y90 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 FIRST_SITE_ID 6026 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 GRID_POINT_Y 62 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 INDEX 7150 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 INT_TILE_Y 59 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 NAME BRAM_INT_INTERFACE_L_X6Y90 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 ROW 62 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y90 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 TILE_Y 50624 TILEPROP BRAM_INT_INTERFACE_L_X6Y90 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y91 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y91 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 FIRST_SITE_ID 5923 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 GRID_POINT_Y 61 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 INDEX 7035 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 INT_TILE_Y 58 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 NAME BRAM_INT_INTERFACE_L_X6Y91 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 ROW 61 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y91 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 TILE_Y 53824 TILEPROP BRAM_INT_INTERFACE_L_X6Y91 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y92 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y92 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 FIRST_SITE_ID 5822 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 GRID_POINT_Y 60 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 INDEX 6920 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 INT_TILE_Y 57 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 NAME BRAM_INT_INTERFACE_L_X6Y92 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 ROW 60 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y92 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 TILE_Y 57024 TILEPROP BRAM_INT_INTERFACE_L_X6Y92 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y93 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y93 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 FIRST_SITE_ID 5719 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 GRID_POINT_Y 59 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 INDEX 6805 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 INT_TILE_Y 56 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 NAME BRAM_INT_INTERFACE_L_X6Y93 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 ROW 59 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y93 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 TILE_Y 60224 TILEPROP BRAM_INT_INTERFACE_L_X6Y93 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y94 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y94 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 FIRST_SITE_ID 5617 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 GRID_POINT_Y 58 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 INDEX 6690 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 INT_TILE_Y 55 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 NAME BRAM_INT_INTERFACE_L_X6Y94 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 ROW 58 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y94 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 TILE_Y 63424 TILEPROP BRAM_INT_INTERFACE_L_X6Y94 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y95 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y95 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 FIRST_SITE_ID 5505 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 GRID_POINT_Y 57 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 INDEX 6575 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 INT_TILE_Y 54 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 NAME BRAM_INT_INTERFACE_L_X6Y95 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 ROW 57 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y95 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 TILE_Y 66624 TILEPROP BRAM_INT_INTERFACE_L_X6Y95 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y96 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y96 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 FIRST_SITE_ID 5402 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 GRID_POINT_Y 56 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 INDEX 6460 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 INT_TILE_Y 53 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 NAME BRAM_INT_INTERFACE_L_X6Y96 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 ROW 56 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y96 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 TILE_Y 69824 TILEPROP BRAM_INT_INTERFACE_L_X6Y96 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y97 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y97 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 FIRST_SITE_ID 5302 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 GRID_POINT_Y 55 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 INDEX 6345 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 INT_TILE_Y 52 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 NAME BRAM_INT_INTERFACE_L_X6Y97 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 ROW 55 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y97 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 TILE_Y 73024 TILEPROP BRAM_INT_INTERFACE_L_X6Y97 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y98 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y98 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 FIRST_SITE_ID 5202 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 GRID_POINT_Y 54 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 INDEX 6230 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 INT_TILE_Y 51 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 NAME BRAM_INT_INTERFACE_L_X6Y98 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 ROW 54 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y98 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 TILE_Y 76224 TILEPROP BRAM_INT_INTERFACE_L_X6Y98 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y99 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y99 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 FIRST_SITE_ID 5106 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 GRID_POINT_Y 53 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 INDEX 6115 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 INT_TILE_Y 50 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 NAME BRAM_INT_INTERFACE_L_X6Y99 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 ROW 53 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y99 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 TILE_Y 79424 TILEPROP BRAM_INT_INTERFACE_L_X6Y99 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y100 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y100 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 FIRST_SITE_ID 5008 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 GRID_POINT_Y 51 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 INDEX 5885 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 INT_TILE_Y 49 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 NAME BRAM_INT_INTERFACE_L_X6Y100 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 ROW 51 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y100 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 TILE_Y 82872 TILEPROP BRAM_INT_INTERFACE_L_X6Y100 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y101 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y101 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 FIRST_SITE_ID 4913 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 GRID_POINT_Y 50 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 INDEX 5770 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 INT_TILE_Y 48 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 NAME BRAM_INT_INTERFACE_L_X6Y101 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 ROW 50 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y101 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 TILE_Y 86072 TILEPROP BRAM_INT_INTERFACE_L_X6Y101 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y102 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y102 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 FIRST_SITE_ID 4817 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 GRID_POINT_Y 49 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 INDEX 5655 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 INT_TILE_Y 47 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 NAME BRAM_INT_INTERFACE_L_X6Y102 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 ROW 49 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y102 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 TILE_Y 89272 TILEPROP BRAM_INT_INTERFACE_L_X6Y102 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y103 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y103 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 FIRST_SITE_ID 4729 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 GRID_POINT_Y 48 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 INDEX 5540 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 INT_TILE_Y 46 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 NAME BRAM_INT_INTERFACE_L_X6Y103 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 ROW 48 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y103 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 TILE_Y 92472 TILEPROP BRAM_INT_INTERFACE_L_X6Y103 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y104 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y104 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 FIRST_SITE_ID 4633 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 GRID_POINT_Y 47 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 INDEX 5425 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 INT_TILE_Y 45 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 NAME BRAM_INT_INTERFACE_L_X6Y104 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 ROW 47 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y104 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 TILE_Y 95672 TILEPROP BRAM_INT_INTERFACE_L_X6Y104 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y105 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y105 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 FIRST_SITE_ID 4534 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 GRID_POINT_Y 46 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 INDEX 5310 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 INT_TILE_Y 44 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 NAME BRAM_INT_INTERFACE_L_X6Y105 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 ROW 46 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y105 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 TILE_Y 98872 TILEPROP BRAM_INT_INTERFACE_L_X6Y105 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y106 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y106 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 FIRST_SITE_ID 4435 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 GRID_POINT_Y 45 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 INDEX 5195 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 INT_TILE_Y 43 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 NAME BRAM_INT_INTERFACE_L_X6Y106 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 ROW 45 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y106 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 TILE_Y 102072 TILEPROP BRAM_INT_INTERFACE_L_X6Y106 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y107 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y107 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 FIRST_SITE_ID 4347 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 GRID_POINT_Y 44 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 INDEX 5080 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 INT_TILE_Y 42 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 NAME BRAM_INT_INTERFACE_L_X6Y107 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 ROW 44 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y107 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 TILE_Y 105272 TILEPROP BRAM_INT_INTERFACE_L_X6Y107 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y108 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y108 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 FIRST_SITE_ID 4249 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 GRID_POINT_Y 43 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 INDEX 4965 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 INT_TILE_Y 41 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 NAME BRAM_INT_INTERFACE_L_X6Y108 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 ROW 43 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y108 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 TILE_Y 108472 TILEPROP BRAM_INT_INTERFACE_L_X6Y108 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y109 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y109 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 FIRST_SITE_ID 4160 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 GRID_POINT_Y 42 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 INDEX 4850 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 INT_TILE_Y 40 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 NAME BRAM_INT_INTERFACE_L_X6Y109 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 ROW 42 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y109 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 TILE_Y 111672 TILEPROP BRAM_INT_INTERFACE_L_X6Y109 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y110 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y110 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 FIRST_SITE_ID 4057 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 GRID_POINT_Y 41 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 INDEX 4735 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 INT_TILE_Y 39 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 NAME BRAM_INT_INTERFACE_L_X6Y110 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 ROW 41 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y110 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 TILE_Y 114872 TILEPROP BRAM_INT_INTERFACE_L_X6Y110 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y111 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y111 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 FIRST_SITE_ID 3966 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 GRID_POINT_Y 40 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 INDEX 4620 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 INT_TILE_Y 38 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 NAME BRAM_INT_INTERFACE_L_X6Y111 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 ROW 40 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y111 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 TILE_Y 118072 TILEPROP BRAM_INT_INTERFACE_L_X6Y111 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y112 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y112 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 FIRST_SITE_ID 3838 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 GRID_POINT_Y 39 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 INDEX 4505 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 INT_TILE_Y 37 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 NAME BRAM_INT_INTERFACE_L_X6Y112 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 ROW 39 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y112 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 TILE_Y 121272 TILEPROP BRAM_INT_INTERFACE_L_X6Y112 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y113 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y113 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 FIRST_SITE_ID 3750 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 GRID_POINT_Y 38 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 INDEX 4390 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 INT_TILE_Y 36 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 NAME BRAM_INT_INTERFACE_L_X6Y113 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 ROW 38 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y113 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 TILE_Y 124472 TILEPROP BRAM_INT_INTERFACE_L_X6Y113 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y114 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y114 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 FIRST_SITE_ID 3654 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 GRID_POINT_Y 37 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 INDEX 4275 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 INT_TILE_Y 35 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 NAME BRAM_INT_INTERFACE_L_X6Y114 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 ROW 37 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y114 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 TILE_Y 127672 TILEPROP BRAM_INT_INTERFACE_L_X6Y114 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y115 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y115 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 FIRST_SITE_ID 3560 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 GRID_POINT_Y 36 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 INDEX 4160 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 INT_TILE_Y 34 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 NAME BRAM_INT_INTERFACE_L_X6Y115 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 ROW 36 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y115 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 TILE_Y 130872 TILEPROP BRAM_INT_INTERFACE_L_X6Y115 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y116 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y116 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 FIRST_SITE_ID 3456 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 GRID_POINT_Y 35 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 INDEX 4045 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 INT_TILE_Y 33 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 NAME BRAM_INT_INTERFACE_L_X6Y116 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 ROW 35 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y116 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 TILE_Y 134072 TILEPROP BRAM_INT_INTERFACE_L_X6Y116 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y117 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y117 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 FIRST_SITE_ID 3368 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 GRID_POINT_Y 34 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 INDEX 3930 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 INT_TILE_Y 32 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 NAME BRAM_INT_INTERFACE_L_X6Y117 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 ROW 34 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y117 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 TILE_Y 137272 TILEPROP BRAM_INT_INTERFACE_L_X6Y117 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y118 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y118 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 FIRST_SITE_ID 3268 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 GRID_POINT_Y 33 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 INDEX 3815 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 INT_TILE_Y 31 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 NAME BRAM_INT_INTERFACE_L_X6Y118 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 ROW 33 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y118 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 TILE_Y 140472 TILEPROP BRAM_INT_INTERFACE_L_X6Y118 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y119 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y119 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 FIRST_SITE_ID 3180 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 GRID_POINT_Y 32 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 INDEX 3700 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 INT_TILE_Y 30 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 NAME BRAM_INT_INTERFACE_L_X6Y119 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 ROW 32 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y119 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 TILE_Y 143672 TILEPROP BRAM_INT_INTERFACE_L_X6Y119 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y120 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y120 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 FIRST_SITE_ID 3076 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 GRID_POINT_Y 31 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 INDEX 3585 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 INT_TILE_Y 29 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 NAME BRAM_INT_INTERFACE_L_X6Y120 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 ROW 31 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y120 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 TILE_Y 146872 TILEPROP BRAM_INT_INTERFACE_L_X6Y120 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y121 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y121 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 FIRST_SITE_ID 2985 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 GRID_POINT_Y 30 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 INDEX 3470 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 INT_TILE_Y 28 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 NAME BRAM_INT_INTERFACE_L_X6Y121 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 ROW 30 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y121 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 TILE_Y 150072 TILEPROP BRAM_INT_INTERFACE_L_X6Y121 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y122 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y122 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 FIRST_SITE_ID 2882 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 GRID_POINT_Y 29 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 INDEX 3355 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 INT_TILE_Y 27 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 NAME BRAM_INT_INTERFACE_L_X6Y122 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 ROW 29 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y122 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 TILE_Y 153272 TILEPROP BRAM_INT_INTERFACE_L_X6Y122 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y123 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y123 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 FIRST_SITE_ID 2794 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 GRID_POINT_Y 28 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 INDEX 3240 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 INT_TILE_Y 26 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 NAME BRAM_INT_INTERFACE_L_X6Y123 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 ROW 28 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y123 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 TILE_Y 156472 TILEPROP BRAM_INT_INTERFACE_L_X6Y123 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y124 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y124 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 FIRST_SITE_ID 2698 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 GRID_POINT_Y 27 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 INDEX 3125 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 INT_TILE_Y 25 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 NAME BRAM_INT_INTERFACE_L_X6Y124 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 ROW 27 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y124 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 TILE_Y 159672 TILEPROP BRAM_INT_INTERFACE_L_X6Y124 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y125 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y125 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 FIRST_SITE_ID 2522 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 GRID_POINT_Y 25 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 INDEX 2895 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 INT_TILE_Y 24 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 NAME BRAM_INT_INTERFACE_L_X6Y125 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 ROW 25 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y125 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 TILE_Y 163896 TILEPROP BRAM_INT_INTERFACE_L_X6Y125 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y126 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y126 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 FIRST_SITE_ID 2415 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 GRID_POINT_Y 24 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 INDEX 2780 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 INT_TILE_Y 23 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 NAME BRAM_INT_INTERFACE_L_X6Y126 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 ROW 24 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y126 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 TILE_Y 167096 TILEPROP BRAM_INT_INTERFACE_L_X6Y126 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y127 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y127 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 FIRST_SITE_ID 2319 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 GRID_POINT_Y 23 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 INDEX 2665 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 INT_TILE_Y 22 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 NAME BRAM_INT_INTERFACE_L_X6Y127 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 ROW 23 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y127 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 TILE_Y 170296 TILEPROP BRAM_INT_INTERFACE_L_X6Y127 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y128 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y128 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 FIRST_SITE_ID 2215 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 GRID_POINT_Y 22 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 INDEX 2550 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 INT_TILE_Y 21 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 NAME BRAM_INT_INTERFACE_L_X6Y128 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 ROW 22 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y128 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 TILE_Y 173496 TILEPROP BRAM_INT_INTERFACE_L_X6Y128 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y129 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y129 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 FIRST_SITE_ID 2119 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 GRID_POINT_Y 21 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 INDEX 2435 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 INT_TILE_Y 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 NAME BRAM_INT_INTERFACE_L_X6Y129 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 ROW 21 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y129 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 TILE_Y 176696 TILEPROP BRAM_INT_INTERFACE_L_X6Y129 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y130 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y130 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 FIRST_SITE_ID 2000 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 GRID_POINT_Y 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 INDEX 2320 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 INT_TILE_Y 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 NAME BRAM_INT_INTERFACE_L_X6Y130 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 ROW 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y130 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 TILE_Y 179896 TILEPROP BRAM_INT_INTERFACE_L_X6Y130 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y131 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y131 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 FIRST_SITE_ID 1901 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 GRID_POINT_Y 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 INDEX 2205 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 INT_TILE_Y 18 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 NAME BRAM_INT_INTERFACE_L_X6Y131 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 ROW 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y131 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 TILE_Y 183096 TILEPROP BRAM_INT_INTERFACE_L_X6Y131 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y132 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y132 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 FIRST_SITE_ID 1795 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 GRID_POINT_Y 18 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 INDEX 2090 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 INT_TILE_Y 17 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 NAME BRAM_INT_INTERFACE_L_X6Y132 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 ROW 18 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y132 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 TILE_Y 186296 TILEPROP BRAM_INT_INTERFACE_L_X6Y132 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y133 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y133 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 FIRST_SITE_ID 1694 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 GRID_POINT_Y 17 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 INDEX 1975 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 INT_TILE_Y 16 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 NAME BRAM_INT_INTERFACE_L_X6Y133 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 ROW 17 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y133 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 TILE_Y 189496 TILEPROP BRAM_INT_INTERFACE_L_X6Y133 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y134 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y134 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 FIRST_SITE_ID 1590 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 GRID_POINT_Y 16 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 INDEX 1860 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 INT_TILE_Y 15 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 NAME BRAM_INT_INTERFACE_L_X6Y134 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 ROW 16 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y134 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 TILE_Y 192696 TILEPROP BRAM_INT_INTERFACE_L_X6Y134 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y135 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y135 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 FIRST_SITE_ID 1485 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 GRID_POINT_Y 15 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 INDEX 1745 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 INT_TILE_Y 14 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 NAME BRAM_INT_INTERFACE_L_X6Y135 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 ROW 15 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y135 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 TILE_Y 195896 TILEPROP BRAM_INT_INTERFACE_L_X6Y135 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y136 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y136 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 FIRST_SITE_ID 1346 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 GRID_POINT_Y 14 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 INDEX 1630 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 INT_TILE_Y 13 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 NAME BRAM_INT_INTERFACE_L_X6Y136 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 ROW 14 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y136 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 TILE_Y 199096 TILEPROP BRAM_INT_INTERFACE_L_X6Y136 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y137 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y137 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 FIRST_SITE_ID 1250 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 GRID_POINT_Y 13 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 INDEX 1515 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 INT_TILE_Y 12 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 NAME BRAM_INT_INTERFACE_L_X6Y137 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 ROW 13 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y137 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 TILE_Y 202296 TILEPROP BRAM_INT_INTERFACE_L_X6Y137 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y138 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y138 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 FIRST_SITE_ID 1146 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 GRID_POINT_Y 12 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 INDEX 1400 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 INT_TILE_Y 11 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 NAME BRAM_INT_INTERFACE_L_X6Y138 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 ROW 12 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y138 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 TILE_Y 205496 TILEPROP BRAM_INT_INTERFACE_L_X6Y138 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y139 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y139 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 FIRST_SITE_ID 1050 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 GRID_POINT_Y 11 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 INDEX 1285 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 INT_TILE_Y 10 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 NAME BRAM_INT_INTERFACE_L_X6Y139 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 ROW 11 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y139 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 TILE_Y 208696 TILEPROP BRAM_INT_INTERFACE_L_X6Y139 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y140 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y140 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 FIRST_SITE_ID 937 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 GRID_POINT_Y 10 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 INDEX 1170 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 INT_TILE_Y 9 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 NAME BRAM_INT_INTERFACE_L_X6Y140 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 ROW 10 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y140 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 TILE_Y 211896 TILEPROP BRAM_INT_INTERFACE_L_X6Y140 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y141 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y141 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 FIRST_SITE_ID 838 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 GRID_POINT_Y 9 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 INDEX 1055 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 INT_TILE_Y 8 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 NAME BRAM_INT_INTERFACE_L_X6Y141 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 ROW 9 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y141 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 TILE_Y 215096 TILEPROP BRAM_INT_INTERFACE_L_X6Y141 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y142 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y142 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 FIRST_SITE_ID 734 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 GRID_POINT_Y 8 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 INDEX 940 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 INT_TILE_Y 7 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 NAME BRAM_INT_INTERFACE_L_X6Y142 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 ROW 8 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y142 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 TILE_Y 218296 TILEPROP BRAM_INT_INTERFACE_L_X6Y142 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y143 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y143 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 FIRST_SITE_ID 637 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 GRID_POINT_Y 7 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 INDEX 825 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 INT_TILE_Y 6 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 NAME BRAM_INT_INTERFACE_L_X6Y143 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 ROW 7 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y143 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 TILE_Y 221496 TILEPROP BRAM_INT_INTERFACE_L_X6Y143 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y144 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y144 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 FIRST_SITE_ID 526 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 GRID_POINT_Y 6 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 INDEX 710 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 INT_TILE_Y 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 NAME BRAM_INT_INTERFACE_L_X6Y144 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 ROW 6 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y144 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 TILE_Y 224696 TILEPROP BRAM_INT_INTERFACE_L_X6Y144 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y145 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y145 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 FIRST_SITE_ID 421 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 GRID_POINT_Y 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 INDEX 595 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 INT_TILE_Y 4 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 NAME BRAM_INT_INTERFACE_L_X6Y145 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 ROW 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 TILE_PATTERN_IDX 167 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y145 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 TILE_Y 227896 TILEPROP BRAM_INT_INTERFACE_L_X6Y145 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y146 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y146 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 FIRST_SITE_ID 314 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 GRID_POINT_Y 4 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 INDEX 480 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 INT_TILE_Y 3 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 NAME BRAM_INT_INTERFACE_L_X6Y146 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 ROW 4 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 TILE_PATTERN_IDX 132 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y146 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 TILE_Y 231096 TILEPROP BRAM_INT_INTERFACE_L_X6Y146 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y147 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y147 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 FIRST_SITE_ID 218 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 GRID_POINT_Y 3 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 INDEX 365 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 INT_TILE_Y 2 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 NAME BRAM_INT_INTERFACE_L_X6Y147 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 ROW 3 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 TILE_PATTERN_IDX 100 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y147 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 TILE_Y 234296 TILEPROP BRAM_INT_INTERFACE_L_X6Y147 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y148 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y148 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 FIRST_SITE_ID 114 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 GRID_POINT_Y 2 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 INDEX 250 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 INT_TILE_Y 1 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 NAME BRAM_INT_INTERFACE_L_X6Y148 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 ROW 2 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 TILE_PATTERN_IDX 63 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y148 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 TILE_Y 237496 TILEPROP BRAM_INT_INTERFACE_L_X6Y148 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y149 CLASS tile TILEPROP BRAM_INT_INTERFACE_L_X6Y149 COLUMN 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 FIRST_SITE_ID 18 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 GRID_POINT_X 20 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 GRID_POINT_Y 1 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 INDEX 135 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 INT_TILE_X 5 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 INT_TILE_Y 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 NAME BRAM_INT_INTERFACE_L_X6Y149 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 ROW 1 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 TILE_PATTERN_IDX 19 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 TILE_TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_L_X6Y149 TILE_TYPE_INDEX 0 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 TILE_X -66478 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 TILE_Y 240696 TILEPROP BRAM_INT_INTERFACE_L_X6Y149 TYPE BRAM_INT_INTERFACE_L TILEPROP BRAM_INT_INTERFACE_R_X37Y0 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y0 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 FIRST_SITE_ID 15796 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 GRID_POINT_Y 155 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 INDEX 17919 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 INT_TILE_Y 149 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 NAME BRAM_INT_INTERFACE_R_X37Y0 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 ROW 155 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y0 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 TILE_Y -239672 TILEPROP BRAM_INT_INTERFACE_R_X37Y0 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y1 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y1 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 FIRST_SITE_ID 15680 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 GRID_POINT_Y 154 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 INDEX 17804 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 INT_TILE_Y 148 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 NAME BRAM_INT_INTERFACE_R_X37Y1 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 ROW 154 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y1 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 TILE_Y -236472 TILEPROP BRAM_INT_INTERFACE_R_X37Y1 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y2 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y2 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 FIRST_SITE_ID 15579 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 GRID_POINT_Y 153 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 INDEX 17689 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 INT_TILE_Y 147 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 NAME BRAM_INT_INTERFACE_R_X37Y2 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 ROW 153 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y2 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 TILE_Y -233272 TILEPROP BRAM_INT_INTERFACE_R_X37Y2 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y3 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y3 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 FIRST_SITE_ID 15479 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 GRID_POINT_Y 152 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 INDEX 17574 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 INT_TILE_Y 146 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 NAME BRAM_INT_INTERFACE_R_X37Y3 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 ROW 152 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y3 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 TILE_Y -230072 TILEPROP BRAM_INT_INTERFACE_R_X37Y3 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y4 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y4 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 FIRST_SITE_ID 15379 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 GRID_POINT_Y 151 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 INDEX 17459 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 INT_TILE_Y 145 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 NAME BRAM_INT_INTERFACE_R_X37Y4 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 ROW 151 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y4 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 TILE_Y -226872 TILEPROP BRAM_INT_INTERFACE_R_X37Y4 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y5 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y5 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 FIRST_SITE_ID 15276 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 GRID_POINT_Y 150 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 INDEX 17344 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 INT_TILE_Y 144 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 NAME BRAM_INT_INTERFACE_R_X37Y5 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 ROW 150 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y5 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 TILE_Y -223672 TILEPROP BRAM_INT_INTERFACE_R_X37Y5 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y6 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y6 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 FIRST_SITE_ID 15164 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 GRID_POINT_Y 149 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 INDEX 17229 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 INT_TILE_Y 143 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 NAME BRAM_INT_INTERFACE_R_X37Y6 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 ROW 149 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y6 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 TILE_Y -220472 TILEPROP BRAM_INT_INTERFACE_R_X37Y6 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y7 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y7 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 FIRST_SITE_ID 15062 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 GRID_POINT_Y 148 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 INDEX 17114 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 INT_TILE_Y 142 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 NAME BRAM_INT_INTERFACE_R_X37Y7 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 ROW 148 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y7 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 TILE_Y -217272 TILEPROP BRAM_INT_INTERFACE_R_X37Y7 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y8 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y8 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 FIRST_SITE_ID 14959 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 GRID_POINT_Y 147 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 INDEX 16999 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 INT_TILE_Y 141 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 NAME BRAM_INT_INTERFACE_R_X37Y8 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 ROW 147 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y8 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 TILE_Y -214072 TILEPROP BRAM_INT_INTERFACE_R_X37Y8 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y9 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y9 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 FIRST_SITE_ID 14858 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 GRID_POINT_Y 146 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 INDEX 16884 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 INT_TILE_Y 140 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 NAME BRAM_INT_INTERFACE_R_X37Y9 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 ROW 146 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y9 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 TILE_Y -210872 TILEPROP BRAM_INT_INTERFACE_R_X37Y9 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y10 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y10 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 FIRST_SITE_ID 14755 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 GRID_POINT_Y 145 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 INDEX 16769 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 INT_TILE_Y 139 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 NAME BRAM_INT_INTERFACE_R_X37Y10 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 ROW 145 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y10 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 TILE_Y -207672 TILEPROP BRAM_INT_INTERFACE_R_X37Y10 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y11 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y11 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 FIRST_SITE_ID 14643 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 GRID_POINT_Y 144 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 INDEX 16654 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 INT_TILE_Y 138 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 NAME BRAM_INT_INTERFACE_R_X37Y11 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 ROW 144 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y11 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 TILE_Y -204472 TILEPROP BRAM_INT_INTERFACE_R_X37Y11 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y12 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y12 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 FIRST_SITE_ID 14543 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 GRID_POINT_Y 143 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 INDEX 16539 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 INT_TILE_Y 137 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 NAME BRAM_INT_INTERFACE_R_X37Y12 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 ROW 143 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y12 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 TILE_Y -201272 TILEPROP BRAM_INT_INTERFACE_R_X37Y12 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y13 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y13 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 FIRST_SITE_ID 14411 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 GRID_POINT_Y 142 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 INDEX 16424 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 INT_TILE_Y 136 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 NAME BRAM_INT_INTERFACE_R_X37Y13 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 ROW 142 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y13 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 TILE_Y -198072 TILEPROP BRAM_INT_INTERFACE_R_X37Y13 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y14 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y14 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 FIRST_SITE_ID 14311 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 GRID_POINT_Y 141 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 INDEX 16309 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 INT_TILE_Y 135 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 NAME BRAM_INT_INTERFACE_R_X37Y14 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 ROW 141 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y14 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 TILE_Y -194872 TILEPROP BRAM_INT_INTERFACE_R_X37Y14 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y15 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y15 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 FIRST_SITE_ID 14208 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 GRID_POINT_Y 140 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 INDEX 16194 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 INT_TILE_Y 134 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 NAME BRAM_INT_INTERFACE_R_X37Y15 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 ROW 140 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y15 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 TILE_Y -191672 TILEPROP BRAM_INT_INTERFACE_R_X37Y15 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y16 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y16 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 FIRST_SITE_ID 14096 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 GRID_POINT_Y 139 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 INDEX 16079 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 INT_TILE_Y 133 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 NAME BRAM_INT_INTERFACE_R_X37Y16 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 ROW 139 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y16 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 TILE_Y -188472 TILEPROP BRAM_INT_INTERFACE_R_X37Y16 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y17 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y17 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 FIRST_SITE_ID 13992 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 GRID_POINT_Y 138 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 INDEX 15964 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 INT_TILE_Y 132 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 NAME BRAM_INT_INTERFACE_R_X37Y17 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 ROW 138 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y17 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 TILE_Y -185272 TILEPROP BRAM_INT_INTERFACE_R_X37Y17 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y18 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y18 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 FIRST_SITE_ID 13887 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 GRID_POINT_Y 137 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 INDEX 15849 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 INT_TILE_Y 131 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 NAME BRAM_INT_INTERFACE_R_X37Y18 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 ROW 137 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y18 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 TILE_Y -182072 TILEPROP BRAM_INT_INTERFACE_R_X37Y18 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y19 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y19 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 FIRST_SITE_ID 13785 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 GRID_POINT_Y 136 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 INDEX 15734 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 INT_TILE_Y 130 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 NAME BRAM_INT_INTERFACE_R_X37Y19 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 ROW 136 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y19 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 TILE_Y -178872 TILEPROP BRAM_INT_INTERFACE_R_X37Y19 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y20 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y20 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 FIRST_SITE_ID 13680 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 GRID_POINT_Y 135 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 INDEX 15619 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 INT_TILE_Y 129 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 NAME BRAM_INT_INTERFACE_R_X37Y20 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 ROW 135 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y20 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 TILE_Y -175672 TILEPROP BRAM_INT_INTERFACE_R_X37Y20 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y21 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y21 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 FIRST_SITE_ID 13568 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 GRID_POINT_Y 134 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 INDEX 15504 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 INT_TILE_Y 128 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 NAME BRAM_INT_INTERFACE_R_X37Y21 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 ROW 134 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y21 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 TILE_Y -172472 TILEPROP BRAM_INT_INTERFACE_R_X37Y21 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y22 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y22 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 FIRST_SITE_ID 13468 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 GRID_POINT_Y 133 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 INDEX 15389 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 INT_TILE_Y 127 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 NAME BRAM_INT_INTERFACE_R_X37Y22 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 ROW 133 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y22 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 TILE_Y -169272 TILEPROP BRAM_INT_INTERFACE_R_X37Y22 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y23 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y23 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 FIRST_SITE_ID 13368 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 GRID_POINT_Y 132 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 INDEX 15274 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 INT_TILE_Y 126 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 NAME BRAM_INT_INTERFACE_R_X37Y23 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 ROW 132 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y23 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 TILE_Y -166072 TILEPROP BRAM_INT_INTERFACE_R_X37Y23 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y24 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y24 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 FIRST_SITE_ID 13268 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 GRID_POINT_Y 131 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 INDEX 15159 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 INT_TILE_Y 125 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 NAME BRAM_INT_INTERFACE_R_X37Y24 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 ROW 131 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y24 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 TILE_Y -162872 TILEPROP BRAM_INT_INTERFACE_R_X37Y24 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y25 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y25 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 FIRST_SITE_ID 13082 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 GRID_POINT_Y 129 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 INDEX 14929 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 INT_TILE_Y 124 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 NAME BRAM_INT_INTERFACE_R_X37Y25 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 ROW 129 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y25 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 TILE_Y -158648 TILEPROP BRAM_INT_INTERFACE_R_X37Y25 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y26 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y26 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 FIRST_SITE_ID 12970 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 GRID_POINT_Y 128 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 INDEX 14814 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 INT_TILE_Y 123 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 NAME BRAM_INT_INTERFACE_R_X37Y26 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 ROW 128 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y26 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 TILE_Y -155448 TILEPROP BRAM_INT_INTERFACE_R_X37Y26 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y27 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y27 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 FIRST_SITE_ID 12870 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 GRID_POINT_Y 127 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 INDEX 14699 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 INT_TILE_Y 122 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 NAME BRAM_INT_INTERFACE_R_X37Y27 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 ROW 127 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y27 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 TILE_Y -152248 TILEPROP BRAM_INT_INTERFACE_R_X37Y27 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y28 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y28 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 FIRST_SITE_ID 12770 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 GRID_POINT_Y 126 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 INDEX 14584 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 INT_TILE_Y 121 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 NAME BRAM_INT_INTERFACE_R_X37Y28 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 ROW 126 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y28 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 TILE_Y -149048 TILEPROP BRAM_INT_INTERFACE_R_X37Y28 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y29 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y29 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 FIRST_SITE_ID 12664 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 GRID_POINT_Y 125 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 INDEX 14469 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 INT_TILE_Y 120 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 NAME BRAM_INT_INTERFACE_R_X37Y29 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 ROW 125 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y29 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 TILE_Y -145848 TILEPROP BRAM_INT_INTERFACE_R_X37Y29 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y30 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y30 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 FIRST_SITE_ID 12555 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 GRID_POINT_Y 124 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 INDEX 14354 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 INT_TILE_Y 119 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 NAME BRAM_INT_INTERFACE_R_X37Y30 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 ROW 124 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y30 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 TILE_Y -142648 TILEPROP BRAM_INT_INTERFACE_R_X37Y30 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y31 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y31 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 FIRST_SITE_ID 12428 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 GRID_POINT_Y 123 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 INDEX 14239 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 INT_TILE_Y 118 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 NAME BRAM_INT_INTERFACE_R_X37Y31 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 ROW 123 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y31 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 TILE_Y -139448 TILEPROP BRAM_INT_INTERFACE_R_X37Y31 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y32 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y32 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 FIRST_SITE_ID 12326 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 GRID_POINT_Y 122 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 INDEX 14124 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 INT_TILE_Y 117 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 NAME BRAM_INT_INTERFACE_R_X37Y32 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 ROW 122 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y32 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 TILE_Y -136248 TILEPROP BRAM_INT_INTERFACE_R_X37Y32 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y33 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y33 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 FIRST_SITE_ID 12225 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 GRID_POINT_Y 121 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 INDEX 14009 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 INT_TILE_Y 116 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 NAME BRAM_INT_INTERFACE_R_X37Y33 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 ROW 121 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y33 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 TILE_Y -133048 TILEPROP BRAM_INT_INTERFACE_R_X37Y33 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y34 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y34 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 FIRST_SITE_ID 12125 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 GRID_POINT_Y 120 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 INDEX 13894 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 INT_TILE_Y 115 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 NAME BRAM_INT_INTERFACE_R_X37Y34 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 ROW 120 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y34 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 TILE_Y -129848 TILEPROP BRAM_INT_INTERFACE_R_X37Y34 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y35 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y35 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 FIRST_SITE_ID 12022 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 GRID_POINT_Y 119 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 INDEX 13779 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 INT_TILE_Y 114 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 NAME BRAM_INT_INTERFACE_R_X37Y35 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 ROW 119 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y35 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 TILE_Y -126648 TILEPROP BRAM_INT_INTERFACE_R_X37Y35 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y36 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y36 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 FIRST_SITE_ID 11910 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 GRID_POINT_Y 118 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 INDEX 13664 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 INT_TILE_Y 113 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 NAME BRAM_INT_INTERFACE_R_X37Y36 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 ROW 118 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y36 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 TILE_Y -123448 TILEPROP BRAM_INT_INTERFACE_R_X37Y36 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y37 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y37 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 FIRST_SITE_ID 11778 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 GRID_POINT_Y 117 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 INDEX 13549 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 INT_TILE_Y 112 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 NAME BRAM_INT_INTERFACE_R_X37Y37 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 ROW 117 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y37 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 TILE_Y -120248 TILEPROP BRAM_INT_INTERFACE_R_X37Y37 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y38 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y38 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 FIRST_SITE_ID 11678 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 GRID_POINT_Y 116 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 INDEX 13434 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 INT_TILE_Y 111 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 NAME BRAM_INT_INTERFACE_R_X37Y38 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 ROW 116 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y38 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 TILE_Y -117048 TILEPROP BRAM_INT_INTERFACE_R_X37Y38 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y39 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y39 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 FIRST_SITE_ID 11578 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 GRID_POINT_Y 115 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 INDEX 13319 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 INT_TILE_Y 110 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 NAME BRAM_INT_INTERFACE_R_X37Y39 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 ROW 115 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y39 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 TILE_Y -113848 TILEPROP BRAM_INT_INTERFACE_R_X37Y39 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y40 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y40 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 FIRST_SITE_ID 11475 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 GRID_POINT_Y 114 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 INDEX 13204 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 INT_TILE_Y 109 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 NAME BRAM_INT_INTERFACE_R_X37Y40 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 ROW 114 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y40 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 TILE_Y -110648 TILEPROP BRAM_INT_INTERFACE_R_X37Y40 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y41 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y41 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 FIRST_SITE_ID 11361 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 GRID_POINT_Y 113 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 INDEX 13089 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 INT_TILE_Y 108 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 NAME BRAM_INT_INTERFACE_R_X37Y41 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 ROW 113 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y41 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 TILE_Y -107448 TILEPROP BRAM_INT_INTERFACE_R_X37Y41 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y42 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y42 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 FIRST_SITE_ID 11259 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 GRID_POINT_Y 112 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 INDEX 12974 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 INT_TILE_Y 107 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 NAME BRAM_INT_INTERFACE_R_X37Y42 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 ROW 112 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y42 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 TILE_Y -104248 TILEPROP BRAM_INT_INTERFACE_R_X37Y42 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y43 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y43 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 FIRST_SITE_ID 11156 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 GRID_POINT_Y 111 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 INDEX 12859 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 INT_TILE_Y 106 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 NAME BRAM_INT_INTERFACE_R_X37Y43 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 ROW 111 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y43 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 TILE_Y -101048 TILEPROP BRAM_INT_INTERFACE_R_X37Y43 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y44 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y44 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 FIRST_SITE_ID 11054 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 GRID_POINT_Y 110 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 INDEX 12744 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 INT_TILE_Y 105 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 NAME BRAM_INT_INTERFACE_R_X37Y44 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 ROW 110 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y44 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 TILE_Y -97848 TILEPROP BRAM_INT_INTERFACE_R_X37Y44 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y45 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y45 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 FIRST_SITE_ID 10951 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 GRID_POINT_Y 109 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 INDEX 12629 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 INT_TILE_Y 104 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 NAME BRAM_INT_INTERFACE_R_X37Y45 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 ROW 109 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y45 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 TILE_Y -94648 TILEPROP BRAM_INT_INTERFACE_R_X37Y45 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y46 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y46 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 FIRST_SITE_ID 10838 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 GRID_POINT_Y 108 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 INDEX 12514 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 INT_TILE_Y 103 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 NAME BRAM_INT_INTERFACE_R_X37Y46 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 ROW 108 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y46 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 TILE_Y -91448 TILEPROP BRAM_INT_INTERFACE_R_X37Y46 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y47 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y47 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 FIRST_SITE_ID 10722 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 GRID_POINT_Y 107 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 INDEX 12399 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 INT_TILE_Y 102 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 NAME BRAM_INT_INTERFACE_R_X37Y47 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 ROW 107 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y47 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 TILE_Y -88248 TILEPROP BRAM_INT_INTERFACE_R_X37Y47 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y48 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y48 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 FIRST_SITE_ID 10622 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 GRID_POINT_Y 106 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 INDEX 12284 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 INT_TILE_Y 101 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 NAME BRAM_INT_INTERFACE_R_X37Y48 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 ROW 106 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y48 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 TILE_Y -85048 TILEPROP BRAM_INT_INTERFACE_R_X37Y48 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y49 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y49 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 FIRST_SITE_ID 10526 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 GRID_POINT_Y 105 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 INDEX 12169 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 INT_TILE_Y 100 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 NAME BRAM_INT_INTERFACE_R_X37Y49 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 ROW 105 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y49 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 TILE_Y -81848 TILEPROP BRAM_INT_INTERFACE_R_X37Y49 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y50 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y50 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 FIRST_SITE_ID 10423 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 GRID_POINT_Y 103 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 INDEX 11939 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 INT_TILE_Y 99 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 NAME BRAM_INT_INTERFACE_R_X37Y50 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 ROW 103 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y50 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 TILE_Y -78400 TILEPROP BRAM_INT_INTERFACE_R_X37Y50 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y51 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y51 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 FIRST_SITE_ID 10291 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 GRID_POINT_Y 102 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 INDEX 11824 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 INT_TILE_Y 98 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 NAME BRAM_INT_INTERFACE_R_X37Y51 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 ROW 102 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y51 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 TILE_Y -75200 TILEPROP BRAM_INT_INTERFACE_R_X37Y51 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y52 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y52 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 FIRST_SITE_ID 10191 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 GRID_POINT_Y 101 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 INDEX 11709 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 INT_TILE_Y 97 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 NAME BRAM_INT_INTERFACE_R_X37Y52 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 ROW 101 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y52 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 TILE_Y -72000 TILEPROP BRAM_INT_INTERFACE_R_X37Y52 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y53 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y53 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 FIRST_SITE_ID 10091 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 GRID_POINT_Y 100 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 INDEX 11594 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 INT_TILE_Y 96 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 NAME BRAM_INT_INTERFACE_R_X37Y53 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 ROW 100 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y53 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 TILE_Y -68800 TILEPROP BRAM_INT_INTERFACE_R_X37Y53 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y54 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y54 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 FIRST_SITE_ID 9991 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 GRID_POINT_Y 99 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 INDEX 11479 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 INT_TILE_Y 95 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 NAME BRAM_INT_INTERFACE_R_X37Y54 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 ROW 99 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y54 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 TILE_Y -65600 TILEPROP BRAM_INT_INTERFACE_R_X37Y54 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y55 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y55 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 FIRST_SITE_ID 9888 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 GRID_POINT_Y 98 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 INDEX 11364 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 INT_TILE_Y 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 NAME BRAM_INT_INTERFACE_R_X37Y55 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 ROW 98 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y55 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 TILE_Y -62400 TILEPROP BRAM_INT_INTERFACE_R_X37Y55 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y56 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y56 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 FIRST_SITE_ID 9776 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 GRID_POINT_Y 97 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 INDEX 11249 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 INT_TILE_Y 93 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 NAME BRAM_INT_INTERFACE_R_X37Y56 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 ROW 97 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y56 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 TILE_Y -59200 TILEPROP BRAM_INT_INTERFACE_R_X37Y56 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y57 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y57 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 FIRST_SITE_ID 9674 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 GRID_POINT_Y 96 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 INDEX 11134 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 INT_TILE_Y 92 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 NAME BRAM_INT_INTERFACE_R_X37Y57 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 ROW 96 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y57 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 TILE_Y -56000 TILEPROP BRAM_INT_INTERFACE_R_X37Y57 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y58 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y58 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 FIRST_SITE_ID 9571 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 GRID_POINT_Y 95 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 INDEX 11019 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 INT_TILE_Y 91 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 NAME BRAM_INT_INTERFACE_R_X37Y58 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 ROW 95 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y58 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 TILE_Y -52800 TILEPROP BRAM_INT_INTERFACE_R_X37Y58 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y59 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y59 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 FIRST_SITE_ID 9470 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 GRID_POINT_Y 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 INDEX 10904 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 INT_TILE_Y 90 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 NAME BRAM_INT_INTERFACE_R_X37Y59 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 ROW 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y59 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 TILE_Y -49600 TILEPROP BRAM_INT_INTERFACE_R_X37Y59 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y60 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y60 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 FIRST_SITE_ID 9367 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 GRID_POINT_Y 93 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 INDEX 10789 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 INT_TILE_Y 89 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 NAME BRAM_INT_INTERFACE_R_X37Y60 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 ROW 93 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y60 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 TILE_Y -46400 TILEPROP BRAM_INT_INTERFACE_R_X37Y60 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y61 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y61 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 FIRST_SITE_ID 9255 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 GRID_POINT_Y 92 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 INDEX 10674 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 INT_TILE_Y 88 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 NAME BRAM_INT_INTERFACE_R_X37Y61 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 ROW 92 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y61 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 TILE_Y -43200 TILEPROP BRAM_INT_INTERFACE_R_X37Y61 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y62 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y62 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 FIRST_SITE_ID 9155 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 GRID_POINT_Y 91 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 INDEX 10559 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 INT_TILE_Y 87 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 NAME BRAM_INT_INTERFACE_R_X37Y62 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 ROW 91 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y62 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 TILE_Y -40000 TILEPROP BRAM_INT_INTERFACE_R_X37Y62 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y63 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y63 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 FIRST_SITE_ID 9023 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 GRID_POINT_Y 90 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 INDEX 10444 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 INT_TILE_Y 86 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 NAME BRAM_INT_INTERFACE_R_X37Y63 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 ROW 90 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y63 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 TILE_Y -36800 TILEPROP BRAM_INT_INTERFACE_R_X37Y63 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y64 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y64 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 FIRST_SITE_ID 8923 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 GRID_POINT_Y 89 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 INDEX 10329 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 INT_TILE_Y 85 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 NAME BRAM_INT_INTERFACE_R_X37Y64 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 ROW 89 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y64 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 TILE_Y -33600 TILEPROP BRAM_INT_INTERFACE_R_X37Y64 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y65 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y65 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 FIRST_SITE_ID 8820 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 GRID_POINT_Y 88 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 INDEX 10214 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 INT_TILE_Y 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 NAME BRAM_INT_INTERFACE_R_X37Y65 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 ROW 88 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y65 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 TILE_Y -30400 TILEPROP BRAM_INT_INTERFACE_R_X37Y65 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y66 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y66 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 FIRST_SITE_ID 8708 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 GRID_POINT_Y 87 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 INDEX 10099 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 INT_TILE_Y 83 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 NAME BRAM_INT_INTERFACE_R_X37Y66 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 ROW 87 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y66 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 TILE_Y -27200 TILEPROP BRAM_INT_INTERFACE_R_X37Y66 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y67 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y67 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 FIRST_SITE_ID 8604 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 GRID_POINT_Y 86 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 INDEX 9984 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 INT_TILE_Y 82 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 NAME BRAM_INT_INTERFACE_R_X37Y67 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 ROW 86 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y67 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 TILE_Y -24000 TILEPROP BRAM_INT_INTERFACE_R_X37Y67 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y68 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y68 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 FIRST_SITE_ID 8500 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 GRID_POINT_Y 85 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 INDEX 9869 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 INT_TILE_Y 81 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 NAME BRAM_INT_INTERFACE_R_X37Y68 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 ROW 85 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y68 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 TILE_Y -20800 TILEPROP BRAM_INT_INTERFACE_R_X37Y68 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y69 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y69 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 FIRST_SITE_ID 8398 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 GRID_POINT_Y 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 INDEX 9754 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 INT_TILE_Y 80 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 NAME BRAM_INT_INTERFACE_R_X37Y69 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 ROW 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y69 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 TILE_Y -17600 TILEPROP BRAM_INT_INTERFACE_R_X37Y69 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y70 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y70 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 FIRST_SITE_ID 8293 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 GRID_POINT_Y 83 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 INDEX 9639 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 INT_TILE_Y 79 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 NAME BRAM_INT_INTERFACE_R_X37Y70 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 ROW 83 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y70 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 TILE_Y -14400 TILEPROP BRAM_INT_INTERFACE_R_X37Y70 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y71 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y71 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 FIRST_SITE_ID 8181 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 GRID_POINT_Y 82 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 INDEX 9524 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 INT_TILE_Y 78 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 NAME BRAM_INT_INTERFACE_R_X37Y71 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 ROW 82 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y71 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 TILE_Y -11200 TILEPROP BRAM_INT_INTERFACE_R_X37Y71 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y72 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y72 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 FIRST_SITE_ID 8081 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 GRID_POINT_Y 81 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 INDEX 9409 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 INT_TILE_Y 77 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 NAME BRAM_INT_INTERFACE_R_X37Y72 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 ROW 81 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y72 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 TILE_Y -8000 TILEPROP BRAM_INT_INTERFACE_R_X37Y72 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y73 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y73 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 FIRST_SITE_ID 7981 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 GRID_POINT_Y 80 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 INDEX 9294 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 INT_TILE_Y 76 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 NAME BRAM_INT_INTERFACE_R_X37Y73 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 ROW 80 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y73 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 TILE_Y -4800 TILEPROP BRAM_INT_INTERFACE_R_X37Y73 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y74 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y74 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 FIRST_SITE_ID 7881 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 GRID_POINT_Y 79 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 INDEX 9179 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 INT_TILE_Y 75 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 NAME BRAM_INT_INTERFACE_R_X37Y74 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 ROW 79 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y74 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 TILE_Y -1600 TILEPROP BRAM_INT_INTERFACE_R_X37Y74 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y75 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y75 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 FIRST_SITE_ID 7695 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 GRID_POINT_Y 77 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 INDEX 8949 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 INT_TILE_Y 74 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 NAME BRAM_INT_INTERFACE_R_X37Y75 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 ROW 77 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y75 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 TILE_Y 2624 TILEPROP BRAM_INT_INTERFACE_R_X37Y75 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y76 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y76 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 FIRST_SITE_ID 7580 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 GRID_POINT_Y 76 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 INDEX 8834 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 INT_TILE_Y 73 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 NAME BRAM_INT_INTERFACE_R_X37Y76 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 ROW 76 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y76 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 TILE_Y 5824 TILEPROP BRAM_INT_INTERFACE_R_X37Y76 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y77 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y77 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 FIRST_SITE_ID 7480 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 GRID_POINT_Y 75 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 INDEX 8719 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 INT_TILE_Y 72 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 NAME BRAM_INT_INTERFACE_R_X37Y77 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 ROW 75 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y77 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 TILE_Y 9024 TILEPROP BRAM_INT_INTERFACE_R_X37Y77 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y78 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y78 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 FIRST_SITE_ID 7380 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 GRID_POINT_Y 74 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 INDEX 8604 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 INT_TILE_Y 71 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 NAME BRAM_INT_INTERFACE_R_X37Y78 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 ROW 74 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y78 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 TILE_Y 12224 TILEPROP BRAM_INT_INTERFACE_R_X37Y78 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y79 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y79 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 FIRST_SITE_ID 7274 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 GRID_POINT_Y 73 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 INDEX 8489 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 INT_TILE_Y 70 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 NAME BRAM_INT_INTERFACE_R_X37Y79 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 ROW 73 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y79 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 TILE_Y 15424 TILEPROP BRAM_INT_INTERFACE_R_X37Y79 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y80 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y80 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 FIRST_SITE_ID 7165 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 GRID_POINT_Y 72 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 INDEX 8374 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 INT_TILE_Y 69 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 NAME BRAM_INT_INTERFACE_R_X37Y80 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 ROW 72 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y80 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 TILE_Y 18624 TILEPROP BRAM_INT_INTERFACE_R_X37Y80 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y81 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y81 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 FIRST_SITE_ID 7051 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 GRID_POINT_Y 71 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 INDEX 8259 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 INT_TILE_Y 68 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 NAME BRAM_INT_INTERFACE_R_X37Y81 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 ROW 71 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y81 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 TILE_Y 21824 TILEPROP BRAM_INT_INTERFACE_R_X37Y81 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y82 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y82 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 FIRST_SITE_ID 6949 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 GRID_POINT_Y 70 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 INDEX 8144 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 INT_TILE_Y 67 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 NAME BRAM_INT_INTERFACE_R_X37Y82 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 ROW 70 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y82 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 TILE_Y 25024 TILEPROP BRAM_INT_INTERFACE_R_X37Y82 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y83 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y83 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 FIRST_SITE_ID 6849 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 GRID_POINT_Y 69 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 INDEX 8029 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 INT_TILE_Y 66 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 NAME BRAM_INT_INTERFACE_R_X37Y83 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 ROW 69 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y83 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 TILE_Y 28224 TILEPROP BRAM_INT_INTERFACE_R_X37Y83 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y84 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y84 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 FIRST_SITE_ID 6749 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 GRID_POINT_Y 68 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 INDEX 7914 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 INT_TILE_Y 65 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 NAME BRAM_INT_INTERFACE_R_X37Y84 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 ROW 68 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y84 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 TILE_Y 31424 TILEPROP BRAM_INT_INTERFACE_R_X37Y84 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y85 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y85 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 FIRST_SITE_ID 6646 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 GRID_POINT_Y 67 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 INDEX 7799 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 INT_TILE_Y 64 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 NAME BRAM_INT_INTERFACE_R_X37Y85 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 ROW 67 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y85 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 TILE_Y 34624 TILEPROP BRAM_INT_INTERFACE_R_X37Y85 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y86 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y86 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 FIRST_SITE_ID 6534 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 GRID_POINT_Y 66 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 INDEX 7684 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 INT_TILE_Y 63 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 NAME BRAM_INT_INTERFACE_R_X37Y86 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 ROW 66 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y86 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 TILE_Y 37824 TILEPROP BRAM_INT_INTERFACE_R_X37Y86 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y87 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y87 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 FIRST_SITE_ID 6402 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 GRID_POINT_Y 65 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 INDEX 7569 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 INT_TILE_Y 62 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 NAME BRAM_INT_INTERFACE_R_X37Y87 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 ROW 65 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y87 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 TILE_Y 41024 TILEPROP BRAM_INT_INTERFACE_R_X37Y87 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y88 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y88 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 FIRST_SITE_ID 6302 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 GRID_POINT_Y 64 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 INDEX 7454 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 INT_TILE_Y 61 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 NAME BRAM_INT_INTERFACE_R_X37Y88 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 ROW 64 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y88 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 TILE_Y 44224 TILEPROP BRAM_INT_INTERFACE_R_X37Y88 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y89 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y89 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 FIRST_SITE_ID 6202 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 GRID_POINT_Y 63 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 INDEX 7339 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 INT_TILE_Y 60 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 NAME BRAM_INT_INTERFACE_R_X37Y89 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 ROW 63 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y89 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 TILE_Y 47424 TILEPROP BRAM_INT_INTERFACE_R_X37Y89 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y90 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y90 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 FIRST_SITE_ID 6099 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 GRID_POINT_Y 62 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 INDEX 7224 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 INT_TILE_Y 59 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 NAME BRAM_INT_INTERFACE_R_X37Y90 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 ROW 62 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y90 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 TILE_Y 50624 TILEPROP BRAM_INT_INTERFACE_R_X37Y90 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y91 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y91 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 FIRST_SITE_ID 5987 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 GRID_POINT_Y 61 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 INDEX 7109 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 INT_TILE_Y 58 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 NAME BRAM_INT_INTERFACE_R_X37Y91 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 ROW 61 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y91 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 TILE_Y 53824 TILEPROP BRAM_INT_INTERFACE_R_X37Y91 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y92 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y92 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 FIRST_SITE_ID 5886 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 GRID_POINT_Y 60 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 INDEX 6994 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 INT_TILE_Y 57 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 NAME BRAM_INT_INTERFACE_R_X37Y92 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 ROW 60 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y92 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 TILE_Y 57024 TILEPROP BRAM_INT_INTERFACE_R_X37Y92 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y93 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y93 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 FIRST_SITE_ID 5783 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 GRID_POINT_Y 59 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 INDEX 6879 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 INT_TILE_Y 56 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 NAME BRAM_INT_INTERFACE_R_X37Y93 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 ROW 59 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y93 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 TILE_Y 60224 TILEPROP BRAM_INT_INTERFACE_R_X37Y93 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y94 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y94 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 FIRST_SITE_ID 5681 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 GRID_POINT_Y 58 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 INDEX 6764 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 INT_TILE_Y 55 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 NAME BRAM_INT_INTERFACE_R_X37Y94 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 ROW 58 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y94 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 TILE_Y 63424 TILEPROP BRAM_INT_INTERFACE_R_X37Y94 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y95 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y95 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 FIRST_SITE_ID 5578 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 GRID_POINT_Y 57 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 INDEX 6649 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 INT_TILE_Y 54 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 NAME BRAM_INT_INTERFACE_R_X37Y95 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 ROW 57 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 TILE_PATTERN_IDX 1836 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y95 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 TILE_Y 66624 TILEPROP BRAM_INT_INTERFACE_R_X37Y95 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y96 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y96 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 FIRST_SITE_ID 5466 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 GRID_POINT_Y 56 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 INDEX 6534 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 INT_TILE_Y 53 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 NAME BRAM_INT_INTERFACE_R_X37Y96 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 ROW 56 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 TILE_PATTERN_IDX 1795 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y96 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 TILE_Y 69824 TILEPROP BRAM_INT_INTERFACE_R_X37Y96 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y97 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y97 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 FIRST_SITE_ID 5366 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 GRID_POINT_Y 55 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 INDEX 6419 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 INT_TILE_Y 52 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 NAME BRAM_INT_INTERFACE_R_X37Y97 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 ROW 55 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 TILE_PATTERN_IDX 1755 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y97 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 TILE_Y 73024 TILEPROP BRAM_INT_INTERFACE_R_X37Y97 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y98 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y98 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 FIRST_SITE_ID 5266 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 GRID_POINT_Y 54 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 INDEX 6304 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 INT_TILE_Y 51 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 NAME BRAM_INT_INTERFACE_R_X37Y98 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 ROW 54 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 TILE_PATTERN_IDX 1717 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y98 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 TILE_Y 76224 TILEPROP BRAM_INT_INTERFACE_R_X37Y98 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y99 CLASS tile TILEPROP BRAM_INT_INTERFACE_R_X37Y99 COLUMN 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 DEVICE_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 FIRST_SITE_ID 5170 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 GRID_POINT_X 94 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 GRID_POINT_Y 53 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 INDEX 6189 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 INT_TILE_X 37 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 INT_TILE_Y 50 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 IS_CENTER_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 IS_DCM_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 IS_GT_SITE_TILE 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 NAME BRAM_INT_INTERFACE_R_X37Y99 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 NUM_ARCS 84 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 NUM_SITES 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 ROW 53 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 SLR_REGION_ID 0 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 TILE_PATTERN_IDX 1676 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 TILE_TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_INT_INTERFACE_R_X37Y99 TILE_TYPE_INDEX 1 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 TILE_X 72494 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 TILE_Y 79424 TILEPROP BRAM_INT_INTERFACE_R_X37Y99 TYPE BRAM_INT_INTERFACE_R TILEPROP BRAM_L_X30Y0 CLASS tile TILEPROP BRAM_L_X30Y0 COLUMN 75 TILEPROP BRAM_L_X30Y0 DEVICE_ID 0 TILEPROP BRAM_L_X30Y0 FIRST_SITE_ID 15772 TILEPROP BRAM_L_X30Y0 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y0 GRID_POINT_Y 155 TILEPROP BRAM_L_X30Y0 INDEX 17900 TILEPROP BRAM_L_X30Y0 INT_TILE_X 29 TILEPROP BRAM_L_X30Y0 INT_TILE_Y 149 TILEPROP BRAM_L_X30Y0 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y0 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y0 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y0 NAME BRAM_L_X30Y0 TILEPROP BRAM_L_X30Y0 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y0 NUM_SITES 3 TILEPROP BRAM_L_X30Y0 ROW 155 TILEPROP BRAM_L_X30Y0 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y0 TILE_PATTERN_IDX 3505 TILEPROP BRAM_L_X30Y0 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y0 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y0 TILE_X 37804 TILEPROP BRAM_L_X30Y0 TILE_Y -233272 TILEPROP BRAM_L_X30Y0 TYPE BRAM_L TILEPROP BRAM_L_X30Y5 CLASS tile TILEPROP BRAM_L_X30Y5 COLUMN 75 TILEPROP BRAM_L_X30Y5 DEVICE_ID 0 TILEPROP BRAM_L_X30Y5 FIRST_SITE_ID 15252 TILEPROP BRAM_L_X30Y5 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y5 GRID_POINT_Y 150 TILEPROP BRAM_L_X30Y5 INDEX 17325 TILEPROP BRAM_L_X30Y5 INT_TILE_X 29 TILEPROP BRAM_L_X30Y5 INT_TILE_Y 144 TILEPROP BRAM_L_X30Y5 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y5 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y5 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y5 NAME BRAM_L_X30Y5 TILEPROP BRAM_L_X30Y5 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y5 NUM_SITES 3 TILEPROP BRAM_L_X30Y5 ROW 150 TILEPROP BRAM_L_X30Y5 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y5 TILE_PATTERN_IDX 3319 TILEPROP BRAM_L_X30Y5 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y5 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y5 TILE_X 37804 TILEPROP BRAM_L_X30Y5 TILE_Y -217272 TILEPROP BRAM_L_X30Y5 TYPE BRAM_L TILEPROP BRAM_L_X30Y10 CLASS tile TILEPROP BRAM_L_X30Y10 COLUMN 75 TILEPROP BRAM_L_X30Y10 DEVICE_ID 0 TILEPROP BRAM_L_X30Y10 FIRST_SITE_ID 14731 TILEPROP BRAM_L_X30Y10 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y10 GRID_POINT_Y 145 TILEPROP BRAM_L_X30Y10 INDEX 16750 TILEPROP BRAM_L_X30Y10 INT_TILE_X 29 TILEPROP BRAM_L_X30Y10 INT_TILE_Y 139 TILEPROP BRAM_L_X30Y10 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y10 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y10 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y10 NAME BRAM_L_X30Y10 TILEPROP BRAM_L_X30Y10 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y10 NUM_SITES 3 TILEPROP BRAM_L_X30Y10 ROW 145 TILEPROP BRAM_L_X30Y10 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y10 TILE_PATTERN_IDX 1304 TILEPROP BRAM_L_X30Y10 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y10 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y10 TILE_X 37804 TILEPROP BRAM_L_X30Y10 TILE_Y -201272 TILEPROP BRAM_L_X30Y10 TYPE BRAM_L TILEPROP BRAM_L_X30Y15 CLASS tile TILEPROP BRAM_L_X30Y15 COLUMN 75 TILEPROP BRAM_L_X30Y15 DEVICE_ID 0 TILEPROP BRAM_L_X30Y15 FIRST_SITE_ID 14184 TILEPROP BRAM_L_X30Y15 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y15 GRID_POINT_Y 140 TILEPROP BRAM_L_X30Y15 INDEX 16175 TILEPROP BRAM_L_X30Y15 INT_TILE_X 29 TILEPROP BRAM_L_X30Y15 INT_TILE_Y 134 TILEPROP BRAM_L_X30Y15 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y15 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y15 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y15 NAME BRAM_L_X30Y15 TILEPROP BRAM_L_X30Y15 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y15 NUM_SITES 3 TILEPROP BRAM_L_X30Y15 ROW 140 TILEPROP BRAM_L_X30Y15 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y15 TILE_PATTERN_IDX 1158 TILEPROP BRAM_L_X30Y15 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y15 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y15 TILE_X 37804 TILEPROP BRAM_L_X30Y15 TILE_Y -185272 TILEPROP BRAM_L_X30Y15 TYPE BRAM_L TILEPROP BRAM_L_X30Y20 CLASS tile TILEPROP BRAM_L_X30Y20 COLUMN 75 TILEPROP BRAM_L_X30Y20 DEVICE_ID 0 TILEPROP BRAM_L_X30Y20 FIRST_SITE_ID 13656 TILEPROP BRAM_L_X30Y20 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y20 GRID_POINT_Y 135 TILEPROP BRAM_L_X30Y20 INDEX 15600 TILEPROP BRAM_L_X30Y20 INT_TILE_X 29 TILEPROP BRAM_L_X30Y20 INT_TILE_Y 129 TILEPROP BRAM_L_X30Y20 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y20 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y20 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y20 NAME BRAM_L_X30Y20 TILEPROP BRAM_L_X30Y20 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y20 NUM_SITES 3 TILEPROP BRAM_L_X30Y20 ROW 135 TILEPROP BRAM_L_X30Y20 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y20 TILE_PATTERN_IDX 1005 TILEPROP BRAM_L_X30Y20 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y20 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y20 TILE_X 37804 TILEPROP BRAM_L_X30Y20 TILE_Y -169272 TILEPROP BRAM_L_X30Y20 TYPE BRAM_L TILEPROP BRAM_L_X30Y25 CLASS tile TILEPROP BRAM_L_X30Y25 COLUMN 75 TILEPROP BRAM_L_X30Y25 DEVICE_ID 0 TILEPROP BRAM_L_X30Y25 FIRST_SITE_ID 13058 TILEPROP BRAM_L_X30Y25 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y25 GRID_POINT_Y 129 TILEPROP BRAM_L_X30Y25 INDEX 14910 TILEPROP BRAM_L_X30Y25 INT_TILE_X 29 TILEPROP BRAM_L_X30Y25 INT_TILE_Y 124 TILEPROP BRAM_L_X30Y25 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y25 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y25 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y25 NAME BRAM_L_X30Y25 TILEPROP BRAM_L_X30Y25 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y25 NUM_SITES 3 TILEPROP BRAM_L_X30Y25 ROW 129 TILEPROP BRAM_L_X30Y25 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y25 TILE_PATTERN_IDX 796 TILEPROP BRAM_L_X30Y25 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y25 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y25 TILE_X 37804 TILEPROP BRAM_L_X30Y25 TILE_Y -152248 TILEPROP BRAM_L_X30Y25 TYPE BRAM_L TILEPROP BRAM_L_X30Y30 CLASS tile TILEPROP BRAM_L_X30Y30 COLUMN 75 TILEPROP BRAM_L_X30Y30 DEVICE_ID 0 TILEPROP BRAM_L_X30Y30 FIRST_SITE_ID 12531 TILEPROP BRAM_L_X30Y30 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y30 GRID_POINT_Y 124 TILEPROP BRAM_L_X30Y30 INDEX 14335 TILEPROP BRAM_L_X30Y30 INT_TILE_X 29 TILEPROP BRAM_L_X30Y30 INT_TILE_Y 119 TILEPROP BRAM_L_X30Y30 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y30 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y30 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y30 NAME BRAM_L_X30Y30 TILEPROP BRAM_L_X30Y30 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y30 NUM_SITES 3 TILEPROP BRAM_L_X30Y30 ROW 124 TILEPROP BRAM_L_X30Y30 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y30 TILE_PATTERN_IDX 637 TILEPROP BRAM_L_X30Y30 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y30 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y30 TILE_X 37804 TILEPROP BRAM_L_X30Y30 TILE_Y -136248 TILEPROP BRAM_L_X30Y30 TYPE BRAM_L TILEPROP BRAM_L_X30Y35 CLASS tile TILEPROP BRAM_L_X30Y35 COLUMN 75 TILEPROP BRAM_L_X30Y35 DEVICE_ID 0 TILEPROP BRAM_L_X30Y35 FIRST_SITE_ID 11998 TILEPROP BRAM_L_X30Y35 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y35 GRID_POINT_Y 119 TILEPROP BRAM_L_X30Y35 INDEX 13760 TILEPROP BRAM_L_X30Y35 INT_TILE_X 29 TILEPROP BRAM_L_X30Y35 INT_TILE_Y 114 TILEPROP BRAM_L_X30Y35 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y35 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y35 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y35 NAME BRAM_L_X30Y35 TILEPROP BRAM_L_X30Y35 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y35 NUM_SITES 3 TILEPROP BRAM_L_X30Y35 ROW 119 TILEPROP BRAM_L_X30Y35 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y35 TILE_PATTERN_IDX 484 TILEPROP BRAM_L_X30Y35 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y35 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y35 TILE_X 37804 TILEPROP BRAM_L_X30Y35 TILE_Y -120248 TILEPROP BRAM_L_X30Y35 TYPE BRAM_L TILEPROP BRAM_L_X30Y40 CLASS tile TILEPROP BRAM_L_X30Y40 COLUMN 75 TILEPROP BRAM_L_X30Y40 DEVICE_ID 0 TILEPROP BRAM_L_X30Y40 FIRST_SITE_ID 11451 TILEPROP BRAM_L_X30Y40 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y40 GRID_POINT_Y 114 TILEPROP BRAM_L_X30Y40 INDEX 13185 TILEPROP BRAM_L_X30Y40 INT_TILE_X 29 TILEPROP BRAM_L_X30Y40 INT_TILE_Y 109 TILEPROP BRAM_L_X30Y40 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y40 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y40 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y40 NAME BRAM_L_X30Y40 TILEPROP BRAM_L_X30Y40 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y40 NUM_SITES 3 TILEPROP BRAM_L_X30Y40 ROW 114 TILEPROP BRAM_L_X30Y40 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y40 TILE_PATTERN_IDX 2002 TILEPROP BRAM_L_X30Y40 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y40 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y40 TILE_X 37804 TILEPROP BRAM_L_X30Y40 TILE_Y -104248 TILEPROP BRAM_L_X30Y40 TYPE BRAM_L TILEPROP BRAM_L_X30Y45 CLASS tile TILEPROP BRAM_L_X30Y45 COLUMN 75 TILEPROP BRAM_L_X30Y45 DEVICE_ID 0 TILEPROP BRAM_L_X30Y45 FIRST_SITE_ID 10927 TILEPROP BRAM_L_X30Y45 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y45 GRID_POINT_Y 109 TILEPROP BRAM_L_X30Y45 INDEX 12610 TILEPROP BRAM_L_X30Y45 INT_TILE_X 29 TILEPROP BRAM_L_X30Y45 INT_TILE_Y 104 TILEPROP BRAM_L_X30Y45 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y45 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y45 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y45 NAME BRAM_L_X30Y45 TILEPROP BRAM_L_X30Y45 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y45 NUM_SITES 3 TILEPROP BRAM_L_X30Y45 ROW 109 TILEPROP BRAM_L_X30Y45 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y45 TILE_PATTERN_IDX 1810 TILEPROP BRAM_L_X30Y45 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y45 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y45 TILE_X 37804 TILEPROP BRAM_L_X30Y45 TILE_Y -88248 TILEPROP BRAM_L_X30Y45 TYPE BRAM_L TILEPROP BRAM_L_X30Y50 CLASS tile TILEPROP BRAM_L_X30Y50 COLUMN 75 TILEPROP BRAM_L_X30Y50 DEVICE_ID 0 TILEPROP BRAM_L_X30Y50 FIRST_SITE_ID 10399 TILEPROP BRAM_L_X30Y50 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y50 GRID_POINT_Y 103 TILEPROP BRAM_L_X30Y50 INDEX 11920 TILEPROP BRAM_L_X30Y50 INT_TILE_X 29 TILEPROP BRAM_L_X30Y50 INT_TILE_Y 99 TILEPROP BRAM_L_X30Y50 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y50 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y50 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y50 NAME BRAM_L_X30Y50 TILEPROP BRAM_L_X30Y50 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y50 NUM_SITES 3 TILEPROP BRAM_L_X30Y50 ROW 103 TILEPROP BRAM_L_X30Y50 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y50 TILE_PATTERN_IDX 1606 TILEPROP BRAM_L_X30Y50 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y50 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y50 TILE_X 37804 TILEPROP BRAM_L_X30Y50 TILE_Y -72000 TILEPROP BRAM_L_X30Y50 TYPE BRAM_L TILEPROP BRAM_L_X30Y55 CLASS tile TILEPROP BRAM_L_X30Y55 COLUMN 75 TILEPROP BRAM_L_X30Y55 DEVICE_ID 0 TILEPROP BRAM_L_X30Y55 FIRST_SITE_ID 9864 TILEPROP BRAM_L_X30Y55 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y55 GRID_POINT_Y 98 TILEPROP BRAM_L_X30Y55 INDEX 11345 TILEPROP BRAM_L_X30Y55 INT_TILE_X 29 TILEPROP BRAM_L_X30Y55 INT_TILE_Y 94 TILEPROP BRAM_L_X30Y55 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y55 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y55 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y55 NAME BRAM_L_X30Y55 TILEPROP BRAM_L_X30Y55 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y55 NUM_SITES 3 TILEPROP BRAM_L_X30Y55 ROW 98 TILEPROP BRAM_L_X30Y55 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y55 TILE_PATTERN_IDX 1454 TILEPROP BRAM_L_X30Y55 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y55 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y55 TILE_X 37804 TILEPROP BRAM_L_X30Y55 TILE_Y -56000 TILEPROP BRAM_L_X30Y55 TYPE BRAM_L TILEPROP BRAM_L_X30Y60 CLASS tile TILEPROP BRAM_L_X30Y60 COLUMN 75 TILEPROP BRAM_L_X30Y60 DEVICE_ID 0 TILEPROP BRAM_L_X30Y60 FIRST_SITE_ID 9343 TILEPROP BRAM_L_X30Y60 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y60 GRID_POINT_Y 93 TILEPROP BRAM_L_X30Y60 INDEX 10770 TILEPROP BRAM_L_X30Y60 INT_TILE_X 29 TILEPROP BRAM_L_X30Y60 INT_TILE_Y 89 TILEPROP BRAM_L_X30Y60 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y60 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y60 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y60 NAME BRAM_L_X30Y60 TILEPROP BRAM_L_X30Y60 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y60 NUM_SITES 3 TILEPROP BRAM_L_X30Y60 ROW 93 TILEPROP BRAM_L_X30Y60 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y60 TILE_PATTERN_IDX 1304 TILEPROP BRAM_L_X30Y60 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y60 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y60 TILE_X 37804 TILEPROP BRAM_L_X30Y60 TILE_Y -40000 TILEPROP BRAM_L_X30Y60 TYPE BRAM_L TILEPROP BRAM_L_X30Y65 CLASS tile TILEPROP BRAM_L_X30Y65 COLUMN 75 TILEPROP BRAM_L_X30Y65 DEVICE_ID 0 TILEPROP BRAM_L_X30Y65 FIRST_SITE_ID 8796 TILEPROP BRAM_L_X30Y65 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y65 GRID_POINT_Y 88 TILEPROP BRAM_L_X30Y65 INDEX 10195 TILEPROP BRAM_L_X30Y65 INT_TILE_X 29 TILEPROP BRAM_L_X30Y65 INT_TILE_Y 84 TILEPROP BRAM_L_X30Y65 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y65 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y65 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y65 NAME BRAM_L_X30Y65 TILEPROP BRAM_L_X30Y65 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y65 NUM_SITES 3 TILEPROP BRAM_L_X30Y65 ROW 88 TILEPROP BRAM_L_X30Y65 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y65 TILE_PATTERN_IDX 1158 TILEPROP BRAM_L_X30Y65 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y65 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y65 TILE_X 37804 TILEPROP BRAM_L_X30Y65 TILE_Y -24000 TILEPROP BRAM_L_X30Y65 TYPE BRAM_L TILEPROP BRAM_L_X30Y70 CLASS tile TILEPROP BRAM_L_X30Y70 COLUMN 75 TILEPROP BRAM_L_X30Y70 DEVICE_ID 0 TILEPROP BRAM_L_X30Y70 FIRST_SITE_ID 8269 TILEPROP BRAM_L_X30Y70 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y70 GRID_POINT_Y 83 TILEPROP BRAM_L_X30Y70 INDEX 9620 TILEPROP BRAM_L_X30Y70 INT_TILE_X 29 TILEPROP BRAM_L_X30Y70 INT_TILE_Y 79 TILEPROP BRAM_L_X30Y70 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y70 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y70 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y70 NAME BRAM_L_X30Y70 TILEPROP BRAM_L_X30Y70 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y70 NUM_SITES 3 TILEPROP BRAM_L_X30Y70 ROW 83 TILEPROP BRAM_L_X30Y70 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y70 TILE_PATTERN_IDX 1005 TILEPROP BRAM_L_X30Y70 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y70 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y70 TILE_X 37804 TILEPROP BRAM_L_X30Y70 TILE_Y -8000 TILEPROP BRAM_L_X30Y70 TYPE BRAM_L TILEPROP BRAM_L_X30Y75 CLASS tile TILEPROP BRAM_L_X30Y75 COLUMN 75 TILEPROP BRAM_L_X30Y75 DEVICE_ID 0 TILEPROP BRAM_L_X30Y75 FIRST_SITE_ID 7671 TILEPROP BRAM_L_X30Y75 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y75 GRID_POINT_Y 77 TILEPROP BRAM_L_X30Y75 INDEX 8930 TILEPROP BRAM_L_X30Y75 INT_TILE_X 29 TILEPROP BRAM_L_X30Y75 INT_TILE_Y 74 TILEPROP BRAM_L_X30Y75 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y75 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y75 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y75 NAME BRAM_L_X30Y75 TILEPROP BRAM_L_X30Y75 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y75 NUM_SITES 3 TILEPROP BRAM_L_X30Y75 ROW 77 TILEPROP BRAM_L_X30Y75 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y75 TILE_PATTERN_IDX 796 TILEPROP BRAM_L_X30Y75 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y75 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y75 TILE_X 37804 TILEPROP BRAM_L_X30Y75 TILE_Y 9024 TILEPROP BRAM_L_X30Y75 TYPE BRAM_L TILEPROP BRAM_L_X30Y80 CLASS tile TILEPROP BRAM_L_X30Y80 COLUMN 75 TILEPROP BRAM_L_X30Y80 DEVICE_ID 0 TILEPROP BRAM_L_X30Y80 FIRST_SITE_ID 7141 TILEPROP BRAM_L_X30Y80 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y80 GRID_POINT_Y 72 TILEPROP BRAM_L_X30Y80 INDEX 8355 TILEPROP BRAM_L_X30Y80 INT_TILE_X 29 TILEPROP BRAM_L_X30Y80 INT_TILE_Y 69 TILEPROP BRAM_L_X30Y80 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y80 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y80 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y80 NAME BRAM_L_X30Y80 TILEPROP BRAM_L_X30Y80 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y80 NUM_SITES 3 TILEPROP BRAM_L_X30Y80 ROW 72 TILEPROP BRAM_L_X30Y80 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y80 TILE_PATTERN_IDX 637 TILEPROP BRAM_L_X30Y80 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y80 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y80 TILE_X 37804 TILEPROP BRAM_L_X30Y80 TILE_Y 25024 TILEPROP BRAM_L_X30Y80 TYPE BRAM_L TILEPROP BRAM_L_X30Y85 CLASS tile TILEPROP BRAM_L_X30Y85 COLUMN 75 TILEPROP BRAM_L_X30Y85 DEVICE_ID 0 TILEPROP BRAM_L_X30Y85 FIRST_SITE_ID 6622 TILEPROP BRAM_L_X30Y85 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y85 GRID_POINT_Y 67 TILEPROP BRAM_L_X30Y85 INDEX 7780 TILEPROP BRAM_L_X30Y85 INT_TILE_X 29 TILEPROP BRAM_L_X30Y85 INT_TILE_Y 64 TILEPROP BRAM_L_X30Y85 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y85 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y85 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y85 NAME BRAM_L_X30Y85 TILEPROP BRAM_L_X30Y85 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y85 NUM_SITES 3 TILEPROP BRAM_L_X30Y85 ROW 67 TILEPROP BRAM_L_X30Y85 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y85 TILE_PATTERN_IDX 484 TILEPROP BRAM_L_X30Y85 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y85 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y85 TILE_X 37804 TILEPROP BRAM_L_X30Y85 TILE_Y 41024 TILEPROP BRAM_L_X30Y85 TYPE BRAM_L TILEPROP BRAM_L_X30Y90 CLASS tile TILEPROP BRAM_L_X30Y90 COLUMN 75 TILEPROP BRAM_L_X30Y90 DEVICE_ID 0 TILEPROP BRAM_L_X30Y90 FIRST_SITE_ID 6075 TILEPROP BRAM_L_X30Y90 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y90 GRID_POINT_Y 62 TILEPROP BRAM_L_X30Y90 INDEX 7205 TILEPROP BRAM_L_X30Y90 INT_TILE_X 29 TILEPROP BRAM_L_X30Y90 INT_TILE_Y 59 TILEPROP BRAM_L_X30Y90 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y90 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y90 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y90 NAME BRAM_L_X30Y90 TILEPROP BRAM_L_X30Y90 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y90 NUM_SITES 3 TILEPROP BRAM_L_X30Y90 ROW 62 TILEPROP BRAM_L_X30Y90 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y90 TILE_PATTERN_IDX 327 TILEPROP BRAM_L_X30Y90 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y90 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y90 TILE_X 37804 TILEPROP BRAM_L_X30Y90 TILE_Y 57024 TILEPROP BRAM_L_X30Y90 TYPE BRAM_L TILEPROP BRAM_L_X30Y95 CLASS tile TILEPROP BRAM_L_X30Y95 COLUMN 75 TILEPROP BRAM_L_X30Y95 DEVICE_ID 0 TILEPROP BRAM_L_X30Y95 FIRST_SITE_ID 5554 TILEPROP BRAM_L_X30Y95 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y95 GRID_POINT_Y 57 TILEPROP BRAM_L_X30Y95 INDEX 6630 TILEPROP BRAM_L_X30Y95 INT_TILE_X 29 TILEPROP BRAM_L_X30Y95 INT_TILE_Y 54 TILEPROP BRAM_L_X30Y95 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y95 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y95 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y95 NAME BRAM_L_X30Y95 TILEPROP BRAM_L_X30Y95 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y95 NUM_SITES 3 TILEPROP BRAM_L_X30Y95 ROW 57 TILEPROP BRAM_L_X30Y95 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y95 TILE_PATTERN_IDX 166 TILEPROP BRAM_L_X30Y95 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y95 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y95 TILE_X 37804 TILEPROP BRAM_L_X30Y95 TILE_Y 73024 TILEPROP BRAM_L_X30Y95 TYPE BRAM_L TILEPROP BRAM_L_X30Y125 CLASS tile TILEPROP BRAM_L_X30Y125 COLUMN 75 TILEPROP BRAM_L_X30Y125 DEVICE_ID 0 TILEPROP BRAM_L_X30Y125 FIRST_SITE_ID 2589 TILEPROP BRAM_L_X30Y125 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y125 GRID_POINT_Y 25 TILEPROP BRAM_L_X30Y125 INDEX 2950 TILEPROP BRAM_L_X30Y125 INT_TILE_X 29 TILEPROP BRAM_L_X30Y125 INT_TILE_Y 24 TILEPROP BRAM_L_X30Y125 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y125 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y125 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y125 NAME BRAM_L_X30Y125 TILEPROP BRAM_L_X30Y125 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y125 NUM_SITES 3 TILEPROP BRAM_L_X30Y125 ROW 25 TILEPROP BRAM_L_X30Y125 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y125 TILE_PATTERN_IDX 816 TILEPROP BRAM_L_X30Y125 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y125 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y125 TILE_X 37804 TILEPROP BRAM_L_X30Y125 TILE_Y 170296 TILEPROP BRAM_L_X30Y125 TYPE BRAM_L TILEPROP BRAM_L_X30Y130 CLASS tile TILEPROP BRAM_L_X30Y130 COLUMN 75 TILEPROP BRAM_L_X30Y130 DEVICE_ID 0 TILEPROP BRAM_L_X30Y130 FIRST_SITE_ID 2067 TILEPROP BRAM_L_X30Y130 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y130 GRID_POINT_Y 20 TILEPROP BRAM_L_X30Y130 INDEX 2375 TILEPROP BRAM_L_X30Y130 INT_TILE_X 29 TILEPROP BRAM_L_X30Y130 INT_TILE_Y 19 TILEPROP BRAM_L_X30Y130 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y130 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y130 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y130 NAME BRAM_L_X30Y130 TILEPROP BRAM_L_X30Y130 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y130 NUM_SITES 3 TILEPROP BRAM_L_X30Y130 ROW 20 TILEPROP BRAM_L_X30Y130 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y130 TILE_PATTERN_IDX 651 TILEPROP BRAM_L_X30Y130 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y130 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y130 TILE_X 37804 TILEPROP BRAM_L_X30Y130 TILE_Y 186296 TILEPROP BRAM_L_X30Y130 TYPE BRAM_L TILEPROP BRAM_L_X30Y135 CLASS tile TILEPROP BRAM_L_X30Y135 COLUMN 75 TILEPROP BRAM_L_X30Y135 DEVICE_ID 0 TILEPROP BRAM_L_X30Y135 FIRST_SITE_ID 1552 TILEPROP BRAM_L_X30Y135 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y135 GRID_POINT_Y 15 TILEPROP BRAM_L_X30Y135 INDEX 1800 TILEPROP BRAM_L_X30Y135 INT_TILE_X 29 TILEPROP BRAM_L_X30Y135 INT_TILE_Y 14 TILEPROP BRAM_L_X30Y135 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y135 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y135 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y135 NAME BRAM_L_X30Y135 TILEPROP BRAM_L_X30Y135 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y135 NUM_SITES 3 TILEPROP BRAM_L_X30Y135 ROW 15 TILEPROP BRAM_L_X30Y135 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y135 TILE_PATTERN_IDX 484 TILEPROP BRAM_L_X30Y135 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y135 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y135 TILE_X 37804 TILEPROP BRAM_L_X30Y135 TILE_Y 202296 TILEPROP BRAM_L_X30Y135 TYPE BRAM_L TILEPROP BRAM_L_X30Y140 CLASS tile TILEPROP BRAM_L_X30Y140 COLUMN 75 TILEPROP BRAM_L_X30Y140 DEVICE_ID 0 TILEPROP BRAM_L_X30Y140 FIRST_SITE_ID 1004 TILEPROP BRAM_L_X30Y140 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y140 GRID_POINT_Y 10 TILEPROP BRAM_L_X30Y140 INDEX 1225 TILEPROP BRAM_L_X30Y140 INT_TILE_X 29 TILEPROP BRAM_L_X30Y140 INT_TILE_Y 9 TILEPROP BRAM_L_X30Y140 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y140 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y140 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y140 NAME BRAM_L_X30Y140 TILEPROP BRAM_L_X30Y140 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y140 NUM_SITES 3 TILEPROP BRAM_L_X30Y140 ROW 10 TILEPROP BRAM_L_X30Y140 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y140 TILE_PATTERN_IDX 327 TILEPROP BRAM_L_X30Y140 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y140 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y140 TILE_X 37804 TILEPROP BRAM_L_X30Y140 TILE_Y 218296 TILEPROP BRAM_L_X30Y140 TYPE BRAM_L TILEPROP BRAM_L_X30Y145 CLASS tile TILEPROP BRAM_L_X30Y145 COLUMN 75 TILEPROP BRAM_L_X30Y145 DEVICE_ID 0 TILEPROP BRAM_L_X30Y145 FIRST_SITE_ID 488 TILEPROP BRAM_L_X30Y145 GRID_POINT_X 75 TILEPROP BRAM_L_X30Y145 GRID_POINT_Y 5 TILEPROP BRAM_L_X30Y145 INDEX 650 TILEPROP BRAM_L_X30Y145 INT_TILE_X 29 TILEPROP BRAM_L_X30Y145 INT_TILE_Y 4 TILEPROP BRAM_L_X30Y145 IS_CENTER_TILE 0 TILEPROP BRAM_L_X30Y145 IS_DCM_TILE 0 TILEPROP BRAM_L_X30Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X30Y145 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X30Y145 NAME BRAM_L_X30Y145 TILEPROP BRAM_L_X30Y145 NUM_ARCS 1221 TILEPROP BRAM_L_X30Y145 NUM_SITES 3 TILEPROP BRAM_L_X30Y145 ROW 5 TILEPROP BRAM_L_X30Y145 SLR_REGION_ID 0 TILEPROP BRAM_L_X30Y145 TILE_PATTERN_IDX 166 TILEPROP BRAM_L_X30Y145 TILE_TYPE BRAM_L TILEPROP BRAM_L_X30Y145 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X30Y145 TILE_X 37804 TILEPROP BRAM_L_X30Y145 TILE_Y 234296 TILEPROP BRAM_L_X30Y145 TYPE BRAM_L TILEPROP BRAM_L_X6Y0 CLASS tile TILEPROP BRAM_L_X6Y0 COLUMN 19 TILEPROP BRAM_L_X6Y0 DEVICE_ID 0 TILEPROP BRAM_L_X6Y0 FIRST_SITE_ID 15720 TILEPROP BRAM_L_X6Y0 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y0 GRID_POINT_Y 155 TILEPROP BRAM_L_X6Y0 INDEX 17844 TILEPROP BRAM_L_X6Y0 INT_TILE_X 5 TILEPROP BRAM_L_X6Y0 INT_TILE_Y 149 TILEPROP BRAM_L_X6Y0 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y0 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y0 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y0 NAME BRAM_L_X6Y0 TILEPROP BRAM_L_X6Y0 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y0 NUM_SITES 3 TILEPROP BRAM_L_X6Y0 ROW 155 TILEPROP BRAM_L_X6Y0 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y0 TILE_PATTERN_IDX 3505 TILEPROP BRAM_L_X6Y0 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y0 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y0 TILE_X -68698 TILEPROP BRAM_L_X6Y0 TILE_Y -233272 TILEPROP BRAM_L_X6Y0 TYPE BRAM_L TILEPROP BRAM_L_X6Y5 CLASS tile TILEPROP BRAM_L_X6Y5 COLUMN 19 TILEPROP BRAM_L_X6Y5 DEVICE_ID 0 TILEPROP BRAM_L_X6Y5 FIRST_SITE_ID 15200 TILEPROP BRAM_L_X6Y5 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y5 GRID_POINT_Y 150 TILEPROP BRAM_L_X6Y5 INDEX 17269 TILEPROP BRAM_L_X6Y5 INT_TILE_X 5 TILEPROP BRAM_L_X6Y5 INT_TILE_Y 144 TILEPROP BRAM_L_X6Y5 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y5 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y5 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y5 NAME BRAM_L_X6Y5 TILEPROP BRAM_L_X6Y5 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y5 NUM_SITES 3 TILEPROP BRAM_L_X6Y5 ROW 150 TILEPROP BRAM_L_X6Y5 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y5 TILE_PATTERN_IDX 3319 TILEPROP BRAM_L_X6Y5 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y5 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y5 TILE_X -68698 TILEPROP BRAM_L_X6Y5 TILE_Y -217272 TILEPROP BRAM_L_X6Y5 TYPE BRAM_L TILEPROP BRAM_L_X6Y10 CLASS tile TILEPROP BRAM_L_X6Y10 COLUMN 19 TILEPROP BRAM_L_X6Y10 DEVICE_ID 0 TILEPROP BRAM_L_X6Y10 FIRST_SITE_ID 14679 TILEPROP BRAM_L_X6Y10 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y10 GRID_POINT_Y 145 TILEPROP BRAM_L_X6Y10 INDEX 16694 TILEPROP BRAM_L_X6Y10 INT_TILE_X 5 TILEPROP BRAM_L_X6Y10 INT_TILE_Y 139 TILEPROP BRAM_L_X6Y10 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y10 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y10 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y10 NAME BRAM_L_X6Y10 TILEPROP BRAM_L_X6Y10 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y10 NUM_SITES 3 TILEPROP BRAM_L_X6Y10 ROW 145 TILEPROP BRAM_L_X6Y10 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y10 TILE_PATTERN_IDX 1304 TILEPROP BRAM_L_X6Y10 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y10 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y10 TILE_X -68698 TILEPROP BRAM_L_X6Y10 TILE_Y -201272 TILEPROP BRAM_L_X6Y10 TYPE BRAM_L TILEPROP BRAM_L_X6Y15 CLASS tile TILEPROP BRAM_L_X6Y15 COLUMN 19 TILEPROP BRAM_L_X6Y15 DEVICE_ID 0 TILEPROP BRAM_L_X6Y15 FIRST_SITE_ID 14132 TILEPROP BRAM_L_X6Y15 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y15 GRID_POINT_Y 140 TILEPROP BRAM_L_X6Y15 INDEX 16119 TILEPROP BRAM_L_X6Y15 INT_TILE_X 5 TILEPROP BRAM_L_X6Y15 INT_TILE_Y 134 TILEPROP BRAM_L_X6Y15 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y15 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y15 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y15 NAME BRAM_L_X6Y15 TILEPROP BRAM_L_X6Y15 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y15 NUM_SITES 3 TILEPROP BRAM_L_X6Y15 ROW 140 TILEPROP BRAM_L_X6Y15 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y15 TILE_PATTERN_IDX 1158 TILEPROP BRAM_L_X6Y15 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y15 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y15 TILE_X -68698 TILEPROP BRAM_L_X6Y15 TILE_Y -185272 TILEPROP BRAM_L_X6Y15 TYPE BRAM_L TILEPROP BRAM_L_X6Y20 CLASS tile TILEPROP BRAM_L_X6Y20 COLUMN 19 TILEPROP BRAM_L_X6Y20 DEVICE_ID 0 TILEPROP BRAM_L_X6Y20 FIRST_SITE_ID 13604 TILEPROP BRAM_L_X6Y20 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y20 GRID_POINT_Y 135 TILEPROP BRAM_L_X6Y20 INDEX 15544 TILEPROP BRAM_L_X6Y20 INT_TILE_X 5 TILEPROP BRAM_L_X6Y20 INT_TILE_Y 129 TILEPROP BRAM_L_X6Y20 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y20 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y20 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y20 NAME BRAM_L_X6Y20 TILEPROP BRAM_L_X6Y20 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y20 NUM_SITES 3 TILEPROP BRAM_L_X6Y20 ROW 135 TILEPROP BRAM_L_X6Y20 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y20 TILE_PATTERN_IDX 1005 TILEPROP BRAM_L_X6Y20 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y20 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y20 TILE_X -68698 TILEPROP BRAM_L_X6Y20 TILE_Y -169272 TILEPROP BRAM_L_X6Y20 TYPE BRAM_L TILEPROP BRAM_L_X6Y25 CLASS tile TILEPROP BRAM_L_X6Y25 COLUMN 19 TILEPROP BRAM_L_X6Y25 DEVICE_ID 0 TILEPROP BRAM_L_X6Y25 FIRST_SITE_ID 13006 TILEPROP BRAM_L_X6Y25 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y25 GRID_POINT_Y 129 TILEPROP BRAM_L_X6Y25 INDEX 14854 TILEPROP BRAM_L_X6Y25 INT_TILE_X 5 TILEPROP BRAM_L_X6Y25 INT_TILE_Y 124 TILEPROP BRAM_L_X6Y25 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y25 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y25 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y25 NAME BRAM_L_X6Y25 TILEPROP BRAM_L_X6Y25 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y25 NUM_SITES 3 TILEPROP BRAM_L_X6Y25 ROW 129 TILEPROP BRAM_L_X6Y25 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y25 TILE_PATTERN_IDX 796 TILEPROP BRAM_L_X6Y25 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y25 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y25 TILE_X -68698 TILEPROP BRAM_L_X6Y25 TILE_Y -152248 TILEPROP BRAM_L_X6Y25 TYPE BRAM_L TILEPROP BRAM_L_X6Y30 CLASS tile TILEPROP BRAM_L_X6Y30 COLUMN 19 TILEPROP BRAM_L_X6Y30 DEVICE_ID 0 TILEPROP BRAM_L_X6Y30 FIRST_SITE_ID 12466 TILEPROP BRAM_L_X6Y30 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y30 GRID_POINT_Y 124 TILEPROP BRAM_L_X6Y30 INDEX 14279 TILEPROP BRAM_L_X6Y30 INT_TILE_X 5 TILEPROP BRAM_L_X6Y30 INT_TILE_Y 119 TILEPROP BRAM_L_X6Y30 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y30 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y30 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y30 NAME BRAM_L_X6Y30 TILEPROP BRAM_L_X6Y30 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y30 NUM_SITES 3 TILEPROP BRAM_L_X6Y30 ROW 124 TILEPROP BRAM_L_X6Y30 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y30 TILE_PATTERN_IDX 637 TILEPROP BRAM_L_X6Y30 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y30 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y30 TILE_X -68698 TILEPROP BRAM_L_X6Y30 TILE_Y -136248 TILEPROP BRAM_L_X6Y30 TYPE BRAM_L TILEPROP BRAM_L_X6Y35 CLASS tile TILEPROP BRAM_L_X6Y35 COLUMN 19 TILEPROP BRAM_L_X6Y35 DEVICE_ID 0 TILEPROP BRAM_L_X6Y35 FIRST_SITE_ID 11946 TILEPROP BRAM_L_X6Y35 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y35 GRID_POINT_Y 119 TILEPROP BRAM_L_X6Y35 INDEX 13704 TILEPROP BRAM_L_X6Y35 INT_TILE_X 5 TILEPROP BRAM_L_X6Y35 INT_TILE_Y 114 TILEPROP BRAM_L_X6Y35 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y35 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y35 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y35 NAME BRAM_L_X6Y35 TILEPROP BRAM_L_X6Y35 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y35 NUM_SITES 3 TILEPROP BRAM_L_X6Y35 ROW 119 TILEPROP BRAM_L_X6Y35 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y35 TILE_PATTERN_IDX 484 TILEPROP BRAM_L_X6Y35 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y35 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y35 TILE_X -68698 TILEPROP BRAM_L_X6Y35 TILE_Y -120248 TILEPROP BRAM_L_X6Y35 TYPE BRAM_L TILEPROP BRAM_L_X6Y40 CLASS tile TILEPROP BRAM_L_X6Y40 COLUMN 19 TILEPROP BRAM_L_X6Y40 DEVICE_ID 0 TILEPROP BRAM_L_X6Y40 FIRST_SITE_ID 11397 TILEPROP BRAM_L_X6Y40 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y40 GRID_POINT_Y 114 TILEPROP BRAM_L_X6Y40 INDEX 13129 TILEPROP BRAM_L_X6Y40 INT_TILE_X 5 TILEPROP BRAM_L_X6Y40 INT_TILE_Y 109 TILEPROP BRAM_L_X6Y40 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y40 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y40 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y40 NAME BRAM_L_X6Y40 TILEPROP BRAM_L_X6Y40 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y40 NUM_SITES 3 TILEPROP BRAM_L_X6Y40 ROW 114 TILEPROP BRAM_L_X6Y40 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y40 TILE_PATTERN_IDX 2002 TILEPROP BRAM_L_X6Y40 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y40 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y40 TILE_X -68698 TILEPROP BRAM_L_X6Y40 TILE_Y -104248 TILEPROP BRAM_L_X6Y40 TYPE BRAM_L TILEPROP BRAM_L_X6Y45 CLASS tile TILEPROP BRAM_L_X6Y45 COLUMN 19 TILEPROP BRAM_L_X6Y45 DEVICE_ID 0 TILEPROP BRAM_L_X6Y45 FIRST_SITE_ID 10874 TILEPROP BRAM_L_X6Y45 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y45 GRID_POINT_Y 109 TILEPROP BRAM_L_X6Y45 INDEX 12554 TILEPROP BRAM_L_X6Y45 INT_TILE_X 5 TILEPROP BRAM_L_X6Y45 INT_TILE_Y 104 TILEPROP BRAM_L_X6Y45 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y45 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y45 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y45 NAME BRAM_L_X6Y45 TILEPROP BRAM_L_X6Y45 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y45 NUM_SITES 3 TILEPROP BRAM_L_X6Y45 ROW 109 TILEPROP BRAM_L_X6Y45 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y45 TILE_PATTERN_IDX 1810 TILEPROP BRAM_L_X6Y45 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y45 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y45 TILE_X -68698 TILEPROP BRAM_L_X6Y45 TILE_Y -88248 TILEPROP BRAM_L_X6Y45 TYPE BRAM_L TILEPROP BRAM_L_X6Y50 CLASS tile TILEPROP BRAM_L_X6Y50 COLUMN 19 TILEPROP BRAM_L_X6Y50 DEVICE_ID 0 TILEPROP BRAM_L_X6Y50 FIRST_SITE_ID 10331 TILEPROP BRAM_L_X6Y50 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y50 GRID_POINT_Y 103 TILEPROP BRAM_L_X6Y50 INDEX 11864 TILEPROP BRAM_L_X6Y50 INT_TILE_X 5 TILEPROP BRAM_L_X6Y50 INT_TILE_Y 99 TILEPROP BRAM_L_X6Y50 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y50 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y50 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y50 NAME BRAM_L_X6Y50 TILEPROP BRAM_L_X6Y50 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y50 NUM_SITES 3 TILEPROP BRAM_L_X6Y50 ROW 103 TILEPROP BRAM_L_X6Y50 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y50 TILE_PATTERN_IDX 1606 TILEPROP BRAM_L_X6Y50 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y50 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y50 TILE_X -68698 TILEPROP BRAM_L_X6Y50 TILE_Y -72000 TILEPROP BRAM_L_X6Y50 TYPE BRAM_L TILEPROP BRAM_L_X6Y55 CLASS tile TILEPROP BRAM_L_X6Y55 COLUMN 19 TILEPROP BRAM_L_X6Y55 DEVICE_ID 0 TILEPROP BRAM_L_X6Y55 FIRST_SITE_ID 9812 TILEPROP BRAM_L_X6Y55 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y55 GRID_POINT_Y 98 TILEPROP BRAM_L_X6Y55 INDEX 11289 TILEPROP BRAM_L_X6Y55 INT_TILE_X 5 TILEPROP BRAM_L_X6Y55 INT_TILE_Y 94 TILEPROP BRAM_L_X6Y55 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y55 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y55 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y55 NAME BRAM_L_X6Y55 TILEPROP BRAM_L_X6Y55 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y55 NUM_SITES 3 TILEPROP BRAM_L_X6Y55 ROW 98 TILEPROP BRAM_L_X6Y55 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y55 TILE_PATTERN_IDX 1454 TILEPROP BRAM_L_X6Y55 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y55 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y55 TILE_X -68698 TILEPROP BRAM_L_X6Y55 TILE_Y -56000 TILEPROP BRAM_L_X6Y55 TYPE BRAM_L TILEPROP BRAM_L_X6Y60 CLASS tile TILEPROP BRAM_L_X6Y60 COLUMN 19 TILEPROP BRAM_L_X6Y60 DEVICE_ID 0 TILEPROP BRAM_L_X6Y60 FIRST_SITE_ID 9291 TILEPROP BRAM_L_X6Y60 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y60 GRID_POINT_Y 93 TILEPROP BRAM_L_X6Y60 INDEX 10714 TILEPROP BRAM_L_X6Y60 INT_TILE_X 5 TILEPROP BRAM_L_X6Y60 INT_TILE_Y 89 TILEPROP BRAM_L_X6Y60 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y60 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y60 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y60 NAME BRAM_L_X6Y60 TILEPROP BRAM_L_X6Y60 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y60 NUM_SITES 3 TILEPROP BRAM_L_X6Y60 ROW 93 TILEPROP BRAM_L_X6Y60 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y60 TILE_PATTERN_IDX 1304 TILEPROP BRAM_L_X6Y60 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y60 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y60 TILE_X -68698 TILEPROP BRAM_L_X6Y60 TILE_Y -40000 TILEPROP BRAM_L_X6Y60 TYPE BRAM_L TILEPROP BRAM_L_X6Y65 CLASS tile TILEPROP BRAM_L_X6Y65 COLUMN 19 TILEPROP BRAM_L_X6Y65 DEVICE_ID 0 TILEPROP BRAM_L_X6Y65 FIRST_SITE_ID 8744 TILEPROP BRAM_L_X6Y65 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y65 GRID_POINT_Y 88 TILEPROP BRAM_L_X6Y65 INDEX 10139 TILEPROP BRAM_L_X6Y65 INT_TILE_X 5 TILEPROP BRAM_L_X6Y65 INT_TILE_Y 84 TILEPROP BRAM_L_X6Y65 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y65 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y65 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y65 NAME BRAM_L_X6Y65 TILEPROP BRAM_L_X6Y65 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y65 NUM_SITES 3 TILEPROP BRAM_L_X6Y65 ROW 88 TILEPROP BRAM_L_X6Y65 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y65 TILE_PATTERN_IDX 1158 TILEPROP BRAM_L_X6Y65 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y65 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y65 TILE_X -68698 TILEPROP BRAM_L_X6Y65 TILE_Y -24000 TILEPROP BRAM_L_X6Y65 TYPE BRAM_L TILEPROP BRAM_L_X6Y70 CLASS tile TILEPROP BRAM_L_X6Y70 COLUMN 19 TILEPROP BRAM_L_X6Y70 DEVICE_ID 0 TILEPROP BRAM_L_X6Y70 FIRST_SITE_ID 8217 TILEPROP BRAM_L_X6Y70 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y70 GRID_POINT_Y 83 TILEPROP BRAM_L_X6Y70 INDEX 9564 TILEPROP BRAM_L_X6Y70 INT_TILE_X 5 TILEPROP BRAM_L_X6Y70 INT_TILE_Y 79 TILEPROP BRAM_L_X6Y70 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y70 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y70 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y70 NAME BRAM_L_X6Y70 TILEPROP BRAM_L_X6Y70 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y70 NUM_SITES 3 TILEPROP BRAM_L_X6Y70 ROW 83 TILEPROP BRAM_L_X6Y70 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y70 TILE_PATTERN_IDX 1005 TILEPROP BRAM_L_X6Y70 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y70 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y70 TILE_X -68698 TILEPROP BRAM_L_X6Y70 TILE_Y -8000 TILEPROP BRAM_L_X6Y70 TYPE BRAM_L TILEPROP BRAM_L_X6Y75 CLASS tile TILEPROP BRAM_L_X6Y75 COLUMN 19 TILEPROP BRAM_L_X6Y75 DEVICE_ID 0 TILEPROP BRAM_L_X6Y75 FIRST_SITE_ID 7616 TILEPROP BRAM_L_X6Y75 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y75 GRID_POINT_Y 77 TILEPROP BRAM_L_X6Y75 INDEX 8874 TILEPROP BRAM_L_X6Y75 INT_TILE_X 5 TILEPROP BRAM_L_X6Y75 INT_TILE_Y 74 TILEPROP BRAM_L_X6Y75 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y75 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y75 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y75 NAME BRAM_L_X6Y75 TILEPROP BRAM_L_X6Y75 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y75 NUM_SITES 3 TILEPROP BRAM_L_X6Y75 ROW 77 TILEPROP BRAM_L_X6Y75 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y75 TILE_PATTERN_IDX 796 TILEPROP BRAM_L_X6Y75 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y75 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y75 TILE_X -68698 TILEPROP BRAM_L_X6Y75 TILE_Y 9024 TILEPROP BRAM_L_X6Y75 TYPE BRAM_L TILEPROP BRAM_L_X6Y80 CLASS tile TILEPROP BRAM_L_X6Y80 COLUMN 19 TILEPROP BRAM_L_X6Y80 DEVICE_ID 0 TILEPROP BRAM_L_X6Y80 FIRST_SITE_ID 7089 TILEPROP BRAM_L_X6Y80 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y80 GRID_POINT_Y 72 TILEPROP BRAM_L_X6Y80 INDEX 8299 TILEPROP BRAM_L_X6Y80 INT_TILE_X 5 TILEPROP BRAM_L_X6Y80 INT_TILE_Y 69 TILEPROP BRAM_L_X6Y80 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y80 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y80 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y80 NAME BRAM_L_X6Y80 TILEPROP BRAM_L_X6Y80 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y80 NUM_SITES 3 TILEPROP BRAM_L_X6Y80 ROW 72 TILEPROP BRAM_L_X6Y80 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y80 TILE_PATTERN_IDX 637 TILEPROP BRAM_L_X6Y80 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y80 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y80 TILE_X -68698 TILEPROP BRAM_L_X6Y80 TILE_Y 25024 TILEPROP BRAM_L_X6Y80 TYPE BRAM_L TILEPROP BRAM_L_X6Y85 CLASS tile TILEPROP BRAM_L_X6Y85 COLUMN 19 TILEPROP BRAM_L_X6Y85 DEVICE_ID 0 TILEPROP BRAM_L_X6Y85 FIRST_SITE_ID 6570 TILEPROP BRAM_L_X6Y85 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y85 GRID_POINT_Y 67 TILEPROP BRAM_L_X6Y85 INDEX 7724 TILEPROP BRAM_L_X6Y85 INT_TILE_X 5 TILEPROP BRAM_L_X6Y85 INT_TILE_Y 64 TILEPROP BRAM_L_X6Y85 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y85 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y85 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y85 NAME BRAM_L_X6Y85 TILEPROP BRAM_L_X6Y85 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y85 NUM_SITES 3 TILEPROP BRAM_L_X6Y85 ROW 67 TILEPROP BRAM_L_X6Y85 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y85 TILE_PATTERN_IDX 484 TILEPROP BRAM_L_X6Y85 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y85 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y85 TILE_X -68698 TILEPROP BRAM_L_X6Y85 TILE_Y 41024 TILEPROP BRAM_L_X6Y85 TYPE BRAM_L TILEPROP BRAM_L_X6Y90 CLASS tile TILEPROP BRAM_L_X6Y90 COLUMN 19 TILEPROP BRAM_L_X6Y90 DEVICE_ID 0 TILEPROP BRAM_L_X6Y90 FIRST_SITE_ID 6023 TILEPROP BRAM_L_X6Y90 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y90 GRID_POINT_Y 62 TILEPROP BRAM_L_X6Y90 INDEX 7149 TILEPROP BRAM_L_X6Y90 INT_TILE_X 5 TILEPROP BRAM_L_X6Y90 INT_TILE_Y 59 TILEPROP BRAM_L_X6Y90 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y90 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y90 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y90 NAME BRAM_L_X6Y90 TILEPROP BRAM_L_X6Y90 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y90 NUM_SITES 3 TILEPROP BRAM_L_X6Y90 ROW 62 TILEPROP BRAM_L_X6Y90 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y90 TILE_PATTERN_IDX 2002 TILEPROP BRAM_L_X6Y90 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y90 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y90 TILE_X -68698 TILEPROP BRAM_L_X6Y90 TILE_Y 57024 TILEPROP BRAM_L_X6Y90 TYPE BRAM_L TILEPROP BRAM_L_X6Y95 CLASS tile TILEPROP BRAM_L_X6Y95 COLUMN 19 TILEPROP BRAM_L_X6Y95 DEVICE_ID 0 TILEPROP BRAM_L_X6Y95 FIRST_SITE_ID 5502 TILEPROP BRAM_L_X6Y95 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y95 GRID_POINT_Y 57 TILEPROP BRAM_L_X6Y95 INDEX 6574 TILEPROP BRAM_L_X6Y95 INT_TILE_X 5 TILEPROP BRAM_L_X6Y95 INT_TILE_Y 54 TILEPROP BRAM_L_X6Y95 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y95 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y95 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y95 NAME BRAM_L_X6Y95 TILEPROP BRAM_L_X6Y95 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y95 NUM_SITES 3 TILEPROP BRAM_L_X6Y95 ROW 57 TILEPROP BRAM_L_X6Y95 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y95 TILE_PATTERN_IDX 1810 TILEPROP BRAM_L_X6Y95 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y95 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y95 TILE_X -68698 TILEPROP BRAM_L_X6Y95 TILE_Y 73024 TILEPROP BRAM_L_X6Y95 TYPE BRAM_L TILEPROP BRAM_L_X6Y100 CLASS tile TILEPROP BRAM_L_X6Y100 COLUMN 19 TILEPROP BRAM_L_X6Y100 DEVICE_ID 0 TILEPROP BRAM_L_X6Y100 FIRST_SITE_ID 5005 TILEPROP BRAM_L_X6Y100 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y100 GRID_POINT_Y 51 TILEPROP BRAM_L_X6Y100 INDEX 5884 TILEPROP BRAM_L_X6Y100 INT_TILE_X 5 TILEPROP BRAM_L_X6Y100 INT_TILE_Y 49 TILEPROP BRAM_L_X6Y100 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y100 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y100 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y100 NAME BRAM_L_X6Y100 TILEPROP BRAM_L_X6Y100 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y100 NUM_SITES 3 TILEPROP BRAM_L_X6Y100 ROW 51 TILEPROP BRAM_L_X6Y100 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y100 TILE_PATTERN_IDX 1606 TILEPROP BRAM_L_X6Y100 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y100 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y100 TILE_X -68698 TILEPROP BRAM_L_X6Y100 TILE_Y 89272 TILEPROP BRAM_L_X6Y100 TYPE BRAM_L TILEPROP BRAM_L_X6Y105 CLASS tile TILEPROP BRAM_L_X6Y105 COLUMN 19 TILEPROP BRAM_L_X6Y105 DEVICE_ID 0 TILEPROP BRAM_L_X6Y105 FIRST_SITE_ID 4531 TILEPROP BRAM_L_X6Y105 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y105 GRID_POINT_Y 46 TILEPROP BRAM_L_X6Y105 INDEX 5309 TILEPROP BRAM_L_X6Y105 INT_TILE_X 5 TILEPROP BRAM_L_X6Y105 INT_TILE_Y 44 TILEPROP BRAM_L_X6Y105 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y105 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y105 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y105 NAME BRAM_L_X6Y105 TILEPROP BRAM_L_X6Y105 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y105 NUM_SITES 3 TILEPROP BRAM_L_X6Y105 ROW 46 TILEPROP BRAM_L_X6Y105 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y105 TILE_PATTERN_IDX 1454 TILEPROP BRAM_L_X6Y105 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y105 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y105 TILE_X -68698 TILEPROP BRAM_L_X6Y105 TILE_Y 105272 TILEPROP BRAM_L_X6Y105 TYPE BRAM_L TILEPROP BRAM_L_X6Y110 CLASS tile TILEPROP BRAM_L_X6Y110 COLUMN 19 TILEPROP BRAM_L_X6Y110 DEVICE_ID 0 TILEPROP BRAM_L_X6Y110 FIRST_SITE_ID 4054 TILEPROP BRAM_L_X6Y110 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y110 GRID_POINT_Y 41 TILEPROP BRAM_L_X6Y110 INDEX 4734 TILEPROP BRAM_L_X6Y110 INT_TILE_X 5 TILEPROP BRAM_L_X6Y110 INT_TILE_Y 39 TILEPROP BRAM_L_X6Y110 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y110 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y110 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y110 NAME BRAM_L_X6Y110 TILEPROP BRAM_L_X6Y110 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y110 NUM_SITES 3 TILEPROP BRAM_L_X6Y110 ROW 41 TILEPROP BRAM_L_X6Y110 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y110 TILE_PATTERN_IDX 1304 TILEPROP BRAM_L_X6Y110 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y110 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y110 TILE_X -68698 TILEPROP BRAM_L_X6Y110 TILE_Y 121272 TILEPROP BRAM_L_X6Y110 TYPE BRAM_L TILEPROP BRAM_L_X6Y115 CLASS tile TILEPROP BRAM_L_X6Y115 COLUMN 19 TILEPROP BRAM_L_X6Y115 DEVICE_ID 0 TILEPROP BRAM_L_X6Y115 FIRST_SITE_ID 3557 TILEPROP BRAM_L_X6Y115 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y115 GRID_POINT_Y 36 TILEPROP BRAM_L_X6Y115 INDEX 4159 TILEPROP BRAM_L_X6Y115 INT_TILE_X 5 TILEPROP BRAM_L_X6Y115 INT_TILE_Y 34 TILEPROP BRAM_L_X6Y115 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y115 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y115 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y115 NAME BRAM_L_X6Y115 TILEPROP BRAM_L_X6Y115 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y115 NUM_SITES 3 TILEPROP BRAM_L_X6Y115 ROW 36 TILEPROP BRAM_L_X6Y115 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y115 TILE_PATTERN_IDX 1158 TILEPROP BRAM_L_X6Y115 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y115 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y115 TILE_X -68698 TILEPROP BRAM_L_X6Y115 TILE_Y 137272 TILEPROP BRAM_L_X6Y115 TYPE BRAM_L TILEPROP BRAM_L_X6Y120 CLASS tile TILEPROP BRAM_L_X6Y120 COLUMN 19 TILEPROP BRAM_L_X6Y120 DEVICE_ID 0 TILEPROP BRAM_L_X6Y120 FIRST_SITE_ID 3073 TILEPROP BRAM_L_X6Y120 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y120 GRID_POINT_Y 31 TILEPROP BRAM_L_X6Y120 INDEX 3584 TILEPROP BRAM_L_X6Y120 INT_TILE_X 5 TILEPROP BRAM_L_X6Y120 INT_TILE_Y 29 TILEPROP BRAM_L_X6Y120 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y120 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y120 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y120 NAME BRAM_L_X6Y120 TILEPROP BRAM_L_X6Y120 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y120 NUM_SITES 3 TILEPROP BRAM_L_X6Y120 ROW 31 TILEPROP BRAM_L_X6Y120 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y120 TILE_PATTERN_IDX 1005 TILEPROP BRAM_L_X6Y120 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y120 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y120 TILE_X -68698 TILEPROP BRAM_L_X6Y120 TILE_Y 153272 TILEPROP BRAM_L_X6Y120 TYPE BRAM_L TILEPROP BRAM_L_X6Y125 CLASS tile TILEPROP BRAM_L_X6Y125 COLUMN 19 TILEPROP BRAM_L_X6Y125 DEVICE_ID 0 TILEPROP BRAM_L_X6Y125 FIRST_SITE_ID 2519 TILEPROP BRAM_L_X6Y125 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y125 GRID_POINT_Y 25 TILEPROP BRAM_L_X6Y125 INDEX 2894 TILEPROP BRAM_L_X6Y125 INT_TILE_X 5 TILEPROP BRAM_L_X6Y125 INT_TILE_Y 24 TILEPROP BRAM_L_X6Y125 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y125 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y125 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y125 NAME BRAM_L_X6Y125 TILEPROP BRAM_L_X6Y125 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y125 NUM_SITES 3 TILEPROP BRAM_L_X6Y125 ROW 25 TILEPROP BRAM_L_X6Y125 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y125 TILE_PATTERN_IDX 796 TILEPROP BRAM_L_X6Y125 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y125 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y125 TILE_X -68698 TILEPROP BRAM_L_X6Y125 TILE_Y 170296 TILEPROP BRAM_L_X6Y125 TYPE BRAM_L TILEPROP BRAM_L_X6Y130 CLASS tile TILEPROP BRAM_L_X6Y130 COLUMN 19 TILEPROP BRAM_L_X6Y130 DEVICE_ID 0 TILEPROP BRAM_L_X6Y130 FIRST_SITE_ID 1997 TILEPROP BRAM_L_X6Y130 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y130 GRID_POINT_Y 20 TILEPROP BRAM_L_X6Y130 INDEX 2319 TILEPROP BRAM_L_X6Y130 INT_TILE_X 5 TILEPROP BRAM_L_X6Y130 INT_TILE_Y 19 TILEPROP BRAM_L_X6Y130 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y130 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y130 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y130 NAME BRAM_L_X6Y130 TILEPROP BRAM_L_X6Y130 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y130 NUM_SITES 3 TILEPROP BRAM_L_X6Y130 ROW 20 TILEPROP BRAM_L_X6Y130 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y130 TILE_PATTERN_IDX 637 TILEPROP BRAM_L_X6Y130 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y130 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y130 TILE_X -68698 TILEPROP BRAM_L_X6Y130 TILE_Y 186296 TILEPROP BRAM_L_X6Y130 TYPE BRAM_L TILEPROP BRAM_L_X6Y135 CLASS tile TILEPROP BRAM_L_X6Y135 COLUMN 19 TILEPROP BRAM_L_X6Y135 DEVICE_ID 0 TILEPROP BRAM_L_X6Y135 FIRST_SITE_ID 1482 TILEPROP BRAM_L_X6Y135 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y135 GRID_POINT_Y 15 TILEPROP BRAM_L_X6Y135 INDEX 1744 TILEPROP BRAM_L_X6Y135 INT_TILE_X 5 TILEPROP BRAM_L_X6Y135 INT_TILE_Y 14 TILEPROP BRAM_L_X6Y135 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y135 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y135 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y135 NAME BRAM_L_X6Y135 TILEPROP BRAM_L_X6Y135 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y135 NUM_SITES 3 TILEPROP BRAM_L_X6Y135 ROW 15 TILEPROP BRAM_L_X6Y135 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y135 TILE_PATTERN_IDX 484 TILEPROP BRAM_L_X6Y135 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y135 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y135 TILE_X -68698 TILEPROP BRAM_L_X6Y135 TILE_Y 202296 TILEPROP BRAM_L_X6Y135 TYPE BRAM_L TILEPROP BRAM_L_X6Y140 CLASS tile TILEPROP BRAM_L_X6Y140 COLUMN 19 TILEPROP BRAM_L_X6Y140 DEVICE_ID 0 TILEPROP BRAM_L_X6Y140 FIRST_SITE_ID 934 TILEPROP BRAM_L_X6Y140 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y140 GRID_POINT_Y 10 TILEPROP BRAM_L_X6Y140 INDEX 1169 TILEPROP BRAM_L_X6Y140 INT_TILE_X 5 TILEPROP BRAM_L_X6Y140 INT_TILE_Y 9 TILEPROP BRAM_L_X6Y140 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y140 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y140 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y140 NAME BRAM_L_X6Y140 TILEPROP BRAM_L_X6Y140 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y140 NUM_SITES 3 TILEPROP BRAM_L_X6Y140 ROW 10 TILEPROP BRAM_L_X6Y140 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y140 TILE_PATTERN_IDX 327 TILEPROP BRAM_L_X6Y140 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y140 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y140 TILE_X -68698 TILEPROP BRAM_L_X6Y140 TILE_Y 218296 TILEPROP BRAM_L_X6Y140 TYPE BRAM_L TILEPROP BRAM_L_X6Y145 CLASS tile TILEPROP BRAM_L_X6Y145 COLUMN 19 TILEPROP BRAM_L_X6Y145 DEVICE_ID 0 TILEPROP BRAM_L_X6Y145 FIRST_SITE_ID 418 TILEPROP BRAM_L_X6Y145 GRID_POINT_X 19 TILEPROP BRAM_L_X6Y145 GRID_POINT_Y 5 TILEPROP BRAM_L_X6Y145 INDEX 594 TILEPROP BRAM_L_X6Y145 INT_TILE_X 5 TILEPROP BRAM_L_X6Y145 INT_TILE_Y 4 TILEPROP BRAM_L_X6Y145 IS_CENTER_TILE 0 TILEPROP BRAM_L_X6Y145 IS_DCM_TILE 0 TILEPROP BRAM_L_X6Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_L_X6Y145 IS_GT_SITE_TILE 0 TILEPROP BRAM_L_X6Y145 NAME BRAM_L_X6Y145 TILEPROP BRAM_L_X6Y145 NUM_ARCS 1221 TILEPROP BRAM_L_X6Y145 NUM_SITES 3 TILEPROP BRAM_L_X6Y145 ROW 5 TILEPROP BRAM_L_X6Y145 SLR_REGION_ID 0 TILEPROP BRAM_L_X6Y145 TILE_PATTERN_IDX 166 TILEPROP BRAM_L_X6Y145 TILE_TYPE BRAM_L TILEPROP BRAM_L_X6Y145 TILE_TYPE_INDEX 2 TILEPROP BRAM_L_X6Y145 TILE_X -68698 TILEPROP BRAM_L_X6Y145 TILE_Y 234296 TILEPROP BRAM_L_X6Y145 TYPE BRAM_L TILEPROP BRAM_R_X37Y0 CLASS tile TILEPROP BRAM_R_X37Y0 COLUMN 95 TILEPROP BRAM_R_X37Y0 DEVICE_ID 0 TILEPROP BRAM_R_X37Y0 FIRST_SITE_ID 15796 TILEPROP BRAM_R_X37Y0 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y0 GRID_POINT_Y 155 TILEPROP BRAM_R_X37Y0 INDEX 17920 TILEPROP BRAM_R_X37Y0 INT_TILE_X 37 TILEPROP BRAM_R_X37Y0 INT_TILE_Y 149 TILEPROP BRAM_R_X37Y0 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y0 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y0 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y0 NAME BRAM_R_X37Y0 TILEPROP BRAM_R_X37Y0 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y0 NUM_SITES 3 TILEPROP BRAM_R_X37Y0 ROW 155 TILEPROP BRAM_R_X37Y0 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y0 TILE_PATTERN_IDX 3531 TILEPROP BRAM_R_X37Y0 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y0 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y0 TILE_X 74714 TILEPROP BRAM_R_X37Y0 TILE_Y -233272 TILEPROP BRAM_R_X37Y0 TYPE BRAM_R TILEPROP BRAM_R_X37Y5 CLASS tile TILEPROP BRAM_R_X37Y5 COLUMN 95 TILEPROP BRAM_R_X37Y5 DEVICE_ID 0 TILEPROP BRAM_R_X37Y5 FIRST_SITE_ID 15276 TILEPROP BRAM_R_X37Y5 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y5 GRID_POINT_Y 150 TILEPROP BRAM_R_X37Y5 INDEX 17345 TILEPROP BRAM_R_X37Y5 INT_TILE_X 37 TILEPROP BRAM_R_X37Y5 INT_TILE_Y 144 TILEPROP BRAM_R_X37Y5 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y5 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y5 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y5 NAME BRAM_R_X37Y5 TILEPROP BRAM_R_X37Y5 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y5 NUM_SITES 3 TILEPROP BRAM_R_X37Y5 ROW 150 TILEPROP BRAM_R_X37Y5 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y5 TILE_PATTERN_IDX 3342 TILEPROP BRAM_R_X37Y5 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y5 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y5 TILE_X 74714 TILEPROP BRAM_R_X37Y5 TILE_Y -217272 TILEPROP BRAM_R_X37Y5 TYPE BRAM_R TILEPROP BRAM_R_X37Y10 CLASS tile TILEPROP BRAM_R_X37Y10 COLUMN 95 TILEPROP BRAM_R_X37Y10 DEVICE_ID 0 TILEPROP BRAM_R_X37Y10 FIRST_SITE_ID 14755 TILEPROP BRAM_R_X37Y10 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y10 GRID_POINT_Y 145 TILEPROP BRAM_R_X37Y10 INDEX 16770 TILEPROP BRAM_R_X37Y10 INT_TILE_X 37 TILEPROP BRAM_R_X37Y10 INT_TILE_Y 139 TILEPROP BRAM_R_X37Y10 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y10 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y10 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y10 NAME BRAM_R_X37Y10 TILEPROP BRAM_R_X37Y10 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y10 NUM_SITES 3 TILEPROP BRAM_R_X37Y10 ROW 145 TILEPROP BRAM_R_X37Y10 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y10 TILE_PATTERN_IDX 3157 TILEPROP BRAM_R_X37Y10 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y10 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y10 TILE_X 74714 TILEPROP BRAM_R_X37Y10 TILE_Y -201272 TILEPROP BRAM_R_X37Y10 TYPE BRAM_R TILEPROP BRAM_R_X37Y15 CLASS tile TILEPROP BRAM_R_X37Y15 COLUMN 95 TILEPROP BRAM_R_X37Y15 DEVICE_ID 0 TILEPROP BRAM_R_X37Y15 FIRST_SITE_ID 14208 TILEPROP BRAM_R_X37Y15 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y15 GRID_POINT_Y 140 TILEPROP BRAM_R_X37Y15 INDEX 16195 TILEPROP BRAM_R_X37Y15 INT_TILE_X 37 TILEPROP BRAM_R_X37Y15 INT_TILE_Y 134 TILEPROP BRAM_R_X37Y15 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y15 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y15 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y15 NAME BRAM_R_X37Y15 TILEPROP BRAM_R_X37Y15 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y15 NUM_SITES 3 TILEPROP BRAM_R_X37Y15 ROW 140 TILEPROP BRAM_R_X37Y15 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y15 TILE_PATTERN_IDX 2976 TILEPROP BRAM_R_X37Y15 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y15 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y15 TILE_X 74714 TILEPROP BRAM_R_X37Y15 TILE_Y -185272 TILEPROP BRAM_R_X37Y15 TYPE BRAM_R TILEPROP BRAM_R_X37Y20 CLASS tile TILEPROP BRAM_R_X37Y20 COLUMN 95 TILEPROP BRAM_R_X37Y20 DEVICE_ID 0 TILEPROP BRAM_R_X37Y20 FIRST_SITE_ID 13680 TILEPROP BRAM_R_X37Y20 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y20 GRID_POINT_Y 135 TILEPROP BRAM_R_X37Y20 INDEX 15620 TILEPROP BRAM_R_X37Y20 INT_TILE_X 37 TILEPROP BRAM_R_X37Y20 INT_TILE_Y 129 TILEPROP BRAM_R_X37Y20 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y20 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y20 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y20 NAME BRAM_R_X37Y20 TILEPROP BRAM_R_X37Y20 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y20 NUM_SITES 3 TILEPROP BRAM_R_X37Y20 ROW 135 TILEPROP BRAM_R_X37Y20 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y20 TILE_PATTERN_IDX 2793 TILEPROP BRAM_R_X37Y20 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y20 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y20 TILE_X 74714 TILEPROP BRAM_R_X37Y20 TILE_Y -169272 TILEPROP BRAM_R_X37Y20 TYPE BRAM_R TILEPROP BRAM_R_X37Y25 CLASS tile TILEPROP BRAM_R_X37Y25 COLUMN 95 TILEPROP BRAM_R_X37Y25 DEVICE_ID 0 TILEPROP BRAM_R_X37Y25 FIRST_SITE_ID 13082 TILEPROP BRAM_R_X37Y25 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y25 GRID_POINT_Y 129 TILEPROP BRAM_R_X37Y25 INDEX 14930 TILEPROP BRAM_R_X37Y25 INT_TILE_X 37 TILEPROP BRAM_R_X37Y25 INT_TILE_Y 124 TILEPROP BRAM_R_X37Y25 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y25 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y25 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y25 NAME BRAM_R_X37Y25 TILEPROP BRAM_R_X37Y25 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y25 NUM_SITES 3 TILEPROP BRAM_R_X37Y25 ROW 129 TILEPROP BRAM_R_X37Y25 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y25 TILE_PATTERN_IDX 2572 TILEPROP BRAM_R_X37Y25 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y25 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y25 TILE_X 74714 TILEPROP BRAM_R_X37Y25 TILE_Y -152248 TILEPROP BRAM_R_X37Y25 TYPE BRAM_R TILEPROP BRAM_R_X37Y30 CLASS tile TILEPROP BRAM_R_X37Y30 COLUMN 95 TILEPROP BRAM_R_X37Y30 DEVICE_ID 0 TILEPROP BRAM_R_X37Y30 FIRST_SITE_ID 12555 TILEPROP BRAM_R_X37Y30 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y30 GRID_POINT_Y 124 TILEPROP BRAM_R_X37Y30 INDEX 14355 TILEPROP BRAM_R_X37Y30 INT_TILE_X 37 TILEPROP BRAM_R_X37Y30 INT_TILE_Y 119 TILEPROP BRAM_R_X37Y30 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y30 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y30 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y30 NAME BRAM_R_X37Y30 TILEPROP BRAM_R_X37Y30 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y30 NUM_SITES 3 TILEPROP BRAM_R_X37Y30 ROW 124 TILEPROP BRAM_R_X37Y30 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y30 TILE_PATTERN_IDX 2388 TILEPROP BRAM_R_X37Y30 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y30 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y30 TILE_X 74714 TILEPROP BRAM_R_X37Y30 TILE_Y -136248 TILEPROP BRAM_R_X37Y30 TYPE BRAM_R TILEPROP BRAM_R_X37Y35 CLASS tile TILEPROP BRAM_R_X37Y35 COLUMN 95 TILEPROP BRAM_R_X37Y35 DEVICE_ID 0 TILEPROP BRAM_R_X37Y35 FIRST_SITE_ID 12022 TILEPROP BRAM_R_X37Y35 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y35 GRID_POINT_Y 119 TILEPROP BRAM_R_X37Y35 INDEX 13780 TILEPROP BRAM_R_X37Y35 INT_TILE_X 37 TILEPROP BRAM_R_X37Y35 INT_TILE_Y 114 TILEPROP BRAM_R_X37Y35 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y35 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y35 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y35 NAME BRAM_R_X37Y35 TILEPROP BRAM_R_X37Y35 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y35 NUM_SITES 3 TILEPROP BRAM_R_X37Y35 ROW 119 TILEPROP BRAM_R_X37Y35 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y35 TILE_PATTERN_IDX 2205 TILEPROP BRAM_R_X37Y35 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y35 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y35 TILE_X 74714 TILEPROP BRAM_R_X37Y35 TILE_Y -120248 TILEPROP BRAM_R_X37Y35 TYPE BRAM_R TILEPROP BRAM_R_X37Y40 CLASS tile TILEPROP BRAM_R_X37Y40 COLUMN 95 TILEPROP BRAM_R_X37Y40 DEVICE_ID 0 TILEPROP BRAM_R_X37Y40 FIRST_SITE_ID 11475 TILEPROP BRAM_R_X37Y40 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y40 GRID_POINT_Y 114 TILEPROP BRAM_R_X37Y40 INDEX 13205 TILEPROP BRAM_R_X37Y40 INT_TILE_X 37 TILEPROP BRAM_R_X37Y40 INT_TILE_Y 109 TILEPROP BRAM_R_X37Y40 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y40 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y40 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y40 NAME BRAM_R_X37Y40 TILEPROP BRAM_R_X37Y40 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y40 NUM_SITES 3 TILEPROP BRAM_R_X37Y40 ROW 114 TILEPROP BRAM_R_X37Y40 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y40 TILE_PATTERN_IDX 7566 TILEPROP BRAM_R_X37Y40 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y40 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y40 TILE_X 74714 TILEPROP BRAM_R_X37Y40 TILE_Y -104248 TILEPROP BRAM_R_X37Y40 TYPE BRAM_R TILEPROP BRAM_R_X37Y45 CLASS tile TILEPROP BRAM_R_X37Y45 COLUMN 95 TILEPROP BRAM_R_X37Y45 DEVICE_ID 0 TILEPROP BRAM_R_X37Y45 FIRST_SITE_ID 10951 TILEPROP BRAM_R_X37Y45 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y45 GRID_POINT_Y 109 TILEPROP BRAM_R_X37Y45 INDEX 12630 TILEPROP BRAM_R_X37Y45 INT_TILE_X 37 TILEPROP BRAM_R_X37Y45 INT_TILE_Y 104 TILEPROP BRAM_R_X37Y45 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y45 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y45 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y45 NAME BRAM_R_X37Y45 TILEPROP BRAM_R_X37Y45 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y45 NUM_SITES 3 TILEPROP BRAM_R_X37Y45 ROW 109 TILEPROP BRAM_R_X37Y45 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y45 TILE_PATTERN_IDX 7415 TILEPROP BRAM_R_X37Y45 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y45 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y45 TILE_X 74714 TILEPROP BRAM_R_X37Y45 TILE_Y -88248 TILEPROP BRAM_R_X37Y45 TYPE BRAM_R TILEPROP BRAM_R_X37Y50 CLASS tile TILEPROP BRAM_R_X37Y50 COLUMN 95 TILEPROP BRAM_R_X37Y50 DEVICE_ID 0 TILEPROP BRAM_R_X37Y50 FIRST_SITE_ID 10423 TILEPROP BRAM_R_X37Y50 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y50 GRID_POINT_Y 103 TILEPROP BRAM_R_X37Y50 INDEX 11940 TILEPROP BRAM_R_X37Y50 INT_TILE_X 37 TILEPROP BRAM_R_X37Y50 INT_TILE_Y 99 TILEPROP BRAM_R_X37Y50 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y50 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y50 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y50 NAME BRAM_R_X37Y50 TILEPROP BRAM_R_X37Y50 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y50 NUM_SITES 3 TILEPROP BRAM_R_X37Y50 ROW 103 TILEPROP BRAM_R_X37Y50 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y50 TILE_PATTERN_IDX 7235 TILEPROP BRAM_R_X37Y50 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y50 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y50 TILE_X 74714 TILEPROP BRAM_R_X37Y50 TILE_Y -72000 TILEPROP BRAM_R_X37Y50 TYPE BRAM_R TILEPROP BRAM_R_X37Y55 CLASS tile TILEPROP BRAM_R_X37Y55 COLUMN 95 TILEPROP BRAM_R_X37Y55 DEVICE_ID 0 TILEPROP BRAM_R_X37Y55 FIRST_SITE_ID 9888 TILEPROP BRAM_R_X37Y55 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y55 GRID_POINT_Y 98 TILEPROP BRAM_R_X37Y55 INDEX 11365 TILEPROP BRAM_R_X37Y55 INT_TILE_X 37 TILEPROP BRAM_R_X37Y55 INT_TILE_Y 94 TILEPROP BRAM_R_X37Y55 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y55 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y55 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y55 NAME BRAM_R_X37Y55 TILEPROP BRAM_R_X37Y55 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y55 NUM_SITES 3 TILEPROP BRAM_R_X37Y55 ROW 98 TILEPROP BRAM_R_X37Y55 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y55 TILE_PATTERN_IDX 7049 TILEPROP BRAM_R_X37Y55 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y55 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y55 TILE_X 74714 TILEPROP BRAM_R_X37Y55 TILE_Y -56000 TILEPROP BRAM_R_X37Y55 TYPE BRAM_R TILEPROP BRAM_R_X37Y60 CLASS tile TILEPROP BRAM_R_X37Y60 COLUMN 95 TILEPROP BRAM_R_X37Y60 DEVICE_ID 0 TILEPROP BRAM_R_X37Y60 FIRST_SITE_ID 9367 TILEPROP BRAM_R_X37Y60 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y60 GRID_POINT_Y 93 TILEPROP BRAM_R_X37Y60 INDEX 10790 TILEPROP BRAM_R_X37Y60 INT_TILE_X 37 TILEPROP BRAM_R_X37Y60 INT_TILE_Y 89 TILEPROP BRAM_R_X37Y60 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y60 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y60 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y60 NAME BRAM_R_X37Y60 TILEPROP BRAM_R_X37Y60 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y60 NUM_SITES 3 TILEPROP BRAM_R_X37Y60 ROW 93 TILEPROP BRAM_R_X37Y60 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y60 TILE_PATTERN_IDX 3157 TILEPROP BRAM_R_X37Y60 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y60 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y60 TILE_X 74714 TILEPROP BRAM_R_X37Y60 TILE_Y -40000 TILEPROP BRAM_R_X37Y60 TYPE BRAM_R TILEPROP BRAM_R_X37Y65 CLASS tile TILEPROP BRAM_R_X37Y65 COLUMN 95 TILEPROP BRAM_R_X37Y65 DEVICE_ID 0 TILEPROP BRAM_R_X37Y65 FIRST_SITE_ID 8820 TILEPROP BRAM_R_X37Y65 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y65 GRID_POINT_Y 88 TILEPROP BRAM_R_X37Y65 INDEX 10215 TILEPROP BRAM_R_X37Y65 INT_TILE_X 37 TILEPROP BRAM_R_X37Y65 INT_TILE_Y 84 TILEPROP BRAM_R_X37Y65 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y65 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y65 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y65 NAME BRAM_R_X37Y65 TILEPROP BRAM_R_X37Y65 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y65 NUM_SITES 3 TILEPROP BRAM_R_X37Y65 ROW 88 TILEPROP BRAM_R_X37Y65 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y65 TILE_PATTERN_IDX 2976 TILEPROP BRAM_R_X37Y65 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y65 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y65 TILE_X 74714 TILEPROP BRAM_R_X37Y65 TILE_Y -24000 TILEPROP BRAM_R_X37Y65 TYPE BRAM_R TILEPROP BRAM_R_X37Y70 CLASS tile TILEPROP BRAM_R_X37Y70 COLUMN 95 TILEPROP BRAM_R_X37Y70 DEVICE_ID 0 TILEPROP BRAM_R_X37Y70 FIRST_SITE_ID 8293 TILEPROP BRAM_R_X37Y70 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y70 GRID_POINT_Y 83 TILEPROP BRAM_R_X37Y70 INDEX 9640 TILEPROP BRAM_R_X37Y70 INT_TILE_X 37 TILEPROP BRAM_R_X37Y70 INT_TILE_Y 79 TILEPROP BRAM_R_X37Y70 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y70 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y70 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y70 NAME BRAM_R_X37Y70 TILEPROP BRAM_R_X37Y70 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y70 NUM_SITES 3 TILEPROP BRAM_R_X37Y70 ROW 83 TILEPROP BRAM_R_X37Y70 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y70 TILE_PATTERN_IDX 2793 TILEPROP BRAM_R_X37Y70 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y70 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y70 TILE_X 74714 TILEPROP BRAM_R_X37Y70 TILE_Y -8000 TILEPROP BRAM_R_X37Y70 TYPE BRAM_R TILEPROP BRAM_R_X37Y75 CLASS tile TILEPROP BRAM_R_X37Y75 COLUMN 95 TILEPROP BRAM_R_X37Y75 DEVICE_ID 0 TILEPROP BRAM_R_X37Y75 FIRST_SITE_ID 7695 TILEPROP BRAM_R_X37Y75 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y75 GRID_POINT_Y 77 TILEPROP BRAM_R_X37Y75 INDEX 8950 TILEPROP BRAM_R_X37Y75 INT_TILE_X 37 TILEPROP BRAM_R_X37Y75 INT_TILE_Y 74 TILEPROP BRAM_R_X37Y75 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y75 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y75 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y75 NAME BRAM_R_X37Y75 TILEPROP BRAM_R_X37Y75 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y75 NUM_SITES 3 TILEPROP BRAM_R_X37Y75 ROW 77 TILEPROP BRAM_R_X37Y75 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y75 TILE_PATTERN_IDX 2572 TILEPROP BRAM_R_X37Y75 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y75 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y75 TILE_X 74714 TILEPROP BRAM_R_X37Y75 TILE_Y 9024 TILEPROP BRAM_R_X37Y75 TYPE BRAM_R TILEPROP BRAM_R_X37Y80 CLASS tile TILEPROP BRAM_R_X37Y80 COLUMN 95 TILEPROP BRAM_R_X37Y80 DEVICE_ID 0 TILEPROP BRAM_R_X37Y80 FIRST_SITE_ID 7165 TILEPROP BRAM_R_X37Y80 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y80 GRID_POINT_Y 72 TILEPROP BRAM_R_X37Y80 INDEX 8375 TILEPROP BRAM_R_X37Y80 INT_TILE_X 37 TILEPROP BRAM_R_X37Y80 INT_TILE_Y 69 TILEPROP BRAM_R_X37Y80 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y80 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y80 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y80 NAME BRAM_R_X37Y80 TILEPROP BRAM_R_X37Y80 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y80 NUM_SITES 3 TILEPROP BRAM_R_X37Y80 ROW 72 TILEPROP BRAM_R_X37Y80 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y80 TILE_PATTERN_IDX 2388 TILEPROP BRAM_R_X37Y80 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y80 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y80 TILE_X 74714 TILEPROP BRAM_R_X37Y80 TILE_Y 25024 TILEPROP BRAM_R_X37Y80 TYPE BRAM_R TILEPROP BRAM_R_X37Y85 CLASS tile TILEPROP BRAM_R_X37Y85 COLUMN 95 TILEPROP BRAM_R_X37Y85 DEVICE_ID 0 TILEPROP BRAM_R_X37Y85 FIRST_SITE_ID 6646 TILEPROP BRAM_R_X37Y85 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y85 GRID_POINT_Y 67 TILEPROP BRAM_R_X37Y85 INDEX 7800 TILEPROP BRAM_R_X37Y85 INT_TILE_X 37 TILEPROP BRAM_R_X37Y85 INT_TILE_Y 64 TILEPROP BRAM_R_X37Y85 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y85 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y85 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y85 NAME BRAM_R_X37Y85 TILEPROP BRAM_R_X37Y85 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y85 NUM_SITES 3 TILEPROP BRAM_R_X37Y85 ROW 67 TILEPROP BRAM_R_X37Y85 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y85 TILE_PATTERN_IDX 2205 TILEPROP BRAM_R_X37Y85 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y85 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y85 TILE_X 74714 TILEPROP BRAM_R_X37Y85 TILE_Y 41024 TILEPROP BRAM_R_X37Y85 TYPE BRAM_R TILEPROP BRAM_R_X37Y90 CLASS tile TILEPROP BRAM_R_X37Y90 COLUMN 95 TILEPROP BRAM_R_X37Y90 DEVICE_ID 0 TILEPROP BRAM_R_X37Y90 FIRST_SITE_ID 6099 TILEPROP BRAM_R_X37Y90 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y90 GRID_POINT_Y 62 TILEPROP BRAM_R_X37Y90 INDEX 7225 TILEPROP BRAM_R_X37Y90 INT_TILE_X 37 TILEPROP BRAM_R_X37Y90 INT_TILE_Y 59 TILEPROP BRAM_R_X37Y90 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y90 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y90 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y90 NAME BRAM_R_X37Y90 TILEPROP BRAM_R_X37Y90 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y90 NUM_SITES 3 TILEPROP BRAM_R_X37Y90 ROW 62 TILEPROP BRAM_R_X37Y90 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y90 TILE_PATTERN_IDX 2025 TILEPROP BRAM_R_X37Y90 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y90 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y90 TILE_X 74714 TILEPROP BRAM_R_X37Y90 TILE_Y 57024 TILEPROP BRAM_R_X37Y90 TYPE BRAM_R TILEPROP BRAM_R_X37Y95 CLASS tile TILEPROP BRAM_R_X37Y95 COLUMN 95 TILEPROP BRAM_R_X37Y95 DEVICE_ID 0 TILEPROP BRAM_R_X37Y95 FIRST_SITE_ID 5578 TILEPROP BRAM_R_X37Y95 GRID_POINT_X 95 TILEPROP BRAM_R_X37Y95 GRID_POINT_Y 57 TILEPROP BRAM_R_X37Y95 INDEX 6650 TILEPROP BRAM_R_X37Y95 INT_TILE_X 37 TILEPROP BRAM_R_X37Y95 INT_TILE_Y 54 TILEPROP BRAM_R_X37Y95 IS_CENTER_TILE 0 TILEPROP BRAM_R_X37Y95 IS_DCM_TILE 0 TILEPROP BRAM_R_X37Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRAM_R_X37Y95 IS_GT_SITE_TILE 0 TILEPROP BRAM_R_X37Y95 NAME BRAM_R_X37Y95 TILEPROP BRAM_R_X37Y95 NUM_ARCS 1221 TILEPROP BRAM_R_X37Y95 NUM_SITES 3 TILEPROP BRAM_R_X37Y95 ROW 57 TILEPROP BRAM_R_X37Y95 SLR_REGION_ID 0 TILEPROP BRAM_R_X37Y95 TILE_PATTERN_IDX 1837 TILEPROP BRAM_R_X37Y95 TILE_TYPE BRAM_R TILEPROP BRAM_R_X37Y95 TILE_TYPE_INDEX 3 TILEPROP BRAM_R_X37Y95 TILE_X 74714 TILEPROP BRAM_R_X37Y95 TILE_Y 73024 TILEPROP BRAM_R_X37Y95 TYPE BRAM_R TILEPROP BRKH_BRAM_X19Y52 CLASS tile TILEPROP BRKH_BRAM_X19Y52 COLUMN 19 TILEPROP BRKH_BRAM_X19Y52 DEVICE_ID 0 TILEPROP BRKH_BRAM_X19Y52 FIRST_SITE_ID 10444 TILEPROP BRKH_BRAM_X19Y52 GRID_POINT_X 19 TILEPROP BRKH_BRAM_X19Y52 GRID_POINT_Y 104 TILEPROP BRKH_BRAM_X19Y52 INDEX 11979 TILEPROP BRKH_BRAM_X19Y52 INT_TILE_X 5 TILEPROP BRKH_BRAM_X19Y52 INT_TILE_Y 99 TILEPROP BRKH_BRAM_X19Y52 IS_CENTER_TILE 0 TILEPROP BRKH_BRAM_X19Y52 IS_DCM_TILE 0 TILEPROP BRKH_BRAM_X19Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_BRAM_X19Y52 IS_GT_SITE_TILE 0 TILEPROP BRKH_BRAM_X19Y52 NAME BRKH_BRAM_X19Y52 TILEPROP BRKH_BRAM_X19Y52 NUM_ARCS 0 TILEPROP BRKH_BRAM_X19Y52 NUM_SITES 0 TILEPROP BRKH_BRAM_X19Y52 ROW 104 TILEPROP BRKH_BRAM_X19Y52 SLR_REGION_ID 0 TILEPROP BRKH_BRAM_X19Y52 TILE_PATTERN_IDX 1638 TILEPROP BRKH_BRAM_X19Y52 TILE_TYPE BRKH_BRAM TILEPROP BRKH_BRAM_X19Y52 TILE_TYPE_INDEX 4 TILEPROP BRKH_BRAM_X19Y52 TILE_X -68698 TILEPROP BRKH_BRAM_X19Y52 TILE_Y -80124 TILEPROP BRKH_BRAM_X19Y52 TYPE BRKH_BRAM TILEPROP BRKH_BRAM_X19Y104 CLASS tile TILEPROP BRKH_BRAM_X19Y104 COLUMN 19 TILEPROP BRKH_BRAM_X19Y104 DEVICE_ID 0 TILEPROP BRKH_BRAM_X19Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_BRAM_X19Y104 GRID_POINT_X 19 TILEPROP BRKH_BRAM_X19Y104 GRID_POINT_Y 52 TILEPROP BRKH_BRAM_X19Y104 INDEX 5999 TILEPROP BRKH_BRAM_X19Y104 INT_TILE_X 5 TILEPROP BRKH_BRAM_X19Y104 INT_TILE_Y 49 TILEPROP BRKH_BRAM_X19Y104 IS_CENTER_TILE 0 TILEPROP BRKH_BRAM_X19Y104 IS_DCM_TILE 0 TILEPROP BRKH_BRAM_X19Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_BRAM_X19Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_BRAM_X19Y104 NAME BRKH_BRAM_X19Y104 TILEPROP BRKH_BRAM_X19Y104 NUM_ARCS 0 TILEPROP BRKH_BRAM_X19Y104 NUM_SITES 0 TILEPROP BRKH_BRAM_X19Y104 ROW 52 TILEPROP BRKH_BRAM_X19Y104 SLR_REGION_ID 0 TILEPROP BRKH_BRAM_X19Y104 TILE_PATTERN_IDX 1638 TILEPROP BRKH_BRAM_X19Y104 TILE_TYPE BRKH_BRAM TILEPROP BRKH_BRAM_X19Y104 TILE_TYPE_INDEX 4 TILEPROP BRKH_BRAM_X19Y104 TILE_X -68698 TILEPROP BRKH_BRAM_X19Y104 TILE_Y 81148 TILEPROP BRKH_BRAM_X19Y104 TYPE BRKH_BRAM TILEPROP BRKH_BRAM_X75Y52 CLASS tile TILEPROP BRKH_BRAM_X75Y52 COLUMN 75 TILEPROP BRKH_BRAM_X75Y52 DEVICE_ID 0 TILEPROP BRKH_BRAM_X75Y52 FIRST_SITE_ID 10444 TILEPROP BRKH_BRAM_X75Y52 GRID_POINT_X 75 TILEPROP BRKH_BRAM_X75Y52 GRID_POINT_Y 104 TILEPROP BRKH_BRAM_X75Y52 INDEX 12035 TILEPROP BRKH_BRAM_X75Y52 INT_TILE_X 29 TILEPROP BRKH_BRAM_X75Y52 INT_TILE_Y 99 TILEPROP BRKH_BRAM_X75Y52 IS_CENTER_TILE 0 TILEPROP BRKH_BRAM_X75Y52 IS_DCM_TILE 0 TILEPROP BRKH_BRAM_X75Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_BRAM_X75Y52 IS_GT_SITE_TILE 0 TILEPROP BRKH_BRAM_X75Y52 NAME BRKH_BRAM_X75Y52 TILEPROP BRKH_BRAM_X75Y52 NUM_ARCS 0 TILEPROP BRKH_BRAM_X75Y52 NUM_SITES 0 TILEPROP BRKH_BRAM_X75Y52 ROW 104 TILEPROP BRKH_BRAM_X75Y52 SLR_REGION_ID 0 TILEPROP BRKH_BRAM_X75Y52 TILE_PATTERN_IDX 1638 TILEPROP BRKH_BRAM_X75Y52 TILE_TYPE BRKH_BRAM TILEPROP BRKH_BRAM_X75Y52 TILE_TYPE_INDEX 4 TILEPROP BRKH_BRAM_X75Y52 TILE_X 37804 TILEPROP BRKH_BRAM_X75Y52 TILE_Y -80124 TILEPROP BRKH_BRAM_X75Y52 TYPE BRKH_BRAM TILEPROP BRKH_BRAM_X95Y52 CLASS tile TILEPROP BRKH_BRAM_X95Y52 COLUMN 95 TILEPROP BRKH_BRAM_X95Y52 DEVICE_ID 0 TILEPROP BRKH_BRAM_X95Y52 FIRST_SITE_ID 10444 TILEPROP BRKH_BRAM_X95Y52 GRID_POINT_X 95 TILEPROP BRKH_BRAM_X95Y52 GRID_POINT_Y 104 TILEPROP BRKH_BRAM_X95Y52 INDEX 12055 TILEPROP BRKH_BRAM_X95Y52 INT_TILE_X 37 TILEPROP BRKH_BRAM_X95Y52 INT_TILE_Y 99 TILEPROP BRKH_BRAM_X95Y52 IS_CENTER_TILE 0 TILEPROP BRKH_BRAM_X95Y52 IS_DCM_TILE 0 TILEPROP BRKH_BRAM_X95Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_BRAM_X95Y52 IS_GT_SITE_TILE 0 TILEPROP BRKH_BRAM_X95Y52 NAME BRKH_BRAM_X95Y52 TILEPROP BRKH_BRAM_X95Y52 NUM_ARCS 0 TILEPROP BRKH_BRAM_X95Y52 NUM_SITES 0 TILEPROP BRKH_BRAM_X95Y52 ROW 104 TILEPROP BRKH_BRAM_X95Y52 SLR_REGION_ID 0 TILEPROP BRKH_BRAM_X95Y52 TILE_PATTERN_IDX 1638 TILEPROP BRKH_BRAM_X95Y52 TILE_TYPE BRKH_BRAM TILEPROP BRKH_BRAM_X95Y52 TILE_TYPE_INDEX 4 TILEPROP BRKH_BRAM_X95Y52 TILE_X 74714 TILEPROP BRKH_BRAM_X95Y52 TILE_Y -80124 TILEPROP BRKH_BRAM_X95Y52 TYPE BRKH_BRAM TILEPROP BRKH_B_TERM_INT_X36Y104 CLASS tile TILEPROP BRKH_B_TERM_INT_X36Y104 COLUMN 36 TILEPROP BRKH_B_TERM_INT_X36Y104 DEVICE_ID 0 TILEPROP BRKH_B_TERM_INT_X36Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_B_TERM_INT_X36Y104 GRID_POINT_X 36 TILEPROP BRKH_B_TERM_INT_X36Y104 GRID_POINT_Y 52 TILEPROP BRKH_B_TERM_INT_X36Y104 INDEX 6016 TILEPROP BRKH_B_TERM_INT_X36Y104 INT_TILE_X 12 TILEPROP BRKH_B_TERM_INT_X36Y104 INT_TILE_Y 49 TILEPROP BRKH_B_TERM_INT_X36Y104 IS_CENTER_TILE 0 TILEPROP BRKH_B_TERM_INT_X36Y104 IS_DCM_TILE 0 TILEPROP BRKH_B_TERM_INT_X36Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X36Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X36Y104 NAME BRKH_B_TERM_INT_X36Y104 TILEPROP BRKH_B_TERM_INT_X36Y104 NUM_ARCS 0 TILEPROP BRKH_B_TERM_INT_X36Y104 NUM_SITES 0 TILEPROP BRKH_B_TERM_INT_X36Y104 ROW 52 TILEPROP BRKH_B_TERM_INT_X36Y104 SLR_REGION_ID 0 TILEPROP BRKH_B_TERM_INT_X36Y104 TILE_PATTERN_IDX 5460 TILEPROP BRKH_B_TERM_INT_X36Y104 TILE_TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X36Y104 TILE_TYPE_INDEX 5 TILEPROP BRKH_B_TERM_INT_X36Y104 TILE_X -39224 TILEPROP BRKH_B_TERM_INT_X36Y104 TILE_Y 81148 TILEPROP BRKH_B_TERM_INT_X36Y104 TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X37Y104 CLASS tile TILEPROP BRKH_B_TERM_INT_X37Y104 COLUMN 37 TILEPROP BRKH_B_TERM_INT_X37Y104 DEVICE_ID 0 TILEPROP BRKH_B_TERM_INT_X37Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_B_TERM_INT_X37Y104 GRID_POINT_X 37 TILEPROP BRKH_B_TERM_INT_X37Y104 GRID_POINT_Y 52 TILEPROP BRKH_B_TERM_INT_X37Y104 INDEX 6017 TILEPROP BRKH_B_TERM_INT_X37Y104 INT_TILE_X 13 TILEPROP BRKH_B_TERM_INT_X37Y104 INT_TILE_Y 49 TILEPROP BRKH_B_TERM_INT_X37Y104 IS_CENTER_TILE 0 TILEPROP BRKH_B_TERM_INT_X37Y104 IS_DCM_TILE 0 TILEPROP BRKH_B_TERM_INT_X37Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X37Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X37Y104 NAME BRKH_B_TERM_INT_X37Y104 TILEPROP BRKH_B_TERM_INT_X37Y104 NUM_ARCS 0 TILEPROP BRKH_B_TERM_INT_X37Y104 NUM_SITES 0 TILEPROP BRKH_B_TERM_INT_X37Y104 ROW 52 TILEPROP BRKH_B_TERM_INT_X37Y104 SLR_REGION_ID 0 TILEPROP BRKH_B_TERM_INT_X37Y104 TILE_PATTERN_IDX 5460 TILEPROP BRKH_B_TERM_INT_X37Y104 TILE_TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X37Y104 TILE_TYPE_INDEX 5 TILEPROP BRKH_B_TERM_INT_X37Y104 TILE_X -35768 TILEPROP BRKH_B_TERM_INT_X37Y104 TILE_Y 81148 TILEPROP BRKH_B_TERM_INT_X37Y104 TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X40Y104 CLASS tile TILEPROP BRKH_B_TERM_INT_X40Y104 COLUMN 40 TILEPROP BRKH_B_TERM_INT_X40Y104 DEVICE_ID 0 TILEPROP BRKH_B_TERM_INT_X40Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_B_TERM_INT_X40Y104 GRID_POINT_X 40 TILEPROP BRKH_B_TERM_INT_X40Y104 GRID_POINT_Y 52 TILEPROP BRKH_B_TERM_INT_X40Y104 INDEX 6020 TILEPROP BRKH_B_TERM_INT_X40Y104 INT_TILE_X 14 TILEPROP BRKH_B_TERM_INT_X40Y104 INT_TILE_Y 49 TILEPROP BRKH_B_TERM_INT_X40Y104 IS_CENTER_TILE 0 TILEPROP BRKH_B_TERM_INT_X40Y104 IS_DCM_TILE 0 TILEPROP BRKH_B_TERM_INT_X40Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X40Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X40Y104 NAME BRKH_B_TERM_INT_X40Y104 TILEPROP BRKH_B_TERM_INT_X40Y104 NUM_ARCS 0 TILEPROP BRKH_B_TERM_INT_X40Y104 NUM_SITES 0 TILEPROP BRKH_B_TERM_INT_X40Y104 ROW 52 TILEPROP BRKH_B_TERM_INT_X40Y104 SLR_REGION_ID 0 TILEPROP BRKH_B_TERM_INT_X40Y104 TILE_PATTERN_IDX 5460 TILEPROP BRKH_B_TERM_INT_X40Y104 TILE_TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X40Y104 TILE_TYPE_INDEX 5 TILEPROP BRKH_B_TERM_INT_X40Y104 TILE_X -30920 TILEPROP BRKH_B_TERM_INT_X40Y104 TILE_Y 81148 TILEPROP BRKH_B_TERM_INT_X40Y104 TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X41Y104 CLASS tile TILEPROP BRKH_B_TERM_INT_X41Y104 COLUMN 41 TILEPROP BRKH_B_TERM_INT_X41Y104 DEVICE_ID 0 TILEPROP BRKH_B_TERM_INT_X41Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_B_TERM_INT_X41Y104 GRID_POINT_X 41 TILEPROP BRKH_B_TERM_INT_X41Y104 GRID_POINT_Y 52 TILEPROP BRKH_B_TERM_INT_X41Y104 INDEX 6021 TILEPROP BRKH_B_TERM_INT_X41Y104 INT_TILE_X 15 TILEPROP BRKH_B_TERM_INT_X41Y104 INT_TILE_Y 49 TILEPROP BRKH_B_TERM_INT_X41Y104 IS_CENTER_TILE 0 TILEPROP BRKH_B_TERM_INT_X41Y104 IS_DCM_TILE 0 TILEPROP BRKH_B_TERM_INT_X41Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X41Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X41Y104 NAME BRKH_B_TERM_INT_X41Y104 TILEPROP BRKH_B_TERM_INT_X41Y104 NUM_ARCS 0 TILEPROP BRKH_B_TERM_INT_X41Y104 NUM_SITES 0 TILEPROP BRKH_B_TERM_INT_X41Y104 ROW 52 TILEPROP BRKH_B_TERM_INT_X41Y104 SLR_REGION_ID 0 TILEPROP BRKH_B_TERM_INT_X41Y104 TILE_PATTERN_IDX 5460 TILEPROP BRKH_B_TERM_INT_X41Y104 TILE_TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X41Y104 TILE_TYPE_INDEX 5 TILEPROP BRKH_B_TERM_INT_X41Y104 TILE_X -27464 TILEPROP BRKH_B_TERM_INT_X41Y104 TILE_Y 81148 TILEPROP BRKH_B_TERM_INT_X41Y104 TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X44Y104 CLASS tile TILEPROP BRKH_B_TERM_INT_X44Y104 COLUMN 44 TILEPROP BRKH_B_TERM_INT_X44Y104 DEVICE_ID 0 TILEPROP BRKH_B_TERM_INT_X44Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_B_TERM_INT_X44Y104 GRID_POINT_X 44 TILEPROP BRKH_B_TERM_INT_X44Y104 GRID_POINT_Y 52 TILEPROP BRKH_B_TERM_INT_X44Y104 INDEX 6024 TILEPROP BRKH_B_TERM_INT_X44Y104 INT_TILE_X 16 TILEPROP BRKH_B_TERM_INT_X44Y104 INT_TILE_Y 49 TILEPROP BRKH_B_TERM_INT_X44Y104 IS_CENTER_TILE 0 TILEPROP BRKH_B_TERM_INT_X44Y104 IS_DCM_TILE 0 TILEPROP BRKH_B_TERM_INT_X44Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X44Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X44Y104 NAME BRKH_B_TERM_INT_X44Y104 TILEPROP BRKH_B_TERM_INT_X44Y104 NUM_ARCS 0 TILEPROP BRKH_B_TERM_INT_X44Y104 NUM_SITES 0 TILEPROP BRKH_B_TERM_INT_X44Y104 ROW 52 TILEPROP BRKH_B_TERM_INT_X44Y104 SLR_REGION_ID 0 TILEPROP BRKH_B_TERM_INT_X44Y104 TILE_PATTERN_IDX 5460 TILEPROP BRKH_B_TERM_INT_X44Y104 TILE_TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X44Y104 TILE_TYPE_INDEX 5 TILEPROP BRKH_B_TERM_INT_X44Y104 TILE_X -22616 TILEPROP BRKH_B_TERM_INT_X44Y104 TILE_Y 81148 TILEPROP BRKH_B_TERM_INT_X44Y104 TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X45Y104 CLASS tile TILEPROP BRKH_B_TERM_INT_X45Y104 COLUMN 45 TILEPROP BRKH_B_TERM_INT_X45Y104 DEVICE_ID 0 TILEPROP BRKH_B_TERM_INT_X45Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_B_TERM_INT_X45Y104 GRID_POINT_X 45 TILEPROP BRKH_B_TERM_INT_X45Y104 GRID_POINT_Y 52 TILEPROP BRKH_B_TERM_INT_X45Y104 INDEX 6025 TILEPROP BRKH_B_TERM_INT_X45Y104 INT_TILE_X 17 TILEPROP BRKH_B_TERM_INT_X45Y104 INT_TILE_Y 49 TILEPROP BRKH_B_TERM_INT_X45Y104 IS_CENTER_TILE 0 TILEPROP BRKH_B_TERM_INT_X45Y104 IS_DCM_TILE 0 TILEPROP BRKH_B_TERM_INT_X45Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X45Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_B_TERM_INT_X45Y104 NAME BRKH_B_TERM_INT_X45Y104 TILEPROP BRKH_B_TERM_INT_X45Y104 NUM_ARCS 0 TILEPROP BRKH_B_TERM_INT_X45Y104 NUM_SITES 0 TILEPROP BRKH_B_TERM_INT_X45Y104 ROW 52 TILEPROP BRKH_B_TERM_INT_X45Y104 SLR_REGION_ID 0 TILEPROP BRKH_B_TERM_INT_X45Y104 TILE_PATTERN_IDX 5460 TILEPROP BRKH_B_TERM_INT_X45Y104 TILE_TYPE BRKH_B_TERM_INT TILEPROP BRKH_B_TERM_INT_X45Y104 TILE_TYPE_INDEX 5 TILEPROP BRKH_B_TERM_INT_X45Y104 TILE_X -19160 TILEPROP BRKH_B_TERM_INT_X45Y104 TILE_Y 81148 TILEPROP BRKH_B_TERM_INT_X45Y104 TYPE BRKH_B_TERM_INT TILEPROP BRKH_CLB_X10Y49 CLASS tile TILEPROP BRKH_CLB_X10Y49 COLUMN 30 TILEPROP BRKH_CLB_X10Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X10Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X10Y49 GRID_POINT_X 30 TILEPROP BRKH_CLB_X10Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X10Y49 INDEX 11990 TILEPROP BRKH_CLB_X10Y49 INT_TILE_X 9 TILEPROP BRKH_CLB_X10Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X10Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X10Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X10Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X10Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X10Y49 NAME BRKH_CLB_X10Y49 TILEPROP BRKH_CLB_X10Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X10Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X10Y49 ROW 104 TILEPROP BRKH_CLB_X10Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X10Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X10Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X10Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X10Y49 TILE_X -49668 TILEPROP BRKH_CLB_X10Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X10Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X10Y99 CLASS tile TILEPROP BRKH_CLB_X10Y99 COLUMN 30 TILEPROP BRKH_CLB_X10Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X10Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X10Y99 GRID_POINT_X 30 TILEPROP BRKH_CLB_X10Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X10Y99 INDEX 6010 TILEPROP BRKH_CLB_X10Y99 INT_TILE_X 9 TILEPROP BRKH_CLB_X10Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X10Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X10Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X10Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X10Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X10Y99 NAME BRKH_CLB_X10Y99 TILEPROP BRKH_CLB_X10Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X10Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X10Y99 ROW 52 TILEPROP BRKH_CLB_X10Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X10Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X10Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X10Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X10Y99 TILE_X -49668 TILEPROP BRKH_CLB_X10Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X10Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X11Y49 CLASS tile TILEPROP BRKH_CLB_X11Y49 COLUMN 33 TILEPROP BRKH_CLB_X11Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X11Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X11Y49 GRID_POINT_X 33 TILEPROP BRKH_CLB_X11Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X11Y49 INDEX 11993 TILEPROP BRKH_CLB_X11Y49 INT_TILE_X 11 TILEPROP BRKH_CLB_X11Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X11Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X11Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X11Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X11Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X11Y49 NAME BRKH_CLB_X11Y49 TILEPROP BRKH_CLB_X11Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X11Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X11Y49 ROW 104 TILEPROP BRKH_CLB_X11Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X11Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X11Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X11Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X11Y49 TILE_X -42060 TILEPROP BRKH_CLB_X11Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X11Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X11Y99 CLASS tile TILEPROP BRKH_CLB_X11Y99 COLUMN 33 TILEPROP BRKH_CLB_X11Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X11Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X11Y99 GRID_POINT_X 33 TILEPROP BRKH_CLB_X11Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X11Y99 INDEX 6013 TILEPROP BRKH_CLB_X11Y99 INT_TILE_X 11 TILEPROP BRKH_CLB_X11Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X11Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X11Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X11Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X11Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X11Y99 NAME BRKH_CLB_X11Y99 TILEPROP BRKH_CLB_X11Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X11Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X11Y99 ROW 52 TILEPROP BRKH_CLB_X11Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X11Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X11Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X11Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X11Y99 TILE_X -42060 TILEPROP BRKH_CLB_X11Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X11Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X19Y49 CLASS tile TILEPROP BRKH_CLB_X19Y49 COLUMN 51 TILEPROP BRKH_CLB_X19Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X19Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X19Y49 GRID_POINT_X 51 TILEPROP BRKH_CLB_X19Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X19Y49 INDEX 12011 TILEPROP BRKH_CLB_X19Y49 INT_TILE_X 19 TILEPROP BRKH_CLB_X19Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X19Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X19Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X19Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X19Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X19Y49 NAME BRKH_CLB_X19Y49 TILEPROP BRKH_CLB_X19Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X19Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X19Y49 ROW 104 TILEPROP BRKH_CLB_X19Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X19Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X19Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X19Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X19Y49 TILE_X -9348 TILEPROP BRKH_CLB_X19Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X19Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X19Y99 CLASS tile TILEPROP BRKH_CLB_X19Y99 COLUMN 51 TILEPROP BRKH_CLB_X19Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X19Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X19Y99 GRID_POINT_X 51 TILEPROP BRKH_CLB_X19Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X19Y99 INDEX 6031 TILEPROP BRKH_CLB_X19Y99 INT_TILE_X 19 TILEPROP BRKH_CLB_X19Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X19Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X19Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X19Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X19Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X19Y99 NAME BRKH_CLB_X19Y99 TILEPROP BRKH_CLB_X19Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X19Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X19Y99 ROW 52 TILEPROP BRKH_CLB_X19Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X19Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X19Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X19Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X19Y99 TILE_X -9348 TILEPROP BRKH_CLB_X19Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X19Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X20Y49 CLASS tile TILEPROP BRKH_CLB_X20Y49 COLUMN 52 TILEPROP BRKH_CLB_X20Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X20Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X20Y49 GRID_POINT_X 52 TILEPROP BRKH_CLB_X20Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X20Y49 INDEX 12012 TILEPROP BRKH_CLB_X20Y49 INT_TILE_X 19 TILEPROP BRKH_CLB_X20Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X20Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X20Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X20Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X20Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X20Y49 NAME BRKH_CLB_X20Y49 TILEPROP BRKH_CLB_X20Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X20Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X20Y49 ROW 104 TILEPROP BRKH_CLB_X20Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X20Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X20Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X20Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X20Y49 TILE_X -8652 TILEPROP BRKH_CLB_X20Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X20Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X20Y99 CLASS tile TILEPROP BRKH_CLB_X20Y99 COLUMN 52 TILEPROP BRKH_CLB_X20Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X20Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X20Y99 GRID_POINT_X 52 TILEPROP BRKH_CLB_X20Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X20Y99 INDEX 6032 TILEPROP BRKH_CLB_X20Y99 INT_TILE_X 19 TILEPROP BRKH_CLB_X20Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X20Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X20Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X20Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X20Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X20Y99 NAME BRKH_CLB_X20Y99 TILEPROP BRKH_CLB_X20Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X20Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X20Y99 ROW 52 TILEPROP BRKH_CLB_X20Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X20Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X20Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X20Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X20Y99 TILE_X -8652 TILEPROP BRKH_CLB_X20Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X20Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X21Y49 CLASS tile TILEPROP BRKH_CLB_X21Y49 COLUMN 55 TILEPROP BRKH_CLB_X21Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X21Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X21Y49 GRID_POINT_X 55 TILEPROP BRKH_CLB_X21Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X21Y49 INDEX 12015 TILEPROP BRKH_CLB_X21Y49 INT_TILE_X 21 TILEPROP BRKH_CLB_X21Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X21Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X21Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X21Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X21Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X21Y49 NAME BRKH_CLB_X21Y49 TILEPROP BRKH_CLB_X21Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X21Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X21Y49 ROW 104 TILEPROP BRKH_CLB_X21Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X21Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X21Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X21Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X21Y49 TILE_X -1044 TILEPROP BRKH_CLB_X21Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X21Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X21Y99 CLASS tile TILEPROP BRKH_CLB_X21Y99 COLUMN 55 TILEPROP BRKH_CLB_X21Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X21Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X21Y99 GRID_POINT_X 55 TILEPROP BRKH_CLB_X21Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X21Y99 INDEX 6035 TILEPROP BRKH_CLB_X21Y99 INT_TILE_X 21 TILEPROP BRKH_CLB_X21Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X21Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X21Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X21Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X21Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X21Y99 NAME BRKH_CLB_X21Y99 TILEPROP BRKH_CLB_X21Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X21Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X21Y99 ROW 52 TILEPROP BRKH_CLB_X21Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X21Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X21Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X21Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X21Y99 TILE_X -1044 TILEPROP BRKH_CLB_X21Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X21Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X22Y49 CLASS tile TILEPROP BRKH_CLB_X22Y49 COLUMN 56 TILEPROP BRKH_CLB_X22Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X22Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X22Y49 GRID_POINT_X 56 TILEPROP BRKH_CLB_X22Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X22Y49 INDEX 12016 TILEPROP BRKH_CLB_X22Y49 INT_TILE_X 21 TILEPROP BRKH_CLB_X22Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X22Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X22Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X22Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X22Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X22Y49 NAME BRKH_CLB_X22Y49 TILEPROP BRKH_CLB_X22Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X22Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X22Y49 ROW 104 TILEPROP BRKH_CLB_X22Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X22Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X22Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X22Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X22Y49 TILE_X -348 TILEPROP BRKH_CLB_X22Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X22Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X22Y99 CLASS tile TILEPROP BRKH_CLB_X22Y99 COLUMN 56 TILEPROP BRKH_CLB_X22Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X22Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X22Y99 GRID_POINT_X 56 TILEPROP BRKH_CLB_X22Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X22Y99 INDEX 6036 TILEPROP BRKH_CLB_X22Y99 INT_TILE_X 21 TILEPROP BRKH_CLB_X22Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X22Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X22Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X22Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X22Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X22Y99 NAME BRKH_CLB_X22Y99 TILEPROP BRKH_CLB_X22Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X22Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X22Y99 ROW 52 TILEPROP BRKH_CLB_X22Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X22Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X22Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X22Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X22Y99 TILE_X -348 TILEPROP BRKH_CLB_X22Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X22Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X24Y49 CLASS tile TILEPROP BRKH_CLB_X24Y49 COLUMN 62 TILEPROP BRKH_CLB_X24Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X24Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X24Y49 GRID_POINT_X 62 TILEPROP BRKH_CLB_X24Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X24Y49 INDEX 12022 TILEPROP BRKH_CLB_X24Y49 INT_TILE_X 23 TILEPROP BRKH_CLB_X24Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X24Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X24Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X24Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X24Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X24Y49 NAME BRKH_CLB_X24Y49 TILEPROP BRKH_CLB_X24Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X24Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X24Y49 ROW 104 TILEPROP BRKH_CLB_X24Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X24Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X24Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X24Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X24Y49 TILE_X 10988 TILEPROP BRKH_CLB_X24Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X24Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X24Y99 CLASS tile TILEPROP BRKH_CLB_X24Y99 COLUMN 62 TILEPROP BRKH_CLB_X24Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X24Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X24Y99 GRID_POINT_X 62 TILEPROP BRKH_CLB_X24Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X24Y99 INDEX 6042 TILEPROP BRKH_CLB_X24Y99 INT_TILE_X 23 TILEPROP BRKH_CLB_X24Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X24Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X24Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X24Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X24Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X24Y99 NAME BRKH_CLB_X24Y99 TILEPROP BRKH_CLB_X24Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X24Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X24Y99 ROW 52 TILEPROP BRKH_CLB_X24Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X24Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X24Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X24Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X24Y99 TILE_X 10988 TILEPROP BRKH_CLB_X24Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X24Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X25Y49 CLASS tile TILEPROP BRKH_CLB_X25Y49 COLUMN 65 TILEPROP BRKH_CLB_X25Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X25Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X25Y49 GRID_POINT_X 65 TILEPROP BRKH_CLB_X25Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X25Y49 INDEX 12025 TILEPROP BRKH_CLB_X25Y49 INT_TILE_X 25 TILEPROP BRKH_CLB_X25Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X25Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X25Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X25Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X25Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X25Y49 NAME BRKH_CLB_X25Y49 TILEPROP BRKH_CLB_X25Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X25Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X25Y49 ROW 104 TILEPROP BRKH_CLB_X25Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X25Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X25Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X25Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X25Y49 TILE_X 18596 TILEPROP BRKH_CLB_X25Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X25Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X25Y99 CLASS tile TILEPROP BRKH_CLB_X25Y99 COLUMN 65 TILEPROP BRKH_CLB_X25Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X25Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X25Y99 GRID_POINT_X 65 TILEPROP BRKH_CLB_X25Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X25Y99 INDEX 6045 TILEPROP BRKH_CLB_X25Y99 INT_TILE_X 25 TILEPROP BRKH_CLB_X25Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X25Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X25Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X25Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X25Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X25Y99 NAME BRKH_CLB_X25Y99 TILEPROP BRKH_CLB_X25Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X25Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X25Y99 ROW 52 TILEPROP BRKH_CLB_X25Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X25Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X25Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X25Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X25Y99 TILE_X 18596 TILEPROP BRKH_CLB_X25Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X25Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X26Y49 CLASS tile TILEPROP BRKH_CLB_X26Y49 COLUMN 67 TILEPROP BRKH_CLB_X26Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X26Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X26Y49 GRID_POINT_X 67 TILEPROP BRKH_CLB_X26Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X26Y49 INDEX 12027 TILEPROP BRKH_CLB_X26Y49 INT_TILE_X 25 TILEPROP BRKH_CLB_X26Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X26Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X26Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X26Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X26Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X26Y49 NAME BRKH_CLB_X26Y49 TILEPROP BRKH_CLB_X26Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X26Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X26Y49 ROW 104 TILEPROP BRKH_CLB_X26Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X26Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X26Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X26Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X26Y49 TILE_X 19356 TILEPROP BRKH_CLB_X26Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X26Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X26Y99 CLASS tile TILEPROP BRKH_CLB_X26Y99 COLUMN 67 TILEPROP BRKH_CLB_X26Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X26Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X26Y99 GRID_POINT_X 67 TILEPROP BRKH_CLB_X26Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X26Y99 INDEX 6047 TILEPROP BRKH_CLB_X26Y99 INT_TILE_X 25 TILEPROP BRKH_CLB_X26Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X26Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X26Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X26Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X26Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X26Y99 NAME BRKH_CLB_X26Y99 TILEPROP BRKH_CLB_X26Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X26Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X26Y99 ROW 52 TILEPROP BRKH_CLB_X26Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X26Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X26Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X26Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X26Y99 TILE_X 19356 TILEPROP BRKH_CLB_X26Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X26Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X27Y49 CLASS tile TILEPROP BRKH_CLB_X27Y49 COLUMN 70 TILEPROP BRKH_CLB_X27Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X27Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X27Y49 GRID_POINT_X 70 TILEPROP BRKH_CLB_X27Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X27Y49 INDEX 12030 TILEPROP BRKH_CLB_X27Y49 INT_TILE_X 27 TILEPROP BRKH_CLB_X27Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X27Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X27Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X27Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X27Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X27Y49 NAME BRKH_CLB_X27Y49 TILEPROP BRKH_CLB_X27Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X27Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X27Y49 ROW 104 TILEPROP BRKH_CLB_X27Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X27Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X27Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X27Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X27Y49 TILE_X 26964 TILEPROP BRKH_CLB_X27Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X27Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X28Y49 CLASS tile TILEPROP BRKH_CLB_X28Y49 COLUMN 71 TILEPROP BRKH_CLB_X28Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X28Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X28Y49 GRID_POINT_X 71 TILEPROP BRKH_CLB_X28Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X28Y49 INDEX 12031 TILEPROP BRKH_CLB_X28Y49 INT_TILE_X 27 TILEPROP BRKH_CLB_X28Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X28Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X28Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X28Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X28Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X28Y49 NAME BRKH_CLB_X28Y49 TILEPROP BRKH_CLB_X28Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X28Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X28Y49 ROW 104 TILEPROP BRKH_CLB_X28Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X28Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X28Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X28Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X28Y49 TILE_X 27660 TILEPROP BRKH_CLB_X28Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X28Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X29Y49 CLASS tile TILEPROP BRKH_CLB_X29Y49 COLUMN 74 TILEPROP BRKH_CLB_X29Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X29Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X29Y49 GRID_POINT_X 74 TILEPROP BRKH_CLB_X29Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X29Y49 INDEX 12034 TILEPROP BRKH_CLB_X29Y49 INT_TILE_X 29 TILEPROP BRKH_CLB_X29Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X29Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X29Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X29Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X29Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X29Y49 NAME BRKH_CLB_X29Y49 TILEPROP BRKH_CLB_X29Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X29Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X29Y49 ROW 104 TILEPROP BRKH_CLB_X29Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X29Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X29Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X29Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X29Y49 TILE_X 35268 TILEPROP BRKH_CLB_X29Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X29Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X2Y49 CLASS tile TILEPROP BRKH_CLB_X2Y49 COLUMN 10 TILEPROP BRKH_CLB_X2Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X2Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X2Y49 GRID_POINT_X 10 TILEPROP BRKH_CLB_X2Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X2Y49 INDEX 11970 TILEPROP BRKH_CLB_X2Y49 INT_TILE_X 1 TILEPROP BRKH_CLB_X2Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X2Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X2Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X2Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X2Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X2Y49 NAME BRKH_CLB_X2Y49 TILEPROP BRKH_CLB_X2Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X2Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X2Y49 ROW 104 TILEPROP BRKH_CLB_X2Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X2Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X2Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X2Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X2Y49 TILE_X -87210 TILEPROP BRKH_CLB_X2Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X2Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X2Y99 CLASS tile TILEPROP BRKH_CLB_X2Y99 COLUMN 10 TILEPROP BRKH_CLB_X2Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X2Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X2Y99 GRID_POINT_X 10 TILEPROP BRKH_CLB_X2Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X2Y99 INDEX 5990 TILEPROP BRKH_CLB_X2Y99 INT_TILE_X 1 TILEPROP BRKH_CLB_X2Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X2Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X2Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X2Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X2Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X2Y99 NAME BRKH_CLB_X2Y99 TILEPROP BRKH_CLB_X2Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X2Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X2Y99 ROW 52 TILEPROP BRKH_CLB_X2Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X2Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X2Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X2Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X2Y99 TILE_X -87210 TILEPROP BRKH_CLB_X2Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X2Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X31Y49 CLASS tile TILEPROP BRKH_CLB_X31Y49 COLUMN 79 TILEPROP BRKH_CLB_X31Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X31Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X31Y49 GRID_POINT_X 79 TILEPROP BRKH_CLB_X31Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X31Y49 INDEX 12039 TILEPROP BRKH_CLB_X31Y49 INT_TILE_X 31 TILEPROP BRKH_CLB_X31Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X31Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X31Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X31Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X31Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X31Y49 NAME BRKH_CLB_X31Y49 TILEPROP BRKH_CLB_X31Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X31Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X31Y49 ROW 104 TILEPROP BRKH_CLB_X31Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X31Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X31Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X31Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X31Y49 TILE_X 47316 TILEPROP BRKH_CLB_X31Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X31Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X31Y99 CLASS tile TILEPROP BRKH_CLB_X31Y99 COLUMN 79 TILEPROP BRKH_CLB_X31Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X31Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X31Y99 GRID_POINT_X 79 TILEPROP BRKH_CLB_X31Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X31Y99 INDEX 6059 TILEPROP BRKH_CLB_X31Y99 INT_TILE_X 31 TILEPROP BRKH_CLB_X31Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X31Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X31Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X31Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X31Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X31Y99 NAME BRKH_CLB_X31Y99 TILEPROP BRKH_CLB_X31Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X31Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X31Y99 ROW 52 TILEPROP BRKH_CLB_X31Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X31Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X31Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X31Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X31Y99 TILE_X 47316 TILEPROP BRKH_CLB_X31Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X31Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X32Y49 CLASS tile TILEPROP BRKH_CLB_X32Y49 COLUMN 81 TILEPROP BRKH_CLB_X32Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X32Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X32Y49 GRID_POINT_X 81 TILEPROP BRKH_CLB_X32Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X32Y49 INDEX 12041 TILEPROP BRKH_CLB_X32Y49 INT_TILE_X 31 TILEPROP BRKH_CLB_X32Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X32Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X32Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X32Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X32Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X32Y49 NAME BRKH_CLB_X32Y49 TILEPROP BRKH_CLB_X32Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X32Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X32Y49 ROW 104 TILEPROP BRKH_CLB_X32Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X32Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X32Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X32Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X32Y49 TILE_X 48076 TILEPROP BRKH_CLB_X32Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X32Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X32Y99 CLASS tile TILEPROP BRKH_CLB_X32Y99 COLUMN 81 TILEPROP BRKH_CLB_X32Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X32Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X32Y99 GRID_POINT_X 81 TILEPROP BRKH_CLB_X32Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X32Y99 INDEX 6061 TILEPROP BRKH_CLB_X32Y99 INT_TILE_X 31 TILEPROP BRKH_CLB_X32Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X32Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X32Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X32Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X32Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X32Y99 NAME BRKH_CLB_X32Y99 TILEPROP BRKH_CLB_X32Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X32Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X32Y99 ROW 52 TILEPROP BRKH_CLB_X32Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X32Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X32Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X32Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X32Y99 TILE_X 48076 TILEPROP BRKH_CLB_X32Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X32Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X33Y49 CLASS tile TILEPROP BRKH_CLB_X33Y49 COLUMN 84 TILEPROP BRKH_CLB_X33Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X33Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X33Y49 GRID_POINT_X 84 TILEPROP BRKH_CLB_X33Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X33Y49 INDEX 12044 TILEPROP BRKH_CLB_X33Y49 INT_TILE_X 33 TILEPROP BRKH_CLB_X33Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X33Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X33Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X33Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X33Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X33Y49 NAME BRKH_CLB_X33Y49 TILEPROP BRKH_CLB_X33Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X33Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X33Y49 ROW 104 TILEPROP BRKH_CLB_X33Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X33Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X33Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X33Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X33Y49 TILE_X 55684 TILEPROP BRKH_CLB_X33Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X33Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X33Y99 CLASS tile TILEPROP BRKH_CLB_X33Y99 COLUMN 84 TILEPROP BRKH_CLB_X33Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X33Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X33Y99 GRID_POINT_X 84 TILEPROP BRKH_CLB_X33Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X33Y99 INDEX 6064 TILEPROP BRKH_CLB_X33Y99 INT_TILE_X 33 TILEPROP BRKH_CLB_X33Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X33Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X33Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X33Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X33Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X33Y99 NAME BRKH_CLB_X33Y99 TILEPROP BRKH_CLB_X33Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X33Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X33Y99 ROW 52 TILEPROP BRKH_CLB_X33Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X33Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X33Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X33Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X33Y99 TILE_X 55684 TILEPROP BRKH_CLB_X33Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X33Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X35Y49 CLASS tile TILEPROP BRKH_CLB_X35Y49 COLUMN 90 TILEPROP BRKH_CLB_X35Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X35Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X35Y49 GRID_POINT_X 90 TILEPROP BRKH_CLB_X35Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X35Y49 INDEX 12050 TILEPROP BRKH_CLB_X35Y49 INT_TILE_X 35 TILEPROP BRKH_CLB_X35Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X35Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X35Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X35Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X35Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X35Y49 NAME BRKH_CLB_X35Y49 TILEPROP BRKH_CLB_X35Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X35Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X35Y49 ROW 104 TILEPROP BRKH_CLB_X35Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X35Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X35Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X35Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X35Y49 TILE_X 64506 TILEPROP BRKH_CLB_X35Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X35Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X35Y99 CLASS tile TILEPROP BRKH_CLB_X35Y99 COLUMN 90 TILEPROP BRKH_CLB_X35Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X35Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X35Y99 GRID_POINT_X 90 TILEPROP BRKH_CLB_X35Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X35Y99 INDEX 6070 TILEPROP BRKH_CLB_X35Y99 INT_TILE_X 35 TILEPROP BRKH_CLB_X35Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X35Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X35Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X35Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X35Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X35Y99 NAME BRKH_CLB_X35Y99 TILEPROP BRKH_CLB_X35Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X35Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X35Y99 ROW 52 TILEPROP BRKH_CLB_X35Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X35Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X35Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X35Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X35Y99 TILE_X 64506 TILEPROP BRKH_CLB_X35Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X35Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X36Y49 CLASS tile TILEPROP BRKH_CLB_X36Y49 COLUMN 91 TILEPROP BRKH_CLB_X36Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X36Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X36Y49 GRID_POINT_X 91 TILEPROP BRKH_CLB_X36Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X36Y49 INDEX 12051 TILEPROP BRKH_CLB_X36Y49 INT_TILE_X 35 TILEPROP BRKH_CLB_X36Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X36Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X36Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X36Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X36Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X36Y49 NAME BRKH_CLB_X36Y49 TILEPROP BRKH_CLB_X36Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X36Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X36Y49 ROW 104 TILEPROP BRKH_CLB_X36Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X36Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X36Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X36Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X36Y49 TILE_X 65202 TILEPROP BRKH_CLB_X36Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X36Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X36Y99 CLASS tile TILEPROP BRKH_CLB_X36Y99 COLUMN 91 TILEPROP BRKH_CLB_X36Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X36Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X36Y99 GRID_POINT_X 91 TILEPROP BRKH_CLB_X36Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X36Y99 INDEX 6071 TILEPROP BRKH_CLB_X36Y99 INT_TILE_X 35 TILEPROP BRKH_CLB_X36Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X36Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X36Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X36Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X36Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X36Y99 NAME BRKH_CLB_X36Y99 TILEPROP BRKH_CLB_X36Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X36Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X36Y99 ROW 52 TILEPROP BRKH_CLB_X36Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X36Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X36Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X36Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X36Y99 TILE_X 65202 TILEPROP BRKH_CLB_X36Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X36Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X38Y49 CLASS tile TILEPROP BRKH_CLB_X38Y49 COLUMN 97 TILEPROP BRKH_CLB_X38Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X38Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X38Y49 GRID_POINT_X 97 TILEPROP BRKH_CLB_X38Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X38Y49 INDEX 12057 TILEPROP BRKH_CLB_X38Y49 INT_TILE_X 37 TILEPROP BRKH_CLB_X38Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X38Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X38Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X38Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X38Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X38Y49 NAME BRKH_CLB_X38Y49 TILEPROP BRKH_CLB_X38Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X38Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X38Y49 ROW 104 TILEPROP BRKH_CLB_X38Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X38Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X38Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X38Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X38Y49 TILE_X 77314 TILEPROP BRKH_CLB_X38Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X38Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X39Y49 CLASS tile TILEPROP BRKH_CLB_X39Y49 COLUMN 100 TILEPROP BRKH_CLB_X39Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X39Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X39Y49 GRID_POINT_X 100 TILEPROP BRKH_CLB_X39Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X39Y49 INDEX 12060 TILEPROP BRKH_CLB_X39Y49 INT_TILE_X 39 TILEPROP BRKH_CLB_X39Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X39Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X39Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X39Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X39Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X39Y49 NAME BRKH_CLB_X39Y49 TILEPROP BRKH_CLB_X39Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X39Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X39Y49 ROW 104 TILEPROP BRKH_CLB_X39Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X39Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X39Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X39Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X39Y49 TILE_X 84922 TILEPROP BRKH_CLB_X39Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X39Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X3Y49 CLASS tile TILEPROP BRKH_CLB_X3Y49 COLUMN 13 TILEPROP BRKH_CLB_X3Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X3Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X3Y49 GRID_POINT_X 13 TILEPROP BRKH_CLB_X3Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X3Y49 INDEX 11973 TILEPROP BRKH_CLB_X3Y49 INT_TILE_X 3 TILEPROP BRKH_CLB_X3Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X3Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X3Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X3Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X3Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X3Y49 NAME BRKH_CLB_X3Y49 TILEPROP BRKH_CLB_X3Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X3Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X3Y49 ROW 104 TILEPROP BRKH_CLB_X3Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X3Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X3Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X3Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X3Y49 TILE_X -79602 TILEPROP BRKH_CLB_X3Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X3Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X3Y99 CLASS tile TILEPROP BRKH_CLB_X3Y99 COLUMN 13 TILEPROP BRKH_CLB_X3Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X3Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X3Y99 GRID_POINT_X 13 TILEPROP BRKH_CLB_X3Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X3Y99 INDEX 5993 TILEPROP BRKH_CLB_X3Y99 INT_TILE_X 3 TILEPROP BRKH_CLB_X3Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X3Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X3Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X3Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X3Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X3Y99 NAME BRKH_CLB_X3Y99 TILEPROP BRKH_CLB_X3Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X3Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X3Y99 ROW 52 TILEPROP BRKH_CLB_X3Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X3Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X3Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X3Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X3Y99 TILE_X -79602 TILEPROP BRKH_CLB_X3Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X3Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X40Y49 CLASS tile TILEPROP BRKH_CLB_X40Y49 COLUMN 101 TILEPROP BRKH_CLB_X40Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X40Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X40Y49 GRID_POINT_X 101 TILEPROP BRKH_CLB_X40Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X40Y49 INDEX 12061 TILEPROP BRKH_CLB_X40Y49 INT_TILE_X 39 TILEPROP BRKH_CLB_X40Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X40Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X40Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X40Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X40Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X40Y49 NAME BRKH_CLB_X40Y49 TILEPROP BRKH_CLB_X40Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X40Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X40Y49 ROW 104 TILEPROP BRKH_CLB_X40Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X40Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X40Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X40Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X40Y49 TILE_X 85618 TILEPROP BRKH_CLB_X40Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X40Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X41Y49 CLASS tile TILEPROP BRKH_CLB_X41Y49 COLUMN 104 TILEPROP BRKH_CLB_X41Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X41Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X41Y49 GRID_POINT_X 104 TILEPROP BRKH_CLB_X41Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X41Y49 INDEX 12064 TILEPROP BRKH_CLB_X41Y49 INT_TILE_X 41 TILEPROP BRKH_CLB_X41Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X41Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X41Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X41Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X41Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X41Y49 NAME BRKH_CLB_X41Y49 TILEPROP BRKH_CLB_X41Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X41Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X41Y49 ROW 104 TILEPROP BRKH_CLB_X41Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X41Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X41Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X41Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X41Y49 TILE_X 93226 TILEPROP BRKH_CLB_X41Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X41Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X4Y49 CLASS tile TILEPROP BRKH_CLB_X4Y49 COLUMN 14 TILEPROP BRKH_CLB_X4Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X4Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X4Y49 GRID_POINT_X 14 TILEPROP BRKH_CLB_X4Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X4Y49 INDEX 11974 TILEPROP BRKH_CLB_X4Y49 INT_TILE_X 3 TILEPROP BRKH_CLB_X4Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X4Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X4Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X4Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X4Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X4Y49 NAME BRKH_CLB_X4Y49 TILEPROP BRKH_CLB_X4Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X4Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X4Y49 ROW 104 TILEPROP BRKH_CLB_X4Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X4Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X4Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X4Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X4Y49 TILE_X -78906 TILEPROP BRKH_CLB_X4Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X4Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X4Y99 CLASS tile TILEPROP BRKH_CLB_X4Y99 COLUMN 14 TILEPROP BRKH_CLB_X4Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X4Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X4Y99 GRID_POINT_X 14 TILEPROP BRKH_CLB_X4Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X4Y99 INDEX 5994 TILEPROP BRKH_CLB_X4Y99 INT_TILE_X 3 TILEPROP BRKH_CLB_X4Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X4Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X4Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X4Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X4Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X4Y99 NAME BRKH_CLB_X4Y99 TILEPROP BRKH_CLB_X4Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X4Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X4Y99 ROW 52 TILEPROP BRKH_CLB_X4Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X4Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X4Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X4Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X4Y99 TILE_X -78906 TILEPROP BRKH_CLB_X4Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X4Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X5Y49 CLASS tile TILEPROP BRKH_CLB_X5Y49 COLUMN 17 TILEPROP BRKH_CLB_X5Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X5Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X5Y49 GRID_POINT_X 17 TILEPROP BRKH_CLB_X5Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X5Y49 INDEX 11977 TILEPROP BRKH_CLB_X5Y49 INT_TILE_X 5 TILEPROP BRKH_CLB_X5Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X5Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X5Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X5Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X5Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X5Y49 NAME BRKH_CLB_X5Y49 TILEPROP BRKH_CLB_X5Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X5Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X5Y49 ROW 104 TILEPROP BRKH_CLB_X5Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X5Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X5Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X5Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X5Y49 TILE_X -71298 TILEPROP BRKH_CLB_X5Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X5Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X5Y99 CLASS tile TILEPROP BRKH_CLB_X5Y99 COLUMN 17 TILEPROP BRKH_CLB_X5Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X5Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X5Y99 GRID_POINT_X 17 TILEPROP BRKH_CLB_X5Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X5Y99 INDEX 5997 TILEPROP BRKH_CLB_X5Y99 INT_TILE_X 5 TILEPROP BRKH_CLB_X5Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X5Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X5Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X5Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X5Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X5Y99 NAME BRKH_CLB_X5Y99 TILEPROP BRKH_CLB_X5Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X5Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X5Y99 ROW 52 TILEPROP BRKH_CLB_X5Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X5Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X5Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X5Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X5Y99 TILE_X -71298 TILEPROP BRKH_CLB_X5Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X5Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X7Y49 CLASS tile TILEPROP BRKH_CLB_X7Y49 COLUMN 23 TILEPROP BRKH_CLB_X7Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X7Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X7Y49 GRID_POINT_X 23 TILEPROP BRKH_CLB_X7Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X7Y49 INDEX 11983 TILEPROP BRKH_CLB_X7Y49 INT_TILE_X 7 TILEPROP BRKH_CLB_X7Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X7Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X7Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X7Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X7Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X7Y49 NAME BRKH_CLB_X7Y49 TILEPROP BRKH_CLB_X7Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X7Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X7Y49 ROW 104 TILEPROP BRKH_CLB_X7Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X7Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X7Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X7Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X7Y49 TILE_X -59186 TILEPROP BRKH_CLB_X7Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X7Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X7Y99 CLASS tile TILEPROP BRKH_CLB_X7Y99 COLUMN 23 TILEPROP BRKH_CLB_X7Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X7Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X7Y99 GRID_POINT_X 23 TILEPROP BRKH_CLB_X7Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X7Y99 INDEX 6003 TILEPROP BRKH_CLB_X7Y99 INT_TILE_X 7 TILEPROP BRKH_CLB_X7Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X7Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X7Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X7Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X7Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X7Y99 NAME BRKH_CLB_X7Y99 TILEPROP BRKH_CLB_X7Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X7Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X7Y99 ROW 52 TILEPROP BRKH_CLB_X7Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X7Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X7Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X7Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X7Y99 TILE_X -59186 TILEPROP BRKH_CLB_X7Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X7Y99 TYPE BRKH_CLB TILEPROP BRKH_CLB_X8Y49 CLASS tile TILEPROP BRKH_CLB_X8Y49 COLUMN 24 TILEPROP BRKH_CLB_X8Y49 DEVICE_ID 0 TILEPROP BRKH_CLB_X8Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_CLB_X8Y49 GRID_POINT_X 24 TILEPROP BRKH_CLB_X8Y49 GRID_POINT_Y 104 TILEPROP BRKH_CLB_X8Y49 INDEX 11984 TILEPROP BRKH_CLB_X8Y49 INT_TILE_X 7 TILEPROP BRKH_CLB_X8Y49 INT_TILE_Y 99 TILEPROP BRKH_CLB_X8Y49 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X8Y49 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X8Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X8Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X8Y49 NAME BRKH_CLB_X8Y49 TILEPROP BRKH_CLB_X8Y49 NUM_ARCS 0 TILEPROP BRKH_CLB_X8Y49 NUM_SITES 0 TILEPROP BRKH_CLB_X8Y49 ROW 104 TILEPROP BRKH_CLB_X8Y49 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X8Y49 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X8Y49 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X8Y49 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X8Y49 TILE_X -58490 TILEPROP BRKH_CLB_X8Y49 TILE_Y -80124 TILEPROP BRKH_CLB_X8Y49 TYPE BRKH_CLB TILEPROP BRKH_CLB_X8Y99 CLASS tile TILEPROP BRKH_CLB_X8Y99 COLUMN 24 TILEPROP BRKH_CLB_X8Y99 DEVICE_ID 0 TILEPROP BRKH_CLB_X8Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_CLB_X8Y99 GRID_POINT_X 24 TILEPROP BRKH_CLB_X8Y99 GRID_POINT_Y 52 TILEPROP BRKH_CLB_X8Y99 INDEX 6004 TILEPROP BRKH_CLB_X8Y99 INT_TILE_X 7 TILEPROP BRKH_CLB_X8Y99 INT_TILE_Y 49 TILEPROP BRKH_CLB_X8Y99 IS_CENTER_TILE 0 TILEPROP BRKH_CLB_X8Y99 IS_DCM_TILE 0 TILEPROP BRKH_CLB_X8Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLB_X8Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLB_X8Y99 NAME BRKH_CLB_X8Y99 TILEPROP BRKH_CLB_X8Y99 NUM_ARCS 0 TILEPROP BRKH_CLB_X8Y99 NUM_SITES 0 TILEPROP BRKH_CLB_X8Y99 ROW 52 TILEPROP BRKH_CLB_X8Y99 SLR_REGION_ID 0 TILEPROP BRKH_CLB_X8Y99 TILE_PATTERN_IDX 1637 TILEPROP BRKH_CLB_X8Y99 TILE_TYPE BRKH_CLB TILEPROP BRKH_CLB_X8Y99 TILE_TYPE_INDEX 6 TILEPROP BRKH_CLB_X8Y99 TILE_X -58490 TILEPROP BRKH_CLB_X8Y99 TILE_Y 81148 TILEPROP BRKH_CLB_X8Y99 TYPE BRKH_CLB TILEPROP BRKH_CLK_X60Y52 CLASS tile TILEPROP BRKH_CLK_X60Y52 COLUMN 60 TILEPROP BRKH_CLK_X60Y52 DEVICE_ID 0 TILEPROP BRKH_CLK_X60Y52 FIRST_SITE_ID 10444 TILEPROP BRKH_CLK_X60Y52 GRID_POINT_X 60 TILEPROP BRKH_CLK_X60Y52 GRID_POINT_Y 104 TILEPROP BRKH_CLK_X60Y52 INDEX 12020 TILEPROP BRKH_CLK_X60Y52 INT_TILE_X 23 TILEPROP BRKH_CLK_X60Y52 INT_TILE_Y 99 TILEPROP BRKH_CLK_X60Y52 IS_CENTER_TILE 0 TILEPROP BRKH_CLK_X60Y52 IS_DCM_TILE 0 TILEPROP BRKH_CLK_X60Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLK_X60Y52 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLK_X60Y52 NAME BRKH_CLK_X60Y52 TILEPROP BRKH_CLK_X60Y52 NUM_ARCS 0 TILEPROP BRKH_CLK_X60Y52 NUM_SITES 0 TILEPROP BRKH_CLK_X60Y52 ROW 104 TILEPROP BRKH_CLK_X60Y52 SLR_REGION_ID 0 TILEPROP BRKH_CLK_X60Y52 TILE_PATTERN_IDX 1640 TILEPROP BRKH_CLK_X60Y52 TILE_TYPE BRKH_CLK TILEPROP BRKH_CLK_X60Y52 TILE_TYPE_INDEX 7 TILEPROP BRKH_CLK_X60Y52 TILE_X 8776 TILEPROP BRKH_CLK_X60Y52 TILE_Y -80124 TILEPROP BRKH_CLK_X60Y52 TYPE BRKH_CLK TILEPROP BRKH_CLK_X60Y104 CLASS tile TILEPROP BRKH_CLK_X60Y104 COLUMN 60 TILEPROP BRKH_CLK_X60Y104 DEVICE_ID 0 TILEPROP BRKH_CLK_X60Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_CLK_X60Y104 GRID_POINT_X 60 TILEPROP BRKH_CLK_X60Y104 GRID_POINT_Y 52 TILEPROP BRKH_CLK_X60Y104 INDEX 6040 TILEPROP BRKH_CLK_X60Y104 INT_TILE_X 23 TILEPROP BRKH_CLK_X60Y104 INT_TILE_Y 49 TILEPROP BRKH_CLK_X60Y104 IS_CENTER_TILE 0 TILEPROP BRKH_CLK_X60Y104 IS_DCM_TILE 0 TILEPROP BRKH_CLK_X60Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CLK_X60Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_CLK_X60Y104 NAME BRKH_CLK_X60Y104 TILEPROP BRKH_CLK_X60Y104 NUM_ARCS 0 TILEPROP BRKH_CLK_X60Y104 NUM_SITES 0 TILEPROP BRKH_CLK_X60Y104 ROW 52 TILEPROP BRKH_CLK_X60Y104 SLR_REGION_ID 0 TILEPROP BRKH_CLK_X60Y104 TILE_PATTERN_IDX 1640 TILEPROP BRKH_CLK_X60Y104 TILE_TYPE BRKH_CLK TILEPROP BRKH_CLK_X60Y104 TILE_TYPE_INDEX 7 TILEPROP BRKH_CLK_X60Y104 TILE_X 8776 TILEPROP BRKH_CLK_X60Y104 TILE_Y 81148 TILEPROP BRKH_CLK_X60Y104 TYPE BRKH_CLK TILEPROP BRKH_CMT_X106Y52 CLASS tile TILEPROP BRKH_CMT_X106Y52 COLUMN 106 TILEPROP BRKH_CMT_X106Y52 DEVICE_ID 0 TILEPROP BRKH_CMT_X106Y52 FIRST_SITE_ID 10444 TILEPROP BRKH_CMT_X106Y52 GRID_POINT_X 106 TILEPROP BRKH_CMT_X106Y52 GRID_POINT_Y 104 TILEPROP BRKH_CMT_X106Y52 INDEX 12066 TILEPROP BRKH_CMT_X106Y52 INT_TILE_X 41 TILEPROP BRKH_CMT_X106Y52 INT_TILE_Y 99 TILEPROP BRKH_CMT_X106Y52 IS_CENTER_TILE 0 TILEPROP BRKH_CMT_X106Y52 IS_DCM_TILE 0 TILEPROP BRKH_CMT_X106Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CMT_X106Y52 IS_GT_SITE_TILE 0 TILEPROP BRKH_CMT_X106Y52 NAME BRKH_CMT_X106Y52 TILEPROP BRKH_CMT_X106Y52 NUM_ARCS 0 TILEPROP BRKH_CMT_X106Y52 NUM_SITES 0 TILEPROP BRKH_CMT_X106Y52 ROW 104 TILEPROP BRKH_CMT_X106Y52 SLR_REGION_ID 0 TILEPROP BRKH_CMT_X106Y52 TILE_PATTERN_IDX 1636 TILEPROP BRKH_CMT_X106Y52 TILE_TYPE BRKH_CMT TILEPROP BRKH_CMT_X106Y52 TILE_TYPE_INDEX 8 TILEPROP BRKH_CMT_X106Y52 TILE_X 95438 TILEPROP BRKH_CMT_X106Y52 TILE_Y -80124 TILEPROP BRKH_CMT_X106Y52 TYPE BRKH_CMT TILEPROP BRKH_CMT_X8Y52 CLASS tile TILEPROP BRKH_CMT_X8Y52 COLUMN 8 TILEPROP BRKH_CMT_X8Y52 DEVICE_ID 0 TILEPROP BRKH_CMT_X8Y52 FIRST_SITE_ID 10444 TILEPROP BRKH_CMT_X8Y52 GRID_POINT_X 8 TILEPROP BRKH_CMT_X8Y52 GRID_POINT_Y 104 TILEPROP BRKH_CMT_X8Y52 INDEX 11968 TILEPROP BRKH_CMT_X8Y52 INT_TILE_X 1 TILEPROP BRKH_CMT_X8Y52 INT_TILE_Y 99 TILEPROP BRKH_CMT_X8Y52 IS_CENTER_TILE 0 TILEPROP BRKH_CMT_X8Y52 IS_DCM_TILE 0 TILEPROP BRKH_CMT_X8Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CMT_X8Y52 IS_GT_SITE_TILE 0 TILEPROP BRKH_CMT_X8Y52 NAME BRKH_CMT_X8Y52 TILEPROP BRKH_CMT_X8Y52 NUM_ARCS 0 TILEPROP BRKH_CMT_X8Y52 NUM_SITES 0 TILEPROP BRKH_CMT_X8Y52 ROW 104 TILEPROP BRKH_CMT_X8Y52 SLR_REGION_ID 0 TILEPROP BRKH_CMT_X8Y52 TILE_PATTERN_IDX 1636 TILEPROP BRKH_CMT_X8Y52 TILE_TYPE BRKH_CMT TILEPROP BRKH_CMT_X8Y52 TILE_TYPE_INDEX 8 TILEPROP BRKH_CMT_X8Y52 TILE_X -89422 TILEPROP BRKH_CMT_X8Y52 TILE_Y -80124 TILEPROP BRKH_CMT_X8Y52 TYPE BRKH_CMT TILEPROP BRKH_CMT_X8Y104 CLASS tile TILEPROP BRKH_CMT_X8Y104 COLUMN 8 TILEPROP BRKH_CMT_X8Y104 DEVICE_ID 0 TILEPROP BRKH_CMT_X8Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_CMT_X8Y104 GRID_POINT_X 8 TILEPROP BRKH_CMT_X8Y104 GRID_POINT_Y 52 TILEPROP BRKH_CMT_X8Y104 INDEX 5988 TILEPROP BRKH_CMT_X8Y104 INT_TILE_X 1 TILEPROP BRKH_CMT_X8Y104 INT_TILE_Y 49 TILEPROP BRKH_CMT_X8Y104 IS_CENTER_TILE 0 TILEPROP BRKH_CMT_X8Y104 IS_DCM_TILE 0 TILEPROP BRKH_CMT_X8Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_CMT_X8Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_CMT_X8Y104 NAME BRKH_CMT_X8Y104 TILEPROP BRKH_CMT_X8Y104 NUM_ARCS 0 TILEPROP BRKH_CMT_X8Y104 NUM_SITES 0 TILEPROP BRKH_CMT_X8Y104 ROW 52 TILEPROP BRKH_CMT_X8Y104 SLR_REGION_ID 0 TILEPROP BRKH_CMT_X8Y104 TILE_PATTERN_IDX 1636 TILEPROP BRKH_CMT_X8Y104 TILE_TYPE BRKH_CMT TILEPROP BRKH_CMT_X8Y104 TILE_TYPE_INDEX 8 TILEPROP BRKH_CMT_X8Y104 TILE_X -89422 TILEPROP BRKH_CMT_X8Y104 TILE_Y 81148 TILEPROP BRKH_CMT_X8Y104 TYPE BRKH_CMT TILEPROP BRKH_DSP_L_X86Y52 CLASS tile TILEPROP BRKH_DSP_L_X86Y52 COLUMN 86 TILEPROP BRKH_DSP_L_X86Y52 DEVICE_ID 0 TILEPROP BRKH_DSP_L_X86Y52 FIRST_SITE_ID 10444 TILEPROP BRKH_DSP_L_X86Y52 GRID_POINT_X 86 TILEPROP BRKH_DSP_L_X86Y52 GRID_POINT_Y 104 TILEPROP BRKH_DSP_L_X86Y52 INDEX 12046 TILEPROP BRKH_DSP_L_X86Y52 INT_TILE_X 33 TILEPROP BRKH_DSP_L_X86Y52 INT_TILE_Y 99 TILEPROP BRKH_DSP_L_X86Y52 IS_CENTER_TILE 0 TILEPROP BRKH_DSP_L_X86Y52 IS_DCM_TILE 0 TILEPROP BRKH_DSP_L_X86Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_DSP_L_X86Y52 IS_GT_SITE_TILE 0 TILEPROP BRKH_DSP_L_X86Y52 NAME BRKH_DSP_L_X86Y52 TILEPROP BRKH_DSP_L_X86Y52 NUM_ARCS 0 TILEPROP BRKH_DSP_L_X86Y52 NUM_SITES 0 TILEPROP BRKH_DSP_L_X86Y52 ROW 104 TILEPROP BRKH_DSP_L_X86Y52 SLR_REGION_ID 0 TILEPROP BRKH_DSP_L_X86Y52 TILE_PATTERN_IDX 1642 TILEPROP BRKH_DSP_L_X86Y52 TILE_TYPE BRKH_DSP_L TILEPROP BRKH_DSP_L_X86Y52 TILE_TYPE_INDEX 9 TILEPROP BRKH_DSP_L_X86Y52 TILE_X 56639 TILEPROP BRKH_DSP_L_X86Y52 TILE_Y -80124 TILEPROP BRKH_DSP_L_X86Y52 TYPE BRKH_DSP_L TILEPROP BRKH_DSP_L_X86Y104 CLASS tile TILEPROP BRKH_DSP_L_X86Y104 COLUMN 86 TILEPROP BRKH_DSP_L_X86Y104 DEVICE_ID 0 TILEPROP BRKH_DSP_L_X86Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_DSP_L_X86Y104 GRID_POINT_X 86 TILEPROP BRKH_DSP_L_X86Y104 GRID_POINT_Y 52 TILEPROP BRKH_DSP_L_X86Y104 INDEX 6066 TILEPROP BRKH_DSP_L_X86Y104 INT_TILE_X 33 TILEPROP BRKH_DSP_L_X86Y104 INT_TILE_Y 49 TILEPROP BRKH_DSP_L_X86Y104 IS_CENTER_TILE 0 TILEPROP BRKH_DSP_L_X86Y104 IS_DCM_TILE 0 TILEPROP BRKH_DSP_L_X86Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_DSP_L_X86Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_DSP_L_X86Y104 NAME BRKH_DSP_L_X86Y104 TILEPROP BRKH_DSP_L_X86Y104 NUM_ARCS 0 TILEPROP BRKH_DSP_L_X86Y104 NUM_SITES 0 TILEPROP BRKH_DSP_L_X86Y104 ROW 52 TILEPROP BRKH_DSP_L_X86Y104 SLR_REGION_ID 0 TILEPROP BRKH_DSP_L_X86Y104 TILE_PATTERN_IDX 1642 TILEPROP BRKH_DSP_L_X86Y104 TILE_TYPE BRKH_DSP_L TILEPROP BRKH_DSP_L_X86Y104 TILE_TYPE_INDEX 9 TILEPROP BRKH_DSP_L_X86Y104 TILE_X 56639 TILEPROP BRKH_DSP_L_X86Y104 TILE_Y 81148 TILEPROP BRKH_DSP_L_X86Y104 TYPE BRKH_DSP_L TILEPROP BRKH_DSP_R_X28Y52 CLASS tile TILEPROP BRKH_DSP_R_X28Y52 COLUMN 28 TILEPROP BRKH_DSP_R_X28Y52 DEVICE_ID 0 TILEPROP BRKH_DSP_R_X28Y52 FIRST_SITE_ID 10444 TILEPROP BRKH_DSP_R_X28Y52 GRID_POINT_X 28 TILEPROP BRKH_DSP_R_X28Y52 GRID_POINT_Y 104 TILEPROP BRKH_DSP_R_X28Y52 INDEX 11988 TILEPROP BRKH_DSP_R_X28Y52 INT_TILE_X 9 TILEPROP BRKH_DSP_R_X28Y52 INT_TILE_Y 99 TILEPROP BRKH_DSP_R_X28Y52 IS_CENTER_TILE 0 TILEPROP BRKH_DSP_R_X28Y52 IS_DCM_TILE 0 TILEPROP BRKH_DSP_R_X28Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_DSP_R_X28Y52 IS_GT_SITE_TILE 0 TILEPROP BRKH_DSP_R_X28Y52 NAME BRKH_DSP_R_X28Y52 TILEPROP BRKH_DSP_R_X28Y52 NUM_ARCS 0 TILEPROP BRKH_DSP_R_X28Y52 NUM_SITES 0 TILEPROP BRKH_DSP_R_X28Y52 ROW 104 TILEPROP BRKH_DSP_R_X28Y52 SLR_REGION_ID 0 TILEPROP BRKH_DSP_R_X28Y52 TILE_PATTERN_IDX 1639 TILEPROP BRKH_DSP_R_X28Y52 TILE_TYPE BRKH_DSP_R TILEPROP BRKH_DSP_R_X28Y52 TILE_TYPE_INDEX 10 TILEPROP BRKH_DSP_R_X28Y52 TILE_X -50623 TILEPROP BRKH_DSP_R_X28Y52 TILE_Y -80124 TILEPROP BRKH_DSP_R_X28Y52 TYPE BRKH_DSP_R TILEPROP BRKH_DSP_R_X28Y104 CLASS tile TILEPROP BRKH_DSP_R_X28Y104 COLUMN 28 TILEPROP BRKH_DSP_R_X28Y104 DEVICE_ID 0 TILEPROP BRKH_DSP_R_X28Y104 FIRST_SITE_ID 5088 TILEPROP BRKH_DSP_R_X28Y104 GRID_POINT_X 28 TILEPROP BRKH_DSP_R_X28Y104 GRID_POINT_Y 52 TILEPROP BRKH_DSP_R_X28Y104 INDEX 6008 TILEPROP BRKH_DSP_R_X28Y104 INT_TILE_X 9 TILEPROP BRKH_DSP_R_X28Y104 INT_TILE_Y 49 TILEPROP BRKH_DSP_R_X28Y104 IS_CENTER_TILE 0 TILEPROP BRKH_DSP_R_X28Y104 IS_DCM_TILE 0 TILEPROP BRKH_DSP_R_X28Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_DSP_R_X28Y104 IS_GT_SITE_TILE 0 TILEPROP BRKH_DSP_R_X28Y104 NAME BRKH_DSP_R_X28Y104 TILEPROP BRKH_DSP_R_X28Y104 NUM_ARCS 0 TILEPROP BRKH_DSP_R_X28Y104 NUM_SITES 0 TILEPROP BRKH_DSP_R_X28Y104 ROW 52 TILEPROP BRKH_DSP_R_X28Y104 SLR_REGION_ID 0 TILEPROP BRKH_DSP_R_X28Y104 TILE_PATTERN_IDX 1639 TILEPROP BRKH_DSP_R_X28Y104 TILE_TYPE BRKH_DSP_R TILEPROP BRKH_DSP_R_X28Y104 TILE_TYPE_INDEX 10 TILEPROP BRKH_DSP_R_X28Y104 TILE_X -50623 TILEPROP BRKH_DSP_R_X28Y104 TILE_Y 81148 TILEPROP BRKH_DSP_R_X28Y104 TYPE BRKH_DSP_R TILEPROP BRKH_GTX_X38Y99 CLASS tile TILEPROP BRKH_GTX_X38Y99 COLUMN 97 TILEPROP BRKH_GTX_X38Y99 DEVICE_ID 0 TILEPROP BRKH_GTX_X38Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_GTX_X38Y99 GRID_POINT_X 97 TILEPROP BRKH_GTX_X38Y99 GRID_POINT_Y 52 TILEPROP BRKH_GTX_X38Y99 INDEX 6077 TILEPROP BRKH_GTX_X38Y99 INT_TILE_X 37 TILEPROP BRKH_GTX_X38Y99 INT_TILE_Y 49 TILEPROP BRKH_GTX_X38Y99 IS_CENTER_TILE 0 TILEPROP BRKH_GTX_X38Y99 IS_DCM_TILE 0 TILEPROP BRKH_GTX_X38Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_GTX_X38Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_GTX_X38Y99 NAME BRKH_GTX_X38Y99 TILEPROP BRKH_GTX_X38Y99 NUM_ARCS 12 TILEPROP BRKH_GTX_X38Y99 NUM_SITES 0 TILEPROP BRKH_GTX_X38Y99 ROW 52 TILEPROP BRKH_GTX_X38Y99 SLR_REGION_ID 0 TILEPROP BRKH_GTX_X38Y99 TILE_PATTERN_IDX 1643 TILEPROP BRKH_GTX_X38Y99 TILE_TYPE BRKH_GTX TILEPROP BRKH_GTX_X38Y99 TILE_TYPE_INDEX 11 TILEPROP BRKH_GTX_X38Y99 TILE_X 77314 TILEPROP BRKH_GTX_X38Y99 TILE_Y 81148 TILEPROP BRKH_GTX_X38Y99 TYPE BRKH_GTX TILEPROP BRKH_INT_X0Y49 CLASS tile TILEPROP BRKH_INT_X0Y49 COLUMN 4 TILEPROP BRKH_INT_X0Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X0Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X0Y49 GRID_POINT_X 4 TILEPROP BRKH_INT_X0Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X0Y49 INDEX 11964 TILEPROP BRKH_INT_X0Y49 INT_TILE_X 0 TILEPROP BRKH_INT_X0Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X0Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X0Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X0Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X0Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X0Y49 NAME BRKH_INT_X0Y49 TILEPROP BRKH_INT_X0Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X0Y49 NUM_SITES 0 TILEPROP BRKH_INT_X0Y49 ROW 104 TILEPROP BRKH_INT_X0Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X0Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X0Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X0Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X0Y49 TILE_X -97166 TILEPROP BRKH_INT_X0Y49 TILE_Y -80124 TILEPROP BRKH_INT_X0Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X0Y99 CLASS tile TILEPROP BRKH_INT_X0Y99 COLUMN 4 TILEPROP BRKH_INT_X0Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X0Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X0Y99 GRID_POINT_X 4 TILEPROP BRKH_INT_X0Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X0Y99 INDEX 5984 TILEPROP BRKH_INT_X0Y99 INT_TILE_X 0 TILEPROP BRKH_INT_X0Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X0Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X0Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X0Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X0Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X0Y99 NAME BRKH_INT_X0Y99 TILEPROP BRKH_INT_X0Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X0Y99 NUM_SITES 0 TILEPROP BRKH_INT_X0Y99 ROW 52 TILEPROP BRKH_INT_X0Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X0Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X0Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X0Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X0Y99 TILE_X -97166 TILEPROP BRKH_INT_X0Y99 TILE_Y 81148 TILEPROP BRKH_INT_X0Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X10Y49 CLASS tile TILEPROP BRKH_INT_X10Y49 COLUMN 31 TILEPROP BRKH_INT_X10Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X10Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X10Y49 GRID_POINT_X 31 TILEPROP BRKH_INT_X10Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X10Y49 INDEX 11991 TILEPROP BRKH_INT_X10Y49 INT_TILE_X 10 TILEPROP BRKH_INT_X10Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X10Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X10Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X10Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X10Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X10Y49 NAME BRKH_INT_X10Y49 TILEPROP BRKH_INT_X10Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X10Y49 NUM_SITES 0 TILEPROP BRKH_INT_X10Y49 ROW 104 TILEPROP BRKH_INT_X10Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X10Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X10Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X10Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X10Y49 TILE_X -47592 TILEPROP BRKH_INT_X10Y49 TILE_Y -80124 TILEPROP BRKH_INT_X10Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X10Y99 CLASS tile TILEPROP BRKH_INT_X10Y99 COLUMN 31 TILEPROP BRKH_INT_X10Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X10Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X10Y99 GRID_POINT_X 31 TILEPROP BRKH_INT_X10Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X10Y99 INDEX 6011 TILEPROP BRKH_INT_X10Y99 INT_TILE_X 10 TILEPROP BRKH_INT_X10Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X10Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X10Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X10Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X10Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X10Y99 NAME BRKH_INT_X10Y99 TILEPROP BRKH_INT_X10Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X10Y99 NUM_SITES 0 TILEPROP BRKH_INT_X10Y99 ROW 52 TILEPROP BRKH_INT_X10Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X10Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X10Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X10Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X10Y99 TILE_X -47592 TILEPROP BRKH_INT_X10Y99 TILE_Y 81148 TILEPROP BRKH_INT_X10Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X11Y49 CLASS tile TILEPROP BRKH_INT_X11Y49 COLUMN 32 TILEPROP BRKH_INT_X11Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X11Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X11Y49 GRID_POINT_X 32 TILEPROP BRKH_INT_X11Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X11Y49 INDEX 11992 TILEPROP BRKH_INT_X11Y49 INT_TILE_X 11 TILEPROP BRKH_INT_X11Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X11Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X11Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X11Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X11Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X11Y49 NAME BRKH_INT_X11Y49 TILEPROP BRKH_INT_X11Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X11Y49 NUM_SITES 0 TILEPROP BRKH_INT_X11Y49 ROW 104 TILEPROP BRKH_INT_X11Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X11Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X11Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X11Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X11Y49 TILE_X -44136 TILEPROP BRKH_INT_X11Y49 TILE_Y -80124 TILEPROP BRKH_INT_X11Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X11Y99 CLASS tile TILEPROP BRKH_INT_X11Y99 COLUMN 32 TILEPROP BRKH_INT_X11Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X11Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X11Y99 GRID_POINT_X 32 TILEPROP BRKH_INT_X11Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X11Y99 INDEX 6012 TILEPROP BRKH_INT_X11Y99 INT_TILE_X 11 TILEPROP BRKH_INT_X11Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X11Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X11Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X11Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X11Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X11Y99 NAME BRKH_INT_X11Y99 TILEPROP BRKH_INT_X11Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X11Y99 NUM_SITES 0 TILEPROP BRKH_INT_X11Y99 ROW 52 TILEPROP BRKH_INT_X11Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X11Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X11Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X11Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X11Y99 TILE_X -44136 TILEPROP BRKH_INT_X11Y99 TILE_Y 81148 TILEPROP BRKH_INT_X11Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X18Y49 CLASS tile TILEPROP BRKH_INT_X18Y49 COLUMN 49 TILEPROP BRKH_INT_X18Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X18Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X18Y49 GRID_POINT_X 49 TILEPROP BRKH_INT_X18Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X18Y49 INDEX 12009 TILEPROP BRKH_INT_X18Y49 INT_TILE_X 18 TILEPROP BRKH_INT_X18Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X18Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X18Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X18Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X18Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X18Y49 NAME BRKH_INT_X18Y49 TILEPROP BRKH_INT_X18Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X18Y49 NUM_SITES 0 TILEPROP BRKH_INT_X18Y49 ROW 104 TILEPROP BRKH_INT_X18Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X18Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X18Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X18Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X18Y49 TILE_X -14880 TILEPROP BRKH_INT_X18Y49 TILE_Y -80124 TILEPROP BRKH_INT_X18Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X18Y99 CLASS tile TILEPROP BRKH_INT_X18Y99 COLUMN 49 TILEPROP BRKH_INT_X18Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X18Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X18Y99 GRID_POINT_X 49 TILEPROP BRKH_INT_X18Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X18Y99 INDEX 6029 TILEPROP BRKH_INT_X18Y99 INT_TILE_X 18 TILEPROP BRKH_INT_X18Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X18Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X18Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X18Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X18Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X18Y99 NAME BRKH_INT_X18Y99 TILEPROP BRKH_INT_X18Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X18Y99 NUM_SITES 0 TILEPROP BRKH_INT_X18Y99 ROW 52 TILEPROP BRKH_INT_X18Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X18Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X18Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X18Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X18Y99 TILE_X -14880 TILEPROP BRKH_INT_X18Y99 TILE_Y 81148 TILEPROP BRKH_INT_X18Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X19Y49 CLASS tile TILEPROP BRKH_INT_X19Y49 COLUMN 50 TILEPROP BRKH_INT_X19Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X19Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X19Y49 GRID_POINT_X 50 TILEPROP BRKH_INT_X19Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X19Y49 INDEX 12010 TILEPROP BRKH_INT_X19Y49 INT_TILE_X 19 TILEPROP BRKH_INT_X19Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X19Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X19Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X19Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X19Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X19Y49 NAME BRKH_INT_X19Y49 TILEPROP BRKH_INT_X19Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X19Y49 NUM_SITES 0 TILEPROP BRKH_INT_X19Y49 ROW 104 TILEPROP BRKH_INT_X19Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X19Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X19Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X19Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X19Y49 TILE_X -11424 TILEPROP BRKH_INT_X19Y49 TILE_Y -80124 TILEPROP BRKH_INT_X19Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X19Y99 CLASS tile TILEPROP BRKH_INT_X19Y99 COLUMN 50 TILEPROP BRKH_INT_X19Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X19Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X19Y99 GRID_POINT_X 50 TILEPROP BRKH_INT_X19Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X19Y99 INDEX 6030 TILEPROP BRKH_INT_X19Y99 INT_TILE_X 19 TILEPROP BRKH_INT_X19Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X19Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X19Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X19Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X19Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X19Y99 NAME BRKH_INT_X19Y99 TILEPROP BRKH_INT_X19Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X19Y99 NUM_SITES 0 TILEPROP BRKH_INT_X19Y99 ROW 52 TILEPROP BRKH_INT_X19Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X19Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X19Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X19Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X19Y99 TILE_X -11424 TILEPROP BRKH_INT_X19Y99 TILE_Y 81148 TILEPROP BRKH_INT_X19Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X1Y49 CLASS tile TILEPROP BRKH_INT_X1Y49 COLUMN 5 TILEPROP BRKH_INT_X1Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X1Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X1Y49 GRID_POINT_X 5 TILEPROP BRKH_INT_X1Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X1Y49 INDEX 11965 TILEPROP BRKH_INT_X1Y49 INT_TILE_X 1 TILEPROP BRKH_INT_X1Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X1Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X1Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X1Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X1Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X1Y49 NAME BRKH_INT_X1Y49 TILEPROP BRKH_INT_X1Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X1Y49 NUM_SITES 0 TILEPROP BRKH_INT_X1Y49 ROW 104 TILEPROP BRKH_INT_X1Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X1Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X1Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X1Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X1Y49 TILE_X -93710 TILEPROP BRKH_INT_X1Y49 TILE_Y -80124 TILEPROP BRKH_INT_X1Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X1Y99 CLASS tile TILEPROP BRKH_INT_X1Y99 COLUMN 5 TILEPROP BRKH_INT_X1Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X1Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X1Y99 GRID_POINT_X 5 TILEPROP BRKH_INT_X1Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X1Y99 INDEX 5985 TILEPROP BRKH_INT_X1Y99 INT_TILE_X 1 TILEPROP BRKH_INT_X1Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X1Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X1Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X1Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X1Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X1Y99 NAME BRKH_INT_X1Y99 TILEPROP BRKH_INT_X1Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X1Y99 NUM_SITES 0 TILEPROP BRKH_INT_X1Y99 ROW 52 TILEPROP BRKH_INT_X1Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X1Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X1Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X1Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X1Y99 TILE_X -93710 TILEPROP BRKH_INT_X1Y99 TILE_Y 81148 TILEPROP BRKH_INT_X1Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X20Y49 CLASS tile TILEPROP BRKH_INT_X20Y49 COLUMN 53 TILEPROP BRKH_INT_X20Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X20Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X20Y49 GRID_POINT_X 53 TILEPROP BRKH_INT_X20Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X20Y49 INDEX 12013 TILEPROP BRKH_INT_X20Y49 INT_TILE_X 20 TILEPROP BRKH_INT_X20Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X20Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X20Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X20Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X20Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X20Y49 NAME BRKH_INT_X20Y49 TILEPROP BRKH_INT_X20Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X20Y49 NUM_SITES 0 TILEPROP BRKH_INT_X20Y49 ROW 104 TILEPROP BRKH_INT_X20Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X20Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X20Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X20Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X20Y49 TILE_X -6576 TILEPROP BRKH_INT_X20Y49 TILE_Y -80124 TILEPROP BRKH_INT_X20Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X20Y99 CLASS tile TILEPROP BRKH_INT_X20Y99 COLUMN 53 TILEPROP BRKH_INT_X20Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X20Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X20Y99 GRID_POINT_X 53 TILEPROP BRKH_INT_X20Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X20Y99 INDEX 6033 TILEPROP BRKH_INT_X20Y99 INT_TILE_X 20 TILEPROP BRKH_INT_X20Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X20Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X20Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X20Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X20Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X20Y99 NAME BRKH_INT_X20Y99 TILEPROP BRKH_INT_X20Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X20Y99 NUM_SITES 0 TILEPROP BRKH_INT_X20Y99 ROW 52 TILEPROP BRKH_INT_X20Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X20Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X20Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X20Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X20Y99 TILE_X -6576 TILEPROP BRKH_INT_X20Y99 TILE_Y 81148 TILEPROP BRKH_INT_X20Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X21Y49 CLASS tile TILEPROP BRKH_INT_X21Y49 COLUMN 54 TILEPROP BRKH_INT_X21Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X21Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X21Y49 GRID_POINT_X 54 TILEPROP BRKH_INT_X21Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X21Y49 INDEX 12014 TILEPROP BRKH_INT_X21Y49 INT_TILE_X 21 TILEPROP BRKH_INT_X21Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X21Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X21Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X21Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X21Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X21Y49 NAME BRKH_INT_X21Y49 TILEPROP BRKH_INT_X21Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X21Y49 NUM_SITES 0 TILEPROP BRKH_INT_X21Y49 ROW 104 TILEPROP BRKH_INT_X21Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X21Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X21Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X21Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X21Y49 TILE_X -3120 TILEPROP BRKH_INT_X21Y49 TILE_Y -80124 TILEPROP BRKH_INT_X21Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X21Y99 CLASS tile TILEPROP BRKH_INT_X21Y99 COLUMN 54 TILEPROP BRKH_INT_X21Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X21Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X21Y99 GRID_POINT_X 54 TILEPROP BRKH_INT_X21Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X21Y99 INDEX 6034 TILEPROP BRKH_INT_X21Y99 INT_TILE_X 21 TILEPROP BRKH_INT_X21Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X21Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X21Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X21Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X21Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X21Y99 NAME BRKH_INT_X21Y99 TILEPROP BRKH_INT_X21Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X21Y99 NUM_SITES 0 TILEPROP BRKH_INT_X21Y99 ROW 52 TILEPROP BRKH_INT_X21Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X21Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X21Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X21Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X21Y99 TILE_X -3120 TILEPROP BRKH_INT_X21Y99 TILE_Y 81148 TILEPROP BRKH_INT_X21Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X22Y49 CLASS tile TILEPROP BRKH_INT_X22Y49 COLUMN 57 TILEPROP BRKH_INT_X22Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X22Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X22Y49 GRID_POINT_X 57 TILEPROP BRKH_INT_X22Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X22Y49 INDEX 12017 TILEPROP BRKH_INT_X22Y49 INT_TILE_X 22 TILEPROP BRKH_INT_X22Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X22Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X22Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X22Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X22Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X22Y49 NAME BRKH_INT_X22Y49 TILEPROP BRKH_INT_X22Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X22Y49 NUM_SITES 0 TILEPROP BRKH_INT_X22Y49 ROW 104 TILEPROP BRKH_INT_X22Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X22Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X22Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X22Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X22Y49 TILE_X 1728 TILEPROP BRKH_INT_X22Y49 TILE_Y -80124 TILEPROP BRKH_INT_X22Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X22Y99 CLASS tile TILEPROP BRKH_INT_X22Y99 COLUMN 57 TILEPROP BRKH_INT_X22Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X22Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X22Y99 GRID_POINT_X 57 TILEPROP BRKH_INT_X22Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X22Y99 INDEX 6037 TILEPROP BRKH_INT_X22Y99 INT_TILE_X 22 TILEPROP BRKH_INT_X22Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X22Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X22Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X22Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X22Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X22Y99 NAME BRKH_INT_X22Y99 TILEPROP BRKH_INT_X22Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X22Y99 NUM_SITES 0 TILEPROP BRKH_INT_X22Y99 ROW 52 TILEPROP BRKH_INT_X22Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X22Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X22Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X22Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X22Y99 TILE_X 1728 TILEPROP BRKH_INT_X22Y99 TILE_Y 81148 TILEPROP BRKH_INT_X22Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X23Y49 CLASS tile TILEPROP BRKH_INT_X23Y49 COLUMN 58 TILEPROP BRKH_INT_X23Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X23Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X23Y49 GRID_POINT_X 58 TILEPROP BRKH_INT_X23Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X23Y49 INDEX 12018 TILEPROP BRKH_INT_X23Y49 INT_TILE_X 23 TILEPROP BRKH_INT_X23Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X23Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X23Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X23Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X23Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X23Y49 NAME BRKH_INT_X23Y49 TILEPROP BRKH_INT_X23Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X23Y49 NUM_SITES 0 TILEPROP BRKH_INT_X23Y49 ROW 104 TILEPROP BRKH_INT_X23Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X23Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X23Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X23Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X23Y49 TILE_X 5184 TILEPROP BRKH_INT_X23Y49 TILE_Y -80124 TILEPROP BRKH_INT_X23Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X23Y99 CLASS tile TILEPROP BRKH_INT_X23Y99 COLUMN 58 TILEPROP BRKH_INT_X23Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X23Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X23Y99 GRID_POINT_X 58 TILEPROP BRKH_INT_X23Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X23Y99 INDEX 6038 TILEPROP BRKH_INT_X23Y99 INT_TILE_X 23 TILEPROP BRKH_INT_X23Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X23Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X23Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X23Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X23Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X23Y99 NAME BRKH_INT_X23Y99 TILEPROP BRKH_INT_X23Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X23Y99 NUM_SITES 0 TILEPROP BRKH_INT_X23Y99 ROW 52 TILEPROP BRKH_INT_X23Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X23Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X23Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X23Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X23Y99 TILE_X 5184 TILEPROP BRKH_INT_X23Y99 TILE_Y 81148 TILEPROP BRKH_INT_X23Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X24Y49 CLASS tile TILEPROP BRKH_INT_X24Y49 COLUMN 63 TILEPROP BRKH_INT_X24Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X24Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X24Y49 GRID_POINT_X 63 TILEPROP BRKH_INT_X24Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X24Y49 INDEX 12023 TILEPROP BRKH_INT_X24Y49 INT_TILE_X 24 TILEPROP BRKH_INT_X24Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X24Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X24Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X24Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X24Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X24Y49 NAME BRKH_INT_X24Y49 TILEPROP BRKH_INT_X24Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X24Y49 NUM_SITES 0 TILEPROP BRKH_INT_X24Y49 ROW 104 TILEPROP BRKH_INT_X24Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X24Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X24Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X24Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X24Y49 TILE_X 13064 TILEPROP BRKH_INT_X24Y49 TILE_Y -80124 TILEPROP BRKH_INT_X24Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X24Y99 CLASS tile TILEPROP BRKH_INT_X24Y99 COLUMN 63 TILEPROP BRKH_INT_X24Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X24Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X24Y99 GRID_POINT_X 63 TILEPROP BRKH_INT_X24Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X24Y99 INDEX 6043 TILEPROP BRKH_INT_X24Y99 INT_TILE_X 24 TILEPROP BRKH_INT_X24Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X24Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X24Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X24Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X24Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X24Y99 NAME BRKH_INT_X24Y99 TILEPROP BRKH_INT_X24Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X24Y99 NUM_SITES 0 TILEPROP BRKH_INT_X24Y99 ROW 52 TILEPROP BRKH_INT_X24Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X24Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X24Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X24Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X24Y99 TILE_X 13064 TILEPROP BRKH_INT_X24Y99 TILE_Y 81148 TILEPROP BRKH_INT_X24Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X25Y49 CLASS tile TILEPROP BRKH_INT_X25Y49 COLUMN 64 TILEPROP BRKH_INT_X25Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X25Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X25Y49 GRID_POINT_X 64 TILEPROP BRKH_INT_X25Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X25Y49 INDEX 12024 TILEPROP BRKH_INT_X25Y49 INT_TILE_X 25 TILEPROP BRKH_INT_X25Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X25Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X25Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X25Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X25Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X25Y49 NAME BRKH_INT_X25Y49 TILEPROP BRKH_INT_X25Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X25Y49 NUM_SITES 0 TILEPROP BRKH_INT_X25Y49 ROW 104 TILEPROP BRKH_INT_X25Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X25Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X25Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X25Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X25Y49 TILE_X 16520 TILEPROP BRKH_INT_X25Y49 TILE_Y -80124 TILEPROP BRKH_INT_X25Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X25Y99 CLASS tile TILEPROP BRKH_INT_X25Y99 COLUMN 64 TILEPROP BRKH_INT_X25Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X25Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X25Y99 GRID_POINT_X 64 TILEPROP BRKH_INT_X25Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X25Y99 INDEX 6044 TILEPROP BRKH_INT_X25Y99 INT_TILE_X 25 TILEPROP BRKH_INT_X25Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X25Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X25Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X25Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X25Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X25Y99 NAME BRKH_INT_X25Y99 TILEPROP BRKH_INT_X25Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X25Y99 NUM_SITES 0 TILEPROP BRKH_INT_X25Y99 ROW 52 TILEPROP BRKH_INT_X25Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X25Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X25Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X25Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X25Y99 TILE_X 16520 TILEPROP BRKH_INT_X25Y99 TILE_Y 81148 TILEPROP BRKH_INT_X25Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X26Y49 CLASS tile TILEPROP BRKH_INT_X26Y49 COLUMN 68 TILEPROP BRKH_INT_X26Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X26Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X26Y49 GRID_POINT_X 68 TILEPROP BRKH_INT_X26Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X26Y49 INDEX 12028 TILEPROP BRKH_INT_X26Y49 INT_TILE_X 26 TILEPROP BRKH_INT_X26Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X26Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X26Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X26Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X26Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X26Y49 NAME BRKH_INT_X26Y49 TILEPROP BRKH_INT_X26Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X26Y49 NUM_SITES 0 TILEPROP BRKH_INT_X26Y49 ROW 104 TILEPROP BRKH_INT_X26Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X26Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X26Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X26Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X26Y49 TILE_X 21432 TILEPROP BRKH_INT_X26Y49 TILE_Y -80124 TILEPROP BRKH_INT_X26Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X26Y99 CLASS tile TILEPROP BRKH_INT_X26Y99 COLUMN 68 TILEPROP BRKH_INT_X26Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X26Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X26Y99 GRID_POINT_X 68 TILEPROP BRKH_INT_X26Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X26Y99 INDEX 6048 TILEPROP BRKH_INT_X26Y99 INT_TILE_X 26 TILEPROP BRKH_INT_X26Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X26Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X26Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X26Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X26Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X26Y99 NAME BRKH_INT_X26Y99 TILEPROP BRKH_INT_X26Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X26Y99 NUM_SITES 0 TILEPROP BRKH_INT_X26Y99 ROW 52 TILEPROP BRKH_INT_X26Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X26Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X26Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X26Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X26Y99 TILE_X 21432 TILEPROP BRKH_INT_X26Y99 TILE_Y 81148 TILEPROP BRKH_INT_X26Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X27Y49 CLASS tile TILEPROP BRKH_INT_X27Y49 COLUMN 69 TILEPROP BRKH_INT_X27Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X27Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X27Y49 GRID_POINT_X 69 TILEPROP BRKH_INT_X27Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X27Y49 INDEX 12029 TILEPROP BRKH_INT_X27Y49 INT_TILE_X 27 TILEPROP BRKH_INT_X27Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X27Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X27Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X27Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X27Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X27Y49 NAME BRKH_INT_X27Y49 TILEPROP BRKH_INT_X27Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X27Y49 NUM_SITES 0 TILEPROP BRKH_INT_X27Y49 ROW 104 TILEPROP BRKH_INT_X27Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X27Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X27Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X27Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X27Y49 TILE_X 24888 TILEPROP BRKH_INT_X27Y49 TILE_Y -80124 TILEPROP BRKH_INT_X27Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X27Y99 CLASS tile TILEPROP BRKH_INT_X27Y99 COLUMN 69 TILEPROP BRKH_INT_X27Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X27Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X27Y99 GRID_POINT_X 69 TILEPROP BRKH_INT_X27Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X27Y99 INDEX 6049 TILEPROP BRKH_INT_X27Y99 INT_TILE_X 27 TILEPROP BRKH_INT_X27Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X27Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X27Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X27Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X27Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X27Y99 NAME BRKH_INT_X27Y99 TILEPROP BRKH_INT_X27Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X27Y99 NUM_SITES 0 TILEPROP BRKH_INT_X27Y99 ROW 52 TILEPROP BRKH_INT_X27Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X27Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X27Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X27Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X27Y99 TILE_X 24888 TILEPROP BRKH_INT_X27Y99 TILE_Y 81148 TILEPROP BRKH_INT_X27Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X28Y49 CLASS tile TILEPROP BRKH_INT_X28Y49 COLUMN 72 TILEPROP BRKH_INT_X28Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X28Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X28Y49 GRID_POINT_X 72 TILEPROP BRKH_INT_X28Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X28Y49 INDEX 12032 TILEPROP BRKH_INT_X28Y49 INT_TILE_X 28 TILEPROP BRKH_INT_X28Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X28Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X28Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X28Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X28Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X28Y49 NAME BRKH_INT_X28Y49 TILEPROP BRKH_INT_X28Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X28Y49 NUM_SITES 0 TILEPROP BRKH_INT_X28Y49 ROW 104 TILEPROP BRKH_INT_X28Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X28Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X28Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X28Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X28Y49 TILE_X 29736 TILEPROP BRKH_INT_X28Y49 TILE_Y -80124 TILEPROP BRKH_INT_X28Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X29Y49 CLASS tile TILEPROP BRKH_INT_X29Y49 COLUMN 73 TILEPROP BRKH_INT_X29Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X29Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X29Y49 GRID_POINT_X 73 TILEPROP BRKH_INT_X29Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X29Y49 INDEX 12033 TILEPROP BRKH_INT_X29Y49 INT_TILE_X 29 TILEPROP BRKH_INT_X29Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X29Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X29Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X29Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X29Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X29Y49 NAME BRKH_INT_X29Y49 TILEPROP BRKH_INT_X29Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X29Y49 NUM_SITES 0 TILEPROP BRKH_INT_X29Y49 ROW 104 TILEPROP BRKH_INT_X29Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X29Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X29Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X29Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X29Y49 TILE_X 33192 TILEPROP BRKH_INT_X29Y49 TILE_Y -80124 TILEPROP BRKH_INT_X29Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X2Y49 CLASS tile TILEPROP BRKH_INT_X2Y49 COLUMN 11 TILEPROP BRKH_INT_X2Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X2Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X2Y49 GRID_POINT_X 11 TILEPROP BRKH_INT_X2Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X2Y49 INDEX 11971 TILEPROP BRKH_INT_X2Y49 INT_TILE_X 2 TILEPROP BRKH_INT_X2Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X2Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X2Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X2Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X2Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X2Y49 NAME BRKH_INT_X2Y49 TILEPROP BRKH_INT_X2Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X2Y49 NUM_SITES 0 TILEPROP BRKH_INT_X2Y49 ROW 104 TILEPROP BRKH_INT_X2Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X2Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X2Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X2Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X2Y49 TILE_X -85134 TILEPROP BRKH_INT_X2Y49 TILE_Y -80124 TILEPROP BRKH_INT_X2Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X2Y99 CLASS tile TILEPROP BRKH_INT_X2Y99 COLUMN 11 TILEPROP BRKH_INT_X2Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X2Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X2Y99 GRID_POINT_X 11 TILEPROP BRKH_INT_X2Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X2Y99 INDEX 5991 TILEPROP BRKH_INT_X2Y99 INT_TILE_X 2 TILEPROP BRKH_INT_X2Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X2Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X2Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X2Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X2Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X2Y99 NAME BRKH_INT_X2Y99 TILEPROP BRKH_INT_X2Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X2Y99 NUM_SITES 0 TILEPROP BRKH_INT_X2Y99 ROW 52 TILEPROP BRKH_INT_X2Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X2Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X2Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X2Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X2Y99 TILE_X -85134 TILEPROP BRKH_INT_X2Y99 TILE_Y 81148 TILEPROP BRKH_INT_X2Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X30Y49 CLASS tile TILEPROP BRKH_INT_X30Y49 COLUMN 77 TILEPROP BRKH_INT_X30Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X30Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X30Y49 GRID_POINT_X 77 TILEPROP BRKH_INT_X30Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X30Y49 INDEX 12037 TILEPROP BRKH_INT_X30Y49 INT_TILE_X 30 TILEPROP BRKH_INT_X30Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X30Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X30Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X30Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X30Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X30Y49 NAME BRKH_INT_X30Y49 TILEPROP BRKH_INT_X30Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X30Y49 NUM_SITES 0 TILEPROP BRKH_INT_X30Y49 ROW 104 TILEPROP BRKH_INT_X30Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X30Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X30Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X30Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X30Y49 TILE_X 41784 TILEPROP BRKH_INT_X30Y49 TILE_Y -80124 TILEPROP BRKH_INT_X30Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X30Y99 CLASS tile TILEPROP BRKH_INT_X30Y99 COLUMN 77 TILEPROP BRKH_INT_X30Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X30Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X30Y99 GRID_POINT_X 77 TILEPROP BRKH_INT_X30Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X30Y99 INDEX 6057 TILEPROP BRKH_INT_X30Y99 INT_TILE_X 30 TILEPROP BRKH_INT_X30Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X30Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X30Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X30Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X30Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X30Y99 NAME BRKH_INT_X30Y99 TILEPROP BRKH_INT_X30Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X30Y99 NUM_SITES 0 TILEPROP BRKH_INT_X30Y99 ROW 52 TILEPROP BRKH_INT_X30Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X30Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X30Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X30Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X30Y99 TILE_X 41784 TILEPROP BRKH_INT_X30Y99 TILE_Y 81148 TILEPROP BRKH_INT_X30Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X31Y49 CLASS tile TILEPROP BRKH_INT_X31Y49 COLUMN 78 TILEPROP BRKH_INT_X31Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X31Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X31Y49 GRID_POINT_X 78 TILEPROP BRKH_INT_X31Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X31Y49 INDEX 12038 TILEPROP BRKH_INT_X31Y49 INT_TILE_X 31 TILEPROP BRKH_INT_X31Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X31Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X31Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X31Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X31Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X31Y49 NAME BRKH_INT_X31Y49 TILEPROP BRKH_INT_X31Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X31Y49 NUM_SITES 0 TILEPROP BRKH_INT_X31Y49 ROW 104 TILEPROP BRKH_INT_X31Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X31Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X31Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X31Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X31Y49 TILE_X 45240 TILEPROP BRKH_INT_X31Y49 TILE_Y -80124 TILEPROP BRKH_INT_X31Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X31Y99 CLASS tile TILEPROP BRKH_INT_X31Y99 COLUMN 78 TILEPROP BRKH_INT_X31Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X31Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X31Y99 GRID_POINT_X 78 TILEPROP BRKH_INT_X31Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X31Y99 INDEX 6058 TILEPROP BRKH_INT_X31Y99 INT_TILE_X 31 TILEPROP BRKH_INT_X31Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X31Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X31Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X31Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X31Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X31Y99 NAME BRKH_INT_X31Y99 TILEPROP BRKH_INT_X31Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X31Y99 NUM_SITES 0 TILEPROP BRKH_INT_X31Y99 ROW 52 TILEPROP BRKH_INT_X31Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X31Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X31Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X31Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X31Y99 TILE_X 45240 TILEPROP BRKH_INT_X31Y99 TILE_Y 81148 TILEPROP BRKH_INT_X31Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X32Y49 CLASS tile TILEPROP BRKH_INT_X32Y49 COLUMN 82 TILEPROP BRKH_INT_X32Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X32Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X32Y49 GRID_POINT_X 82 TILEPROP BRKH_INT_X32Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X32Y49 INDEX 12042 TILEPROP BRKH_INT_X32Y49 INT_TILE_X 32 TILEPROP BRKH_INT_X32Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X32Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X32Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X32Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X32Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X32Y49 NAME BRKH_INT_X32Y49 TILEPROP BRKH_INT_X32Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X32Y49 NUM_SITES 0 TILEPROP BRKH_INT_X32Y49 ROW 104 TILEPROP BRKH_INT_X32Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X32Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X32Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X32Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X32Y49 TILE_X 50152 TILEPROP BRKH_INT_X32Y49 TILE_Y -80124 TILEPROP BRKH_INT_X32Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X32Y99 CLASS tile TILEPROP BRKH_INT_X32Y99 COLUMN 82 TILEPROP BRKH_INT_X32Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X32Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X32Y99 GRID_POINT_X 82 TILEPROP BRKH_INT_X32Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X32Y99 INDEX 6062 TILEPROP BRKH_INT_X32Y99 INT_TILE_X 32 TILEPROP BRKH_INT_X32Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X32Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X32Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X32Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X32Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X32Y99 NAME BRKH_INT_X32Y99 TILEPROP BRKH_INT_X32Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X32Y99 NUM_SITES 0 TILEPROP BRKH_INT_X32Y99 ROW 52 TILEPROP BRKH_INT_X32Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X32Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X32Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X32Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X32Y99 TILE_X 50152 TILEPROP BRKH_INT_X32Y99 TILE_Y 81148 TILEPROP BRKH_INT_X32Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X33Y49 CLASS tile TILEPROP BRKH_INT_X33Y49 COLUMN 83 TILEPROP BRKH_INT_X33Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X33Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X33Y49 GRID_POINT_X 83 TILEPROP BRKH_INT_X33Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X33Y49 INDEX 12043 TILEPROP BRKH_INT_X33Y49 INT_TILE_X 33 TILEPROP BRKH_INT_X33Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X33Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X33Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X33Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X33Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X33Y49 NAME BRKH_INT_X33Y49 TILEPROP BRKH_INT_X33Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X33Y49 NUM_SITES 0 TILEPROP BRKH_INT_X33Y49 ROW 104 TILEPROP BRKH_INT_X33Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X33Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X33Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X33Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X33Y49 TILE_X 53608 TILEPROP BRKH_INT_X33Y49 TILE_Y -80124 TILEPROP BRKH_INT_X33Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X33Y99 CLASS tile TILEPROP BRKH_INT_X33Y99 COLUMN 83 TILEPROP BRKH_INT_X33Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X33Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X33Y99 GRID_POINT_X 83 TILEPROP BRKH_INT_X33Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X33Y99 INDEX 6063 TILEPROP BRKH_INT_X33Y99 INT_TILE_X 33 TILEPROP BRKH_INT_X33Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X33Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X33Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X33Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X33Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X33Y99 NAME BRKH_INT_X33Y99 TILEPROP BRKH_INT_X33Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X33Y99 NUM_SITES 0 TILEPROP BRKH_INT_X33Y99 ROW 52 TILEPROP BRKH_INT_X33Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X33Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X33Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X33Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X33Y99 TILE_X 53608 TILEPROP BRKH_INT_X33Y99 TILE_Y 81148 TILEPROP BRKH_INT_X33Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X34Y49 CLASS tile TILEPROP BRKH_INT_X34Y49 COLUMN 88 TILEPROP BRKH_INT_X34Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X34Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X34Y49 GRID_POINT_X 88 TILEPROP BRKH_INT_X34Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X34Y49 INDEX 12048 TILEPROP BRKH_INT_X34Y49 INT_TILE_X 34 TILEPROP BRKH_INT_X34Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X34Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X34Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X34Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X34Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X34Y49 NAME BRKH_INT_X34Y49 TILEPROP BRKH_INT_X34Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X34Y49 NUM_SITES 0 TILEPROP BRKH_INT_X34Y49 ROW 104 TILEPROP BRKH_INT_X34Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X34Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X34Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X34Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X34Y49 TILE_X 58974 TILEPROP BRKH_INT_X34Y49 TILE_Y -80124 TILEPROP BRKH_INT_X34Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X34Y99 CLASS tile TILEPROP BRKH_INT_X34Y99 COLUMN 88 TILEPROP BRKH_INT_X34Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X34Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X34Y99 GRID_POINT_X 88 TILEPROP BRKH_INT_X34Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X34Y99 INDEX 6068 TILEPROP BRKH_INT_X34Y99 INT_TILE_X 34 TILEPROP BRKH_INT_X34Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X34Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X34Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X34Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X34Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X34Y99 NAME BRKH_INT_X34Y99 TILEPROP BRKH_INT_X34Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X34Y99 NUM_SITES 0 TILEPROP BRKH_INT_X34Y99 ROW 52 TILEPROP BRKH_INT_X34Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X34Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X34Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X34Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X34Y99 TILE_X 58974 TILEPROP BRKH_INT_X34Y99 TILE_Y 81148 TILEPROP BRKH_INT_X34Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X35Y49 CLASS tile TILEPROP BRKH_INT_X35Y49 COLUMN 89 TILEPROP BRKH_INT_X35Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X35Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X35Y49 GRID_POINT_X 89 TILEPROP BRKH_INT_X35Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X35Y49 INDEX 12049 TILEPROP BRKH_INT_X35Y49 INT_TILE_X 35 TILEPROP BRKH_INT_X35Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X35Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X35Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X35Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X35Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X35Y49 NAME BRKH_INT_X35Y49 TILEPROP BRKH_INT_X35Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X35Y49 NUM_SITES 0 TILEPROP BRKH_INT_X35Y49 ROW 104 TILEPROP BRKH_INT_X35Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X35Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X35Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X35Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X35Y49 TILE_X 62430 TILEPROP BRKH_INT_X35Y49 TILE_Y -80124 TILEPROP BRKH_INT_X35Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X35Y99 CLASS tile TILEPROP BRKH_INT_X35Y99 COLUMN 89 TILEPROP BRKH_INT_X35Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X35Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X35Y99 GRID_POINT_X 89 TILEPROP BRKH_INT_X35Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X35Y99 INDEX 6069 TILEPROP BRKH_INT_X35Y99 INT_TILE_X 35 TILEPROP BRKH_INT_X35Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X35Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X35Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X35Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X35Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X35Y99 NAME BRKH_INT_X35Y99 TILEPROP BRKH_INT_X35Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X35Y99 NUM_SITES 0 TILEPROP BRKH_INT_X35Y99 ROW 52 TILEPROP BRKH_INT_X35Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X35Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X35Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X35Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X35Y99 TILE_X 62430 TILEPROP BRKH_INT_X35Y99 TILE_Y 81148 TILEPROP BRKH_INT_X35Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X36Y49 CLASS tile TILEPROP BRKH_INT_X36Y49 COLUMN 92 TILEPROP BRKH_INT_X36Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X36Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X36Y49 GRID_POINT_X 92 TILEPROP BRKH_INT_X36Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X36Y49 INDEX 12052 TILEPROP BRKH_INT_X36Y49 INT_TILE_X 36 TILEPROP BRKH_INT_X36Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X36Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X36Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X36Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X36Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X36Y49 NAME BRKH_INT_X36Y49 TILEPROP BRKH_INT_X36Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X36Y49 NUM_SITES 0 TILEPROP BRKH_INT_X36Y49 ROW 104 TILEPROP BRKH_INT_X36Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X36Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X36Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X36Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X36Y49 TILE_X 67278 TILEPROP BRKH_INT_X36Y49 TILE_Y -80124 TILEPROP BRKH_INT_X36Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X36Y99 CLASS tile TILEPROP BRKH_INT_X36Y99 COLUMN 92 TILEPROP BRKH_INT_X36Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X36Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X36Y99 GRID_POINT_X 92 TILEPROP BRKH_INT_X36Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X36Y99 INDEX 6072 TILEPROP BRKH_INT_X36Y99 INT_TILE_X 36 TILEPROP BRKH_INT_X36Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X36Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X36Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X36Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X36Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X36Y99 NAME BRKH_INT_X36Y99 TILEPROP BRKH_INT_X36Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X36Y99 NUM_SITES 0 TILEPROP BRKH_INT_X36Y99 ROW 52 TILEPROP BRKH_INT_X36Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X36Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X36Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X36Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X36Y99 TILE_X 67278 TILEPROP BRKH_INT_X36Y99 TILE_Y 81148 TILEPROP BRKH_INT_X36Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X37Y49 CLASS tile TILEPROP BRKH_INT_X37Y49 COLUMN 93 TILEPROP BRKH_INT_X37Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X37Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X37Y49 GRID_POINT_X 93 TILEPROP BRKH_INT_X37Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X37Y49 INDEX 12053 TILEPROP BRKH_INT_X37Y49 INT_TILE_X 37 TILEPROP BRKH_INT_X37Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X37Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X37Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X37Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X37Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X37Y49 NAME BRKH_INT_X37Y49 TILEPROP BRKH_INT_X37Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X37Y49 NUM_SITES 0 TILEPROP BRKH_INT_X37Y49 ROW 104 TILEPROP BRKH_INT_X37Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X37Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X37Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X37Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X37Y49 TILE_X 70734 TILEPROP BRKH_INT_X37Y49 TILE_Y -80124 TILEPROP BRKH_INT_X37Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X37Y99 CLASS tile TILEPROP BRKH_INT_X37Y99 COLUMN 93 TILEPROP BRKH_INT_X37Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X37Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X37Y99 GRID_POINT_X 93 TILEPROP BRKH_INT_X37Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X37Y99 INDEX 6073 TILEPROP BRKH_INT_X37Y99 INT_TILE_X 37 TILEPROP BRKH_INT_X37Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X37Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X37Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X37Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X37Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X37Y99 NAME BRKH_INT_X37Y99 TILEPROP BRKH_INT_X37Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X37Y99 NUM_SITES 0 TILEPROP BRKH_INT_X37Y99 ROW 52 TILEPROP BRKH_INT_X37Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X37Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X37Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X37Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X37Y99 TILE_X 70734 TILEPROP BRKH_INT_X37Y99 TILE_Y 81148 TILEPROP BRKH_INT_X37Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X38Y49 CLASS tile TILEPROP BRKH_INT_X38Y49 COLUMN 98 TILEPROP BRKH_INT_X38Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X38Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X38Y49 GRID_POINT_X 98 TILEPROP BRKH_INT_X38Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X38Y49 INDEX 12058 TILEPROP BRKH_INT_X38Y49 INT_TILE_X 38 TILEPROP BRKH_INT_X38Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X38Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X38Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X38Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X38Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X38Y49 NAME BRKH_INT_X38Y49 TILEPROP BRKH_INT_X38Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X38Y49 NUM_SITES 0 TILEPROP BRKH_INT_X38Y49 ROW 104 TILEPROP BRKH_INT_X38Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X38Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X38Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X38Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X38Y49 TILE_X 79390 TILEPROP BRKH_INT_X38Y49 TILE_Y -80124 TILEPROP BRKH_INT_X38Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X39Y49 CLASS tile TILEPROP BRKH_INT_X39Y49 COLUMN 99 TILEPROP BRKH_INT_X39Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X39Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X39Y49 GRID_POINT_X 99 TILEPROP BRKH_INT_X39Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X39Y49 INDEX 12059 TILEPROP BRKH_INT_X39Y49 INT_TILE_X 39 TILEPROP BRKH_INT_X39Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X39Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X39Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X39Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X39Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X39Y49 NAME BRKH_INT_X39Y49 TILEPROP BRKH_INT_X39Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X39Y49 NUM_SITES 0 TILEPROP BRKH_INT_X39Y49 ROW 104 TILEPROP BRKH_INT_X39Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X39Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X39Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X39Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X39Y49 TILE_X 82846 TILEPROP BRKH_INT_X39Y49 TILE_Y -80124 TILEPROP BRKH_INT_X39Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X3Y49 CLASS tile TILEPROP BRKH_INT_X3Y49 COLUMN 12 TILEPROP BRKH_INT_X3Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X3Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X3Y49 GRID_POINT_X 12 TILEPROP BRKH_INT_X3Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X3Y49 INDEX 11972 TILEPROP BRKH_INT_X3Y49 INT_TILE_X 3 TILEPROP BRKH_INT_X3Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X3Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X3Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X3Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X3Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X3Y49 NAME BRKH_INT_X3Y49 TILEPROP BRKH_INT_X3Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X3Y49 NUM_SITES 0 TILEPROP BRKH_INT_X3Y49 ROW 104 TILEPROP BRKH_INT_X3Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X3Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X3Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X3Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X3Y49 TILE_X -81678 TILEPROP BRKH_INT_X3Y49 TILE_Y -80124 TILEPROP BRKH_INT_X3Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X3Y99 CLASS tile TILEPROP BRKH_INT_X3Y99 COLUMN 12 TILEPROP BRKH_INT_X3Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X3Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X3Y99 GRID_POINT_X 12 TILEPROP BRKH_INT_X3Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X3Y99 INDEX 5992 TILEPROP BRKH_INT_X3Y99 INT_TILE_X 3 TILEPROP BRKH_INT_X3Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X3Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X3Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X3Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X3Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X3Y99 NAME BRKH_INT_X3Y99 TILEPROP BRKH_INT_X3Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X3Y99 NUM_SITES 0 TILEPROP BRKH_INT_X3Y99 ROW 52 TILEPROP BRKH_INT_X3Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X3Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X3Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X3Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X3Y99 TILE_X -81678 TILEPROP BRKH_INT_X3Y99 TILE_Y 81148 TILEPROP BRKH_INT_X3Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X40Y49 CLASS tile TILEPROP BRKH_INT_X40Y49 COLUMN 102 TILEPROP BRKH_INT_X40Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X40Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X40Y49 GRID_POINT_X 102 TILEPROP BRKH_INT_X40Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X40Y49 INDEX 12062 TILEPROP BRKH_INT_X40Y49 INT_TILE_X 40 TILEPROP BRKH_INT_X40Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X40Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X40Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X40Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X40Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X40Y49 NAME BRKH_INT_X40Y49 TILEPROP BRKH_INT_X40Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X40Y49 NUM_SITES 0 TILEPROP BRKH_INT_X40Y49 ROW 104 TILEPROP BRKH_INT_X40Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X40Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X40Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X40Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X40Y49 TILE_X 87694 TILEPROP BRKH_INT_X40Y49 TILE_Y -80124 TILEPROP BRKH_INT_X40Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X41Y49 CLASS tile TILEPROP BRKH_INT_X41Y49 COLUMN 103 TILEPROP BRKH_INT_X41Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X41Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X41Y49 GRID_POINT_X 103 TILEPROP BRKH_INT_X41Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X41Y49 INDEX 12063 TILEPROP BRKH_INT_X41Y49 INT_TILE_X 41 TILEPROP BRKH_INT_X41Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X41Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X41Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X41Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X41Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X41Y49 NAME BRKH_INT_X41Y49 TILEPROP BRKH_INT_X41Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X41Y49 NUM_SITES 0 TILEPROP BRKH_INT_X41Y49 ROW 104 TILEPROP BRKH_INT_X41Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X41Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X41Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X41Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X41Y49 TILE_X 91150 TILEPROP BRKH_INT_X41Y49 TILE_Y -80124 TILEPROP BRKH_INT_X41Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X42Y49 CLASS tile TILEPROP BRKH_INT_X42Y49 COLUMN 109 TILEPROP BRKH_INT_X42Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X42Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X42Y49 GRID_POINT_X 109 TILEPROP BRKH_INT_X42Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X42Y49 INDEX 12069 TILEPROP BRKH_INT_X42Y49 INT_TILE_X 42 TILEPROP BRKH_INT_X42Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X42Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X42Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X42Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X42Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X42Y49 NAME BRKH_INT_X42Y49 TILEPROP BRKH_INT_X42Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X42Y49 NUM_SITES 0 TILEPROP BRKH_INT_X42Y49 ROW 104 TILEPROP BRKH_INT_X42Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X42Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X42Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X42Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X42Y49 TILE_X 99726 TILEPROP BRKH_INT_X42Y49 TILE_Y -80124 TILEPROP BRKH_INT_X42Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X43Y49 CLASS tile TILEPROP BRKH_INT_X43Y49 COLUMN 110 TILEPROP BRKH_INT_X43Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X43Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X43Y49 GRID_POINT_X 110 TILEPROP BRKH_INT_X43Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X43Y49 INDEX 12070 TILEPROP BRKH_INT_X43Y49 INT_TILE_X 43 TILEPROP BRKH_INT_X43Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X43Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X43Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X43Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X43Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X43Y49 NAME BRKH_INT_X43Y49 TILEPROP BRKH_INT_X43Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X43Y49 NUM_SITES 0 TILEPROP BRKH_INT_X43Y49 ROW 104 TILEPROP BRKH_INT_X43Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X43Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X43Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X43Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X43Y49 TILE_X 103182 TILEPROP BRKH_INT_X43Y49 TILE_Y -80124 TILEPROP BRKH_INT_X43Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X4Y49 CLASS tile TILEPROP BRKH_INT_X4Y49 COLUMN 15 TILEPROP BRKH_INT_X4Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X4Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X4Y49 GRID_POINT_X 15 TILEPROP BRKH_INT_X4Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X4Y49 INDEX 11975 TILEPROP BRKH_INT_X4Y49 INT_TILE_X 4 TILEPROP BRKH_INT_X4Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X4Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X4Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X4Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X4Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X4Y49 NAME BRKH_INT_X4Y49 TILEPROP BRKH_INT_X4Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X4Y49 NUM_SITES 0 TILEPROP BRKH_INT_X4Y49 ROW 104 TILEPROP BRKH_INT_X4Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X4Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X4Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X4Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X4Y49 TILE_X -76830 TILEPROP BRKH_INT_X4Y49 TILE_Y -80124 TILEPROP BRKH_INT_X4Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X4Y99 CLASS tile TILEPROP BRKH_INT_X4Y99 COLUMN 15 TILEPROP BRKH_INT_X4Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X4Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X4Y99 GRID_POINT_X 15 TILEPROP BRKH_INT_X4Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X4Y99 INDEX 5995 TILEPROP BRKH_INT_X4Y99 INT_TILE_X 4 TILEPROP BRKH_INT_X4Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X4Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X4Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X4Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X4Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X4Y99 NAME BRKH_INT_X4Y99 TILEPROP BRKH_INT_X4Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X4Y99 NUM_SITES 0 TILEPROP BRKH_INT_X4Y99 ROW 52 TILEPROP BRKH_INT_X4Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X4Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X4Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X4Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X4Y99 TILE_X -76830 TILEPROP BRKH_INT_X4Y99 TILE_Y 81148 TILEPROP BRKH_INT_X4Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X5Y49 CLASS tile TILEPROP BRKH_INT_X5Y49 COLUMN 16 TILEPROP BRKH_INT_X5Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X5Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X5Y49 GRID_POINT_X 16 TILEPROP BRKH_INT_X5Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X5Y49 INDEX 11976 TILEPROP BRKH_INT_X5Y49 INT_TILE_X 5 TILEPROP BRKH_INT_X5Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X5Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X5Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X5Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X5Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X5Y49 NAME BRKH_INT_X5Y49 TILEPROP BRKH_INT_X5Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X5Y49 NUM_SITES 0 TILEPROP BRKH_INT_X5Y49 ROW 104 TILEPROP BRKH_INT_X5Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X5Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X5Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X5Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X5Y49 TILE_X -73374 TILEPROP BRKH_INT_X5Y49 TILE_Y -80124 TILEPROP BRKH_INT_X5Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X5Y99 CLASS tile TILEPROP BRKH_INT_X5Y99 COLUMN 16 TILEPROP BRKH_INT_X5Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X5Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X5Y99 GRID_POINT_X 16 TILEPROP BRKH_INT_X5Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X5Y99 INDEX 5996 TILEPROP BRKH_INT_X5Y99 INT_TILE_X 5 TILEPROP BRKH_INT_X5Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X5Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X5Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X5Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X5Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X5Y99 NAME BRKH_INT_X5Y99 TILEPROP BRKH_INT_X5Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X5Y99 NUM_SITES 0 TILEPROP BRKH_INT_X5Y99 ROW 52 TILEPROP BRKH_INT_X5Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X5Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X5Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X5Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X5Y99 TILE_X -73374 TILEPROP BRKH_INT_X5Y99 TILE_Y 81148 TILEPROP BRKH_INT_X5Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X6Y49 CLASS tile TILEPROP BRKH_INT_X6Y49 COLUMN 21 TILEPROP BRKH_INT_X6Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X6Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X6Y49 GRID_POINT_X 21 TILEPROP BRKH_INT_X6Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X6Y49 INDEX 11981 TILEPROP BRKH_INT_X6Y49 INT_TILE_X 6 TILEPROP BRKH_INT_X6Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X6Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X6Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X6Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X6Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X6Y49 NAME BRKH_INT_X6Y49 TILEPROP BRKH_INT_X6Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X6Y49 NUM_SITES 0 TILEPROP BRKH_INT_X6Y49 ROW 104 TILEPROP BRKH_INT_X6Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X6Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X6Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X6Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X6Y49 TILE_X -64718 TILEPROP BRKH_INT_X6Y49 TILE_Y -80124 TILEPROP BRKH_INT_X6Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X6Y99 CLASS tile TILEPROP BRKH_INT_X6Y99 COLUMN 21 TILEPROP BRKH_INT_X6Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X6Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X6Y99 GRID_POINT_X 21 TILEPROP BRKH_INT_X6Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X6Y99 INDEX 6001 TILEPROP BRKH_INT_X6Y99 INT_TILE_X 6 TILEPROP BRKH_INT_X6Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X6Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X6Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X6Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X6Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X6Y99 NAME BRKH_INT_X6Y99 TILEPROP BRKH_INT_X6Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X6Y99 NUM_SITES 0 TILEPROP BRKH_INT_X6Y99 ROW 52 TILEPROP BRKH_INT_X6Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X6Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X6Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X6Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X6Y99 TILE_X -64718 TILEPROP BRKH_INT_X6Y99 TILE_Y 81148 TILEPROP BRKH_INT_X6Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X7Y49 CLASS tile TILEPROP BRKH_INT_X7Y49 COLUMN 22 TILEPROP BRKH_INT_X7Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X7Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X7Y49 GRID_POINT_X 22 TILEPROP BRKH_INT_X7Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X7Y49 INDEX 11982 TILEPROP BRKH_INT_X7Y49 INT_TILE_X 7 TILEPROP BRKH_INT_X7Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X7Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X7Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X7Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X7Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X7Y49 NAME BRKH_INT_X7Y49 TILEPROP BRKH_INT_X7Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X7Y49 NUM_SITES 0 TILEPROP BRKH_INT_X7Y49 ROW 104 TILEPROP BRKH_INT_X7Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X7Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X7Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X7Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X7Y49 TILE_X -61262 TILEPROP BRKH_INT_X7Y49 TILE_Y -80124 TILEPROP BRKH_INT_X7Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X7Y99 CLASS tile TILEPROP BRKH_INT_X7Y99 COLUMN 22 TILEPROP BRKH_INT_X7Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X7Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X7Y99 GRID_POINT_X 22 TILEPROP BRKH_INT_X7Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X7Y99 INDEX 6002 TILEPROP BRKH_INT_X7Y99 INT_TILE_X 7 TILEPROP BRKH_INT_X7Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X7Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X7Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X7Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X7Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X7Y99 NAME BRKH_INT_X7Y99 TILEPROP BRKH_INT_X7Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X7Y99 NUM_SITES 0 TILEPROP BRKH_INT_X7Y99 ROW 52 TILEPROP BRKH_INT_X7Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X7Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X7Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X7Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X7Y99 TILE_X -61262 TILEPROP BRKH_INT_X7Y99 TILE_Y 81148 TILEPROP BRKH_INT_X7Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X8Y49 CLASS tile TILEPROP BRKH_INT_X8Y49 COLUMN 25 TILEPROP BRKH_INT_X8Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X8Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X8Y49 GRID_POINT_X 25 TILEPROP BRKH_INT_X8Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X8Y49 INDEX 11985 TILEPROP BRKH_INT_X8Y49 INT_TILE_X 8 TILEPROP BRKH_INT_X8Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X8Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X8Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X8Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X8Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X8Y49 NAME BRKH_INT_X8Y49 TILEPROP BRKH_INT_X8Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X8Y49 NUM_SITES 0 TILEPROP BRKH_INT_X8Y49 ROW 104 TILEPROP BRKH_INT_X8Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X8Y49 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X8Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X8Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X8Y49 TILE_X -56414 TILEPROP BRKH_INT_X8Y49 TILE_Y -80124 TILEPROP BRKH_INT_X8Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X8Y99 CLASS tile TILEPROP BRKH_INT_X8Y99 COLUMN 25 TILEPROP BRKH_INT_X8Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X8Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X8Y99 GRID_POINT_X 25 TILEPROP BRKH_INT_X8Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X8Y99 INDEX 6005 TILEPROP BRKH_INT_X8Y99 INT_TILE_X 8 TILEPROP BRKH_INT_X8Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X8Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X8Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X8Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X8Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X8Y99 NAME BRKH_INT_X8Y99 TILEPROP BRKH_INT_X8Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X8Y99 NUM_SITES 0 TILEPROP BRKH_INT_X8Y99 ROW 52 TILEPROP BRKH_INT_X8Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X8Y99 TILE_PATTERN_IDX 1634 TILEPROP BRKH_INT_X8Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X8Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X8Y99 TILE_X -56414 TILEPROP BRKH_INT_X8Y99 TILE_Y 81148 TILEPROP BRKH_INT_X8Y99 TYPE BRKH_INT TILEPROP BRKH_INT_X9Y49 CLASS tile TILEPROP BRKH_INT_X9Y49 COLUMN 26 TILEPROP BRKH_INT_X9Y49 DEVICE_ID 0 TILEPROP BRKH_INT_X9Y49 FIRST_SITE_ID 10444 TILEPROP BRKH_INT_X9Y49 GRID_POINT_X 26 TILEPROP BRKH_INT_X9Y49 GRID_POINT_Y 104 TILEPROP BRKH_INT_X9Y49 INDEX 11986 TILEPROP BRKH_INT_X9Y49 INT_TILE_X 9 TILEPROP BRKH_INT_X9Y49 INT_TILE_Y 99 TILEPROP BRKH_INT_X9Y49 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X9Y49 IS_DCM_TILE 0 TILEPROP BRKH_INT_X9Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X9Y49 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X9Y49 NAME BRKH_INT_X9Y49 TILEPROP BRKH_INT_X9Y49 NUM_ARCS 14 TILEPROP BRKH_INT_X9Y49 NUM_SITES 0 TILEPROP BRKH_INT_X9Y49 ROW 104 TILEPROP BRKH_INT_X9Y49 SLR_REGION_ID 0 TILEPROP BRKH_INT_X9Y49 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X9Y49 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X9Y49 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X9Y49 TILE_X -52958 TILEPROP BRKH_INT_X9Y49 TILE_Y -80124 TILEPROP BRKH_INT_X9Y49 TYPE BRKH_INT TILEPROP BRKH_INT_X9Y99 CLASS tile TILEPROP BRKH_INT_X9Y99 COLUMN 26 TILEPROP BRKH_INT_X9Y99 DEVICE_ID 0 TILEPROP BRKH_INT_X9Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_INT_X9Y99 GRID_POINT_X 26 TILEPROP BRKH_INT_X9Y99 GRID_POINT_Y 52 TILEPROP BRKH_INT_X9Y99 INDEX 6006 TILEPROP BRKH_INT_X9Y99 INT_TILE_X 9 TILEPROP BRKH_INT_X9Y99 INT_TILE_Y 49 TILEPROP BRKH_INT_X9Y99 IS_CENTER_TILE 0 TILEPROP BRKH_INT_X9Y99 IS_DCM_TILE 0 TILEPROP BRKH_INT_X9Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_INT_X9Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_INT_X9Y99 NAME BRKH_INT_X9Y99 TILEPROP BRKH_INT_X9Y99 NUM_ARCS 14 TILEPROP BRKH_INT_X9Y99 NUM_SITES 0 TILEPROP BRKH_INT_X9Y99 ROW 52 TILEPROP BRKH_INT_X9Y99 SLR_REGION_ID 0 TILEPROP BRKH_INT_X9Y99 TILE_PATTERN_IDX 1635 TILEPROP BRKH_INT_X9Y99 TILE_TYPE BRKH_INT TILEPROP BRKH_INT_X9Y99 TILE_TYPE_INDEX 12 TILEPROP BRKH_INT_X9Y99 TILE_X -52958 TILEPROP BRKH_INT_X9Y99 TILE_Y 81148 TILEPROP BRKH_INT_X9Y99 TYPE BRKH_INT TILEPROP BRKH_TERM_INT_X28Y99 CLASS tile TILEPROP BRKH_TERM_INT_X28Y99 COLUMN 72 TILEPROP BRKH_TERM_INT_X28Y99 DEVICE_ID 0 TILEPROP BRKH_TERM_INT_X28Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_TERM_INT_X28Y99 GRID_POINT_X 72 TILEPROP BRKH_TERM_INT_X28Y99 GRID_POINT_Y 52 TILEPROP BRKH_TERM_INT_X28Y99 INDEX 6052 TILEPROP BRKH_TERM_INT_X28Y99 INT_TILE_X -1 TILEPROP BRKH_TERM_INT_X28Y99 INT_TILE_Y -1 TILEPROP BRKH_TERM_INT_X28Y99 IS_CENTER_TILE 0 TILEPROP BRKH_TERM_INT_X28Y99 IS_DCM_TILE 0 TILEPROP BRKH_TERM_INT_X28Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X28Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X28Y99 NAME BRKH_TERM_INT_X28Y99 TILEPROP BRKH_TERM_INT_X28Y99 NUM_ARCS 0 TILEPROP BRKH_TERM_INT_X28Y99 NUM_SITES 0 TILEPROP BRKH_TERM_INT_X28Y99 ROW 52 TILEPROP BRKH_TERM_INT_X28Y99 SLR_REGION_ID 0 TILEPROP BRKH_TERM_INT_X28Y99 TILE_PATTERN_IDX 1641 TILEPROP BRKH_TERM_INT_X28Y99 TILE_TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X28Y99 TILE_TYPE_INDEX 13 TILEPROP BRKH_TERM_INT_X28Y99 TILE_X 29736 TILEPROP BRKH_TERM_INT_X28Y99 TILE_Y 81148 TILEPROP BRKH_TERM_INT_X28Y99 TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X29Y99 CLASS tile TILEPROP BRKH_TERM_INT_X29Y99 COLUMN 73 TILEPROP BRKH_TERM_INT_X29Y99 DEVICE_ID 0 TILEPROP BRKH_TERM_INT_X29Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_TERM_INT_X29Y99 GRID_POINT_X 73 TILEPROP BRKH_TERM_INT_X29Y99 GRID_POINT_Y 52 TILEPROP BRKH_TERM_INT_X29Y99 INDEX 6053 TILEPROP BRKH_TERM_INT_X29Y99 INT_TILE_X -1 TILEPROP BRKH_TERM_INT_X29Y99 INT_TILE_Y -1 TILEPROP BRKH_TERM_INT_X29Y99 IS_CENTER_TILE 0 TILEPROP BRKH_TERM_INT_X29Y99 IS_DCM_TILE 0 TILEPROP BRKH_TERM_INT_X29Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X29Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X29Y99 NAME BRKH_TERM_INT_X29Y99 TILEPROP BRKH_TERM_INT_X29Y99 NUM_ARCS 0 TILEPROP BRKH_TERM_INT_X29Y99 NUM_SITES 0 TILEPROP BRKH_TERM_INT_X29Y99 ROW 52 TILEPROP BRKH_TERM_INT_X29Y99 SLR_REGION_ID 0 TILEPROP BRKH_TERM_INT_X29Y99 TILE_PATTERN_IDX 1641 TILEPROP BRKH_TERM_INT_X29Y99 TILE_TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X29Y99 TILE_TYPE_INDEX 13 TILEPROP BRKH_TERM_INT_X29Y99 TILE_X 33192 TILEPROP BRKH_TERM_INT_X29Y99 TILE_Y 81148 TILEPROP BRKH_TERM_INT_X29Y99 TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X38Y99 CLASS tile TILEPROP BRKH_TERM_INT_X38Y99 COLUMN 98 TILEPROP BRKH_TERM_INT_X38Y99 DEVICE_ID 0 TILEPROP BRKH_TERM_INT_X38Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_TERM_INT_X38Y99 GRID_POINT_X 98 TILEPROP BRKH_TERM_INT_X38Y99 GRID_POINT_Y 52 TILEPROP BRKH_TERM_INT_X38Y99 INDEX 6078 TILEPROP BRKH_TERM_INT_X38Y99 INT_TILE_X -1 TILEPROP BRKH_TERM_INT_X38Y99 INT_TILE_Y -1 TILEPROP BRKH_TERM_INT_X38Y99 IS_CENTER_TILE 0 TILEPROP BRKH_TERM_INT_X38Y99 IS_DCM_TILE 0 TILEPROP BRKH_TERM_INT_X38Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X38Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X38Y99 NAME BRKH_TERM_INT_X38Y99 TILEPROP BRKH_TERM_INT_X38Y99 NUM_ARCS 0 TILEPROP BRKH_TERM_INT_X38Y99 NUM_SITES 0 TILEPROP BRKH_TERM_INT_X38Y99 ROW 52 TILEPROP BRKH_TERM_INT_X38Y99 SLR_REGION_ID 0 TILEPROP BRKH_TERM_INT_X38Y99 TILE_PATTERN_IDX 1641 TILEPROP BRKH_TERM_INT_X38Y99 TILE_TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X38Y99 TILE_TYPE_INDEX 13 TILEPROP BRKH_TERM_INT_X38Y99 TILE_X 79390 TILEPROP BRKH_TERM_INT_X38Y99 TILE_Y 81148 TILEPROP BRKH_TERM_INT_X38Y99 TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X39Y99 CLASS tile TILEPROP BRKH_TERM_INT_X39Y99 COLUMN 99 TILEPROP BRKH_TERM_INT_X39Y99 DEVICE_ID 0 TILEPROP BRKH_TERM_INT_X39Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_TERM_INT_X39Y99 GRID_POINT_X 99 TILEPROP BRKH_TERM_INT_X39Y99 GRID_POINT_Y 52 TILEPROP BRKH_TERM_INT_X39Y99 INDEX 6079 TILEPROP BRKH_TERM_INT_X39Y99 INT_TILE_X -1 TILEPROP BRKH_TERM_INT_X39Y99 INT_TILE_Y -1 TILEPROP BRKH_TERM_INT_X39Y99 IS_CENTER_TILE 0 TILEPROP BRKH_TERM_INT_X39Y99 IS_DCM_TILE 0 TILEPROP BRKH_TERM_INT_X39Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X39Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X39Y99 NAME BRKH_TERM_INT_X39Y99 TILEPROP BRKH_TERM_INT_X39Y99 NUM_ARCS 0 TILEPROP BRKH_TERM_INT_X39Y99 NUM_SITES 0 TILEPROP BRKH_TERM_INT_X39Y99 ROW 52 TILEPROP BRKH_TERM_INT_X39Y99 SLR_REGION_ID 0 TILEPROP BRKH_TERM_INT_X39Y99 TILE_PATTERN_IDX 1641 TILEPROP BRKH_TERM_INT_X39Y99 TILE_TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X39Y99 TILE_TYPE_INDEX 13 TILEPROP BRKH_TERM_INT_X39Y99 TILE_X 82846 TILEPROP BRKH_TERM_INT_X39Y99 TILE_Y 81148 TILEPROP BRKH_TERM_INT_X39Y99 TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X40Y99 CLASS tile TILEPROP BRKH_TERM_INT_X40Y99 COLUMN 102 TILEPROP BRKH_TERM_INT_X40Y99 DEVICE_ID 0 TILEPROP BRKH_TERM_INT_X40Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_TERM_INT_X40Y99 GRID_POINT_X 102 TILEPROP BRKH_TERM_INT_X40Y99 GRID_POINT_Y 52 TILEPROP BRKH_TERM_INT_X40Y99 INDEX 6082 TILEPROP BRKH_TERM_INT_X40Y99 INT_TILE_X -1 TILEPROP BRKH_TERM_INT_X40Y99 INT_TILE_Y -1 TILEPROP BRKH_TERM_INT_X40Y99 IS_CENTER_TILE 0 TILEPROP BRKH_TERM_INT_X40Y99 IS_DCM_TILE 0 TILEPROP BRKH_TERM_INT_X40Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X40Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X40Y99 NAME BRKH_TERM_INT_X40Y99 TILEPROP BRKH_TERM_INT_X40Y99 NUM_ARCS 0 TILEPROP BRKH_TERM_INT_X40Y99 NUM_SITES 0 TILEPROP BRKH_TERM_INT_X40Y99 ROW 52 TILEPROP BRKH_TERM_INT_X40Y99 SLR_REGION_ID 0 TILEPROP BRKH_TERM_INT_X40Y99 TILE_PATTERN_IDX 1641 TILEPROP BRKH_TERM_INT_X40Y99 TILE_TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X40Y99 TILE_TYPE_INDEX 13 TILEPROP BRKH_TERM_INT_X40Y99 TILE_X 87694 TILEPROP BRKH_TERM_INT_X40Y99 TILE_Y 81148 TILEPROP BRKH_TERM_INT_X40Y99 TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X41Y99 CLASS tile TILEPROP BRKH_TERM_INT_X41Y99 COLUMN 103 TILEPROP BRKH_TERM_INT_X41Y99 DEVICE_ID 0 TILEPROP BRKH_TERM_INT_X41Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_TERM_INT_X41Y99 GRID_POINT_X 103 TILEPROP BRKH_TERM_INT_X41Y99 GRID_POINT_Y 52 TILEPROP BRKH_TERM_INT_X41Y99 INDEX 6083 TILEPROP BRKH_TERM_INT_X41Y99 INT_TILE_X -1 TILEPROP BRKH_TERM_INT_X41Y99 INT_TILE_Y -1 TILEPROP BRKH_TERM_INT_X41Y99 IS_CENTER_TILE 0 TILEPROP BRKH_TERM_INT_X41Y99 IS_DCM_TILE 0 TILEPROP BRKH_TERM_INT_X41Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X41Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X41Y99 NAME BRKH_TERM_INT_X41Y99 TILEPROP BRKH_TERM_INT_X41Y99 NUM_ARCS 0 TILEPROP BRKH_TERM_INT_X41Y99 NUM_SITES 0 TILEPROP BRKH_TERM_INT_X41Y99 ROW 52 TILEPROP BRKH_TERM_INT_X41Y99 SLR_REGION_ID 0 TILEPROP BRKH_TERM_INT_X41Y99 TILE_PATTERN_IDX 1641 TILEPROP BRKH_TERM_INT_X41Y99 TILE_TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X41Y99 TILE_TYPE_INDEX 13 TILEPROP BRKH_TERM_INT_X41Y99 TILE_X 91150 TILEPROP BRKH_TERM_INT_X41Y99 TILE_Y 81148 TILEPROP BRKH_TERM_INT_X41Y99 TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X42Y99 CLASS tile TILEPROP BRKH_TERM_INT_X42Y99 COLUMN 109 TILEPROP BRKH_TERM_INT_X42Y99 DEVICE_ID 0 TILEPROP BRKH_TERM_INT_X42Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_TERM_INT_X42Y99 GRID_POINT_X 109 TILEPROP BRKH_TERM_INT_X42Y99 GRID_POINT_Y 52 TILEPROP BRKH_TERM_INT_X42Y99 INDEX 6089 TILEPROP BRKH_TERM_INT_X42Y99 INT_TILE_X -1 TILEPROP BRKH_TERM_INT_X42Y99 INT_TILE_Y -1 TILEPROP BRKH_TERM_INT_X42Y99 IS_CENTER_TILE 0 TILEPROP BRKH_TERM_INT_X42Y99 IS_DCM_TILE 0 TILEPROP BRKH_TERM_INT_X42Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X42Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X42Y99 NAME BRKH_TERM_INT_X42Y99 TILEPROP BRKH_TERM_INT_X42Y99 NUM_ARCS 0 TILEPROP BRKH_TERM_INT_X42Y99 NUM_SITES 0 TILEPROP BRKH_TERM_INT_X42Y99 ROW 52 TILEPROP BRKH_TERM_INT_X42Y99 SLR_REGION_ID 0 TILEPROP BRKH_TERM_INT_X42Y99 TILE_PATTERN_IDX 1641 TILEPROP BRKH_TERM_INT_X42Y99 TILE_TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X42Y99 TILE_TYPE_INDEX 13 TILEPROP BRKH_TERM_INT_X42Y99 TILE_X 99726 TILEPROP BRKH_TERM_INT_X42Y99 TILE_Y 81148 TILEPROP BRKH_TERM_INT_X42Y99 TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X43Y99 CLASS tile TILEPROP BRKH_TERM_INT_X43Y99 COLUMN 110 TILEPROP BRKH_TERM_INT_X43Y99 DEVICE_ID 0 TILEPROP BRKH_TERM_INT_X43Y99 FIRST_SITE_ID 5088 TILEPROP BRKH_TERM_INT_X43Y99 GRID_POINT_X 110 TILEPROP BRKH_TERM_INT_X43Y99 GRID_POINT_Y 52 TILEPROP BRKH_TERM_INT_X43Y99 INDEX 6090 TILEPROP BRKH_TERM_INT_X43Y99 INT_TILE_X -1 TILEPROP BRKH_TERM_INT_X43Y99 INT_TILE_Y -1 TILEPROP BRKH_TERM_INT_X43Y99 IS_CENTER_TILE 0 TILEPROP BRKH_TERM_INT_X43Y99 IS_DCM_TILE 0 TILEPROP BRKH_TERM_INT_X43Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X43Y99 IS_GT_SITE_TILE 0 TILEPROP BRKH_TERM_INT_X43Y99 NAME BRKH_TERM_INT_X43Y99 TILEPROP BRKH_TERM_INT_X43Y99 NUM_ARCS 0 TILEPROP BRKH_TERM_INT_X43Y99 NUM_SITES 0 TILEPROP BRKH_TERM_INT_X43Y99 ROW 52 TILEPROP BRKH_TERM_INT_X43Y99 SLR_REGION_ID 0 TILEPROP BRKH_TERM_INT_X43Y99 TILE_PATTERN_IDX 1641 TILEPROP BRKH_TERM_INT_X43Y99 TILE_TYPE BRKH_TERM_INT TILEPROP BRKH_TERM_INT_X43Y99 TILE_TYPE_INDEX 13 TILEPROP BRKH_TERM_INT_X43Y99 TILE_X 103182 TILEPROP BRKH_TERM_INT_X43Y99 TILE_Y 81148 TILEPROP BRKH_TERM_INT_X43Y99 TYPE BRKH_TERM_INT TILEPROP B_TERM_INT_X102Y0 CLASS tile TILEPROP B_TERM_INT_X102Y0 COLUMN 102 TILEPROP B_TERM_INT_X102Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X102Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X102Y0 GRID_POINT_X 102 TILEPROP B_TERM_INT_X102Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X102Y0 INDEX 18042 TILEPROP B_TERM_INT_X102Y0 INT_TILE_X 40 TILEPROP B_TERM_INT_X102Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X102Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X102Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X102Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X102Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X102Y0 NAME B_TERM_INT_X102Y0 TILEPROP B_TERM_INT_X102Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X102Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X102Y0 ROW 156 TILEPROP B_TERM_INT_X102Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X102Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X102Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X102Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X102Y0 TILE_X 87694 TILEPROP B_TERM_INT_X102Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X102Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X103Y0 CLASS tile TILEPROP B_TERM_INT_X103Y0 COLUMN 103 TILEPROP B_TERM_INT_X103Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X103Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X103Y0 GRID_POINT_X 103 TILEPROP B_TERM_INT_X103Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X103Y0 INDEX 18043 TILEPROP B_TERM_INT_X103Y0 INT_TILE_X 41 TILEPROP B_TERM_INT_X103Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X103Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X103Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X103Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X103Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X103Y0 NAME B_TERM_INT_X103Y0 TILEPROP B_TERM_INT_X103Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X103Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X103Y0 ROW 156 TILEPROP B_TERM_INT_X103Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X103Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X103Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X103Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X103Y0 TILE_X 91150 TILEPROP B_TERM_INT_X103Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X103Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X109Y0 CLASS tile TILEPROP B_TERM_INT_X109Y0 COLUMN 109 TILEPROP B_TERM_INT_X109Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X109Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X109Y0 GRID_POINT_X 109 TILEPROP B_TERM_INT_X109Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X109Y0 INDEX 18049 TILEPROP B_TERM_INT_X109Y0 INT_TILE_X 42 TILEPROP B_TERM_INT_X109Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X109Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X109Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X109Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X109Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X109Y0 NAME B_TERM_INT_X109Y0 TILEPROP B_TERM_INT_X109Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X109Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X109Y0 ROW 156 TILEPROP B_TERM_INT_X109Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X109Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X109Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X109Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X109Y0 TILE_X 99726 TILEPROP B_TERM_INT_X109Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X109Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X110Y0 CLASS tile TILEPROP B_TERM_INT_X110Y0 COLUMN 110 TILEPROP B_TERM_INT_X110Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X110Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X110Y0 GRID_POINT_X 110 TILEPROP B_TERM_INT_X110Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X110Y0 INDEX 18050 TILEPROP B_TERM_INT_X110Y0 INT_TILE_X 43 TILEPROP B_TERM_INT_X110Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X110Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X110Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X110Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X110Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X110Y0 NAME B_TERM_INT_X110Y0 TILEPROP B_TERM_INT_X110Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X110Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X110Y0 ROW 156 TILEPROP B_TERM_INT_X110Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X110Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X110Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X110Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X110Y0 TILE_X 103182 TILEPROP B_TERM_INT_X110Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X110Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X11Y0 CLASS tile TILEPROP B_TERM_INT_X11Y0 COLUMN 11 TILEPROP B_TERM_INT_X11Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X11Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X11Y0 GRID_POINT_X 11 TILEPROP B_TERM_INT_X11Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X11Y0 INDEX 17951 TILEPROP B_TERM_INT_X11Y0 INT_TILE_X 2 TILEPROP B_TERM_INT_X11Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X11Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X11Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X11Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X11Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X11Y0 NAME B_TERM_INT_X11Y0 TILEPROP B_TERM_INT_X11Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X11Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X11Y0 ROW 156 TILEPROP B_TERM_INT_X11Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X11Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X11Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X11Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X11Y0 TILE_X -85134 TILEPROP B_TERM_INT_X11Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X11Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X12Y0 CLASS tile TILEPROP B_TERM_INT_X12Y0 COLUMN 12 TILEPROP B_TERM_INT_X12Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X12Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X12Y0 GRID_POINT_X 12 TILEPROP B_TERM_INT_X12Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X12Y0 INDEX 17952 TILEPROP B_TERM_INT_X12Y0 INT_TILE_X 3 TILEPROP B_TERM_INT_X12Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X12Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X12Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X12Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X12Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X12Y0 NAME B_TERM_INT_X12Y0 TILEPROP B_TERM_INT_X12Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X12Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X12Y0 ROW 156 TILEPROP B_TERM_INT_X12Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X12Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X12Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X12Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X12Y0 TILE_X -81678 TILEPROP B_TERM_INT_X12Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X12Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X15Y0 CLASS tile TILEPROP B_TERM_INT_X15Y0 COLUMN 15 TILEPROP B_TERM_INT_X15Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X15Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X15Y0 GRID_POINT_X 15 TILEPROP B_TERM_INT_X15Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X15Y0 INDEX 17955 TILEPROP B_TERM_INT_X15Y0 INT_TILE_X 4 TILEPROP B_TERM_INT_X15Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X15Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X15Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X15Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X15Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X15Y0 NAME B_TERM_INT_X15Y0 TILEPROP B_TERM_INT_X15Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X15Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X15Y0 ROW 156 TILEPROP B_TERM_INT_X15Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X15Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X15Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X15Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X15Y0 TILE_X -76830 TILEPROP B_TERM_INT_X15Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X15Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X16Y0 CLASS tile TILEPROP B_TERM_INT_X16Y0 COLUMN 16 TILEPROP B_TERM_INT_X16Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X16Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X16Y0 GRID_POINT_X 16 TILEPROP B_TERM_INT_X16Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X16Y0 INDEX 17956 TILEPROP B_TERM_INT_X16Y0 INT_TILE_X 5 TILEPROP B_TERM_INT_X16Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X16Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X16Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X16Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X16Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X16Y0 NAME B_TERM_INT_X16Y0 TILEPROP B_TERM_INT_X16Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X16Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X16Y0 ROW 156 TILEPROP B_TERM_INT_X16Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X16Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X16Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X16Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X16Y0 TILE_X -73374 TILEPROP B_TERM_INT_X16Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X16Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X21Y0 CLASS tile TILEPROP B_TERM_INT_X21Y0 COLUMN 21 TILEPROP B_TERM_INT_X21Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X21Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X21Y0 GRID_POINT_X 21 TILEPROP B_TERM_INT_X21Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X21Y0 INDEX 17961 TILEPROP B_TERM_INT_X21Y0 INT_TILE_X 6 TILEPROP B_TERM_INT_X21Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X21Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X21Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X21Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X21Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X21Y0 NAME B_TERM_INT_X21Y0 TILEPROP B_TERM_INT_X21Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X21Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X21Y0 ROW 156 TILEPROP B_TERM_INT_X21Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X21Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X21Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X21Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X21Y0 TILE_X -64718 TILEPROP B_TERM_INT_X21Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X21Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X22Y0 CLASS tile TILEPROP B_TERM_INT_X22Y0 COLUMN 22 TILEPROP B_TERM_INT_X22Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X22Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X22Y0 GRID_POINT_X 22 TILEPROP B_TERM_INT_X22Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X22Y0 INDEX 17962 TILEPROP B_TERM_INT_X22Y0 INT_TILE_X 7 TILEPROP B_TERM_INT_X22Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X22Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X22Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X22Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X22Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X22Y0 NAME B_TERM_INT_X22Y0 TILEPROP B_TERM_INT_X22Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X22Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X22Y0 ROW 156 TILEPROP B_TERM_INT_X22Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X22Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X22Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X22Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X22Y0 TILE_X -61262 TILEPROP B_TERM_INT_X22Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X22Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X25Y0 CLASS tile TILEPROP B_TERM_INT_X25Y0 COLUMN 25 TILEPROP B_TERM_INT_X25Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X25Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X25Y0 GRID_POINT_X 25 TILEPROP B_TERM_INT_X25Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X25Y0 INDEX 17965 TILEPROP B_TERM_INT_X25Y0 INT_TILE_X 8 TILEPROP B_TERM_INT_X25Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X25Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X25Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X25Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X25Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X25Y0 NAME B_TERM_INT_X25Y0 TILEPROP B_TERM_INT_X25Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X25Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X25Y0 ROW 156 TILEPROP B_TERM_INT_X25Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X25Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X25Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X25Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X25Y0 TILE_X -56414 TILEPROP B_TERM_INT_X25Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X25Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X26Y0 CLASS tile TILEPROP B_TERM_INT_X26Y0 COLUMN 26 TILEPROP B_TERM_INT_X26Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X26Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X26Y0 GRID_POINT_X 26 TILEPROP B_TERM_INT_X26Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X26Y0 INDEX 17966 TILEPROP B_TERM_INT_X26Y0 INT_TILE_X 9 TILEPROP B_TERM_INT_X26Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X26Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X26Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X26Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X26Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X26Y0 NAME B_TERM_INT_X26Y0 TILEPROP B_TERM_INT_X26Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X26Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X26Y0 ROW 156 TILEPROP B_TERM_INT_X26Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X26Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X26Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X26Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X26Y0 TILE_X -52958 TILEPROP B_TERM_INT_X26Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X26Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X31Y0 CLASS tile TILEPROP B_TERM_INT_X31Y0 COLUMN 31 TILEPROP B_TERM_INT_X31Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X31Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X31Y0 GRID_POINT_X 31 TILEPROP B_TERM_INT_X31Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X31Y0 INDEX 17971 TILEPROP B_TERM_INT_X31Y0 INT_TILE_X 10 TILEPROP B_TERM_INT_X31Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X31Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X31Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X31Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X31Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X31Y0 NAME B_TERM_INT_X31Y0 TILEPROP B_TERM_INT_X31Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X31Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X31Y0 ROW 156 TILEPROP B_TERM_INT_X31Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X31Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X31Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X31Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X31Y0 TILE_X -47592 TILEPROP B_TERM_INT_X31Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X31Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X32Y0 CLASS tile TILEPROP B_TERM_INT_X32Y0 COLUMN 32 TILEPROP B_TERM_INT_X32Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X32Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X32Y0 GRID_POINT_X 32 TILEPROP B_TERM_INT_X32Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X32Y0 INDEX 17972 TILEPROP B_TERM_INT_X32Y0 INT_TILE_X 11 TILEPROP B_TERM_INT_X32Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X32Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X32Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X32Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X32Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X32Y0 NAME B_TERM_INT_X32Y0 TILEPROP B_TERM_INT_X32Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X32Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X32Y0 ROW 156 TILEPROP B_TERM_INT_X32Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X32Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X32Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X32Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X32Y0 TILE_X -44136 TILEPROP B_TERM_INT_X32Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X32Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X49Y0 CLASS tile TILEPROP B_TERM_INT_X49Y0 COLUMN 49 TILEPROP B_TERM_INT_X49Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X49Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X49Y0 GRID_POINT_X 49 TILEPROP B_TERM_INT_X49Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X49Y0 INDEX 17989 TILEPROP B_TERM_INT_X49Y0 INT_TILE_X 18 TILEPROP B_TERM_INT_X49Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X49Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X49Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X49Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X49Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X49Y0 NAME B_TERM_INT_X49Y0 TILEPROP B_TERM_INT_X49Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X49Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X49Y0 ROW 156 TILEPROP B_TERM_INT_X49Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X49Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X49Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X49Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X49Y0 TILE_X -14880 TILEPROP B_TERM_INT_X49Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X49Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X4Y0 CLASS tile TILEPROP B_TERM_INT_X4Y0 COLUMN 4 TILEPROP B_TERM_INT_X4Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X4Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X4Y0 GRID_POINT_X 4 TILEPROP B_TERM_INT_X4Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X4Y0 INDEX 17944 TILEPROP B_TERM_INT_X4Y0 INT_TILE_X 0 TILEPROP B_TERM_INT_X4Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X4Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X4Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X4Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X4Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X4Y0 NAME B_TERM_INT_X4Y0 TILEPROP B_TERM_INT_X4Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X4Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X4Y0 ROW 156 TILEPROP B_TERM_INT_X4Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X4Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X4Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X4Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X4Y0 TILE_X -97166 TILEPROP B_TERM_INT_X4Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X4Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X50Y0 CLASS tile TILEPROP B_TERM_INT_X50Y0 COLUMN 50 TILEPROP B_TERM_INT_X50Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X50Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X50Y0 GRID_POINT_X 50 TILEPROP B_TERM_INT_X50Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X50Y0 INDEX 17990 TILEPROP B_TERM_INT_X50Y0 INT_TILE_X 19 TILEPROP B_TERM_INT_X50Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X50Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X50Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X50Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X50Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X50Y0 NAME B_TERM_INT_X50Y0 TILEPROP B_TERM_INT_X50Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X50Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X50Y0 ROW 156 TILEPROP B_TERM_INT_X50Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X50Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X50Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X50Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X50Y0 TILE_X -11424 TILEPROP B_TERM_INT_X50Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X50Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X53Y0 CLASS tile TILEPROP B_TERM_INT_X53Y0 COLUMN 53 TILEPROP B_TERM_INT_X53Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X53Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X53Y0 GRID_POINT_X 53 TILEPROP B_TERM_INT_X53Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X53Y0 INDEX 17993 TILEPROP B_TERM_INT_X53Y0 INT_TILE_X 20 TILEPROP B_TERM_INT_X53Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X53Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X53Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X53Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X53Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X53Y0 NAME B_TERM_INT_X53Y0 TILEPROP B_TERM_INT_X53Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X53Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X53Y0 ROW 156 TILEPROP B_TERM_INT_X53Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X53Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X53Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X53Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X53Y0 TILE_X -6576 TILEPROP B_TERM_INT_X53Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X53Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X54Y0 CLASS tile TILEPROP B_TERM_INT_X54Y0 COLUMN 54 TILEPROP B_TERM_INT_X54Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X54Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X54Y0 GRID_POINT_X 54 TILEPROP B_TERM_INT_X54Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X54Y0 INDEX 17994 TILEPROP B_TERM_INT_X54Y0 INT_TILE_X 21 TILEPROP B_TERM_INT_X54Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X54Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X54Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X54Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X54Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X54Y0 NAME B_TERM_INT_X54Y0 TILEPROP B_TERM_INT_X54Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X54Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X54Y0 ROW 156 TILEPROP B_TERM_INT_X54Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X54Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X54Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X54Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X54Y0 TILE_X -3120 TILEPROP B_TERM_INT_X54Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X54Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X57Y0 CLASS tile TILEPROP B_TERM_INT_X57Y0 COLUMN 57 TILEPROP B_TERM_INT_X57Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X57Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X57Y0 GRID_POINT_X 57 TILEPROP B_TERM_INT_X57Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X57Y0 INDEX 17997 TILEPROP B_TERM_INT_X57Y0 INT_TILE_X 22 TILEPROP B_TERM_INT_X57Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X57Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X57Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X57Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X57Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X57Y0 NAME B_TERM_INT_X57Y0 TILEPROP B_TERM_INT_X57Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X57Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X57Y0 ROW 156 TILEPROP B_TERM_INT_X57Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X57Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X57Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X57Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X57Y0 TILE_X 1728 TILEPROP B_TERM_INT_X57Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X57Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X58Y0 CLASS tile TILEPROP B_TERM_INT_X58Y0 COLUMN 58 TILEPROP B_TERM_INT_X58Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X58Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X58Y0 GRID_POINT_X 58 TILEPROP B_TERM_INT_X58Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X58Y0 INDEX 17998 TILEPROP B_TERM_INT_X58Y0 INT_TILE_X 23 TILEPROP B_TERM_INT_X58Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X58Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X58Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X58Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X58Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X58Y0 NAME B_TERM_INT_X58Y0 TILEPROP B_TERM_INT_X58Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X58Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X58Y0 ROW 156 TILEPROP B_TERM_INT_X58Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X58Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X58Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X58Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X58Y0 TILE_X 5184 TILEPROP B_TERM_INT_X58Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X58Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X5Y0 CLASS tile TILEPROP B_TERM_INT_X5Y0 COLUMN 5 TILEPROP B_TERM_INT_X5Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X5Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X5Y0 GRID_POINT_X 5 TILEPROP B_TERM_INT_X5Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X5Y0 INDEX 17945 TILEPROP B_TERM_INT_X5Y0 INT_TILE_X 1 TILEPROP B_TERM_INT_X5Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X5Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X5Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X5Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X5Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X5Y0 NAME B_TERM_INT_X5Y0 TILEPROP B_TERM_INT_X5Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X5Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X5Y0 ROW 156 TILEPROP B_TERM_INT_X5Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X5Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X5Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X5Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X5Y0 TILE_X -93710 TILEPROP B_TERM_INT_X5Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X5Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X63Y0 CLASS tile TILEPROP B_TERM_INT_X63Y0 COLUMN 63 TILEPROP B_TERM_INT_X63Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X63Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X63Y0 GRID_POINT_X 63 TILEPROP B_TERM_INT_X63Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X63Y0 INDEX 18003 TILEPROP B_TERM_INT_X63Y0 INT_TILE_X 24 TILEPROP B_TERM_INT_X63Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X63Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X63Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X63Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X63Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X63Y0 NAME B_TERM_INT_X63Y0 TILEPROP B_TERM_INT_X63Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X63Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X63Y0 ROW 156 TILEPROP B_TERM_INT_X63Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X63Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X63Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X63Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X63Y0 TILE_X 13064 TILEPROP B_TERM_INT_X63Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X63Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X64Y0 CLASS tile TILEPROP B_TERM_INT_X64Y0 COLUMN 64 TILEPROP B_TERM_INT_X64Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X64Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X64Y0 GRID_POINT_X 64 TILEPROP B_TERM_INT_X64Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X64Y0 INDEX 18004 TILEPROP B_TERM_INT_X64Y0 INT_TILE_X 25 TILEPROP B_TERM_INT_X64Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X64Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X64Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X64Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X64Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X64Y0 NAME B_TERM_INT_X64Y0 TILEPROP B_TERM_INT_X64Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X64Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X64Y0 ROW 156 TILEPROP B_TERM_INT_X64Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X64Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X64Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X64Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X64Y0 TILE_X 16520 TILEPROP B_TERM_INT_X64Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X64Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X68Y0 CLASS tile TILEPROP B_TERM_INT_X68Y0 COLUMN 68 TILEPROP B_TERM_INT_X68Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X68Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X68Y0 GRID_POINT_X 68 TILEPROP B_TERM_INT_X68Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X68Y0 INDEX 18008 TILEPROP B_TERM_INT_X68Y0 INT_TILE_X 26 TILEPROP B_TERM_INT_X68Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X68Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X68Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X68Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X68Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X68Y0 NAME B_TERM_INT_X68Y0 TILEPROP B_TERM_INT_X68Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X68Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X68Y0 ROW 156 TILEPROP B_TERM_INT_X68Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X68Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X68Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X68Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X68Y0 TILE_X 21432 TILEPROP B_TERM_INT_X68Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X68Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X69Y0 CLASS tile TILEPROP B_TERM_INT_X69Y0 COLUMN 69 TILEPROP B_TERM_INT_X69Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X69Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X69Y0 GRID_POINT_X 69 TILEPROP B_TERM_INT_X69Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X69Y0 INDEX 18009 TILEPROP B_TERM_INT_X69Y0 INT_TILE_X 27 TILEPROP B_TERM_INT_X69Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X69Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X69Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X69Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X69Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X69Y0 NAME B_TERM_INT_X69Y0 TILEPROP B_TERM_INT_X69Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X69Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X69Y0 ROW 156 TILEPROP B_TERM_INT_X69Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X69Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X69Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X69Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X69Y0 TILE_X 24888 TILEPROP B_TERM_INT_X69Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X69Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X72Y0 CLASS tile TILEPROP B_TERM_INT_X72Y0 COLUMN 72 TILEPROP B_TERM_INT_X72Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X72Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X72Y0 GRID_POINT_X 72 TILEPROP B_TERM_INT_X72Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X72Y0 INDEX 18012 TILEPROP B_TERM_INT_X72Y0 INT_TILE_X 28 TILEPROP B_TERM_INT_X72Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X72Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X72Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X72Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X72Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X72Y0 NAME B_TERM_INT_X72Y0 TILEPROP B_TERM_INT_X72Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X72Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X72Y0 ROW 156 TILEPROP B_TERM_INT_X72Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X72Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X72Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X72Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X72Y0 TILE_X 29736 TILEPROP B_TERM_INT_X72Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X72Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X73Y0 CLASS tile TILEPROP B_TERM_INT_X73Y0 COLUMN 73 TILEPROP B_TERM_INT_X73Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X73Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X73Y0 GRID_POINT_X 73 TILEPROP B_TERM_INT_X73Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X73Y0 INDEX 18013 TILEPROP B_TERM_INT_X73Y0 INT_TILE_X 29 TILEPROP B_TERM_INT_X73Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X73Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X73Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X73Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X73Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X73Y0 NAME B_TERM_INT_X73Y0 TILEPROP B_TERM_INT_X73Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X73Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X73Y0 ROW 156 TILEPROP B_TERM_INT_X73Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X73Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X73Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X73Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X73Y0 TILE_X 33192 TILEPROP B_TERM_INT_X73Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X73Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X77Y0 CLASS tile TILEPROP B_TERM_INT_X77Y0 COLUMN 77 TILEPROP B_TERM_INT_X77Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X77Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X77Y0 GRID_POINT_X 77 TILEPROP B_TERM_INT_X77Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X77Y0 INDEX 18017 TILEPROP B_TERM_INT_X77Y0 INT_TILE_X 30 TILEPROP B_TERM_INT_X77Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X77Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X77Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X77Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X77Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X77Y0 NAME B_TERM_INT_X77Y0 TILEPROP B_TERM_INT_X77Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X77Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X77Y0 ROW 156 TILEPROP B_TERM_INT_X77Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X77Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X77Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X77Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X77Y0 TILE_X 41784 TILEPROP B_TERM_INT_X77Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X77Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X78Y0 CLASS tile TILEPROP B_TERM_INT_X78Y0 COLUMN 78 TILEPROP B_TERM_INT_X78Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X78Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X78Y0 GRID_POINT_X 78 TILEPROP B_TERM_INT_X78Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X78Y0 INDEX 18018 TILEPROP B_TERM_INT_X78Y0 INT_TILE_X 31 TILEPROP B_TERM_INT_X78Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X78Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X78Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X78Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X78Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X78Y0 NAME B_TERM_INT_X78Y0 TILEPROP B_TERM_INT_X78Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X78Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X78Y0 ROW 156 TILEPROP B_TERM_INT_X78Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X78Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X78Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X78Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X78Y0 TILE_X 45240 TILEPROP B_TERM_INT_X78Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X78Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X82Y0 CLASS tile TILEPROP B_TERM_INT_X82Y0 COLUMN 82 TILEPROP B_TERM_INT_X82Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X82Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X82Y0 GRID_POINT_X 82 TILEPROP B_TERM_INT_X82Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X82Y0 INDEX 18022 TILEPROP B_TERM_INT_X82Y0 INT_TILE_X 32 TILEPROP B_TERM_INT_X82Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X82Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X82Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X82Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X82Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X82Y0 NAME B_TERM_INT_X82Y0 TILEPROP B_TERM_INT_X82Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X82Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X82Y0 ROW 156 TILEPROP B_TERM_INT_X82Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X82Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X82Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X82Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X82Y0 TILE_X 50152 TILEPROP B_TERM_INT_X82Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X82Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X83Y0 CLASS tile TILEPROP B_TERM_INT_X83Y0 COLUMN 83 TILEPROP B_TERM_INT_X83Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X83Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X83Y0 GRID_POINT_X 83 TILEPROP B_TERM_INT_X83Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X83Y0 INDEX 18023 TILEPROP B_TERM_INT_X83Y0 INT_TILE_X 33 TILEPROP B_TERM_INT_X83Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X83Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X83Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X83Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X83Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X83Y0 NAME B_TERM_INT_X83Y0 TILEPROP B_TERM_INT_X83Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X83Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X83Y0 ROW 156 TILEPROP B_TERM_INT_X83Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X83Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X83Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X83Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X83Y0 TILE_X 53608 TILEPROP B_TERM_INT_X83Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X83Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X88Y0 CLASS tile TILEPROP B_TERM_INT_X88Y0 COLUMN 88 TILEPROP B_TERM_INT_X88Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X88Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X88Y0 GRID_POINT_X 88 TILEPROP B_TERM_INT_X88Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X88Y0 INDEX 18028 TILEPROP B_TERM_INT_X88Y0 INT_TILE_X 34 TILEPROP B_TERM_INT_X88Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X88Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X88Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X88Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X88Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X88Y0 NAME B_TERM_INT_X88Y0 TILEPROP B_TERM_INT_X88Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X88Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X88Y0 ROW 156 TILEPROP B_TERM_INT_X88Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X88Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X88Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X88Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X88Y0 TILE_X 58974 TILEPROP B_TERM_INT_X88Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X88Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X89Y0 CLASS tile TILEPROP B_TERM_INT_X89Y0 COLUMN 89 TILEPROP B_TERM_INT_X89Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X89Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X89Y0 GRID_POINT_X 89 TILEPROP B_TERM_INT_X89Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X89Y0 INDEX 18029 TILEPROP B_TERM_INT_X89Y0 INT_TILE_X 35 TILEPROP B_TERM_INT_X89Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X89Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X89Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X89Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X89Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X89Y0 NAME B_TERM_INT_X89Y0 TILEPROP B_TERM_INT_X89Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X89Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X89Y0 ROW 156 TILEPROP B_TERM_INT_X89Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X89Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X89Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X89Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X89Y0 TILE_X 62430 TILEPROP B_TERM_INT_X89Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X89Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X92Y0 CLASS tile TILEPROP B_TERM_INT_X92Y0 COLUMN 92 TILEPROP B_TERM_INT_X92Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X92Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X92Y0 GRID_POINT_X 92 TILEPROP B_TERM_INT_X92Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X92Y0 INDEX 18032 TILEPROP B_TERM_INT_X92Y0 INT_TILE_X 36 TILEPROP B_TERM_INT_X92Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X92Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X92Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X92Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X92Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X92Y0 NAME B_TERM_INT_X92Y0 TILEPROP B_TERM_INT_X92Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X92Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X92Y0 ROW 156 TILEPROP B_TERM_INT_X92Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X92Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X92Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X92Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X92Y0 TILE_X 67278 TILEPROP B_TERM_INT_X92Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X92Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X93Y0 CLASS tile TILEPROP B_TERM_INT_X93Y0 COLUMN 93 TILEPROP B_TERM_INT_X93Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X93Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X93Y0 GRID_POINT_X 93 TILEPROP B_TERM_INT_X93Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X93Y0 INDEX 18033 TILEPROP B_TERM_INT_X93Y0 INT_TILE_X 37 TILEPROP B_TERM_INT_X93Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X93Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X93Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X93Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X93Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X93Y0 NAME B_TERM_INT_X93Y0 TILEPROP B_TERM_INT_X93Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X93Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X93Y0 ROW 156 TILEPROP B_TERM_INT_X93Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X93Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X93Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X93Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X93Y0 TILE_X 70734 TILEPROP B_TERM_INT_X93Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X93Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X98Y0 CLASS tile TILEPROP B_TERM_INT_X98Y0 COLUMN 98 TILEPROP B_TERM_INT_X98Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X98Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X98Y0 GRID_POINT_X 98 TILEPROP B_TERM_INT_X98Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X98Y0 INDEX 18038 TILEPROP B_TERM_INT_X98Y0 INT_TILE_X 38 TILEPROP B_TERM_INT_X98Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X98Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X98Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X98Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X98Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X98Y0 NAME B_TERM_INT_X98Y0 TILEPROP B_TERM_INT_X98Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X98Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X98Y0 ROW 156 TILEPROP B_TERM_INT_X98Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X98Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X98Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X98Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X98Y0 TILE_X 79390 TILEPROP B_TERM_INT_X98Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X98Y0 TYPE B_TERM_INT TILEPROP B_TERM_INT_X99Y0 CLASS tile TILEPROP B_TERM_INT_X99Y0 COLUMN 99 TILEPROP B_TERM_INT_X99Y0 DEVICE_ID 0 TILEPROP B_TERM_INT_X99Y0 FIRST_SITE_ID 15817 TILEPROP B_TERM_INT_X99Y0 GRID_POINT_X 99 TILEPROP B_TERM_INT_X99Y0 GRID_POINT_Y 156 TILEPROP B_TERM_INT_X99Y0 INDEX 18039 TILEPROP B_TERM_INT_X99Y0 INT_TILE_X 39 TILEPROP B_TERM_INT_X99Y0 INT_TILE_Y 149 TILEPROP B_TERM_INT_X99Y0 IS_CENTER_TILE 0 TILEPROP B_TERM_INT_X99Y0 IS_DCM_TILE 0 TILEPROP B_TERM_INT_X99Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP B_TERM_INT_X99Y0 IS_GT_SITE_TILE 0 TILEPROP B_TERM_INT_X99Y0 NAME B_TERM_INT_X99Y0 TILEPROP B_TERM_INT_X99Y0 NUM_ARCS 0 TILEPROP B_TERM_INT_X99Y0 NUM_SITES 0 TILEPROP B_TERM_INT_X99Y0 ROW 156 TILEPROP B_TERM_INT_X99Y0 SLR_REGION_ID 0 TILEPROP B_TERM_INT_X99Y0 TILE_PATTERN_IDX 3540 TILEPROP B_TERM_INT_X99Y0 TILE_TYPE B_TERM_INT TILEPROP B_TERM_INT_X99Y0 TILE_TYPE_INDEX 14 TILEPROP B_TERM_INT_X99Y0 TILE_X 82846 TILEPROP B_TERM_INT_X99Y0 TILE_Y -242872 TILEPROP B_TERM_INT_X99Y0 TYPE B_TERM_INT TILEPROP CFG_CENTER_BOT_X46Y11 CLASS tile TILEPROP CFG_CENTER_BOT_X46Y11 COLUMN 46 TILEPROP CFG_CENTER_BOT_X46Y11 DEVICE_ID 0 TILEPROP CFG_CENTER_BOT_X46Y11 FIRST_SITE_ID 14699 TILEPROP CFG_CENTER_BOT_X46Y11 GRID_POINT_X 46 TILEPROP CFG_CENTER_BOT_X46Y11 GRID_POINT_Y 145 TILEPROP CFG_CENTER_BOT_X46Y11 INDEX 16721 TILEPROP CFG_CENTER_BOT_X46Y11 INT_TILE_X -1 TILEPROP CFG_CENTER_BOT_X46Y11 INT_TILE_Y -1 TILEPROP CFG_CENTER_BOT_X46Y11 IS_CENTER_TILE 0 TILEPROP CFG_CENTER_BOT_X46Y11 IS_DCM_TILE 0 TILEPROP CFG_CENTER_BOT_X46Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CFG_CENTER_BOT_X46Y11 IS_GT_SITE_TILE 0 TILEPROP CFG_CENTER_BOT_X46Y11 NAME CFG_CENTER_BOT_X46Y11 TILEPROP CFG_CENTER_BOT_X46Y11 NUM_ARCS 14 TILEPROP CFG_CENTER_BOT_X46Y11 NUM_SITES 0 TILEPROP CFG_CENTER_BOT_X46Y11 ROW 145 TILEPROP CFG_CENTER_BOT_X46Y11 SLR_REGION_ID 0 TILEPROP CFG_CENTER_BOT_X46Y11 TILE_PATTERN_IDX 3141 TILEPROP CFG_CENTER_BOT_X46Y11 TILE_TYPE CFG_CENTER_BOT TILEPROP CFG_CENTER_BOT_X46Y11 TILE_TYPE_INDEX 15 TILEPROP CFG_CENTER_BOT_X46Y11 TILE_X -17084 TILEPROP CFG_CENTER_BOT_X46Y11 TILE_Y -209272 TILEPROP CFG_CENTER_BOT_X46Y11 TYPE CFG_CENTER_BOT TILEPROP CFG_CENTER_MID_X46Y32 CLASS tile TILEPROP CFG_CENTER_MID_X46Y32 COLUMN 46 TILEPROP CFG_CENTER_MID_X46Y32 DEVICE_ID 0 TILEPROP CFG_CENTER_MID_X46Y32 FIRST_SITE_ID 12486 TILEPROP CFG_CENTER_MID_X46Y32 GRID_POINT_X 46 TILEPROP CFG_CENTER_MID_X46Y32 GRID_POINT_Y 124 TILEPROP CFG_CENTER_MID_X46Y32 INDEX 14306 TILEPROP CFG_CENTER_MID_X46Y32 INT_TILE_X -1 TILEPROP CFG_CENTER_MID_X46Y32 INT_TILE_Y -1 TILEPROP CFG_CENTER_MID_X46Y32 IS_CENTER_TILE 0 TILEPROP CFG_CENTER_MID_X46Y32 IS_DCM_TILE 0 TILEPROP CFG_CENTER_MID_X46Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CFG_CENTER_MID_X46Y32 IS_GT_SITE_TILE 0 TILEPROP CFG_CENTER_MID_X46Y32 NAME CFG_CENTER_MID_X46Y32 TILEPROP CFG_CENTER_MID_X46Y32 NUM_ARCS 334 TILEPROP CFG_CENTER_MID_X46Y32 NUM_SITES 13 TILEPROP CFG_CENTER_MID_X46Y32 ROW 124 TILEPROP CFG_CENTER_MID_X46Y32 SLR_REGION_ID 0 TILEPROP CFG_CENTER_MID_X46Y32 TILE_PATTERN_IDX 2372 TILEPROP CFG_CENTER_MID_X46Y32 TILE_TYPE CFG_CENTER_MID TILEPROP CFG_CENTER_MID_X46Y32 TILE_TYPE_INDEX 16 TILEPROP CFG_CENTER_MID_X46Y32 TILE_X -17084 TILEPROP CFG_CENTER_MID_X46Y32 TILE_Y -144760 TILEPROP CFG_CENTER_MID_X46Y32 TYPE CFG_CENTER_MID TILEPROP CFG_CENTER_TOP_X46Y42 CLASS tile TILEPROP CFG_CENTER_TOP_X46Y42 COLUMN 46 TILEPROP CFG_CENTER_TOP_X46Y42 DEVICE_ID 0 TILEPROP CFG_CENTER_TOP_X46Y42 FIRST_SITE_ID 11417 TILEPROP CFG_CENTER_TOP_X46Y42 GRID_POINT_X 46 TILEPROP CFG_CENTER_TOP_X46Y42 GRID_POINT_Y 114 TILEPROP CFG_CENTER_TOP_X46Y42 INDEX 13156 TILEPROP CFG_CENTER_TOP_X46Y42 INT_TILE_X -1 TILEPROP CFG_CENTER_TOP_X46Y42 INT_TILE_Y -1 TILEPROP CFG_CENTER_TOP_X46Y42 IS_CENTER_TILE 0 TILEPROP CFG_CENTER_TOP_X46Y42 IS_DCM_TILE 0 TILEPROP CFG_CENTER_TOP_X46Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CFG_CENTER_TOP_X46Y42 IS_GT_SITE_TILE 0 TILEPROP CFG_CENTER_TOP_X46Y42 NAME CFG_CENTER_TOP_X46Y42 TILEPROP CFG_CENTER_TOP_X46Y42 NUM_ARCS 59 TILEPROP CFG_CENTER_TOP_X46Y42 NUM_SITES 2 TILEPROP CFG_CENTER_TOP_X46Y42 ROW 114 TILEPROP CFG_CENTER_TOP_X46Y42 SLR_REGION_ID 0 TILEPROP CFG_CENTER_TOP_X46Y42 TILE_PATTERN_IDX 2009 TILEPROP CFG_CENTER_TOP_X46Y42 TILE_TYPE CFG_CENTER_TOP TILEPROP CFG_CENTER_TOP_X46Y42 TILE_TYPE_INDEX 17 TILEPROP CFG_CENTER_TOP_X46Y42 TILE_X -17084 TILEPROP CFG_CENTER_TOP_X46Y42 TILE_Y -96248 TILEPROP CFG_CENTER_TOP_X46Y42 TYPE CFG_CENTER_TOP TILEPROP CLBLL_L_X12Y100 CLASS tile TILEPROP CLBLL_L_X12Y100 COLUMN 35 TILEPROP CLBLL_L_X12Y100 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y100 FIRST_SITE_ID 5025 TILEPROP CLBLL_L_X12Y100 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y100 GRID_POINT_Y 51 TILEPROP CLBLL_L_X12Y100 INDEX 5900 TILEPROP CLBLL_L_X12Y100 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y100 INT_TILE_Y 49 TILEPROP CLBLL_L_X12Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y100 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y100 NAME CLBLL_L_X12Y100 TILEPROP CLBLL_L_X12Y100 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y100 NUM_SITES 2 TILEPROP CLBLL_L_X12Y100 ROW 51 TILEPROP CLBLL_L_X12Y100 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y100 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X12Y100 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y100 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y100 TILE_X -41300 TILEPROP CLBLL_L_X12Y100 TILE_Y 82872 TILEPROP CLBLL_L_X12Y100 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y101 CLASS tile TILEPROP CLBLL_L_X12Y101 COLUMN 35 TILEPROP CLBLL_L_X12Y101 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y101 FIRST_SITE_ID 4927 TILEPROP CLBLL_L_X12Y101 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y101 GRID_POINT_Y 50 TILEPROP CLBLL_L_X12Y101 INDEX 5785 TILEPROP CLBLL_L_X12Y101 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y101 INT_TILE_Y 48 TILEPROP CLBLL_L_X12Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y101 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y101 NAME CLBLL_L_X12Y101 TILEPROP CLBLL_L_X12Y101 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y101 NUM_SITES 2 TILEPROP CLBLL_L_X12Y101 ROW 50 TILEPROP CLBLL_L_X12Y101 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y101 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y101 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y101 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y101 TILE_X -41300 TILEPROP CLBLL_L_X12Y101 TILE_Y 86072 TILEPROP CLBLL_L_X12Y101 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y102 CLASS tile TILEPROP CLBLL_L_X12Y102 COLUMN 35 TILEPROP CLBLL_L_X12Y102 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y102 FIRST_SITE_ID 4831 TILEPROP CLBLL_L_X12Y102 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y102 GRID_POINT_Y 49 TILEPROP CLBLL_L_X12Y102 INDEX 5670 TILEPROP CLBLL_L_X12Y102 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y102 INT_TILE_Y 47 TILEPROP CLBLL_L_X12Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y102 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y102 NAME CLBLL_L_X12Y102 TILEPROP CLBLL_L_X12Y102 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y102 NUM_SITES 2 TILEPROP CLBLL_L_X12Y102 ROW 49 TILEPROP CLBLL_L_X12Y102 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y102 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y102 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y102 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y102 TILE_X -41300 TILEPROP CLBLL_L_X12Y102 TILE_Y 89272 TILEPROP CLBLL_L_X12Y102 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y103 CLASS tile TILEPROP CLBLL_L_X12Y103 COLUMN 35 TILEPROP CLBLL_L_X12Y103 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y103 FIRST_SITE_ID 4743 TILEPROP CLBLL_L_X12Y103 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y103 GRID_POINT_Y 48 TILEPROP CLBLL_L_X12Y103 INDEX 5555 TILEPROP CLBLL_L_X12Y103 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y103 INT_TILE_Y 46 TILEPROP CLBLL_L_X12Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y103 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y103 NAME CLBLL_L_X12Y103 TILEPROP CLBLL_L_X12Y103 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y103 NUM_SITES 2 TILEPROP CLBLL_L_X12Y103 ROW 48 TILEPROP CLBLL_L_X12Y103 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y103 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y103 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y103 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y103 TILE_X -41300 TILEPROP CLBLL_L_X12Y103 TILE_Y 92472 TILEPROP CLBLL_L_X12Y103 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y104 CLASS tile TILEPROP CLBLL_L_X12Y104 COLUMN 35 TILEPROP CLBLL_L_X12Y104 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y104 FIRST_SITE_ID 4647 TILEPROP CLBLL_L_X12Y104 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y104 GRID_POINT_Y 47 TILEPROP CLBLL_L_X12Y104 INDEX 5440 TILEPROP CLBLL_L_X12Y104 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y104 INT_TILE_Y 45 TILEPROP CLBLL_L_X12Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y104 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y104 NAME CLBLL_L_X12Y104 TILEPROP CLBLL_L_X12Y104 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y104 NUM_SITES 2 TILEPROP CLBLL_L_X12Y104 ROW 47 TILEPROP CLBLL_L_X12Y104 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y104 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y104 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y104 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y104 TILE_X -41300 TILEPROP CLBLL_L_X12Y104 TILE_Y 95672 TILEPROP CLBLL_L_X12Y104 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y105 CLASS tile TILEPROP CLBLL_L_X12Y105 COLUMN 35 TILEPROP CLBLL_L_X12Y105 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y105 FIRST_SITE_ID 4551 TILEPROP CLBLL_L_X12Y105 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y105 GRID_POINT_Y 46 TILEPROP CLBLL_L_X12Y105 INDEX 5325 TILEPROP CLBLL_L_X12Y105 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y105 INT_TILE_Y 44 TILEPROP CLBLL_L_X12Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y105 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y105 NAME CLBLL_L_X12Y105 TILEPROP CLBLL_L_X12Y105 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y105 NUM_SITES 2 TILEPROP CLBLL_L_X12Y105 ROW 46 TILEPROP CLBLL_L_X12Y105 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y105 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y105 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y105 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y105 TILE_X -41300 TILEPROP CLBLL_L_X12Y105 TILE_Y 98872 TILEPROP CLBLL_L_X12Y105 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y106 CLASS tile TILEPROP CLBLL_L_X12Y106 COLUMN 35 TILEPROP CLBLL_L_X12Y106 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y106 FIRST_SITE_ID 4449 TILEPROP CLBLL_L_X12Y106 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y106 GRID_POINT_Y 45 TILEPROP CLBLL_L_X12Y106 INDEX 5210 TILEPROP CLBLL_L_X12Y106 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y106 INT_TILE_Y 43 TILEPROP CLBLL_L_X12Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y106 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y106 NAME CLBLL_L_X12Y106 TILEPROP CLBLL_L_X12Y106 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y106 NUM_SITES 2 TILEPROP CLBLL_L_X12Y106 ROW 45 TILEPROP CLBLL_L_X12Y106 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y106 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y106 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y106 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y106 TILE_X -41300 TILEPROP CLBLL_L_X12Y106 TILE_Y 102072 TILEPROP CLBLL_L_X12Y106 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y107 CLASS tile TILEPROP CLBLL_L_X12Y107 COLUMN 35 TILEPROP CLBLL_L_X12Y107 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y107 FIRST_SITE_ID 4361 TILEPROP CLBLL_L_X12Y107 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y107 GRID_POINT_Y 44 TILEPROP CLBLL_L_X12Y107 INDEX 5095 TILEPROP CLBLL_L_X12Y107 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y107 INT_TILE_Y 42 TILEPROP CLBLL_L_X12Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y107 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y107 NAME CLBLL_L_X12Y107 TILEPROP CLBLL_L_X12Y107 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y107 NUM_SITES 2 TILEPROP CLBLL_L_X12Y107 ROW 44 TILEPROP CLBLL_L_X12Y107 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y107 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y107 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y107 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y107 TILE_X -41300 TILEPROP CLBLL_L_X12Y107 TILE_Y 105272 TILEPROP CLBLL_L_X12Y107 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y108 CLASS tile TILEPROP CLBLL_L_X12Y108 COLUMN 35 TILEPROP CLBLL_L_X12Y108 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y108 FIRST_SITE_ID 4263 TILEPROP CLBLL_L_X12Y108 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y108 GRID_POINT_Y 43 TILEPROP CLBLL_L_X12Y108 INDEX 4980 TILEPROP CLBLL_L_X12Y108 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y108 INT_TILE_Y 41 TILEPROP CLBLL_L_X12Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y108 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y108 NAME CLBLL_L_X12Y108 TILEPROP CLBLL_L_X12Y108 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y108 NUM_SITES 2 TILEPROP CLBLL_L_X12Y108 ROW 43 TILEPROP CLBLL_L_X12Y108 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y108 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y108 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y108 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y108 TILE_X -41300 TILEPROP CLBLL_L_X12Y108 TILE_Y 108472 TILEPROP CLBLL_L_X12Y108 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y109 CLASS tile TILEPROP CLBLL_L_X12Y109 COLUMN 35 TILEPROP CLBLL_L_X12Y109 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y109 FIRST_SITE_ID 4174 TILEPROP CLBLL_L_X12Y109 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y109 GRID_POINT_Y 42 TILEPROP CLBLL_L_X12Y109 INDEX 4865 TILEPROP CLBLL_L_X12Y109 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y109 INT_TILE_Y 40 TILEPROP CLBLL_L_X12Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y109 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y109 NAME CLBLL_L_X12Y109 TILEPROP CLBLL_L_X12Y109 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y109 NUM_SITES 2 TILEPROP CLBLL_L_X12Y109 ROW 42 TILEPROP CLBLL_L_X12Y109 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y109 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y109 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y109 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y109 TILE_X -41300 TILEPROP CLBLL_L_X12Y109 TILE_Y 111672 TILEPROP CLBLL_L_X12Y109 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y110 CLASS tile TILEPROP CLBLL_L_X12Y110 COLUMN 35 TILEPROP CLBLL_L_X12Y110 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y110 FIRST_SITE_ID 4074 TILEPROP CLBLL_L_X12Y110 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y110 GRID_POINT_Y 41 TILEPROP CLBLL_L_X12Y110 INDEX 4750 TILEPROP CLBLL_L_X12Y110 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y110 INT_TILE_Y 39 TILEPROP CLBLL_L_X12Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y110 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y110 NAME CLBLL_L_X12Y110 TILEPROP CLBLL_L_X12Y110 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y110 NUM_SITES 2 TILEPROP CLBLL_L_X12Y110 ROW 41 TILEPROP CLBLL_L_X12Y110 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y110 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y110 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y110 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y110 TILE_X -41300 TILEPROP CLBLL_L_X12Y110 TILE_Y 114872 TILEPROP CLBLL_L_X12Y110 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y111 CLASS tile TILEPROP CLBLL_L_X12Y111 COLUMN 35 TILEPROP CLBLL_L_X12Y111 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y111 FIRST_SITE_ID 3980 TILEPROP CLBLL_L_X12Y111 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y111 GRID_POINT_Y 40 TILEPROP CLBLL_L_X12Y111 INDEX 4635 TILEPROP CLBLL_L_X12Y111 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y111 INT_TILE_Y 38 TILEPROP CLBLL_L_X12Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y111 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y111 NAME CLBLL_L_X12Y111 TILEPROP CLBLL_L_X12Y111 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y111 NUM_SITES 2 TILEPROP CLBLL_L_X12Y111 ROW 40 TILEPROP CLBLL_L_X12Y111 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y111 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y111 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y111 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y111 TILE_X -41300 TILEPROP CLBLL_L_X12Y111 TILE_Y 118072 TILEPROP CLBLL_L_X12Y111 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y112 CLASS tile TILEPROP CLBLL_L_X12Y112 COLUMN 35 TILEPROP CLBLL_L_X12Y112 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y112 FIRST_SITE_ID 3852 TILEPROP CLBLL_L_X12Y112 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y112 GRID_POINT_Y 39 TILEPROP CLBLL_L_X12Y112 INDEX 4520 TILEPROP CLBLL_L_X12Y112 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y112 INT_TILE_Y 37 TILEPROP CLBLL_L_X12Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y112 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y112 NAME CLBLL_L_X12Y112 TILEPROP CLBLL_L_X12Y112 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y112 NUM_SITES 2 TILEPROP CLBLL_L_X12Y112 ROW 39 TILEPROP CLBLL_L_X12Y112 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y112 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y112 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y112 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y112 TILE_X -41300 TILEPROP CLBLL_L_X12Y112 TILE_Y 121272 TILEPROP CLBLL_L_X12Y112 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y113 CLASS tile TILEPROP CLBLL_L_X12Y113 COLUMN 35 TILEPROP CLBLL_L_X12Y113 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y113 FIRST_SITE_ID 3764 TILEPROP CLBLL_L_X12Y113 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y113 GRID_POINT_Y 38 TILEPROP CLBLL_L_X12Y113 INDEX 4405 TILEPROP CLBLL_L_X12Y113 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y113 INT_TILE_Y 36 TILEPROP CLBLL_L_X12Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y113 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y113 NAME CLBLL_L_X12Y113 TILEPROP CLBLL_L_X12Y113 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y113 NUM_SITES 2 TILEPROP CLBLL_L_X12Y113 ROW 38 TILEPROP CLBLL_L_X12Y113 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y113 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y113 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y113 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y113 TILE_X -41300 TILEPROP CLBLL_L_X12Y113 TILE_Y 124472 TILEPROP CLBLL_L_X12Y113 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y114 CLASS tile TILEPROP CLBLL_L_X12Y114 COLUMN 35 TILEPROP CLBLL_L_X12Y114 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y114 FIRST_SITE_ID 3668 TILEPROP CLBLL_L_X12Y114 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y114 GRID_POINT_Y 37 TILEPROP CLBLL_L_X12Y114 INDEX 4290 TILEPROP CLBLL_L_X12Y114 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y114 INT_TILE_Y 35 TILEPROP CLBLL_L_X12Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y114 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y114 NAME CLBLL_L_X12Y114 TILEPROP CLBLL_L_X12Y114 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y114 NUM_SITES 2 TILEPROP CLBLL_L_X12Y114 ROW 37 TILEPROP CLBLL_L_X12Y114 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y114 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y114 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y114 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y114 TILE_X -41300 TILEPROP CLBLL_L_X12Y114 TILE_Y 127672 TILEPROP CLBLL_L_X12Y114 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y115 CLASS tile TILEPROP CLBLL_L_X12Y115 COLUMN 35 TILEPROP CLBLL_L_X12Y115 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y115 FIRST_SITE_ID 3577 TILEPROP CLBLL_L_X12Y115 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y115 GRID_POINT_Y 36 TILEPROP CLBLL_L_X12Y115 INDEX 4175 TILEPROP CLBLL_L_X12Y115 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y115 INT_TILE_Y 34 TILEPROP CLBLL_L_X12Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y115 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y115 NAME CLBLL_L_X12Y115 TILEPROP CLBLL_L_X12Y115 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y115 NUM_SITES 2 TILEPROP CLBLL_L_X12Y115 ROW 36 TILEPROP CLBLL_L_X12Y115 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y115 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y115 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y115 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y115 TILE_X -41300 TILEPROP CLBLL_L_X12Y115 TILE_Y 130872 TILEPROP CLBLL_L_X12Y115 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y116 CLASS tile TILEPROP CLBLL_L_X12Y116 COLUMN 35 TILEPROP CLBLL_L_X12Y116 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y116 FIRST_SITE_ID 3470 TILEPROP CLBLL_L_X12Y116 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y116 GRID_POINT_Y 35 TILEPROP CLBLL_L_X12Y116 INDEX 4060 TILEPROP CLBLL_L_X12Y116 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y116 INT_TILE_Y 33 TILEPROP CLBLL_L_X12Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y116 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y116 NAME CLBLL_L_X12Y116 TILEPROP CLBLL_L_X12Y116 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y116 NUM_SITES 2 TILEPROP CLBLL_L_X12Y116 ROW 35 TILEPROP CLBLL_L_X12Y116 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y116 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y116 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y116 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y116 TILE_X -41300 TILEPROP CLBLL_L_X12Y116 TILE_Y 134072 TILEPROP CLBLL_L_X12Y116 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y117 CLASS tile TILEPROP CLBLL_L_X12Y117 COLUMN 35 TILEPROP CLBLL_L_X12Y117 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y117 FIRST_SITE_ID 3382 TILEPROP CLBLL_L_X12Y117 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y117 GRID_POINT_Y 34 TILEPROP CLBLL_L_X12Y117 INDEX 3945 TILEPROP CLBLL_L_X12Y117 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y117 INT_TILE_Y 32 TILEPROP CLBLL_L_X12Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y117 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y117 NAME CLBLL_L_X12Y117 TILEPROP CLBLL_L_X12Y117 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y117 NUM_SITES 2 TILEPROP CLBLL_L_X12Y117 ROW 34 TILEPROP CLBLL_L_X12Y117 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y117 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y117 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y117 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y117 TILE_X -41300 TILEPROP CLBLL_L_X12Y117 TILE_Y 137272 TILEPROP CLBLL_L_X12Y117 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y118 CLASS tile TILEPROP CLBLL_L_X12Y118 COLUMN 35 TILEPROP CLBLL_L_X12Y118 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y118 FIRST_SITE_ID 3282 TILEPROP CLBLL_L_X12Y118 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y118 GRID_POINT_Y 33 TILEPROP CLBLL_L_X12Y118 INDEX 3830 TILEPROP CLBLL_L_X12Y118 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y118 INT_TILE_Y 31 TILEPROP CLBLL_L_X12Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y118 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y118 NAME CLBLL_L_X12Y118 TILEPROP CLBLL_L_X12Y118 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y118 NUM_SITES 2 TILEPROP CLBLL_L_X12Y118 ROW 33 TILEPROP CLBLL_L_X12Y118 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y118 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y118 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y118 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y118 TILE_X -41300 TILEPROP CLBLL_L_X12Y118 TILE_Y 140472 TILEPROP CLBLL_L_X12Y118 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y119 CLASS tile TILEPROP CLBLL_L_X12Y119 COLUMN 35 TILEPROP CLBLL_L_X12Y119 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y119 FIRST_SITE_ID 3194 TILEPROP CLBLL_L_X12Y119 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y119 GRID_POINT_Y 32 TILEPROP CLBLL_L_X12Y119 INDEX 3715 TILEPROP CLBLL_L_X12Y119 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y119 INT_TILE_Y 30 TILEPROP CLBLL_L_X12Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y119 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y119 NAME CLBLL_L_X12Y119 TILEPROP CLBLL_L_X12Y119 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y119 NUM_SITES 2 TILEPROP CLBLL_L_X12Y119 ROW 32 TILEPROP CLBLL_L_X12Y119 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y119 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y119 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y119 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y119 TILE_X -41300 TILEPROP CLBLL_L_X12Y119 TILE_Y 143672 TILEPROP CLBLL_L_X12Y119 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y120 CLASS tile TILEPROP CLBLL_L_X12Y120 COLUMN 35 TILEPROP CLBLL_L_X12Y120 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y120 FIRST_SITE_ID 3093 TILEPROP CLBLL_L_X12Y120 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y120 GRID_POINT_Y 31 TILEPROP CLBLL_L_X12Y120 INDEX 3600 TILEPROP CLBLL_L_X12Y120 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y120 INT_TILE_Y 29 TILEPROP CLBLL_L_X12Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y120 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y120 NAME CLBLL_L_X12Y120 TILEPROP CLBLL_L_X12Y120 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y120 NUM_SITES 2 TILEPROP CLBLL_L_X12Y120 ROW 31 TILEPROP CLBLL_L_X12Y120 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y120 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y120 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y120 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y120 TILE_X -41300 TILEPROP CLBLL_L_X12Y120 TILE_Y 146872 TILEPROP CLBLL_L_X12Y120 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y121 CLASS tile TILEPROP CLBLL_L_X12Y121 COLUMN 35 TILEPROP CLBLL_L_X12Y121 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y121 FIRST_SITE_ID 2999 TILEPROP CLBLL_L_X12Y121 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y121 GRID_POINT_Y 30 TILEPROP CLBLL_L_X12Y121 INDEX 3485 TILEPROP CLBLL_L_X12Y121 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y121 INT_TILE_Y 28 TILEPROP CLBLL_L_X12Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y121 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y121 NAME CLBLL_L_X12Y121 TILEPROP CLBLL_L_X12Y121 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y121 NUM_SITES 2 TILEPROP CLBLL_L_X12Y121 ROW 30 TILEPROP CLBLL_L_X12Y121 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y121 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y121 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y121 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y121 TILE_X -41300 TILEPROP CLBLL_L_X12Y121 TILE_Y 150072 TILEPROP CLBLL_L_X12Y121 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y122 CLASS tile TILEPROP CLBLL_L_X12Y122 COLUMN 35 TILEPROP CLBLL_L_X12Y122 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y122 FIRST_SITE_ID 2896 TILEPROP CLBLL_L_X12Y122 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y122 GRID_POINT_Y 29 TILEPROP CLBLL_L_X12Y122 INDEX 3370 TILEPROP CLBLL_L_X12Y122 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y122 INT_TILE_Y 27 TILEPROP CLBLL_L_X12Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y122 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y122 NAME CLBLL_L_X12Y122 TILEPROP CLBLL_L_X12Y122 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y122 NUM_SITES 2 TILEPROP CLBLL_L_X12Y122 ROW 29 TILEPROP CLBLL_L_X12Y122 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y122 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y122 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y122 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y122 TILE_X -41300 TILEPROP CLBLL_L_X12Y122 TILE_Y 153272 TILEPROP CLBLL_L_X12Y122 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y123 CLASS tile TILEPROP CLBLL_L_X12Y123 COLUMN 35 TILEPROP CLBLL_L_X12Y123 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y123 FIRST_SITE_ID 2808 TILEPROP CLBLL_L_X12Y123 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y123 GRID_POINT_Y 28 TILEPROP CLBLL_L_X12Y123 INDEX 3255 TILEPROP CLBLL_L_X12Y123 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y123 INT_TILE_Y 26 TILEPROP CLBLL_L_X12Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y123 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y123 NAME CLBLL_L_X12Y123 TILEPROP CLBLL_L_X12Y123 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y123 NUM_SITES 2 TILEPROP CLBLL_L_X12Y123 ROW 28 TILEPROP CLBLL_L_X12Y123 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y123 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y123 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y123 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y123 TILE_X -41300 TILEPROP CLBLL_L_X12Y123 TILE_Y 156472 TILEPROP CLBLL_L_X12Y123 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y124 CLASS tile TILEPROP CLBLL_L_X12Y124 COLUMN 35 TILEPROP CLBLL_L_X12Y124 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y124 FIRST_SITE_ID 2712 TILEPROP CLBLL_L_X12Y124 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y124 GRID_POINT_Y 27 TILEPROP CLBLL_L_X12Y124 INDEX 3140 TILEPROP CLBLL_L_X12Y124 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y124 INT_TILE_Y 25 TILEPROP CLBLL_L_X12Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y124 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y124 NAME CLBLL_L_X12Y124 TILEPROP CLBLL_L_X12Y124 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y124 NUM_SITES 2 TILEPROP CLBLL_L_X12Y124 ROW 27 TILEPROP CLBLL_L_X12Y124 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y124 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X12Y124 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y124 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y124 TILE_X -41300 TILEPROP CLBLL_L_X12Y124 TILE_Y 159672 TILEPROP CLBLL_L_X12Y124 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y125 CLASS tile TILEPROP CLBLL_L_X12Y125 COLUMN 35 TILEPROP CLBLL_L_X12Y125 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y125 FIRST_SITE_ID 2539 TILEPROP CLBLL_L_X12Y125 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y125 GRID_POINT_Y 25 TILEPROP CLBLL_L_X12Y125 INDEX 2910 TILEPROP CLBLL_L_X12Y125 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y125 INT_TILE_Y 24 TILEPROP CLBLL_L_X12Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y125 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y125 NAME CLBLL_L_X12Y125 TILEPROP CLBLL_L_X12Y125 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y125 NUM_SITES 2 TILEPROP CLBLL_L_X12Y125 ROW 25 TILEPROP CLBLL_L_X12Y125 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y125 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X12Y125 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y125 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y125 TILE_X -41300 TILEPROP CLBLL_L_X12Y125 TILE_Y 163896 TILEPROP CLBLL_L_X12Y125 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y126 CLASS tile TILEPROP CLBLL_L_X12Y126 COLUMN 35 TILEPROP CLBLL_L_X12Y126 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y126 FIRST_SITE_ID 2429 TILEPROP CLBLL_L_X12Y126 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y126 GRID_POINT_Y 24 TILEPROP CLBLL_L_X12Y126 INDEX 2795 TILEPROP CLBLL_L_X12Y126 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y126 INT_TILE_Y 23 TILEPROP CLBLL_L_X12Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y126 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y126 NAME CLBLL_L_X12Y126 TILEPROP CLBLL_L_X12Y126 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y126 NUM_SITES 2 TILEPROP CLBLL_L_X12Y126 ROW 24 TILEPROP CLBLL_L_X12Y126 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y126 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y126 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y126 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y126 TILE_X -41300 TILEPROP CLBLL_L_X12Y126 TILE_Y 167096 TILEPROP CLBLL_L_X12Y126 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y127 CLASS tile TILEPROP CLBLL_L_X12Y127 COLUMN 35 TILEPROP CLBLL_L_X12Y127 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y127 FIRST_SITE_ID 2333 TILEPROP CLBLL_L_X12Y127 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y127 GRID_POINT_Y 23 TILEPROP CLBLL_L_X12Y127 INDEX 2680 TILEPROP CLBLL_L_X12Y127 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y127 INT_TILE_Y 22 TILEPROP CLBLL_L_X12Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y127 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y127 NAME CLBLL_L_X12Y127 TILEPROP CLBLL_L_X12Y127 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y127 NUM_SITES 2 TILEPROP CLBLL_L_X12Y127 ROW 23 TILEPROP CLBLL_L_X12Y127 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y127 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y127 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y127 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y127 TILE_X -41300 TILEPROP CLBLL_L_X12Y127 TILE_Y 170296 TILEPROP CLBLL_L_X12Y127 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y128 CLASS tile TILEPROP CLBLL_L_X12Y128 COLUMN 35 TILEPROP CLBLL_L_X12Y128 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y128 FIRST_SITE_ID 2229 TILEPROP CLBLL_L_X12Y128 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y128 GRID_POINT_Y 22 TILEPROP CLBLL_L_X12Y128 INDEX 2565 TILEPROP CLBLL_L_X12Y128 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y128 INT_TILE_Y 21 TILEPROP CLBLL_L_X12Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y128 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y128 NAME CLBLL_L_X12Y128 TILEPROP CLBLL_L_X12Y128 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y128 NUM_SITES 2 TILEPROP CLBLL_L_X12Y128 ROW 22 TILEPROP CLBLL_L_X12Y128 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y128 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y128 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y128 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y128 TILE_X -41300 TILEPROP CLBLL_L_X12Y128 TILE_Y 173496 TILEPROP CLBLL_L_X12Y128 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y129 CLASS tile TILEPROP CLBLL_L_X12Y129 COLUMN 35 TILEPROP CLBLL_L_X12Y129 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y129 FIRST_SITE_ID 2133 TILEPROP CLBLL_L_X12Y129 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y129 GRID_POINT_Y 21 TILEPROP CLBLL_L_X12Y129 INDEX 2450 TILEPROP CLBLL_L_X12Y129 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y129 INT_TILE_Y 20 TILEPROP CLBLL_L_X12Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y129 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y129 NAME CLBLL_L_X12Y129 TILEPROP CLBLL_L_X12Y129 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y129 NUM_SITES 2 TILEPROP CLBLL_L_X12Y129 ROW 21 TILEPROP CLBLL_L_X12Y129 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y129 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y129 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y129 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y129 TILE_X -41300 TILEPROP CLBLL_L_X12Y129 TILE_Y 176696 TILEPROP CLBLL_L_X12Y129 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y130 CLASS tile TILEPROP CLBLL_L_X12Y130 COLUMN 35 TILEPROP CLBLL_L_X12Y130 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y130 FIRST_SITE_ID 2017 TILEPROP CLBLL_L_X12Y130 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y130 GRID_POINT_Y 20 TILEPROP CLBLL_L_X12Y130 INDEX 2335 TILEPROP CLBLL_L_X12Y130 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y130 INT_TILE_Y 19 TILEPROP CLBLL_L_X12Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y130 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y130 NAME CLBLL_L_X12Y130 TILEPROP CLBLL_L_X12Y130 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y130 NUM_SITES 2 TILEPROP CLBLL_L_X12Y130 ROW 20 TILEPROP CLBLL_L_X12Y130 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y130 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y130 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y130 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y130 TILE_X -41300 TILEPROP CLBLL_L_X12Y130 TILE_Y 179896 TILEPROP CLBLL_L_X12Y130 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y131 CLASS tile TILEPROP CLBLL_L_X12Y131 COLUMN 35 TILEPROP CLBLL_L_X12Y131 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y131 FIRST_SITE_ID 1915 TILEPROP CLBLL_L_X12Y131 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y131 GRID_POINT_Y 19 TILEPROP CLBLL_L_X12Y131 INDEX 2220 TILEPROP CLBLL_L_X12Y131 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y131 INT_TILE_Y 18 TILEPROP CLBLL_L_X12Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y131 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y131 NAME CLBLL_L_X12Y131 TILEPROP CLBLL_L_X12Y131 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y131 NUM_SITES 2 TILEPROP CLBLL_L_X12Y131 ROW 19 TILEPROP CLBLL_L_X12Y131 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y131 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y131 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y131 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y131 TILE_X -41300 TILEPROP CLBLL_L_X12Y131 TILE_Y 183096 TILEPROP CLBLL_L_X12Y131 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y132 CLASS tile TILEPROP CLBLL_L_X12Y132 COLUMN 35 TILEPROP CLBLL_L_X12Y132 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y132 FIRST_SITE_ID 1809 TILEPROP CLBLL_L_X12Y132 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y132 GRID_POINT_Y 18 TILEPROP CLBLL_L_X12Y132 INDEX 2105 TILEPROP CLBLL_L_X12Y132 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y132 INT_TILE_Y 17 TILEPROP CLBLL_L_X12Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y132 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y132 NAME CLBLL_L_X12Y132 TILEPROP CLBLL_L_X12Y132 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y132 NUM_SITES 2 TILEPROP CLBLL_L_X12Y132 ROW 18 TILEPROP CLBLL_L_X12Y132 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y132 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y132 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y132 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y132 TILE_X -41300 TILEPROP CLBLL_L_X12Y132 TILE_Y 186296 TILEPROP CLBLL_L_X12Y132 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y133 CLASS tile TILEPROP CLBLL_L_X12Y133 COLUMN 35 TILEPROP CLBLL_L_X12Y133 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y133 FIRST_SITE_ID 1708 TILEPROP CLBLL_L_X12Y133 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y133 GRID_POINT_Y 17 TILEPROP CLBLL_L_X12Y133 INDEX 1990 TILEPROP CLBLL_L_X12Y133 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y133 INT_TILE_Y 16 TILEPROP CLBLL_L_X12Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y133 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y133 NAME CLBLL_L_X12Y133 TILEPROP CLBLL_L_X12Y133 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y133 NUM_SITES 2 TILEPROP CLBLL_L_X12Y133 ROW 17 TILEPROP CLBLL_L_X12Y133 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y133 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y133 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y133 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y133 TILE_X -41300 TILEPROP CLBLL_L_X12Y133 TILE_Y 189496 TILEPROP CLBLL_L_X12Y133 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y134 CLASS tile TILEPROP CLBLL_L_X12Y134 COLUMN 35 TILEPROP CLBLL_L_X12Y134 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y134 FIRST_SITE_ID 1604 TILEPROP CLBLL_L_X12Y134 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y134 GRID_POINT_Y 16 TILEPROP CLBLL_L_X12Y134 INDEX 1875 TILEPROP CLBLL_L_X12Y134 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y134 INT_TILE_Y 15 TILEPROP CLBLL_L_X12Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y134 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y134 NAME CLBLL_L_X12Y134 TILEPROP CLBLL_L_X12Y134 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y134 NUM_SITES 2 TILEPROP CLBLL_L_X12Y134 ROW 16 TILEPROP CLBLL_L_X12Y134 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y134 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y134 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y134 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y134 TILE_X -41300 TILEPROP CLBLL_L_X12Y134 TILE_Y 192696 TILEPROP CLBLL_L_X12Y134 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y135 CLASS tile TILEPROP CLBLL_L_X12Y135 COLUMN 35 TILEPROP CLBLL_L_X12Y135 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y135 FIRST_SITE_ID 1502 TILEPROP CLBLL_L_X12Y135 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y135 GRID_POINT_Y 15 TILEPROP CLBLL_L_X12Y135 INDEX 1760 TILEPROP CLBLL_L_X12Y135 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y135 INT_TILE_Y 14 TILEPROP CLBLL_L_X12Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y135 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y135 NAME CLBLL_L_X12Y135 TILEPROP CLBLL_L_X12Y135 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y135 NUM_SITES 2 TILEPROP CLBLL_L_X12Y135 ROW 15 TILEPROP CLBLL_L_X12Y135 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y135 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y135 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y135 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y135 TILE_X -41300 TILEPROP CLBLL_L_X12Y135 TILE_Y 195896 TILEPROP CLBLL_L_X12Y135 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y136 CLASS tile TILEPROP CLBLL_L_X12Y136 COLUMN 35 TILEPROP CLBLL_L_X12Y136 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y136 FIRST_SITE_ID 1360 TILEPROP CLBLL_L_X12Y136 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y136 GRID_POINT_Y 14 TILEPROP CLBLL_L_X12Y136 INDEX 1645 TILEPROP CLBLL_L_X12Y136 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y136 INT_TILE_Y 13 TILEPROP CLBLL_L_X12Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y136 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y136 NAME CLBLL_L_X12Y136 TILEPROP CLBLL_L_X12Y136 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y136 NUM_SITES 2 TILEPROP CLBLL_L_X12Y136 ROW 14 TILEPROP CLBLL_L_X12Y136 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y136 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y136 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y136 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y136 TILE_X -41300 TILEPROP CLBLL_L_X12Y136 TILE_Y 199096 TILEPROP CLBLL_L_X12Y136 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y137 CLASS tile TILEPROP CLBLL_L_X12Y137 COLUMN 35 TILEPROP CLBLL_L_X12Y137 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y137 FIRST_SITE_ID 1264 TILEPROP CLBLL_L_X12Y137 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y137 GRID_POINT_Y 13 TILEPROP CLBLL_L_X12Y137 INDEX 1530 TILEPROP CLBLL_L_X12Y137 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y137 INT_TILE_Y 12 TILEPROP CLBLL_L_X12Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y137 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y137 NAME CLBLL_L_X12Y137 TILEPROP CLBLL_L_X12Y137 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y137 NUM_SITES 2 TILEPROP CLBLL_L_X12Y137 ROW 13 TILEPROP CLBLL_L_X12Y137 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y137 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y137 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y137 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y137 TILE_X -41300 TILEPROP CLBLL_L_X12Y137 TILE_Y 202296 TILEPROP CLBLL_L_X12Y137 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y138 CLASS tile TILEPROP CLBLL_L_X12Y138 COLUMN 35 TILEPROP CLBLL_L_X12Y138 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y138 FIRST_SITE_ID 1160 TILEPROP CLBLL_L_X12Y138 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y138 GRID_POINT_Y 12 TILEPROP CLBLL_L_X12Y138 INDEX 1415 TILEPROP CLBLL_L_X12Y138 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y138 INT_TILE_Y 11 TILEPROP CLBLL_L_X12Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y138 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y138 NAME CLBLL_L_X12Y138 TILEPROP CLBLL_L_X12Y138 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y138 NUM_SITES 2 TILEPROP CLBLL_L_X12Y138 ROW 12 TILEPROP CLBLL_L_X12Y138 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y138 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y138 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y138 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y138 TILE_X -41300 TILEPROP CLBLL_L_X12Y138 TILE_Y 205496 TILEPROP CLBLL_L_X12Y138 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y139 CLASS tile TILEPROP CLBLL_L_X12Y139 COLUMN 35 TILEPROP CLBLL_L_X12Y139 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y139 FIRST_SITE_ID 1064 TILEPROP CLBLL_L_X12Y139 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y139 GRID_POINT_Y 11 TILEPROP CLBLL_L_X12Y139 INDEX 1300 TILEPROP CLBLL_L_X12Y139 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y139 INT_TILE_Y 10 TILEPROP CLBLL_L_X12Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y139 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y139 NAME CLBLL_L_X12Y139 TILEPROP CLBLL_L_X12Y139 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y139 NUM_SITES 2 TILEPROP CLBLL_L_X12Y139 ROW 11 TILEPROP CLBLL_L_X12Y139 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y139 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y139 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y139 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y139 TILE_X -41300 TILEPROP CLBLL_L_X12Y139 TILE_Y 208696 TILEPROP CLBLL_L_X12Y139 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y140 CLASS tile TILEPROP CLBLL_L_X12Y140 COLUMN 35 TILEPROP CLBLL_L_X12Y140 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y140 FIRST_SITE_ID 954 TILEPROP CLBLL_L_X12Y140 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y140 GRID_POINT_Y 10 TILEPROP CLBLL_L_X12Y140 INDEX 1185 TILEPROP CLBLL_L_X12Y140 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y140 INT_TILE_Y 9 TILEPROP CLBLL_L_X12Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y140 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y140 NAME CLBLL_L_X12Y140 TILEPROP CLBLL_L_X12Y140 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y140 NUM_SITES 2 TILEPROP CLBLL_L_X12Y140 ROW 10 TILEPROP CLBLL_L_X12Y140 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y140 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y140 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y140 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y140 TILE_X -41300 TILEPROP CLBLL_L_X12Y140 TILE_Y 211896 TILEPROP CLBLL_L_X12Y140 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y141 CLASS tile TILEPROP CLBLL_L_X12Y141 COLUMN 35 TILEPROP CLBLL_L_X12Y141 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y141 FIRST_SITE_ID 852 TILEPROP CLBLL_L_X12Y141 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y141 GRID_POINT_Y 9 TILEPROP CLBLL_L_X12Y141 INDEX 1070 TILEPROP CLBLL_L_X12Y141 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y141 INT_TILE_Y 8 TILEPROP CLBLL_L_X12Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y141 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y141 NAME CLBLL_L_X12Y141 TILEPROP CLBLL_L_X12Y141 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y141 NUM_SITES 2 TILEPROP CLBLL_L_X12Y141 ROW 9 TILEPROP CLBLL_L_X12Y141 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y141 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y141 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y141 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y141 TILE_X -41300 TILEPROP CLBLL_L_X12Y141 TILE_Y 215096 TILEPROP CLBLL_L_X12Y141 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y142 CLASS tile TILEPROP CLBLL_L_X12Y142 COLUMN 35 TILEPROP CLBLL_L_X12Y142 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y142 FIRST_SITE_ID 748 TILEPROP CLBLL_L_X12Y142 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y142 GRID_POINT_Y 8 TILEPROP CLBLL_L_X12Y142 INDEX 955 TILEPROP CLBLL_L_X12Y142 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y142 INT_TILE_Y 7 TILEPROP CLBLL_L_X12Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y142 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y142 NAME CLBLL_L_X12Y142 TILEPROP CLBLL_L_X12Y142 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y142 NUM_SITES 2 TILEPROP CLBLL_L_X12Y142 ROW 8 TILEPROP CLBLL_L_X12Y142 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y142 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y142 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y142 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y142 TILE_X -41300 TILEPROP CLBLL_L_X12Y142 TILE_Y 218296 TILEPROP CLBLL_L_X12Y142 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y143 CLASS tile TILEPROP CLBLL_L_X12Y143 COLUMN 35 TILEPROP CLBLL_L_X12Y143 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y143 FIRST_SITE_ID 651 TILEPROP CLBLL_L_X12Y143 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y143 GRID_POINT_Y 7 TILEPROP CLBLL_L_X12Y143 INDEX 840 TILEPROP CLBLL_L_X12Y143 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y143 INT_TILE_Y 6 TILEPROP CLBLL_L_X12Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y143 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y143 NAME CLBLL_L_X12Y143 TILEPROP CLBLL_L_X12Y143 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y143 NUM_SITES 2 TILEPROP CLBLL_L_X12Y143 ROW 7 TILEPROP CLBLL_L_X12Y143 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y143 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y143 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y143 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y143 TILE_X -41300 TILEPROP CLBLL_L_X12Y143 TILE_Y 221496 TILEPROP CLBLL_L_X12Y143 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y144 CLASS tile TILEPROP CLBLL_L_X12Y144 COLUMN 35 TILEPROP CLBLL_L_X12Y144 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y144 FIRST_SITE_ID 540 TILEPROP CLBLL_L_X12Y144 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y144 GRID_POINT_Y 6 TILEPROP CLBLL_L_X12Y144 INDEX 725 TILEPROP CLBLL_L_X12Y144 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y144 INT_TILE_Y 5 TILEPROP CLBLL_L_X12Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y144 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y144 NAME CLBLL_L_X12Y144 TILEPROP CLBLL_L_X12Y144 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y144 NUM_SITES 2 TILEPROP CLBLL_L_X12Y144 ROW 6 TILEPROP CLBLL_L_X12Y144 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y144 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y144 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y144 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y144 TILE_X -41300 TILEPROP CLBLL_L_X12Y144 TILE_Y 224696 TILEPROP CLBLL_L_X12Y144 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y145 CLASS tile TILEPROP CLBLL_L_X12Y145 COLUMN 35 TILEPROP CLBLL_L_X12Y145 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y145 FIRST_SITE_ID 438 TILEPROP CLBLL_L_X12Y145 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y145 GRID_POINT_Y 5 TILEPROP CLBLL_L_X12Y145 INDEX 610 TILEPROP CLBLL_L_X12Y145 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y145 INT_TILE_Y 4 TILEPROP CLBLL_L_X12Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y145 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y145 NAME CLBLL_L_X12Y145 TILEPROP CLBLL_L_X12Y145 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y145 NUM_SITES 2 TILEPROP CLBLL_L_X12Y145 ROW 5 TILEPROP CLBLL_L_X12Y145 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y145 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y145 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y145 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y145 TILE_X -41300 TILEPROP CLBLL_L_X12Y145 TILE_Y 227896 TILEPROP CLBLL_L_X12Y145 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y146 CLASS tile TILEPROP CLBLL_L_X12Y146 COLUMN 35 TILEPROP CLBLL_L_X12Y146 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y146 FIRST_SITE_ID 328 TILEPROP CLBLL_L_X12Y146 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y146 GRID_POINT_Y 4 TILEPROP CLBLL_L_X12Y146 INDEX 495 TILEPROP CLBLL_L_X12Y146 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y146 INT_TILE_Y 3 TILEPROP CLBLL_L_X12Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y146 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y146 NAME CLBLL_L_X12Y146 TILEPROP CLBLL_L_X12Y146 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y146 NUM_SITES 2 TILEPROP CLBLL_L_X12Y146 ROW 4 TILEPROP CLBLL_L_X12Y146 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y146 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y146 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y146 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y146 TILE_X -41300 TILEPROP CLBLL_L_X12Y146 TILE_Y 231096 TILEPROP CLBLL_L_X12Y146 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y147 CLASS tile TILEPROP CLBLL_L_X12Y147 COLUMN 35 TILEPROP CLBLL_L_X12Y147 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y147 FIRST_SITE_ID 232 TILEPROP CLBLL_L_X12Y147 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y147 GRID_POINT_Y 3 TILEPROP CLBLL_L_X12Y147 INDEX 380 TILEPROP CLBLL_L_X12Y147 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y147 INT_TILE_Y 2 TILEPROP CLBLL_L_X12Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y147 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y147 NAME CLBLL_L_X12Y147 TILEPROP CLBLL_L_X12Y147 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y147 NUM_SITES 2 TILEPROP CLBLL_L_X12Y147 ROW 3 TILEPROP CLBLL_L_X12Y147 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y147 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y147 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y147 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y147 TILE_X -41300 TILEPROP CLBLL_L_X12Y147 TILE_Y 234296 TILEPROP CLBLL_L_X12Y147 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y148 CLASS tile TILEPROP CLBLL_L_X12Y148 COLUMN 35 TILEPROP CLBLL_L_X12Y148 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y148 FIRST_SITE_ID 128 TILEPROP CLBLL_L_X12Y148 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y148 GRID_POINT_Y 2 TILEPROP CLBLL_L_X12Y148 INDEX 265 TILEPROP CLBLL_L_X12Y148 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y148 INT_TILE_Y 1 TILEPROP CLBLL_L_X12Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y148 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y148 NAME CLBLL_L_X12Y148 TILEPROP CLBLL_L_X12Y148 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y148 NUM_SITES 2 TILEPROP CLBLL_L_X12Y148 ROW 2 TILEPROP CLBLL_L_X12Y148 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y148 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X12Y148 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y148 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y148 TILE_X -41300 TILEPROP CLBLL_L_X12Y148 TILE_Y 237496 TILEPROP CLBLL_L_X12Y148 TYPE CLBLL_L TILEPROP CLBLL_L_X12Y149 CLASS tile TILEPROP CLBLL_L_X12Y149 COLUMN 35 TILEPROP CLBLL_L_X12Y149 DEVICE_ID 0 TILEPROP CLBLL_L_X12Y149 FIRST_SITE_ID 32 TILEPROP CLBLL_L_X12Y149 GRID_POINT_X 35 TILEPROP CLBLL_L_X12Y149 GRID_POINT_Y 1 TILEPROP CLBLL_L_X12Y149 INDEX 150 TILEPROP CLBLL_L_X12Y149 INT_TILE_X 11 TILEPROP CLBLL_L_X12Y149 INT_TILE_Y 0 TILEPROP CLBLL_L_X12Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X12Y149 IS_DCM_TILE 0 TILEPROP CLBLL_L_X12Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X12Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X12Y149 NAME CLBLL_L_X12Y149 TILEPROP CLBLL_L_X12Y149 NUM_ARCS 146 TILEPROP CLBLL_L_X12Y149 NUM_SITES 2 TILEPROP CLBLL_L_X12Y149 ROW 1 TILEPROP CLBLL_L_X12Y149 SLR_REGION_ID 0 TILEPROP CLBLL_L_X12Y149 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X12Y149 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X12Y149 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X12Y149 TILE_X -41300 TILEPROP CLBLL_L_X12Y149 TILE_Y 240696 TILEPROP CLBLL_L_X12Y149 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y100 CLASS tile TILEPROP CLBLL_L_X14Y100 COLUMN 39 TILEPROP CLBLL_L_X14Y100 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y100 FIRST_SITE_ID 5031 TILEPROP CLBLL_L_X14Y100 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y100 GRID_POINT_Y 51 TILEPROP CLBLL_L_X14Y100 INDEX 5904 TILEPROP CLBLL_L_X14Y100 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y100 INT_TILE_Y 49 TILEPROP CLBLL_L_X14Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y100 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y100 NAME CLBLL_L_X14Y100 TILEPROP CLBLL_L_X14Y100 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y100 NUM_SITES 2 TILEPROP CLBLL_L_X14Y100 ROW 51 TILEPROP CLBLL_L_X14Y100 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y100 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X14Y100 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y100 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y100 TILE_X -32996 TILEPROP CLBLL_L_X14Y100 TILE_Y 82872 TILEPROP CLBLL_L_X14Y100 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y101 CLASS tile TILEPROP CLBLL_L_X14Y101 COLUMN 39 TILEPROP CLBLL_L_X14Y101 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y101 FIRST_SITE_ID 4933 TILEPROP CLBLL_L_X14Y101 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y101 GRID_POINT_Y 50 TILEPROP CLBLL_L_X14Y101 INDEX 5789 TILEPROP CLBLL_L_X14Y101 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y101 INT_TILE_Y 48 TILEPROP CLBLL_L_X14Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y101 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y101 NAME CLBLL_L_X14Y101 TILEPROP CLBLL_L_X14Y101 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y101 NUM_SITES 2 TILEPROP CLBLL_L_X14Y101 ROW 50 TILEPROP CLBLL_L_X14Y101 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y101 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y101 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y101 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y101 TILE_X -32996 TILEPROP CLBLL_L_X14Y101 TILE_Y 86072 TILEPROP CLBLL_L_X14Y101 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y102 CLASS tile TILEPROP CLBLL_L_X14Y102 COLUMN 39 TILEPROP CLBLL_L_X14Y102 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y102 FIRST_SITE_ID 4837 TILEPROP CLBLL_L_X14Y102 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y102 GRID_POINT_Y 49 TILEPROP CLBLL_L_X14Y102 INDEX 5674 TILEPROP CLBLL_L_X14Y102 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y102 INT_TILE_Y 47 TILEPROP CLBLL_L_X14Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y102 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y102 NAME CLBLL_L_X14Y102 TILEPROP CLBLL_L_X14Y102 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y102 NUM_SITES 2 TILEPROP CLBLL_L_X14Y102 ROW 49 TILEPROP CLBLL_L_X14Y102 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y102 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y102 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y102 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y102 TILE_X -32996 TILEPROP CLBLL_L_X14Y102 TILE_Y 89272 TILEPROP CLBLL_L_X14Y102 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y103 CLASS tile TILEPROP CLBLL_L_X14Y103 COLUMN 39 TILEPROP CLBLL_L_X14Y103 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y103 FIRST_SITE_ID 4749 TILEPROP CLBLL_L_X14Y103 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y103 GRID_POINT_Y 48 TILEPROP CLBLL_L_X14Y103 INDEX 5559 TILEPROP CLBLL_L_X14Y103 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y103 INT_TILE_Y 46 TILEPROP CLBLL_L_X14Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y103 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y103 NAME CLBLL_L_X14Y103 TILEPROP CLBLL_L_X14Y103 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y103 NUM_SITES 2 TILEPROP CLBLL_L_X14Y103 ROW 48 TILEPROP CLBLL_L_X14Y103 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y103 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y103 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y103 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y103 TILE_X -32996 TILEPROP CLBLL_L_X14Y103 TILE_Y 92472 TILEPROP CLBLL_L_X14Y103 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y104 CLASS tile TILEPROP CLBLL_L_X14Y104 COLUMN 39 TILEPROP CLBLL_L_X14Y104 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y104 FIRST_SITE_ID 4653 TILEPROP CLBLL_L_X14Y104 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y104 GRID_POINT_Y 47 TILEPROP CLBLL_L_X14Y104 INDEX 5444 TILEPROP CLBLL_L_X14Y104 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y104 INT_TILE_Y 45 TILEPROP CLBLL_L_X14Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y104 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y104 NAME CLBLL_L_X14Y104 TILEPROP CLBLL_L_X14Y104 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y104 NUM_SITES 2 TILEPROP CLBLL_L_X14Y104 ROW 47 TILEPROP CLBLL_L_X14Y104 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y104 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y104 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y104 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y104 TILE_X -32996 TILEPROP CLBLL_L_X14Y104 TILE_Y 95672 TILEPROP CLBLL_L_X14Y104 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y105 CLASS tile TILEPROP CLBLL_L_X14Y105 COLUMN 39 TILEPROP CLBLL_L_X14Y105 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y105 FIRST_SITE_ID 4557 TILEPROP CLBLL_L_X14Y105 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y105 GRID_POINT_Y 46 TILEPROP CLBLL_L_X14Y105 INDEX 5329 TILEPROP CLBLL_L_X14Y105 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y105 INT_TILE_Y 44 TILEPROP CLBLL_L_X14Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y105 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y105 NAME CLBLL_L_X14Y105 TILEPROP CLBLL_L_X14Y105 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y105 NUM_SITES 2 TILEPROP CLBLL_L_X14Y105 ROW 46 TILEPROP CLBLL_L_X14Y105 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y105 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y105 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y105 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y105 TILE_X -32996 TILEPROP CLBLL_L_X14Y105 TILE_Y 98872 TILEPROP CLBLL_L_X14Y105 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y106 CLASS tile TILEPROP CLBLL_L_X14Y106 COLUMN 39 TILEPROP CLBLL_L_X14Y106 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y106 FIRST_SITE_ID 4455 TILEPROP CLBLL_L_X14Y106 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y106 GRID_POINT_Y 45 TILEPROP CLBLL_L_X14Y106 INDEX 5214 TILEPROP CLBLL_L_X14Y106 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y106 INT_TILE_Y 43 TILEPROP CLBLL_L_X14Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y106 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y106 NAME CLBLL_L_X14Y106 TILEPROP CLBLL_L_X14Y106 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y106 NUM_SITES 2 TILEPROP CLBLL_L_X14Y106 ROW 45 TILEPROP CLBLL_L_X14Y106 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y106 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y106 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y106 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y106 TILE_X -32996 TILEPROP CLBLL_L_X14Y106 TILE_Y 102072 TILEPROP CLBLL_L_X14Y106 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y107 CLASS tile TILEPROP CLBLL_L_X14Y107 COLUMN 39 TILEPROP CLBLL_L_X14Y107 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y107 FIRST_SITE_ID 4367 TILEPROP CLBLL_L_X14Y107 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y107 GRID_POINT_Y 44 TILEPROP CLBLL_L_X14Y107 INDEX 5099 TILEPROP CLBLL_L_X14Y107 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y107 INT_TILE_Y 42 TILEPROP CLBLL_L_X14Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y107 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y107 NAME CLBLL_L_X14Y107 TILEPROP CLBLL_L_X14Y107 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y107 NUM_SITES 2 TILEPROP CLBLL_L_X14Y107 ROW 44 TILEPROP CLBLL_L_X14Y107 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y107 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y107 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y107 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y107 TILE_X -32996 TILEPROP CLBLL_L_X14Y107 TILE_Y 105272 TILEPROP CLBLL_L_X14Y107 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y108 CLASS tile TILEPROP CLBLL_L_X14Y108 COLUMN 39 TILEPROP CLBLL_L_X14Y108 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y108 FIRST_SITE_ID 4269 TILEPROP CLBLL_L_X14Y108 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y108 GRID_POINT_Y 43 TILEPROP CLBLL_L_X14Y108 INDEX 4984 TILEPROP CLBLL_L_X14Y108 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y108 INT_TILE_Y 41 TILEPROP CLBLL_L_X14Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y108 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y108 NAME CLBLL_L_X14Y108 TILEPROP CLBLL_L_X14Y108 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y108 NUM_SITES 2 TILEPROP CLBLL_L_X14Y108 ROW 43 TILEPROP CLBLL_L_X14Y108 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y108 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y108 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y108 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y108 TILE_X -32996 TILEPROP CLBLL_L_X14Y108 TILE_Y 108472 TILEPROP CLBLL_L_X14Y108 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y109 CLASS tile TILEPROP CLBLL_L_X14Y109 COLUMN 39 TILEPROP CLBLL_L_X14Y109 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y109 FIRST_SITE_ID 4180 TILEPROP CLBLL_L_X14Y109 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y109 GRID_POINT_Y 42 TILEPROP CLBLL_L_X14Y109 INDEX 4869 TILEPROP CLBLL_L_X14Y109 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y109 INT_TILE_Y 40 TILEPROP CLBLL_L_X14Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y109 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y109 NAME CLBLL_L_X14Y109 TILEPROP CLBLL_L_X14Y109 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y109 NUM_SITES 2 TILEPROP CLBLL_L_X14Y109 ROW 42 TILEPROP CLBLL_L_X14Y109 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y109 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y109 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y109 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y109 TILE_X -32996 TILEPROP CLBLL_L_X14Y109 TILE_Y 111672 TILEPROP CLBLL_L_X14Y109 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y110 CLASS tile TILEPROP CLBLL_L_X14Y110 COLUMN 39 TILEPROP CLBLL_L_X14Y110 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y110 FIRST_SITE_ID 4080 TILEPROP CLBLL_L_X14Y110 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y110 GRID_POINT_Y 41 TILEPROP CLBLL_L_X14Y110 INDEX 4754 TILEPROP CLBLL_L_X14Y110 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y110 INT_TILE_Y 39 TILEPROP CLBLL_L_X14Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y110 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y110 NAME CLBLL_L_X14Y110 TILEPROP CLBLL_L_X14Y110 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y110 NUM_SITES 2 TILEPROP CLBLL_L_X14Y110 ROW 41 TILEPROP CLBLL_L_X14Y110 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y110 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y110 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y110 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y110 TILE_X -32996 TILEPROP CLBLL_L_X14Y110 TILE_Y 114872 TILEPROP CLBLL_L_X14Y110 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y111 CLASS tile TILEPROP CLBLL_L_X14Y111 COLUMN 39 TILEPROP CLBLL_L_X14Y111 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y111 FIRST_SITE_ID 3986 TILEPROP CLBLL_L_X14Y111 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y111 GRID_POINT_Y 40 TILEPROP CLBLL_L_X14Y111 INDEX 4639 TILEPROP CLBLL_L_X14Y111 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y111 INT_TILE_Y 38 TILEPROP CLBLL_L_X14Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y111 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y111 NAME CLBLL_L_X14Y111 TILEPROP CLBLL_L_X14Y111 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y111 NUM_SITES 2 TILEPROP CLBLL_L_X14Y111 ROW 40 TILEPROP CLBLL_L_X14Y111 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y111 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y111 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y111 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y111 TILE_X -32996 TILEPROP CLBLL_L_X14Y111 TILE_Y 118072 TILEPROP CLBLL_L_X14Y111 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y112 CLASS tile TILEPROP CLBLL_L_X14Y112 COLUMN 39 TILEPROP CLBLL_L_X14Y112 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y112 FIRST_SITE_ID 3858 TILEPROP CLBLL_L_X14Y112 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y112 GRID_POINT_Y 39 TILEPROP CLBLL_L_X14Y112 INDEX 4524 TILEPROP CLBLL_L_X14Y112 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y112 INT_TILE_Y 37 TILEPROP CLBLL_L_X14Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y112 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y112 NAME CLBLL_L_X14Y112 TILEPROP CLBLL_L_X14Y112 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y112 NUM_SITES 2 TILEPROP CLBLL_L_X14Y112 ROW 39 TILEPROP CLBLL_L_X14Y112 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y112 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y112 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y112 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y112 TILE_X -32996 TILEPROP CLBLL_L_X14Y112 TILE_Y 121272 TILEPROP CLBLL_L_X14Y112 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y113 CLASS tile TILEPROP CLBLL_L_X14Y113 COLUMN 39 TILEPROP CLBLL_L_X14Y113 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y113 FIRST_SITE_ID 3770 TILEPROP CLBLL_L_X14Y113 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y113 GRID_POINT_Y 38 TILEPROP CLBLL_L_X14Y113 INDEX 4409 TILEPROP CLBLL_L_X14Y113 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y113 INT_TILE_Y 36 TILEPROP CLBLL_L_X14Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y113 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y113 NAME CLBLL_L_X14Y113 TILEPROP CLBLL_L_X14Y113 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y113 NUM_SITES 2 TILEPROP CLBLL_L_X14Y113 ROW 38 TILEPROP CLBLL_L_X14Y113 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y113 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y113 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y113 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y113 TILE_X -32996 TILEPROP CLBLL_L_X14Y113 TILE_Y 124472 TILEPROP CLBLL_L_X14Y113 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y114 CLASS tile TILEPROP CLBLL_L_X14Y114 COLUMN 39 TILEPROP CLBLL_L_X14Y114 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y114 FIRST_SITE_ID 3674 TILEPROP CLBLL_L_X14Y114 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y114 GRID_POINT_Y 37 TILEPROP CLBLL_L_X14Y114 INDEX 4294 TILEPROP CLBLL_L_X14Y114 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y114 INT_TILE_Y 35 TILEPROP CLBLL_L_X14Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y114 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y114 NAME CLBLL_L_X14Y114 TILEPROP CLBLL_L_X14Y114 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y114 NUM_SITES 2 TILEPROP CLBLL_L_X14Y114 ROW 37 TILEPROP CLBLL_L_X14Y114 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y114 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y114 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y114 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y114 TILE_X -32996 TILEPROP CLBLL_L_X14Y114 TILE_Y 127672 TILEPROP CLBLL_L_X14Y114 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y115 CLASS tile TILEPROP CLBLL_L_X14Y115 COLUMN 39 TILEPROP CLBLL_L_X14Y115 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y115 FIRST_SITE_ID 3583 TILEPROP CLBLL_L_X14Y115 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y115 GRID_POINT_Y 36 TILEPROP CLBLL_L_X14Y115 INDEX 4179 TILEPROP CLBLL_L_X14Y115 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y115 INT_TILE_Y 34 TILEPROP CLBLL_L_X14Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y115 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y115 NAME CLBLL_L_X14Y115 TILEPROP CLBLL_L_X14Y115 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y115 NUM_SITES 2 TILEPROP CLBLL_L_X14Y115 ROW 36 TILEPROP CLBLL_L_X14Y115 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y115 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y115 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y115 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y115 TILE_X -32996 TILEPROP CLBLL_L_X14Y115 TILE_Y 130872 TILEPROP CLBLL_L_X14Y115 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y116 CLASS tile TILEPROP CLBLL_L_X14Y116 COLUMN 39 TILEPROP CLBLL_L_X14Y116 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y116 FIRST_SITE_ID 3476 TILEPROP CLBLL_L_X14Y116 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y116 GRID_POINT_Y 35 TILEPROP CLBLL_L_X14Y116 INDEX 4064 TILEPROP CLBLL_L_X14Y116 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y116 INT_TILE_Y 33 TILEPROP CLBLL_L_X14Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y116 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y116 NAME CLBLL_L_X14Y116 TILEPROP CLBLL_L_X14Y116 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y116 NUM_SITES 2 TILEPROP CLBLL_L_X14Y116 ROW 35 TILEPROP CLBLL_L_X14Y116 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y116 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y116 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y116 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y116 TILE_X -32996 TILEPROP CLBLL_L_X14Y116 TILE_Y 134072 TILEPROP CLBLL_L_X14Y116 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y117 CLASS tile TILEPROP CLBLL_L_X14Y117 COLUMN 39 TILEPROP CLBLL_L_X14Y117 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y117 FIRST_SITE_ID 3388 TILEPROP CLBLL_L_X14Y117 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y117 GRID_POINT_Y 34 TILEPROP CLBLL_L_X14Y117 INDEX 3949 TILEPROP CLBLL_L_X14Y117 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y117 INT_TILE_Y 32 TILEPROP CLBLL_L_X14Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y117 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y117 NAME CLBLL_L_X14Y117 TILEPROP CLBLL_L_X14Y117 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y117 NUM_SITES 2 TILEPROP CLBLL_L_X14Y117 ROW 34 TILEPROP CLBLL_L_X14Y117 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y117 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y117 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y117 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y117 TILE_X -32996 TILEPROP CLBLL_L_X14Y117 TILE_Y 137272 TILEPROP CLBLL_L_X14Y117 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y118 CLASS tile TILEPROP CLBLL_L_X14Y118 COLUMN 39 TILEPROP CLBLL_L_X14Y118 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y118 FIRST_SITE_ID 3288 TILEPROP CLBLL_L_X14Y118 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y118 GRID_POINT_Y 33 TILEPROP CLBLL_L_X14Y118 INDEX 3834 TILEPROP CLBLL_L_X14Y118 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y118 INT_TILE_Y 31 TILEPROP CLBLL_L_X14Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y118 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y118 NAME CLBLL_L_X14Y118 TILEPROP CLBLL_L_X14Y118 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y118 NUM_SITES 2 TILEPROP CLBLL_L_X14Y118 ROW 33 TILEPROP CLBLL_L_X14Y118 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y118 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y118 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y118 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y118 TILE_X -32996 TILEPROP CLBLL_L_X14Y118 TILE_Y 140472 TILEPROP CLBLL_L_X14Y118 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y119 CLASS tile TILEPROP CLBLL_L_X14Y119 COLUMN 39 TILEPROP CLBLL_L_X14Y119 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y119 FIRST_SITE_ID 3200 TILEPROP CLBLL_L_X14Y119 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y119 GRID_POINT_Y 32 TILEPROP CLBLL_L_X14Y119 INDEX 3719 TILEPROP CLBLL_L_X14Y119 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y119 INT_TILE_Y 30 TILEPROP CLBLL_L_X14Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y119 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y119 NAME CLBLL_L_X14Y119 TILEPROP CLBLL_L_X14Y119 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y119 NUM_SITES 2 TILEPROP CLBLL_L_X14Y119 ROW 32 TILEPROP CLBLL_L_X14Y119 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y119 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y119 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y119 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y119 TILE_X -32996 TILEPROP CLBLL_L_X14Y119 TILE_Y 143672 TILEPROP CLBLL_L_X14Y119 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y120 CLASS tile TILEPROP CLBLL_L_X14Y120 COLUMN 39 TILEPROP CLBLL_L_X14Y120 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y120 FIRST_SITE_ID 3099 TILEPROP CLBLL_L_X14Y120 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y120 GRID_POINT_Y 31 TILEPROP CLBLL_L_X14Y120 INDEX 3604 TILEPROP CLBLL_L_X14Y120 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y120 INT_TILE_Y 29 TILEPROP CLBLL_L_X14Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y120 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y120 NAME CLBLL_L_X14Y120 TILEPROP CLBLL_L_X14Y120 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y120 NUM_SITES 2 TILEPROP CLBLL_L_X14Y120 ROW 31 TILEPROP CLBLL_L_X14Y120 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y120 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y120 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y120 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y120 TILE_X -32996 TILEPROP CLBLL_L_X14Y120 TILE_Y 146872 TILEPROP CLBLL_L_X14Y120 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y121 CLASS tile TILEPROP CLBLL_L_X14Y121 COLUMN 39 TILEPROP CLBLL_L_X14Y121 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y121 FIRST_SITE_ID 3005 TILEPROP CLBLL_L_X14Y121 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y121 GRID_POINT_Y 30 TILEPROP CLBLL_L_X14Y121 INDEX 3489 TILEPROP CLBLL_L_X14Y121 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y121 INT_TILE_Y 28 TILEPROP CLBLL_L_X14Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y121 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y121 NAME CLBLL_L_X14Y121 TILEPROP CLBLL_L_X14Y121 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y121 NUM_SITES 2 TILEPROP CLBLL_L_X14Y121 ROW 30 TILEPROP CLBLL_L_X14Y121 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y121 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y121 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y121 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y121 TILE_X -32996 TILEPROP CLBLL_L_X14Y121 TILE_Y 150072 TILEPROP CLBLL_L_X14Y121 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y122 CLASS tile TILEPROP CLBLL_L_X14Y122 COLUMN 39 TILEPROP CLBLL_L_X14Y122 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y122 FIRST_SITE_ID 2902 TILEPROP CLBLL_L_X14Y122 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y122 GRID_POINT_Y 29 TILEPROP CLBLL_L_X14Y122 INDEX 3374 TILEPROP CLBLL_L_X14Y122 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y122 INT_TILE_Y 27 TILEPROP CLBLL_L_X14Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y122 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y122 NAME CLBLL_L_X14Y122 TILEPROP CLBLL_L_X14Y122 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y122 NUM_SITES 2 TILEPROP CLBLL_L_X14Y122 ROW 29 TILEPROP CLBLL_L_X14Y122 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y122 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y122 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y122 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y122 TILE_X -32996 TILEPROP CLBLL_L_X14Y122 TILE_Y 153272 TILEPROP CLBLL_L_X14Y122 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y123 CLASS tile TILEPROP CLBLL_L_X14Y123 COLUMN 39 TILEPROP CLBLL_L_X14Y123 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y123 FIRST_SITE_ID 2814 TILEPROP CLBLL_L_X14Y123 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y123 GRID_POINT_Y 28 TILEPROP CLBLL_L_X14Y123 INDEX 3259 TILEPROP CLBLL_L_X14Y123 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y123 INT_TILE_Y 26 TILEPROP CLBLL_L_X14Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y123 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y123 NAME CLBLL_L_X14Y123 TILEPROP CLBLL_L_X14Y123 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y123 NUM_SITES 2 TILEPROP CLBLL_L_X14Y123 ROW 28 TILEPROP CLBLL_L_X14Y123 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y123 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y123 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y123 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y123 TILE_X -32996 TILEPROP CLBLL_L_X14Y123 TILE_Y 156472 TILEPROP CLBLL_L_X14Y123 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y124 CLASS tile TILEPROP CLBLL_L_X14Y124 COLUMN 39 TILEPROP CLBLL_L_X14Y124 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y124 FIRST_SITE_ID 2718 TILEPROP CLBLL_L_X14Y124 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y124 GRID_POINT_Y 27 TILEPROP CLBLL_L_X14Y124 INDEX 3144 TILEPROP CLBLL_L_X14Y124 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y124 INT_TILE_Y 25 TILEPROP CLBLL_L_X14Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y124 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y124 NAME CLBLL_L_X14Y124 TILEPROP CLBLL_L_X14Y124 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y124 NUM_SITES 2 TILEPROP CLBLL_L_X14Y124 ROW 27 TILEPROP CLBLL_L_X14Y124 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y124 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X14Y124 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y124 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y124 TILE_X -32996 TILEPROP CLBLL_L_X14Y124 TILE_Y 159672 TILEPROP CLBLL_L_X14Y124 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y125 CLASS tile TILEPROP CLBLL_L_X14Y125 COLUMN 39 TILEPROP CLBLL_L_X14Y125 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y125 FIRST_SITE_ID 2545 TILEPROP CLBLL_L_X14Y125 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y125 GRID_POINT_Y 25 TILEPROP CLBLL_L_X14Y125 INDEX 2914 TILEPROP CLBLL_L_X14Y125 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y125 INT_TILE_Y 24 TILEPROP CLBLL_L_X14Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y125 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y125 NAME CLBLL_L_X14Y125 TILEPROP CLBLL_L_X14Y125 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y125 NUM_SITES 2 TILEPROP CLBLL_L_X14Y125 ROW 25 TILEPROP CLBLL_L_X14Y125 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y125 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X14Y125 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y125 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y125 TILE_X -32996 TILEPROP CLBLL_L_X14Y125 TILE_Y 163896 TILEPROP CLBLL_L_X14Y125 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y126 CLASS tile TILEPROP CLBLL_L_X14Y126 COLUMN 39 TILEPROP CLBLL_L_X14Y126 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y126 FIRST_SITE_ID 2435 TILEPROP CLBLL_L_X14Y126 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y126 GRID_POINT_Y 24 TILEPROP CLBLL_L_X14Y126 INDEX 2799 TILEPROP CLBLL_L_X14Y126 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y126 INT_TILE_Y 23 TILEPROP CLBLL_L_X14Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y126 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y126 NAME CLBLL_L_X14Y126 TILEPROP CLBLL_L_X14Y126 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y126 NUM_SITES 2 TILEPROP CLBLL_L_X14Y126 ROW 24 TILEPROP CLBLL_L_X14Y126 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y126 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y126 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y126 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y126 TILE_X -32996 TILEPROP CLBLL_L_X14Y126 TILE_Y 167096 TILEPROP CLBLL_L_X14Y126 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y127 CLASS tile TILEPROP CLBLL_L_X14Y127 COLUMN 39 TILEPROP CLBLL_L_X14Y127 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y127 FIRST_SITE_ID 2339 TILEPROP CLBLL_L_X14Y127 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y127 GRID_POINT_Y 23 TILEPROP CLBLL_L_X14Y127 INDEX 2684 TILEPROP CLBLL_L_X14Y127 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y127 INT_TILE_Y 22 TILEPROP CLBLL_L_X14Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y127 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y127 NAME CLBLL_L_X14Y127 TILEPROP CLBLL_L_X14Y127 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y127 NUM_SITES 2 TILEPROP CLBLL_L_X14Y127 ROW 23 TILEPROP CLBLL_L_X14Y127 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y127 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y127 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y127 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y127 TILE_X -32996 TILEPROP CLBLL_L_X14Y127 TILE_Y 170296 TILEPROP CLBLL_L_X14Y127 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y128 CLASS tile TILEPROP CLBLL_L_X14Y128 COLUMN 39 TILEPROP CLBLL_L_X14Y128 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y128 FIRST_SITE_ID 2235 TILEPROP CLBLL_L_X14Y128 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y128 GRID_POINT_Y 22 TILEPROP CLBLL_L_X14Y128 INDEX 2569 TILEPROP CLBLL_L_X14Y128 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y128 INT_TILE_Y 21 TILEPROP CLBLL_L_X14Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y128 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y128 NAME CLBLL_L_X14Y128 TILEPROP CLBLL_L_X14Y128 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y128 NUM_SITES 2 TILEPROP CLBLL_L_X14Y128 ROW 22 TILEPROP CLBLL_L_X14Y128 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y128 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y128 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y128 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y128 TILE_X -32996 TILEPROP CLBLL_L_X14Y128 TILE_Y 173496 TILEPROP CLBLL_L_X14Y128 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y129 CLASS tile TILEPROP CLBLL_L_X14Y129 COLUMN 39 TILEPROP CLBLL_L_X14Y129 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y129 FIRST_SITE_ID 2139 TILEPROP CLBLL_L_X14Y129 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y129 GRID_POINT_Y 21 TILEPROP CLBLL_L_X14Y129 INDEX 2454 TILEPROP CLBLL_L_X14Y129 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y129 INT_TILE_Y 20 TILEPROP CLBLL_L_X14Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y129 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y129 NAME CLBLL_L_X14Y129 TILEPROP CLBLL_L_X14Y129 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y129 NUM_SITES 2 TILEPROP CLBLL_L_X14Y129 ROW 21 TILEPROP CLBLL_L_X14Y129 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y129 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y129 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y129 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y129 TILE_X -32996 TILEPROP CLBLL_L_X14Y129 TILE_Y 176696 TILEPROP CLBLL_L_X14Y129 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y130 CLASS tile TILEPROP CLBLL_L_X14Y130 COLUMN 39 TILEPROP CLBLL_L_X14Y130 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y130 FIRST_SITE_ID 2023 TILEPROP CLBLL_L_X14Y130 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y130 GRID_POINT_Y 20 TILEPROP CLBLL_L_X14Y130 INDEX 2339 TILEPROP CLBLL_L_X14Y130 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y130 INT_TILE_Y 19 TILEPROP CLBLL_L_X14Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y130 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y130 NAME CLBLL_L_X14Y130 TILEPROP CLBLL_L_X14Y130 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y130 NUM_SITES 2 TILEPROP CLBLL_L_X14Y130 ROW 20 TILEPROP CLBLL_L_X14Y130 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y130 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y130 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y130 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y130 TILE_X -32996 TILEPROP CLBLL_L_X14Y130 TILE_Y 179896 TILEPROP CLBLL_L_X14Y130 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y131 CLASS tile TILEPROP CLBLL_L_X14Y131 COLUMN 39 TILEPROP CLBLL_L_X14Y131 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y131 FIRST_SITE_ID 1921 TILEPROP CLBLL_L_X14Y131 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y131 GRID_POINT_Y 19 TILEPROP CLBLL_L_X14Y131 INDEX 2224 TILEPROP CLBLL_L_X14Y131 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y131 INT_TILE_Y 18 TILEPROP CLBLL_L_X14Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y131 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y131 NAME CLBLL_L_X14Y131 TILEPROP CLBLL_L_X14Y131 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y131 NUM_SITES 2 TILEPROP CLBLL_L_X14Y131 ROW 19 TILEPROP CLBLL_L_X14Y131 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y131 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y131 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y131 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y131 TILE_X -32996 TILEPROP CLBLL_L_X14Y131 TILE_Y 183096 TILEPROP CLBLL_L_X14Y131 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y132 CLASS tile TILEPROP CLBLL_L_X14Y132 COLUMN 39 TILEPROP CLBLL_L_X14Y132 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y132 FIRST_SITE_ID 1815 TILEPROP CLBLL_L_X14Y132 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y132 GRID_POINT_Y 18 TILEPROP CLBLL_L_X14Y132 INDEX 2109 TILEPROP CLBLL_L_X14Y132 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y132 INT_TILE_Y 17 TILEPROP CLBLL_L_X14Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y132 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y132 NAME CLBLL_L_X14Y132 TILEPROP CLBLL_L_X14Y132 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y132 NUM_SITES 2 TILEPROP CLBLL_L_X14Y132 ROW 18 TILEPROP CLBLL_L_X14Y132 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y132 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y132 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y132 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y132 TILE_X -32996 TILEPROP CLBLL_L_X14Y132 TILE_Y 186296 TILEPROP CLBLL_L_X14Y132 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y133 CLASS tile TILEPROP CLBLL_L_X14Y133 COLUMN 39 TILEPROP CLBLL_L_X14Y133 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y133 FIRST_SITE_ID 1714 TILEPROP CLBLL_L_X14Y133 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y133 GRID_POINT_Y 17 TILEPROP CLBLL_L_X14Y133 INDEX 1994 TILEPROP CLBLL_L_X14Y133 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y133 INT_TILE_Y 16 TILEPROP CLBLL_L_X14Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y133 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y133 NAME CLBLL_L_X14Y133 TILEPROP CLBLL_L_X14Y133 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y133 NUM_SITES 2 TILEPROP CLBLL_L_X14Y133 ROW 17 TILEPROP CLBLL_L_X14Y133 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y133 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y133 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y133 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y133 TILE_X -32996 TILEPROP CLBLL_L_X14Y133 TILE_Y 189496 TILEPROP CLBLL_L_X14Y133 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y134 CLASS tile TILEPROP CLBLL_L_X14Y134 COLUMN 39 TILEPROP CLBLL_L_X14Y134 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y134 FIRST_SITE_ID 1610 TILEPROP CLBLL_L_X14Y134 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y134 GRID_POINT_Y 16 TILEPROP CLBLL_L_X14Y134 INDEX 1879 TILEPROP CLBLL_L_X14Y134 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y134 INT_TILE_Y 15 TILEPROP CLBLL_L_X14Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y134 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y134 NAME CLBLL_L_X14Y134 TILEPROP CLBLL_L_X14Y134 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y134 NUM_SITES 2 TILEPROP CLBLL_L_X14Y134 ROW 16 TILEPROP CLBLL_L_X14Y134 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y134 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y134 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y134 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y134 TILE_X -32996 TILEPROP CLBLL_L_X14Y134 TILE_Y 192696 TILEPROP CLBLL_L_X14Y134 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y135 CLASS tile TILEPROP CLBLL_L_X14Y135 COLUMN 39 TILEPROP CLBLL_L_X14Y135 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y135 FIRST_SITE_ID 1508 TILEPROP CLBLL_L_X14Y135 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y135 GRID_POINT_Y 15 TILEPROP CLBLL_L_X14Y135 INDEX 1764 TILEPROP CLBLL_L_X14Y135 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y135 INT_TILE_Y 14 TILEPROP CLBLL_L_X14Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y135 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y135 NAME CLBLL_L_X14Y135 TILEPROP CLBLL_L_X14Y135 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y135 NUM_SITES 2 TILEPROP CLBLL_L_X14Y135 ROW 15 TILEPROP CLBLL_L_X14Y135 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y135 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y135 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y135 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y135 TILE_X -32996 TILEPROP CLBLL_L_X14Y135 TILE_Y 195896 TILEPROP CLBLL_L_X14Y135 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y136 CLASS tile TILEPROP CLBLL_L_X14Y136 COLUMN 39 TILEPROP CLBLL_L_X14Y136 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y136 FIRST_SITE_ID 1366 TILEPROP CLBLL_L_X14Y136 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y136 GRID_POINT_Y 14 TILEPROP CLBLL_L_X14Y136 INDEX 1649 TILEPROP CLBLL_L_X14Y136 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y136 INT_TILE_Y 13 TILEPROP CLBLL_L_X14Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y136 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y136 NAME CLBLL_L_X14Y136 TILEPROP CLBLL_L_X14Y136 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y136 NUM_SITES 2 TILEPROP CLBLL_L_X14Y136 ROW 14 TILEPROP CLBLL_L_X14Y136 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y136 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y136 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y136 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y136 TILE_X -32996 TILEPROP CLBLL_L_X14Y136 TILE_Y 199096 TILEPROP CLBLL_L_X14Y136 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y137 CLASS tile TILEPROP CLBLL_L_X14Y137 COLUMN 39 TILEPROP CLBLL_L_X14Y137 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y137 FIRST_SITE_ID 1270 TILEPROP CLBLL_L_X14Y137 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y137 GRID_POINT_Y 13 TILEPROP CLBLL_L_X14Y137 INDEX 1534 TILEPROP CLBLL_L_X14Y137 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y137 INT_TILE_Y 12 TILEPROP CLBLL_L_X14Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y137 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y137 NAME CLBLL_L_X14Y137 TILEPROP CLBLL_L_X14Y137 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y137 NUM_SITES 2 TILEPROP CLBLL_L_X14Y137 ROW 13 TILEPROP CLBLL_L_X14Y137 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y137 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y137 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y137 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y137 TILE_X -32996 TILEPROP CLBLL_L_X14Y137 TILE_Y 202296 TILEPROP CLBLL_L_X14Y137 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y138 CLASS tile TILEPROP CLBLL_L_X14Y138 COLUMN 39 TILEPROP CLBLL_L_X14Y138 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y138 FIRST_SITE_ID 1166 TILEPROP CLBLL_L_X14Y138 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y138 GRID_POINT_Y 12 TILEPROP CLBLL_L_X14Y138 INDEX 1419 TILEPROP CLBLL_L_X14Y138 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y138 INT_TILE_Y 11 TILEPROP CLBLL_L_X14Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y138 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y138 NAME CLBLL_L_X14Y138 TILEPROP CLBLL_L_X14Y138 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y138 NUM_SITES 2 TILEPROP CLBLL_L_X14Y138 ROW 12 TILEPROP CLBLL_L_X14Y138 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y138 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y138 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y138 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y138 TILE_X -32996 TILEPROP CLBLL_L_X14Y138 TILE_Y 205496 TILEPROP CLBLL_L_X14Y138 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y139 CLASS tile TILEPROP CLBLL_L_X14Y139 COLUMN 39 TILEPROP CLBLL_L_X14Y139 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y139 FIRST_SITE_ID 1070 TILEPROP CLBLL_L_X14Y139 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y139 GRID_POINT_Y 11 TILEPROP CLBLL_L_X14Y139 INDEX 1304 TILEPROP CLBLL_L_X14Y139 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y139 INT_TILE_Y 10 TILEPROP CLBLL_L_X14Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y139 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y139 NAME CLBLL_L_X14Y139 TILEPROP CLBLL_L_X14Y139 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y139 NUM_SITES 2 TILEPROP CLBLL_L_X14Y139 ROW 11 TILEPROP CLBLL_L_X14Y139 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y139 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y139 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y139 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y139 TILE_X -32996 TILEPROP CLBLL_L_X14Y139 TILE_Y 208696 TILEPROP CLBLL_L_X14Y139 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y140 CLASS tile TILEPROP CLBLL_L_X14Y140 COLUMN 39 TILEPROP CLBLL_L_X14Y140 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y140 FIRST_SITE_ID 960 TILEPROP CLBLL_L_X14Y140 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y140 GRID_POINT_Y 10 TILEPROP CLBLL_L_X14Y140 INDEX 1189 TILEPROP CLBLL_L_X14Y140 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y140 INT_TILE_Y 9 TILEPROP CLBLL_L_X14Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y140 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y140 NAME CLBLL_L_X14Y140 TILEPROP CLBLL_L_X14Y140 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y140 NUM_SITES 2 TILEPROP CLBLL_L_X14Y140 ROW 10 TILEPROP CLBLL_L_X14Y140 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y140 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y140 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y140 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y140 TILE_X -32996 TILEPROP CLBLL_L_X14Y140 TILE_Y 211896 TILEPROP CLBLL_L_X14Y140 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y141 CLASS tile TILEPROP CLBLL_L_X14Y141 COLUMN 39 TILEPROP CLBLL_L_X14Y141 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y141 FIRST_SITE_ID 858 TILEPROP CLBLL_L_X14Y141 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y141 GRID_POINT_Y 9 TILEPROP CLBLL_L_X14Y141 INDEX 1074 TILEPROP CLBLL_L_X14Y141 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y141 INT_TILE_Y 8 TILEPROP CLBLL_L_X14Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y141 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y141 NAME CLBLL_L_X14Y141 TILEPROP CLBLL_L_X14Y141 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y141 NUM_SITES 2 TILEPROP CLBLL_L_X14Y141 ROW 9 TILEPROP CLBLL_L_X14Y141 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y141 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y141 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y141 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y141 TILE_X -32996 TILEPROP CLBLL_L_X14Y141 TILE_Y 215096 TILEPROP CLBLL_L_X14Y141 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y142 CLASS tile TILEPROP CLBLL_L_X14Y142 COLUMN 39 TILEPROP CLBLL_L_X14Y142 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y142 FIRST_SITE_ID 754 TILEPROP CLBLL_L_X14Y142 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y142 GRID_POINT_Y 8 TILEPROP CLBLL_L_X14Y142 INDEX 959 TILEPROP CLBLL_L_X14Y142 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y142 INT_TILE_Y 7 TILEPROP CLBLL_L_X14Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y142 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y142 NAME CLBLL_L_X14Y142 TILEPROP CLBLL_L_X14Y142 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y142 NUM_SITES 2 TILEPROP CLBLL_L_X14Y142 ROW 8 TILEPROP CLBLL_L_X14Y142 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y142 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y142 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y142 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y142 TILE_X -32996 TILEPROP CLBLL_L_X14Y142 TILE_Y 218296 TILEPROP CLBLL_L_X14Y142 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y143 CLASS tile TILEPROP CLBLL_L_X14Y143 COLUMN 39 TILEPROP CLBLL_L_X14Y143 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y143 FIRST_SITE_ID 657 TILEPROP CLBLL_L_X14Y143 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y143 GRID_POINT_Y 7 TILEPROP CLBLL_L_X14Y143 INDEX 844 TILEPROP CLBLL_L_X14Y143 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y143 INT_TILE_Y 6 TILEPROP CLBLL_L_X14Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y143 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y143 NAME CLBLL_L_X14Y143 TILEPROP CLBLL_L_X14Y143 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y143 NUM_SITES 2 TILEPROP CLBLL_L_X14Y143 ROW 7 TILEPROP CLBLL_L_X14Y143 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y143 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y143 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y143 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y143 TILE_X -32996 TILEPROP CLBLL_L_X14Y143 TILE_Y 221496 TILEPROP CLBLL_L_X14Y143 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y144 CLASS tile TILEPROP CLBLL_L_X14Y144 COLUMN 39 TILEPROP CLBLL_L_X14Y144 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y144 FIRST_SITE_ID 546 TILEPROP CLBLL_L_X14Y144 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y144 GRID_POINT_Y 6 TILEPROP CLBLL_L_X14Y144 INDEX 729 TILEPROP CLBLL_L_X14Y144 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y144 INT_TILE_Y 5 TILEPROP CLBLL_L_X14Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y144 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y144 NAME CLBLL_L_X14Y144 TILEPROP CLBLL_L_X14Y144 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y144 NUM_SITES 2 TILEPROP CLBLL_L_X14Y144 ROW 6 TILEPROP CLBLL_L_X14Y144 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y144 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y144 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y144 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y144 TILE_X -32996 TILEPROP CLBLL_L_X14Y144 TILE_Y 224696 TILEPROP CLBLL_L_X14Y144 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y145 CLASS tile TILEPROP CLBLL_L_X14Y145 COLUMN 39 TILEPROP CLBLL_L_X14Y145 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y145 FIRST_SITE_ID 444 TILEPROP CLBLL_L_X14Y145 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y145 GRID_POINT_Y 5 TILEPROP CLBLL_L_X14Y145 INDEX 614 TILEPROP CLBLL_L_X14Y145 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y145 INT_TILE_Y 4 TILEPROP CLBLL_L_X14Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y145 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y145 NAME CLBLL_L_X14Y145 TILEPROP CLBLL_L_X14Y145 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y145 NUM_SITES 2 TILEPROP CLBLL_L_X14Y145 ROW 5 TILEPROP CLBLL_L_X14Y145 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y145 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y145 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y145 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y145 TILE_X -32996 TILEPROP CLBLL_L_X14Y145 TILE_Y 227896 TILEPROP CLBLL_L_X14Y145 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y146 CLASS tile TILEPROP CLBLL_L_X14Y146 COLUMN 39 TILEPROP CLBLL_L_X14Y146 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y146 FIRST_SITE_ID 334 TILEPROP CLBLL_L_X14Y146 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y146 GRID_POINT_Y 4 TILEPROP CLBLL_L_X14Y146 INDEX 499 TILEPROP CLBLL_L_X14Y146 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y146 INT_TILE_Y 3 TILEPROP CLBLL_L_X14Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y146 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y146 NAME CLBLL_L_X14Y146 TILEPROP CLBLL_L_X14Y146 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y146 NUM_SITES 2 TILEPROP CLBLL_L_X14Y146 ROW 4 TILEPROP CLBLL_L_X14Y146 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y146 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y146 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y146 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y146 TILE_X -32996 TILEPROP CLBLL_L_X14Y146 TILE_Y 231096 TILEPROP CLBLL_L_X14Y146 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y147 CLASS tile TILEPROP CLBLL_L_X14Y147 COLUMN 39 TILEPROP CLBLL_L_X14Y147 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y147 FIRST_SITE_ID 238 TILEPROP CLBLL_L_X14Y147 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y147 GRID_POINT_Y 3 TILEPROP CLBLL_L_X14Y147 INDEX 384 TILEPROP CLBLL_L_X14Y147 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y147 INT_TILE_Y 2 TILEPROP CLBLL_L_X14Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y147 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y147 NAME CLBLL_L_X14Y147 TILEPROP CLBLL_L_X14Y147 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y147 NUM_SITES 2 TILEPROP CLBLL_L_X14Y147 ROW 3 TILEPROP CLBLL_L_X14Y147 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y147 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y147 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y147 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y147 TILE_X -32996 TILEPROP CLBLL_L_X14Y147 TILE_Y 234296 TILEPROP CLBLL_L_X14Y147 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y148 CLASS tile TILEPROP CLBLL_L_X14Y148 COLUMN 39 TILEPROP CLBLL_L_X14Y148 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y148 FIRST_SITE_ID 134 TILEPROP CLBLL_L_X14Y148 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y148 GRID_POINT_Y 2 TILEPROP CLBLL_L_X14Y148 INDEX 269 TILEPROP CLBLL_L_X14Y148 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y148 INT_TILE_Y 1 TILEPROP CLBLL_L_X14Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y148 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y148 NAME CLBLL_L_X14Y148 TILEPROP CLBLL_L_X14Y148 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y148 NUM_SITES 2 TILEPROP CLBLL_L_X14Y148 ROW 2 TILEPROP CLBLL_L_X14Y148 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y148 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X14Y148 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y148 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y148 TILE_X -32996 TILEPROP CLBLL_L_X14Y148 TILE_Y 237496 TILEPROP CLBLL_L_X14Y148 TYPE CLBLL_L TILEPROP CLBLL_L_X14Y149 CLASS tile TILEPROP CLBLL_L_X14Y149 COLUMN 39 TILEPROP CLBLL_L_X14Y149 DEVICE_ID 0 TILEPROP CLBLL_L_X14Y149 FIRST_SITE_ID 38 TILEPROP CLBLL_L_X14Y149 GRID_POINT_X 39 TILEPROP CLBLL_L_X14Y149 GRID_POINT_Y 1 TILEPROP CLBLL_L_X14Y149 INDEX 154 TILEPROP CLBLL_L_X14Y149 INT_TILE_X 13 TILEPROP CLBLL_L_X14Y149 INT_TILE_Y 0 TILEPROP CLBLL_L_X14Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X14Y149 IS_DCM_TILE 0 TILEPROP CLBLL_L_X14Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X14Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X14Y149 NAME CLBLL_L_X14Y149 TILEPROP CLBLL_L_X14Y149 NUM_ARCS 146 TILEPROP CLBLL_L_X14Y149 NUM_SITES 2 TILEPROP CLBLL_L_X14Y149 ROW 1 TILEPROP CLBLL_L_X14Y149 SLR_REGION_ID 0 TILEPROP CLBLL_L_X14Y149 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X14Y149 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X14Y149 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X14Y149 TILE_X -32996 TILEPROP CLBLL_L_X14Y149 TILE_Y 240696 TILEPROP CLBLL_L_X14Y149 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y100 CLASS tile TILEPROP CLBLL_L_X16Y100 COLUMN 43 TILEPROP CLBLL_L_X16Y100 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y100 FIRST_SITE_ID 5037 TILEPROP CLBLL_L_X16Y100 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y100 GRID_POINT_Y 51 TILEPROP CLBLL_L_X16Y100 INDEX 5908 TILEPROP CLBLL_L_X16Y100 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y100 INT_TILE_Y 49 TILEPROP CLBLL_L_X16Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y100 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y100 NAME CLBLL_L_X16Y100 TILEPROP CLBLL_L_X16Y100 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y100 NUM_SITES 2 TILEPROP CLBLL_L_X16Y100 ROW 51 TILEPROP CLBLL_L_X16Y100 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y100 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X16Y100 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y100 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y100 TILE_X -24692 TILEPROP CLBLL_L_X16Y100 TILE_Y 82872 TILEPROP CLBLL_L_X16Y100 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y101 CLASS tile TILEPROP CLBLL_L_X16Y101 COLUMN 43 TILEPROP CLBLL_L_X16Y101 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y101 FIRST_SITE_ID 4939 TILEPROP CLBLL_L_X16Y101 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y101 GRID_POINT_Y 50 TILEPROP CLBLL_L_X16Y101 INDEX 5793 TILEPROP CLBLL_L_X16Y101 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y101 INT_TILE_Y 48 TILEPROP CLBLL_L_X16Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y101 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y101 NAME CLBLL_L_X16Y101 TILEPROP CLBLL_L_X16Y101 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y101 NUM_SITES 2 TILEPROP CLBLL_L_X16Y101 ROW 50 TILEPROP CLBLL_L_X16Y101 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y101 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y101 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y101 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y101 TILE_X -24692 TILEPROP CLBLL_L_X16Y101 TILE_Y 86072 TILEPROP CLBLL_L_X16Y101 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y102 CLASS tile TILEPROP CLBLL_L_X16Y102 COLUMN 43 TILEPROP CLBLL_L_X16Y102 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y102 FIRST_SITE_ID 4843 TILEPROP CLBLL_L_X16Y102 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y102 GRID_POINT_Y 49 TILEPROP CLBLL_L_X16Y102 INDEX 5678 TILEPROP CLBLL_L_X16Y102 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y102 INT_TILE_Y 47 TILEPROP CLBLL_L_X16Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y102 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y102 NAME CLBLL_L_X16Y102 TILEPROP CLBLL_L_X16Y102 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y102 NUM_SITES 2 TILEPROP CLBLL_L_X16Y102 ROW 49 TILEPROP CLBLL_L_X16Y102 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y102 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y102 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y102 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y102 TILE_X -24692 TILEPROP CLBLL_L_X16Y102 TILE_Y 89272 TILEPROP CLBLL_L_X16Y102 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y103 CLASS tile TILEPROP CLBLL_L_X16Y103 COLUMN 43 TILEPROP CLBLL_L_X16Y103 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y103 FIRST_SITE_ID 4755 TILEPROP CLBLL_L_X16Y103 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y103 GRID_POINT_Y 48 TILEPROP CLBLL_L_X16Y103 INDEX 5563 TILEPROP CLBLL_L_X16Y103 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y103 INT_TILE_Y 46 TILEPROP CLBLL_L_X16Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y103 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y103 NAME CLBLL_L_X16Y103 TILEPROP CLBLL_L_X16Y103 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y103 NUM_SITES 2 TILEPROP CLBLL_L_X16Y103 ROW 48 TILEPROP CLBLL_L_X16Y103 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y103 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y103 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y103 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y103 TILE_X -24692 TILEPROP CLBLL_L_X16Y103 TILE_Y 92472 TILEPROP CLBLL_L_X16Y103 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y104 CLASS tile TILEPROP CLBLL_L_X16Y104 COLUMN 43 TILEPROP CLBLL_L_X16Y104 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y104 FIRST_SITE_ID 4659 TILEPROP CLBLL_L_X16Y104 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y104 GRID_POINT_Y 47 TILEPROP CLBLL_L_X16Y104 INDEX 5448 TILEPROP CLBLL_L_X16Y104 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y104 INT_TILE_Y 45 TILEPROP CLBLL_L_X16Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y104 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y104 NAME CLBLL_L_X16Y104 TILEPROP CLBLL_L_X16Y104 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y104 NUM_SITES 2 TILEPROP CLBLL_L_X16Y104 ROW 47 TILEPROP CLBLL_L_X16Y104 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y104 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y104 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y104 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y104 TILE_X -24692 TILEPROP CLBLL_L_X16Y104 TILE_Y 95672 TILEPROP CLBLL_L_X16Y104 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y105 CLASS tile TILEPROP CLBLL_L_X16Y105 COLUMN 43 TILEPROP CLBLL_L_X16Y105 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y105 FIRST_SITE_ID 4563 TILEPROP CLBLL_L_X16Y105 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y105 GRID_POINT_Y 46 TILEPROP CLBLL_L_X16Y105 INDEX 5333 TILEPROP CLBLL_L_X16Y105 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y105 INT_TILE_Y 44 TILEPROP CLBLL_L_X16Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y105 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y105 NAME CLBLL_L_X16Y105 TILEPROP CLBLL_L_X16Y105 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y105 NUM_SITES 2 TILEPROP CLBLL_L_X16Y105 ROW 46 TILEPROP CLBLL_L_X16Y105 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y105 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y105 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y105 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y105 TILE_X -24692 TILEPROP CLBLL_L_X16Y105 TILE_Y 98872 TILEPROP CLBLL_L_X16Y105 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y106 CLASS tile TILEPROP CLBLL_L_X16Y106 COLUMN 43 TILEPROP CLBLL_L_X16Y106 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y106 FIRST_SITE_ID 4461 TILEPROP CLBLL_L_X16Y106 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y106 GRID_POINT_Y 45 TILEPROP CLBLL_L_X16Y106 INDEX 5218 TILEPROP CLBLL_L_X16Y106 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y106 INT_TILE_Y 43 TILEPROP CLBLL_L_X16Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y106 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y106 NAME CLBLL_L_X16Y106 TILEPROP CLBLL_L_X16Y106 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y106 NUM_SITES 2 TILEPROP CLBLL_L_X16Y106 ROW 45 TILEPROP CLBLL_L_X16Y106 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y106 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y106 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y106 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y106 TILE_X -24692 TILEPROP CLBLL_L_X16Y106 TILE_Y 102072 TILEPROP CLBLL_L_X16Y106 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y107 CLASS tile TILEPROP CLBLL_L_X16Y107 COLUMN 43 TILEPROP CLBLL_L_X16Y107 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y107 FIRST_SITE_ID 4373 TILEPROP CLBLL_L_X16Y107 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y107 GRID_POINT_Y 44 TILEPROP CLBLL_L_X16Y107 INDEX 5103 TILEPROP CLBLL_L_X16Y107 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y107 INT_TILE_Y 42 TILEPROP CLBLL_L_X16Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y107 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y107 NAME CLBLL_L_X16Y107 TILEPROP CLBLL_L_X16Y107 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y107 NUM_SITES 2 TILEPROP CLBLL_L_X16Y107 ROW 44 TILEPROP CLBLL_L_X16Y107 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y107 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y107 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y107 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y107 TILE_X -24692 TILEPROP CLBLL_L_X16Y107 TILE_Y 105272 TILEPROP CLBLL_L_X16Y107 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y108 CLASS tile TILEPROP CLBLL_L_X16Y108 COLUMN 43 TILEPROP CLBLL_L_X16Y108 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y108 FIRST_SITE_ID 4275 TILEPROP CLBLL_L_X16Y108 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y108 GRID_POINT_Y 43 TILEPROP CLBLL_L_X16Y108 INDEX 4988 TILEPROP CLBLL_L_X16Y108 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y108 INT_TILE_Y 41 TILEPROP CLBLL_L_X16Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y108 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y108 NAME CLBLL_L_X16Y108 TILEPROP CLBLL_L_X16Y108 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y108 NUM_SITES 2 TILEPROP CLBLL_L_X16Y108 ROW 43 TILEPROP CLBLL_L_X16Y108 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y108 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y108 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y108 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y108 TILE_X -24692 TILEPROP CLBLL_L_X16Y108 TILE_Y 108472 TILEPROP CLBLL_L_X16Y108 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y109 CLASS tile TILEPROP CLBLL_L_X16Y109 COLUMN 43 TILEPROP CLBLL_L_X16Y109 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y109 FIRST_SITE_ID 4186 TILEPROP CLBLL_L_X16Y109 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y109 GRID_POINT_Y 42 TILEPROP CLBLL_L_X16Y109 INDEX 4873 TILEPROP CLBLL_L_X16Y109 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y109 INT_TILE_Y 40 TILEPROP CLBLL_L_X16Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y109 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y109 NAME CLBLL_L_X16Y109 TILEPROP CLBLL_L_X16Y109 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y109 NUM_SITES 2 TILEPROP CLBLL_L_X16Y109 ROW 42 TILEPROP CLBLL_L_X16Y109 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y109 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y109 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y109 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y109 TILE_X -24692 TILEPROP CLBLL_L_X16Y109 TILE_Y 111672 TILEPROP CLBLL_L_X16Y109 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y110 CLASS tile TILEPROP CLBLL_L_X16Y110 COLUMN 43 TILEPROP CLBLL_L_X16Y110 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y110 FIRST_SITE_ID 4086 TILEPROP CLBLL_L_X16Y110 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y110 GRID_POINT_Y 41 TILEPROP CLBLL_L_X16Y110 INDEX 4758 TILEPROP CLBLL_L_X16Y110 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y110 INT_TILE_Y 39 TILEPROP CLBLL_L_X16Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y110 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y110 NAME CLBLL_L_X16Y110 TILEPROP CLBLL_L_X16Y110 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y110 NUM_SITES 2 TILEPROP CLBLL_L_X16Y110 ROW 41 TILEPROP CLBLL_L_X16Y110 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y110 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y110 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y110 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y110 TILE_X -24692 TILEPROP CLBLL_L_X16Y110 TILE_Y 114872 TILEPROP CLBLL_L_X16Y110 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y111 CLASS tile TILEPROP CLBLL_L_X16Y111 COLUMN 43 TILEPROP CLBLL_L_X16Y111 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y111 FIRST_SITE_ID 3992 TILEPROP CLBLL_L_X16Y111 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y111 GRID_POINT_Y 40 TILEPROP CLBLL_L_X16Y111 INDEX 4643 TILEPROP CLBLL_L_X16Y111 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y111 INT_TILE_Y 38 TILEPROP CLBLL_L_X16Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y111 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y111 NAME CLBLL_L_X16Y111 TILEPROP CLBLL_L_X16Y111 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y111 NUM_SITES 2 TILEPROP CLBLL_L_X16Y111 ROW 40 TILEPROP CLBLL_L_X16Y111 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y111 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y111 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y111 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y111 TILE_X -24692 TILEPROP CLBLL_L_X16Y111 TILE_Y 118072 TILEPROP CLBLL_L_X16Y111 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y112 CLASS tile TILEPROP CLBLL_L_X16Y112 COLUMN 43 TILEPROP CLBLL_L_X16Y112 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y112 FIRST_SITE_ID 3864 TILEPROP CLBLL_L_X16Y112 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y112 GRID_POINT_Y 39 TILEPROP CLBLL_L_X16Y112 INDEX 4528 TILEPROP CLBLL_L_X16Y112 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y112 INT_TILE_Y 37 TILEPROP CLBLL_L_X16Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y112 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y112 NAME CLBLL_L_X16Y112 TILEPROP CLBLL_L_X16Y112 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y112 NUM_SITES 2 TILEPROP CLBLL_L_X16Y112 ROW 39 TILEPROP CLBLL_L_X16Y112 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y112 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y112 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y112 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y112 TILE_X -24692 TILEPROP CLBLL_L_X16Y112 TILE_Y 121272 TILEPROP CLBLL_L_X16Y112 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y113 CLASS tile TILEPROP CLBLL_L_X16Y113 COLUMN 43 TILEPROP CLBLL_L_X16Y113 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y113 FIRST_SITE_ID 3776 TILEPROP CLBLL_L_X16Y113 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y113 GRID_POINT_Y 38 TILEPROP CLBLL_L_X16Y113 INDEX 4413 TILEPROP CLBLL_L_X16Y113 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y113 INT_TILE_Y 36 TILEPROP CLBLL_L_X16Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y113 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y113 NAME CLBLL_L_X16Y113 TILEPROP CLBLL_L_X16Y113 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y113 NUM_SITES 2 TILEPROP CLBLL_L_X16Y113 ROW 38 TILEPROP CLBLL_L_X16Y113 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y113 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y113 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y113 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y113 TILE_X -24692 TILEPROP CLBLL_L_X16Y113 TILE_Y 124472 TILEPROP CLBLL_L_X16Y113 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y114 CLASS tile TILEPROP CLBLL_L_X16Y114 COLUMN 43 TILEPROP CLBLL_L_X16Y114 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y114 FIRST_SITE_ID 3680 TILEPROP CLBLL_L_X16Y114 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y114 GRID_POINT_Y 37 TILEPROP CLBLL_L_X16Y114 INDEX 4298 TILEPROP CLBLL_L_X16Y114 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y114 INT_TILE_Y 35 TILEPROP CLBLL_L_X16Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y114 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y114 NAME CLBLL_L_X16Y114 TILEPROP CLBLL_L_X16Y114 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y114 NUM_SITES 2 TILEPROP CLBLL_L_X16Y114 ROW 37 TILEPROP CLBLL_L_X16Y114 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y114 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y114 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y114 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y114 TILE_X -24692 TILEPROP CLBLL_L_X16Y114 TILE_Y 127672 TILEPROP CLBLL_L_X16Y114 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y115 CLASS tile TILEPROP CLBLL_L_X16Y115 COLUMN 43 TILEPROP CLBLL_L_X16Y115 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y115 FIRST_SITE_ID 3589 TILEPROP CLBLL_L_X16Y115 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y115 GRID_POINT_Y 36 TILEPROP CLBLL_L_X16Y115 INDEX 4183 TILEPROP CLBLL_L_X16Y115 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y115 INT_TILE_Y 34 TILEPROP CLBLL_L_X16Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y115 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y115 NAME CLBLL_L_X16Y115 TILEPROP CLBLL_L_X16Y115 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y115 NUM_SITES 2 TILEPROP CLBLL_L_X16Y115 ROW 36 TILEPROP CLBLL_L_X16Y115 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y115 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y115 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y115 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y115 TILE_X -24692 TILEPROP CLBLL_L_X16Y115 TILE_Y 130872 TILEPROP CLBLL_L_X16Y115 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y116 CLASS tile TILEPROP CLBLL_L_X16Y116 COLUMN 43 TILEPROP CLBLL_L_X16Y116 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y116 FIRST_SITE_ID 3482 TILEPROP CLBLL_L_X16Y116 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y116 GRID_POINT_Y 35 TILEPROP CLBLL_L_X16Y116 INDEX 4068 TILEPROP CLBLL_L_X16Y116 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y116 INT_TILE_Y 33 TILEPROP CLBLL_L_X16Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y116 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y116 NAME CLBLL_L_X16Y116 TILEPROP CLBLL_L_X16Y116 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y116 NUM_SITES 2 TILEPROP CLBLL_L_X16Y116 ROW 35 TILEPROP CLBLL_L_X16Y116 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y116 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y116 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y116 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y116 TILE_X -24692 TILEPROP CLBLL_L_X16Y116 TILE_Y 134072 TILEPROP CLBLL_L_X16Y116 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y117 CLASS tile TILEPROP CLBLL_L_X16Y117 COLUMN 43 TILEPROP CLBLL_L_X16Y117 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y117 FIRST_SITE_ID 3394 TILEPROP CLBLL_L_X16Y117 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y117 GRID_POINT_Y 34 TILEPROP CLBLL_L_X16Y117 INDEX 3953 TILEPROP CLBLL_L_X16Y117 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y117 INT_TILE_Y 32 TILEPROP CLBLL_L_X16Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y117 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y117 NAME CLBLL_L_X16Y117 TILEPROP CLBLL_L_X16Y117 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y117 NUM_SITES 2 TILEPROP CLBLL_L_X16Y117 ROW 34 TILEPROP CLBLL_L_X16Y117 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y117 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y117 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y117 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y117 TILE_X -24692 TILEPROP CLBLL_L_X16Y117 TILE_Y 137272 TILEPROP CLBLL_L_X16Y117 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y118 CLASS tile TILEPROP CLBLL_L_X16Y118 COLUMN 43 TILEPROP CLBLL_L_X16Y118 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y118 FIRST_SITE_ID 3294 TILEPROP CLBLL_L_X16Y118 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y118 GRID_POINT_Y 33 TILEPROP CLBLL_L_X16Y118 INDEX 3838 TILEPROP CLBLL_L_X16Y118 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y118 INT_TILE_Y 31 TILEPROP CLBLL_L_X16Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y118 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y118 NAME CLBLL_L_X16Y118 TILEPROP CLBLL_L_X16Y118 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y118 NUM_SITES 2 TILEPROP CLBLL_L_X16Y118 ROW 33 TILEPROP CLBLL_L_X16Y118 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y118 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y118 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y118 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y118 TILE_X -24692 TILEPROP CLBLL_L_X16Y118 TILE_Y 140472 TILEPROP CLBLL_L_X16Y118 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y119 CLASS tile TILEPROP CLBLL_L_X16Y119 COLUMN 43 TILEPROP CLBLL_L_X16Y119 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y119 FIRST_SITE_ID 3206 TILEPROP CLBLL_L_X16Y119 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y119 GRID_POINT_Y 32 TILEPROP CLBLL_L_X16Y119 INDEX 3723 TILEPROP CLBLL_L_X16Y119 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y119 INT_TILE_Y 30 TILEPROP CLBLL_L_X16Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y119 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y119 NAME CLBLL_L_X16Y119 TILEPROP CLBLL_L_X16Y119 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y119 NUM_SITES 2 TILEPROP CLBLL_L_X16Y119 ROW 32 TILEPROP CLBLL_L_X16Y119 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y119 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y119 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y119 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y119 TILE_X -24692 TILEPROP CLBLL_L_X16Y119 TILE_Y 143672 TILEPROP CLBLL_L_X16Y119 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y120 CLASS tile TILEPROP CLBLL_L_X16Y120 COLUMN 43 TILEPROP CLBLL_L_X16Y120 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y120 FIRST_SITE_ID 3105 TILEPROP CLBLL_L_X16Y120 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y120 GRID_POINT_Y 31 TILEPROP CLBLL_L_X16Y120 INDEX 3608 TILEPROP CLBLL_L_X16Y120 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y120 INT_TILE_Y 29 TILEPROP CLBLL_L_X16Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y120 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y120 NAME CLBLL_L_X16Y120 TILEPROP CLBLL_L_X16Y120 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y120 NUM_SITES 2 TILEPROP CLBLL_L_X16Y120 ROW 31 TILEPROP CLBLL_L_X16Y120 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y120 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y120 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y120 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y120 TILE_X -24692 TILEPROP CLBLL_L_X16Y120 TILE_Y 146872 TILEPROP CLBLL_L_X16Y120 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y121 CLASS tile TILEPROP CLBLL_L_X16Y121 COLUMN 43 TILEPROP CLBLL_L_X16Y121 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y121 FIRST_SITE_ID 3011 TILEPROP CLBLL_L_X16Y121 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y121 GRID_POINT_Y 30 TILEPROP CLBLL_L_X16Y121 INDEX 3493 TILEPROP CLBLL_L_X16Y121 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y121 INT_TILE_Y 28 TILEPROP CLBLL_L_X16Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y121 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y121 NAME CLBLL_L_X16Y121 TILEPROP CLBLL_L_X16Y121 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y121 NUM_SITES 2 TILEPROP CLBLL_L_X16Y121 ROW 30 TILEPROP CLBLL_L_X16Y121 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y121 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y121 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y121 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y121 TILE_X -24692 TILEPROP CLBLL_L_X16Y121 TILE_Y 150072 TILEPROP CLBLL_L_X16Y121 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y122 CLASS tile TILEPROP CLBLL_L_X16Y122 COLUMN 43 TILEPROP CLBLL_L_X16Y122 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y122 FIRST_SITE_ID 2908 TILEPROP CLBLL_L_X16Y122 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y122 GRID_POINT_Y 29 TILEPROP CLBLL_L_X16Y122 INDEX 3378 TILEPROP CLBLL_L_X16Y122 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y122 INT_TILE_Y 27 TILEPROP CLBLL_L_X16Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y122 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y122 NAME CLBLL_L_X16Y122 TILEPROP CLBLL_L_X16Y122 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y122 NUM_SITES 2 TILEPROP CLBLL_L_X16Y122 ROW 29 TILEPROP CLBLL_L_X16Y122 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y122 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y122 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y122 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y122 TILE_X -24692 TILEPROP CLBLL_L_X16Y122 TILE_Y 153272 TILEPROP CLBLL_L_X16Y122 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y123 CLASS tile TILEPROP CLBLL_L_X16Y123 COLUMN 43 TILEPROP CLBLL_L_X16Y123 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y123 FIRST_SITE_ID 2820 TILEPROP CLBLL_L_X16Y123 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y123 GRID_POINT_Y 28 TILEPROP CLBLL_L_X16Y123 INDEX 3263 TILEPROP CLBLL_L_X16Y123 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y123 INT_TILE_Y 26 TILEPROP CLBLL_L_X16Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y123 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y123 NAME CLBLL_L_X16Y123 TILEPROP CLBLL_L_X16Y123 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y123 NUM_SITES 2 TILEPROP CLBLL_L_X16Y123 ROW 28 TILEPROP CLBLL_L_X16Y123 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y123 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y123 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y123 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y123 TILE_X -24692 TILEPROP CLBLL_L_X16Y123 TILE_Y 156472 TILEPROP CLBLL_L_X16Y123 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y124 CLASS tile TILEPROP CLBLL_L_X16Y124 COLUMN 43 TILEPROP CLBLL_L_X16Y124 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y124 FIRST_SITE_ID 2724 TILEPROP CLBLL_L_X16Y124 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y124 GRID_POINT_Y 27 TILEPROP CLBLL_L_X16Y124 INDEX 3148 TILEPROP CLBLL_L_X16Y124 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y124 INT_TILE_Y 25 TILEPROP CLBLL_L_X16Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y124 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y124 NAME CLBLL_L_X16Y124 TILEPROP CLBLL_L_X16Y124 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y124 NUM_SITES 2 TILEPROP CLBLL_L_X16Y124 ROW 27 TILEPROP CLBLL_L_X16Y124 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y124 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X16Y124 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y124 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y124 TILE_X -24692 TILEPROP CLBLL_L_X16Y124 TILE_Y 159672 TILEPROP CLBLL_L_X16Y124 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y125 CLASS tile TILEPROP CLBLL_L_X16Y125 COLUMN 43 TILEPROP CLBLL_L_X16Y125 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y125 FIRST_SITE_ID 2551 TILEPROP CLBLL_L_X16Y125 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y125 GRID_POINT_Y 25 TILEPROP CLBLL_L_X16Y125 INDEX 2918 TILEPROP CLBLL_L_X16Y125 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y125 INT_TILE_Y 24 TILEPROP CLBLL_L_X16Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y125 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y125 NAME CLBLL_L_X16Y125 TILEPROP CLBLL_L_X16Y125 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y125 NUM_SITES 2 TILEPROP CLBLL_L_X16Y125 ROW 25 TILEPROP CLBLL_L_X16Y125 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y125 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X16Y125 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y125 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y125 TILE_X -24692 TILEPROP CLBLL_L_X16Y125 TILE_Y 163896 TILEPROP CLBLL_L_X16Y125 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y126 CLASS tile TILEPROP CLBLL_L_X16Y126 COLUMN 43 TILEPROP CLBLL_L_X16Y126 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y126 FIRST_SITE_ID 2441 TILEPROP CLBLL_L_X16Y126 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y126 GRID_POINT_Y 24 TILEPROP CLBLL_L_X16Y126 INDEX 2803 TILEPROP CLBLL_L_X16Y126 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y126 INT_TILE_Y 23 TILEPROP CLBLL_L_X16Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y126 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y126 NAME CLBLL_L_X16Y126 TILEPROP CLBLL_L_X16Y126 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y126 NUM_SITES 2 TILEPROP CLBLL_L_X16Y126 ROW 24 TILEPROP CLBLL_L_X16Y126 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y126 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y126 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y126 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y126 TILE_X -24692 TILEPROP CLBLL_L_X16Y126 TILE_Y 167096 TILEPROP CLBLL_L_X16Y126 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y127 CLASS tile TILEPROP CLBLL_L_X16Y127 COLUMN 43 TILEPROP CLBLL_L_X16Y127 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y127 FIRST_SITE_ID 2345 TILEPROP CLBLL_L_X16Y127 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y127 GRID_POINT_Y 23 TILEPROP CLBLL_L_X16Y127 INDEX 2688 TILEPROP CLBLL_L_X16Y127 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y127 INT_TILE_Y 22 TILEPROP CLBLL_L_X16Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y127 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y127 NAME CLBLL_L_X16Y127 TILEPROP CLBLL_L_X16Y127 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y127 NUM_SITES 2 TILEPROP CLBLL_L_X16Y127 ROW 23 TILEPROP CLBLL_L_X16Y127 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y127 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y127 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y127 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y127 TILE_X -24692 TILEPROP CLBLL_L_X16Y127 TILE_Y 170296 TILEPROP CLBLL_L_X16Y127 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y128 CLASS tile TILEPROP CLBLL_L_X16Y128 COLUMN 43 TILEPROP CLBLL_L_X16Y128 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y128 FIRST_SITE_ID 2241 TILEPROP CLBLL_L_X16Y128 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y128 GRID_POINT_Y 22 TILEPROP CLBLL_L_X16Y128 INDEX 2573 TILEPROP CLBLL_L_X16Y128 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y128 INT_TILE_Y 21 TILEPROP CLBLL_L_X16Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y128 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y128 NAME CLBLL_L_X16Y128 TILEPROP CLBLL_L_X16Y128 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y128 NUM_SITES 2 TILEPROP CLBLL_L_X16Y128 ROW 22 TILEPROP CLBLL_L_X16Y128 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y128 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y128 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y128 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y128 TILE_X -24692 TILEPROP CLBLL_L_X16Y128 TILE_Y 173496 TILEPROP CLBLL_L_X16Y128 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y129 CLASS tile TILEPROP CLBLL_L_X16Y129 COLUMN 43 TILEPROP CLBLL_L_X16Y129 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y129 FIRST_SITE_ID 2145 TILEPROP CLBLL_L_X16Y129 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y129 GRID_POINT_Y 21 TILEPROP CLBLL_L_X16Y129 INDEX 2458 TILEPROP CLBLL_L_X16Y129 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y129 INT_TILE_Y 20 TILEPROP CLBLL_L_X16Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y129 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y129 NAME CLBLL_L_X16Y129 TILEPROP CLBLL_L_X16Y129 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y129 NUM_SITES 2 TILEPROP CLBLL_L_X16Y129 ROW 21 TILEPROP CLBLL_L_X16Y129 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y129 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y129 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y129 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y129 TILE_X -24692 TILEPROP CLBLL_L_X16Y129 TILE_Y 176696 TILEPROP CLBLL_L_X16Y129 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y130 CLASS tile TILEPROP CLBLL_L_X16Y130 COLUMN 43 TILEPROP CLBLL_L_X16Y130 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y130 FIRST_SITE_ID 2029 TILEPROP CLBLL_L_X16Y130 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y130 GRID_POINT_Y 20 TILEPROP CLBLL_L_X16Y130 INDEX 2343 TILEPROP CLBLL_L_X16Y130 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y130 INT_TILE_Y 19 TILEPROP CLBLL_L_X16Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y130 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y130 NAME CLBLL_L_X16Y130 TILEPROP CLBLL_L_X16Y130 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y130 NUM_SITES 2 TILEPROP CLBLL_L_X16Y130 ROW 20 TILEPROP CLBLL_L_X16Y130 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y130 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y130 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y130 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y130 TILE_X -24692 TILEPROP CLBLL_L_X16Y130 TILE_Y 179896 TILEPROP CLBLL_L_X16Y130 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y131 CLASS tile TILEPROP CLBLL_L_X16Y131 COLUMN 43 TILEPROP CLBLL_L_X16Y131 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y131 FIRST_SITE_ID 1927 TILEPROP CLBLL_L_X16Y131 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y131 GRID_POINT_Y 19 TILEPROP CLBLL_L_X16Y131 INDEX 2228 TILEPROP CLBLL_L_X16Y131 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y131 INT_TILE_Y 18 TILEPROP CLBLL_L_X16Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y131 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y131 NAME CLBLL_L_X16Y131 TILEPROP CLBLL_L_X16Y131 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y131 NUM_SITES 2 TILEPROP CLBLL_L_X16Y131 ROW 19 TILEPROP CLBLL_L_X16Y131 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y131 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y131 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y131 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y131 TILE_X -24692 TILEPROP CLBLL_L_X16Y131 TILE_Y 183096 TILEPROP CLBLL_L_X16Y131 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y132 CLASS tile TILEPROP CLBLL_L_X16Y132 COLUMN 43 TILEPROP CLBLL_L_X16Y132 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y132 FIRST_SITE_ID 1821 TILEPROP CLBLL_L_X16Y132 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y132 GRID_POINT_Y 18 TILEPROP CLBLL_L_X16Y132 INDEX 2113 TILEPROP CLBLL_L_X16Y132 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y132 INT_TILE_Y 17 TILEPROP CLBLL_L_X16Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y132 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y132 NAME CLBLL_L_X16Y132 TILEPROP CLBLL_L_X16Y132 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y132 NUM_SITES 2 TILEPROP CLBLL_L_X16Y132 ROW 18 TILEPROP CLBLL_L_X16Y132 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y132 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y132 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y132 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y132 TILE_X -24692 TILEPROP CLBLL_L_X16Y132 TILE_Y 186296 TILEPROP CLBLL_L_X16Y132 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y133 CLASS tile TILEPROP CLBLL_L_X16Y133 COLUMN 43 TILEPROP CLBLL_L_X16Y133 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y133 FIRST_SITE_ID 1720 TILEPROP CLBLL_L_X16Y133 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y133 GRID_POINT_Y 17 TILEPROP CLBLL_L_X16Y133 INDEX 1998 TILEPROP CLBLL_L_X16Y133 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y133 INT_TILE_Y 16 TILEPROP CLBLL_L_X16Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y133 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y133 NAME CLBLL_L_X16Y133 TILEPROP CLBLL_L_X16Y133 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y133 NUM_SITES 2 TILEPROP CLBLL_L_X16Y133 ROW 17 TILEPROP CLBLL_L_X16Y133 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y133 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y133 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y133 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y133 TILE_X -24692 TILEPROP CLBLL_L_X16Y133 TILE_Y 189496 TILEPROP CLBLL_L_X16Y133 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y134 CLASS tile TILEPROP CLBLL_L_X16Y134 COLUMN 43 TILEPROP CLBLL_L_X16Y134 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y134 FIRST_SITE_ID 1616 TILEPROP CLBLL_L_X16Y134 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y134 GRID_POINT_Y 16 TILEPROP CLBLL_L_X16Y134 INDEX 1883 TILEPROP CLBLL_L_X16Y134 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y134 INT_TILE_Y 15 TILEPROP CLBLL_L_X16Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y134 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y134 NAME CLBLL_L_X16Y134 TILEPROP CLBLL_L_X16Y134 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y134 NUM_SITES 2 TILEPROP CLBLL_L_X16Y134 ROW 16 TILEPROP CLBLL_L_X16Y134 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y134 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y134 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y134 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y134 TILE_X -24692 TILEPROP CLBLL_L_X16Y134 TILE_Y 192696 TILEPROP CLBLL_L_X16Y134 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y135 CLASS tile TILEPROP CLBLL_L_X16Y135 COLUMN 43 TILEPROP CLBLL_L_X16Y135 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y135 FIRST_SITE_ID 1514 TILEPROP CLBLL_L_X16Y135 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y135 GRID_POINT_Y 15 TILEPROP CLBLL_L_X16Y135 INDEX 1768 TILEPROP CLBLL_L_X16Y135 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y135 INT_TILE_Y 14 TILEPROP CLBLL_L_X16Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y135 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y135 NAME CLBLL_L_X16Y135 TILEPROP CLBLL_L_X16Y135 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y135 NUM_SITES 2 TILEPROP CLBLL_L_X16Y135 ROW 15 TILEPROP CLBLL_L_X16Y135 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y135 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y135 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y135 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y135 TILE_X -24692 TILEPROP CLBLL_L_X16Y135 TILE_Y 195896 TILEPROP CLBLL_L_X16Y135 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y136 CLASS tile TILEPROP CLBLL_L_X16Y136 COLUMN 43 TILEPROP CLBLL_L_X16Y136 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y136 FIRST_SITE_ID 1372 TILEPROP CLBLL_L_X16Y136 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y136 GRID_POINT_Y 14 TILEPROP CLBLL_L_X16Y136 INDEX 1653 TILEPROP CLBLL_L_X16Y136 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y136 INT_TILE_Y 13 TILEPROP CLBLL_L_X16Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y136 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y136 NAME CLBLL_L_X16Y136 TILEPROP CLBLL_L_X16Y136 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y136 NUM_SITES 2 TILEPROP CLBLL_L_X16Y136 ROW 14 TILEPROP CLBLL_L_X16Y136 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y136 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y136 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y136 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y136 TILE_X -24692 TILEPROP CLBLL_L_X16Y136 TILE_Y 199096 TILEPROP CLBLL_L_X16Y136 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y137 CLASS tile TILEPROP CLBLL_L_X16Y137 COLUMN 43 TILEPROP CLBLL_L_X16Y137 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y137 FIRST_SITE_ID 1276 TILEPROP CLBLL_L_X16Y137 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y137 GRID_POINT_Y 13 TILEPROP CLBLL_L_X16Y137 INDEX 1538 TILEPROP CLBLL_L_X16Y137 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y137 INT_TILE_Y 12 TILEPROP CLBLL_L_X16Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y137 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y137 NAME CLBLL_L_X16Y137 TILEPROP CLBLL_L_X16Y137 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y137 NUM_SITES 2 TILEPROP CLBLL_L_X16Y137 ROW 13 TILEPROP CLBLL_L_X16Y137 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y137 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y137 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y137 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y137 TILE_X -24692 TILEPROP CLBLL_L_X16Y137 TILE_Y 202296 TILEPROP CLBLL_L_X16Y137 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y138 CLASS tile TILEPROP CLBLL_L_X16Y138 COLUMN 43 TILEPROP CLBLL_L_X16Y138 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y138 FIRST_SITE_ID 1172 TILEPROP CLBLL_L_X16Y138 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y138 GRID_POINT_Y 12 TILEPROP CLBLL_L_X16Y138 INDEX 1423 TILEPROP CLBLL_L_X16Y138 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y138 INT_TILE_Y 11 TILEPROP CLBLL_L_X16Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y138 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y138 NAME CLBLL_L_X16Y138 TILEPROP CLBLL_L_X16Y138 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y138 NUM_SITES 2 TILEPROP CLBLL_L_X16Y138 ROW 12 TILEPROP CLBLL_L_X16Y138 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y138 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y138 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y138 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y138 TILE_X -24692 TILEPROP CLBLL_L_X16Y138 TILE_Y 205496 TILEPROP CLBLL_L_X16Y138 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y139 CLASS tile TILEPROP CLBLL_L_X16Y139 COLUMN 43 TILEPROP CLBLL_L_X16Y139 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y139 FIRST_SITE_ID 1076 TILEPROP CLBLL_L_X16Y139 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y139 GRID_POINT_Y 11 TILEPROP CLBLL_L_X16Y139 INDEX 1308 TILEPROP CLBLL_L_X16Y139 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y139 INT_TILE_Y 10 TILEPROP CLBLL_L_X16Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y139 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y139 NAME CLBLL_L_X16Y139 TILEPROP CLBLL_L_X16Y139 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y139 NUM_SITES 2 TILEPROP CLBLL_L_X16Y139 ROW 11 TILEPROP CLBLL_L_X16Y139 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y139 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y139 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y139 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y139 TILE_X -24692 TILEPROP CLBLL_L_X16Y139 TILE_Y 208696 TILEPROP CLBLL_L_X16Y139 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y140 CLASS tile TILEPROP CLBLL_L_X16Y140 COLUMN 43 TILEPROP CLBLL_L_X16Y140 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y140 FIRST_SITE_ID 966 TILEPROP CLBLL_L_X16Y140 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y140 GRID_POINT_Y 10 TILEPROP CLBLL_L_X16Y140 INDEX 1193 TILEPROP CLBLL_L_X16Y140 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y140 INT_TILE_Y 9 TILEPROP CLBLL_L_X16Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y140 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y140 NAME CLBLL_L_X16Y140 TILEPROP CLBLL_L_X16Y140 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y140 NUM_SITES 2 TILEPROP CLBLL_L_X16Y140 ROW 10 TILEPROP CLBLL_L_X16Y140 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y140 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y140 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y140 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y140 TILE_X -24692 TILEPROP CLBLL_L_X16Y140 TILE_Y 211896 TILEPROP CLBLL_L_X16Y140 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y141 CLASS tile TILEPROP CLBLL_L_X16Y141 COLUMN 43 TILEPROP CLBLL_L_X16Y141 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y141 FIRST_SITE_ID 864 TILEPROP CLBLL_L_X16Y141 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y141 GRID_POINT_Y 9 TILEPROP CLBLL_L_X16Y141 INDEX 1078 TILEPROP CLBLL_L_X16Y141 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y141 INT_TILE_Y 8 TILEPROP CLBLL_L_X16Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y141 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y141 NAME CLBLL_L_X16Y141 TILEPROP CLBLL_L_X16Y141 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y141 NUM_SITES 2 TILEPROP CLBLL_L_X16Y141 ROW 9 TILEPROP CLBLL_L_X16Y141 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y141 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y141 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y141 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y141 TILE_X -24692 TILEPROP CLBLL_L_X16Y141 TILE_Y 215096 TILEPROP CLBLL_L_X16Y141 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y142 CLASS tile TILEPROP CLBLL_L_X16Y142 COLUMN 43 TILEPROP CLBLL_L_X16Y142 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y142 FIRST_SITE_ID 760 TILEPROP CLBLL_L_X16Y142 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y142 GRID_POINT_Y 8 TILEPROP CLBLL_L_X16Y142 INDEX 963 TILEPROP CLBLL_L_X16Y142 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y142 INT_TILE_Y 7 TILEPROP CLBLL_L_X16Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y142 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y142 NAME CLBLL_L_X16Y142 TILEPROP CLBLL_L_X16Y142 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y142 NUM_SITES 2 TILEPROP CLBLL_L_X16Y142 ROW 8 TILEPROP CLBLL_L_X16Y142 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y142 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y142 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y142 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y142 TILE_X -24692 TILEPROP CLBLL_L_X16Y142 TILE_Y 218296 TILEPROP CLBLL_L_X16Y142 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y143 CLASS tile TILEPROP CLBLL_L_X16Y143 COLUMN 43 TILEPROP CLBLL_L_X16Y143 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y143 FIRST_SITE_ID 663 TILEPROP CLBLL_L_X16Y143 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y143 GRID_POINT_Y 7 TILEPROP CLBLL_L_X16Y143 INDEX 848 TILEPROP CLBLL_L_X16Y143 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y143 INT_TILE_Y 6 TILEPROP CLBLL_L_X16Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y143 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y143 NAME CLBLL_L_X16Y143 TILEPROP CLBLL_L_X16Y143 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y143 NUM_SITES 2 TILEPROP CLBLL_L_X16Y143 ROW 7 TILEPROP CLBLL_L_X16Y143 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y143 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y143 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y143 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y143 TILE_X -24692 TILEPROP CLBLL_L_X16Y143 TILE_Y 221496 TILEPROP CLBLL_L_X16Y143 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y144 CLASS tile TILEPROP CLBLL_L_X16Y144 COLUMN 43 TILEPROP CLBLL_L_X16Y144 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y144 FIRST_SITE_ID 552 TILEPROP CLBLL_L_X16Y144 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y144 GRID_POINT_Y 6 TILEPROP CLBLL_L_X16Y144 INDEX 733 TILEPROP CLBLL_L_X16Y144 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y144 INT_TILE_Y 5 TILEPROP CLBLL_L_X16Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y144 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y144 NAME CLBLL_L_X16Y144 TILEPROP CLBLL_L_X16Y144 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y144 NUM_SITES 2 TILEPROP CLBLL_L_X16Y144 ROW 6 TILEPROP CLBLL_L_X16Y144 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y144 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y144 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y144 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y144 TILE_X -24692 TILEPROP CLBLL_L_X16Y144 TILE_Y 224696 TILEPROP CLBLL_L_X16Y144 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y145 CLASS tile TILEPROP CLBLL_L_X16Y145 COLUMN 43 TILEPROP CLBLL_L_X16Y145 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y145 FIRST_SITE_ID 450 TILEPROP CLBLL_L_X16Y145 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y145 GRID_POINT_Y 5 TILEPROP CLBLL_L_X16Y145 INDEX 618 TILEPROP CLBLL_L_X16Y145 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y145 INT_TILE_Y 4 TILEPROP CLBLL_L_X16Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y145 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y145 NAME CLBLL_L_X16Y145 TILEPROP CLBLL_L_X16Y145 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y145 NUM_SITES 2 TILEPROP CLBLL_L_X16Y145 ROW 5 TILEPROP CLBLL_L_X16Y145 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y145 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y145 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y145 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y145 TILE_X -24692 TILEPROP CLBLL_L_X16Y145 TILE_Y 227896 TILEPROP CLBLL_L_X16Y145 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y146 CLASS tile TILEPROP CLBLL_L_X16Y146 COLUMN 43 TILEPROP CLBLL_L_X16Y146 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y146 FIRST_SITE_ID 340 TILEPROP CLBLL_L_X16Y146 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y146 GRID_POINT_Y 4 TILEPROP CLBLL_L_X16Y146 INDEX 503 TILEPROP CLBLL_L_X16Y146 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y146 INT_TILE_Y 3 TILEPROP CLBLL_L_X16Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y146 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y146 NAME CLBLL_L_X16Y146 TILEPROP CLBLL_L_X16Y146 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y146 NUM_SITES 2 TILEPROP CLBLL_L_X16Y146 ROW 4 TILEPROP CLBLL_L_X16Y146 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y146 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y146 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y146 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y146 TILE_X -24692 TILEPROP CLBLL_L_X16Y146 TILE_Y 231096 TILEPROP CLBLL_L_X16Y146 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y147 CLASS tile TILEPROP CLBLL_L_X16Y147 COLUMN 43 TILEPROP CLBLL_L_X16Y147 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y147 FIRST_SITE_ID 244 TILEPROP CLBLL_L_X16Y147 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y147 GRID_POINT_Y 3 TILEPROP CLBLL_L_X16Y147 INDEX 388 TILEPROP CLBLL_L_X16Y147 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y147 INT_TILE_Y 2 TILEPROP CLBLL_L_X16Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y147 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y147 NAME CLBLL_L_X16Y147 TILEPROP CLBLL_L_X16Y147 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y147 NUM_SITES 2 TILEPROP CLBLL_L_X16Y147 ROW 3 TILEPROP CLBLL_L_X16Y147 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y147 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y147 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y147 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y147 TILE_X -24692 TILEPROP CLBLL_L_X16Y147 TILE_Y 234296 TILEPROP CLBLL_L_X16Y147 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y148 CLASS tile TILEPROP CLBLL_L_X16Y148 COLUMN 43 TILEPROP CLBLL_L_X16Y148 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y148 FIRST_SITE_ID 140 TILEPROP CLBLL_L_X16Y148 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y148 GRID_POINT_Y 2 TILEPROP CLBLL_L_X16Y148 INDEX 273 TILEPROP CLBLL_L_X16Y148 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y148 INT_TILE_Y 1 TILEPROP CLBLL_L_X16Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y148 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y148 NAME CLBLL_L_X16Y148 TILEPROP CLBLL_L_X16Y148 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y148 NUM_SITES 2 TILEPROP CLBLL_L_X16Y148 ROW 2 TILEPROP CLBLL_L_X16Y148 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y148 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X16Y148 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y148 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y148 TILE_X -24692 TILEPROP CLBLL_L_X16Y148 TILE_Y 237496 TILEPROP CLBLL_L_X16Y148 TYPE CLBLL_L TILEPROP CLBLL_L_X16Y149 CLASS tile TILEPROP CLBLL_L_X16Y149 COLUMN 43 TILEPROP CLBLL_L_X16Y149 DEVICE_ID 0 TILEPROP CLBLL_L_X16Y149 FIRST_SITE_ID 44 TILEPROP CLBLL_L_X16Y149 GRID_POINT_X 43 TILEPROP CLBLL_L_X16Y149 GRID_POINT_Y 1 TILEPROP CLBLL_L_X16Y149 INDEX 158 TILEPROP CLBLL_L_X16Y149 INT_TILE_X 15 TILEPROP CLBLL_L_X16Y149 INT_TILE_Y 0 TILEPROP CLBLL_L_X16Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X16Y149 IS_DCM_TILE 0 TILEPROP CLBLL_L_X16Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X16Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X16Y149 NAME CLBLL_L_X16Y149 TILEPROP CLBLL_L_X16Y149 NUM_ARCS 146 TILEPROP CLBLL_L_X16Y149 NUM_SITES 2 TILEPROP CLBLL_L_X16Y149 ROW 1 TILEPROP CLBLL_L_X16Y149 SLR_REGION_ID 0 TILEPROP CLBLL_L_X16Y149 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X16Y149 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X16Y149 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X16Y149 TILE_X -24692 TILEPROP CLBLL_L_X16Y149 TILE_Y 240696 TILEPROP CLBLL_L_X16Y149 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y0 CLASS tile TILEPROP CLBLL_L_X24Y0 COLUMN 62 TILEPROP CLBLL_L_X24Y0 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y0 FIRST_SITE_ID 15754 TILEPROP CLBLL_L_X24Y0 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y0 GRID_POINT_Y 155 TILEPROP CLBLL_L_X24Y0 INDEX 17887 TILEPROP CLBLL_L_X24Y0 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y0 INT_TILE_Y 149 TILEPROP CLBLL_L_X24Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y0 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y0 NAME CLBLL_L_X24Y0 TILEPROP CLBLL_L_X24Y0 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y0 NUM_SITES 2 TILEPROP CLBLL_L_X24Y0 ROW 155 TILEPROP CLBLL_L_X24Y0 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y0 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X24Y0 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y0 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y0 TILE_X 10988 TILEPROP CLBLL_L_X24Y0 TILE_Y -239672 TILEPROP CLBLL_L_X24Y0 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y1 CLASS tile TILEPROP CLBLL_L_X24Y1 COLUMN 62 TILEPROP CLBLL_L_X24Y1 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y1 FIRST_SITE_ID 15644 TILEPROP CLBLL_L_X24Y1 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y1 GRID_POINT_Y 154 TILEPROP CLBLL_L_X24Y1 INDEX 17772 TILEPROP CLBLL_L_X24Y1 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y1 INT_TILE_Y 148 TILEPROP CLBLL_L_X24Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y1 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y1 NAME CLBLL_L_X24Y1 TILEPROP CLBLL_L_X24Y1 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y1 NUM_SITES 2 TILEPROP CLBLL_L_X24Y1 ROW 154 TILEPROP CLBLL_L_X24Y1 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y1 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y1 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y1 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y1 TILE_X 10988 TILEPROP CLBLL_L_X24Y1 TILE_Y -236472 TILEPROP CLBLL_L_X24Y1 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y2 CLASS tile TILEPROP CLBLL_L_X24Y2 COLUMN 62 TILEPROP CLBLL_L_X24Y2 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y2 FIRST_SITE_ID 15543 TILEPROP CLBLL_L_X24Y2 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y2 GRID_POINT_Y 153 TILEPROP CLBLL_L_X24Y2 INDEX 17657 TILEPROP CLBLL_L_X24Y2 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y2 INT_TILE_Y 147 TILEPROP CLBLL_L_X24Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y2 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y2 NAME CLBLL_L_X24Y2 TILEPROP CLBLL_L_X24Y2 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y2 NUM_SITES 2 TILEPROP CLBLL_L_X24Y2 ROW 153 TILEPROP CLBLL_L_X24Y2 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y2 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y2 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y2 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y2 TILE_X 10988 TILEPROP CLBLL_L_X24Y2 TILE_Y -233272 TILEPROP CLBLL_L_X24Y2 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y3 CLASS tile TILEPROP CLBLL_L_X24Y3 COLUMN 62 TILEPROP CLBLL_L_X24Y3 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y3 FIRST_SITE_ID 15443 TILEPROP CLBLL_L_X24Y3 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y3 GRID_POINT_Y 152 TILEPROP CLBLL_L_X24Y3 INDEX 17542 TILEPROP CLBLL_L_X24Y3 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y3 INT_TILE_Y 146 TILEPROP CLBLL_L_X24Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y3 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y3 NAME CLBLL_L_X24Y3 TILEPROP CLBLL_L_X24Y3 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y3 NUM_SITES 2 TILEPROP CLBLL_L_X24Y3 ROW 152 TILEPROP CLBLL_L_X24Y3 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y3 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y3 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y3 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y3 TILE_X 10988 TILEPROP CLBLL_L_X24Y3 TILE_Y -230072 TILEPROP CLBLL_L_X24Y3 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y4 CLASS tile TILEPROP CLBLL_L_X24Y4 COLUMN 62 TILEPROP CLBLL_L_X24Y4 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y4 FIRST_SITE_ID 15343 TILEPROP CLBLL_L_X24Y4 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y4 GRID_POINT_Y 151 TILEPROP CLBLL_L_X24Y4 INDEX 17427 TILEPROP CLBLL_L_X24Y4 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y4 INT_TILE_Y 145 TILEPROP CLBLL_L_X24Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y4 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y4 NAME CLBLL_L_X24Y4 TILEPROP CLBLL_L_X24Y4 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y4 NUM_SITES 2 TILEPROP CLBLL_L_X24Y4 ROW 151 TILEPROP CLBLL_L_X24Y4 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y4 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y4 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y4 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y4 TILE_X 10988 TILEPROP CLBLL_L_X24Y4 TILE_Y -226872 TILEPROP CLBLL_L_X24Y4 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y5 CLASS tile TILEPROP CLBLL_L_X24Y5 COLUMN 62 TILEPROP CLBLL_L_X24Y5 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y5 FIRST_SITE_ID 15234 TILEPROP CLBLL_L_X24Y5 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y5 GRID_POINT_Y 150 TILEPROP CLBLL_L_X24Y5 INDEX 17312 TILEPROP CLBLL_L_X24Y5 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y5 INT_TILE_Y 144 TILEPROP CLBLL_L_X24Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y5 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y5 NAME CLBLL_L_X24Y5 TILEPROP CLBLL_L_X24Y5 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y5 NUM_SITES 2 TILEPROP CLBLL_L_X24Y5 ROW 150 TILEPROP CLBLL_L_X24Y5 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y5 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y5 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y5 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y5 TILE_X 10988 TILEPROP CLBLL_L_X24Y5 TILE_Y -223672 TILEPROP CLBLL_L_X24Y5 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y6 CLASS tile TILEPROP CLBLL_L_X24Y6 COLUMN 62 TILEPROP CLBLL_L_X24Y6 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y6 FIRST_SITE_ID 15128 TILEPROP CLBLL_L_X24Y6 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y6 GRID_POINT_Y 149 TILEPROP CLBLL_L_X24Y6 INDEX 17197 TILEPROP CLBLL_L_X24Y6 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y6 INT_TILE_Y 143 TILEPROP CLBLL_L_X24Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y6 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y6 NAME CLBLL_L_X24Y6 TILEPROP CLBLL_L_X24Y6 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y6 NUM_SITES 2 TILEPROP CLBLL_L_X24Y6 ROW 149 TILEPROP CLBLL_L_X24Y6 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y6 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y6 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y6 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y6 TILE_X 10988 TILEPROP CLBLL_L_X24Y6 TILE_Y -220472 TILEPROP CLBLL_L_X24Y6 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y7 CLASS tile TILEPROP CLBLL_L_X24Y7 COLUMN 62 TILEPROP CLBLL_L_X24Y7 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y7 FIRST_SITE_ID 15026 TILEPROP CLBLL_L_X24Y7 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y7 GRID_POINT_Y 148 TILEPROP CLBLL_L_X24Y7 INDEX 17082 TILEPROP CLBLL_L_X24Y7 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y7 INT_TILE_Y 142 TILEPROP CLBLL_L_X24Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y7 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y7 NAME CLBLL_L_X24Y7 TILEPROP CLBLL_L_X24Y7 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y7 NUM_SITES 2 TILEPROP CLBLL_L_X24Y7 ROW 148 TILEPROP CLBLL_L_X24Y7 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y7 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y7 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y7 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y7 TILE_X 10988 TILEPROP CLBLL_L_X24Y7 TILE_Y -217272 TILEPROP CLBLL_L_X24Y7 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y8 CLASS tile TILEPROP CLBLL_L_X24Y8 COLUMN 62 TILEPROP CLBLL_L_X24Y8 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y8 FIRST_SITE_ID 14923 TILEPROP CLBLL_L_X24Y8 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y8 GRID_POINT_Y 147 TILEPROP CLBLL_L_X24Y8 INDEX 16967 TILEPROP CLBLL_L_X24Y8 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y8 INT_TILE_Y 141 TILEPROP CLBLL_L_X24Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y8 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y8 NAME CLBLL_L_X24Y8 TILEPROP CLBLL_L_X24Y8 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y8 NUM_SITES 2 TILEPROP CLBLL_L_X24Y8 ROW 147 TILEPROP CLBLL_L_X24Y8 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y8 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y8 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y8 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y8 TILE_X 10988 TILEPROP CLBLL_L_X24Y8 TILE_Y -214072 TILEPROP CLBLL_L_X24Y8 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y9 CLASS tile TILEPROP CLBLL_L_X24Y9 COLUMN 62 TILEPROP CLBLL_L_X24Y9 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y9 FIRST_SITE_ID 14822 TILEPROP CLBLL_L_X24Y9 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y9 GRID_POINT_Y 146 TILEPROP CLBLL_L_X24Y9 INDEX 16852 TILEPROP CLBLL_L_X24Y9 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y9 INT_TILE_Y 140 TILEPROP CLBLL_L_X24Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y9 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y9 NAME CLBLL_L_X24Y9 TILEPROP CLBLL_L_X24Y9 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y9 NUM_SITES 2 TILEPROP CLBLL_L_X24Y9 ROW 146 TILEPROP CLBLL_L_X24Y9 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y9 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y9 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y9 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y9 TILE_X 10988 TILEPROP CLBLL_L_X24Y9 TILE_Y -210872 TILEPROP CLBLL_L_X24Y9 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y10 CLASS tile TILEPROP CLBLL_L_X24Y10 COLUMN 62 TILEPROP CLBLL_L_X24Y10 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y10 FIRST_SITE_ID 14713 TILEPROP CLBLL_L_X24Y10 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y10 GRID_POINT_Y 145 TILEPROP CLBLL_L_X24Y10 INDEX 16737 TILEPROP CLBLL_L_X24Y10 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y10 INT_TILE_Y 139 TILEPROP CLBLL_L_X24Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y10 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y10 NAME CLBLL_L_X24Y10 TILEPROP CLBLL_L_X24Y10 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y10 NUM_SITES 2 TILEPROP CLBLL_L_X24Y10 ROW 145 TILEPROP CLBLL_L_X24Y10 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y10 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y10 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y10 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y10 TILE_X 10988 TILEPROP CLBLL_L_X24Y10 TILE_Y -207672 TILEPROP CLBLL_L_X24Y10 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y11 CLASS tile TILEPROP CLBLL_L_X24Y11 COLUMN 62 TILEPROP CLBLL_L_X24Y11 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y11 FIRST_SITE_ID 14607 TILEPROP CLBLL_L_X24Y11 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y11 GRID_POINT_Y 144 TILEPROP CLBLL_L_X24Y11 INDEX 16622 TILEPROP CLBLL_L_X24Y11 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y11 INT_TILE_Y 138 TILEPROP CLBLL_L_X24Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y11 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y11 NAME CLBLL_L_X24Y11 TILEPROP CLBLL_L_X24Y11 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y11 NUM_SITES 2 TILEPROP CLBLL_L_X24Y11 ROW 144 TILEPROP CLBLL_L_X24Y11 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y11 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y11 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y11 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y11 TILE_X 10988 TILEPROP CLBLL_L_X24Y11 TILE_Y -204472 TILEPROP CLBLL_L_X24Y11 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y12 CLASS tile TILEPROP CLBLL_L_X24Y12 COLUMN 62 TILEPROP CLBLL_L_X24Y12 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y12 FIRST_SITE_ID 14507 TILEPROP CLBLL_L_X24Y12 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y12 GRID_POINT_Y 143 TILEPROP CLBLL_L_X24Y12 INDEX 16507 TILEPROP CLBLL_L_X24Y12 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y12 INT_TILE_Y 137 TILEPROP CLBLL_L_X24Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y12 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y12 NAME CLBLL_L_X24Y12 TILEPROP CLBLL_L_X24Y12 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y12 NUM_SITES 2 TILEPROP CLBLL_L_X24Y12 ROW 143 TILEPROP CLBLL_L_X24Y12 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y12 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y12 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y12 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y12 TILE_X 10988 TILEPROP CLBLL_L_X24Y12 TILE_Y -201272 TILEPROP CLBLL_L_X24Y12 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y13 CLASS tile TILEPROP CLBLL_L_X24Y13 COLUMN 62 TILEPROP CLBLL_L_X24Y13 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y13 FIRST_SITE_ID 14375 TILEPROP CLBLL_L_X24Y13 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y13 GRID_POINT_Y 142 TILEPROP CLBLL_L_X24Y13 INDEX 16392 TILEPROP CLBLL_L_X24Y13 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y13 INT_TILE_Y 136 TILEPROP CLBLL_L_X24Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y13 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y13 NAME CLBLL_L_X24Y13 TILEPROP CLBLL_L_X24Y13 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y13 NUM_SITES 2 TILEPROP CLBLL_L_X24Y13 ROW 142 TILEPROP CLBLL_L_X24Y13 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y13 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y13 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y13 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y13 TILE_X 10988 TILEPROP CLBLL_L_X24Y13 TILE_Y -198072 TILEPROP CLBLL_L_X24Y13 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y14 CLASS tile TILEPROP CLBLL_L_X24Y14 COLUMN 62 TILEPROP CLBLL_L_X24Y14 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y14 FIRST_SITE_ID 14275 TILEPROP CLBLL_L_X24Y14 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y14 GRID_POINT_Y 141 TILEPROP CLBLL_L_X24Y14 INDEX 16277 TILEPROP CLBLL_L_X24Y14 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y14 INT_TILE_Y 135 TILEPROP CLBLL_L_X24Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y14 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y14 NAME CLBLL_L_X24Y14 TILEPROP CLBLL_L_X24Y14 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y14 NUM_SITES 2 TILEPROP CLBLL_L_X24Y14 ROW 141 TILEPROP CLBLL_L_X24Y14 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y14 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y14 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y14 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y14 TILE_X 10988 TILEPROP CLBLL_L_X24Y14 TILE_Y -194872 TILEPROP CLBLL_L_X24Y14 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y15 CLASS tile TILEPROP CLBLL_L_X24Y15 COLUMN 62 TILEPROP CLBLL_L_X24Y15 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y15 FIRST_SITE_ID 14166 TILEPROP CLBLL_L_X24Y15 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y15 GRID_POINT_Y 140 TILEPROP CLBLL_L_X24Y15 INDEX 16162 TILEPROP CLBLL_L_X24Y15 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y15 INT_TILE_Y 134 TILEPROP CLBLL_L_X24Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y15 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y15 NAME CLBLL_L_X24Y15 TILEPROP CLBLL_L_X24Y15 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y15 NUM_SITES 2 TILEPROP CLBLL_L_X24Y15 ROW 140 TILEPROP CLBLL_L_X24Y15 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y15 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y15 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y15 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y15 TILE_X 10988 TILEPROP CLBLL_L_X24Y15 TILE_Y -191672 TILEPROP CLBLL_L_X24Y15 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y16 CLASS tile TILEPROP CLBLL_L_X24Y16 COLUMN 62 TILEPROP CLBLL_L_X24Y16 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y16 FIRST_SITE_ID 14060 TILEPROP CLBLL_L_X24Y16 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y16 GRID_POINT_Y 139 TILEPROP CLBLL_L_X24Y16 INDEX 16047 TILEPROP CLBLL_L_X24Y16 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y16 INT_TILE_Y 133 TILEPROP CLBLL_L_X24Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y16 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y16 NAME CLBLL_L_X24Y16 TILEPROP CLBLL_L_X24Y16 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y16 NUM_SITES 2 TILEPROP CLBLL_L_X24Y16 ROW 139 TILEPROP CLBLL_L_X24Y16 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y16 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y16 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y16 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y16 TILE_X 10988 TILEPROP CLBLL_L_X24Y16 TILE_Y -188472 TILEPROP CLBLL_L_X24Y16 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y17 CLASS tile TILEPROP CLBLL_L_X24Y17 COLUMN 62 TILEPROP CLBLL_L_X24Y17 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y17 FIRST_SITE_ID 13956 TILEPROP CLBLL_L_X24Y17 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y17 GRID_POINT_Y 138 TILEPROP CLBLL_L_X24Y17 INDEX 15932 TILEPROP CLBLL_L_X24Y17 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y17 INT_TILE_Y 132 TILEPROP CLBLL_L_X24Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y17 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y17 NAME CLBLL_L_X24Y17 TILEPROP CLBLL_L_X24Y17 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y17 NUM_SITES 2 TILEPROP CLBLL_L_X24Y17 ROW 138 TILEPROP CLBLL_L_X24Y17 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y17 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y17 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y17 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y17 TILE_X 10988 TILEPROP CLBLL_L_X24Y17 TILE_Y -185272 TILEPROP CLBLL_L_X24Y17 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y18 CLASS tile TILEPROP CLBLL_L_X24Y18 COLUMN 62 TILEPROP CLBLL_L_X24Y18 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y18 FIRST_SITE_ID 13851 TILEPROP CLBLL_L_X24Y18 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y18 GRID_POINT_Y 137 TILEPROP CLBLL_L_X24Y18 INDEX 15817 TILEPROP CLBLL_L_X24Y18 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y18 INT_TILE_Y 131 TILEPROP CLBLL_L_X24Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y18 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y18 NAME CLBLL_L_X24Y18 TILEPROP CLBLL_L_X24Y18 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y18 NUM_SITES 2 TILEPROP CLBLL_L_X24Y18 ROW 137 TILEPROP CLBLL_L_X24Y18 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y18 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y18 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y18 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y18 TILE_X 10988 TILEPROP CLBLL_L_X24Y18 TILE_Y -182072 TILEPROP CLBLL_L_X24Y18 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y19 CLASS tile TILEPROP CLBLL_L_X24Y19 COLUMN 62 TILEPROP CLBLL_L_X24Y19 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y19 FIRST_SITE_ID 13749 TILEPROP CLBLL_L_X24Y19 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y19 GRID_POINT_Y 136 TILEPROP CLBLL_L_X24Y19 INDEX 15702 TILEPROP CLBLL_L_X24Y19 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y19 INT_TILE_Y 130 TILEPROP CLBLL_L_X24Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y19 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y19 NAME CLBLL_L_X24Y19 TILEPROP CLBLL_L_X24Y19 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y19 NUM_SITES 2 TILEPROP CLBLL_L_X24Y19 ROW 136 TILEPROP CLBLL_L_X24Y19 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y19 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y19 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y19 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y19 TILE_X 10988 TILEPROP CLBLL_L_X24Y19 TILE_Y -178872 TILEPROP CLBLL_L_X24Y19 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y20 CLASS tile TILEPROP CLBLL_L_X24Y20 COLUMN 62 TILEPROP CLBLL_L_X24Y20 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y20 FIRST_SITE_ID 13638 TILEPROP CLBLL_L_X24Y20 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y20 GRID_POINT_Y 135 TILEPROP CLBLL_L_X24Y20 INDEX 15587 TILEPROP CLBLL_L_X24Y20 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y20 INT_TILE_Y 129 TILEPROP CLBLL_L_X24Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y20 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y20 NAME CLBLL_L_X24Y20 TILEPROP CLBLL_L_X24Y20 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y20 NUM_SITES 2 TILEPROP CLBLL_L_X24Y20 ROW 135 TILEPROP CLBLL_L_X24Y20 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y20 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y20 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y20 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y20 TILE_X 10988 TILEPROP CLBLL_L_X24Y20 TILE_Y -175672 TILEPROP CLBLL_L_X24Y20 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y21 CLASS tile TILEPROP CLBLL_L_X24Y21 COLUMN 62 TILEPROP CLBLL_L_X24Y21 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y21 FIRST_SITE_ID 13532 TILEPROP CLBLL_L_X24Y21 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y21 GRID_POINT_Y 134 TILEPROP CLBLL_L_X24Y21 INDEX 15472 TILEPROP CLBLL_L_X24Y21 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y21 INT_TILE_Y 128 TILEPROP CLBLL_L_X24Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y21 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y21 NAME CLBLL_L_X24Y21 TILEPROP CLBLL_L_X24Y21 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y21 NUM_SITES 2 TILEPROP CLBLL_L_X24Y21 ROW 134 TILEPROP CLBLL_L_X24Y21 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y21 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y21 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y21 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y21 TILE_X 10988 TILEPROP CLBLL_L_X24Y21 TILE_Y -172472 TILEPROP CLBLL_L_X24Y21 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y22 CLASS tile TILEPROP CLBLL_L_X24Y22 COLUMN 62 TILEPROP CLBLL_L_X24Y22 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y22 FIRST_SITE_ID 13432 TILEPROP CLBLL_L_X24Y22 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y22 GRID_POINT_Y 133 TILEPROP CLBLL_L_X24Y22 INDEX 15357 TILEPROP CLBLL_L_X24Y22 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y22 INT_TILE_Y 127 TILEPROP CLBLL_L_X24Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y22 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y22 NAME CLBLL_L_X24Y22 TILEPROP CLBLL_L_X24Y22 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y22 NUM_SITES 2 TILEPROP CLBLL_L_X24Y22 ROW 133 TILEPROP CLBLL_L_X24Y22 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y22 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y22 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y22 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y22 TILE_X 10988 TILEPROP CLBLL_L_X24Y22 TILE_Y -169272 TILEPROP CLBLL_L_X24Y22 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y23 CLASS tile TILEPROP CLBLL_L_X24Y23 COLUMN 62 TILEPROP CLBLL_L_X24Y23 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y23 FIRST_SITE_ID 13332 TILEPROP CLBLL_L_X24Y23 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y23 GRID_POINT_Y 132 TILEPROP CLBLL_L_X24Y23 INDEX 15242 TILEPROP CLBLL_L_X24Y23 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y23 INT_TILE_Y 126 TILEPROP CLBLL_L_X24Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y23 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y23 NAME CLBLL_L_X24Y23 TILEPROP CLBLL_L_X24Y23 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y23 NUM_SITES 2 TILEPROP CLBLL_L_X24Y23 ROW 132 TILEPROP CLBLL_L_X24Y23 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y23 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y23 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y23 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y23 TILE_X 10988 TILEPROP CLBLL_L_X24Y23 TILE_Y -166072 TILEPROP CLBLL_L_X24Y23 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y24 CLASS tile TILEPROP CLBLL_L_X24Y24 COLUMN 62 TILEPROP CLBLL_L_X24Y24 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y24 FIRST_SITE_ID 13232 TILEPROP CLBLL_L_X24Y24 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y24 GRID_POINT_Y 131 TILEPROP CLBLL_L_X24Y24 INDEX 15127 TILEPROP CLBLL_L_X24Y24 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y24 INT_TILE_Y 125 TILEPROP CLBLL_L_X24Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y24 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y24 NAME CLBLL_L_X24Y24 TILEPROP CLBLL_L_X24Y24 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y24 NUM_SITES 2 TILEPROP CLBLL_L_X24Y24 ROW 131 TILEPROP CLBLL_L_X24Y24 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y24 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X24Y24 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y24 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y24 TILE_X 10988 TILEPROP CLBLL_L_X24Y24 TILE_Y -162872 TILEPROP CLBLL_L_X24Y24 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y25 CLASS tile TILEPROP CLBLL_L_X24Y25 COLUMN 62 TILEPROP CLBLL_L_X24Y25 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y25 FIRST_SITE_ID 13040 TILEPROP CLBLL_L_X24Y25 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y25 GRID_POINT_Y 129 TILEPROP CLBLL_L_X24Y25 INDEX 14897 TILEPROP CLBLL_L_X24Y25 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y25 INT_TILE_Y 124 TILEPROP CLBLL_L_X24Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y25 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y25 NAME CLBLL_L_X24Y25 TILEPROP CLBLL_L_X24Y25 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y25 NUM_SITES 2 TILEPROP CLBLL_L_X24Y25 ROW 129 TILEPROP CLBLL_L_X24Y25 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y25 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X24Y25 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y25 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y25 TILE_X 10988 TILEPROP CLBLL_L_X24Y25 TILE_Y -158648 TILEPROP CLBLL_L_X24Y25 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y26 CLASS tile TILEPROP CLBLL_L_X24Y26 COLUMN 62 TILEPROP CLBLL_L_X24Y26 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y26 FIRST_SITE_ID 12934 TILEPROP CLBLL_L_X24Y26 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y26 GRID_POINT_Y 128 TILEPROP CLBLL_L_X24Y26 INDEX 14782 TILEPROP CLBLL_L_X24Y26 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y26 INT_TILE_Y 123 TILEPROP CLBLL_L_X24Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y26 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y26 NAME CLBLL_L_X24Y26 TILEPROP CLBLL_L_X24Y26 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y26 NUM_SITES 2 TILEPROP CLBLL_L_X24Y26 ROW 128 TILEPROP CLBLL_L_X24Y26 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y26 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y26 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y26 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y26 TILE_X 10988 TILEPROP CLBLL_L_X24Y26 TILE_Y -155448 TILEPROP CLBLL_L_X24Y26 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y27 CLASS tile TILEPROP CLBLL_L_X24Y27 COLUMN 62 TILEPROP CLBLL_L_X24Y27 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y27 FIRST_SITE_ID 12834 TILEPROP CLBLL_L_X24Y27 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y27 GRID_POINT_Y 127 TILEPROP CLBLL_L_X24Y27 INDEX 14667 TILEPROP CLBLL_L_X24Y27 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y27 INT_TILE_Y 122 TILEPROP CLBLL_L_X24Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y27 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y27 NAME CLBLL_L_X24Y27 TILEPROP CLBLL_L_X24Y27 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y27 NUM_SITES 2 TILEPROP CLBLL_L_X24Y27 ROW 127 TILEPROP CLBLL_L_X24Y27 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y27 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y27 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y27 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y27 TILE_X 10988 TILEPROP CLBLL_L_X24Y27 TILE_Y -152248 TILEPROP CLBLL_L_X24Y27 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y28 CLASS tile TILEPROP CLBLL_L_X24Y28 COLUMN 62 TILEPROP CLBLL_L_X24Y28 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y28 FIRST_SITE_ID 12734 TILEPROP CLBLL_L_X24Y28 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y28 GRID_POINT_Y 126 TILEPROP CLBLL_L_X24Y28 INDEX 14552 TILEPROP CLBLL_L_X24Y28 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y28 INT_TILE_Y 121 TILEPROP CLBLL_L_X24Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y28 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y28 NAME CLBLL_L_X24Y28 TILEPROP CLBLL_L_X24Y28 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y28 NUM_SITES 2 TILEPROP CLBLL_L_X24Y28 ROW 126 TILEPROP CLBLL_L_X24Y28 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y28 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y28 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y28 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y28 TILE_X 10988 TILEPROP CLBLL_L_X24Y28 TILE_Y -149048 TILEPROP CLBLL_L_X24Y28 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y29 CLASS tile TILEPROP CLBLL_L_X24Y29 COLUMN 62 TILEPROP CLBLL_L_X24Y29 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y29 FIRST_SITE_ID 12628 TILEPROP CLBLL_L_X24Y29 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y29 GRID_POINT_Y 125 TILEPROP CLBLL_L_X24Y29 INDEX 14437 TILEPROP CLBLL_L_X24Y29 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y29 INT_TILE_Y 120 TILEPROP CLBLL_L_X24Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y29 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y29 NAME CLBLL_L_X24Y29 TILEPROP CLBLL_L_X24Y29 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y29 NUM_SITES 2 TILEPROP CLBLL_L_X24Y29 ROW 125 TILEPROP CLBLL_L_X24Y29 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y29 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y29 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y29 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y29 TILE_X 10988 TILEPROP CLBLL_L_X24Y29 TILE_Y -145848 TILEPROP CLBLL_L_X24Y29 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y30 CLASS tile TILEPROP CLBLL_L_X24Y30 COLUMN 62 TILEPROP CLBLL_L_X24Y30 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y30 FIRST_SITE_ID 12513 TILEPROP CLBLL_L_X24Y30 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y30 GRID_POINT_Y 124 TILEPROP CLBLL_L_X24Y30 INDEX 14322 TILEPROP CLBLL_L_X24Y30 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y30 INT_TILE_Y 119 TILEPROP CLBLL_L_X24Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y30 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y30 NAME CLBLL_L_X24Y30 TILEPROP CLBLL_L_X24Y30 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y30 NUM_SITES 2 TILEPROP CLBLL_L_X24Y30 ROW 124 TILEPROP CLBLL_L_X24Y30 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y30 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y30 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y30 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y30 TILE_X 10988 TILEPROP CLBLL_L_X24Y30 TILE_Y -142648 TILEPROP CLBLL_L_X24Y30 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y31 CLASS tile TILEPROP CLBLL_L_X24Y31 COLUMN 62 TILEPROP CLBLL_L_X24Y31 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y31 FIRST_SITE_ID 12392 TILEPROP CLBLL_L_X24Y31 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y31 GRID_POINT_Y 123 TILEPROP CLBLL_L_X24Y31 INDEX 14207 TILEPROP CLBLL_L_X24Y31 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y31 INT_TILE_Y 118 TILEPROP CLBLL_L_X24Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y31 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y31 NAME CLBLL_L_X24Y31 TILEPROP CLBLL_L_X24Y31 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y31 NUM_SITES 2 TILEPROP CLBLL_L_X24Y31 ROW 123 TILEPROP CLBLL_L_X24Y31 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y31 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y31 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y31 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y31 TILE_X 10988 TILEPROP CLBLL_L_X24Y31 TILE_Y -139448 TILEPROP CLBLL_L_X24Y31 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y32 CLASS tile TILEPROP CLBLL_L_X24Y32 COLUMN 62 TILEPROP CLBLL_L_X24Y32 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y32 FIRST_SITE_ID 12290 TILEPROP CLBLL_L_X24Y32 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y32 GRID_POINT_Y 122 TILEPROP CLBLL_L_X24Y32 INDEX 14092 TILEPROP CLBLL_L_X24Y32 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y32 INT_TILE_Y 117 TILEPROP CLBLL_L_X24Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y32 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y32 NAME CLBLL_L_X24Y32 TILEPROP CLBLL_L_X24Y32 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y32 NUM_SITES 2 TILEPROP CLBLL_L_X24Y32 ROW 122 TILEPROP CLBLL_L_X24Y32 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y32 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y32 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y32 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y32 TILE_X 10988 TILEPROP CLBLL_L_X24Y32 TILE_Y -136248 TILEPROP CLBLL_L_X24Y32 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y33 CLASS tile TILEPROP CLBLL_L_X24Y33 COLUMN 62 TILEPROP CLBLL_L_X24Y33 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y33 FIRST_SITE_ID 12189 TILEPROP CLBLL_L_X24Y33 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y33 GRID_POINT_Y 121 TILEPROP CLBLL_L_X24Y33 INDEX 13977 TILEPROP CLBLL_L_X24Y33 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y33 INT_TILE_Y 116 TILEPROP CLBLL_L_X24Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y33 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y33 NAME CLBLL_L_X24Y33 TILEPROP CLBLL_L_X24Y33 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y33 NUM_SITES 2 TILEPROP CLBLL_L_X24Y33 ROW 121 TILEPROP CLBLL_L_X24Y33 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y33 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y33 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y33 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y33 TILE_X 10988 TILEPROP CLBLL_L_X24Y33 TILE_Y -133048 TILEPROP CLBLL_L_X24Y33 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y34 CLASS tile TILEPROP CLBLL_L_X24Y34 COLUMN 62 TILEPROP CLBLL_L_X24Y34 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y34 FIRST_SITE_ID 12089 TILEPROP CLBLL_L_X24Y34 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y34 GRID_POINT_Y 120 TILEPROP CLBLL_L_X24Y34 INDEX 13862 TILEPROP CLBLL_L_X24Y34 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y34 INT_TILE_Y 115 TILEPROP CLBLL_L_X24Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y34 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y34 NAME CLBLL_L_X24Y34 TILEPROP CLBLL_L_X24Y34 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y34 NUM_SITES 2 TILEPROP CLBLL_L_X24Y34 ROW 120 TILEPROP CLBLL_L_X24Y34 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y34 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y34 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y34 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y34 TILE_X 10988 TILEPROP CLBLL_L_X24Y34 TILE_Y -129848 TILEPROP CLBLL_L_X24Y34 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y35 CLASS tile TILEPROP CLBLL_L_X24Y35 COLUMN 62 TILEPROP CLBLL_L_X24Y35 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y35 FIRST_SITE_ID 11980 TILEPROP CLBLL_L_X24Y35 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y35 GRID_POINT_Y 119 TILEPROP CLBLL_L_X24Y35 INDEX 13747 TILEPROP CLBLL_L_X24Y35 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y35 INT_TILE_Y 114 TILEPROP CLBLL_L_X24Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y35 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y35 NAME CLBLL_L_X24Y35 TILEPROP CLBLL_L_X24Y35 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y35 NUM_SITES 2 TILEPROP CLBLL_L_X24Y35 ROW 119 TILEPROP CLBLL_L_X24Y35 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y35 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y35 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y35 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y35 TILE_X 10988 TILEPROP CLBLL_L_X24Y35 TILE_Y -126648 TILEPROP CLBLL_L_X24Y35 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y36 CLASS tile TILEPROP CLBLL_L_X24Y36 COLUMN 62 TILEPROP CLBLL_L_X24Y36 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y36 FIRST_SITE_ID 11874 TILEPROP CLBLL_L_X24Y36 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y36 GRID_POINT_Y 118 TILEPROP CLBLL_L_X24Y36 INDEX 13632 TILEPROP CLBLL_L_X24Y36 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y36 INT_TILE_Y 113 TILEPROP CLBLL_L_X24Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y36 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y36 NAME CLBLL_L_X24Y36 TILEPROP CLBLL_L_X24Y36 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y36 NUM_SITES 2 TILEPROP CLBLL_L_X24Y36 ROW 118 TILEPROP CLBLL_L_X24Y36 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y36 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y36 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y36 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y36 TILE_X 10988 TILEPROP CLBLL_L_X24Y36 TILE_Y -123448 TILEPROP CLBLL_L_X24Y36 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y37 CLASS tile TILEPROP CLBLL_L_X24Y37 COLUMN 62 TILEPROP CLBLL_L_X24Y37 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y37 FIRST_SITE_ID 11742 TILEPROP CLBLL_L_X24Y37 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y37 GRID_POINT_Y 117 TILEPROP CLBLL_L_X24Y37 INDEX 13517 TILEPROP CLBLL_L_X24Y37 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y37 INT_TILE_Y 112 TILEPROP CLBLL_L_X24Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y37 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y37 NAME CLBLL_L_X24Y37 TILEPROP CLBLL_L_X24Y37 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y37 NUM_SITES 2 TILEPROP CLBLL_L_X24Y37 ROW 117 TILEPROP CLBLL_L_X24Y37 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y37 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y37 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y37 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y37 TILE_X 10988 TILEPROP CLBLL_L_X24Y37 TILE_Y -120248 TILEPROP CLBLL_L_X24Y37 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y38 CLASS tile TILEPROP CLBLL_L_X24Y38 COLUMN 62 TILEPROP CLBLL_L_X24Y38 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y38 FIRST_SITE_ID 11642 TILEPROP CLBLL_L_X24Y38 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y38 GRID_POINT_Y 116 TILEPROP CLBLL_L_X24Y38 INDEX 13402 TILEPROP CLBLL_L_X24Y38 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y38 INT_TILE_Y 111 TILEPROP CLBLL_L_X24Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y38 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y38 NAME CLBLL_L_X24Y38 TILEPROP CLBLL_L_X24Y38 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y38 NUM_SITES 2 TILEPROP CLBLL_L_X24Y38 ROW 116 TILEPROP CLBLL_L_X24Y38 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y38 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y38 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y38 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y38 TILE_X 10988 TILEPROP CLBLL_L_X24Y38 TILE_Y -117048 TILEPROP CLBLL_L_X24Y38 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y39 CLASS tile TILEPROP CLBLL_L_X24Y39 COLUMN 62 TILEPROP CLBLL_L_X24Y39 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y39 FIRST_SITE_ID 11542 TILEPROP CLBLL_L_X24Y39 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y39 GRID_POINT_Y 115 TILEPROP CLBLL_L_X24Y39 INDEX 13287 TILEPROP CLBLL_L_X24Y39 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y39 INT_TILE_Y 110 TILEPROP CLBLL_L_X24Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y39 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y39 NAME CLBLL_L_X24Y39 TILEPROP CLBLL_L_X24Y39 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y39 NUM_SITES 2 TILEPROP CLBLL_L_X24Y39 ROW 115 TILEPROP CLBLL_L_X24Y39 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y39 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y39 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y39 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y39 TILE_X 10988 TILEPROP CLBLL_L_X24Y39 TILE_Y -113848 TILEPROP CLBLL_L_X24Y39 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y40 CLASS tile TILEPROP CLBLL_L_X24Y40 COLUMN 62 TILEPROP CLBLL_L_X24Y40 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y40 FIRST_SITE_ID 11433 TILEPROP CLBLL_L_X24Y40 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y40 GRID_POINT_Y 114 TILEPROP CLBLL_L_X24Y40 INDEX 13172 TILEPROP CLBLL_L_X24Y40 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y40 INT_TILE_Y 109 TILEPROP CLBLL_L_X24Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y40 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y40 NAME CLBLL_L_X24Y40 TILEPROP CLBLL_L_X24Y40 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y40 NUM_SITES 2 TILEPROP CLBLL_L_X24Y40 ROW 114 TILEPROP CLBLL_L_X24Y40 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y40 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y40 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y40 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y40 TILE_X 10988 TILEPROP CLBLL_L_X24Y40 TILE_Y -110648 TILEPROP CLBLL_L_X24Y40 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y41 CLASS tile TILEPROP CLBLL_L_X24Y41 COLUMN 62 TILEPROP CLBLL_L_X24Y41 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y41 FIRST_SITE_ID 11325 TILEPROP CLBLL_L_X24Y41 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y41 GRID_POINT_Y 113 TILEPROP CLBLL_L_X24Y41 INDEX 13057 TILEPROP CLBLL_L_X24Y41 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y41 INT_TILE_Y 108 TILEPROP CLBLL_L_X24Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y41 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y41 NAME CLBLL_L_X24Y41 TILEPROP CLBLL_L_X24Y41 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y41 NUM_SITES 2 TILEPROP CLBLL_L_X24Y41 ROW 113 TILEPROP CLBLL_L_X24Y41 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y41 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y41 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y41 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y41 TILE_X 10988 TILEPROP CLBLL_L_X24Y41 TILE_Y -107448 TILEPROP CLBLL_L_X24Y41 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y42 CLASS tile TILEPROP CLBLL_L_X24Y42 COLUMN 62 TILEPROP CLBLL_L_X24Y42 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y42 FIRST_SITE_ID 11223 TILEPROP CLBLL_L_X24Y42 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y42 GRID_POINT_Y 112 TILEPROP CLBLL_L_X24Y42 INDEX 12942 TILEPROP CLBLL_L_X24Y42 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y42 INT_TILE_Y 107 TILEPROP CLBLL_L_X24Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y42 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y42 NAME CLBLL_L_X24Y42 TILEPROP CLBLL_L_X24Y42 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y42 NUM_SITES 2 TILEPROP CLBLL_L_X24Y42 ROW 112 TILEPROP CLBLL_L_X24Y42 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y42 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y42 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y42 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y42 TILE_X 10988 TILEPROP CLBLL_L_X24Y42 TILE_Y -104248 TILEPROP CLBLL_L_X24Y42 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y43 CLASS tile TILEPROP CLBLL_L_X24Y43 COLUMN 62 TILEPROP CLBLL_L_X24Y43 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y43 FIRST_SITE_ID 11120 TILEPROP CLBLL_L_X24Y43 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y43 GRID_POINT_Y 111 TILEPROP CLBLL_L_X24Y43 INDEX 12827 TILEPROP CLBLL_L_X24Y43 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y43 INT_TILE_Y 106 TILEPROP CLBLL_L_X24Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y43 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y43 NAME CLBLL_L_X24Y43 TILEPROP CLBLL_L_X24Y43 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y43 NUM_SITES 2 TILEPROP CLBLL_L_X24Y43 ROW 111 TILEPROP CLBLL_L_X24Y43 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y43 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y43 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y43 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y43 TILE_X 10988 TILEPROP CLBLL_L_X24Y43 TILE_Y -101048 TILEPROP CLBLL_L_X24Y43 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y44 CLASS tile TILEPROP CLBLL_L_X24Y44 COLUMN 62 TILEPROP CLBLL_L_X24Y44 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y44 FIRST_SITE_ID 11018 TILEPROP CLBLL_L_X24Y44 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y44 GRID_POINT_Y 110 TILEPROP CLBLL_L_X24Y44 INDEX 12712 TILEPROP CLBLL_L_X24Y44 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y44 INT_TILE_Y 105 TILEPROP CLBLL_L_X24Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y44 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y44 NAME CLBLL_L_X24Y44 TILEPROP CLBLL_L_X24Y44 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y44 NUM_SITES 2 TILEPROP CLBLL_L_X24Y44 ROW 110 TILEPROP CLBLL_L_X24Y44 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y44 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y44 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y44 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y44 TILE_X 10988 TILEPROP CLBLL_L_X24Y44 TILE_Y -97848 TILEPROP CLBLL_L_X24Y44 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y45 CLASS tile TILEPROP CLBLL_L_X24Y45 COLUMN 62 TILEPROP CLBLL_L_X24Y45 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y45 FIRST_SITE_ID 10909 TILEPROP CLBLL_L_X24Y45 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y45 GRID_POINT_Y 109 TILEPROP CLBLL_L_X24Y45 INDEX 12597 TILEPROP CLBLL_L_X24Y45 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y45 INT_TILE_Y 104 TILEPROP CLBLL_L_X24Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y45 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y45 NAME CLBLL_L_X24Y45 TILEPROP CLBLL_L_X24Y45 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y45 NUM_SITES 2 TILEPROP CLBLL_L_X24Y45 ROW 109 TILEPROP CLBLL_L_X24Y45 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y45 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y45 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y45 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y45 TILE_X 10988 TILEPROP CLBLL_L_X24Y45 TILE_Y -94648 TILEPROP CLBLL_L_X24Y45 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y46 CLASS tile TILEPROP CLBLL_L_X24Y46 COLUMN 62 TILEPROP CLBLL_L_X24Y46 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y46 FIRST_SITE_ID 10802 TILEPROP CLBLL_L_X24Y46 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y46 GRID_POINT_Y 108 TILEPROP CLBLL_L_X24Y46 INDEX 12482 TILEPROP CLBLL_L_X24Y46 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y46 INT_TILE_Y 103 TILEPROP CLBLL_L_X24Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y46 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y46 NAME CLBLL_L_X24Y46 TILEPROP CLBLL_L_X24Y46 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y46 NUM_SITES 2 TILEPROP CLBLL_L_X24Y46 ROW 108 TILEPROP CLBLL_L_X24Y46 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y46 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y46 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y46 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y46 TILE_X 10988 TILEPROP CLBLL_L_X24Y46 TILE_Y -91448 TILEPROP CLBLL_L_X24Y46 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y47 CLASS tile TILEPROP CLBLL_L_X24Y47 COLUMN 62 TILEPROP CLBLL_L_X24Y47 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y47 FIRST_SITE_ID 10686 TILEPROP CLBLL_L_X24Y47 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y47 GRID_POINT_Y 107 TILEPROP CLBLL_L_X24Y47 INDEX 12367 TILEPROP CLBLL_L_X24Y47 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y47 INT_TILE_Y 102 TILEPROP CLBLL_L_X24Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y47 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y47 NAME CLBLL_L_X24Y47 TILEPROP CLBLL_L_X24Y47 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y47 NUM_SITES 2 TILEPROP CLBLL_L_X24Y47 ROW 107 TILEPROP CLBLL_L_X24Y47 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y47 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y47 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y47 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y47 TILE_X 10988 TILEPROP CLBLL_L_X24Y47 TILE_Y -88248 TILEPROP CLBLL_L_X24Y47 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y48 CLASS tile TILEPROP CLBLL_L_X24Y48 COLUMN 62 TILEPROP CLBLL_L_X24Y48 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y48 FIRST_SITE_ID 10586 TILEPROP CLBLL_L_X24Y48 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y48 GRID_POINT_Y 106 TILEPROP CLBLL_L_X24Y48 INDEX 12252 TILEPROP CLBLL_L_X24Y48 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y48 INT_TILE_Y 101 TILEPROP CLBLL_L_X24Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y48 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y48 NAME CLBLL_L_X24Y48 TILEPROP CLBLL_L_X24Y48 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y48 NUM_SITES 2 TILEPROP CLBLL_L_X24Y48 ROW 106 TILEPROP CLBLL_L_X24Y48 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y48 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y48 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y48 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y48 TILE_X 10988 TILEPROP CLBLL_L_X24Y48 TILE_Y -85048 TILEPROP CLBLL_L_X24Y48 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y49 CLASS tile TILEPROP CLBLL_L_X24Y49 COLUMN 62 TILEPROP CLBLL_L_X24Y49 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y49 FIRST_SITE_ID 10490 TILEPROP CLBLL_L_X24Y49 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y49 GRID_POINT_Y 105 TILEPROP CLBLL_L_X24Y49 INDEX 12137 TILEPROP CLBLL_L_X24Y49 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y49 INT_TILE_Y 100 TILEPROP CLBLL_L_X24Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y49 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y49 NAME CLBLL_L_X24Y49 TILEPROP CLBLL_L_X24Y49 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y49 NUM_SITES 2 TILEPROP CLBLL_L_X24Y49 ROW 105 TILEPROP CLBLL_L_X24Y49 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y49 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X24Y49 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y49 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y49 TILE_X 10988 TILEPROP CLBLL_L_X24Y49 TILE_Y -81848 TILEPROP CLBLL_L_X24Y49 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y50 CLASS tile TILEPROP CLBLL_L_X24Y50 COLUMN 62 TILEPROP CLBLL_L_X24Y50 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y50 FIRST_SITE_ID 10381 TILEPROP CLBLL_L_X24Y50 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y50 GRID_POINT_Y 103 TILEPROP CLBLL_L_X24Y50 INDEX 11907 TILEPROP CLBLL_L_X24Y50 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y50 INT_TILE_Y 99 TILEPROP CLBLL_L_X24Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y50 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y50 NAME CLBLL_L_X24Y50 TILEPROP CLBLL_L_X24Y50 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y50 NUM_SITES 2 TILEPROP CLBLL_L_X24Y50 ROW 103 TILEPROP CLBLL_L_X24Y50 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y50 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X24Y50 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y50 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y50 TILE_X 10988 TILEPROP CLBLL_L_X24Y50 TILE_Y -78400 TILEPROP CLBLL_L_X24Y50 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y51 CLASS tile TILEPROP CLBLL_L_X24Y51 COLUMN 62 TILEPROP CLBLL_L_X24Y51 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y51 FIRST_SITE_ID 10255 TILEPROP CLBLL_L_X24Y51 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y51 GRID_POINT_Y 102 TILEPROP CLBLL_L_X24Y51 INDEX 11792 TILEPROP CLBLL_L_X24Y51 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y51 INT_TILE_Y 98 TILEPROP CLBLL_L_X24Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y51 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y51 NAME CLBLL_L_X24Y51 TILEPROP CLBLL_L_X24Y51 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y51 NUM_SITES 2 TILEPROP CLBLL_L_X24Y51 ROW 102 TILEPROP CLBLL_L_X24Y51 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y51 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y51 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y51 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y51 TILE_X 10988 TILEPROP CLBLL_L_X24Y51 TILE_Y -75200 TILEPROP CLBLL_L_X24Y51 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y52 CLASS tile TILEPROP CLBLL_L_X24Y52 COLUMN 62 TILEPROP CLBLL_L_X24Y52 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y52 FIRST_SITE_ID 10155 TILEPROP CLBLL_L_X24Y52 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y52 GRID_POINT_Y 101 TILEPROP CLBLL_L_X24Y52 INDEX 11677 TILEPROP CLBLL_L_X24Y52 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y52 INT_TILE_Y 97 TILEPROP CLBLL_L_X24Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y52 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y52 NAME CLBLL_L_X24Y52 TILEPROP CLBLL_L_X24Y52 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y52 NUM_SITES 2 TILEPROP CLBLL_L_X24Y52 ROW 101 TILEPROP CLBLL_L_X24Y52 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y52 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y52 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y52 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y52 TILE_X 10988 TILEPROP CLBLL_L_X24Y52 TILE_Y -72000 TILEPROP CLBLL_L_X24Y52 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y53 CLASS tile TILEPROP CLBLL_L_X24Y53 COLUMN 62 TILEPROP CLBLL_L_X24Y53 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y53 FIRST_SITE_ID 10055 TILEPROP CLBLL_L_X24Y53 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y53 GRID_POINT_Y 100 TILEPROP CLBLL_L_X24Y53 INDEX 11562 TILEPROP CLBLL_L_X24Y53 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y53 INT_TILE_Y 96 TILEPROP CLBLL_L_X24Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y53 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y53 NAME CLBLL_L_X24Y53 TILEPROP CLBLL_L_X24Y53 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y53 NUM_SITES 2 TILEPROP CLBLL_L_X24Y53 ROW 100 TILEPROP CLBLL_L_X24Y53 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y53 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y53 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y53 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y53 TILE_X 10988 TILEPROP CLBLL_L_X24Y53 TILE_Y -68800 TILEPROP CLBLL_L_X24Y53 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y54 CLASS tile TILEPROP CLBLL_L_X24Y54 COLUMN 62 TILEPROP CLBLL_L_X24Y54 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y54 FIRST_SITE_ID 9955 TILEPROP CLBLL_L_X24Y54 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y54 GRID_POINT_Y 99 TILEPROP CLBLL_L_X24Y54 INDEX 11447 TILEPROP CLBLL_L_X24Y54 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y54 INT_TILE_Y 95 TILEPROP CLBLL_L_X24Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y54 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y54 NAME CLBLL_L_X24Y54 TILEPROP CLBLL_L_X24Y54 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y54 NUM_SITES 2 TILEPROP CLBLL_L_X24Y54 ROW 99 TILEPROP CLBLL_L_X24Y54 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y54 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y54 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y54 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y54 TILE_X 10988 TILEPROP CLBLL_L_X24Y54 TILE_Y -65600 TILEPROP CLBLL_L_X24Y54 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y55 CLASS tile TILEPROP CLBLL_L_X24Y55 COLUMN 62 TILEPROP CLBLL_L_X24Y55 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y55 FIRST_SITE_ID 9846 TILEPROP CLBLL_L_X24Y55 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y55 GRID_POINT_Y 98 TILEPROP CLBLL_L_X24Y55 INDEX 11332 TILEPROP CLBLL_L_X24Y55 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y55 INT_TILE_Y 94 TILEPROP CLBLL_L_X24Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y55 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y55 NAME CLBLL_L_X24Y55 TILEPROP CLBLL_L_X24Y55 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y55 NUM_SITES 2 TILEPROP CLBLL_L_X24Y55 ROW 98 TILEPROP CLBLL_L_X24Y55 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y55 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y55 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y55 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y55 TILE_X 10988 TILEPROP CLBLL_L_X24Y55 TILE_Y -62400 TILEPROP CLBLL_L_X24Y55 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y56 CLASS tile TILEPROP CLBLL_L_X24Y56 COLUMN 62 TILEPROP CLBLL_L_X24Y56 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y56 FIRST_SITE_ID 9740 TILEPROP CLBLL_L_X24Y56 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y56 GRID_POINT_Y 97 TILEPROP CLBLL_L_X24Y56 INDEX 11217 TILEPROP CLBLL_L_X24Y56 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y56 INT_TILE_Y 93 TILEPROP CLBLL_L_X24Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y56 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y56 NAME CLBLL_L_X24Y56 TILEPROP CLBLL_L_X24Y56 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y56 NUM_SITES 2 TILEPROP CLBLL_L_X24Y56 ROW 97 TILEPROP CLBLL_L_X24Y56 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y56 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y56 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y56 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y56 TILE_X 10988 TILEPROP CLBLL_L_X24Y56 TILE_Y -59200 TILEPROP CLBLL_L_X24Y56 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y57 CLASS tile TILEPROP CLBLL_L_X24Y57 COLUMN 62 TILEPROP CLBLL_L_X24Y57 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y57 FIRST_SITE_ID 9638 TILEPROP CLBLL_L_X24Y57 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y57 GRID_POINT_Y 96 TILEPROP CLBLL_L_X24Y57 INDEX 11102 TILEPROP CLBLL_L_X24Y57 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y57 INT_TILE_Y 92 TILEPROP CLBLL_L_X24Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y57 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y57 NAME CLBLL_L_X24Y57 TILEPROP CLBLL_L_X24Y57 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y57 NUM_SITES 2 TILEPROP CLBLL_L_X24Y57 ROW 96 TILEPROP CLBLL_L_X24Y57 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y57 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y57 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y57 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y57 TILE_X 10988 TILEPROP CLBLL_L_X24Y57 TILE_Y -56000 TILEPROP CLBLL_L_X24Y57 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y58 CLASS tile TILEPROP CLBLL_L_X24Y58 COLUMN 62 TILEPROP CLBLL_L_X24Y58 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y58 FIRST_SITE_ID 9535 TILEPROP CLBLL_L_X24Y58 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y58 GRID_POINT_Y 95 TILEPROP CLBLL_L_X24Y58 INDEX 10987 TILEPROP CLBLL_L_X24Y58 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y58 INT_TILE_Y 91 TILEPROP CLBLL_L_X24Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y58 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y58 NAME CLBLL_L_X24Y58 TILEPROP CLBLL_L_X24Y58 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y58 NUM_SITES 2 TILEPROP CLBLL_L_X24Y58 ROW 95 TILEPROP CLBLL_L_X24Y58 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y58 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y58 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y58 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y58 TILE_X 10988 TILEPROP CLBLL_L_X24Y58 TILE_Y -52800 TILEPROP CLBLL_L_X24Y58 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y59 CLASS tile TILEPROP CLBLL_L_X24Y59 COLUMN 62 TILEPROP CLBLL_L_X24Y59 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y59 FIRST_SITE_ID 9434 TILEPROP CLBLL_L_X24Y59 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y59 GRID_POINT_Y 94 TILEPROP CLBLL_L_X24Y59 INDEX 10872 TILEPROP CLBLL_L_X24Y59 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y59 INT_TILE_Y 90 TILEPROP CLBLL_L_X24Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y59 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y59 NAME CLBLL_L_X24Y59 TILEPROP CLBLL_L_X24Y59 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y59 NUM_SITES 2 TILEPROP CLBLL_L_X24Y59 ROW 94 TILEPROP CLBLL_L_X24Y59 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y59 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y59 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y59 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y59 TILE_X 10988 TILEPROP CLBLL_L_X24Y59 TILE_Y -49600 TILEPROP CLBLL_L_X24Y59 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y60 CLASS tile TILEPROP CLBLL_L_X24Y60 COLUMN 62 TILEPROP CLBLL_L_X24Y60 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y60 FIRST_SITE_ID 9325 TILEPROP CLBLL_L_X24Y60 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y60 GRID_POINT_Y 93 TILEPROP CLBLL_L_X24Y60 INDEX 10757 TILEPROP CLBLL_L_X24Y60 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y60 INT_TILE_Y 89 TILEPROP CLBLL_L_X24Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y60 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y60 NAME CLBLL_L_X24Y60 TILEPROP CLBLL_L_X24Y60 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y60 NUM_SITES 2 TILEPROP CLBLL_L_X24Y60 ROW 93 TILEPROP CLBLL_L_X24Y60 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y60 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y60 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y60 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y60 TILE_X 10988 TILEPROP CLBLL_L_X24Y60 TILE_Y -46400 TILEPROP CLBLL_L_X24Y60 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y61 CLASS tile TILEPROP CLBLL_L_X24Y61 COLUMN 62 TILEPROP CLBLL_L_X24Y61 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y61 FIRST_SITE_ID 9219 TILEPROP CLBLL_L_X24Y61 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y61 GRID_POINT_Y 92 TILEPROP CLBLL_L_X24Y61 INDEX 10642 TILEPROP CLBLL_L_X24Y61 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y61 INT_TILE_Y 88 TILEPROP CLBLL_L_X24Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y61 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y61 NAME CLBLL_L_X24Y61 TILEPROP CLBLL_L_X24Y61 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y61 NUM_SITES 2 TILEPROP CLBLL_L_X24Y61 ROW 92 TILEPROP CLBLL_L_X24Y61 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y61 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y61 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y61 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y61 TILE_X 10988 TILEPROP CLBLL_L_X24Y61 TILE_Y -43200 TILEPROP CLBLL_L_X24Y61 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y62 CLASS tile TILEPROP CLBLL_L_X24Y62 COLUMN 62 TILEPROP CLBLL_L_X24Y62 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y62 FIRST_SITE_ID 9119 TILEPROP CLBLL_L_X24Y62 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y62 GRID_POINT_Y 91 TILEPROP CLBLL_L_X24Y62 INDEX 10527 TILEPROP CLBLL_L_X24Y62 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y62 INT_TILE_Y 87 TILEPROP CLBLL_L_X24Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y62 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y62 NAME CLBLL_L_X24Y62 TILEPROP CLBLL_L_X24Y62 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y62 NUM_SITES 2 TILEPROP CLBLL_L_X24Y62 ROW 91 TILEPROP CLBLL_L_X24Y62 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y62 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y62 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y62 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y62 TILE_X 10988 TILEPROP CLBLL_L_X24Y62 TILE_Y -40000 TILEPROP CLBLL_L_X24Y62 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y63 CLASS tile TILEPROP CLBLL_L_X24Y63 COLUMN 62 TILEPROP CLBLL_L_X24Y63 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y63 FIRST_SITE_ID 8987 TILEPROP CLBLL_L_X24Y63 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y63 GRID_POINT_Y 90 TILEPROP CLBLL_L_X24Y63 INDEX 10412 TILEPROP CLBLL_L_X24Y63 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y63 INT_TILE_Y 86 TILEPROP CLBLL_L_X24Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y63 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y63 NAME CLBLL_L_X24Y63 TILEPROP CLBLL_L_X24Y63 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y63 NUM_SITES 2 TILEPROP CLBLL_L_X24Y63 ROW 90 TILEPROP CLBLL_L_X24Y63 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y63 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y63 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y63 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y63 TILE_X 10988 TILEPROP CLBLL_L_X24Y63 TILE_Y -36800 TILEPROP CLBLL_L_X24Y63 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y64 CLASS tile TILEPROP CLBLL_L_X24Y64 COLUMN 62 TILEPROP CLBLL_L_X24Y64 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y64 FIRST_SITE_ID 8887 TILEPROP CLBLL_L_X24Y64 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y64 GRID_POINT_Y 89 TILEPROP CLBLL_L_X24Y64 INDEX 10297 TILEPROP CLBLL_L_X24Y64 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y64 INT_TILE_Y 85 TILEPROP CLBLL_L_X24Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y64 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y64 NAME CLBLL_L_X24Y64 TILEPROP CLBLL_L_X24Y64 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y64 NUM_SITES 2 TILEPROP CLBLL_L_X24Y64 ROW 89 TILEPROP CLBLL_L_X24Y64 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y64 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y64 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y64 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y64 TILE_X 10988 TILEPROP CLBLL_L_X24Y64 TILE_Y -33600 TILEPROP CLBLL_L_X24Y64 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y65 CLASS tile TILEPROP CLBLL_L_X24Y65 COLUMN 62 TILEPROP CLBLL_L_X24Y65 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y65 FIRST_SITE_ID 8778 TILEPROP CLBLL_L_X24Y65 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y65 GRID_POINT_Y 88 TILEPROP CLBLL_L_X24Y65 INDEX 10182 TILEPROP CLBLL_L_X24Y65 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y65 INT_TILE_Y 84 TILEPROP CLBLL_L_X24Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y65 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y65 NAME CLBLL_L_X24Y65 TILEPROP CLBLL_L_X24Y65 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y65 NUM_SITES 2 TILEPROP CLBLL_L_X24Y65 ROW 88 TILEPROP CLBLL_L_X24Y65 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y65 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y65 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y65 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y65 TILE_X 10988 TILEPROP CLBLL_L_X24Y65 TILE_Y -30400 TILEPROP CLBLL_L_X24Y65 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y66 CLASS tile TILEPROP CLBLL_L_X24Y66 COLUMN 62 TILEPROP CLBLL_L_X24Y66 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y66 FIRST_SITE_ID 8672 TILEPROP CLBLL_L_X24Y66 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y66 GRID_POINT_Y 87 TILEPROP CLBLL_L_X24Y66 INDEX 10067 TILEPROP CLBLL_L_X24Y66 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y66 INT_TILE_Y 83 TILEPROP CLBLL_L_X24Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y66 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y66 NAME CLBLL_L_X24Y66 TILEPROP CLBLL_L_X24Y66 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y66 NUM_SITES 2 TILEPROP CLBLL_L_X24Y66 ROW 87 TILEPROP CLBLL_L_X24Y66 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y66 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y66 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y66 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y66 TILE_X 10988 TILEPROP CLBLL_L_X24Y66 TILE_Y -27200 TILEPROP CLBLL_L_X24Y66 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y67 CLASS tile TILEPROP CLBLL_L_X24Y67 COLUMN 62 TILEPROP CLBLL_L_X24Y67 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y67 FIRST_SITE_ID 8568 TILEPROP CLBLL_L_X24Y67 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y67 GRID_POINT_Y 86 TILEPROP CLBLL_L_X24Y67 INDEX 9952 TILEPROP CLBLL_L_X24Y67 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y67 INT_TILE_Y 82 TILEPROP CLBLL_L_X24Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y67 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y67 NAME CLBLL_L_X24Y67 TILEPROP CLBLL_L_X24Y67 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y67 NUM_SITES 2 TILEPROP CLBLL_L_X24Y67 ROW 86 TILEPROP CLBLL_L_X24Y67 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y67 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y67 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y67 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y67 TILE_X 10988 TILEPROP CLBLL_L_X24Y67 TILE_Y -24000 TILEPROP CLBLL_L_X24Y67 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y68 CLASS tile TILEPROP CLBLL_L_X24Y68 COLUMN 62 TILEPROP CLBLL_L_X24Y68 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y68 FIRST_SITE_ID 8464 TILEPROP CLBLL_L_X24Y68 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y68 GRID_POINT_Y 85 TILEPROP CLBLL_L_X24Y68 INDEX 9837 TILEPROP CLBLL_L_X24Y68 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y68 INT_TILE_Y 81 TILEPROP CLBLL_L_X24Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y68 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y68 NAME CLBLL_L_X24Y68 TILEPROP CLBLL_L_X24Y68 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y68 NUM_SITES 2 TILEPROP CLBLL_L_X24Y68 ROW 85 TILEPROP CLBLL_L_X24Y68 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y68 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y68 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y68 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y68 TILE_X 10988 TILEPROP CLBLL_L_X24Y68 TILE_Y -20800 TILEPROP CLBLL_L_X24Y68 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y69 CLASS tile TILEPROP CLBLL_L_X24Y69 COLUMN 62 TILEPROP CLBLL_L_X24Y69 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y69 FIRST_SITE_ID 8362 TILEPROP CLBLL_L_X24Y69 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y69 GRID_POINT_Y 84 TILEPROP CLBLL_L_X24Y69 INDEX 9722 TILEPROP CLBLL_L_X24Y69 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y69 INT_TILE_Y 80 TILEPROP CLBLL_L_X24Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y69 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y69 NAME CLBLL_L_X24Y69 TILEPROP CLBLL_L_X24Y69 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y69 NUM_SITES 2 TILEPROP CLBLL_L_X24Y69 ROW 84 TILEPROP CLBLL_L_X24Y69 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y69 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y69 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y69 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y69 TILE_X 10988 TILEPROP CLBLL_L_X24Y69 TILE_Y -17600 TILEPROP CLBLL_L_X24Y69 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y70 CLASS tile TILEPROP CLBLL_L_X24Y70 COLUMN 62 TILEPROP CLBLL_L_X24Y70 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y70 FIRST_SITE_ID 8251 TILEPROP CLBLL_L_X24Y70 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y70 GRID_POINT_Y 83 TILEPROP CLBLL_L_X24Y70 INDEX 9607 TILEPROP CLBLL_L_X24Y70 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y70 INT_TILE_Y 79 TILEPROP CLBLL_L_X24Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y70 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y70 NAME CLBLL_L_X24Y70 TILEPROP CLBLL_L_X24Y70 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y70 NUM_SITES 2 TILEPROP CLBLL_L_X24Y70 ROW 83 TILEPROP CLBLL_L_X24Y70 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y70 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y70 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y70 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y70 TILE_X 10988 TILEPROP CLBLL_L_X24Y70 TILE_Y -14400 TILEPROP CLBLL_L_X24Y70 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y71 CLASS tile TILEPROP CLBLL_L_X24Y71 COLUMN 62 TILEPROP CLBLL_L_X24Y71 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y71 FIRST_SITE_ID 8145 TILEPROP CLBLL_L_X24Y71 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y71 GRID_POINT_Y 82 TILEPROP CLBLL_L_X24Y71 INDEX 9492 TILEPROP CLBLL_L_X24Y71 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y71 INT_TILE_Y 78 TILEPROP CLBLL_L_X24Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y71 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y71 NAME CLBLL_L_X24Y71 TILEPROP CLBLL_L_X24Y71 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y71 NUM_SITES 2 TILEPROP CLBLL_L_X24Y71 ROW 82 TILEPROP CLBLL_L_X24Y71 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y71 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y71 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y71 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y71 TILE_X 10988 TILEPROP CLBLL_L_X24Y71 TILE_Y -11200 TILEPROP CLBLL_L_X24Y71 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y72 CLASS tile TILEPROP CLBLL_L_X24Y72 COLUMN 62 TILEPROP CLBLL_L_X24Y72 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y72 FIRST_SITE_ID 8045 TILEPROP CLBLL_L_X24Y72 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y72 GRID_POINT_Y 81 TILEPROP CLBLL_L_X24Y72 INDEX 9377 TILEPROP CLBLL_L_X24Y72 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y72 INT_TILE_Y 77 TILEPROP CLBLL_L_X24Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y72 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y72 NAME CLBLL_L_X24Y72 TILEPROP CLBLL_L_X24Y72 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y72 NUM_SITES 2 TILEPROP CLBLL_L_X24Y72 ROW 81 TILEPROP CLBLL_L_X24Y72 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y72 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y72 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y72 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y72 TILE_X 10988 TILEPROP CLBLL_L_X24Y72 TILE_Y -8000 TILEPROP CLBLL_L_X24Y72 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y73 CLASS tile TILEPROP CLBLL_L_X24Y73 COLUMN 62 TILEPROP CLBLL_L_X24Y73 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y73 FIRST_SITE_ID 7945 TILEPROP CLBLL_L_X24Y73 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y73 GRID_POINT_Y 80 TILEPROP CLBLL_L_X24Y73 INDEX 9262 TILEPROP CLBLL_L_X24Y73 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y73 INT_TILE_Y 76 TILEPROP CLBLL_L_X24Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y73 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y73 NAME CLBLL_L_X24Y73 TILEPROP CLBLL_L_X24Y73 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y73 NUM_SITES 2 TILEPROP CLBLL_L_X24Y73 ROW 80 TILEPROP CLBLL_L_X24Y73 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y73 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y73 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y73 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y73 TILE_X 10988 TILEPROP CLBLL_L_X24Y73 TILE_Y -4800 TILEPROP CLBLL_L_X24Y73 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y74 CLASS tile TILEPROP CLBLL_L_X24Y74 COLUMN 62 TILEPROP CLBLL_L_X24Y74 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y74 FIRST_SITE_ID 7845 TILEPROP CLBLL_L_X24Y74 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y74 GRID_POINT_Y 79 TILEPROP CLBLL_L_X24Y74 INDEX 9147 TILEPROP CLBLL_L_X24Y74 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y74 INT_TILE_Y 75 TILEPROP CLBLL_L_X24Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y74 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y74 NAME CLBLL_L_X24Y74 TILEPROP CLBLL_L_X24Y74 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y74 NUM_SITES 2 TILEPROP CLBLL_L_X24Y74 ROW 79 TILEPROP CLBLL_L_X24Y74 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y74 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X24Y74 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y74 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y74 TILE_X 10988 TILEPROP CLBLL_L_X24Y74 TILE_Y -1600 TILEPROP CLBLL_L_X24Y74 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y75 CLASS tile TILEPROP CLBLL_L_X24Y75 COLUMN 62 TILEPROP CLBLL_L_X24Y75 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y75 FIRST_SITE_ID 7653 TILEPROP CLBLL_L_X24Y75 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y75 GRID_POINT_Y 77 TILEPROP CLBLL_L_X24Y75 INDEX 8917 TILEPROP CLBLL_L_X24Y75 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y75 INT_TILE_Y 74 TILEPROP CLBLL_L_X24Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y75 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y75 NAME CLBLL_L_X24Y75 TILEPROP CLBLL_L_X24Y75 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y75 NUM_SITES 2 TILEPROP CLBLL_L_X24Y75 ROW 77 TILEPROP CLBLL_L_X24Y75 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y75 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X24Y75 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y75 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y75 TILE_X 10988 TILEPROP CLBLL_L_X24Y75 TILE_Y 2624 TILEPROP CLBLL_L_X24Y75 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y76 CLASS tile TILEPROP CLBLL_L_X24Y76 COLUMN 62 TILEPROP CLBLL_L_X24Y76 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y76 FIRST_SITE_ID 7544 TILEPROP CLBLL_L_X24Y76 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y76 GRID_POINT_Y 76 TILEPROP CLBLL_L_X24Y76 INDEX 8802 TILEPROP CLBLL_L_X24Y76 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y76 INT_TILE_Y 73 TILEPROP CLBLL_L_X24Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y76 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y76 NAME CLBLL_L_X24Y76 TILEPROP CLBLL_L_X24Y76 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y76 NUM_SITES 2 TILEPROP CLBLL_L_X24Y76 ROW 76 TILEPROP CLBLL_L_X24Y76 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y76 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y76 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y76 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y76 TILE_X 10988 TILEPROP CLBLL_L_X24Y76 TILE_Y 5824 TILEPROP CLBLL_L_X24Y76 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y77 CLASS tile TILEPROP CLBLL_L_X24Y77 COLUMN 62 TILEPROP CLBLL_L_X24Y77 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y77 FIRST_SITE_ID 7444 TILEPROP CLBLL_L_X24Y77 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y77 GRID_POINT_Y 75 TILEPROP CLBLL_L_X24Y77 INDEX 8687 TILEPROP CLBLL_L_X24Y77 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y77 INT_TILE_Y 72 TILEPROP CLBLL_L_X24Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y77 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y77 NAME CLBLL_L_X24Y77 TILEPROP CLBLL_L_X24Y77 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y77 NUM_SITES 2 TILEPROP CLBLL_L_X24Y77 ROW 75 TILEPROP CLBLL_L_X24Y77 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y77 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y77 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y77 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y77 TILE_X 10988 TILEPROP CLBLL_L_X24Y77 TILE_Y 9024 TILEPROP CLBLL_L_X24Y77 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y78 CLASS tile TILEPROP CLBLL_L_X24Y78 COLUMN 62 TILEPROP CLBLL_L_X24Y78 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y78 FIRST_SITE_ID 7344 TILEPROP CLBLL_L_X24Y78 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y78 GRID_POINT_Y 74 TILEPROP CLBLL_L_X24Y78 INDEX 8572 TILEPROP CLBLL_L_X24Y78 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y78 INT_TILE_Y 71 TILEPROP CLBLL_L_X24Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y78 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y78 NAME CLBLL_L_X24Y78 TILEPROP CLBLL_L_X24Y78 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y78 NUM_SITES 2 TILEPROP CLBLL_L_X24Y78 ROW 74 TILEPROP CLBLL_L_X24Y78 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y78 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y78 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y78 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y78 TILE_X 10988 TILEPROP CLBLL_L_X24Y78 TILE_Y 12224 TILEPROP CLBLL_L_X24Y78 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y79 CLASS tile TILEPROP CLBLL_L_X24Y79 COLUMN 62 TILEPROP CLBLL_L_X24Y79 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y79 FIRST_SITE_ID 7238 TILEPROP CLBLL_L_X24Y79 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y79 GRID_POINT_Y 73 TILEPROP CLBLL_L_X24Y79 INDEX 8457 TILEPROP CLBLL_L_X24Y79 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y79 INT_TILE_Y 70 TILEPROP CLBLL_L_X24Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y79 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y79 NAME CLBLL_L_X24Y79 TILEPROP CLBLL_L_X24Y79 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y79 NUM_SITES 2 TILEPROP CLBLL_L_X24Y79 ROW 73 TILEPROP CLBLL_L_X24Y79 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y79 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y79 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y79 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y79 TILE_X 10988 TILEPROP CLBLL_L_X24Y79 TILE_Y 15424 TILEPROP CLBLL_L_X24Y79 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y80 CLASS tile TILEPROP CLBLL_L_X24Y80 COLUMN 62 TILEPROP CLBLL_L_X24Y80 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y80 FIRST_SITE_ID 7123 TILEPROP CLBLL_L_X24Y80 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y80 GRID_POINT_Y 72 TILEPROP CLBLL_L_X24Y80 INDEX 8342 TILEPROP CLBLL_L_X24Y80 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y80 INT_TILE_Y 69 TILEPROP CLBLL_L_X24Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y80 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y80 NAME CLBLL_L_X24Y80 TILEPROP CLBLL_L_X24Y80 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y80 NUM_SITES 2 TILEPROP CLBLL_L_X24Y80 ROW 72 TILEPROP CLBLL_L_X24Y80 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y80 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y80 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y80 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y80 TILE_X 10988 TILEPROP CLBLL_L_X24Y80 TILE_Y 18624 TILEPROP CLBLL_L_X24Y80 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y81 CLASS tile TILEPROP CLBLL_L_X24Y81 COLUMN 62 TILEPROP CLBLL_L_X24Y81 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y81 FIRST_SITE_ID 7015 TILEPROP CLBLL_L_X24Y81 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y81 GRID_POINT_Y 71 TILEPROP CLBLL_L_X24Y81 INDEX 8227 TILEPROP CLBLL_L_X24Y81 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y81 INT_TILE_Y 68 TILEPROP CLBLL_L_X24Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y81 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y81 NAME CLBLL_L_X24Y81 TILEPROP CLBLL_L_X24Y81 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y81 NUM_SITES 2 TILEPROP CLBLL_L_X24Y81 ROW 71 TILEPROP CLBLL_L_X24Y81 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y81 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y81 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y81 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y81 TILE_X 10988 TILEPROP CLBLL_L_X24Y81 TILE_Y 21824 TILEPROP CLBLL_L_X24Y81 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y82 CLASS tile TILEPROP CLBLL_L_X24Y82 COLUMN 62 TILEPROP CLBLL_L_X24Y82 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y82 FIRST_SITE_ID 6913 TILEPROP CLBLL_L_X24Y82 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y82 GRID_POINT_Y 70 TILEPROP CLBLL_L_X24Y82 INDEX 8112 TILEPROP CLBLL_L_X24Y82 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y82 INT_TILE_Y 67 TILEPROP CLBLL_L_X24Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y82 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y82 NAME CLBLL_L_X24Y82 TILEPROP CLBLL_L_X24Y82 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y82 NUM_SITES 2 TILEPROP CLBLL_L_X24Y82 ROW 70 TILEPROP CLBLL_L_X24Y82 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y82 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y82 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y82 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y82 TILE_X 10988 TILEPROP CLBLL_L_X24Y82 TILE_Y 25024 TILEPROP CLBLL_L_X24Y82 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y83 CLASS tile TILEPROP CLBLL_L_X24Y83 COLUMN 62 TILEPROP CLBLL_L_X24Y83 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y83 FIRST_SITE_ID 6813 TILEPROP CLBLL_L_X24Y83 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y83 GRID_POINT_Y 69 TILEPROP CLBLL_L_X24Y83 INDEX 7997 TILEPROP CLBLL_L_X24Y83 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y83 INT_TILE_Y 66 TILEPROP CLBLL_L_X24Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y83 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y83 NAME CLBLL_L_X24Y83 TILEPROP CLBLL_L_X24Y83 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y83 NUM_SITES 2 TILEPROP CLBLL_L_X24Y83 ROW 69 TILEPROP CLBLL_L_X24Y83 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y83 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y83 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y83 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y83 TILE_X 10988 TILEPROP CLBLL_L_X24Y83 TILE_Y 28224 TILEPROP CLBLL_L_X24Y83 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y84 CLASS tile TILEPROP CLBLL_L_X24Y84 COLUMN 62 TILEPROP CLBLL_L_X24Y84 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y84 FIRST_SITE_ID 6713 TILEPROP CLBLL_L_X24Y84 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y84 GRID_POINT_Y 68 TILEPROP CLBLL_L_X24Y84 INDEX 7882 TILEPROP CLBLL_L_X24Y84 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y84 INT_TILE_Y 65 TILEPROP CLBLL_L_X24Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y84 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y84 NAME CLBLL_L_X24Y84 TILEPROP CLBLL_L_X24Y84 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y84 NUM_SITES 2 TILEPROP CLBLL_L_X24Y84 ROW 68 TILEPROP CLBLL_L_X24Y84 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y84 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y84 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y84 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y84 TILE_X 10988 TILEPROP CLBLL_L_X24Y84 TILE_Y 31424 TILEPROP CLBLL_L_X24Y84 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y85 CLASS tile TILEPROP CLBLL_L_X24Y85 COLUMN 62 TILEPROP CLBLL_L_X24Y85 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y85 FIRST_SITE_ID 6604 TILEPROP CLBLL_L_X24Y85 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y85 GRID_POINT_Y 67 TILEPROP CLBLL_L_X24Y85 INDEX 7767 TILEPROP CLBLL_L_X24Y85 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y85 INT_TILE_Y 64 TILEPROP CLBLL_L_X24Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y85 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y85 NAME CLBLL_L_X24Y85 TILEPROP CLBLL_L_X24Y85 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y85 NUM_SITES 2 TILEPROP CLBLL_L_X24Y85 ROW 67 TILEPROP CLBLL_L_X24Y85 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y85 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y85 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y85 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y85 TILE_X 10988 TILEPROP CLBLL_L_X24Y85 TILE_Y 34624 TILEPROP CLBLL_L_X24Y85 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y86 CLASS tile TILEPROP CLBLL_L_X24Y86 COLUMN 62 TILEPROP CLBLL_L_X24Y86 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y86 FIRST_SITE_ID 6498 TILEPROP CLBLL_L_X24Y86 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y86 GRID_POINT_Y 66 TILEPROP CLBLL_L_X24Y86 INDEX 7652 TILEPROP CLBLL_L_X24Y86 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y86 INT_TILE_Y 63 TILEPROP CLBLL_L_X24Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y86 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y86 NAME CLBLL_L_X24Y86 TILEPROP CLBLL_L_X24Y86 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y86 NUM_SITES 2 TILEPROP CLBLL_L_X24Y86 ROW 66 TILEPROP CLBLL_L_X24Y86 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y86 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y86 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y86 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y86 TILE_X 10988 TILEPROP CLBLL_L_X24Y86 TILE_Y 37824 TILEPROP CLBLL_L_X24Y86 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y87 CLASS tile TILEPROP CLBLL_L_X24Y87 COLUMN 62 TILEPROP CLBLL_L_X24Y87 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y87 FIRST_SITE_ID 6366 TILEPROP CLBLL_L_X24Y87 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y87 GRID_POINT_Y 65 TILEPROP CLBLL_L_X24Y87 INDEX 7537 TILEPROP CLBLL_L_X24Y87 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y87 INT_TILE_Y 62 TILEPROP CLBLL_L_X24Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y87 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y87 NAME CLBLL_L_X24Y87 TILEPROP CLBLL_L_X24Y87 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y87 NUM_SITES 2 TILEPROP CLBLL_L_X24Y87 ROW 65 TILEPROP CLBLL_L_X24Y87 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y87 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y87 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y87 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y87 TILE_X 10988 TILEPROP CLBLL_L_X24Y87 TILE_Y 41024 TILEPROP CLBLL_L_X24Y87 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y88 CLASS tile TILEPROP CLBLL_L_X24Y88 COLUMN 62 TILEPROP CLBLL_L_X24Y88 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y88 FIRST_SITE_ID 6266 TILEPROP CLBLL_L_X24Y88 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y88 GRID_POINT_Y 64 TILEPROP CLBLL_L_X24Y88 INDEX 7422 TILEPROP CLBLL_L_X24Y88 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y88 INT_TILE_Y 61 TILEPROP CLBLL_L_X24Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y88 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y88 NAME CLBLL_L_X24Y88 TILEPROP CLBLL_L_X24Y88 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y88 NUM_SITES 2 TILEPROP CLBLL_L_X24Y88 ROW 64 TILEPROP CLBLL_L_X24Y88 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y88 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y88 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y88 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y88 TILE_X 10988 TILEPROP CLBLL_L_X24Y88 TILE_Y 44224 TILEPROP CLBLL_L_X24Y88 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y89 CLASS tile TILEPROP CLBLL_L_X24Y89 COLUMN 62 TILEPROP CLBLL_L_X24Y89 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y89 FIRST_SITE_ID 6166 TILEPROP CLBLL_L_X24Y89 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y89 GRID_POINT_Y 63 TILEPROP CLBLL_L_X24Y89 INDEX 7307 TILEPROP CLBLL_L_X24Y89 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y89 INT_TILE_Y 60 TILEPROP CLBLL_L_X24Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y89 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y89 NAME CLBLL_L_X24Y89 TILEPROP CLBLL_L_X24Y89 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y89 NUM_SITES 2 TILEPROP CLBLL_L_X24Y89 ROW 63 TILEPROP CLBLL_L_X24Y89 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y89 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y89 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y89 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y89 TILE_X 10988 TILEPROP CLBLL_L_X24Y89 TILE_Y 47424 TILEPROP CLBLL_L_X24Y89 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y90 CLASS tile TILEPROP CLBLL_L_X24Y90 COLUMN 62 TILEPROP CLBLL_L_X24Y90 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y90 FIRST_SITE_ID 6057 TILEPROP CLBLL_L_X24Y90 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y90 GRID_POINT_Y 62 TILEPROP CLBLL_L_X24Y90 INDEX 7192 TILEPROP CLBLL_L_X24Y90 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y90 INT_TILE_Y 59 TILEPROP CLBLL_L_X24Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y90 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y90 NAME CLBLL_L_X24Y90 TILEPROP CLBLL_L_X24Y90 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y90 NUM_SITES 2 TILEPROP CLBLL_L_X24Y90 ROW 62 TILEPROP CLBLL_L_X24Y90 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y90 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y90 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y90 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y90 TILE_X 10988 TILEPROP CLBLL_L_X24Y90 TILE_Y 50624 TILEPROP CLBLL_L_X24Y90 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y91 CLASS tile TILEPROP CLBLL_L_X24Y91 COLUMN 62 TILEPROP CLBLL_L_X24Y91 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y91 FIRST_SITE_ID 5951 TILEPROP CLBLL_L_X24Y91 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y91 GRID_POINT_Y 61 TILEPROP CLBLL_L_X24Y91 INDEX 7077 TILEPROP CLBLL_L_X24Y91 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y91 INT_TILE_Y 58 TILEPROP CLBLL_L_X24Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y91 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y91 NAME CLBLL_L_X24Y91 TILEPROP CLBLL_L_X24Y91 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y91 NUM_SITES 2 TILEPROP CLBLL_L_X24Y91 ROW 61 TILEPROP CLBLL_L_X24Y91 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y91 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y91 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y91 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y91 TILE_X 10988 TILEPROP CLBLL_L_X24Y91 TILE_Y 53824 TILEPROP CLBLL_L_X24Y91 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y92 CLASS tile TILEPROP CLBLL_L_X24Y92 COLUMN 62 TILEPROP CLBLL_L_X24Y92 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y92 FIRST_SITE_ID 5850 TILEPROP CLBLL_L_X24Y92 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y92 GRID_POINT_Y 60 TILEPROP CLBLL_L_X24Y92 INDEX 6962 TILEPROP CLBLL_L_X24Y92 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y92 INT_TILE_Y 57 TILEPROP CLBLL_L_X24Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y92 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y92 NAME CLBLL_L_X24Y92 TILEPROP CLBLL_L_X24Y92 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y92 NUM_SITES 2 TILEPROP CLBLL_L_X24Y92 ROW 60 TILEPROP CLBLL_L_X24Y92 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y92 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y92 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y92 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y92 TILE_X 10988 TILEPROP CLBLL_L_X24Y92 TILE_Y 57024 TILEPROP CLBLL_L_X24Y92 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y93 CLASS tile TILEPROP CLBLL_L_X24Y93 COLUMN 62 TILEPROP CLBLL_L_X24Y93 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y93 FIRST_SITE_ID 5747 TILEPROP CLBLL_L_X24Y93 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y93 GRID_POINT_Y 59 TILEPROP CLBLL_L_X24Y93 INDEX 6847 TILEPROP CLBLL_L_X24Y93 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y93 INT_TILE_Y 56 TILEPROP CLBLL_L_X24Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y93 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y93 NAME CLBLL_L_X24Y93 TILEPROP CLBLL_L_X24Y93 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y93 NUM_SITES 2 TILEPROP CLBLL_L_X24Y93 ROW 59 TILEPROP CLBLL_L_X24Y93 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y93 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y93 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y93 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y93 TILE_X 10988 TILEPROP CLBLL_L_X24Y93 TILE_Y 60224 TILEPROP CLBLL_L_X24Y93 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y94 CLASS tile TILEPROP CLBLL_L_X24Y94 COLUMN 62 TILEPROP CLBLL_L_X24Y94 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y94 FIRST_SITE_ID 5645 TILEPROP CLBLL_L_X24Y94 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y94 GRID_POINT_Y 58 TILEPROP CLBLL_L_X24Y94 INDEX 6732 TILEPROP CLBLL_L_X24Y94 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y94 INT_TILE_Y 55 TILEPROP CLBLL_L_X24Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y94 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y94 NAME CLBLL_L_X24Y94 TILEPROP CLBLL_L_X24Y94 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y94 NUM_SITES 2 TILEPROP CLBLL_L_X24Y94 ROW 58 TILEPROP CLBLL_L_X24Y94 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y94 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y94 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y94 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y94 TILE_X 10988 TILEPROP CLBLL_L_X24Y94 TILE_Y 63424 TILEPROP CLBLL_L_X24Y94 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y95 CLASS tile TILEPROP CLBLL_L_X24Y95 COLUMN 62 TILEPROP CLBLL_L_X24Y95 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y95 FIRST_SITE_ID 5536 TILEPROP CLBLL_L_X24Y95 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y95 GRID_POINT_Y 57 TILEPROP CLBLL_L_X24Y95 INDEX 6617 TILEPROP CLBLL_L_X24Y95 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y95 INT_TILE_Y 54 TILEPROP CLBLL_L_X24Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y95 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y95 NAME CLBLL_L_X24Y95 TILEPROP CLBLL_L_X24Y95 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y95 NUM_SITES 2 TILEPROP CLBLL_L_X24Y95 ROW 57 TILEPROP CLBLL_L_X24Y95 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y95 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y95 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y95 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y95 TILE_X 10988 TILEPROP CLBLL_L_X24Y95 TILE_Y 66624 TILEPROP CLBLL_L_X24Y95 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y96 CLASS tile TILEPROP CLBLL_L_X24Y96 COLUMN 62 TILEPROP CLBLL_L_X24Y96 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y96 FIRST_SITE_ID 5430 TILEPROP CLBLL_L_X24Y96 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y96 GRID_POINT_Y 56 TILEPROP CLBLL_L_X24Y96 INDEX 6502 TILEPROP CLBLL_L_X24Y96 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y96 INT_TILE_Y 53 TILEPROP CLBLL_L_X24Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y96 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y96 NAME CLBLL_L_X24Y96 TILEPROP CLBLL_L_X24Y96 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y96 NUM_SITES 2 TILEPROP CLBLL_L_X24Y96 ROW 56 TILEPROP CLBLL_L_X24Y96 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y96 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y96 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y96 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y96 TILE_X 10988 TILEPROP CLBLL_L_X24Y96 TILE_Y 69824 TILEPROP CLBLL_L_X24Y96 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y97 CLASS tile TILEPROP CLBLL_L_X24Y97 COLUMN 62 TILEPROP CLBLL_L_X24Y97 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y97 FIRST_SITE_ID 5330 TILEPROP CLBLL_L_X24Y97 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y97 GRID_POINT_Y 55 TILEPROP CLBLL_L_X24Y97 INDEX 6387 TILEPROP CLBLL_L_X24Y97 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y97 INT_TILE_Y 52 TILEPROP CLBLL_L_X24Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y97 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y97 NAME CLBLL_L_X24Y97 TILEPROP CLBLL_L_X24Y97 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y97 NUM_SITES 2 TILEPROP CLBLL_L_X24Y97 ROW 55 TILEPROP CLBLL_L_X24Y97 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y97 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y97 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y97 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y97 TILE_X 10988 TILEPROP CLBLL_L_X24Y97 TILE_Y 73024 TILEPROP CLBLL_L_X24Y97 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y98 CLASS tile TILEPROP CLBLL_L_X24Y98 COLUMN 62 TILEPROP CLBLL_L_X24Y98 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y98 FIRST_SITE_ID 5230 TILEPROP CLBLL_L_X24Y98 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y98 GRID_POINT_Y 54 TILEPROP CLBLL_L_X24Y98 INDEX 6272 TILEPROP CLBLL_L_X24Y98 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y98 INT_TILE_Y 51 TILEPROP CLBLL_L_X24Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y98 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y98 NAME CLBLL_L_X24Y98 TILEPROP CLBLL_L_X24Y98 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y98 NUM_SITES 2 TILEPROP CLBLL_L_X24Y98 ROW 54 TILEPROP CLBLL_L_X24Y98 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y98 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y98 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y98 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y98 TILE_X 10988 TILEPROP CLBLL_L_X24Y98 TILE_Y 76224 TILEPROP CLBLL_L_X24Y98 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y99 CLASS tile TILEPROP CLBLL_L_X24Y99 COLUMN 62 TILEPROP CLBLL_L_X24Y99 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y99 FIRST_SITE_ID 5134 TILEPROP CLBLL_L_X24Y99 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y99 GRID_POINT_Y 53 TILEPROP CLBLL_L_X24Y99 INDEX 6157 TILEPROP CLBLL_L_X24Y99 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y99 INT_TILE_Y 50 TILEPROP CLBLL_L_X24Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y99 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y99 NAME CLBLL_L_X24Y99 TILEPROP CLBLL_L_X24Y99 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y99 NUM_SITES 2 TILEPROP CLBLL_L_X24Y99 ROW 53 TILEPROP CLBLL_L_X24Y99 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y99 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X24Y99 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y99 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y99 TILE_X 10988 TILEPROP CLBLL_L_X24Y99 TILE_Y 79424 TILEPROP CLBLL_L_X24Y99 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y100 CLASS tile TILEPROP CLBLL_L_X24Y100 COLUMN 62 TILEPROP CLBLL_L_X24Y100 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y100 FIRST_SITE_ID 5057 TILEPROP CLBLL_L_X24Y100 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y100 GRID_POINT_Y 51 TILEPROP CLBLL_L_X24Y100 INDEX 5927 TILEPROP CLBLL_L_X24Y100 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y100 INT_TILE_Y 49 TILEPROP CLBLL_L_X24Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y100 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y100 NAME CLBLL_L_X24Y100 TILEPROP CLBLL_L_X24Y100 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y100 NUM_SITES 2 TILEPROP CLBLL_L_X24Y100 ROW 51 TILEPROP CLBLL_L_X24Y100 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y100 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X24Y100 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y100 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y100 TILE_X 10988 TILEPROP CLBLL_L_X24Y100 TILE_Y 82872 TILEPROP CLBLL_L_X24Y100 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y101 CLASS tile TILEPROP CLBLL_L_X24Y101 COLUMN 62 TILEPROP CLBLL_L_X24Y101 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y101 FIRST_SITE_ID 4959 TILEPROP CLBLL_L_X24Y101 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y101 GRID_POINT_Y 50 TILEPROP CLBLL_L_X24Y101 INDEX 5812 TILEPROP CLBLL_L_X24Y101 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y101 INT_TILE_Y 48 TILEPROP CLBLL_L_X24Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y101 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y101 NAME CLBLL_L_X24Y101 TILEPROP CLBLL_L_X24Y101 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y101 NUM_SITES 2 TILEPROP CLBLL_L_X24Y101 ROW 50 TILEPROP CLBLL_L_X24Y101 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y101 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y101 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y101 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y101 TILE_X 10988 TILEPROP CLBLL_L_X24Y101 TILE_Y 86072 TILEPROP CLBLL_L_X24Y101 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y102 CLASS tile TILEPROP CLBLL_L_X24Y102 COLUMN 62 TILEPROP CLBLL_L_X24Y102 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y102 FIRST_SITE_ID 4863 TILEPROP CLBLL_L_X24Y102 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y102 GRID_POINT_Y 49 TILEPROP CLBLL_L_X24Y102 INDEX 5697 TILEPROP CLBLL_L_X24Y102 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y102 INT_TILE_Y 47 TILEPROP CLBLL_L_X24Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y102 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y102 NAME CLBLL_L_X24Y102 TILEPROP CLBLL_L_X24Y102 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y102 NUM_SITES 2 TILEPROP CLBLL_L_X24Y102 ROW 49 TILEPROP CLBLL_L_X24Y102 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y102 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y102 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y102 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y102 TILE_X 10988 TILEPROP CLBLL_L_X24Y102 TILE_Y 89272 TILEPROP CLBLL_L_X24Y102 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y103 CLASS tile TILEPROP CLBLL_L_X24Y103 COLUMN 62 TILEPROP CLBLL_L_X24Y103 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y103 FIRST_SITE_ID 4775 TILEPROP CLBLL_L_X24Y103 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y103 GRID_POINT_Y 48 TILEPROP CLBLL_L_X24Y103 INDEX 5582 TILEPROP CLBLL_L_X24Y103 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y103 INT_TILE_Y 46 TILEPROP CLBLL_L_X24Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y103 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y103 NAME CLBLL_L_X24Y103 TILEPROP CLBLL_L_X24Y103 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y103 NUM_SITES 2 TILEPROP CLBLL_L_X24Y103 ROW 48 TILEPROP CLBLL_L_X24Y103 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y103 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y103 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y103 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y103 TILE_X 10988 TILEPROP CLBLL_L_X24Y103 TILE_Y 92472 TILEPROP CLBLL_L_X24Y103 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y104 CLASS tile TILEPROP CLBLL_L_X24Y104 COLUMN 62 TILEPROP CLBLL_L_X24Y104 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y104 FIRST_SITE_ID 4679 TILEPROP CLBLL_L_X24Y104 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y104 GRID_POINT_Y 47 TILEPROP CLBLL_L_X24Y104 INDEX 5467 TILEPROP CLBLL_L_X24Y104 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y104 INT_TILE_Y 45 TILEPROP CLBLL_L_X24Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y104 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y104 NAME CLBLL_L_X24Y104 TILEPROP CLBLL_L_X24Y104 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y104 NUM_SITES 2 TILEPROP CLBLL_L_X24Y104 ROW 47 TILEPROP CLBLL_L_X24Y104 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y104 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y104 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y104 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y104 TILE_X 10988 TILEPROP CLBLL_L_X24Y104 TILE_Y 95672 TILEPROP CLBLL_L_X24Y104 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y105 CLASS tile TILEPROP CLBLL_L_X24Y105 COLUMN 62 TILEPROP CLBLL_L_X24Y105 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y105 FIRST_SITE_ID 4583 TILEPROP CLBLL_L_X24Y105 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y105 GRID_POINT_Y 46 TILEPROP CLBLL_L_X24Y105 INDEX 5352 TILEPROP CLBLL_L_X24Y105 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y105 INT_TILE_Y 44 TILEPROP CLBLL_L_X24Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y105 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y105 NAME CLBLL_L_X24Y105 TILEPROP CLBLL_L_X24Y105 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y105 NUM_SITES 2 TILEPROP CLBLL_L_X24Y105 ROW 46 TILEPROP CLBLL_L_X24Y105 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y105 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y105 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y105 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y105 TILE_X 10988 TILEPROP CLBLL_L_X24Y105 TILE_Y 98872 TILEPROP CLBLL_L_X24Y105 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y106 CLASS tile TILEPROP CLBLL_L_X24Y106 COLUMN 62 TILEPROP CLBLL_L_X24Y106 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y106 FIRST_SITE_ID 4481 TILEPROP CLBLL_L_X24Y106 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y106 GRID_POINT_Y 45 TILEPROP CLBLL_L_X24Y106 INDEX 5237 TILEPROP CLBLL_L_X24Y106 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y106 INT_TILE_Y 43 TILEPROP CLBLL_L_X24Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y106 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y106 NAME CLBLL_L_X24Y106 TILEPROP CLBLL_L_X24Y106 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y106 NUM_SITES 2 TILEPROP CLBLL_L_X24Y106 ROW 45 TILEPROP CLBLL_L_X24Y106 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y106 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y106 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y106 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y106 TILE_X 10988 TILEPROP CLBLL_L_X24Y106 TILE_Y 102072 TILEPROP CLBLL_L_X24Y106 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y107 CLASS tile TILEPROP CLBLL_L_X24Y107 COLUMN 62 TILEPROP CLBLL_L_X24Y107 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y107 FIRST_SITE_ID 4393 TILEPROP CLBLL_L_X24Y107 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y107 GRID_POINT_Y 44 TILEPROP CLBLL_L_X24Y107 INDEX 5122 TILEPROP CLBLL_L_X24Y107 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y107 INT_TILE_Y 42 TILEPROP CLBLL_L_X24Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y107 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y107 NAME CLBLL_L_X24Y107 TILEPROP CLBLL_L_X24Y107 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y107 NUM_SITES 2 TILEPROP CLBLL_L_X24Y107 ROW 44 TILEPROP CLBLL_L_X24Y107 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y107 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y107 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y107 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y107 TILE_X 10988 TILEPROP CLBLL_L_X24Y107 TILE_Y 105272 TILEPROP CLBLL_L_X24Y107 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y108 CLASS tile TILEPROP CLBLL_L_X24Y108 COLUMN 62 TILEPROP CLBLL_L_X24Y108 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y108 FIRST_SITE_ID 4295 TILEPROP CLBLL_L_X24Y108 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y108 GRID_POINT_Y 43 TILEPROP CLBLL_L_X24Y108 INDEX 5007 TILEPROP CLBLL_L_X24Y108 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y108 INT_TILE_Y 41 TILEPROP CLBLL_L_X24Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y108 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y108 NAME CLBLL_L_X24Y108 TILEPROP CLBLL_L_X24Y108 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y108 NUM_SITES 2 TILEPROP CLBLL_L_X24Y108 ROW 43 TILEPROP CLBLL_L_X24Y108 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y108 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y108 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y108 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y108 TILE_X 10988 TILEPROP CLBLL_L_X24Y108 TILE_Y 108472 TILEPROP CLBLL_L_X24Y108 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y109 CLASS tile TILEPROP CLBLL_L_X24Y109 COLUMN 62 TILEPROP CLBLL_L_X24Y109 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y109 FIRST_SITE_ID 4206 TILEPROP CLBLL_L_X24Y109 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y109 GRID_POINT_Y 42 TILEPROP CLBLL_L_X24Y109 INDEX 4892 TILEPROP CLBLL_L_X24Y109 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y109 INT_TILE_Y 40 TILEPROP CLBLL_L_X24Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y109 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y109 NAME CLBLL_L_X24Y109 TILEPROP CLBLL_L_X24Y109 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y109 NUM_SITES 2 TILEPROP CLBLL_L_X24Y109 ROW 42 TILEPROP CLBLL_L_X24Y109 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y109 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y109 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y109 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y109 TILE_X 10988 TILEPROP CLBLL_L_X24Y109 TILE_Y 111672 TILEPROP CLBLL_L_X24Y109 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y110 CLASS tile TILEPROP CLBLL_L_X24Y110 COLUMN 62 TILEPROP CLBLL_L_X24Y110 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y110 FIRST_SITE_ID 4106 TILEPROP CLBLL_L_X24Y110 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y110 GRID_POINT_Y 41 TILEPROP CLBLL_L_X24Y110 INDEX 4777 TILEPROP CLBLL_L_X24Y110 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y110 INT_TILE_Y 39 TILEPROP CLBLL_L_X24Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y110 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y110 NAME CLBLL_L_X24Y110 TILEPROP CLBLL_L_X24Y110 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y110 NUM_SITES 2 TILEPROP CLBLL_L_X24Y110 ROW 41 TILEPROP CLBLL_L_X24Y110 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y110 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y110 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y110 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y110 TILE_X 10988 TILEPROP CLBLL_L_X24Y110 TILE_Y 114872 TILEPROP CLBLL_L_X24Y110 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y111 CLASS tile TILEPROP CLBLL_L_X24Y111 COLUMN 62 TILEPROP CLBLL_L_X24Y111 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y111 FIRST_SITE_ID 4012 TILEPROP CLBLL_L_X24Y111 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y111 GRID_POINT_Y 40 TILEPROP CLBLL_L_X24Y111 INDEX 4662 TILEPROP CLBLL_L_X24Y111 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y111 INT_TILE_Y 38 TILEPROP CLBLL_L_X24Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y111 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y111 NAME CLBLL_L_X24Y111 TILEPROP CLBLL_L_X24Y111 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y111 NUM_SITES 2 TILEPROP CLBLL_L_X24Y111 ROW 40 TILEPROP CLBLL_L_X24Y111 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y111 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y111 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y111 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y111 TILE_X 10988 TILEPROP CLBLL_L_X24Y111 TILE_Y 118072 TILEPROP CLBLL_L_X24Y111 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y112 CLASS tile TILEPROP CLBLL_L_X24Y112 COLUMN 62 TILEPROP CLBLL_L_X24Y112 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y112 FIRST_SITE_ID 3916 TILEPROP CLBLL_L_X24Y112 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y112 GRID_POINT_Y 39 TILEPROP CLBLL_L_X24Y112 INDEX 4547 TILEPROP CLBLL_L_X24Y112 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y112 INT_TILE_Y 37 TILEPROP CLBLL_L_X24Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y112 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y112 NAME CLBLL_L_X24Y112 TILEPROP CLBLL_L_X24Y112 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y112 NUM_SITES 2 TILEPROP CLBLL_L_X24Y112 ROW 39 TILEPROP CLBLL_L_X24Y112 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y112 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y112 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y112 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y112 TILE_X 10988 TILEPROP CLBLL_L_X24Y112 TILE_Y 121272 TILEPROP CLBLL_L_X24Y112 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y113 CLASS tile TILEPROP CLBLL_L_X24Y113 COLUMN 62 TILEPROP CLBLL_L_X24Y113 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y113 FIRST_SITE_ID 3796 TILEPROP CLBLL_L_X24Y113 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y113 GRID_POINT_Y 38 TILEPROP CLBLL_L_X24Y113 INDEX 4432 TILEPROP CLBLL_L_X24Y113 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y113 INT_TILE_Y 36 TILEPROP CLBLL_L_X24Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y113 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y113 NAME CLBLL_L_X24Y113 TILEPROP CLBLL_L_X24Y113 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y113 NUM_SITES 2 TILEPROP CLBLL_L_X24Y113 ROW 38 TILEPROP CLBLL_L_X24Y113 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y113 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y113 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y113 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y113 TILE_X 10988 TILEPROP CLBLL_L_X24Y113 TILE_Y 124472 TILEPROP CLBLL_L_X24Y113 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y114 CLASS tile TILEPROP CLBLL_L_X24Y114 COLUMN 62 TILEPROP CLBLL_L_X24Y114 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y114 FIRST_SITE_ID 3700 TILEPROP CLBLL_L_X24Y114 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y114 GRID_POINT_Y 37 TILEPROP CLBLL_L_X24Y114 INDEX 4317 TILEPROP CLBLL_L_X24Y114 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y114 INT_TILE_Y 35 TILEPROP CLBLL_L_X24Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y114 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y114 NAME CLBLL_L_X24Y114 TILEPROP CLBLL_L_X24Y114 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y114 NUM_SITES 2 TILEPROP CLBLL_L_X24Y114 ROW 37 TILEPROP CLBLL_L_X24Y114 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y114 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y114 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y114 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y114 TILE_X 10988 TILEPROP CLBLL_L_X24Y114 TILE_Y 127672 TILEPROP CLBLL_L_X24Y114 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y115 CLASS tile TILEPROP CLBLL_L_X24Y115 COLUMN 62 TILEPROP CLBLL_L_X24Y115 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y115 FIRST_SITE_ID 3609 TILEPROP CLBLL_L_X24Y115 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y115 GRID_POINT_Y 36 TILEPROP CLBLL_L_X24Y115 INDEX 4202 TILEPROP CLBLL_L_X24Y115 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y115 INT_TILE_Y 34 TILEPROP CLBLL_L_X24Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y115 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y115 NAME CLBLL_L_X24Y115 TILEPROP CLBLL_L_X24Y115 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y115 NUM_SITES 2 TILEPROP CLBLL_L_X24Y115 ROW 36 TILEPROP CLBLL_L_X24Y115 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y115 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y115 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y115 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y115 TILE_X 10988 TILEPROP CLBLL_L_X24Y115 TILE_Y 130872 TILEPROP CLBLL_L_X24Y115 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y116 CLASS tile TILEPROP CLBLL_L_X24Y116 COLUMN 62 TILEPROP CLBLL_L_X24Y116 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y116 FIRST_SITE_ID 3502 TILEPROP CLBLL_L_X24Y116 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y116 GRID_POINT_Y 35 TILEPROP CLBLL_L_X24Y116 INDEX 4087 TILEPROP CLBLL_L_X24Y116 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y116 INT_TILE_Y 33 TILEPROP CLBLL_L_X24Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y116 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y116 NAME CLBLL_L_X24Y116 TILEPROP CLBLL_L_X24Y116 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y116 NUM_SITES 2 TILEPROP CLBLL_L_X24Y116 ROW 35 TILEPROP CLBLL_L_X24Y116 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y116 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y116 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y116 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y116 TILE_X 10988 TILEPROP CLBLL_L_X24Y116 TILE_Y 134072 TILEPROP CLBLL_L_X24Y116 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y117 CLASS tile TILEPROP CLBLL_L_X24Y117 COLUMN 62 TILEPROP CLBLL_L_X24Y117 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y117 FIRST_SITE_ID 3414 TILEPROP CLBLL_L_X24Y117 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y117 GRID_POINT_Y 34 TILEPROP CLBLL_L_X24Y117 INDEX 3972 TILEPROP CLBLL_L_X24Y117 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y117 INT_TILE_Y 32 TILEPROP CLBLL_L_X24Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y117 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y117 NAME CLBLL_L_X24Y117 TILEPROP CLBLL_L_X24Y117 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y117 NUM_SITES 2 TILEPROP CLBLL_L_X24Y117 ROW 34 TILEPROP CLBLL_L_X24Y117 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y117 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y117 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y117 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y117 TILE_X 10988 TILEPROP CLBLL_L_X24Y117 TILE_Y 137272 TILEPROP CLBLL_L_X24Y117 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y118 CLASS tile TILEPROP CLBLL_L_X24Y118 COLUMN 62 TILEPROP CLBLL_L_X24Y118 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y118 FIRST_SITE_ID 3314 TILEPROP CLBLL_L_X24Y118 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y118 GRID_POINT_Y 33 TILEPROP CLBLL_L_X24Y118 INDEX 3857 TILEPROP CLBLL_L_X24Y118 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y118 INT_TILE_Y 31 TILEPROP CLBLL_L_X24Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y118 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y118 NAME CLBLL_L_X24Y118 TILEPROP CLBLL_L_X24Y118 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y118 NUM_SITES 2 TILEPROP CLBLL_L_X24Y118 ROW 33 TILEPROP CLBLL_L_X24Y118 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y118 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y118 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y118 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y118 TILE_X 10988 TILEPROP CLBLL_L_X24Y118 TILE_Y 140472 TILEPROP CLBLL_L_X24Y118 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y119 CLASS tile TILEPROP CLBLL_L_X24Y119 COLUMN 62 TILEPROP CLBLL_L_X24Y119 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y119 FIRST_SITE_ID 3226 TILEPROP CLBLL_L_X24Y119 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y119 GRID_POINT_Y 32 TILEPROP CLBLL_L_X24Y119 INDEX 3742 TILEPROP CLBLL_L_X24Y119 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y119 INT_TILE_Y 30 TILEPROP CLBLL_L_X24Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y119 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y119 NAME CLBLL_L_X24Y119 TILEPROP CLBLL_L_X24Y119 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y119 NUM_SITES 2 TILEPROP CLBLL_L_X24Y119 ROW 32 TILEPROP CLBLL_L_X24Y119 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y119 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y119 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y119 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y119 TILE_X 10988 TILEPROP CLBLL_L_X24Y119 TILE_Y 143672 TILEPROP CLBLL_L_X24Y119 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y120 CLASS tile TILEPROP CLBLL_L_X24Y120 COLUMN 62 TILEPROP CLBLL_L_X24Y120 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y120 FIRST_SITE_ID 3125 TILEPROP CLBLL_L_X24Y120 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y120 GRID_POINT_Y 31 TILEPROP CLBLL_L_X24Y120 INDEX 3627 TILEPROP CLBLL_L_X24Y120 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y120 INT_TILE_Y 29 TILEPROP CLBLL_L_X24Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y120 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y120 NAME CLBLL_L_X24Y120 TILEPROP CLBLL_L_X24Y120 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y120 NUM_SITES 2 TILEPROP CLBLL_L_X24Y120 ROW 31 TILEPROP CLBLL_L_X24Y120 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y120 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y120 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y120 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y120 TILE_X 10988 TILEPROP CLBLL_L_X24Y120 TILE_Y 146872 TILEPROP CLBLL_L_X24Y120 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y121 CLASS tile TILEPROP CLBLL_L_X24Y121 COLUMN 62 TILEPROP CLBLL_L_X24Y121 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y121 FIRST_SITE_ID 3031 TILEPROP CLBLL_L_X24Y121 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y121 GRID_POINT_Y 30 TILEPROP CLBLL_L_X24Y121 INDEX 3512 TILEPROP CLBLL_L_X24Y121 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y121 INT_TILE_Y 28 TILEPROP CLBLL_L_X24Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y121 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y121 NAME CLBLL_L_X24Y121 TILEPROP CLBLL_L_X24Y121 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y121 NUM_SITES 2 TILEPROP CLBLL_L_X24Y121 ROW 30 TILEPROP CLBLL_L_X24Y121 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y121 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y121 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y121 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y121 TILE_X 10988 TILEPROP CLBLL_L_X24Y121 TILE_Y 150072 TILEPROP CLBLL_L_X24Y121 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y122 CLASS tile TILEPROP CLBLL_L_X24Y122 COLUMN 62 TILEPROP CLBLL_L_X24Y122 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y122 FIRST_SITE_ID 2928 TILEPROP CLBLL_L_X24Y122 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y122 GRID_POINT_Y 29 TILEPROP CLBLL_L_X24Y122 INDEX 3397 TILEPROP CLBLL_L_X24Y122 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y122 INT_TILE_Y 27 TILEPROP CLBLL_L_X24Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y122 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y122 NAME CLBLL_L_X24Y122 TILEPROP CLBLL_L_X24Y122 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y122 NUM_SITES 2 TILEPROP CLBLL_L_X24Y122 ROW 29 TILEPROP CLBLL_L_X24Y122 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y122 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y122 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y122 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y122 TILE_X 10988 TILEPROP CLBLL_L_X24Y122 TILE_Y 153272 TILEPROP CLBLL_L_X24Y122 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y123 CLASS tile TILEPROP CLBLL_L_X24Y123 COLUMN 62 TILEPROP CLBLL_L_X24Y123 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y123 FIRST_SITE_ID 2840 TILEPROP CLBLL_L_X24Y123 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y123 GRID_POINT_Y 28 TILEPROP CLBLL_L_X24Y123 INDEX 3282 TILEPROP CLBLL_L_X24Y123 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y123 INT_TILE_Y 26 TILEPROP CLBLL_L_X24Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y123 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y123 NAME CLBLL_L_X24Y123 TILEPROP CLBLL_L_X24Y123 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y123 NUM_SITES 2 TILEPROP CLBLL_L_X24Y123 ROW 28 TILEPROP CLBLL_L_X24Y123 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y123 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y123 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y123 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y123 TILE_X 10988 TILEPROP CLBLL_L_X24Y123 TILE_Y 156472 TILEPROP CLBLL_L_X24Y123 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y124 CLASS tile TILEPROP CLBLL_L_X24Y124 COLUMN 62 TILEPROP CLBLL_L_X24Y124 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y124 FIRST_SITE_ID 2744 TILEPROP CLBLL_L_X24Y124 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y124 GRID_POINT_Y 27 TILEPROP CLBLL_L_X24Y124 INDEX 3167 TILEPROP CLBLL_L_X24Y124 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y124 INT_TILE_Y 25 TILEPROP CLBLL_L_X24Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y124 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y124 NAME CLBLL_L_X24Y124 TILEPROP CLBLL_L_X24Y124 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y124 NUM_SITES 2 TILEPROP CLBLL_L_X24Y124 ROW 27 TILEPROP CLBLL_L_X24Y124 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y124 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X24Y124 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y124 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y124 TILE_X 10988 TILEPROP CLBLL_L_X24Y124 TILE_Y 159672 TILEPROP CLBLL_L_X24Y124 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y125 CLASS tile TILEPROP CLBLL_L_X24Y125 COLUMN 62 TILEPROP CLBLL_L_X24Y125 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y125 FIRST_SITE_ID 2571 TILEPROP CLBLL_L_X24Y125 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y125 GRID_POINT_Y 25 TILEPROP CLBLL_L_X24Y125 INDEX 2937 TILEPROP CLBLL_L_X24Y125 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y125 INT_TILE_Y 24 TILEPROP CLBLL_L_X24Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y125 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y125 NAME CLBLL_L_X24Y125 TILEPROP CLBLL_L_X24Y125 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y125 NUM_SITES 2 TILEPROP CLBLL_L_X24Y125 ROW 25 TILEPROP CLBLL_L_X24Y125 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y125 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X24Y125 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y125 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y125 TILE_X 10988 TILEPROP CLBLL_L_X24Y125 TILE_Y 163896 TILEPROP CLBLL_L_X24Y125 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y126 CLASS tile TILEPROP CLBLL_L_X24Y126 COLUMN 62 TILEPROP CLBLL_L_X24Y126 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y126 FIRST_SITE_ID 2461 TILEPROP CLBLL_L_X24Y126 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y126 GRID_POINT_Y 24 TILEPROP CLBLL_L_X24Y126 INDEX 2822 TILEPROP CLBLL_L_X24Y126 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y126 INT_TILE_Y 23 TILEPROP CLBLL_L_X24Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y126 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y126 NAME CLBLL_L_X24Y126 TILEPROP CLBLL_L_X24Y126 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y126 NUM_SITES 2 TILEPROP CLBLL_L_X24Y126 ROW 24 TILEPROP CLBLL_L_X24Y126 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y126 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y126 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y126 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y126 TILE_X 10988 TILEPROP CLBLL_L_X24Y126 TILE_Y 167096 TILEPROP CLBLL_L_X24Y126 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y127 CLASS tile TILEPROP CLBLL_L_X24Y127 COLUMN 62 TILEPROP CLBLL_L_X24Y127 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y127 FIRST_SITE_ID 2365 TILEPROP CLBLL_L_X24Y127 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y127 GRID_POINT_Y 23 TILEPROP CLBLL_L_X24Y127 INDEX 2707 TILEPROP CLBLL_L_X24Y127 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y127 INT_TILE_Y 22 TILEPROP CLBLL_L_X24Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y127 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y127 NAME CLBLL_L_X24Y127 TILEPROP CLBLL_L_X24Y127 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y127 NUM_SITES 2 TILEPROP CLBLL_L_X24Y127 ROW 23 TILEPROP CLBLL_L_X24Y127 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y127 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y127 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y127 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y127 TILE_X 10988 TILEPROP CLBLL_L_X24Y127 TILE_Y 170296 TILEPROP CLBLL_L_X24Y127 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y128 CLASS tile TILEPROP CLBLL_L_X24Y128 COLUMN 62 TILEPROP CLBLL_L_X24Y128 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y128 FIRST_SITE_ID 2261 TILEPROP CLBLL_L_X24Y128 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y128 GRID_POINT_Y 22 TILEPROP CLBLL_L_X24Y128 INDEX 2592 TILEPROP CLBLL_L_X24Y128 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y128 INT_TILE_Y 21 TILEPROP CLBLL_L_X24Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y128 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y128 NAME CLBLL_L_X24Y128 TILEPROP CLBLL_L_X24Y128 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y128 NUM_SITES 2 TILEPROP CLBLL_L_X24Y128 ROW 22 TILEPROP CLBLL_L_X24Y128 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y128 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y128 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y128 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y128 TILE_X 10988 TILEPROP CLBLL_L_X24Y128 TILE_Y 173496 TILEPROP CLBLL_L_X24Y128 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y129 CLASS tile TILEPROP CLBLL_L_X24Y129 COLUMN 62 TILEPROP CLBLL_L_X24Y129 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y129 FIRST_SITE_ID 2165 TILEPROP CLBLL_L_X24Y129 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y129 GRID_POINT_Y 21 TILEPROP CLBLL_L_X24Y129 INDEX 2477 TILEPROP CLBLL_L_X24Y129 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y129 INT_TILE_Y 20 TILEPROP CLBLL_L_X24Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y129 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y129 NAME CLBLL_L_X24Y129 TILEPROP CLBLL_L_X24Y129 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y129 NUM_SITES 2 TILEPROP CLBLL_L_X24Y129 ROW 21 TILEPROP CLBLL_L_X24Y129 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y129 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y129 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y129 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y129 TILE_X 10988 TILEPROP CLBLL_L_X24Y129 TILE_Y 176696 TILEPROP CLBLL_L_X24Y129 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y130 CLASS tile TILEPROP CLBLL_L_X24Y130 COLUMN 62 TILEPROP CLBLL_L_X24Y130 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y130 FIRST_SITE_ID 2049 TILEPROP CLBLL_L_X24Y130 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y130 GRID_POINT_Y 20 TILEPROP CLBLL_L_X24Y130 INDEX 2362 TILEPROP CLBLL_L_X24Y130 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y130 INT_TILE_Y 19 TILEPROP CLBLL_L_X24Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y130 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y130 NAME CLBLL_L_X24Y130 TILEPROP CLBLL_L_X24Y130 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y130 NUM_SITES 2 TILEPROP CLBLL_L_X24Y130 ROW 20 TILEPROP CLBLL_L_X24Y130 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y130 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y130 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y130 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y130 TILE_X 10988 TILEPROP CLBLL_L_X24Y130 TILE_Y 179896 TILEPROP CLBLL_L_X24Y130 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y131 CLASS tile TILEPROP CLBLL_L_X24Y131 COLUMN 62 TILEPROP CLBLL_L_X24Y131 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y131 FIRST_SITE_ID 1947 TILEPROP CLBLL_L_X24Y131 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y131 GRID_POINT_Y 19 TILEPROP CLBLL_L_X24Y131 INDEX 2247 TILEPROP CLBLL_L_X24Y131 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y131 INT_TILE_Y 18 TILEPROP CLBLL_L_X24Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y131 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y131 NAME CLBLL_L_X24Y131 TILEPROP CLBLL_L_X24Y131 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y131 NUM_SITES 2 TILEPROP CLBLL_L_X24Y131 ROW 19 TILEPROP CLBLL_L_X24Y131 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y131 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y131 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y131 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y131 TILE_X 10988 TILEPROP CLBLL_L_X24Y131 TILE_Y 183096 TILEPROP CLBLL_L_X24Y131 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y132 CLASS tile TILEPROP CLBLL_L_X24Y132 COLUMN 62 TILEPROP CLBLL_L_X24Y132 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y132 FIRST_SITE_ID 1841 TILEPROP CLBLL_L_X24Y132 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y132 GRID_POINT_Y 18 TILEPROP CLBLL_L_X24Y132 INDEX 2132 TILEPROP CLBLL_L_X24Y132 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y132 INT_TILE_Y 17 TILEPROP CLBLL_L_X24Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y132 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y132 NAME CLBLL_L_X24Y132 TILEPROP CLBLL_L_X24Y132 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y132 NUM_SITES 2 TILEPROP CLBLL_L_X24Y132 ROW 18 TILEPROP CLBLL_L_X24Y132 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y132 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y132 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y132 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y132 TILE_X 10988 TILEPROP CLBLL_L_X24Y132 TILE_Y 186296 TILEPROP CLBLL_L_X24Y132 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y133 CLASS tile TILEPROP CLBLL_L_X24Y133 COLUMN 62 TILEPROP CLBLL_L_X24Y133 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y133 FIRST_SITE_ID 1740 TILEPROP CLBLL_L_X24Y133 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y133 GRID_POINT_Y 17 TILEPROP CLBLL_L_X24Y133 INDEX 2017 TILEPROP CLBLL_L_X24Y133 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y133 INT_TILE_Y 16 TILEPROP CLBLL_L_X24Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y133 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y133 NAME CLBLL_L_X24Y133 TILEPROP CLBLL_L_X24Y133 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y133 NUM_SITES 2 TILEPROP CLBLL_L_X24Y133 ROW 17 TILEPROP CLBLL_L_X24Y133 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y133 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y133 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y133 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y133 TILE_X 10988 TILEPROP CLBLL_L_X24Y133 TILE_Y 189496 TILEPROP CLBLL_L_X24Y133 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y134 CLASS tile TILEPROP CLBLL_L_X24Y134 COLUMN 62 TILEPROP CLBLL_L_X24Y134 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y134 FIRST_SITE_ID 1636 TILEPROP CLBLL_L_X24Y134 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y134 GRID_POINT_Y 16 TILEPROP CLBLL_L_X24Y134 INDEX 1902 TILEPROP CLBLL_L_X24Y134 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y134 INT_TILE_Y 15 TILEPROP CLBLL_L_X24Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y134 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y134 NAME CLBLL_L_X24Y134 TILEPROP CLBLL_L_X24Y134 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y134 NUM_SITES 2 TILEPROP CLBLL_L_X24Y134 ROW 16 TILEPROP CLBLL_L_X24Y134 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y134 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y134 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y134 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y134 TILE_X 10988 TILEPROP CLBLL_L_X24Y134 TILE_Y 192696 TILEPROP CLBLL_L_X24Y134 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y135 CLASS tile TILEPROP CLBLL_L_X24Y135 COLUMN 62 TILEPROP CLBLL_L_X24Y135 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y135 FIRST_SITE_ID 1534 TILEPROP CLBLL_L_X24Y135 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y135 GRID_POINT_Y 15 TILEPROP CLBLL_L_X24Y135 INDEX 1787 TILEPROP CLBLL_L_X24Y135 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y135 INT_TILE_Y 14 TILEPROP CLBLL_L_X24Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y135 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y135 NAME CLBLL_L_X24Y135 TILEPROP CLBLL_L_X24Y135 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y135 NUM_SITES 2 TILEPROP CLBLL_L_X24Y135 ROW 15 TILEPROP CLBLL_L_X24Y135 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y135 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y135 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y135 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y135 TILE_X 10988 TILEPROP CLBLL_L_X24Y135 TILE_Y 195896 TILEPROP CLBLL_L_X24Y135 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y136 CLASS tile TILEPROP CLBLL_L_X24Y136 COLUMN 62 TILEPROP CLBLL_L_X24Y136 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y136 FIRST_SITE_ID 1424 TILEPROP CLBLL_L_X24Y136 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y136 GRID_POINT_Y 14 TILEPROP CLBLL_L_X24Y136 INDEX 1672 TILEPROP CLBLL_L_X24Y136 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y136 INT_TILE_Y 13 TILEPROP CLBLL_L_X24Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y136 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y136 NAME CLBLL_L_X24Y136 TILEPROP CLBLL_L_X24Y136 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y136 NUM_SITES 2 TILEPROP CLBLL_L_X24Y136 ROW 14 TILEPROP CLBLL_L_X24Y136 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y136 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y136 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y136 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y136 TILE_X 10988 TILEPROP CLBLL_L_X24Y136 TILE_Y 199096 TILEPROP CLBLL_L_X24Y136 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y137 CLASS tile TILEPROP CLBLL_L_X24Y137 COLUMN 62 TILEPROP CLBLL_L_X24Y137 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y137 FIRST_SITE_ID 1296 TILEPROP CLBLL_L_X24Y137 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y137 GRID_POINT_Y 13 TILEPROP CLBLL_L_X24Y137 INDEX 1557 TILEPROP CLBLL_L_X24Y137 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y137 INT_TILE_Y 12 TILEPROP CLBLL_L_X24Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y137 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y137 NAME CLBLL_L_X24Y137 TILEPROP CLBLL_L_X24Y137 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y137 NUM_SITES 2 TILEPROP CLBLL_L_X24Y137 ROW 13 TILEPROP CLBLL_L_X24Y137 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y137 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y137 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y137 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y137 TILE_X 10988 TILEPROP CLBLL_L_X24Y137 TILE_Y 202296 TILEPROP CLBLL_L_X24Y137 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y138 CLASS tile TILEPROP CLBLL_L_X24Y138 COLUMN 62 TILEPROP CLBLL_L_X24Y138 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y138 FIRST_SITE_ID 1192 TILEPROP CLBLL_L_X24Y138 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y138 GRID_POINT_Y 12 TILEPROP CLBLL_L_X24Y138 INDEX 1442 TILEPROP CLBLL_L_X24Y138 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y138 INT_TILE_Y 11 TILEPROP CLBLL_L_X24Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y138 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y138 NAME CLBLL_L_X24Y138 TILEPROP CLBLL_L_X24Y138 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y138 NUM_SITES 2 TILEPROP CLBLL_L_X24Y138 ROW 12 TILEPROP CLBLL_L_X24Y138 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y138 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y138 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y138 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y138 TILE_X 10988 TILEPROP CLBLL_L_X24Y138 TILE_Y 205496 TILEPROP CLBLL_L_X24Y138 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y139 CLASS tile TILEPROP CLBLL_L_X24Y139 COLUMN 62 TILEPROP CLBLL_L_X24Y139 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y139 FIRST_SITE_ID 1096 TILEPROP CLBLL_L_X24Y139 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y139 GRID_POINT_Y 11 TILEPROP CLBLL_L_X24Y139 INDEX 1327 TILEPROP CLBLL_L_X24Y139 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y139 INT_TILE_Y 10 TILEPROP CLBLL_L_X24Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y139 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y139 NAME CLBLL_L_X24Y139 TILEPROP CLBLL_L_X24Y139 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y139 NUM_SITES 2 TILEPROP CLBLL_L_X24Y139 ROW 11 TILEPROP CLBLL_L_X24Y139 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y139 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y139 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y139 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y139 TILE_X 10988 TILEPROP CLBLL_L_X24Y139 TILE_Y 208696 TILEPROP CLBLL_L_X24Y139 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y140 CLASS tile TILEPROP CLBLL_L_X24Y140 COLUMN 62 TILEPROP CLBLL_L_X24Y140 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y140 FIRST_SITE_ID 986 TILEPROP CLBLL_L_X24Y140 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y140 GRID_POINT_Y 10 TILEPROP CLBLL_L_X24Y140 INDEX 1212 TILEPROP CLBLL_L_X24Y140 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y140 INT_TILE_Y 9 TILEPROP CLBLL_L_X24Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y140 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y140 NAME CLBLL_L_X24Y140 TILEPROP CLBLL_L_X24Y140 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y140 NUM_SITES 2 TILEPROP CLBLL_L_X24Y140 ROW 10 TILEPROP CLBLL_L_X24Y140 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y140 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y140 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y140 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y140 TILE_X 10988 TILEPROP CLBLL_L_X24Y140 TILE_Y 211896 TILEPROP CLBLL_L_X24Y140 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y141 CLASS tile TILEPROP CLBLL_L_X24Y141 COLUMN 62 TILEPROP CLBLL_L_X24Y141 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y141 FIRST_SITE_ID 884 TILEPROP CLBLL_L_X24Y141 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y141 GRID_POINT_Y 9 TILEPROP CLBLL_L_X24Y141 INDEX 1097 TILEPROP CLBLL_L_X24Y141 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y141 INT_TILE_Y 8 TILEPROP CLBLL_L_X24Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y141 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y141 NAME CLBLL_L_X24Y141 TILEPROP CLBLL_L_X24Y141 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y141 NUM_SITES 2 TILEPROP CLBLL_L_X24Y141 ROW 9 TILEPROP CLBLL_L_X24Y141 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y141 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y141 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y141 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y141 TILE_X 10988 TILEPROP CLBLL_L_X24Y141 TILE_Y 215096 TILEPROP CLBLL_L_X24Y141 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y142 CLASS tile TILEPROP CLBLL_L_X24Y142 COLUMN 62 TILEPROP CLBLL_L_X24Y142 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y142 FIRST_SITE_ID 780 TILEPROP CLBLL_L_X24Y142 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y142 GRID_POINT_Y 8 TILEPROP CLBLL_L_X24Y142 INDEX 982 TILEPROP CLBLL_L_X24Y142 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y142 INT_TILE_Y 7 TILEPROP CLBLL_L_X24Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y142 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y142 NAME CLBLL_L_X24Y142 TILEPROP CLBLL_L_X24Y142 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y142 NUM_SITES 2 TILEPROP CLBLL_L_X24Y142 ROW 8 TILEPROP CLBLL_L_X24Y142 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y142 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y142 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y142 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y142 TILE_X 10988 TILEPROP CLBLL_L_X24Y142 TILE_Y 218296 TILEPROP CLBLL_L_X24Y142 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y143 CLASS tile TILEPROP CLBLL_L_X24Y143 COLUMN 62 TILEPROP CLBLL_L_X24Y143 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y143 FIRST_SITE_ID 683 TILEPROP CLBLL_L_X24Y143 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y143 GRID_POINT_Y 7 TILEPROP CLBLL_L_X24Y143 INDEX 867 TILEPROP CLBLL_L_X24Y143 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y143 INT_TILE_Y 6 TILEPROP CLBLL_L_X24Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y143 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y143 NAME CLBLL_L_X24Y143 TILEPROP CLBLL_L_X24Y143 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y143 NUM_SITES 2 TILEPROP CLBLL_L_X24Y143 ROW 7 TILEPROP CLBLL_L_X24Y143 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y143 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y143 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y143 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y143 TILE_X 10988 TILEPROP CLBLL_L_X24Y143 TILE_Y 221496 TILEPROP CLBLL_L_X24Y143 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y144 CLASS tile TILEPROP CLBLL_L_X24Y144 COLUMN 62 TILEPROP CLBLL_L_X24Y144 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y144 FIRST_SITE_ID 572 TILEPROP CLBLL_L_X24Y144 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y144 GRID_POINT_Y 6 TILEPROP CLBLL_L_X24Y144 INDEX 752 TILEPROP CLBLL_L_X24Y144 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y144 INT_TILE_Y 5 TILEPROP CLBLL_L_X24Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y144 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y144 NAME CLBLL_L_X24Y144 TILEPROP CLBLL_L_X24Y144 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y144 NUM_SITES 2 TILEPROP CLBLL_L_X24Y144 ROW 6 TILEPROP CLBLL_L_X24Y144 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y144 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y144 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y144 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y144 TILE_X 10988 TILEPROP CLBLL_L_X24Y144 TILE_Y 224696 TILEPROP CLBLL_L_X24Y144 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y145 CLASS tile TILEPROP CLBLL_L_X24Y145 COLUMN 62 TILEPROP CLBLL_L_X24Y145 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y145 FIRST_SITE_ID 470 TILEPROP CLBLL_L_X24Y145 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y145 GRID_POINT_Y 5 TILEPROP CLBLL_L_X24Y145 INDEX 637 TILEPROP CLBLL_L_X24Y145 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y145 INT_TILE_Y 4 TILEPROP CLBLL_L_X24Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y145 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y145 NAME CLBLL_L_X24Y145 TILEPROP CLBLL_L_X24Y145 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y145 NUM_SITES 2 TILEPROP CLBLL_L_X24Y145 ROW 5 TILEPROP CLBLL_L_X24Y145 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y145 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y145 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y145 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y145 TILE_X 10988 TILEPROP CLBLL_L_X24Y145 TILE_Y 227896 TILEPROP CLBLL_L_X24Y145 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y146 CLASS tile TILEPROP CLBLL_L_X24Y146 COLUMN 62 TILEPROP CLBLL_L_X24Y146 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y146 FIRST_SITE_ID 360 TILEPROP CLBLL_L_X24Y146 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y146 GRID_POINT_Y 4 TILEPROP CLBLL_L_X24Y146 INDEX 522 TILEPROP CLBLL_L_X24Y146 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y146 INT_TILE_Y 3 TILEPROP CLBLL_L_X24Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y146 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y146 NAME CLBLL_L_X24Y146 TILEPROP CLBLL_L_X24Y146 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y146 NUM_SITES 2 TILEPROP CLBLL_L_X24Y146 ROW 4 TILEPROP CLBLL_L_X24Y146 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y146 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y146 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y146 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y146 TILE_X 10988 TILEPROP CLBLL_L_X24Y146 TILE_Y 231096 TILEPROP CLBLL_L_X24Y146 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y147 CLASS tile TILEPROP CLBLL_L_X24Y147 COLUMN 62 TILEPROP CLBLL_L_X24Y147 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y147 FIRST_SITE_ID 264 TILEPROP CLBLL_L_X24Y147 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y147 GRID_POINT_Y 3 TILEPROP CLBLL_L_X24Y147 INDEX 407 TILEPROP CLBLL_L_X24Y147 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y147 INT_TILE_Y 2 TILEPROP CLBLL_L_X24Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y147 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y147 NAME CLBLL_L_X24Y147 TILEPROP CLBLL_L_X24Y147 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y147 NUM_SITES 2 TILEPROP CLBLL_L_X24Y147 ROW 3 TILEPROP CLBLL_L_X24Y147 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y147 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y147 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y147 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y147 TILE_X 10988 TILEPROP CLBLL_L_X24Y147 TILE_Y 234296 TILEPROP CLBLL_L_X24Y147 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y148 CLASS tile TILEPROP CLBLL_L_X24Y148 COLUMN 62 TILEPROP CLBLL_L_X24Y148 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y148 FIRST_SITE_ID 160 TILEPROP CLBLL_L_X24Y148 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y148 GRID_POINT_Y 2 TILEPROP CLBLL_L_X24Y148 INDEX 292 TILEPROP CLBLL_L_X24Y148 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y148 INT_TILE_Y 1 TILEPROP CLBLL_L_X24Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y148 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y148 NAME CLBLL_L_X24Y148 TILEPROP CLBLL_L_X24Y148 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y148 NUM_SITES 2 TILEPROP CLBLL_L_X24Y148 ROW 2 TILEPROP CLBLL_L_X24Y148 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y148 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X24Y148 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y148 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y148 TILE_X 10988 TILEPROP CLBLL_L_X24Y148 TILE_Y 237496 TILEPROP CLBLL_L_X24Y148 TYPE CLBLL_L TILEPROP CLBLL_L_X24Y149 CLASS tile TILEPROP CLBLL_L_X24Y149 COLUMN 62 TILEPROP CLBLL_L_X24Y149 DEVICE_ID 0 TILEPROP CLBLL_L_X24Y149 FIRST_SITE_ID 64 TILEPROP CLBLL_L_X24Y149 GRID_POINT_X 62 TILEPROP CLBLL_L_X24Y149 GRID_POINT_Y 1 TILEPROP CLBLL_L_X24Y149 INDEX 177 TILEPROP CLBLL_L_X24Y149 INT_TILE_X 23 TILEPROP CLBLL_L_X24Y149 INT_TILE_Y 0 TILEPROP CLBLL_L_X24Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X24Y149 IS_DCM_TILE 0 TILEPROP CLBLL_L_X24Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X24Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X24Y149 NAME CLBLL_L_X24Y149 TILEPROP CLBLL_L_X24Y149 NUM_ARCS 146 TILEPROP CLBLL_L_X24Y149 NUM_SITES 2 TILEPROP CLBLL_L_X24Y149 ROW 1 TILEPROP CLBLL_L_X24Y149 SLR_REGION_ID 0 TILEPROP CLBLL_L_X24Y149 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X24Y149 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X24Y149 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X24Y149 TILE_X 10988 TILEPROP CLBLL_L_X24Y149 TILE_Y 240696 TILEPROP CLBLL_L_X24Y149 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y0 CLASS tile TILEPROP CLBLL_L_X26Y0 COLUMN 67 TILEPROP CLBLL_L_X26Y0 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y0 FIRST_SITE_ID 15760 TILEPROP CLBLL_L_X26Y0 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y0 GRID_POINT_Y 155 TILEPROP CLBLL_L_X26Y0 INDEX 17892 TILEPROP CLBLL_L_X26Y0 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y0 INT_TILE_Y 149 TILEPROP CLBLL_L_X26Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y0 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y0 NAME CLBLL_L_X26Y0 TILEPROP CLBLL_L_X26Y0 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y0 NUM_SITES 2 TILEPROP CLBLL_L_X26Y0 ROW 155 TILEPROP CLBLL_L_X26Y0 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y0 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X26Y0 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y0 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y0 TILE_X 19356 TILEPROP CLBLL_L_X26Y0 TILE_Y -239672 TILEPROP CLBLL_L_X26Y0 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y1 CLASS tile TILEPROP CLBLL_L_X26Y1 COLUMN 67 TILEPROP CLBLL_L_X26Y1 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y1 FIRST_SITE_ID 15650 TILEPROP CLBLL_L_X26Y1 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y1 GRID_POINT_Y 154 TILEPROP CLBLL_L_X26Y1 INDEX 17777 TILEPROP CLBLL_L_X26Y1 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y1 INT_TILE_Y 148 TILEPROP CLBLL_L_X26Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y1 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y1 NAME CLBLL_L_X26Y1 TILEPROP CLBLL_L_X26Y1 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y1 NUM_SITES 2 TILEPROP CLBLL_L_X26Y1 ROW 154 TILEPROP CLBLL_L_X26Y1 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y1 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y1 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y1 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y1 TILE_X 19356 TILEPROP CLBLL_L_X26Y1 TILE_Y -236472 TILEPROP CLBLL_L_X26Y1 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y2 CLASS tile TILEPROP CLBLL_L_X26Y2 COLUMN 67 TILEPROP CLBLL_L_X26Y2 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y2 FIRST_SITE_ID 15549 TILEPROP CLBLL_L_X26Y2 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y2 GRID_POINT_Y 153 TILEPROP CLBLL_L_X26Y2 INDEX 17662 TILEPROP CLBLL_L_X26Y2 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y2 INT_TILE_Y 147 TILEPROP CLBLL_L_X26Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y2 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y2 NAME CLBLL_L_X26Y2 TILEPROP CLBLL_L_X26Y2 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y2 NUM_SITES 2 TILEPROP CLBLL_L_X26Y2 ROW 153 TILEPROP CLBLL_L_X26Y2 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y2 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y2 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y2 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y2 TILE_X 19356 TILEPROP CLBLL_L_X26Y2 TILE_Y -233272 TILEPROP CLBLL_L_X26Y2 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y3 CLASS tile TILEPROP CLBLL_L_X26Y3 COLUMN 67 TILEPROP CLBLL_L_X26Y3 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y3 FIRST_SITE_ID 15449 TILEPROP CLBLL_L_X26Y3 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y3 GRID_POINT_Y 152 TILEPROP CLBLL_L_X26Y3 INDEX 17547 TILEPROP CLBLL_L_X26Y3 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y3 INT_TILE_Y 146 TILEPROP CLBLL_L_X26Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y3 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y3 NAME CLBLL_L_X26Y3 TILEPROP CLBLL_L_X26Y3 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y3 NUM_SITES 2 TILEPROP CLBLL_L_X26Y3 ROW 152 TILEPROP CLBLL_L_X26Y3 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y3 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y3 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y3 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y3 TILE_X 19356 TILEPROP CLBLL_L_X26Y3 TILE_Y -230072 TILEPROP CLBLL_L_X26Y3 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y4 CLASS tile TILEPROP CLBLL_L_X26Y4 COLUMN 67 TILEPROP CLBLL_L_X26Y4 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y4 FIRST_SITE_ID 15349 TILEPROP CLBLL_L_X26Y4 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y4 GRID_POINT_Y 151 TILEPROP CLBLL_L_X26Y4 INDEX 17432 TILEPROP CLBLL_L_X26Y4 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y4 INT_TILE_Y 145 TILEPROP CLBLL_L_X26Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y4 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y4 NAME CLBLL_L_X26Y4 TILEPROP CLBLL_L_X26Y4 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y4 NUM_SITES 2 TILEPROP CLBLL_L_X26Y4 ROW 151 TILEPROP CLBLL_L_X26Y4 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y4 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y4 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y4 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y4 TILE_X 19356 TILEPROP CLBLL_L_X26Y4 TILE_Y -226872 TILEPROP CLBLL_L_X26Y4 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y5 CLASS tile TILEPROP CLBLL_L_X26Y5 COLUMN 67 TILEPROP CLBLL_L_X26Y5 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y5 FIRST_SITE_ID 15240 TILEPROP CLBLL_L_X26Y5 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y5 GRID_POINT_Y 150 TILEPROP CLBLL_L_X26Y5 INDEX 17317 TILEPROP CLBLL_L_X26Y5 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y5 INT_TILE_Y 144 TILEPROP CLBLL_L_X26Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y5 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y5 NAME CLBLL_L_X26Y5 TILEPROP CLBLL_L_X26Y5 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y5 NUM_SITES 2 TILEPROP CLBLL_L_X26Y5 ROW 150 TILEPROP CLBLL_L_X26Y5 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y5 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y5 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y5 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y5 TILE_X 19356 TILEPROP CLBLL_L_X26Y5 TILE_Y -223672 TILEPROP CLBLL_L_X26Y5 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y6 CLASS tile TILEPROP CLBLL_L_X26Y6 COLUMN 67 TILEPROP CLBLL_L_X26Y6 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y6 FIRST_SITE_ID 15134 TILEPROP CLBLL_L_X26Y6 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y6 GRID_POINT_Y 149 TILEPROP CLBLL_L_X26Y6 INDEX 17202 TILEPROP CLBLL_L_X26Y6 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y6 INT_TILE_Y 143 TILEPROP CLBLL_L_X26Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y6 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y6 NAME CLBLL_L_X26Y6 TILEPROP CLBLL_L_X26Y6 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y6 NUM_SITES 2 TILEPROP CLBLL_L_X26Y6 ROW 149 TILEPROP CLBLL_L_X26Y6 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y6 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y6 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y6 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y6 TILE_X 19356 TILEPROP CLBLL_L_X26Y6 TILE_Y -220472 TILEPROP CLBLL_L_X26Y6 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y7 CLASS tile TILEPROP CLBLL_L_X26Y7 COLUMN 67 TILEPROP CLBLL_L_X26Y7 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y7 FIRST_SITE_ID 15032 TILEPROP CLBLL_L_X26Y7 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y7 GRID_POINT_Y 148 TILEPROP CLBLL_L_X26Y7 INDEX 17087 TILEPROP CLBLL_L_X26Y7 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y7 INT_TILE_Y 142 TILEPROP CLBLL_L_X26Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y7 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y7 NAME CLBLL_L_X26Y7 TILEPROP CLBLL_L_X26Y7 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y7 NUM_SITES 2 TILEPROP CLBLL_L_X26Y7 ROW 148 TILEPROP CLBLL_L_X26Y7 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y7 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y7 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y7 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y7 TILE_X 19356 TILEPROP CLBLL_L_X26Y7 TILE_Y -217272 TILEPROP CLBLL_L_X26Y7 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y8 CLASS tile TILEPROP CLBLL_L_X26Y8 COLUMN 67 TILEPROP CLBLL_L_X26Y8 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y8 FIRST_SITE_ID 14929 TILEPROP CLBLL_L_X26Y8 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y8 GRID_POINT_Y 147 TILEPROP CLBLL_L_X26Y8 INDEX 16972 TILEPROP CLBLL_L_X26Y8 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y8 INT_TILE_Y 141 TILEPROP CLBLL_L_X26Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y8 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y8 NAME CLBLL_L_X26Y8 TILEPROP CLBLL_L_X26Y8 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y8 NUM_SITES 2 TILEPROP CLBLL_L_X26Y8 ROW 147 TILEPROP CLBLL_L_X26Y8 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y8 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y8 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y8 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y8 TILE_X 19356 TILEPROP CLBLL_L_X26Y8 TILE_Y -214072 TILEPROP CLBLL_L_X26Y8 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y9 CLASS tile TILEPROP CLBLL_L_X26Y9 COLUMN 67 TILEPROP CLBLL_L_X26Y9 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y9 FIRST_SITE_ID 14828 TILEPROP CLBLL_L_X26Y9 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y9 GRID_POINT_Y 146 TILEPROP CLBLL_L_X26Y9 INDEX 16857 TILEPROP CLBLL_L_X26Y9 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y9 INT_TILE_Y 140 TILEPROP CLBLL_L_X26Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y9 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y9 NAME CLBLL_L_X26Y9 TILEPROP CLBLL_L_X26Y9 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y9 NUM_SITES 2 TILEPROP CLBLL_L_X26Y9 ROW 146 TILEPROP CLBLL_L_X26Y9 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y9 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y9 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y9 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y9 TILE_X 19356 TILEPROP CLBLL_L_X26Y9 TILE_Y -210872 TILEPROP CLBLL_L_X26Y9 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y10 CLASS tile TILEPROP CLBLL_L_X26Y10 COLUMN 67 TILEPROP CLBLL_L_X26Y10 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y10 FIRST_SITE_ID 14719 TILEPROP CLBLL_L_X26Y10 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y10 GRID_POINT_Y 145 TILEPROP CLBLL_L_X26Y10 INDEX 16742 TILEPROP CLBLL_L_X26Y10 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y10 INT_TILE_Y 139 TILEPROP CLBLL_L_X26Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y10 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y10 NAME CLBLL_L_X26Y10 TILEPROP CLBLL_L_X26Y10 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y10 NUM_SITES 2 TILEPROP CLBLL_L_X26Y10 ROW 145 TILEPROP CLBLL_L_X26Y10 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y10 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y10 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y10 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y10 TILE_X 19356 TILEPROP CLBLL_L_X26Y10 TILE_Y -207672 TILEPROP CLBLL_L_X26Y10 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y11 CLASS tile TILEPROP CLBLL_L_X26Y11 COLUMN 67 TILEPROP CLBLL_L_X26Y11 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y11 FIRST_SITE_ID 14613 TILEPROP CLBLL_L_X26Y11 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y11 GRID_POINT_Y 144 TILEPROP CLBLL_L_X26Y11 INDEX 16627 TILEPROP CLBLL_L_X26Y11 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y11 INT_TILE_Y 138 TILEPROP CLBLL_L_X26Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y11 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y11 NAME CLBLL_L_X26Y11 TILEPROP CLBLL_L_X26Y11 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y11 NUM_SITES 2 TILEPROP CLBLL_L_X26Y11 ROW 144 TILEPROP CLBLL_L_X26Y11 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y11 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y11 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y11 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y11 TILE_X 19356 TILEPROP CLBLL_L_X26Y11 TILE_Y -204472 TILEPROP CLBLL_L_X26Y11 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y12 CLASS tile TILEPROP CLBLL_L_X26Y12 COLUMN 67 TILEPROP CLBLL_L_X26Y12 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y12 FIRST_SITE_ID 14513 TILEPROP CLBLL_L_X26Y12 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y12 GRID_POINT_Y 143 TILEPROP CLBLL_L_X26Y12 INDEX 16512 TILEPROP CLBLL_L_X26Y12 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y12 INT_TILE_Y 137 TILEPROP CLBLL_L_X26Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y12 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y12 NAME CLBLL_L_X26Y12 TILEPROP CLBLL_L_X26Y12 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y12 NUM_SITES 2 TILEPROP CLBLL_L_X26Y12 ROW 143 TILEPROP CLBLL_L_X26Y12 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y12 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y12 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y12 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y12 TILE_X 19356 TILEPROP CLBLL_L_X26Y12 TILE_Y -201272 TILEPROP CLBLL_L_X26Y12 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y13 CLASS tile TILEPROP CLBLL_L_X26Y13 COLUMN 67 TILEPROP CLBLL_L_X26Y13 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y13 FIRST_SITE_ID 14381 TILEPROP CLBLL_L_X26Y13 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y13 GRID_POINT_Y 142 TILEPROP CLBLL_L_X26Y13 INDEX 16397 TILEPROP CLBLL_L_X26Y13 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y13 INT_TILE_Y 136 TILEPROP CLBLL_L_X26Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y13 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y13 NAME CLBLL_L_X26Y13 TILEPROP CLBLL_L_X26Y13 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y13 NUM_SITES 2 TILEPROP CLBLL_L_X26Y13 ROW 142 TILEPROP CLBLL_L_X26Y13 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y13 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y13 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y13 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y13 TILE_X 19356 TILEPROP CLBLL_L_X26Y13 TILE_Y -198072 TILEPROP CLBLL_L_X26Y13 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y14 CLASS tile TILEPROP CLBLL_L_X26Y14 COLUMN 67 TILEPROP CLBLL_L_X26Y14 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y14 FIRST_SITE_ID 14281 TILEPROP CLBLL_L_X26Y14 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y14 GRID_POINT_Y 141 TILEPROP CLBLL_L_X26Y14 INDEX 16282 TILEPROP CLBLL_L_X26Y14 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y14 INT_TILE_Y 135 TILEPROP CLBLL_L_X26Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y14 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y14 NAME CLBLL_L_X26Y14 TILEPROP CLBLL_L_X26Y14 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y14 NUM_SITES 2 TILEPROP CLBLL_L_X26Y14 ROW 141 TILEPROP CLBLL_L_X26Y14 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y14 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y14 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y14 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y14 TILE_X 19356 TILEPROP CLBLL_L_X26Y14 TILE_Y -194872 TILEPROP CLBLL_L_X26Y14 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y15 CLASS tile TILEPROP CLBLL_L_X26Y15 COLUMN 67 TILEPROP CLBLL_L_X26Y15 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y15 FIRST_SITE_ID 14172 TILEPROP CLBLL_L_X26Y15 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y15 GRID_POINT_Y 140 TILEPROP CLBLL_L_X26Y15 INDEX 16167 TILEPROP CLBLL_L_X26Y15 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y15 INT_TILE_Y 134 TILEPROP CLBLL_L_X26Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y15 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y15 NAME CLBLL_L_X26Y15 TILEPROP CLBLL_L_X26Y15 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y15 NUM_SITES 2 TILEPROP CLBLL_L_X26Y15 ROW 140 TILEPROP CLBLL_L_X26Y15 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y15 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y15 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y15 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y15 TILE_X 19356 TILEPROP CLBLL_L_X26Y15 TILE_Y -191672 TILEPROP CLBLL_L_X26Y15 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y16 CLASS tile TILEPROP CLBLL_L_X26Y16 COLUMN 67 TILEPROP CLBLL_L_X26Y16 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y16 FIRST_SITE_ID 14066 TILEPROP CLBLL_L_X26Y16 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y16 GRID_POINT_Y 139 TILEPROP CLBLL_L_X26Y16 INDEX 16052 TILEPROP CLBLL_L_X26Y16 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y16 INT_TILE_Y 133 TILEPROP CLBLL_L_X26Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y16 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y16 NAME CLBLL_L_X26Y16 TILEPROP CLBLL_L_X26Y16 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y16 NUM_SITES 2 TILEPROP CLBLL_L_X26Y16 ROW 139 TILEPROP CLBLL_L_X26Y16 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y16 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y16 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y16 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y16 TILE_X 19356 TILEPROP CLBLL_L_X26Y16 TILE_Y -188472 TILEPROP CLBLL_L_X26Y16 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y17 CLASS tile TILEPROP CLBLL_L_X26Y17 COLUMN 67 TILEPROP CLBLL_L_X26Y17 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y17 FIRST_SITE_ID 13962 TILEPROP CLBLL_L_X26Y17 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y17 GRID_POINT_Y 138 TILEPROP CLBLL_L_X26Y17 INDEX 15937 TILEPROP CLBLL_L_X26Y17 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y17 INT_TILE_Y 132 TILEPROP CLBLL_L_X26Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y17 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y17 NAME CLBLL_L_X26Y17 TILEPROP CLBLL_L_X26Y17 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y17 NUM_SITES 2 TILEPROP CLBLL_L_X26Y17 ROW 138 TILEPROP CLBLL_L_X26Y17 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y17 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y17 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y17 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y17 TILE_X 19356 TILEPROP CLBLL_L_X26Y17 TILE_Y -185272 TILEPROP CLBLL_L_X26Y17 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y18 CLASS tile TILEPROP CLBLL_L_X26Y18 COLUMN 67 TILEPROP CLBLL_L_X26Y18 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y18 FIRST_SITE_ID 13857 TILEPROP CLBLL_L_X26Y18 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y18 GRID_POINT_Y 137 TILEPROP CLBLL_L_X26Y18 INDEX 15822 TILEPROP CLBLL_L_X26Y18 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y18 INT_TILE_Y 131 TILEPROP CLBLL_L_X26Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y18 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y18 NAME CLBLL_L_X26Y18 TILEPROP CLBLL_L_X26Y18 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y18 NUM_SITES 2 TILEPROP CLBLL_L_X26Y18 ROW 137 TILEPROP CLBLL_L_X26Y18 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y18 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y18 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y18 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y18 TILE_X 19356 TILEPROP CLBLL_L_X26Y18 TILE_Y -182072 TILEPROP CLBLL_L_X26Y18 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y19 CLASS tile TILEPROP CLBLL_L_X26Y19 COLUMN 67 TILEPROP CLBLL_L_X26Y19 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y19 FIRST_SITE_ID 13755 TILEPROP CLBLL_L_X26Y19 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y19 GRID_POINT_Y 136 TILEPROP CLBLL_L_X26Y19 INDEX 15707 TILEPROP CLBLL_L_X26Y19 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y19 INT_TILE_Y 130 TILEPROP CLBLL_L_X26Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y19 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y19 NAME CLBLL_L_X26Y19 TILEPROP CLBLL_L_X26Y19 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y19 NUM_SITES 2 TILEPROP CLBLL_L_X26Y19 ROW 136 TILEPROP CLBLL_L_X26Y19 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y19 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y19 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y19 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y19 TILE_X 19356 TILEPROP CLBLL_L_X26Y19 TILE_Y -178872 TILEPROP CLBLL_L_X26Y19 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y20 CLASS tile TILEPROP CLBLL_L_X26Y20 COLUMN 67 TILEPROP CLBLL_L_X26Y20 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y20 FIRST_SITE_ID 13644 TILEPROP CLBLL_L_X26Y20 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y20 GRID_POINT_Y 135 TILEPROP CLBLL_L_X26Y20 INDEX 15592 TILEPROP CLBLL_L_X26Y20 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y20 INT_TILE_Y 129 TILEPROP CLBLL_L_X26Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y20 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y20 NAME CLBLL_L_X26Y20 TILEPROP CLBLL_L_X26Y20 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y20 NUM_SITES 2 TILEPROP CLBLL_L_X26Y20 ROW 135 TILEPROP CLBLL_L_X26Y20 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y20 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y20 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y20 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y20 TILE_X 19356 TILEPROP CLBLL_L_X26Y20 TILE_Y -175672 TILEPROP CLBLL_L_X26Y20 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y21 CLASS tile TILEPROP CLBLL_L_X26Y21 COLUMN 67 TILEPROP CLBLL_L_X26Y21 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y21 FIRST_SITE_ID 13538 TILEPROP CLBLL_L_X26Y21 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y21 GRID_POINT_Y 134 TILEPROP CLBLL_L_X26Y21 INDEX 15477 TILEPROP CLBLL_L_X26Y21 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y21 INT_TILE_Y 128 TILEPROP CLBLL_L_X26Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y21 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y21 NAME CLBLL_L_X26Y21 TILEPROP CLBLL_L_X26Y21 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y21 NUM_SITES 2 TILEPROP CLBLL_L_X26Y21 ROW 134 TILEPROP CLBLL_L_X26Y21 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y21 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y21 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y21 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y21 TILE_X 19356 TILEPROP CLBLL_L_X26Y21 TILE_Y -172472 TILEPROP CLBLL_L_X26Y21 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y22 CLASS tile TILEPROP CLBLL_L_X26Y22 COLUMN 67 TILEPROP CLBLL_L_X26Y22 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y22 FIRST_SITE_ID 13438 TILEPROP CLBLL_L_X26Y22 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y22 GRID_POINT_Y 133 TILEPROP CLBLL_L_X26Y22 INDEX 15362 TILEPROP CLBLL_L_X26Y22 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y22 INT_TILE_Y 127 TILEPROP CLBLL_L_X26Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y22 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y22 NAME CLBLL_L_X26Y22 TILEPROP CLBLL_L_X26Y22 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y22 NUM_SITES 2 TILEPROP CLBLL_L_X26Y22 ROW 133 TILEPROP CLBLL_L_X26Y22 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y22 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y22 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y22 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y22 TILE_X 19356 TILEPROP CLBLL_L_X26Y22 TILE_Y -169272 TILEPROP CLBLL_L_X26Y22 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y23 CLASS tile TILEPROP CLBLL_L_X26Y23 COLUMN 67 TILEPROP CLBLL_L_X26Y23 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y23 FIRST_SITE_ID 13338 TILEPROP CLBLL_L_X26Y23 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y23 GRID_POINT_Y 132 TILEPROP CLBLL_L_X26Y23 INDEX 15247 TILEPROP CLBLL_L_X26Y23 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y23 INT_TILE_Y 126 TILEPROP CLBLL_L_X26Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y23 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y23 NAME CLBLL_L_X26Y23 TILEPROP CLBLL_L_X26Y23 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y23 NUM_SITES 2 TILEPROP CLBLL_L_X26Y23 ROW 132 TILEPROP CLBLL_L_X26Y23 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y23 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y23 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y23 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y23 TILE_X 19356 TILEPROP CLBLL_L_X26Y23 TILE_Y -166072 TILEPROP CLBLL_L_X26Y23 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y24 CLASS tile TILEPROP CLBLL_L_X26Y24 COLUMN 67 TILEPROP CLBLL_L_X26Y24 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y24 FIRST_SITE_ID 13238 TILEPROP CLBLL_L_X26Y24 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y24 GRID_POINT_Y 131 TILEPROP CLBLL_L_X26Y24 INDEX 15132 TILEPROP CLBLL_L_X26Y24 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y24 INT_TILE_Y 125 TILEPROP CLBLL_L_X26Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y24 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y24 NAME CLBLL_L_X26Y24 TILEPROP CLBLL_L_X26Y24 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y24 NUM_SITES 2 TILEPROP CLBLL_L_X26Y24 ROW 131 TILEPROP CLBLL_L_X26Y24 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y24 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X26Y24 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y24 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y24 TILE_X 19356 TILEPROP CLBLL_L_X26Y24 TILE_Y -162872 TILEPROP CLBLL_L_X26Y24 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y25 CLASS tile TILEPROP CLBLL_L_X26Y25 COLUMN 67 TILEPROP CLBLL_L_X26Y25 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y25 FIRST_SITE_ID 13046 TILEPROP CLBLL_L_X26Y25 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y25 GRID_POINT_Y 129 TILEPROP CLBLL_L_X26Y25 INDEX 14902 TILEPROP CLBLL_L_X26Y25 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y25 INT_TILE_Y 124 TILEPROP CLBLL_L_X26Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y25 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y25 NAME CLBLL_L_X26Y25 TILEPROP CLBLL_L_X26Y25 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y25 NUM_SITES 2 TILEPROP CLBLL_L_X26Y25 ROW 129 TILEPROP CLBLL_L_X26Y25 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y25 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X26Y25 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y25 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y25 TILE_X 19356 TILEPROP CLBLL_L_X26Y25 TILE_Y -158648 TILEPROP CLBLL_L_X26Y25 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y26 CLASS tile TILEPROP CLBLL_L_X26Y26 COLUMN 67 TILEPROP CLBLL_L_X26Y26 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y26 FIRST_SITE_ID 12940 TILEPROP CLBLL_L_X26Y26 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y26 GRID_POINT_Y 128 TILEPROP CLBLL_L_X26Y26 INDEX 14787 TILEPROP CLBLL_L_X26Y26 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y26 INT_TILE_Y 123 TILEPROP CLBLL_L_X26Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y26 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y26 NAME CLBLL_L_X26Y26 TILEPROP CLBLL_L_X26Y26 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y26 NUM_SITES 2 TILEPROP CLBLL_L_X26Y26 ROW 128 TILEPROP CLBLL_L_X26Y26 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y26 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y26 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y26 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y26 TILE_X 19356 TILEPROP CLBLL_L_X26Y26 TILE_Y -155448 TILEPROP CLBLL_L_X26Y26 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y27 CLASS tile TILEPROP CLBLL_L_X26Y27 COLUMN 67 TILEPROP CLBLL_L_X26Y27 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y27 FIRST_SITE_ID 12840 TILEPROP CLBLL_L_X26Y27 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y27 GRID_POINT_Y 127 TILEPROP CLBLL_L_X26Y27 INDEX 14672 TILEPROP CLBLL_L_X26Y27 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y27 INT_TILE_Y 122 TILEPROP CLBLL_L_X26Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y27 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y27 NAME CLBLL_L_X26Y27 TILEPROP CLBLL_L_X26Y27 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y27 NUM_SITES 2 TILEPROP CLBLL_L_X26Y27 ROW 127 TILEPROP CLBLL_L_X26Y27 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y27 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y27 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y27 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y27 TILE_X 19356 TILEPROP CLBLL_L_X26Y27 TILE_Y -152248 TILEPROP CLBLL_L_X26Y27 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y28 CLASS tile TILEPROP CLBLL_L_X26Y28 COLUMN 67 TILEPROP CLBLL_L_X26Y28 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y28 FIRST_SITE_ID 12740 TILEPROP CLBLL_L_X26Y28 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y28 GRID_POINT_Y 126 TILEPROP CLBLL_L_X26Y28 INDEX 14557 TILEPROP CLBLL_L_X26Y28 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y28 INT_TILE_Y 121 TILEPROP CLBLL_L_X26Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y28 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y28 NAME CLBLL_L_X26Y28 TILEPROP CLBLL_L_X26Y28 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y28 NUM_SITES 2 TILEPROP CLBLL_L_X26Y28 ROW 126 TILEPROP CLBLL_L_X26Y28 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y28 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y28 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y28 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y28 TILE_X 19356 TILEPROP CLBLL_L_X26Y28 TILE_Y -149048 TILEPROP CLBLL_L_X26Y28 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y29 CLASS tile TILEPROP CLBLL_L_X26Y29 COLUMN 67 TILEPROP CLBLL_L_X26Y29 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y29 FIRST_SITE_ID 12634 TILEPROP CLBLL_L_X26Y29 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y29 GRID_POINT_Y 125 TILEPROP CLBLL_L_X26Y29 INDEX 14442 TILEPROP CLBLL_L_X26Y29 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y29 INT_TILE_Y 120 TILEPROP CLBLL_L_X26Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y29 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y29 NAME CLBLL_L_X26Y29 TILEPROP CLBLL_L_X26Y29 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y29 NUM_SITES 2 TILEPROP CLBLL_L_X26Y29 ROW 125 TILEPROP CLBLL_L_X26Y29 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y29 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y29 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y29 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y29 TILE_X 19356 TILEPROP CLBLL_L_X26Y29 TILE_Y -145848 TILEPROP CLBLL_L_X26Y29 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y30 CLASS tile TILEPROP CLBLL_L_X26Y30 COLUMN 67 TILEPROP CLBLL_L_X26Y30 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y30 FIRST_SITE_ID 12519 TILEPROP CLBLL_L_X26Y30 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y30 GRID_POINT_Y 124 TILEPROP CLBLL_L_X26Y30 INDEX 14327 TILEPROP CLBLL_L_X26Y30 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y30 INT_TILE_Y 119 TILEPROP CLBLL_L_X26Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y30 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y30 NAME CLBLL_L_X26Y30 TILEPROP CLBLL_L_X26Y30 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y30 NUM_SITES 2 TILEPROP CLBLL_L_X26Y30 ROW 124 TILEPROP CLBLL_L_X26Y30 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y30 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y30 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y30 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y30 TILE_X 19356 TILEPROP CLBLL_L_X26Y30 TILE_Y -142648 TILEPROP CLBLL_L_X26Y30 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y31 CLASS tile TILEPROP CLBLL_L_X26Y31 COLUMN 67 TILEPROP CLBLL_L_X26Y31 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y31 FIRST_SITE_ID 12398 TILEPROP CLBLL_L_X26Y31 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y31 GRID_POINT_Y 123 TILEPROP CLBLL_L_X26Y31 INDEX 14212 TILEPROP CLBLL_L_X26Y31 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y31 INT_TILE_Y 118 TILEPROP CLBLL_L_X26Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y31 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y31 NAME CLBLL_L_X26Y31 TILEPROP CLBLL_L_X26Y31 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y31 NUM_SITES 2 TILEPROP CLBLL_L_X26Y31 ROW 123 TILEPROP CLBLL_L_X26Y31 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y31 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y31 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y31 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y31 TILE_X 19356 TILEPROP CLBLL_L_X26Y31 TILE_Y -139448 TILEPROP CLBLL_L_X26Y31 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y32 CLASS tile TILEPROP CLBLL_L_X26Y32 COLUMN 67 TILEPROP CLBLL_L_X26Y32 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y32 FIRST_SITE_ID 12296 TILEPROP CLBLL_L_X26Y32 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y32 GRID_POINT_Y 122 TILEPROP CLBLL_L_X26Y32 INDEX 14097 TILEPROP CLBLL_L_X26Y32 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y32 INT_TILE_Y 117 TILEPROP CLBLL_L_X26Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y32 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y32 NAME CLBLL_L_X26Y32 TILEPROP CLBLL_L_X26Y32 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y32 NUM_SITES 2 TILEPROP CLBLL_L_X26Y32 ROW 122 TILEPROP CLBLL_L_X26Y32 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y32 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y32 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y32 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y32 TILE_X 19356 TILEPROP CLBLL_L_X26Y32 TILE_Y -136248 TILEPROP CLBLL_L_X26Y32 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y33 CLASS tile TILEPROP CLBLL_L_X26Y33 COLUMN 67 TILEPROP CLBLL_L_X26Y33 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y33 FIRST_SITE_ID 12195 TILEPROP CLBLL_L_X26Y33 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y33 GRID_POINT_Y 121 TILEPROP CLBLL_L_X26Y33 INDEX 13982 TILEPROP CLBLL_L_X26Y33 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y33 INT_TILE_Y 116 TILEPROP CLBLL_L_X26Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y33 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y33 NAME CLBLL_L_X26Y33 TILEPROP CLBLL_L_X26Y33 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y33 NUM_SITES 2 TILEPROP CLBLL_L_X26Y33 ROW 121 TILEPROP CLBLL_L_X26Y33 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y33 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y33 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y33 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y33 TILE_X 19356 TILEPROP CLBLL_L_X26Y33 TILE_Y -133048 TILEPROP CLBLL_L_X26Y33 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y34 CLASS tile TILEPROP CLBLL_L_X26Y34 COLUMN 67 TILEPROP CLBLL_L_X26Y34 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y34 FIRST_SITE_ID 12095 TILEPROP CLBLL_L_X26Y34 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y34 GRID_POINT_Y 120 TILEPROP CLBLL_L_X26Y34 INDEX 13867 TILEPROP CLBLL_L_X26Y34 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y34 INT_TILE_Y 115 TILEPROP CLBLL_L_X26Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y34 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y34 NAME CLBLL_L_X26Y34 TILEPROP CLBLL_L_X26Y34 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y34 NUM_SITES 2 TILEPROP CLBLL_L_X26Y34 ROW 120 TILEPROP CLBLL_L_X26Y34 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y34 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y34 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y34 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y34 TILE_X 19356 TILEPROP CLBLL_L_X26Y34 TILE_Y -129848 TILEPROP CLBLL_L_X26Y34 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y35 CLASS tile TILEPROP CLBLL_L_X26Y35 COLUMN 67 TILEPROP CLBLL_L_X26Y35 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y35 FIRST_SITE_ID 11986 TILEPROP CLBLL_L_X26Y35 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y35 GRID_POINT_Y 119 TILEPROP CLBLL_L_X26Y35 INDEX 13752 TILEPROP CLBLL_L_X26Y35 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y35 INT_TILE_Y 114 TILEPROP CLBLL_L_X26Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y35 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y35 NAME CLBLL_L_X26Y35 TILEPROP CLBLL_L_X26Y35 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y35 NUM_SITES 2 TILEPROP CLBLL_L_X26Y35 ROW 119 TILEPROP CLBLL_L_X26Y35 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y35 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y35 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y35 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y35 TILE_X 19356 TILEPROP CLBLL_L_X26Y35 TILE_Y -126648 TILEPROP CLBLL_L_X26Y35 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y36 CLASS tile TILEPROP CLBLL_L_X26Y36 COLUMN 67 TILEPROP CLBLL_L_X26Y36 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y36 FIRST_SITE_ID 11880 TILEPROP CLBLL_L_X26Y36 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y36 GRID_POINT_Y 118 TILEPROP CLBLL_L_X26Y36 INDEX 13637 TILEPROP CLBLL_L_X26Y36 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y36 INT_TILE_Y 113 TILEPROP CLBLL_L_X26Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y36 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y36 NAME CLBLL_L_X26Y36 TILEPROP CLBLL_L_X26Y36 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y36 NUM_SITES 2 TILEPROP CLBLL_L_X26Y36 ROW 118 TILEPROP CLBLL_L_X26Y36 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y36 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y36 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y36 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y36 TILE_X 19356 TILEPROP CLBLL_L_X26Y36 TILE_Y -123448 TILEPROP CLBLL_L_X26Y36 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y37 CLASS tile TILEPROP CLBLL_L_X26Y37 COLUMN 67 TILEPROP CLBLL_L_X26Y37 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y37 FIRST_SITE_ID 11748 TILEPROP CLBLL_L_X26Y37 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y37 GRID_POINT_Y 117 TILEPROP CLBLL_L_X26Y37 INDEX 13522 TILEPROP CLBLL_L_X26Y37 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y37 INT_TILE_Y 112 TILEPROP CLBLL_L_X26Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y37 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y37 NAME CLBLL_L_X26Y37 TILEPROP CLBLL_L_X26Y37 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y37 NUM_SITES 2 TILEPROP CLBLL_L_X26Y37 ROW 117 TILEPROP CLBLL_L_X26Y37 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y37 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y37 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y37 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y37 TILE_X 19356 TILEPROP CLBLL_L_X26Y37 TILE_Y -120248 TILEPROP CLBLL_L_X26Y37 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y38 CLASS tile TILEPROP CLBLL_L_X26Y38 COLUMN 67 TILEPROP CLBLL_L_X26Y38 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y38 FIRST_SITE_ID 11648 TILEPROP CLBLL_L_X26Y38 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y38 GRID_POINT_Y 116 TILEPROP CLBLL_L_X26Y38 INDEX 13407 TILEPROP CLBLL_L_X26Y38 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y38 INT_TILE_Y 111 TILEPROP CLBLL_L_X26Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y38 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y38 NAME CLBLL_L_X26Y38 TILEPROP CLBLL_L_X26Y38 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y38 NUM_SITES 2 TILEPROP CLBLL_L_X26Y38 ROW 116 TILEPROP CLBLL_L_X26Y38 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y38 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y38 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y38 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y38 TILE_X 19356 TILEPROP CLBLL_L_X26Y38 TILE_Y -117048 TILEPROP CLBLL_L_X26Y38 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y39 CLASS tile TILEPROP CLBLL_L_X26Y39 COLUMN 67 TILEPROP CLBLL_L_X26Y39 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y39 FIRST_SITE_ID 11548 TILEPROP CLBLL_L_X26Y39 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y39 GRID_POINT_Y 115 TILEPROP CLBLL_L_X26Y39 INDEX 13292 TILEPROP CLBLL_L_X26Y39 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y39 INT_TILE_Y 110 TILEPROP CLBLL_L_X26Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y39 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y39 NAME CLBLL_L_X26Y39 TILEPROP CLBLL_L_X26Y39 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y39 NUM_SITES 2 TILEPROP CLBLL_L_X26Y39 ROW 115 TILEPROP CLBLL_L_X26Y39 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y39 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y39 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y39 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y39 TILE_X 19356 TILEPROP CLBLL_L_X26Y39 TILE_Y -113848 TILEPROP CLBLL_L_X26Y39 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y40 CLASS tile TILEPROP CLBLL_L_X26Y40 COLUMN 67 TILEPROP CLBLL_L_X26Y40 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y40 FIRST_SITE_ID 11439 TILEPROP CLBLL_L_X26Y40 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y40 GRID_POINT_Y 114 TILEPROP CLBLL_L_X26Y40 INDEX 13177 TILEPROP CLBLL_L_X26Y40 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y40 INT_TILE_Y 109 TILEPROP CLBLL_L_X26Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y40 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y40 NAME CLBLL_L_X26Y40 TILEPROP CLBLL_L_X26Y40 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y40 NUM_SITES 2 TILEPROP CLBLL_L_X26Y40 ROW 114 TILEPROP CLBLL_L_X26Y40 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y40 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y40 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y40 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y40 TILE_X 19356 TILEPROP CLBLL_L_X26Y40 TILE_Y -110648 TILEPROP CLBLL_L_X26Y40 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y41 CLASS tile TILEPROP CLBLL_L_X26Y41 COLUMN 67 TILEPROP CLBLL_L_X26Y41 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y41 FIRST_SITE_ID 11331 TILEPROP CLBLL_L_X26Y41 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y41 GRID_POINT_Y 113 TILEPROP CLBLL_L_X26Y41 INDEX 13062 TILEPROP CLBLL_L_X26Y41 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y41 INT_TILE_Y 108 TILEPROP CLBLL_L_X26Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y41 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y41 NAME CLBLL_L_X26Y41 TILEPROP CLBLL_L_X26Y41 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y41 NUM_SITES 2 TILEPROP CLBLL_L_X26Y41 ROW 113 TILEPROP CLBLL_L_X26Y41 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y41 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y41 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y41 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y41 TILE_X 19356 TILEPROP CLBLL_L_X26Y41 TILE_Y -107448 TILEPROP CLBLL_L_X26Y41 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y42 CLASS tile TILEPROP CLBLL_L_X26Y42 COLUMN 67 TILEPROP CLBLL_L_X26Y42 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y42 FIRST_SITE_ID 11229 TILEPROP CLBLL_L_X26Y42 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y42 GRID_POINT_Y 112 TILEPROP CLBLL_L_X26Y42 INDEX 12947 TILEPROP CLBLL_L_X26Y42 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y42 INT_TILE_Y 107 TILEPROP CLBLL_L_X26Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y42 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y42 NAME CLBLL_L_X26Y42 TILEPROP CLBLL_L_X26Y42 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y42 NUM_SITES 2 TILEPROP CLBLL_L_X26Y42 ROW 112 TILEPROP CLBLL_L_X26Y42 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y42 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y42 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y42 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y42 TILE_X 19356 TILEPROP CLBLL_L_X26Y42 TILE_Y -104248 TILEPROP CLBLL_L_X26Y42 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y43 CLASS tile TILEPROP CLBLL_L_X26Y43 COLUMN 67 TILEPROP CLBLL_L_X26Y43 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y43 FIRST_SITE_ID 11126 TILEPROP CLBLL_L_X26Y43 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y43 GRID_POINT_Y 111 TILEPROP CLBLL_L_X26Y43 INDEX 12832 TILEPROP CLBLL_L_X26Y43 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y43 INT_TILE_Y 106 TILEPROP CLBLL_L_X26Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y43 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y43 NAME CLBLL_L_X26Y43 TILEPROP CLBLL_L_X26Y43 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y43 NUM_SITES 2 TILEPROP CLBLL_L_X26Y43 ROW 111 TILEPROP CLBLL_L_X26Y43 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y43 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y43 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y43 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y43 TILE_X 19356 TILEPROP CLBLL_L_X26Y43 TILE_Y -101048 TILEPROP CLBLL_L_X26Y43 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y44 CLASS tile TILEPROP CLBLL_L_X26Y44 COLUMN 67 TILEPROP CLBLL_L_X26Y44 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y44 FIRST_SITE_ID 11024 TILEPROP CLBLL_L_X26Y44 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y44 GRID_POINT_Y 110 TILEPROP CLBLL_L_X26Y44 INDEX 12717 TILEPROP CLBLL_L_X26Y44 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y44 INT_TILE_Y 105 TILEPROP CLBLL_L_X26Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y44 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y44 NAME CLBLL_L_X26Y44 TILEPROP CLBLL_L_X26Y44 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y44 NUM_SITES 2 TILEPROP CLBLL_L_X26Y44 ROW 110 TILEPROP CLBLL_L_X26Y44 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y44 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y44 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y44 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y44 TILE_X 19356 TILEPROP CLBLL_L_X26Y44 TILE_Y -97848 TILEPROP CLBLL_L_X26Y44 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y45 CLASS tile TILEPROP CLBLL_L_X26Y45 COLUMN 67 TILEPROP CLBLL_L_X26Y45 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y45 FIRST_SITE_ID 10915 TILEPROP CLBLL_L_X26Y45 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y45 GRID_POINT_Y 109 TILEPROP CLBLL_L_X26Y45 INDEX 12602 TILEPROP CLBLL_L_X26Y45 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y45 INT_TILE_Y 104 TILEPROP CLBLL_L_X26Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y45 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y45 NAME CLBLL_L_X26Y45 TILEPROP CLBLL_L_X26Y45 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y45 NUM_SITES 2 TILEPROP CLBLL_L_X26Y45 ROW 109 TILEPROP CLBLL_L_X26Y45 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y45 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y45 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y45 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y45 TILE_X 19356 TILEPROP CLBLL_L_X26Y45 TILE_Y -94648 TILEPROP CLBLL_L_X26Y45 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y46 CLASS tile TILEPROP CLBLL_L_X26Y46 COLUMN 67 TILEPROP CLBLL_L_X26Y46 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y46 FIRST_SITE_ID 10808 TILEPROP CLBLL_L_X26Y46 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y46 GRID_POINT_Y 108 TILEPROP CLBLL_L_X26Y46 INDEX 12487 TILEPROP CLBLL_L_X26Y46 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y46 INT_TILE_Y 103 TILEPROP CLBLL_L_X26Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y46 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y46 NAME CLBLL_L_X26Y46 TILEPROP CLBLL_L_X26Y46 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y46 NUM_SITES 2 TILEPROP CLBLL_L_X26Y46 ROW 108 TILEPROP CLBLL_L_X26Y46 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y46 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y46 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y46 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y46 TILE_X 19356 TILEPROP CLBLL_L_X26Y46 TILE_Y -91448 TILEPROP CLBLL_L_X26Y46 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y47 CLASS tile TILEPROP CLBLL_L_X26Y47 COLUMN 67 TILEPROP CLBLL_L_X26Y47 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y47 FIRST_SITE_ID 10692 TILEPROP CLBLL_L_X26Y47 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y47 GRID_POINT_Y 107 TILEPROP CLBLL_L_X26Y47 INDEX 12372 TILEPROP CLBLL_L_X26Y47 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y47 INT_TILE_Y 102 TILEPROP CLBLL_L_X26Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y47 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y47 NAME CLBLL_L_X26Y47 TILEPROP CLBLL_L_X26Y47 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y47 NUM_SITES 2 TILEPROP CLBLL_L_X26Y47 ROW 107 TILEPROP CLBLL_L_X26Y47 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y47 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y47 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y47 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y47 TILE_X 19356 TILEPROP CLBLL_L_X26Y47 TILE_Y -88248 TILEPROP CLBLL_L_X26Y47 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y48 CLASS tile TILEPROP CLBLL_L_X26Y48 COLUMN 67 TILEPROP CLBLL_L_X26Y48 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y48 FIRST_SITE_ID 10592 TILEPROP CLBLL_L_X26Y48 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y48 GRID_POINT_Y 106 TILEPROP CLBLL_L_X26Y48 INDEX 12257 TILEPROP CLBLL_L_X26Y48 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y48 INT_TILE_Y 101 TILEPROP CLBLL_L_X26Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y48 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y48 NAME CLBLL_L_X26Y48 TILEPROP CLBLL_L_X26Y48 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y48 NUM_SITES 2 TILEPROP CLBLL_L_X26Y48 ROW 106 TILEPROP CLBLL_L_X26Y48 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y48 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y48 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y48 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y48 TILE_X 19356 TILEPROP CLBLL_L_X26Y48 TILE_Y -85048 TILEPROP CLBLL_L_X26Y48 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y49 CLASS tile TILEPROP CLBLL_L_X26Y49 COLUMN 67 TILEPROP CLBLL_L_X26Y49 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y49 FIRST_SITE_ID 10496 TILEPROP CLBLL_L_X26Y49 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y49 GRID_POINT_Y 105 TILEPROP CLBLL_L_X26Y49 INDEX 12142 TILEPROP CLBLL_L_X26Y49 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y49 INT_TILE_Y 100 TILEPROP CLBLL_L_X26Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y49 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y49 NAME CLBLL_L_X26Y49 TILEPROP CLBLL_L_X26Y49 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y49 NUM_SITES 2 TILEPROP CLBLL_L_X26Y49 ROW 105 TILEPROP CLBLL_L_X26Y49 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y49 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X26Y49 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y49 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y49 TILE_X 19356 TILEPROP CLBLL_L_X26Y49 TILE_Y -81848 TILEPROP CLBLL_L_X26Y49 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y50 CLASS tile TILEPROP CLBLL_L_X26Y50 COLUMN 67 TILEPROP CLBLL_L_X26Y50 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y50 FIRST_SITE_ID 10387 TILEPROP CLBLL_L_X26Y50 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y50 GRID_POINT_Y 103 TILEPROP CLBLL_L_X26Y50 INDEX 11912 TILEPROP CLBLL_L_X26Y50 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y50 INT_TILE_Y 99 TILEPROP CLBLL_L_X26Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y50 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y50 NAME CLBLL_L_X26Y50 TILEPROP CLBLL_L_X26Y50 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y50 NUM_SITES 2 TILEPROP CLBLL_L_X26Y50 ROW 103 TILEPROP CLBLL_L_X26Y50 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y50 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X26Y50 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y50 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y50 TILE_X 19356 TILEPROP CLBLL_L_X26Y50 TILE_Y -78400 TILEPROP CLBLL_L_X26Y50 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y51 CLASS tile TILEPROP CLBLL_L_X26Y51 COLUMN 67 TILEPROP CLBLL_L_X26Y51 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y51 FIRST_SITE_ID 10261 TILEPROP CLBLL_L_X26Y51 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y51 GRID_POINT_Y 102 TILEPROP CLBLL_L_X26Y51 INDEX 11797 TILEPROP CLBLL_L_X26Y51 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y51 INT_TILE_Y 98 TILEPROP CLBLL_L_X26Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y51 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y51 NAME CLBLL_L_X26Y51 TILEPROP CLBLL_L_X26Y51 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y51 NUM_SITES 2 TILEPROP CLBLL_L_X26Y51 ROW 102 TILEPROP CLBLL_L_X26Y51 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y51 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y51 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y51 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y51 TILE_X 19356 TILEPROP CLBLL_L_X26Y51 TILE_Y -75200 TILEPROP CLBLL_L_X26Y51 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y52 CLASS tile TILEPROP CLBLL_L_X26Y52 COLUMN 67 TILEPROP CLBLL_L_X26Y52 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y52 FIRST_SITE_ID 10161 TILEPROP CLBLL_L_X26Y52 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y52 GRID_POINT_Y 101 TILEPROP CLBLL_L_X26Y52 INDEX 11682 TILEPROP CLBLL_L_X26Y52 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y52 INT_TILE_Y 97 TILEPROP CLBLL_L_X26Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y52 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y52 NAME CLBLL_L_X26Y52 TILEPROP CLBLL_L_X26Y52 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y52 NUM_SITES 2 TILEPROP CLBLL_L_X26Y52 ROW 101 TILEPROP CLBLL_L_X26Y52 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y52 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y52 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y52 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y52 TILE_X 19356 TILEPROP CLBLL_L_X26Y52 TILE_Y -72000 TILEPROP CLBLL_L_X26Y52 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y53 CLASS tile TILEPROP CLBLL_L_X26Y53 COLUMN 67 TILEPROP CLBLL_L_X26Y53 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y53 FIRST_SITE_ID 10061 TILEPROP CLBLL_L_X26Y53 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y53 GRID_POINT_Y 100 TILEPROP CLBLL_L_X26Y53 INDEX 11567 TILEPROP CLBLL_L_X26Y53 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y53 INT_TILE_Y 96 TILEPROP CLBLL_L_X26Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y53 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y53 NAME CLBLL_L_X26Y53 TILEPROP CLBLL_L_X26Y53 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y53 NUM_SITES 2 TILEPROP CLBLL_L_X26Y53 ROW 100 TILEPROP CLBLL_L_X26Y53 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y53 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y53 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y53 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y53 TILE_X 19356 TILEPROP CLBLL_L_X26Y53 TILE_Y -68800 TILEPROP CLBLL_L_X26Y53 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y54 CLASS tile TILEPROP CLBLL_L_X26Y54 COLUMN 67 TILEPROP CLBLL_L_X26Y54 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y54 FIRST_SITE_ID 9961 TILEPROP CLBLL_L_X26Y54 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y54 GRID_POINT_Y 99 TILEPROP CLBLL_L_X26Y54 INDEX 11452 TILEPROP CLBLL_L_X26Y54 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y54 INT_TILE_Y 95 TILEPROP CLBLL_L_X26Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y54 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y54 NAME CLBLL_L_X26Y54 TILEPROP CLBLL_L_X26Y54 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y54 NUM_SITES 2 TILEPROP CLBLL_L_X26Y54 ROW 99 TILEPROP CLBLL_L_X26Y54 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y54 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y54 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y54 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y54 TILE_X 19356 TILEPROP CLBLL_L_X26Y54 TILE_Y -65600 TILEPROP CLBLL_L_X26Y54 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y55 CLASS tile TILEPROP CLBLL_L_X26Y55 COLUMN 67 TILEPROP CLBLL_L_X26Y55 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y55 FIRST_SITE_ID 9852 TILEPROP CLBLL_L_X26Y55 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y55 GRID_POINT_Y 98 TILEPROP CLBLL_L_X26Y55 INDEX 11337 TILEPROP CLBLL_L_X26Y55 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y55 INT_TILE_Y 94 TILEPROP CLBLL_L_X26Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y55 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y55 NAME CLBLL_L_X26Y55 TILEPROP CLBLL_L_X26Y55 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y55 NUM_SITES 2 TILEPROP CLBLL_L_X26Y55 ROW 98 TILEPROP CLBLL_L_X26Y55 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y55 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y55 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y55 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y55 TILE_X 19356 TILEPROP CLBLL_L_X26Y55 TILE_Y -62400 TILEPROP CLBLL_L_X26Y55 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y56 CLASS tile TILEPROP CLBLL_L_X26Y56 COLUMN 67 TILEPROP CLBLL_L_X26Y56 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y56 FIRST_SITE_ID 9746 TILEPROP CLBLL_L_X26Y56 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y56 GRID_POINT_Y 97 TILEPROP CLBLL_L_X26Y56 INDEX 11222 TILEPROP CLBLL_L_X26Y56 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y56 INT_TILE_Y 93 TILEPROP CLBLL_L_X26Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y56 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y56 NAME CLBLL_L_X26Y56 TILEPROP CLBLL_L_X26Y56 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y56 NUM_SITES 2 TILEPROP CLBLL_L_X26Y56 ROW 97 TILEPROP CLBLL_L_X26Y56 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y56 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y56 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y56 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y56 TILE_X 19356 TILEPROP CLBLL_L_X26Y56 TILE_Y -59200 TILEPROP CLBLL_L_X26Y56 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y57 CLASS tile TILEPROP CLBLL_L_X26Y57 COLUMN 67 TILEPROP CLBLL_L_X26Y57 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y57 FIRST_SITE_ID 9644 TILEPROP CLBLL_L_X26Y57 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y57 GRID_POINT_Y 96 TILEPROP CLBLL_L_X26Y57 INDEX 11107 TILEPROP CLBLL_L_X26Y57 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y57 INT_TILE_Y 92 TILEPROP CLBLL_L_X26Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y57 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y57 NAME CLBLL_L_X26Y57 TILEPROP CLBLL_L_X26Y57 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y57 NUM_SITES 2 TILEPROP CLBLL_L_X26Y57 ROW 96 TILEPROP CLBLL_L_X26Y57 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y57 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y57 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y57 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y57 TILE_X 19356 TILEPROP CLBLL_L_X26Y57 TILE_Y -56000 TILEPROP CLBLL_L_X26Y57 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y58 CLASS tile TILEPROP CLBLL_L_X26Y58 COLUMN 67 TILEPROP CLBLL_L_X26Y58 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y58 FIRST_SITE_ID 9541 TILEPROP CLBLL_L_X26Y58 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y58 GRID_POINT_Y 95 TILEPROP CLBLL_L_X26Y58 INDEX 10992 TILEPROP CLBLL_L_X26Y58 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y58 INT_TILE_Y 91 TILEPROP CLBLL_L_X26Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y58 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y58 NAME CLBLL_L_X26Y58 TILEPROP CLBLL_L_X26Y58 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y58 NUM_SITES 2 TILEPROP CLBLL_L_X26Y58 ROW 95 TILEPROP CLBLL_L_X26Y58 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y58 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y58 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y58 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y58 TILE_X 19356 TILEPROP CLBLL_L_X26Y58 TILE_Y -52800 TILEPROP CLBLL_L_X26Y58 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y59 CLASS tile TILEPROP CLBLL_L_X26Y59 COLUMN 67 TILEPROP CLBLL_L_X26Y59 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y59 FIRST_SITE_ID 9440 TILEPROP CLBLL_L_X26Y59 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y59 GRID_POINT_Y 94 TILEPROP CLBLL_L_X26Y59 INDEX 10877 TILEPROP CLBLL_L_X26Y59 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y59 INT_TILE_Y 90 TILEPROP CLBLL_L_X26Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y59 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y59 NAME CLBLL_L_X26Y59 TILEPROP CLBLL_L_X26Y59 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y59 NUM_SITES 2 TILEPROP CLBLL_L_X26Y59 ROW 94 TILEPROP CLBLL_L_X26Y59 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y59 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y59 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y59 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y59 TILE_X 19356 TILEPROP CLBLL_L_X26Y59 TILE_Y -49600 TILEPROP CLBLL_L_X26Y59 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y60 CLASS tile TILEPROP CLBLL_L_X26Y60 COLUMN 67 TILEPROP CLBLL_L_X26Y60 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y60 FIRST_SITE_ID 9331 TILEPROP CLBLL_L_X26Y60 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y60 GRID_POINT_Y 93 TILEPROP CLBLL_L_X26Y60 INDEX 10762 TILEPROP CLBLL_L_X26Y60 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y60 INT_TILE_Y 89 TILEPROP CLBLL_L_X26Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y60 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y60 NAME CLBLL_L_X26Y60 TILEPROP CLBLL_L_X26Y60 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y60 NUM_SITES 2 TILEPROP CLBLL_L_X26Y60 ROW 93 TILEPROP CLBLL_L_X26Y60 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y60 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y60 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y60 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y60 TILE_X 19356 TILEPROP CLBLL_L_X26Y60 TILE_Y -46400 TILEPROP CLBLL_L_X26Y60 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y61 CLASS tile TILEPROP CLBLL_L_X26Y61 COLUMN 67 TILEPROP CLBLL_L_X26Y61 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y61 FIRST_SITE_ID 9225 TILEPROP CLBLL_L_X26Y61 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y61 GRID_POINT_Y 92 TILEPROP CLBLL_L_X26Y61 INDEX 10647 TILEPROP CLBLL_L_X26Y61 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y61 INT_TILE_Y 88 TILEPROP CLBLL_L_X26Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y61 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y61 NAME CLBLL_L_X26Y61 TILEPROP CLBLL_L_X26Y61 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y61 NUM_SITES 2 TILEPROP CLBLL_L_X26Y61 ROW 92 TILEPROP CLBLL_L_X26Y61 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y61 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y61 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y61 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y61 TILE_X 19356 TILEPROP CLBLL_L_X26Y61 TILE_Y -43200 TILEPROP CLBLL_L_X26Y61 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y62 CLASS tile TILEPROP CLBLL_L_X26Y62 COLUMN 67 TILEPROP CLBLL_L_X26Y62 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y62 FIRST_SITE_ID 9125 TILEPROP CLBLL_L_X26Y62 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y62 GRID_POINT_Y 91 TILEPROP CLBLL_L_X26Y62 INDEX 10532 TILEPROP CLBLL_L_X26Y62 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y62 INT_TILE_Y 87 TILEPROP CLBLL_L_X26Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y62 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y62 NAME CLBLL_L_X26Y62 TILEPROP CLBLL_L_X26Y62 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y62 NUM_SITES 2 TILEPROP CLBLL_L_X26Y62 ROW 91 TILEPROP CLBLL_L_X26Y62 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y62 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y62 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y62 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y62 TILE_X 19356 TILEPROP CLBLL_L_X26Y62 TILE_Y -40000 TILEPROP CLBLL_L_X26Y62 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y63 CLASS tile TILEPROP CLBLL_L_X26Y63 COLUMN 67 TILEPROP CLBLL_L_X26Y63 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y63 FIRST_SITE_ID 8993 TILEPROP CLBLL_L_X26Y63 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y63 GRID_POINT_Y 90 TILEPROP CLBLL_L_X26Y63 INDEX 10417 TILEPROP CLBLL_L_X26Y63 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y63 INT_TILE_Y 86 TILEPROP CLBLL_L_X26Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y63 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y63 NAME CLBLL_L_X26Y63 TILEPROP CLBLL_L_X26Y63 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y63 NUM_SITES 2 TILEPROP CLBLL_L_X26Y63 ROW 90 TILEPROP CLBLL_L_X26Y63 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y63 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y63 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y63 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y63 TILE_X 19356 TILEPROP CLBLL_L_X26Y63 TILE_Y -36800 TILEPROP CLBLL_L_X26Y63 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y64 CLASS tile TILEPROP CLBLL_L_X26Y64 COLUMN 67 TILEPROP CLBLL_L_X26Y64 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y64 FIRST_SITE_ID 8893 TILEPROP CLBLL_L_X26Y64 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y64 GRID_POINT_Y 89 TILEPROP CLBLL_L_X26Y64 INDEX 10302 TILEPROP CLBLL_L_X26Y64 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y64 INT_TILE_Y 85 TILEPROP CLBLL_L_X26Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y64 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y64 NAME CLBLL_L_X26Y64 TILEPROP CLBLL_L_X26Y64 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y64 NUM_SITES 2 TILEPROP CLBLL_L_X26Y64 ROW 89 TILEPROP CLBLL_L_X26Y64 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y64 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y64 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y64 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y64 TILE_X 19356 TILEPROP CLBLL_L_X26Y64 TILE_Y -33600 TILEPROP CLBLL_L_X26Y64 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y65 CLASS tile TILEPROP CLBLL_L_X26Y65 COLUMN 67 TILEPROP CLBLL_L_X26Y65 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y65 FIRST_SITE_ID 8784 TILEPROP CLBLL_L_X26Y65 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y65 GRID_POINT_Y 88 TILEPROP CLBLL_L_X26Y65 INDEX 10187 TILEPROP CLBLL_L_X26Y65 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y65 INT_TILE_Y 84 TILEPROP CLBLL_L_X26Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y65 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y65 NAME CLBLL_L_X26Y65 TILEPROP CLBLL_L_X26Y65 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y65 NUM_SITES 2 TILEPROP CLBLL_L_X26Y65 ROW 88 TILEPROP CLBLL_L_X26Y65 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y65 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y65 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y65 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y65 TILE_X 19356 TILEPROP CLBLL_L_X26Y65 TILE_Y -30400 TILEPROP CLBLL_L_X26Y65 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y66 CLASS tile TILEPROP CLBLL_L_X26Y66 COLUMN 67 TILEPROP CLBLL_L_X26Y66 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y66 FIRST_SITE_ID 8678 TILEPROP CLBLL_L_X26Y66 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y66 GRID_POINT_Y 87 TILEPROP CLBLL_L_X26Y66 INDEX 10072 TILEPROP CLBLL_L_X26Y66 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y66 INT_TILE_Y 83 TILEPROP CLBLL_L_X26Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y66 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y66 NAME CLBLL_L_X26Y66 TILEPROP CLBLL_L_X26Y66 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y66 NUM_SITES 2 TILEPROP CLBLL_L_X26Y66 ROW 87 TILEPROP CLBLL_L_X26Y66 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y66 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y66 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y66 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y66 TILE_X 19356 TILEPROP CLBLL_L_X26Y66 TILE_Y -27200 TILEPROP CLBLL_L_X26Y66 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y67 CLASS tile TILEPROP CLBLL_L_X26Y67 COLUMN 67 TILEPROP CLBLL_L_X26Y67 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y67 FIRST_SITE_ID 8574 TILEPROP CLBLL_L_X26Y67 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y67 GRID_POINT_Y 86 TILEPROP CLBLL_L_X26Y67 INDEX 9957 TILEPROP CLBLL_L_X26Y67 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y67 INT_TILE_Y 82 TILEPROP CLBLL_L_X26Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y67 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y67 NAME CLBLL_L_X26Y67 TILEPROP CLBLL_L_X26Y67 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y67 NUM_SITES 2 TILEPROP CLBLL_L_X26Y67 ROW 86 TILEPROP CLBLL_L_X26Y67 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y67 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y67 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y67 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y67 TILE_X 19356 TILEPROP CLBLL_L_X26Y67 TILE_Y -24000 TILEPROP CLBLL_L_X26Y67 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y68 CLASS tile TILEPROP CLBLL_L_X26Y68 COLUMN 67 TILEPROP CLBLL_L_X26Y68 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y68 FIRST_SITE_ID 8470 TILEPROP CLBLL_L_X26Y68 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y68 GRID_POINT_Y 85 TILEPROP CLBLL_L_X26Y68 INDEX 9842 TILEPROP CLBLL_L_X26Y68 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y68 INT_TILE_Y 81 TILEPROP CLBLL_L_X26Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y68 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y68 NAME CLBLL_L_X26Y68 TILEPROP CLBLL_L_X26Y68 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y68 NUM_SITES 2 TILEPROP CLBLL_L_X26Y68 ROW 85 TILEPROP CLBLL_L_X26Y68 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y68 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y68 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y68 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y68 TILE_X 19356 TILEPROP CLBLL_L_X26Y68 TILE_Y -20800 TILEPROP CLBLL_L_X26Y68 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y69 CLASS tile TILEPROP CLBLL_L_X26Y69 COLUMN 67 TILEPROP CLBLL_L_X26Y69 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y69 FIRST_SITE_ID 8368 TILEPROP CLBLL_L_X26Y69 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y69 GRID_POINT_Y 84 TILEPROP CLBLL_L_X26Y69 INDEX 9727 TILEPROP CLBLL_L_X26Y69 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y69 INT_TILE_Y 80 TILEPROP CLBLL_L_X26Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y69 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y69 NAME CLBLL_L_X26Y69 TILEPROP CLBLL_L_X26Y69 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y69 NUM_SITES 2 TILEPROP CLBLL_L_X26Y69 ROW 84 TILEPROP CLBLL_L_X26Y69 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y69 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y69 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y69 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y69 TILE_X 19356 TILEPROP CLBLL_L_X26Y69 TILE_Y -17600 TILEPROP CLBLL_L_X26Y69 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y70 CLASS tile TILEPROP CLBLL_L_X26Y70 COLUMN 67 TILEPROP CLBLL_L_X26Y70 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y70 FIRST_SITE_ID 8257 TILEPROP CLBLL_L_X26Y70 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y70 GRID_POINT_Y 83 TILEPROP CLBLL_L_X26Y70 INDEX 9612 TILEPROP CLBLL_L_X26Y70 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y70 INT_TILE_Y 79 TILEPROP CLBLL_L_X26Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y70 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y70 NAME CLBLL_L_X26Y70 TILEPROP CLBLL_L_X26Y70 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y70 NUM_SITES 2 TILEPROP CLBLL_L_X26Y70 ROW 83 TILEPROP CLBLL_L_X26Y70 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y70 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y70 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y70 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y70 TILE_X 19356 TILEPROP CLBLL_L_X26Y70 TILE_Y -14400 TILEPROP CLBLL_L_X26Y70 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y71 CLASS tile TILEPROP CLBLL_L_X26Y71 COLUMN 67 TILEPROP CLBLL_L_X26Y71 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y71 FIRST_SITE_ID 8151 TILEPROP CLBLL_L_X26Y71 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y71 GRID_POINT_Y 82 TILEPROP CLBLL_L_X26Y71 INDEX 9497 TILEPROP CLBLL_L_X26Y71 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y71 INT_TILE_Y 78 TILEPROP CLBLL_L_X26Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y71 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y71 NAME CLBLL_L_X26Y71 TILEPROP CLBLL_L_X26Y71 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y71 NUM_SITES 2 TILEPROP CLBLL_L_X26Y71 ROW 82 TILEPROP CLBLL_L_X26Y71 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y71 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y71 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y71 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y71 TILE_X 19356 TILEPROP CLBLL_L_X26Y71 TILE_Y -11200 TILEPROP CLBLL_L_X26Y71 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y72 CLASS tile TILEPROP CLBLL_L_X26Y72 COLUMN 67 TILEPROP CLBLL_L_X26Y72 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y72 FIRST_SITE_ID 8051 TILEPROP CLBLL_L_X26Y72 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y72 GRID_POINT_Y 81 TILEPROP CLBLL_L_X26Y72 INDEX 9382 TILEPROP CLBLL_L_X26Y72 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y72 INT_TILE_Y 77 TILEPROP CLBLL_L_X26Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y72 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y72 NAME CLBLL_L_X26Y72 TILEPROP CLBLL_L_X26Y72 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y72 NUM_SITES 2 TILEPROP CLBLL_L_X26Y72 ROW 81 TILEPROP CLBLL_L_X26Y72 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y72 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y72 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y72 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y72 TILE_X 19356 TILEPROP CLBLL_L_X26Y72 TILE_Y -8000 TILEPROP CLBLL_L_X26Y72 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y73 CLASS tile TILEPROP CLBLL_L_X26Y73 COLUMN 67 TILEPROP CLBLL_L_X26Y73 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y73 FIRST_SITE_ID 7951 TILEPROP CLBLL_L_X26Y73 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y73 GRID_POINT_Y 80 TILEPROP CLBLL_L_X26Y73 INDEX 9267 TILEPROP CLBLL_L_X26Y73 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y73 INT_TILE_Y 76 TILEPROP CLBLL_L_X26Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y73 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y73 NAME CLBLL_L_X26Y73 TILEPROP CLBLL_L_X26Y73 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y73 NUM_SITES 2 TILEPROP CLBLL_L_X26Y73 ROW 80 TILEPROP CLBLL_L_X26Y73 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y73 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y73 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y73 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y73 TILE_X 19356 TILEPROP CLBLL_L_X26Y73 TILE_Y -4800 TILEPROP CLBLL_L_X26Y73 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y74 CLASS tile TILEPROP CLBLL_L_X26Y74 COLUMN 67 TILEPROP CLBLL_L_X26Y74 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y74 FIRST_SITE_ID 7851 TILEPROP CLBLL_L_X26Y74 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y74 GRID_POINT_Y 79 TILEPROP CLBLL_L_X26Y74 INDEX 9152 TILEPROP CLBLL_L_X26Y74 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y74 INT_TILE_Y 75 TILEPROP CLBLL_L_X26Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y74 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y74 NAME CLBLL_L_X26Y74 TILEPROP CLBLL_L_X26Y74 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y74 NUM_SITES 2 TILEPROP CLBLL_L_X26Y74 ROW 79 TILEPROP CLBLL_L_X26Y74 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y74 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X26Y74 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y74 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y74 TILE_X 19356 TILEPROP CLBLL_L_X26Y74 TILE_Y -1600 TILEPROP CLBLL_L_X26Y74 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y75 CLASS tile TILEPROP CLBLL_L_X26Y75 COLUMN 67 TILEPROP CLBLL_L_X26Y75 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y75 FIRST_SITE_ID 7659 TILEPROP CLBLL_L_X26Y75 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y75 GRID_POINT_Y 77 TILEPROP CLBLL_L_X26Y75 INDEX 8922 TILEPROP CLBLL_L_X26Y75 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y75 INT_TILE_Y 74 TILEPROP CLBLL_L_X26Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y75 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y75 NAME CLBLL_L_X26Y75 TILEPROP CLBLL_L_X26Y75 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y75 NUM_SITES 2 TILEPROP CLBLL_L_X26Y75 ROW 77 TILEPROP CLBLL_L_X26Y75 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y75 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X26Y75 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y75 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y75 TILE_X 19356 TILEPROP CLBLL_L_X26Y75 TILE_Y 2624 TILEPROP CLBLL_L_X26Y75 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y76 CLASS tile TILEPROP CLBLL_L_X26Y76 COLUMN 67 TILEPROP CLBLL_L_X26Y76 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y76 FIRST_SITE_ID 7550 TILEPROP CLBLL_L_X26Y76 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y76 GRID_POINT_Y 76 TILEPROP CLBLL_L_X26Y76 INDEX 8807 TILEPROP CLBLL_L_X26Y76 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y76 INT_TILE_Y 73 TILEPROP CLBLL_L_X26Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y76 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y76 NAME CLBLL_L_X26Y76 TILEPROP CLBLL_L_X26Y76 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y76 NUM_SITES 2 TILEPROP CLBLL_L_X26Y76 ROW 76 TILEPROP CLBLL_L_X26Y76 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y76 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y76 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y76 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y76 TILE_X 19356 TILEPROP CLBLL_L_X26Y76 TILE_Y 5824 TILEPROP CLBLL_L_X26Y76 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y77 CLASS tile TILEPROP CLBLL_L_X26Y77 COLUMN 67 TILEPROP CLBLL_L_X26Y77 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y77 FIRST_SITE_ID 7450 TILEPROP CLBLL_L_X26Y77 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y77 GRID_POINT_Y 75 TILEPROP CLBLL_L_X26Y77 INDEX 8692 TILEPROP CLBLL_L_X26Y77 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y77 INT_TILE_Y 72 TILEPROP CLBLL_L_X26Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y77 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y77 NAME CLBLL_L_X26Y77 TILEPROP CLBLL_L_X26Y77 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y77 NUM_SITES 2 TILEPROP CLBLL_L_X26Y77 ROW 75 TILEPROP CLBLL_L_X26Y77 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y77 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y77 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y77 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y77 TILE_X 19356 TILEPROP CLBLL_L_X26Y77 TILE_Y 9024 TILEPROP CLBLL_L_X26Y77 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y78 CLASS tile TILEPROP CLBLL_L_X26Y78 COLUMN 67 TILEPROP CLBLL_L_X26Y78 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y78 FIRST_SITE_ID 7350 TILEPROP CLBLL_L_X26Y78 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y78 GRID_POINT_Y 74 TILEPROP CLBLL_L_X26Y78 INDEX 8577 TILEPROP CLBLL_L_X26Y78 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y78 INT_TILE_Y 71 TILEPROP CLBLL_L_X26Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y78 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y78 NAME CLBLL_L_X26Y78 TILEPROP CLBLL_L_X26Y78 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y78 NUM_SITES 2 TILEPROP CLBLL_L_X26Y78 ROW 74 TILEPROP CLBLL_L_X26Y78 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y78 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y78 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y78 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y78 TILE_X 19356 TILEPROP CLBLL_L_X26Y78 TILE_Y 12224 TILEPROP CLBLL_L_X26Y78 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y79 CLASS tile TILEPROP CLBLL_L_X26Y79 COLUMN 67 TILEPROP CLBLL_L_X26Y79 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y79 FIRST_SITE_ID 7244 TILEPROP CLBLL_L_X26Y79 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y79 GRID_POINT_Y 73 TILEPROP CLBLL_L_X26Y79 INDEX 8462 TILEPROP CLBLL_L_X26Y79 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y79 INT_TILE_Y 70 TILEPROP CLBLL_L_X26Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y79 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y79 NAME CLBLL_L_X26Y79 TILEPROP CLBLL_L_X26Y79 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y79 NUM_SITES 2 TILEPROP CLBLL_L_X26Y79 ROW 73 TILEPROP CLBLL_L_X26Y79 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y79 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y79 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y79 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y79 TILE_X 19356 TILEPROP CLBLL_L_X26Y79 TILE_Y 15424 TILEPROP CLBLL_L_X26Y79 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y80 CLASS tile TILEPROP CLBLL_L_X26Y80 COLUMN 67 TILEPROP CLBLL_L_X26Y80 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y80 FIRST_SITE_ID 7129 TILEPROP CLBLL_L_X26Y80 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y80 GRID_POINT_Y 72 TILEPROP CLBLL_L_X26Y80 INDEX 8347 TILEPROP CLBLL_L_X26Y80 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y80 INT_TILE_Y 69 TILEPROP CLBLL_L_X26Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y80 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y80 NAME CLBLL_L_X26Y80 TILEPROP CLBLL_L_X26Y80 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y80 NUM_SITES 2 TILEPROP CLBLL_L_X26Y80 ROW 72 TILEPROP CLBLL_L_X26Y80 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y80 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y80 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y80 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y80 TILE_X 19356 TILEPROP CLBLL_L_X26Y80 TILE_Y 18624 TILEPROP CLBLL_L_X26Y80 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y81 CLASS tile TILEPROP CLBLL_L_X26Y81 COLUMN 67 TILEPROP CLBLL_L_X26Y81 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y81 FIRST_SITE_ID 7021 TILEPROP CLBLL_L_X26Y81 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y81 GRID_POINT_Y 71 TILEPROP CLBLL_L_X26Y81 INDEX 8232 TILEPROP CLBLL_L_X26Y81 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y81 INT_TILE_Y 68 TILEPROP CLBLL_L_X26Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y81 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y81 NAME CLBLL_L_X26Y81 TILEPROP CLBLL_L_X26Y81 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y81 NUM_SITES 2 TILEPROP CLBLL_L_X26Y81 ROW 71 TILEPROP CLBLL_L_X26Y81 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y81 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y81 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y81 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y81 TILE_X 19356 TILEPROP CLBLL_L_X26Y81 TILE_Y 21824 TILEPROP CLBLL_L_X26Y81 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y82 CLASS tile TILEPROP CLBLL_L_X26Y82 COLUMN 67 TILEPROP CLBLL_L_X26Y82 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y82 FIRST_SITE_ID 6919 TILEPROP CLBLL_L_X26Y82 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y82 GRID_POINT_Y 70 TILEPROP CLBLL_L_X26Y82 INDEX 8117 TILEPROP CLBLL_L_X26Y82 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y82 INT_TILE_Y 67 TILEPROP CLBLL_L_X26Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y82 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y82 NAME CLBLL_L_X26Y82 TILEPROP CLBLL_L_X26Y82 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y82 NUM_SITES 2 TILEPROP CLBLL_L_X26Y82 ROW 70 TILEPROP CLBLL_L_X26Y82 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y82 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y82 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y82 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y82 TILE_X 19356 TILEPROP CLBLL_L_X26Y82 TILE_Y 25024 TILEPROP CLBLL_L_X26Y82 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y83 CLASS tile TILEPROP CLBLL_L_X26Y83 COLUMN 67 TILEPROP CLBLL_L_X26Y83 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y83 FIRST_SITE_ID 6819 TILEPROP CLBLL_L_X26Y83 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y83 GRID_POINT_Y 69 TILEPROP CLBLL_L_X26Y83 INDEX 8002 TILEPROP CLBLL_L_X26Y83 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y83 INT_TILE_Y 66 TILEPROP CLBLL_L_X26Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y83 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y83 NAME CLBLL_L_X26Y83 TILEPROP CLBLL_L_X26Y83 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y83 NUM_SITES 2 TILEPROP CLBLL_L_X26Y83 ROW 69 TILEPROP CLBLL_L_X26Y83 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y83 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y83 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y83 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y83 TILE_X 19356 TILEPROP CLBLL_L_X26Y83 TILE_Y 28224 TILEPROP CLBLL_L_X26Y83 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y84 CLASS tile TILEPROP CLBLL_L_X26Y84 COLUMN 67 TILEPROP CLBLL_L_X26Y84 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y84 FIRST_SITE_ID 6719 TILEPROP CLBLL_L_X26Y84 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y84 GRID_POINT_Y 68 TILEPROP CLBLL_L_X26Y84 INDEX 7887 TILEPROP CLBLL_L_X26Y84 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y84 INT_TILE_Y 65 TILEPROP CLBLL_L_X26Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y84 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y84 NAME CLBLL_L_X26Y84 TILEPROP CLBLL_L_X26Y84 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y84 NUM_SITES 2 TILEPROP CLBLL_L_X26Y84 ROW 68 TILEPROP CLBLL_L_X26Y84 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y84 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y84 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y84 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y84 TILE_X 19356 TILEPROP CLBLL_L_X26Y84 TILE_Y 31424 TILEPROP CLBLL_L_X26Y84 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y85 CLASS tile TILEPROP CLBLL_L_X26Y85 COLUMN 67 TILEPROP CLBLL_L_X26Y85 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y85 FIRST_SITE_ID 6610 TILEPROP CLBLL_L_X26Y85 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y85 GRID_POINT_Y 67 TILEPROP CLBLL_L_X26Y85 INDEX 7772 TILEPROP CLBLL_L_X26Y85 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y85 INT_TILE_Y 64 TILEPROP CLBLL_L_X26Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y85 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y85 NAME CLBLL_L_X26Y85 TILEPROP CLBLL_L_X26Y85 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y85 NUM_SITES 2 TILEPROP CLBLL_L_X26Y85 ROW 67 TILEPROP CLBLL_L_X26Y85 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y85 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y85 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y85 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y85 TILE_X 19356 TILEPROP CLBLL_L_X26Y85 TILE_Y 34624 TILEPROP CLBLL_L_X26Y85 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y86 CLASS tile TILEPROP CLBLL_L_X26Y86 COLUMN 67 TILEPROP CLBLL_L_X26Y86 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y86 FIRST_SITE_ID 6504 TILEPROP CLBLL_L_X26Y86 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y86 GRID_POINT_Y 66 TILEPROP CLBLL_L_X26Y86 INDEX 7657 TILEPROP CLBLL_L_X26Y86 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y86 INT_TILE_Y 63 TILEPROP CLBLL_L_X26Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y86 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y86 NAME CLBLL_L_X26Y86 TILEPROP CLBLL_L_X26Y86 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y86 NUM_SITES 2 TILEPROP CLBLL_L_X26Y86 ROW 66 TILEPROP CLBLL_L_X26Y86 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y86 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y86 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y86 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y86 TILE_X 19356 TILEPROP CLBLL_L_X26Y86 TILE_Y 37824 TILEPROP CLBLL_L_X26Y86 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y87 CLASS tile TILEPROP CLBLL_L_X26Y87 COLUMN 67 TILEPROP CLBLL_L_X26Y87 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y87 FIRST_SITE_ID 6372 TILEPROP CLBLL_L_X26Y87 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y87 GRID_POINT_Y 65 TILEPROP CLBLL_L_X26Y87 INDEX 7542 TILEPROP CLBLL_L_X26Y87 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y87 INT_TILE_Y 62 TILEPROP CLBLL_L_X26Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y87 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y87 NAME CLBLL_L_X26Y87 TILEPROP CLBLL_L_X26Y87 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y87 NUM_SITES 2 TILEPROP CLBLL_L_X26Y87 ROW 65 TILEPROP CLBLL_L_X26Y87 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y87 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y87 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y87 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y87 TILE_X 19356 TILEPROP CLBLL_L_X26Y87 TILE_Y 41024 TILEPROP CLBLL_L_X26Y87 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y88 CLASS tile TILEPROP CLBLL_L_X26Y88 COLUMN 67 TILEPROP CLBLL_L_X26Y88 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y88 FIRST_SITE_ID 6272 TILEPROP CLBLL_L_X26Y88 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y88 GRID_POINT_Y 64 TILEPROP CLBLL_L_X26Y88 INDEX 7427 TILEPROP CLBLL_L_X26Y88 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y88 INT_TILE_Y 61 TILEPROP CLBLL_L_X26Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y88 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y88 NAME CLBLL_L_X26Y88 TILEPROP CLBLL_L_X26Y88 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y88 NUM_SITES 2 TILEPROP CLBLL_L_X26Y88 ROW 64 TILEPROP CLBLL_L_X26Y88 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y88 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y88 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y88 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y88 TILE_X 19356 TILEPROP CLBLL_L_X26Y88 TILE_Y 44224 TILEPROP CLBLL_L_X26Y88 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y89 CLASS tile TILEPROP CLBLL_L_X26Y89 COLUMN 67 TILEPROP CLBLL_L_X26Y89 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y89 FIRST_SITE_ID 6172 TILEPROP CLBLL_L_X26Y89 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y89 GRID_POINT_Y 63 TILEPROP CLBLL_L_X26Y89 INDEX 7312 TILEPROP CLBLL_L_X26Y89 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y89 INT_TILE_Y 60 TILEPROP CLBLL_L_X26Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y89 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y89 NAME CLBLL_L_X26Y89 TILEPROP CLBLL_L_X26Y89 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y89 NUM_SITES 2 TILEPROP CLBLL_L_X26Y89 ROW 63 TILEPROP CLBLL_L_X26Y89 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y89 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y89 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y89 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y89 TILE_X 19356 TILEPROP CLBLL_L_X26Y89 TILE_Y 47424 TILEPROP CLBLL_L_X26Y89 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y90 CLASS tile TILEPROP CLBLL_L_X26Y90 COLUMN 67 TILEPROP CLBLL_L_X26Y90 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y90 FIRST_SITE_ID 6063 TILEPROP CLBLL_L_X26Y90 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y90 GRID_POINT_Y 62 TILEPROP CLBLL_L_X26Y90 INDEX 7197 TILEPROP CLBLL_L_X26Y90 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y90 INT_TILE_Y 59 TILEPROP CLBLL_L_X26Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y90 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y90 NAME CLBLL_L_X26Y90 TILEPROP CLBLL_L_X26Y90 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y90 NUM_SITES 2 TILEPROP CLBLL_L_X26Y90 ROW 62 TILEPROP CLBLL_L_X26Y90 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y90 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y90 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y90 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y90 TILE_X 19356 TILEPROP CLBLL_L_X26Y90 TILE_Y 50624 TILEPROP CLBLL_L_X26Y90 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y91 CLASS tile TILEPROP CLBLL_L_X26Y91 COLUMN 67 TILEPROP CLBLL_L_X26Y91 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y91 FIRST_SITE_ID 5957 TILEPROP CLBLL_L_X26Y91 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y91 GRID_POINT_Y 61 TILEPROP CLBLL_L_X26Y91 INDEX 7082 TILEPROP CLBLL_L_X26Y91 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y91 INT_TILE_Y 58 TILEPROP CLBLL_L_X26Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y91 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y91 NAME CLBLL_L_X26Y91 TILEPROP CLBLL_L_X26Y91 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y91 NUM_SITES 2 TILEPROP CLBLL_L_X26Y91 ROW 61 TILEPROP CLBLL_L_X26Y91 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y91 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y91 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y91 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y91 TILE_X 19356 TILEPROP CLBLL_L_X26Y91 TILE_Y 53824 TILEPROP CLBLL_L_X26Y91 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y92 CLASS tile TILEPROP CLBLL_L_X26Y92 COLUMN 67 TILEPROP CLBLL_L_X26Y92 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y92 FIRST_SITE_ID 5856 TILEPROP CLBLL_L_X26Y92 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y92 GRID_POINT_Y 60 TILEPROP CLBLL_L_X26Y92 INDEX 6967 TILEPROP CLBLL_L_X26Y92 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y92 INT_TILE_Y 57 TILEPROP CLBLL_L_X26Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y92 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y92 NAME CLBLL_L_X26Y92 TILEPROP CLBLL_L_X26Y92 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y92 NUM_SITES 2 TILEPROP CLBLL_L_X26Y92 ROW 60 TILEPROP CLBLL_L_X26Y92 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y92 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y92 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y92 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y92 TILE_X 19356 TILEPROP CLBLL_L_X26Y92 TILE_Y 57024 TILEPROP CLBLL_L_X26Y92 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y93 CLASS tile TILEPROP CLBLL_L_X26Y93 COLUMN 67 TILEPROP CLBLL_L_X26Y93 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y93 FIRST_SITE_ID 5753 TILEPROP CLBLL_L_X26Y93 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y93 GRID_POINT_Y 59 TILEPROP CLBLL_L_X26Y93 INDEX 6852 TILEPROP CLBLL_L_X26Y93 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y93 INT_TILE_Y 56 TILEPROP CLBLL_L_X26Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y93 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y93 NAME CLBLL_L_X26Y93 TILEPROP CLBLL_L_X26Y93 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y93 NUM_SITES 2 TILEPROP CLBLL_L_X26Y93 ROW 59 TILEPROP CLBLL_L_X26Y93 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y93 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y93 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y93 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y93 TILE_X 19356 TILEPROP CLBLL_L_X26Y93 TILE_Y 60224 TILEPROP CLBLL_L_X26Y93 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y94 CLASS tile TILEPROP CLBLL_L_X26Y94 COLUMN 67 TILEPROP CLBLL_L_X26Y94 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y94 FIRST_SITE_ID 5651 TILEPROP CLBLL_L_X26Y94 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y94 GRID_POINT_Y 58 TILEPROP CLBLL_L_X26Y94 INDEX 6737 TILEPROP CLBLL_L_X26Y94 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y94 INT_TILE_Y 55 TILEPROP CLBLL_L_X26Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y94 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y94 NAME CLBLL_L_X26Y94 TILEPROP CLBLL_L_X26Y94 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y94 NUM_SITES 2 TILEPROP CLBLL_L_X26Y94 ROW 58 TILEPROP CLBLL_L_X26Y94 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y94 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y94 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y94 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y94 TILE_X 19356 TILEPROP CLBLL_L_X26Y94 TILE_Y 63424 TILEPROP CLBLL_L_X26Y94 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y95 CLASS tile TILEPROP CLBLL_L_X26Y95 COLUMN 67 TILEPROP CLBLL_L_X26Y95 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y95 FIRST_SITE_ID 5542 TILEPROP CLBLL_L_X26Y95 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y95 GRID_POINT_Y 57 TILEPROP CLBLL_L_X26Y95 INDEX 6622 TILEPROP CLBLL_L_X26Y95 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y95 INT_TILE_Y 54 TILEPROP CLBLL_L_X26Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y95 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y95 NAME CLBLL_L_X26Y95 TILEPROP CLBLL_L_X26Y95 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y95 NUM_SITES 2 TILEPROP CLBLL_L_X26Y95 ROW 57 TILEPROP CLBLL_L_X26Y95 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y95 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y95 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y95 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y95 TILE_X 19356 TILEPROP CLBLL_L_X26Y95 TILE_Y 66624 TILEPROP CLBLL_L_X26Y95 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y96 CLASS tile TILEPROP CLBLL_L_X26Y96 COLUMN 67 TILEPROP CLBLL_L_X26Y96 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y96 FIRST_SITE_ID 5436 TILEPROP CLBLL_L_X26Y96 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y96 GRID_POINT_Y 56 TILEPROP CLBLL_L_X26Y96 INDEX 6507 TILEPROP CLBLL_L_X26Y96 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y96 INT_TILE_Y 53 TILEPROP CLBLL_L_X26Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y96 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y96 NAME CLBLL_L_X26Y96 TILEPROP CLBLL_L_X26Y96 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y96 NUM_SITES 2 TILEPROP CLBLL_L_X26Y96 ROW 56 TILEPROP CLBLL_L_X26Y96 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y96 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y96 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y96 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y96 TILE_X 19356 TILEPROP CLBLL_L_X26Y96 TILE_Y 69824 TILEPROP CLBLL_L_X26Y96 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y97 CLASS tile TILEPROP CLBLL_L_X26Y97 COLUMN 67 TILEPROP CLBLL_L_X26Y97 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y97 FIRST_SITE_ID 5336 TILEPROP CLBLL_L_X26Y97 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y97 GRID_POINT_Y 55 TILEPROP CLBLL_L_X26Y97 INDEX 6392 TILEPROP CLBLL_L_X26Y97 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y97 INT_TILE_Y 52 TILEPROP CLBLL_L_X26Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y97 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y97 NAME CLBLL_L_X26Y97 TILEPROP CLBLL_L_X26Y97 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y97 NUM_SITES 2 TILEPROP CLBLL_L_X26Y97 ROW 55 TILEPROP CLBLL_L_X26Y97 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y97 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y97 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y97 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y97 TILE_X 19356 TILEPROP CLBLL_L_X26Y97 TILE_Y 73024 TILEPROP CLBLL_L_X26Y97 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y98 CLASS tile TILEPROP CLBLL_L_X26Y98 COLUMN 67 TILEPROP CLBLL_L_X26Y98 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y98 FIRST_SITE_ID 5236 TILEPROP CLBLL_L_X26Y98 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y98 GRID_POINT_Y 54 TILEPROP CLBLL_L_X26Y98 INDEX 6277 TILEPROP CLBLL_L_X26Y98 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y98 INT_TILE_Y 51 TILEPROP CLBLL_L_X26Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y98 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y98 NAME CLBLL_L_X26Y98 TILEPROP CLBLL_L_X26Y98 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y98 NUM_SITES 2 TILEPROP CLBLL_L_X26Y98 ROW 54 TILEPROP CLBLL_L_X26Y98 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y98 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y98 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y98 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y98 TILE_X 19356 TILEPROP CLBLL_L_X26Y98 TILE_Y 76224 TILEPROP CLBLL_L_X26Y98 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y99 CLASS tile TILEPROP CLBLL_L_X26Y99 COLUMN 67 TILEPROP CLBLL_L_X26Y99 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y99 FIRST_SITE_ID 5140 TILEPROP CLBLL_L_X26Y99 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y99 GRID_POINT_Y 53 TILEPROP CLBLL_L_X26Y99 INDEX 6162 TILEPROP CLBLL_L_X26Y99 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y99 INT_TILE_Y 50 TILEPROP CLBLL_L_X26Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y99 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y99 NAME CLBLL_L_X26Y99 TILEPROP CLBLL_L_X26Y99 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y99 NUM_SITES 2 TILEPROP CLBLL_L_X26Y99 ROW 53 TILEPROP CLBLL_L_X26Y99 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y99 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X26Y99 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y99 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y99 TILE_X 19356 TILEPROP CLBLL_L_X26Y99 TILE_Y 79424 TILEPROP CLBLL_L_X26Y99 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y100 CLASS tile TILEPROP CLBLL_L_X26Y100 COLUMN 67 TILEPROP CLBLL_L_X26Y100 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y100 FIRST_SITE_ID 5063 TILEPROP CLBLL_L_X26Y100 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y100 GRID_POINT_Y 51 TILEPROP CLBLL_L_X26Y100 INDEX 5932 TILEPROP CLBLL_L_X26Y100 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y100 INT_TILE_Y 49 TILEPROP CLBLL_L_X26Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y100 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y100 NAME CLBLL_L_X26Y100 TILEPROP CLBLL_L_X26Y100 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y100 NUM_SITES 2 TILEPROP CLBLL_L_X26Y100 ROW 51 TILEPROP CLBLL_L_X26Y100 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y100 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X26Y100 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y100 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y100 TILE_X 19356 TILEPROP CLBLL_L_X26Y100 TILE_Y 82872 TILEPROP CLBLL_L_X26Y100 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y101 CLASS tile TILEPROP CLBLL_L_X26Y101 COLUMN 67 TILEPROP CLBLL_L_X26Y101 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y101 FIRST_SITE_ID 4965 TILEPROP CLBLL_L_X26Y101 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y101 GRID_POINT_Y 50 TILEPROP CLBLL_L_X26Y101 INDEX 5817 TILEPROP CLBLL_L_X26Y101 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y101 INT_TILE_Y 48 TILEPROP CLBLL_L_X26Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y101 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y101 NAME CLBLL_L_X26Y101 TILEPROP CLBLL_L_X26Y101 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y101 NUM_SITES 2 TILEPROP CLBLL_L_X26Y101 ROW 50 TILEPROP CLBLL_L_X26Y101 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y101 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y101 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y101 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y101 TILE_X 19356 TILEPROP CLBLL_L_X26Y101 TILE_Y 86072 TILEPROP CLBLL_L_X26Y101 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y102 CLASS tile TILEPROP CLBLL_L_X26Y102 COLUMN 67 TILEPROP CLBLL_L_X26Y102 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y102 FIRST_SITE_ID 4869 TILEPROP CLBLL_L_X26Y102 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y102 GRID_POINT_Y 49 TILEPROP CLBLL_L_X26Y102 INDEX 5702 TILEPROP CLBLL_L_X26Y102 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y102 INT_TILE_Y 47 TILEPROP CLBLL_L_X26Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y102 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y102 NAME CLBLL_L_X26Y102 TILEPROP CLBLL_L_X26Y102 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y102 NUM_SITES 2 TILEPROP CLBLL_L_X26Y102 ROW 49 TILEPROP CLBLL_L_X26Y102 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y102 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y102 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y102 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y102 TILE_X 19356 TILEPROP CLBLL_L_X26Y102 TILE_Y 89272 TILEPROP CLBLL_L_X26Y102 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y103 CLASS tile TILEPROP CLBLL_L_X26Y103 COLUMN 67 TILEPROP CLBLL_L_X26Y103 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y103 FIRST_SITE_ID 4781 TILEPROP CLBLL_L_X26Y103 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y103 GRID_POINT_Y 48 TILEPROP CLBLL_L_X26Y103 INDEX 5587 TILEPROP CLBLL_L_X26Y103 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y103 INT_TILE_Y 46 TILEPROP CLBLL_L_X26Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y103 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y103 NAME CLBLL_L_X26Y103 TILEPROP CLBLL_L_X26Y103 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y103 NUM_SITES 2 TILEPROP CLBLL_L_X26Y103 ROW 48 TILEPROP CLBLL_L_X26Y103 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y103 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y103 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y103 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y103 TILE_X 19356 TILEPROP CLBLL_L_X26Y103 TILE_Y 92472 TILEPROP CLBLL_L_X26Y103 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y104 CLASS tile TILEPROP CLBLL_L_X26Y104 COLUMN 67 TILEPROP CLBLL_L_X26Y104 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y104 FIRST_SITE_ID 4685 TILEPROP CLBLL_L_X26Y104 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y104 GRID_POINT_Y 47 TILEPROP CLBLL_L_X26Y104 INDEX 5472 TILEPROP CLBLL_L_X26Y104 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y104 INT_TILE_Y 45 TILEPROP CLBLL_L_X26Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y104 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y104 NAME CLBLL_L_X26Y104 TILEPROP CLBLL_L_X26Y104 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y104 NUM_SITES 2 TILEPROP CLBLL_L_X26Y104 ROW 47 TILEPROP CLBLL_L_X26Y104 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y104 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y104 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y104 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y104 TILE_X 19356 TILEPROP CLBLL_L_X26Y104 TILE_Y 95672 TILEPROP CLBLL_L_X26Y104 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y105 CLASS tile TILEPROP CLBLL_L_X26Y105 COLUMN 67 TILEPROP CLBLL_L_X26Y105 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y105 FIRST_SITE_ID 4589 TILEPROP CLBLL_L_X26Y105 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y105 GRID_POINT_Y 46 TILEPROP CLBLL_L_X26Y105 INDEX 5357 TILEPROP CLBLL_L_X26Y105 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y105 INT_TILE_Y 44 TILEPROP CLBLL_L_X26Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y105 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y105 NAME CLBLL_L_X26Y105 TILEPROP CLBLL_L_X26Y105 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y105 NUM_SITES 2 TILEPROP CLBLL_L_X26Y105 ROW 46 TILEPROP CLBLL_L_X26Y105 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y105 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y105 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y105 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y105 TILE_X 19356 TILEPROP CLBLL_L_X26Y105 TILE_Y 98872 TILEPROP CLBLL_L_X26Y105 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y106 CLASS tile TILEPROP CLBLL_L_X26Y106 COLUMN 67 TILEPROP CLBLL_L_X26Y106 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y106 FIRST_SITE_ID 4487 TILEPROP CLBLL_L_X26Y106 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y106 GRID_POINT_Y 45 TILEPROP CLBLL_L_X26Y106 INDEX 5242 TILEPROP CLBLL_L_X26Y106 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y106 INT_TILE_Y 43 TILEPROP CLBLL_L_X26Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y106 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y106 NAME CLBLL_L_X26Y106 TILEPROP CLBLL_L_X26Y106 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y106 NUM_SITES 2 TILEPROP CLBLL_L_X26Y106 ROW 45 TILEPROP CLBLL_L_X26Y106 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y106 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y106 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y106 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y106 TILE_X 19356 TILEPROP CLBLL_L_X26Y106 TILE_Y 102072 TILEPROP CLBLL_L_X26Y106 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y107 CLASS tile TILEPROP CLBLL_L_X26Y107 COLUMN 67 TILEPROP CLBLL_L_X26Y107 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y107 FIRST_SITE_ID 4399 TILEPROP CLBLL_L_X26Y107 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y107 GRID_POINT_Y 44 TILEPROP CLBLL_L_X26Y107 INDEX 5127 TILEPROP CLBLL_L_X26Y107 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y107 INT_TILE_Y 42 TILEPROP CLBLL_L_X26Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y107 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y107 NAME CLBLL_L_X26Y107 TILEPROP CLBLL_L_X26Y107 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y107 NUM_SITES 2 TILEPROP CLBLL_L_X26Y107 ROW 44 TILEPROP CLBLL_L_X26Y107 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y107 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y107 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y107 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y107 TILE_X 19356 TILEPROP CLBLL_L_X26Y107 TILE_Y 105272 TILEPROP CLBLL_L_X26Y107 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y108 CLASS tile TILEPROP CLBLL_L_X26Y108 COLUMN 67 TILEPROP CLBLL_L_X26Y108 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y108 FIRST_SITE_ID 4301 TILEPROP CLBLL_L_X26Y108 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y108 GRID_POINT_Y 43 TILEPROP CLBLL_L_X26Y108 INDEX 5012 TILEPROP CLBLL_L_X26Y108 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y108 INT_TILE_Y 41 TILEPROP CLBLL_L_X26Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y108 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y108 NAME CLBLL_L_X26Y108 TILEPROP CLBLL_L_X26Y108 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y108 NUM_SITES 2 TILEPROP CLBLL_L_X26Y108 ROW 43 TILEPROP CLBLL_L_X26Y108 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y108 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y108 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y108 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y108 TILE_X 19356 TILEPROP CLBLL_L_X26Y108 TILE_Y 108472 TILEPROP CLBLL_L_X26Y108 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y109 CLASS tile TILEPROP CLBLL_L_X26Y109 COLUMN 67 TILEPROP CLBLL_L_X26Y109 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y109 FIRST_SITE_ID 4212 TILEPROP CLBLL_L_X26Y109 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y109 GRID_POINT_Y 42 TILEPROP CLBLL_L_X26Y109 INDEX 4897 TILEPROP CLBLL_L_X26Y109 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y109 INT_TILE_Y 40 TILEPROP CLBLL_L_X26Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y109 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y109 NAME CLBLL_L_X26Y109 TILEPROP CLBLL_L_X26Y109 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y109 NUM_SITES 2 TILEPROP CLBLL_L_X26Y109 ROW 42 TILEPROP CLBLL_L_X26Y109 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y109 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y109 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y109 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y109 TILE_X 19356 TILEPROP CLBLL_L_X26Y109 TILE_Y 111672 TILEPROP CLBLL_L_X26Y109 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y110 CLASS tile TILEPROP CLBLL_L_X26Y110 COLUMN 67 TILEPROP CLBLL_L_X26Y110 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y110 FIRST_SITE_ID 4112 TILEPROP CLBLL_L_X26Y110 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y110 GRID_POINT_Y 41 TILEPROP CLBLL_L_X26Y110 INDEX 4782 TILEPROP CLBLL_L_X26Y110 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y110 INT_TILE_Y 39 TILEPROP CLBLL_L_X26Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y110 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y110 NAME CLBLL_L_X26Y110 TILEPROP CLBLL_L_X26Y110 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y110 NUM_SITES 2 TILEPROP CLBLL_L_X26Y110 ROW 41 TILEPROP CLBLL_L_X26Y110 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y110 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y110 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y110 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y110 TILE_X 19356 TILEPROP CLBLL_L_X26Y110 TILE_Y 114872 TILEPROP CLBLL_L_X26Y110 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y111 CLASS tile TILEPROP CLBLL_L_X26Y111 COLUMN 67 TILEPROP CLBLL_L_X26Y111 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y111 FIRST_SITE_ID 4018 TILEPROP CLBLL_L_X26Y111 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y111 GRID_POINT_Y 40 TILEPROP CLBLL_L_X26Y111 INDEX 4667 TILEPROP CLBLL_L_X26Y111 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y111 INT_TILE_Y 38 TILEPROP CLBLL_L_X26Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y111 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y111 NAME CLBLL_L_X26Y111 TILEPROP CLBLL_L_X26Y111 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y111 NUM_SITES 2 TILEPROP CLBLL_L_X26Y111 ROW 40 TILEPROP CLBLL_L_X26Y111 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y111 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y111 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y111 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y111 TILE_X 19356 TILEPROP CLBLL_L_X26Y111 TILE_Y 118072 TILEPROP CLBLL_L_X26Y111 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y112 CLASS tile TILEPROP CLBLL_L_X26Y112 COLUMN 67 TILEPROP CLBLL_L_X26Y112 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y112 FIRST_SITE_ID 3922 TILEPROP CLBLL_L_X26Y112 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y112 GRID_POINT_Y 39 TILEPROP CLBLL_L_X26Y112 INDEX 4552 TILEPROP CLBLL_L_X26Y112 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y112 INT_TILE_Y 37 TILEPROP CLBLL_L_X26Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y112 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y112 NAME CLBLL_L_X26Y112 TILEPROP CLBLL_L_X26Y112 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y112 NUM_SITES 2 TILEPROP CLBLL_L_X26Y112 ROW 39 TILEPROP CLBLL_L_X26Y112 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y112 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y112 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y112 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y112 TILE_X 19356 TILEPROP CLBLL_L_X26Y112 TILE_Y 121272 TILEPROP CLBLL_L_X26Y112 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y113 CLASS tile TILEPROP CLBLL_L_X26Y113 COLUMN 67 TILEPROP CLBLL_L_X26Y113 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y113 FIRST_SITE_ID 3802 TILEPROP CLBLL_L_X26Y113 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y113 GRID_POINT_Y 38 TILEPROP CLBLL_L_X26Y113 INDEX 4437 TILEPROP CLBLL_L_X26Y113 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y113 INT_TILE_Y 36 TILEPROP CLBLL_L_X26Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y113 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y113 NAME CLBLL_L_X26Y113 TILEPROP CLBLL_L_X26Y113 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y113 NUM_SITES 2 TILEPROP CLBLL_L_X26Y113 ROW 38 TILEPROP CLBLL_L_X26Y113 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y113 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y113 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y113 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y113 TILE_X 19356 TILEPROP CLBLL_L_X26Y113 TILE_Y 124472 TILEPROP CLBLL_L_X26Y113 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y114 CLASS tile TILEPROP CLBLL_L_X26Y114 COLUMN 67 TILEPROP CLBLL_L_X26Y114 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y114 FIRST_SITE_ID 3706 TILEPROP CLBLL_L_X26Y114 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y114 GRID_POINT_Y 37 TILEPROP CLBLL_L_X26Y114 INDEX 4322 TILEPROP CLBLL_L_X26Y114 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y114 INT_TILE_Y 35 TILEPROP CLBLL_L_X26Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y114 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y114 NAME CLBLL_L_X26Y114 TILEPROP CLBLL_L_X26Y114 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y114 NUM_SITES 2 TILEPROP CLBLL_L_X26Y114 ROW 37 TILEPROP CLBLL_L_X26Y114 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y114 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y114 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y114 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y114 TILE_X 19356 TILEPROP CLBLL_L_X26Y114 TILE_Y 127672 TILEPROP CLBLL_L_X26Y114 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y115 CLASS tile TILEPROP CLBLL_L_X26Y115 COLUMN 67 TILEPROP CLBLL_L_X26Y115 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y115 FIRST_SITE_ID 3615 TILEPROP CLBLL_L_X26Y115 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y115 GRID_POINT_Y 36 TILEPROP CLBLL_L_X26Y115 INDEX 4207 TILEPROP CLBLL_L_X26Y115 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y115 INT_TILE_Y 34 TILEPROP CLBLL_L_X26Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y115 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y115 NAME CLBLL_L_X26Y115 TILEPROP CLBLL_L_X26Y115 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y115 NUM_SITES 2 TILEPROP CLBLL_L_X26Y115 ROW 36 TILEPROP CLBLL_L_X26Y115 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y115 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y115 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y115 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y115 TILE_X 19356 TILEPROP CLBLL_L_X26Y115 TILE_Y 130872 TILEPROP CLBLL_L_X26Y115 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y116 CLASS tile TILEPROP CLBLL_L_X26Y116 COLUMN 67 TILEPROP CLBLL_L_X26Y116 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y116 FIRST_SITE_ID 3508 TILEPROP CLBLL_L_X26Y116 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y116 GRID_POINT_Y 35 TILEPROP CLBLL_L_X26Y116 INDEX 4092 TILEPROP CLBLL_L_X26Y116 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y116 INT_TILE_Y 33 TILEPROP CLBLL_L_X26Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y116 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y116 NAME CLBLL_L_X26Y116 TILEPROP CLBLL_L_X26Y116 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y116 NUM_SITES 2 TILEPROP CLBLL_L_X26Y116 ROW 35 TILEPROP CLBLL_L_X26Y116 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y116 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y116 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y116 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y116 TILE_X 19356 TILEPROP CLBLL_L_X26Y116 TILE_Y 134072 TILEPROP CLBLL_L_X26Y116 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y117 CLASS tile TILEPROP CLBLL_L_X26Y117 COLUMN 67 TILEPROP CLBLL_L_X26Y117 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y117 FIRST_SITE_ID 3420 TILEPROP CLBLL_L_X26Y117 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y117 GRID_POINT_Y 34 TILEPROP CLBLL_L_X26Y117 INDEX 3977 TILEPROP CLBLL_L_X26Y117 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y117 INT_TILE_Y 32 TILEPROP CLBLL_L_X26Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y117 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y117 NAME CLBLL_L_X26Y117 TILEPROP CLBLL_L_X26Y117 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y117 NUM_SITES 2 TILEPROP CLBLL_L_X26Y117 ROW 34 TILEPROP CLBLL_L_X26Y117 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y117 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y117 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y117 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y117 TILE_X 19356 TILEPROP CLBLL_L_X26Y117 TILE_Y 137272 TILEPROP CLBLL_L_X26Y117 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y118 CLASS tile TILEPROP CLBLL_L_X26Y118 COLUMN 67 TILEPROP CLBLL_L_X26Y118 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y118 FIRST_SITE_ID 3320 TILEPROP CLBLL_L_X26Y118 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y118 GRID_POINT_Y 33 TILEPROP CLBLL_L_X26Y118 INDEX 3862 TILEPROP CLBLL_L_X26Y118 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y118 INT_TILE_Y 31 TILEPROP CLBLL_L_X26Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y118 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y118 NAME CLBLL_L_X26Y118 TILEPROP CLBLL_L_X26Y118 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y118 NUM_SITES 2 TILEPROP CLBLL_L_X26Y118 ROW 33 TILEPROP CLBLL_L_X26Y118 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y118 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y118 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y118 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y118 TILE_X 19356 TILEPROP CLBLL_L_X26Y118 TILE_Y 140472 TILEPROP CLBLL_L_X26Y118 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y119 CLASS tile TILEPROP CLBLL_L_X26Y119 COLUMN 67 TILEPROP CLBLL_L_X26Y119 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y119 FIRST_SITE_ID 3232 TILEPROP CLBLL_L_X26Y119 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y119 GRID_POINT_Y 32 TILEPROP CLBLL_L_X26Y119 INDEX 3747 TILEPROP CLBLL_L_X26Y119 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y119 INT_TILE_Y 30 TILEPROP CLBLL_L_X26Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y119 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y119 NAME CLBLL_L_X26Y119 TILEPROP CLBLL_L_X26Y119 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y119 NUM_SITES 2 TILEPROP CLBLL_L_X26Y119 ROW 32 TILEPROP CLBLL_L_X26Y119 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y119 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y119 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y119 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y119 TILE_X 19356 TILEPROP CLBLL_L_X26Y119 TILE_Y 143672 TILEPROP CLBLL_L_X26Y119 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y120 CLASS tile TILEPROP CLBLL_L_X26Y120 COLUMN 67 TILEPROP CLBLL_L_X26Y120 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y120 FIRST_SITE_ID 3131 TILEPROP CLBLL_L_X26Y120 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y120 GRID_POINT_Y 31 TILEPROP CLBLL_L_X26Y120 INDEX 3632 TILEPROP CLBLL_L_X26Y120 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y120 INT_TILE_Y 29 TILEPROP CLBLL_L_X26Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y120 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y120 NAME CLBLL_L_X26Y120 TILEPROP CLBLL_L_X26Y120 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y120 NUM_SITES 2 TILEPROP CLBLL_L_X26Y120 ROW 31 TILEPROP CLBLL_L_X26Y120 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y120 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y120 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y120 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y120 TILE_X 19356 TILEPROP CLBLL_L_X26Y120 TILE_Y 146872 TILEPROP CLBLL_L_X26Y120 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y121 CLASS tile TILEPROP CLBLL_L_X26Y121 COLUMN 67 TILEPROP CLBLL_L_X26Y121 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y121 FIRST_SITE_ID 3037 TILEPROP CLBLL_L_X26Y121 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y121 GRID_POINT_Y 30 TILEPROP CLBLL_L_X26Y121 INDEX 3517 TILEPROP CLBLL_L_X26Y121 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y121 INT_TILE_Y 28 TILEPROP CLBLL_L_X26Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y121 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y121 NAME CLBLL_L_X26Y121 TILEPROP CLBLL_L_X26Y121 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y121 NUM_SITES 2 TILEPROP CLBLL_L_X26Y121 ROW 30 TILEPROP CLBLL_L_X26Y121 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y121 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y121 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y121 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y121 TILE_X 19356 TILEPROP CLBLL_L_X26Y121 TILE_Y 150072 TILEPROP CLBLL_L_X26Y121 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y122 CLASS tile TILEPROP CLBLL_L_X26Y122 COLUMN 67 TILEPROP CLBLL_L_X26Y122 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y122 FIRST_SITE_ID 2934 TILEPROP CLBLL_L_X26Y122 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y122 GRID_POINT_Y 29 TILEPROP CLBLL_L_X26Y122 INDEX 3402 TILEPROP CLBLL_L_X26Y122 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y122 INT_TILE_Y 27 TILEPROP CLBLL_L_X26Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y122 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y122 NAME CLBLL_L_X26Y122 TILEPROP CLBLL_L_X26Y122 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y122 NUM_SITES 2 TILEPROP CLBLL_L_X26Y122 ROW 29 TILEPROP CLBLL_L_X26Y122 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y122 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y122 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y122 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y122 TILE_X 19356 TILEPROP CLBLL_L_X26Y122 TILE_Y 153272 TILEPROP CLBLL_L_X26Y122 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y123 CLASS tile TILEPROP CLBLL_L_X26Y123 COLUMN 67 TILEPROP CLBLL_L_X26Y123 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y123 FIRST_SITE_ID 2846 TILEPROP CLBLL_L_X26Y123 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y123 GRID_POINT_Y 28 TILEPROP CLBLL_L_X26Y123 INDEX 3287 TILEPROP CLBLL_L_X26Y123 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y123 INT_TILE_Y 26 TILEPROP CLBLL_L_X26Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y123 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y123 NAME CLBLL_L_X26Y123 TILEPROP CLBLL_L_X26Y123 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y123 NUM_SITES 2 TILEPROP CLBLL_L_X26Y123 ROW 28 TILEPROP CLBLL_L_X26Y123 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y123 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y123 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y123 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y123 TILE_X 19356 TILEPROP CLBLL_L_X26Y123 TILE_Y 156472 TILEPROP CLBLL_L_X26Y123 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y124 CLASS tile TILEPROP CLBLL_L_X26Y124 COLUMN 67 TILEPROP CLBLL_L_X26Y124 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y124 FIRST_SITE_ID 2750 TILEPROP CLBLL_L_X26Y124 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y124 GRID_POINT_Y 27 TILEPROP CLBLL_L_X26Y124 INDEX 3172 TILEPROP CLBLL_L_X26Y124 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y124 INT_TILE_Y 25 TILEPROP CLBLL_L_X26Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y124 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y124 NAME CLBLL_L_X26Y124 TILEPROP CLBLL_L_X26Y124 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y124 NUM_SITES 2 TILEPROP CLBLL_L_X26Y124 ROW 27 TILEPROP CLBLL_L_X26Y124 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y124 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X26Y124 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y124 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y124 TILE_X 19356 TILEPROP CLBLL_L_X26Y124 TILE_Y 159672 TILEPROP CLBLL_L_X26Y124 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y125 CLASS tile TILEPROP CLBLL_L_X26Y125 COLUMN 67 TILEPROP CLBLL_L_X26Y125 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y125 FIRST_SITE_ID 2577 TILEPROP CLBLL_L_X26Y125 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y125 GRID_POINT_Y 25 TILEPROP CLBLL_L_X26Y125 INDEX 2942 TILEPROP CLBLL_L_X26Y125 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y125 INT_TILE_Y 24 TILEPROP CLBLL_L_X26Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y125 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y125 NAME CLBLL_L_X26Y125 TILEPROP CLBLL_L_X26Y125 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y125 NUM_SITES 2 TILEPROP CLBLL_L_X26Y125 ROW 25 TILEPROP CLBLL_L_X26Y125 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y125 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X26Y125 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y125 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y125 TILE_X 19356 TILEPROP CLBLL_L_X26Y125 TILE_Y 163896 TILEPROP CLBLL_L_X26Y125 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y126 CLASS tile TILEPROP CLBLL_L_X26Y126 COLUMN 67 TILEPROP CLBLL_L_X26Y126 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y126 FIRST_SITE_ID 2467 TILEPROP CLBLL_L_X26Y126 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y126 GRID_POINT_Y 24 TILEPROP CLBLL_L_X26Y126 INDEX 2827 TILEPROP CLBLL_L_X26Y126 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y126 INT_TILE_Y 23 TILEPROP CLBLL_L_X26Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y126 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y126 NAME CLBLL_L_X26Y126 TILEPROP CLBLL_L_X26Y126 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y126 NUM_SITES 2 TILEPROP CLBLL_L_X26Y126 ROW 24 TILEPROP CLBLL_L_X26Y126 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y126 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y126 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y126 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y126 TILE_X 19356 TILEPROP CLBLL_L_X26Y126 TILE_Y 167096 TILEPROP CLBLL_L_X26Y126 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y127 CLASS tile TILEPROP CLBLL_L_X26Y127 COLUMN 67 TILEPROP CLBLL_L_X26Y127 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y127 FIRST_SITE_ID 2371 TILEPROP CLBLL_L_X26Y127 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y127 GRID_POINT_Y 23 TILEPROP CLBLL_L_X26Y127 INDEX 2712 TILEPROP CLBLL_L_X26Y127 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y127 INT_TILE_Y 22 TILEPROP CLBLL_L_X26Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y127 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y127 NAME CLBLL_L_X26Y127 TILEPROP CLBLL_L_X26Y127 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y127 NUM_SITES 2 TILEPROP CLBLL_L_X26Y127 ROW 23 TILEPROP CLBLL_L_X26Y127 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y127 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y127 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y127 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y127 TILE_X 19356 TILEPROP CLBLL_L_X26Y127 TILE_Y 170296 TILEPROP CLBLL_L_X26Y127 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y128 CLASS tile TILEPROP CLBLL_L_X26Y128 COLUMN 67 TILEPROP CLBLL_L_X26Y128 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y128 FIRST_SITE_ID 2267 TILEPROP CLBLL_L_X26Y128 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y128 GRID_POINT_Y 22 TILEPROP CLBLL_L_X26Y128 INDEX 2597 TILEPROP CLBLL_L_X26Y128 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y128 INT_TILE_Y 21 TILEPROP CLBLL_L_X26Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y128 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y128 NAME CLBLL_L_X26Y128 TILEPROP CLBLL_L_X26Y128 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y128 NUM_SITES 2 TILEPROP CLBLL_L_X26Y128 ROW 22 TILEPROP CLBLL_L_X26Y128 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y128 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y128 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y128 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y128 TILE_X 19356 TILEPROP CLBLL_L_X26Y128 TILE_Y 173496 TILEPROP CLBLL_L_X26Y128 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y129 CLASS tile TILEPROP CLBLL_L_X26Y129 COLUMN 67 TILEPROP CLBLL_L_X26Y129 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y129 FIRST_SITE_ID 2171 TILEPROP CLBLL_L_X26Y129 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y129 GRID_POINT_Y 21 TILEPROP CLBLL_L_X26Y129 INDEX 2482 TILEPROP CLBLL_L_X26Y129 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y129 INT_TILE_Y 20 TILEPROP CLBLL_L_X26Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y129 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y129 NAME CLBLL_L_X26Y129 TILEPROP CLBLL_L_X26Y129 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y129 NUM_SITES 2 TILEPROP CLBLL_L_X26Y129 ROW 21 TILEPROP CLBLL_L_X26Y129 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y129 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y129 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y129 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y129 TILE_X 19356 TILEPROP CLBLL_L_X26Y129 TILE_Y 176696 TILEPROP CLBLL_L_X26Y129 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y130 CLASS tile TILEPROP CLBLL_L_X26Y130 COLUMN 67 TILEPROP CLBLL_L_X26Y130 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y130 FIRST_SITE_ID 2055 TILEPROP CLBLL_L_X26Y130 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y130 GRID_POINT_Y 20 TILEPROP CLBLL_L_X26Y130 INDEX 2367 TILEPROP CLBLL_L_X26Y130 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y130 INT_TILE_Y 19 TILEPROP CLBLL_L_X26Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y130 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y130 NAME CLBLL_L_X26Y130 TILEPROP CLBLL_L_X26Y130 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y130 NUM_SITES 2 TILEPROP CLBLL_L_X26Y130 ROW 20 TILEPROP CLBLL_L_X26Y130 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y130 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y130 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y130 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y130 TILE_X 19356 TILEPROP CLBLL_L_X26Y130 TILE_Y 179896 TILEPROP CLBLL_L_X26Y130 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y131 CLASS tile TILEPROP CLBLL_L_X26Y131 COLUMN 67 TILEPROP CLBLL_L_X26Y131 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y131 FIRST_SITE_ID 1953 TILEPROP CLBLL_L_X26Y131 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y131 GRID_POINT_Y 19 TILEPROP CLBLL_L_X26Y131 INDEX 2252 TILEPROP CLBLL_L_X26Y131 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y131 INT_TILE_Y 18 TILEPROP CLBLL_L_X26Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y131 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y131 NAME CLBLL_L_X26Y131 TILEPROP CLBLL_L_X26Y131 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y131 NUM_SITES 2 TILEPROP CLBLL_L_X26Y131 ROW 19 TILEPROP CLBLL_L_X26Y131 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y131 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y131 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y131 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y131 TILE_X 19356 TILEPROP CLBLL_L_X26Y131 TILE_Y 183096 TILEPROP CLBLL_L_X26Y131 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y132 CLASS tile TILEPROP CLBLL_L_X26Y132 COLUMN 67 TILEPROP CLBLL_L_X26Y132 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y132 FIRST_SITE_ID 1847 TILEPROP CLBLL_L_X26Y132 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y132 GRID_POINT_Y 18 TILEPROP CLBLL_L_X26Y132 INDEX 2137 TILEPROP CLBLL_L_X26Y132 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y132 INT_TILE_Y 17 TILEPROP CLBLL_L_X26Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y132 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y132 NAME CLBLL_L_X26Y132 TILEPROP CLBLL_L_X26Y132 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y132 NUM_SITES 2 TILEPROP CLBLL_L_X26Y132 ROW 18 TILEPROP CLBLL_L_X26Y132 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y132 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y132 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y132 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y132 TILE_X 19356 TILEPROP CLBLL_L_X26Y132 TILE_Y 186296 TILEPROP CLBLL_L_X26Y132 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y133 CLASS tile TILEPROP CLBLL_L_X26Y133 COLUMN 67 TILEPROP CLBLL_L_X26Y133 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y133 FIRST_SITE_ID 1746 TILEPROP CLBLL_L_X26Y133 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y133 GRID_POINT_Y 17 TILEPROP CLBLL_L_X26Y133 INDEX 2022 TILEPROP CLBLL_L_X26Y133 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y133 INT_TILE_Y 16 TILEPROP CLBLL_L_X26Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y133 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y133 NAME CLBLL_L_X26Y133 TILEPROP CLBLL_L_X26Y133 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y133 NUM_SITES 2 TILEPROP CLBLL_L_X26Y133 ROW 17 TILEPROP CLBLL_L_X26Y133 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y133 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y133 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y133 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y133 TILE_X 19356 TILEPROP CLBLL_L_X26Y133 TILE_Y 189496 TILEPROP CLBLL_L_X26Y133 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y134 CLASS tile TILEPROP CLBLL_L_X26Y134 COLUMN 67 TILEPROP CLBLL_L_X26Y134 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y134 FIRST_SITE_ID 1642 TILEPROP CLBLL_L_X26Y134 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y134 GRID_POINT_Y 16 TILEPROP CLBLL_L_X26Y134 INDEX 1907 TILEPROP CLBLL_L_X26Y134 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y134 INT_TILE_Y 15 TILEPROP CLBLL_L_X26Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y134 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y134 NAME CLBLL_L_X26Y134 TILEPROP CLBLL_L_X26Y134 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y134 NUM_SITES 2 TILEPROP CLBLL_L_X26Y134 ROW 16 TILEPROP CLBLL_L_X26Y134 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y134 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y134 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y134 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y134 TILE_X 19356 TILEPROP CLBLL_L_X26Y134 TILE_Y 192696 TILEPROP CLBLL_L_X26Y134 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y135 CLASS tile TILEPROP CLBLL_L_X26Y135 COLUMN 67 TILEPROP CLBLL_L_X26Y135 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y135 FIRST_SITE_ID 1540 TILEPROP CLBLL_L_X26Y135 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y135 GRID_POINT_Y 15 TILEPROP CLBLL_L_X26Y135 INDEX 1792 TILEPROP CLBLL_L_X26Y135 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y135 INT_TILE_Y 14 TILEPROP CLBLL_L_X26Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y135 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y135 NAME CLBLL_L_X26Y135 TILEPROP CLBLL_L_X26Y135 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y135 NUM_SITES 2 TILEPROP CLBLL_L_X26Y135 ROW 15 TILEPROP CLBLL_L_X26Y135 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y135 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y135 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y135 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y135 TILE_X 19356 TILEPROP CLBLL_L_X26Y135 TILE_Y 195896 TILEPROP CLBLL_L_X26Y135 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y136 CLASS tile TILEPROP CLBLL_L_X26Y136 COLUMN 67 TILEPROP CLBLL_L_X26Y136 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y136 FIRST_SITE_ID 1430 TILEPROP CLBLL_L_X26Y136 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y136 GRID_POINT_Y 14 TILEPROP CLBLL_L_X26Y136 INDEX 1677 TILEPROP CLBLL_L_X26Y136 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y136 INT_TILE_Y 13 TILEPROP CLBLL_L_X26Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y136 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y136 NAME CLBLL_L_X26Y136 TILEPROP CLBLL_L_X26Y136 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y136 NUM_SITES 2 TILEPROP CLBLL_L_X26Y136 ROW 14 TILEPROP CLBLL_L_X26Y136 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y136 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y136 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y136 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y136 TILE_X 19356 TILEPROP CLBLL_L_X26Y136 TILE_Y 199096 TILEPROP CLBLL_L_X26Y136 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y137 CLASS tile TILEPROP CLBLL_L_X26Y137 COLUMN 67 TILEPROP CLBLL_L_X26Y137 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y137 FIRST_SITE_ID 1302 TILEPROP CLBLL_L_X26Y137 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y137 GRID_POINT_Y 13 TILEPROP CLBLL_L_X26Y137 INDEX 1562 TILEPROP CLBLL_L_X26Y137 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y137 INT_TILE_Y 12 TILEPROP CLBLL_L_X26Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y137 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y137 NAME CLBLL_L_X26Y137 TILEPROP CLBLL_L_X26Y137 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y137 NUM_SITES 2 TILEPROP CLBLL_L_X26Y137 ROW 13 TILEPROP CLBLL_L_X26Y137 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y137 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y137 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y137 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y137 TILE_X 19356 TILEPROP CLBLL_L_X26Y137 TILE_Y 202296 TILEPROP CLBLL_L_X26Y137 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y138 CLASS tile TILEPROP CLBLL_L_X26Y138 COLUMN 67 TILEPROP CLBLL_L_X26Y138 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y138 FIRST_SITE_ID 1198 TILEPROP CLBLL_L_X26Y138 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y138 GRID_POINT_Y 12 TILEPROP CLBLL_L_X26Y138 INDEX 1447 TILEPROP CLBLL_L_X26Y138 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y138 INT_TILE_Y 11 TILEPROP CLBLL_L_X26Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y138 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y138 NAME CLBLL_L_X26Y138 TILEPROP CLBLL_L_X26Y138 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y138 NUM_SITES 2 TILEPROP CLBLL_L_X26Y138 ROW 12 TILEPROP CLBLL_L_X26Y138 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y138 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y138 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y138 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y138 TILE_X 19356 TILEPROP CLBLL_L_X26Y138 TILE_Y 205496 TILEPROP CLBLL_L_X26Y138 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y139 CLASS tile TILEPROP CLBLL_L_X26Y139 COLUMN 67 TILEPROP CLBLL_L_X26Y139 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y139 FIRST_SITE_ID 1102 TILEPROP CLBLL_L_X26Y139 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y139 GRID_POINT_Y 11 TILEPROP CLBLL_L_X26Y139 INDEX 1332 TILEPROP CLBLL_L_X26Y139 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y139 INT_TILE_Y 10 TILEPROP CLBLL_L_X26Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y139 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y139 NAME CLBLL_L_X26Y139 TILEPROP CLBLL_L_X26Y139 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y139 NUM_SITES 2 TILEPROP CLBLL_L_X26Y139 ROW 11 TILEPROP CLBLL_L_X26Y139 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y139 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y139 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y139 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y139 TILE_X 19356 TILEPROP CLBLL_L_X26Y139 TILE_Y 208696 TILEPROP CLBLL_L_X26Y139 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y140 CLASS tile TILEPROP CLBLL_L_X26Y140 COLUMN 67 TILEPROP CLBLL_L_X26Y140 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y140 FIRST_SITE_ID 992 TILEPROP CLBLL_L_X26Y140 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y140 GRID_POINT_Y 10 TILEPROP CLBLL_L_X26Y140 INDEX 1217 TILEPROP CLBLL_L_X26Y140 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y140 INT_TILE_Y 9 TILEPROP CLBLL_L_X26Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y140 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y140 NAME CLBLL_L_X26Y140 TILEPROP CLBLL_L_X26Y140 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y140 NUM_SITES 2 TILEPROP CLBLL_L_X26Y140 ROW 10 TILEPROP CLBLL_L_X26Y140 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y140 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y140 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y140 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y140 TILE_X 19356 TILEPROP CLBLL_L_X26Y140 TILE_Y 211896 TILEPROP CLBLL_L_X26Y140 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y141 CLASS tile TILEPROP CLBLL_L_X26Y141 COLUMN 67 TILEPROP CLBLL_L_X26Y141 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y141 FIRST_SITE_ID 890 TILEPROP CLBLL_L_X26Y141 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y141 GRID_POINT_Y 9 TILEPROP CLBLL_L_X26Y141 INDEX 1102 TILEPROP CLBLL_L_X26Y141 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y141 INT_TILE_Y 8 TILEPROP CLBLL_L_X26Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y141 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y141 NAME CLBLL_L_X26Y141 TILEPROP CLBLL_L_X26Y141 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y141 NUM_SITES 2 TILEPROP CLBLL_L_X26Y141 ROW 9 TILEPROP CLBLL_L_X26Y141 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y141 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y141 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y141 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y141 TILE_X 19356 TILEPROP CLBLL_L_X26Y141 TILE_Y 215096 TILEPROP CLBLL_L_X26Y141 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y142 CLASS tile TILEPROP CLBLL_L_X26Y142 COLUMN 67 TILEPROP CLBLL_L_X26Y142 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y142 FIRST_SITE_ID 786 TILEPROP CLBLL_L_X26Y142 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y142 GRID_POINT_Y 8 TILEPROP CLBLL_L_X26Y142 INDEX 987 TILEPROP CLBLL_L_X26Y142 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y142 INT_TILE_Y 7 TILEPROP CLBLL_L_X26Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y142 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y142 NAME CLBLL_L_X26Y142 TILEPROP CLBLL_L_X26Y142 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y142 NUM_SITES 2 TILEPROP CLBLL_L_X26Y142 ROW 8 TILEPROP CLBLL_L_X26Y142 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y142 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y142 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y142 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y142 TILE_X 19356 TILEPROP CLBLL_L_X26Y142 TILE_Y 218296 TILEPROP CLBLL_L_X26Y142 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y143 CLASS tile TILEPROP CLBLL_L_X26Y143 COLUMN 67 TILEPROP CLBLL_L_X26Y143 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y143 FIRST_SITE_ID 689 TILEPROP CLBLL_L_X26Y143 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y143 GRID_POINT_Y 7 TILEPROP CLBLL_L_X26Y143 INDEX 872 TILEPROP CLBLL_L_X26Y143 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y143 INT_TILE_Y 6 TILEPROP CLBLL_L_X26Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y143 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y143 NAME CLBLL_L_X26Y143 TILEPROP CLBLL_L_X26Y143 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y143 NUM_SITES 2 TILEPROP CLBLL_L_X26Y143 ROW 7 TILEPROP CLBLL_L_X26Y143 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y143 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y143 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y143 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y143 TILE_X 19356 TILEPROP CLBLL_L_X26Y143 TILE_Y 221496 TILEPROP CLBLL_L_X26Y143 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y144 CLASS tile TILEPROP CLBLL_L_X26Y144 COLUMN 67 TILEPROP CLBLL_L_X26Y144 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y144 FIRST_SITE_ID 578 TILEPROP CLBLL_L_X26Y144 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y144 GRID_POINT_Y 6 TILEPROP CLBLL_L_X26Y144 INDEX 757 TILEPROP CLBLL_L_X26Y144 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y144 INT_TILE_Y 5 TILEPROP CLBLL_L_X26Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y144 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y144 NAME CLBLL_L_X26Y144 TILEPROP CLBLL_L_X26Y144 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y144 NUM_SITES 2 TILEPROP CLBLL_L_X26Y144 ROW 6 TILEPROP CLBLL_L_X26Y144 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y144 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y144 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y144 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y144 TILE_X 19356 TILEPROP CLBLL_L_X26Y144 TILE_Y 224696 TILEPROP CLBLL_L_X26Y144 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y145 CLASS tile TILEPROP CLBLL_L_X26Y145 COLUMN 67 TILEPROP CLBLL_L_X26Y145 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y145 FIRST_SITE_ID 476 TILEPROP CLBLL_L_X26Y145 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y145 GRID_POINT_Y 5 TILEPROP CLBLL_L_X26Y145 INDEX 642 TILEPROP CLBLL_L_X26Y145 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y145 INT_TILE_Y 4 TILEPROP CLBLL_L_X26Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y145 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y145 NAME CLBLL_L_X26Y145 TILEPROP CLBLL_L_X26Y145 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y145 NUM_SITES 2 TILEPROP CLBLL_L_X26Y145 ROW 5 TILEPROP CLBLL_L_X26Y145 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y145 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y145 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y145 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y145 TILE_X 19356 TILEPROP CLBLL_L_X26Y145 TILE_Y 227896 TILEPROP CLBLL_L_X26Y145 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y146 CLASS tile TILEPROP CLBLL_L_X26Y146 COLUMN 67 TILEPROP CLBLL_L_X26Y146 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y146 FIRST_SITE_ID 366 TILEPROP CLBLL_L_X26Y146 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y146 GRID_POINT_Y 4 TILEPROP CLBLL_L_X26Y146 INDEX 527 TILEPROP CLBLL_L_X26Y146 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y146 INT_TILE_Y 3 TILEPROP CLBLL_L_X26Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y146 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y146 NAME CLBLL_L_X26Y146 TILEPROP CLBLL_L_X26Y146 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y146 NUM_SITES 2 TILEPROP CLBLL_L_X26Y146 ROW 4 TILEPROP CLBLL_L_X26Y146 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y146 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y146 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y146 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y146 TILE_X 19356 TILEPROP CLBLL_L_X26Y146 TILE_Y 231096 TILEPROP CLBLL_L_X26Y146 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y147 CLASS tile TILEPROP CLBLL_L_X26Y147 COLUMN 67 TILEPROP CLBLL_L_X26Y147 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y147 FIRST_SITE_ID 270 TILEPROP CLBLL_L_X26Y147 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y147 GRID_POINT_Y 3 TILEPROP CLBLL_L_X26Y147 INDEX 412 TILEPROP CLBLL_L_X26Y147 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y147 INT_TILE_Y 2 TILEPROP CLBLL_L_X26Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y147 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y147 NAME CLBLL_L_X26Y147 TILEPROP CLBLL_L_X26Y147 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y147 NUM_SITES 2 TILEPROP CLBLL_L_X26Y147 ROW 3 TILEPROP CLBLL_L_X26Y147 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y147 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y147 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y147 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y147 TILE_X 19356 TILEPROP CLBLL_L_X26Y147 TILE_Y 234296 TILEPROP CLBLL_L_X26Y147 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y148 CLASS tile TILEPROP CLBLL_L_X26Y148 COLUMN 67 TILEPROP CLBLL_L_X26Y148 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y148 FIRST_SITE_ID 166 TILEPROP CLBLL_L_X26Y148 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y148 GRID_POINT_Y 2 TILEPROP CLBLL_L_X26Y148 INDEX 297 TILEPROP CLBLL_L_X26Y148 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y148 INT_TILE_Y 1 TILEPROP CLBLL_L_X26Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y148 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y148 NAME CLBLL_L_X26Y148 TILEPROP CLBLL_L_X26Y148 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y148 NUM_SITES 2 TILEPROP CLBLL_L_X26Y148 ROW 2 TILEPROP CLBLL_L_X26Y148 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y148 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X26Y148 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y148 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y148 TILE_X 19356 TILEPROP CLBLL_L_X26Y148 TILE_Y 237496 TILEPROP CLBLL_L_X26Y148 TYPE CLBLL_L TILEPROP CLBLL_L_X26Y149 CLASS tile TILEPROP CLBLL_L_X26Y149 COLUMN 67 TILEPROP CLBLL_L_X26Y149 DEVICE_ID 0 TILEPROP CLBLL_L_X26Y149 FIRST_SITE_ID 70 TILEPROP CLBLL_L_X26Y149 GRID_POINT_X 67 TILEPROP CLBLL_L_X26Y149 GRID_POINT_Y 1 TILEPROP CLBLL_L_X26Y149 INDEX 182 TILEPROP CLBLL_L_X26Y149 INT_TILE_X 25 TILEPROP CLBLL_L_X26Y149 INT_TILE_Y 0 TILEPROP CLBLL_L_X26Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X26Y149 IS_DCM_TILE 0 TILEPROP CLBLL_L_X26Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X26Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X26Y149 NAME CLBLL_L_X26Y149 TILEPROP CLBLL_L_X26Y149 NUM_ARCS 146 TILEPROP CLBLL_L_X26Y149 NUM_SITES 2 TILEPROP CLBLL_L_X26Y149 ROW 1 TILEPROP CLBLL_L_X26Y149 SLR_REGION_ID 0 TILEPROP CLBLL_L_X26Y149 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X26Y149 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X26Y149 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X26Y149 TILE_X 19356 TILEPROP CLBLL_L_X26Y149 TILE_Y 240696 TILEPROP CLBLL_L_X26Y149 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y0 CLASS tile TILEPROP CLBLL_L_X28Y0 COLUMN 71 TILEPROP CLBLL_L_X28Y0 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y0 FIRST_SITE_ID 15766 TILEPROP CLBLL_L_X28Y0 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y0 GRID_POINT_Y 155 TILEPROP CLBLL_L_X28Y0 INDEX 17896 TILEPROP CLBLL_L_X28Y0 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y0 INT_TILE_Y 149 TILEPROP CLBLL_L_X28Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y0 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y0 NAME CLBLL_L_X28Y0 TILEPROP CLBLL_L_X28Y0 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y0 NUM_SITES 2 TILEPROP CLBLL_L_X28Y0 ROW 155 TILEPROP CLBLL_L_X28Y0 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y0 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X28Y0 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y0 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y0 TILE_X 27660 TILEPROP CLBLL_L_X28Y0 TILE_Y -239672 TILEPROP CLBLL_L_X28Y0 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y1 CLASS tile TILEPROP CLBLL_L_X28Y1 COLUMN 71 TILEPROP CLBLL_L_X28Y1 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y1 FIRST_SITE_ID 15656 TILEPROP CLBLL_L_X28Y1 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y1 GRID_POINT_Y 154 TILEPROP CLBLL_L_X28Y1 INDEX 17781 TILEPROP CLBLL_L_X28Y1 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y1 INT_TILE_Y 148 TILEPROP CLBLL_L_X28Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y1 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y1 NAME CLBLL_L_X28Y1 TILEPROP CLBLL_L_X28Y1 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y1 NUM_SITES 2 TILEPROP CLBLL_L_X28Y1 ROW 154 TILEPROP CLBLL_L_X28Y1 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y1 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y1 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y1 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y1 TILE_X 27660 TILEPROP CLBLL_L_X28Y1 TILE_Y -236472 TILEPROP CLBLL_L_X28Y1 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y2 CLASS tile TILEPROP CLBLL_L_X28Y2 COLUMN 71 TILEPROP CLBLL_L_X28Y2 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y2 FIRST_SITE_ID 15555 TILEPROP CLBLL_L_X28Y2 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y2 GRID_POINT_Y 153 TILEPROP CLBLL_L_X28Y2 INDEX 17666 TILEPROP CLBLL_L_X28Y2 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y2 INT_TILE_Y 147 TILEPROP CLBLL_L_X28Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y2 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y2 NAME CLBLL_L_X28Y2 TILEPROP CLBLL_L_X28Y2 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y2 NUM_SITES 2 TILEPROP CLBLL_L_X28Y2 ROW 153 TILEPROP CLBLL_L_X28Y2 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y2 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y2 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y2 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y2 TILE_X 27660 TILEPROP CLBLL_L_X28Y2 TILE_Y -233272 TILEPROP CLBLL_L_X28Y2 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y3 CLASS tile TILEPROP CLBLL_L_X28Y3 COLUMN 71 TILEPROP CLBLL_L_X28Y3 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y3 FIRST_SITE_ID 15455 TILEPROP CLBLL_L_X28Y3 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y3 GRID_POINT_Y 152 TILEPROP CLBLL_L_X28Y3 INDEX 17551 TILEPROP CLBLL_L_X28Y3 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y3 INT_TILE_Y 146 TILEPROP CLBLL_L_X28Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y3 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y3 NAME CLBLL_L_X28Y3 TILEPROP CLBLL_L_X28Y3 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y3 NUM_SITES 2 TILEPROP CLBLL_L_X28Y3 ROW 152 TILEPROP CLBLL_L_X28Y3 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y3 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y3 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y3 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y3 TILE_X 27660 TILEPROP CLBLL_L_X28Y3 TILE_Y -230072 TILEPROP CLBLL_L_X28Y3 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y4 CLASS tile TILEPROP CLBLL_L_X28Y4 COLUMN 71 TILEPROP CLBLL_L_X28Y4 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y4 FIRST_SITE_ID 15355 TILEPROP CLBLL_L_X28Y4 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y4 GRID_POINT_Y 151 TILEPROP CLBLL_L_X28Y4 INDEX 17436 TILEPROP CLBLL_L_X28Y4 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y4 INT_TILE_Y 145 TILEPROP CLBLL_L_X28Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y4 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y4 NAME CLBLL_L_X28Y4 TILEPROP CLBLL_L_X28Y4 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y4 NUM_SITES 2 TILEPROP CLBLL_L_X28Y4 ROW 151 TILEPROP CLBLL_L_X28Y4 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y4 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y4 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y4 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y4 TILE_X 27660 TILEPROP CLBLL_L_X28Y4 TILE_Y -226872 TILEPROP CLBLL_L_X28Y4 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y5 CLASS tile TILEPROP CLBLL_L_X28Y5 COLUMN 71 TILEPROP CLBLL_L_X28Y5 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y5 FIRST_SITE_ID 15246 TILEPROP CLBLL_L_X28Y5 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y5 GRID_POINT_Y 150 TILEPROP CLBLL_L_X28Y5 INDEX 17321 TILEPROP CLBLL_L_X28Y5 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y5 INT_TILE_Y 144 TILEPROP CLBLL_L_X28Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y5 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y5 NAME CLBLL_L_X28Y5 TILEPROP CLBLL_L_X28Y5 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y5 NUM_SITES 2 TILEPROP CLBLL_L_X28Y5 ROW 150 TILEPROP CLBLL_L_X28Y5 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y5 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y5 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y5 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y5 TILE_X 27660 TILEPROP CLBLL_L_X28Y5 TILE_Y -223672 TILEPROP CLBLL_L_X28Y5 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y6 CLASS tile TILEPROP CLBLL_L_X28Y6 COLUMN 71 TILEPROP CLBLL_L_X28Y6 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y6 FIRST_SITE_ID 15140 TILEPROP CLBLL_L_X28Y6 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y6 GRID_POINT_Y 149 TILEPROP CLBLL_L_X28Y6 INDEX 17206 TILEPROP CLBLL_L_X28Y6 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y6 INT_TILE_Y 143 TILEPROP CLBLL_L_X28Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y6 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y6 NAME CLBLL_L_X28Y6 TILEPROP CLBLL_L_X28Y6 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y6 NUM_SITES 2 TILEPROP CLBLL_L_X28Y6 ROW 149 TILEPROP CLBLL_L_X28Y6 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y6 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y6 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y6 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y6 TILE_X 27660 TILEPROP CLBLL_L_X28Y6 TILE_Y -220472 TILEPROP CLBLL_L_X28Y6 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y7 CLASS tile TILEPROP CLBLL_L_X28Y7 COLUMN 71 TILEPROP CLBLL_L_X28Y7 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y7 FIRST_SITE_ID 15038 TILEPROP CLBLL_L_X28Y7 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y7 GRID_POINT_Y 148 TILEPROP CLBLL_L_X28Y7 INDEX 17091 TILEPROP CLBLL_L_X28Y7 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y7 INT_TILE_Y 142 TILEPROP CLBLL_L_X28Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y7 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y7 NAME CLBLL_L_X28Y7 TILEPROP CLBLL_L_X28Y7 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y7 NUM_SITES 2 TILEPROP CLBLL_L_X28Y7 ROW 148 TILEPROP CLBLL_L_X28Y7 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y7 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y7 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y7 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y7 TILE_X 27660 TILEPROP CLBLL_L_X28Y7 TILE_Y -217272 TILEPROP CLBLL_L_X28Y7 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y8 CLASS tile TILEPROP CLBLL_L_X28Y8 COLUMN 71 TILEPROP CLBLL_L_X28Y8 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y8 FIRST_SITE_ID 14935 TILEPROP CLBLL_L_X28Y8 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y8 GRID_POINT_Y 147 TILEPROP CLBLL_L_X28Y8 INDEX 16976 TILEPROP CLBLL_L_X28Y8 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y8 INT_TILE_Y 141 TILEPROP CLBLL_L_X28Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y8 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y8 NAME CLBLL_L_X28Y8 TILEPROP CLBLL_L_X28Y8 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y8 NUM_SITES 2 TILEPROP CLBLL_L_X28Y8 ROW 147 TILEPROP CLBLL_L_X28Y8 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y8 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y8 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y8 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y8 TILE_X 27660 TILEPROP CLBLL_L_X28Y8 TILE_Y -214072 TILEPROP CLBLL_L_X28Y8 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y9 CLASS tile TILEPROP CLBLL_L_X28Y9 COLUMN 71 TILEPROP CLBLL_L_X28Y9 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y9 FIRST_SITE_ID 14834 TILEPROP CLBLL_L_X28Y9 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y9 GRID_POINT_Y 146 TILEPROP CLBLL_L_X28Y9 INDEX 16861 TILEPROP CLBLL_L_X28Y9 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y9 INT_TILE_Y 140 TILEPROP CLBLL_L_X28Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y9 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y9 NAME CLBLL_L_X28Y9 TILEPROP CLBLL_L_X28Y9 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y9 NUM_SITES 2 TILEPROP CLBLL_L_X28Y9 ROW 146 TILEPROP CLBLL_L_X28Y9 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y9 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y9 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y9 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y9 TILE_X 27660 TILEPROP CLBLL_L_X28Y9 TILE_Y -210872 TILEPROP CLBLL_L_X28Y9 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y10 CLASS tile TILEPROP CLBLL_L_X28Y10 COLUMN 71 TILEPROP CLBLL_L_X28Y10 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y10 FIRST_SITE_ID 14725 TILEPROP CLBLL_L_X28Y10 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y10 GRID_POINT_Y 145 TILEPROP CLBLL_L_X28Y10 INDEX 16746 TILEPROP CLBLL_L_X28Y10 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y10 INT_TILE_Y 139 TILEPROP CLBLL_L_X28Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y10 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y10 NAME CLBLL_L_X28Y10 TILEPROP CLBLL_L_X28Y10 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y10 NUM_SITES 2 TILEPROP CLBLL_L_X28Y10 ROW 145 TILEPROP CLBLL_L_X28Y10 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y10 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y10 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y10 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y10 TILE_X 27660 TILEPROP CLBLL_L_X28Y10 TILE_Y -207672 TILEPROP CLBLL_L_X28Y10 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y11 CLASS tile TILEPROP CLBLL_L_X28Y11 COLUMN 71 TILEPROP CLBLL_L_X28Y11 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y11 FIRST_SITE_ID 14619 TILEPROP CLBLL_L_X28Y11 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y11 GRID_POINT_Y 144 TILEPROP CLBLL_L_X28Y11 INDEX 16631 TILEPROP CLBLL_L_X28Y11 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y11 INT_TILE_Y 138 TILEPROP CLBLL_L_X28Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y11 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y11 NAME CLBLL_L_X28Y11 TILEPROP CLBLL_L_X28Y11 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y11 NUM_SITES 2 TILEPROP CLBLL_L_X28Y11 ROW 144 TILEPROP CLBLL_L_X28Y11 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y11 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y11 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y11 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y11 TILE_X 27660 TILEPROP CLBLL_L_X28Y11 TILE_Y -204472 TILEPROP CLBLL_L_X28Y11 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y12 CLASS tile TILEPROP CLBLL_L_X28Y12 COLUMN 71 TILEPROP CLBLL_L_X28Y12 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y12 FIRST_SITE_ID 14519 TILEPROP CLBLL_L_X28Y12 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y12 GRID_POINT_Y 143 TILEPROP CLBLL_L_X28Y12 INDEX 16516 TILEPROP CLBLL_L_X28Y12 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y12 INT_TILE_Y 137 TILEPROP CLBLL_L_X28Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y12 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y12 NAME CLBLL_L_X28Y12 TILEPROP CLBLL_L_X28Y12 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y12 NUM_SITES 2 TILEPROP CLBLL_L_X28Y12 ROW 143 TILEPROP CLBLL_L_X28Y12 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y12 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y12 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y12 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y12 TILE_X 27660 TILEPROP CLBLL_L_X28Y12 TILE_Y -201272 TILEPROP CLBLL_L_X28Y12 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y13 CLASS tile TILEPROP CLBLL_L_X28Y13 COLUMN 71 TILEPROP CLBLL_L_X28Y13 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y13 FIRST_SITE_ID 14387 TILEPROP CLBLL_L_X28Y13 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y13 GRID_POINT_Y 142 TILEPROP CLBLL_L_X28Y13 INDEX 16401 TILEPROP CLBLL_L_X28Y13 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y13 INT_TILE_Y 136 TILEPROP CLBLL_L_X28Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y13 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y13 NAME CLBLL_L_X28Y13 TILEPROP CLBLL_L_X28Y13 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y13 NUM_SITES 2 TILEPROP CLBLL_L_X28Y13 ROW 142 TILEPROP CLBLL_L_X28Y13 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y13 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y13 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y13 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y13 TILE_X 27660 TILEPROP CLBLL_L_X28Y13 TILE_Y -198072 TILEPROP CLBLL_L_X28Y13 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y14 CLASS tile TILEPROP CLBLL_L_X28Y14 COLUMN 71 TILEPROP CLBLL_L_X28Y14 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y14 FIRST_SITE_ID 14287 TILEPROP CLBLL_L_X28Y14 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y14 GRID_POINT_Y 141 TILEPROP CLBLL_L_X28Y14 INDEX 16286 TILEPROP CLBLL_L_X28Y14 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y14 INT_TILE_Y 135 TILEPROP CLBLL_L_X28Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y14 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y14 NAME CLBLL_L_X28Y14 TILEPROP CLBLL_L_X28Y14 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y14 NUM_SITES 2 TILEPROP CLBLL_L_X28Y14 ROW 141 TILEPROP CLBLL_L_X28Y14 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y14 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y14 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y14 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y14 TILE_X 27660 TILEPROP CLBLL_L_X28Y14 TILE_Y -194872 TILEPROP CLBLL_L_X28Y14 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y15 CLASS tile TILEPROP CLBLL_L_X28Y15 COLUMN 71 TILEPROP CLBLL_L_X28Y15 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y15 FIRST_SITE_ID 14178 TILEPROP CLBLL_L_X28Y15 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y15 GRID_POINT_Y 140 TILEPROP CLBLL_L_X28Y15 INDEX 16171 TILEPROP CLBLL_L_X28Y15 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y15 INT_TILE_Y 134 TILEPROP CLBLL_L_X28Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y15 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y15 NAME CLBLL_L_X28Y15 TILEPROP CLBLL_L_X28Y15 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y15 NUM_SITES 2 TILEPROP CLBLL_L_X28Y15 ROW 140 TILEPROP CLBLL_L_X28Y15 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y15 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y15 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y15 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y15 TILE_X 27660 TILEPROP CLBLL_L_X28Y15 TILE_Y -191672 TILEPROP CLBLL_L_X28Y15 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y16 CLASS tile TILEPROP CLBLL_L_X28Y16 COLUMN 71 TILEPROP CLBLL_L_X28Y16 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y16 FIRST_SITE_ID 14072 TILEPROP CLBLL_L_X28Y16 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y16 GRID_POINT_Y 139 TILEPROP CLBLL_L_X28Y16 INDEX 16056 TILEPROP CLBLL_L_X28Y16 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y16 INT_TILE_Y 133 TILEPROP CLBLL_L_X28Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y16 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y16 NAME CLBLL_L_X28Y16 TILEPROP CLBLL_L_X28Y16 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y16 NUM_SITES 2 TILEPROP CLBLL_L_X28Y16 ROW 139 TILEPROP CLBLL_L_X28Y16 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y16 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y16 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y16 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y16 TILE_X 27660 TILEPROP CLBLL_L_X28Y16 TILE_Y -188472 TILEPROP CLBLL_L_X28Y16 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y17 CLASS tile TILEPROP CLBLL_L_X28Y17 COLUMN 71 TILEPROP CLBLL_L_X28Y17 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y17 FIRST_SITE_ID 13968 TILEPROP CLBLL_L_X28Y17 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y17 GRID_POINT_Y 138 TILEPROP CLBLL_L_X28Y17 INDEX 15941 TILEPROP CLBLL_L_X28Y17 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y17 INT_TILE_Y 132 TILEPROP CLBLL_L_X28Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y17 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y17 NAME CLBLL_L_X28Y17 TILEPROP CLBLL_L_X28Y17 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y17 NUM_SITES 2 TILEPROP CLBLL_L_X28Y17 ROW 138 TILEPROP CLBLL_L_X28Y17 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y17 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y17 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y17 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y17 TILE_X 27660 TILEPROP CLBLL_L_X28Y17 TILE_Y -185272 TILEPROP CLBLL_L_X28Y17 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y18 CLASS tile TILEPROP CLBLL_L_X28Y18 COLUMN 71 TILEPROP CLBLL_L_X28Y18 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y18 FIRST_SITE_ID 13863 TILEPROP CLBLL_L_X28Y18 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y18 GRID_POINT_Y 137 TILEPROP CLBLL_L_X28Y18 INDEX 15826 TILEPROP CLBLL_L_X28Y18 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y18 INT_TILE_Y 131 TILEPROP CLBLL_L_X28Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y18 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y18 NAME CLBLL_L_X28Y18 TILEPROP CLBLL_L_X28Y18 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y18 NUM_SITES 2 TILEPROP CLBLL_L_X28Y18 ROW 137 TILEPROP CLBLL_L_X28Y18 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y18 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y18 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y18 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y18 TILE_X 27660 TILEPROP CLBLL_L_X28Y18 TILE_Y -182072 TILEPROP CLBLL_L_X28Y18 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y19 CLASS tile TILEPROP CLBLL_L_X28Y19 COLUMN 71 TILEPROP CLBLL_L_X28Y19 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y19 FIRST_SITE_ID 13761 TILEPROP CLBLL_L_X28Y19 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y19 GRID_POINT_Y 136 TILEPROP CLBLL_L_X28Y19 INDEX 15711 TILEPROP CLBLL_L_X28Y19 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y19 INT_TILE_Y 130 TILEPROP CLBLL_L_X28Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y19 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y19 NAME CLBLL_L_X28Y19 TILEPROP CLBLL_L_X28Y19 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y19 NUM_SITES 2 TILEPROP CLBLL_L_X28Y19 ROW 136 TILEPROP CLBLL_L_X28Y19 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y19 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y19 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y19 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y19 TILE_X 27660 TILEPROP CLBLL_L_X28Y19 TILE_Y -178872 TILEPROP CLBLL_L_X28Y19 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y20 CLASS tile TILEPROP CLBLL_L_X28Y20 COLUMN 71 TILEPROP CLBLL_L_X28Y20 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y20 FIRST_SITE_ID 13650 TILEPROP CLBLL_L_X28Y20 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y20 GRID_POINT_Y 135 TILEPROP CLBLL_L_X28Y20 INDEX 15596 TILEPROP CLBLL_L_X28Y20 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y20 INT_TILE_Y 129 TILEPROP CLBLL_L_X28Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y20 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y20 NAME CLBLL_L_X28Y20 TILEPROP CLBLL_L_X28Y20 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y20 NUM_SITES 2 TILEPROP CLBLL_L_X28Y20 ROW 135 TILEPROP CLBLL_L_X28Y20 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y20 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y20 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y20 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y20 TILE_X 27660 TILEPROP CLBLL_L_X28Y20 TILE_Y -175672 TILEPROP CLBLL_L_X28Y20 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y21 CLASS tile TILEPROP CLBLL_L_X28Y21 COLUMN 71 TILEPROP CLBLL_L_X28Y21 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y21 FIRST_SITE_ID 13544 TILEPROP CLBLL_L_X28Y21 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y21 GRID_POINT_Y 134 TILEPROP CLBLL_L_X28Y21 INDEX 15481 TILEPROP CLBLL_L_X28Y21 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y21 INT_TILE_Y 128 TILEPROP CLBLL_L_X28Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y21 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y21 NAME CLBLL_L_X28Y21 TILEPROP CLBLL_L_X28Y21 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y21 NUM_SITES 2 TILEPROP CLBLL_L_X28Y21 ROW 134 TILEPROP CLBLL_L_X28Y21 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y21 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y21 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y21 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y21 TILE_X 27660 TILEPROP CLBLL_L_X28Y21 TILE_Y -172472 TILEPROP CLBLL_L_X28Y21 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y22 CLASS tile TILEPROP CLBLL_L_X28Y22 COLUMN 71 TILEPROP CLBLL_L_X28Y22 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y22 FIRST_SITE_ID 13444 TILEPROP CLBLL_L_X28Y22 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y22 GRID_POINT_Y 133 TILEPROP CLBLL_L_X28Y22 INDEX 15366 TILEPROP CLBLL_L_X28Y22 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y22 INT_TILE_Y 127 TILEPROP CLBLL_L_X28Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y22 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y22 NAME CLBLL_L_X28Y22 TILEPROP CLBLL_L_X28Y22 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y22 NUM_SITES 2 TILEPROP CLBLL_L_X28Y22 ROW 133 TILEPROP CLBLL_L_X28Y22 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y22 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y22 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y22 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y22 TILE_X 27660 TILEPROP CLBLL_L_X28Y22 TILE_Y -169272 TILEPROP CLBLL_L_X28Y22 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y23 CLASS tile TILEPROP CLBLL_L_X28Y23 COLUMN 71 TILEPROP CLBLL_L_X28Y23 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y23 FIRST_SITE_ID 13344 TILEPROP CLBLL_L_X28Y23 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y23 GRID_POINT_Y 132 TILEPROP CLBLL_L_X28Y23 INDEX 15251 TILEPROP CLBLL_L_X28Y23 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y23 INT_TILE_Y 126 TILEPROP CLBLL_L_X28Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y23 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y23 NAME CLBLL_L_X28Y23 TILEPROP CLBLL_L_X28Y23 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y23 NUM_SITES 2 TILEPROP CLBLL_L_X28Y23 ROW 132 TILEPROP CLBLL_L_X28Y23 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y23 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y23 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y23 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y23 TILE_X 27660 TILEPROP CLBLL_L_X28Y23 TILE_Y -166072 TILEPROP CLBLL_L_X28Y23 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y24 CLASS tile TILEPROP CLBLL_L_X28Y24 COLUMN 71 TILEPROP CLBLL_L_X28Y24 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y24 FIRST_SITE_ID 13244 TILEPROP CLBLL_L_X28Y24 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y24 GRID_POINT_Y 131 TILEPROP CLBLL_L_X28Y24 INDEX 15136 TILEPROP CLBLL_L_X28Y24 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y24 INT_TILE_Y 125 TILEPROP CLBLL_L_X28Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y24 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y24 NAME CLBLL_L_X28Y24 TILEPROP CLBLL_L_X28Y24 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y24 NUM_SITES 2 TILEPROP CLBLL_L_X28Y24 ROW 131 TILEPROP CLBLL_L_X28Y24 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y24 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X28Y24 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y24 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y24 TILE_X 27660 TILEPROP CLBLL_L_X28Y24 TILE_Y -162872 TILEPROP CLBLL_L_X28Y24 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y25 CLASS tile TILEPROP CLBLL_L_X28Y25 COLUMN 71 TILEPROP CLBLL_L_X28Y25 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y25 FIRST_SITE_ID 13052 TILEPROP CLBLL_L_X28Y25 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y25 GRID_POINT_Y 129 TILEPROP CLBLL_L_X28Y25 INDEX 14906 TILEPROP CLBLL_L_X28Y25 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y25 INT_TILE_Y 124 TILEPROP CLBLL_L_X28Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y25 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y25 NAME CLBLL_L_X28Y25 TILEPROP CLBLL_L_X28Y25 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y25 NUM_SITES 2 TILEPROP CLBLL_L_X28Y25 ROW 129 TILEPROP CLBLL_L_X28Y25 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y25 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X28Y25 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y25 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y25 TILE_X 27660 TILEPROP CLBLL_L_X28Y25 TILE_Y -158648 TILEPROP CLBLL_L_X28Y25 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y26 CLASS tile TILEPROP CLBLL_L_X28Y26 COLUMN 71 TILEPROP CLBLL_L_X28Y26 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y26 FIRST_SITE_ID 12946 TILEPROP CLBLL_L_X28Y26 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y26 GRID_POINT_Y 128 TILEPROP CLBLL_L_X28Y26 INDEX 14791 TILEPROP CLBLL_L_X28Y26 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y26 INT_TILE_Y 123 TILEPROP CLBLL_L_X28Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y26 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y26 NAME CLBLL_L_X28Y26 TILEPROP CLBLL_L_X28Y26 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y26 NUM_SITES 2 TILEPROP CLBLL_L_X28Y26 ROW 128 TILEPROP CLBLL_L_X28Y26 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y26 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y26 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y26 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y26 TILE_X 27660 TILEPROP CLBLL_L_X28Y26 TILE_Y -155448 TILEPROP CLBLL_L_X28Y26 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y27 CLASS tile TILEPROP CLBLL_L_X28Y27 COLUMN 71 TILEPROP CLBLL_L_X28Y27 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y27 FIRST_SITE_ID 12846 TILEPROP CLBLL_L_X28Y27 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y27 GRID_POINT_Y 127 TILEPROP CLBLL_L_X28Y27 INDEX 14676 TILEPROP CLBLL_L_X28Y27 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y27 INT_TILE_Y 122 TILEPROP CLBLL_L_X28Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y27 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y27 NAME CLBLL_L_X28Y27 TILEPROP CLBLL_L_X28Y27 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y27 NUM_SITES 2 TILEPROP CLBLL_L_X28Y27 ROW 127 TILEPROP CLBLL_L_X28Y27 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y27 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y27 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y27 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y27 TILE_X 27660 TILEPROP CLBLL_L_X28Y27 TILE_Y -152248 TILEPROP CLBLL_L_X28Y27 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y28 CLASS tile TILEPROP CLBLL_L_X28Y28 COLUMN 71 TILEPROP CLBLL_L_X28Y28 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y28 FIRST_SITE_ID 12746 TILEPROP CLBLL_L_X28Y28 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y28 GRID_POINT_Y 126 TILEPROP CLBLL_L_X28Y28 INDEX 14561 TILEPROP CLBLL_L_X28Y28 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y28 INT_TILE_Y 121 TILEPROP CLBLL_L_X28Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y28 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y28 NAME CLBLL_L_X28Y28 TILEPROP CLBLL_L_X28Y28 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y28 NUM_SITES 2 TILEPROP CLBLL_L_X28Y28 ROW 126 TILEPROP CLBLL_L_X28Y28 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y28 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y28 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y28 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y28 TILE_X 27660 TILEPROP CLBLL_L_X28Y28 TILE_Y -149048 TILEPROP CLBLL_L_X28Y28 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y29 CLASS tile TILEPROP CLBLL_L_X28Y29 COLUMN 71 TILEPROP CLBLL_L_X28Y29 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y29 FIRST_SITE_ID 12640 TILEPROP CLBLL_L_X28Y29 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y29 GRID_POINT_Y 125 TILEPROP CLBLL_L_X28Y29 INDEX 14446 TILEPROP CLBLL_L_X28Y29 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y29 INT_TILE_Y 120 TILEPROP CLBLL_L_X28Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y29 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y29 NAME CLBLL_L_X28Y29 TILEPROP CLBLL_L_X28Y29 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y29 NUM_SITES 2 TILEPROP CLBLL_L_X28Y29 ROW 125 TILEPROP CLBLL_L_X28Y29 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y29 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y29 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y29 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y29 TILE_X 27660 TILEPROP CLBLL_L_X28Y29 TILE_Y -145848 TILEPROP CLBLL_L_X28Y29 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y30 CLASS tile TILEPROP CLBLL_L_X28Y30 COLUMN 71 TILEPROP CLBLL_L_X28Y30 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y30 FIRST_SITE_ID 12525 TILEPROP CLBLL_L_X28Y30 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y30 GRID_POINT_Y 124 TILEPROP CLBLL_L_X28Y30 INDEX 14331 TILEPROP CLBLL_L_X28Y30 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y30 INT_TILE_Y 119 TILEPROP CLBLL_L_X28Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y30 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y30 NAME CLBLL_L_X28Y30 TILEPROP CLBLL_L_X28Y30 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y30 NUM_SITES 2 TILEPROP CLBLL_L_X28Y30 ROW 124 TILEPROP CLBLL_L_X28Y30 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y30 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y30 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y30 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y30 TILE_X 27660 TILEPROP CLBLL_L_X28Y30 TILE_Y -142648 TILEPROP CLBLL_L_X28Y30 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y31 CLASS tile TILEPROP CLBLL_L_X28Y31 COLUMN 71 TILEPROP CLBLL_L_X28Y31 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y31 FIRST_SITE_ID 12404 TILEPROP CLBLL_L_X28Y31 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y31 GRID_POINT_Y 123 TILEPROP CLBLL_L_X28Y31 INDEX 14216 TILEPROP CLBLL_L_X28Y31 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y31 INT_TILE_Y 118 TILEPROP CLBLL_L_X28Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y31 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y31 NAME CLBLL_L_X28Y31 TILEPROP CLBLL_L_X28Y31 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y31 NUM_SITES 2 TILEPROP CLBLL_L_X28Y31 ROW 123 TILEPROP CLBLL_L_X28Y31 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y31 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y31 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y31 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y31 TILE_X 27660 TILEPROP CLBLL_L_X28Y31 TILE_Y -139448 TILEPROP CLBLL_L_X28Y31 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y32 CLASS tile TILEPROP CLBLL_L_X28Y32 COLUMN 71 TILEPROP CLBLL_L_X28Y32 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y32 FIRST_SITE_ID 12302 TILEPROP CLBLL_L_X28Y32 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y32 GRID_POINT_Y 122 TILEPROP CLBLL_L_X28Y32 INDEX 14101 TILEPROP CLBLL_L_X28Y32 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y32 INT_TILE_Y 117 TILEPROP CLBLL_L_X28Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y32 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y32 NAME CLBLL_L_X28Y32 TILEPROP CLBLL_L_X28Y32 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y32 NUM_SITES 2 TILEPROP CLBLL_L_X28Y32 ROW 122 TILEPROP CLBLL_L_X28Y32 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y32 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y32 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y32 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y32 TILE_X 27660 TILEPROP CLBLL_L_X28Y32 TILE_Y -136248 TILEPROP CLBLL_L_X28Y32 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y33 CLASS tile TILEPROP CLBLL_L_X28Y33 COLUMN 71 TILEPROP CLBLL_L_X28Y33 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y33 FIRST_SITE_ID 12201 TILEPROP CLBLL_L_X28Y33 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y33 GRID_POINT_Y 121 TILEPROP CLBLL_L_X28Y33 INDEX 13986 TILEPROP CLBLL_L_X28Y33 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y33 INT_TILE_Y 116 TILEPROP CLBLL_L_X28Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y33 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y33 NAME CLBLL_L_X28Y33 TILEPROP CLBLL_L_X28Y33 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y33 NUM_SITES 2 TILEPROP CLBLL_L_X28Y33 ROW 121 TILEPROP CLBLL_L_X28Y33 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y33 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y33 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y33 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y33 TILE_X 27660 TILEPROP CLBLL_L_X28Y33 TILE_Y -133048 TILEPROP CLBLL_L_X28Y33 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y34 CLASS tile TILEPROP CLBLL_L_X28Y34 COLUMN 71 TILEPROP CLBLL_L_X28Y34 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y34 FIRST_SITE_ID 12101 TILEPROP CLBLL_L_X28Y34 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y34 GRID_POINT_Y 120 TILEPROP CLBLL_L_X28Y34 INDEX 13871 TILEPROP CLBLL_L_X28Y34 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y34 INT_TILE_Y 115 TILEPROP CLBLL_L_X28Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y34 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y34 NAME CLBLL_L_X28Y34 TILEPROP CLBLL_L_X28Y34 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y34 NUM_SITES 2 TILEPROP CLBLL_L_X28Y34 ROW 120 TILEPROP CLBLL_L_X28Y34 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y34 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y34 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y34 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y34 TILE_X 27660 TILEPROP CLBLL_L_X28Y34 TILE_Y -129848 TILEPROP CLBLL_L_X28Y34 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y35 CLASS tile TILEPROP CLBLL_L_X28Y35 COLUMN 71 TILEPROP CLBLL_L_X28Y35 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y35 FIRST_SITE_ID 11992 TILEPROP CLBLL_L_X28Y35 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y35 GRID_POINT_Y 119 TILEPROP CLBLL_L_X28Y35 INDEX 13756 TILEPROP CLBLL_L_X28Y35 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y35 INT_TILE_Y 114 TILEPROP CLBLL_L_X28Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y35 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y35 NAME CLBLL_L_X28Y35 TILEPROP CLBLL_L_X28Y35 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y35 NUM_SITES 2 TILEPROP CLBLL_L_X28Y35 ROW 119 TILEPROP CLBLL_L_X28Y35 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y35 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y35 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y35 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y35 TILE_X 27660 TILEPROP CLBLL_L_X28Y35 TILE_Y -126648 TILEPROP CLBLL_L_X28Y35 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y36 CLASS tile TILEPROP CLBLL_L_X28Y36 COLUMN 71 TILEPROP CLBLL_L_X28Y36 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y36 FIRST_SITE_ID 11886 TILEPROP CLBLL_L_X28Y36 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y36 GRID_POINT_Y 118 TILEPROP CLBLL_L_X28Y36 INDEX 13641 TILEPROP CLBLL_L_X28Y36 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y36 INT_TILE_Y 113 TILEPROP CLBLL_L_X28Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y36 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y36 NAME CLBLL_L_X28Y36 TILEPROP CLBLL_L_X28Y36 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y36 NUM_SITES 2 TILEPROP CLBLL_L_X28Y36 ROW 118 TILEPROP CLBLL_L_X28Y36 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y36 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y36 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y36 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y36 TILE_X 27660 TILEPROP CLBLL_L_X28Y36 TILE_Y -123448 TILEPROP CLBLL_L_X28Y36 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y37 CLASS tile TILEPROP CLBLL_L_X28Y37 COLUMN 71 TILEPROP CLBLL_L_X28Y37 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y37 FIRST_SITE_ID 11754 TILEPROP CLBLL_L_X28Y37 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y37 GRID_POINT_Y 117 TILEPROP CLBLL_L_X28Y37 INDEX 13526 TILEPROP CLBLL_L_X28Y37 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y37 INT_TILE_Y 112 TILEPROP CLBLL_L_X28Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y37 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y37 NAME CLBLL_L_X28Y37 TILEPROP CLBLL_L_X28Y37 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y37 NUM_SITES 2 TILEPROP CLBLL_L_X28Y37 ROW 117 TILEPROP CLBLL_L_X28Y37 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y37 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y37 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y37 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y37 TILE_X 27660 TILEPROP CLBLL_L_X28Y37 TILE_Y -120248 TILEPROP CLBLL_L_X28Y37 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y38 CLASS tile TILEPROP CLBLL_L_X28Y38 COLUMN 71 TILEPROP CLBLL_L_X28Y38 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y38 FIRST_SITE_ID 11654 TILEPROP CLBLL_L_X28Y38 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y38 GRID_POINT_Y 116 TILEPROP CLBLL_L_X28Y38 INDEX 13411 TILEPROP CLBLL_L_X28Y38 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y38 INT_TILE_Y 111 TILEPROP CLBLL_L_X28Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y38 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y38 NAME CLBLL_L_X28Y38 TILEPROP CLBLL_L_X28Y38 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y38 NUM_SITES 2 TILEPROP CLBLL_L_X28Y38 ROW 116 TILEPROP CLBLL_L_X28Y38 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y38 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y38 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y38 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y38 TILE_X 27660 TILEPROP CLBLL_L_X28Y38 TILE_Y -117048 TILEPROP CLBLL_L_X28Y38 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y39 CLASS tile TILEPROP CLBLL_L_X28Y39 COLUMN 71 TILEPROP CLBLL_L_X28Y39 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y39 FIRST_SITE_ID 11554 TILEPROP CLBLL_L_X28Y39 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y39 GRID_POINT_Y 115 TILEPROP CLBLL_L_X28Y39 INDEX 13296 TILEPROP CLBLL_L_X28Y39 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y39 INT_TILE_Y 110 TILEPROP CLBLL_L_X28Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y39 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y39 NAME CLBLL_L_X28Y39 TILEPROP CLBLL_L_X28Y39 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y39 NUM_SITES 2 TILEPROP CLBLL_L_X28Y39 ROW 115 TILEPROP CLBLL_L_X28Y39 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y39 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y39 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y39 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y39 TILE_X 27660 TILEPROP CLBLL_L_X28Y39 TILE_Y -113848 TILEPROP CLBLL_L_X28Y39 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y40 CLASS tile TILEPROP CLBLL_L_X28Y40 COLUMN 71 TILEPROP CLBLL_L_X28Y40 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y40 FIRST_SITE_ID 11445 TILEPROP CLBLL_L_X28Y40 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y40 GRID_POINT_Y 114 TILEPROP CLBLL_L_X28Y40 INDEX 13181 TILEPROP CLBLL_L_X28Y40 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y40 INT_TILE_Y 109 TILEPROP CLBLL_L_X28Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y40 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y40 NAME CLBLL_L_X28Y40 TILEPROP CLBLL_L_X28Y40 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y40 NUM_SITES 2 TILEPROP CLBLL_L_X28Y40 ROW 114 TILEPROP CLBLL_L_X28Y40 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y40 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y40 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y40 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y40 TILE_X 27660 TILEPROP CLBLL_L_X28Y40 TILE_Y -110648 TILEPROP CLBLL_L_X28Y40 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y41 CLASS tile TILEPROP CLBLL_L_X28Y41 COLUMN 71 TILEPROP CLBLL_L_X28Y41 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y41 FIRST_SITE_ID 11337 TILEPROP CLBLL_L_X28Y41 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y41 GRID_POINT_Y 113 TILEPROP CLBLL_L_X28Y41 INDEX 13066 TILEPROP CLBLL_L_X28Y41 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y41 INT_TILE_Y 108 TILEPROP CLBLL_L_X28Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y41 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y41 NAME CLBLL_L_X28Y41 TILEPROP CLBLL_L_X28Y41 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y41 NUM_SITES 2 TILEPROP CLBLL_L_X28Y41 ROW 113 TILEPROP CLBLL_L_X28Y41 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y41 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y41 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y41 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y41 TILE_X 27660 TILEPROP CLBLL_L_X28Y41 TILE_Y -107448 TILEPROP CLBLL_L_X28Y41 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y42 CLASS tile TILEPROP CLBLL_L_X28Y42 COLUMN 71 TILEPROP CLBLL_L_X28Y42 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y42 FIRST_SITE_ID 11235 TILEPROP CLBLL_L_X28Y42 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y42 GRID_POINT_Y 112 TILEPROP CLBLL_L_X28Y42 INDEX 12951 TILEPROP CLBLL_L_X28Y42 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y42 INT_TILE_Y 107 TILEPROP CLBLL_L_X28Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y42 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y42 NAME CLBLL_L_X28Y42 TILEPROP CLBLL_L_X28Y42 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y42 NUM_SITES 2 TILEPROP CLBLL_L_X28Y42 ROW 112 TILEPROP CLBLL_L_X28Y42 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y42 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y42 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y42 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y42 TILE_X 27660 TILEPROP CLBLL_L_X28Y42 TILE_Y -104248 TILEPROP CLBLL_L_X28Y42 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y43 CLASS tile TILEPROP CLBLL_L_X28Y43 COLUMN 71 TILEPROP CLBLL_L_X28Y43 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y43 FIRST_SITE_ID 11132 TILEPROP CLBLL_L_X28Y43 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y43 GRID_POINT_Y 111 TILEPROP CLBLL_L_X28Y43 INDEX 12836 TILEPROP CLBLL_L_X28Y43 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y43 INT_TILE_Y 106 TILEPROP CLBLL_L_X28Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y43 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y43 NAME CLBLL_L_X28Y43 TILEPROP CLBLL_L_X28Y43 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y43 NUM_SITES 2 TILEPROP CLBLL_L_X28Y43 ROW 111 TILEPROP CLBLL_L_X28Y43 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y43 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y43 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y43 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y43 TILE_X 27660 TILEPROP CLBLL_L_X28Y43 TILE_Y -101048 TILEPROP CLBLL_L_X28Y43 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y44 CLASS tile TILEPROP CLBLL_L_X28Y44 COLUMN 71 TILEPROP CLBLL_L_X28Y44 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y44 FIRST_SITE_ID 11030 TILEPROP CLBLL_L_X28Y44 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y44 GRID_POINT_Y 110 TILEPROP CLBLL_L_X28Y44 INDEX 12721 TILEPROP CLBLL_L_X28Y44 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y44 INT_TILE_Y 105 TILEPROP CLBLL_L_X28Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y44 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y44 NAME CLBLL_L_X28Y44 TILEPROP CLBLL_L_X28Y44 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y44 NUM_SITES 2 TILEPROP CLBLL_L_X28Y44 ROW 110 TILEPROP CLBLL_L_X28Y44 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y44 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y44 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y44 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y44 TILE_X 27660 TILEPROP CLBLL_L_X28Y44 TILE_Y -97848 TILEPROP CLBLL_L_X28Y44 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y45 CLASS tile TILEPROP CLBLL_L_X28Y45 COLUMN 71 TILEPROP CLBLL_L_X28Y45 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y45 FIRST_SITE_ID 10921 TILEPROP CLBLL_L_X28Y45 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y45 GRID_POINT_Y 109 TILEPROP CLBLL_L_X28Y45 INDEX 12606 TILEPROP CLBLL_L_X28Y45 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y45 INT_TILE_Y 104 TILEPROP CLBLL_L_X28Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y45 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y45 NAME CLBLL_L_X28Y45 TILEPROP CLBLL_L_X28Y45 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y45 NUM_SITES 2 TILEPROP CLBLL_L_X28Y45 ROW 109 TILEPROP CLBLL_L_X28Y45 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y45 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y45 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y45 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y45 TILE_X 27660 TILEPROP CLBLL_L_X28Y45 TILE_Y -94648 TILEPROP CLBLL_L_X28Y45 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y46 CLASS tile TILEPROP CLBLL_L_X28Y46 COLUMN 71 TILEPROP CLBLL_L_X28Y46 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y46 FIRST_SITE_ID 10814 TILEPROP CLBLL_L_X28Y46 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y46 GRID_POINT_Y 108 TILEPROP CLBLL_L_X28Y46 INDEX 12491 TILEPROP CLBLL_L_X28Y46 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y46 INT_TILE_Y 103 TILEPROP CLBLL_L_X28Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y46 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y46 NAME CLBLL_L_X28Y46 TILEPROP CLBLL_L_X28Y46 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y46 NUM_SITES 2 TILEPROP CLBLL_L_X28Y46 ROW 108 TILEPROP CLBLL_L_X28Y46 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y46 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y46 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y46 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y46 TILE_X 27660 TILEPROP CLBLL_L_X28Y46 TILE_Y -91448 TILEPROP CLBLL_L_X28Y46 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y47 CLASS tile TILEPROP CLBLL_L_X28Y47 COLUMN 71 TILEPROP CLBLL_L_X28Y47 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y47 FIRST_SITE_ID 10698 TILEPROP CLBLL_L_X28Y47 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y47 GRID_POINT_Y 107 TILEPROP CLBLL_L_X28Y47 INDEX 12376 TILEPROP CLBLL_L_X28Y47 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y47 INT_TILE_Y 102 TILEPROP CLBLL_L_X28Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y47 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y47 NAME CLBLL_L_X28Y47 TILEPROP CLBLL_L_X28Y47 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y47 NUM_SITES 2 TILEPROP CLBLL_L_X28Y47 ROW 107 TILEPROP CLBLL_L_X28Y47 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y47 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y47 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y47 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y47 TILE_X 27660 TILEPROP CLBLL_L_X28Y47 TILE_Y -88248 TILEPROP CLBLL_L_X28Y47 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y48 CLASS tile TILEPROP CLBLL_L_X28Y48 COLUMN 71 TILEPROP CLBLL_L_X28Y48 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y48 FIRST_SITE_ID 10598 TILEPROP CLBLL_L_X28Y48 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y48 GRID_POINT_Y 106 TILEPROP CLBLL_L_X28Y48 INDEX 12261 TILEPROP CLBLL_L_X28Y48 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y48 INT_TILE_Y 101 TILEPROP CLBLL_L_X28Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y48 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y48 NAME CLBLL_L_X28Y48 TILEPROP CLBLL_L_X28Y48 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y48 NUM_SITES 2 TILEPROP CLBLL_L_X28Y48 ROW 106 TILEPROP CLBLL_L_X28Y48 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y48 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y48 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y48 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y48 TILE_X 27660 TILEPROP CLBLL_L_X28Y48 TILE_Y -85048 TILEPROP CLBLL_L_X28Y48 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y49 CLASS tile TILEPROP CLBLL_L_X28Y49 COLUMN 71 TILEPROP CLBLL_L_X28Y49 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y49 FIRST_SITE_ID 10502 TILEPROP CLBLL_L_X28Y49 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y49 GRID_POINT_Y 105 TILEPROP CLBLL_L_X28Y49 INDEX 12146 TILEPROP CLBLL_L_X28Y49 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y49 INT_TILE_Y 100 TILEPROP CLBLL_L_X28Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y49 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y49 NAME CLBLL_L_X28Y49 TILEPROP CLBLL_L_X28Y49 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y49 NUM_SITES 2 TILEPROP CLBLL_L_X28Y49 ROW 105 TILEPROP CLBLL_L_X28Y49 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y49 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X28Y49 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y49 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y49 TILE_X 27660 TILEPROP CLBLL_L_X28Y49 TILE_Y -81848 TILEPROP CLBLL_L_X28Y49 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y50 CLASS tile TILEPROP CLBLL_L_X28Y50 COLUMN 71 TILEPROP CLBLL_L_X28Y50 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y50 FIRST_SITE_ID 10393 TILEPROP CLBLL_L_X28Y50 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y50 GRID_POINT_Y 103 TILEPROP CLBLL_L_X28Y50 INDEX 11916 TILEPROP CLBLL_L_X28Y50 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y50 INT_TILE_Y 99 TILEPROP CLBLL_L_X28Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y50 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y50 NAME CLBLL_L_X28Y50 TILEPROP CLBLL_L_X28Y50 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y50 NUM_SITES 2 TILEPROP CLBLL_L_X28Y50 ROW 103 TILEPROP CLBLL_L_X28Y50 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y50 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X28Y50 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y50 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y50 TILE_X 27660 TILEPROP CLBLL_L_X28Y50 TILE_Y -78400 TILEPROP CLBLL_L_X28Y50 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y51 CLASS tile TILEPROP CLBLL_L_X28Y51 COLUMN 71 TILEPROP CLBLL_L_X28Y51 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y51 FIRST_SITE_ID 10267 TILEPROP CLBLL_L_X28Y51 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y51 GRID_POINT_Y 102 TILEPROP CLBLL_L_X28Y51 INDEX 11801 TILEPROP CLBLL_L_X28Y51 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y51 INT_TILE_Y 98 TILEPROP CLBLL_L_X28Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y51 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y51 NAME CLBLL_L_X28Y51 TILEPROP CLBLL_L_X28Y51 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y51 NUM_SITES 2 TILEPROP CLBLL_L_X28Y51 ROW 102 TILEPROP CLBLL_L_X28Y51 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y51 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y51 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y51 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y51 TILE_X 27660 TILEPROP CLBLL_L_X28Y51 TILE_Y -75200 TILEPROP CLBLL_L_X28Y51 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y52 CLASS tile TILEPROP CLBLL_L_X28Y52 COLUMN 71 TILEPROP CLBLL_L_X28Y52 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y52 FIRST_SITE_ID 10167 TILEPROP CLBLL_L_X28Y52 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y52 GRID_POINT_Y 101 TILEPROP CLBLL_L_X28Y52 INDEX 11686 TILEPROP CLBLL_L_X28Y52 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y52 INT_TILE_Y 97 TILEPROP CLBLL_L_X28Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y52 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y52 NAME CLBLL_L_X28Y52 TILEPROP CLBLL_L_X28Y52 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y52 NUM_SITES 2 TILEPROP CLBLL_L_X28Y52 ROW 101 TILEPROP CLBLL_L_X28Y52 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y52 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y52 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y52 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y52 TILE_X 27660 TILEPROP CLBLL_L_X28Y52 TILE_Y -72000 TILEPROP CLBLL_L_X28Y52 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y53 CLASS tile TILEPROP CLBLL_L_X28Y53 COLUMN 71 TILEPROP CLBLL_L_X28Y53 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y53 FIRST_SITE_ID 10067 TILEPROP CLBLL_L_X28Y53 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y53 GRID_POINT_Y 100 TILEPROP CLBLL_L_X28Y53 INDEX 11571 TILEPROP CLBLL_L_X28Y53 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y53 INT_TILE_Y 96 TILEPROP CLBLL_L_X28Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y53 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y53 NAME CLBLL_L_X28Y53 TILEPROP CLBLL_L_X28Y53 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y53 NUM_SITES 2 TILEPROP CLBLL_L_X28Y53 ROW 100 TILEPROP CLBLL_L_X28Y53 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y53 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y53 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y53 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y53 TILE_X 27660 TILEPROP CLBLL_L_X28Y53 TILE_Y -68800 TILEPROP CLBLL_L_X28Y53 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y54 CLASS tile TILEPROP CLBLL_L_X28Y54 COLUMN 71 TILEPROP CLBLL_L_X28Y54 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y54 FIRST_SITE_ID 9967 TILEPROP CLBLL_L_X28Y54 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y54 GRID_POINT_Y 99 TILEPROP CLBLL_L_X28Y54 INDEX 11456 TILEPROP CLBLL_L_X28Y54 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y54 INT_TILE_Y 95 TILEPROP CLBLL_L_X28Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y54 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y54 NAME CLBLL_L_X28Y54 TILEPROP CLBLL_L_X28Y54 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y54 NUM_SITES 2 TILEPROP CLBLL_L_X28Y54 ROW 99 TILEPROP CLBLL_L_X28Y54 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y54 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y54 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y54 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y54 TILE_X 27660 TILEPROP CLBLL_L_X28Y54 TILE_Y -65600 TILEPROP CLBLL_L_X28Y54 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y55 CLASS tile TILEPROP CLBLL_L_X28Y55 COLUMN 71 TILEPROP CLBLL_L_X28Y55 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y55 FIRST_SITE_ID 9858 TILEPROP CLBLL_L_X28Y55 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y55 GRID_POINT_Y 98 TILEPROP CLBLL_L_X28Y55 INDEX 11341 TILEPROP CLBLL_L_X28Y55 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y55 INT_TILE_Y 94 TILEPROP CLBLL_L_X28Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y55 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y55 NAME CLBLL_L_X28Y55 TILEPROP CLBLL_L_X28Y55 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y55 NUM_SITES 2 TILEPROP CLBLL_L_X28Y55 ROW 98 TILEPROP CLBLL_L_X28Y55 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y55 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y55 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y55 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y55 TILE_X 27660 TILEPROP CLBLL_L_X28Y55 TILE_Y -62400 TILEPROP CLBLL_L_X28Y55 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y56 CLASS tile TILEPROP CLBLL_L_X28Y56 COLUMN 71 TILEPROP CLBLL_L_X28Y56 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y56 FIRST_SITE_ID 9752 TILEPROP CLBLL_L_X28Y56 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y56 GRID_POINT_Y 97 TILEPROP CLBLL_L_X28Y56 INDEX 11226 TILEPROP CLBLL_L_X28Y56 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y56 INT_TILE_Y 93 TILEPROP CLBLL_L_X28Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y56 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y56 NAME CLBLL_L_X28Y56 TILEPROP CLBLL_L_X28Y56 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y56 NUM_SITES 2 TILEPROP CLBLL_L_X28Y56 ROW 97 TILEPROP CLBLL_L_X28Y56 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y56 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y56 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y56 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y56 TILE_X 27660 TILEPROP CLBLL_L_X28Y56 TILE_Y -59200 TILEPROP CLBLL_L_X28Y56 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y57 CLASS tile TILEPROP CLBLL_L_X28Y57 COLUMN 71 TILEPROP CLBLL_L_X28Y57 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y57 FIRST_SITE_ID 9650 TILEPROP CLBLL_L_X28Y57 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y57 GRID_POINT_Y 96 TILEPROP CLBLL_L_X28Y57 INDEX 11111 TILEPROP CLBLL_L_X28Y57 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y57 INT_TILE_Y 92 TILEPROP CLBLL_L_X28Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y57 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y57 NAME CLBLL_L_X28Y57 TILEPROP CLBLL_L_X28Y57 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y57 NUM_SITES 2 TILEPROP CLBLL_L_X28Y57 ROW 96 TILEPROP CLBLL_L_X28Y57 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y57 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y57 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y57 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y57 TILE_X 27660 TILEPROP CLBLL_L_X28Y57 TILE_Y -56000 TILEPROP CLBLL_L_X28Y57 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y58 CLASS tile TILEPROP CLBLL_L_X28Y58 COLUMN 71 TILEPROP CLBLL_L_X28Y58 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y58 FIRST_SITE_ID 9547 TILEPROP CLBLL_L_X28Y58 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y58 GRID_POINT_Y 95 TILEPROP CLBLL_L_X28Y58 INDEX 10996 TILEPROP CLBLL_L_X28Y58 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y58 INT_TILE_Y 91 TILEPROP CLBLL_L_X28Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y58 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y58 NAME CLBLL_L_X28Y58 TILEPROP CLBLL_L_X28Y58 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y58 NUM_SITES 2 TILEPROP CLBLL_L_X28Y58 ROW 95 TILEPROP CLBLL_L_X28Y58 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y58 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y58 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y58 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y58 TILE_X 27660 TILEPROP CLBLL_L_X28Y58 TILE_Y -52800 TILEPROP CLBLL_L_X28Y58 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y59 CLASS tile TILEPROP CLBLL_L_X28Y59 COLUMN 71 TILEPROP CLBLL_L_X28Y59 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y59 FIRST_SITE_ID 9446 TILEPROP CLBLL_L_X28Y59 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y59 GRID_POINT_Y 94 TILEPROP CLBLL_L_X28Y59 INDEX 10881 TILEPROP CLBLL_L_X28Y59 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y59 INT_TILE_Y 90 TILEPROP CLBLL_L_X28Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y59 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y59 NAME CLBLL_L_X28Y59 TILEPROP CLBLL_L_X28Y59 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y59 NUM_SITES 2 TILEPROP CLBLL_L_X28Y59 ROW 94 TILEPROP CLBLL_L_X28Y59 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y59 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y59 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y59 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y59 TILE_X 27660 TILEPROP CLBLL_L_X28Y59 TILE_Y -49600 TILEPROP CLBLL_L_X28Y59 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y60 CLASS tile TILEPROP CLBLL_L_X28Y60 COLUMN 71 TILEPROP CLBLL_L_X28Y60 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y60 FIRST_SITE_ID 9337 TILEPROP CLBLL_L_X28Y60 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y60 GRID_POINT_Y 93 TILEPROP CLBLL_L_X28Y60 INDEX 10766 TILEPROP CLBLL_L_X28Y60 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y60 INT_TILE_Y 89 TILEPROP CLBLL_L_X28Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y60 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y60 NAME CLBLL_L_X28Y60 TILEPROP CLBLL_L_X28Y60 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y60 NUM_SITES 2 TILEPROP CLBLL_L_X28Y60 ROW 93 TILEPROP CLBLL_L_X28Y60 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y60 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y60 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y60 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y60 TILE_X 27660 TILEPROP CLBLL_L_X28Y60 TILE_Y -46400 TILEPROP CLBLL_L_X28Y60 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y61 CLASS tile TILEPROP CLBLL_L_X28Y61 COLUMN 71 TILEPROP CLBLL_L_X28Y61 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y61 FIRST_SITE_ID 9231 TILEPROP CLBLL_L_X28Y61 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y61 GRID_POINT_Y 92 TILEPROP CLBLL_L_X28Y61 INDEX 10651 TILEPROP CLBLL_L_X28Y61 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y61 INT_TILE_Y 88 TILEPROP CLBLL_L_X28Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y61 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y61 NAME CLBLL_L_X28Y61 TILEPROP CLBLL_L_X28Y61 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y61 NUM_SITES 2 TILEPROP CLBLL_L_X28Y61 ROW 92 TILEPROP CLBLL_L_X28Y61 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y61 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y61 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y61 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y61 TILE_X 27660 TILEPROP CLBLL_L_X28Y61 TILE_Y -43200 TILEPROP CLBLL_L_X28Y61 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y62 CLASS tile TILEPROP CLBLL_L_X28Y62 COLUMN 71 TILEPROP CLBLL_L_X28Y62 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y62 FIRST_SITE_ID 9131 TILEPROP CLBLL_L_X28Y62 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y62 GRID_POINT_Y 91 TILEPROP CLBLL_L_X28Y62 INDEX 10536 TILEPROP CLBLL_L_X28Y62 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y62 INT_TILE_Y 87 TILEPROP CLBLL_L_X28Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y62 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y62 NAME CLBLL_L_X28Y62 TILEPROP CLBLL_L_X28Y62 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y62 NUM_SITES 2 TILEPROP CLBLL_L_X28Y62 ROW 91 TILEPROP CLBLL_L_X28Y62 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y62 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y62 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y62 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y62 TILE_X 27660 TILEPROP CLBLL_L_X28Y62 TILE_Y -40000 TILEPROP CLBLL_L_X28Y62 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y63 CLASS tile TILEPROP CLBLL_L_X28Y63 COLUMN 71 TILEPROP CLBLL_L_X28Y63 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y63 FIRST_SITE_ID 8999 TILEPROP CLBLL_L_X28Y63 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y63 GRID_POINT_Y 90 TILEPROP CLBLL_L_X28Y63 INDEX 10421 TILEPROP CLBLL_L_X28Y63 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y63 INT_TILE_Y 86 TILEPROP CLBLL_L_X28Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y63 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y63 NAME CLBLL_L_X28Y63 TILEPROP CLBLL_L_X28Y63 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y63 NUM_SITES 2 TILEPROP CLBLL_L_X28Y63 ROW 90 TILEPROP CLBLL_L_X28Y63 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y63 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y63 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y63 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y63 TILE_X 27660 TILEPROP CLBLL_L_X28Y63 TILE_Y -36800 TILEPROP CLBLL_L_X28Y63 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y64 CLASS tile TILEPROP CLBLL_L_X28Y64 COLUMN 71 TILEPROP CLBLL_L_X28Y64 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y64 FIRST_SITE_ID 8899 TILEPROP CLBLL_L_X28Y64 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y64 GRID_POINT_Y 89 TILEPROP CLBLL_L_X28Y64 INDEX 10306 TILEPROP CLBLL_L_X28Y64 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y64 INT_TILE_Y 85 TILEPROP CLBLL_L_X28Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y64 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y64 NAME CLBLL_L_X28Y64 TILEPROP CLBLL_L_X28Y64 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y64 NUM_SITES 2 TILEPROP CLBLL_L_X28Y64 ROW 89 TILEPROP CLBLL_L_X28Y64 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y64 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y64 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y64 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y64 TILE_X 27660 TILEPROP CLBLL_L_X28Y64 TILE_Y -33600 TILEPROP CLBLL_L_X28Y64 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y65 CLASS tile TILEPROP CLBLL_L_X28Y65 COLUMN 71 TILEPROP CLBLL_L_X28Y65 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y65 FIRST_SITE_ID 8790 TILEPROP CLBLL_L_X28Y65 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y65 GRID_POINT_Y 88 TILEPROP CLBLL_L_X28Y65 INDEX 10191 TILEPROP CLBLL_L_X28Y65 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y65 INT_TILE_Y 84 TILEPROP CLBLL_L_X28Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y65 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y65 NAME CLBLL_L_X28Y65 TILEPROP CLBLL_L_X28Y65 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y65 NUM_SITES 2 TILEPROP CLBLL_L_X28Y65 ROW 88 TILEPROP CLBLL_L_X28Y65 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y65 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y65 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y65 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y65 TILE_X 27660 TILEPROP CLBLL_L_X28Y65 TILE_Y -30400 TILEPROP CLBLL_L_X28Y65 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y66 CLASS tile TILEPROP CLBLL_L_X28Y66 COLUMN 71 TILEPROP CLBLL_L_X28Y66 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y66 FIRST_SITE_ID 8684 TILEPROP CLBLL_L_X28Y66 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y66 GRID_POINT_Y 87 TILEPROP CLBLL_L_X28Y66 INDEX 10076 TILEPROP CLBLL_L_X28Y66 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y66 INT_TILE_Y 83 TILEPROP CLBLL_L_X28Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y66 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y66 NAME CLBLL_L_X28Y66 TILEPROP CLBLL_L_X28Y66 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y66 NUM_SITES 2 TILEPROP CLBLL_L_X28Y66 ROW 87 TILEPROP CLBLL_L_X28Y66 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y66 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y66 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y66 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y66 TILE_X 27660 TILEPROP CLBLL_L_X28Y66 TILE_Y -27200 TILEPROP CLBLL_L_X28Y66 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y67 CLASS tile TILEPROP CLBLL_L_X28Y67 COLUMN 71 TILEPROP CLBLL_L_X28Y67 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y67 FIRST_SITE_ID 8580 TILEPROP CLBLL_L_X28Y67 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y67 GRID_POINT_Y 86 TILEPROP CLBLL_L_X28Y67 INDEX 9961 TILEPROP CLBLL_L_X28Y67 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y67 INT_TILE_Y 82 TILEPROP CLBLL_L_X28Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y67 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y67 NAME CLBLL_L_X28Y67 TILEPROP CLBLL_L_X28Y67 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y67 NUM_SITES 2 TILEPROP CLBLL_L_X28Y67 ROW 86 TILEPROP CLBLL_L_X28Y67 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y67 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y67 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y67 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y67 TILE_X 27660 TILEPROP CLBLL_L_X28Y67 TILE_Y -24000 TILEPROP CLBLL_L_X28Y67 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y68 CLASS tile TILEPROP CLBLL_L_X28Y68 COLUMN 71 TILEPROP CLBLL_L_X28Y68 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y68 FIRST_SITE_ID 8476 TILEPROP CLBLL_L_X28Y68 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y68 GRID_POINT_Y 85 TILEPROP CLBLL_L_X28Y68 INDEX 9846 TILEPROP CLBLL_L_X28Y68 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y68 INT_TILE_Y 81 TILEPROP CLBLL_L_X28Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y68 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y68 NAME CLBLL_L_X28Y68 TILEPROP CLBLL_L_X28Y68 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y68 NUM_SITES 2 TILEPROP CLBLL_L_X28Y68 ROW 85 TILEPROP CLBLL_L_X28Y68 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y68 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y68 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y68 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y68 TILE_X 27660 TILEPROP CLBLL_L_X28Y68 TILE_Y -20800 TILEPROP CLBLL_L_X28Y68 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y69 CLASS tile TILEPROP CLBLL_L_X28Y69 COLUMN 71 TILEPROP CLBLL_L_X28Y69 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y69 FIRST_SITE_ID 8374 TILEPROP CLBLL_L_X28Y69 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y69 GRID_POINT_Y 84 TILEPROP CLBLL_L_X28Y69 INDEX 9731 TILEPROP CLBLL_L_X28Y69 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y69 INT_TILE_Y 80 TILEPROP CLBLL_L_X28Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y69 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y69 NAME CLBLL_L_X28Y69 TILEPROP CLBLL_L_X28Y69 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y69 NUM_SITES 2 TILEPROP CLBLL_L_X28Y69 ROW 84 TILEPROP CLBLL_L_X28Y69 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y69 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y69 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y69 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y69 TILE_X 27660 TILEPROP CLBLL_L_X28Y69 TILE_Y -17600 TILEPROP CLBLL_L_X28Y69 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y70 CLASS tile TILEPROP CLBLL_L_X28Y70 COLUMN 71 TILEPROP CLBLL_L_X28Y70 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y70 FIRST_SITE_ID 8263 TILEPROP CLBLL_L_X28Y70 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y70 GRID_POINT_Y 83 TILEPROP CLBLL_L_X28Y70 INDEX 9616 TILEPROP CLBLL_L_X28Y70 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y70 INT_TILE_Y 79 TILEPROP CLBLL_L_X28Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y70 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y70 NAME CLBLL_L_X28Y70 TILEPROP CLBLL_L_X28Y70 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y70 NUM_SITES 2 TILEPROP CLBLL_L_X28Y70 ROW 83 TILEPROP CLBLL_L_X28Y70 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y70 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y70 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y70 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y70 TILE_X 27660 TILEPROP CLBLL_L_X28Y70 TILE_Y -14400 TILEPROP CLBLL_L_X28Y70 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y71 CLASS tile TILEPROP CLBLL_L_X28Y71 COLUMN 71 TILEPROP CLBLL_L_X28Y71 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y71 FIRST_SITE_ID 8157 TILEPROP CLBLL_L_X28Y71 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y71 GRID_POINT_Y 82 TILEPROP CLBLL_L_X28Y71 INDEX 9501 TILEPROP CLBLL_L_X28Y71 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y71 INT_TILE_Y 78 TILEPROP CLBLL_L_X28Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y71 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y71 NAME CLBLL_L_X28Y71 TILEPROP CLBLL_L_X28Y71 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y71 NUM_SITES 2 TILEPROP CLBLL_L_X28Y71 ROW 82 TILEPROP CLBLL_L_X28Y71 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y71 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y71 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y71 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y71 TILE_X 27660 TILEPROP CLBLL_L_X28Y71 TILE_Y -11200 TILEPROP CLBLL_L_X28Y71 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y72 CLASS tile TILEPROP CLBLL_L_X28Y72 COLUMN 71 TILEPROP CLBLL_L_X28Y72 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y72 FIRST_SITE_ID 8057 TILEPROP CLBLL_L_X28Y72 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y72 GRID_POINT_Y 81 TILEPROP CLBLL_L_X28Y72 INDEX 9386 TILEPROP CLBLL_L_X28Y72 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y72 INT_TILE_Y 77 TILEPROP CLBLL_L_X28Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y72 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y72 NAME CLBLL_L_X28Y72 TILEPROP CLBLL_L_X28Y72 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y72 NUM_SITES 2 TILEPROP CLBLL_L_X28Y72 ROW 81 TILEPROP CLBLL_L_X28Y72 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y72 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y72 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y72 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y72 TILE_X 27660 TILEPROP CLBLL_L_X28Y72 TILE_Y -8000 TILEPROP CLBLL_L_X28Y72 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y73 CLASS tile TILEPROP CLBLL_L_X28Y73 COLUMN 71 TILEPROP CLBLL_L_X28Y73 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y73 FIRST_SITE_ID 7957 TILEPROP CLBLL_L_X28Y73 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y73 GRID_POINT_Y 80 TILEPROP CLBLL_L_X28Y73 INDEX 9271 TILEPROP CLBLL_L_X28Y73 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y73 INT_TILE_Y 76 TILEPROP CLBLL_L_X28Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y73 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y73 NAME CLBLL_L_X28Y73 TILEPROP CLBLL_L_X28Y73 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y73 NUM_SITES 2 TILEPROP CLBLL_L_X28Y73 ROW 80 TILEPROP CLBLL_L_X28Y73 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y73 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y73 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y73 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y73 TILE_X 27660 TILEPROP CLBLL_L_X28Y73 TILE_Y -4800 TILEPROP CLBLL_L_X28Y73 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y74 CLASS tile TILEPROP CLBLL_L_X28Y74 COLUMN 71 TILEPROP CLBLL_L_X28Y74 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y74 FIRST_SITE_ID 7857 TILEPROP CLBLL_L_X28Y74 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y74 GRID_POINT_Y 79 TILEPROP CLBLL_L_X28Y74 INDEX 9156 TILEPROP CLBLL_L_X28Y74 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y74 INT_TILE_Y 75 TILEPROP CLBLL_L_X28Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y74 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y74 NAME CLBLL_L_X28Y74 TILEPROP CLBLL_L_X28Y74 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y74 NUM_SITES 2 TILEPROP CLBLL_L_X28Y74 ROW 79 TILEPROP CLBLL_L_X28Y74 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y74 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X28Y74 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y74 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y74 TILE_X 27660 TILEPROP CLBLL_L_X28Y74 TILE_Y -1600 TILEPROP CLBLL_L_X28Y74 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y75 CLASS tile TILEPROP CLBLL_L_X28Y75 COLUMN 71 TILEPROP CLBLL_L_X28Y75 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y75 FIRST_SITE_ID 7665 TILEPROP CLBLL_L_X28Y75 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y75 GRID_POINT_Y 77 TILEPROP CLBLL_L_X28Y75 INDEX 8926 TILEPROP CLBLL_L_X28Y75 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y75 INT_TILE_Y 74 TILEPROP CLBLL_L_X28Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y75 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y75 NAME CLBLL_L_X28Y75 TILEPROP CLBLL_L_X28Y75 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y75 NUM_SITES 2 TILEPROP CLBLL_L_X28Y75 ROW 77 TILEPROP CLBLL_L_X28Y75 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y75 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X28Y75 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y75 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y75 TILE_X 27660 TILEPROP CLBLL_L_X28Y75 TILE_Y 2624 TILEPROP CLBLL_L_X28Y75 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y76 CLASS tile TILEPROP CLBLL_L_X28Y76 COLUMN 71 TILEPROP CLBLL_L_X28Y76 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y76 FIRST_SITE_ID 7556 TILEPROP CLBLL_L_X28Y76 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y76 GRID_POINT_Y 76 TILEPROP CLBLL_L_X28Y76 INDEX 8811 TILEPROP CLBLL_L_X28Y76 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y76 INT_TILE_Y 73 TILEPROP CLBLL_L_X28Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y76 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y76 NAME CLBLL_L_X28Y76 TILEPROP CLBLL_L_X28Y76 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y76 NUM_SITES 2 TILEPROP CLBLL_L_X28Y76 ROW 76 TILEPROP CLBLL_L_X28Y76 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y76 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y76 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y76 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y76 TILE_X 27660 TILEPROP CLBLL_L_X28Y76 TILE_Y 5824 TILEPROP CLBLL_L_X28Y76 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y77 CLASS tile TILEPROP CLBLL_L_X28Y77 COLUMN 71 TILEPROP CLBLL_L_X28Y77 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y77 FIRST_SITE_ID 7456 TILEPROP CLBLL_L_X28Y77 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y77 GRID_POINT_Y 75 TILEPROP CLBLL_L_X28Y77 INDEX 8696 TILEPROP CLBLL_L_X28Y77 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y77 INT_TILE_Y 72 TILEPROP CLBLL_L_X28Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y77 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y77 NAME CLBLL_L_X28Y77 TILEPROP CLBLL_L_X28Y77 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y77 NUM_SITES 2 TILEPROP CLBLL_L_X28Y77 ROW 75 TILEPROP CLBLL_L_X28Y77 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y77 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y77 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y77 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y77 TILE_X 27660 TILEPROP CLBLL_L_X28Y77 TILE_Y 9024 TILEPROP CLBLL_L_X28Y77 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y78 CLASS tile TILEPROP CLBLL_L_X28Y78 COLUMN 71 TILEPROP CLBLL_L_X28Y78 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y78 FIRST_SITE_ID 7356 TILEPROP CLBLL_L_X28Y78 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y78 GRID_POINT_Y 74 TILEPROP CLBLL_L_X28Y78 INDEX 8581 TILEPROP CLBLL_L_X28Y78 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y78 INT_TILE_Y 71 TILEPROP CLBLL_L_X28Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y78 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y78 NAME CLBLL_L_X28Y78 TILEPROP CLBLL_L_X28Y78 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y78 NUM_SITES 2 TILEPROP CLBLL_L_X28Y78 ROW 74 TILEPROP CLBLL_L_X28Y78 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y78 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y78 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y78 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y78 TILE_X 27660 TILEPROP CLBLL_L_X28Y78 TILE_Y 12224 TILEPROP CLBLL_L_X28Y78 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y79 CLASS tile TILEPROP CLBLL_L_X28Y79 COLUMN 71 TILEPROP CLBLL_L_X28Y79 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y79 FIRST_SITE_ID 7250 TILEPROP CLBLL_L_X28Y79 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y79 GRID_POINT_Y 73 TILEPROP CLBLL_L_X28Y79 INDEX 8466 TILEPROP CLBLL_L_X28Y79 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y79 INT_TILE_Y 70 TILEPROP CLBLL_L_X28Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y79 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y79 NAME CLBLL_L_X28Y79 TILEPROP CLBLL_L_X28Y79 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y79 NUM_SITES 2 TILEPROP CLBLL_L_X28Y79 ROW 73 TILEPROP CLBLL_L_X28Y79 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y79 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y79 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y79 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y79 TILE_X 27660 TILEPROP CLBLL_L_X28Y79 TILE_Y 15424 TILEPROP CLBLL_L_X28Y79 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y80 CLASS tile TILEPROP CLBLL_L_X28Y80 COLUMN 71 TILEPROP CLBLL_L_X28Y80 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y80 FIRST_SITE_ID 7135 TILEPROP CLBLL_L_X28Y80 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y80 GRID_POINT_Y 72 TILEPROP CLBLL_L_X28Y80 INDEX 8351 TILEPROP CLBLL_L_X28Y80 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y80 INT_TILE_Y 69 TILEPROP CLBLL_L_X28Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y80 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y80 NAME CLBLL_L_X28Y80 TILEPROP CLBLL_L_X28Y80 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y80 NUM_SITES 2 TILEPROP CLBLL_L_X28Y80 ROW 72 TILEPROP CLBLL_L_X28Y80 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y80 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y80 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y80 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y80 TILE_X 27660 TILEPROP CLBLL_L_X28Y80 TILE_Y 18624 TILEPROP CLBLL_L_X28Y80 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y81 CLASS tile TILEPROP CLBLL_L_X28Y81 COLUMN 71 TILEPROP CLBLL_L_X28Y81 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y81 FIRST_SITE_ID 7027 TILEPROP CLBLL_L_X28Y81 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y81 GRID_POINT_Y 71 TILEPROP CLBLL_L_X28Y81 INDEX 8236 TILEPROP CLBLL_L_X28Y81 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y81 INT_TILE_Y 68 TILEPROP CLBLL_L_X28Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y81 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y81 NAME CLBLL_L_X28Y81 TILEPROP CLBLL_L_X28Y81 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y81 NUM_SITES 2 TILEPROP CLBLL_L_X28Y81 ROW 71 TILEPROP CLBLL_L_X28Y81 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y81 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y81 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y81 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y81 TILE_X 27660 TILEPROP CLBLL_L_X28Y81 TILE_Y 21824 TILEPROP CLBLL_L_X28Y81 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y82 CLASS tile TILEPROP CLBLL_L_X28Y82 COLUMN 71 TILEPROP CLBLL_L_X28Y82 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y82 FIRST_SITE_ID 6925 TILEPROP CLBLL_L_X28Y82 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y82 GRID_POINT_Y 70 TILEPROP CLBLL_L_X28Y82 INDEX 8121 TILEPROP CLBLL_L_X28Y82 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y82 INT_TILE_Y 67 TILEPROP CLBLL_L_X28Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y82 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y82 NAME CLBLL_L_X28Y82 TILEPROP CLBLL_L_X28Y82 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y82 NUM_SITES 2 TILEPROP CLBLL_L_X28Y82 ROW 70 TILEPROP CLBLL_L_X28Y82 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y82 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y82 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y82 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y82 TILE_X 27660 TILEPROP CLBLL_L_X28Y82 TILE_Y 25024 TILEPROP CLBLL_L_X28Y82 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y83 CLASS tile TILEPROP CLBLL_L_X28Y83 COLUMN 71 TILEPROP CLBLL_L_X28Y83 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y83 FIRST_SITE_ID 6825 TILEPROP CLBLL_L_X28Y83 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y83 GRID_POINT_Y 69 TILEPROP CLBLL_L_X28Y83 INDEX 8006 TILEPROP CLBLL_L_X28Y83 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y83 INT_TILE_Y 66 TILEPROP CLBLL_L_X28Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y83 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y83 NAME CLBLL_L_X28Y83 TILEPROP CLBLL_L_X28Y83 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y83 NUM_SITES 2 TILEPROP CLBLL_L_X28Y83 ROW 69 TILEPROP CLBLL_L_X28Y83 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y83 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y83 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y83 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y83 TILE_X 27660 TILEPROP CLBLL_L_X28Y83 TILE_Y 28224 TILEPROP CLBLL_L_X28Y83 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y84 CLASS tile TILEPROP CLBLL_L_X28Y84 COLUMN 71 TILEPROP CLBLL_L_X28Y84 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y84 FIRST_SITE_ID 6725 TILEPROP CLBLL_L_X28Y84 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y84 GRID_POINT_Y 68 TILEPROP CLBLL_L_X28Y84 INDEX 7891 TILEPROP CLBLL_L_X28Y84 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y84 INT_TILE_Y 65 TILEPROP CLBLL_L_X28Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y84 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y84 NAME CLBLL_L_X28Y84 TILEPROP CLBLL_L_X28Y84 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y84 NUM_SITES 2 TILEPROP CLBLL_L_X28Y84 ROW 68 TILEPROP CLBLL_L_X28Y84 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y84 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y84 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y84 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y84 TILE_X 27660 TILEPROP CLBLL_L_X28Y84 TILE_Y 31424 TILEPROP CLBLL_L_X28Y84 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y85 CLASS tile TILEPROP CLBLL_L_X28Y85 COLUMN 71 TILEPROP CLBLL_L_X28Y85 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y85 FIRST_SITE_ID 6616 TILEPROP CLBLL_L_X28Y85 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y85 GRID_POINT_Y 67 TILEPROP CLBLL_L_X28Y85 INDEX 7776 TILEPROP CLBLL_L_X28Y85 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y85 INT_TILE_Y 64 TILEPROP CLBLL_L_X28Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y85 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y85 NAME CLBLL_L_X28Y85 TILEPROP CLBLL_L_X28Y85 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y85 NUM_SITES 2 TILEPROP CLBLL_L_X28Y85 ROW 67 TILEPROP CLBLL_L_X28Y85 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y85 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y85 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y85 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y85 TILE_X 27660 TILEPROP CLBLL_L_X28Y85 TILE_Y 34624 TILEPROP CLBLL_L_X28Y85 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y86 CLASS tile TILEPROP CLBLL_L_X28Y86 COLUMN 71 TILEPROP CLBLL_L_X28Y86 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y86 FIRST_SITE_ID 6510 TILEPROP CLBLL_L_X28Y86 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y86 GRID_POINT_Y 66 TILEPROP CLBLL_L_X28Y86 INDEX 7661 TILEPROP CLBLL_L_X28Y86 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y86 INT_TILE_Y 63 TILEPROP CLBLL_L_X28Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y86 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y86 NAME CLBLL_L_X28Y86 TILEPROP CLBLL_L_X28Y86 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y86 NUM_SITES 2 TILEPROP CLBLL_L_X28Y86 ROW 66 TILEPROP CLBLL_L_X28Y86 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y86 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y86 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y86 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y86 TILE_X 27660 TILEPROP CLBLL_L_X28Y86 TILE_Y 37824 TILEPROP CLBLL_L_X28Y86 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y87 CLASS tile TILEPROP CLBLL_L_X28Y87 COLUMN 71 TILEPROP CLBLL_L_X28Y87 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y87 FIRST_SITE_ID 6378 TILEPROP CLBLL_L_X28Y87 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y87 GRID_POINT_Y 65 TILEPROP CLBLL_L_X28Y87 INDEX 7546 TILEPROP CLBLL_L_X28Y87 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y87 INT_TILE_Y 62 TILEPROP CLBLL_L_X28Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y87 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y87 NAME CLBLL_L_X28Y87 TILEPROP CLBLL_L_X28Y87 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y87 NUM_SITES 2 TILEPROP CLBLL_L_X28Y87 ROW 65 TILEPROP CLBLL_L_X28Y87 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y87 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y87 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y87 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y87 TILE_X 27660 TILEPROP CLBLL_L_X28Y87 TILE_Y 41024 TILEPROP CLBLL_L_X28Y87 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y88 CLASS tile TILEPROP CLBLL_L_X28Y88 COLUMN 71 TILEPROP CLBLL_L_X28Y88 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y88 FIRST_SITE_ID 6278 TILEPROP CLBLL_L_X28Y88 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y88 GRID_POINT_Y 64 TILEPROP CLBLL_L_X28Y88 INDEX 7431 TILEPROP CLBLL_L_X28Y88 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y88 INT_TILE_Y 61 TILEPROP CLBLL_L_X28Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y88 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y88 NAME CLBLL_L_X28Y88 TILEPROP CLBLL_L_X28Y88 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y88 NUM_SITES 2 TILEPROP CLBLL_L_X28Y88 ROW 64 TILEPROP CLBLL_L_X28Y88 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y88 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y88 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y88 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y88 TILE_X 27660 TILEPROP CLBLL_L_X28Y88 TILE_Y 44224 TILEPROP CLBLL_L_X28Y88 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y89 CLASS tile TILEPROP CLBLL_L_X28Y89 COLUMN 71 TILEPROP CLBLL_L_X28Y89 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y89 FIRST_SITE_ID 6178 TILEPROP CLBLL_L_X28Y89 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y89 GRID_POINT_Y 63 TILEPROP CLBLL_L_X28Y89 INDEX 7316 TILEPROP CLBLL_L_X28Y89 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y89 INT_TILE_Y 60 TILEPROP CLBLL_L_X28Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y89 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y89 NAME CLBLL_L_X28Y89 TILEPROP CLBLL_L_X28Y89 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y89 NUM_SITES 2 TILEPROP CLBLL_L_X28Y89 ROW 63 TILEPROP CLBLL_L_X28Y89 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y89 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y89 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y89 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y89 TILE_X 27660 TILEPROP CLBLL_L_X28Y89 TILE_Y 47424 TILEPROP CLBLL_L_X28Y89 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y90 CLASS tile TILEPROP CLBLL_L_X28Y90 COLUMN 71 TILEPROP CLBLL_L_X28Y90 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y90 FIRST_SITE_ID 6069 TILEPROP CLBLL_L_X28Y90 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y90 GRID_POINT_Y 62 TILEPROP CLBLL_L_X28Y90 INDEX 7201 TILEPROP CLBLL_L_X28Y90 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y90 INT_TILE_Y 59 TILEPROP CLBLL_L_X28Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y90 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y90 NAME CLBLL_L_X28Y90 TILEPROP CLBLL_L_X28Y90 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y90 NUM_SITES 2 TILEPROP CLBLL_L_X28Y90 ROW 62 TILEPROP CLBLL_L_X28Y90 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y90 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y90 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y90 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y90 TILE_X 27660 TILEPROP CLBLL_L_X28Y90 TILE_Y 50624 TILEPROP CLBLL_L_X28Y90 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y91 CLASS tile TILEPROP CLBLL_L_X28Y91 COLUMN 71 TILEPROP CLBLL_L_X28Y91 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y91 FIRST_SITE_ID 5963 TILEPROP CLBLL_L_X28Y91 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y91 GRID_POINT_Y 61 TILEPROP CLBLL_L_X28Y91 INDEX 7086 TILEPROP CLBLL_L_X28Y91 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y91 INT_TILE_Y 58 TILEPROP CLBLL_L_X28Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y91 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y91 NAME CLBLL_L_X28Y91 TILEPROP CLBLL_L_X28Y91 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y91 NUM_SITES 2 TILEPROP CLBLL_L_X28Y91 ROW 61 TILEPROP CLBLL_L_X28Y91 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y91 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y91 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y91 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y91 TILE_X 27660 TILEPROP CLBLL_L_X28Y91 TILE_Y 53824 TILEPROP CLBLL_L_X28Y91 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y92 CLASS tile TILEPROP CLBLL_L_X28Y92 COLUMN 71 TILEPROP CLBLL_L_X28Y92 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y92 FIRST_SITE_ID 5862 TILEPROP CLBLL_L_X28Y92 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y92 GRID_POINT_Y 60 TILEPROP CLBLL_L_X28Y92 INDEX 6971 TILEPROP CLBLL_L_X28Y92 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y92 INT_TILE_Y 57 TILEPROP CLBLL_L_X28Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y92 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y92 NAME CLBLL_L_X28Y92 TILEPROP CLBLL_L_X28Y92 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y92 NUM_SITES 2 TILEPROP CLBLL_L_X28Y92 ROW 60 TILEPROP CLBLL_L_X28Y92 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y92 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y92 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y92 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y92 TILE_X 27660 TILEPROP CLBLL_L_X28Y92 TILE_Y 57024 TILEPROP CLBLL_L_X28Y92 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y93 CLASS tile TILEPROP CLBLL_L_X28Y93 COLUMN 71 TILEPROP CLBLL_L_X28Y93 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y93 FIRST_SITE_ID 5759 TILEPROP CLBLL_L_X28Y93 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y93 GRID_POINT_Y 59 TILEPROP CLBLL_L_X28Y93 INDEX 6856 TILEPROP CLBLL_L_X28Y93 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y93 INT_TILE_Y 56 TILEPROP CLBLL_L_X28Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y93 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y93 NAME CLBLL_L_X28Y93 TILEPROP CLBLL_L_X28Y93 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y93 NUM_SITES 2 TILEPROP CLBLL_L_X28Y93 ROW 59 TILEPROP CLBLL_L_X28Y93 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y93 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y93 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y93 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y93 TILE_X 27660 TILEPROP CLBLL_L_X28Y93 TILE_Y 60224 TILEPROP CLBLL_L_X28Y93 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y94 CLASS tile TILEPROP CLBLL_L_X28Y94 COLUMN 71 TILEPROP CLBLL_L_X28Y94 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y94 FIRST_SITE_ID 5657 TILEPROP CLBLL_L_X28Y94 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y94 GRID_POINT_Y 58 TILEPROP CLBLL_L_X28Y94 INDEX 6741 TILEPROP CLBLL_L_X28Y94 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y94 INT_TILE_Y 55 TILEPROP CLBLL_L_X28Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y94 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y94 NAME CLBLL_L_X28Y94 TILEPROP CLBLL_L_X28Y94 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y94 NUM_SITES 2 TILEPROP CLBLL_L_X28Y94 ROW 58 TILEPROP CLBLL_L_X28Y94 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y94 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y94 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y94 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y94 TILE_X 27660 TILEPROP CLBLL_L_X28Y94 TILE_Y 63424 TILEPROP CLBLL_L_X28Y94 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y95 CLASS tile TILEPROP CLBLL_L_X28Y95 COLUMN 71 TILEPROP CLBLL_L_X28Y95 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y95 FIRST_SITE_ID 5548 TILEPROP CLBLL_L_X28Y95 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y95 GRID_POINT_Y 57 TILEPROP CLBLL_L_X28Y95 INDEX 6626 TILEPROP CLBLL_L_X28Y95 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y95 INT_TILE_Y 54 TILEPROP CLBLL_L_X28Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y95 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y95 NAME CLBLL_L_X28Y95 TILEPROP CLBLL_L_X28Y95 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y95 NUM_SITES 2 TILEPROP CLBLL_L_X28Y95 ROW 57 TILEPROP CLBLL_L_X28Y95 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y95 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y95 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y95 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y95 TILE_X 27660 TILEPROP CLBLL_L_X28Y95 TILE_Y 66624 TILEPROP CLBLL_L_X28Y95 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y96 CLASS tile TILEPROP CLBLL_L_X28Y96 COLUMN 71 TILEPROP CLBLL_L_X28Y96 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y96 FIRST_SITE_ID 5442 TILEPROP CLBLL_L_X28Y96 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y96 GRID_POINT_Y 56 TILEPROP CLBLL_L_X28Y96 INDEX 6511 TILEPROP CLBLL_L_X28Y96 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y96 INT_TILE_Y 53 TILEPROP CLBLL_L_X28Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y96 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y96 NAME CLBLL_L_X28Y96 TILEPROP CLBLL_L_X28Y96 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y96 NUM_SITES 2 TILEPROP CLBLL_L_X28Y96 ROW 56 TILEPROP CLBLL_L_X28Y96 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y96 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y96 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y96 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y96 TILE_X 27660 TILEPROP CLBLL_L_X28Y96 TILE_Y 69824 TILEPROP CLBLL_L_X28Y96 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y97 CLASS tile TILEPROP CLBLL_L_X28Y97 COLUMN 71 TILEPROP CLBLL_L_X28Y97 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y97 FIRST_SITE_ID 5342 TILEPROP CLBLL_L_X28Y97 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y97 GRID_POINT_Y 55 TILEPROP CLBLL_L_X28Y97 INDEX 6396 TILEPROP CLBLL_L_X28Y97 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y97 INT_TILE_Y 52 TILEPROP CLBLL_L_X28Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y97 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y97 NAME CLBLL_L_X28Y97 TILEPROP CLBLL_L_X28Y97 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y97 NUM_SITES 2 TILEPROP CLBLL_L_X28Y97 ROW 55 TILEPROP CLBLL_L_X28Y97 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y97 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y97 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y97 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y97 TILE_X 27660 TILEPROP CLBLL_L_X28Y97 TILE_Y 73024 TILEPROP CLBLL_L_X28Y97 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y98 CLASS tile TILEPROP CLBLL_L_X28Y98 COLUMN 71 TILEPROP CLBLL_L_X28Y98 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y98 FIRST_SITE_ID 5242 TILEPROP CLBLL_L_X28Y98 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y98 GRID_POINT_Y 54 TILEPROP CLBLL_L_X28Y98 INDEX 6281 TILEPROP CLBLL_L_X28Y98 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y98 INT_TILE_Y 51 TILEPROP CLBLL_L_X28Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y98 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y98 NAME CLBLL_L_X28Y98 TILEPROP CLBLL_L_X28Y98 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y98 NUM_SITES 2 TILEPROP CLBLL_L_X28Y98 ROW 54 TILEPROP CLBLL_L_X28Y98 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y98 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y98 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y98 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y98 TILE_X 27660 TILEPROP CLBLL_L_X28Y98 TILE_Y 76224 TILEPROP CLBLL_L_X28Y98 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y99 CLASS tile TILEPROP CLBLL_L_X28Y99 COLUMN 71 TILEPROP CLBLL_L_X28Y99 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y99 FIRST_SITE_ID 5146 TILEPROP CLBLL_L_X28Y99 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y99 GRID_POINT_Y 53 TILEPROP CLBLL_L_X28Y99 INDEX 6166 TILEPROP CLBLL_L_X28Y99 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y99 INT_TILE_Y 50 TILEPROP CLBLL_L_X28Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y99 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y99 NAME CLBLL_L_X28Y99 TILEPROP CLBLL_L_X28Y99 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y99 NUM_SITES 2 TILEPROP CLBLL_L_X28Y99 ROW 53 TILEPROP CLBLL_L_X28Y99 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y99 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X28Y99 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y99 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y99 TILE_X 27660 TILEPROP CLBLL_L_X28Y99 TILE_Y 79424 TILEPROP CLBLL_L_X28Y99 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y125 CLASS tile TILEPROP CLBLL_L_X28Y125 COLUMN 71 TILEPROP CLBLL_L_X28Y125 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y125 FIRST_SITE_ID 2583 TILEPROP CLBLL_L_X28Y125 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y125 GRID_POINT_Y 25 TILEPROP CLBLL_L_X28Y125 INDEX 2946 TILEPROP CLBLL_L_X28Y125 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y125 INT_TILE_Y 24 TILEPROP CLBLL_L_X28Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y125 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y125 NAME CLBLL_L_X28Y125 TILEPROP CLBLL_L_X28Y125 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y125 NUM_SITES 2 TILEPROP CLBLL_L_X28Y125 ROW 25 TILEPROP CLBLL_L_X28Y125 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y125 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X28Y125 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y125 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y125 TILE_X 27660 TILEPROP CLBLL_L_X28Y125 TILE_Y 163896 TILEPROP CLBLL_L_X28Y125 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y126 CLASS tile TILEPROP CLBLL_L_X28Y126 COLUMN 71 TILEPROP CLBLL_L_X28Y126 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y126 FIRST_SITE_ID 2473 TILEPROP CLBLL_L_X28Y126 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y126 GRID_POINT_Y 24 TILEPROP CLBLL_L_X28Y126 INDEX 2831 TILEPROP CLBLL_L_X28Y126 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y126 INT_TILE_Y 23 TILEPROP CLBLL_L_X28Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y126 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y126 NAME CLBLL_L_X28Y126 TILEPROP CLBLL_L_X28Y126 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y126 NUM_SITES 2 TILEPROP CLBLL_L_X28Y126 ROW 24 TILEPROP CLBLL_L_X28Y126 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y126 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y126 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y126 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y126 TILE_X 27660 TILEPROP CLBLL_L_X28Y126 TILE_Y 167096 TILEPROP CLBLL_L_X28Y126 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y127 CLASS tile TILEPROP CLBLL_L_X28Y127 COLUMN 71 TILEPROP CLBLL_L_X28Y127 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y127 FIRST_SITE_ID 2377 TILEPROP CLBLL_L_X28Y127 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y127 GRID_POINT_Y 23 TILEPROP CLBLL_L_X28Y127 INDEX 2716 TILEPROP CLBLL_L_X28Y127 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y127 INT_TILE_Y 22 TILEPROP CLBLL_L_X28Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y127 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y127 NAME CLBLL_L_X28Y127 TILEPROP CLBLL_L_X28Y127 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y127 NUM_SITES 2 TILEPROP CLBLL_L_X28Y127 ROW 23 TILEPROP CLBLL_L_X28Y127 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y127 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y127 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y127 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y127 TILE_X 27660 TILEPROP CLBLL_L_X28Y127 TILE_Y 170296 TILEPROP CLBLL_L_X28Y127 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y128 CLASS tile TILEPROP CLBLL_L_X28Y128 COLUMN 71 TILEPROP CLBLL_L_X28Y128 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y128 FIRST_SITE_ID 2273 TILEPROP CLBLL_L_X28Y128 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y128 GRID_POINT_Y 22 TILEPROP CLBLL_L_X28Y128 INDEX 2601 TILEPROP CLBLL_L_X28Y128 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y128 INT_TILE_Y 21 TILEPROP CLBLL_L_X28Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y128 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y128 NAME CLBLL_L_X28Y128 TILEPROP CLBLL_L_X28Y128 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y128 NUM_SITES 2 TILEPROP CLBLL_L_X28Y128 ROW 22 TILEPROP CLBLL_L_X28Y128 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y128 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y128 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y128 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y128 TILE_X 27660 TILEPROP CLBLL_L_X28Y128 TILE_Y 173496 TILEPROP CLBLL_L_X28Y128 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y129 CLASS tile TILEPROP CLBLL_L_X28Y129 COLUMN 71 TILEPROP CLBLL_L_X28Y129 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y129 FIRST_SITE_ID 2177 TILEPROP CLBLL_L_X28Y129 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y129 GRID_POINT_Y 21 TILEPROP CLBLL_L_X28Y129 INDEX 2486 TILEPROP CLBLL_L_X28Y129 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y129 INT_TILE_Y 20 TILEPROP CLBLL_L_X28Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y129 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y129 NAME CLBLL_L_X28Y129 TILEPROP CLBLL_L_X28Y129 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y129 NUM_SITES 2 TILEPROP CLBLL_L_X28Y129 ROW 21 TILEPROP CLBLL_L_X28Y129 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y129 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y129 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y129 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y129 TILE_X 27660 TILEPROP CLBLL_L_X28Y129 TILE_Y 176696 TILEPROP CLBLL_L_X28Y129 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y130 CLASS tile TILEPROP CLBLL_L_X28Y130 COLUMN 71 TILEPROP CLBLL_L_X28Y130 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y130 FIRST_SITE_ID 2061 TILEPROP CLBLL_L_X28Y130 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y130 GRID_POINT_Y 20 TILEPROP CLBLL_L_X28Y130 INDEX 2371 TILEPROP CLBLL_L_X28Y130 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y130 INT_TILE_Y 19 TILEPROP CLBLL_L_X28Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y130 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y130 NAME CLBLL_L_X28Y130 TILEPROP CLBLL_L_X28Y130 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y130 NUM_SITES 2 TILEPROP CLBLL_L_X28Y130 ROW 20 TILEPROP CLBLL_L_X28Y130 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y130 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y130 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y130 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y130 TILE_X 27660 TILEPROP CLBLL_L_X28Y130 TILE_Y 179896 TILEPROP CLBLL_L_X28Y130 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y131 CLASS tile TILEPROP CLBLL_L_X28Y131 COLUMN 71 TILEPROP CLBLL_L_X28Y131 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y131 FIRST_SITE_ID 1959 TILEPROP CLBLL_L_X28Y131 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y131 GRID_POINT_Y 19 TILEPROP CLBLL_L_X28Y131 INDEX 2256 TILEPROP CLBLL_L_X28Y131 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y131 INT_TILE_Y 18 TILEPROP CLBLL_L_X28Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y131 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y131 NAME CLBLL_L_X28Y131 TILEPROP CLBLL_L_X28Y131 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y131 NUM_SITES 2 TILEPROP CLBLL_L_X28Y131 ROW 19 TILEPROP CLBLL_L_X28Y131 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y131 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y131 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y131 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y131 TILE_X 27660 TILEPROP CLBLL_L_X28Y131 TILE_Y 183096 TILEPROP CLBLL_L_X28Y131 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y132 CLASS tile TILEPROP CLBLL_L_X28Y132 COLUMN 71 TILEPROP CLBLL_L_X28Y132 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y132 FIRST_SITE_ID 1853 TILEPROP CLBLL_L_X28Y132 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y132 GRID_POINT_Y 18 TILEPROP CLBLL_L_X28Y132 INDEX 2141 TILEPROP CLBLL_L_X28Y132 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y132 INT_TILE_Y 17 TILEPROP CLBLL_L_X28Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y132 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y132 NAME CLBLL_L_X28Y132 TILEPROP CLBLL_L_X28Y132 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y132 NUM_SITES 2 TILEPROP CLBLL_L_X28Y132 ROW 18 TILEPROP CLBLL_L_X28Y132 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y132 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y132 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y132 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y132 TILE_X 27660 TILEPROP CLBLL_L_X28Y132 TILE_Y 186296 TILEPROP CLBLL_L_X28Y132 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y133 CLASS tile TILEPROP CLBLL_L_X28Y133 COLUMN 71 TILEPROP CLBLL_L_X28Y133 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y133 FIRST_SITE_ID 1752 TILEPROP CLBLL_L_X28Y133 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y133 GRID_POINT_Y 17 TILEPROP CLBLL_L_X28Y133 INDEX 2026 TILEPROP CLBLL_L_X28Y133 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y133 INT_TILE_Y 16 TILEPROP CLBLL_L_X28Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y133 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y133 NAME CLBLL_L_X28Y133 TILEPROP CLBLL_L_X28Y133 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y133 NUM_SITES 2 TILEPROP CLBLL_L_X28Y133 ROW 17 TILEPROP CLBLL_L_X28Y133 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y133 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y133 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y133 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y133 TILE_X 27660 TILEPROP CLBLL_L_X28Y133 TILE_Y 189496 TILEPROP CLBLL_L_X28Y133 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y134 CLASS tile TILEPROP CLBLL_L_X28Y134 COLUMN 71 TILEPROP CLBLL_L_X28Y134 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y134 FIRST_SITE_ID 1648 TILEPROP CLBLL_L_X28Y134 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y134 GRID_POINT_Y 16 TILEPROP CLBLL_L_X28Y134 INDEX 1911 TILEPROP CLBLL_L_X28Y134 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y134 INT_TILE_Y 15 TILEPROP CLBLL_L_X28Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y134 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y134 NAME CLBLL_L_X28Y134 TILEPROP CLBLL_L_X28Y134 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y134 NUM_SITES 2 TILEPROP CLBLL_L_X28Y134 ROW 16 TILEPROP CLBLL_L_X28Y134 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y134 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y134 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y134 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y134 TILE_X 27660 TILEPROP CLBLL_L_X28Y134 TILE_Y 192696 TILEPROP CLBLL_L_X28Y134 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y135 CLASS tile TILEPROP CLBLL_L_X28Y135 COLUMN 71 TILEPROP CLBLL_L_X28Y135 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y135 FIRST_SITE_ID 1546 TILEPROP CLBLL_L_X28Y135 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y135 GRID_POINT_Y 15 TILEPROP CLBLL_L_X28Y135 INDEX 1796 TILEPROP CLBLL_L_X28Y135 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y135 INT_TILE_Y 14 TILEPROP CLBLL_L_X28Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y135 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y135 NAME CLBLL_L_X28Y135 TILEPROP CLBLL_L_X28Y135 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y135 NUM_SITES 2 TILEPROP CLBLL_L_X28Y135 ROW 15 TILEPROP CLBLL_L_X28Y135 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y135 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y135 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y135 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y135 TILE_X 27660 TILEPROP CLBLL_L_X28Y135 TILE_Y 195896 TILEPROP CLBLL_L_X28Y135 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y136 CLASS tile TILEPROP CLBLL_L_X28Y136 COLUMN 71 TILEPROP CLBLL_L_X28Y136 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y136 FIRST_SITE_ID 1436 TILEPROP CLBLL_L_X28Y136 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y136 GRID_POINT_Y 14 TILEPROP CLBLL_L_X28Y136 INDEX 1681 TILEPROP CLBLL_L_X28Y136 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y136 INT_TILE_Y 13 TILEPROP CLBLL_L_X28Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y136 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y136 NAME CLBLL_L_X28Y136 TILEPROP CLBLL_L_X28Y136 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y136 NUM_SITES 2 TILEPROP CLBLL_L_X28Y136 ROW 14 TILEPROP CLBLL_L_X28Y136 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y136 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y136 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y136 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y136 TILE_X 27660 TILEPROP CLBLL_L_X28Y136 TILE_Y 199096 TILEPROP CLBLL_L_X28Y136 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y137 CLASS tile TILEPROP CLBLL_L_X28Y137 COLUMN 71 TILEPROP CLBLL_L_X28Y137 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y137 FIRST_SITE_ID 1308 TILEPROP CLBLL_L_X28Y137 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y137 GRID_POINT_Y 13 TILEPROP CLBLL_L_X28Y137 INDEX 1566 TILEPROP CLBLL_L_X28Y137 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y137 INT_TILE_Y 12 TILEPROP CLBLL_L_X28Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y137 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y137 NAME CLBLL_L_X28Y137 TILEPROP CLBLL_L_X28Y137 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y137 NUM_SITES 2 TILEPROP CLBLL_L_X28Y137 ROW 13 TILEPROP CLBLL_L_X28Y137 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y137 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y137 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y137 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y137 TILE_X 27660 TILEPROP CLBLL_L_X28Y137 TILE_Y 202296 TILEPROP CLBLL_L_X28Y137 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y138 CLASS tile TILEPROP CLBLL_L_X28Y138 COLUMN 71 TILEPROP CLBLL_L_X28Y138 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y138 FIRST_SITE_ID 1204 TILEPROP CLBLL_L_X28Y138 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y138 GRID_POINT_Y 12 TILEPROP CLBLL_L_X28Y138 INDEX 1451 TILEPROP CLBLL_L_X28Y138 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y138 INT_TILE_Y 11 TILEPROP CLBLL_L_X28Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y138 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y138 NAME CLBLL_L_X28Y138 TILEPROP CLBLL_L_X28Y138 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y138 NUM_SITES 2 TILEPROP CLBLL_L_X28Y138 ROW 12 TILEPROP CLBLL_L_X28Y138 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y138 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y138 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y138 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y138 TILE_X 27660 TILEPROP CLBLL_L_X28Y138 TILE_Y 205496 TILEPROP CLBLL_L_X28Y138 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y139 CLASS tile TILEPROP CLBLL_L_X28Y139 COLUMN 71 TILEPROP CLBLL_L_X28Y139 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y139 FIRST_SITE_ID 1108 TILEPROP CLBLL_L_X28Y139 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y139 GRID_POINT_Y 11 TILEPROP CLBLL_L_X28Y139 INDEX 1336 TILEPROP CLBLL_L_X28Y139 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y139 INT_TILE_Y 10 TILEPROP CLBLL_L_X28Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y139 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y139 NAME CLBLL_L_X28Y139 TILEPROP CLBLL_L_X28Y139 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y139 NUM_SITES 2 TILEPROP CLBLL_L_X28Y139 ROW 11 TILEPROP CLBLL_L_X28Y139 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y139 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y139 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y139 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y139 TILE_X 27660 TILEPROP CLBLL_L_X28Y139 TILE_Y 208696 TILEPROP CLBLL_L_X28Y139 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y140 CLASS tile TILEPROP CLBLL_L_X28Y140 COLUMN 71 TILEPROP CLBLL_L_X28Y140 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y140 FIRST_SITE_ID 998 TILEPROP CLBLL_L_X28Y140 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y140 GRID_POINT_Y 10 TILEPROP CLBLL_L_X28Y140 INDEX 1221 TILEPROP CLBLL_L_X28Y140 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y140 INT_TILE_Y 9 TILEPROP CLBLL_L_X28Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y140 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y140 NAME CLBLL_L_X28Y140 TILEPROP CLBLL_L_X28Y140 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y140 NUM_SITES 2 TILEPROP CLBLL_L_X28Y140 ROW 10 TILEPROP CLBLL_L_X28Y140 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y140 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y140 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y140 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y140 TILE_X 27660 TILEPROP CLBLL_L_X28Y140 TILE_Y 211896 TILEPROP CLBLL_L_X28Y140 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y141 CLASS tile TILEPROP CLBLL_L_X28Y141 COLUMN 71 TILEPROP CLBLL_L_X28Y141 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y141 FIRST_SITE_ID 896 TILEPROP CLBLL_L_X28Y141 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y141 GRID_POINT_Y 9 TILEPROP CLBLL_L_X28Y141 INDEX 1106 TILEPROP CLBLL_L_X28Y141 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y141 INT_TILE_Y 8 TILEPROP CLBLL_L_X28Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y141 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y141 NAME CLBLL_L_X28Y141 TILEPROP CLBLL_L_X28Y141 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y141 NUM_SITES 2 TILEPROP CLBLL_L_X28Y141 ROW 9 TILEPROP CLBLL_L_X28Y141 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y141 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y141 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y141 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y141 TILE_X 27660 TILEPROP CLBLL_L_X28Y141 TILE_Y 215096 TILEPROP CLBLL_L_X28Y141 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y142 CLASS tile TILEPROP CLBLL_L_X28Y142 COLUMN 71 TILEPROP CLBLL_L_X28Y142 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y142 FIRST_SITE_ID 792 TILEPROP CLBLL_L_X28Y142 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y142 GRID_POINT_Y 8 TILEPROP CLBLL_L_X28Y142 INDEX 991 TILEPROP CLBLL_L_X28Y142 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y142 INT_TILE_Y 7 TILEPROP CLBLL_L_X28Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y142 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y142 NAME CLBLL_L_X28Y142 TILEPROP CLBLL_L_X28Y142 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y142 NUM_SITES 2 TILEPROP CLBLL_L_X28Y142 ROW 8 TILEPROP CLBLL_L_X28Y142 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y142 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y142 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y142 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y142 TILE_X 27660 TILEPROP CLBLL_L_X28Y142 TILE_Y 218296 TILEPROP CLBLL_L_X28Y142 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y143 CLASS tile TILEPROP CLBLL_L_X28Y143 COLUMN 71 TILEPROP CLBLL_L_X28Y143 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y143 FIRST_SITE_ID 695 TILEPROP CLBLL_L_X28Y143 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y143 GRID_POINT_Y 7 TILEPROP CLBLL_L_X28Y143 INDEX 876 TILEPROP CLBLL_L_X28Y143 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y143 INT_TILE_Y 6 TILEPROP CLBLL_L_X28Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y143 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y143 NAME CLBLL_L_X28Y143 TILEPROP CLBLL_L_X28Y143 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y143 NUM_SITES 2 TILEPROP CLBLL_L_X28Y143 ROW 7 TILEPROP CLBLL_L_X28Y143 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y143 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y143 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y143 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y143 TILE_X 27660 TILEPROP CLBLL_L_X28Y143 TILE_Y 221496 TILEPROP CLBLL_L_X28Y143 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y144 CLASS tile TILEPROP CLBLL_L_X28Y144 COLUMN 71 TILEPROP CLBLL_L_X28Y144 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y144 FIRST_SITE_ID 584 TILEPROP CLBLL_L_X28Y144 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y144 GRID_POINT_Y 6 TILEPROP CLBLL_L_X28Y144 INDEX 761 TILEPROP CLBLL_L_X28Y144 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y144 INT_TILE_Y 5 TILEPROP CLBLL_L_X28Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y144 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y144 NAME CLBLL_L_X28Y144 TILEPROP CLBLL_L_X28Y144 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y144 NUM_SITES 2 TILEPROP CLBLL_L_X28Y144 ROW 6 TILEPROP CLBLL_L_X28Y144 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y144 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y144 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y144 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y144 TILE_X 27660 TILEPROP CLBLL_L_X28Y144 TILE_Y 224696 TILEPROP CLBLL_L_X28Y144 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y145 CLASS tile TILEPROP CLBLL_L_X28Y145 COLUMN 71 TILEPROP CLBLL_L_X28Y145 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y145 FIRST_SITE_ID 482 TILEPROP CLBLL_L_X28Y145 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y145 GRID_POINT_Y 5 TILEPROP CLBLL_L_X28Y145 INDEX 646 TILEPROP CLBLL_L_X28Y145 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y145 INT_TILE_Y 4 TILEPROP CLBLL_L_X28Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y145 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y145 NAME CLBLL_L_X28Y145 TILEPROP CLBLL_L_X28Y145 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y145 NUM_SITES 2 TILEPROP CLBLL_L_X28Y145 ROW 5 TILEPROP CLBLL_L_X28Y145 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y145 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y145 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y145 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y145 TILE_X 27660 TILEPROP CLBLL_L_X28Y145 TILE_Y 227896 TILEPROP CLBLL_L_X28Y145 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y146 CLASS tile TILEPROP CLBLL_L_X28Y146 COLUMN 71 TILEPROP CLBLL_L_X28Y146 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y146 FIRST_SITE_ID 372 TILEPROP CLBLL_L_X28Y146 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y146 GRID_POINT_Y 4 TILEPROP CLBLL_L_X28Y146 INDEX 531 TILEPROP CLBLL_L_X28Y146 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y146 INT_TILE_Y 3 TILEPROP CLBLL_L_X28Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y146 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y146 NAME CLBLL_L_X28Y146 TILEPROP CLBLL_L_X28Y146 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y146 NUM_SITES 2 TILEPROP CLBLL_L_X28Y146 ROW 4 TILEPROP CLBLL_L_X28Y146 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y146 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y146 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y146 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y146 TILE_X 27660 TILEPROP CLBLL_L_X28Y146 TILE_Y 231096 TILEPROP CLBLL_L_X28Y146 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y147 CLASS tile TILEPROP CLBLL_L_X28Y147 COLUMN 71 TILEPROP CLBLL_L_X28Y147 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y147 FIRST_SITE_ID 276 TILEPROP CLBLL_L_X28Y147 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y147 GRID_POINT_Y 3 TILEPROP CLBLL_L_X28Y147 INDEX 416 TILEPROP CLBLL_L_X28Y147 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y147 INT_TILE_Y 2 TILEPROP CLBLL_L_X28Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y147 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y147 NAME CLBLL_L_X28Y147 TILEPROP CLBLL_L_X28Y147 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y147 NUM_SITES 2 TILEPROP CLBLL_L_X28Y147 ROW 3 TILEPROP CLBLL_L_X28Y147 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y147 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y147 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y147 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y147 TILE_X 27660 TILEPROP CLBLL_L_X28Y147 TILE_Y 234296 TILEPROP CLBLL_L_X28Y147 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y148 CLASS tile TILEPROP CLBLL_L_X28Y148 COLUMN 71 TILEPROP CLBLL_L_X28Y148 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y148 FIRST_SITE_ID 172 TILEPROP CLBLL_L_X28Y148 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y148 GRID_POINT_Y 2 TILEPROP CLBLL_L_X28Y148 INDEX 301 TILEPROP CLBLL_L_X28Y148 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y148 INT_TILE_Y 1 TILEPROP CLBLL_L_X28Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y148 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y148 NAME CLBLL_L_X28Y148 TILEPROP CLBLL_L_X28Y148 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y148 NUM_SITES 2 TILEPROP CLBLL_L_X28Y148 ROW 2 TILEPROP CLBLL_L_X28Y148 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y148 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X28Y148 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y148 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y148 TILE_X 27660 TILEPROP CLBLL_L_X28Y148 TILE_Y 237496 TILEPROP CLBLL_L_X28Y148 TYPE CLBLL_L TILEPROP CLBLL_L_X28Y149 CLASS tile TILEPROP CLBLL_L_X28Y149 COLUMN 71 TILEPROP CLBLL_L_X28Y149 DEVICE_ID 0 TILEPROP CLBLL_L_X28Y149 FIRST_SITE_ID 76 TILEPROP CLBLL_L_X28Y149 GRID_POINT_X 71 TILEPROP CLBLL_L_X28Y149 GRID_POINT_Y 1 TILEPROP CLBLL_L_X28Y149 INDEX 186 TILEPROP CLBLL_L_X28Y149 INT_TILE_X 27 TILEPROP CLBLL_L_X28Y149 INT_TILE_Y 0 TILEPROP CLBLL_L_X28Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X28Y149 IS_DCM_TILE 0 TILEPROP CLBLL_L_X28Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X28Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X28Y149 NAME CLBLL_L_X28Y149 TILEPROP CLBLL_L_X28Y149 NUM_ARCS 146 TILEPROP CLBLL_L_X28Y149 NUM_SITES 2 TILEPROP CLBLL_L_X28Y149 ROW 1 TILEPROP CLBLL_L_X28Y149 SLR_REGION_ID 0 TILEPROP CLBLL_L_X28Y149 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X28Y149 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X28Y149 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X28Y149 TILE_X 27660 TILEPROP CLBLL_L_X28Y149 TILE_Y 240696 TILEPROP CLBLL_L_X28Y149 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y0 CLASS tile TILEPROP CLBLL_L_X2Y0 COLUMN 10 TILEPROP CLBLL_L_X2Y0 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y0 FIRST_SITE_ID 15708 TILEPROP CLBLL_L_X2Y0 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y0 GRID_POINT_Y 155 TILEPROP CLBLL_L_X2Y0 INDEX 17835 TILEPROP CLBLL_L_X2Y0 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y0 INT_TILE_Y 149 TILEPROP CLBLL_L_X2Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y0 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y0 NAME CLBLL_L_X2Y0 TILEPROP CLBLL_L_X2Y0 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y0 NUM_SITES 2 TILEPROP CLBLL_L_X2Y0 ROW 155 TILEPROP CLBLL_L_X2Y0 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y0 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X2Y0 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y0 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y0 TILE_X -87210 TILEPROP CLBLL_L_X2Y0 TILE_Y -239672 TILEPROP CLBLL_L_X2Y0 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y1 CLASS tile TILEPROP CLBLL_L_X2Y1 COLUMN 10 TILEPROP CLBLL_L_X2Y1 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y1 FIRST_SITE_ID 15603 TILEPROP CLBLL_L_X2Y1 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y1 GRID_POINT_Y 154 TILEPROP CLBLL_L_X2Y1 INDEX 17720 TILEPROP CLBLL_L_X2Y1 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y1 INT_TILE_Y 148 TILEPROP CLBLL_L_X2Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y1 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y1 NAME CLBLL_L_X2Y1 TILEPROP CLBLL_L_X2Y1 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y1 NUM_SITES 2 TILEPROP CLBLL_L_X2Y1 ROW 154 TILEPROP CLBLL_L_X2Y1 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y1 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y1 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y1 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y1 TILE_X -87210 TILEPROP CLBLL_L_X2Y1 TILE_Y -236472 TILEPROP CLBLL_L_X2Y1 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y2 CLASS tile TILEPROP CLBLL_L_X2Y2 COLUMN 10 TILEPROP CLBLL_L_X2Y2 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y2 FIRST_SITE_ID 15503 TILEPROP CLBLL_L_X2Y2 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y2 GRID_POINT_Y 153 TILEPROP CLBLL_L_X2Y2 INDEX 17605 TILEPROP CLBLL_L_X2Y2 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y2 INT_TILE_Y 147 TILEPROP CLBLL_L_X2Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y2 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y2 NAME CLBLL_L_X2Y2 TILEPROP CLBLL_L_X2Y2 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y2 NUM_SITES 2 TILEPROP CLBLL_L_X2Y2 ROW 153 TILEPROP CLBLL_L_X2Y2 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y2 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y2 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y2 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y2 TILE_X -87210 TILEPROP CLBLL_L_X2Y2 TILE_Y -233272 TILEPROP CLBLL_L_X2Y2 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y3 CLASS tile TILEPROP CLBLL_L_X2Y3 COLUMN 10 TILEPROP CLBLL_L_X2Y3 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y3 FIRST_SITE_ID 15403 TILEPROP CLBLL_L_X2Y3 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y3 GRID_POINT_Y 152 TILEPROP CLBLL_L_X2Y3 INDEX 17490 TILEPROP CLBLL_L_X2Y3 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y3 INT_TILE_Y 146 TILEPROP CLBLL_L_X2Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y3 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y3 NAME CLBLL_L_X2Y3 TILEPROP CLBLL_L_X2Y3 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y3 NUM_SITES 2 TILEPROP CLBLL_L_X2Y3 ROW 152 TILEPROP CLBLL_L_X2Y3 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y3 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y3 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y3 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y3 TILE_X -87210 TILEPROP CLBLL_L_X2Y3 TILE_Y -230072 TILEPROP CLBLL_L_X2Y3 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y4 CLASS tile TILEPROP CLBLL_L_X2Y4 COLUMN 10 TILEPROP CLBLL_L_X2Y4 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y4 FIRST_SITE_ID 15303 TILEPROP CLBLL_L_X2Y4 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y4 GRID_POINT_Y 151 TILEPROP CLBLL_L_X2Y4 INDEX 17375 TILEPROP CLBLL_L_X2Y4 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y4 INT_TILE_Y 145 TILEPROP CLBLL_L_X2Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y4 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y4 NAME CLBLL_L_X2Y4 TILEPROP CLBLL_L_X2Y4 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y4 NUM_SITES 2 TILEPROP CLBLL_L_X2Y4 ROW 151 TILEPROP CLBLL_L_X2Y4 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y4 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y4 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y4 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y4 TILE_X -87210 TILEPROP CLBLL_L_X2Y4 TILE_Y -226872 TILEPROP CLBLL_L_X2Y4 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y5 CLASS tile TILEPROP CLBLL_L_X2Y5 COLUMN 10 TILEPROP CLBLL_L_X2Y5 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y5 FIRST_SITE_ID 15188 TILEPROP CLBLL_L_X2Y5 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y5 GRID_POINT_Y 150 TILEPROP CLBLL_L_X2Y5 INDEX 17260 TILEPROP CLBLL_L_X2Y5 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y5 INT_TILE_Y 144 TILEPROP CLBLL_L_X2Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y5 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y5 NAME CLBLL_L_X2Y5 TILEPROP CLBLL_L_X2Y5 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y5 NUM_SITES 2 TILEPROP CLBLL_L_X2Y5 ROW 150 TILEPROP CLBLL_L_X2Y5 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y5 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y5 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y5 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y5 TILE_X -87210 TILEPROP CLBLL_L_X2Y5 TILE_Y -223672 TILEPROP CLBLL_L_X2Y5 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y6 CLASS tile TILEPROP CLBLL_L_X2Y6 COLUMN 10 TILEPROP CLBLL_L_X2Y6 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y6 FIRST_SITE_ID 15088 TILEPROP CLBLL_L_X2Y6 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y6 GRID_POINT_Y 149 TILEPROP CLBLL_L_X2Y6 INDEX 17145 TILEPROP CLBLL_L_X2Y6 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y6 INT_TILE_Y 143 TILEPROP CLBLL_L_X2Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y6 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y6 NAME CLBLL_L_X2Y6 TILEPROP CLBLL_L_X2Y6 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y6 NUM_SITES 2 TILEPROP CLBLL_L_X2Y6 ROW 149 TILEPROP CLBLL_L_X2Y6 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y6 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y6 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y6 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y6 TILE_X -87210 TILEPROP CLBLL_L_X2Y6 TILE_Y -220472 TILEPROP CLBLL_L_X2Y6 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y7 CLASS tile TILEPROP CLBLL_L_X2Y7 COLUMN 10 TILEPROP CLBLL_L_X2Y7 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y7 FIRST_SITE_ID 14986 TILEPROP CLBLL_L_X2Y7 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y7 GRID_POINT_Y 148 TILEPROP CLBLL_L_X2Y7 INDEX 17030 TILEPROP CLBLL_L_X2Y7 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y7 INT_TILE_Y 142 TILEPROP CLBLL_L_X2Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y7 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y7 NAME CLBLL_L_X2Y7 TILEPROP CLBLL_L_X2Y7 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y7 NUM_SITES 2 TILEPROP CLBLL_L_X2Y7 ROW 148 TILEPROP CLBLL_L_X2Y7 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y7 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y7 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y7 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y7 TILE_X -87210 TILEPROP CLBLL_L_X2Y7 TILE_Y -217272 TILEPROP CLBLL_L_X2Y7 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y8 CLASS tile TILEPROP CLBLL_L_X2Y8 COLUMN 10 TILEPROP CLBLL_L_X2Y8 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y8 FIRST_SITE_ID 14883 TILEPROP CLBLL_L_X2Y8 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y8 GRID_POINT_Y 147 TILEPROP CLBLL_L_X2Y8 INDEX 16915 TILEPROP CLBLL_L_X2Y8 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y8 INT_TILE_Y 141 TILEPROP CLBLL_L_X2Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y8 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y8 NAME CLBLL_L_X2Y8 TILEPROP CLBLL_L_X2Y8 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y8 NUM_SITES 2 TILEPROP CLBLL_L_X2Y8 ROW 147 TILEPROP CLBLL_L_X2Y8 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y8 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y8 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y8 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y8 TILE_X -87210 TILEPROP CLBLL_L_X2Y8 TILE_Y -214072 TILEPROP CLBLL_L_X2Y8 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y9 CLASS tile TILEPROP CLBLL_L_X2Y9 COLUMN 10 TILEPROP CLBLL_L_X2Y9 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y9 FIRST_SITE_ID 14782 TILEPROP CLBLL_L_X2Y9 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y9 GRID_POINT_Y 146 TILEPROP CLBLL_L_X2Y9 INDEX 16800 TILEPROP CLBLL_L_X2Y9 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y9 INT_TILE_Y 140 TILEPROP CLBLL_L_X2Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y9 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y9 NAME CLBLL_L_X2Y9 TILEPROP CLBLL_L_X2Y9 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y9 NUM_SITES 2 TILEPROP CLBLL_L_X2Y9 ROW 146 TILEPROP CLBLL_L_X2Y9 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y9 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y9 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y9 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y9 TILE_X -87210 TILEPROP CLBLL_L_X2Y9 TILE_Y -210872 TILEPROP CLBLL_L_X2Y9 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y10 CLASS tile TILEPROP CLBLL_L_X2Y10 COLUMN 10 TILEPROP CLBLL_L_X2Y10 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y10 FIRST_SITE_ID 14667 TILEPROP CLBLL_L_X2Y10 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y10 GRID_POINT_Y 145 TILEPROP CLBLL_L_X2Y10 INDEX 16685 TILEPROP CLBLL_L_X2Y10 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y10 INT_TILE_Y 139 TILEPROP CLBLL_L_X2Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y10 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y10 NAME CLBLL_L_X2Y10 TILEPROP CLBLL_L_X2Y10 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y10 NUM_SITES 2 TILEPROP CLBLL_L_X2Y10 ROW 145 TILEPROP CLBLL_L_X2Y10 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y10 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y10 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y10 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y10 TILE_X -87210 TILEPROP CLBLL_L_X2Y10 TILE_Y -207672 TILEPROP CLBLL_L_X2Y10 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y11 CLASS tile TILEPROP CLBLL_L_X2Y11 COLUMN 10 TILEPROP CLBLL_L_X2Y11 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y11 FIRST_SITE_ID 14567 TILEPROP CLBLL_L_X2Y11 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y11 GRID_POINT_Y 144 TILEPROP CLBLL_L_X2Y11 INDEX 16570 TILEPROP CLBLL_L_X2Y11 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y11 INT_TILE_Y 138 TILEPROP CLBLL_L_X2Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y11 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y11 NAME CLBLL_L_X2Y11 TILEPROP CLBLL_L_X2Y11 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y11 NUM_SITES 2 TILEPROP CLBLL_L_X2Y11 ROW 144 TILEPROP CLBLL_L_X2Y11 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y11 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y11 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y11 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y11 TILE_X -87210 TILEPROP CLBLL_L_X2Y11 TILE_Y -204472 TILEPROP CLBLL_L_X2Y11 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y12 CLASS tile TILEPROP CLBLL_L_X2Y12 COLUMN 10 TILEPROP CLBLL_L_X2Y12 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y12 FIRST_SITE_ID 14435 TILEPROP CLBLL_L_X2Y12 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y12 GRID_POINT_Y 143 TILEPROP CLBLL_L_X2Y12 INDEX 16455 TILEPROP CLBLL_L_X2Y12 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y12 INT_TILE_Y 137 TILEPROP CLBLL_L_X2Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y12 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y12 NAME CLBLL_L_X2Y12 TILEPROP CLBLL_L_X2Y12 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y12 NUM_SITES 2 TILEPROP CLBLL_L_X2Y12 ROW 143 TILEPROP CLBLL_L_X2Y12 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y12 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y12 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y12 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y12 TILE_X -87210 TILEPROP CLBLL_L_X2Y12 TILE_Y -201272 TILEPROP CLBLL_L_X2Y12 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y13 CLASS tile TILEPROP CLBLL_L_X2Y13 COLUMN 10 TILEPROP CLBLL_L_X2Y13 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y13 FIRST_SITE_ID 14335 TILEPROP CLBLL_L_X2Y13 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y13 GRID_POINT_Y 142 TILEPROP CLBLL_L_X2Y13 INDEX 16340 TILEPROP CLBLL_L_X2Y13 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y13 INT_TILE_Y 136 TILEPROP CLBLL_L_X2Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y13 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y13 NAME CLBLL_L_X2Y13 TILEPROP CLBLL_L_X2Y13 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y13 NUM_SITES 2 TILEPROP CLBLL_L_X2Y13 ROW 142 TILEPROP CLBLL_L_X2Y13 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y13 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y13 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y13 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y13 TILE_X -87210 TILEPROP CLBLL_L_X2Y13 TILE_Y -198072 TILEPROP CLBLL_L_X2Y13 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y14 CLASS tile TILEPROP CLBLL_L_X2Y14 COLUMN 10 TILEPROP CLBLL_L_X2Y14 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y14 FIRST_SITE_ID 14235 TILEPROP CLBLL_L_X2Y14 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y14 GRID_POINT_Y 141 TILEPROP CLBLL_L_X2Y14 INDEX 16225 TILEPROP CLBLL_L_X2Y14 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y14 INT_TILE_Y 135 TILEPROP CLBLL_L_X2Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y14 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y14 NAME CLBLL_L_X2Y14 TILEPROP CLBLL_L_X2Y14 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y14 NUM_SITES 2 TILEPROP CLBLL_L_X2Y14 ROW 141 TILEPROP CLBLL_L_X2Y14 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y14 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y14 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y14 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y14 TILE_X -87210 TILEPROP CLBLL_L_X2Y14 TILE_Y -194872 TILEPROP CLBLL_L_X2Y14 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y15 CLASS tile TILEPROP CLBLL_L_X2Y15 COLUMN 10 TILEPROP CLBLL_L_X2Y15 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y15 FIRST_SITE_ID 14120 TILEPROP CLBLL_L_X2Y15 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y15 GRID_POINT_Y 140 TILEPROP CLBLL_L_X2Y15 INDEX 16110 TILEPROP CLBLL_L_X2Y15 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y15 INT_TILE_Y 134 TILEPROP CLBLL_L_X2Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y15 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y15 NAME CLBLL_L_X2Y15 TILEPROP CLBLL_L_X2Y15 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y15 NUM_SITES 2 TILEPROP CLBLL_L_X2Y15 ROW 140 TILEPROP CLBLL_L_X2Y15 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y15 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y15 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y15 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y15 TILE_X -87210 TILEPROP CLBLL_L_X2Y15 TILE_Y -191672 TILEPROP CLBLL_L_X2Y15 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y16 CLASS tile TILEPROP CLBLL_L_X2Y16 COLUMN 10 TILEPROP CLBLL_L_X2Y16 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y16 FIRST_SITE_ID 14020 TILEPROP CLBLL_L_X2Y16 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y16 GRID_POINT_Y 139 TILEPROP CLBLL_L_X2Y16 INDEX 15995 TILEPROP CLBLL_L_X2Y16 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y16 INT_TILE_Y 133 TILEPROP CLBLL_L_X2Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y16 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y16 NAME CLBLL_L_X2Y16 TILEPROP CLBLL_L_X2Y16 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y16 NUM_SITES 2 TILEPROP CLBLL_L_X2Y16 ROW 139 TILEPROP CLBLL_L_X2Y16 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y16 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y16 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y16 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y16 TILE_X -87210 TILEPROP CLBLL_L_X2Y16 TILE_Y -188472 TILEPROP CLBLL_L_X2Y16 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y17 CLASS tile TILEPROP CLBLL_L_X2Y17 COLUMN 10 TILEPROP CLBLL_L_X2Y17 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y17 FIRST_SITE_ID 13915 TILEPROP CLBLL_L_X2Y17 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y17 GRID_POINT_Y 138 TILEPROP CLBLL_L_X2Y17 INDEX 15880 TILEPROP CLBLL_L_X2Y17 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y17 INT_TILE_Y 132 TILEPROP CLBLL_L_X2Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y17 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y17 NAME CLBLL_L_X2Y17 TILEPROP CLBLL_L_X2Y17 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y17 NUM_SITES 2 TILEPROP CLBLL_L_X2Y17 ROW 138 TILEPROP CLBLL_L_X2Y17 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y17 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y17 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y17 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y17 TILE_X -87210 TILEPROP CLBLL_L_X2Y17 TILE_Y -185272 TILEPROP CLBLL_L_X2Y17 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y18 CLASS tile TILEPROP CLBLL_L_X2Y18 COLUMN 10 TILEPROP CLBLL_L_X2Y18 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y18 FIRST_SITE_ID 13811 TILEPROP CLBLL_L_X2Y18 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y18 GRID_POINT_Y 137 TILEPROP CLBLL_L_X2Y18 INDEX 15765 TILEPROP CLBLL_L_X2Y18 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y18 INT_TILE_Y 131 TILEPROP CLBLL_L_X2Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y18 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y18 NAME CLBLL_L_X2Y18 TILEPROP CLBLL_L_X2Y18 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y18 NUM_SITES 2 TILEPROP CLBLL_L_X2Y18 ROW 137 TILEPROP CLBLL_L_X2Y18 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y18 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y18 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y18 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y18 TILE_X -87210 TILEPROP CLBLL_L_X2Y18 TILE_Y -182072 TILEPROP CLBLL_L_X2Y18 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y19 CLASS tile TILEPROP CLBLL_L_X2Y19 COLUMN 10 TILEPROP CLBLL_L_X2Y19 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y19 FIRST_SITE_ID 13709 TILEPROP CLBLL_L_X2Y19 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y19 GRID_POINT_Y 136 TILEPROP CLBLL_L_X2Y19 INDEX 15650 TILEPROP CLBLL_L_X2Y19 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y19 INT_TILE_Y 130 TILEPROP CLBLL_L_X2Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y19 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y19 NAME CLBLL_L_X2Y19 TILEPROP CLBLL_L_X2Y19 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y19 NUM_SITES 2 TILEPROP CLBLL_L_X2Y19 ROW 136 TILEPROP CLBLL_L_X2Y19 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y19 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y19 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y19 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y19 TILE_X -87210 TILEPROP CLBLL_L_X2Y19 TILE_Y -178872 TILEPROP CLBLL_L_X2Y19 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y20 CLASS tile TILEPROP CLBLL_L_X2Y20 COLUMN 10 TILEPROP CLBLL_L_X2Y20 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y20 FIRST_SITE_ID 13592 TILEPROP CLBLL_L_X2Y20 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y20 GRID_POINT_Y 135 TILEPROP CLBLL_L_X2Y20 INDEX 15535 TILEPROP CLBLL_L_X2Y20 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y20 INT_TILE_Y 129 TILEPROP CLBLL_L_X2Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y20 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y20 NAME CLBLL_L_X2Y20 TILEPROP CLBLL_L_X2Y20 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y20 NUM_SITES 2 TILEPROP CLBLL_L_X2Y20 ROW 135 TILEPROP CLBLL_L_X2Y20 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y20 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y20 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y20 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y20 TILE_X -87210 TILEPROP CLBLL_L_X2Y20 TILE_Y -175672 TILEPROP CLBLL_L_X2Y20 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y21 CLASS tile TILEPROP CLBLL_L_X2Y21 COLUMN 10 TILEPROP CLBLL_L_X2Y21 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y21 FIRST_SITE_ID 13492 TILEPROP CLBLL_L_X2Y21 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y21 GRID_POINT_Y 134 TILEPROP CLBLL_L_X2Y21 INDEX 15420 TILEPROP CLBLL_L_X2Y21 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y21 INT_TILE_Y 128 TILEPROP CLBLL_L_X2Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y21 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y21 NAME CLBLL_L_X2Y21 TILEPROP CLBLL_L_X2Y21 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y21 NUM_SITES 2 TILEPROP CLBLL_L_X2Y21 ROW 134 TILEPROP CLBLL_L_X2Y21 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y21 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y21 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y21 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y21 TILE_X -87210 TILEPROP CLBLL_L_X2Y21 TILE_Y -172472 TILEPROP CLBLL_L_X2Y21 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y22 CLASS tile TILEPROP CLBLL_L_X2Y22 COLUMN 10 TILEPROP CLBLL_L_X2Y22 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y22 FIRST_SITE_ID 13392 TILEPROP CLBLL_L_X2Y22 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y22 GRID_POINT_Y 133 TILEPROP CLBLL_L_X2Y22 INDEX 15305 TILEPROP CLBLL_L_X2Y22 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y22 INT_TILE_Y 127 TILEPROP CLBLL_L_X2Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y22 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y22 NAME CLBLL_L_X2Y22 TILEPROP CLBLL_L_X2Y22 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y22 NUM_SITES 2 TILEPROP CLBLL_L_X2Y22 ROW 133 TILEPROP CLBLL_L_X2Y22 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y22 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y22 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y22 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y22 TILE_X -87210 TILEPROP CLBLL_L_X2Y22 TILE_Y -169272 TILEPROP CLBLL_L_X2Y22 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y23 CLASS tile TILEPROP CLBLL_L_X2Y23 COLUMN 10 TILEPROP CLBLL_L_X2Y23 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y23 FIRST_SITE_ID 13292 TILEPROP CLBLL_L_X2Y23 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y23 GRID_POINT_Y 132 TILEPROP CLBLL_L_X2Y23 INDEX 15190 TILEPROP CLBLL_L_X2Y23 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y23 INT_TILE_Y 126 TILEPROP CLBLL_L_X2Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y23 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y23 NAME CLBLL_L_X2Y23 TILEPROP CLBLL_L_X2Y23 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y23 NUM_SITES 2 TILEPROP CLBLL_L_X2Y23 ROW 132 TILEPROP CLBLL_L_X2Y23 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y23 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y23 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y23 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y23 TILE_X -87210 TILEPROP CLBLL_L_X2Y23 TILE_Y -166072 TILEPROP CLBLL_L_X2Y23 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y24 CLASS tile TILEPROP CLBLL_L_X2Y24 COLUMN 10 TILEPROP CLBLL_L_X2Y24 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y24 FIRST_SITE_ID 13192 TILEPROP CLBLL_L_X2Y24 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y24 GRID_POINT_Y 131 TILEPROP CLBLL_L_X2Y24 INDEX 15075 TILEPROP CLBLL_L_X2Y24 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y24 INT_TILE_Y 125 TILEPROP CLBLL_L_X2Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y24 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y24 NAME CLBLL_L_X2Y24 TILEPROP CLBLL_L_X2Y24 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y24 NUM_SITES 2 TILEPROP CLBLL_L_X2Y24 ROW 131 TILEPROP CLBLL_L_X2Y24 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y24 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X2Y24 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y24 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y24 TILE_X -87210 TILEPROP CLBLL_L_X2Y24 TILE_Y -162872 TILEPROP CLBLL_L_X2Y24 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y25 CLASS tile TILEPROP CLBLL_L_X2Y25 COLUMN 10 TILEPROP CLBLL_L_X2Y25 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y25 FIRST_SITE_ID 12994 TILEPROP CLBLL_L_X2Y25 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y25 GRID_POINT_Y 129 TILEPROP CLBLL_L_X2Y25 INDEX 14845 TILEPROP CLBLL_L_X2Y25 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y25 INT_TILE_Y 124 TILEPROP CLBLL_L_X2Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y25 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y25 NAME CLBLL_L_X2Y25 TILEPROP CLBLL_L_X2Y25 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y25 NUM_SITES 2 TILEPROP CLBLL_L_X2Y25 ROW 129 TILEPROP CLBLL_L_X2Y25 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y25 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X2Y25 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y25 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y25 TILE_X -87210 TILEPROP CLBLL_L_X2Y25 TILE_Y -158648 TILEPROP CLBLL_L_X2Y25 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y26 CLASS tile TILEPROP CLBLL_L_X2Y26 COLUMN 10 TILEPROP CLBLL_L_X2Y26 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y26 FIRST_SITE_ID 12894 TILEPROP CLBLL_L_X2Y26 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y26 GRID_POINT_Y 128 TILEPROP CLBLL_L_X2Y26 INDEX 14730 TILEPROP CLBLL_L_X2Y26 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y26 INT_TILE_Y 123 TILEPROP CLBLL_L_X2Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y26 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y26 NAME CLBLL_L_X2Y26 TILEPROP CLBLL_L_X2Y26 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y26 NUM_SITES 2 TILEPROP CLBLL_L_X2Y26 ROW 128 TILEPROP CLBLL_L_X2Y26 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y26 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y26 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y26 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y26 TILE_X -87210 TILEPROP CLBLL_L_X2Y26 TILE_Y -155448 TILEPROP CLBLL_L_X2Y26 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y27 CLASS tile TILEPROP CLBLL_L_X2Y27 COLUMN 10 TILEPROP CLBLL_L_X2Y27 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y27 FIRST_SITE_ID 12794 TILEPROP CLBLL_L_X2Y27 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y27 GRID_POINT_Y 127 TILEPROP CLBLL_L_X2Y27 INDEX 14615 TILEPROP CLBLL_L_X2Y27 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y27 INT_TILE_Y 122 TILEPROP CLBLL_L_X2Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y27 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y27 NAME CLBLL_L_X2Y27 TILEPROP CLBLL_L_X2Y27 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y27 NUM_SITES 2 TILEPROP CLBLL_L_X2Y27 ROW 127 TILEPROP CLBLL_L_X2Y27 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y27 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y27 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y27 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y27 TILE_X -87210 TILEPROP CLBLL_L_X2Y27 TILE_Y -152248 TILEPROP CLBLL_L_X2Y27 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y28 CLASS tile TILEPROP CLBLL_L_X2Y28 COLUMN 10 TILEPROP CLBLL_L_X2Y28 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y28 FIRST_SITE_ID 12694 TILEPROP CLBLL_L_X2Y28 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y28 GRID_POINT_Y 126 TILEPROP CLBLL_L_X2Y28 INDEX 14500 TILEPROP CLBLL_L_X2Y28 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y28 INT_TILE_Y 121 TILEPROP CLBLL_L_X2Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y28 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y28 NAME CLBLL_L_X2Y28 TILEPROP CLBLL_L_X2Y28 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y28 NUM_SITES 2 TILEPROP CLBLL_L_X2Y28 ROW 126 TILEPROP CLBLL_L_X2Y28 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y28 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y28 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y28 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y28 TILE_X -87210 TILEPROP CLBLL_L_X2Y28 TILE_Y -149048 TILEPROP CLBLL_L_X2Y28 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y29 CLASS tile TILEPROP CLBLL_L_X2Y29 COLUMN 10 TILEPROP CLBLL_L_X2Y29 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y29 FIRST_SITE_ID 12588 TILEPROP CLBLL_L_X2Y29 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y29 GRID_POINT_Y 125 TILEPROP CLBLL_L_X2Y29 INDEX 14385 TILEPROP CLBLL_L_X2Y29 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y29 INT_TILE_Y 120 TILEPROP CLBLL_L_X2Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y29 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y29 NAME CLBLL_L_X2Y29 TILEPROP CLBLL_L_X2Y29 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y29 NUM_SITES 2 TILEPROP CLBLL_L_X2Y29 ROW 125 TILEPROP CLBLL_L_X2Y29 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y29 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y29 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y29 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y29 TILE_X -87210 TILEPROP CLBLL_L_X2Y29 TILE_Y -145848 TILEPROP CLBLL_L_X2Y29 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y30 CLASS tile TILEPROP CLBLL_L_X2Y30 COLUMN 10 TILEPROP CLBLL_L_X2Y30 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y30 FIRST_SITE_ID 12454 TILEPROP CLBLL_L_X2Y30 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y30 GRID_POINT_Y 124 TILEPROP CLBLL_L_X2Y30 INDEX 14270 TILEPROP CLBLL_L_X2Y30 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y30 INT_TILE_Y 119 TILEPROP CLBLL_L_X2Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y30 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y30 NAME CLBLL_L_X2Y30 TILEPROP CLBLL_L_X2Y30 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y30 NUM_SITES 2 TILEPROP CLBLL_L_X2Y30 ROW 124 TILEPROP CLBLL_L_X2Y30 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y30 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y30 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y30 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y30 TILE_X -87210 TILEPROP CLBLL_L_X2Y30 TILE_Y -142648 TILEPROP CLBLL_L_X2Y30 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y31 CLASS tile TILEPROP CLBLL_L_X2Y31 COLUMN 10 TILEPROP CLBLL_L_X2Y31 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y31 FIRST_SITE_ID 12352 TILEPROP CLBLL_L_X2Y31 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y31 GRID_POINT_Y 123 TILEPROP CLBLL_L_X2Y31 INDEX 14155 TILEPROP CLBLL_L_X2Y31 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y31 INT_TILE_Y 118 TILEPROP CLBLL_L_X2Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y31 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y31 NAME CLBLL_L_X2Y31 TILEPROP CLBLL_L_X2Y31 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y31 NUM_SITES 2 TILEPROP CLBLL_L_X2Y31 ROW 123 TILEPROP CLBLL_L_X2Y31 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y31 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y31 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y31 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y31 TILE_X -87210 TILEPROP CLBLL_L_X2Y31 TILE_Y -139448 TILEPROP CLBLL_L_X2Y31 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y32 CLASS tile TILEPROP CLBLL_L_X2Y32 COLUMN 10 TILEPROP CLBLL_L_X2Y32 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y32 FIRST_SITE_ID 12249 TILEPROP CLBLL_L_X2Y32 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y32 GRID_POINT_Y 122 TILEPROP CLBLL_L_X2Y32 INDEX 14040 TILEPROP CLBLL_L_X2Y32 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y32 INT_TILE_Y 117 TILEPROP CLBLL_L_X2Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y32 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y32 NAME CLBLL_L_X2Y32 TILEPROP CLBLL_L_X2Y32 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y32 NUM_SITES 2 TILEPROP CLBLL_L_X2Y32 ROW 122 TILEPROP CLBLL_L_X2Y32 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y32 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y32 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y32 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y32 TILE_X -87210 TILEPROP CLBLL_L_X2Y32 TILE_Y -136248 TILEPROP CLBLL_L_X2Y32 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y33 CLASS tile TILEPROP CLBLL_L_X2Y33 COLUMN 10 TILEPROP CLBLL_L_X2Y33 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y33 FIRST_SITE_ID 12149 TILEPROP CLBLL_L_X2Y33 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y33 GRID_POINT_Y 121 TILEPROP CLBLL_L_X2Y33 INDEX 13925 TILEPROP CLBLL_L_X2Y33 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y33 INT_TILE_Y 116 TILEPROP CLBLL_L_X2Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y33 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y33 NAME CLBLL_L_X2Y33 TILEPROP CLBLL_L_X2Y33 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y33 NUM_SITES 2 TILEPROP CLBLL_L_X2Y33 ROW 121 TILEPROP CLBLL_L_X2Y33 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y33 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y33 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y33 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y33 TILE_X -87210 TILEPROP CLBLL_L_X2Y33 TILE_Y -133048 TILEPROP CLBLL_L_X2Y33 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y34 CLASS tile TILEPROP CLBLL_L_X2Y34 COLUMN 10 TILEPROP CLBLL_L_X2Y34 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y34 FIRST_SITE_ID 12049 TILEPROP CLBLL_L_X2Y34 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y34 GRID_POINT_Y 120 TILEPROP CLBLL_L_X2Y34 INDEX 13810 TILEPROP CLBLL_L_X2Y34 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y34 INT_TILE_Y 115 TILEPROP CLBLL_L_X2Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y34 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y34 NAME CLBLL_L_X2Y34 TILEPROP CLBLL_L_X2Y34 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y34 NUM_SITES 2 TILEPROP CLBLL_L_X2Y34 ROW 120 TILEPROP CLBLL_L_X2Y34 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y34 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y34 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y34 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y34 TILE_X -87210 TILEPROP CLBLL_L_X2Y34 TILE_Y -129848 TILEPROP CLBLL_L_X2Y34 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y35 CLASS tile TILEPROP CLBLL_L_X2Y35 COLUMN 10 TILEPROP CLBLL_L_X2Y35 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y35 FIRST_SITE_ID 11934 TILEPROP CLBLL_L_X2Y35 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y35 GRID_POINT_Y 119 TILEPROP CLBLL_L_X2Y35 INDEX 13695 TILEPROP CLBLL_L_X2Y35 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y35 INT_TILE_Y 114 TILEPROP CLBLL_L_X2Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y35 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y35 NAME CLBLL_L_X2Y35 TILEPROP CLBLL_L_X2Y35 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y35 NUM_SITES 2 TILEPROP CLBLL_L_X2Y35 ROW 119 TILEPROP CLBLL_L_X2Y35 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y35 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y35 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y35 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y35 TILE_X -87210 TILEPROP CLBLL_L_X2Y35 TILE_Y -126648 TILEPROP CLBLL_L_X2Y35 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y36 CLASS tile TILEPROP CLBLL_L_X2Y36 COLUMN 10 TILEPROP CLBLL_L_X2Y36 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y36 FIRST_SITE_ID 11802 TILEPROP CLBLL_L_X2Y36 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y36 GRID_POINT_Y 118 TILEPROP CLBLL_L_X2Y36 INDEX 13580 TILEPROP CLBLL_L_X2Y36 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y36 INT_TILE_Y 113 TILEPROP CLBLL_L_X2Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y36 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y36 NAME CLBLL_L_X2Y36 TILEPROP CLBLL_L_X2Y36 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y36 NUM_SITES 2 TILEPROP CLBLL_L_X2Y36 ROW 118 TILEPROP CLBLL_L_X2Y36 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y36 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y36 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y36 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y36 TILE_X -87210 TILEPROP CLBLL_L_X2Y36 TILE_Y -123448 TILEPROP CLBLL_L_X2Y36 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y37 CLASS tile TILEPROP CLBLL_L_X2Y37 COLUMN 10 TILEPROP CLBLL_L_X2Y37 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y37 FIRST_SITE_ID 11702 TILEPROP CLBLL_L_X2Y37 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y37 GRID_POINT_Y 117 TILEPROP CLBLL_L_X2Y37 INDEX 13465 TILEPROP CLBLL_L_X2Y37 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y37 INT_TILE_Y 112 TILEPROP CLBLL_L_X2Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y37 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y37 NAME CLBLL_L_X2Y37 TILEPROP CLBLL_L_X2Y37 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y37 NUM_SITES 2 TILEPROP CLBLL_L_X2Y37 ROW 117 TILEPROP CLBLL_L_X2Y37 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y37 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y37 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y37 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y37 TILE_X -87210 TILEPROP CLBLL_L_X2Y37 TILE_Y -120248 TILEPROP CLBLL_L_X2Y37 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y38 CLASS tile TILEPROP CLBLL_L_X2Y38 COLUMN 10 TILEPROP CLBLL_L_X2Y38 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y38 FIRST_SITE_ID 11602 TILEPROP CLBLL_L_X2Y38 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y38 GRID_POINT_Y 116 TILEPROP CLBLL_L_X2Y38 INDEX 13350 TILEPROP CLBLL_L_X2Y38 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y38 INT_TILE_Y 111 TILEPROP CLBLL_L_X2Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y38 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y38 NAME CLBLL_L_X2Y38 TILEPROP CLBLL_L_X2Y38 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y38 NUM_SITES 2 TILEPROP CLBLL_L_X2Y38 ROW 116 TILEPROP CLBLL_L_X2Y38 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y38 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y38 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y38 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y38 TILE_X -87210 TILEPROP CLBLL_L_X2Y38 TILE_Y -117048 TILEPROP CLBLL_L_X2Y38 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y39 CLASS tile TILEPROP CLBLL_L_X2Y39 COLUMN 10 TILEPROP CLBLL_L_X2Y39 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y39 FIRST_SITE_ID 11502 TILEPROP CLBLL_L_X2Y39 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y39 GRID_POINT_Y 115 TILEPROP CLBLL_L_X2Y39 INDEX 13235 TILEPROP CLBLL_L_X2Y39 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y39 INT_TILE_Y 110 TILEPROP CLBLL_L_X2Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y39 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y39 NAME CLBLL_L_X2Y39 TILEPROP CLBLL_L_X2Y39 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y39 NUM_SITES 2 TILEPROP CLBLL_L_X2Y39 ROW 115 TILEPROP CLBLL_L_X2Y39 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y39 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y39 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y39 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y39 TILE_X -87210 TILEPROP CLBLL_L_X2Y39 TILE_Y -113848 TILEPROP CLBLL_L_X2Y39 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y40 CLASS tile TILEPROP CLBLL_L_X2Y40 COLUMN 10 TILEPROP CLBLL_L_X2Y40 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y40 FIRST_SITE_ID 11385 TILEPROP CLBLL_L_X2Y40 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y40 GRID_POINT_Y 114 TILEPROP CLBLL_L_X2Y40 INDEX 13120 TILEPROP CLBLL_L_X2Y40 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y40 INT_TILE_Y 109 TILEPROP CLBLL_L_X2Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y40 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y40 NAME CLBLL_L_X2Y40 TILEPROP CLBLL_L_X2Y40 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y40 NUM_SITES 2 TILEPROP CLBLL_L_X2Y40 ROW 114 TILEPROP CLBLL_L_X2Y40 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y40 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y40 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y40 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y40 TILE_X -87210 TILEPROP CLBLL_L_X2Y40 TILE_Y -110648 TILEPROP CLBLL_L_X2Y40 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y41 CLASS tile TILEPROP CLBLL_L_X2Y41 COLUMN 10 TILEPROP CLBLL_L_X2Y41 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y41 FIRST_SITE_ID 11284 TILEPROP CLBLL_L_X2Y41 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y41 GRID_POINT_Y 113 TILEPROP CLBLL_L_X2Y41 INDEX 13005 TILEPROP CLBLL_L_X2Y41 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y41 INT_TILE_Y 108 TILEPROP CLBLL_L_X2Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y41 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y41 NAME CLBLL_L_X2Y41 TILEPROP CLBLL_L_X2Y41 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y41 NUM_SITES 2 TILEPROP CLBLL_L_X2Y41 ROW 113 TILEPROP CLBLL_L_X2Y41 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y41 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y41 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y41 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y41 TILE_X -87210 TILEPROP CLBLL_L_X2Y41 TILE_Y -107448 TILEPROP CLBLL_L_X2Y41 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y42 CLASS tile TILEPROP CLBLL_L_X2Y42 COLUMN 10 TILEPROP CLBLL_L_X2Y42 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y42 FIRST_SITE_ID 11183 TILEPROP CLBLL_L_X2Y42 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y42 GRID_POINT_Y 112 TILEPROP CLBLL_L_X2Y42 INDEX 12890 TILEPROP CLBLL_L_X2Y42 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y42 INT_TILE_Y 107 TILEPROP CLBLL_L_X2Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y42 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y42 NAME CLBLL_L_X2Y42 TILEPROP CLBLL_L_X2Y42 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y42 NUM_SITES 2 TILEPROP CLBLL_L_X2Y42 ROW 112 TILEPROP CLBLL_L_X2Y42 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y42 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y42 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y42 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y42 TILE_X -87210 TILEPROP CLBLL_L_X2Y42 TILE_Y -104248 TILEPROP CLBLL_L_X2Y42 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y43 CLASS tile TILEPROP CLBLL_L_X2Y43 COLUMN 10 TILEPROP CLBLL_L_X2Y43 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y43 FIRST_SITE_ID 11080 TILEPROP CLBLL_L_X2Y43 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y43 GRID_POINT_Y 111 TILEPROP CLBLL_L_X2Y43 INDEX 12775 TILEPROP CLBLL_L_X2Y43 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y43 INT_TILE_Y 106 TILEPROP CLBLL_L_X2Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y43 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y43 NAME CLBLL_L_X2Y43 TILEPROP CLBLL_L_X2Y43 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y43 NUM_SITES 2 TILEPROP CLBLL_L_X2Y43 ROW 111 TILEPROP CLBLL_L_X2Y43 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y43 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y43 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y43 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y43 TILE_X -87210 TILEPROP CLBLL_L_X2Y43 TILE_Y -101048 TILEPROP CLBLL_L_X2Y43 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y44 CLASS tile TILEPROP CLBLL_L_X2Y44 COLUMN 10 TILEPROP CLBLL_L_X2Y44 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y44 FIRST_SITE_ID 10978 TILEPROP CLBLL_L_X2Y44 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y44 GRID_POINT_Y 110 TILEPROP CLBLL_L_X2Y44 INDEX 12660 TILEPROP CLBLL_L_X2Y44 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y44 INT_TILE_Y 105 TILEPROP CLBLL_L_X2Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y44 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y44 NAME CLBLL_L_X2Y44 TILEPROP CLBLL_L_X2Y44 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y44 NUM_SITES 2 TILEPROP CLBLL_L_X2Y44 ROW 110 TILEPROP CLBLL_L_X2Y44 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y44 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y44 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y44 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y44 TILE_X -87210 TILEPROP CLBLL_L_X2Y44 TILE_Y -97848 TILEPROP CLBLL_L_X2Y44 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y45 CLASS tile TILEPROP CLBLL_L_X2Y45 COLUMN 10 TILEPROP CLBLL_L_X2Y45 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y45 FIRST_SITE_ID 10862 TILEPROP CLBLL_L_X2Y45 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y45 GRID_POINT_Y 109 TILEPROP CLBLL_L_X2Y45 INDEX 12545 TILEPROP CLBLL_L_X2Y45 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y45 INT_TILE_Y 104 TILEPROP CLBLL_L_X2Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y45 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y45 NAME CLBLL_L_X2Y45 TILEPROP CLBLL_L_X2Y45 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y45 NUM_SITES 2 TILEPROP CLBLL_L_X2Y45 ROW 109 TILEPROP CLBLL_L_X2Y45 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y45 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y45 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y45 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y45 TILE_X -87210 TILEPROP CLBLL_L_X2Y45 TILE_Y -94648 TILEPROP CLBLL_L_X2Y45 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y46 CLASS tile TILEPROP CLBLL_L_X2Y46 COLUMN 10 TILEPROP CLBLL_L_X2Y46 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y46 FIRST_SITE_ID 10746 TILEPROP CLBLL_L_X2Y46 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y46 GRID_POINT_Y 108 TILEPROP CLBLL_L_X2Y46 INDEX 12430 TILEPROP CLBLL_L_X2Y46 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y46 INT_TILE_Y 103 TILEPROP CLBLL_L_X2Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y46 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y46 NAME CLBLL_L_X2Y46 TILEPROP CLBLL_L_X2Y46 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y46 NUM_SITES 2 TILEPROP CLBLL_L_X2Y46 ROW 108 TILEPROP CLBLL_L_X2Y46 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y46 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y46 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y46 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y46 TILE_X -87210 TILEPROP CLBLL_L_X2Y46 TILE_Y -91448 TILEPROP CLBLL_L_X2Y46 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y47 CLASS tile TILEPROP CLBLL_L_X2Y47 COLUMN 10 TILEPROP CLBLL_L_X2Y47 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y47 FIRST_SITE_ID 10646 TILEPROP CLBLL_L_X2Y47 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y47 GRID_POINT_Y 107 TILEPROP CLBLL_L_X2Y47 INDEX 12315 TILEPROP CLBLL_L_X2Y47 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y47 INT_TILE_Y 102 TILEPROP CLBLL_L_X2Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y47 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y47 NAME CLBLL_L_X2Y47 TILEPROP CLBLL_L_X2Y47 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y47 NUM_SITES 2 TILEPROP CLBLL_L_X2Y47 ROW 107 TILEPROP CLBLL_L_X2Y47 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y47 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y47 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y47 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y47 TILE_X -87210 TILEPROP CLBLL_L_X2Y47 TILE_Y -88248 TILEPROP CLBLL_L_X2Y47 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y48 CLASS tile TILEPROP CLBLL_L_X2Y48 COLUMN 10 TILEPROP CLBLL_L_X2Y48 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y48 FIRST_SITE_ID 10546 TILEPROP CLBLL_L_X2Y48 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y48 GRID_POINT_Y 106 TILEPROP CLBLL_L_X2Y48 INDEX 12200 TILEPROP CLBLL_L_X2Y48 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y48 INT_TILE_Y 101 TILEPROP CLBLL_L_X2Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y48 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y48 NAME CLBLL_L_X2Y48 TILEPROP CLBLL_L_X2Y48 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y48 NUM_SITES 2 TILEPROP CLBLL_L_X2Y48 ROW 106 TILEPROP CLBLL_L_X2Y48 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y48 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y48 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y48 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y48 TILE_X -87210 TILEPROP CLBLL_L_X2Y48 TILE_Y -85048 TILEPROP CLBLL_L_X2Y48 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y49 CLASS tile TILEPROP CLBLL_L_X2Y49 COLUMN 10 TILEPROP CLBLL_L_X2Y49 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y49 FIRST_SITE_ID 10450 TILEPROP CLBLL_L_X2Y49 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y49 GRID_POINT_Y 105 TILEPROP CLBLL_L_X2Y49 INDEX 12085 TILEPROP CLBLL_L_X2Y49 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y49 INT_TILE_Y 100 TILEPROP CLBLL_L_X2Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y49 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y49 NAME CLBLL_L_X2Y49 TILEPROP CLBLL_L_X2Y49 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y49 NUM_SITES 2 TILEPROP CLBLL_L_X2Y49 ROW 105 TILEPROP CLBLL_L_X2Y49 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y49 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X2Y49 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y49 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y49 TILE_X -87210 TILEPROP CLBLL_L_X2Y49 TILE_Y -81848 TILEPROP CLBLL_L_X2Y49 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y50 CLASS tile TILEPROP CLBLL_L_X2Y50 COLUMN 10 TILEPROP CLBLL_L_X2Y50 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y50 FIRST_SITE_ID 10319 TILEPROP CLBLL_L_X2Y50 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y50 GRID_POINT_Y 103 TILEPROP CLBLL_L_X2Y50 INDEX 11855 TILEPROP CLBLL_L_X2Y50 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y50 INT_TILE_Y 99 TILEPROP CLBLL_L_X2Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y50 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y50 NAME CLBLL_L_X2Y50 TILEPROP CLBLL_L_X2Y50 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y50 NUM_SITES 2 TILEPROP CLBLL_L_X2Y50 ROW 103 TILEPROP CLBLL_L_X2Y50 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y50 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X2Y50 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y50 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y50 TILE_X -87210 TILEPROP CLBLL_L_X2Y50 TILE_Y -78400 TILEPROP CLBLL_L_X2Y50 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y51 CLASS tile TILEPROP CLBLL_L_X2Y51 COLUMN 10 TILEPROP CLBLL_L_X2Y51 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y51 FIRST_SITE_ID 10215 TILEPROP CLBLL_L_X2Y51 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y51 GRID_POINT_Y 102 TILEPROP CLBLL_L_X2Y51 INDEX 11740 TILEPROP CLBLL_L_X2Y51 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y51 INT_TILE_Y 98 TILEPROP CLBLL_L_X2Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y51 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y51 NAME CLBLL_L_X2Y51 TILEPROP CLBLL_L_X2Y51 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y51 NUM_SITES 2 TILEPROP CLBLL_L_X2Y51 ROW 102 TILEPROP CLBLL_L_X2Y51 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y51 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y51 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y51 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y51 TILE_X -87210 TILEPROP CLBLL_L_X2Y51 TILE_Y -75200 TILEPROP CLBLL_L_X2Y51 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y52 CLASS tile TILEPROP CLBLL_L_X2Y52 COLUMN 10 TILEPROP CLBLL_L_X2Y52 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y52 FIRST_SITE_ID 10115 TILEPROP CLBLL_L_X2Y52 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y52 GRID_POINT_Y 101 TILEPROP CLBLL_L_X2Y52 INDEX 11625 TILEPROP CLBLL_L_X2Y52 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y52 INT_TILE_Y 97 TILEPROP CLBLL_L_X2Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y52 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y52 NAME CLBLL_L_X2Y52 TILEPROP CLBLL_L_X2Y52 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y52 NUM_SITES 2 TILEPROP CLBLL_L_X2Y52 ROW 101 TILEPROP CLBLL_L_X2Y52 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y52 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y52 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y52 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y52 TILE_X -87210 TILEPROP CLBLL_L_X2Y52 TILE_Y -72000 TILEPROP CLBLL_L_X2Y52 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y53 CLASS tile TILEPROP CLBLL_L_X2Y53 COLUMN 10 TILEPROP CLBLL_L_X2Y53 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y53 FIRST_SITE_ID 10015 TILEPROP CLBLL_L_X2Y53 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y53 GRID_POINT_Y 100 TILEPROP CLBLL_L_X2Y53 INDEX 11510 TILEPROP CLBLL_L_X2Y53 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y53 INT_TILE_Y 96 TILEPROP CLBLL_L_X2Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y53 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y53 NAME CLBLL_L_X2Y53 TILEPROP CLBLL_L_X2Y53 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y53 NUM_SITES 2 TILEPROP CLBLL_L_X2Y53 ROW 100 TILEPROP CLBLL_L_X2Y53 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y53 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y53 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y53 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y53 TILE_X -87210 TILEPROP CLBLL_L_X2Y53 TILE_Y -68800 TILEPROP CLBLL_L_X2Y53 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y54 CLASS tile TILEPROP CLBLL_L_X2Y54 COLUMN 10 TILEPROP CLBLL_L_X2Y54 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y54 FIRST_SITE_ID 9915 TILEPROP CLBLL_L_X2Y54 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y54 GRID_POINT_Y 99 TILEPROP CLBLL_L_X2Y54 INDEX 11395 TILEPROP CLBLL_L_X2Y54 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y54 INT_TILE_Y 95 TILEPROP CLBLL_L_X2Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y54 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y54 NAME CLBLL_L_X2Y54 TILEPROP CLBLL_L_X2Y54 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y54 NUM_SITES 2 TILEPROP CLBLL_L_X2Y54 ROW 99 TILEPROP CLBLL_L_X2Y54 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y54 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y54 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y54 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y54 TILE_X -87210 TILEPROP CLBLL_L_X2Y54 TILE_Y -65600 TILEPROP CLBLL_L_X2Y54 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y55 CLASS tile TILEPROP CLBLL_L_X2Y55 COLUMN 10 TILEPROP CLBLL_L_X2Y55 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y55 FIRST_SITE_ID 9800 TILEPROP CLBLL_L_X2Y55 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y55 GRID_POINT_Y 98 TILEPROP CLBLL_L_X2Y55 INDEX 11280 TILEPROP CLBLL_L_X2Y55 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y55 INT_TILE_Y 94 TILEPROP CLBLL_L_X2Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y55 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y55 NAME CLBLL_L_X2Y55 TILEPROP CLBLL_L_X2Y55 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y55 NUM_SITES 2 TILEPROP CLBLL_L_X2Y55 ROW 98 TILEPROP CLBLL_L_X2Y55 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y55 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y55 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y55 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y55 TILE_X -87210 TILEPROP CLBLL_L_X2Y55 TILE_Y -62400 TILEPROP CLBLL_L_X2Y55 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y56 CLASS tile TILEPROP CLBLL_L_X2Y56 COLUMN 10 TILEPROP CLBLL_L_X2Y56 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y56 FIRST_SITE_ID 9700 TILEPROP CLBLL_L_X2Y56 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y56 GRID_POINT_Y 97 TILEPROP CLBLL_L_X2Y56 INDEX 11165 TILEPROP CLBLL_L_X2Y56 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y56 INT_TILE_Y 93 TILEPROP CLBLL_L_X2Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y56 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y56 NAME CLBLL_L_X2Y56 TILEPROP CLBLL_L_X2Y56 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y56 NUM_SITES 2 TILEPROP CLBLL_L_X2Y56 ROW 97 TILEPROP CLBLL_L_X2Y56 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y56 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y56 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y56 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y56 TILE_X -87210 TILEPROP CLBLL_L_X2Y56 TILE_Y -59200 TILEPROP CLBLL_L_X2Y56 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y57 CLASS tile TILEPROP CLBLL_L_X2Y57 COLUMN 10 TILEPROP CLBLL_L_X2Y57 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y57 FIRST_SITE_ID 9598 TILEPROP CLBLL_L_X2Y57 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y57 GRID_POINT_Y 96 TILEPROP CLBLL_L_X2Y57 INDEX 11050 TILEPROP CLBLL_L_X2Y57 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y57 INT_TILE_Y 92 TILEPROP CLBLL_L_X2Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y57 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y57 NAME CLBLL_L_X2Y57 TILEPROP CLBLL_L_X2Y57 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y57 NUM_SITES 2 TILEPROP CLBLL_L_X2Y57 ROW 96 TILEPROP CLBLL_L_X2Y57 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y57 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y57 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y57 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y57 TILE_X -87210 TILEPROP CLBLL_L_X2Y57 TILE_Y -56000 TILEPROP CLBLL_L_X2Y57 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y58 CLASS tile TILEPROP CLBLL_L_X2Y58 COLUMN 10 TILEPROP CLBLL_L_X2Y58 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y58 FIRST_SITE_ID 9495 TILEPROP CLBLL_L_X2Y58 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y58 GRID_POINT_Y 95 TILEPROP CLBLL_L_X2Y58 INDEX 10935 TILEPROP CLBLL_L_X2Y58 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y58 INT_TILE_Y 91 TILEPROP CLBLL_L_X2Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y58 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y58 NAME CLBLL_L_X2Y58 TILEPROP CLBLL_L_X2Y58 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y58 NUM_SITES 2 TILEPROP CLBLL_L_X2Y58 ROW 95 TILEPROP CLBLL_L_X2Y58 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y58 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y58 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y58 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y58 TILE_X -87210 TILEPROP CLBLL_L_X2Y58 TILE_Y -52800 TILEPROP CLBLL_L_X2Y58 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y59 CLASS tile TILEPROP CLBLL_L_X2Y59 COLUMN 10 TILEPROP CLBLL_L_X2Y59 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y59 FIRST_SITE_ID 9394 TILEPROP CLBLL_L_X2Y59 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y59 GRID_POINT_Y 94 TILEPROP CLBLL_L_X2Y59 INDEX 10820 TILEPROP CLBLL_L_X2Y59 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y59 INT_TILE_Y 90 TILEPROP CLBLL_L_X2Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y59 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y59 NAME CLBLL_L_X2Y59 TILEPROP CLBLL_L_X2Y59 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y59 NUM_SITES 2 TILEPROP CLBLL_L_X2Y59 ROW 94 TILEPROP CLBLL_L_X2Y59 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y59 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y59 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y59 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y59 TILE_X -87210 TILEPROP CLBLL_L_X2Y59 TILE_Y -49600 TILEPROP CLBLL_L_X2Y59 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y60 CLASS tile TILEPROP CLBLL_L_X2Y60 COLUMN 10 TILEPROP CLBLL_L_X2Y60 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y60 FIRST_SITE_ID 9279 TILEPROP CLBLL_L_X2Y60 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y60 GRID_POINT_Y 93 TILEPROP CLBLL_L_X2Y60 INDEX 10705 TILEPROP CLBLL_L_X2Y60 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y60 INT_TILE_Y 89 TILEPROP CLBLL_L_X2Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y60 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y60 NAME CLBLL_L_X2Y60 TILEPROP CLBLL_L_X2Y60 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y60 NUM_SITES 2 TILEPROP CLBLL_L_X2Y60 ROW 93 TILEPROP CLBLL_L_X2Y60 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y60 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y60 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y60 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y60 TILE_X -87210 TILEPROP CLBLL_L_X2Y60 TILE_Y -46400 TILEPROP CLBLL_L_X2Y60 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y61 CLASS tile TILEPROP CLBLL_L_X2Y61 COLUMN 10 TILEPROP CLBLL_L_X2Y61 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y61 FIRST_SITE_ID 9179 TILEPROP CLBLL_L_X2Y61 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y61 GRID_POINT_Y 92 TILEPROP CLBLL_L_X2Y61 INDEX 10590 TILEPROP CLBLL_L_X2Y61 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y61 INT_TILE_Y 88 TILEPROP CLBLL_L_X2Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y61 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y61 NAME CLBLL_L_X2Y61 TILEPROP CLBLL_L_X2Y61 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y61 NUM_SITES 2 TILEPROP CLBLL_L_X2Y61 ROW 92 TILEPROP CLBLL_L_X2Y61 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y61 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y61 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y61 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y61 TILE_X -87210 TILEPROP CLBLL_L_X2Y61 TILE_Y -43200 TILEPROP CLBLL_L_X2Y61 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y62 CLASS tile TILEPROP CLBLL_L_X2Y62 COLUMN 10 TILEPROP CLBLL_L_X2Y62 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y62 FIRST_SITE_ID 9047 TILEPROP CLBLL_L_X2Y62 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y62 GRID_POINT_Y 91 TILEPROP CLBLL_L_X2Y62 INDEX 10475 TILEPROP CLBLL_L_X2Y62 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y62 INT_TILE_Y 87 TILEPROP CLBLL_L_X2Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y62 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y62 NAME CLBLL_L_X2Y62 TILEPROP CLBLL_L_X2Y62 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y62 NUM_SITES 2 TILEPROP CLBLL_L_X2Y62 ROW 91 TILEPROP CLBLL_L_X2Y62 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y62 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y62 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y62 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y62 TILE_X -87210 TILEPROP CLBLL_L_X2Y62 TILE_Y -40000 TILEPROP CLBLL_L_X2Y62 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y63 CLASS tile TILEPROP CLBLL_L_X2Y63 COLUMN 10 TILEPROP CLBLL_L_X2Y63 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y63 FIRST_SITE_ID 8947 TILEPROP CLBLL_L_X2Y63 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y63 GRID_POINT_Y 90 TILEPROP CLBLL_L_X2Y63 INDEX 10360 TILEPROP CLBLL_L_X2Y63 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y63 INT_TILE_Y 86 TILEPROP CLBLL_L_X2Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y63 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y63 NAME CLBLL_L_X2Y63 TILEPROP CLBLL_L_X2Y63 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y63 NUM_SITES 2 TILEPROP CLBLL_L_X2Y63 ROW 90 TILEPROP CLBLL_L_X2Y63 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y63 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y63 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y63 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y63 TILE_X -87210 TILEPROP CLBLL_L_X2Y63 TILE_Y -36800 TILEPROP CLBLL_L_X2Y63 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y64 CLASS tile TILEPROP CLBLL_L_X2Y64 COLUMN 10 TILEPROP CLBLL_L_X2Y64 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y64 FIRST_SITE_ID 8847 TILEPROP CLBLL_L_X2Y64 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y64 GRID_POINT_Y 89 TILEPROP CLBLL_L_X2Y64 INDEX 10245 TILEPROP CLBLL_L_X2Y64 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y64 INT_TILE_Y 85 TILEPROP CLBLL_L_X2Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y64 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y64 NAME CLBLL_L_X2Y64 TILEPROP CLBLL_L_X2Y64 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y64 NUM_SITES 2 TILEPROP CLBLL_L_X2Y64 ROW 89 TILEPROP CLBLL_L_X2Y64 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y64 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y64 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y64 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y64 TILE_X -87210 TILEPROP CLBLL_L_X2Y64 TILE_Y -33600 TILEPROP CLBLL_L_X2Y64 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y65 CLASS tile TILEPROP CLBLL_L_X2Y65 COLUMN 10 TILEPROP CLBLL_L_X2Y65 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y65 FIRST_SITE_ID 8732 TILEPROP CLBLL_L_X2Y65 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y65 GRID_POINT_Y 88 TILEPROP CLBLL_L_X2Y65 INDEX 10130 TILEPROP CLBLL_L_X2Y65 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y65 INT_TILE_Y 84 TILEPROP CLBLL_L_X2Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y65 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y65 NAME CLBLL_L_X2Y65 TILEPROP CLBLL_L_X2Y65 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y65 NUM_SITES 2 TILEPROP CLBLL_L_X2Y65 ROW 88 TILEPROP CLBLL_L_X2Y65 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y65 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y65 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y65 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y65 TILE_X -87210 TILEPROP CLBLL_L_X2Y65 TILE_Y -30400 TILEPROP CLBLL_L_X2Y65 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y66 CLASS tile TILEPROP CLBLL_L_X2Y66 COLUMN 10 TILEPROP CLBLL_L_X2Y66 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y66 FIRST_SITE_ID 8632 TILEPROP CLBLL_L_X2Y66 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y66 GRID_POINT_Y 87 TILEPROP CLBLL_L_X2Y66 INDEX 10015 TILEPROP CLBLL_L_X2Y66 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y66 INT_TILE_Y 83 TILEPROP CLBLL_L_X2Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y66 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y66 NAME CLBLL_L_X2Y66 TILEPROP CLBLL_L_X2Y66 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y66 NUM_SITES 2 TILEPROP CLBLL_L_X2Y66 ROW 87 TILEPROP CLBLL_L_X2Y66 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y66 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y66 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y66 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y66 TILE_X -87210 TILEPROP CLBLL_L_X2Y66 TILE_Y -27200 TILEPROP CLBLL_L_X2Y66 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y67 CLASS tile TILEPROP CLBLL_L_X2Y67 COLUMN 10 TILEPROP CLBLL_L_X2Y67 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y67 FIRST_SITE_ID 8528 TILEPROP CLBLL_L_X2Y67 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y67 GRID_POINT_Y 86 TILEPROP CLBLL_L_X2Y67 INDEX 9900 TILEPROP CLBLL_L_X2Y67 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y67 INT_TILE_Y 82 TILEPROP CLBLL_L_X2Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y67 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y67 NAME CLBLL_L_X2Y67 TILEPROP CLBLL_L_X2Y67 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y67 NUM_SITES 2 TILEPROP CLBLL_L_X2Y67 ROW 86 TILEPROP CLBLL_L_X2Y67 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y67 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y67 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y67 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y67 TILE_X -87210 TILEPROP CLBLL_L_X2Y67 TILE_Y -24000 TILEPROP CLBLL_L_X2Y67 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y68 CLASS tile TILEPROP CLBLL_L_X2Y68 COLUMN 10 TILEPROP CLBLL_L_X2Y68 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y68 FIRST_SITE_ID 8424 TILEPROP CLBLL_L_X2Y68 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y68 GRID_POINT_Y 85 TILEPROP CLBLL_L_X2Y68 INDEX 9785 TILEPROP CLBLL_L_X2Y68 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y68 INT_TILE_Y 81 TILEPROP CLBLL_L_X2Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y68 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y68 NAME CLBLL_L_X2Y68 TILEPROP CLBLL_L_X2Y68 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y68 NUM_SITES 2 TILEPROP CLBLL_L_X2Y68 ROW 85 TILEPROP CLBLL_L_X2Y68 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y68 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y68 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y68 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y68 TILE_X -87210 TILEPROP CLBLL_L_X2Y68 TILE_Y -20800 TILEPROP CLBLL_L_X2Y68 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y69 CLASS tile TILEPROP CLBLL_L_X2Y69 COLUMN 10 TILEPROP CLBLL_L_X2Y69 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y69 FIRST_SITE_ID 8322 TILEPROP CLBLL_L_X2Y69 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y69 GRID_POINT_Y 84 TILEPROP CLBLL_L_X2Y69 INDEX 9670 TILEPROP CLBLL_L_X2Y69 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y69 INT_TILE_Y 80 TILEPROP CLBLL_L_X2Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y69 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y69 NAME CLBLL_L_X2Y69 TILEPROP CLBLL_L_X2Y69 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y69 NUM_SITES 2 TILEPROP CLBLL_L_X2Y69 ROW 84 TILEPROP CLBLL_L_X2Y69 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y69 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y69 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y69 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y69 TILE_X -87210 TILEPROP CLBLL_L_X2Y69 TILE_Y -17600 TILEPROP CLBLL_L_X2Y69 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y70 CLASS tile TILEPROP CLBLL_L_X2Y70 COLUMN 10 TILEPROP CLBLL_L_X2Y70 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y70 FIRST_SITE_ID 8205 TILEPROP CLBLL_L_X2Y70 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y70 GRID_POINT_Y 83 TILEPROP CLBLL_L_X2Y70 INDEX 9555 TILEPROP CLBLL_L_X2Y70 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y70 INT_TILE_Y 79 TILEPROP CLBLL_L_X2Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y70 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y70 NAME CLBLL_L_X2Y70 TILEPROP CLBLL_L_X2Y70 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y70 NUM_SITES 2 TILEPROP CLBLL_L_X2Y70 ROW 83 TILEPROP CLBLL_L_X2Y70 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y70 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y70 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y70 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y70 TILE_X -87210 TILEPROP CLBLL_L_X2Y70 TILE_Y -14400 TILEPROP CLBLL_L_X2Y70 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y71 CLASS tile TILEPROP CLBLL_L_X2Y71 COLUMN 10 TILEPROP CLBLL_L_X2Y71 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y71 FIRST_SITE_ID 8105 TILEPROP CLBLL_L_X2Y71 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y71 GRID_POINT_Y 82 TILEPROP CLBLL_L_X2Y71 INDEX 9440 TILEPROP CLBLL_L_X2Y71 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y71 INT_TILE_Y 78 TILEPROP CLBLL_L_X2Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y71 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y71 NAME CLBLL_L_X2Y71 TILEPROP CLBLL_L_X2Y71 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y71 NUM_SITES 2 TILEPROP CLBLL_L_X2Y71 ROW 82 TILEPROP CLBLL_L_X2Y71 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y71 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y71 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y71 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y71 TILE_X -87210 TILEPROP CLBLL_L_X2Y71 TILE_Y -11200 TILEPROP CLBLL_L_X2Y71 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y72 CLASS tile TILEPROP CLBLL_L_X2Y72 COLUMN 10 TILEPROP CLBLL_L_X2Y72 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y72 FIRST_SITE_ID 8005 TILEPROP CLBLL_L_X2Y72 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y72 GRID_POINT_Y 81 TILEPROP CLBLL_L_X2Y72 INDEX 9325 TILEPROP CLBLL_L_X2Y72 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y72 INT_TILE_Y 77 TILEPROP CLBLL_L_X2Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y72 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y72 NAME CLBLL_L_X2Y72 TILEPROP CLBLL_L_X2Y72 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y72 NUM_SITES 2 TILEPROP CLBLL_L_X2Y72 ROW 81 TILEPROP CLBLL_L_X2Y72 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y72 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y72 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y72 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y72 TILE_X -87210 TILEPROP CLBLL_L_X2Y72 TILE_Y -8000 TILEPROP CLBLL_L_X2Y72 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y73 CLASS tile TILEPROP CLBLL_L_X2Y73 COLUMN 10 TILEPROP CLBLL_L_X2Y73 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y73 FIRST_SITE_ID 7905 TILEPROP CLBLL_L_X2Y73 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y73 GRID_POINT_Y 80 TILEPROP CLBLL_L_X2Y73 INDEX 9210 TILEPROP CLBLL_L_X2Y73 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y73 INT_TILE_Y 76 TILEPROP CLBLL_L_X2Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y73 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y73 NAME CLBLL_L_X2Y73 TILEPROP CLBLL_L_X2Y73 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y73 NUM_SITES 2 TILEPROP CLBLL_L_X2Y73 ROW 80 TILEPROP CLBLL_L_X2Y73 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y73 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y73 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y73 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y73 TILE_X -87210 TILEPROP CLBLL_L_X2Y73 TILE_Y -4800 TILEPROP CLBLL_L_X2Y73 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y74 CLASS tile TILEPROP CLBLL_L_X2Y74 COLUMN 10 TILEPROP CLBLL_L_X2Y74 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y74 FIRST_SITE_ID 7805 TILEPROP CLBLL_L_X2Y74 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y74 GRID_POINT_Y 79 TILEPROP CLBLL_L_X2Y74 INDEX 9095 TILEPROP CLBLL_L_X2Y74 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y74 INT_TILE_Y 75 TILEPROP CLBLL_L_X2Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y74 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y74 NAME CLBLL_L_X2Y74 TILEPROP CLBLL_L_X2Y74 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y74 NUM_SITES 2 TILEPROP CLBLL_L_X2Y74 ROW 79 TILEPROP CLBLL_L_X2Y74 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y74 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X2Y74 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y74 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y74 TILE_X -87210 TILEPROP CLBLL_L_X2Y74 TILE_Y -1600 TILEPROP CLBLL_L_X2Y74 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y75 CLASS tile TILEPROP CLBLL_L_X2Y75 COLUMN 10 TILEPROP CLBLL_L_X2Y75 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y75 FIRST_SITE_ID 7604 TILEPROP CLBLL_L_X2Y75 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y75 GRID_POINT_Y 77 TILEPROP CLBLL_L_X2Y75 INDEX 8865 TILEPROP CLBLL_L_X2Y75 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y75 INT_TILE_Y 74 TILEPROP CLBLL_L_X2Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y75 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y75 NAME CLBLL_L_X2Y75 TILEPROP CLBLL_L_X2Y75 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y75 NUM_SITES 2 TILEPROP CLBLL_L_X2Y75 ROW 77 TILEPROP CLBLL_L_X2Y75 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y75 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X2Y75 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y75 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y75 TILE_X -87210 TILEPROP CLBLL_L_X2Y75 TILE_Y 2624 TILEPROP CLBLL_L_X2Y75 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y76 CLASS tile TILEPROP CLBLL_L_X2Y76 COLUMN 10 TILEPROP CLBLL_L_X2Y76 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y76 FIRST_SITE_ID 7504 TILEPROP CLBLL_L_X2Y76 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y76 GRID_POINT_Y 76 TILEPROP CLBLL_L_X2Y76 INDEX 8750 TILEPROP CLBLL_L_X2Y76 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y76 INT_TILE_Y 73 TILEPROP CLBLL_L_X2Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y76 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y76 NAME CLBLL_L_X2Y76 TILEPROP CLBLL_L_X2Y76 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y76 NUM_SITES 2 TILEPROP CLBLL_L_X2Y76 ROW 76 TILEPROP CLBLL_L_X2Y76 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y76 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y76 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y76 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y76 TILE_X -87210 TILEPROP CLBLL_L_X2Y76 TILE_Y 5824 TILEPROP CLBLL_L_X2Y76 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y77 CLASS tile TILEPROP CLBLL_L_X2Y77 COLUMN 10 TILEPROP CLBLL_L_X2Y77 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y77 FIRST_SITE_ID 7404 TILEPROP CLBLL_L_X2Y77 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y77 GRID_POINT_Y 75 TILEPROP CLBLL_L_X2Y77 INDEX 8635 TILEPROP CLBLL_L_X2Y77 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y77 INT_TILE_Y 72 TILEPROP CLBLL_L_X2Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y77 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y77 NAME CLBLL_L_X2Y77 TILEPROP CLBLL_L_X2Y77 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y77 NUM_SITES 2 TILEPROP CLBLL_L_X2Y77 ROW 75 TILEPROP CLBLL_L_X2Y77 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y77 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y77 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y77 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y77 TILE_X -87210 TILEPROP CLBLL_L_X2Y77 TILE_Y 9024 TILEPROP CLBLL_L_X2Y77 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y78 CLASS tile TILEPROP CLBLL_L_X2Y78 COLUMN 10 TILEPROP CLBLL_L_X2Y78 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y78 FIRST_SITE_ID 7304 TILEPROP CLBLL_L_X2Y78 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y78 GRID_POINT_Y 74 TILEPROP CLBLL_L_X2Y78 INDEX 8520 TILEPROP CLBLL_L_X2Y78 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y78 INT_TILE_Y 71 TILEPROP CLBLL_L_X2Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y78 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y78 NAME CLBLL_L_X2Y78 TILEPROP CLBLL_L_X2Y78 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y78 NUM_SITES 2 TILEPROP CLBLL_L_X2Y78 ROW 74 TILEPROP CLBLL_L_X2Y78 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y78 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y78 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y78 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y78 TILE_X -87210 TILEPROP CLBLL_L_X2Y78 TILE_Y 12224 TILEPROP CLBLL_L_X2Y78 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y79 CLASS tile TILEPROP CLBLL_L_X2Y79 COLUMN 10 TILEPROP CLBLL_L_X2Y79 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y79 FIRST_SITE_ID 7198 TILEPROP CLBLL_L_X2Y79 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y79 GRID_POINT_Y 73 TILEPROP CLBLL_L_X2Y79 INDEX 8405 TILEPROP CLBLL_L_X2Y79 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y79 INT_TILE_Y 70 TILEPROP CLBLL_L_X2Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y79 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y79 NAME CLBLL_L_X2Y79 TILEPROP CLBLL_L_X2Y79 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y79 NUM_SITES 2 TILEPROP CLBLL_L_X2Y79 ROW 73 TILEPROP CLBLL_L_X2Y79 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y79 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y79 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y79 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y79 TILE_X -87210 TILEPROP CLBLL_L_X2Y79 TILE_Y 15424 TILEPROP CLBLL_L_X2Y79 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y80 CLASS tile TILEPROP CLBLL_L_X2Y80 COLUMN 10 TILEPROP CLBLL_L_X2Y80 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y80 FIRST_SITE_ID 7077 TILEPROP CLBLL_L_X2Y80 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y80 GRID_POINT_Y 72 TILEPROP CLBLL_L_X2Y80 INDEX 8290 TILEPROP CLBLL_L_X2Y80 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y80 INT_TILE_Y 69 TILEPROP CLBLL_L_X2Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y80 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y80 NAME CLBLL_L_X2Y80 TILEPROP CLBLL_L_X2Y80 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y80 NUM_SITES 2 TILEPROP CLBLL_L_X2Y80 ROW 72 TILEPROP CLBLL_L_X2Y80 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y80 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y80 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y80 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y80 TILE_X -87210 TILEPROP CLBLL_L_X2Y80 TILE_Y 18624 TILEPROP CLBLL_L_X2Y80 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y81 CLASS tile TILEPROP CLBLL_L_X2Y81 COLUMN 10 TILEPROP CLBLL_L_X2Y81 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y81 FIRST_SITE_ID 6975 TILEPROP CLBLL_L_X2Y81 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y81 GRID_POINT_Y 71 TILEPROP CLBLL_L_X2Y81 INDEX 8175 TILEPROP CLBLL_L_X2Y81 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y81 INT_TILE_Y 68 TILEPROP CLBLL_L_X2Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y81 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y81 NAME CLBLL_L_X2Y81 TILEPROP CLBLL_L_X2Y81 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y81 NUM_SITES 2 TILEPROP CLBLL_L_X2Y81 ROW 71 TILEPROP CLBLL_L_X2Y81 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y81 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y81 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y81 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y81 TILE_X -87210 TILEPROP CLBLL_L_X2Y81 TILE_Y 21824 TILEPROP CLBLL_L_X2Y81 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y82 CLASS tile TILEPROP CLBLL_L_X2Y82 COLUMN 10 TILEPROP CLBLL_L_X2Y82 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y82 FIRST_SITE_ID 6873 TILEPROP CLBLL_L_X2Y82 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y82 GRID_POINT_Y 70 TILEPROP CLBLL_L_X2Y82 INDEX 8060 TILEPROP CLBLL_L_X2Y82 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y82 INT_TILE_Y 67 TILEPROP CLBLL_L_X2Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y82 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y82 NAME CLBLL_L_X2Y82 TILEPROP CLBLL_L_X2Y82 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y82 NUM_SITES 2 TILEPROP CLBLL_L_X2Y82 ROW 70 TILEPROP CLBLL_L_X2Y82 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y82 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y82 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y82 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y82 TILE_X -87210 TILEPROP CLBLL_L_X2Y82 TILE_Y 25024 TILEPROP CLBLL_L_X2Y82 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y83 CLASS tile TILEPROP CLBLL_L_X2Y83 COLUMN 10 TILEPROP CLBLL_L_X2Y83 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y83 FIRST_SITE_ID 6773 TILEPROP CLBLL_L_X2Y83 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y83 GRID_POINT_Y 69 TILEPROP CLBLL_L_X2Y83 INDEX 7945 TILEPROP CLBLL_L_X2Y83 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y83 INT_TILE_Y 66 TILEPROP CLBLL_L_X2Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y83 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y83 NAME CLBLL_L_X2Y83 TILEPROP CLBLL_L_X2Y83 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y83 NUM_SITES 2 TILEPROP CLBLL_L_X2Y83 ROW 69 TILEPROP CLBLL_L_X2Y83 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y83 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y83 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y83 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y83 TILE_X -87210 TILEPROP CLBLL_L_X2Y83 TILE_Y 28224 TILEPROP CLBLL_L_X2Y83 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y84 CLASS tile TILEPROP CLBLL_L_X2Y84 COLUMN 10 TILEPROP CLBLL_L_X2Y84 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y84 FIRST_SITE_ID 6673 TILEPROP CLBLL_L_X2Y84 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y84 GRID_POINT_Y 68 TILEPROP CLBLL_L_X2Y84 INDEX 7830 TILEPROP CLBLL_L_X2Y84 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y84 INT_TILE_Y 65 TILEPROP CLBLL_L_X2Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y84 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y84 NAME CLBLL_L_X2Y84 TILEPROP CLBLL_L_X2Y84 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y84 NUM_SITES 2 TILEPROP CLBLL_L_X2Y84 ROW 68 TILEPROP CLBLL_L_X2Y84 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y84 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y84 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y84 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y84 TILE_X -87210 TILEPROP CLBLL_L_X2Y84 TILE_Y 31424 TILEPROP CLBLL_L_X2Y84 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y85 CLASS tile TILEPROP CLBLL_L_X2Y85 COLUMN 10 TILEPROP CLBLL_L_X2Y85 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y85 FIRST_SITE_ID 6558 TILEPROP CLBLL_L_X2Y85 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y85 GRID_POINT_Y 67 TILEPROP CLBLL_L_X2Y85 INDEX 7715 TILEPROP CLBLL_L_X2Y85 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y85 INT_TILE_Y 64 TILEPROP CLBLL_L_X2Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y85 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y85 NAME CLBLL_L_X2Y85 TILEPROP CLBLL_L_X2Y85 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y85 NUM_SITES 2 TILEPROP CLBLL_L_X2Y85 ROW 67 TILEPROP CLBLL_L_X2Y85 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y85 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y85 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y85 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y85 TILE_X -87210 TILEPROP CLBLL_L_X2Y85 TILE_Y 34624 TILEPROP CLBLL_L_X2Y85 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y86 CLASS tile TILEPROP CLBLL_L_X2Y86 COLUMN 10 TILEPROP CLBLL_L_X2Y86 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y86 FIRST_SITE_ID 6426 TILEPROP CLBLL_L_X2Y86 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y86 GRID_POINT_Y 66 TILEPROP CLBLL_L_X2Y86 INDEX 7600 TILEPROP CLBLL_L_X2Y86 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y86 INT_TILE_Y 63 TILEPROP CLBLL_L_X2Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y86 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y86 NAME CLBLL_L_X2Y86 TILEPROP CLBLL_L_X2Y86 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y86 NUM_SITES 2 TILEPROP CLBLL_L_X2Y86 ROW 66 TILEPROP CLBLL_L_X2Y86 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y86 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y86 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y86 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y86 TILE_X -87210 TILEPROP CLBLL_L_X2Y86 TILE_Y 37824 TILEPROP CLBLL_L_X2Y86 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y87 CLASS tile TILEPROP CLBLL_L_X2Y87 COLUMN 10 TILEPROP CLBLL_L_X2Y87 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y87 FIRST_SITE_ID 6326 TILEPROP CLBLL_L_X2Y87 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y87 GRID_POINT_Y 65 TILEPROP CLBLL_L_X2Y87 INDEX 7485 TILEPROP CLBLL_L_X2Y87 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y87 INT_TILE_Y 62 TILEPROP CLBLL_L_X2Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y87 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y87 NAME CLBLL_L_X2Y87 TILEPROP CLBLL_L_X2Y87 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y87 NUM_SITES 2 TILEPROP CLBLL_L_X2Y87 ROW 65 TILEPROP CLBLL_L_X2Y87 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y87 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y87 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y87 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y87 TILE_X -87210 TILEPROP CLBLL_L_X2Y87 TILE_Y 41024 TILEPROP CLBLL_L_X2Y87 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y88 CLASS tile TILEPROP CLBLL_L_X2Y88 COLUMN 10 TILEPROP CLBLL_L_X2Y88 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y88 FIRST_SITE_ID 6226 TILEPROP CLBLL_L_X2Y88 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y88 GRID_POINT_Y 64 TILEPROP CLBLL_L_X2Y88 INDEX 7370 TILEPROP CLBLL_L_X2Y88 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y88 INT_TILE_Y 61 TILEPROP CLBLL_L_X2Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y88 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y88 NAME CLBLL_L_X2Y88 TILEPROP CLBLL_L_X2Y88 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y88 NUM_SITES 2 TILEPROP CLBLL_L_X2Y88 ROW 64 TILEPROP CLBLL_L_X2Y88 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y88 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y88 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y88 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y88 TILE_X -87210 TILEPROP CLBLL_L_X2Y88 TILE_Y 44224 TILEPROP CLBLL_L_X2Y88 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y89 CLASS tile TILEPROP CLBLL_L_X2Y89 COLUMN 10 TILEPROP CLBLL_L_X2Y89 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y89 FIRST_SITE_ID 6126 TILEPROP CLBLL_L_X2Y89 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y89 GRID_POINT_Y 63 TILEPROP CLBLL_L_X2Y89 INDEX 7255 TILEPROP CLBLL_L_X2Y89 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y89 INT_TILE_Y 60 TILEPROP CLBLL_L_X2Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y89 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y89 NAME CLBLL_L_X2Y89 TILEPROP CLBLL_L_X2Y89 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y89 NUM_SITES 2 TILEPROP CLBLL_L_X2Y89 ROW 63 TILEPROP CLBLL_L_X2Y89 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y89 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y89 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y89 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y89 TILE_X -87210 TILEPROP CLBLL_L_X2Y89 TILE_Y 47424 TILEPROP CLBLL_L_X2Y89 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y90 CLASS tile TILEPROP CLBLL_L_X2Y90 COLUMN 10 TILEPROP CLBLL_L_X2Y90 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y90 FIRST_SITE_ID 6011 TILEPROP CLBLL_L_X2Y90 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y90 GRID_POINT_Y 62 TILEPROP CLBLL_L_X2Y90 INDEX 7140 TILEPROP CLBLL_L_X2Y90 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y90 INT_TILE_Y 59 TILEPROP CLBLL_L_X2Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y90 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y90 NAME CLBLL_L_X2Y90 TILEPROP CLBLL_L_X2Y90 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y90 NUM_SITES 2 TILEPROP CLBLL_L_X2Y90 ROW 62 TILEPROP CLBLL_L_X2Y90 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y90 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y90 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y90 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y90 TILE_X -87210 TILEPROP CLBLL_L_X2Y90 TILE_Y 50624 TILEPROP CLBLL_L_X2Y90 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y91 CLASS tile TILEPROP CLBLL_L_X2Y91 COLUMN 10 TILEPROP CLBLL_L_X2Y91 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y91 FIRST_SITE_ID 5911 TILEPROP CLBLL_L_X2Y91 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y91 GRID_POINT_Y 61 TILEPROP CLBLL_L_X2Y91 INDEX 7025 TILEPROP CLBLL_L_X2Y91 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y91 INT_TILE_Y 58 TILEPROP CLBLL_L_X2Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y91 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y91 NAME CLBLL_L_X2Y91 TILEPROP CLBLL_L_X2Y91 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y91 NUM_SITES 2 TILEPROP CLBLL_L_X2Y91 ROW 61 TILEPROP CLBLL_L_X2Y91 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y91 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y91 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y91 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y91 TILE_X -87210 TILEPROP CLBLL_L_X2Y91 TILE_Y 53824 TILEPROP CLBLL_L_X2Y91 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y92 CLASS tile TILEPROP CLBLL_L_X2Y92 COLUMN 10 TILEPROP CLBLL_L_X2Y92 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y92 FIRST_SITE_ID 5810 TILEPROP CLBLL_L_X2Y92 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y92 GRID_POINT_Y 60 TILEPROP CLBLL_L_X2Y92 INDEX 6910 TILEPROP CLBLL_L_X2Y92 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y92 INT_TILE_Y 57 TILEPROP CLBLL_L_X2Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y92 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y92 NAME CLBLL_L_X2Y92 TILEPROP CLBLL_L_X2Y92 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y92 NUM_SITES 2 TILEPROP CLBLL_L_X2Y92 ROW 60 TILEPROP CLBLL_L_X2Y92 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y92 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y92 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y92 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y92 TILE_X -87210 TILEPROP CLBLL_L_X2Y92 TILE_Y 57024 TILEPROP CLBLL_L_X2Y92 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y93 CLASS tile TILEPROP CLBLL_L_X2Y93 COLUMN 10 TILEPROP CLBLL_L_X2Y93 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y93 FIRST_SITE_ID 5707 TILEPROP CLBLL_L_X2Y93 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y93 GRID_POINT_Y 59 TILEPROP CLBLL_L_X2Y93 INDEX 6795 TILEPROP CLBLL_L_X2Y93 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y93 INT_TILE_Y 56 TILEPROP CLBLL_L_X2Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y93 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y93 NAME CLBLL_L_X2Y93 TILEPROP CLBLL_L_X2Y93 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y93 NUM_SITES 2 TILEPROP CLBLL_L_X2Y93 ROW 59 TILEPROP CLBLL_L_X2Y93 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y93 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y93 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y93 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y93 TILE_X -87210 TILEPROP CLBLL_L_X2Y93 TILE_Y 60224 TILEPROP CLBLL_L_X2Y93 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y94 CLASS tile TILEPROP CLBLL_L_X2Y94 COLUMN 10 TILEPROP CLBLL_L_X2Y94 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y94 FIRST_SITE_ID 5605 TILEPROP CLBLL_L_X2Y94 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y94 GRID_POINT_Y 58 TILEPROP CLBLL_L_X2Y94 INDEX 6680 TILEPROP CLBLL_L_X2Y94 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y94 INT_TILE_Y 55 TILEPROP CLBLL_L_X2Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y94 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y94 NAME CLBLL_L_X2Y94 TILEPROP CLBLL_L_X2Y94 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y94 NUM_SITES 2 TILEPROP CLBLL_L_X2Y94 ROW 58 TILEPROP CLBLL_L_X2Y94 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y94 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y94 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y94 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y94 TILE_X -87210 TILEPROP CLBLL_L_X2Y94 TILE_Y 63424 TILEPROP CLBLL_L_X2Y94 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y95 CLASS tile TILEPROP CLBLL_L_X2Y95 COLUMN 10 TILEPROP CLBLL_L_X2Y95 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y95 FIRST_SITE_ID 5490 TILEPROP CLBLL_L_X2Y95 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y95 GRID_POINT_Y 57 TILEPROP CLBLL_L_X2Y95 INDEX 6565 TILEPROP CLBLL_L_X2Y95 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y95 INT_TILE_Y 54 TILEPROP CLBLL_L_X2Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y95 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y95 NAME CLBLL_L_X2Y95 TILEPROP CLBLL_L_X2Y95 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y95 NUM_SITES 2 TILEPROP CLBLL_L_X2Y95 ROW 57 TILEPROP CLBLL_L_X2Y95 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y95 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y95 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y95 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y95 TILE_X -87210 TILEPROP CLBLL_L_X2Y95 TILE_Y 66624 TILEPROP CLBLL_L_X2Y95 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y96 CLASS tile TILEPROP CLBLL_L_X2Y96 COLUMN 10 TILEPROP CLBLL_L_X2Y96 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y96 FIRST_SITE_ID 5390 TILEPROP CLBLL_L_X2Y96 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y96 GRID_POINT_Y 56 TILEPROP CLBLL_L_X2Y96 INDEX 6450 TILEPROP CLBLL_L_X2Y96 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y96 INT_TILE_Y 53 TILEPROP CLBLL_L_X2Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y96 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y96 NAME CLBLL_L_X2Y96 TILEPROP CLBLL_L_X2Y96 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y96 NUM_SITES 2 TILEPROP CLBLL_L_X2Y96 ROW 56 TILEPROP CLBLL_L_X2Y96 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y96 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y96 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y96 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y96 TILE_X -87210 TILEPROP CLBLL_L_X2Y96 TILE_Y 69824 TILEPROP CLBLL_L_X2Y96 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y97 CLASS tile TILEPROP CLBLL_L_X2Y97 COLUMN 10 TILEPROP CLBLL_L_X2Y97 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y97 FIRST_SITE_ID 5290 TILEPROP CLBLL_L_X2Y97 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y97 GRID_POINT_Y 55 TILEPROP CLBLL_L_X2Y97 INDEX 6335 TILEPROP CLBLL_L_X2Y97 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y97 INT_TILE_Y 52 TILEPROP CLBLL_L_X2Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y97 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y97 NAME CLBLL_L_X2Y97 TILEPROP CLBLL_L_X2Y97 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y97 NUM_SITES 2 TILEPROP CLBLL_L_X2Y97 ROW 55 TILEPROP CLBLL_L_X2Y97 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y97 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y97 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y97 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y97 TILE_X -87210 TILEPROP CLBLL_L_X2Y97 TILE_Y 73024 TILEPROP CLBLL_L_X2Y97 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y98 CLASS tile TILEPROP CLBLL_L_X2Y98 COLUMN 10 TILEPROP CLBLL_L_X2Y98 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y98 FIRST_SITE_ID 5190 TILEPROP CLBLL_L_X2Y98 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y98 GRID_POINT_Y 54 TILEPROP CLBLL_L_X2Y98 INDEX 6220 TILEPROP CLBLL_L_X2Y98 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y98 INT_TILE_Y 51 TILEPROP CLBLL_L_X2Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y98 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y98 NAME CLBLL_L_X2Y98 TILEPROP CLBLL_L_X2Y98 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y98 NUM_SITES 2 TILEPROP CLBLL_L_X2Y98 ROW 54 TILEPROP CLBLL_L_X2Y98 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y98 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y98 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y98 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y98 TILE_X -87210 TILEPROP CLBLL_L_X2Y98 TILE_Y 76224 TILEPROP CLBLL_L_X2Y98 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y99 CLASS tile TILEPROP CLBLL_L_X2Y99 COLUMN 10 TILEPROP CLBLL_L_X2Y99 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y99 FIRST_SITE_ID 5094 TILEPROP CLBLL_L_X2Y99 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y99 GRID_POINT_Y 53 TILEPROP CLBLL_L_X2Y99 INDEX 6105 TILEPROP CLBLL_L_X2Y99 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y99 INT_TILE_Y 50 TILEPROP CLBLL_L_X2Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y99 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y99 NAME CLBLL_L_X2Y99 TILEPROP CLBLL_L_X2Y99 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y99 NUM_SITES 2 TILEPROP CLBLL_L_X2Y99 ROW 53 TILEPROP CLBLL_L_X2Y99 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y99 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X2Y99 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y99 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y99 TILE_X -87210 TILEPROP CLBLL_L_X2Y99 TILE_Y 79424 TILEPROP CLBLL_L_X2Y99 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y100 CLASS tile TILEPROP CLBLL_L_X2Y100 COLUMN 10 TILEPROP CLBLL_L_X2Y100 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y100 FIRST_SITE_ID 4993 TILEPROP CLBLL_L_X2Y100 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y100 GRID_POINT_Y 51 TILEPROP CLBLL_L_X2Y100 INDEX 5875 TILEPROP CLBLL_L_X2Y100 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y100 INT_TILE_Y 49 TILEPROP CLBLL_L_X2Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y100 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y100 NAME CLBLL_L_X2Y100 TILEPROP CLBLL_L_X2Y100 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y100 NUM_SITES 2 TILEPROP CLBLL_L_X2Y100 ROW 51 TILEPROP CLBLL_L_X2Y100 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y100 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X2Y100 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y100 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y100 TILE_X -87210 TILEPROP CLBLL_L_X2Y100 TILE_Y 82872 TILEPROP CLBLL_L_X2Y100 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y101 CLASS tile TILEPROP CLBLL_L_X2Y101 COLUMN 10 TILEPROP CLBLL_L_X2Y101 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y101 FIRST_SITE_ID 4901 TILEPROP CLBLL_L_X2Y101 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y101 GRID_POINT_Y 50 TILEPROP CLBLL_L_X2Y101 INDEX 5760 TILEPROP CLBLL_L_X2Y101 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y101 INT_TILE_Y 48 TILEPROP CLBLL_L_X2Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y101 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y101 NAME CLBLL_L_X2Y101 TILEPROP CLBLL_L_X2Y101 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y101 NUM_SITES 2 TILEPROP CLBLL_L_X2Y101 ROW 50 TILEPROP CLBLL_L_X2Y101 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y101 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y101 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y101 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y101 TILE_X -87210 TILEPROP CLBLL_L_X2Y101 TILE_Y 86072 TILEPROP CLBLL_L_X2Y101 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y102 CLASS tile TILEPROP CLBLL_L_X2Y102 COLUMN 10 TILEPROP CLBLL_L_X2Y102 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y102 FIRST_SITE_ID 4805 TILEPROP CLBLL_L_X2Y102 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y102 GRID_POINT_Y 49 TILEPROP CLBLL_L_X2Y102 INDEX 5645 TILEPROP CLBLL_L_X2Y102 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y102 INT_TILE_Y 47 TILEPROP CLBLL_L_X2Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y102 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y102 NAME CLBLL_L_X2Y102 TILEPROP CLBLL_L_X2Y102 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y102 NUM_SITES 2 TILEPROP CLBLL_L_X2Y102 ROW 49 TILEPROP CLBLL_L_X2Y102 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y102 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y102 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y102 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y102 TILE_X -87210 TILEPROP CLBLL_L_X2Y102 TILE_Y 89272 TILEPROP CLBLL_L_X2Y102 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y103 CLASS tile TILEPROP CLBLL_L_X2Y103 COLUMN 10 TILEPROP CLBLL_L_X2Y103 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y103 FIRST_SITE_ID 4717 TILEPROP CLBLL_L_X2Y103 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y103 GRID_POINT_Y 48 TILEPROP CLBLL_L_X2Y103 INDEX 5530 TILEPROP CLBLL_L_X2Y103 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y103 INT_TILE_Y 46 TILEPROP CLBLL_L_X2Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y103 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y103 NAME CLBLL_L_X2Y103 TILEPROP CLBLL_L_X2Y103 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y103 NUM_SITES 2 TILEPROP CLBLL_L_X2Y103 ROW 48 TILEPROP CLBLL_L_X2Y103 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y103 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y103 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y103 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y103 TILE_X -87210 TILEPROP CLBLL_L_X2Y103 TILE_Y 92472 TILEPROP CLBLL_L_X2Y103 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y104 CLASS tile TILEPROP CLBLL_L_X2Y104 COLUMN 10 TILEPROP CLBLL_L_X2Y104 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y104 FIRST_SITE_ID 4621 TILEPROP CLBLL_L_X2Y104 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y104 GRID_POINT_Y 47 TILEPROP CLBLL_L_X2Y104 INDEX 5415 TILEPROP CLBLL_L_X2Y104 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y104 INT_TILE_Y 45 TILEPROP CLBLL_L_X2Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y104 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y104 NAME CLBLL_L_X2Y104 TILEPROP CLBLL_L_X2Y104 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y104 NUM_SITES 2 TILEPROP CLBLL_L_X2Y104 ROW 47 TILEPROP CLBLL_L_X2Y104 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y104 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y104 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y104 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y104 TILE_X -87210 TILEPROP CLBLL_L_X2Y104 TILE_Y 95672 TILEPROP CLBLL_L_X2Y104 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y105 CLASS tile TILEPROP CLBLL_L_X2Y105 COLUMN 10 TILEPROP CLBLL_L_X2Y105 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y105 FIRST_SITE_ID 4519 TILEPROP CLBLL_L_X2Y105 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y105 GRID_POINT_Y 46 TILEPROP CLBLL_L_X2Y105 INDEX 5300 TILEPROP CLBLL_L_X2Y105 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y105 INT_TILE_Y 44 TILEPROP CLBLL_L_X2Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y105 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y105 NAME CLBLL_L_X2Y105 TILEPROP CLBLL_L_X2Y105 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y105 NUM_SITES 2 TILEPROP CLBLL_L_X2Y105 ROW 46 TILEPROP CLBLL_L_X2Y105 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y105 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y105 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y105 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y105 TILE_X -87210 TILEPROP CLBLL_L_X2Y105 TILE_Y 98872 TILEPROP CLBLL_L_X2Y105 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y106 CLASS tile TILEPROP CLBLL_L_X2Y106 COLUMN 10 TILEPROP CLBLL_L_X2Y106 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y106 FIRST_SITE_ID 4423 TILEPROP CLBLL_L_X2Y106 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y106 GRID_POINT_Y 45 TILEPROP CLBLL_L_X2Y106 INDEX 5185 TILEPROP CLBLL_L_X2Y106 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y106 INT_TILE_Y 43 TILEPROP CLBLL_L_X2Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y106 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y106 NAME CLBLL_L_X2Y106 TILEPROP CLBLL_L_X2Y106 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y106 NUM_SITES 2 TILEPROP CLBLL_L_X2Y106 ROW 45 TILEPROP CLBLL_L_X2Y106 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y106 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y106 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y106 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y106 TILE_X -87210 TILEPROP CLBLL_L_X2Y106 TILE_Y 102072 TILEPROP CLBLL_L_X2Y106 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y107 CLASS tile TILEPROP CLBLL_L_X2Y107 COLUMN 10 TILEPROP CLBLL_L_X2Y107 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y107 FIRST_SITE_ID 4335 TILEPROP CLBLL_L_X2Y107 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y107 GRID_POINT_Y 44 TILEPROP CLBLL_L_X2Y107 INDEX 5070 TILEPROP CLBLL_L_X2Y107 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y107 INT_TILE_Y 42 TILEPROP CLBLL_L_X2Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y107 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y107 NAME CLBLL_L_X2Y107 TILEPROP CLBLL_L_X2Y107 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y107 NUM_SITES 2 TILEPROP CLBLL_L_X2Y107 ROW 44 TILEPROP CLBLL_L_X2Y107 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y107 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y107 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y107 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y107 TILE_X -87210 TILEPROP CLBLL_L_X2Y107 TILE_Y 105272 TILEPROP CLBLL_L_X2Y107 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y108 CLASS tile TILEPROP CLBLL_L_X2Y108 COLUMN 10 TILEPROP CLBLL_L_X2Y108 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y108 FIRST_SITE_ID 4237 TILEPROP CLBLL_L_X2Y108 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y108 GRID_POINT_Y 43 TILEPROP CLBLL_L_X2Y108 INDEX 4955 TILEPROP CLBLL_L_X2Y108 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y108 INT_TILE_Y 41 TILEPROP CLBLL_L_X2Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y108 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y108 NAME CLBLL_L_X2Y108 TILEPROP CLBLL_L_X2Y108 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y108 NUM_SITES 2 TILEPROP CLBLL_L_X2Y108 ROW 43 TILEPROP CLBLL_L_X2Y108 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y108 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y108 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y108 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y108 TILE_X -87210 TILEPROP CLBLL_L_X2Y108 TILE_Y 108472 TILEPROP CLBLL_L_X2Y108 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y109 CLASS tile TILEPROP CLBLL_L_X2Y109 COLUMN 10 TILEPROP CLBLL_L_X2Y109 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y109 FIRST_SITE_ID 4148 TILEPROP CLBLL_L_X2Y109 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y109 GRID_POINT_Y 42 TILEPROP CLBLL_L_X2Y109 INDEX 4840 TILEPROP CLBLL_L_X2Y109 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y109 INT_TILE_Y 40 TILEPROP CLBLL_L_X2Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y109 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y109 NAME CLBLL_L_X2Y109 TILEPROP CLBLL_L_X2Y109 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y109 NUM_SITES 2 TILEPROP CLBLL_L_X2Y109 ROW 42 TILEPROP CLBLL_L_X2Y109 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y109 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y109 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y109 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y109 TILE_X -87210 TILEPROP CLBLL_L_X2Y109 TILE_Y 111672 TILEPROP CLBLL_L_X2Y109 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y110 CLASS tile TILEPROP CLBLL_L_X2Y110 COLUMN 10 TILEPROP CLBLL_L_X2Y110 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y110 FIRST_SITE_ID 4042 TILEPROP CLBLL_L_X2Y110 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y110 GRID_POINT_Y 41 TILEPROP CLBLL_L_X2Y110 INDEX 4725 TILEPROP CLBLL_L_X2Y110 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y110 INT_TILE_Y 39 TILEPROP CLBLL_L_X2Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y110 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y110 NAME CLBLL_L_X2Y110 TILEPROP CLBLL_L_X2Y110 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y110 NUM_SITES 2 TILEPROP CLBLL_L_X2Y110 ROW 41 TILEPROP CLBLL_L_X2Y110 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y110 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y110 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y110 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y110 TILE_X -87210 TILEPROP CLBLL_L_X2Y110 TILE_Y 114872 TILEPROP CLBLL_L_X2Y110 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y111 CLASS tile TILEPROP CLBLL_L_X2Y111 COLUMN 10 TILEPROP CLBLL_L_X2Y111 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y111 FIRST_SITE_ID 3954 TILEPROP CLBLL_L_X2Y111 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y111 GRID_POINT_Y 40 TILEPROP CLBLL_L_X2Y111 INDEX 4610 TILEPROP CLBLL_L_X2Y111 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y111 INT_TILE_Y 38 TILEPROP CLBLL_L_X2Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y111 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y111 NAME CLBLL_L_X2Y111 TILEPROP CLBLL_L_X2Y111 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y111 NUM_SITES 2 TILEPROP CLBLL_L_X2Y111 ROW 40 TILEPROP CLBLL_L_X2Y111 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y111 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y111 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y111 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y111 TILE_X -87210 TILEPROP CLBLL_L_X2Y111 TILE_Y 118072 TILEPROP CLBLL_L_X2Y111 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y112 CLASS tile TILEPROP CLBLL_L_X2Y112 COLUMN 10 TILEPROP CLBLL_L_X2Y112 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y112 FIRST_SITE_ID 3826 TILEPROP CLBLL_L_X2Y112 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y112 GRID_POINT_Y 39 TILEPROP CLBLL_L_X2Y112 INDEX 4495 TILEPROP CLBLL_L_X2Y112 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y112 INT_TILE_Y 37 TILEPROP CLBLL_L_X2Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y112 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y112 NAME CLBLL_L_X2Y112 TILEPROP CLBLL_L_X2Y112 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y112 NUM_SITES 2 TILEPROP CLBLL_L_X2Y112 ROW 39 TILEPROP CLBLL_L_X2Y112 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y112 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y112 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y112 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y112 TILE_X -87210 TILEPROP CLBLL_L_X2Y112 TILE_Y 121272 TILEPROP CLBLL_L_X2Y112 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y113 CLASS tile TILEPROP CLBLL_L_X2Y113 COLUMN 10 TILEPROP CLBLL_L_X2Y113 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y113 FIRST_SITE_ID 3738 TILEPROP CLBLL_L_X2Y113 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y113 GRID_POINT_Y 38 TILEPROP CLBLL_L_X2Y113 INDEX 4380 TILEPROP CLBLL_L_X2Y113 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y113 INT_TILE_Y 36 TILEPROP CLBLL_L_X2Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y113 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y113 NAME CLBLL_L_X2Y113 TILEPROP CLBLL_L_X2Y113 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y113 NUM_SITES 2 TILEPROP CLBLL_L_X2Y113 ROW 38 TILEPROP CLBLL_L_X2Y113 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y113 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y113 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y113 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y113 TILE_X -87210 TILEPROP CLBLL_L_X2Y113 TILE_Y 124472 TILEPROP CLBLL_L_X2Y113 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y114 CLASS tile TILEPROP CLBLL_L_X2Y114 COLUMN 10 TILEPROP CLBLL_L_X2Y114 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y114 FIRST_SITE_ID 3642 TILEPROP CLBLL_L_X2Y114 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y114 GRID_POINT_Y 37 TILEPROP CLBLL_L_X2Y114 INDEX 4265 TILEPROP CLBLL_L_X2Y114 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y114 INT_TILE_Y 35 TILEPROP CLBLL_L_X2Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y114 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y114 NAME CLBLL_L_X2Y114 TILEPROP CLBLL_L_X2Y114 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y114 NUM_SITES 2 TILEPROP CLBLL_L_X2Y114 ROW 37 TILEPROP CLBLL_L_X2Y114 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y114 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y114 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y114 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y114 TILE_X -87210 TILEPROP CLBLL_L_X2Y114 TILE_Y 127672 TILEPROP CLBLL_L_X2Y114 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y115 CLASS tile TILEPROP CLBLL_L_X2Y115 COLUMN 10 TILEPROP CLBLL_L_X2Y115 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y115 FIRST_SITE_ID 3545 TILEPROP CLBLL_L_X2Y115 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y115 GRID_POINT_Y 36 TILEPROP CLBLL_L_X2Y115 INDEX 4150 TILEPROP CLBLL_L_X2Y115 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y115 INT_TILE_Y 34 TILEPROP CLBLL_L_X2Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y115 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y115 NAME CLBLL_L_X2Y115 TILEPROP CLBLL_L_X2Y115 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y115 NUM_SITES 2 TILEPROP CLBLL_L_X2Y115 ROW 36 TILEPROP CLBLL_L_X2Y115 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y115 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y115 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y115 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y115 TILE_X -87210 TILEPROP CLBLL_L_X2Y115 TILE_Y 130872 TILEPROP CLBLL_L_X2Y115 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y116 CLASS tile TILEPROP CLBLL_L_X2Y116 COLUMN 10 TILEPROP CLBLL_L_X2Y116 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y116 FIRST_SITE_ID 3444 TILEPROP CLBLL_L_X2Y116 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y116 GRID_POINT_Y 35 TILEPROP CLBLL_L_X2Y116 INDEX 4035 TILEPROP CLBLL_L_X2Y116 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y116 INT_TILE_Y 33 TILEPROP CLBLL_L_X2Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y116 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y116 NAME CLBLL_L_X2Y116 TILEPROP CLBLL_L_X2Y116 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y116 NUM_SITES 2 TILEPROP CLBLL_L_X2Y116 ROW 35 TILEPROP CLBLL_L_X2Y116 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y116 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y116 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y116 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y116 TILE_X -87210 TILEPROP CLBLL_L_X2Y116 TILE_Y 134072 TILEPROP CLBLL_L_X2Y116 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y117 CLASS tile TILEPROP CLBLL_L_X2Y117 COLUMN 10 TILEPROP CLBLL_L_X2Y117 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y117 FIRST_SITE_ID 3356 TILEPROP CLBLL_L_X2Y117 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y117 GRID_POINT_Y 34 TILEPROP CLBLL_L_X2Y117 INDEX 3920 TILEPROP CLBLL_L_X2Y117 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y117 INT_TILE_Y 32 TILEPROP CLBLL_L_X2Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y117 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y117 NAME CLBLL_L_X2Y117 TILEPROP CLBLL_L_X2Y117 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y117 NUM_SITES 2 TILEPROP CLBLL_L_X2Y117 ROW 34 TILEPROP CLBLL_L_X2Y117 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y117 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y117 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y117 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y117 TILE_X -87210 TILEPROP CLBLL_L_X2Y117 TILE_Y 137272 TILEPROP CLBLL_L_X2Y117 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y118 CLASS tile TILEPROP CLBLL_L_X2Y118 COLUMN 10 TILEPROP CLBLL_L_X2Y118 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y118 FIRST_SITE_ID 3256 TILEPROP CLBLL_L_X2Y118 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y118 GRID_POINT_Y 33 TILEPROP CLBLL_L_X2Y118 INDEX 3805 TILEPROP CLBLL_L_X2Y118 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y118 INT_TILE_Y 31 TILEPROP CLBLL_L_X2Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y118 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y118 NAME CLBLL_L_X2Y118 TILEPROP CLBLL_L_X2Y118 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y118 NUM_SITES 2 TILEPROP CLBLL_L_X2Y118 ROW 33 TILEPROP CLBLL_L_X2Y118 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y118 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y118 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y118 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y118 TILE_X -87210 TILEPROP CLBLL_L_X2Y118 TILE_Y 140472 TILEPROP CLBLL_L_X2Y118 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y119 CLASS tile TILEPROP CLBLL_L_X2Y119 COLUMN 10 TILEPROP CLBLL_L_X2Y119 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y119 FIRST_SITE_ID 3168 TILEPROP CLBLL_L_X2Y119 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y119 GRID_POINT_Y 32 TILEPROP CLBLL_L_X2Y119 INDEX 3690 TILEPROP CLBLL_L_X2Y119 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y119 INT_TILE_Y 30 TILEPROP CLBLL_L_X2Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y119 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y119 NAME CLBLL_L_X2Y119 TILEPROP CLBLL_L_X2Y119 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y119 NUM_SITES 2 TILEPROP CLBLL_L_X2Y119 ROW 32 TILEPROP CLBLL_L_X2Y119 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y119 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y119 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y119 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y119 TILE_X -87210 TILEPROP CLBLL_L_X2Y119 TILE_Y 143672 TILEPROP CLBLL_L_X2Y119 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y120 CLASS tile TILEPROP CLBLL_L_X2Y120 COLUMN 10 TILEPROP CLBLL_L_X2Y120 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y120 FIRST_SITE_ID 3061 TILEPROP CLBLL_L_X2Y120 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y120 GRID_POINT_Y 31 TILEPROP CLBLL_L_X2Y120 INDEX 3575 TILEPROP CLBLL_L_X2Y120 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y120 INT_TILE_Y 29 TILEPROP CLBLL_L_X2Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y120 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y120 NAME CLBLL_L_X2Y120 TILEPROP CLBLL_L_X2Y120 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y120 NUM_SITES 2 TILEPROP CLBLL_L_X2Y120 ROW 31 TILEPROP CLBLL_L_X2Y120 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y120 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y120 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y120 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y120 TILE_X -87210 TILEPROP CLBLL_L_X2Y120 TILE_Y 146872 TILEPROP CLBLL_L_X2Y120 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y121 CLASS tile TILEPROP CLBLL_L_X2Y121 COLUMN 10 TILEPROP CLBLL_L_X2Y121 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y121 FIRST_SITE_ID 2973 TILEPROP CLBLL_L_X2Y121 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y121 GRID_POINT_Y 30 TILEPROP CLBLL_L_X2Y121 INDEX 3460 TILEPROP CLBLL_L_X2Y121 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y121 INT_TILE_Y 28 TILEPROP CLBLL_L_X2Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y121 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y121 NAME CLBLL_L_X2Y121 TILEPROP CLBLL_L_X2Y121 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y121 NUM_SITES 2 TILEPROP CLBLL_L_X2Y121 ROW 30 TILEPROP CLBLL_L_X2Y121 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y121 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y121 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y121 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y121 TILE_X -87210 TILEPROP CLBLL_L_X2Y121 TILE_Y 150072 TILEPROP CLBLL_L_X2Y121 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y122 CLASS tile TILEPROP CLBLL_L_X2Y122 COLUMN 10 TILEPROP CLBLL_L_X2Y122 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y122 FIRST_SITE_ID 2870 TILEPROP CLBLL_L_X2Y122 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y122 GRID_POINT_Y 29 TILEPROP CLBLL_L_X2Y122 INDEX 3345 TILEPROP CLBLL_L_X2Y122 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y122 INT_TILE_Y 27 TILEPROP CLBLL_L_X2Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y122 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y122 NAME CLBLL_L_X2Y122 TILEPROP CLBLL_L_X2Y122 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y122 NUM_SITES 2 TILEPROP CLBLL_L_X2Y122 ROW 29 TILEPROP CLBLL_L_X2Y122 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y122 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y122 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y122 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y122 TILE_X -87210 TILEPROP CLBLL_L_X2Y122 TILE_Y 153272 TILEPROP CLBLL_L_X2Y122 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y123 CLASS tile TILEPROP CLBLL_L_X2Y123 COLUMN 10 TILEPROP CLBLL_L_X2Y123 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y123 FIRST_SITE_ID 2782 TILEPROP CLBLL_L_X2Y123 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y123 GRID_POINT_Y 28 TILEPROP CLBLL_L_X2Y123 INDEX 3230 TILEPROP CLBLL_L_X2Y123 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y123 INT_TILE_Y 26 TILEPROP CLBLL_L_X2Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y123 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y123 NAME CLBLL_L_X2Y123 TILEPROP CLBLL_L_X2Y123 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y123 NUM_SITES 2 TILEPROP CLBLL_L_X2Y123 ROW 28 TILEPROP CLBLL_L_X2Y123 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y123 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y123 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y123 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y123 TILE_X -87210 TILEPROP CLBLL_L_X2Y123 TILE_Y 156472 TILEPROP CLBLL_L_X2Y123 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y124 CLASS tile TILEPROP CLBLL_L_X2Y124 COLUMN 10 TILEPROP CLBLL_L_X2Y124 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y124 FIRST_SITE_ID 2686 TILEPROP CLBLL_L_X2Y124 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y124 GRID_POINT_Y 27 TILEPROP CLBLL_L_X2Y124 INDEX 3115 TILEPROP CLBLL_L_X2Y124 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y124 INT_TILE_Y 25 TILEPROP CLBLL_L_X2Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y124 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y124 NAME CLBLL_L_X2Y124 TILEPROP CLBLL_L_X2Y124 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y124 NUM_SITES 2 TILEPROP CLBLL_L_X2Y124 ROW 27 TILEPROP CLBLL_L_X2Y124 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y124 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X2Y124 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y124 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y124 TILE_X -87210 TILEPROP CLBLL_L_X2Y124 TILE_Y 159672 TILEPROP CLBLL_L_X2Y124 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y125 CLASS tile TILEPROP CLBLL_L_X2Y125 COLUMN 10 TILEPROP CLBLL_L_X2Y125 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y125 FIRST_SITE_ID 2507 TILEPROP CLBLL_L_X2Y125 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y125 GRID_POINT_Y 25 TILEPROP CLBLL_L_X2Y125 INDEX 2885 TILEPROP CLBLL_L_X2Y125 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y125 INT_TILE_Y 24 TILEPROP CLBLL_L_X2Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y125 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y125 NAME CLBLL_L_X2Y125 TILEPROP CLBLL_L_X2Y125 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y125 NUM_SITES 2 TILEPROP CLBLL_L_X2Y125 ROW 25 TILEPROP CLBLL_L_X2Y125 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y125 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X2Y125 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y125 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y125 TILE_X -87210 TILEPROP CLBLL_L_X2Y125 TILE_Y 163896 TILEPROP CLBLL_L_X2Y125 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y126 CLASS tile TILEPROP CLBLL_L_X2Y126 COLUMN 10 TILEPROP CLBLL_L_X2Y126 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y126 FIRST_SITE_ID 2403 TILEPROP CLBLL_L_X2Y126 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y126 GRID_POINT_Y 24 TILEPROP CLBLL_L_X2Y126 INDEX 2770 TILEPROP CLBLL_L_X2Y126 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y126 INT_TILE_Y 23 TILEPROP CLBLL_L_X2Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y126 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y126 NAME CLBLL_L_X2Y126 TILEPROP CLBLL_L_X2Y126 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y126 NUM_SITES 2 TILEPROP CLBLL_L_X2Y126 ROW 24 TILEPROP CLBLL_L_X2Y126 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y126 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y126 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y126 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y126 TILE_X -87210 TILEPROP CLBLL_L_X2Y126 TILE_Y 167096 TILEPROP CLBLL_L_X2Y126 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y127 CLASS tile TILEPROP CLBLL_L_X2Y127 COLUMN 10 TILEPROP CLBLL_L_X2Y127 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y127 FIRST_SITE_ID 2307 TILEPROP CLBLL_L_X2Y127 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y127 GRID_POINT_Y 23 TILEPROP CLBLL_L_X2Y127 INDEX 2655 TILEPROP CLBLL_L_X2Y127 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y127 INT_TILE_Y 22 TILEPROP CLBLL_L_X2Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y127 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y127 NAME CLBLL_L_X2Y127 TILEPROP CLBLL_L_X2Y127 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y127 NUM_SITES 2 TILEPROP CLBLL_L_X2Y127 ROW 23 TILEPROP CLBLL_L_X2Y127 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y127 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y127 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y127 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y127 TILE_X -87210 TILEPROP CLBLL_L_X2Y127 TILE_Y 170296 TILEPROP CLBLL_L_X2Y127 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y128 CLASS tile TILEPROP CLBLL_L_X2Y128 COLUMN 10 TILEPROP CLBLL_L_X2Y128 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y128 FIRST_SITE_ID 2203 TILEPROP CLBLL_L_X2Y128 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y128 GRID_POINT_Y 22 TILEPROP CLBLL_L_X2Y128 INDEX 2540 TILEPROP CLBLL_L_X2Y128 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y128 INT_TILE_Y 21 TILEPROP CLBLL_L_X2Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y128 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y128 NAME CLBLL_L_X2Y128 TILEPROP CLBLL_L_X2Y128 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y128 NUM_SITES 2 TILEPROP CLBLL_L_X2Y128 ROW 22 TILEPROP CLBLL_L_X2Y128 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y128 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y128 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y128 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y128 TILE_X -87210 TILEPROP CLBLL_L_X2Y128 TILE_Y 173496 TILEPROP CLBLL_L_X2Y128 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y129 CLASS tile TILEPROP CLBLL_L_X2Y129 COLUMN 10 TILEPROP CLBLL_L_X2Y129 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y129 FIRST_SITE_ID 2107 TILEPROP CLBLL_L_X2Y129 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y129 GRID_POINT_Y 21 TILEPROP CLBLL_L_X2Y129 INDEX 2425 TILEPROP CLBLL_L_X2Y129 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y129 INT_TILE_Y 20 TILEPROP CLBLL_L_X2Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y129 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y129 NAME CLBLL_L_X2Y129 TILEPROP CLBLL_L_X2Y129 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y129 NUM_SITES 2 TILEPROP CLBLL_L_X2Y129 ROW 21 TILEPROP CLBLL_L_X2Y129 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y129 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y129 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y129 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y129 TILE_X -87210 TILEPROP CLBLL_L_X2Y129 TILE_Y 176696 TILEPROP CLBLL_L_X2Y129 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y130 CLASS tile TILEPROP CLBLL_L_X2Y130 COLUMN 10 TILEPROP CLBLL_L_X2Y130 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y130 FIRST_SITE_ID 1985 TILEPROP CLBLL_L_X2Y130 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y130 GRID_POINT_Y 20 TILEPROP CLBLL_L_X2Y130 INDEX 2310 TILEPROP CLBLL_L_X2Y130 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y130 INT_TILE_Y 19 TILEPROP CLBLL_L_X2Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y130 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y130 NAME CLBLL_L_X2Y130 TILEPROP CLBLL_L_X2Y130 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y130 NUM_SITES 2 TILEPROP CLBLL_L_X2Y130 ROW 20 TILEPROP CLBLL_L_X2Y130 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y130 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y130 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y130 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y130 TILE_X -87210 TILEPROP CLBLL_L_X2Y130 TILE_Y 179896 TILEPROP CLBLL_L_X2Y130 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y131 CLASS tile TILEPROP CLBLL_L_X2Y131 COLUMN 10 TILEPROP CLBLL_L_X2Y131 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y131 FIRST_SITE_ID 1889 TILEPROP CLBLL_L_X2Y131 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y131 GRID_POINT_Y 19 TILEPROP CLBLL_L_X2Y131 INDEX 2195 TILEPROP CLBLL_L_X2Y131 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y131 INT_TILE_Y 18 TILEPROP CLBLL_L_X2Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y131 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y131 NAME CLBLL_L_X2Y131 TILEPROP CLBLL_L_X2Y131 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y131 NUM_SITES 2 TILEPROP CLBLL_L_X2Y131 ROW 19 TILEPROP CLBLL_L_X2Y131 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y131 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y131 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y131 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y131 TILE_X -87210 TILEPROP CLBLL_L_X2Y131 TILE_Y 183096 TILEPROP CLBLL_L_X2Y131 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y132 CLASS tile TILEPROP CLBLL_L_X2Y132 COLUMN 10 TILEPROP CLBLL_L_X2Y132 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y132 FIRST_SITE_ID 1783 TILEPROP CLBLL_L_X2Y132 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y132 GRID_POINT_Y 18 TILEPROP CLBLL_L_X2Y132 INDEX 2080 TILEPROP CLBLL_L_X2Y132 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y132 INT_TILE_Y 17 TILEPROP CLBLL_L_X2Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y132 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y132 NAME CLBLL_L_X2Y132 TILEPROP CLBLL_L_X2Y132 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y132 NUM_SITES 2 TILEPROP CLBLL_L_X2Y132 ROW 18 TILEPROP CLBLL_L_X2Y132 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y132 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y132 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y132 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y132 TILE_X -87210 TILEPROP CLBLL_L_X2Y132 TILE_Y 186296 TILEPROP CLBLL_L_X2Y132 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y133 CLASS tile TILEPROP CLBLL_L_X2Y133 COLUMN 10 TILEPROP CLBLL_L_X2Y133 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y133 FIRST_SITE_ID 1682 TILEPROP CLBLL_L_X2Y133 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y133 GRID_POINT_Y 17 TILEPROP CLBLL_L_X2Y133 INDEX 1965 TILEPROP CLBLL_L_X2Y133 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y133 INT_TILE_Y 16 TILEPROP CLBLL_L_X2Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y133 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y133 NAME CLBLL_L_X2Y133 TILEPROP CLBLL_L_X2Y133 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y133 NUM_SITES 2 TILEPROP CLBLL_L_X2Y133 ROW 17 TILEPROP CLBLL_L_X2Y133 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y133 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y133 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y133 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y133 TILE_X -87210 TILEPROP CLBLL_L_X2Y133 TILE_Y 189496 TILEPROP CLBLL_L_X2Y133 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y134 CLASS tile TILEPROP CLBLL_L_X2Y134 COLUMN 10 TILEPROP CLBLL_L_X2Y134 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y134 FIRST_SITE_ID 1578 TILEPROP CLBLL_L_X2Y134 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y134 GRID_POINT_Y 16 TILEPROP CLBLL_L_X2Y134 INDEX 1850 TILEPROP CLBLL_L_X2Y134 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y134 INT_TILE_Y 15 TILEPROP CLBLL_L_X2Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y134 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y134 NAME CLBLL_L_X2Y134 TILEPROP CLBLL_L_X2Y134 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y134 NUM_SITES 2 TILEPROP CLBLL_L_X2Y134 ROW 16 TILEPROP CLBLL_L_X2Y134 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y134 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y134 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y134 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y134 TILE_X -87210 TILEPROP CLBLL_L_X2Y134 TILE_Y 192696 TILEPROP CLBLL_L_X2Y134 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y135 CLASS tile TILEPROP CLBLL_L_X2Y135 COLUMN 10 TILEPROP CLBLL_L_X2Y135 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y135 FIRST_SITE_ID 1470 TILEPROP CLBLL_L_X2Y135 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y135 GRID_POINT_Y 15 TILEPROP CLBLL_L_X2Y135 INDEX 1735 TILEPROP CLBLL_L_X2Y135 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y135 INT_TILE_Y 14 TILEPROP CLBLL_L_X2Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y135 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y135 NAME CLBLL_L_X2Y135 TILEPROP CLBLL_L_X2Y135 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y135 NUM_SITES 2 TILEPROP CLBLL_L_X2Y135 ROW 15 TILEPROP CLBLL_L_X2Y135 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y135 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y135 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y135 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y135 TILE_X -87210 TILEPROP CLBLL_L_X2Y135 TILE_Y 195896 TILEPROP CLBLL_L_X2Y135 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y136 CLASS tile TILEPROP CLBLL_L_X2Y136 COLUMN 10 TILEPROP CLBLL_L_X2Y136 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y136 FIRST_SITE_ID 1334 TILEPROP CLBLL_L_X2Y136 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y136 GRID_POINT_Y 14 TILEPROP CLBLL_L_X2Y136 INDEX 1620 TILEPROP CLBLL_L_X2Y136 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y136 INT_TILE_Y 13 TILEPROP CLBLL_L_X2Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y136 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y136 NAME CLBLL_L_X2Y136 TILEPROP CLBLL_L_X2Y136 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y136 NUM_SITES 2 TILEPROP CLBLL_L_X2Y136 ROW 14 TILEPROP CLBLL_L_X2Y136 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y136 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y136 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y136 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y136 TILE_X -87210 TILEPROP CLBLL_L_X2Y136 TILE_Y 199096 TILEPROP CLBLL_L_X2Y136 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y137 CLASS tile TILEPROP CLBLL_L_X2Y137 COLUMN 10 TILEPROP CLBLL_L_X2Y137 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y137 FIRST_SITE_ID 1238 TILEPROP CLBLL_L_X2Y137 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y137 GRID_POINT_Y 13 TILEPROP CLBLL_L_X2Y137 INDEX 1505 TILEPROP CLBLL_L_X2Y137 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y137 INT_TILE_Y 12 TILEPROP CLBLL_L_X2Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y137 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y137 NAME CLBLL_L_X2Y137 TILEPROP CLBLL_L_X2Y137 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y137 NUM_SITES 2 TILEPROP CLBLL_L_X2Y137 ROW 13 TILEPROP CLBLL_L_X2Y137 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y137 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y137 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y137 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y137 TILE_X -87210 TILEPROP CLBLL_L_X2Y137 TILE_Y 202296 TILEPROP CLBLL_L_X2Y137 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y138 CLASS tile TILEPROP CLBLL_L_X2Y138 COLUMN 10 TILEPROP CLBLL_L_X2Y138 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y138 FIRST_SITE_ID 1134 TILEPROP CLBLL_L_X2Y138 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y138 GRID_POINT_Y 12 TILEPROP CLBLL_L_X2Y138 INDEX 1390 TILEPROP CLBLL_L_X2Y138 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y138 INT_TILE_Y 11 TILEPROP CLBLL_L_X2Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y138 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y138 NAME CLBLL_L_X2Y138 TILEPROP CLBLL_L_X2Y138 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y138 NUM_SITES 2 TILEPROP CLBLL_L_X2Y138 ROW 12 TILEPROP CLBLL_L_X2Y138 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y138 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y138 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y138 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y138 TILE_X -87210 TILEPROP CLBLL_L_X2Y138 TILE_Y 205496 TILEPROP CLBLL_L_X2Y138 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y139 CLASS tile TILEPROP CLBLL_L_X2Y139 COLUMN 10 TILEPROP CLBLL_L_X2Y139 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y139 FIRST_SITE_ID 1038 TILEPROP CLBLL_L_X2Y139 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y139 GRID_POINT_Y 11 TILEPROP CLBLL_L_X2Y139 INDEX 1275 TILEPROP CLBLL_L_X2Y139 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y139 INT_TILE_Y 10 TILEPROP CLBLL_L_X2Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y139 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y139 NAME CLBLL_L_X2Y139 TILEPROP CLBLL_L_X2Y139 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y139 NUM_SITES 2 TILEPROP CLBLL_L_X2Y139 ROW 11 TILEPROP CLBLL_L_X2Y139 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y139 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y139 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y139 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y139 TILE_X -87210 TILEPROP CLBLL_L_X2Y139 TILE_Y 208696 TILEPROP CLBLL_L_X2Y139 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y140 CLASS tile TILEPROP CLBLL_L_X2Y140 COLUMN 10 TILEPROP CLBLL_L_X2Y140 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y140 FIRST_SITE_ID 922 TILEPROP CLBLL_L_X2Y140 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y140 GRID_POINT_Y 10 TILEPROP CLBLL_L_X2Y140 INDEX 1160 TILEPROP CLBLL_L_X2Y140 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y140 INT_TILE_Y 9 TILEPROP CLBLL_L_X2Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y140 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y140 NAME CLBLL_L_X2Y140 TILEPROP CLBLL_L_X2Y140 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y140 NUM_SITES 2 TILEPROP CLBLL_L_X2Y140 ROW 10 TILEPROP CLBLL_L_X2Y140 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y140 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y140 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y140 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y140 TILE_X -87210 TILEPROP CLBLL_L_X2Y140 TILE_Y 211896 TILEPROP CLBLL_L_X2Y140 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y141 CLASS tile TILEPROP CLBLL_L_X2Y141 COLUMN 10 TILEPROP CLBLL_L_X2Y141 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y141 FIRST_SITE_ID 826 TILEPROP CLBLL_L_X2Y141 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y141 GRID_POINT_Y 9 TILEPROP CLBLL_L_X2Y141 INDEX 1045 TILEPROP CLBLL_L_X2Y141 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y141 INT_TILE_Y 8 TILEPROP CLBLL_L_X2Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y141 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y141 NAME CLBLL_L_X2Y141 TILEPROP CLBLL_L_X2Y141 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y141 NUM_SITES 2 TILEPROP CLBLL_L_X2Y141 ROW 9 TILEPROP CLBLL_L_X2Y141 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y141 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y141 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y141 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y141 TILE_X -87210 TILEPROP CLBLL_L_X2Y141 TILE_Y 215096 TILEPROP CLBLL_L_X2Y141 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y142 CLASS tile TILEPROP CLBLL_L_X2Y142 COLUMN 10 TILEPROP CLBLL_L_X2Y142 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y142 FIRST_SITE_ID 722 TILEPROP CLBLL_L_X2Y142 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y142 GRID_POINT_Y 8 TILEPROP CLBLL_L_X2Y142 INDEX 930 TILEPROP CLBLL_L_X2Y142 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y142 INT_TILE_Y 7 TILEPROP CLBLL_L_X2Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y142 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y142 NAME CLBLL_L_X2Y142 TILEPROP CLBLL_L_X2Y142 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y142 NUM_SITES 2 TILEPROP CLBLL_L_X2Y142 ROW 8 TILEPROP CLBLL_L_X2Y142 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y142 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y142 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y142 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y142 TILE_X -87210 TILEPROP CLBLL_L_X2Y142 TILE_Y 218296 TILEPROP CLBLL_L_X2Y142 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y143 CLASS tile TILEPROP CLBLL_L_X2Y143 COLUMN 10 TILEPROP CLBLL_L_X2Y143 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y143 FIRST_SITE_ID 625 TILEPROP CLBLL_L_X2Y143 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y143 GRID_POINT_Y 7 TILEPROP CLBLL_L_X2Y143 INDEX 815 TILEPROP CLBLL_L_X2Y143 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y143 INT_TILE_Y 6 TILEPROP CLBLL_L_X2Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y143 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y143 NAME CLBLL_L_X2Y143 TILEPROP CLBLL_L_X2Y143 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y143 NUM_SITES 2 TILEPROP CLBLL_L_X2Y143 ROW 7 TILEPROP CLBLL_L_X2Y143 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y143 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y143 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y143 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y143 TILE_X -87210 TILEPROP CLBLL_L_X2Y143 TILE_Y 221496 TILEPROP CLBLL_L_X2Y143 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y144 CLASS tile TILEPROP CLBLL_L_X2Y144 COLUMN 10 TILEPROP CLBLL_L_X2Y144 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y144 FIRST_SITE_ID 514 TILEPROP CLBLL_L_X2Y144 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y144 GRID_POINT_Y 6 TILEPROP CLBLL_L_X2Y144 INDEX 700 TILEPROP CLBLL_L_X2Y144 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y144 INT_TILE_Y 5 TILEPROP CLBLL_L_X2Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y144 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y144 NAME CLBLL_L_X2Y144 TILEPROP CLBLL_L_X2Y144 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y144 NUM_SITES 2 TILEPROP CLBLL_L_X2Y144 ROW 6 TILEPROP CLBLL_L_X2Y144 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y144 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y144 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y144 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y144 TILE_X -87210 TILEPROP CLBLL_L_X2Y144 TILE_Y 224696 TILEPROP CLBLL_L_X2Y144 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y145 CLASS tile TILEPROP CLBLL_L_X2Y145 COLUMN 10 TILEPROP CLBLL_L_X2Y145 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y145 FIRST_SITE_ID 406 TILEPROP CLBLL_L_X2Y145 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y145 GRID_POINT_Y 5 TILEPROP CLBLL_L_X2Y145 INDEX 585 TILEPROP CLBLL_L_X2Y145 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y145 INT_TILE_Y 4 TILEPROP CLBLL_L_X2Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y145 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y145 NAME CLBLL_L_X2Y145 TILEPROP CLBLL_L_X2Y145 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y145 NUM_SITES 2 TILEPROP CLBLL_L_X2Y145 ROW 5 TILEPROP CLBLL_L_X2Y145 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y145 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y145 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y145 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y145 TILE_X -87210 TILEPROP CLBLL_L_X2Y145 TILE_Y 227896 TILEPROP CLBLL_L_X2Y145 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y146 CLASS tile TILEPROP CLBLL_L_X2Y146 COLUMN 10 TILEPROP CLBLL_L_X2Y146 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y146 FIRST_SITE_ID 302 TILEPROP CLBLL_L_X2Y146 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y146 GRID_POINT_Y 4 TILEPROP CLBLL_L_X2Y146 INDEX 470 TILEPROP CLBLL_L_X2Y146 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y146 INT_TILE_Y 3 TILEPROP CLBLL_L_X2Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y146 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y146 NAME CLBLL_L_X2Y146 TILEPROP CLBLL_L_X2Y146 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y146 NUM_SITES 2 TILEPROP CLBLL_L_X2Y146 ROW 4 TILEPROP CLBLL_L_X2Y146 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y146 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y146 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y146 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y146 TILE_X -87210 TILEPROP CLBLL_L_X2Y146 TILE_Y 231096 TILEPROP CLBLL_L_X2Y146 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y147 CLASS tile TILEPROP CLBLL_L_X2Y147 COLUMN 10 TILEPROP CLBLL_L_X2Y147 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y147 FIRST_SITE_ID 206 TILEPROP CLBLL_L_X2Y147 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y147 GRID_POINT_Y 3 TILEPROP CLBLL_L_X2Y147 INDEX 355 TILEPROP CLBLL_L_X2Y147 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y147 INT_TILE_Y 2 TILEPROP CLBLL_L_X2Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y147 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y147 NAME CLBLL_L_X2Y147 TILEPROP CLBLL_L_X2Y147 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y147 NUM_SITES 2 TILEPROP CLBLL_L_X2Y147 ROW 3 TILEPROP CLBLL_L_X2Y147 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y147 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y147 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y147 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y147 TILE_X -87210 TILEPROP CLBLL_L_X2Y147 TILE_Y 234296 TILEPROP CLBLL_L_X2Y147 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y148 CLASS tile TILEPROP CLBLL_L_X2Y148 COLUMN 10 TILEPROP CLBLL_L_X2Y148 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y148 FIRST_SITE_ID 102 TILEPROP CLBLL_L_X2Y148 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y148 GRID_POINT_Y 2 TILEPROP CLBLL_L_X2Y148 INDEX 240 TILEPROP CLBLL_L_X2Y148 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y148 INT_TILE_Y 1 TILEPROP CLBLL_L_X2Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y148 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y148 NAME CLBLL_L_X2Y148 TILEPROP CLBLL_L_X2Y148 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y148 NUM_SITES 2 TILEPROP CLBLL_L_X2Y148 ROW 2 TILEPROP CLBLL_L_X2Y148 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y148 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X2Y148 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y148 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y148 TILE_X -87210 TILEPROP CLBLL_L_X2Y148 TILE_Y 237496 TILEPROP CLBLL_L_X2Y148 TYPE CLBLL_L TILEPROP CLBLL_L_X2Y149 CLASS tile TILEPROP CLBLL_L_X2Y149 COLUMN 10 TILEPROP CLBLL_L_X2Y149 DEVICE_ID 0 TILEPROP CLBLL_L_X2Y149 FIRST_SITE_ID 6 TILEPROP CLBLL_L_X2Y149 GRID_POINT_X 10 TILEPROP CLBLL_L_X2Y149 GRID_POINT_Y 1 TILEPROP CLBLL_L_X2Y149 INDEX 125 TILEPROP CLBLL_L_X2Y149 INT_TILE_X 1 TILEPROP CLBLL_L_X2Y149 INT_TILE_Y 0 TILEPROP CLBLL_L_X2Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X2Y149 IS_DCM_TILE 0 TILEPROP CLBLL_L_X2Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X2Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X2Y149 NAME CLBLL_L_X2Y149 TILEPROP CLBLL_L_X2Y149 NUM_ARCS 146 TILEPROP CLBLL_L_X2Y149 NUM_SITES 2 TILEPROP CLBLL_L_X2Y149 ROW 1 TILEPROP CLBLL_L_X2Y149 SLR_REGION_ID 0 TILEPROP CLBLL_L_X2Y149 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X2Y149 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X2Y149 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X2Y149 TILE_X -87210 TILEPROP CLBLL_L_X2Y149 TILE_Y 240696 TILEPROP CLBLL_L_X2Y149 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y0 CLASS tile TILEPROP CLBLL_L_X38Y0 COLUMN 97 TILEPROP CLBLL_L_X38Y0 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y0 FIRST_SITE_ID 15799 TILEPROP CLBLL_L_X38Y0 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y0 GRID_POINT_Y 155 TILEPROP CLBLL_L_X38Y0 INDEX 17922 TILEPROP CLBLL_L_X38Y0 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y0 INT_TILE_Y 149 TILEPROP CLBLL_L_X38Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y0 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y0 NAME CLBLL_L_X38Y0 TILEPROP CLBLL_L_X38Y0 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y0 NUM_SITES 2 TILEPROP CLBLL_L_X38Y0 ROW 155 TILEPROP CLBLL_L_X38Y0 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y0 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X38Y0 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y0 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y0 TILE_X 77314 TILEPROP CLBLL_L_X38Y0 TILE_Y -239672 TILEPROP CLBLL_L_X38Y0 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y1 CLASS tile TILEPROP CLBLL_L_X38Y1 COLUMN 97 TILEPROP CLBLL_L_X38Y1 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y1 FIRST_SITE_ID 15680 TILEPROP CLBLL_L_X38Y1 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y1 GRID_POINT_Y 154 TILEPROP CLBLL_L_X38Y1 INDEX 17807 TILEPROP CLBLL_L_X38Y1 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y1 INT_TILE_Y 148 TILEPROP CLBLL_L_X38Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y1 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y1 NAME CLBLL_L_X38Y1 TILEPROP CLBLL_L_X38Y1 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y1 NUM_SITES 2 TILEPROP CLBLL_L_X38Y1 ROW 154 TILEPROP CLBLL_L_X38Y1 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y1 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y1 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y1 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y1 TILE_X 77314 TILEPROP CLBLL_L_X38Y1 TILE_Y -236472 TILEPROP CLBLL_L_X38Y1 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y2 CLASS tile TILEPROP CLBLL_L_X38Y2 COLUMN 97 TILEPROP CLBLL_L_X38Y2 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y2 FIRST_SITE_ID 15579 TILEPROP CLBLL_L_X38Y2 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y2 GRID_POINT_Y 153 TILEPROP CLBLL_L_X38Y2 INDEX 17692 TILEPROP CLBLL_L_X38Y2 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y2 INT_TILE_Y 147 TILEPROP CLBLL_L_X38Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y2 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y2 NAME CLBLL_L_X38Y2 TILEPROP CLBLL_L_X38Y2 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y2 NUM_SITES 2 TILEPROP CLBLL_L_X38Y2 ROW 153 TILEPROP CLBLL_L_X38Y2 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y2 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y2 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y2 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y2 TILE_X 77314 TILEPROP CLBLL_L_X38Y2 TILE_Y -233272 TILEPROP CLBLL_L_X38Y2 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y3 CLASS tile TILEPROP CLBLL_L_X38Y3 COLUMN 97 TILEPROP CLBLL_L_X38Y3 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y3 FIRST_SITE_ID 15479 TILEPROP CLBLL_L_X38Y3 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y3 GRID_POINT_Y 152 TILEPROP CLBLL_L_X38Y3 INDEX 17577 TILEPROP CLBLL_L_X38Y3 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y3 INT_TILE_Y 146 TILEPROP CLBLL_L_X38Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y3 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y3 NAME CLBLL_L_X38Y3 TILEPROP CLBLL_L_X38Y3 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y3 NUM_SITES 2 TILEPROP CLBLL_L_X38Y3 ROW 152 TILEPROP CLBLL_L_X38Y3 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y3 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y3 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y3 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y3 TILE_X 77314 TILEPROP CLBLL_L_X38Y3 TILE_Y -230072 TILEPROP CLBLL_L_X38Y3 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y4 CLASS tile TILEPROP CLBLL_L_X38Y4 COLUMN 97 TILEPROP CLBLL_L_X38Y4 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y4 FIRST_SITE_ID 15379 TILEPROP CLBLL_L_X38Y4 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y4 GRID_POINT_Y 151 TILEPROP CLBLL_L_X38Y4 INDEX 17462 TILEPROP CLBLL_L_X38Y4 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y4 INT_TILE_Y 145 TILEPROP CLBLL_L_X38Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y4 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y4 NAME CLBLL_L_X38Y4 TILEPROP CLBLL_L_X38Y4 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y4 NUM_SITES 2 TILEPROP CLBLL_L_X38Y4 ROW 151 TILEPROP CLBLL_L_X38Y4 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y4 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y4 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y4 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y4 TILE_X 77314 TILEPROP CLBLL_L_X38Y4 TILE_Y -226872 TILEPROP CLBLL_L_X38Y4 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y5 CLASS tile TILEPROP CLBLL_L_X38Y5 COLUMN 97 TILEPROP CLBLL_L_X38Y5 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y5 FIRST_SITE_ID 15279 TILEPROP CLBLL_L_X38Y5 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y5 GRID_POINT_Y 150 TILEPROP CLBLL_L_X38Y5 INDEX 17347 TILEPROP CLBLL_L_X38Y5 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y5 INT_TILE_Y 144 TILEPROP CLBLL_L_X38Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y5 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y5 NAME CLBLL_L_X38Y5 TILEPROP CLBLL_L_X38Y5 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y5 NUM_SITES 2 TILEPROP CLBLL_L_X38Y5 ROW 150 TILEPROP CLBLL_L_X38Y5 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y5 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y5 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y5 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y5 TILE_X 77314 TILEPROP CLBLL_L_X38Y5 TILE_Y -223672 TILEPROP CLBLL_L_X38Y5 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y6 CLASS tile TILEPROP CLBLL_L_X38Y6 COLUMN 97 TILEPROP CLBLL_L_X38Y6 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y6 FIRST_SITE_ID 15164 TILEPROP CLBLL_L_X38Y6 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y6 GRID_POINT_Y 149 TILEPROP CLBLL_L_X38Y6 INDEX 17232 TILEPROP CLBLL_L_X38Y6 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y6 INT_TILE_Y 143 TILEPROP CLBLL_L_X38Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y6 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y6 NAME CLBLL_L_X38Y6 TILEPROP CLBLL_L_X38Y6 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y6 NUM_SITES 2 TILEPROP CLBLL_L_X38Y6 ROW 149 TILEPROP CLBLL_L_X38Y6 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y6 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y6 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y6 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y6 TILE_X 77314 TILEPROP CLBLL_L_X38Y6 TILE_Y -220472 TILEPROP CLBLL_L_X38Y6 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y7 CLASS tile TILEPROP CLBLL_L_X38Y7 COLUMN 97 TILEPROP CLBLL_L_X38Y7 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y7 FIRST_SITE_ID 15062 TILEPROP CLBLL_L_X38Y7 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y7 GRID_POINT_Y 148 TILEPROP CLBLL_L_X38Y7 INDEX 17117 TILEPROP CLBLL_L_X38Y7 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y7 INT_TILE_Y 142 TILEPROP CLBLL_L_X38Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y7 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y7 NAME CLBLL_L_X38Y7 TILEPROP CLBLL_L_X38Y7 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y7 NUM_SITES 2 TILEPROP CLBLL_L_X38Y7 ROW 148 TILEPROP CLBLL_L_X38Y7 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y7 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y7 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y7 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y7 TILE_X 77314 TILEPROP CLBLL_L_X38Y7 TILE_Y -217272 TILEPROP CLBLL_L_X38Y7 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y8 CLASS tile TILEPROP CLBLL_L_X38Y8 COLUMN 97 TILEPROP CLBLL_L_X38Y8 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y8 FIRST_SITE_ID 14959 TILEPROP CLBLL_L_X38Y8 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y8 GRID_POINT_Y 147 TILEPROP CLBLL_L_X38Y8 INDEX 17002 TILEPROP CLBLL_L_X38Y8 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y8 INT_TILE_Y 141 TILEPROP CLBLL_L_X38Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y8 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y8 NAME CLBLL_L_X38Y8 TILEPROP CLBLL_L_X38Y8 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y8 NUM_SITES 2 TILEPROP CLBLL_L_X38Y8 ROW 147 TILEPROP CLBLL_L_X38Y8 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y8 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y8 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y8 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y8 TILE_X 77314 TILEPROP CLBLL_L_X38Y8 TILE_Y -214072 TILEPROP CLBLL_L_X38Y8 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y9 CLASS tile TILEPROP CLBLL_L_X38Y9 COLUMN 97 TILEPROP CLBLL_L_X38Y9 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y9 FIRST_SITE_ID 14858 TILEPROP CLBLL_L_X38Y9 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y9 GRID_POINT_Y 146 TILEPROP CLBLL_L_X38Y9 INDEX 16887 TILEPROP CLBLL_L_X38Y9 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y9 INT_TILE_Y 140 TILEPROP CLBLL_L_X38Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y9 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y9 NAME CLBLL_L_X38Y9 TILEPROP CLBLL_L_X38Y9 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y9 NUM_SITES 2 TILEPROP CLBLL_L_X38Y9 ROW 146 TILEPROP CLBLL_L_X38Y9 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y9 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y9 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y9 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y9 TILE_X 77314 TILEPROP CLBLL_L_X38Y9 TILE_Y -210872 TILEPROP CLBLL_L_X38Y9 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y10 CLASS tile TILEPROP CLBLL_L_X38Y10 COLUMN 97 TILEPROP CLBLL_L_X38Y10 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y10 FIRST_SITE_ID 14758 TILEPROP CLBLL_L_X38Y10 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y10 GRID_POINT_Y 145 TILEPROP CLBLL_L_X38Y10 INDEX 16772 TILEPROP CLBLL_L_X38Y10 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y10 INT_TILE_Y 139 TILEPROP CLBLL_L_X38Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y10 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y10 NAME CLBLL_L_X38Y10 TILEPROP CLBLL_L_X38Y10 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y10 NUM_SITES 2 TILEPROP CLBLL_L_X38Y10 ROW 145 TILEPROP CLBLL_L_X38Y10 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y10 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y10 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y10 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y10 TILE_X 77314 TILEPROP CLBLL_L_X38Y10 TILE_Y -207672 TILEPROP CLBLL_L_X38Y10 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y11 CLASS tile TILEPROP CLBLL_L_X38Y11 COLUMN 97 TILEPROP CLBLL_L_X38Y11 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y11 FIRST_SITE_ID 14643 TILEPROP CLBLL_L_X38Y11 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y11 GRID_POINT_Y 144 TILEPROP CLBLL_L_X38Y11 INDEX 16657 TILEPROP CLBLL_L_X38Y11 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y11 INT_TILE_Y 138 TILEPROP CLBLL_L_X38Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y11 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y11 NAME CLBLL_L_X38Y11 TILEPROP CLBLL_L_X38Y11 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y11 NUM_SITES 2 TILEPROP CLBLL_L_X38Y11 ROW 144 TILEPROP CLBLL_L_X38Y11 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y11 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y11 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y11 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y11 TILE_X 77314 TILEPROP CLBLL_L_X38Y11 TILE_Y -204472 TILEPROP CLBLL_L_X38Y11 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y12 CLASS tile TILEPROP CLBLL_L_X38Y12 COLUMN 97 TILEPROP CLBLL_L_X38Y12 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y12 FIRST_SITE_ID 14543 TILEPROP CLBLL_L_X38Y12 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y12 GRID_POINT_Y 143 TILEPROP CLBLL_L_X38Y12 INDEX 16542 TILEPROP CLBLL_L_X38Y12 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y12 INT_TILE_Y 137 TILEPROP CLBLL_L_X38Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y12 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y12 NAME CLBLL_L_X38Y12 TILEPROP CLBLL_L_X38Y12 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y12 NUM_SITES 2 TILEPROP CLBLL_L_X38Y12 ROW 143 TILEPROP CLBLL_L_X38Y12 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y12 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y12 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y12 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y12 TILE_X 77314 TILEPROP CLBLL_L_X38Y12 TILE_Y -201272 TILEPROP CLBLL_L_X38Y12 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y13 CLASS tile TILEPROP CLBLL_L_X38Y13 COLUMN 97 TILEPROP CLBLL_L_X38Y13 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y13 FIRST_SITE_ID 14411 TILEPROP CLBLL_L_X38Y13 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y13 GRID_POINT_Y 142 TILEPROP CLBLL_L_X38Y13 INDEX 16427 TILEPROP CLBLL_L_X38Y13 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y13 INT_TILE_Y 136 TILEPROP CLBLL_L_X38Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y13 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y13 NAME CLBLL_L_X38Y13 TILEPROP CLBLL_L_X38Y13 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y13 NUM_SITES 2 TILEPROP CLBLL_L_X38Y13 ROW 142 TILEPROP CLBLL_L_X38Y13 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y13 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y13 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y13 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y13 TILE_X 77314 TILEPROP CLBLL_L_X38Y13 TILE_Y -198072 TILEPROP CLBLL_L_X38Y13 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y14 CLASS tile TILEPROP CLBLL_L_X38Y14 COLUMN 97 TILEPROP CLBLL_L_X38Y14 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y14 FIRST_SITE_ID 14311 TILEPROP CLBLL_L_X38Y14 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y14 GRID_POINT_Y 141 TILEPROP CLBLL_L_X38Y14 INDEX 16312 TILEPROP CLBLL_L_X38Y14 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y14 INT_TILE_Y 135 TILEPROP CLBLL_L_X38Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y14 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y14 NAME CLBLL_L_X38Y14 TILEPROP CLBLL_L_X38Y14 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y14 NUM_SITES 2 TILEPROP CLBLL_L_X38Y14 ROW 141 TILEPROP CLBLL_L_X38Y14 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y14 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y14 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y14 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y14 TILE_X 77314 TILEPROP CLBLL_L_X38Y14 TILE_Y -194872 TILEPROP CLBLL_L_X38Y14 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y15 CLASS tile TILEPROP CLBLL_L_X38Y15 COLUMN 97 TILEPROP CLBLL_L_X38Y15 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y15 FIRST_SITE_ID 14211 TILEPROP CLBLL_L_X38Y15 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y15 GRID_POINT_Y 140 TILEPROP CLBLL_L_X38Y15 INDEX 16197 TILEPROP CLBLL_L_X38Y15 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y15 INT_TILE_Y 134 TILEPROP CLBLL_L_X38Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y15 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y15 NAME CLBLL_L_X38Y15 TILEPROP CLBLL_L_X38Y15 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y15 NUM_SITES 2 TILEPROP CLBLL_L_X38Y15 ROW 140 TILEPROP CLBLL_L_X38Y15 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y15 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y15 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y15 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y15 TILE_X 77314 TILEPROP CLBLL_L_X38Y15 TILE_Y -191672 TILEPROP CLBLL_L_X38Y15 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y16 CLASS tile TILEPROP CLBLL_L_X38Y16 COLUMN 97 TILEPROP CLBLL_L_X38Y16 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y16 FIRST_SITE_ID 14096 TILEPROP CLBLL_L_X38Y16 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y16 GRID_POINT_Y 139 TILEPROP CLBLL_L_X38Y16 INDEX 16082 TILEPROP CLBLL_L_X38Y16 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y16 INT_TILE_Y 133 TILEPROP CLBLL_L_X38Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y16 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y16 NAME CLBLL_L_X38Y16 TILEPROP CLBLL_L_X38Y16 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y16 NUM_SITES 2 TILEPROP CLBLL_L_X38Y16 ROW 139 TILEPROP CLBLL_L_X38Y16 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y16 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y16 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y16 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y16 TILE_X 77314 TILEPROP CLBLL_L_X38Y16 TILE_Y -188472 TILEPROP CLBLL_L_X38Y16 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y17 CLASS tile TILEPROP CLBLL_L_X38Y17 COLUMN 97 TILEPROP CLBLL_L_X38Y17 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y17 FIRST_SITE_ID 13992 TILEPROP CLBLL_L_X38Y17 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y17 GRID_POINT_Y 138 TILEPROP CLBLL_L_X38Y17 INDEX 15967 TILEPROP CLBLL_L_X38Y17 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y17 INT_TILE_Y 132 TILEPROP CLBLL_L_X38Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y17 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y17 NAME CLBLL_L_X38Y17 TILEPROP CLBLL_L_X38Y17 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y17 NUM_SITES 2 TILEPROP CLBLL_L_X38Y17 ROW 138 TILEPROP CLBLL_L_X38Y17 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y17 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y17 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y17 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y17 TILE_X 77314 TILEPROP CLBLL_L_X38Y17 TILE_Y -185272 TILEPROP CLBLL_L_X38Y17 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y18 CLASS tile TILEPROP CLBLL_L_X38Y18 COLUMN 97 TILEPROP CLBLL_L_X38Y18 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y18 FIRST_SITE_ID 13887 TILEPROP CLBLL_L_X38Y18 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y18 GRID_POINT_Y 137 TILEPROP CLBLL_L_X38Y18 INDEX 15852 TILEPROP CLBLL_L_X38Y18 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y18 INT_TILE_Y 131 TILEPROP CLBLL_L_X38Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y18 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y18 NAME CLBLL_L_X38Y18 TILEPROP CLBLL_L_X38Y18 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y18 NUM_SITES 2 TILEPROP CLBLL_L_X38Y18 ROW 137 TILEPROP CLBLL_L_X38Y18 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y18 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y18 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y18 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y18 TILE_X 77314 TILEPROP CLBLL_L_X38Y18 TILE_Y -182072 TILEPROP CLBLL_L_X38Y18 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y19 CLASS tile TILEPROP CLBLL_L_X38Y19 COLUMN 97 TILEPROP CLBLL_L_X38Y19 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y19 FIRST_SITE_ID 13785 TILEPROP CLBLL_L_X38Y19 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y19 GRID_POINT_Y 136 TILEPROP CLBLL_L_X38Y19 INDEX 15737 TILEPROP CLBLL_L_X38Y19 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y19 INT_TILE_Y 130 TILEPROP CLBLL_L_X38Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y19 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y19 NAME CLBLL_L_X38Y19 TILEPROP CLBLL_L_X38Y19 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y19 NUM_SITES 2 TILEPROP CLBLL_L_X38Y19 ROW 136 TILEPROP CLBLL_L_X38Y19 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y19 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y19 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y19 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y19 TILE_X 77314 TILEPROP CLBLL_L_X38Y19 TILE_Y -178872 TILEPROP CLBLL_L_X38Y19 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y20 CLASS tile TILEPROP CLBLL_L_X38Y20 COLUMN 97 TILEPROP CLBLL_L_X38Y20 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y20 FIRST_SITE_ID 13683 TILEPROP CLBLL_L_X38Y20 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y20 GRID_POINT_Y 135 TILEPROP CLBLL_L_X38Y20 INDEX 15622 TILEPROP CLBLL_L_X38Y20 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y20 INT_TILE_Y 129 TILEPROP CLBLL_L_X38Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y20 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y20 NAME CLBLL_L_X38Y20 TILEPROP CLBLL_L_X38Y20 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y20 NUM_SITES 2 TILEPROP CLBLL_L_X38Y20 ROW 135 TILEPROP CLBLL_L_X38Y20 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y20 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y20 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y20 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y20 TILE_X 77314 TILEPROP CLBLL_L_X38Y20 TILE_Y -175672 TILEPROP CLBLL_L_X38Y20 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y21 CLASS tile TILEPROP CLBLL_L_X38Y21 COLUMN 97 TILEPROP CLBLL_L_X38Y21 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y21 FIRST_SITE_ID 13568 TILEPROP CLBLL_L_X38Y21 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y21 GRID_POINT_Y 134 TILEPROP CLBLL_L_X38Y21 INDEX 15507 TILEPROP CLBLL_L_X38Y21 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y21 INT_TILE_Y 128 TILEPROP CLBLL_L_X38Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y21 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y21 NAME CLBLL_L_X38Y21 TILEPROP CLBLL_L_X38Y21 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y21 NUM_SITES 2 TILEPROP CLBLL_L_X38Y21 ROW 134 TILEPROP CLBLL_L_X38Y21 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y21 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y21 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y21 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y21 TILE_X 77314 TILEPROP CLBLL_L_X38Y21 TILE_Y -172472 TILEPROP CLBLL_L_X38Y21 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y22 CLASS tile TILEPROP CLBLL_L_X38Y22 COLUMN 97 TILEPROP CLBLL_L_X38Y22 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y22 FIRST_SITE_ID 13468 TILEPROP CLBLL_L_X38Y22 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y22 GRID_POINT_Y 133 TILEPROP CLBLL_L_X38Y22 INDEX 15392 TILEPROP CLBLL_L_X38Y22 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y22 INT_TILE_Y 127 TILEPROP CLBLL_L_X38Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y22 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y22 NAME CLBLL_L_X38Y22 TILEPROP CLBLL_L_X38Y22 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y22 NUM_SITES 2 TILEPROP CLBLL_L_X38Y22 ROW 133 TILEPROP CLBLL_L_X38Y22 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y22 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y22 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y22 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y22 TILE_X 77314 TILEPROP CLBLL_L_X38Y22 TILE_Y -169272 TILEPROP CLBLL_L_X38Y22 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y23 CLASS tile TILEPROP CLBLL_L_X38Y23 COLUMN 97 TILEPROP CLBLL_L_X38Y23 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y23 FIRST_SITE_ID 13368 TILEPROP CLBLL_L_X38Y23 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y23 GRID_POINT_Y 132 TILEPROP CLBLL_L_X38Y23 INDEX 15277 TILEPROP CLBLL_L_X38Y23 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y23 INT_TILE_Y 126 TILEPROP CLBLL_L_X38Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y23 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y23 NAME CLBLL_L_X38Y23 TILEPROP CLBLL_L_X38Y23 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y23 NUM_SITES 2 TILEPROP CLBLL_L_X38Y23 ROW 132 TILEPROP CLBLL_L_X38Y23 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y23 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y23 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y23 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y23 TILE_X 77314 TILEPROP CLBLL_L_X38Y23 TILE_Y -166072 TILEPROP CLBLL_L_X38Y23 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y24 CLASS tile TILEPROP CLBLL_L_X38Y24 COLUMN 97 TILEPROP CLBLL_L_X38Y24 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y24 FIRST_SITE_ID 13268 TILEPROP CLBLL_L_X38Y24 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y24 GRID_POINT_Y 131 TILEPROP CLBLL_L_X38Y24 INDEX 15162 TILEPROP CLBLL_L_X38Y24 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y24 INT_TILE_Y 125 TILEPROP CLBLL_L_X38Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y24 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y24 NAME CLBLL_L_X38Y24 TILEPROP CLBLL_L_X38Y24 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y24 NUM_SITES 2 TILEPROP CLBLL_L_X38Y24 ROW 131 TILEPROP CLBLL_L_X38Y24 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y24 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X38Y24 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y24 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y24 TILE_X 77314 TILEPROP CLBLL_L_X38Y24 TILE_Y -162872 TILEPROP CLBLL_L_X38Y24 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y25 CLASS tile TILEPROP CLBLL_L_X38Y25 COLUMN 97 TILEPROP CLBLL_L_X38Y25 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y25 FIRST_SITE_ID 13085 TILEPROP CLBLL_L_X38Y25 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y25 GRID_POINT_Y 129 TILEPROP CLBLL_L_X38Y25 INDEX 14932 TILEPROP CLBLL_L_X38Y25 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y25 INT_TILE_Y 124 TILEPROP CLBLL_L_X38Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y25 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y25 NAME CLBLL_L_X38Y25 TILEPROP CLBLL_L_X38Y25 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y25 NUM_SITES 2 TILEPROP CLBLL_L_X38Y25 ROW 129 TILEPROP CLBLL_L_X38Y25 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y25 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X38Y25 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y25 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y25 TILE_X 77314 TILEPROP CLBLL_L_X38Y25 TILE_Y -158648 TILEPROP CLBLL_L_X38Y25 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y26 CLASS tile TILEPROP CLBLL_L_X38Y26 COLUMN 97 TILEPROP CLBLL_L_X38Y26 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y26 FIRST_SITE_ID 12970 TILEPROP CLBLL_L_X38Y26 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y26 GRID_POINT_Y 128 TILEPROP CLBLL_L_X38Y26 INDEX 14817 TILEPROP CLBLL_L_X38Y26 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y26 INT_TILE_Y 123 TILEPROP CLBLL_L_X38Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y26 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y26 NAME CLBLL_L_X38Y26 TILEPROP CLBLL_L_X38Y26 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y26 NUM_SITES 2 TILEPROP CLBLL_L_X38Y26 ROW 128 TILEPROP CLBLL_L_X38Y26 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y26 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y26 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y26 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y26 TILE_X 77314 TILEPROP CLBLL_L_X38Y26 TILE_Y -155448 TILEPROP CLBLL_L_X38Y26 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y27 CLASS tile TILEPROP CLBLL_L_X38Y27 COLUMN 97 TILEPROP CLBLL_L_X38Y27 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y27 FIRST_SITE_ID 12870 TILEPROP CLBLL_L_X38Y27 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y27 GRID_POINT_Y 127 TILEPROP CLBLL_L_X38Y27 INDEX 14702 TILEPROP CLBLL_L_X38Y27 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y27 INT_TILE_Y 122 TILEPROP CLBLL_L_X38Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y27 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y27 NAME CLBLL_L_X38Y27 TILEPROP CLBLL_L_X38Y27 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y27 NUM_SITES 2 TILEPROP CLBLL_L_X38Y27 ROW 127 TILEPROP CLBLL_L_X38Y27 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y27 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y27 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y27 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y27 TILE_X 77314 TILEPROP CLBLL_L_X38Y27 TILE_Y -152248 TILEPROP CLBLL_L_X38Y27 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y28 CLASS tile TILEPROP CLBLL_L_X38Y28 COLUMN 97 TILEPROP CLBLL_L_X38Y28 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y28 FIRST_SITE_ID 12770 TILEPROP CLBLL_L_X38Y28 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y28 GRID_POINT_Y 126 TILEPROP CLBLL_L_X38Y28 INDEX 14587 TILEPROP CLBLL_L_X38Y28 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y28 INT_TILE_Y 121 TILEPROP CLBLL_L_X38Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y28 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y28 NAME CLBLL_L_X38Y28 TILEPROP CLBLL_L_X38Y28 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y28 NUM_SITES 2 TILEPROP CLBLL_L_X38Y28 ROW 126 TILEPROP CLBLL_L_X38Y28 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y28 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y28 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y28 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y28 TILE_X 77314 TILEPROP CLBLL_L_X38Y28 TILE_Y -149048 TILEPROP CLBLL_L_X38Y28 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y29 CLASS tile TILEPROP CLBLL_L_X38Y29 COLUMN 97 TILEPROP CLBLL_L_X38Y29 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y29 FIRST_SITE_ID 12664 TILEPROP CLBLL_L_X38Y29 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y29 GRID_POINT_Y 125 TILEPROP CLBLL_L_X38Y29 INDEX 14472 TILEPROP CLBLL_L_X38Y29 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y29 INT_TILE_Y 120 TILEPROP CLBLL_L_X38Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y29 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y29 NAME CLBLL_L_X38Y29 TILEPROP CLBLL_L_X38Y29 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y29 NUM_SITES 2 TILEPROP CLBLL_L_X38Y29 ROW 125 TILEPROP CLBLL_L_X38Y29 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y29 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y29 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y29 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y29 TILE_X 77314 TILEPROP CLBLL_L_X38Y29 TILE_Y -145848 TILEPROP CLBLL_L_X38Y29 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y30 CLASS tile TILEPROP CLBLL_L_X38Y30 COLUMN 97 TILEPROP CLBLL_L_X38Y30 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y30 FIRST_SITE_ID 12558 TILEPROP CLBLL_L_X38Y30 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y30 GRID_POINT_Y 124 TILEPROP CLBLL_L_X38Y30 INDEX 14357 TILEPROP CLBLL_L_X38Y30 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y30 INT_TILE_Y 119 TILEPROP CLBLL_L_X38Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y30 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y30 NAME CLBLL_L_X38Y30 TILEPROP CLBLL_L_X38Y30 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y30 NUM_SITES 2 TILEPROP CLBLL_L_X38Y30 ROW 124 TILEPROP CLBLL_L_X38Y30 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y30 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y30 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y30 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y30 TILE_X 77314 TILEPROP CLBLL_L_X38Y30 TILE_Y -142648 TILEPROP CLBLL_L_X38Y30 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y31 CLASS tile TILEPROP CLBLL_L_X38Y31 COLUMN 97 TILEPROP CLBLL_L_X38Y31 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y31 FIRST_SITE_ID 12428 TILEPROP CLBLL_L_X38Y31 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y31 GRID_POINT_Y 123 TILEPROP CLBLL_L_X38Y31 INDEX 14242 TILEPROP CLBLL_L_X38Y31 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y31 INT_TILE_Y 118 TILEPROP CLBLL_L_X38Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y31 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y31 NAME CLBLL_L_X38Y31 TILEPROP CLBLL_L_X38Y31 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y31 NUM_SITES 2 TILEPROP CLBLL_L_X38Y31 ROW 123 TILEPROP CLBLL_L_X38Y31 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y31 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y31 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y31 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y31 TILE_X 77314 TILEPROP CLBLL_L_X38Y31 TILE_Y -139448 TILEPROP CLBLL_L_X38Y31 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y32 CLASS tile TILEPROP CLBLL_L_X38Y32 COLUMN 97 TILEPROP CLBLL_L_X38Y32 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y32 FIRST_SITE_ID 12326 TILEPROP CLBLL_L_X38Y32 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y32 GRID_POINT_Y 122 TILEPROP CLBLL_L_X38Y32 INDEX 14127 TILEPROP CLBLL_L_X38Y32 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y32 INT_TILE_Y 117 TILEPROP CLBLL_L_X38Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y32 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y32 NAME CLBLL_L_X38Y32 TILEPROP CLBLL_L_X38Y32 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y32 NUM_SITES 2 TILEPROP CLBLL_L_X38Y32 ROW 122 TILEPROP CLBLL_L_X38Y32 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y32 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y32 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y32 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y32 TILE_X 77314 TILEPROP CLBLL_L_X38Y32 TILE_Y -136248 TILEPROP CLBLL_L_X38Y32 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y33 CLASS tile TILEPROP CLBLL_L_X38Y33 COLUMN 97 TILEPROP CLBLL_L_X38Y33 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y33 FIRST_SITE_ID 12225 TILEPROP CLBLL_L_X38Y33 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y33 GRID_POINT_Y 121 TILEPROP CLBLL_L_X38Y33 INDEX 14012 TILEPROP CLBLL_L_X38Y33 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y33 INT_TILE_Y 116 TILEPROP CLBLL_L_X38Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y33 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y33 NAME CLBLL_L_X38Y33 TILEPROP CLBLL_L_X38Y33 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y33 NUM_SITES 2 TILEPROP CLBLL_L_X38Y33 ROW 121 TILEPROP CLBLL_L_X38Y33 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y33 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y33 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y33 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y33 TILE_X 77314 TILEPROP CLBLL_L_X38Y33 TILE_Y -133048 TILEPROP CLBLL_L_X38Y33 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y34 CLASS tile TILEPROP CLBLL_L_X38Y34 COLUMN 97 TILEPROP CLBLL_L_X38Y34 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y34 FIRST_SITE_ID 12125 TILEPROP CLBLL_L_X38Y34 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y34 GRID_POINT_Y 120 TILEPROP CLBLL_L_X38Y34 INDEX 13897 TILEPROP CLBLL_L_X38Y34 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y34 INT_TILE_Y 115 TILEPROP CLBLL_L_X38Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y34 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y34 NAME CLBLL_L_X38Y34 TILEPROP CLBLL_L_X38Y34 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y34 NUM_SITES 2 TILEPROP CLBLL_L_X38Y34 ROW 120 TILEPROP CLBLL_L_X38Y34 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y34 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y34 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y34 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y34 TILE_X 77314 TILEPROP CLBLL_L_X38Y34 TILE_Y -129848 TILEPROP CLBLL_L_X38Y34 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y35 CLASS tile TILEPROP CLBLL_L_X38Y35 COLUMN 97 TILEPROP CLBLL_L_X38Y35 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y35 FIRST_SITE_ID 12025 TILEPROP CLBLL_L_X38Y35 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y35 GRID_POINT_Y 119 TILEPROP CLBLL_L_X38Y35 INDEX 13782 TILEPROP CLBLL_L_X38Y35 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y35 INT_TILE_Y 114 TILEPROP CLBLL_L_X38Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y35 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y35 NAME CLBLL_L_X38Y35 TILEPROP CLBLL_L_X38Y35 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y35 NUM_SITES 2 TILEPROP CLBLL_L_X38Y35 ROW 119 TILEPROP CLBLL_L_X38Y35 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y35 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y35 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y35 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y35 TILE_X 77314 TILEPROP CLBLL_L_X38Y35 TILE_Y -126648 TILEPROP CLBLL_L_X38Y35 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y36 CLASS tile TILEPROP CLBLL_L_X38Y36 COLUMN 97 TILEPROP CLBLL_L_X38Y36 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y36 FIRST_SITE_ID 11910 TILEPROP CLBLL_L_X38Y36 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y36 GRID_POINT_Y 118 TILEPROP CLBLL_L_X38Y36 INDEX 13667 TILEPROP CLBLL_L_X38Y36 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y36 INT_TILE_Y 113 TILEPROP CLBLL_L_X38Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y36 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y36 NAME CLBLL_L_X38Y36 TILEPROP CLBLL_L_X38Y36 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y36 NUM_SITES 2 TILEPROP CLBLL_L_X38Y36 ROW 118 TILEPROP CLBLL_L_X38Y36 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y36 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y36 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y36 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y36 TILE_X 77314 TILEPROP CLBLL_L_X38Y36 TILE_Y -123448 TILEPROP CLBLL_L_X38Y36 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y37 CLASS tile TILEPROP CLBLL_L_X38Y37 COLUMN 97 TILEPROP CLBLL_L_X38Y37 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y37 FIRST_SITE_ID 11778 TILEPROP CLBLL_L_X38Y37 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y37 GRID_POINT_Y 117 TILEPROP CLBLL_L_X38Y37 INDEX 13552 TILEPROP CLBLL_L_X38Y37 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y37 INT_TILE_Y 112 TILEPROP CLBLL_L_X38Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y37 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y37 NAME CLBLL_L_X38Y37 TILEPROP CLBLL_L_X38Y37 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y37 NUM_SITES 2 TILEPROP CLBLL_L_X38Y37 ROW 117 TILEPROP CLBLL_L_X38Y37 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y37 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y37 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y37 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y37 TILE_X 77314 TILEPROP CLBLL_L_X38Y37 TILE_Y -120248 TILEPROP CLBLL_L_X38Y37 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y38 CLASS tile TILEPROP CLBLL_L_X38Y38 COLUMN 97 TILEPROP CLBLL_L_X38Y38 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y38 FIRST_SITE_ID 11678 TILEPROP CLBLL_L_X38Y38 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y38 GRID_POINT_Y 116 TILEPROP CLBLL_L_X38Y38 INDEX 13437 TILEPROP CLBLL_L_X38Y38 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y38 INT_TILE_Y 111 TILEPROP CLBLL_L_X38Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y38 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y38 NAME CLBLL_L_X38Y38 TILEPROP CLBLL_L_X38Y38 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y38 NUM_SITES 2 TILEPROP CLBLL_L_X38Y38 ROW 116 TILEPROP CLBLL_L_X38Y38 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y38 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y38 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y38 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y38 TILE_X 77314 TILEPROP CLBLL_L_X38Y38 TILE_Y -117048 TILEPROP CLBLL_L_X38Y38 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y39 CLASS tile TILEPROP CLBLL_L_X38Y39 COLUMN 97 TILEPROP CLBLL_L_X38Y39 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y39 FIRST_SITE_ID 11578 TILEPROP CLBLL_L_X38Y39 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y39 GRID_POINT_Y 115 TILEPROP CLBLL_L_X38Y39 INDEX 13322 TILEPROP CLBLL_L_X38Y39 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y39 INT_TILE_Y 110 TILEPROP CLBLL_L_X38Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y39 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y39 NAME CLBLL_L_X38Y39 TILEPROP CLBLL_L_X38Y39 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y39 NUM_SITES 2 TILEPROP CLBLL_L_X38Y39 ROW 115 TILEPROP CLBLL_L_X38Y39 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y39 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y39 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y39 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y39 TILE_X 77314 TILEPROP CLBLL_L_X38Y39 TILE_Y -113848 TILEPROP CLBLL_L_X38Y39 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y40 CLASS tile TILEPROP CLBLL_L_X38Y40 COLUMN 97 TILEPROP CLBLL_L_X38Y40 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y40 FIRST_SITE_ID 11478 TILEPROP CLBLL_L_X38Y40 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y40 GRID_POINT_Y 114 TILEPROP CLBLL_L_X38Y40 INDEX 13207 TILEPROP CLBLL_L_X38Y40 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y40 INT_TILE_Y 109 TILEPROP CLBLL_L_X38Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y40 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y40 NAME CLBLL_L_X38Y40 TILEPROP CLBLL_L_X38Y40 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y40 NUM_SITES 2 TILEPROP CLBLL_L_X38Y40 ROW 114 TILEPROP CLBLL_L_X38Y40 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y40 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y40 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y40 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y40 TILE_X 77314 TILEPROP CLBLL_L_X38Y40 TILE_Y -110648 TILEPROP CLBLL_L_X38Y40 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y41 CLASS tile TILEPROP CLBLL_L_X38Y41 COLUMN 97 TILEPROP CLBLL_L_X38Y41 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y41 FIRST_SITE_ID 11361 TILEPROP CLBLL_L_X38Y41 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y41 GRID_POINT_Y 113 TILEPROP CLBLL_L_X38Y41 INDEX 13092 TILEPROP CLBLL_L_X38Y41 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y41 INT_TILE_Y 108 TILEPROP CLBLL_L_X38Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y41 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y41 NAME CLBLL_L_X38Y41 TILEPROP CLBLL_L_X38Y41 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y41 NUM_SITES 2 TILEPROP CLBLL_L_X38Y41 ROW 113 TILEPROP CLBLL_L_X38Y41 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y41 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y41 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y41 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y41 TILE_X 77314 TILEPROP CLBLL_L_X38Y41 TILE_Y -107448 TILEPROP CLBLL_L_X38Y41 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y42 CLASS tile TILEPROP CLBLL_L_X38Y42 COLUMN 97 TILEPROP CLBLL_L_X38Y42 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y42 FIRST_SITE_ID 11259 TILEPROP CLBLL_L_X38Y42 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y42 GRID_POINT_Y 112 TILEPROP CLBLL_L_X38Y42 INDEX 12977 TILEPROP CLBLL_L_X38Y42 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y42 INT_TILE_Y 107 TILEPROP CLBLL_L_X38Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y42 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y42 NAME CLBLL_L_X38Y42 TILEPROP CLBLL_L_X38Y42 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y42 NUM_SITES 2 TILEPROP CLBLL_L_X38Y42 ROW 112 TILEPROP CLBLL_L_X38Y42 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y42 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y42 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y42 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y42 TILE_X 77314 TILEPROP CLBLL_L_X38Y42 TILE_Y -104248 TILEPROP CLBLL_L_X38Y42 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y43 CLASS tile TILEPROP CLBLL_L_X38Y43 COLUMN 97 TILEPROP CLBLL_L_X38Y43 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y43 FIRST_SITE_ID 11156 TILEPROP CLBLL_L_X38Y43 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y43 GRID_POINT_Y 111 TILEPROP CLBLL_L_X38Y43 INDEX 12862 TILEPROP CLBLL_L_X38Y43 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y43 INT_TILE_Y 106 TILEPROP CLBLL_L_X38Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y43 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y43 NAME CLBLL_L_X38Y43 TILEPROP CLBLL_L_X38Y43 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y43 NUM_SITES 2 TILEPROP CLBLL_L_X38Y43 ROW 111 TILEPROP CLBLL_L_X38Y43 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y43 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y43 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y43 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y43 TILE_X 77314 TILEPROP CLBLL_L_X38Y43 TILE_Y -101048 TILEPROP CLBLL_L_X38Y43 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y44 CLASS tile TILEPROP CLBLL_L_X38Y44 COLUMN 97 TILEPROP CLBLL_L_X38Y44 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y44 FIRST_SITE_ID 11054 TILEPROP CLBLL_L_X38Y44 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y44 GRID_POINT_Y 110 TILEPROP CLBLL_L_X38Y44 INDEX 12747 TILEPROP CLBLL_L_X38Y44 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y44 INT_TILE_Y 105 TILEPROP CLBLL_L_X38Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y44 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y44 NAME CLBLL_L_X38Y44 TILEPROP CLBLL_L_X38Y44 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y44 NUM_SITES 2 TILEPROP CLBLL_L_X38Y44 ROW 110 TILEPROP CLBLL_L_X38Y44 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y44 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y44 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y44 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y44 TILE_X 77314 TILEPROP CLBLL_L_X38Y44 TILE_Y -97848 TILEPROP CLBLL_L_X38Y44 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y45 CLASS tile TILEPROP CLBLL_L_X38Y45 COLUMN 97 TILEPROP CLBLL_L_X38Y45 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y45 FIRST_SITE_ID 10954 TILEPROP CLBLL_L_X38Y45 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y45 GRID_POINT_Y 109 TILEPROP CLBLL_L_X38Y45 INDEX 12632 TILEPROP CLBLL_L_X38Y45 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y45 INT_TILE_Y 104 TILEPROP CLBLL_L_X38Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y45 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y45 NAME CLBLL_L_X38Y45 TILEPROP CLBLL_L_X38Y45 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y45 NUM_SITES 2 TILEPROP CLBLL_L_X38Y45 ROW 109 TILEPROP CLBLL_L_X38Y45 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y45 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y45 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y45 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y45 TILE_X 77314 TILEPROP CLBLL_L_X38Y45 TILE_Y -94648 TILEPROP CLBLL_L_X38Y45 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y46 CLASS tile TILEPROP CLBLL_L_X38Y46 COLUMN 97 TILEPROP CLBLL_L_X38Y46 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y46 FIRST_SITE_ID 10838 TILEPROP CLBLL_L_X38Y46 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y46 GRID_POINT_Y 108 TILEPROP CLBLL_L_X38Y46 INDEX 12517 TILEPROP CLBLL_L_X38Y46 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y46 INT_TILE_Y 103 TILEPROP CLBLL_L_X38Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y46 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y46 NAME CLBLL_L_X38Y46 TILEPROP CLBLL_L_X38Y46 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y46 NUM_SITES 2 TILEPROP CLBLL_L_X38Y46 ROW 108 TILEPROP CLBLL_L_X38Y46 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y46 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y46 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y46 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y46 TILE_X 77314 TILEPROP CLBLL_L_X38Y46 TILE_Y -91448 TILEPROP CLBLL_L_X38Y46 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y47 CLASS tile TILEPROP CLBLL_L_X38Y47 COLUMN 97 TILEPROP CLBLL_L_X38Y47 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y47 FIRST_SITE_ID 10722 TILEPROP CLBLL_L_X38Y47 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y47 GRID_POINT_Y 107 TILEPROP CLBLL_L_X38Y47 INDEX 12402 TILEPROP CLBLL_L_X38Y47 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y47 INT_TILE_Y 102 TILEPROP CLBLL_L_X38Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y47 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y47 NAME CLBLL_L_X38Y47 TILEPROP CLBLL_L_X38Y47 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y47 NUM_SITES 2 TILEPROP CLBLL_L_X38Y47 ROW 107 TILEPROP CLBLL_L_X38Y47 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y47 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y47 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y47 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y47 TILE_X 77314 TILEPROP CLBLL_L_X38Y47 TILE_Y -88248 TILEPROP CLBLL_L_X38Y47 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y48 CLASS tile TILEPROP CLBLL_L_X38Y48 COLUMN 97 TILEPROP CLBLL_L_X38Y48 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y48 FIRST_SITE_ID 10622 TILEPROP CLBLL_L_X38Y48 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y48 GRID_POINT_Y 106 TILEPROP CLBLL_L_X38Y48 INDEX 12287 TILEPROP CLBLL_L_X38Y48 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y48 INT_TILE_Y 101 TILEPROP CLBLL_L_X38Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y48 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y48 NAME CLBLL_L_X38Y48 TILEPROP CLBLL_L_X38Y48 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y48 NUM_SITES 2 TILEPROP CLBLL_L_X38Y48 ROW 106 TILEPROP CLBLL_L_X38Y48 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y48 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y48 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y48 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y48 TILE_X 77314 TILEPROP CLBLL_L_X38Y48 TILE_Y -85048 TILEPROP CLBLL_L_X38Y48 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y49 CLASS tile TILEPROP CLBLL_L_X38Y49 COLUMN 97 TILEPROP CLBLL_L_X38Y49 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y49 FIRST_SITE_ID 10526 TILEPROP CLBLL_L_X38Y49 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y49 GRID_POINT_Y 105 TILEPROP CLBLL_L_X38Y49 INDEX 12172 TILEPROP CLBLL_L_X38Y49 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y49 INT_TILE_Y 100 TILEPROP CLBLL_L_X38Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y49 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y49 NAME CLBLL_L_X38Y49 TILEPROP CLBLL_L_X38Y49 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y49 NUM_SITES 2 TILEPROP CLBLL_L_X38Y49 ROW 105 TILEPROP CLBLL_L_X38Y49 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y49 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X38Y49 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y49 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y49 TILE_X 77314 TILEPROP CLBLL_L_X38Y49 TILE_Y -81848 TILEPROP CLBLL_L_X38Y49 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y50 CLASS tile TILEPROP CLBLL_L_X38Y50 COLUMN 97 TILEPROP CLBLL_L_X38Y50 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y50 FIRST_SITE_ID 10426 TILEPROP CLBLL_L_X38Y50 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y50 GRID_POINT_Y 103 TILEPROP CLBLL_L_X38Y50 INDEX 11942 TILEPROP CLBLL_L_X38Y50 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y50 INT_TILE_Y 99 TILEPROP CLBLL_L_X38Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y50 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y50 NAME CLBLL_L_X38Y50 TILEPROP CLBLL_L_X38Y50 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y50 NUM_SITES 2 TILEPROP CLBLL_L_X38Y50 ROW 103 TILEPROP CLBLL_L_X38Y50 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y50 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X38Y50 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y50 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y50 TILE_X 77314 TILEPROP CLBLL_L_X38Y50 TILE_Y -78400 TILEPROP CLBLL_L_X38Y50 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y51 CLASS tile TILEPROP CLBLL_L_X38Y51 COLUMN 97 TILEPROP CLBLL_L_X38Y51 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y51 FIRST_SITE_ID 10291 TILEPROP CLBLL_L_X38Y51 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y51 GRID_POINT_Y 102 TILEPROP CLBLL_L_X38Y51 INDEX 11827 TILEPROP CLBLL_L_X38Y51 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y51 INT_TILE_Y 98 TILEPROP CLBLL_L_X38Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y51 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y51 NAME CLBLL_L_X38Y51 TILEPROP CLBLL_L_X38Y51 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y51 NUM_SITES 2 TILEPROP CLBLL_L_X38Y51 ROW 102 TILEPROP CLBLL_L_X38Y51 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y51 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y51 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y51 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y51 TILE_X 77314 TILEPROP CLBLL_L_X38Y51 TILE_Y -75200 TILEPROP CLBLL_L_X38Y51 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y52 CLASS tile TILEPROP CLBLL_L_X38Y52 COLUMN 97 TILEPROP CLBLL_L_X38Y52 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y52 FIRST_SITE_ID 10191 TILEPROP CLBLL_L_X38Y52 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y52 GRID_POINT_Y 101 TILEPROP CLBLL_L_X38Y52 INDEX 11712 TILEPROP CLBLL_L_X38Y52 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y52 INT_TILE_Y 97 TILEPROP CLBLL_L_X38Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y52 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y52 NAME CLBLL_L_X38Y52 TILEPROP CLBLL_L_X38Y52 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y52 NUM_SITES 2 TILEPROP CLBLL_L_X38Y52 ROW 101 TILEPROP CLBLL_L_X38Y52 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y52 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y52 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y52 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y52 TILE_X 77314 TILEPROP CLBLL_L_X38Y52 TILE_Y -72000 TILEPROP CLBLL_L_X38Y52 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y53 CLASS tile TILEPROP CLBLL_L_X38Y53 COLUMN 97 TILEPROP CLBLL_L_X38Y53 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y53 FIRST_SITE_ID 10091 TILEPROP CLBLL_L_X38Y53 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y53 GRID_POINT_Y 100 TILEPROP CLBLL_L_X38Y53 INDEX 11597 TILEPROP CLBLL_L_X38Y53 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y53 INT_TILE_Y 96 TILEPROP CLBLL_L_X38Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y53 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y53 NAME CLBLL_L_X38Y53 TILEPROP CLBLL_L_X38Y53 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y53 NUM_SITES 2 TILEPROP CLBLL_L_X38Y53 ROW 100 TILEPROP CLBLL_L_X38Y53 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y53 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y53 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y53 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y53 TILE_X 77314 TILEPROP CLBLL_L_X38Y53 TILE_Y -68800 TILEPROP CLBLL_L_X38Y53 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y54 CLASS tile TILEPROP CLBLL_L_X38Y54 COLUMN 97 TILEPROP CLBLL_L_X38Y54 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y54 FIRST_SITE_ID 9991 TILEPROP CLBLL_L_X38Y54 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y54 GRID_POINT_Y 99 TILEPROP CLBLL_L_X38Y54 INDEX 11482 TILEPROP CLBLL_L_X38Y54 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y54 INT_TILE_Y 95 TILEPROP CLBLL_L_X38Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y54 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y54 NAME CLBLL_L_X38Y54 TILEPROP CLBLL_L_X38Y54 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y54 NUM_SITES 2 TILEPROP CLBLL_L_X38Y54 ROW 99 TILEPROP CLBLL_L_X38Y54 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y54 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y54 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y54 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y54 TILE_X 77314 TILEPROP CLBLL_L_X38Y54 TILE_Y -65600 TILEPROP CLBLL_L_X38Y54 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y55 CLASS tile TILEPROP CLBLL_L_X38Y55 COLUMN 97 TILEPROP CLBLL_L_X38Y55 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y55 FIRST_SITE_ID 9891 TILEPROP CLBLL_L_X38Y55 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y55 GRID_POINT_Y 98 TILEPROP CLBLL_L_X38Y55 INDEX 11367 TILEPROP CLBLL_L_X38Y55 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y55 INT_TILE_Y 94 TILEPROP CLBLL_L_X38Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y55 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y55 NAME CLBLL_L_X38Y55 TILEPROP CLBLL_L_X38Y55 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y55 NUM_SITES 2 TILEPROP CLBLL_L_X38Y55 ROW 98 TILEPROP CLBLL_L_X38Y55 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y55 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y55 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y55 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y55 TILE_X 77314 TILEPROP CLBLL_L_X38Y55 TILE_Y -62400 TILEPROP CLBLL_L_X38Y55 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y56 CLASS tile TILEPROP CLBLL_L_X38Y56 COLUMN 97 TILEPROP CLBLL_L_X38Y56 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y56 FIRST_SITE_ID 9776 TILEPROP CLBLL_L_X38Y56 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y56 GRID_POINT_Y 97 TILEPROP CLBLL_L_X38Y56 INDEX 11252 TILEPROP CLBLL_L_X38Y56 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y56 INT_TILE_Y 93 TILEPROP CLBLL_L_X38Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y56 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y56 NAME CLBLL_L_X38Y56 TILEPROP CLBLL_L_X38Y56 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y56 NUM_SITES 2 TILEPROP CLBLL_L_X38Y56 ROW 97 TILEPROP CLBLL_L_X38Y56 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y56 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y56 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y56 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y56 TILE_X 77314 TILEPROP CLBLL_L_X38Y56 TILE_Y -59200 TILEPROP CLBLL_L_X38Y56 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y57 CLASS tile TILEPROP CLBLL_L_X38Y57 COLUMN 97 TILEPROP CLBLL_L_X38Y57 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y57 FIRST_SITE_ID 9674 TILEPROP CLBLL_L_X38Y57 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y57 GRID_POINT_Y 96 TILEPROP CLBLL_L_X38Y57 INDEX 11137 TILEPROP CLBLL_L_X38Y57 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y57 INT_TILE_Y 92 TILEPROP CLBLL_L_X38Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y57 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y57 NAME CLBLL_L_X38Y57 TILEPROP CLBLL_L_X38Y57 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y57 NUM_SITES 2 TILEPROP CLBLL_L_X38Y57 ROW 96 TILEPROP CLBLL_L_X38Y57 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y57 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y57 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y57 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y57 TILE_X 77314 TILEPROP CLBLL_L_X38Y57 TILE_Y -56000 TILEPROP CLBLL_L_X38Y57 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y58 CLASS tile TILEPROP CLBLL_L_X38Y58 COLUMN 97 TILEPROP CLBLL_L_X38Y58 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y58 FIRST_SITE_ID 9571 TILEPROP CLBLL_L_X38Y58 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y58 GRID_POINT_Y 95 TILEPROP CLBLL_L_X38Y58 INDEX 11022 TILEPROP CLBLL_L_X38Y58 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y58 INT_TILE_Y 91 TILEPROP CLBLL_L_X38Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y58 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y58 NAME CLBLL_L_X38Y58 TILEPROP CLBLL_L_X38Y58 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y58 NUM_SITES 2 TILEPROP CLBLL_L_X38Y58 ROW 95 TILEPROP CLBLL_L_X38Y58 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y58 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y58 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y58 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y58 TILE_X 77314 TILEPROP CLBLL_L_X38Y58 TILE_Y -52800 TILEPROP CLBLL_L_X38Y58 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y59 CLASS tile TILEPROP CLBLL_L_X38Y59 COLUMN 97 TILEPROP CLBLL_L_X38Y59 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y59 FIRST_SITE_ID 9470 TILEPROP CLBLL_L_X38Y59 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y59 GRID_POINT_Y 94 TILEPROP CLBLL_L_X38Y59 INDEX 10907 TILEPROP CLBLL_L_X38Y59 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y59 INT_TILE_Y 90 TILEPROP CLBLL_L_X38Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y59 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y59 NAME CLBLL_L_X38Y59 TILEPROP CLBLL_L_X38Y59 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y59 NUM_SITES 2 TILEPROP CLBLL_L_X38Y59 ROW 94 TILEPROP CLBLL_L_X38Y59 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y59 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y59 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y59 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y59 TILE_X 77314 TILEPROP CLBLL_L_X38Y59 TILE_Y -49600 TILEPROP CLBLL_L_X38Y59 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y60 CLASS tile TILEPROP CLBLL_L_X38Y60 COLUMN 97 TILEPROP CLBLL_L_X38Y60 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y60 FIRST_SITE_ID 9370 TILEPROP CLBLL_L_X38Y60 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y60 GRID_POINT_Y 93 TILEPROP CLBLL_L_X38Y60 INDEX 10792 TILEPROP CLBLL_L_X38Y60 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y60 INT_TILE_Y 89 TILEPROP CLBLL_L_X38Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y60 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y60 NAME CLBLL_L_X38Y60 TILEPROP CLBLL_L_X38Y60 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y60 NUM_SITES 2 TILEPROP CLBLL_L_X38Y60 ROW 93 TILEPROP CLBLL_L_X38Y60 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y60 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y60 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y60 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y60 TILE_X 77314 TILEPROP CLBLL_L_X38Y60 TILE_Y -46400 TILEPROP CLBLL_L_X38Y60 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y61 CLASS tile TILEPROP CLBLL_L_X38Y61 COLUMN 97 TILEPROP CLBLL_L_X38Y61 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y61 FIRST_SITE_ID 9255 TILEPROP CLBLL_L_X38Y61 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y61 GRID_POINT_Y 92 TILEPROP CLBLL_L_X38Y61 INDEX 10677 TILEPROP CLBLL_L_X38Y61 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y61 INT_TILE_Y 88 TILEPROP CLBLL_L_X38Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y61 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y61 NAME CLBLL_L_X38Y61 TILEPROP CLBLL_L_X38Y61 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y61 NUM_SITES 2 TILEPROP CLBLL_L_X38Y61 ROW 92 TILEPROP CLBLL_L_X38Y61 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y61 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y61 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y61 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y61 TILE_X 77314 TILEPROP CLBLL_L_X38Y61 TILE_Y -43200 TILEPROP CLBLL_L_X38Y61 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y62 CLASS tile TILEPROP CLBLL_L_X38Y62 COLUMN 97 TILEPROP CLBLL_L_X38Y62 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y62 FIRST_SITE_ID 9155 TILEPROP CLBLL_L_X38Y62 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y62 GRID_POINT_Y 91 TILEPROP CLBLL_L_X38Y62 INDEX 10562 TILEPROP CLBLL_L_X38Y62 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y62 INT_TILE_Y 87 TILEPROP CLBLL_L_X38Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y62 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y62 NAME CLBLL_L_X38Y62 TILEPROP CLBLL_L_X38Y62 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y62 NUM_SITES 2 TILEPROP CLBLL_L_X38Y62 ROW 91 TILEPROP CLBLL_L_X38Y62 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y62 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y62 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y62 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y62 TILE_X 77314 TILEPROP CLBLL_L_X38Y62 TILE_Y -40000 TILEPROP CLBLL_L_X38Y62 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y63 CLASS tile TILEPROP CLBLL_L_X38Y63 COLUMN 97 TILEPROP CLBLL_L_X38Y63 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y63 FIRST_SITE_ID 9023 TILEPROP CLBLL_L_X38Y63 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y63 GRID_POINT_Y 90 TILEPROP CLBLL_L_X38Y63 INDEX 10447 TILEPROP CLBLL_L_X38Y63 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y63 INT_TILE_Y 86 TILEPROP CLBLL_L_X38Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y63 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y63 NAME CLBLL_L_X38Y63 TILEPROP CLBLL_L_X38Y63 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y63 NUM_SITES 2 TILEPROP CLBLL_L_X38Y63 ROW 90 TILEPROP CLBLL_L_X38Y63 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y63 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y63 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y63 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y63 TILE_X 77314 TILEPROP CLBLL_L_X38Y63 TILE_Y -36800 TILEPROP CLBLL_L_X38Y63 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y64 CLASS tile TILEPROP CLBLL_L_X38Y64 COLUMN 97 TILEPROP CLBLL_L_X38Y64 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y64 FIRST_SITE_ID 8923 TILEPROP CLBLL_L_X38Y64 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y64 GRID_POINT_Y 89 TILEPROP CLBLL_L_X38Y64 INDEX 10332 TILEPROP CLBLL_L_X38Y64 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y64 INT_TILE_Y 85 TILEPROP CLBLL_L_X38Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y64 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y64 NAME CLBLL_L_X38Y64 TILEPROP CLBLL_L_X38Y64 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y64 NUM_SITES 2 TILEPROP CLBLL_L_X38Y64 ROW 89 TILEPROP CLBLL_L_X38Y64 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y64 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y64 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y64 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y64 TILE_X 77314 TILEPROP CLBLL_L_X38Y64 TILE_Y -33600 TILEPROP CLBLL_L_X38Y64 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y65 CLASS tile TILEPROP CLBLL_L_X38Y65 COLUMN 97 TILEPROP CLBLL_L_X38Y65 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y65 FIRST_SITE_ID 8823 TILEPROP CLBLL_L_X38Y65 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y65 GRID_POINT_Y 88 TILEPROP CLBLL_L_X38Y65 INDEX 10217 TILEPROP CLBLL_L_X38Y65 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y65 INT_TILE_Y 84 TILEPROP CLBLL_L_X38Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y65 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y65 NAME CLBLL_L_X38Y65 TILEPROP CLBLL_L_X38Y65 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y65 NUM_SITES 2 TILEPROP CLBLL_L_X38Y65 ROW 88 TILEPROP CLBLL_L_X38Y65 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y65 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y65 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y65 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y65 TILE_X 77314 TILEPROP CLBLL_L_X38Y65 TILE_Y -30400 TILEPROP CLBLL_L_X38Y65 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y66 CLASS tile TILEPROP CLBLL_L_X38Y66 COLUMN 97 TILEPROP CLBLL_L_X38Y66 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y66 FIRST_SITE_ID 8708 TILEPROP CLBLL_L_X38Y66 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y66 GRID_POINT_Y 87 TILEPROP CLBLL_L_X38Y66 INDEX 10102 TILEPROP CLBLL_L_X38Y66 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y66 INT_TILE_Y 83 TILEPROP CLBLL_L_X38Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y66 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y66 NAME CLBLL_L_X38Y66 TILEPROP CLBLL_L_X38Y66 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y66 NUM_SITES 2 TILEPROP CLBLL_L_X38Y66 ROW 87 TILEPROP CLBLL_L_X38Y66 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y66 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y66 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y66 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y66 TILE_X 77314 TILEPROP CLBLL_L_X38Y66 TILE_Y -27200 TILEPROP CLBLL_L_X38Y66 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y67 CLASS tile TILEPROP CLBLL_L_X38Y67 COLUMN 97 TILEPROP CLBLL_L_X38Y67 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y67 FIRST_SITE_ID 8604 TILEPROP CLBLL_L_X38Y67 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y67 GRID_POINT_Y 86 TILEPROP CLBLL_L_X38Y67 INDEX 9987 TILEPROP CLBLL_L_X38Y67 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y67 INT_TILE_Y 82 TILEPROP CLBLL_L_X38Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y67 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y67 NAME CLBLL_L_X38Y67 TILEPROP CLBLL_L_X38Y67 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y67 NUM_SITES 2 TILEPROP CLBLL_L_X38Y67 ROW 86 TILEPROP CLBLL_L_X38Y67 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y67 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y67 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y67 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y67 TILE_X 77314 TILEPROP CLBLL_L_X38Y67 TILE_Y -24000 TILEPROP CLBLL_L_X38Y67 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y68 CLASS tile TILEPROP CLBLL_L_X38Y68 COLUMN 97 TILEPROP CLBLL_L_X38Y68 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y68 FIRST_SITE_ID 8500 TILEPROP CLBLL_L_X38Y68 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y68 GRID_POINT_Y 85 TILEPROP CLBLL_L_X38Y68 INDEX 9872 TILEPROP CLBLL_L_X38Y68 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y68 INT_TILE_Y 81 TILEPROP CLBLL_L_X38Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y68 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y68 NAME CLBLL_L_X38Y68 TILEPROP CLBLL_L_X38Y68 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y68 NUM_SITES 2 TILEPROP CLBLL_L_X38Y68 ROW 85 TILEPROP CLBLL_L_X38Y68 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y68 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y68 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y68 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y68 TILE_X 77314 TILEPROP CLBLL_L_X38Y68 TILE_Y -20800 TILEPROP CLBLL_L_X38Y68 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y69 CLASS tile TILEPROP CLBLL_L_X38Y69 COLUMN 97 TILEPROP CLBLL_L_X38Y69 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y69 FIRST_SITE_ID 8398 TILEPROP CLBLL_L_X38Y69 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y69 GRID_POINT_Y 84 TILEPROP CLBLL_L_X38Y69 INDEX 9757 TILEPROP CLBLL_L_X38Y69 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y69 INT_TILE_Y 80 TILEPROP CLBLL_L_X38Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y69 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y69 NAME CLBLL_L_X38Y69 TILEPROP CLBLL_L_X38Y69 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y69 NUM_SITES 2 TILEPROP CLBLL_L_X38Y69 ROW 84 TILEPROP CLBLL_L_X38Y69 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y69 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y69 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y69 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y69 TILE_X 77314 TILEPROP CLBLL_L_X38Y69 TILE_Y -17600 TILEPROP CLBLL_L_X38Y69 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y70 CLASS tile TILEPROP CLBLL_L_X38Y70 COLUMN 97 TILEPROP CLBLL_L_X38Y70 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y70 FIRST_SITE_ID 8296 TILEPROP CLBLL_L_X38Y70 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y70 GRID_POINT_Y 83 TILEPROP CLBLL_L_X38Y70 INDEX 9642 TILEPROP CLBLL_L_X38Y70 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y70 INT_TILE_Y 79 TILEPROP CLBLL_L_X38Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y70 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y70 NAME CLBLL_L_X38Y70 TILEPROP CLBLL_L_X38Y70 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y70 NUM_SITES 2 TILEPROP CLBLL_L_X38Y70 ROW 83 TILEPROP CLBLL_L_X38Y70 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y70 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y70 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y70 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y70 TILE_X 77314 TILEPROP CLBLL_L_X38Y70 TILE_Y -14400 TILEPROP CLBLL_L_X38Y70 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y71 CLASS tile TILEPROP CLBLL_L_X38Y71 COLUMN 97 TILEPROP CLBLL_L_X38Y71 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y71 FIRST_SITE_ID 8181 TILEPROP CLBLL_L_X38Y71 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y71 GRID_POINT_Y 82 TILEPROP CLBLL_L_X38Y71 INDEX 9527 TILEPROP CLBLL_L_X38Y71 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y71 INT_TILE_Y 78 TILEPROP CLBLL_L_X38Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y71 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y71 NAME CLBLL_L_X38Y71 TILEPROP CLBLL_L_X38Y71 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y71 NUM_SITES 2 TILEPROP CLBLL_L_X38Y71 ROW 82 TILEPROP CLBLL_L_X38Y71 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y71 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y71 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y71 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y71 TILE_X 77314 TILEPROP CLBLL_L_X38Y71 TILE_Y -11200 TILEPROP CLBLL_L_X38Y71 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y72 CLASS tile TILEPROP CLBLL_L_X38Y72 COLUMN 97 TILEPROP CLBLL_L_X38Y72 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y72 FIRST_SITE_ID 8081 TILEPROP CLBLL_L_X38Y72 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y72 GRID_POINT_Y 81 TILEPROP CLBLL_L_X38Y72 INDEX 9412 TILEPROP CLBLL_L_X38Y72 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y72 INT_TILE_Y 77 TILEPROP CLBLL_L_X38Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y72 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y72 NAME CLBLL_L_X38Y72 TILEPROP CLBLL_L_X38Y72 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y72 NUM_SITES 2 TILEPROP CLBLL_L_X38Y72 ROW 81 TILEPROP CLBLL_L_X38Y72 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y72 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y72 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y72 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y72 TILE_X 77314 TILEPROP CLBLL_L_X38Y72 TILE_Y -8000 TILEPROP CLBLL_L_X38Y72 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y73 CLASS tile TILEPROP CLBLL_L_X38Y73 COLUMN 97 TILEPROP CLBLL_L_X38Y73 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y73 FIRST_SITE_ID 7981 TILEPROP CLBLL_L_X38Y73 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y73 GRID_POINT_Y 80 TILEPROP CLBLL_L_X38Y73 INDEX 9297 TILEPROP CLBLL_L_X38Y73 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y73 INT_TILE_Y 76 TILEPROP CLBLL_L_X38Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y73 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y73 NAME CLBLL_L_X38Y73 TILEPROP CLBLL_L_X38Y73 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y73 NUM_SITES 2 TILEPROP CLBLL_L_X38Y73 ROW 80 TILEPROP CLBLL_L_X38Y73 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y73 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y73 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y73 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y73 TILE_X 77314 TILEPROP CLBLL_L_X38Y73 TILE_Y -4800 TILEPROP CLBLL_L_X38Y73 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y74 CLASS tile TILEPROP CLBLL_L_X38Y74 COLUMN 97 TILEPROP CLBLL_L_X38Y74 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y74 FIRST_SITE_ID 7881 TILEPROP CLBLL_L_X38Y74 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y74 GRID_POINT_Y 79 TILEPROP CLBLL_L_X38Y74 INDEX 9182 TILEPROP CLBLL_L_X38Y74 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y74 INT_TILE_Y 75 TILEPROP CLBLL_L_X38Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y74 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y74 NAME CLBLL_L_X38Y74 TILEPROP CLBLL_L_X38Y74 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y74 NUM_SITES 2 TILEPROP CLBLL_L_X38Y74 ROW 79 TILEPROP CLBLL_L_X38Y74 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y74 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X38Y74 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y74 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y74 TILE_X 77314 TILEPROP CLBLL_L_X38Y74 TILE_Y -1600 TILEPROP CLBLL_L_X38Y74 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y75 CLASS tile TILEPROP CLBLL_L_X38Y75 COLUMN 97 TILEPROP CLBLL_L_X38Y75 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y75 FIRST_SITE_ID 7698 TILEPROP CLBLL_L_X38Y75 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y75 GRID_POINT_Y 77 TILEPROP CLBLL_L_X38Y75 INDEX 8952 TILEPROP CLBLL_L_X38Y75 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y75 INT_TILE_Y 74 TILEPROP CLBLL_L_X38Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y75 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y75 NAME CLBLL_L_X38Y75 TILEPROP CLBLL_L_X38Y75 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y75 NUM_SITES 2 TILEPROP CLBLL_L_X38Y75 ROW 77 TILEPROP CLBLL_L_X38Y75 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y75 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X38Y75 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y75 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y75 TILE_X 77314 TILEPROP CLBLL_L_X38Y75 TILE_Y 2624 TILEPROP CLBLL_L_X38Y75 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y76 CLASS tile TILEPROP CLBLL_L_X38Y76 COLUMN 97 TILEPROP CLBLL_L_X38Y76 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y76 FIRST_SITE_ID 7580 TILEPROP CLBLL_L_X38Y76 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y76 GRID_POINT_Y 76 TILEPROP CLBLL_L_X38Y76 INDEX 8837 TILEPROP CLBLL_L_X38Y76 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y76 INT_TILE_Y 73 TILEPROP CLBLL_L_X38Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y76 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y76 NAME CLBLL_L_X38Y76 TILEPROP CLBLL_L_X38Y76 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y76 NUM_SITES 2 TILEPROP CLBLL_L_X38Y76 ROW 76 TILEPROP CLBLL_L_X38Y76 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y76 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y76 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y76 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y76 TILE_X 77314 TILEPROP CLBLL_L_X38Y76 TILE_Y 5824 TILEPROP CLBLL_L_X38Y76 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y77 CLASS tile TILEPROP CLBLL_L_X38Y77 COLUMN 97 TILEPROP CLBLL_L_X38Y77 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y77 FIRST_SITE_ID 7480 TILEPROP CLBLL_L_X38Y77 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y77 GRID_POINT_Y 75 TILEPROP CLBLL_L_X38Y77 INDEX 8722 TILEPROP CLBLL_L_X38Y77 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y77 INT_TILE_Y 72 TILEPROP CLBLL_L_X38Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y77 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y77 NAME CLBLL_L_X38Y77 TILEPROP CLBLL_L_X38Y77 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y77 NUM_SITES 2 TILEPROP CLBLL_L_X38Y77 ROW 75 TILEPROP CLBLL_L_X38Y77 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y77 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y77 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y77 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y77 TILE_X 77314 TILEPROP CLBLL_L_X38Y77 TILE_Y 9024 TILEPROP CLBLL_L_X38Y77 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y78 CLASS tile TILEPROP CLBLL_L_X38Y78 COLUMN 97 TILEPROP CLBLL_L_X38Y78 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y78 FIRST_SITE_ID 7380 TILEPROP CLBLL_L_X38Y78 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y78 GRID_POINT_Y 74 TILEPROP CLBLL_L_X38Y78 INDEX 8607 TILEPROP CLBLL_L_X38Y78 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y78 INT_TILE_Y 71 TILEPROP CLBLL_L_X38Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y78 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y78 NAME CLBLL_L_X38Y78 TILEPROP CLBLL_L_X38Y78 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y78 NUM_SITES 2 TILEPROP CLBLL_L_X38Y78 ROW 74 TILEPROP CLBLL_L_X38Y78 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y78 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y78 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y78 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y78 TILE_X 77314 TILEPROP CLBLL_L_X38Y78 TILE_Y 12224 TILEPROP CLBLL_L_X38Y78 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y79 CLASS tile TILEPROP CLBLL_L_X38Y79 COLUMN 97 TILEPROP CLBLL_L_X38Y79 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y79 FIRST_SITE_ID 7274 TILEPROP CLBLL_L_X38Y79 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y79 GRID_POINT_Y 73 TILEPROP CLBLL_L_X38Y79 INDEX 8492 TILEPROP CLBLL_L_X38Y79 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y79 INT_TILE_Y 70 TILEPROP CLBLL_L_X38Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y79 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y79 NAME CLBLL_L_X38Y79 TILEPROP CLBLL_L_X38Y79 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y79 NUM_SITES 2 TILEPROP CLBLL_L_X38Y79 ROW 73 TILEPROP CLBLL_L_X38Y79 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y79 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y79 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y79 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y79 TILE_X 77314 TILEPROP CLBLL_L_X38Y79 TILE_Y 15424 TILEPROP CLBLL_L_X38Y79 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y80 CLASS tile TILEPROP CLBLL_L_X38Y80 COLUMN 97 TILEPROP CLBLL_L_X38Y80 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y80 FIRST_SITE_ID 7168 TILEPROP CLBLL_L_X38Y80 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y80 GRID_POINT_Y 72 TILEPROP CLBLL_L_X38Y80 INDEX 8377 TILEPROP CLBLL_L_X38Y80 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y80 INT_TILE_Y 69 TILEPROP CLBLL_L_X38Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y80 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y80 NAME CLBLL_L_X38Y80 TILEPROP CLBLL_L_X38Y80 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y80 NUM_SITES 2 TILEPROP CLBLL_L_X38Y80 ROW 72 TILEPROP CLBLL_L_X38Y80 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y80 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y80 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y80 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y80 TILE_X 77314 TILEPROP CLBLL_L_X38Y80 TILE_Y 18624 TILEPROP CLBLL_L_X38Y80 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y81 CLASS tile TILEPROP CLBLL_L_X38Y81 COLUMN 97 TILEPROP CLBLL_L_X38Y81 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y81 FIRST_SITE_ID 7051 TILEPROP CLBLL_L_X38Y81 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y81 GRID_POINT_Y 71 TILEPROP CLBLL_L_X38Y81 INDEX 8262 TILEPROP CLBLL_L_X38Y81 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y81 INT_TILE_Y 68 TILEPROP CLBLL_L_X38Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y81 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y81 NAME CLBLL_L_X38Y81 TILEPROP CLBLL_L_X38Y81 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y81 NUM_SITES 2 TILEPROP CLBLL_L_X38Y81 ROW 71 TILEPROP CLBLL_L_X38Y81 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y81 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y81 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y81 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y81 TILE_X 77314 TILEPROP CLBLL_L_X38Y81 TILE_Y 21824 TILEPROP CLBLL_L_X38Y81 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y82 CLASS tile TILEPROP CLBLL_L_X38Y82 COLUMN 97 TILEPROP CLBLL_L_X38Y82 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y82 FIRST_SITE_ID 6949 TILEPROP CLBLL_L_X38Y82 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y82 GRID_POINT_Y 70 TILEPROP CLBLL_L_X38Y82 INDEX 8147 TILEPROP CLBLL_L_X38Y82 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y82 INT_TILE_Y 67 TILEPROP CLBLL_L_X38Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y82 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y82 NAME CLBLL_L_X38Y82 TILEPROP CLBLL_L_X38Y82 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y82 NUM_SITES 2 TILEPROP CLBLL_L_X38Y82 ROW 70 TILEPROP CLBLL_L_X38Y82 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y82 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y82 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y82 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y82 TILE_X 77314 TILEPROP CLBLL_L_X38Y82 TILE_Y 25024 TILEPROP CLBLL_L_X38Y82 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y83 CLASS tile TILEPROP CLBLL_L_X38Y83 COLUMN 97 TILEPROP CLBLL_L_X38Y83 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y83 FIRST_SITE_ID 6849 TILEPROP CLBLL_L_X38Y83 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y83 GRID_POINT_Y 69 TILEPROP CLBLL_L_X38Y83 INDEX 8032 TILEPROP CLBLL_L_X38Y83 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y83 INT_TILE_Y 66 TILEPROP CLBLL_L_X38Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y83 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y83 NAME CLBLL_L_X38Y83 TILEPROP CLBLL_L_X38Y83 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y83 NUM_SITES 2 TILEPROP CLBLL_L_X38Y83 ROW 69 TILEPROP CLBLL_L_X38Y83 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y83 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y83 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y83 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y83 TILE_X 77314 TILEPROP CLBLL_L_X38Y83 TILE_Y 28224 TILEPROP CLBLL_L_X38Y83 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y84 CLASS tile TILEPROP CLBLL_L_X38Y84 COLUMN 97 TILEPROP CLBLL_L_X38Y84 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y84 FIRST_SITE_ID 6749 TILEPROP CLBLL_L_X38Y84 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y84 GRID_POINT_Y 68 TILEPROP CLBLL_L_X38Y84 INDEX 7917 TILEPROP CLBLL_L_X38Y84 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y84 INT_TILE_Y 65 TILEPROP CLBLL_L_X38Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y84 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y84 NAME CLBLL_L_X38Y84 TILEPROP CLBLL_L_X38Y84 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y84 NUM_SITES 2 TILEPROP CLBLL_L_X38Y84 ROW 68 TILEPROP CLBLL_L_X38Y84 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y84 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y84 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y84 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y84 TILE_X 77314 TILEPROP CLBLL_L_X38Y84 TILE_Y 31424 TILEPROP CLBLL_L_X38Y84 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y85 CLASS tile TILEPROP CLBLL_L_X38Y85 COLUMN 97 TILEPROP CLBLL_L_X38Y85 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y85 FIRST_SITE_ID 6649 TILEPROP CLBLL_L_X38Y85 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y85 GRID_POINT_Y 67 TILEPROP CLBLL_L_X38Y85 INDEX 7802 TILEPROP CLBLL_L_X38Y85 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y85 INT_TILE_Y 64 TILEPROP CLBLL_L_X38Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y85 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y85 NAME CLBLL_L_X38Y85 TILEPROP CLBLL_L_X38Y85 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y85 NUM_SITES 2 TILEPROP CLBLL_L_X38Y85 ROW 67 TILEPROP CLBLL_L_X38Y85 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y85 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y85 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y85 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y85 TILE_X 77314 TILEPROP CLBLL_L_X38Y85 TILE_Y 34624 TILEPROP CLBLL_L_X38Y85 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y86 CLASS tile TILEPROP CLBLL_L_X38Y86 COLUMN 97 TILEPROP CLBLL_L_X38Y86 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y86 FIRST_SITE_ID 6534 TILEPROP CLBLL_L_X38Y86 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y86 GRID_POINT_Y 66 TILEPROP CLBLL_L_X38Y86 INDEX 7687 TILEPROP CLBLL_L_X38Y86 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y86 INT_TILE_Y 63 TILEPROP CLBLL_L_X38Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y86 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y86 NAME CLBLL_L_X38Y86 TILEPROP CLBLL_L_X38Y86 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y86 NUM_SITES 2 TILEPROP CLBLL_L_X38Y86 ROW 66 TILEPROP CLBLL_L_X38Y86 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y86 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y86 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y86 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y86 TILE_X 77314 TILEPROP CLBLL_L_X38Y86 TILE_Y 37824 TILEPROP CLBLL_L_X38Y86 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y87 CLASS tile TILEPROP CLBLL_L_X38Y87 COLUMN 97 TILEPROP CLBLL_L_X38Y87 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y87 FIRST_SITE_ID 6402 TILEPROP CLBLL_L_X38Y87 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y87 GRID_POINT_Y 65 TILEPROP CLBLL_L_X38Y87 INDEX 7572 TILEPROP CLBLL_L_X38Y87 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y87 INT_TILE_Y 62 TILEPROP CLBLL_L_X38Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y87 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y87 NAME CLBLL_L_X38Y87 TILEPROP CLBLL_L_X38Y87 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y87 NUM_SITES 2 TILEPROP CLBLL_L_X38Y87 ROW 65 TILEPROP CLBLL_L_X38Y87 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y87 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y87 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y87 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y87 TILE_X 77314 TILEPROP CLBLL_L_X38Y87 TILE_Y 41024 TILEPROP CLBLL_L_X38Y87 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y88 CLASS tile TILEPROP CLBLL_L_X38Y88 COLUMN 97 TILEPROP CLBLL_L_X38Y88 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y88 FIRST_SITE_ID 6302 TILEPROP CLBLL_L_X38Y88 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y88 GRID_POINT_Y 64 TILEPROP CLBLL_L_X38Y88 INDEX 7457 TILEPROP CLBLL_L_X38Y88 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y88 INT_TILE_Y 61 TILEPROP CLBLL_L_X38Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y88 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y88 NAME CLBLL_L_X38Y88 TILEPROP CLBLL_L_X38Y88 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y88 NUM_SITES 2 TILEPROP CLBLL_L_X38Y88 ROW 64 TILEPROP CLBLL_L_X38Y88 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y88 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y88 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y88 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y88 TILE_X 77314 TILEPROP CLBLL_L_X38Y88 TILE_Y 44224 TILEPROP CLBLL_L_X38Y88 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y89 CLASS tile TILEPROP CLBLL_L_X38Y89 COLUMN 97 TILEPROP CLBLL_L_X38Y89 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y89 FIRST_SITE_ID 6202 TILEPROP CLBLL_L_X38Y89 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y89 GRID_POINT_Y 63 TILEPROP CLBLL_L_X38Y89 INDEX 7342 TILEPROP CLBLL_L_X38Y89 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y89 INT_TILE_Y 60 TILEPROP CLBLL_L_X38Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y89 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y89 NAME CLBLL_L_X38Y89 TILEPROP CLBLL_L_X38Y89 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y89 NUM_SITES 2 TILEPROP CLBLL_L_X38Y89 ROW 63 TILEPROP CLBLL_L_X38Y89 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y89 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y89 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y89 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y89 TILE_X 77314 TILEPROP CLBLL_L_X38Y89 TILE_Y 47424 TILEPROP CLBLL_L_X38Y89 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y90 CLASS tile TILEPROP CLBLL_L_X38Y90 COLUMN 97 TILEPROP CLBLL_L_X38Y90 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y90 FIRST_SITE_ID 6102 TILEPROP CLBLL_L_X38Y90 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y90 GRID_POINT_Y 62 TILEPROP CLBLL_L_X38Y90 INDEX 7227 TILEPROP CLBLL_L_X38Y90 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y90 INT_TILE_Y 59 TILEPROP CLBLL_L_X38Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y90 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y90 NAME CLBLL_L_X38Y90 TILEPROP CLBLL_L_X38Y90 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y90 NUM_SITES 2 TILEPROP CLBLL_L_X38Y90 ROW 62 TILEPROP CLBLL_L_X38Y90 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y90 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y90 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y90 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y90 TILE_X 77314 TILEPROP CLBLL_L_X38Y90 TILE_Y 50624 TILEPROP CLBLL_L_X38Y90 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y91 CLASS tile TILEPROP CLBLL_L_X38Y91 COLUMN 97 TILEPROP CLBLL_L_X38Y91 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y91 FIRST_SITE_ID 5987 TILEPROP CLBLL_L_X38Y91 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y91 GRID_POINT_Y 61 TILEPROP CLBLL_L_X38Y91 INDEX 7112 TILEPROP CLBLL_L_X38Y91 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y91 INT_TILE_Y 58 TILEPROP CLBLL_L_X38Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y91 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y91 NAME CLBLL_L_X38Y91 TILEPROP CLBLL_L_X38Y91 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y91 NUM_SITES 2 TILEPROP CLBLL_L_X38Y91 ROW 61 TILEPROP CLBLL_L_X38Y91 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y91 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y91 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y91 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y91 TILE_X 77314 TILEPROP CLBLL_L_X38Y91 TILE_Y 53824 TILEPROP CLBLL_L_X38Y91 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y92 CLASS tile TILEPROP CLBLL_L_X38Y92 COLUMN 97 TILEPROP CLBLL_L_X38Y92 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y92 FIRST_SITE_ID 5886 TILEPROP CLBLL_L_X38Y92 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y92 GRID_POINT_Y 60 TILEPROP CLBLL_L_X38Y92 INDEX 6997 TILEPROP CLBLL_L_X38Y92 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y92 INT_TILE_Y 57 TILEPROP CLBLL_L_X38Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y92 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y92 NAME CLBLL_L_X38Y92 TILEPROP CLBLL_L_X38Y92 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y92 NUM_SITES 2 TILEPROP CLBLL_L_X38Y92 ROW 60 TILEPROP CLBLL_L_X38Y92 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y92 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y92 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y92 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y92 TILE_X 77314 TILEPROP CLBLL_L_X38Y92 TILE_Y 57024 TILEPROP CLBLL_L_X38Y92 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y93 CLASS tile TILEPROP CLBLL_L_X38Y93 COLUMN 97 TILEPROP CLBLL_L_X38Y93 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y93 FIRST_SITE_ID 5783 TILEPROP CLBLL_L_X38Y93 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y93 GRID_POINT_Y 59 TILEPROP CLBLL_L_X38Y93 INDEX 6882 TILEPROP CLBLL_L_X38Y93 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y93 INT_TILE_Y 56 TILEPROP CLBLL_L_X38Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y93 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y93 NAME CLBLL_L_X38Y93 TILEPROP CLBLL_L_X38Y93 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y93 NUM_SITES 2 TILEPROP CLBLL_L_X38Y93 ROW 59 TILEPROP CLBLL_L_X38Y93 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y93 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y93 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y93 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y93 TILE_X 77314 TILEPROP CLBLL_L_X38Y93 TILE_Y 60224 TILEPROP CLBLL_L_X38Y93 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y94 CLASS tile TILEPROP CLBLL_L_X38Y94 COLUMN 97 TILEPROP CLBLL_L_X38Y94 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y94 FIRST_SITE_ID 5681 TILEPROP CLBLL_L_X38Y94 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y94 GRID_POINT_Y 58 TILEPROP CLBLL_L_X38Y94 INDEX 6767 TILEPROP CLBLL_L_X38Y94 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y94 INT_TILE_Y 55 TILEPROP CLBLL_L_X38Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y94 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y94 NAME CLBLL_L_X38Y94 TILEPROP CLBLL_L_X38Y94 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y94 NUM_SITES 2 TILEPROP CLBLL_L_X38Y94 ROW 58 TILEPROP CLBLL_L_X38Y94 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y94 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y94 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y94 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y94 TILE_X 77314 TILEPROP CLBLL_L_X38Y94 TILE_Y 63424 TILEPROP CLBLL_L_X38Y94 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y95 CLASS tile TILEPROP CLBLL_L_X38Y95 COLUMN 97 TILEPROP CLBLL_L_X38Y95 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y95 FIRST_SITE_ID 5581 TILEPROP CLBLL_L_X38Y95 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y95 GRID_POINT_Y 57 TILEPROP CLBLL_L_X38Y95 INDEX 6652 TILEPROP CLBLL_L_X38Y95 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y95 INT_TILE_Y 54 TILEPROP CLBLL_L_X38Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y95 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y95 NAME CLBLL_L_X38Y95 TILEPROP CLBLL_L_X38Y95 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y95 NUM_SITES 2 TILEPROP CLBLL_L_X38Y95 ROW 57 TILEPROP CLBLL_L_X38Y95 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y95 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y95 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y95 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y95 TILE_X 77314 TILEPROP CLBLL_L_X38Y95 TILE_Y 66624 TILEPROP CLBLL_L_X38Y95 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y96 CLASS tile TILEPROP CLBLL_L_X38Y96 COLUMN 97 TILEPROP CLBLL_L_X38Y96 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y96 FIRST_SITE_ID 5466 TILEPROP CLBLL_L_X38Y96 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y96 GRID_POINT_Y 56 TILEPROP CLBLL_L_X38Y96 INDEX 6537 TILEPROP CLBLL_L_X38Y96 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y96 INT_TILE_Y 53 TILEPROP CLBLL_L_X38Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y96 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y96 NAME CLBLL_L_X38Y96 TILEPROP CLBLL_L_X38Y96 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y96 NUM_SITES 2 TILEPROP CLBLL_L_X38Y96 ROW 56 TILEPROP CLBLL_L_X38Y96 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y96 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y96 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y96 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y96 TILE_X 77314 TILEPROP CLBLL_L_X38Y96 TILE_Y 69824 TILEPROP CLBLL_L_X38Y96 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y97 CLASS tile TILEPROP CLBLL_L_X38Y97 COLUMN 97 TILEPROP CLBLL_L_X38Y97 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y97 FIRST_SITE_ID 5366 TILEPROP CLBLL_L_X38Y97 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y97 GRID_POINT_Y 55 TILEPROP CLBLL_L_X38Y97 INDEX 6422 TILEPROP CLBLL_L_X38Y97 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y97 INT_TILE_Y 52 TILEPROP CLBLL_L_X38Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y97 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y97 NAME CLBLL_L_X38Y97 TILEPROP CLBLL_L_X38Y97 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y97 NUM_SITES 2 TILEPROP CLBLL_L_X38Y97 ROW 55 TILEPROP CLBLL_L_X38Y97 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y97 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y97 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y97 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y97 TILE_X 77314 TILEPROP CLBLL_L_X38Y97 TILE_Y 73024 TILEPROP CLBLL_L_X38Y97 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y98 CLASS tile TILEPROP CLBLL_L_X38Y98 COLUMN 97 TILEPROP CLBLL_L_X38Y98 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y98 FIRST_SITE_ID 5266 TILEPROP CLBLL_L_X38Y98 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y98 GRID_POINT_Y 54 TILEPROP CLBLL_L_X38Y98 INDEX 6307 TILEPROP CLBLL_L_X38Y98 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y98 INT_TILE_Y 51 TILEPROP CLBLL_L_X38Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y98 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y98 NAME CLBLL_L_X38Y98 TILEPROP CLBLL_L_X38Y98 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y98 NUM_SITES 2 TILEPROP CLBLL_L_X38Y98 ROW 54 TILEPROP CLBLL_L_X38Y98 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y98 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X38Y98 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y98 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y98 TILE_X 77314 TILEPROP CLBLL_L_X38Y98 TILE_Y 76224 TILEPROP CLBLL_L_X38Y98 TYPE CLBLL_L TILEPROP CLBLL_L_X38Y99 CLASS tile TILEPROP CLBLL_L_X38Y99 COLUMN 97 TILEPROP CLBLL_L_X38Y99 DEVICE_ID 0 TILEPROP CLBLL_L_X38Y99 FIRST_SITE_ID 5170 TILEPROP CLBLL_L_X38Y99 GRID_POINT_X 97 TILEPROP CLBLL_L_X38Y99 GRID_POINT_Y 53 TILEPROP CLBLL_L_X38Y99 INDEX 6192 TILEPROP CLBLL_L_X38Y99 INT_TILE_X 37 TILEPROP CLBLL_L_X38Y99 INT_TILE_Y 50 TILEPROP CLBLL_L_X38Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X38Y99 IS_DCM_TILE 0 TILEPROP CLBLL_L_X38Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X38Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X38Y99 NAME CLBLL_L_X38Y99 TILEPROP CLBLL_L_X38Y99 NUM_ARCS 146 TILEPROP CLBLL_L_X38Y99 NUM_SITES 2 TILEPROP CLBLL_L_X38Y99 ROW 53 TILEPROP CLBLL_L_X38Y99 SLR_REGION_ID 0 TILEPROP CLBLL_L_X38Y99 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X38Y99 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X38Y99 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X38Y99 TILE_X 77314 TILEPROP CLBLL_L_X38Y99 TILE_Y 79424 TILEPROP CLBLL_L_X38Y99 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y0 CLASS tile TILEPROP CLBLL_L_X40Y0 COLUMN 101 TILEPROP CLBLL_L_X40Y0 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y0 FIRST_SITE_ID 15805 TILEPROP CLBLL_L_X40Y0 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y0 GRID_POINT_Y 155 TILEPROP CLBLL_L_X40Y0 INDEX 17926 TILEPROP CLBLL_L_X40Y0 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y0 INT_TILE_Y 149 TILEPROP CLBLL_L_X40Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y0 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y0 NAME CLBLL_L_X40Y0 TILEPROP CLBLL_L_X40Y0 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y0 NUM_SITES 2 TILEPROP CLBLL_L_X40Y0 ROW 155 TILEPROP CLBLL_L_X40Y0 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y0 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X40Y0 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y0 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y0 TILE_X 85618 TILEPROP CLBLL_L_X40Y0 TILE_Y -239672 TILEPROP CLBLL_L_X40Y0 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y1 CLASS tile TILEPROP CLBLL_L_X40Y1 COLUMN 101 TILEPROP CLBLL_L_X40Y1 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y1 FIRST_SITE_ID 15686 TILEPROP CLBLL_L_X40Y1 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y1 GRID_POINT_Y 154 TILEPROP CLBLL_L_X40Y1 INDEX 17811 TILEPROP CLBLL_L_X40Y1 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y1 INT_TILE_Y 148 TILEPROP CLBLL_L_X40Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y1 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y1 NAME CLBLL_L_X40Y1 TILEPROP CLBLL_L_X40Y1 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y1 NUM_SITES 2 TILEPROP CLBLL_L_X40Y1 ROW 154 TILEPROP CLBLL_L_X40Y1 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y1 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y1 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y1 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y1 TILE_X 85618 TILEPROP CLBLL_L_X40Y1 TILE_Y -236472 TILEPROP CLBLL_L_X40Y1 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y2 CLASS tile TILEPROP CLBLL_L_X40Y2 COLUMN 101 TILEPROP CLBLL_L_X40Y2 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y2 FIRST_SITE_ID 15585 TILEPROP CLBLL_L_X40Y2 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y2 GRID_POINT_Y 153 TILEPROP CLBLL_L_X40Y2 INDEX 17696 TILEPROP CLBLL_L_X40Y2 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y2 INT_TILE_Y 147 TILEPROP CLBLL_L_X40Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y2 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y2 NAME CLBLL_L_X40Y2 TILEPROP CLBLL_L_X40Y2 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y2 NUM_SITES 2 TILEPROP CLBLL_L_X40Y2 ROW 153 TILEPROP CLBLL_L_X40Y2 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y2 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y2 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y2 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y2 TILE_X 85618 TILEPROP CLBLL_L_X40Y2 TILE_Y -233272 TILEPROP CLBLL_L_X40Y2 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y3 CLASS tile TILEPROP CLBLL_L_X40Y3 COLUMN 101 TILEPROP CLBLL_L_X40Y3 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y3 FIRST_SITE_ID 15485 TILEPROP CLBLL_L_X40Y3 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y3 GRID_POINT_Y 152 TILEPROP CLBLL_L_X40Y3 INDEX 17581 TILEPROP CLBLL_L_X40Y3 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y3 INT_TILE_Y 146 TILEPROP CLBLL_L_X40Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y3 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y3 NAME CLBLL_L_X40Y3 TILEPROP CLBLL_L_X40Y3 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y3 NUM_SITES 2 TILEPROP CLBLL_L_X40Y3 ROW 152 TILEPROP CLBLL_L_X40Y3 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y3 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y3 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y3 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y3 TILE_X 85618 TILEPROP CLBLL_L_X40Y3 TILE_Y -230072 TILEPROP CLBLL_L_X40Y3 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y4 CLASS tile TILEPROP CLBLL_L_X40Y4 COLUMN 101 TILEPROP CLBLL_L_X40Y4 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y4 FIRST_SITE_ID 15385 TILEPROP CLBLL_L_X40Y4 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y4 GRID_POINT_Y 151 TILEPROP CLBLL_L_X40Y4 INDEX 17466 TILEPROP CLBLL_L_X40Y4 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y4 INT_TILE_Y 145 TILEPROP CLBLL_L_X40Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y4 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y4 NAME CLBLL_L_X40Y4 TILEPROP CLBLL_L_X40Y4 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y4 NUM_SITES 2 TILEPROP CLBLL_L_X40Y4 ROW 151 TILEPROP CLBLL_L_X40Y4 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y4 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y4 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y4 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y4 TILE_X 85618 TILEPROP CLBLL_L_X40Y4 TILE_Y -226872 TILEPROP CLBLL_L_X40Y4 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y5 CLASS tile TILEPROP CLBLL_L_X40Y5 COLUMN 101 TILEPROP CLBLL_L_X40Y5 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y5 FIRST_SITE_ID 15285 TILEPROP CLBLL_L_X40Y5 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y5 GRID_POINT_Y 150 TILEPROP CLBLL_L_X40Y5 INDEX 17351 TILEPROP CLBLL_L_X40Y5 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y5 INT_TILE_Y 144 TILEPROP CLBLL_L_X40Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y5 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y5 NAME CLBLL_L_X40Y5 TILEPROP CLBLL_L_X40Y5 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y5 NUM_SITES 2 TILEPROP CLBLL_L_X40Y5 ROW 150 TILEPROP CLBLL_L_X40Y5 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y5 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y5 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y5 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y5 TILE_X 85618 TILEPROP CLBLL_L_X40Y5 TILE_Y -223672 TILEPROP CLBLL_L_X40Y5 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y6 CLASS tile TILEPROP CLBLL_L_X40Y6 COLUMN 101 TILEPROP CLBLL_L_X40Y6 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y6 FIRST_SITE_ID 15170 TILEPROP CLBLL_L_X40Y6 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y6 GRID_POINT_Y 149 TILEPROP CLBLL_L_X40Y6 INDEX 17236 TILEPROP CLBLL_L_X40Y6 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y6 INT_TILE_Y 143 TILEPROP CLBLL_L_X40Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y6 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y6 NAME CLBLL_L_X40Y6 TILEPROP CLBLL_L_X40Y6 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y6 NUM_SITES 2 TILEPROP CLBLL_L_X40Y6 ROW 149 TILEPROP CLBLL_L_X40Y6 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y6 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y6 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y6 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y6 TILE_X 85618 TILEPROP CLBLL_L_X40Y6 TILE_Y -220472 TILEPROP CLBLL_L_X40Y6 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y7 CLASS tile TILEPROP CLBLL_L_X40Y7 COLUMN 101 TILEPROP CLBLL_L_X40Y7 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y7 FIRST_SITE_ID 15068 TILEPROP CLBLL_L_X40Y7 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y7 GRID_POINT_Y 148 TILEPROP CLBLL_L_X40Y7 INDEX 17121 TILEPROP CLBLL_L_X40Y7 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y7 INT_TILE_Y 142 TILEPROP CLBLL_L_X40Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y7 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y7 NAME CLBLL_L_X40Y7 TILEPROP CLBLL_L_X40Y7 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y7 NUM_SITES 2 TILEPROP CLBLL_L_X40Y7 ROW 148 TILEPROP CLBLL_L_X40Y7 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y7 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y7 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y7 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y7 TILE_X 85618 TILEPROP CLBLL_L_X40Y7 TILE_Y -217272 TILEPROP CLBLL_L_X40Y7 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y8 CLASS tile TILEPROP CLBLL_L_X40Y8 COLUMN 101 TILEPROP CLBLL_L_X40Y8 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y8 FIRST_SITE_ID 14965 TILEPROP CLBLL_L_X40Y8 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y8 GRID_POINT_Y 147 TILEPROP CLBLL_L_X40Y8 INDEX 17006 TILEPROP CLBLL_L_X40Y8 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y8 INT_TILE_Y 141 TILEPROP CLBLL_L_X40Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y8 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y8 NAME CLBLL_L_X40Y8 TILEPROP CLBLL_L_X40Y8 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y8 NUM_SITES 2 TILEPROP CLBLL_L_X40Y8 ROW 147 TILEPROP CLBLL_L_X40Y8 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y8 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y8 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y8 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y8 TILE_X 85618 TILEPROP CLBLL_L_X40Y8 TILE_Y -214072 TILEPROP CLBLL_L_X40Y8 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y9 CLASS tile TILEPROP CLBLL_L_X40Y9 COLUMN 101 TILEPROP CLBLL_L_X40Y9 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y9 FIRST_SITE_ID 14864 TILEPROP CLBLL_L_X40Y9 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y9 GRID_POINT_Y 146 TILEPROP CLBLL_L_X40Y9 INDEX 16891 TILEPROP CLBLL_L_X40Y9 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y9 INT_TILE_Y 140 TILEPROP CLBLL_L_X40Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y9 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y9 NAME CLBLL_L_X40Y9 TILEPROP CLBLL_L_X40Y9 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y9 NUM_SITES 2 TILEPROP CLBLL_L_X40Y9 ROW 146 TILEPROP CLBLL_L_X40Y9 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y9 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y9 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y9 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y9 TILE_X 85618 TILEPROP CLBLL_L_X40Y9 TILE_Y -210872 TILEPROP CLBLL_L_X40Y9 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y10 CLASS tile TILEPROP CLBLL_L_X40Y10 COLUMN 101 TILEPROP CLBLL_L_X40Y10 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y10 FIRST_SITE_ID 14764 TILEPROP CLBLL_L_X40Y10 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y10 GRID_POINT_Y 145 TILEPROP CLBLL_L_X40Y10 INDEX 16776 TILEPROP CLBLL_L_X40Y10 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y10 INT_TILE_Y 139 TILEPROP CLBLL_L_X40Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y10 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y10 NAME CLBLL_L_X40Y10 TILEPROP CLBLL_L_X40Y10 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y10 NUM_SITES 2 TILEPROP CLBLL_L_X40Y10 ROW 145 TILEPROP CLBLL_L_X40Y10 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y10 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y10 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y10 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y10 TILE_X 85618 TILEPROP CLBLL_L_X40Y10 TILE_Y -207672 TILEPROP CLBLL_L_X40Y10 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y11 CLASS tile TILEPROP CLBLL_L_X40Y11 COLUMN 101 TILEPROP CLBLL_L_X40Y11 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y11 FIRST_SITE_ID 14649 TILEPROP CLBLL_L_X40Y11 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y11 GRID_POINT_Y 144 TILEPROP CLBLL_L_X40Y11 INDEX 16661 TILEPROP CLBLL_L_X40Y11 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y11 INT_TILE_Y 138 TILEPROP CLBLL_L_X40Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y11 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y11 NAME CLBLL_L_X40Y11 TILEPROP CLBLL_L_X40Y11 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y11 NUM_SITES 2 TILEPROP CLBLL_L_X40Y11 ROW 144 TILEPROP CLBLL_L_X40Y11 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y11 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y11 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y11 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y11 TILE_X 85618 TILEPROP CLBLL_L_X40Y11 TILE_Y -204472 TILEPROP CLBLL_L_X40Y11 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y12 CLASS tile TILEPROP CLBLL_L_X40Y12 COLUMN 101 TILEPROP CLBLL_L_X40Y12 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y12 FIRST_SITE_ID 14549 TILEPROP CLBLL_L_X40Y12 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y12 GRID_POINT_Y 143 TILEPROP CLBLL_L_X40Y12 INDEX 16546 TILEPROP CLBLL_L_X40Y12 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y12 INT_TILE_Y 137 TILEPROP CLBLL_L_X40Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y12 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y12 NAME CLBLL_L_X40Y12 TILEPROP CLBLL_L_X40Y12 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y12 NUM_SITES 2 TILEPROP CLBLL_L_X40Y12 ROW 143 TILEPROP CLBLL_L_X40Y12 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y12 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y12 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y12 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y12 TILE_X 85618 TILEPROP CLBLL_L_X40Y12 TILE_Y -201272 TILEPROP CLBLL_L_X40Y12 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y13 CLASS tile TILEPROP CLBLL_L_X40Y13 COLUMN 101 TILEPROP CLBLL_L_X40Y13 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y13 FIRST_SITE_ID 14417 TILEPROP CLBLL_L_X40Y13 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y13 GRID_POINT_Y 142 TILEPROP CLBLL_L_X40Y13 INDEX 16431 TILEPROP CLBLL_L_X40Y13 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y13 INT_TILE_Y 136 TILEPROP CLBLL_L_X40Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y13 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y13 NAME CLBLL_L_X40Y13 TILEPROP CLBLL_L_X40Y13 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y13 NUM_SITES 2 TILEPROP CLBLL_L_X40Y13 ROW 142 TILEPROP CLBLL_L_X40Y13 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y13 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y13 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y13 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y13 TILE_X 85618 TILEPROP CLBLL_L_X40Y13 TILE_Y -198072 TILEPROP CLBLL_L_X40Y13 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y14 CLASS tile TILEPROP CLBLL_L_X40Y14 COLUMN 101 TILEPROP CLBLL_L_X40Y14 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y14 FIRST_SITE_ID 14317 TILEPROP CLBLL_L_X40Y14 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y14 GRID_POINT_Y 141 TILEPROP CLBLL_L_X40Y14 INDEX 16316 TILEPROP CLBLL_L_X40Y14 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y14 INT_TILE_Y 135 TILEPROP CLBLL_L_X40Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y14 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y14 NAME CLBLL_L_X40Y14 TILEPROP CLBLL_L_X40Y14 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y14 NUM_SITES 2 TILEPROP CLBLL_L_X40Y14 ROW 141 TILEPROP CLBLL_L_X40Y14 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y14 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y14 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y14 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y14 TILE_X 85618 TILEPROP CLBLL_L_X40Y14 TILE_Y -194872 TILEPROP CLBLL_L_X40Y14 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y15 CLASS tile TILEPROP CLBLL_L_X40Y15 COLUMN 101 TILEPROP CLBLL_L_X40Y15 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y15 FIRST_SITE_ID 14217 TILEPROP CLBLL_L_X40Y15 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y15 GRID_POINT_Y 140 TILEPROP CLBLL_L_X40Y15 INDEX 16201 TILEPROP CLBLL_L_X40Y15 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y15 INT_TILE_Y 134 TILEPROP CLBLL_L_X40Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y15 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y15 NAME CLBLL_L_X40Y15 TILEPROP CLBLL_L_X40Y15 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y15 NUM_SITES 2 TILEPROP CLBLL_L_X40Y15 ROW 140 TILEPROP CLBLL_L_X40Y15 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y15 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y15 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y15 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y15 TILE_X 85618 TILEPROP CLBLL_L_X40Y15 TILE_Y -191672 TILEPROP CLBLL_L_X40Y15 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y16 CLASS tile TILEPROP CLBLL_L_X40Y16 COLUMN 101 TILEPROP CLBLL_L_X40Y16 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y16 FIRST_SITE_ID 14102 TILEPROP CLBLL_L_X40Y16 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y16 GRID_POINT_Y 139 TILEPROP CLBLL_L_X40Y16 INDEX 16086 TILEPROP CLBLL_L_X40Y16 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y16 INT_TILE_Y 133 TILEPROP CLBLL_L_X40Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y16 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y16 NAME CLBLL_L_X40Y16 TILEPROP CLBLL_L_X40Y16 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y16 NUM_SITES 2 TILEPROP CLBLL_L_X40Y16 ROW 139 TILEPROP CLBLL_L_X40Y16 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y16 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y16 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y16 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y16 TILE_X 85618 TILEPROP CLBLL_L_X40Y16 TILE_Y -188472 TILEPROP CLBLL_L_X40Y16 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y17 CLASS tile TILEPROP CLBLL_L_X40Y17 COLUMN 101 TILEPROP CLBLL_L_X40Y17 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y17 FIRST_SITE_ID 13998 TILEPROP CLBLL_L_X40Y17 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y17 GRID_POINT_Y 138 TILEPROP CLBLL_L_X40Y17 INDEX 15971 TILEPROP CLBLL_L_X40Y17 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y17 INT_TILE_Y 132 TILEPROP CLBLL_L_X40Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y17 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y17 NAME CLBLL_L_X40Y17 TILEPROP CLBLL_L_X40Y17 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y17 NUM_SITES 2 TILEPROP CLBLL_L_X40Y17 ROW 138 TILEPROP CLBLL_L_X40Y17 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y17 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y17 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y17 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y17 TILE_X 85618 TILEPROP CLBLL_L_X40Y17 TILE_Y -185272 TILEPROP CLBLL_L_X40Y17 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y18 CLASS tile TILEPROP CLBLL_L_X40Y18 COLUMN 101 TILEPROP CLBLL_L_X40Y18 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y18 FIRST_SITE_ID 13893 TILEPROP CLBLL_L_X40Y18 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y18 GRID_POINT_Y 137 TILEPROP CLBLL_L_X40Y18 INDEX 15856 TILEPROP CLBLL_L_X40Y18 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y18 INT_TILE_Y 131 TILEPROP CLBLL_L_X40Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y18 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y18 NAME CLBLL_L_X40Y18 TILEPROP CLBLL_L_X40Y18 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y18 NUM_SITES 2 TILEPROP CLBLL_L_X40Y18 ROW 137 TILEPROP CLBLL_L_X40Y18 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y18 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y18 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y18 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y18 TILE_X 85618 TILEPROP CLBLL_L_X40Y18 TILE_Y -182072 TILEPROP CLBLL_L_X40Y18 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y19 CLASS tile TILEPROP CLBLL_L_X40Y19 COLUMN 101 TILEPROP CLBLL_L_X40Y19 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y19 FIRST_SITE_ID 13791 TILEPROP CLBLL_L_X40Y19 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y19 GRID_POINT_Y 136 TILEPROP CLBLL_L_X40Y19 INDEX 15741 TILEPROP CLBLL_L_X40Y19 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y19 INT_TILE_Y 130 TILEPROP CLBLL_L_X40Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y19 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y19 NAME CLBLL_L_X40Y19 TILEPROP CLBLL_L_X40Y19 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y19 NUM_SITES 2 TILEPROP CLBLL_L_X40Y19 ROW 136 TILEPROP CLBLL_L_X40Y19 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y19 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y19 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y19 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y19 TILE_X 85618 TILEPROP CLBLL_L_X40Y19 TILE_Y -178872 TILEPROP CLBLL_L_X40Y19 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y20 CLASS tile TILEPROP CLBLL_L_X40Y20 COLUMN 101 TILEPROP CLBLL_L_X40Y20 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y20 FIRST_SITE_ID 13689 TILEPROP CLBLL_L_X40Y20 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y20 GRID_POINT_Y 135 TILEPROP CLBLL_L_X40Y20 INDEX 15626 TILEPROP CLBLL_L_X40Y20 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y20 INT_TILE_Y 129 TILEPROP CLBLL_L_X40Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y20 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y20 NAME CLBLL_L_X40Y20 TILEPROP CLBLL_L_X40Y20 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y20 NUM_SITES 2 TILEPROP CLBLL_L_X40Y20 ROW 135 TILEPROP CLBLL_L_X40Y20 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y20 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y20 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y20 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y20 TILE_X 85618 TILEPROP CLBLL_L_X40Y20 TILE_Y -175672 TILEPROP CLBLL_L_X40Y20 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y21 CLASS tile TILEPROP CLBLL_L_X40Y21 COLUMN 101 TILEPROP CLBLL_L_X40Y21 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y21 FIRST_SITE_ID 13574 TILEPROP CLBLL_L_X40Y21 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y21 GRID_POINT_Y 134 TILEPROP CLBLL_L_X40Y21 INDEX 15511 TILEPROP CLBLL_L_X40Y21 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y21 INT_TILE_Y 128 TILEPROP CLBLL_L_X40Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y21 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y21 NAME CLBLL_L_X40Y21 TILEPROP CLBLL_L_X40Y21 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y21 NUM_SITES 2 TILEPROP CLBLL_L_X40Y21 ROW 134 TILEPROP CLBLL_L_X40Y21 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y21 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y21 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y21 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y21 TILE_X 85618 TILEPROP CLBLL_L_X40Y21 TILE_Y -172472 TILEPROP CLBLL_L_X40Y21 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y22 CLASS tile TILEPROP CLBLL_L_X40Y22 COLUMN 101 TILEPROP CLBLL_L_X40Y22 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y22 FIRST_SITE_ID 13474 TILEPROP CLBLL_L_X40Y22 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y22 GRID_POINT_Y 133 TILEPROP CLBLL_L_X40Y22 INDEX 15396 TILEPROP CLBLL_L_X40Y22 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y22 INT_TILE_Y 127 TILEPROP CLBLL_L_X40Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y22 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y22 NAME CLBLL_L_X40Y22 TILEPROP CLBLL_L_X40Y22 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y22 NUM_SITES 2 TILEPROP CLBLL_L_X40Y22 ROW 133 TILEPROP CLBLL_L_X40Y22 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y22 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y22 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y22 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y22 TILE_X 85618 TILEPROP CLBLL_L_X40Y22 TILE_Y -169272 TILEPROP CLBLL_L_X40Y22 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y23 CLASS tile TILEPROP CLBLL_L_X40Y23 COLUMN 101 TILEPROP CLBLL_L_X40Y23 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y23 FIRST_SITE_ID 13374 TILEPROP CLBLL_L_X40Y23 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y23 GRID_POINT_Y 132 TILEPROP CLBLL_L_X40Y23 INDEX 15281 TILEPROP CLBLL_L_X40Y23 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y23 INT_TILE_Y 126 TILEPROP CLBLL_L_X40Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y23 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y23 NAME CLBLL_L_X40Y23 TILEPROP CLBLL_L_X40Y23 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y23 NUM_SITES 2 TILEPROP CLBLL_L_X40Y23 ROW 132 TILEPROP CLBLL_L_X40Y23 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y23 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y23 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y23 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y23 TILE_X 85618 TILEPROP CLBLL_L_X40Y23 TILE_Y -166072 TILEPROP CLBLL_L_X40Y23 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y24 CLASS tile TILEPROP CLBLL_L_X40Y24 COLUMN 101 TILEPROP CLBLL_L_X40Y24 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y24 FIRST_SITE_ID 13274 TILEPROP CLBLL_L_X40Y24 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y24 GRID_POINT_Y 131 TILEPROP CLBLL_L_X40Y24 INDEX 15166 TILEPROP CLBLL_L_X40Y24 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y24 INT_TILE_Y 125 TILEPROP CLBLL_L_X40Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y24 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y24 NAME CLBLL_L_X40Y24 TILEPROP CLBLL_L_X40Y24 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y24 NUM_SITES 2 TILEPROP CLBLL_L_X40Y24 ROW 131 TILEPROP CLBLL_L_X40Y24 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y24 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X40Y24 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y24 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y24 TILE_X 85618 TILEPROP CLBLL_L_X40Y24 TILE_Y -162872 TILEPROP CLBLL_L_X40Y24 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y25 CLASS tile TILEPROP CLBLL_L_X40Y25 COLUMN 101 TILEPROP CLBLL_L_X40Y25 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y25 FIRST_SITE_ID 13091 TILEPROP CLBLL_L_X40Y25 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y25 GRID_POINT_Y 129 TILEPROP CLBLL_L_X40Y25 INDEX 14936 TILEPROP CLBLL_L_X40Y25 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y25 INT_TILE_Y 124 TILEPROP CLBLL_L_X40Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y25 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y25 NAME CLBLL_L_X40Y25 TILEPROP CLBLL_L_X40Y25 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y25 NUM_SITES 2 TILEPROP CLBLL_L_X40Y25 ROW 129 TILEPROP CLBLL_L_X40Y25 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y25 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X40Y25 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y25 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y25 TILE_X 85618 TILEPROP CLBLL_L_X40Y25 TILE_Y -158648 TILEPROP CLBLL_L_X40Y25 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y26 CLASS tile TILEPROP CLBLL_L_X40Y26 COLUMN 101 TILEPROP CLBLL_L_X40Y26 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y26 FIRST_SITE_ID 12976 TILEPROP CLBLL_L_X40Y26 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y26 GRID_POINT_Y 128 TILEPROP CLBLL_L_X40Y26 INDEX 14821 TILEPROP CLBLL_L_X40Y26 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y26 INT_TILE_Y 123 TILEPROP CLBLL_L_X40Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y26 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y26 NAME CLBLL_L_X40Y26 TILEPROP CLBLL_L_X40Y26 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y26 NUM_SITES 2 TILEPROP CLBLL_L_X40Y26 ROW 128 TILEPROP CLBLL_L_X40Y26 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y26 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y26 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y26 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y26 TILE_X 85618 TILEPROP CLBLL_L_X40Y26 TILE_Y -155448 TILEPROP CLBLL_L_X40Y26 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y27 CLASS tile TILEPROP CLBLL_L_X40Y27 COLUMN 101 TILEPROP CLBLL_L_X40Y27 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y27 FIRST_SITE_ID 12876 TILEPROP CLBLL_L_X40Y27 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y27 GRID_POINT_Y 127 TILEPROP CLBLL_L_X40Y27 INDEX 14706 TILEPROP CLBLL_L_X40Y27 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y27 INT_TILE_Y 122 TILEPROP CLBLL_L_X40Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y27 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y27 NAME CLBLL_L_X40Y27 TILEPROP CLBLL_L_X40Y27 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y27 NUM_SITES 2 TILEPROP CLBLL_L_X40Y27 ROW 127 TILEPROP CLBLL_L_X40Y27 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y27 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y27 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y27 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y27 TILE_X 85618 TILEPROP CLBLL_L_X40Y27 TILE_Y -152248 TILEPROP CLBLL_L_X40Y27 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y28 CLASS tile TILEPROP CLBLL_L_X40Y28 COLUMN 101 TILEPROP CLBLL_L_X40Y28 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y28 FIRST_SITE_ID 12776 TILEPROP CLBLL_L_X40Y28 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y28 GRID_POINT_Y 126 TILEPROP CLBLL_L_X40Y28 INDEX 14591 TILEPROP CLBLL_L_X40Y28 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y28 INT_TILE_Y 121 TILEPROP CLBLL_L_X40Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y28 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y28 NAME CLBLL_L_X40Y28 TILEPROP CLBLL_L_X40Y28 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y28 NUM_SITES 2 TILEPROP CLBLL_L_X40Y28 ROW 126 TILEPROP CLBLL_L_X40Y28 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y28 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y28 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y28 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y28 TILE_X 85618 TILEPROP CLBLL_L_X40Y28 TILE_Y -149048 TILEPROP CLBLL_L_X40Y28 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y29 CLASS tile TILEPROP CLBLL_L_X40Y29 COLUMN 101 TILEPROP CLBLL_L_X40Y29 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y29 FIRST_SITE_ID 12670 TILEPROP CLBLL_L_X40Y29 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y29 GRID_POINT_Y 125 TILEPROP CLBLL_L_X40Y29 INDEX 14476 TILEPROP CLBLL_L_X40Y29 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y29 INT_TILE_Y 120 TILEPROP CLBLL_L_X40Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y29 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y29 NAME CLBLL_L_X40Y29 TILEPROP CLBLL_L_X40Y29 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y29 NUM_SITES 2 TILEPROP CLBLL_L_X40Y29 ROW 125 TILEPROP CLBLL_L_X40Y29 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y29 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y29 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y29 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y29 TILE_X 85618 TILEPROP CLBLL_L_X40Y29 TILE_Y -145848 TILEPROP CLBLL_L_X40Y29 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y30 CLASS tile TILEPROP CLBLL_L_X40Y30 COLUMN 101 TILEPROP CLBLL_L_X40Y30 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y30 FIRST_SITE_ID 12564 TILEPROP CLBLL_L_X40Y30 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y30 GRID_POINT_Y 124 TILEPROP CLBLL_L_X40Y30 INDEX 14361 TILEPROP CLBLL_L_X40Y30 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y30 INT_TILE_Y 119 TILEPROP CLBLL_L_X40Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y30 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y30 NAME CLBLL_L_X40Y30 TILEPROP CLBLL_L_X40Y30 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y30 NUM_SITES 2 TILEPROP CLBLL_L_X40Y30 ROW 124 TILEPROP CLBLL_L_X40Y30 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y30 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y30 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y30 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y30 TILE_X 85618 TILEPROP CLBLL_L_X40Y30 TILE_Y -142648 TILEPROP CLBLL_L_X40Y30 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y31 CLASS tile TILEPROP CLBLL_L_X40Y31 COLUMN 101 TILEPROP CLBLL_L_X40Y31 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y31 FIRST_SITE_ID 12434 TILEPROP CLBLL_L_X40Y31 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y31 GRID_POINT_Y 123 TILEPROP CLBLL_L_X40Y31 INDEX 14246 TILEPROP CLBLL_L_X40Y31 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y31 INT_TILE_Y 118 TILEPROP CLBLL_L_X40Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y31 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y31 NAME CLBLL_L_X40Y31 TILEPROP CLBLL_L_X40Y31 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y31 NUM_SITES 2 TILEPROP CLBLL_L_X40Y31 ROW 123 TILEPROP CLBLL_L_X40Y31 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y31 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y31 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y31 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y31 TILE_X 85618 TILEPROP CLBLL_L_X40Y31 TILE_Y -139448 TILEPROP CLBLL_L_X40Y31 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y32 CLASS tile TILEPROP CLBLL_L_X40Y32 COLUMN 101 TILEPROP CLBLL_L_X40Y32 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y32 FIRST_SITE_ID 12332 TILEPROP CLBLL_L_X40Y32 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y32 GRID_POINT_Y 122 TILEPROP CLBLL_L_X40Y32 INDEX 14131 TILEPROP CLBLL_L_X40Y32 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y32 INT_TILE_Y 117 TILEPROP CLBLL_L_X40Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y32 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y32 NAME CLBLL_L_X40Y32 TILEPROP CLBLL_L_X40Y32 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y32 NUM_SITES 2 TILEPROP CLBLL_L_X40Y32 ROW 122 TILEPROP CLBLL_L_X40Y32 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y32 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y32 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y32 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y32 TILE_X 85618 TILEPROP CLBLL_L_X40Y32 TILE_Y -136248 TILEPROP CLBLL_L_X40Y32 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y33 CLASS tile TILEPROP CLBLL_L_X40Y33 COLUMN 101 TILEPROP CLBLL_L_X40Y33 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y33 FIRST_SITE_ID 12231 TILEPROP CLBLL_L_X40Y33 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y33 GRID_POINT_Y 121 TILEPROP CLBLL_L_X40Y33 INDEX 14016 TILEPROP CLBLL_L_X40Y33 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y33 INT_TILE_Y 116 TILEPROP CLBLL_L_X40Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y33 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y33 NAME CLBLL_L_X40Y33 TILEPROP CLBLL_L_X40Y33 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y33 NUM_SITES 2 TILEPROP CLBLL_L_X40Y33 ROW 121 TILEPROP CLBLL_L_X40Y33 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y33 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y33 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y33 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y33 TILE_X 85618 TILEPROP CLBLL_L_X40Y33 TILE_Y -133048 TILEPROP CLBLL_L_X40Y33 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y34 CLASS tile TILEPROP CLBLL_L_X40Y34 COLUMN 101 TILEPROP CLBLL_L_X40Y34 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y34 FIRST_SITE_ID 12131 TILEPROP CLBLL_L_X40Y34 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y34 GRID_POINT_Y 120 TILEPROP CLBLL_L_X40Y34 INDEX 13901 TILEPROP CLBLL_L_X40Y34 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y34 INT_TILE_Y 115 TILEPROP CLBLL_L_X40Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y34 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y34 NAME CLBLL_L_X40Y34 TILEPROP CLBLL_L_X40Y34 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y34 NUM_SITES 2 TILEPROP CLBLL_L_X40Y34 ROW 120 TILEPROP CLBLL_L_X40Y34 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y34 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y34 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y34 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y34 TILE_X 85618 TILEPROP CLBLL_L_X40Y34 TILE_Y -129848 TILEPROP CLBLL_L_X40Y34 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y35 CLASS tile TILEPROP CLBLL_L_X40Y35 COLUMN 101 TILEPROP CLBLL_L_X40Y35 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y35 FIRST_SITE_ID 12031 TILEPROP CLBLL_L_X40Y35 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y35 GRID_POINT_Y 119 TILEPROP CLBLL_L_X40Y35 INDEX 13786 TILEPROP CLBLL_L_X40Y35 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y35 INT_TILE_Y 114 TILEPROP CLBLL_L_X40Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y35 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y35 NAME CLBLL_L_X40Y35 TILEPROP CLBLL_L_X40Y35 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y35 NUM_SITES 2 TILEPROP CLBLL_L_X40Y35 ROW 119 TILEPROP CLBLL_L_X40Y35 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y35 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y35 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y35 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y35 TILE_X 85618 TILEPROP CLBLL_L_X40Y35 TILE_Y -126648 TILEPROP CLBLL_L_X40Y35 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y36 CLASS tile TILEPROP CLBLL_L_X40Y36 COLUMN 101 TILEPROP CLBLL_L_X40Y36 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y36 FIRST_SITE_ID 11916 TILEPROP CLBLL_L_X40Y36 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y36 GRID_POINT_Y 118 TILEPROP CLBLL_L_X40Y36 INDEX 13671 TILEPROP CLBLL_L_X40Y36 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y36 INT_TILE_Y 113 TILEPROP CLBLL_L_X40Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y36 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y36 NAME CLBLL_L_X40Y36 TILEPROP CLBLL_L_X40Y36 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y36 NUM_SITES 2 TILEPROP CLBLL_L_X40Y36 ROW 118 TILEPROP CLBLL_L_X40Y36 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y36 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y36 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y36 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y36 TILE_X 85618 TILEPROP CLBLL_L_X40Y36 TILE_Y -123448 TILEPROP CLBLL_L_X40Y36 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y37 CLASS tile TILEPROP CLBLL_L_X40Y37 COLUMN 101 TILEPROP CLBLL_L_X40Y37 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y37 FIRST_SITE_ID 11784 TILEPROP CLBLL_L_X40Y37 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y37 GRID_POINT_Y 117 TILEPROP CLBLL_L_X40Y37 INDEX 13556 TILEPROP CLBLL_L_X40Y37 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y37 INT_TILE_Y 112 TILEPROP CLBLL_L_X40Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y37 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y37 NAME CLBLL_L_X40Y37 TILEPROP CLBLL_L_X40Y37 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y37 NUM_SITES 2 TILEPROP CLBLL_L_X40Y37 ROW 117 TILEPROP CLBLL_L_X40Y37 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y37 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y37 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y37 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y37 TILE_X 85618 TILEPROP CLBLL_L_X40Y37 TILE_Y -120248 TILEPROP CLBLL_L_X40Y37 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y38 CLASS tile TILEPROP CLBLL_L_X40Y38 COLUMN 101 TILEPROP CLBLL_L_X40Y38 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y38 FIRST_SITE_ID 11684 TILEPROP CLBLL_L_X40Y38 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y38 GRID_POINT_Y 116 TILEPROP CLBLL_L_X40Y38 INDEX 13441 TILEPROP CLBLL_L_X40Y38 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y38 INT_TILE_Y 111 TILEPROP CLBLL_L_X40Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y38 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y38 NAME CLBLL_L_X40Y38 TILEPROP CLBLL_L_X40Y38 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y38 NUM_SITES 2 TILEPROP CLBLL_L_X40Y38 ROW 116 TILEPROP CLBLL_L_X40Y38 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y38 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y38 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y38 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y38 TILE_X 85618 TILEPROP CLBLL_L_X40Y38 TILE_Y -117048 TILEPROP CLBLL_L_X40Y38 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y39 CLASS tile TILEPROP CLBLL_L_X40Y39 COLUMN 101 TILEPROP CLBLL_L_X40Y39 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y39 FIRST_SITE_ID 11584 TILEPROP CLBLL_L_X40Y39 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y39 GRID_POINT_Y 115 TILEPROP CLBLL_L_X40Y39 INDEX 13326 TILEPROP CLBLL_L_X40Y39 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y39 INT_TILE_Y 110 TILEPROP CLBLL_L_X40Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y39 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y39 NAME CLBLL_L_X40Y39 TILEPROP CLBLL_L_X40Y39 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y39 NUM_SITES 2 TILEPROP CLBLL_L_X40Y39 ROW 115 TILEPROP CLBLL_L_X40Y39 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y39 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y39 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y39 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y39 TILE_X 85618 TILEPROP CLBLL_L_X40Y39 TILE_Y -113848 TILEPROP CLBLL_L_X40Y39 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y40 CLASS tile TILEPROP CLBLL_L_X40Y40 COLUMN 101 TILEPROP CLBLL_L_X40Y40 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y40 FIRST_SITE_ID 11484 TILEPROP CLBLL_L_X40Y40 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y40 GRID_POINT_Y 114 TILEPROP CLBLL_L_X40Y40 INDEX 13211 TILEPROP CLBLL_L_X40Y40 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y40 INT_TILE_Y 109 TILEPROP CLBLL_L_X40Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y40 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y40 NAME CLBLL_L_X40Y40 TILEPROP CLBLL_L_X40Y40 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y40 NUM_SITES 2 TILEPROP CLBLL_L_X40Y40 ROW 114 TILEPROP CLBLL_L_X40Y40 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y40 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y40 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y40 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y40 TILE_X 85618 TILEPROP CLBLL_L_X40Y40 TILE_Y -110648 TILEPROP CLBLL_L_X40Y40 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y41 CLASS tile TILEPROP CLBLL_L_X40Y41 COLUMN 101 TILEPROP CLBLL_L_X40Y41 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y41 FIRST_SITE_ID 11367 TILEPROP CLBLL_L_X40Y41 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y41 GRID_POINT_Y 113 TILEPROP CLBLL_L_X40Y41 INDEX 13096 TILEPROP CLBLL_L_X40Y41 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y41 INT_TILE_Y 108 TILEPROP CLBLL_L_X40Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y41 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y41 NAME CLBLL_L_X40Y41 TILEPROP CLBLL_L_X40Y41 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y41 NUM_SITES 2 TILEPROP CLBLL_L_X40Y41 ROW 113 TILEPROP CLBLL_L_X40Y41 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y41 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y41 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y41 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y41 TILE_X 85618 TILEPROP CLBLL_L_X40Y41 TILE_Y -107448 TILEPROP CLBLL_L_X40Y41 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y42 CLASS tile TILEPROP CLBLL_L_X40Y42 COLUMN 101 TILEPROP CLBLL_L_X40Y42 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y42 FIRST_SITE_ID 11265 TILEPROP CLBLL_L_X40Y42 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y42 GRID_POINT_Y 112 TILEPROP CLBLL_L_X40Y42 INDEX 12981 TILEPROP CLBLL_L_X40Y42 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y42 INT_TILE_Y 107 TILEPROP CLBLL_L_X40Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y42 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y42 NAME CLBLL_L_X40Y42 TILEPROP CLBLL_L_X40Y42 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y42 NUM_SITES 2 TILEPROP CLBLL_L_X40Y42 ROW 112 TILEPROP CLBLL_L_X40Y42 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y42 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y42 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y42 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y42 TILE_X 85618 TILEPROP CLBLL_L_X40Y42 TILE_Y -104248 TILEPROP CLBLL_L_X40Y42 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y43 CLASS tile TILEPROP CLBLL_L_X40Y43 COLUMN 101 TILEPROP CLBLL_L_X40Y43 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y43 FIRST_SITE_ID 11162 TILEPROP CLBLL_L_X40Y43 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y43 GRID_POINT_Y 111 TILEPROP CLBLL_L_X40Y43 INDEX 12866 TILEPROP CLBLL_L_X40Y43 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y43 INT_TILE_Y 106 TILEPROP CLBLL_L_X40Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y43 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y43 NAME CLBLL_L_X40Y43 TILEPROP CLBLL_L_X40Y43 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y43 NUM_SITES 2 TILEPROP CLBLL_L_X40Y43 ROW 111 TILEPROP CLBLL_L_X40Y43 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y43 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y43 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y43 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y43 TILE_X 85618 TILEPROP CLBLL_L_X40Y43 TILE_Y -101048 TILEPROP CLBLL_L_X40Y43 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y44 CLASS tile TILEPROP CLBLL_L_X40Y44 COLUMN 101 TILEPROP CLBLL_L_X40Y44 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y44 FIRST_SITE_ID 11060 TILEPROP CLBLL_L_X40Y44 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y44 GRID_POINT_Y 110 TILEPROP CLBLL_L_X40Y44 INDEX 12751 TILEPROP CLBLL_L_X40Y44 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y44 INT_TILE_Y 105 TILEPROP CLBLL_L_X40Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y44 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y44 NAME CLBLL_L_X40Y44 TILEPROP CLBLL_L_X40Y44 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y44 NUM_SITES 2 TILEPROP CLBLL_L_X40Y44 ROW 110 TILEPROP CLBLL_L_X40Y44 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y44 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y44 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y44 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y44 TILE_X 85618 TILEPROP CLBLL_L_X40Y44 TILE_Y -97848 TILEPROP CLBLL_L_X40Y44 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y45 CLASS tile TILEPROP CLBLL_L_X40Y45 COLUMN 101 TILEPROP CLBLL_L_X40Y45 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y45 FIRST_SITE_ID 10960 TILEPROP CLBLL_L_X40Y45 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y45 GRID_POINT_Y 109 TILEPROP CLBLL_L_X40Y45 INDEX 12636 TILEPROP CLBLL_L_X40Y45 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y45 INT_TILE_Y 104 TILEPROP CLBLL_L_X40Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y45 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y45 NAME CLBLL_L_X40Y45 TILEPROP CLBLL_L_X40Y45 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y45 NUM_SITES 2 TILEPROP CLBLL_L_X40Y45 ROW 109 TILEPROP CLBLL_L_X40Y45 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y45 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y45 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y45 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y45 TILE_X 85618 TILEPROP CLBLL_L_X40Y45 TILE_Y -94648 TILEPROP CLBLL_L_X40Y45 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y46 CLASS tile TILEPROP CLBLL_L_X40Y46 COLUMN 101 TILEPROP CLBLL_L_X40Y46 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y46 FIRST_SITE_ID 10844 TILEPROP CLBLL_L_X40Y46 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y46 GRID_POINT_Y 108 TILEPROP CLBLL_L_X40Y46 INDEX 12521 TILEPROP CLBLL_L_X40Y46 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y46 INT_TILE_Y 103 TILEPROP CLBLL_L_X40Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y46 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y46 NAME CLBLL_L_X40Y46 TILEPROP CLBLL_L_X40Y46 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y46 NUM_SITES 2 TILEPROP CLBLL_L_X40Y46 ROW 108 TILEPROP CLBLL_L_X40Y46 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y46 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y46 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y46 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y46 TILE_X 85618 TILEPROP CLBLL_L_X40Y46 TILE_Y -91448 TILEPROP CLBLL_L_X40Y46 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y47 CLASS tile TILEPROP CLBLL_L_X40Y47 COLUMN 101 TILEPROP CLBLL_L_X40Y47 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y47 FIRST_SITE_ID 10728 TILEPROP CLBLL_L_X40Y47 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y47 GRID_POINT_Y 107 TILEPROP CLBLL_L_X40Y47 INDEX 12406 TILEPROP CLBLL_L_X40Y47 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y47 INT_TILE_Y 102 TILEPROP CLBLL_L_X40Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y47 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y47 NAME CLBLL_L_X40Y47 TILEPROP CLBLL_L_X40Y47 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y47 NUM_SITES 2 TILEPROP CLBLL_L_X40Y47 ROW 107 TILEPROP CLBLL_L_X40Y47 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y47 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y47 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y47 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y47 TILE_X 85618 TILEPROP CLBLL_L_X40Y47 TILE_Y -88248 TILEPROP CLBLL_L_X40Y47 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y48 CLASS tile TILEPROP CLBLL_L_X40Y48 COLUMN 101 TILEPROP CLBLL_L_X40Y48 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y48 FIRST_SITE_ID 10628 TILEPROP CLBLL_L_X40Y48 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y48 GRID_POINT_Y 106 TILEPROP CLBLL_L_X40Y48 INDEX 12291 TILEPROP CLBLL_L_X40Y48 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y48 INT_TILE_Y 101 TILEPROP CLBLL_L_X40Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y48 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y48 NAME CLBLL_L_X40Y48 TILEPROP CLBLL_L_X40Y48 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y48 NUM_SITES 2 TILEPROP CLBLL_L_X40Y48 ROW 106 TILEPROP CLBLL_L_X40Y48 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y48 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y48 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y48 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y48 TILE_X 85618 TILEPROP CLBLL_L_X40Y48 TILE_Y -85048 TILEPROP CLBLL_L_X40Y48 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y49 CLASS tile TILEPROP CLBLL_L_X40Y49 COLUMN 101 TILEPROP CLBLL_L_X40Y49 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y49 FIRST_SITE_ID 10532 TILEPROP CLBLL_L_X40Y49 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y49 GRID_POINT_Y 105 TILEPROP CLBLL_L_X40Y49 INDEX 12176 TILEPROP CLBLL_L_X40Y49 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y49 INT_TILE_Y 100 TILEPROP CLBLL_L_X40Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y49 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y49 NAME CLBLL_L_X40Y49 TILEPROP CLBLL_L_X40Y49 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y49 NUM_SITES 2 TILEPROP CLBLL_L_X40Y49 ROW 105 TILEPROP CLBLL_L_X40Y49 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y49 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X40Y49 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y49 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y49 TILE_X 85618 TILEPROP CLBLL_L_X40Y49 TILE_Y -81848 TILEPROP CLBLL_L_X40Y49 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y50 CLASS tile TILEPROP CLBLL_L_X40Y50 COLUMN 101 TILEPROP CLBLL_L_X40Y50 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y50 FIRST_SITE_ID 10432 TILEPROP CLBLL_L_X40Y50 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y50 GRID_POINT_Y 103 TILEPROP CLBLL_L_X40Y50 INDEX 11946 TILEPROP CLBLL_L_X40Y50 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y50 INT_TILE_Y 99 TILEPROP CLBLL_L_X40Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y50 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y50 NAME CLBLL_L_X40Y50 TILEPROP CLBLL_L_X40Y50 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y50 NUM_SITES 2 TILEPROP CLBLL_L_X40Y50 ROW 103 TILEPROP CLBLL_L_X40Y50 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y50 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X40Y50 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y50 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y50 TILE_X 85618 TILEPROP CLBLL_L_X40Y50 TILE_Y -78400 TILEPROP CLBLL_L_X40Y50 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y51 CLASS tile TILEPROP CLBLL_L_X40Y51 COLUMN 101 TILEPROP CLBLL_L_X40Y51 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y51 FIRST_SITE_ID 10297 TILEPROP CLBLL_L_X40Y51 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y51 GRID_POINT_Y 102 TILEPROP CLBLL_L_X40Y51 INDEX 11831 TILEPROP CLBLL_L_X40Y51 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y51 INT_TILE_Y 98 TILEPROP CLBLL_L_X40Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y51 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y51 NAME CLBLL_L_X40Y51 TILEPROP CLBLL_L_X40Y51 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y51 NUM_SITES 2 TILEPROP CLBLL_L_X40Y51 ROW 102 TILEPROP CLBLL_L_X40Y51 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y51 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y51 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y51 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y51 TILE_X 85618 TILEPROP CLBLL_L_X40Y51 TILE_Y -75200 TILEPROP CLBLL_L_X40Y51 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y52 CLASS tile TILEPROP CLBLL_L_X40Y52 COLUMN 101 TILEPROP CLBLL_L_X40Y52 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y52 FIRST_SITE_ID 10197 TILEPROP CLBLL_L_X40Y52 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y52 GRID_POINT_Y 101 TILEPROP CLBLL_L_X40Y52 INDEX 11716 TILEPROP CLBLL_L_X40Y52 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y52 INT_TILE_Y 97 TILEPROP CLBLL_L_X40Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y52 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y52 NAME CLBLL_L_X40Y52 TILEPROP CLBLL_L_X40Y52 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y52 NUM_SITES 2 TILEPROP CLBLL_L_X40Y52 ROW 101 TILEPROP CLBLL_L_X40Y52 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y52 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y52 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y52 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y52 TILE_X 85618 TILEPROP CLBLL_L_X40Y52 TILE_Y -72000 TILEPROP CLBLL_L_X40Y52 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y53 CLASS tile TILEPROP CLBLL_L_X40Y53 COLUMN 101 TILEPROP CLBLL_L_X40Y53 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y53 FIRST_SITE_ID 10097 TILEPROP CLBLL_L_X40Y53 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y53 GRID_POINT_Y 100 TILEPROP CLBLL_L_X40Y53 INDEX 11601 TILEPROP CLBLL_L_X40Y53 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y53 INT_TILE_Y 96 TILEPROP CLBLL_L_X40Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y53 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y53 NAME CLBLL_L_X40Y53 TILEPROP CLBLL_L_X40Y53 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y53 NUM_SITES 2 TILEPROP CLBLL_L_X40Y53 ROW 100 TILEPROP CLBLL_L_X40Y53 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y53 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y53 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y53 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y53 TILE_X 85618 TILEPROP CLBLL_L_X40Y53 TILE_Y -68800 TILEPROP CLBLL_L_X40Y53 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y54 CLASS tile TILEPROP CLBLL_L_X40Y54 COLUMN 101 TILEPROP CLBLL_L_X40Y54 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y54 FIRST_SITE_ID 9997 TILEPROP CLBLL_L_X40Y54 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y54 GRID_POINT_Y 99 TILEPROP CLBLL_L_X40Y54 INDEX 11486 TILEPROP CLBLL_L_X40Y54 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y54 INT_TILE_Y 95 TILEPROP CLBLL_L_X40Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y54 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y54 NAME CLBLL_L_X40Y54 TILEPROP CLBLL_L_X40Y54 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y54 NUM_SITES 2 TILEPROP CLBLL_L_X40Y54 ROW 99 TILEPROP CLBLL_L_X40Y54 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y54 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y54 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y54 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y54 TILE_X 85618 TILEPROP CLBLL_L_X40Y54 TILE_Y -65600 TILEPROP CLBLL_L_X40Y54 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y55 CLASS tile TILEPROP CLBLL_L_X40Y55 COLUMN 101 TILEPROP CLBLL_L_X40Y55 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y55 FIRST_SITE_ID 9897 TILEPROP CLBLL_L_X40Y55 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y55 GRID_POINT_Y 98 TILEPROP CLBLL_L_X40Y55 INDEX 11371 TILEPROP CLBLL_L_X40Y55 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y55 INT_TILE_Y 94 TILEPROP CLBLL_L_X40Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y55 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y55 NAME CLBLL_L_X40Y55 TILEPROP CLBLL_L_X40Y55 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y55 NUM_SITES 2 TILEPROP CLBLL_L_X40Y55 ROW 98 TILEPROP CLBLL_L_X40Y55 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y55 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y55 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y55 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y55 TILE_X 85618 TILEPROP CLBLL_L_X40Y55 TILE_Y -62400 TILEPROP CLBLL_L_X40Y55 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y56 CLASS tile TILEPROP CLBLL_L_X40Y56 COLUMN 101 TILEPROP CLBLL_L_X40Y56 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y56 FIRST_SITE_ID 9782 TILEPROP CLBLL_L_X40Y56 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y56 GRID_POINT_Y 97 TILEPROP CLBLL_L_X40Y56 INDEX 11256 TILEPROP CLBLL_L_X40Y56 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y56 INT_TILE_Y 93 TILEPROP CLBLL_L_X40Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y56 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y56 NAME CLBLL_L_X40Y56 TILEPROP CLBLL_L_X40Y56 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y56 NUM_SITES 2 TILEPROP CLBLL_L_X40Y56 ROW 97 TILEPROP CLBLL_L_X40Y56 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y56 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y56 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y56 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y56 TILE_X 85618 TILEPROP CLBLL_L_X40Y56 TILE_Y -59200 TILEPROP CLBLL_L_X40Y56 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y57 CLASS tile TILEPROP CLBLL_L_X40Y57 COLUMN 101 TILEPROP CLBLL_L_X40Y57 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y57 FIRST_SITE_ID 9680 TILEPROP CLBLL_L_X40Y57 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y57 GRID_POINT_Y 96 TILEPROP CLBLL_L_X40Y57 INDEX 11141 TILEPROP CLBLL_L_X40Y57 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y57 INT_TILE_Y 92 TILEPROP CLBLL_L_X40Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y57 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y57 NAME CLBLL_L_X40Y57 TILEPROP CLBLL_L_X40Y57 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y57 NUM_SITES 2 TILEPROP CLBLL_L_X40Y57 ROW 96 TILEPROP CLBLL_L_X40Y57 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y57 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y57 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y57 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y57 TILE_X 85618 TILEPROP CLBLL_L_X40Y57 TILE_Y -56000 TILEPROP CLBLL_L_X40Y57 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y58 CLASS tile TILEPROP CLBLL_L_X40Y58 COLUMN 101 TILEPROP CLBLL_L_X40Y58 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y58 FIRST_SITE_ID 9577 TILEPROP CLBLL_L_X40Y58 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y58 GRID_POINT_Y 95 TILEPROP CLBLL_L_X40Y58 INDEX 11026 TILEPROP CLBLL_L_X40Y58 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y58 INT_TILE_Y 91 TILEPROP CLBLL_L_X40Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y58 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y58 NAME CLBLL_L_X40Y58 TILEPROP CLBLL_L_X40Y58 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y58 NUM_SITES 2 TILEPROP CLBLL_L_X40Y58 ROW 95 TILEPROP CLBLL_L_X40Y58 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y58 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y58 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y58 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y58 TILE_X 85618 TILEPROP CLBLL_L_X40Y58 TILE_Y -52800 TILEPROP CLBLL_L_X40Y58 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y59 CLASS tile TILEPROP CLBLL_L_X40Y59 COLUMN 101 TILEPROP CLBLL_L_X40Y59 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y59 FIRST_SITE_ID 9476 TILEPROP CLBLL_L_X40Y59 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y59 GRID_POINT_Y 94 TILEPROP CLBLL_L_X40Y59 INDEX 10911 TILEPROP CLBLL_L_X40Y59 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y59 INT_TILE_Y 90 TILEPROP CLBLL_L_X40Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y59 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y59 NAME CLBLL_L_X40Y59 TILEPROP CLBLL_L_X40Y59 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y59 NUM_SITES 2 TILEPROP CLBLL_L_X40Y59 ROW 94 TILEPROP CLBLL_L_X40Y59 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y59 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y59 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y59 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y59 TILE_X 85618 TILEPROP CLBLL_L_X40Y59 TILE_Y -49600 TILEPROP CLBLL_L_X40Y59 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y60 CLASS tile TILEPROP CLBLL_L_X40Y60 COLUMN 101 TILEPROP CLBLL_L_X40Y60 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y60 FIRST_SITE_ID 9376 TILEPROP CLBLL_L_X40Y60 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y60 GRID_POINT_Y 93 TILEPROP CLBLL_L_X40Y60 INDEX 10796 TILEPROP CLBLL_L_X40Y60 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y60 INT_TILE_Y 89 TILEPROP CLBLL_L_X40Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y60 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y60 NAME CLBLL_L_X40Y60 TILEPROP CLBLL_L_X40Y60 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y60 NUM_SITES 2 TILEPROP CLBLL_L_X40Y60 ROW 93 TILEPROP CLBLL_L_X40Y60 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y60 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y60 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y60 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y60 TILE_X 85618 TILEPROP CLBLL_L_X40Y60 TILE_Y -46400 TILEPROP CLBLL_L_X40Y60 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y61 CLASS tile TILEPROP CLBLL_L_X40Y61 COLUMN 101 TILEPROP CLBLL_L_X40Y61 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y61 FIRST_SITE_ID 9261 TILEPROP CLBLL_L_X40Y61 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y61 GRID_POINT_Y 92 TILEPROP CLBLL_L_X40Y61 INDEX 10681 TILEPROP CLBLL_L_X40Y61 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y61 INT_TILE_Y 88 TILEPROP CLBLL_L_X40Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y61 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y61 NAME CLBLL_L_X40Y61 TILEPROP CLBLL_L_X40Y61 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y61 NUM_SITES 2 TILEPROP CLBLL_L_X40Y61 ROW 92 TILEPROP CLBLL_L_X40Y61 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y61 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y61 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y61 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y61 TILE_X 85618 TILEPROP CLBLL_L_X40Y61 TILE_Y -43200 TILEPROP CLBLL_L_X40Y61 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y62 CLASS tile TILEPROP CLBLL_L_X40Y62 COLUMN 101 TILEPROP CLBLL_L_X40Y62 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y62 FIRST_SITE_ID 9161 TILEPROP CLBLL_L_X40Y62 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y62 GRID_POINT_Y 91 TILEPROP CLBLL_L_X40Y62 INDEX 10566 TILEPROP CLBLL_L_X40Y62 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y62 INT_TILE_Y 87 TILEPROP CLBLL_L_X40Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y62 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y62 NAME CLBLL_L_X40Y62 TILEPROP CLBLL_L_X40Y62 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y62 NUM_SITES 2 TILEPROP CLBLL_L_X40Y62 ROW 91 TILEPROP CLBLL_L_X40Y62 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y62 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y62 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y62 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y62 TILE_X 85618 TILEPROP CLBLL_L_X40Y62 TILE_Y -40000 TILEPROP CLBLL_L_X40Y62 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y63 CLASS tile TILEPROP CLBLL_L_X40Y63 COLUMN 101 TILEPROP CLBLL_L_X40Y63 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y63 FIRST_SITE_ID 9029 TILEPROP CLBLL_L_X40Y63 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y63 GRID_POINT_Y 90 TILEPROP CLBLL_L_X40Y63 INDEX 10451 TILEPROP CLBLL_L_X40Y63 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y63 INT_TILE_Y 86 TILEPROP CLBLL_L_X40Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y63 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y63 NAME CLBLL_L_X40Y63 TILEPROP CLBLL_L_X40Y63 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y63 NUM_SITES 2 TILEPROP CLBLL_L_X40Y63 ROW 90 TILEPROP CLBLL_L_X40Y63 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y63 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y63 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y63 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y63 TILE_X 85618 TILEPROP CLBLL_L_X40Y63 TILE_Y -36800 TILEPROP CLBLL_L_X40Y63 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y64 CLASS tile TILEPROP CLBLL_L_X40Y64 COLUMN 101 TILEPROP CLBLL_L_X40Y64 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y64 FIRST_SITE_ID 8929 TILEPROP CLBLL_L_X40Y64 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y64 GRID_POINT_Y 89 TILEPROP CLBLL_L_X40Y64 INDEX 10336 TILEPROP CLBLL_L_X40Y64 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y64 INT_TILE_Y 85 TILEPROP CLBLL_L_X40Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y64 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y64 NAME CLBLL_L_X40Y64 TILEPROP CLBLL_L_X40Y64 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y64 NUM_SITES 2 TILEPROP CLBLL_L_X40Y64 ROW 89 TILEPROP CLBLL_L_X40Y64 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y64 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y64 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y64 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y64 TILE_X 85618 TILEPROP CLBLL_L_X40Y64 TILE_Y -33600 TILEPROP CLBLL_L_X40Y64 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y65 CLASS tile TILEPROP CLBLL_L_X40Y65 COLUMN 101 TILEPROP CLBLL_L_X40Y65 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y65 FIRST_SITE_ID 8829 TILEPROP CLBLL_L_X40Y65 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y65 GRID_POINT_Y 88 TILEPROP CLBLL_L_X40Y65 INDEX 10221 TILEPROP CLBLL_L_X40Y65 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y65 INT_TILE_Y 84 TILEPROP CLBLL_L_X40Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y65 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y65 NAME CLBLL_L_X40Y65 TILEPROP CLBLL_L_X40Y65 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y65 NUM_SITES 2 TILEPROP CLBLL_L_X40Y65 ROW 88 TILEPROP CLBLL_L_X40Y65 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y65 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y65 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y65 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y65 TILE_X 85618 TILEPROP CLBLL_L_X40Y65 TILE_Y -30400 TILEPROP CLBLL_L_X40Y65 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y66 CLASS tile TILEPROP CLBLL_L_X40Y66 COLUMN 101 TILEPROP CLBLL_L_X40Y66 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y66 FIRST_SITE_ID 8714 TILEPROP CLBLL_L_X40Y66 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y66 GRID_POINT_Y 87 TILEPROP CLBLL_L_X40Y66 INDEX 10106 TILEPROP CLBLL_L_X40Y66 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y66 INT_TILE_Y 83 TILEPROP CLBLL_L_X40Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y66 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y66 NAME CLBLL_L_X40Y66 TILEPROP CLBLL_L_X40Y66 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y66 NUM_SITES 2 TILEPROP CLBLL_L_X40Y66 ROW 87 TILEPROP CLBLL_L_X40Y66 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y66 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y66 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y66 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y66 TILE_X 85618 TILEPROP CLBLL_L_X40Y66 TILE_Y -27200 TILEPROP CLBLL_L_X40Y66 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y67 CLASS tile TILEPROP CLBLL_L_X40Y67 COLUMN 101 TILEPROP CLBLL_L_X40Y67 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y67 FIRST_SITE_ID 8610 TILEPROP CLBLL_L_X40Y67 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y67 GRID_POINT_Y 86 TILEPROP CLBLL_L_X40Y67 INDEX 9991 TILEPROP CLBLL_L_X40Y67 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y67 INT_TILE_Y 82 TILEPROP CLBLL_L_X40Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y67 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y67 NAME CLBLL_L_X40Y67 TILEPROP CLBLL_L_X40Y67 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y67 NUM_SITES 2 TILEPROP CLBLL_L_X40Y67 ROW 86 TILEPROP CLBLL_L_X40Y67 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y67 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y67 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y67 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y67 TILE_X 85618 TILEPROP CLBLL_L_X40Y67 TILE_Y -24000 TILEPROP CLBLL_L_X40Y67 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y68 CLASS tile TILEPROP CLBLL_L_X40Y68 COLUMN 101 TILEPROP CLBLL_L_X40Y68 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y68 FIRST_SITE_ID 8506 TILEPROP CLBLL_L_X40Y68 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y68 GRID_POINT_Y 85 TILEPROP CLBLL_L_X40Y68 INDEX 9876 TILEPROP CLBLL_L_X40Y68 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y68 INT_TILE_Y 81 TILEPROP CLBLL_L_X40Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y68 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y68 NAME CLBLL_L_X40Y68 TILEPROP CLBLL_L_X40Y68 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y68 NUM_SITES 2 TILEPROP CLBLL_L_X40Y68 ROW 85 TILEPROP CLBLL_L_X40Y68 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y68 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y68 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y68 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y68 TILE_X 85618 TILEPROP CLBLL_L_X40Y68 TILE_Y -20800 TILEPROP CLBLL_L_X40Y68 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y69 CLASS tile TILEPROP CLBLL_L_X40Y69 COLUMN 101 TILEPROP CLBLL_L_X40Y69 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y69 FIRST_SITE_ID 8404 TILEPROP CLBLL_L_X40Y69 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y69 GRID_POINT_Y 84 TILEPROP CLBLL_L_X40Y69 INDEX 9761 TILEPROP CLBLL_L_X40Y69 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y69 INT_TILE_Y 80 TILEPROP CLBLL_L_X40Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y69 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y69 NAME CLBLL_L_X40Y69 TILEPROP CLBLL_L_X40Y69 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y69 NUM_SITES 2 TILEPROP CLBLL_L_X40Y69 ROW 84 TILEPROP CLBLL_L_X40Y69 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y69 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y69 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y69 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y69 TILE_X 85618 TILEPROP CLBLL_L_X40Y69 TILE_Y -17600 TILEPROP CLBLL_L_X40Y69 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y70 CLASS tile TILEPROP CLBLL_L_X40Y70 COLUMN 101 TILEPROP CLBLL_L_X40Y70 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y70 FIRST_SITE_ID 8302 TILEPROP CLBLL_L_X40Y70 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y70 GRID_POINT_Y 83 TILEPROP CLBLL_L_X40Y70 INDEX 9646 TILEPROP CLBLL_L_X40Y70 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y70 INT_TILE_Y 79 TILEPROP CLBLL_L_X40Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y70 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y70 NAME CLBLL_L_X40Y70 TILEPROP CLBLL_L_X40Y70 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y70 NUM_SITES 2 TILEPROP CLBLL_L_X40Y70 ROW 83 TILEPROP CLBLL_L_X40Y70 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y70 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y70 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y70 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y70 TILE_X 85618 TILEPROP CLBLL_L_X40Y70 TILE_Y -14400 TILEPROP CLBLL_L_X40Y70 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y71 CLASS tile TILEPROP CLBLL_L_X40Y71 COLUMN 101 TILEPROP CLBLL_L_X40Y71 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y71 FIRST_SITE_ID 8187 TILEPROP CLBLL_L_X40Y71 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y71 GRID_POINT_Y 82 TILEPROP CLBLL_L_X40Y71 INDEX 9531 TILEPROP CLBLL_L_X40Y71 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y71 INT_TILE_Y 78 TILEPROP CLBLL_L_X40Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y71 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y71 NAME CLBLL_L_X40Y71 TILEPROP CLBLL_L_X40Y71 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y71 NUM_SITES 2 TILEPROP CLBLL_L_X40Y71 ROW 82 TILEPROP CLBLL_L_X40Y71 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y71 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y71 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y71 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y71 TILE_X 85618 TILEPROP CLBLL_L_X40Y71 TILE_Y -11200 TILEPROP CLBLL_L_X40Y71 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y72 CLASS tile TILEPROP CLBLL_L_X40Y72 COLUMN 101 TILEPROP CLBLL_L_X40Y72 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y72 FIRST_SITE_ID 8087 TILEPROP CLBLL_L_X40Y72 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y72 GRID_POINT_Y 81 TILEPROP CLBLL_L_X40Y72 INDEX 9416 TILEPROP CLBLL_L_X40Y72 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y72 INT_TILE_Y 77 TILEPROP CLBLL_L_X40Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y72 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y72 NAME CLBLL_L_X40Y72 TILEPROP CLBLL_L_X40Y72 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y72 NUM_SITES 2 TILEPROP CLBLL_L_X40Y72 ROW 81 TILEPROP CLBLL_L_X40Y72 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y72 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y72 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y72 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y72 TILE_X 85618 TILEPROP CLBLL_L_X40Y72 TILE_Y -8000 TILEPROP CLBLL_L_X40Y72 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y73 CLASS tile TILEPROP CLBLL_L_X40Y73 COLUMN 101 TILEPROP CLBLL_L_X40Y73 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y73 FIRST_SITE_ID 7987 TILEPROP CLBLL_L_X40Y73 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y73 GRID_POINT_Y 80 TILEPROP CLBLL_L_X40Y73 INDEX 9301 TILEPROP CLBLL_L_X40Y73 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y73 INT_TILE_Y 76 TILEPROP CLBLL_L_X40Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y73 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y73 NAME CLBLL_L_X40Y73 TILEPROP CLBLL_L_X40Y73 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y73 NUM_SITES 2 TILEPROP CLBLL_L_X40Y73 ROW 80 TILEPROP CLBLL_L_X40Y73 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y73 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y73 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y73 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y73 TILE_X 85618 TILEPROP CLBLL_L_X40Y73 TILE_Y -4800 TILEPROP CLBLL_L_X40Y73 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y74 CLASS tile TILEPROP CLBLL_L_X40Y74 COLUMN 101 TILEPROP CLBLL_L_X40Y74 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y74 FIRST_SITE_ID 7887 TILEPROP CLBLL_L_X40Y74 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y74 GRID_POINT_Y 79 TILEPROP CLBLL_L_X40Y74 INDEX 9186 TILEPROP CLBLL_L_X40Y74 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y74 INT_TILE_Y 75 TILEPROP CLBLL_L_X40Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y74 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y74 NAME CLBLL_L_X40Y74 TILEPROP CLBLL_L_X40Y74 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y74 NUM_SITES 2 TILEPROP CLBLL_L_X40Y74 ROW 79 TILEPROP CLBLL_L_X40Y74 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y74 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X40Y74 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y74 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y74 TILE_X 85618 TILEPROP CLBLL_L_X40Y74 TILE_Y -1600 TILEPROP CLBLL_L_X40Y74 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y75 CLASS tile TILEPROP CLBLL_L_X40Y75 COLUMN 101 TILEPROP CLBLL_L_X40Y75 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y75 FIRST_SITE_ID 7704 TILEPROP CLBLL_L_X40Y75 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y75 GRID_POINT_Y 77 TILEPROP CLBLL_L_X40Y75 INDEX 8956 TILEPROP CLBLL_L_X40Y75 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y75 INT_TILE_Y 74 TILEPROP CLBLL_L_X40Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y75 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y75 NAME CLBLL_L_X40Y75 TILEPROP CLBLL_L_X40Y75 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y75 NUM_SITES 2 TILEPROP CLBLL_L_X40Y75 ROW 77 TILEPROP CLBLL_L_X40Y75 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y75 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X40Y75 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y75 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y75 TILE_X 85618 TILEPROP CLBLL_L_X40Y75 TILE_Y 2624 TILEPROP CLBLL_L_X40Y75 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y76 CLASS tile TILEPROP CLBLL_L_X40Y76 COLUMN 101 TILEPROP CLBLL_L_X40Y76 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y76 FIRST_SITE_ID 7586 TILEPROP CLBLL_L_X40Y76 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y76 GRID_POINT_Y 76 TILEPROP CLBLL_L_X40Y76 INDEX 8841 TILEPROP CLBLL_L_X40Y76 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y76 INT_TILE_Y 73 TILEPROP CLBLL_L_X40Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y76 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y76 NAME CLBLL_L_X40Y76 TILEPROP CLBLL_L_X40Y76 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y76 NUM_SITES 2 TILEPROP CLBLL_L_X40Y76 ROW 76 TILEPROP CLBLL_L_X40Y76 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y76 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y76 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y76 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y76 TILE_X 85618 TILEPROP CLBLL_L_X40Y76 TILE_Y 5824 TILEPROP CLBLL_L_X40Y76 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y77 CLASS tile TILEPROP CLBLL_L_X40Y77 COLUMN 101 TILEPROP CLBLL_L_X40Y77 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y77 FIRST_SITE_ID 7486 TILEPROP CLBLL_L_X40Y77 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y77 GRID_POINT_Y 75 TILEPROP CLBLL_L_X40Y77 INDEX 8726 TILEPROP CLBLL_L_X40Y77 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y77 INT_TILE_Y 72 TILEPROP CLBLL_L_X40Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y77 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y77 NAME CLBLL_L_X40Y77 TILEPROP CLBLL_L_X40Y77 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y77 NUM_SITES 2 TILEPROP CLBLL_L_X40Y77 ROW 75 TILEPROP CLBLL_L_X40Y77 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y77 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y77 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y77 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y77 TILE_X 85618 TILEPROP CLBLL_L_X40Y77 TILE_Y 9024 TILEPROP CLBLL_L_X40Y77 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y78 CLASS tile TILEPROP CLBLL_L_X40Y78 COLUMN 101 TILEPROP CLBLL_L_X40Y78 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y78 FIRST_SITE_ID 7386 TILEPROP CLBLL_L_X40Y78 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y78 GRID_POINT_Y 74 TILEPROP CLBLL_L_X40Y78 INDEX 8611 TILEPROP CLBLL_L_X40Y78 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y78 INT_TILE_Y 71 TILEPROP CLBLL_L_X40Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y78 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y78 NAME CLBLL_L_X40Y78 TILEPROP CLBLL_L_X40Y78 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y78 NUM_SITES 2 TILEPROP CLBLL_L_X40Y78 ROW 74 TILEPROP CLBLL_L_X40Y78 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y78 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y78 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y78 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y78 TILE_X 85618 TILEPROP CLBLL_L_X40Y78 TILE_Y 12224 TILEPROP CLBLL_L_X40Y78 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y79 CLASS tile TILEPROP CLBLL_L_X40Y79 COLUMN 101 TILEPROP CLBLL_L_X40Y79 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y79 FIRST_SITE_ID 7280 TILEPROP CLBLL_L_X40Y79 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y79 GRID_POINT_Y 73 TILEPROP CLBLL_L_X40Y79 INDEX 8496 TILEPROP CLBLL_L_X40Y79 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y79 INT_TILE_Y 70 TILEPROP CLBLL_L_X40Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y79 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y79 NAME CLBLL_L_X40Y79 TILEPROP CLBLL_L_X40Y79 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y79 NUM_SITES 2 TILEPROP CLBLL_L_X40Y79 ROW 73 TILEPROP CLBLL_L_X40Y79 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y79 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y79 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y79 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y79 TILE_X 85618 TILEPROP CLBLL_L_X40Y79 TILE_Y 15424 TILEPROP CLBLL_L_X40Y79 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y80 CLASS tile TILEPROP CLBLL_L_X40Y80 COLUMN 101 TILEPROP CLBLL_L_X40Y80 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y80 FIRST_SITE_ID 7174 TILEPROP CLBLL_L_X40Y80 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y80 GRID_POINT_Y 72 TILEPROP CLBLL_L_X40Y80 INDEX 8381 TILEPROP CLBLL_L_X40Y80 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y80 INT_TILE_Y 69 TILEPROP CLBLL_L_X40Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y80 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y80 NAME CLBLL_L_X40Y80 TILEPROP CLBLL_L_X40Y80 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y80 NUM_SITES 2 TILEPROP CLBLL_L_X40Y80 ROW 72 TILEPROP CLBLL_L_X40Y80 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y80 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y80 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y80 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y80 TILE_X 85618 TILEPROP CLBLL_L_X40Y80 TILE_Y 18624 TILEPROP CLBLL_L_X40Y80 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y81 CLASS tile TILEPROP CLBLL_L_X40Y81 COLUMN 101 TILEPROP CLBLL_L_X40Y81 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y81 FIRST_SITE_ID 7057 TILEPROP CLBLL_L_X40Y81 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y81 GRID_POINT_Y 71 TILEPROP CLBLL_L_X40Y81 INDEX 8266 TILEPROP CLBLL_L_X40Y81 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y81 INT_TILE_Y 68 TILEPROP CLBLL_L_X40Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y81 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y81 NAME CLBLL_L_X40Y81 TILEPROP CLBLL_L_X40Y81 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y81 NUM_SITES 2 TILEPROP CLBLL_L_X40Y81 ROW 71 TILEPROP CLBLL_L_X40Y81 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y81 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y81 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y81 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y81 TILE_X 85618 TILEPROP CLBLL_L_X40Y81 TILE_Y 21824 TILEPROP CLBLL_L_X40Y81 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y82 CLASS tile TILEPROP CLBLL_L_X40Y82 COLUMN 101 TILEPROP CLBLL_L_X40Y82 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y82 FIRST_SITE_ID 6955 TILEPROP CLBLL_L_X40Y82 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y82 GRID_POINT_Y 70 TILEPROP CLBLL_L_X40Y82 INDEX 8151 TILEPROP CLBLL_L_X40Y82 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y82 INT_TILE_Y 67 TILEPROP CLBLL_L_X40Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y82 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y82 NAME CLBLL_L_X40Y82 TILEPROP CLBLL_L_X40Y82 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y82 NUM_SITES 2 TILEPROP CLBLL_L_X40Y82 ROW 70 TILEPROP CLBLL_L_X40Y82 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y82 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y82 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y82 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y82 TILE_X 85618 TILEPROP CLBLL_L_X40Y82 TILE_Y 25024 TILEPROP CLBLL_L_X40Y82 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y83 CLASS tile TILEPROP CLBLL_L_X40Y83 COLUMN 101 TILEPROP CLBLL_L_X40Y83 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y83 FIRST_SITE_ID 6855 TILEPROP CLBLL_L_X40Y83 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y83 GRID_POINT_Y 69 TILEPROP CLBLL_L_X40Y83 INDEX 8036 TILEPROP CLBLL_L_X40Y83 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y83 INT_TILE_Y 66 TILEPROP CLBLL_L_X40Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y83 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y83 NAME CLBLL_L_X40Y83 TILEPROP CLBLL_L_X40Y83 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y83 NUM_SITES 2 TILEPROP CLBLL_L_X40Y83 ROW 69 TILEPROP CLBLL_L_X40Y83 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y83 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y83 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y83 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y83 TILE_X 85618 TILEPROP CLBLL_L_X40Y83 TILE_Y 28224 TILEPROP CLBLL_L_X40Y83 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y84 CLASS tile TILEPROP CLBLL_L_X40Y84 COLUMN 101 TILEPROP CLBLL_L_X40Y84 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y84 FIRST_SITE_ID 6755 TILEPROP CLBLL_L_X40Y84 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y84 GRID_POINT_Y 68 TILEPROP CLBLL_L_X40Y84 INDEX 7921 TILEPROP CLBLL_L_X40Y84 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y84 INT_TILE_Y 65 TILEPROP CLBLL_L_X40Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y84 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y84 NAME CLBLL_L_X40Y84 TILEPROP CLBLL_L_X40Y84 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y84 NUM_SITES 2 TILEPROP CLBLL_L_X40Y84 ROW 68 TILEPROP CLBLL_L_X40Y84 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y84 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y84 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y84 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y84 TILE_X 85618 TILEPROP CLBLL_L_X40Y84 TILE_Y 31424 TILEPROP CLBLL_L_X40Y84 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y85 CLASS tile TILEPROP CLBLL_L_X40Y85 COLUMN 101 TILEPROP CLBLL_L_X40Y85 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y85 FIRST_SITE_ID 6655 TILEPROP CLBLL_L_X40Y85 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y85 GRID_POINT_Y 67 TILEPROP CLBLL_L_X40Y85 INDEX 7806 TILEPROP CLBLL_L_X40Y85 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y85 INT_TILE_Y 64 TILEPROP CLBLL_L_X40Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y85 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y85 NAME CLBLL_L_X40Y85 TILEPROP CLBLL_L_X40Y85 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y85 NUM_SITES 2 TILEPROP CLBLL_L_X40Y85 ROW 67 TILEPROP CLBLL_L_X40Y85 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y85 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y85 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y85 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y85 TILE_X 85618 TILEPROP CLBLL_L_X40Y85 TILE_Y 34624 TILEPROP CLBLL_L_X40Y85 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y86 CLASS tile TILEPROP CLBLL_L_X40Y86 COLUMN 101 TILEPROP CLBLL_L_X40Y86 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y86 FIRST_SITE_ID 6540 TILEPROP CLBLL_L_X40Y86 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y86 GRID_POINT_Y 66 TILEPROP CLBLL_L_X40Y86 INDEX 7691 TILEPROP CLBLL_L_X40Y86 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y86 INT_TILE_Y 63 TILEPROP CLBLL_L_X40Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y86 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y86 NAME CLBLL_L_X40Y86 TILEPROP CLBLL_L_X40Y86 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y86 NUM_SITES 2 TILEPROP CLBLL_L_X40Y86 ROW 66 TILEPROP CLBLL_L_X40Y86 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y86 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y86 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y86 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y86 TILE_X 85618 TILEPROP CLBLL_L_X40Y86 TILE_Y 37824 TILEPROP CLBLL_L_X40Y86 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y87 CLASS tile TILEPROP CLBLL_L_X40Y87 COLUMN 101 TILEPROP CLBLL_L_X40Y87 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y87 FIRST_SITE_ID 6408 TILEPROP CLBLL_L_X40Y87 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y87 GRID_POINT_Y 65 TILEPROP CLBLL_L_X40Y87 INDEX 7576 TILEPROP CLBLL_L_X40Y87 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y87 INT_TILE_Y 62 TILEPROP CLBLL_L_X40Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y87 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y87 NAME CLBLL_L_X40Y87 TILEPROP CLBLL_L_X40Y87 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y87 NUM_SITES 2 TILEPROP CLBLL_L_X40Y87 ROW 65 TILEPROP CLBLL_L_X40Y87 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y87 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y87 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y87 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y87 TILE_X 85618 TILEPROP CLBLL_L_X40Y87 TILE_Y 41024 TILEPROP CLBLL_L_X40Y87 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y88 CLASS tile TILEPROP CLBLL_L_X40Y88 COLUMN 101 TILEPROP CLBLL_L_X40Y88 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y88 FIRST_SITE_ID 6308 TILEPROP CLBLL_L_X40Y88 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y88 GRID_POINT_Y 64 TILEPROP CLBLL_L_X40Y88 INDEX 7461 TILEPROP CLBLL_L_X40Y88 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y88 INT_TILE_Y 61 TILEPROP CLBLL_L_X40Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y88 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y88 NAME CLBLL_L_X40Y88 TILEPROP CLBLL_L_X40Y88 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y88 NUM_SITES 2 TILEPROP CLBLL_L_X40Y88 ROW 64 TILEPROP CLBLL_L_X40Y88 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y88 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y88 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y88 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y88 TILE_X 85618 TILEPROP CLBLL_L_X40Y88 TILE_Y 44224 TILEPROP CLBLL_L_X40Y88 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y89 CLASS tile TILEPROP CLBLL_L_X40Y89 COLUMN 101 TILEPROP CLBLL_L_X40Y89 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y89 FIRST_SITE_ID 6208 TILEPROP CLBLL_L_X40Y89 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y89 GRID_POINT_Y 63 TILEPROP CLBLL_L_X40Y89 INDEX 7346 TILEPROP CLBLL_L_X40Y89 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y89 INT_TILE_Y 60 TILEPROP CLBLL_L_X40Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y89 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y89 NAME CLBLL_L_X40Y89 TILEPROP CLBLL_L_X40Y89 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y89 NUM_SITES 2 TILEPROP CLBLL_L_X40Y89 ROW 63 TILEPROP CLBLL_L_X40Y89 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y89 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y89 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y89 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y89 TILE_X 85618 TILEPROP CLBLL_L_X40Y89 TILE_Y 47424 TILEPROP CLBLL_L_X40Y89 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y90 CLASS tile TILEPROP CLBLL_L_X40Y90 COLUMN 101 TILEPROP CLBLL_L_X40Y90 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y90 FIRST_SITE_ID 6108 TILEPROP CLBLL_L_X40Y90 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y90 GRID_POINT_Y 62 TILEPROP CLBLL_L_X40Y90 INDEX 7231 TILEPROP CLBLL_L_X40Y90 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y90 INT_TILE_Y 59 TILEPROP CLBLL_L_X40Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y90 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y90 NAME CLBLL_L_X40Y90 TILEPROP CLBLL_L_X40Y90 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y90 NUM_SITES 2 TILEPROP CLBLL_L_X40Y90 ROW 62 TILEPROP CLBLL_L_X40Y90 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y90 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y90 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y90 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y90 TILE_X 85618 TILEPROP CLBLL_L_X40Y90 TILE_Y 50624 TILEPROP CLBLL_L_X40Y90 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y91 CLASS tile TILEPROP CLBLL_L_X40Y91 COLUMN 101 TILEPROP CLBLL_L_X40Y91 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y91 FIRST_SITE_ID 5993 TILEPROP CLBLL_L_X40Y91 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y91 GRID_POINT_Y 61 TILEPROP CLBLL_L_X40Y91 INDEX 7116 TILEPROP CLBLL_L_X40Y91 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y91 INT_TILE_Y 58 TILEPROP CLBLL_L_X40Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y91 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y91 NAME CLBLL_L_X40Y91 TILEPROP CLBLL_L_X40Y91 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y91 NUM_SITES 2 TILEPROP CLBLL_L_X40Y91 ROW 61 TILEPROP CLBLL_L_X40Y91 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y91 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y91 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y91 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y91 TILE_X 85618 TILEPROP CLBLL_L_X40Y91 TILE_Y 53824 TILEPROP CLBLL_L_X40Y91 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y92 CLASS tile TILEPROP CLBLL_L_X40Y92 COLUMN 101 TILEPROP CLBLL_L_X40Y92 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y92 FIRST_SITE_ID 5892 TILEPROP CLBLL_L_X40Y92 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y92 GRID_POINT_Y 60 TILEPROP CLBLL_L_X40Y92 INDEX 7001 TILEPROP CLBLL_L_X40Y92 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y92 INT_TILE_Y 57 TILEPROP CLBLL_L_X40Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y92 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y92 NAME CLBLL_L_X40Y92 TILEPROP CLBLL_L_X40Y92 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y92 NUM_SITES 2 TILEPROP CLBLL_L_X40Y92 ROW 60 TILEPROP CLBLL_L_X40Y92 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y92 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y92 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y92 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y92 TILE_X 85618 TILEPROP CLBLL_L_X40Y92 TILE_Y 57024 TILEPROP CLBLL_L_X40Y92 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y93 CLASS tile TILEPROP CLBLL_L_X40Y93 COLUMN 101 TILEPROP CLBLL_L_X40Y93 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y93 FIRST_SITE_ID 5789 TILEPROP CLBLL_L_X40Y93 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y93 GRID_POINT_Y 59 TILEPROP CLBLL_L_X40Y93 INDEX 6886 TILEPROP CLBLL_L_X40Y93 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y93 INT_TILE_Y 56 TILEPROP CLBLL_L_X40Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y93 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y93 NAME CLBLL_L_X40Y93 TILEPROP CLBLL_L_X40Y93 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y93 NUM_SITES 2 TILEPROP CLBLL_L_X40Y93 ROW 59 TILEPROP CLBLL_L_X40Y93 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y93 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y93 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y93 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y93 TILE_X 85618 TILEPROP CLBLL_L_X40Y93 TILE_Y 60224 TILEPROP CLBLL_L_X40Y93 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y94 CLASS tile TILEPROP CLBLL_L_X40Y94 COLUMN 101 TILEPROP CLBLL_L_X40Y94 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y94 FIRST_SITE_ID 5687 TILEPROP CLBLL_L_X40Y94 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y94 GRID_POINT_Y 58 TILEPROP CLBLL_L_X40Y94 INDEX 6771 TILEPROP CLBLL_L_X40Y94 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y94 INT_TILE_Y 55 TILEPROP CLBLL_L_X40Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y94 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y94 NAME CLBLL_L_X40Y94 TILEPROP CLBLL_L_X40Y94 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y94 NUM_SITES 2 TILEPROP CLBLL_L_X40Y94 ROW 58 TILEPROP CLBLL_L_X40Y94 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y94 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y94 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y94 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y94 TILE_X 85618 TILEPROP CLBLL_L_X40Y94 TILE_Y 63424 TILEPROP CLBLL_L_X40Y94 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y95 CLASS tile TILEPROP CLBLL_L_X40Y95 COLUMN 101 TILEPROP CLBLL_L_X40Y95 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y95 FIRST_SITE_ID 5587 TILEPROP CLBLL_L_X40Y95 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y95 GRID_POINT_Y 57 TILEPROP CLBLL_L_X40Y95 INDEX 6656 TILEPROP CLBLL_L_X40Y95 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y95 INT_TILE_Y 54 TILEPROP CLBLL_L_X40Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y95 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y95 NAME CLBLL_L_X40Y95 TILEPROP CLBLL_L_X40Y95 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y95 NUM_SITES 2 TILEPROP CLBLL_L_X40Y95 ROW 57 TILEPROP CLBLL_L_X40Y95 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y95 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y95 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y95 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y95 TILE_X 85618 TILEPROP CLBLL_L_X40Y95 TILE_Y 66624 TILEPROP CLBLL_L_X40Y95 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y96 CLASS tile TILEPROP CLBLL_L_X40Y96 COLUMN 101 TILEPROP CLBLL_L_X40Y96 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y96 FIRST_SITE_ID 5472 TILEPROP CLBLL_L_X40Y96 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y96 GRID_POINT_Y 56 TILEPROP CLBLL_L_X40Y96 INDEX 6541 TILEPROP CLBLL_L_X40Y96 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y96 INT_TILE_Y 53 TILEPROP CLBLL_L_X40Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y96 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y96 NAME CLBLL_L_X40Y96 TILEPROP CLBLL_L_X40Y96 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y96 NUM_SITES 2 TILEPROP CLBLL_L_X40Y96 ROW 56 TILEPROP CLBLL_L_X40Y96 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y96 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y96 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y96 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y96 TILE_X 85618 TILEPROP CLBLL_L_X40Y96 TILE_Y 69824 TILEPROP CLBLL_L_X40Y96 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y97 CLASS tile TILEPROP CLBLL_L_X40Y97 COLUMN 101 TILEPROP CLBLL_L_X40Y97 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y97 FIRST_SITE_ID 5372 TILEPROP CLBLL_L_X40Y97 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y97 GRID_POINT_Y 55 TILEPROP CLBLL_L_X40Y97 INDEX 6426 TILEPROP CLBLL_L_X40Y97 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y97 INT_TILE_Y 52 TILEPROP CLBLL_L_X40Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y97 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y97 NAME CLBLL_L_X40Y97 TILEPROP CLBLL_L_X40Y97 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y97 NUM_SITES 2 TILEPROP CLBLL_L_X40Y97 ROW 55 TILEPROP CLBLL_L_X40Y97 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y97 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y97 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y97 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y97 TILE_X 85618 TILEPROP CLBLL_L_X40Y97 TILE_Y 73024 TILEPROP CLBLL_L_X40Y97 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y98 CLASS tile TILEPROP CLBLL_L_X40Y98 COLUMN 101 TILEPROP CLBLL_L_X40Y98 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y98 FIRST_SITE_ID 5272 TILEPROP CLBLL_L_X40Y98 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y98 GRID_POINT_Y 54 TILEPROP CLBLL_L_X40Y98 INDEX 6311 TILEPROP CLBLL_L_X40Y98 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y98 INT_TILE_Y 51 TILEPROP CLBLL_L_X40Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y98 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y98 NAME CLBLL_L_X40Y98 TILEPROP CLBLL_L_X40Y98 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y98 NUM_SITES 2 TILEPROP CLBLL_L_X40Y98 ROW 54 TILEPROP CLBLL_L_X40Y98 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y98 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X40Y98 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y98 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y98 TILE_X 85618 TILEPROP CLBLL_L_X40Y98 TILE_Y 76224 TILEPROP CLBLL_L_X40Y98 TYPE CLBLL_L TILEPROP CLBLL_L_X40Y99 CLASS tile TILEPROP CLBLL_L_X40Y99 COLUMN 101 TILEPROP CLBLL_L_X40Y99 DEVICE_ID 0 TILEPROP CLBLL_L_X40Y99 FIRST_SITE_ID 5176 TILEPROP CLBLL_L_X40Y99 GRID_POINT_X 101 TILEPROP CLBLL_L_X40Y99 GRID_POINT_Y 53 TILEPROP CLBLL_L_X40Y99 INDEX 6196 TILEPROP CLBLL_L_X40Y99 INT_TILE_X 39 TILEPROP CLBLL_L_X40Y99 INT_TILE_Y 50 TILEPROP CLBLL_L_X40Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X40Y99 IS_DCM_TILE 0 TILEPROP CLBLL_L_X40Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X40Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X40Y99 NAME CLBLL_L_X40Y99 TILEPROP CLBLL_L_X40Y99 NUM_ARCS 146 TILEPROP CLBLL_L_X40Y99 NUM_SITES 2 TILEPROP CLBLL_L_X40Y99 ROW 53 TILEPROP CLBLL_L_X40Y99 SLR_REGION_ID 0 TILEPROP CLBLL_L_X40Y99 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X40Y99 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X40Y99 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X40Y99 TILE_X 85618 TILEPROP CLBLL_L_X40Y99 TILE_Y 79424 TILEPROP CLBLL_L_X40Y99 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y0 CLASS tile TILEPROP CLBLL_L_X4Y0 COLUMN 14 TILEPROP CLBLL_L_X4Y0 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y0 FIRST_SITE_ID 15714 TILEPROP CLBLL_L_X4Y0 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y0 GRID_POINT_Y 155 TILEPROP CLBLL_L_X4Y0 INDEX 17839 TILEPROP CLBLL_L_X4Y0 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y0 INT_TILE_Y 149 TILEPROP CLBLL_L_X4Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y0 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y0 NAME CLBLL_L_X4Y0 TILEPROP CLBLL_L_X4Y0 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y0 NUM_SITES 2 TILEPROP CLBLL_L_X4Y0 ROW 155 TILEPROP CLBLL_L_X4Y0 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y0 TILE_PATTERN_IDX 813 TILEPROP CLBLL_L_X4Y0 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y0 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y0 TILE_X -78906 TILEPROP CLBLL_L_X4Y0 TILE_Y -239672 TILEPROP CLBLL_L_X4Y0 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y1 CLASS tile TILEPROP CLBLL_L_X4Y1 COLUMN 14 TILEPROP CLBLL_L_X4Y1 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y1 FIRST_SITE_ID 15609 TILEPROP CLBLL_L_X4Y1 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y1 GRID_POINT_Y 154 TILEPROP CLBLL_L_X4Y1 INDEX 17724 TILEPROP CLBLL_L_X4Y1 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y1 INT_TILE_Y 148 TILEPROP CLBLL_L_X4Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y1 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y1 NAME CLBLL_L_X4Y1 TILEPROP CLBLL_L_X4Y1 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y1 NUM_SITES 2 TILEPROP CLBLL_L_X4Y1 ROW 154 TILEPROP CLBLL_L_X4Y1 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y1 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y1 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y1 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y1 TILE_X -78906 TILEPROP CLBLL_L_X4Y1 TILE_Y -236472 TILEPROP CLBLL_L_X4Y1 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y2 CLASS tile TILEPROP CLBLL_L_X4Y2 COLUMN 14 TILEPROP CLBLL_L_X4Y2 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y2 FIRST_SITE_ID 15509 TILEPROP CLBLL_L_X4Y2 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y2 GRID_POINT_Y 153 TILEPROP CLBLL_L_X4Y2 INDEX 17609 TILEPROP CLBLL_L_X4Y2 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y2 INT_TILE_Y 147 TILEPROP CLBLL_L_X4Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y2 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y2 NAME CLBLL_L_X4Y2 TILEPROP CLBLL_L_X4Y2 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y2 NUM_SITES 2 TILEPROP CLBLL_L_X4Y2 ROW 153 TILEPROP CLBLL_L_X4Y2 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y2 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y2 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y2 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y2 TILE_X -78906 TILEPROP CLBLL_L_X4Y2 TILE_Y -233272 TILEPROP CLBLL_L_X4Y2 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y3 CLASS tile TILEPROP CLBLL_L_X4Y3 COLUMN 14 TILEPROP CLBLL_L_X4Y3 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y3 FIRST_SITE_ID 15409 TILEPROP CLBLL_L_X4Y3 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y3 GRID_POINT_Y 152 TILEPROP CLBLL_L_X4Y3 INDEX 17494 TILEPROP CLBLL_L_X4Y3 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y3 INT_TILE_Y 146 TILEPROP CLBLL_L_X4Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y3 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y3 NAME CLBLL_L_X4Y3 TILEPROP CLBLL_L_X4Y3 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y3 NUM_SITES 2 TILEPROP CLBLL_L_X4Y3 ROW 152 TILEPROP CLBLL_L_X4Y3 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y3 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y3 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y3 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y3 TILE_X -78906 TILEPROP CLBLL_L_X4Y3 TILE_Y -230072 TILEPROP CLBLL_L_X4Y3 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y4 CLASS tile TILEPROP CLBLL_L_X4Y4 COLUMN 14 TILEPROP CLBLL_L_X4Y4 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y4 FIRST_SITE_ID 15309 TILEPROP CLBLL_L_X4Y4 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y4 GRID_POINT_Y 151 TILEPROP CLBLL_L_X4Y4 INDEX 17379 TILEPROP CLBLL_L_X4Y4 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y4 INT_TILE_Y 145 TILEPROP CLBLL_L_X4Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y4 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y4 NAME CLBLL_L_X4Y4 TILEPROP CLBLL_L_X4Y4 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y4 NUM_SITES 2 TILEPROP CLBLL_L_X4Y4 ROW 151 TILEPROP CLBLL_L_X4Y4 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y4 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y4 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y4 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y4 TILE_X -78906 TILEPROP CLBLL_L_X4Y4 TILE_Y -226872 TILEPROP CLBLL_L_X4Y4 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y5 CLASS tile TILEPROP CLBLL_L_X4Y5 COLUMN 14 TILEPROP CLBLL_L_X4Y5 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y5 FIRST_SITE_ID 15194 TILEPROP CLBLL_L_X4Y5 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y5 GRID_POINT_Y 150 TILEPROP CLBLL_L_X4Y5 INDEX 17264 TILEPROP CLBLL_L_X4Y5 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y5 INT_TILE_Y 144 TILEPROP CLBLL_L_X4Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y5 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y5 NAME CLBLL_L_X4Y5 TILEPROP CLBLL_L_X4Y5 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y5 NUM_SITES 2 TILEPROP CLBLL_L_X4Y5 ROW 150 TILEPROP CLBLL_L_X4Y5 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y5 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y5 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y5 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y5 TILE_X -78906 TILEPROP CLBLL_L_X4Y5 TILE_Y -223672 TILEPROP CLBLL_L_X4Y5 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y6 CLASS tile TILEPROP CLBLL_L_X4Y6 COLUMN 14 TILEPROP CLBLL_L_X4Y6 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y6 FIRST_SITE_ID 15094 TILEPROP CLBLL_L_X4Y6 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y6 GRID_POINT_Y 149 TILEPROP CLBLL_L_X4Y6 INDEX 17149 TILEPROP CLBLL_L_X4Y6 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y6 INT_TILE_Y 143 TILEPROP CLBLL_L_X4Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y6 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y6 NAME CLBLL_L_X4Y6 TILEPROP CLBLL_L_X4Y6 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y6 NUM_SITES 2 TILEPROP CLBLL_L_X4Y6 ROW 149 TILEPROP CLBLL_L_X4Y6 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y6 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y6 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y6 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y6 TILE_X -78906 TILEPROP CLBLL_L_X4Y6 TILE_Y -220472 TILEPROP CLBLL_L_X4Y6 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y7 CLASS tile TILEPROP CLBLL_L_X4Y7 COLUMN 14 TILEPROP CLBLL_L_X4Y7 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y7 FIRST_SITE_ID 14992 TILEPROP CLBLL_L_X4Y7 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y7 GRID_POINT_Y 148 TILEPROP CLBLL_L_X4Y7 INDEX 17034 TILEPROP CLBLL_L_X4Y7 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y7 INT_TILE_Y 142 TILEPROP CLBLL_L_X4Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y7 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y7 NAME CLBLL_L_X4Y7 TILEPROP CLBLL_L_X4Y7 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y7 NUM_SITES 2 TILEPROP CLBLL_L_X4Y7 ROW 148 TILEPROP CLBLL_L_X4Y7 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y7 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y7 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y7 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y7 TILE_X -78906 TILEPROP CLBLL_L_X4Y7 TILE_Y -217272 TILEPROP CLBLL_L_X4Y7 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y8 CLASS tile TILEPROP CLBLL_L_X4Y8 COLUMN 14 TILEPROP CLBLL_L_X4Y8 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y8 FIRST_SITE_ID 14889 TILEPROP CLBLL_L_X4Y8 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y8 GRID_POINT_Y 147 TILEPROP CLBLL_L_X4Y8 INDEX 16919 TILEPROP CLBLL_L_X4Y8 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y8 INT_TILE_Y 141 TILEPROP CLBLL_L_X4Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y8 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y8 NAME CLBLL_L_X4Y8 TILEPROP CLBLL_L_X4Y8 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y8 NUM_SITES 2 TILEPROP CLBLL_L_X4Y8 ROW 147 TILEPROP CLBLL_L_X4Y8 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y8 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y8 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y8 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y8 TILE_X -78906 TILEPROP CLBLL_L_X4Y8 TILE_Y -214072 TILEPROP CLBLL_L_X4Y8 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y9 CLASS tile TILEPROP CLBLL_L_X4Y9 COLUMN 14 TILEPROP CLBLL_L_X4Y9 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y9 FIRST_SITE_ID 14788 TILEPROP CLBLL_L_X4Y9 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y9 GRID_POINT_Y 146 TILEPROP CLBLL_L_X4Y9 INDEX 16804 TILEPROP CLBLL_L_X4Y9 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y9 INT_TILE_Y 140 TILEPROP CLBLL_L_X4Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y9 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y9 NAME CLBLL_L_X4Y9 TILEPROP CLBLL_L_X4Y9 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y9 NUM_SITES 2 TILEPROP CLBLL_L_X4Y9 ROW 146 TILEPROP CLBLL_L_X4Y9 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y9 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y9 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y9 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y9 TILE_X -78906 TILEPROP CLBLL_L_X4Y9 TILE_Y -210872 TILEPROP CLBLL_L_X4Y9 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y10 CLASS tile TILEPROP CLBLL_L_X4Y10 COLUMN 14 TILEPROP CLBLL_L_X4Y10 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y10 FIRST_SITE_ID 14673 TILEPROP CLBLL_L_X4Y10 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y10 GRID_POINT_Y 145 TILEPROP CLBLL_L_X4Y10 INDEX 16689 TILEPROP CLBLL_L_X4Y10 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y10 INT_TILE_Y 139 TILEPROP CLBLL_L_X4Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y10 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y10 NAME CLBLL_L_X4Y10 TILEPROP CLBLL_L_X4Y10 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y10 NUM_SITES 2 TILEPROP CLBLL_L_X4Y10 ROW 145 TILEPROP CLBLL_L_X4Y10 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y10 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y10 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y10 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y10 TILE_X -78906 TILEPROP CLBLL_L_X4Y10 TILE_Y -207672 TILEPROP CLBLL_L_X4Y10 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y11 CLASS tile TILEPROP CLBLL_L_X4Y11 COLUMN 14 TILEPROP CLBLL_L_X4Y11 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y11 FIRST_SITE_ID 14573 TILEPROP CLBLL_L_X4Y11 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y11 GRID_POINT_Y 144 TILEPROP CLBLL_L_X4Y11 INDEX 16574 TILEPROP CLBLL_L_X4Y11 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y11 INT_TILE_Y 138 TILEPROP CLBLL_L_X4Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y11 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y11 NAME CLBLL_L_X4Y11 TILEPROP CLBLL_L_X4Y11 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y11 NUM_SITES 2 TILEPROP CLBLL_L_X4Y11 ROW 144 TILEPROP CLBLL_L_X4Y11 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y11 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y11 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y11 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y11 TILE_X -78906 TILEPROP CLBLL_L_X4Y11 TILE_Y -204472 TILEPROP CLBLL_L_X4Y11 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y12 CLASS tile TILEPROP CLBLL_L_X4Y12 COLUMN 14 TILEPROP CLBLL_L_X4Y12 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y12 FIRST_SITE_ID 14441 TILEPROP CLBLL_L_X4Y12 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y12 GRID_POINT_Y 143 TILEPROP CLBLL_L_X4Y12 INDEX 16459 TILEPROP CLBLL_L_X4Y12 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y12 INT_TILE_Y 137 TILEPROP CLBLL_L_X4Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y12 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y12 NAME CLBLL_L_X4Y12 TILEPROP CLBLL_L_X4Y12 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y12 NUM_SITES 2 TILEPROP CLBLL_L_X4Y12 ROW 143 TILEPROP CLBLL_L_X4Y12 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y12 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y12 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y12 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y12 TILE_X -78906 TILEPROP CLBLL_L_X4Y12 TILE_Y -201272 TILEPROP CLBLL_L_X4Y12 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y13 CLASS tile TILEPROP CLBLL_L_X4Y13 COLUMN 14 TILEPROP CLBLL_L_X4Y13 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y13 FIRST_SITE_ID 14341 TILEPROP CLBLL_L_X4Y13 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y13 GRID_POINT_Y 142 TILEPROP CLBLL_L_X4Y13 INDEX 16344 TILEPROP CLBLL_L_X4Y13 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y13 INT_TILE_Y 136 TILEPROP CLBLL_L_X4Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y13 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y13 NAME CLBLL_L_X4Y13 TILEPROP CLBLL_L_X4Y13 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y13 NUM_SITES 2 TILEPROP CLBLL_L_X4Y13 ROW 142 TILEPROP CLBLL_L_X4Y13 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y13 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y13 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y13 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y13 TILE_X -78906 TILEPROP CLBLL_L_X4Y13 TILE_Y -198072 TILEPROP CLBLL_L_X4Y13 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y14 CLASS tile TILEPROP CLBLL_L_X4Y14 COLUMN 14 TILEPROP CLBLL_L_X4Y14 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y14 FIRST_SITE_ID 14241 TILEPROP CLBLL_L_X4Y14 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y14 GRID_POINT_Y 141 TILEPROP CLBLL_L_X4Y14 INDEX 16229 TILEPROP CLBLL_L_X4Y14 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y14 INT_TILE_Y 135 TILEPROP CLBLL_L_X4Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y14 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y14 NAME CLBLL_L_X4Y14 TILEPROP CLBLL_L_X4Y14 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y14 NUM_SITES 2 TILEPROP CLBLL_L_X4Y14 ROW 141 TILEPROP CLBLL_L_X4Y14 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y14 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y14 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y14 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y14 TILE_X -78906 TILEPROP CLBLL_L_X4Y14 TILE_Y -194872 TILEPROP CLBLL_L_X4Y14 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y15 CLASS tile TILEPROP CLBLL_L_X4Y15 COLUMN 14 TILEPROP CLBLL_L_X4Y15 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y15 FIRST_SITE_ID 14126 TILEPROP CLBLL_L_X4Y15 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y15 GRID_POINT_Y 140 TILEPROP CLBLL_L_X4Y15 INDEX 16114 TILEPROP CLBLL_L_X4Y15 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y15 INT_TILE_Y 134 TILEPROP CLBLL_L_X4Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y15 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y15 NAME CLBLL_L_X4Y15 TILEPROP CLBLL_L_X4Y15 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y15 NUM_SITES 2 TILEPROP CLBLL_L_X4Y15 ROW 140 TILEPROP CLBLL_L_X4Y15 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y15 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y15 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y15 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y15 TILE_X -78906 TILEPROP CLBLL_L_X4Y15 TILE_Y -191672 TILEPROP CLBLL_L_X4Y15 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y16 CLASS tile TILEPROP CLBLL_L_X4Y16 COLUMN 14 TILEPROP CLBLL_L_X4Y16 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y16 FIRST_SITE_ID 14026 TILEPROP CLBLL_L_X4Y16 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y16 GRID_POINT_Y 139 TILEPROP CLBLL_L_X4Y16 INDEX 15999 TILEPROP CLBLL_L_X4Y16 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y16 INT_TILE_Y 133 TILEPROP CLBLL_L_X4Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y16 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y16 NAME CLBLL_L_X4Y16 TILEPROP CLBLL_L_X4Y16 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y16 NUM_SITES 2 TILEPROP CLBLL_L_X4Y16 ROW 139 TILEPROP CLBLL_L_X4Y16 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y16 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y16 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y16 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y16 TILE_X -78906 TILEPROP CLBLL_L_X4Y16 TILE_Y -188472 TILEPROP CLBLL_L_X4Y16 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y17 CLASS tile TILEPROP CLBLL_L_X4Y17 COLUMN 14 TILEPROP CLBLL_L_X4Y17 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y17 FIRST_SITE_ID 13921 TILEPROP CLBLL_L_X4Y17 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y17 GRID_POINT_Y 138 TILEPROP CLBLL_L_X4Y17 INDEX 15884 TILEPROP CLBLL_L_X4Y17 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y17 INT_TILE_Y 132 TILEPROP CLBLL_L_X4Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y17 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y17 NAME CLBLL_L_X4Y17 TILEPROP CLBLL_L_X4Y17 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y17 NUM_SITES 2 TILEPROP CLBLL_L_X4Y17 ROW 138 TILEPROP CLBLL_L_X4Y17 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y17 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y17 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y17 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y17 TILE_X -78906 TILEPROP CLBLL_L_X4Y17 TILE_Y -185272 TILEPROP CLBLL_L_X4Y17 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y18 CLASS tile TILEPROP CLBLL_L_X4Y18 COLUMN 14 TILEPROP CLBLL_L_X4Y18 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y18 FIRST_SITE_ID 13817 TILEPROP CLBLL_L_X4Y18 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y18 GRID_POINT_Y 137 TILEPROP CLBLL_L_X4Y18 INDEX 15769 TILEPROP CLBLL_L_X4Y18 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y18 INT_TILE_Y 131 TILEPROP CLBLL_L_X4Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y18 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y18 NAME CLBLL_L_X4Y18 TILEPROP CLBLL_L_X4Y18 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y18 NUM_SITES 2 TILEPROP CLBLL_L_X4Y18 ROW 137 TILEPROP CLBLL_L_X4Y18 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y18 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y18 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y18 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y18 TILE_X -78906 TILEPROP CLBLL_L_X4Y18 TILE_Y -182072 TILEPROP CLBLL_L_X4Y18 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y19 CLASS tile TILEPROP CLBLL_L_X4Y19 COLUMN 14 TILEPROP CLBLL_L_X4Y19 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y19 FIRST_SITE_ID 13715 TILEPROP CLBLL_L_X4Y19 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y19 GRID_POINT_Y 136 TILEPROP CLBLL_L_X4Y19 INDEX 15654 TILEPROP CLBLL_L_X4Y19 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y19 INT_TILE_Y 130 TILEPROP CLBLL_L_X4Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y19 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y19 NAME CLBLL_L_X4Y19 TILEPROP CLBLL_L_X4Y19 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y19 NUM_SITES 2 TILEPROP CLBLL_L_X4Y19 ROW 136 TILEPROP CLBLL_L_X4Y19 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y19 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y19 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y19 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y19 TILE_X -78906 TILEPROP CLBLL_L_X4Y19 TILE_Y -178872 TILEPROP CLBLL_L_X4Y19 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y20 CLASS tile TILEPROP CLBLL_L_X4Y20 COLUMN 14 TILEPROP CLBLL_L_X4Y20 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y20 FIRST_SITE_ID 13598 TILEPROP CLBLL_L_X4Y20 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y20 GRID_POINT_Y 135 TILEPROP CLBLL_L_X4Y20 INDEX 15539 TILEPROP CLBLL_L_X4Y20 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y20 INT_TILE_Y 129 TILEPROP CLBLL_L_X4Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y20 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y20 NAME CLBLL_L_X4Y20 TILEPROP CLBLL_L_X4Y20 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y20 NUM_SITES 2 TILEPROP CLBLL_L_X4Y20 ROW 135 TILEPROP CLBLL_L_X4Y20 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y20 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y20 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y20 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y20 TILE_X -78906 TILEPROP CLBLL_L_X4Y20 TILE_Y -175672 TILEPROP CLBLL_L_X4Y20 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y21 CLASS tile TILEPROP CLBLL_L_X4Y21 COLUMN 14 TILEPROP CLBLL_L_X4Y21 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y21 FIRST_SITE_ID 13498 TILEPROP CLBLL_L_X4Y21 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y21 GRID_POINT_Y 134 TILEPROP CLBLL_L_X4Y21 INDEX 15424 TILEPROP CLBLL_L_X4Y21 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y21 INT_TILE_Y 128 TILEPROP CLBLL_L_X4Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y21 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y21 NAME CLBLL_L_X4Y21 TILEPROP CLBLL_L_X4Y21 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y21 NUM_SITES 2 TILEPROP CLBLL_L_X4Y21 ROW 134 TILEPROP CLBLL_L_X4Y21 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y21 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y21 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y21 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y21 TILE_X -78906 TILEPROP CLBLL_L_X4Y21 TILE_Y -172472 TILEPROP CLBLL_L_X4Y21 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y22 CLASS tile TILEPROP CLBLL_L_X4Y22 COLUMN 14 TILEPROP CLBLL_L_X4Y22 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y22 FIRST_SITE_ID 13398 TILEPROP CLBLL_L_X4Y22 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y22 GRID_POINT_Y 133 TILEPROP CLBLL_L_X4Y22 INDEX 15309 TILEPROP CLBLL_L_X4Y22 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y22 INT_TILE_Y 127 TILEPROP CLBLL_L_X4Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y22 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y22 NAME CLBLL_L_X4Y22 TILEPROP CLBLL_L_X4Y22 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y22 NUM_SITES 2 TILEPROP CLBLL_L_X4Y22 ROW 133 TILEPROP CLBLL_L_X4Y22 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y22 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y22 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y22 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y22 TILE_X -78906 TILEPROP CLBLL_L_X4Y22 TILE_Y -169272 TILEPROP CLBLL_L_X4Y22 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y23 CLASS tile TILEPROP CLBLL_L_X4Y23 COLUMN 14 TILEPROP CLBLL_L_X4Y23 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y23 FIRST_SITE_ID 13298 TILEPROP CLBLL_L_X4Y23 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y23 GRID_POINT_Y 132 TILEPROP CLBLL_L_X4Y23 INDEX 15194 TILEPROP CLBLL_L_X4Y23 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y23 INT_TILE_Y 126 TILEPROP CLBLL_L_X4Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y23 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y23 NAME CLBLL_L_X4Y23 TILEPROP CLBLL_L_X4Y23 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y23 NUM_SITES 2 TILEPROP CLBLL_L_X4Y23 ROW 132 TILEPROP CLBLL_L_X4Y23 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y23 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y23 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y23 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y23 TILE_X -78906 TILEPROP CLBLL_L_X4Y23 TILE_Y -166072 TILEPROP CLBLL_L_X4Y23 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y24 CLASS tile TILEPROP CLBLL_L_X4Y24 COLUMN 14 TILEPROP CLBLL_L_X4Y24 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y24 FIRST_SITE_ID 13198 TILEPROP CLBLL_L_X4Y24 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y24 GRID_POINT_Y 131 TILEPROP CLBLL_L_X4Y24 INDEX 15079 TILEPROP CLBLL_L_X4Y24 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y24 INT_TILE_Y 125 TILEPROP CLBLL_L_X4Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y24 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y24 NAME CLBLL_L_X4Y24 TILEPROP CLBLL_L_X4Y24 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y24 NUM_SITES 2 TILEPROP CLBLL_L_X4Y24 ROW 131 TILEPROP CLBLL_L_X4Y24 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y24 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X4Y24 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y24 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y24 TILE_X -78906 TILEPROP CLBLL_L_X4Y24 TILE_Y -162872 TILEPROP CLBLL_L_X4Y24 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y25 CLASS tile TILEPROP CLBLL_L_X4Y25 COLUMN 14 TILEPROP CLBLL_L_X4Y25 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y25 FIRST_SITE_ID 13000 TILEPROP CLBLL_L_X4Y25 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y25 GRID_POINT_Y 129 TILEPROP CLBLL_L_X4Y25 INDEX 14849 TILEPROP CLBLL_L_X4Y25 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y25 INT_TILE_Y 124 TILEPROP CLBLL_L_X4Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y25 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y25 NAME CLBLL_L_X4Y25 TILEPROP CLBLL_L_X4Y25 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y25 NUM_SITES 2 TILEPROP CLBLL_L_X4Y25 ROW 129 TILEPROP CLBLL_L_X4Y25 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y25 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X4Y25 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y25 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y25 TILE_X -78906 TILEPROP CLBLL_L_X4Y25 TILE_Y -158648 TILEPROP CLBLL_L_X4Y25 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y26 CLASS tile TILEPROP CLBLL_L_X4Y26 COLUMN 14 TILEPROP CLBLL_L_X4Y26 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y26 FIRST_SITE_ID 12900 TILEPROP CLBLL_L_X4Y26 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y26 GRID_POINT_Y 128 TILEPROP CLBLL_L_X4Y26 INDEX 14734 TILEPROP CLBLL_L_X4Y26 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y26 INT_TILE_Y 123 TILEPROP CLBLL_L_X4Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y26 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y26 NAME CLBLL_L_X4Y26 TILEPROP CLBLL_L_X4Y26 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y26 NUM_SITES 2 TILEPROP CLBLL_L_X4Y26 ROW 128 TILEPROP CLBLL_L_X4Y26 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y26 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y26 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y26 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y26 TILE_X -78906 TILEPROP CLBLL_L_X4Y26 TILE_Y -155448 TILEPROP CLBLL_L_X4Y26 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y27 CLASS tile TILEPROP CLBLL_L_X4Y27 COLUMN 14 TILEPROP CLBLL_L_X4Y27 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y27 FIRST_SITE_ID 12800 TILEPROP CLBLL_L_X4Y27 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y27 GRID_POINT_Y 127 TILEPROP CLBLL_L_X4Y27 INDEX 14619 TILEPROP CLBLL_L_X4Y27 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y27 INT_TILE_Y 122 TILEPROP CLBLL_L_X4Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y27 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y27 NAME CLBLL_L_X4Y27 TILEPROP CLBLL_L_X4Y27 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y27 NUM_SITES 2 TILEPROP CLBLL_L_X4Y27 ROW 127 TILEPROP CLBLL_L_X4Y27 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y27 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y27 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y27 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y27 TILE_X -78906 TILEPROP CLBLL_L_X4Y27 TILE_Y -152248 TILEPROP CLBLL_L_X4Y27 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y28 CLASS tile TILEPROP CLBLL_L_X4Y28 COLUMN 14 TILEPROP CLBLL_L_X4Y28 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y28 FIRST_SITE_ID 12700 TILEPROP CLBLL_L_X4Y28 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y28 GRID_POINT_Y 126 TILEPROP CLBLL_L_X4Y28 INDEX 14504 TILEPROP CLBLL_L_X4Y28 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y28 INT_TILE_Y 121 TILEPROP CLBLL_L_X4Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y28 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y28 NAME CLBLL_L_X4Y28 TILEPROP CLBLL_L_X4Y28 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y28 NUM_SITES 2 TILEPROP CLBLL_L_X4Y28 ROW 126 TILEPROP CLBLL_L_X4Y28 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y28 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y28 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y28 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y28 TILE_X -78906 TILEPROP CLBLL_L_X4Y28 TILE_Y -149048 TILEPROP CLBLL_L_X4Y28 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y29 CLASS tile TILEPROP CLBLL_L_X4Y29 COLUMN 14 TILEPROP CLBLL_L_X4Y29 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y29 FIRST_SITE_ID 12594 TILEPROP CLBLL_L_X4Y29 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y29 GRID_POINT_Y 125 TILEPROP CLBLL_L_X4Y29 INDEX 14389 TILEPROP CLBLL_L_X4Y29 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y29 INT_TILE_Y 120 TILEPROP CLBLL_L_X4Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y29 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y29 NAME CLBLL_L_X4Y29 TILEPROP CLBLL_L_X4Y29 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y29 NUM_SITES 2 TILEPROP CLBLL_L_X4Y29 ROW 125 TILEPROP CLBLL_L_X4Y29 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y29 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y29 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y29 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y29 TILE_X -78906 TILEPROP CLBLL_L_X4Y29 TILE_Y -145848 TILEPROP CLBLL_L_X4Y29 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y30 CLASS tile TILEPROP CLBLL_L_X4Y30 COLUMN 14 TILEPROP CLBLL_L_X4Y30 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y30 FIRST_SITE_ID 12460 TILEPROP CLBLL_L_X4Y30 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y30 GRID_POINT_Y 124 TILEPROP CLBLL_L_X4Y30 INDEX 14274 TILEPROP CLBLL_L_X4Y30 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y30 INT_TILE_Y 119 TILEPROP CLBLL_L_X4Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y30 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y30 NAME CLBLL_L_X4Y30 TILEPROP CLBLL_L_X4Y30 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y30 NUM_SITES 2 TILEPROP CLBLL_L_X4Y30 ROW 124 TILEPROP CLBLL_L_X4Y30 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y30 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y30 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y30 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y30 TILE_X -78906 TILEPROP CLBLL_L_X4Y30 TILE_Y -142648 TILEPROP CLBLL_L_X4Y30 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y31 CLASS tile TILEPROP CLBLL_L_X4Y31 COLUMN 14 TILEPROP CLBLL_L_X4Y31 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y31 FIRST_SITE_ID 12358 TILEPROP CLBLL_L_X4Y31 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y31 GRID_POINT_Y 123 TILEPROP CLBLL_L_X4Y31 INDEX 14159 TILEPROP CLBLL_L_X4Y31 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y31 INT_TILE_Y 118 TILEPROP CLBLL_L_X4Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y31 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y31 NAME CLBLL_L_X4Y31 TILEPROP CLBLL_L_X4Y31 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y31 NUM_SITES 2 TILEPROP CLBLL_L_X4Y31 ROW 123 TILEPROP CLBLL_L_X4Y31 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y31 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y31 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y31 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y31 TILE_X -78906 TILEPROP CLBLL_L_X4Y31 TILE_Y -139448 TILEPROP CLBLL_L_X4Y31 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y32 CLASS tile TILEPROP CLBLL_L_X4Y32 COLUMN 14 TILEPROP CLBLL_L_X4Y32 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y32 FIRST_SITE_ID 12255 TILEPROP CLBLL_L_X4Y32 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y32 GRID_POINT_Y 122 TILEPROP CLBLL_L_X4Y32 INDEX 14044 TILEPROP CLBLL_L_X4Y32 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y32 INT_TILE_Y 117 TILEPROP CLBLL_L_X4Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y32 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y32 NAME CLBLL_L_X4Y32 TILEPROP CLBLL_L_X4Y32 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y32 NUM_SITES 2 TILEPROP CLBLL_L_X4Y32 ROW 122 TILEPROP CLBLL_L_X4Y32 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y32 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y32 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y32 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y32 TILE_X -78906 TILEPROP CLBLL_L_X4Y32 TILE_Y -136248 TILEPROP CLBLL_L_X4Y32 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y33 CLASS tile TILEPROP CLBLL_L_X4Y33 COLUMN 14 TILEPROP CLBLL_L_X4Y33 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y33 FIRST_SITE_ID 12155 TILEPROP CLBLL_L_X4Y33 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y33 GRID_POINT_Y 121 TILEPROP CLBLL_L_X4Y33 INDEX 13929 TILEPROP CLBLL_L_X4Y33 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y33 INT_TILE_Y 116 TILEPROP CLBLL_L_X4Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y33 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y33 NAME CLBLL_L_X4Y33 TILEPROP CLBLL_L_X4Y33 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y33 NUM_SITES 2 TILEPROP CLBLL_L_X4Y33 ROW 121 TILEPROP CLBLL_L_X4Y33 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y33 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y33 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y33 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y33 TILE_X -78906 TILEPROP CLBLL_L_X4Y33 TILE_Y -133048 TILEPROP CLBLL_L_X4Y33 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y34 CLASS tile TILEPROP CLBLL_L_X4Y34 COLUMN 14 TILEPROP CLBLL_L_X4Y34 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y34 FIRST_SITE_ID 12055 TILEPROP CLBLL_L_X4Y34 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y34 GRID_POINT_Y 120 TILEPROP CLBLL_L_X4Y34 INDEX 13814 TILEPROP CLBLL_L_X4Y34 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y34 INT_TILE_Y 115 TILEPROP CLBLL_L_X4Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y34 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y34 NAME CLBLL_L_X4Y34 TILEPROP CLBLL_L_X4Y34 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y34 NUM_SITES 2 TILEPROP CLBLL_L_X4Y34 ROW 120 TILEPROP CLBLL_L_X4Y34 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y34 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y34 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y34 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y34 TILE_X -78906 TILEPROP CLBLL_L_X4Y34 TILE_Y -129848 TILEPROP CLBLL_L_X4Y34 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y35 CLASS tile TILEPROP CLBLL_L_X4Y35 COLUMN 14 TILEPROP CLBLL_L_X4Y35 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y35 FIRST_SITE_ID 11940 TILEPROP CLBLL_L_X4Y35 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y35 GRID_POINT_Y 119 TILEPROP CLBLL_L_X4Y35 INDEX 13699 TILEPROP CLBLL_L_X4Y35 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y35 INT_TILE_Y 114 TILEPROP CLBLL_L_X4Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y35 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y35 NAME CLBLL_L_X4Y35 TILEPROP CLBLL_L_X4Y35 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y35 NUM_SITES 2 TILEPROP CLBLL_L_X4Y35 ROW 119 TILEPROP CLBLL_L_X4Y35 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y35 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y35 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y35 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y35 TILE_X -78906 TILEPROP CLBLL_L_X4Y35 TILE_Y -126648 TILEPROP CLBLL_L_X4Y35 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y36 CLASS tile TILEPROP CLBLL_L_X4Y36 COLUMN 14 TILEPROP CLBLL_L_X4Y36 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y36 FIRST_SITE_ID 11808 TILEPROP CLBLL_L_X4Y36 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y36 GRID_POINT_Y 118 TILEPROP CLBLL_L_X4Y36 INDEX 13584 TILEPROP CLBLL_L_X4Y36 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y36 INT_TILE_Y 113 TILEPROP CLBLL_L_X4Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y36 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y36 NAME CLBLL_L_X4Y36 TILEPROP CLBLL_L_X4Y36 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y36 NUM_SITES 2 TILEPROP CLBLL_L_X4Y36 ROW 118 TILEPROP CLBLL_L_X4Y36 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y36 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y36 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y36 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y36 TILE_X -78906 TILEPROP CLBLL_L_X4Y36 TILE_Y -123448 TILEPROP CLBLL_L_X4Y36 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y37 CLASS tile TILEPROP CLBLL_L_X4Y37 COLUMN 14 TILEPROP CLBLL_L_X4Y37 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y37 FIRST_SITE_ID 11708 TILEPROP CLBLL_L_X4Y37 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y37 GRID_POINT_Y 117 TILEPROP CLBLL_L_X4Y37 INDEX 13469 TILEPROP CLBLL_L_X4Y37 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y37 INT_TILE_Y 112 TILEPROP CLBLL_L_X4Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y37 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y37 NAME CLBLL_L_X4Y37 TILEPROP CLBLL_L_X4Y37 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y37 NUM_SITES 2 TILEPROP CLBLL_L_X4Y37 ROW 117 TILEPROP CLBLL_L_X4Y37 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y37 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y37 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y37 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y37 TILE_X -78906 TILEPROP CLBLL_L_X4Y37 TILE_Y -120248 TILEPROP CLBLL_L_X4Y37 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y38 CLASS tile TILEPROP CLBLL_L_X4Y38 COLUMN 14 TILEPROP CLBLL_L_X4Y38 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y38 FIRST_SITE_ID 11608 TILEPROP CLBLL_L_X4Y38 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y38 GRID_POINT_Y 116 TILEPROP CLBLL_L_X4Y38 INDEX 13354 TILEPROP CLBLL_L_X4Y38 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y38 INT_TILE_Y 111 TILEPROP CLBLL_L_X4Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y38 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y38 NAME CLBLL_L_X4Y38 TILEPROP CLBLL_L_X4Y38 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y38 NUM_SITES 2 TILEPROP CLBLL_L_X4Y38 ROW 116 TILEPROP CLBLL_L_X4Y38 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y38 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y38 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y38 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y38 TILE_X -78906 TILEPROP CLBLL_L_X4Y38 TILE_Y -117048 TILEPROP CLBLL_L_X4Y38 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y39 CLASS tile TILEPROP CLBLL_L_X4Y39 COLUMN 14 TILEPROP CLBLL_L_X4Y39 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y39 FIRST_SITE_ID 11508 TILEPROP CLBLL_L_X4Y39 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y39 GRID_POINT_Y 115 TILEPROP CLBLL_L_X4Y39 INDEX 13239 TILEPROP CLBLL_L_X4Y39 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y39 INT_TILE_Y 110 TILEPROP CLBLL_L_X4Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y39 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y39 NAME CLBLL_L_X4Y39 TILEPROP CLBLL_L_X4Y39 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y39 NUM_SITES 2 TILEPROP CLBLL_L_X4Y39 ROW 115 TILEPROP CLBLL_L_X4Y39 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y39 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y39 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y39 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y39 TILE_X -78906 TILEPROP CLBLL_L_X4Y39 TILE_Y -113848 TILEPROP CLBLL_L_X4Y39 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y40 CLASS tile TILEPROP CLBLL_L_X4Y40 COLUMN 14 TILEPROP CLBLL_L_X4Y40 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y40 FIRST_SITE_ID 11391 TILEPROP CLBLL_L_X4Y40 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y40 GRID_POINT_Y 114 TILEPROP CLBLL_L_X4Y40 INDEX 13124 TILEPROP CLBLL_L_X4Y40 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y40 INT_TILE_Y 109 TILEPROP CLBLL_L_X4Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y40 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y40 NAME CLBLL_L_X4Y40 TILEPROP CLBLL_L_X4Y40 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y40 NUM_SITES 2 TILEPROP CLBLL_L_X4Y40 ROW 114 TILEPROP CLBLL_L_X4Y40 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y40 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y40 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y40 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y40 TILE_X -78906 TILEPROP CLBLL_L_X4Y40 TILE_Y -110648 TILEPROP CLBLL_L_X4Y40 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y41 CLASS tile TILEPROP CLBLL_L_X4Y41 COLUMN 14 TILEPROP CLBLL_L_X4Y41 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y41 FIRST_SITE_ID 11290 TILEPROP CLBLL_L_X4Y41 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y41 GRID_POINT_Y 113 TILEPROP CLBLL_L_X4Y41 INDEX 13009 TILEPROP CLBLL_L_X4Y41 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y41 INT_TILE_Y 108 TILEPROP CLBLL_L_X4Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y41 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y41 NAME CLBLL_L_X4Y41 TILEPROP CLBLL_L_X4Y41 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y41 NUM_SITES 2 TILEPROP CLBLL_L_X4Y41 ROW 113 TILEPROP CLBLL_L_X4Y41 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y41 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y41 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y41 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y41 TILE_X -78906 TILEPROP CLBLL_L_X4Y41 TILE_Y -107448 TILEPROP CLBLL_L_X4Y41 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y42 CLASS tile TILEPROP CLBLL_L_X4Y42 COLUMN 14 TILEPROP CLBLL_L_X4Y42 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y42 FIRST_SITE_ID 11189 TILEPROP CLBLL_L_X4Y42 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y42 GRID_POINT_Y 112 TILEPROP CLBLL_L_X4Y42 INDEX 12894 TILEPROP CLBLL_L_X4Y42 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y42 INT_TILE_Y 107 TILEPROP CLBLL_L_X4Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y42 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y42 NAME CLBLL_L_X4Y42 TILEPROP CLBLL_L_X4Y42 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y42 NUM_SITES 2 TILEPROP CLBLL_L_X4Y42 ROW 112 TILEPROP CLBLL_L_X4Y42 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y42 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y42 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y42 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y42 TILE_X -78906 TILEPROP CLBLL_L_X4Y42 TILE_Y -104248 TILEPROP CLBLL_L_X4Y42 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y43 CLASS tile TILEPROP CLBLL_L_X4Y43 COLUMN 14 TILEPROP CLBLL_L_X4Y43 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y43 FIRST_SITE_ID 11086 TILEPROP CLBLL_L_X4Y43 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y43 GRID_POINT_Y 111 TILEPROP CLBLL_L_X4Y43 INDEX 12779 TILEPROP CLBLL_L_X4Y43 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y43 INT_TILE_Y 106 TILEPROP CLBLL_L_X4Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y43 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y43 NAME CLBLL_L_X4Y43 TILEPROP CLBLL_L_X4Y43 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y43 NUM_SITES 2 TILEPROP CLBLL_L_X4Y43 ROW 111 TILEPROP CLBLL_L_X4Y43 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y43 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y43 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y43 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y43 TILE_X -78906 TILEPROP CLBLL_L_X4Y43 TILE_Y -101048 TILEPROP CLBLL_L_X4Y43 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y44 CLASS tile TILEPROP CLBLL_L_X4Y44 COLUMN 14 TILEPROP CLBLL_L_X4Y44 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y44 FIRST_SITE_ID 10984 TILEPROP CLBLL_L_X4Y44 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y44 GRID_POINT_Y 110 TILEPROP CLBLL_L_X4Y44 INDEX 12664 TILEPROP CLBLL_L_X4Y44 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y44 INT_TILE_Y 105 TILEPROP CLBLL_L_X4Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y44 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y44 NAME CLBLL_L_X4Y44 TILEPROP CLBLL_L_X4Y44 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y44 NUM_SITES 2 TILEPROP CLBLL_L_X4Y44 ROW 110 TILEPROP CLBLL_L_X4Y44 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y44 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y44 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y44 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y44 TILE_X -78906 TILEPROP CLBLL_L_X4Y44 TILE_Y -97848 TILEPROP CLBLL_L_X4Y44 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y45 CLASS tile TILEPROP CLBLL_L_X4Y45 COLUMN 14 TILEPROP CLBLL_L_X4Y45 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y45 FIRST_SITE_ID 10868 TILEPROP CLBLL_L_X4Y45 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y45 GRID_POINT_Y 109 TILEPROP CLBLL_L_X4Y45 INDEX 12549 TILEPROP CLBLL_L_X4Y45 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y45 INT_TILE_Y 104 TILEPROP CLBLL_L_X4Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y45 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y45 NAME CLBLL_L_X4Y45 TILEPROP CLBLL_L_X4Y45 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y45 NUM_SITES 2 TILEPROP CLBLL_L_X4Y45 ROW 109 TILEPROP CLBLL_L_X4Y45 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y45 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y45 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y45 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y45 TILE_X -78906 TILEPROP CLBLL_L_X4Y45 TILE_Y -94648 TILEPROP CLBLL_L_X4Y45 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y46 CLASS tile TILEPROP CLBLL_L_X4Y46 COLUMN 14 TILEPROP CLBLL_L_X4Y46 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y46 FIRST_SITE_ID 10752 TILEPROP CLBLL_L_X4Y46 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y46 GRID_POINT_Y 108 TILEPROP CLBLL_L_X4Y46 INDEX 12434 TILEPROP CLBLL_L_X4Y46 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y46 INT_TILE_Y 103 TILEPROP CLBLL_L_X4Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y46 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y46 NAME CLBLL_L_X4Y46 TILEPROP CLBLL_L_X4Y46 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y46 NUM_SITES 2 TILEPROP CLBLL_L_X4Y46 ROW 108 TILEPROP CLBLL_L_X4Y46 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y46 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y46 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y46 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y46 TILE_X -78906 TILEPROP CLBLL_L_X4Y46 TILE_Y -91448 TILEPROP CLBLL_L_X4Y46 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y47 CLASS tile TILEPROP CLBLL_L_X4Y47 COLUMN 14 TILEPROP CLBLL_L_X4Y47 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y47 FIRST_SITE_ID 10652 TILEPROP CLBLL_L_X4Y47 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y47 GRID_POINT_Y 107 TILEPROP CLBLL_L_X4Y47 INDEX 12319 TILEPROP CLBLL_L_X4Y47 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y47 INT_TILE_Y 102 TILEPROP CLBLL_L_X4Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y47 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y47 NAME CLBLL_L_X4Y47 TILEPROP CLBLL_L_X4Y47 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y47 NUM_SITES 2 TILEPROP CLBLL_L_X4Y47 ROW 107 TILEPROP CLBLL_L_X4Y47 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y47 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y47 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y47 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y47 TILE_X -78906 TILEPROP CLBLL_L_X4Y47 TILE_Y -88248 TILEPROP CLBLL_L_X4Y47 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y48 CLASS tile TILEPROP CLBLL_L_X4Y48 COLUMN 14 TILEPROP CLBLL_L_X4Y48 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y48 FIRST_SITE_ID 10552 TILEPROP CLBLL_L_X4Y48 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y48 GRID_POINT_Y 106 TILEPROP CLBLL_L_X4Y48 INDEX 12204 TILEPROP CLBLL_L_X4Y48 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y48 INT_TILE_Y 101 TILEPROP CLBLL_L_X4Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y48 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y48 NAME CLBLL_L_X4Y48 TILEPROP CLBLL_L_X4Y48 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y48 NUM_SITES 2 TILEPROP CLBLL_L_X4Y48 ROW 106 TILEPROP CLBLL_L_X4Y48 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y48 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y48 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y48 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y48 TILE_X -78906 TILEPROP CLBLL_L_X4Y48 TILE_Y -85048 TILEPROP CLBLL_L_X4Y48 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y49 CLASS tile TILEPROP CLBLL_L_X4Y49 COLUMN 14 TILEPROP CLBLL_L_X4Y49 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y49 FIRST_SITE_ID 10456 TILEPROP CLBLL_L_X4Y49 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y49 GRID_POINT_Y 105 TILEPROP CLBLL_L_X4Y49 INDEX 12089 TILEPROP CLBLL_L_X4Y49 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y49 INT_TILE_Y 100 TILEPROP CLBLL_L_X4Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y49 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y49 NAME CLBLL_L_X4Y49 TILEPROP CLBLL_L_X4Y49 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y49 NUM_SITES 2 TILEPROP CLBLL_L_X4Y49 ROW 105 TILEPROP CLBLL_L_X4Y49 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y49 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X4Y49 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y49 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y49 TILE_X -78906 TILEPROP CLBLL_L_X4Y49 TILE_Y -81848 TILEPROP CLBLL_L_X4Y49 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y50 CLASS tile TILEPROP CLBLL_L_X4Y50 COLUMN 14 TILEPROP CLBLL_L_X4Y50 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y50 FIRST_SITE_ID 10325 TILEPROP CLBLL_L_X4Y50 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y50 GRID_POINT_Y 103 TILEPROP CLBLL_L_X4Y50 INDEX 11859 TILEPROP CLBLL_L_X4Y50 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y50 INT_TILE_Y 99 TILEPROP CLBLL_L_X4Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y50 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y50 NAME CLBLL_L_X4Y50 TILEPROP CLBLL_L_X4Y50 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y50 NUM_SITES 2 TILEPROP CLBLL_L_X4Y50 ROW 103 TILEPROP CLBLL_L_X4Y50 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y50 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X4Y50 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y50 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y50 TILE_X -78906 TILEPROP CLBLL_L_X4Y50 TILE_Y -78400 TILEPROP CLBLL_L_X4Y50 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y51 CLASS tile TILEPROP CLBLL_L_X4Y51 COLUMN 14 TILEPROP CLBLL_L_X4Y51 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y51 FIRST_SITE_ID 10221 TILEPROP CLBLL_L_X4Y51 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y51 GRID_POINT_Y 102 TILEPROP CLBLL_L_X4Y51 INDEX 11744 TILEPROP CLBLL_L_X4Y51 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y51 INT_TILE_Y 98 TILEPROP CLBLL_L_X4Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y51 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y51 NAME CLBLL_L_X4Y51 TILEPROP CLBLL_L_X4Y51 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y51 NUM_SITES 2 TILEPROP CLBLL_L_X4Y51 ROW 102 TILEPROP CLBLL_L_X4Y51 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y51 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y51 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y51 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y51 TILE_X -78906 TILEPROP CLBLL_L_X4Y51 TILE_Y -75200 TILEPROP CLBLL_L_X4Y51 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y52 CLASS tile TILEPROP CLBLL_L_X4Y52 COLUMN 14 TILEPROP CLBLL_L_X4Y52 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y52 FIRST_SITE_ID 10121 TILEPROP CLBLL_L_X4Y52 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y52 GRID_POINT_Y 101 TILEPROP CLBLL_L_X4Y52 INDEX 11629 TILEPROP CLBLL_L_X4Y52 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y52 INT_TILE_Y 97 TILEPROP CLBLL_L_X4Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y52 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y52 NAME CLBLL_L_X4Y52 TILEPROP CLBLL_L_X4Y52 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y52 NUM_SITES 2 TILEPROP CLBLL_L_X4Y52 ROW 101 TILEPROP CLBLL_L_X4Y52 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y52 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y52 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y52 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y52 TILE_X -78906 TILEPROP CLBLL_L_X4Y52 TILE_Y -72000 TILEPROP CLBLL_L_X4Y52 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y53 CLASS tile TILEPROP CLBLL_L_X4Y53 COLUMN 14 TILEPROP CLBLL_L_X4Y53 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y53 FIRST_SITE_ID 10021 TILEPROP CLBLL_L_X4Y53 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y53 GRID_POINT_Y 100 TILEPROP CLBLL_L_X4Y53 INDEX 11514 TILEPROP CLBLL_L_X4Y53 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y53 INT_TILE_Y 96 TILEPROP CLBLL_L_X4Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y53 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y53 NAME CLBLL_L_X4Y53 TILEPROP CLBLL_L_X4Y53 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y53 NUM_SITES 2 TILEPROP CLBLL_L_X4Y53 ROW 100 TILEPROP CLBLL_L_X4Y53 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y53 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y53 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y53 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y53 TILE_X -78906 TILEPROP CLBLL_L_X4Y53 TILE_Y -68800 TILEPROP CLBLL_L_X4Y53 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y54 CLASS tile TILEPROP CLBLL_L_X4Y54 COLUMN 14 TILEPROP CLBLL_L_X4Y54 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y54 FIRST_SITE_ID 9921 TILEPROP CLBLL_L_X4Y54 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y54 GRID_POINT_Y 99 TILEPROP CLBLL_L_X4Y54 INDEX 11399 TILEPROP CLBLL_L_X4Y54 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y54 INT_TILE_Y 95 TILEPROP CLBLL_L_X4Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y54 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y54 NAME CLBLL_L_X4Y54 TILEPROP CLBLL_L_X4Y54 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y54 NUM_SITES 2 TILEPROP CLBLL_L_X4Y54 ROW 99 TILEPROP CLBLL_L_X4Y54 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y54 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y54 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y54 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y54 TILE_X -78906 TILEPROP CLBLL_L_X4Y54 TILE_Y -65600 TILEPROP CLBLL_L_X4Y54 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y55 CLASS tile TILEPROP CLBLL_L_X4Y55 COLUMN 14 TILEPROP CLBLL_L_X4Y55 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y55 FIRST_SITE_ID 9806 TILEPROP CLBLL_L_X4Y55 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y55 GRID_POINT_Y 98 TILEPROP CLBLL_L_X4Y55 INDEX 11284 TILEPROP CLBLL_L_X4Y55 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y55 INT_TILE_Y 94 TILEPROP CLBLL_L_X4Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y55 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y55 NAME CLBLL_L_X4Y55 TILEPROP CLBLL_L_X4Y55 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y55 NUM_SITES 2 TILEPROP CLBLL_L_X4Y55 ROW 98 TILEPROP CLBLL_L_X4Y55 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y55 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y55 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y55 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y55 TILE_X -78906 TILEPROP CLBLL_L_X4Y55 TILE_Y -62400 TILEPROP CLBLL_L_X4Y55 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y56 CLASS tile TILEPROP CLBLL_L_X4Y56 COLUMN 14 TILEPROP CLBLL_L_X4Y56 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y56 FIRST_SITE_ID 9706 TILEPROP CLBLL_L_X4Y56 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y56 GRID_POINT_Y 97 TILEPROP CLBLL_L_X4Y56 INDEX 11169 TILEPROP CLBLL_L_X4Y56 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y56 INT_TILE_Y 93 TILEPROP CLBLL_L_X4Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y56 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y56 NAME CLBLL_L_X4Y56 TILEPROP CLBLL_L_X4Y56 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y56 NUM_SITES 2 TILEPROP CLBLL_L_X4Y56 ROW 97 TILEPROP CLBLL_L_X4Y56 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y56 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y56 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y56 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y56 TILE_X -78906 TILEPROP CLBLL_L_X4Y56 TILE_Y -59200 TILEPROP CLBLL_L_X4Y56 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y57 CLASS tile TILEPROP CLBLL_L_X4Y57 COLUMN 14 TILEPROP CLBLL_L_X4Y57 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y57 FIRST_SITE_ID 9604 TILEPROP CLBLL_L_X4Y57 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y57 GRID_POINT_Y 96 TILEPROP CLBLL_L_X4Y57 INDEX 11054 TILEPROP CLBLL_L_X4Y57 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y57 INT_TILE_Y 92 TILEPROP CLBLL_L_X4Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y57 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y57 NAME CLBLL_L_X4Y57 TILEPROP CLBLL_L_X4Y57 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y57 NUM_SITES 2 TILEPROP CLBLL_L_X4Y57 ROW 96 TILEPROP CLBLL_L_X4Y57 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y57 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y57 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y57 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y57 TILE_X -78906 TILEPROP CLBLL_L_X4Y57 TILE_Y -56000 TILEPROP CLBLL_L_X4Y57 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y58 CLASS tile TILEPROP CLBLL_L_X4Y58 COLUMN 14 TILEPROP CLBLL_L_X4Y58 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y58 FIRST_SITE_ID 9501 TILEPROP CLBLL_L_X4Y58 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y58 GRID_POINT_Y 95 TILEPROP CLBLL_L_X4Y58 INDEX 10939 TILEPROP CLBLL_L_X4Y58 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y58 INT_TILE_Y 91 TILEPROP CLBLL_L_X4Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y58 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y58 NAME CLBLL_L_X4Y58 TILEPROP CLBLL_L_X4Y58 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y58 NUM_SITES 2 TILEPROP CLBLL_L_X4Y58 ROW 95 TILEPROP CLBLL_L_X4Y58 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y58 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y58 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y58 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y58 TILE_X -78906 TILEPROP CLBLL_L_X4Y58 TILE_Y -52800 TILEPROP CLBLL_L_X4Y58 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y59 CLASS tile TILEPROP CLBLL_L_X4Y59 COLUMN 14 TILEPROP CLBLL_L_X4Y59 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y59 FIRST_SITE_ID 9400 TILEPROP CLBLL_L_X4Y59 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y59 GRID_POINT_Y 94 TILEPROP CLBLL_L_X4Y59 INDEX 10824 TILEPROP CLBLL_L_X4Y59 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y59 INT_TILE_Y 90 TILEPROP CLBLL_L_X4Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y59 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y59 NAME CLBLL_L_X4Y59 TILEPROP CLBLL_L_X4Y59 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y59 NUM_SITES 2 TILEPROP CLBLL_L_X4Y59 ROW 94 TILEPROP CLBLL_L_X4Y59 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y59 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y59 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y59 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y59 TILE_X -78906 TILEPROP CLBLL_L_X4Y59 TILE_Y -49600 TILEPROP CLBLL_L_X4Y59 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y60 CLASS tile TILEPROP CLBLL_L_X4Y60 COLUMN 14 TILEPROP CLBLL_L_X4Y60 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y60 FIRST_SITE_ID 9285 TILEPROP CLBLL_L_X4Y60 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y60 GRID_POINT_Y 93 TILEPROP CLBLL_L_X4Y60 INDEX 10709 TILEPROP CLBLL_L_X4Y60 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y60 INT_TILE_Y 89 TILEPROP CLBLL_L_X4Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y60 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y60 NAME CLBLL_L_X4Y60 TILEPROP CLBLL_L_X4Y60 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y60 NUM_SITES 2 TILEPROP CLBLL_L_X4Y60 ROW 93 TILEPROP CLBLL_L_X4Y60 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y60 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y60 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y60 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y60 TILE_X -78906 TILEPROP CLBLL_L_X4Y60 TILE_Y -46400 TILEPROP CLBLL_L_X4Y60 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y61 CLASS tile TILEPROP CLBLL_L_X4Y61 COLUMN 14 TILEPROP CLBLL_L_X4Y61 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y61 FIRST_SITE_ID 9185 TILEPROP CLBLL_L_X4Y61 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y61 GRID_POINT_Y 92 TILEPROP CLBLL_L_X4Y61 INDEX 10594 TILEPROP CLBLL_L_X4Y61 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y61 INT_TILE_Y 88 TILEPROP CLBLL_L_X4Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y61 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y61 NAME CLBLL_L_X4Y61 TILEPROP CLBLL_L_X4Y61 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y61 NUM_SITES 2 TILEPROP CLBLL_L_X4Y61 ROW 92 TILEPROP CLBLL_L_X4Y61 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y61 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y61 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y61 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y61 TILE_X -78906 TILEPROP CLBLL_L_X4Y61 TILE_Y -43200 TILEPROP CLBLL_L_X4Y61 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y62 CLASS tile TILEPROP CLBLL_L_X4Y62 COLUMN 14 TILEPROP CLBLL_L_X4Y62 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y62 FIRST_SITE_ID 9053 TILEPROP CLBLL_L_X4Y62 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y62 GRID_POINT_Y 91 TILEPROP CLBLL_L_X4Y62 INDEX 10479 TILEPROP CLBLL_L_X4Y62 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y62 INT_TILE_Y 87 TILEPROP CLBLL_L_X4Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y62 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y62 NAME CLBLL_L_X4Y62 TILEPROP CLBLL_L_X4Y62 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y62 NUM_SITES 2 TILEPROP CLBLL_L_X4Y62 ROW 91 TILEPROP CLBLL_L_X4Y62 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y62 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y62 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y62 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y62 TILE_X -78906 TILEPROP CLBLL_L_X4Y62 TILE_Y -40000 TILEPROP CLBLL_L_X4Y62 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y63 CLASS tile TILEPROP CLBLL_L_X4Y63 COLUMN 14 TILEPROP CLBLL_L_X4Y63 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y63 FIRST_SITE_ID 8953 TILEPROP CLBLL_L_X4Y63 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y63 GRID_POINT_Y 90 TILEPROP CLBLL_L_X4Y63 INDEX 10364 TILEPROP CLBLL_L_X4Y63 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y63 INT_TILE_Y 86 TILEPROP CLBLL_L_X4Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y63 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y63 NAME CLBLL_L_X4Y63 TILEPROP CLBLL_L_X4Y63 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y63 NUM_SITES 2 TILEPROP CLBLL_L_X4Y63 ROW 90 TILEPROP CLBLL_L_X4Y63 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y63 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y63 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y63 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y63 TILE_X -78906 TILEPROP CLBLL_L_X4Y63 TILE_Y -36800 TILEPROP CLBLL_L_X4Y63 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y64 CLASS tile TILEPROP CLBLL_L_X4Y64 COLUMN 14 TILEPROP CLBLL_L_X4Y64 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y64 FIRST_SITE_ID 8853 TILEPROP CLBLL_L_X4Y64 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y64 GRID_POINT_Y 89 TILEPROP CLBLL_L_X4Y64 INDEX 10249 TILEPROP CLBLL_L_X4Y64 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y64 INT_TILE_Y 85 TILEPROP CLBLL_L_X4Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y64 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y64 NAME CLBLL_L_X4Y64 TILEPROP CLBLL_L_X4Y64 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y64 NUM_SITES 2 TILEPROP CLBLL_L_X4Y64 ROW 89 TILEPROP CLBLL_L_X4Y64 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y64 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y64 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y64 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y64 TILE_X -78906 TILEPROP CLBLL_L_X4Y64 TILE_Y -33600 TILEPROP CLBLL_L_X4Y64 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y65 CLASS tile TILEPROP CLBLL_L_X4Y65 COLUMN 14 TILEPROP CLBLL_L_X4Y65 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y65 FIRST_SITE_ID 8738 TILEPROP CLBLL_L_X4Y65 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y65 GRID_POINT_Y 88 TILEPROP CLBLL_L_X4Y65 INDEX 10134 TILEPROP CLBLL_L_X4Y65 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y65 INT_TILE_Y 84 TILEPROP CLBLL_L_X4Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y65 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y65 NAME CLBLL_L_X4Y65 TILEPROP CLBLL_L_X4Y65 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y65 NUM_SITES 2 TILEPROP CLBLL_L_X4Y65 ROW 88 TILEPROP CLBLL_L_X4Y65 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y65 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y65 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y65 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y65 TILE_X -78906 TILEPROP CLBLL_L_X4Y65 TILE_Y -30400 TILEPROP CLBLL_L_X4Y65 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y66 CLASS tile TILEPROP CLBLL_L_X4Y66 COLUMN 14 TILEPROP CLBLL_L_X4Y66 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y66 FIRST_SITE_ID 8638 TILEPROP CLBLL_L_X4Y66 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y66 GRID_POINT_Y 87 TILEPROP CLBLL_L_X4Y66 INDEX 10019 TILEPROP CLBLL_L_X4Y66 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y66 INT_TILE_Y 83 TILEPROP CLBLL_L_X4Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y66 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y66 NAME CLBLL_L_X4Y66 TILEPROP CLBLL_L_X4Y66 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y66 NUM_SITES 2 TILEPROP CLBLL_L_X4Y66 ROW 87 TILEPROP CLBLL_L_X4Y66 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y66 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y66 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y66 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y66 TILE_X -78906 TILEPROP CLBLL_L_X4Y66 TILE_Y -27200 TILEPROP CLBLL_L_X4Y66 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y67 CLASS tile TILEPROP CLBLL_L_X4Y67 COLUMN 14 TILEPROP CLBLL_L_X4Y67 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y67 FIRST_SITE_ID 8534 TILEPROP CLBLL_L_X4Y67 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y67 GRID_POINT_Y 86 TILEPROP CLBLL_L_X4Y67 INDEX 9904 TILEPROP CLBLL_L_X4Y67 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y67 INT_TILE_Y 82 TILEPROP CLBLL_L_X4Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y67 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y67 NAME CLBLL_L_X4Y67 TILEPROP CLBLL_L_X4Y67 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y67 NUM_SITES 2 TILEPROP CLBLL_L_X4Y67 ROW 86 TILEPROP CLBLL_L_X4Y67 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y67 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y67 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y67 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y67 TILE_X -78906 TILEPROP CLBLL_L_X4Y67 TILE_Y -24000 TILEPROP CLBLL_L_X4Y67 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y68 CLASS tile TILEPROP CLBLL_L_X4Y68 COLUMN 14 TILEPROP CLBLL_L_X4Y68 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y68 FIRST_SITE_ID 8430 TILEPROP CLBLL_L_X4Y68 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y68 GRID_POINT_Y 85 TILEPROP CLBLL_L_X4Y68 INDEX 9789 TILEPROP CLBLL_L_X4Y68 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y68 INT_TILE_Y 81 TILEPROP CLBLL_L_X4Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y68 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y68 NAME CLBLL_L_X4Y68 TILEPROP CLBLL_L_X4Y68 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y68 NUM_SITES 2 TILEPROP CLBLL_L_X4Y68 ROW 85 TILEPROP CLBLL_L_X4Y68 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y68 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y68 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y68 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y68 TILE_X -78906 TILEPROP CLBLL_L_X4Y68 TILE_Y -20800 TILEPROP CLBLL_L_X4Y68 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y69 CLASS tile TILEPROP CLBLL_L_X4Y69 COLUMN 14 TILEPROP CLBLL_L_X4Y69 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y69 FIRST_SITE_ID 8328 TILEPROP CLBLL_L_X4Y69 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y69 GRID_POINT_Y 84 TILEPROP CLBLL_L_X4Y69 INDEX 9674 TILEPROP CLBLL_L_X4Y69 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y69 INT_TILE_Y 80 TILEPROP CLBLL_L_X4Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y69 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y69 NAME CLBLL_L_X4Y69 TILEPROP CLBLL_L_X4Y69 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y69 NUM_SITES 2 TILEPROP CLBLL_L_X4Y69 ROW 84 TILEPROP CLBLL_L_X4Y69 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y69 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y69 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y69 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y69 TILE_X -78906 TILEPROP CLBLL_L_X4Y69 TILE_Y -17600 TILEPROP CLBLL_L_X4Y69 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y70 CLASS tile TILEPROP CLBLL_L_X4Y70 COLUMN 14 TILEPROP CLBLL_L_X4Y70 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y70 FIRST_SITE_ID 8211 TILEPROP CLBLL_L_X4Y70 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y70 GRID_POINT_Y 83 TILEPROP CLBLL_L_X4Y70 INDEX 9559 TILEPROP CLBLL_L_X4Y70 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y70 INT_TILE_Y 79 TILEPROP CLBLL_L_X4Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y70 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y70 NAME CLBLL_L_X4Y70 TILEPROP CLBLL_L_X4Y70 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y70 NUM_SITES 2 TILEPROP CLBLL_L_X4Y70 ROW 83 TILEPROP CLBLL_L_X4Y70 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y70 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y70 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y70 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y70 TILE_X -78906 TILEPROP CLBLL_L_X4Y70 TILE_Y -14400 TILEPROP CLBLL_L_X4Y70 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y71 CLASS tile TILEPROP CLBLL_L_X4Y71 COLUMN 14 TILEPROP CLBLL_L_X4Y71 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y71 FIRST_SITE_ID 8111 TILEPROP CLBLL_L_X4Y71 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y71 GRID_POINT_Y 82 TILEPROP CLBLL_L_X4Y71 INDEX 9444 TILEPROP CLBLL_L_X4Y71 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y71 INT_TILE_Y 78 TILEPROP CLBLL_L_X4Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y71 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y71 NAME CLBLL_L_X4Y71 TILEPROP CLBLL_L_X4Y71 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y71 NUM_SITES 2 TILEPROP CLBLL_L_X4Y71 ROW 82 TILEPROP CLBLL_L_X4Y71 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y71 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y71 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y71 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y71 TILE_X -78906 TILEPROP CLBLL_L_X4Y71 TILE_Y -11200 TILEPROP CLBLL_L_X4Y71 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y72 CLASS tile TILEPROP CLBLL_L_X4Y72 COLUMN 14 TILEPROP CLBLL_L_X4Y72 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y72 FIRST_SITE_ID 8011 TILEPROP CLBLL_L_X4Y72 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y72 GRID_POINT_Y 81 TILEPROP CLBLL_L_X4Y72 INDEX 9329 TILEPROP CLBLL_L_X4Y72 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y72 INT_TILE_Y 77 TILEPROP CLBLL_L_X4Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y72 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y72 NAME CLBLL_L_X4Y72 TILEPROP CLBLL_L_X4Y72 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y72 NUM_SITES 2 TILEPROP CLBLL_L_X4Y72 ROW 81 TILEPROP CLBLL_L_X4Y72 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y72 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y72 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y72 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y72 TILE_X -78906 TILEPROP CLBLL_L_X4Y72 TILE_Y -8000 TILEPROP CLBLL_L_X4Y72 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y73 CLASS tile TILEPROP CLBLL_L_X4Y73 COLUMN 14 TILEPROP CLBLL_L_X4Y73 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y73 FIRST_SITE_ID 7911 TILEPROP CLBLL_L_X4Y73 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y73 GRID_POINT_Y 80 TILEPROP CLBLL_L_X4Y73 INDEX 9214 TILEPROP CLBLL_L_X4Y73 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y73 INT_TILE_Y 76 TILEPROP CLBLL_L_X4Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y73 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y73 NAME CLBLL_L_X4Y73 TILEPROP CLBLL_L_X4Y73 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y73 NUM_SITES 2 TILEPROP CLBLL_L_X4Y73 ROW 80 TILEPROP CLBLL_L_X4Y73 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y73 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y73 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y73 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y73 TILE_X -78906 TILEPROP CLBLL_L_X4Y73 TILE_Y -4800 TILEPROP CLBLL_L_X4Y73 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y74 CLASS tile TILEPROP CLBLL_L_X4Y74 COLUMN 14 TILEPROP CLBLL_L_X4Y74 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y74 FIRST_SITE_ID 7811 TILEPROP CLBLL_L_X4Y74 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y74 GRID_POINT_Y 79 TILEPROP CLBLL_L_X4Y74 INDEX 9099 TILEPROP CLBLL_L_X4Y74 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y74 INT_TILE_Y 75 TILEPROP CLBLL_L_X4Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y74 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y74 NAME CLBLL_L_X4Y74 TILEPROP CLBLL_L_X4Y74 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y74 NUM_SITES 2 TILEPROP CLBLL_L_X4Y74 ROW 79 TILEPROP CLBLL_L_X4Y74 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y74 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X4Y74 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y74 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y74 TILE_X -78906 TILEPROP CLBLL_L_X4Y74 TILE_Y -1600 TILEPROP CLBLL_L_X4Y74 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y75 CLASS tile TILEPROP CLBLL_L_X4Y75 COLUMN 14 TILEPROP CLBLL_L_X4Y75 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y75 FIRST_SITE_ID 7610 TILEPROP CLBLL_L_X4Y75 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y75 GRID_POINT_Y 77 TILEPROP CLBLL_L_X4Y75 INDEX 8869 TILEPROP CLBLL_L_X4Y75 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y75 INT_TILE_Y 74 TILEPROP CLBLL_L_X4Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y75 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y75 NAME CLBLL_L_X4Y75 TILEPROP CLBLL_L_X4Y75 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y75 NUM_SITES 2 TILEPROP CLBLL_L_X4Y75 ROW 77 TILEPROP CLBLL_L_X4Y75 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y75 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X4Y75 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y75 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y75 TILE_X -78906 TILEPROP CLBLL_L_X4Y75 TILE_Y 2624 TILEPROP CLBLL_L_X4Y75 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y76 CLASS tile TILEPROP CLBLL_L_X4Y76 COLUMN 14 TILEPROP CLBLL_L_X4Y76 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y76 FIRST_SITE_ID 7510 TILEPROP CLBLL_L_X4Y76 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y76 GRID_POINT_Y 76 TILEPROP CLBLL_L_X4Y76 INDEX 8754 TILEPROP CLBLL_L_X4Y76 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y76 INT_TILE_Y 73 TILEPROP CLBLL_L_X4Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y76 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y76 NAME CLBLL_L_X4Y76 TILEPROP CLBLL_L_X4Y76 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y76 NUM_SITES 2 TILEPROP CLBLL_L_X4Y76 ROW 76 TILEPROP CLBLL_L_X4Y76 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y76 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y76 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y76 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y76 TILE_X -78906 TILEPROP CLBLL_L_X4Y76 TILE_Y 5824 TILEPROP CLBLL_L_X4Y76 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y77 CLASS tile TILEPROP CLBLL_L_X4Y77 COLUMN 14 TILEPROP CLBLL_L_X4Y77 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y77 FIRST_SITE_ID 7410 TILEPROP CLBLL_L_X4Y77 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y77 GRID_POINT_Y 75 TILEPROP CLBLL_L_X4Y77 INDEX 8639 TILEPROP CLBLL_L_X4Y77 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y77 INT_TILE_Y 72 TILEPROP CLBLL_L_X4Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y77 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y77 NAME CLBLL_L_X4Y77 TILEPROP CLBLL_L_X4Y77 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y77 NUM_SITES 2 TILEPROP CLBLL_L_X4Y77 ROW 75 TILEPROP CLBLL_L_X4Y77 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y77 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y77 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y77 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y77 TILE_X -78906 TILEPROP CLBLL_L_X4Y77 TILE_Y 9024 TILEPROP CLBLL_L_X4Y77 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y78 CLASS tile TILEPROP CLBLL_L_X4Y78 COLUMN 14 TILEPROP CLBLL_L_X4Y78 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y78 FIRST_SITE_ID 7310 TILEPROP CLBLL_L_X4Y78 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y78 GRID_POINT_Y 74 TILEPROP CLBLL_L_X4Y78 INDEX 8524 TILEPROP CLBLL_L_X4Y78 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y78 INT_TILE_Y 71 TILEPROP CLBLL_L_X4Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y78 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y78 NAME CLBLL_L_X4Y78 TILEPROP CLBLL_L_X4Y78 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y78 NUM_SITES 2 TILEPROP CLBLL_L_X4Y78 ROW 74 TILEPROP CLBLL_L_X4Y78 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y78 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y78 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y78 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y78 TILE_X -78906 TILEPROP CLBLL_L_X4Y78 TILE_Y 12224 TILEPROP CLBLL_L_X4Y78 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y79 CLASS tile TILEPROP CLBLL_L_X4Y79 COLUMN 14 TILEPROP CLBLL_L_X4Y79 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y79 FIRST_SITE_ID 7204 TILEPROP CLBLL_L_X4Y79 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y79 GRID_POINT_Y 73 TILEPROP CLBLL_L_X4Y79 INDEX 8409 TILEPROP CLBLL_L_X4Y79 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y79 INT_TILE_Y 70 TILEPROP CLBLL_L_X4Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y79 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y79 NAME CLBLL_L_X4Y79 TILEPROP CLBLL_L_X4Y79 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y79 NUM_SITES 2 TILEPROP CLBLL_L_X4Y79 ROW 73 TILEPROP CLBLL_L_X4Y79 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y79 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y79 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y79 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y79 TILE_X -78906 TILEPROP CLBLL_L_X4Y79 TILE_Y 15424 TILEPROP CLBLL_L_X4Y79 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y80 CLASS tile TILEPROP CLBLL_L_X4Y80 COLUMN 14 TILEPROP CLBLL_L_X4Y80 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y80 FIRST_SITE_ID 7083 TILEPROP CLBLL_L_X4Y80 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y80 GRID_POINT_Y 72 TILEPROP CLBLL_L_X4Y80 INDEX 8294 TILEPROP CLBLL_L_X4Y80 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y80 INT_TILE_Y 69 TILEPROP CLBLL_L_X4Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y80 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y80 NAME CLBLL_L_X4Y80 TILEPROP CLBLL_L_X4Y80 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y80 NUM_SITES 2 TILEPROP CLBLL_L_X4Y80 ROW 72 TILEPROP CLBLL_L_X4Y80 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y80 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y80 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y80 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y80 TILE_X -78906 TILEPROP CLBLL_L_X4Y80 TILE_Y 18624 TILEPROP CLBLL_L_X4Y80 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y81 CLASS tile TILEPROP CLBLL_L_X4Y81 COLUMN 14 TILEPROP CLBLL_L_X4Y81 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y81 FIRST_SITE_ID 6981 TILEPROP CLBLL_L_X4Y81 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y81 GRID_POINT_Y 71 TILEPROP CLBLL_L_X4Y81 INDEX 8179 TILEPROP CLBLL_L_X4Y81 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y81 INT_TILE_Y 68 TILEPROP CLBLL_L_X4Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y81 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y81 NAME CLBLL_L_X4Y81 TILEPROP CLBLL_L_X4Y81 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y81 NUM_SITES 2 TILEPROP CLBLL_L_X4Y81 ROW 71 TILEPROP CLBLL_L_X4Y81 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y81 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y81 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y81 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y81 TILE_X -78906 TILEPROP CLBLL_L_X4Y81 TILE_Y 21824 TILEPROP CLBLL_L_X4Y81 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y82 CLASS tile TILEPROP CLBLL_L_X4Y82 COLUMN 14 TILEPROP CLBLL_L_X4Y82 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y82 FIRST_SITE_ID 6879 TILEPROP CLBLL_L_X4Y82 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y82 GRID_POINT_Y 70 TILEPROP CLBLL_L_X4Y82 INDEX 8064 TILEPROP CLBLL_L_X4Y82 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y82 INT_TILE_Y 67 TILEPROP CLBLL_L_X4Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y82 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y82 NAME CLBLL_L_X4Y82 TILEPROP CLBLL_L_X4Y82 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y82 NUM_SITES 2 TILEPROP CLBLL_L_X4Y82 ROW 70 TILEPROP CLBLL_L_X4Y82 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y82 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y82 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y82 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y82 TILE_X -78906 TILEPROP CLBLL_L_X4Y82 TILE_Y 25024 TILEPROP CLBLL_L_X4Y82 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y83 CLASS tile TILEPROP CLBLL_L_X4Y83 COLUMN 14 TILEPROP CLBLL_L_X4Y83 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y83 FIRST_SITE_ID 6779 TILEPROP CLBLL_L_X4Y83 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y83 GRID_POINT_Y 69 TILEPROP CLBLL_L_X4Y83 INDEX 7949 TILEPROP CLBLL_L_X4Y83 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y83 INT_TILE_Y 66 TILEPROP CLBLL_L_X4Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y83 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y83 NAME CLBLL_L_X4Y83 TILEPROP CLBLL_L_X4Y83 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y83 NUM_SITES 2 TILEPROP CLBLL_L_X4Y83 ROW 69 TILEPROP CLBLL_L_X4Y83 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y83 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y83 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y83 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y83 TILE_X -78906 TILEPROP CLBLL_L_X4Y83 TILE_Y 28224 TILEPROP CLBLL_L_X4Y83 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y84 CLASS tile TILEPROP CLBLL_L_X4Y84 COLUMN 14 TILEPROP CLBLL_L_X4Y84 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y84 FIRST_SITE_ID 6679 TILEPROP CLBLL_L_X4Y84 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y84 GRID_POINT_Y 68 TILEPROP CLBLL_L_X4Y84 INDEX 7834 TILEPROP CLBLL_L_X4Y84 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y84 INT_TILE_Y 65 TILEPROP CLBLL_L_X4Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y84 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y84 NAME CLBLL_L_X4Y84 TILEPROP CLBLL_L_X4Y84 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y84 NUM_SITES 2 TILEPROP CLBLL_L_X4Y84 ROW 68 TILEPROP CLBLL_L_X4Y84 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y84 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y84 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y84 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y84 TILE_X -78906 TILEPROP CLBLL_L_X4Y84 TILE_Y 31424 TILEPROP CLBLL_L_X4Y84 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y85 CLASS tile TILEPROP CLBLL_L_X4Y85 COLUMN 14 TILEPROP CLBLL_L_X4Y85 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y85 FIRST_SITE_ID 6564 TILEPROP CLBLL_L_X4Y85 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y85 GRID_POINT_Y 67 TILEPROP CLBLL_L_X4Y85 INDEX 7719 TILEPROP CLBLL_L_X4Y85 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y85 INT_TILE_Y 64 TILEPROP CLBLL_L_X4Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y85 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y85 NAME CLBLL_L_X4Y85 TILEPROP CLBLL_L_X4Y85 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y85 NUM_SITES 2 TILEPROP CLBLL_L_X4Y85 ROW 67 TILEPROP CLBLL_L_X4Y85 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y85 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y85 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y85 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y85 TILE_X -78906 TILEPROP CLBLL_L_X4Y85 TILE_Y 34624 TILEPROP CLBLL_L_X4Y85 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y86 CLASS tile TILEPROP CLBLL_L_X4Y86 COLUMN 14 TILEPROP CLBLL_L_X4Y86 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y86 FIRST_SITE_ID 6432 TILEPROP CLBLL_L_X4Y86 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y86 GRID_POINT_Y 66 TILEPROP CLBLL_L_X4Y86 INDEX 7604 TILEPROP CLBLL_L_X4Y86 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y86 INT_TILE_Y 63 TILEPROP CLBLL_L_X4Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y86 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y86 NAME CLBLL_L_X4Y86 TILEPROP CLBLL_L_X4Y86 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y86 NUM_SITES 2 TILEPROP CLBLL_L_X4Y86 ROW 66 TILEPROP CLBLL_L_X4Y86 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y86 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y86 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y86 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y86 TILE_X -78906 TILEPROP CLBLL_L_X4Y86 TILE_Y 37824 TILEPROP CLBLL_L_X4Y86 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y87 CLASS tile TILEPROP CLBLL_L_X4Y87 COLUMN 14 TILEPROP CLBLL_L_X4Y87 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y87 FIRST_SITE_ID 6332 TILEPROP CLBLL_L_X4Y87 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y87 GRID_POINT_Y 65 TILEPROP CLBLL_L_X4Y87 INDEX 7489 TILEPROP CLBLL_L_X4Y87 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y87 INT_TILE_Y 62 TILEPROP CLBLL_L_X4Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y87 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y87 NAME CLBLL_L_X4Y87 TILEPROP CLBLL_L_X4Y87 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y87 NUM_SITES 2 TILEPROP CLBLL_L_X4Y87 ROW 65 TILEPROP CLBLL_L_X4Y87 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y87 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y87 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y87 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y87 TILE_X -78906 TILEPROP CLBLL_L_X4Y87 TILE_Y 41024 TILEPROP CLBLL_L_X4Y87 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y88 CLASS tile TILEPROP CLBLL_L_X4Y88 COLUMN 14 TILEPROP CLBLL_L_X4Y88 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y88 FIRST_SITE_ID 6232 TILEPROP CLBLL_L_X4Y88 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y88 GRID_POINT_Y 64 TILEPROP CLBLL_L_X4Y88 INDEX 7374 TILEPROP CLBLL_L_X4Y88 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y88 INT_TILE_Y 61 TILEPROP CLBLL_L_X4Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y88 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y88 NAME CLBLL_L_X4Y88 TILEPROP CLBLL_L_X4Y88 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y88 NUM_SITES 2 TILEPROP CLBLL_L_X4Y88 ROW 64 TILEPROP CLBLL_L_X4Y88 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y88 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y88 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y88 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y88 TILE_X -78906 TILEPROP CLBLL_L_X4Y88 TILE_Y 44224 TILEPROP CLBLL_L_X4Y88 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y89 CLASS tile TILEPROP CLBLL_L_X4Y89 COLUMN 14 TILEPROP CLBLL_L_X4Y89 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y89 FIRST_SITE_ID 6132 TILEPROP CLBLL_L_X4Y89 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y89 GRID_POINT_Y 63 TILEPROP CLBLL_L_X4Y89 INDEX 7259 TILEPROP CLBLL_L_X4Y89 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y89 INT_TILE_Y 60 TILEPROP CLBLL_L_X4Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y89 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y89 NAME CLBLL_L_X4Y89 TILEPROP CLBLL_L_X4Y89 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y89 NUM_SITES 2 TILEPROP CLBLL_L_X4Y89 ROW 63 TILEPROP CLBLL_L_X4Y89 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y89 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y89 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y89 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y89 TILE_X -78906 TILEPROP CLBLL_L_X4Y89 TILE_Y 47424 TILEPROP CLBLL_L_X4Y89 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y90 CLASS tile TILEPROP CLBLL_L_X4Y90 COLUMN 14 TILEPROP CLBLL_L_X4Y90 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y90 FIRST_SITE_ID 6017 TILEPROP CLBLL_L_X4Y90 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y90 GRID_POINT_Y 62 TILEPROP CLBLL_L_X4Y90 INDEX 7144 TILEPROP CLBLL_L_X4Y90 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y90 INT_TILE_Y 59 TILEPROP CLBLL_L_X4Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y90 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y90 NAME CLBLL_L_X4Y90 TILEPROP CLBLL_L_X4Y90 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y90 NUM_SITES 2 TILEPROP CLBLL_L_X4Y90 ROW 62 TILEPROP CLBLL_L_X4Y90 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y90 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y90 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y90 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y90 TILE_X -78906 TILEPROP CLBLL_L_X4Y90 TILE_Y 50624 TILEPROP CLBLL_L_X4Y90 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y91 CLASS tile TILEPROP CLBLL_L_X4Y91 COLUMN 14 TILEPROP CLBLL_L_X4Y91 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y91 FIRST_SITE_ID 5917 TILEPROP CLBLL_L_X4Y91 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y91 GRID_POINT_Y 61 TILEPROP CLBLL_L_X4Y91 INDEX 7029 TILEPROP CLBLL_L_X4Y91 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y91 INT_TILE_Y 58 TILEPROP CLBLL_L_X4Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y91 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y91 NAME CLBLL_L_X4Y91 TILEPROP CLBLL_L_X4Y91 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y91 NUM_SITES 2 TILEPROP CLBLL_L_X4Y91 ROW 61 TILEPROP CLBLL_L_X4Y91 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y91 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y91 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y91 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y91 TILE_X -78906 TILEPROP CLBLL_L_X4Y91 TILE_Y 53824 TILEPROP CLBLL_L_X4Y91 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y92 CLASS tile TILEPROP CLBLL_L_X4Y92 COLUMN 14 TILEPROP CLBLL_L_X4Y92 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y92 FIRST_SITE_ID 5816 TILEPROP CLBLL_L_X4Y92 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y92 GRID_POINT_Y 60 TILEPROP CLBLL_L_X4Y92 INDEX 6914 TILEPROP CLBLL_L_X4Y92 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y92 INT_TILE_Y 57 TILEPROP CLBLL_L_X4Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y92 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y92 NAME CLBLL_L_X4Y92 TILEPROP CLBLL_L_X4Y92 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y92 NUM_SITES 2 TILEPROP CLBLL_L_X4Y92 ROW 60 TILEPROP CLBLL_L_X4Y92 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y92 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y92 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y92 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y92 TILE_X -78906 TILEPROP CLBLL_L_X4Y92 TILE_Y 57024 TILEPROP CLBLL_L_X4Y92 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y93 CLASS tile TILEPROP CLBLL_L_X4Y93 COLUMN 14 TILEPROP CLBLL_L_X4Y93 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y93 FIRST_SITE_ID 5713 TILEPROP CLBLL_L_X4Y93 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y93 GRID_POINT_Y 59 TILEPROP CLBLL_L_X4Y93 INDEX 6799 TILEPROP CLBLL_L_X4Y93 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y93 INT_TILE_Y 56 TILEPROP CLBLL_L_X4Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y93 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y93 NAME CLBLL_L_X4Y93 TILEPROP CLBLL_L_X4Y93 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y93 NUM_SITES 2 TILEPROP CLBLL_L_X4Y93 ROW 59 TILEPROP CLBLL_L_X4Y93 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y93 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y93 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y93 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y93 TILE_X -78906 TILEPROP CLBLL_L_X4Y93 TILE_Y 60224 TILEPROP CLBLL_L_X4Y93 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y94 CLASS tile TILEPROP CLBLL_L_X4Y94 COLUMN 14 TILEPROP CLBLL_L_X4Y94 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y94 FIRST_SITE_ID 5611 TILEPROP CLBLL_L_X4Y94 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y94 GRID_POINT_Y 58 TILEPROP CLBLL_L_X4Y94 INDEX 6684 TILEPROP CLBLL_L_X4Y94 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y94 INT_TILE_Y 55 TILEPROP CLBLL_L_X4Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y94 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y94 NAME CLBLL_L_X4Y94 TILEPROP CLBLL_L_X4Y94 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y94 NUM_SITES 2 TILEPROP CLBLL_L_X4Y94 ROW 58 TILEPROP CLBLL_L_X4Y94 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y94 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y94 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y94 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y94 TILE_X -78906 TILEPROP CLBLL_L_X4Y94 TILE_Y 63424 TILEPROP CLBLL_L_X4Y94 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y95 CLASS tile TILEPROP CLBLL_L_X4Y95 COLUMN 14 TILEPROP CLBLL_L_X4Y95 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y95 FIRST_SITE_ID 5496 TILEPROP CLBLL_L_X4Y95 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y95 GRID_POINT_Y 57 TILEPROP CLBLL_L_X4Y95 INDEX 6569 TILEPROP CLBLL_L_X4Y95 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y95 INT_TILE_Y 54 TILEPROP CLBLL_L_X4Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y95 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y95 NAME CLBLL_L_X4Y95 TILEPROP CLBLL_L_X4Y95 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y95 NUM_SITES 2 TILEPROP CLBLL_L_X4Y95 ROW 57 TILEPROP CLBLL_L_X4Y95 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y95 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y95 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y95 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y95 TILE_X -78906 TILEPROP CLBLL_L_X4Y95 TILE_Y 66624 TILEPROP CLBLL_L_X4Y95 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y96 CLASS tile TILEPROP CLBLL_L_X4Y96 COLUMN 14 TILEPROP CLBLL_L_X4Y96 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y96 FIRST_SITE_ID 5396 TILEPROP CLBLL_L_X4Y96 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y96 GRID_POINT_Y 56 TILEPROP CLBLL_L_X4Y96 INDEX 6454 TILEPROP CLBLL_L_X4Y96 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y96 INT_TILE_Y 53 TILEPROP CLBLL_L_X4Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y96 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y96 NAME CLBLL_L_X4Y96 TILEPROP CLBLL_L_X4Y96 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y96 NUM_SITES 2 TILEPROP CLBLL_L_X4Y96 ROW 56 TILEPROP CLBLL_L_X4Y96 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y96 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y96 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y96 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y96 TILE_X -78906 TILEPROP CLBLL_L_X4Y96 TILE_Y 69824 TILEPROP CLBLL_L_X4Y96 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y97 CLASS tile TILEPROP CLBLL_L_X4Y97 COLUMN 14 TILEPROP CLBLL_L_X4Y97 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y97 FIRST_SITE_ID 5296 TILEPROP CLBLL_L_X4Y97 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y97 GRID_POINT_Y 55 TILEPROP CLBLL_L_X4Y97 INDEX 6339 TILEPROP CLBLL_L_X4Y97 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y97 INT_TILE_Y 52 TILEPROP CLBLL_L_X4Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y97 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y97 NAME CLBLL_L_X4Y97 TILEPROP CLBLL_L_X4Y97 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y97 NUM_SITES 2 TILEPROP CLBLL_L_X4Y97 ROW 55 TILEPROP CLBLL_L_X4Y97 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y97 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y97 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y97 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y97 TILE_X -78906 TILEPROP CLBLL_L_X4Y97 TILE_Y 73024 TILEPROP CLBLL_L_X4Y97 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y98 CLASS tile TILEPROP CLBLL_L_X4Y98 COLUMN 14 TILEPROP CLBLL_L_X4Y98 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y98 FIRST_SITE_ID 5196 TILEPROP CLBLL_L_X4Y98 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y98 GRID_POINT_Y 54 TILEPROP CLBLL_L_X4Y98 INDEX 6224 TILEPROP CLBLL_L_X4Y98 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y98 INT_TILE_Y 51 TILEPROP CLBLL_L_X4Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y98 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y98 NAME CLBLL_L_X4Y98 TILEPROP CLBLL_L_X4Y98 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y98 NUM_SITES 2 TILEPROP CLBLL_L_X4Y98 ROW 54 TILEPROP CLBLL_L_X4Y98 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y98 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y98 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y98 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y98 TILE_X -78906 TILEPROP CLBLL_L_X4Y98 TILE_Y 76224 TILEPROP CLBLL_L_X4Y98 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y99 CLASS tile TILEPROP CLBLL_L_X4Y99 COLUMN 14 TILEPROP CLBLL_L_X4Y99 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y99 FIRST_SITE_ID 5100 TILEPROP CLBLL_L_X4Y99 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y99 GRID_POINT_Y 53 TILEPROP CLBLL_L_X4Y99 INDEX 6109 TILEPROP CLBLL_L_X4Y99 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y99 INT_TILE_Y 50 TILEPROP CLBLL_L_X4Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y99 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y99 NAME CLBLL_L_X4Y99 TILEPROP CLBLL_L_X4Y99 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y99 NUM_SITES 2 TILEPROP CLBLL_L_X4Y99 ROW 53 TILEPROP CLBLL_L_X4Y99 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y99 TILE_PATTERN_IDX 1646 TILEPROP CLBLL_L_X4Y99 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y99 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y99 TILE_X -78906 TILEPROP CLBLL_L_X4Y99 TILE_Y 79424 TILEPROP CLBLL_L_X4Y99 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y100 CLASS tile TILEPROP CLBLL_L_X4Y100 COLUMN 14 TILEPROP CLBLL_L_X4Y100 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y100 FIRST_SITE_ID 4999 TILEPROP CLBLL_L_X4Y100 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y100 GRID_POINT_Y 51 TILEPROP CLBLL_L_X4Y100 INDEX 5879 TILEPROP CLBLL_L_X4Y100 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y100 INT_TILE_Y 49 TILEPROP CLBLL_L_X4Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y100 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y100 NAME CLBLL_L_X4Y100 TILEPROP CLBLL_L_X4Y100 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y100 NUM_SITES 2 TILEPROP CLBLL_L_X4Y100 ROW 51 TILEPROP CLBLL_L_X4Y100 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y100 TILE_PATTERN_IDX 1600 TILEPROP CLBLL_L_X4Y100 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y100 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y100 TILE_X -78906 TILEPROP CLBLL_L_X4Y100 TILE_Y 82872 TILEPROP CLBLL_L_X4Y100 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y101 CLASS tile TILEPROP CLBLL_L_X4Y101 COLUMN 14 TILEPROP CLBLL_L_X4Y101 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y101 FIRST_SITE_ID 4907 TILEPROP CLBLL_L_X4Y101 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y101 GRID_POINT_Y 50 TILEPROP CLBLL_L_X4Y101 INDEX 5764 TILEPROP CLBLL_L_X4Y101 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y101 INT_TILE_Y 48 TILEPROP CLBLL_L_X4Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y101 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y101 NAME CLBLL_L_X4Y101 TILEPROP CLBLL_L_X4Y101 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y101 NUM_SITES 2 TILEPROP CLBLL_L_X4Y101 ROW 50 TILEPROP CLBLL_L_X4Y101 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y101 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y101 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y101 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y101 TILE_X -78906 TILEPROP CLBLL_L_X4Y101 TILE_Y 86072 TILEPROP CLBLL_L_X4Y101 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y102 CLASS tile TILEPROP CLBLL_L_X4Y102 COLUMN 14 TILEPROP CLBLL_L_X4Y102 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y102 FIRST_SITE_ID 4811 TILEPROP CLBLL_L_X4Y102 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y102 GRID_POINT_Y 49 TILEPROP CLBLL_L_X4Y102 INDEX 5649 TILEPROP CLBLL_L_X4Y102 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y102 INT_TILE_Y 47 TILEPROP CLBLL_L_X4Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y102 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y102 NAME CLBLL_L_X4Y102 TILEPROP CLBLL_L_X4Y102 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y102 NUM_SITES 2 TILEPROP CLBLL_L_X4Y102 ROW 49 TILEPROP CLBLL_L_X4Y102 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y102 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y102 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y102 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y102 TILE_X -78906 TILEPROP CLBLL_L_X4Y102 TILE_Y 89272 TILEPROP CLBLL_L_X4Y102 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y103 CLASS tile TILEPROP CLBLL_L_X4Y103 COLUMN 14 TILEPROP CLBLL_L_X4Y103 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y103 FIRST_SITE_ID 4723 TILEPROP CLBLL_L_X4Y103 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y103 GRID_POINT_Y 48 TILEPROP CLBLL_L_X4Y103 INDEX 5534 TILEPROP CLBLL_L_X4Y103 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y103 INT_TILE_Y 46 TILEPROP CLBLL_L_X4Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y103 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y103 NAME CLBLL_L_X4Y103 TILEPROP CLBLL_L_X4Y103 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y103 NUM_SITES 2 TILEPROP CLBLL_L_X4Y103 ROW 48 TILEPROP CLBLL_L_X4Y103 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y103 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y103 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y103 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y103 TILE_X -78906 TILEPROP CLBLL_L_X4Y103 TILE_Y 92472 TILEPROP CLBLL_L_X4Y103 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y104 CLASS tile TILEPROP CLBLL_L_X4Y104 COLUMN 14 TILEPROP CLBLL_L_X4Y104 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y104 FIRST_SITE_ID 4627 TILEPROP CLBLL_L_X4Y104 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y104 GRID_POINT_Y 47 TILEPROP CLBLL_L_X4Y104 INDEX 5419 TILEPROP CLBLL_L_X4Y104 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y104 INT_TILE_Y 45 TILEPROP CLBLL_L_X4Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y104 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y104 NAME CLBLL_L_X4Y104 TILEPROP CLBLL_L_X4Y104 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y104 NUM_SITES 2 TILEPROP CLBLL_L_X4Y104 ROW 47 TILEPROP CLBLL_L_X4Y104 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y104 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y104 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y104 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y104 TILE_X -78906 TILEPROP CLBLL_L_X4Y104 TILE_Y 95672 TILEPROP CLBLL_L_X4Y104 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y105 CLASS tile TILEPROP CLBLL_L_X4Y105 COLUMN 14 TILEPROP CLBLL_L_X4Y105 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y105 FIRST_SITE_ID 4525 TILEPROP CLBLL_L_X4Y105 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y105 GRID_POINT_Y 46 TILEPROP CLBLL_L_X4Y105 INDEX 5304 TILEPROP CLBLL_L_X4Y105 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y105 INT_TILE_Y 44 TILEPROP CLBLL_L_X4Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y105 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y105 NAME CLBLL_L_X4Y105 TILEPROP CLBLL_L_X4Y105 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y105 NUM_SITES 2 TILEPROP CLBLL_L_X4Y105 ROW 46 TILEPROP CLBLL_L_X4Y105 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y105 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y105 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y105 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y105 TILE_X -78906 TILEPROP CLBLL_L_X4Y105 TILE_Y 98872 TILEPROP CLBLL_L_X4Y105 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y106 CLASS tile TILEPROP CLBLL_L_X4Y106 COLUMN 14 TILEPROP CLBLL_L_X4Y106 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y106 FIRST_SITE_ID 4429 TILEPROP CLBLL_L_X4Y106 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y106 GRID_POINT_Y 45 TILEPROP CLBLL_L_X4Y106 INDEX 5189 TILEPROP CLBLL_L_X4Y106 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y106 INT_TILE_Y 43 TILEPROP CLBLL_L_X4Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y106 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y106 NAME CLBLL_L_X4Y106 TILEPROP CLBLL_L_X4Y106 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y106 NUM_SITES 2 TILEPROP CLBLL_L_X4Y106 ROW 45 TILEPROP CLBLL_L_X4Y106 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y106 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y106 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y106 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y106 TILE_X -78906 TILEPROP CLBLL_L_X4Y106 TILE_Y 102072 TILEPROP CLBLL_L_X4Y106 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y107 CLASS tile TILEPROP CLBLL_L_X4Y107 COLUMN 14 TILEPROP CLBLL_L_X4Y107 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y107 FIRST_SITE_ID 4341 TILEPROP CLBLL_L_X4Y107 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y107 GRID_POINT_Y 44 TILEPROP CLBLL_L_X4Y107 INDEX 5074 TILEPROP CLBLL_L_X4Y107 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y107 INT_TILE_Y 42 TILEPROP CLBLL_L_X4Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y107 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y107 NAME CLBLL_L_X4Y107 TILEPROP CLBLL_L_X4Y107 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y107 NUM_SITES 2 TILEPROP CLBLL_L_X4Y107 ROW 44 TILEPROP CLBLL_L_X4Y107 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y107 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y107 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y107 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y107 TILE_X -78906 TILEPROP CLBLL_L_X4Y107 TILE_Y 105272 TILEPROP CLBLL_L_X4Y107 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y108 CLASS tile TILEPROP CLBLL_L_X4Y108 COLUMN 14 TILEPROP CLBLL_L_X4Y108 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y108 FIRST_SITE_ID 4243 TILEPROP CLBLL_L_X4Y108 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y108 GRID_POINT_Y 43 TILEPROP CLBLL_L_X4Y108 INDEX 4959 TILEPROP CLBLL_L_X4Y108 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y108 INT_TILE_Y 41 TILEPROP CLBLL_L_X4Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y108 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y108 NAME CLBLL_L_X4Y108 TILEPROP CLBLL_L_X4Y108 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y108 NUM_SITES 2 TILEPROP CLBLL_L_X4Y108 ROW 43 TILEPROP CLBLL_L_X4Y108 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y108 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y108 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y108 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y108 TILE_X -78906 TILEPROP CLBLL_L_X4Y108 TILE_Y 108472 TILEPROP CLBLL_L_X4Y108 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y109 CLASS tile TILEPROP CLBLL_L_X4Y109 COLUMN 14 TILEPROP CLBLL_L_X4Y109 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y109 FIRST_SITE_ID 4154 TILEPROP CLBLL_L_X4Y109 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y109 GRID_POINT_Y 42 TILEPROP CLBLL_L_X4Y109 INDEX 4844 TILEPROP CLBLL_L_X4Y109 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y109 INT_TILE_Y 40 TILEPROP CLBLL_L_X4Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y109 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y109 NAME CLBLL_L_X4Y109 TILEPROP CLBLL_L_X4Y109 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y109 NUM_SITES 2 TILEPROP CLBLL_L_X4Y109 ROW 42 TILEPROP CLBLL_L_X4Y109 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y109 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y109 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y109 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y109 TILE_X -78906 TILEPROP CLBLL_L_X4Y109 TILE_Y 111672 TILEPROP CLBLL_L_X4Y109 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y110 CLASS tile TILEPROP CLBLL_L_X4Y110 COLUMN 14 TILEPROP CLBLL_L_X4Y110 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y110 FIRST_SITE_ID 4048 TILEPROP CLBLL_L_X4Y110 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y110 GRID_POINT_Y 41 TILEPROP CLBLL_L_X4Y110 INDEX 4729 TILEPROP CLBLL_L_X4Y110 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y110 INT_TILE_Y 39 TILEPROP CLBLL_L_X4Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y110 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y110 NAME CLBLL_L_X4Y110 TILEPROP CLBLL_L_X4Y110 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y110 NUM_SITES 2 TILEPROP CLBLL_L_X4Y110 ROW 41 TILEPROP CLBLL_L_X4Y110 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y110 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y110 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y110 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y110 TILE_X -78906 TILEPROP CLBLL_L_X4Y110 TILE_Y 114872 TILEPROP CLBLL_L_X4Y110 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y111 CLASS tile TILEPROP CLBLL_L_X4Y111 COLUMN 14 TILEPROP CLBLL_L_X4Y111 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y111 FIRST_SITE_ID 3960 TILEPROP CLBLL_L_X4Y111 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y111 GRID_POINT_Y 40 TILEPROP CLBLL_L_X4Y111 INDEX 4614 TILEPROP CLBLL_L_X4Y111 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y111 INT_TILE_Y 38 TILEPROP CLBLL_L_X4Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y111 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y111 NAME CLBLL_L_X4Y111 TILEPROP CLBLL_L_X4Y111 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y111 NUM_SITES 2 TILEPROP CLBLL_L_X4Y111 ROW 40 TILEPROP CLBLL_L_X4Y111 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y111 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y111 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y111 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y111 TILE_X -78906 TILEPROP CLBLL_L_X4Y111 TILE_Y 118072 TILEPROP CLBLL_L_X4Y111 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y112 CLASS tile TILEPROP CLBLL_L_X4Y112 COLUMN 14 TILEPROP CLBLL_L_X4Y112 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y112 FIRST_SITE_ID 3832 TILEPROP CLBLL_L_X4Y112 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y112 GRID_POINT_Y 39 TILEPROP CLBLL_L_X4Y112 INDEX 4499 TILEPROP CLBLL_L_X4Y112 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y112 INT_TILE_Y 37 TILEPROP CLBLL_L_X4Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y112 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y112 NAME CLBLL_L_X4Y112 TILEPROP CLBLL_L_X4Y112 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y112 NUM_SITES 2 TILEPROP CLBLL_L_X4Y112 ROW 39 TILEPROP CLBLL_L_X4Y112 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y112 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y112 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y112 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y112 TILE_X -78906 TILEPROP CLBLL_L_X4Y112 TILE_Y 121272 TILEPROP CLBLL_L_X4Y112 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y113 CLASS tile TILEPROP CLBLL_L_X4Y113 COLUMN 14 TILEPROP CLBLL_L_X4Y113 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y113 FIRST_SITE_ID 3744 TILEPROP CLBLL_L_X4Y113 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y113 GRID_POINT_Y 38 TILEPROP CLBLL_L_X4Y113 INDEX 4384 TILEPROP CLBLL_L_X4Y113 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y113 INT_TILE_Y 36 TILEPROP CLBLL_L_X4Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y113 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y113 NAME CLBLL_L_X4Y113 TILEPROP CLBLL_L_X4Y113 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y113 NUM_SITES 2 TILEPROP CLBLL_L_X4Y113 ROW 38 TILEPROP CLBLL_L_X4Y113 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y113 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y113 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y113 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y113 TILE_X -78906 TILEPROP CLBLL_L_X4Y113 TILE_Y 124472 TILEPROP CLBLL_L_X4Y113 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y114 CLASS tile TILEPROP CLBLL_L_X4Y114 COLUMN 14 TILEPROP CLBLL_L_X4Y114 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y114 FIRST_SITE_ID 3648 TILEPROP CLBLL_L_X4Y114 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y114 GRID_POINT_Y 37 TILEPROP CLBLL_L_X4Y114 INDEX 4269 TILEPROP CLBLL_L_X4Y114 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y114 INT_TILE_Y 35 TILEPROP CLBLL_L_X4Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y114 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y114 NAME CLBLL_L_X4Y114 TILEPROP CLBLL_L_X4Y114 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y114 NUM_SITES 2 TILEPROP CLBLL_L_X4Y114 ROW 37 TILEPROP CLBLL_L_X4Y114 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y114 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y114 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y114 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y114 TILE_X -78906 TILEPROP CLBLL_L_X4Y114 TILE_Y 127672 TILEPROP CLBLL_L_X4Y114 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y115 CLASS tile TILEPROP CLBLL_L_X4Y115 COLUMN 14 TILEPROP CLBLL_L_X4Y115 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y115 FIRST_SITE_ID 3551 TILEPROP CLBLL_L_X4Y115 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y115 GRID_POINT_Y 36 TILEPROP CLBLL_L_X4Y115 INDEX 4154 TILEPROP CLBLL_L_X4Y115 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y115 INT_TILE_Y 34 TILEPROP CLBLL_L_X4Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y115 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y115 NAME CLBLL_L_X4Y115 TILEPROP CLBLL_L_X4Y115 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y115 NUM_SITES 2 TILEPROP CLBLL_L_X4Y115 ROW 36 TILEPROP CLBLL_L_X4Y115 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y115 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y115 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y115 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y115 TILE_X -78906 TILEPROP CLBLL_L_X4Y115 TILE_Y 130872 TILEPROP CLBLL_L_X4Y115 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y116 CLASS tile TILEPROP CLBLL_L_X4Y116 COLUMN 14 TILEPROP CLBLL_L_X4Y116 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y116 FIRST_SITE_ID 3450 TILEPROP CLBLL_L_X4Y116 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y116 GRID_POINT_Y 35 TILEPROP CLBLL_L_X4Y116 INDEX 4039 TILEPROP CLBLL_L_X4Y116 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y116 INT_TILE_Y 33 TILEPROP CLBLL_L_X4Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y116 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y116 NAME CLBLL_L_X4Y116 TILEPROP CLBLL_L_X4Y116 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y116 NUM_SITES 2 TILEPROP CLBLL_L_X4Y116 ROW 35 TILEPROP CLBLL_L_X4Y116 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y116 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y116 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y116 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y116 TILE_X -78906 TILEPROP CLBLL_L_X4Y116 TILE_Y 134072 TILEPROP CLBLL_L_X4Y116 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y117 CLASS tile TILEPROP CLBLL_L_X4Y117 COLUMN 14 TILEPROP CLBLL_L_X4Y117 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y117 FIRST_SITE_ID 3362 TILEPROP CLBLL_L_X4Y117 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y117 GRID_POINT_Y 34 TILEPROP CLBLL_L_X4Y117 INDEX 3924 TILEPROP CLBLL_L_X4Y117 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y117 INT_TILE_Y 32 TILEPROP CLBLL_L_X4Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y117 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y117 NAME CLBLL_L_X4Y117 TILEPROP CLBLL_L_X4Y117 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y117 NUM_SITES 2 TILEPROP CLBLL_L_X4Y117 ROW 34 TILEPROP CLBLL_L_X4Y117 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y117 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y117 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y117 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y117 TILE_X -78906 TILEPROP CLBLL_L_X4Y117 TILE_Y 137272 TILEPROP CLBLL_L_X4Y117 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y118 CLASS tile TILEPROP CLBLL_L_X4Y118 COLUMN 14 TILEPROP CLBLL_L_X4Y118 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y118 FIRST_SITE_ID 3262 TILEPROP CLBLL_L_X4Y118 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y118 GRID_POINT_Y 33 TILEPROP CLBLL_L_X4Y118 INDEX 3809 TILEPROP CLBLL_L_X4Y118 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y118 INT_TILE_Y 31 TILEPROP CLBLL_L_X4Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y118 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y118 NAME CLBLL_L_X4Y118 TILEPROP CLBLL_L_X4Y118 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y118 NUM_SITES 2 TILEPROP CLBLL_L_X4Y118 ROW 33 TILEPROP CLBLL_L_X4Y118 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y118 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y118 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y118 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y118 TILE_X -78906 TILEPROP CLBLL_L_X4Y118 TILE_Y 140472 TILEPROP CLBLL_L_X4Y118 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y119 CLASS tile TILEPROP CLBLL_L_X4Y119 COLUMN 14 TILEPROP CLBLL_L_X4Y119 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y119 FIRST_SITE_ID 3174 TILEPROP CLBLL_L_X4Y119 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y119 GRID_POINT_Y 32 TILEPROP CLBLL_L_X4Y119 INDEX 3694 TILEPROP CLBLL_L_X4Y119 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y119 INT_TILE_Y 30 TILEPROP CLBLL_L_X4Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y119 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y119 NAME CLBLL_L_X4Y119 TILEPROP CLBLL_L_X4Y119 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y119 NUM_SITES 2 TILEPROP CLBLL_L_X4Y119 ROW 32 TILEPROP CLBLL_L_X4Y119 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y119 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y119 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y119 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y119 TILE_X -78906 TILEPROP CLBLL_L_X4Y119 TILE_Y 143672 TILEPROP CLBLL_L_X4Y119 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y120 CLASS tile TILEPROP CLBLL_L_X4Y120 COLUMN 14 TILEPROP CLBLL_L_X4Y120 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y120 FIRST_SITE_ID 3067 TILEPROP CLBLL_L_X4Y120 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y120 GRID_POINT_Y 31 TILEPROP CLBLL_L_X4Y120 INDEX 3579 TILEPROP CLBLL_L_X4Y120 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y120 INT_TILE_Y 29 TILEPROP CLBLL_L_X4Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y120 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y120 NAME CLBLL_L_X4Y120 TILEPROP CLBLL_L_X4Y120 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y120 NUM_SITES 2 TILEPROP CLBLL_L_X4Y120 ROW 31 TILEPROP CLBLL_L_X4Y120 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y120 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y120 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y120 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y120 TILE_X -78906 TILEPROP CLBLL_L_X4Y120 TILE_Y 146872 TILEPROP CLBLL_L_X4Y120 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y121 CLASS tile TILEPROP CLBLL_L_X4Y121 COLUMN 14 TILEPROP CLBLL_L_X4Y121 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y121 FIRST_SITE_ID 2979 TILEPROP CLBLL_L_X4Y121 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y121 GRID_POINT_Y 30 TILEPROP CLBLL_L_X4Y121 INDEX 3464 TILEPROP CLBLL_L_X4Y121 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y121 INT_TILE_Y 28 TILEPROP CLBLL_L_X4Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y121 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y121 NAME CLBLL_L_X4Y121 TILEPROP CLBLL_L_X4Y121 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y121 NUM_SITES 2 TILEPROP CLBLL_L_X4Y121 ROW 30 TILEPROP CLBLL_L_X4Y121 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y121 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y121 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y121 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y121 TILE_X -78906 TILEPROP CLBLL_L_X4Y121 TILE_Y 150072 TILEPROP CLBLL_L_X4Y121 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y122 CLASS tile TILEPROP CLBLL_L_X4Y122 COLUMN 14 TILEPROP CLBLL_L_X4Y122 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y122 FIRST_SITE_ID 2876 TILEPROP CLBLL_L_X4Y122 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y122 GRID_POINT_Y 29 TILEPROP CLBLL_L_X4Y122 INDEX 3349 TILEPROP CLBLL_L_X4Y122 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y122 INT_TILE_Y 27 TILEPROP CLBLL_L_X4Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y122 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y122 NAME CLBLL_L_X4Y122 TILEPROP CLBLL_L_X4Y122 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y122 NUM_SITES 2 TILEPROP CLBLL_L_X4Y122 ROW 29 TILEPROP CLBLL_L_X4Y122 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y122 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y122 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y122 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y122 TILE_X -78906 TILEPROP CLBLL_L_X4Y122 TILE_Y 153272 TILEPROP CLBLL_L_X4Y122 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y123 CLASS tile TILEPROP CLBLL_L_X4Y123 COLUMN 14 TILEPROP CLBLL_L_X4Y123 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y123 FIRST_SITE_ID 2788 TILEPROP CLBLL_L_X4Y123 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y123 GRID_POINT_Y 28 TILEPROP CLBLL_L_X4Y123 INDEX 3234 TILEPROP CLBLL_L_X4Y123 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y123 INT_TILE_Y 26 TILEPROP CLBLL_L_X4Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y123 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y123 NAME CLBLL_L_X4Y123 TILEPROP CLBLL_L_X4Y123 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y123 NUM_SITES 2 TILEPROP CLBLL_L_X4Y123 ROW 28 TILEPROP CLBLL_L_X4Y123 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y123 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y123 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y123 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y123 TILE_X -78906 TILEPROP CLBLL_L_X4Y123 TILE_Y 156472 TILEPROP CLBLL_L_X4Y123 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y124 CLASS tile TILEPROP CLBLL_L_X4Y124 COLUMN 14 TILEPROP CLBLL_L_X4Y124 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y124 FIRST_SITE_ID 2692 TILEPROP CLBLL_L_X4Y124 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y124 GRID_POINT_Y 27 TILEPROP CLBLL_L_X4Y124 INDEX 3119 TILEPROP CLBLL_L_X4Y124 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y124 INT_TILE_Y 25 TILEPROP CLBLL_L_X4Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y124 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y124 NAME CLBLL_L_X4Y124 TILEPROP CLBLL_L_X4Y124 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y124 NUM_SITES 2 TILEPROP CLBLL_L_X4Y124 ROW 27 TILEPROP CLBLL_L_X4Y124 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y124 TILE_PATTERN_IDX 874 TILEPROP CLBLL_L_X4Y124 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y124 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y124 TILE_X -78906 TILEPROP CLBLL_L_X4Y124 TILE_Y 159672 TILEPROP CLBLL_L_X4Y124 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y125 CLASS tile TILEPROP CLBLL_L_X4Y125 COLUMN 14 TILEPROP CLBLL_L_X4Y125 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y125 FIRST_SITE_ID 2513 TILEPROP CLBLL_L_X4Y125 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y125 GRID_POINT_Y 25 TILEPROP CLBLL_L_X4Y125 INDEX 2889 TILEPROP CLBLL_L_X4Y125 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y125 INT_TILE_Y 24 TILEPROP CLBLL_L_X4Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y125 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y125 NAME CLBLL_L_X4Y125 TILEPROP CLBLL_L_X4Y125 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y125 NUM_SITES 2 TILEPROP CLBLL_L_X4Y125 ROW 25 TILEPROP CLBLL_L_X4Y125 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y125 TILE_PATTERN_IDX 790 TILEPROP CLBLL_L_X4Y125 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y125 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y125 TILE_X -78906 TILEPROP CLBLL_L_X4Y125 TILE_Y 163896 TILEPROP CLBLL_L_X4Y125 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y126 CLASS tile TILEPROP CLBLL_L_X4Y126 COLUMN 14 TILEPROP CLBLL_L_X4Y126 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y126 FIRST_SITE_ID 2409 TILEPROP CLBLL_L_X4Y126 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y126 GRID_POINT_Y 24 TILEPROP CLBLL_L_X4Y126 INDEX 2774 TILEPROP CLBLL_L_X4Y126 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y126 INT_TILE_Y 23 TILEPROP CLBLL_L_X4Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y126 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y126 NAME CLBLL_L_X4Y126 TILEPROP CLBLL_L_X4Y126 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y126 NUM_SITES 2 TILEPROP CLBLL_L_X4Y126 ROW 24 TILEPROP CLBLL_L_X4Y126 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y126 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y126 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y126 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y126 TILE_X -78906 TILEPROP CLBLL_L_X4Y126 TILE_Y 167096 TILEPROP CLBLL_L_X4Y126 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y127 CLASS tile TILEPROP CLBLL_L_X4Y127 COLUMN 14 TILEPROP CLBLL_L_X4Y127 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y127 FIRST_SITE_ID 2313 TILEPROP CLBLL_L_X4Y127 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y127 GRID_POINT_Y 23 TILEPROP CLBLL_L_X4Y127 INDEX 2659 TILEPROP CLBLL_L_X4Y127 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y127 INT_TILE_Y 22 TILEPROP CLBLL_L_X4Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y127 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y127 NAME CLBLL_L_X4Y127 TILEPROP CLBLL_L_X4Y127 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y127 NUM_SITES 2 TILEPROP CLBLL_L_X4Y127 ROW 23 TILEPROP CLBLL_L_X4Y127 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y127 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y127 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y127 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y127 TILE_X -78906 TILEPROP CLBLL_L_X4Y127 TILE_Y 170296 TILEPROP CLBLL_L_X4Y127 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y128 CLASS tile TILEPROP CLBLL_L_X4Y128 COLUMN 14 TILEPROP CLBLL_L_X4Y128 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y128 FIRST_SITE_ID 2209 TILEPROP CLBLL_L_X4Y128 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y128 GRID_POINT_Y 22 TILEPROP CLBLL_L_X4Y128 INDEX 2544 TILEPROP CLBLL_L_X4Y128 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y128 INT_TILE_Y 21 TILEPROP CLBLL_L_X4Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y128 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y128 NAME CLBLL_L_X4Y128 TILEPROP CLBLL_L_X4Y128 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y128 NUM_SITES 2 TILEPROP CLBLL_L_X4Y128 ROW 22 TILEPROP CLBLL_L_X4Y128 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y128 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y128 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y128 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y128 TILE_X -78906 TILEPROP CLBLL_L_X4Y128 TILE_Y 173496 TILEPROP CLBLL_L_X4Y128 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y129 CLASS tile TILEPROP CLBLL_L_X4Y129 COLUMN 14 TILEPROP CLBLL_L_X4Y129 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y129 FIRST_SITE_ID 2113 TILEPROP CLBLL_L_X4Y129 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y129 GRID_POINT_Y 21 TILEPROP CLBLL_L_X4Y129 INDEX 2429 TILEPROP CLBLL_L_X4Y129 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y129 INT_TILE_Y 20 TILEPROP CLBLL_L_X4Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y129 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y129 NAME CLBLL_L_X4Y129 TILEPROP CLBLL_L_X4Y129 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y129 NUM_SITES 2 TILEPROP CLBLL_L_X4Y129 ROW 21 TILEPROP CLBLL_L_X4Y129 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y129 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y129 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y129 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y129 TILE_X -78906 TILEPROP CLBLL_L_X4Y129 TILE_Y 176696 TILEPROP CLBLL_L_X4Y129 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y130 CLASS tile TILEPROP CLBLL_L_X4Y130 COLUMN 14 TILEPROP CLBLL_L_X4Y130 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y130 FIRST_SITE_ID 1991 TILEPROP CLBLL_L_X4Y130 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y130 GRID_POINT_Y 20 TILEPROP CLBLL_L_X4Y130 INDEX 2314 TILEPROP CLBLL_L_X4Y130 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y130 INT_TILE_Y 19 TILEPROP CLBLL_L_X4Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y130 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y130 NAME CLBLL_L_X4Y130 TILEPROP CLBLL_L_X4Y130 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y130 NUM_SITES 2 TILEPROP CLBLL_L_X4Y130 ROW 20 TILEPROP CLBLL_L_X4Y130 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y130 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y130 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y130 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y130 TILE_X -78906 TILEPROP CLBLL_L_X4Y130 TILE_Y 179896 TILEPROP CLBLL_L_X4Y130 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y131 CLASS tile TILEPROP CLBLL_L_X4Y131 COLUMN 14 TILEPROP CLBLL_L_X4Y131 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y131 FIRST_SITE_ID 1895 TILEPROP CLBLL_L_X4Y131 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y131 GRID_POINT_Y 19 TILEPROP CLBLL_L_X4Y131 INDEX 2199 TILEPROP CLBLL_L_X4Y131 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y131 INT_TILE_Y 18 TILEPROP CLBLL_L_X4Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y131 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y131 NAME CLBLL_L_X4Y131 TILEPROP CLBLL_L_X4Y131 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y131 NUM_SITES 2 TILEPROP CLBLL_L_X4Y131 ROW 19 TILEPROP CLBLL_L_X4Y131 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y131 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y131 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y131 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y131 TILE_X -78906 TILEPROP CLBLL_L_X4Y131 TILE_Y 183096 TILEPROP CLBLL_L_X4Y131 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y132 CLASS tile TILEPROP CLBLL_L_X4Y132 COLUMN 14 TILEPROP CLBLL_L_X4Y132 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y132 FIRST_SITE_ID 1789 TILEPROP CLBLL_L_X4Y132 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y132 GRID_POINT_Y 18 TILEPROP CLBLL_L_X4Y132 INDEX 2084 TILEPROP CLBLL_L_X4Y132 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y132 INT_TILE_Y 17 TILEPROP CLBLL_L_X4Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y132 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y132 NAME CLBLL_L_X4Y132 TILEPROP CLBLL_L_X4Y132 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y132 NUM_SITES 2 TILEPROP CLBLL_L_X4Y132 ROW 18 TILEPROP CLBLL_L_X4Y132 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y132 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y132 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y132 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y132 TILE_X -78906 TILEPROP CLBLL_L_X4Y132 TILE_Y 186296 TILEPROP CLBLL_L_X4Y132 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y133 CLASS tile TILEPROP CLBLL_L_X4Y133 COLUMN 14 TILEPROP CLBLL_L_X4Y133 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y133 FIRST_SITE_ID 1688 TILEPROP CLBLL_L_X4Y133 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y133 GRID_POINT_Y 17 TILEPROP CLBLL_L_X4Y133 INDEX 1969 TILEPROP CLBLL_L_X4Y133 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y133 INT_TILE_Y 16 TILEPROP CLBLL_L_X4Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y133 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y133 NAME CLBLL_L_X4Y133 TILEPROP CLBLL_L_X4Y133 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y133 NUM_SITES 2 TILEPROP CLBLL_L_X4Y133 ROW 17 TILEPROP CLBLL_L_X4Y133 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y133 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y133 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y133 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y133 TILE_X -78906 TILEPROP CLBLL_L_X4Y133 TILE_Y 189496 TILEPROP CLBLL_L_X4Y133 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y134 CLASS tile TILEPROP CLBLL_L_X4Y134 COLUMN 14 TILEPROP CLBLL_L_X4Y134 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y134 FIRST_SITE_ID 1584 TILEPROP CLBLL_L_X4Y134 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y134 GRID_POINT_Y 16 TILEPROP CLBLL_L_X4Y134 INDEX 1854 TILEPROP CLBLL_L_X4Y134 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y134 INT_TILE_Y 15 TILEPROP CLBLL_L_X4Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y134 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y134 NAME CLBLL_L_X4Y134 TILEPROP CLBLL_L_X4Y134 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y134 NUM_SITES 2 TILEPROP CLBLL_L_X4Y134 ROW 16 TILEPROP CLBLL_L_X4Y134 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y134 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y134 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y134 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y134 TILE_X -78906 TILEPROP CLBLL_L_X4Y134 TILE_Y 192696 TILEPROP CLBLL_L_X4Y134 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y135 CLASS tile TILEPROP CLBLL_L_X4Y135 COLUMN 14 TILEPROP CLBLL_L_X4Y135 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y135 FIRST_SITE_ID 1476 TILEPROP CLBLL_L_X4Y135 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y135 GRID_POINT_Y 15 TILEPROP CLBLL_L_X4Y135 INDEX 1739 TILEPROP CLBLL_L_X4Y135 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y135 INT_TILE_Y 14 TILEPROP CLBLL_L_X4Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y135 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y135 NAME CLBLL_L_X4Y135 TILEPROP CLBLL_L_X4Y135 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y135 NUM_SITES 2 TILEPROP CLBLL_L_X4Y135 ROW 15 TILEPROP CLBLL_L_X4Y135 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y135 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y135 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y135 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y135 TILE_X -78906 TILEPROP CLBLL_L_X4Y135 TILE_Y 195896 TILEPROP CLBLL_L_X4Y135 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y136 CLASS tile TILEPROP CLBLL_L_X4Y136 COLUMN 14 TILEPROP CLBLL_L_X4Y136 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y136 FIRST_SITE_ID 1340 TILEPROP CLBLL_L_X4Y136 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y136 GRID_POINT_Y 14 TILEPROP CLBLL_L_X4Y136 INDEX 1624 TILEPROP CLBLL_L_X4Y136 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y136 INT_TILE_Y 13 TILEPROP CLBLL_L_X4Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y136 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y136 NAME CLBLL_L_X4Y136 TILEPROP CLBLL_L_X4Y136 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y136 NUM_SITES 2 TILEPROP CLBLL_L_X4Y136 ROW 14 TILEPROP CLBLL_L_X4Y136 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y136 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y136 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y136 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y136 TILE_X -78906 TILEPROP CLBLL_L_X4Y136 TILE_Y 199096 TILEPROP CLBLL_L_X4Y136 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y137 CLASS tile TILEPROP CLBLL_L_X4Y137 COLUMN 14 TILEPROP CLBLL_L_X4Y137 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y137 FIRST_SITE_ID 1244 TILEPROP CLBLL_L_X4Y137 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y137 GRID_POINT_Y 13 TILEPROP CLBLL_L_X4Y137 INDEX 1509 TILEPROP CLBLL_L_X4Y137 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y137 INT_TILE_Y 12 TILEPROP CLBLL_L_X4Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y137 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y137 NAME CLBLL_L_X4Y137 TILEPROP CLBLL_L_X4Y137 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y137 NUM_SITES 2 TILEPROP CLBLL_L_X4Y137 ROW 13 TILEPROP CLBLL_L_X4Y137 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y137 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y137 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y137 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y137 TILE_X -78906 TILEPROP CLBLL_L_X4Y137 TILE_Y 202296 TILEPROP CLBLL_L_X4Y137 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y138 CLASS tile TILEPROP CLBLL_L_X4Y138 COLUMN 14 TILEPROP CLBLL_L_X4Y138 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y138 FIRST_SITE_ID 1140 TILEPROP CLBLL_L_X4Y138 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y138 GRID_POINT_Y 12 TILEPROP CLBLL_L_X4Y138 INDEX 1394 TILEPROP CLBLL_L_X4Y138 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y138 INT_TILE_Y 11 TILEPROP CLBLL_L_X4Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y138 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y138 NAME CLBLL_L_X4Y138 TILEPROP CLBLL_L_X4Y138 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y138 NUM_SITES 2 TILEPROP CLBLL_L_X4Y138 ROW 12 TILEPROP CLBLL_L_X4Y138 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y138 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y138 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y138 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y138 TILE_X -78906 TILEPROP CLBLL_L_X4Y138 TILE_Y 205496 TILEPROP CLBLL_L_X4Y138 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y139 CLASS tile TILEPROP CLBLL_L_X4Y139 COLUMN 14 TILEPROP CLBLL_L_X4Y139 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y139 FIRST_SITE_ID 1044 TILEPROP CLBLL_L_X4Y139 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y139 GRID_POINT_Y 11 TILEPROP CLBLL_L_X4Y139 INDEX 1279 TILEPROP CLBLL_L_X4Y139 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y139 INT_TILE_Y 10 TILEPROP CLBLL_L_X4Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y139 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y139 NAME CLBLL_L_X4Y139 TILEPROP CLBLL_L_X4Y139 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y139 NUM_SITES 2 TILEPROP CLBLL_L_X4Y139 ROW 11 TILEPROP CLBLL_L_X4Y139 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y139 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y139 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y139 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y139 TILE_X -78906 TILEPROP CLBLL_L_X4Y139 TILE_Y 208696 TILEPROP CLBLL_L_X4Y139 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y140 CLASS tile TILEPROP CLBLL_L_X4Y140 COLUMN 14 TILEPROP CLBLL_L_X4Y140 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y140 FIRST_SITE_ID 928 TILEPROP CLBLL_L_X4Y140 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y140 GRID_POINT_Y 10 TILEPROP CLBLL_L_X4Y140 INDEX 1164 TILEPROP CLBLL_L_X4Y140 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y140 INT_TILE_Y 9 TILEPROP CLBLL_L_X4Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y140 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y140 NAME CLBLL_L_X4Y140 TILEPROP CLBLL_L_X4Y140 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y140 NUM_SITES 2 TILEPROP CLBLL_L_X4Y140 ROW 10 TILEPROP CLBLL_L_X4Y140 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y140 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y140 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y140 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y140 TILE_X -78906 TILEPROP CLBLL_L_X4Y140 TILE_Y 211896 TILEPROP CLBLL_L_X4Y140 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y141 CLASS tile TILEPROP CLBLL_L_X4Y141 COLUMN 14 TILEPROP CLBLL_L_X4Y141 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y141 FIRST_SITE_ID 832 TILEPROP CLBLL_L_X4Y141 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y141 GRID_POINT_Y 9 TILEPROP CLBLL_L_X4Y141 INDEX 1049 TILEPROP CLBLL_L_X4Y141 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y141 INT_TILE_Y 8 TILEPROP CLBLL_L_X4Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y141 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y141 NAME CLBLL_L_X4Y141 TILEPROP CLBLL_L_X4Y141 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y141 NUM_SITES 2 TILEPROP CLBLL_L_X4Y141 ROW 9 TILEPROP CLBLL_L_X4Y141 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y141 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y141 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y141 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y141 TILE_X -78906 TILEPROP CLBLL_L_X4Y141 TILE_Y 215096 TILEPROP CLBLL_L_X4Y141 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y142 CLASS tile TILEPROP CLBLL_L_X4Y142 COLUMN 14 TILEPROP CLBLL_L_X4Y142 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y142 FIRST_SITE_ID 728 TILEPROP CLBLL_L_X4Y142 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y142 GRID_POINT_Y 8 TILEPROP CLBLL_L_X4Y142 INDEX 934 TILEPROP CLBLL_L_X4Y142 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y142 INT_TILE_Y 7 TILEPROP CLBLL_L_X4Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y142 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y142 NAME CLBLL_L_X4Y142 TILEPROP CLBLL_L_X4Y142 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y142 NUM_SITES 2 TILEPROP CLBLL_L_X4Y142 ROW 8 TILEPROP CLBLL_L_X4Y142 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y142 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y142 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y142 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y142 TILE_X -78906 TILEPROP CLBLL_L_X4Y142 TILE_Y 218296 TILEPROP CLBLL_L_X4Y142 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y143 CLASS tile TILEPROP CLBLL_L_X4Y143 COLUMN 14 TILEPROP CLBLL_L_X4Y143 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y143 FIRST_SITE_ID 631 TILEPROP CLBLL_L_X4Y143 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y143 GRID_POINT_Y 7 TILEPROP CLBLL_L_X4Y143 INDEX 819 TILEPROP CLBLL_L_X4Y143 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y143 INT_TILE_Y 6 TILEPROP CLBLL_L_X4Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y143 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y143 NAME CLBLL_L_X4Y143 TILEPROP CLBLL_L_X4Y143 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y143 NUM_SITES 2 TILEPROP CLBLL_L_X4Y143 ROW 7 TILEPROP CLBLL_L_X4Y143 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y143 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y143 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y143 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y143 TILE_X -78906 TILEPROP CLBLL_L_X4Y143 TILE_Y 221496 TILEPROP CLBLL_L_X4Y143 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y144 CLASS tile TILEPROP CLBLL_L_X4Y144 COLUMN 14 TILEPROP CLBLL_L_X4Y144 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y144 FIRST_SITE_ID 520 TILEPROP CLBLL_L_X4Y144 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y144 GRID_POINT_Y 6 TILEPROP CLBLL_L_X4Y144 INDEX 704 TILEPROP CLBLL_L_X4Y144 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y144 INT_TILE_Y 5 TILEPROP CLBLL_L_X4Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y144 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y144 NAME CLBLL_L_X4Y144 TILEPROP CLBLL_L_X4Y144 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y144 NUM_SITES 2 TILEPROP CLBLL_L_X4Y144 ROW 6 TILEPROP CLBLL_L_X4Y144 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y144 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y144 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y144 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y144 TILE_X -78906 TILEPROP CLBLL_L_X4Y144 TILE_Y 224696 TILEPROP CLBLL_L_X4Y144 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y145 CLASS tile TILEPROP CLBLL_L_X4Y145 COLUMN 14 TILEPROP CLBLL_L_X4Y145 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y145 FIRST_SITE_ID 412 TILEPROP CLBLL_L_X4Y145 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y145 GRID_POINT_Y 5 TILEPROP CLBLL_L_X4Y145 INDEX 589 TILEPROP CLBLL_L_X4Y145 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y145 INT_TILE_Y 4 TILEPROP CLBLL_L_X4Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y145 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y145 NAME CLBLL_L_X4Y145 TILEPROP CLBLL_L_X4Y145 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y145 NUM_SITES 2 TILEPROP CLBLL_L_X4Y145 ROW 5 TILEPROP CLBLL_L_X4Y145 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y145 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y145 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y145 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y145 TILE_X -78906 TILEPROP CLBLL_L_X4Y145 TILE_Y 227896 TILEPROP CLBLL_L_X4Y145 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y146 CLASS tile TILEPROP CLBLL_L_X4Y146 COLUMN 14 TILEPROP CLBLL_L_X4Y146 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y146 FIRST_SITE_ID 308 TILEPROP CLBLL_L_X4Y146 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y146 GRID_POINT_Y 4 TILEPROP CLBLL_L_X4Y146 INDEX 474 TILEPROP CLBLL_L_X4Y146 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y146 INT_TILE_Y 3 TILEPROP CLBLL_L_X4Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y146 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y146 NAME CLBLL_L_X4Y146 TILEPROP CLBLL_L_X4Y146 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y146 NUM_SITES 2 TILEPROP CLBLL_L_X4Y146 ROW 4 TILEPROP CLBLL_L_X4Y146 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y146 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y146 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y146 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y146 TILE_X -78906 TILEPROP CLBLL_L_X4Y146 TILE_Y 231096 TILEPROP CLBLL_L_X4Y146 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y147 CLASS tile TILEPROP CLBLL_L_X4Y147 COLUMN 14 TILEPROP CLBLL_L_X4Y147 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y147 FIRST_SITE_ID 212 TILEPROP CLBLL_L_X4Y147 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y147 GRID_POINT_Y 3 TILEPROP CLBLL_L_X4Y147 INDEX 359 TILEPROP CLBLL_L_X4Y147 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y147 INT_TILE_Y 2 TILEPROP CLBLL_L_X4Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y147 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y147 NAME CLBLL_L_X4Y147 TILEPROP CLBLL_L_X4Y147 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y147 NUM_SITES 2 TILEPROP CLBLL_L_X4Y147 ROW 3 TILEPROP CLBLL_L_X4Y147 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y147 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y147 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y147 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y147 TILE_X -78906 TILEPROP CLBLL_L_X4Y147 TILE_Y 234296 TILEPROP CLBLL_L_X4Y147 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y148 CLASS tile TILEPROP CLBLL_L_X4Y148 COLUMN 14 TILEPROP CLBLL_L_X4Y148 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y148 FIRST_SITE_ID 108 TILEPROP CLBLL_L_X4Y148 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y148 GRID_POINT_Y 2 TILEPROP CLBLL_L_X4Y148 INDEX 244 TILEPROP CLBLL_L_X4Y148 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y148 INT_TILE_Y 1 TILEPROP CLBLL_L_X4Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y148 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y148 NAME CLBLL_L_X4Y148 TILEPROP CLBLL_L_X4Y148 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y148 NUM_SITES 2 TILEPROP CLBLL_L_X4Y148 ROW 2 TILEPROP CLBLL_L_X4Y148 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y148 TILE_PATTERN_IDX 57 TILEPROP CLBLL_L_X4Y148 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y148 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y148 TILE_X -78906 TILEPROP CLBLL_L_X4Y148 TILE_Y 237496 TILEPROP CLBLL_L_X4Y148 TYPE CLBLL_L TILEPROP CLBLL_L_X4Y149 CLASS tile TILEPROP CLBLL_L_X4Y149 COLUMN 14 TILEPROP CLBLL_L_X4Y149 DEVICE_ID 0 TILEPROP CLBLL_L_X4Y149 FIRST_SITE_ID 12 TILEPROP CLBLL_L_X4Y149 GRID_POINT_X 14 TILEPROP CLBLL_L_X4Y149 GRID_POINT_Y 1 TILEPROP CLBLL_L_X4Y149 INDEX 129 TILEPROP CLBLL_L_X4Y149 INT_TILE_X 3 TILEPROP CLBLL_L_X4Y149 INT_TILE_Y 0 TILEPROP CLBLL_L_X4Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_L_X4Y149 IS_DCM_TILE 0 TILEPROP CLBLL_L_X4Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_L_X4Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_L_X4Y149 NAME CLBLL_L_X4Y149 TILEPROP CLBLL_L_X4Y149 NUM_ARCS 146 TILEPROP CLBLL_L_X4Y149 NUM_SITES 2 TILEPROP CLBLL_L_X4Y149 ROW 1 TILEPROP CLBLL_L_X4Y149 SLR_REGION_ID 0 TILEPROP CLBLL_L_X4Y149 TILE_PATTERN_IDX 13 TILEPROP CLBLL_L_X4Y149 TILE_TYPE CLBLL_L TILEPROP CLBLL_L_X4Y149 TILE_TYPE_INDEX 18 TILEPROP CLBLL_L_X4Y149 TILE_X -78906 TILEPROP CLBLL_L_X4Y149 TILE_Y 240696 TILEPROP CLBLL_L_X4Y149 TYPE CLBLL_L TILEPROP CLBLL_R_X13Y100 CLASS tile TILEPROP CLBLL_R_X13Y100 COLUMN 38 TILEPROP CLBLL_R_X13Y100 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y100 FIRST_SITE_ID 5029 TILEPROP CLBLL_R_X13Y100 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y100 GRID_POINT_Y 51 TILEPROP CLBLL_R_X13Y100 INDEX 5903 TILEPROP CLBLL_R_X13Y100 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y100 INT_TILE_Y 49 TILEPROP CLBLL_R_X13Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y100 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y100 NAME CLBLL_R_X13Y100 TILEPROP CLBLL_R_X13Y100 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y100 NUM_SITES 2 TILEPROP CLBLL_R_X13Y100 ROW 51 TILEPROP CLBLL_R_X13Y100 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y100 TILE_PATTERN_IDX 3523 TILEPROP CLBLL_R_X13Y100 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y100 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y100 TILE_X -33692 TILEPROP CLBLL_R_X13Y100 TILE_Y 82872 TILEPROP CLBLL_R_X13Y100 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y101 CLASS tile TILEPROP CLBLL_R_X13Y101 COLUMN 38 TILEPROP CLBLL_R_X13Y101 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y101 FIRST_SITE_ID 4931 TILEPROP CLBLL_R_X13Y101 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y101 GRID_POINT_Y 50 TILEPROP CLBLL_R_X13Y101 INDEX 5788 TILEPROP CLBLL_R_X13Y101 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y101 INT_TILE_Y 48 TILEPROP CLBLL_R_X13Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y101 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y101 NAME CLBLL_R_X13Y101 TILEPROP CLBLL_R_X13Y101 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y101 NUM_SITES 2 TILEPROP CLBLL_R_X13Y101 ROW 50 TILEPROP CLBLL_R_X13Y101 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y101 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y101 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y101 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y101 TILE_X -33692 TILEPROP CLBLL_R_X13Y101 TILE_Y 86072 TILEPROP CLBLL_R_X13Y101 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y102 CLASS tile TILEPROP CLBLL_R_X13Y102 COLUMN 38 TILEPROP CLBLL_R_X13Y102 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y102 FIRST_SITE_ID 4835 TILEPROP CLBLL_R_X13Y102 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y102 GRID_POINT_Y 49 TILEPROP CLBLL_R_X13Y102 INDEX 5673 TILEPROP CLBLL_R_X13Y102 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y102 INT_TILE_Y 47 TILEPROP CLBLL_R_X13Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y102 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y102 NAME CLBLL_R_X13Y102 TILEPROP CLBLL_R_X13Y102 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y102 NUM_SITES 2 TILEPROP CLBLL_R_X13Y102 ROW 49 TILEPROP CLBLL_R_X13Y102 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y102 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y102 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y102 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y102 TILE_X -33692 TILEPROP CLBLL_R_X13Y102 TILE_Y 89272 TILEPROP CLBLL_R_X13Y102 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y103 CLASS tile TILEPROP CLBLL_R_X13Y103 COLUMN 38 TILEPROP CLBLL_R_X13Y103 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y103 FIRST_SITE_ID 4747 TILEPROP CLBLL_R_X13Y103 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y103 GRID_POINT_Y 48 TILEPROP CLBLL_R_X13Y103 INDEX 5558 TILEPROP CLBLL_R_X13Y103 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y103 INT_TILE_Y 46 TILEPROP CLBLL_R_X13Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y103 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y103 NAME CLBLL_R_X13Y103 TILEPROP CLBLL_R_X13Y103 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y103 NUM_SITES 2 TILEPROP CLBLL_R_X13Y103 ROW 48 TILEPROP CLBLL_R_X13Y103 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y103 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y103 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y103 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y103 TILE_X -33692 TILEPROP CLBLL_R_X13Y103 TILE_Y 92472 TILEPROP CLBLL_R_X13Y103 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y104 CLASS tile TILEPROP CLBLL_R_X13Y104 COLUMN 38 TILEPROP CLBLL_R_X13Y104 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y104 FIRST_SITE_ID 4651 TILEPROP CLBLL_R_X13Y104 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y104 GRID_POINT_Y 47 TILEPROP CLBLL_R_X13Y104 INDEX 5443 TILEPROP CLBLL_R_X13Y104 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y104 INT_TILE_Y 45 TILEPROP CLBLL_R_X13Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y104 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y104 NAME CLBLL_R_X13Y104 TILEPROP CLBLL_R_X13Y104 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y104 NUM_SITES 2 TILEPROP CLBLL_R_X13Y104 ROW 47 TILEPROP CLBLL_R_X13Y104 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y104 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y104 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y104 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y104 TILE_X -33692 TILEPROP CLBLL_R_X13Y104 TILE_Y 95672 TILEPROP CLBLL_R_X13Y104 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y105 CLASS tile TILEPROP CLBLL_R_X13Y105 COLUMN 38 TILEPROP CLBLL_R_X13Y105 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y105 FIRST_SITE_ID 4555 TILEPROP CLBLL_R_X13Y105 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y105 GRID_POINT_Y 46 TILEPROP CLBLL_R_X13Y105 INDEX 5328 TILEPROP CLBLL_R_X13Y105 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y105 INT_TILE_Y 44 TILEPROP CLBLL_R_X13Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y105 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y105 NAME CLBLL_R_X13Y105 TILEPROP CLBLL_R_X13Y105 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y105 NUM_SITES 2 TILEPROP CLBLL_R_X13Y105 ROW 46 TILEPROP CLBLL_R_X13Y105 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y105 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y105 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y105 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y105 TILE_X -33692 TILEPROP CLBLL_R_X13Y105 TILE_Y 98872 TILEPROP CLBLL_R_X13Y105 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y106 CLASS tile TILEPROP CLBLL_R_X13Y106 COLUMN 38 TILEPROP CLBLL_R_X13Y106 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y106 FIRST_SITE_ID 4453 TILEPROP CLBLL_R_X13Y106 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y106 GRID_POINT_Y 45 TILEPROP CLBLL_R_X13Y106 INDEX 5213 TILEPROP CLBLL_R_X13Y106 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y106 INT_TILE_Y 43 TILEPROP CLBLL_R_X13Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y106 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y106 NAME CLBLL_R_X13Y106 TILEPROP CLBLL_R_X13Y106 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y106 NUM_SITES 2 TILEPROP CLBLL_R_X13Y106 ROW 45 TILEPROP CLBLL_R_X13Y106 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y106 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y106 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y106 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y106 TILE_X -33692 TILEPROP CLBLL_R_X13Y106 TILE_Y 102072 TILEPROP CLBLL_R_X13Y106 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y107 CLASS tile TILEPROP CLBLL_R_X13Y107 COLUMN 38 TILEPROP CLBLL_R_X13Y107 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y107 FIRST_SITE_ID 4365 TILEPROP CLBLL_R_X13Y107 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y107 GRID_POINT_Y 44 TILEPROP CLBLL_R_X13Y107 INDEX 5098 TILEPROP CLBLL_R_X13Y107 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y107 INT_TILE_Y 42 TILEPROP CLBLL_R_X13Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y107 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y107 NAME CLBLL_R_X13Y107 TILEPROP CLBLL_R_X13Y107 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y107 NUM_SITES 2 TILEPROP CLBLL_R_X13Y107 ROW 44 TILEPROP CLBLL_R_X13Y107 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y107 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y107 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y107 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y107 TILE_X -33692 TILEPROP CLBLL_R_X13Y107 TILE_Y 105272 TILEPROP CLBLL_R_X13Y107 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y108 CLASS tile TILEPROP CLBLL_R_X13Y108 COLUMN 38 TILEPROP CLBLL_R_X13Y108 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y108 FIRST_SITE_ID 4267 TILEPROP CLBLL_R_X13Y108 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y108 GRID_POINT_Y 43 TILEPROP CLBLL_R_X13Y108 INDEX 4983 TILEPROP CLBLL_R_X13Y108 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y108 INT_TILE_Y 41 TILEPROP CLBLL_R_X13Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y108 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y108 NAME CLBLL_R_X13Y108 TILEPROP CLBLL_R_X13Y108 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y108 NUM_SITES 2 TILEPROP CLBLL_R_X13Y108 ROW 43 TILEPROP CLBLL_R_X13Y108 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y108 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y108 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y108 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y108 TILE_X -33692 TILEPROP CLBLL_R_X13Y108 TILE_Y 108472 TILEPROP CLBLL_R_X13Y108 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y109 CLASS tile TILEPROP CLBLL_R_X13Y109 COLUMN 38 TILEPROP CLBLL_R_X13Y109 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y109 FIRST_SITE_ID 4178 TILEPROP CLBLL_R_X13Y109 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y109 GRID_POINT_Y 42 TILEPROP CLBLL_R_X13Y109 INDEX 4868 TILEPROP CLBLL_R_X13Y109 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y109 INT_TILE_Y 40 TILEPROP CLBLL_R_X13Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y109 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y109 NAME CLBLL_R_X13Y109 TILEPROP CLBLL_R_X13Y109 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y109 NUM_SITES 2 TILEPROP CLBLL_R_X13Y109 ROW 42 TILEPROP CLBLL_R_X13Y109 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y109 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y109 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y109 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y109 TILE_X -33692 TILEPROP CLBLL_R_X13Y109 TILE_Y 111672 TILEPROP CLBLL_R_X13Y109 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y110 CLASS tile TILEPROP CLBLL_R_X13Y110 COLUMN 38 TILEPROP CLBLL_R_X13Y110 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y110 FIRST_SITE_ID 4078 TILEPROP CLBLL_R_X13Y110 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y110 GRID_POINT_Y 41 TILEPROP CLBLL_R_X13Y110 INDEX 4753 TILEPROP CLBLL_R_X13Y110 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y110 INT_TILE_Y 39 TILEPROP CLBLL_R_X13Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y110 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y110 NAME CLBLL_R_X13Y110 TILEPROP CLBLL_R_X13Y110 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y110 NUM_SITES 2 TILEPROP CLBLL_R_X13Y110 ROW 41 TILEPROP CLBLL_R_X13Y110 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y110 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y110 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y110 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y110 TILE_X -33692 TILEPROP CLBLL_R_X13Y110 TILE_Y 114872 TILEPROP CLBLL_R_X13Y110 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y111 CLASS tile TILEPROP CLBLL_R_X13Y111 COLUMN 38 TILEPROP CLBLL_R_X13Y111 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y111 FIRST_SITE_ID 3984 TILEPROP CLBLL_R_X13Y111 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y111 GRID_POINT_Y 40 TILEPROP CLBLL_R_X13Y111 INDEX 4638 TILEPROP CLBLL_R_X13Y111 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y111 INT_TILE_Y 38 TILEPROP CLBLL_R_X13Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y111 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y111 NAME CLBLL_R_X13Y111 TILEPROP CLBLL_R_X13Y111 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y111 NUM_SITES 2 TILEPROP CLBLL_R_X13Y111 ROW 40 TILEPROP CLBLL_R_X13Y111 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y111 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y111 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y111 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y111 TILE_X -33692 TILEPROP CLBLL_R_X13Y111 TILE_Y 118072 TILEPROP CLBLL_R_X13Y111 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y112 CLASS tile TILEPROP CLBLL_R_X13Y112 COLUMN 38 TILEPROP CLBLL_R_X13Y112 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y112 FIRST_SITE_ID 3856 TILEPROP CLBLL_R_X13Y112 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y112 GRID_POINT_Y 39 TILEPROP CLBLL_R_X13Y112 INDEX 4523 TILEPROP CLBLL_R_X13Y112 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y112 INT_TILE_Y 37 TILEPROP CLBLL_R_X13Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y112 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y112 NAME CLBLL_R_X13Y112 TILEPROP CLBLL_R_X13Y112 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y112 NUM_SITES 2 TILEPROP CLBLL_R_X13Y112 ROW 39 TILEPROP CLBLL_R_X13Y112 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y112 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y112 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y112 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y112 TILE_X -33692 TILEPROP CLBLL_R_X13Y112 TILE_Y 121272 TILEPROP CLBLL_R_X13Y112 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y113 CLASS tile TILEPROP CLBLL_R_X13Y113 COLUMN 38 TILEPROP CLBLL_R_X13Y113 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y113 FIRST_SITE_ID 3768 TILEPROP CLBLL_R_X13Y113 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y113 GRID_POINT_Y 38 TILEPROP CLBLL_R_X13Y113 INDEX 4408 TILEPROP CLBLL_R_X13Y113 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y113 INT_TILE_Y 36 TILEPROP CLBLL_R_X13Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y113 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y113 NAME CLBLL_R_X13Y113 TILEPROP CLBLL_R_X13Y113 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y113 NUM_SITES 2 TILEPROP CLBLL_R_X13Y113 ROW 38 TILEPROP CLBLL_R_X13Y113 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y113 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y113 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y113 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y113 TILE_X -33692 TILEPROP CLBLL_R_X13Y113 TILE_Y 124472 TILEPROP CLBLL_R_X13Y113 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y114 CLASS tile TILEPROP CLBLL_R_X13Y114 COLUMN 38 TILEPROP CLBLL_R_X13Y114 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y114 FIRST_SITE_ID 3672 TILEPROP CLBLL_R_X13Y114 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y114 GRID_POINT_Y 37 TILEPROP CLBLL_R_X13Y114 INDEX 4293 TILEPROP CLBLL_R_X13Y114 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y114 INT_TILE_Y 35 TILEPROP CLBLL_R_X13Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y114 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y114 NAME CLBLL_R_X13Y114 TILEPROP CLBLL_R_X13Y114 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y114 NUM_SITES 2 TILEPROP CLBLL_R_X13Y114 ROW 37 TILEPROP CLBLL_R_X13Y114 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y114 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y114 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y114 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y114 TILE_X -33692 TILEPROP CLBLL_R_X13Y114 TILE_Y 127672 TILEPROP CLBLL_R_X13Y114 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y115 CLASS tile TILEPROP CLBLL_R_X13Y115 COLUMN 38 TILEPROP CLBLL_R_X13Y115 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y115 FIRST_SITE_ID 3581 TILEPROP CLBLL_R_X13Y115 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y115 GRID_POINT_Y 36 TILEPROP CLBLL_R_X13Y115 INDEX 4178 TILEPROP CLBLL_R_X13Y115 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y115 INT_TILE_Y 34 TILEPROP CLBLL_R_X13Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y115 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y115 NAME CLBLL_R_X13Y115 TILEPROP CLBLL_R_X13Y115 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y115 NUM_SITES 2 TILEPROP CLBLL_R_X13Y115 ROW 36 TILEPROP CLBLL_R_X13Y115 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y115 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y115 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y115 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y115 TILE_X -33692 TILEPROP CLBLL_R_X13Y115 TILE_Y 130872 TILEPROP CLBLL_R_X13Y115 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y116 CLASS tile TILEPROP CLBLL_R_X13Y116 COLUMN 38 TILEPROP CLBLL_R_X13Y116 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y116 FIRST_SITE_ID 3474 TILEPROP CLBLL_R_X13Y116 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y116 GRID_POINT_Y 35 TILEPROP CLBLL_R_X13Y116 INDEX 4063 TILEPROP CLBLL_R_X13Y116 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y116 INT_TILE_Y 33 TILEPROP CLBLL_R_X13Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y116 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y116 NAME CLBLL_R_X13Y116 TILEPROP CLBLL_R_X13Y116 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y116 NUM_SITES 2 TILEPROP CLBLL_R_X13Y116 ROW 35 TILEPROP CLBLL_R_X13Y116 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y116 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y116 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y116 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y116 TILE_X -33692 TILEPROP CLBLL_R_X13Y116 TILE_Y 134072 TILEPROP CLBLL_R_X13Y116 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y117 CLASS tile TILEPROP CLBLL_R_X13Y117 COLUMN 38 TILEPROP CLBLL_R_X13Y117 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y117 FIRST_SITE_ID 3386 TILEPROP CLBLL_R_X13Y117 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y117 GRID_POINT_Y 34 TILEPROP CLBLL_R_X13Y117 INDEX 3948 TILEPROP CLBLL_R_X13Y117 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y117 INT_TILE_Y 32 TILEPROP CLBLL_R_X13Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y117 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y117 NAME CLBLL_R_X13Y117 TILEPROP CLBLL_R_X13Y117 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y117 NUM_SITES 2 TILEPROP CLBLL_R_X13Y117 ROW 34 TILEPROP CLBLL_R_X13Y117 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y117 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y117 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y117 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y117 TILE_X -33692 TILEPROP CLBLL_R_X13Y117 TILE_Y 137272 TILEPROP CLBLL_R_X13Y117 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y118 CLASS tile TILEPROP CLBLL_R_X13Y118 COLUMN 38 TILEPROP CLBLL_R_X13Y118 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y118 FIRST_SITE_ID 3286 TILEPROP CLBLL_R_X13Y118 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y118 GRID_POINT_Y 33 TILEPROP CLBLL_R_X13Y118 INDEX 3833 TILEPROP CLBLL_R_X13Y118 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y118 INT_TILE_Y 31 TILEPROP CLBLL_R_X13Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y118 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y118 NAME CLBLL_R_X13Y118 TILEPROP CLBLL_R_X13Y118 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y118 NUM_SITES 2 TILEPROP CLBLL_R_X13Y118 ROW 33 TILEPROP CLBLL_R_X13Y118 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y118 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y118 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y118 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y118 TILE_X -33692 TILEPROP CLBLL_R_X13Y118 TILE_Y 140472 TILEPROP CLBLL_R_X13Y118 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y119 CLASS tile TILEPROP CLBLL_R_X13Y119 COLUMN 38 TILEPROP CLBLL_R_X13Y119 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y119 FIRST_SITE_ID 3198 TILEPROP CLBLL_R_X13Y119 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y119 GRID_POINT_Y 32 TILEPROP CLBLL_R_X13Y119 INDEX 3718 TILEPROP CLBLL_R_X13Y119 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y119 INT_TILE_Y 30 TILEPROP CLBLL_R_X13Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y119 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y119 NAME CLBLL_R_X13Y119 TILEPROP CLBLL_R_X13Y119 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y119 NUM_SITES 2 TILEPROP CLBLL_R_X13Y119 ROW 32 TILEPROP CLBLL_R_X13Y119 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y119 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y119 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y119 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y119 TILE_X -33692 TILEPROP CLBLL_R_X13Y119 TILE_Y 143672 TILEPROP CLBLL_R_X13Y119 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y120 CLASS tile TILEPROP CLBLL_R_X13Y120 COLUMN 38 TILEPROP CLBLL_R_X13Y120 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y120 FIRST_SITE_ID 3097 TILEPROP CLBLL_R_X13Y120 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y120 GRID_POINT_Y 31 TILEPROP CLBLL_R_X13Y120 INDEX 3603 TILEPROP CLBLL_R_X13Y120 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y120 INT_TILE_Y 29 TILEPROP CLBLL_R_X13Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y120 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y120 NAME CLBLL_R_X13Y120 TILEPROP CLBLL_R_X13Y120 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y120 NUM_SITES 2 TILEPROP CLBLL_R_X13Y120 ROW 31 TILEPROP CLBLL_R_X13Y120 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y120 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y120 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y120 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y120 TILE_X -33692 TILEPROP CLBLL_R_X13Y120 TILE_Y 146872 TILEPROP CLBLL_R_X13Y120 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y121 CLASS tile TILEPROP CLBLL_R_X13Y121 COLUMN 38 TILEPROP CLBLL_R_X13Y121 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y121 FIRST_SITE_ID 3003 TILEPROP CLBLL_R_X13Y121 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y121 GRID_POINT_Y 30 TILEPROP CLBLL_R_X13Y121 INDEX 3488 TILEPROP CLBLL_R_X13Y121 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y121 INT_TILE_Y 28 TILEPROP CLBLL_R_X13Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y121 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y121 NAME CLBLL_R_X13Y121 TILEPROP CLBLL_R_X13Y121 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y121 NUM_SITES 2 TILEPROP CLBLL_R_X13Y121 ROW 30 TILEPROP CLBLL_R_X13Y121 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y121 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y121 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y121 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y121 TILE_X -33692 TILEPROP CLBLL_R_X13Y121 TILE_Y 150072 TILEPROP CLBLL_R_X13Y121 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y122 CLASS tile TILEPROP CLBLL_R_X13Y122 COLUMN 38 TILEPROP CLBLL_R_X13Y122 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y122 FIRST_SITE_ID 2900 TILEPROP CLBLL_R_X13Y122 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y122 GRID_POINT_Y 29 TILEPROP CLBLL_R_X13Y122 INDEX 3373 TILEPROP CLBLL_R_X13Y122 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y122 INT_TILE_Y 27 TILEPROP CLBLL_R_X13Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y122 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y122 NAME CLBLL_R_X13Y122 TILEPROP CLBLL_R_X13Y122 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y122 NUM_SITES 2 TILEPROP CLBLL_R_X13Y122 ROW 29 TILEPROP CLBLL_R_X13Y122 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y122 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y122 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y122 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y122 TILE_X -33692 TILEPROP CLBLL_R_X13Y122 TILE_Y 153272 TILEPROP CLBLL_R_X13Y122 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y123 CLASS tile TILEPROP CLBLL_R_X13Y123 COLUMN 38 TILEPROP CLBLL_R_X13Y123 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y123 FIRST_SITE_ID 2812 TILEPROP CLBLL_R_X13Y123 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y123 GRID_POINT_Y 28 TILEPROP CLBLL_R_X13Y123 INDEX 3258 TILEPROP CLBLL_R_X13Y123 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y123 INT_TILE_Y 26 TILEPROP CLBLL_R_X13Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y123 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y123 NAME CLBLL_R_X13Y123 TILEPROP CLBLL_R_X13Y123 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y123 NUM_SITES 2 TILEPROP CLBLL_R_X13Y123 ROW 28 TILEPROP CLBLL_R_X13Y123 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y123 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y123 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y123 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y123 TILE_X -33692 TILEPROP CLBLL_R_X13Y123 TILE_Y 156472 TILEPROP CLBLL_R_X13Y123 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y124 CLASS tile TILEPROP CLBLL_R_X13Y124 COLUMN 38 TILEPROP CLBLL_R_X13Y124 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y124 FIRST_SITE_ID 2716 TILEPROP CLBLL_R_X13Y124 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y124 GRID_POINT_Y 27 TILEPROP CLBLL_R_X13Y124 INDEX 3143 TILEPROP CLBLL_R_X13Y124 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y124 INT_TILE_Y 25 TILEPROP CLBLL_R_X13Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y124 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y124 NAME CLBLL_R_X13Y124 TILEPROP CLBLL_R_X13Y124 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y124 NUM_SITES 2 TILEPROP CLBLL_R_X13Y124 ROW 27 TILEPROP CLBLL_R_X13Y124 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y124 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X13Y124 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y124 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y124 TILE_X -33692 TILEPROP CLBLL_R_X13Y124 TILE_Y 159672 TILEPROP CLBLL_R_X13Y124 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y125 CLASS tile TILEPROP CLBLL_R_X13Y125 COLUMN 38 TILEPROP CLBLL_R_X13Y125 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y125 FIRST_SITE_ID 2543 TILEPROP CLBLL_R_X13Y125 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y125 GRID_POINT_Y 25 TILEPROP CLBLL_R_X13Y125 INDEX 2913 TILEPROP CLBLL_R_X13Y125 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y125 INT_TILE_Y 24 TILEPROP CLBLL_R_X13Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y125 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y125 NAME CLBLL_R_X13Y125 TILEPROP CLBLL_R_X13Y125 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y125 NUM_SITES 2 TILEPROP CLBLL_R_X13Y125 ROW 25 TILEPROP CLBLL_R_X13Y125 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y125 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X13Y125 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y125 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y125 TILE_X -33692 TILEPROP CLBLL_R_X13Y125 TILE_Y 163896 TILEPROP CLBLL_R_X13Y125 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y126 CLASS tile TILEPROP CLBLL_R_X13Y126 COLUMN 38 TILEPROP CLBLL_R_X13Y126 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y126 FIRST_SITE_ID 2433 TILEPROP CLBLL_R_X13Y126 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y126 GRID_POINT_Y 24 TILEPROP CLBLL_R_X13Y126 INDEX 2798 TILEPROP CLBLL_R_X13Y126 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y126 INT_TILE_Y 23 TILEPROP CLBLL_R_X13Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y126 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y126 NAME CLBLL_R_X13Y126 TILEPROP CLBLL_R_X13Y126 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y126 NUM_SITES 2 TILEPROP CLBLL_R_X13Y126 ROW 24 TILEPROP CLBLL_R_X13Y126 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y126 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y126 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y126 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y126 TILE_X -33692 TILEPROP CLBLL_R_X13Y126 TILE_Y 167096 TILEPROP CLBLL_R_X13Y126 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y127 CLASS tile TILEPROP CLBLL_R_X13Y127 COLUMN 38 TILEPROP CLBLL_R_X13Y127 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y127 FIRST_SITE_ID 2337 TILEPROP CLBLL_R_X13Y127 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y127 GRID_POINT_Y 23 TILEPROP CLBLL_R_X13Y127 INDEX 2683 TILEPROP CLBLL_R_X13Y127 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y127 INT_TILE_Y 22 TILEPROP CLBLL_R_X13Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y127 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y127 NAME CLBLL_R_X13Y127 TILEPROP CLBLL_R_X13Y127 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y127 NUM_SITES 2 TILEPROP CLBLL_R_X13Y127 ROW 23 TILEPROP CLBLL_R_X13Y127 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y127 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y127 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y127 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y127 TILE_X -33692 TILEPROP CLBLL_R_X13Y127 TILE_Y 170296 TILEPROP CLBLL_R_X13Y127 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y128 CLASS tile TILEPROP CLBLL_R_X13Y128 COLUMN 38 TILEPROP CLBLL_R_X13Y128 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y128 FIRST_SITE_ID 2233 TILEPROP CLBLL_R_X13Y128 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y128 GRID_POINT_Y 22 TILEPROP CLBLL_R_X13Y128 INDEX 2568 TILEPROP CLBLL_R_X13Y128 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y128 INT_TILE_Y 21 TILEPROP CLBLL_R_X13Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y128 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y128 NAME CLBLL_R_X13Y128 TILEPROP CLBLL_R_X13Y128 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y128 NUM_SITES 2 TILEPROP CLBLL_R_X13Y128 ROW 22 TILEPROP CLBLL_R_X13Y128 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y128 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y128 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y128 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y128 TILE_X -33692 TILEPROP CLBLL_R_X13Y128 TILE_Y 173496 TILEPROP CLBLL_R_X13Y128 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y129 CLASS tile TILEPROP CLBLL_R_X13Y129 COLUMN 38 TILEPROP CLBLL_R_X13Y129 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y129 FIRST_SITE_ID 2137 TILEPROP CLBLL_R_X13Y129 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y129 GRID_POINT_Y 21 TILEPROP CLBLL_R_X13Y129 INDEX 2453 TILEPROP CLBLL_R_X13Y129 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y129 INT_TILE_Y 20 TILEPROP CLBLL_R_X13Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y129 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y129 NAME CLBLL_R_X13Y129 TILEPROP CLBLL_R_X13Y129 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y129 NUM_SITES 2 TILEPROP CLBLL_R_X13Y129 ROW 21 TILEPROP CLBLL_R_X13Y129 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y129 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y129 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y129 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y129 TILE_X -33692 TILEPROP CLBLL_R_X13Y129 TILE_Y 176696 TILEPROP CLBLL_R_X13Y129 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y130 CLASS tile TILEPROP CLBLL_R_X13Y130 COLUMN 38 TILEPROP CLBLL_R_X13Y130 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y130 FIRST_SITE_ID 2021 TILEPROP CLBLL_R_X13Y130 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y130 GRID_POINT_Y 20 TILEPROP CLBLL_R_X13Y130 INDEX 2338 TILEPROP CLBLL_R_X13Y130 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y130 INT_TILE_Y 19 TILEPROP CLBLL_R_X13Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y130 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y130 NAME CLBLL_R_X13Y130 TILEPROP CLBLL_R_X13Y130 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y130 NUM_SITES 2 TILEPROP CLBLL_R_X13Y130 ROW 20 TILEPROP CLBLL_R_X13Y130 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y130 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y130 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y130 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y130 TILE_X -33692 TILEPROP CLBLL_R_X13Y130 TILE_Y 179896 TILEPROP CLBLL_R_X13Y130 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y131 CLASS tile TILEPROP CLBLL_R_X13Y131 COLUMN 38 TILEPROP CLBLL_R_X13Y131 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y131 FIRST_SITE_ID 1919 TILEPROP CLBLL_R_X13Y131 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y131 GRID_POINT_Y 19 TILEPROP CLBLL_R_X13Y131 INDEX 2223 TILEPROP CLBLL_R_X13Y131 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y131 INT_TILE_Y 18 TILEPROP CLBLL_R_X13Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y131 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y131 NAME CLBLL_R_X13Y131 TILEPROP CLBLL_R_X13Y131 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y131 NUM_SITES 2 TILEPROP CLBLL_R_X13Y131 ROW 19 TILEPROP CLBLL_R_X13Y131 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y131 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y131 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y131 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y131 TILE_X -33692 TILEPROP CLBLL_R_X13Y131 TILE_Y 183096 TILEPROP CLBLL_R_X13Y131 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y132 CLASS tile TILEPROP CLBLL_R_X13Y132 COLUMN 38 TILEPROP CLBLL_R_X13Y132 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y132 FIRST_SITE_ID 1813 TILEPROP CLBLL_R_X13Y132 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y132 GRID_POINT_Y 18 TILEPROP CLBLL_R_X13Y132 INDEX 2108 TILEPROP CLBLL_R_X13Y132 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y132 INT_TILE_Y 17 TILEPROP CLBLL_R_X13Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y132 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y132 NAME CLBLL_R_X13Y132 TILEPROP CLBLL_R_X13Y132 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y132 NUM_SITES 2 TILEPROP CLBLL_R_X13Y132 ROW 18 TILEPROP CLBLL_R_X13Y132 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y132 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y132 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y132 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y132 TILE_X -33692 TILEPROP CLBLL_R_X13Y132 TILE_Y 186296 TILEPROP CLBLL_R_X13Y132 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y133 CLASS tile TILEPROP CLBLL_R_X13Y133 COLUMN 38 TILEPROP CLBLL_R_X13Y133 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y133 FIRST_SITE_ID 1712 TILEPROP CLBLL_R_X13Y133 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y133 GRID_POINT_Y 17 TILEPROP CLBLL_R_X13Y133 INDEX 1993 TILEPROP CLBLL_R_X13Y133 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y133 INT_TILE_Y 16 TILEPROP CLBLL_R_X13Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y133 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y133 NAME CLBLL_R_X13Y133 TILEPROP CLBLL_R_X13Y133 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y133 NUM_SITES 2 TILEPROP CLBLL_R_X13Y133 ROW 17 TILEPROP CLBLL_R_X13Y133 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y133 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y133 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y133 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y133 TILE_X -33692 TILEPROP CLBLL_R_X13Y133 TILE_Y 189496 TILEPROP CLBLL_R_X13Y133 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y134 CLASS tile TILEPROP CLBLL_R_X13Y134 COLUMN 38 TILEPROP CLBLL_R_X13Y134 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y134 FIRST_SITE_ID 1608 TILEPROP CLBLL_R_X13Y134 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y134 GRID_POINT_Y 16 TILEPROP CLBLL_R_X13Y134 INDEX 1878 TILEPROP CLBLL_R_X13Y134 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y134 INT_TILE_Y 15 TILEPROP CLBLL_R_X13Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y134 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y134 NAME CLBLL_R_X13Y134 TILEPROP CLBLL_R_X13Y134 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y134 NUM_SITES 2 TILEPROP CLBLL_R_X13Y134 ROW 16 TILEPROP CLBLL_R_X13Y134 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y134 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y134 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y134 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y134 TILE_X -33692 TILEPROP CLBLL_R_X13Y134 TILE_Y 192696 TILEPROP CLBLL_R_X13Y134 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y135 CLASS tile TILEPROP CLBLL_R_X13Y135 COLUMN 38 TILEPROP CLBLL_R_X13Y135 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y135 FIRST_SITE_ID 1506 TILEPROP CLBLL_R_X13Y135 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y135 GRID_POINT_Y 15 TILEPROP CLBLL_R_X13Y135 INDEX 1763 TILEPROP CLBLL_R_X13Y135 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y135 INT_TILE_Y 14 TILEPROP CLBLL_R_X13Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y135 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y135 NAME CLBLL_R_X13Y135 TILEPROP CLBLL_R_X13Y135 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y135 NUM_SITES 2 TILEPROP CLBLL_R_X13Y135 ROW 15 TILEPROP CLBLL_R_X13Y135 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y135 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y135 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y135 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y135 TILE_X -33692 TILEPROP CLBLL_R_X13Y135 TILE_Y 195896 TILEPROP CLBLL_R_X13Y135 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y136 CLASS tile TILEPROP CLBLL_R_X13Y136 COLUMN 38 TILEPROP CLBLL_R_X13Y136 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y136 FIRST_SITE_ID 1364 TILEPROP CLBLL_R_X13Y136 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y136 GRID_POINT_Y 14 TILEPROP CLBLL_R_X13Y136 INDEX 1648 TILEPROP CLBLL_R_X13Y136 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y136 INT_TILE_Y 13 TILEPROP CLBLL_R_X13Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y136 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y136 NAME CLBLL_R_X13Y136 TILEPROP CLBLL_R_X13Y136 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y136 NUM_SITES 2 TILEPROP CLBLL_R_X13Y136 ROW 14 TILEPROP CLBLL_R_X13Y136 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y136 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y136 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y136 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y136 TILE_X -33692 TILEPROP CLBLL_R_X13Y136 TILE_Y 199096 TILEPROP CLBLL_R_X13Y136 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y137 CLASS tile TILEPROP CLBLL_R_X13Y137 COLUMN 38 TILEPROP CLBLL_R_X13Y137 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y137 FIRST_SITE_ID 1268 TILEPROP CLBLL_R_X13Y137 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y137 GRID_POINT_Y 13 TILEPROP CLBLL_R_X13Y137 INDEX 1533 TILEPROP CLBLL_R_X13Y137 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y137 INT_TILE_Y 12 TILEPROP CLBLL_R_X13Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y137 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y137 NAME CLBLL_R_X13Y137 TILEPROP CLBLL_R_X13Y137 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y137 NUM_SITES 2 TILEPROP CLBLL_R_X13Y137 ROW 13 TILEPROP CLBLL_R_X13Y137 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y137 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y137 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y137 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y137 TILE_X -33692 TILEPROP CLBLL_R_X13Y137 TILE_Y 202296 TILEPROP CLBLL_R_X13Y137 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y138 CLASS tile TILEPROP CLBLL_R_X13Y138 COLUMN 38 TILEPROP CLBLL_R_X13Y138 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y138 FIRST_SITE_ID 1164 TILEPROP CLBLL_R_X13Y138 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y138 GRID_POINT_Y 12 TILEPROP CLBLL_R_X13Y138 INDEX 1418 TILEPROP CLBLL_R_X13Y138 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y138 INT_TILE_Y 11 TILEPROP CLBLL_R_X13Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y138 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y138 NAME CLBLL_R_X13Y138 TILEPROP CLBLL_R_X13Y138 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y138 NUM_SITES 2 TILEPROP CLBLL_R_X13Y138 ROW 12 TILEPROP CLBLL_R_X13Y138 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y138 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y138 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y138 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y138 TILE_X -33692 TILEPROP CLBLL_R_X13Y138 TILE_Y 205496 TILEPROP CLBLL_R_X13Y138 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y139 CLASS tile TILEPROP CLBLL_R_X13Y139 COLUMN 38 TILEPROP CLBLL_R_X13Y139 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y139 FIRST_SITE_ID 1068 TILEPROP CLBLL_R_X13Y139 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y139 GRID_POINT_Y 11 TILEPROP CLBLL_R_X13Y139 INDEX 1303 TILEPROP CLBLL_R_X13Y139 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y139 INT_TILE_Y 10 TILEPROP CLBLL_R_X13Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y139 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y139 NAME CLBLL_R_X13Y139 TILEPROP CLBLL_R_X13Y139 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y139 NUM_SITES 2 TILEPROP CLBLL_R_X13Y139 ROW 11 TILEPROP CLBLL_R_X13Y139 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y139 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y139 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y139 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y139 TILE_X -33692 TILEPROP CLBLL_R_X13Y139 TILE_Y 208696 TILEPROP CLBLL_R_X13Y139 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y140 CLASS tile TILEPROP CLBLL_R_X13Y140 COLUMN 38 TILEPROP CLBLL_R_X13Y140 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y140 FIRST_SITE_ID 958 TILEPROP CLBLL_R_X13Y140 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y140 GRID_POINT_Y 10 TILEPROP CLBLL_R_X13Y140 INDEX 1188 TILEPROP CLBLL_R_X13Y140 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y140 INT_TILE_Y 9 TILEPROP CLBLL_R_X13Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y140 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y140 NAME CLBLL_R_X13Y140 TILEPROP CLBLL_R_X13Y140 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y140 NUM_SITES 2 TILEPROP CLBLL_R_X13Y140 ROW 10 TILEPROP CLBLL_R_X13Y140 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y140 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y140 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y140 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y140 TILE_X -33692 TILEPROP CLBLL_R_X13Y140 TILE_Y 211896 TILEPROP CLBLL_R_X13Y140 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y141 CLASS tile TILEPROP CLBLL_R_X13Y141 COLUMN 38 TILEPROP CLBLL_R_X13Y141 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y141 FIRST_SITE_ID 856 TILEPROP CLBLL_R_X13Y141 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y141 GRID_POINT_Y 9 TILEPROP CLBLL_R_X13Y141 INDEX 1073 TILEPROP CLBLL_R_X13Y141 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y141 INT_TILE_Y 8 TILEPROP CLBLL_R_X13Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y141 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y141 NAME CLBLL_R_X13Y141 TILEPROP CLBLL_R_X13Y141 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y141 NUM_SITES 2 TILEPROP CLBLL_R_X13Y141 ROW 9 TILEPROP CLBLL_R_X13Y141 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y141 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y141 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y141 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y141 TILE_X -33692 TILEPROP CLBLL_R_X13Y141 TILE_Y 215096 TILEPROP CLBLL_R_X13Y141 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y142 CLASS tile TILEPROP CLBLL_R_X13Y142 COLUMN 38 TILEPROP CLBLL_R_X13Y142 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y142 FIRST_SITE_ID 752 TILEPROP CLBLL_R_X13Y142 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y142 GRID_POINT_Y 8 TILEPROP CLBLL_R_X13Y142 INDEX 958 TILEPROP CLBLL_R_X13Y142 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y142 INT_TILE_Y 7 TILEPROP CLBLL_R_X13Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y142 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y142 NAME CLBLL_R_X13Y142 TILEPROP CLBLL_R_X13Y142 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y142 NUM_SITES 2 TILEPROP CLBLL_R_X13Y142 ROW 8 TILEPROP CLBLL_R_X13Y142 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y142 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y142 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y142 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y142 TILE_X -33692 TILEPROP CLBLL_R_X13Y142 TILE_Y 218296 TILEPROP CLBLL_R_X13Y142 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y143 CLASS tile TILEPROP CLBLL_R_X13Y143 COLUMN 38 TILEPROP CLBLL_R_X13Y143 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y143 FIRST_SITE_ID 655 TILEPROP CLBLL_R_X13Y143 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y143 GRID_POINT_Y 7 TILEPROP CLBLL_R_X13Y143 INDEX 843 TILEPROP CLBLL_R_X13Y143 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y143 INT_TILE_Y 6 TILEPROP CLBLL_R_X13Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y143 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y143 NAME CLBLL_R_X13Y143 TILEPROP CLBLL_R_X13Y143 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y143 NUM_SITES 2 TILEPROP CLBLL_R_X13Y143 ROW 7 TILEPROP CLBLL_R_X13Y143 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y143 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y143 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y143 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y143 TILE_X -33692 TILEPROP CLBLL_R_X13Y143 TILE_Y 221496 TILEPROP CLBLL_R_X13Y143 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y144 CLASS tile TILEPROP CLBLL_R_X13Y144 COLUMN 38 TILEPROP CLBLL_R_X13Y144 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y144 FIRST_SITE_ID 544 TILEPROP CLBLL_R_X13Y144 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y144 GRID_POINT_Y 6 TILEPROP CLBLL_R_X13Y144 INDEX 728 TILEPROP CLBLL_R_X13Y144 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y144 INT_TILE_Y 5 TILEPROP CLBLL_R_X13Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y144 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y144 NAME CLBLL_R_X13Y144 TILEPROP CLBLL_R_X13Y144 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y144 NUM_SITES 2 TILEPROP CLBLL_R_X13Y144 ROW 6 TILEPROP CLBLL_R_X13Y144 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y144 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y144 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y144 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y144 TILE_X -33692 TILEPROP CLBLL_R_X13Y144 TILE_Y 224696 TILEPROP CLBLL_R_X13Y144 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y145 CLASS tile TILEPROP CLBLL_R_X13Y145 COLUMN 38 TILEPROP CLBLL_R_X13Y145 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y145 FIRST_SITE_ID 442 TILEPROP CLBLL_R_X13Y145 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y145 GRID_POINT_Y 5 TILEPROP CLBLL_R_X13Y145 INDEX 613 TILEPROP CLBLL_R_X13Y145 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y145 INT_TILE_Y 4 TILEPROP CLBLL_R_X13Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y145 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y145 NAME CLBLL_R_X13Y145 TILEPROP CLBLL_R_X13Y145 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y145 NUM_SITES 2 TILEPROP CLBLL_R_X13Y145 ROW 5 TILEPROP CLBLL_R_X13Y145 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y145 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y145 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y145 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y145 TILE_X -33692 TILEPROP CLBLL_R_X13Y145 TILE_Y 227896 TILEPROP CLBLL_R_X13Y145 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y146 CLASS tile TILEPROP CLBLL_R_X13Y146 COLUMN 38 TILEPROP CLBLL_R_X13Y146 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y146 FIRST_SITE_ID 332 TILEPROP CLBLL_R_X13Y146 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y146 GRID_POINT_Y 4 TILEPROP CLBLL_R_X13Y146 INDEX 498 TILEPROP CLBLL_R_X13Y146 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y146 INT_TILE_Y 3 TILEPROP CLBLL_R_X13Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y146 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y146 NAME CLBLL_R_X13Y146 TILEPROP CLBLL_R_X13Y146 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y146 NUM_SITES 2 TILEPROP CLBLL_R_X13Y146 ROW 4 TILEPROP CLBLL_R_X13Y146 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y146 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y146 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y146 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y146 TILE_X -33692 TILEPROP CLBLL_R_X13Y146 TILE_Y 231096 TILEPROP CLBLL_R_X13Y146 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y147 CLASS tile TILEPROP CLBLL_R_X13Y147 COLUMN 38 TILEPROP CLBLL_R_X13Y147 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y147 FIRST_SITE_ID 236 TILEPROP CLBLL_R_X13Y147 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y147 GRID_POINT_Y 3 TILEPROP CLBLL_R_X13Y147 INDEX 383 TILEPROP CLBLL_R_X13Y147 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y147 INT_TILE_Y 2 TILEPROP CLBLL_R_X13Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y147 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y147 NAME CLBLL_R_X13Y147 TILEPROP CLBLL_R_X13Y147 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y147 NUM_SITES 2 TILEPROP CLBLL_R_X13Y147 ROW 3 TILEPROP CLBLL_R_X13Y147 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y147 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y147 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y147 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y147 TILE_X -33692 TILEPROP CLBLL_R_X13Y147 TILE_Y 234296 TILEPROP CLBLL_R_X13Y147 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y148 CLASS tile TILEPROP CLBLL_R_X13Y148 COLUMN 38 TILEPROP CLBLL_R_X13Y148 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y148 FIRST_SITE_ID 132 TILEPROP CLBLL_R_X13Y148 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y148 GRID_POINT_Y 2 TILEPROP CLBLL_R_X13Y148 INDEX 268 TILEPROP CLBLL_R_X13Y148 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y148 INT_TILE_Y 1 TILEPROP CLBLL_R_X13Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y148 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y148 NAME CLBLL_R_X13Y148 TILEPROP CLBLL_R_X13Y148 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y148 NUM_SITES 2 TILEPROP CLBLL_R_X13Y148 ROW 2 TILEPROP CLBLL_R_X13Y148 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y148 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X13Y148 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y148 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y148 TILE_X -33692 TILEPROP CLBLL_R_X13Y148 TILE_Y 237496 TILEPROP CLBLL_R_X13Y148 TYPE CLBLL_R TILEPROP CLBLL_R_X13Y149 CLASS tile TILEPROP CLBLL_R_X13Y149 COLUMN 38 TILEPROP CLBLL_R_X13Y149 DEVICE_ID 0 TILEPROP CLBLL_R_X13Y149 FIRST_SITE_ID 36 TILEPROP CLBLL_R_X13Y149 GRID_POINT_X 38 TILEPROP CLBLL_R_X13Y149 GRID_POINT_Y 1 TILEPROP CLBLL_R_X13Y149 INDEX 153 TILEPROP CLBLL_R_X13Y149 INT_TILE_X 13 TILEPROP CLBLL_R_X13Y149 INT_TILE_Y 0 TILEPROP CLBLL_R_X13Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X13Y149 IS_DCM_TILE 0 TILEPROP CLBLL_R_X13Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X13Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X13Y149 NAME CLBLL_R_X13Y149 TILEPROP CLBLL_R_X13Y149 NUM_ARCS 146 TILEPROP CLBLL_R_X13Y149 NUM_SITES 2 TILEPROP CLBLL_R_X13Y149 ROW 1 TILEPROP CLBLL_R_X13Y149 SLR_REGION_ID 0 TILEPROP CLBLL_R_X13Y149 TILE_PATTERN_IDX 42 TILEPROP CLBLL_R_X13Y149 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X13Y149 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X13Y149 TILE_X -33692 TILEPROP CLBLL_R_X13Y149 TILE_Y 240696 TILEPROP CLBLL_R_X13Y149 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y100 CLASS tile TILEPROP CLBLL_R_X15Y100 COLUMN 42 TILEPROP CLBLL_R_X15Y100 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y100 FIRST_SITE_ID 5035 TILEPROP CLBLL_R_X15Y100 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y100 GRID_POINT_Y 51 TILEPROP CLBLL_R_X15Y100 INDEX 5907 TILEPROP CLBLL_R_X15Y100 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y100 INT_TILE_Y 49 TILEPROP CLBLL_R_X15Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y100 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y100 NAME CLBLL_R_X15Y100 TILEPROP CLBLL_R_X15Y100 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y100 NUM_SITES 2 TILEPROP CLBLL_R_X15Y100 ROW 51 TILEPROP CLBLL_R_X15Y100 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y100 TILE_PATTERN_IDX 3523 TILEPROP CLBLL_R_X15Y100 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y100 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y100 TILE_X -25388 TILEPROP CLBLL_R_X15Y100 TILE_Y 82872 TILEPROP CLBLL_R_X15Y100 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y101 CLASS tile TILEPROP CLBLL_R_X15Y101 COLUMN 42 TILEPROP CLBLL_R_X15Y101 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y101 FIRST_SITE_ID 4937 TILEPROP CLBLL_R_X15Y101 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y101 GRID_POINT_Y 50 TILEPROP CLBLL_R_X15Y101 INDEX 5792 TILEPROP CLBLL_R_X15Y101 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y101 INT_TILE_Y 48 TILEPROP CLBLL_R_X15Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y101 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y101 NAME CLBLL_R_X15Y101 TILEPROP CLBLL_R_X15Y101 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y101 NUM_SITES 2 TILEPROP CLBLL_R_X15Y101 ROW 50 TILEPROP CLBLL_R_X15Y101 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y101 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y101 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y101 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y101 TILE_X -25388 TILEPROP CLBLL_R_X15Y101 TILE_Y 86072 TILEPROP CLBLL_R_X15Y101 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y102 CLASS tile TILEPROP CLBLL_R_X15Y102 COLUMN 42 TILEPROP CLBLL_R_X15Y102 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y102 FIRST_SITE_ID 4841 TILEPROP CLBLL_R_X15Y102 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y102 GRID_POINT_Y 49 TILEPROP CLBLL_R_X15Y102 INDEX 5677 TILEPROP CLBLL_R_X15Y102 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y102 INT_TILE_Y 47 TILEPROP CLBLL_R_X15Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y102 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y102 NAME CLBLL_R_X15Y102 TILEPROP CLBLL_R_X15Y102 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y102 NUM_SITES 2 TILEPROP CLBLL_R_X15Y102 ROW 49 TILEPROP CLBLL_R_X15Y102 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y102 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y102 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y102 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y102 TILE_X -25388 TILEPROP CLBLL_R_X15Y102 TILE_Y 89272 TILEPROP CLBLL_R_X15Y102 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y103 CLASS tile TILEPROP CLBLL_R_X15Y103 COLUMN 42 TILEPROP CLBLL_R_X15Y103 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y103 FIRST_SITE_ID 4753 TILEPROP CLBLL_R_X15Y103 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y103 GRID_POINT_Y 48 TILEPROP CLBLL_R_X15Y103 INDEX 5562 TILEPROP CLBLL_R_X15Y103 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y103 INT_TILE_Y 46 TILEPROP CLBLL_R_X15Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y103 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y103 NAME CLBLL_R_X15Y103 TILEPROP CLBLL_R_X15Y103 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y103 NUM_SITES 2 TILEPROP CLBLL_R_X15Y103 ROW 48 TILEPROP CLBLL_R_X15Y103 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y103 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y103 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y103 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y103 TILE_X -25388 TILEPROP CLBLL_R_X15Y103 TILE_Y 92472 TILEPROP CLBLL_R_X15Y103 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y104 CLASS tile TILEPROP CLBLL_R_X15Y104 COLUMN 42 TILEPROP CLBLL_R_X15Y104 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y104 FIRST_SITE_ID 4657 TILEPROP CLBLL_R_X15Y104 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y104 GRID_POINT_Y 47 TILEPROP CLBLL_R_X15Y104 INDEX 5447 TILEPROP CLBLL_R_X15Y104 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y104 INT_TILE_Y 45 TILEPROP CLBLL_R_X15Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y104 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y104 NAME CLBLL_R_X15Y104 TILEPROP CLBLL_R_X15Y104 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y104 NUM_SITES 2 TILEPROP CLBLL_R_X15Y104 ROW 47 TILEPROP CLBLL_R_X15Y104 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y104 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y104 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y104 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y104 TILE_X -25388 TILEPROP CLBLL_R_X15Y104 TILE_Y 95672 TILEPROP CLBLL_R_X15Y104 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y105 CLASS tile TILEPROP CLBLL_R_X15Y105 COLUMN 42 TILEPROP CLBLL_R_X15Y105 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y105 FIRST_SITE_ID 4561 TILEPROP CLBLL_R_X15Y105 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y105 GRID_POINT_Y 46 TILEPROP CLBLL_R_X15Y105 INDEX 5332 TILEPROP CLBLL_R_X15Y105 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y105 INT_TILE_Y 44 TILEPROP CLBLL_R_X15Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y105 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y105 NAME CLBLL_R_X15Y105 TILEPROP CLBLL_R_X15Y105 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y105 NUM_SITES 2 TILEPROP CLBLL_R_X15Y105 ROW 46 TILEPROP CLBLL_R_X15Y105 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y105 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y105 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y105 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y105 TILE_X -25388 TILEPROP CLBLL_R_X15Y105 TILE_Y 98872 TILEPROP CLBLL_R_X15Y105 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y106 CLASS tile TILEPROP CLBLL_R_X15Y106 COLUMN 42 TILEPROP CLBLL_R_X15Y106 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y106 FIRST_SITE_ID 4459 TILEPROP CLBLL_R_X15Y106 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y106 GRID_POINT_Y 45 TILEPROP CLBLL_R_X15Y106 INDEX 5217 TILEPROP CLBLL_R_X15Y106 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y106 INT_TILE_Y 43 TILEPROP CLBLL_R_X15Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y106 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y106 NAME CLBLL_R_X15Y106 TILEPROP CLBLL_R_X15Y106 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y106 NUM_SITES 2 TILEPROP CLBLL_R_X15Y106 ROW 45 TILEPROP CLBLL_R_X15Y106 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y106 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y106 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y106 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y106 TILE_X -25388 TILEPROP CLBLL_R_X15Y106 TILE_Y 102072 TILEPROP CLBLL_R_X15Y106 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y107 CLASS tile TILEPROP CLBLL_R_X15Y107 COLUMN 42 TILEPROP CLBLL_R_X15Y107 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y107 FIRST_SITE_ID 4371 TILEPROP CLBLL_R_X15Y107 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y107 GRID_POINT_Y 44 TILEPROP CLBLL_R_X15Y107 INDEX 5102 TILEPROP CLBLL_R_X15Y107 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y107 INT_TILE_Y 42 TILEPROP CLBLL_R_X15Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y107 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y107 NAME CLBLL_R_X15Y107 TILEPROP CLBLL_R_X15Y107 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y107 NUM_SITES 2 TILEPROP CLBLL_R_X15Y107 ROW 44 TILEPROP CLBLL_R_X15Y107 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y107 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y107 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y107 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y107 TILE_X -25388 TILEPROP CLBLL_R_X15Y107 TILE_Y 105272 TILEPROP CLBLL_R_X15Y107 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y108 CLASS tile TILEPROP CLBLL_R_X15Y108 COLUMN 42 TILEPROP CLBLL_R_X15Y108 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y108 FIRST_SITE_ID 4273 TILEPROP CLBLL_R_X15Y108 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y108 GRID_POINT_Y 43 TILEPROP CLBLL_R_X15Y108 INDEX 4987 TILEPROP CLBLL_R_X15Y108 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y108 INT_TILE_Y 41 TILEPROP CLBLL_R_X15Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y108 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y108 NAME CLBLL_R_X15Y108 TILEPROP CLBLL_R_X15Y108 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y108 NUM_SITES 2 TILEPROP CLBLL_R_X15Y108 ROW 43 TILEPROP CLBLL_R_X15Y108 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y108 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y108 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y108 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y108 TILE_X -25388 TILEPROP CLBLL_R_X15Y108 TILE_Y 108472 TILEPROP CLBLL_R_X15Y108 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y109 CLASS tile TILEPROP CLBLL_R_X15Y109 COLUMN 42 TILEPROP CLBLL_R_X15Y109 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y109 FIRST_SITE_ID 4184 TILEPROP CLBLL_R_X15Y109 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y109 GRID_POINT_Y 42 TILEPROP CLBLL_R_X15Y109 INDEX 4872 TILEPROP CLBLL_R_X15Y109 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y109 INT_TILE_Y 40 TILEPROP CLBLL_R_X15Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y109 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y109 NAME CLBLL_R_X15Y109 TILEPROP CLBLL_R_X15Y109 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y109 NUM_SITES 2 TILEPROP CLBLL_R_X15Y109 ROW 42 TILEPROP CLBLL_R_X15Y109 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y109 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y109 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y109 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y109 TILE_X -25388 TILEPROP CLBLL_R_X15Y109 TILE_Y 111672 TILEPROP CLBLL_R_X15Y109 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y110 CLASS tile TILEPROP CLBLL_R_X15Y110 COLUMN 42 TILEPROP CLBLL_R_X15Y110 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y110 FIRST_SITE_ID 4084 TILEPROP CLBLL_R_X15Y110 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y110 GRID_POINT_Y 41 TILEPROP CLBLL_R_X15Y110 INDEX 4757 TILEPROP CLBLL_R_X15Y110 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y110 INT_TILE_Y 39 TILEPROP CLBLL_R_X15Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y110 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y110 NAME CLBLL_R_X15Y110 TILEPROP CLBLL_R_X15Y110 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y110 NUM_SITES 2 TILEPROP CLBLL_R_X15Y110 ROW 41 TILEPROP CLBLL_R_X15Y110 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y110 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y110 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y110 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y110 TILE_X -25388 TILEPROP CLBLL_R_X15Y110 TILE_Y 114872 TILEPROP CLBLL_R_X15Y110 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y111 CLASS tile TILEPROP CLBLL_R_X15Y111 COLUMN 42 TILEPROP CLBLL_R_X15Y111 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y111 FIRST_SITE_ID 3990 TILEPROP CLBLL_R_X15Y111 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y111 GRID_POINT_Y 40 TILEPROP CLBLL_R_X15Y111 INDEX 4642 TILEPROP CLBLL_R_X15Y111 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y111 INT_TILE_Y 38 TILEPROP CLBLL_R_X15Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y111 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y111 NAME CLBLL_R_X15Y111 TILEPROP CLBLL_R_X15Y111 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y111 NUM_SITES 2 TILEPROP CLBLL_R_X15Y111 ROW 40 TILEPROP CLBLL_R_X15Y111 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y111 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y111 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y111 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y111 TILE_X -25388 TILEPROP CLBLL_R_X15Y111 TILE_Y 118072 TILEPROP CLBLL_R_X15Y111 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y112 CLASS tile TILEPROP CLBLL_R_X15Y112 COLUMN 42 TILEPROP CLBLL_R_X15Y112 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y112 FIRST_SITE_ID 3862 TILEPROP CLBLL_R_X15Y112 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y112 GRID_POINT_Y 39 TILEPROP CLBLL_R_X15Y112 INDEX 4527 TILEPROP CLBLL_R_X15Y112 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y112 INT_TILE_Y 37 TILEPROP CLBLL_R_X15Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y112 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y112 NAME CLBLL_R_X15Y112 TILEPROP CLBLL_R_X15Y112 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y112 NUM_SITES 2 TILEPROP CLBLL_R_X15Y112 ROW 39 TILEPROP CLBLL_R_X15Y112 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y112 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y112 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y112 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y112 TILE_X -25388 TILEPROP CLBLL_R_X15Y112 TILE_Y 121272 TILEPROP CLBLL_R_X15Y112 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y113 CLASS tile TILEPROP CLBLL_R_X15Y113 COLUMN 42 TILEPROP CLBLL_R_X15Y113 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y113 FIRST_SITE_ID 3774 TILEPROP CLBLL_R_X15Y113 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y113 GRID_POINT_Y 38 TILEPROP CLBLL_R_X15Y113 INDEX 4412 TILEPROP CLBLL_R_X15Y113 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y113 INT_TILE_Y 36 TILEPROP CLBLL_R_X15Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y113 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y113 NAME CLBLL_R_X15Y113 TILEPROP CLBLL_R_X15Y113 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y113 NUM_SITES 2 TILEPROP CLBLL_R_X15Y113 ROW 38 TILEPROP CLBLL_R_X15Y113 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y113 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y113 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y113 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y113 TILE_X -25388 TILEPROP CLBLL_R_X15Y113 TILE_Y 124472 TILEPROP CLBLL_R_X15Y113 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y114 CLASS tile TILEPROP CLBLL_R_X15Y114 COLUMN 42 TILEPROP CLBLL_R_X15Y114 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y114 FIRST_SITE_ID 3678 TILEPROP CLBLL_R_X15Y114 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y114 GRID_POINT_Y 37 TILEPROP CLBLL_R_X15Y114 INDEX 4297 TILEPROP CLBLL_R_X15Y114 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y114 INT_TILE_Y 35 TILEPROP CLBLL_R_X15Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y114 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y114 NAME CLBLL_R_X15Y114 TILEPROP CLBLL_R_X15Y114 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y114 NUM_SITES 2 TILEPROP CLBLL_R_X15Y114 ROW 37 TILEPROP CLBLL_R_X15Y114 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y114 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y114 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y114 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y114 TILE_X -25388 TILEPROP CLBLL_R_X15Y114 TILE_Y 127672 TILEPROP CLBLL_R_X15Y114 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y115 CLASS tile TILEPROP CLBLL_R_X15Y115 COLUMN 42 TILEPROP CLBLL_R_X15Y115 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y115 FIRST_SITE_ID 3587 TILEPROP CLBLL_R_X15Y115 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y115 GRID_POINT_Y 36 TILEPROP CLBLL_R_X15Y115 INDEX 4182 TILEPROP CLBLL_R_X15Y115 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y115 INT_TILE_Y 34 TILEPROP CLBLL_R_X15Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y115 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y115 NAME CLBLL_R_X15Y115 TILEPROP CLBLL_R_X15Y115 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y115 NUM_SITES 2 TILEPROP CLBLL_R_X15Y115 ROW 36 TILEPROP CLBLL_R_X15Y115 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y115 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y115 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y115 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y115 TILE_X -25388 TILEPROP CLBLL_R_X15Y115 TILE_Y 130872 TILEPROP CLBLL_R_X15Y115 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y116 CLASS tile TILEPROP CLBLL_R_X15Y116 COLUMN 42 TILEPROP CLBLL_R_X15Y116 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y116 FIRST_SITE_ID 3480 TILEPROP CLBLL_R_X15Y116 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y116 GRID_POINT_Y 35 TILEPROP CLBLL_R_X15Y116 INDEX 4067 TILEPROP CLBLL_R_X15Y116 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y116 INT_TILE_Y 33 TILEPROP CLBLL_R_X15Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y116 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y116 NAME CLBLL_R_X15Y116 TILEPROP CLBLL_R_X15Y116 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y116 NUM_SITES 2 TILEPROP CLBLL_R_X15Y116 ROW 35 TILEPROP CLBLL_R_X15Y116 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y116 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y116 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y116 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y116 TILE_X -25388 TILEPROP CLBLL_R_X15Y116 TILE_Y 134072 TILEPROP CLBLL_R_X15Y116 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y117 CLASS tile TILEPROP CLBLL_R_X15Y117 COLUMN 42 TILEPROP CLBLL_R_X15Y117 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y117 FIRST_SITE_ID 3392 TILEPROP CLBLL_R_X15Y117 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y117 GRID_POINT_Y 34 TILEPROP CLBLL_R_X15Y117 INDEX 3952 TILEPROP CLBLL_R_X15Y117 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y117 INT_TILE_Y 32 TILEPROP CLBLL_R_X15Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y117 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y117 NAME CLBLL_R_X15Y117 TILEPROP CLBLL_R_X15Y117 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y117 NUM_SITES 2 TILEPROP CLBLL_R_X15Y117 ROW 34 TILEPROP CLBLL_R_X15Y117 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y117 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y117 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y117 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y117 TILE_X -25388 TILEPROP CLBLL_R_X15Y117 TILE_Y 137272 TILEPROP CLBLL_R_X15Y117 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y118 CLASS tile TILEPROP CLBLL_R_X15Y118 COLUMN 42 TILEPROP CLBLL_R_X15Y118 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y118 FIRST_SITE_ID 3292 TILEPROP CLBLL_R_X15Y118 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y118 GRID_POINT_Y 33 TILEPROP CLBLL_R_X15Y118 INDEX 3837 TILEPROP CLBLL_R_X15Y118 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y118 INT_TILE_Y 31 TILEPROP CLBLL_R_X15Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y118 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y118 NAME CLBLL_R_X15Y118 TILEPROP CLBLL_R_X15Y118 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y118 NUM_SITES 2 TILEPROP CLBLL_R_X15Y118 ROW 33 TILEPROP CLBLL_R_X15Y118 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y118 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y118 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y118 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y118 TILE_X -25388 TILEPROP CLBLL_R_X15Y118 TILE_Y 140472 TILEPROP CLBLL_R_X15Y118 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y119 CLASS tile TILEPROP CLBLL_R_X15Y119 COLUMN 42 TILEPROP CLBLL_R_X15Y119 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y119 FIRST_SITE_ID 3204 TILEPROP CLBLL_R_X15Y119 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y119 GRID_POINT_Y 32 TILEPROP CLBLL_R_X15Y119 INDEX 3722 TILEPROP CLBLL_R_X15Y119 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y119 INT_TILE_Y 30 TILEPROP CLBLL_R_X15Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y119 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y119 NAME CLBLL_R_X15Y119 TILEPROP CLBLL_R_X15Y119 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y119 NUM_SITES 2 TILEPROP CLBLL_R_X15Y119 ROW 32 TILEPROP CLBLL_R_X15Y119 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y119 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y119 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y119 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y119 TILE_X -25388 TILEPROP CLBLL_R_X15Y119 TILE_Y 143672 TILEPROP CLBLL_R_X15Y119 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y120 CLASS tile TILEPROP CLBLL_R_X15Y120 COLUMN 42 TILEPROP CLBLL_R_X15Y120 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y120 FIRST_SITE_ID 3103 TILEPROP CLBLL_R_X15Y120 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y120 GRID_POINT_Y 31 TILEPROP CLBLL_R_X15Y120 INDEX 3607 TILEPROP CLBLL_R_X15Y120 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y120 INT_TILE_Y 29 TILEPROP CLBLL_R_X15Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y120 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y120 NAME CLBLL_R_X15Y120 TILEPROP CLBLL_R_X15Y120 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y120 NUM_SITES 2 TILEPROP CLBLL_R_X15Y120 ROW 31 TILEPROP CLBLL_R_X15Y120 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y120 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y120 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y120 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y120 TILE_X -25388 TILEPROP CLBLL_R_X15Y120 TILE_Y 146872 TILEPROP CLBLL_R_X15Y120 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y121 CLASS tile TILEPROP CLBLL_R_X15Y121 COLUMN 42 TILEPROP CLBLL_R_X15Y121 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y121 FIRST_SITE_ID 3009 TILEPROP CLBLL_R_X15Y121 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y121 GRID_POINT_Y 30 TILEPROP CLBLL_R_X15Y121 INDEX 3492 TILEPROP CLBLL_R_X15Y121 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y121 INT_TILE_Y 28 TILEPROP CLBLL_R_X15Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y121 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y121 NAME CLBLL_R_X15Y121 TILEPROP CLBLL_R_X15Y121 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y121 NUM_SITES 2 TILEPROP CLBLL_R_X15Y121 ROW 30 TILEPROP CLBLL_R_X15Y121 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y121 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y121 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y121 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y121 TILE_X -25388 TILEPROP CLBLL_R_X15Y121 TILE_Y 150072 TILEPROP CLBLL_R_X15Y121 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y122 CLASS tile TILEPROP CLBLL_R_X15Y122 COLUMN 42 TILEPROP CLBLL_R_X15Y122 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y122 FIRST_SITE_ID 2906 TILEPROP CLBLL_R_X15Y122 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y122 GRID_POINT_Y 29 TILEPROP CLBLL_R_X15Y122 INDEX 3377 TILEPROP CLBLL_R_X15Y122 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y122 INT_TILE_Y 27 TILEPROP CLBLL_R_X15Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y122 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y122 NAME CLBLL_R_X15Y122 TILEPROP CLBLL_R_X15Y122 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y122 NUM_SITES 2 TILEPROP CLBLL_R_X15Y122 ROW 29 TILEPROP CLBLL_R_X15Y122 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y122 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y122 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y122 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y122 TILE_X -25388 TILEPROP CLBLL_R_X15Y122 TILE_Y 153272 TILEPROP CLBLL_R_X15Y122 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y123 CLASS tile TILEPROP CLBLL_R_X15Y123 COLUMN 42 TILEPROP CLBLL_R_X15Y123 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y123 FIRST_SITE_ID 2818 TILEPROP CLBLL_R_X15Y123 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y123 GRID_POINT_Y 28 TILEPROP CLBLL_R_X15Y123 INDEX 3262 TILEPROP CLBLL_R_X15Y123 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y123 INT_TILE_Y 26 TILEPROP CLBLL_R_X15Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y123 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y123 NAME CLBLL_R_X15Y123 TILEPROP CLBLL_R_X15Y123 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y123 NUM_SITES 2 TILEPROP CLBLL_R_X15Y123 ROW 28 TILEPROP CLBLL_R_X15Y123 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y123 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y123 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y123 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y123 TILE_X -25388 TILEPROP CLBLL_R_X15Y123 TILE_Y 156472 TILEPROP CLBLL_R_X15Y123 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y124 CLASS tile TILEPROP CLBLL_R_X15Y124 COLUMN 42 TILEPROP CLBLL_R_X15Y124 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y124 FIRST_SITE_ID 2722 TILEPROP CLBLL_R_X15Y124 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y124 GRID_POINT_Y 27 TILEPROP CLBLL_R_X15Y124 INDEX 3147 TILEPROP CLBLL_R_X15Y124 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y124 INT_TILE_Y 25 TILEPROP CLBLL_R_X15Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y124 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y124 NAME CLBLL_R_X15Y124 TILEPROP CLBLL_R_X15Y124 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y124 NUM_SITES 2 TILEPROP CLBLL_R_X15Y124 ROW 27 TILEPROP CLBLL_R_X15Y124 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y124 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X15Y124 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y124 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y124 TILE_X -25388 TILEPROP CLBLL_R_X15Y124 TILE_Y 159672 TILEPROP CLBLL_R_X15Y124 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y125 CLASS tile TILEPROP CLBLL_R_X15Y125 COLUMN 42 TILEPROP CLBLL_R_X15Y125 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y125 FIRST_SITE_ID 2549 TILEPROP CLBLL_R_X15Y125 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y125 GRID_POINT_Y 25 TILEPROP CLBLL_R_X15Y125 INDEX 2917 TILEPROP CLBLL_R_X15Y125 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y125 INT_TILE_Y 24 TILEPROP CLBLL_R_X15Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y125 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y125 NAME CLBLL_R_X15Y125 TILEPROP CLBLL_R_X15Y125 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y125 NUM_SITES 2 TILEPROP CLBLL_R_X15Y125 ROW 25 TILEPROP CLBLL_R_X15Y125 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y125 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X15Y125 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y125 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y125 TILE_X -25388 TILEPROP CLBLL_R_X15Y125 TILE_Y 163896 TILEPROP CLBLL_R_X15Y125 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y126 CLASS tile TILEPROP CLBLL_R_X15Y126 COLUMN 42 TILEPROP CLBLL_R_X15Y126 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y126 FIRST_SITE_ID 2439 TILEPROP CLBLL_R_X15Y126 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y126 GRID_POINT_Y 24 TILEPROP CLBLL_R_X15Y126 INDEX 2802 TILEPROP CLBLL_R_X15Y126 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y126 INT_TILE_Y 23 TILEPROP CLBLL_R_X15Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y126 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y126 NAME CLBLL_R_X15Y126 TILEPROP CLBLL_R_X15Y126 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y126 NUM_SITES 2 TILEPROP CLBLL_R_X15Y126 ROW 24 TILEPROP CLBLL_R_X15Y126 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y126 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y126 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y126 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y126 TILE_X -25388 TILEPROP CLBLL_R_X15Y126 TILE_Y 167096 TILEPROP CLBLL_R_X15Y126 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y127 CLASS tile TILEPROP CLBLL_R_X15Y127 COLUMN 42 TILEPROP CLBLL_R_X15Y127 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y127 FIRST_SITE_ID 2343 TILEPROP CLBLL_R_X15Y127 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y127 GRID_POINT_Y 23 TILEPROP CLBLL_R_X15Y127 INDEX 2687 TILEPROP CLBLL_R_X15Y127 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y127 INT_TILE_Y 22 TILEPROP CLBLL_R_X15Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y127 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y127 NAME CLBLL_R_X15Y127 TILEPROP CLBLL_R_X15Y127 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y127 NUM_SITES 2 TILEPROP CLBLL_R_X15Y127 ROW 23 TILEPROP CLBLL_R_X15Y127 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y127 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y127 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y127 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y127 TILE_X -25388 TILEPROP CLBLL_R_X15Y127 TILE_Y 170296 TILEPROP CLBLL_R_X15Y127 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y128 CLASS tile TILEPROP CLBLL_R_X15Y128 COLUMN 42 TILEPROP CLBLL_R_X15Y128 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y128 FIRST_SITE_ID 2239 TILEPROP CLBLL_R_X15Y128 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y128 GRID_POINT_Y 22 TILEPROP CLBLL_R_X15Y128 INDEX 2572 TILEPROP CLBLL_R_X15Y128 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y128 INT_TILE_Y 21 TILEPROP CLBLL_R_X15Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y128 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y128 NAME CLBLL_R_X15Y128 TILEPROP CLBLL_R_X15Y128 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y128 NUM_SITES 2 TILEPROP CLBLL_R_X15Y128 ROW 22 TILEPROP CLBLL_R_X15Y128 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y128 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y128 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y128 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y128 TILE_X -25388 TILEPROP CLBLL_R_X15Y128 TILE_Y 173496 TILEPROP CLBLL_R_X15Y128 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y129 CLASS tile TILEPROP CLBLL_R_X15Y129 COLUMN 42 TILEPROP CLBLL_R_X15Y129 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y129 FIRST_SITE_ID 2143 TILEPROP CLBLL_R_X15Y129 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y129 GRID_POINT_Y 21 TILEPROP CLBLL_R_X15Y129 INDEX 2457 TILEPROP CLBLL_R_X15Y129 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y129 INT_TILE_Y 20 TILEPROP CLBLL_R_X15Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y129 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y129 NAME CLBLL_R_X15Y129 TILEPROP CLBLL_R_X15Y129 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y129 NUM_SITES 2 TILEPROP CLBLL_R_X15Y129 ROW 21 TILEPROP CLBLL_R_X15Y129 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y129 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y129 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y129 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y129 TILE_X -25388 TILEPROP CLBLL_R_X15Y129 TILE_Y 176696 TILEPROP CLBLL_R_X15Y129 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y130 CLASS tile TILEPROP CLBLL_R_X15Y130 COLUMN 42 TILEPROP CLBLL_R_X15Y130 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y130 FIRST_SITE_ID 2027 TILEPROP CLBLL_R_X15Y130 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y130 GRID_POINT_Y 20 TILEPROP CLBLL_R_X15Y130 INDEX 2342 TILEPROP CLBLL_R_X15Y130 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y130 INT_TILE_Y 19 TILEPROP CLBLL_R_X15Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y130 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y130 NAME CLBLL_R_X15Y130 TILEPROP CLBLL_R_X15Y130 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y130 NUM_SITES 2 TILEPROP CLBLL_R_X15Y130 ROW 20 TILEPROP CLBLL_R_X15Y130 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y130 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y130 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y130 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y130 TILE_X -25388 TILEPROP CLBLL_R_X15Y130 TILE_Y 179896 TILEPROP CLBLL_R_X15Y130 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y131 CLASS tile TILEPROP CLBLL_R_X15Y131 COLUMN 42 TILEPROP CLBLL_R_X15Y131 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y131 FIRST_SITE_ID 1925 TILEPROP CLBLL_R_X15Y131 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y131 GRID_POINT_Y 19 TILEPROP CLBLL_R_X15Y131 INDEX 2227 TILEPROP CLBLL_R_X15Y131 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y131 INT_TILE_Y 18 TILEPROP CLBLL_R_X15Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y131 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y131 NAME CLBLL_R_X15Y131 TILEPROP CLBLL_R_X15Y131 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y131 NUM_SITES 2 TILEPROP CLBLL_R_X15Y131 ROW 19 TILEPROP CLBLL_R_X15Y131 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y131 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y131 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y131 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y131 TILE_X -25388 TILEPROP CLBLL_R_X15Y131 TILE_Y 183096 TILEPROP CLBLL_R_X15Y131 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y132 CLASS tile TILEPROP CLBLL_R_X15Y132 COLUMN 42 TILEPROP CLBLL_R_X15Y132 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y132 FIRST_SITE_ID 1819 TILEPROP CLBLL_R_X15Y132 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y132 GRID_POINT_Y 18 TILEPROP CLBLL_R_X15Y132 INDEX 2112 TILEPROP CLBLL_R_X15Y132 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y132 INT_TILE_Y 17 TILEPROP CLBLL_R_X15Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y132 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y132 NAME CLBLL_R_X15Y132 TILEPROP CLBLL_R_X15Y132 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y132 NUM_SITES 2 TILEPROP CLBLL_R_X15Y132 ROW 18 TILEPROP CLBLL_R_X15Y132 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y132 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y132 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y132 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y132 TILE_X -25388 TILEPROP CLBLL_R_X15Y132 TILE_Y 186296 TILEPROP CLBLL_R_X15Y132 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y133 CLASS tile TILEPROP CLBLL_R_X15Y133 COLUMN 42 TILEPROP CLBLL_R_X15Y133 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y133 FIRST_SITE_ID 1718 TILEPROP CLBLL_R_X15Y133 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y133 GRID_POINT_Y 17 TILEPROP CLBLL_R_X15Y133 INDEX 1997 TILEPROP CLBLL_R_X15Y133 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y133 INT_TILE_Y 16 TILEPROP CLBLL_R_X15Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y133 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y133 NAME CLBLL_R_X15Y133 TILEPROP CLBLL_R_X15Y133 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y133 NUM_SITES 2 TILEPROP CLBLL_R_X15Y133 ROW 17 TILEPROP CLBLL_R_X15Y133 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y133 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y133 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y133 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y133 TILE_X -25388 TILEPROP CLBLL_R_X15Y133 TILE_Y 189496 TILEPROP CLBLL_R_X15Y133 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y134 CLASS tile TILEPROP CLBLL_R_X15Y134 COLUMN 42 TILEPROP CLBLL_R_X15Y134 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y134 FIRST_SITE_ID 1614 TILEPROP CLBLL_R_X15Y134 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y134 GRID_POINT_Y 16 TILEPROP CLBLL_R_X15Y134 INDEX 1882 TILEPROP CLBLL_R_X15Y134 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y134 INT_TILE_Y 15 TILEPROP CLBLL_R_X15Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y134 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y134 NAME CLBLL_R_X15Y134 TILEPROP CLBLL_R_X15Y134 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y134 NUM_SITES 2 TILEPROP CLBLL_R_X15Y134 ROW 16 TILEPROP CLBLL_R_X15Y134 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y134 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y134 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y134 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y134 TILE_X -25388 TILEPROP CLBLL_R_X15Y134 TILE_Y 192696 TILEPROP CLBLL_R_X15Y134 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y135 CLASS tile TILEPROP CLBLL_R_X15Y135 COLUMN 42 TILEPROP CLBLL_R_X15Y135 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y135 FIRST_SITE_ID 1512 TILEPROP CLBLL_R_X15Y135 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y135 GRID_POINT_Y 15 TILEPROP CLBLL_R_X15Y135 INDEX 1767 TILEPROP CLBLL_R_X15Y135 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y135 INT_TILE_Y 14 TILEPROP CLBLL_R_X15Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y135 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y135 NAME CLBLL_R_X15Y135 TILEPROP CLBLL_R_X15Y135 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y135 NUM_SITES 2 TILEPROP CLBLL_R_X15Y135 ROW 15 TILEPROP CLBLL_R_X15Y135 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y135 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y135 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y135 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y135 TILE_X -25388 TILEPROP CLBLL_R_X15Y135 TILE_Y 195896 TILEPROP CLBLL_R_X15Y135 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y136 CLASS tile TILEPROP CLBLL_R_X15Y136 COLUMN 42 TILEPROP CLBLL_R_X15Y136 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y136 FIRST_SITE_ID 1370 TILEPROP CLBLL_R_X15Y136 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y136 GRID_POINT_Y 14 TILEPROP CLBLL_R_X15Y136 INDEX 1652 TILEPROP CLBLL_R_X15Y136 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y136 INT_TILE_Y 13 TILEPROP CLBLL_R_X15Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y136 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y136 NAME CLBLL_R_X15Y136 TILEPROP CLBLL_R_X15Y136 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y136 NUM_SITES 2 TILEPROP CLBLL_R_X15Y136 ROW 14 TILEPROP CLBLL_R_X15Y136 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y136 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y136 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y136 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y136 TILE_X -25388 TILEPROP CLBLL_R_X15Y136 TILE_Y 199096 TILEPROP CLBLL_R_X15Y136 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y137 CLASS tile TILEPROP CLBLL_R_X15Y137 COLUMN 42 TILEPROP CLBLL_R_X15Y137 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y137 FIRST_SITE_ID 1274 TILEPROP CLBLL_R_X15Y137 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y137 GRID_POINT_Y 13 TILEPROP CLBLL_R_X15Y137 INDEX 1537 TILEPROP CLBLL_R_X15Y137 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y137 INT_TILE_Y 12 TILEPROP CLBLL_R_X15Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y137 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y137 NAME CLBLL_R_X15Y137 TILEPROP CLBLL_R_X15Y137 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y137 NUM_SITES 2 TILEPROP CLBLL_R_X15Y137 ROW 13 TILEPROP CLBLL_R_X15Y137 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y137 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y137 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y137 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y137 TILE_X -25388 TILEPROP CLBLL_R_X15Y137 TILE_Y 202296 TILEPROP CLBLL_R_X15Y137 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y138 CLASS tile TILEPROP CLBLL_R_X15Y138 COLUMN 42 TILEPROP CLBLL_R_X15Y138 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y138 FIRST_SITE_ID 1170 TILEPROP CLBLL_R_X15Y138 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y138 GRID_POINT_Y 12 TILEPROP CLBLL_R_X15Y138 INDEX 1422 TILEPROP CLBLL_R_X15Y138 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y138 INT_TILE_Y 11 TILEPROP CLBLL_R_X15Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y138 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y138 NAME CLBLL_R_X15Y138 TILEPROP CLBLL_R_X15Y138 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y138 NUM_SITES 2 TILEPROP CLBLL_R_X15Y138 ROW 12 TILEPROP CLBLL_R_X15Y138 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y138 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y138 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y138 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y138 TILE_X -25388 TILEPROP CLBLL_R_X15Y138 TILE_Y 205496 TILEPROP CLBLL_R_X15Y138 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y139 CLASS tile TILEPROP CLBLL_R_X15Y139 COLUMN 42 TILEPROP CLBLL_R_X15Y139 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y139 FIRST_SITE_ID 1074 TILEPROP CLBLL_R_X15Y139 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y139 GRID_POINT_Y 11 TILEPROP CLBLL_R_X15Y139 INDEX 1307 TILEPROP CLBLL_R_X15Y139 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y139 INT_TILE_Y 10 TILEPROP CLBLL_R_X15Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y139 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y139 NAME CLBLL_R_X15Y139 TILEPROP CLBLL_R_X15Y139 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y139 NUM_SITES 2 TILEPROP CLBLL_R_X15Y139 ROW 11 TILEPROP CLBLL_R_X15Y139 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y139 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y139 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y139 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y139 TILE_X -25388 TILEPROP CLBLL_R_X15Y139 TILE_Y 208696 TILEPROP CLBLL_R_X15Y139 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y140 CLASS tile TILEPROP CLBLL_R_X15Y140 COLUMN 42 TILEPROP CLBLL_R_X15Y140 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y140 FIRST_SITE_ID 964 TILEPROP CLBLL_R_X15Y140 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y140 GRID_POINT_Y 10 TILEPROP CLBLL_R_X15Y140 INDEX 1192 TILEPROP CLBLL_R_X15Y140 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y140 INT_TILE_Y 9 TILEPROP CLBLL_R_X15Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y140 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y140 NAME CLBLL_R_X15Y140 TILEPROP CLBLL_R_X15Y140 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y140 NUM_SITES 2 TILEPROP CLBLL_R_X15Y140 ROW 10 TILEPROP CLBLL_R_X15Y140 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y140 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y140 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y140 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y140 TILE_X -25388 TILEPROP CLBLL_R_X15Y140 TILE_Y 211896 TILEPROP CLBLL_R_X15Y140 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y141 CLASS tile TILEPROP CLBLL_R_X15Y141 COLUMN 42 TILEPROP CLBLL_R_X15Y141 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y141 FIRST_SITE_ID 862 TILEPROP CLBLL_R_X15Y141 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y141 GRID_POINT_Y 9 TILEPROP CLBLL_R_X15Y141 INDEX 1077 TILEPROP CLBLL_R_X15Y141 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y141 INT_TILE_Y 8 TILEPROP CLBLL_R_X15Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y141 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y141 NAME CLBLL_R_X15Y141 TILEPROP CLBLL_R_X15Y141 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y141 NUM_SITES 2 TILEPROP CLBLL_R_X15Y141 ROW 9 TILEPROP CLBLL_R_X15Y141 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y141 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y141 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y141 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y141 TILE_X -25388 TILEPROP CLBLL_R_X15Y141 TILE_Y 215096 TILEPROP CLBLL_R_X15Y141 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y142 CLASS tile TILEPROP CLBLL_R_X15Y142 COLUMN 42 TILEPROP CLBLL_R_X15Y142 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y142 FIRST_SITE_ID 758 TILEPROP CLBLL_R_X15Y142 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y142 GRID_POINT_Y 8 TILEPROP CLBLL_R_X15Y142 INDEX 962 TILEPROP CLBLL_R_X15Y142 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y142 INT_TILE_Y 7 TILEPROP CLBLL_R_X15Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y142 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y142 NAME CLBLL_R_X15Y142 TILEPROP CLBLL_R_X15Y142 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y142 NUM_SITES 2 TILEPROP CLBLL_R_X15Y142 ROW 8 TILEPROP CLBLL_R_X15Y142 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y142 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y142 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y142 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y142 TILE_X -25388 TILEPROP CLBLL_R_X15Y142 TILE_Y 218296 TILEPROP CLBLL_R_X15Y142 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y143 CLASS tile TILEPROP CLBLL_R_X15Y143 COLUMN 42 TILEPROP CLBLL_R_X15Y143 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y143 FIRST_SITE_ID 661 TILEPROP CLBLL_R_X15Y143 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y143 GRID_POINT_Y 7 TILEPROP CLBLL_R_X15Y143 INDEX 847 TILEPROP CLBLL_R_X15Y143 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y143 INT_TILE_Y 6 TILEPROP CLBLL_R_X15Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y143 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y143 NAME CLBLL_R_X15Y143 TILEPROP CLBLL_R_X15Y143 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y143 NUM_SITES 2 TILEPROP CLBLL_R_X15Y143 ROW 7 TILEPROP CLBLL_R_X15Y143 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y143 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y143 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y143 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y143 TILE_X -25388 TILEPROP CLBLL_R_X15Y143 TILE_Y 221496 TILEPROP CLBLL_R_X15Y143 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y144 CLASS tile TILEPROP CLBLL_R_X15Y144 COLUMN 42 TILEPROP CLBLL_R_X15Y144 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y144 FIRST_SITE_ID 550 TILEPROP CLBLL_R_X15Y144 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y144 GRID_POINT_Y 6 TILEPROP CLBLL_R_X15Y144 INDEX 732 TILEPROP CLBLL_R_X15Y144 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y144 INT_TILE_Y 5 TILEPROP CLBLL_R_X15Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y144 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y144 NAME CLBLL_R_X15Y144 TILEPROP CLBLL_R_X15Y144 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y144 NUM_SITES 2 TILEPROP CLBLL_R_X15Y144 ROW 6 TILEPROP CLBLL_R_X15Y144 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y144 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y144 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y144 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y144 TILE_X -25388 TILEPROP CLBLL_R_X15Y144 TILE_Y 224696 TILEPROP CLBLL_R_X15Y144 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y145 CLASS tile TILEPROP CLBLL_R_X15Y145 COLUMN 42 TILEPROP CLBLL_R_X15Y145 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y145 FIRST_SITE_ID 448 TILEPROP CLBLL_R_X15Y145 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y145 GRID_POINT_Y 5 TILEPROP CLBLL_R_X15Y145 INDEX 617 TILEPROP CLBLL_R_X15Y145 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y145 INT_TILE_Y 4 TILEPROP CLBLL_R_X15Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y145 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y145 NAME CLBLL_R_X15Y145 TILEPROP CLBLL_R_X15Y145 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y145 NUM_SITES 2 TILEPROP CLBLL_R_X15Y145 ROW 5 TILEPROP CLBLL_R_X15Y145 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y145 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y145 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y145 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y145 TILE_X -25388 TILEPROP CLBLL_R_X15Y145 TILE_Y 227896 TILEPROP CLBLL_R_X15Y145 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y146 CLASS tile TILEPROP CLBLL_R_X15Y146 COLUMN 42 TILEPROP CLBLL_R_X15Y146 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y146 FIRST_SITE_ID 338 TILEPROP CLBLL_R_X15Y146 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y146 GRID_POINT_Y 4 TILEPROP CLBLL_R_X15Y146 INDEX 502 TILEPROP CLBLL_R_X15Y146 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y146 INT_TILE_Y 3 TILEPROP CLBLL_R_X15Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y146 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y146 NAME CLBLL_R_X15Y146 TILEPROP CLBLL_R_X15Y146 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y146 NUM_SITES 2 TILEPROP CLBLL_R_X15Y146 ROW 4 TILEPROP CLBLL_R_X15Y146 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y146 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y146 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y146 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y146 TILE_X -25388 TILEPROP CLBLL_R_X15Y146 TILE_Y 231096 TILEPROP CLBLL_R_X15Y146 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y147 CLASS tile TILEPROP CLBLL_R_X15Y147 COLUMN 42 TILEPROP CLBLL_R_X15Y147 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y147 FIRST_SITE_ID 242 TILEPROP CLBLL_R_X15Y147 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y147 GRID_POINT_Y 3 TILEPROP CLBLL_R_X15Y147 INDEX 387 TILEPROP CLBLL_R_X15Y147 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y147 INT_TILE_Y 2 TILEPROP CLBLL_R_X15Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y147 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y147 NAME CLBLL_R_X15Y147 TILEPROP CLBLL_R_X15Y147 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y147 NUM_SITES 2 TILEPROP CLBLL_R_X15Y147 ROW 3 TILEPROP CLBLL_R_X15Y147 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y147 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y147 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y147 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y147 TILE_X -25388 TILEPROP CLBLL_R_X15Y147 TILE_Y 234296 TILEPROP CLBLL_R_X15Y147 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y148 CLASS tile TILEPROP CLBLL_R_X15Y148 COLUMN 42 TILEPROP CLBLL_R_X15Y148 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y148 FIRST_SITE_ID 138 TILEPROP CLBLL_R_X15Y148 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y148 GRID_POINT_Y 2 TILEPROP CLBLL_R_X15Y148 INDEX 272 TILEPROP CLBLL_R_X15Y148 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y148 INT_TILE_Y 1 TILEPROP CLBLL_R_X15Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y148 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y148 NAME CLBLL_R_X15Y148 TILEPROP CLBLL_R_X15Y148 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y148 NUM_SITES 2 TILEPROP CLBLL_R_X15Y148 ROW 2 TILEPROP CLBLL_R_X15Y148 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y148 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X15Y148 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y148 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y148 TILE_X -25388 TILEPROP CLBLL_R_X15Y148 TILE_Y 237496 TILEPROP CLBLL_R_X15Y148 TYPE CLBLL_R TILEPROP CLBLL_R_X15Y149 CLASS tile TILEPROP CLBLL_R_X15Y149 COLUMN 42 TILEPROP CLBLL_R_X15Y149 DEVICE_ID 0 TILEPROP CLBLL_R_X15Y149 FIRST_SITE_ID 42 TILEPROP CLBLL_R_X15Y149 GRID_POINT_X 42 TILEPROP CLBLL_R_X15Y149 GRID_POINT_Y 1 TILEPROP CLBLL_R_X15Y149 INDEX 157 TILEPROP CLBLL_R_X15Y149 INT_TILE_X 15 TILEPROP CLBLL_R_X15Y149 INT_TILE_Y 0 TILEPROP CLBLL_R_X15Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X15Y149 IS_DCM_TILE 0 TILEPROP CLBLL_R_X15Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X15Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X15Y149 NAME CLBLL_R_X15Y149 TILEPROP CLBLL_R_X15Y149 NUM_ARCS 146 TILEPROP CLBLL_R_X15Y149 NUM_SITES 2 TILEPROP CLBLL_R_X15Y149 ROW 1 TILEPROP CLBLL_R_X15Y149 SLR_REGION_ID 0 TILEPROP CLBLL_R_X15Y149 TILE_PATTERN_IDX 42 TILEPROP CLBLL_R_X15Y149 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X15Y149 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X15Y149 TILE_X -25388 TILEPROP CLBLL_R_X15Y149 TILE_Y 240696 TILEPROP CLBLL_R_X15Y149 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y100 CLASS tile TILEPROP CLBLL_R_X17Y100 COLUMN 46 TILEPROP CLBLL_R_X17Y100 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y100 FIRST_SITE_ID 5041 TILEPROP CLBLL_R_X17Y100 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y100 GRID_POINT_Y 51 TILEPROP CLBLL_R_X17Y100 INDEX 5911 TILEPROP CLBLL_R_X17Y100 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y100 INT_TILE_Y 49 TILEPROP CLBLL_R_X17Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y100 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y100 NAME CLBLL_R_X17Y100 TILEPROP CLBLL_R_X17Y100 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y100 NUM_SITES 2 TILEPROP CLBLL_R_X17Y100 ROW 51 TILEPROP CLBLL_R_X17Y100 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y100 TILE_PATTERN_IDX 3523 TILEPROP CLBLL_R_X17Y100 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y100 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y100 TILE_X -17084 TILEPROP CLBLL_R_X17Y100 TILE_Y 82872 TILEPROP CLBLL_R_X17Y100 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y101 CLASS tile TILEPROP CLBLL_R_X17Y101 COLUMN 46 TILEPROP CLBLL_R_X17Y101 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y101 FIRST_SITE_ID 4943 TILEPROP CLBLL_R_X17Y101 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y101 GRID_POINT_Y 50 TILEPROP CLBLL_R_X17Y101 INDEX 5796 TILEPROP CLBLL_R_X17Y101 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y101 INT_TILE_Y 48 TILEPROP CLBLL_R_X17Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y101 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y101 NAME CLBLL_R_X17Y101 TILEPROP CLBLL_R_X17Y101 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y101 NUM_SITES 2 TILEPROP CLBLL_R_X17Y101 ROW 50 TILEPROP CLBLL_R_X17Y101 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y101 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y101 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y101 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y101 TILE_X -17084 TILEPROP CLBLL_R_X17Y101 TILE_Y 86072 TILEPROP CLBLL_R_X17Y101 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y102 CLASS tile TILEPROP CLBLL_R_X17Y102 COLUMN 46 TILEPROP CLBLL_R_X17Y102 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y102 FIRST_SITE_ID 4847 TILEPROP CLBLL_R_X17Y102 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y102 GRID_POINT_Y 49 TILEPROP CLBLL_R_X17Y102 INDEX 5681 TILEPROP CLBLL_R_X17Y102 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y102 INT_TILE_Y 47 TILEPROP CLBLL_R_X17Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y102 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y102 NAME CLBLL_R_X17Y102 TILEPROP CLBLL_R_X17Y102 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y102 NUM_SITES 2 TILEPROP CLBLL_R_X17Y102 ROW 49 TILEPROP CLBLL_R_X17Y102 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y102 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y102 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y102 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y102 TILE_X -17084 TILEPROP CLBLL_R_X17Y102 TILE_Y 89272 TILEPROP CLBLL_R_X17Y102 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y103 CLASS tile TILEPROP CLBLL_R_X17Y103 COLUMN 46 TILEPROP CLBLL_R_X17Y103 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y103 FIRST_SITE_ID 4759 TILEPROP CLBLL_R_X17Y103 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y103 GRID_POINT_Y 48 TILEPROP CLBLL_R_X17Y103 INDEX 5566 TILEPROP CLBLL_R_X17Y103 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y103 INT_TILE_Y 46 TILEPROP CLBLL_R_X17Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y103 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y103 NAME CLBLL_R_X17Y103 TILEPROP CLBLL_R_X17Y103 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y103 NUM_SITES 2 TILEPROP CLBLL_R_X17Y103 ROW 48 TILEPROP CLBLL_R_X17Y103 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y103 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y103 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y103 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y103 TILE_X -17084 TILEPROP CLBLL_R_X17Y103 TILE_Y 92472 TILEPROP CLBLL_R_X17Y103 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y104 CLASS tile TILEPROP CLBLL_R_X17Y104 COLUMN 46 TILEPROP CLBLL_R_X17Y104 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y104 FIRST_SITE_ID 4663 TILEPROP CLBLL_R_X17Y104 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y104 GRID_POINT_Y 47 TILEPROP CLBLL_R_X17Y104 INDEX 5451 TILEPROP CLBLL_R_X17Y104 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y104 INT_TILE_Y 45 TILEPROP CLBLL_R_X17Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y104 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y104 NAME CLBLL_R_X17Y104 TILEPROP CLBLL_R_X17Y104 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y104 NUM_SITES 2 TILEPROP CLBLL_R_X17Y104 ROW 47 TILEPROP CLBLL_R_X17Y104 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y104 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y104 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y104 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y104 TILE_X -17084 TILEPROP CLBLL_R_X17Y104 TILE_Y 95672 TILEPROP CLBLL_R_X17Y104 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y105 CLASS tile TILEPROP CLBLL_R_X17Y105 COLUMN 46 TILEPROP CLBLL_R_X17Y105 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y105 FIRST_SITE_ID 4567 TILEPROP CLBLL_R_X17Y105 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y105 GRID_POINT_Y 46 TILEPROP CLBLL_R_X17Y105 INDEX 5336 TILEPROP CLBLL_R_X17Y105 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y105 INT_TILE_Y 44 TILEPROP CLBLL_R_X17Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y105 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y105 NAME CLBLL_R_X17Y105 TILEPROP CLBLL_R_X17Y105 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y105 NUM_SITES 2 TILEPROP CLBLL_R_X17Y105 ROW 46 TILEPROP CLBLL_R_X17Y105 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y105 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y105 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y105 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y105 TILE_X -17084 TILEPROP CLBLL_R_X17Y105 TILE_Y 98872 TILEPROP CLBLL_R_X17Y105 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y106 CLASS tile TILEPROP CLBLL_R_X17Y106 COLUMN 46 TILEPROP CLBLL_R_X17Y106 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y106 FIRST_SITE_ID 4465 TILEPROP CLBLL_R_X17Y106 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y106 GRID_POINT_Y 45 TILEPROP CLBLL_R_X17Y106 INDEX 5221 TILEPROP CLBLL_R_X17Y106 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y106 INT_TILE_Y 43 TILEPROP CLBLL_R_X17Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y106 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y106 NAME CLBLL_R_X17Y106 TILEPROP CLBLL_R_X17Y106 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y106 NUM_SITES 2 TILEPROP CLBLL_R_X17Y106 ROW 45 TILEPROP CLBLL_R_X17Y106 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y106 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y106 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y106 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y106 TILE_X -17084 TILEPROP CLBLL_R_X17Y106 TILE_Y 102072 TILEPROP CLBLL_R_X17Y106 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y107 CLASS tile TILEPROP CLBLL_R_X17Y107 COLUMN 46 TILEPROP CLBLL_R_X17Y107 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y107 FIRST_SITE_ID 4377 TILEPROP CLBLL_R_X17Y107 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y107 GRID_POINT_Y 44 TILEPROP CLBLL_R_X17Y107 INDEX 5106 TILEPROP CLBLL_R_X17Y107 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y107 INT_TILE_Y 42 TILEPROP CLBLL_R_X17Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y107 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y107 NAME CLBLL_R_X17Y107 TILEPROP CLBLL_R_X17Y107 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y107 NUM_SITES 2 TILEPROP CLBLL_R_X17Y107 ROW 44 TILEPROP CLBLL_R_X17Y107 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y107 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y107 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y107 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y107 TILE_X -17084 TILEPROP CLBLL_R_X17Y107 TILE_Y 105272 TILEPROP CLBLL_R_X17Y107 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y108 CLASS tile TILEPROP CLBLL_R_X17Y108 COLUMN 46 TILEPROP CLBLL_R_X17Y108 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y108 FIRST_SITE_ID 4279 TILEPROP CLBLL_R_X17Y108 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y108 GRID_POINT_Y 43 TILEPROP CLBLL_R_X17Y108 INDEX 4991 TILEPROP CLBLL_R_X17Y108 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y108 INT_TILE_Y 41 TILEPROP CLBLL_R_X17Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y108 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y108 NAME CLBLL_R_X17Y108 TILEPROP CLBLL_R_X17Y108 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y108 NUM_SITES 2 TILEPROP CLBLL_R_X17Y108 ROW 43 TILEPROP CLBLL_R_X17Y108 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y108 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y108 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y108 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y108 TILE_X -17084 TILEPROP CLBLL_R_X17Y108 TILE_Y 108472 TILEPROP CLBLL_R_X17Y108 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y109 CLASS tile TILEPROP CLBLL_R_X17Y109 COLUMN 46 TILEPROP CLBLL_R_X17Y109 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y109 FIRST_SITE_ID 4190 TILEPROP CLBLL_R_X17Y109 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y109 GRID_POINT_Y 42 TILEPROP CLBLL_R_X17Y109 INDEX 4876 TILEPROP CLBLL_R_X17Y109 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y109 INT_TILE_Y 40 TILEPROP CLBLL_R_X17Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y109 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y109 NAME CLBLL_R_X17Y109 TILEPROP CLBLL_R_X17Y109 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y109 NUM_SITES 2 TILEPROP CLBLL_R_X17Y109 ROW 42 TILEPROP CLBLL_R_X17Y109 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y109 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y109 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y109 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y109 TILE_X -17084 TILEPROP CLBLL_R_X17Y109 TILE_Y 111672 TILEPROP CLBLL_R_X17Y109 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y110 CLASS tile TILEPROP CLBLL_R_X17Y110 COLUMN 46 TILEPROP CLBLL_R_X17Y110 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y110 FIRST_SITE_ID 4090 TILEPROP CLBLL_R_X17Y110 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y110 GRID_POINT_Y 41 TILEPROP CLBLL_R_X17Y110 INDEX 4761 TILEPROP CLBLL_R_X17Y110 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y110 INT_TILE_Y 39 TILEPROP CLBLL_R_X17Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y110 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y110 NAME CLBLL_R_X17Y110 TILEPROP CLBLL_R_X17Y110 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y110 NUM_SITES 2 TILEPROP CLBLL_R_X17Y110 ROW 41 TILEPROP CLBLL_R_X17Y110 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y110 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y110 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y110 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y110 TILE_X -17084 TILEPROP CLBLL_R_X17Y110 TILE_Y 114872 TILEPROP CLBLL_R_X17Y110 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y111 CLASS tile TILEPROP CLBLL_R_X17Y111 COLUMN 46 TILEPROP CLBLL_R_X17Y111 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y111 FIRST_SITE_ID 3996 TILEPROP CLBLL_R_X17Y111 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y111 GRID_POINT_Y 40 TILEPROP CLBLL_R_X17Y111 INDEX 4646 TILEPROP CLBLL_R_X17Y111 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y111 INT_TILE_Y 38 TILEPROP CLBLL_R_X17Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y111 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y111 NAME CLBLL_R_X17Y111 TILEPROP CLBLL_R_X17Y111 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y111 NUM_SITES 2 TILEPROP CLBLL_R_X17Y111 ROW 40 TILEPROP CLBLL_R_X17Y111 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y111 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y111 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y111 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y111 TILE_X -17084 TILEPROP CLBLL_R_X17Y111 TILE_Y 118072 TILEPROP CLBLL_R_X17Y111 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y112 CLASS tile TILEPROP CLBLL_R_X17Y112 COLUMN 46 TILEPROP CLBLL_R_X17Y112 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y112 FIRST_SITE_ID 3868 TILEPROP CLBLL_R_X17Y112 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y112 GRID_POINT_Y 39 TILEPROP CLBLL_R_X17Y112 INDEX 4531 TILEPROP CLBLL_R_X17Y112 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y112 INT_TILE_Y 37 TILEPROP CLBLL_R_X17Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y112 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y112 NAME CLBLL_R_X17Y112 TILEPROP CLBLL_R_X17Y112 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y112 NUM_SITES 2 TILEPROP CLBLL_R_X17Y112 ROW 39 TILEPROP CLBLL_R_X17Y112 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y112 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y112 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y112 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y112 TILE_X -17084 TILEPROP CLBLL_R_X17Y112 TILE_Y 121272 TILEPROP CLBLL_R_X17Y112 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y113 CLASS tile TILEPROP CLBLL_R_X17Y113 COLUMN 46 TILEPROP CLBLL_R_X17Y113 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y113 FIRST_SITE_ID 3780 TILEPROP CLBLL_R_X17Y113 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y113 GRID_POINT_Y 38 TILEPROP CLBLL_R_X17Y113 INDEX 4416 TILEPROP CLBLL_R_X17Y113 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y113 INT_TILE_Y 36 TILEPROP CLBLL_R_X17Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y113 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y113 NAME CLBLL_R_X17Y113 TILEPROP CLBLL_R_X17Y113 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y113 NUM_SITES 2 TILEPROP CLBLL_R_X17Y113 ROW 38 TILEPROP CLBLL_R_X17Y113 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y113 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y113 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y113 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y113 TILE_X -17084 TILEPROP CLBLL_R_X17Y113 TILE_Y 124472 TILEPROP CLBLL_R_X17Y113 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y114 CLASS tile TILEPROP CLBLL_R_X17Y114 COLUMN 46 TILEPROP CLBLL_R_X17Y114 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y114 FIRST_SITE_ID 3684 TILEPROP CLBLL_R_X17Y114 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y114 GRID_POINT_Y 37 TILEPROP CLBLL_R_X17Y114 INDEX 4301 TILEPROP CLBLL_R_X17Y114 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y114 INT_TILE_Y 35 TILEPROP CLBLL_R_X17Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y114 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y114 NAME CLBLL_R_X17Y114 TILEPROP CLBLL_R_X17Y114 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y114 NUM_SITES 2 TILEPROP CLBLL_R_X17Y114 ROW 37 TILEPROP CLBLL_R_X17Y114 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y114 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y114 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y114 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y114 TILE_X -17084 TILEPROP CLBLL_R_X17Y114 TILE_Y 127672 TILEPROP CLBLL_R_X17Y114 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y115 CLASS tile TILEPROP CLBLL_R_X17Y115 COLUMN 46 TILEPROP CLBLL_R_X17Y115 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y115 FIRST_SITE_ID 3593 TILEPROP CLBLL_R_X17Y115 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y115 GRID_POINT_Y 36 TILEPROP CLBLL_R_X17Y115 INDEX 4186 TILEPROP CLBLL_R_X17Y115 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y115 INT_TILE_Y 34 TILEPROP CLBLL_R_X17Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y115 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y115 NAME CLBLL_R_X17Y115 TILEPROP CLBLL_R_X17Y115 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y115 NUM_SITES 2 TILEPROP CLBLL_R_X17Y115 ROW 36 TILEPROP CLBLL_R_X17Y115 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y115 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y115 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y115 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y115 TILE_X -17084 TILEPROP CLBLL_R_X17Y115 TILE_Y 130872 TILEPROP CLBLL_R_X17Y115 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y116 CLASS tile TILEPROP CLBLL_R_X17Y116 COLUMN 46 TILEPROP CLBLL_R_X17Y116 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y116 FIRST_SITE_ID 3486 TILEPROP CLBLL_R_X17Y116 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y116 GRID_POINT_Y 35 TILEPROP CLBLL_R_X17Y116 INDEX 4071 TILEPROP CLBLL_R_X17Y116 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y116 INT_TILE_Y 33 TILEPROP CLBLL_R_X17Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y116 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y116 NAME CLBLL_R_X17Y116 TILEPROP CLBLL_R_X17Y116 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y116 NUM_SITES 2 TILEPROP CLBLL_R_X17Y116 ROW 35 TILEPROP CLBLL_R_X17Y116 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y116 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y116 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y116 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y116 TILE_X -17084 TILEPROP CLBLL_R_X17Y116 TILE_Y 134072 TILEPROP CLBLL_R_X17Y116 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y117 CLASS tile TILEPROP CLBLL_R_X17Y117 COLUMN 46 TILEPROP CLBLL_R_X17Y117 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y117 FIRST_SITE_ID 3398 TILEPROP CLBLL_R_X17Y117 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y117 GRID_POINT_Y 34 TILEPROP CLBLL_R_X17Y117 INDEX 3956 TILEPROP CLBLL_R_X17Y117 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y117 INT_TILE_Y 32 TILEPROP CLBLL_R_X17Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y117 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y117 NAME CLBLL_R_X17Y117 TILEPROP CLBLL_R_X17Y117 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y117 NUM_SITES 2 TILEPROP CLBLL_R_X17Y117 ROW 34 TILEPROP CLBLL_R_X17Y117 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y117 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y117 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y117 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y117 TILE_X -17084 TILEPROP CLBLL_R_X17Y117 TILE_Y 137272 TILEPROP CLBLL_R_X17Y117 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y118 CLASS tile TILEPROP CLBLL_R_X17Y118 COLUMN 46 TILEPROP CLBLL_R_X17Y118 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y118 FIRST_SITE_ID 3298 TILEPROP CLBLL_R_X17Y118 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y118 GRID_POINT_Y 33 TILEPROP CLBLL_R_X17Y118 INDEX 3841 TILEPROP CLBLL_R_X17Y118 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y118 INT_TILE_Y 31 TILEPROP CLBLL_R_X17Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y118 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y118 NAME CLBLL_R_X17Y118 TILEPROP CLBLL_R_X17Y118 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y118 NUM_SITES 2 TILEPROP CLBLL_R_X17Y118 ROW 33 TILEPROP CLBLL_R_X17Y118 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y118 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y118 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y118 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y118 TILE_X -17084 TILEPROP CLBLL_R_X17Y118 TILE_Y 140472 TILEPROP CLBLL_R_X17Y118 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y119 CLASS tile TILEPROP CLBLL_R_X17Y119 COLUMN 46 TILEPROP CLBLL_R_X17Y119 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y119 FIRST_SITE_ID 3210 TILEPROP CLBLL_R_X17Y119 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y119 GRID_POINT_Y 32 TILEPROP CLBLL_R_X17Y119 INDEX 3726 TILEPROP CLBLL_R_X17Y119 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y119 INT_TILE_Y 30 TILEPROP CLBLL_R_X17Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y119 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y119 NAME CLBLL_R_X17Y119 TILEPROP CLBLL_R_X17Y119 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y119 NUM_SITES 2 TILEPROP CLBLL_R_X17Y119 ROW 32 TILEPROP CLBLL_R_X17Y119 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y119 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y119 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y119 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y119 TILE_X -17084 TILEPROP CLBLL_R_X17Y119 TILE_Y 143672 TILEPROP CLBLL_R_X17Y119 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y120 CLASS tile TILEPROP CLBLL_R_X17Y120 COLUMN 46 TILEPROP CLBLL_R_X17Y120 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y120 FIRST_SITE_ID 3109 TILEPROP CLBLL_R_X17Y120 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y120 GRID_POINT_Y 31 TILEPROP CLBLL_R_X17Y120 INDEX 3611 TILEPROP CLBLL_R_X17Y120 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y120 INT_TILE_Y 29 TILEPROP CLBLL_R_X17Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y120 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y120 NAME CLBLL_R_X17Y120 TILEPROP CLBLL_R_X17Y120 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y120 NUM_SITES 2 TILEPROP CLBLL_R_X17Y120 ROW 31 TILEPROP CLBLL_R_X17Y120 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y120 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y120 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y120 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y120 TILE_X -17084 TILEPROP CLBLL_R_X17Y120 TILE_Y 146872 TILEPROP CLBLL_R_X17Y120 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y121 CLASS tile TILEPROP CLBLL_R_X17Y121 COLUMN 46 TILEPROP CLBLL_R_X17Y121 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y121 FIRST_SITE_ID 3015 TILEPROP CLBLL_R_X17Y121 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y121 GRID_POINT_Y 30 TILEPROP CLBLL_R_X17Y121 INDEX 3496 TILEPROP CLBLL_R_X17Y121 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y121 INT_TILE_Y 28 TILEPROP CLBLL_R_X17Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y121 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y121 NAME CLBLL_R_X17Y121 TILEPROP CLBLL_R_X17Y121 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y121 NUM_SITES 2 TILEPROP CLBLL_R_X17Y121 ROW 30 TILEPROP CLBLL_R_X17Y121 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y121 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y121 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y121 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y121 TILE_X -17084 TILEPROP CLBLL_R_X17Y121 TILE_Y 150072 TILEPROP CLBLL_R_X17Y121 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y122 CLASS tile TILEPROP CLBLL_R_X17Y122 COLUMN 46 TILEPROP CLBLL_R_X17Y122 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y122 FIRST_SITE_ID 2912 TILEPROP CLBLL_R_X17Y122 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y122 GRID_POINT_Y 29 TILEPROP CLBLL_R_X17Y122 INDEX 3381 TILEPROP CLBLL_R_X17Y122 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y122 INT_TILE_Y 27 TILEPROP CLBLL_R_X17Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y122 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y122 NAME CLBLL_R_X17Y122 TILEPROP CLBLL_R_X17Y122 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y122 NUM_SITES 2 TILEPROP CLBLL_R_X17Y122 ROW 29 TILEPROP CLBLL_R_X17Y122 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y122 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y122 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y122 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y122 TILE_X -17084 TILEPROP CLBLL_R_X17Y122 TILE_Y 153272 TILEPROP CLBLL_R_X17Y122 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y123 CLASS tile TILEPROP CLBLL_R_X17Y123 COLUMN 46 TILEPROP CLBLL_R_X17Y123 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y123 FIRST_SITE_ID 2824 TILEPROP CLBLL_R_X17Y123 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y123 GRID_POINT_Y 28 TILEPROP CLBLL_R_X17Y123 INDEX 3266 TILEPROP CLBLL_R_X17Y123 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y123 INT_TILE_Y 26 TILEPROP CLBLL_R_X17Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y123 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y123 NAME CLBLL_R_X17Y123 TILEPROP CLBLL_R_X17Y123 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y123 NUM_SITES 2 TILEPROP CLBLL_R_X17Y123 ROW 28 TILEPROP CLBLL_R_X17Y123 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y123 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y123 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y123 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y123 TILE_X -17084 TILEPROP CLBLL_R_X17Y123 TILE_Y 156472 TILEPROP CLBLL_R_X17Y123 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y124 CLASS tile TILEPROP CLBLL_R_X17Y124 COLUMN 46 TILEPROP CLBLL_R_X17Y124 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y124 FIRST_SITE_ID 2728 TILEPROP CLBLL_R_X17Y124 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y124 GRID_POINT_Y 27 TILEPROP CLBLL_R_X17Y124 INDEX 3151 TILEPROP CLBLL_R_X17Y124 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y124 INT_TILE_Y 25 TILEPROP CLBLL_R_X17Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y124 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y124 NAME CLBLL_R_X17Y124 TILEPROP CLBLL_R_X17Y124 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y124 NUM_SITES 2 TILEPROP CLBLL_R_X17Y124 ROW 27 TILEPROP CLBLL_R_X17Y124 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y124 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X17Y124 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y124 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y124 TILE_X -17084 TILEPROP CLBLL_R_X17Y124 TILE_Y 159672 TILEPROP CLBLL_R_X17Y124 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y125 CLASS tile TILEPROP CLBLL_R_X17Y125 COLUMN 46 TILEPROP CLBLL_R_X17Y125 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y125 FIRST_SITE_ID 2555 TILEPROP CLBLL_R_X17Y125 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y125 GRID_POINT_Y 25 TILEPROP CLBLL_R_X17Y125 INDEX 2921 TILEPROP CLBLL_R_X17Y125 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y125 INT_TILE_Y 24 TILEPROP CLBLL_R_X17Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y125 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y125 NAME CLBLL_R_X17Y125 TILEPROP CLBLL_R_X17Y125 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y125 NUM_SITES 2 TILEPROP CLBLL_R_X17Y125 ROW 25 TILEPROP CLBLL_R_X17Y125 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y125 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X17Y125 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y125 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y125 TILE_X -17084 TILEPROP CLBLL_R_X17Y125 TILE_Y 163896 TILEPROP CLBLL_R_X17Y125 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y126 CLASS tile TILEPROP CLBLL_R_X17Y126 COLUMN 46 TILEPROP CLBLL_R_X17Y126 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y126 FIRST_SITE_ID 2445 TILEPROP CLBLL_R_X17Y126 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y126 GRID_POINT_Y 24 TILEPROP CLBLL_R_X17Y126 INDEX 2806 TILEPROP CLBLL_R_X17Y126 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y126 INT_TILE_Y 23 TILEPROP CLBLL_R_X17Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y126 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y126 NAME CLBLL_R_X17Y126 TILEPROP CLBLL_R_X17Y126 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y126 NUM_SITES 2 TILEPROP CLBLL_R_X17Y126 ROW 24 TILEPROP CLBLL_R_X17Y126 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y126 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y126 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y126 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y126 TILE_X -17084 TILEPROP CLBLL_R_X17Y126 TILE_Y 167096 TILEPROP CLBLL_R_X17Y126 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y127 CLASS tile TILEPROP CLBLL_R_X17Y127 COLUMN 46 TILEPROP CLBLL_R_X17Y127 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y127 FIRST_SITE_ID 2349 TILEPROP CLBLL_R_X17Y127 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y127 GRID_POINT_Y 23 TILEPROP CLBLL_R_X17Y127 INDEX 2691 TILEPROP CLBLL_R_X17Y127 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y127 INT_TILE_Y 22 TILEPROP CLBLL_R_X17Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y127 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y127 NAME CLBLL_R_X17Y127 TILEPROP CLBLL_R_X17Y127 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y127 NUM_SITES 2 TILEPROP CLBLL_R_X17Y127 ROW 23 TILEPROP CLBLL_R_X17Y127 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y127 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y127 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y127 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y127 TILE_X -17084 TILEPROP CLBLL_R_X17Y127 TILE_Y 170296 TILEPROP CLBLL_R_X17Y127 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y128 CLASS tile TILEPROP CLBLL_R_X17Y128 COLUMN 46 TILEPROP CLBLL_R_X17Y128 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y128 FIRST_SITE_ID 2245 TILEPROP CLBLL_R_X17Y128 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y128 GRID_POINT_Y 22 TILEPROP CLBLL_R_X17Y128 INDEX 2576 TILEPROP CLBLL_R_X17Y128 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y128 INT_TILE_Y 21 TILEPROP CLBLL_R_X17Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y128 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y128 NAME CLBLL_R_X17Y128 TILEPROP CLBLL_R_X17Y128 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y128 NUM_SITES 2 TILEPROP CLBLL_R_X17Y128 ROW 22 TILEPROP CLBLL_R_X17Y128 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y128 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y128 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y128 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y128 TILE_X -17084 TILEPROP CLBLL_R_X17Y128 TILE_Y 173496 TILEPROP CLBLL_R_X17Y128 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y129 CLASS tile TILEPROP CLBLL_R_X17Y129 COLUMN 46 TILEPROP CLBLL_R_X17Y129 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y129 FIRST_SITE_ID 2149 TILEPROP CLBLL_R_X17Y129 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y129 GRID_POINT_Y 21 TILEPROP CLBLL_R_X17Y129 INDEX 2461 TILEPROP CLBLL_R_X17Y129 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y129 INT_TILE_Y 20 TILEPROP CLBLL_R_X17Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y129 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y129 NAME CLBLL_R_X17Y129 TILEPROP CLBLL_R_X17Y129 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y129 NUM_SITES 2 TILEPROP CLBLL_R_X17Y129 ROW 21 TILEPROP CLBLL_R_X17Y129 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y129 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y129 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y129 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y129 TILE_X -17084 TILEPROP CLBLL_R_X17Y129 TILE_Y 176696 TILEPROP CLBLL_R_X17Y129 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y130 CLASS tile TILEPROP CLBLL_R_X17Y130 COLUMN 46 TILEPROP CLBLL_R_X17Y130 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y130 FIRST_SITE_ID 2033 TILEPROP CLBLL_R_X17Y130 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y130 GRID_POINT_Y 20 TILEPROP CLBLL_R_X17Y130 INDEX 2346 TILEPROP CLBLL_R_X17Y130 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y130 INT_TILE_Y 19 TILEPROP CLBLL_R_X17Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y130 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y130 NAME CLBLL_R_X17Y130 TILEPROP CLBLL_R_X17Y130 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y130 NUM_SITES 2 TILEPROP CLBLL_R_X17Y130 ROW 20 TILEPROP CLBLL_R_X17Y130 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y130 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y130 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y130 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y130 TILE_X -17084 TILEPROP CLBLL_R_X17Y130 TILE_Y 179896 TILEPROP CLBLL_R_X17Y130 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y131 CLASS tile TILEPROP CLBLL_R_X17Y131 COLUMN 46 TILEPROP CLBLL_R_X17Y131 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y131 FIRST_SITE_ID 1931 TILEPROP CLBLL_R_X17Y131 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y131 GRID_POINT_Y 19 TILEPROP CLBLL_R_X17Y131 INDEX 2231 TILEPROP CLBLL_R_X17Y131 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y131 INT_TILE_Y 18 TILEPROP CLBLL_R_X17Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y131 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y131 NAME CLBLL_R_X17Y131 TILEPROP CLBLL_R_X17Y131 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y131 NUM_SITES 2 TILEPROP CLBLL_R_X17Y131 ROW 19 TILEPROP CLBLL_R_X17Y131 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y131 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y131 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y131 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y131 TILE_X -17084 TILEPROP CLBLL_R_X17Y131 TILE_Y 183096 TILEPROP CLBLL_R_X17Y131 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y132 CLASS tile TILEPROP CLBLL_R_X17Y132 COLUMN 46 TILEPROP CLBLL_R_X17Y132 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y132 FIRST_SITE_ID 1825 TILEPROP CLBLL_R_X17Y132 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y132 GRID_POINT_Y 18 TILEPROP CLBLL_R_X17Y132 INDEX 2116 TILEPROP CLBLL_R_X17Y132 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y132 INT_TILE_Y 17 TILEPROP CLBLL_R_X17Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y132 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y132 NAME CLBLL_R_X17Y132 TILEPROP CLBLL_R_X17Y132 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y132 NUM_SITES 2 TILEPROP CLBLL_R_X17Y132 ROW 18 TILEPROP CLBLL_R_X17Y132 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y132 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y132 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y132 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y132 TILE_X -17084 TILEPROP CLBLL_R_X17Y132 TILE_Y 186296 TILEPROP CLBLL_R_X17Y132 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y133 CLASS tile TILEPROP CLBLL_R_X17Y133 COLUMN 46 TILEPROP CLBLL_R_X17Y133 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y133 FIRST_SITE_ID 1724 TILEPROP CLBLL_R_X17Y133 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y133 GRID_POINT_Y 17 TILEPROP CLBLL_R_X17Y133 INDEX 2001 TILEPROP CLBLL_R_X17Y133 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y133 INT_TILE_Y 16 TILEPROP CLBLL_R_X17Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y133 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y133 NAME CLBLL_R_X17Y133 TILEPROP CLBLL_R_X17Y133 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y133 NUM_SITES 2 TILEPROP CLBLL_R_X17Y133 ROW 17 TILEPROP CLBLL_R_X17Y133 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y133 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y133 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y133 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y133 TILE_X -17084 TILEPROP CLBLL_R_X17Y133 TILE_Y 189496 TILEPROP CLBLL_R_X17Y133 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y134 CLASS tile TILEPROP CLBLL_R_X17Y134 COLUMN 46 TILEPROP CLBLL_R_X17Y134 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y134 FIRST_SITE_ID 1620 TILEPROP CLBLL_R_X17Y134 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y134 GRID_POINT_Y 16 TILEPROP CLBLL_R_X17Y134 INDEX 1886 TILEPROP CLBLL_R_X17Y134 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y134 INT_TILE_Y 15 TILEPROP CLBLL_R_X17Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y134 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y134 NAME CLBLL_R_X17Y134 TILEPROP CLBLL_R_X17Y134 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y134 NUM_SITES 2 TILEPROP CLBLL_R_X17Y134 ROW 16 TILEPROP CLBLL_R_X17Y134 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y134 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y134 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y134 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y134 TILE_X -17084 TILEPROP CLBLL_R_X17Y134 TILE_Y 192696 TILEPROP CLBLL_R_X17Y134 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y135 CLASS tile TILEPROP CLBLL_R_X17Y135 COLUMN 46 TILEPROP CLBLL_R_X17Y135 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y135 FIRST_SITE_ID 1518 TILEPROP CLBLL_R_X17Y135 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y135 GRID_POINT_Y 15 TILEPROP CLBLL_R_X17Y135 INDEX 1771 TILEPROP CLBLL_R_X17Y135 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y135 INT_TILE_Y 14 TILEPROP CLBLL_R_X17Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y135 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y135 NAME CLBLL_R_X17Y135 TILEPROP CLBLL_R_X17Y135 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y135 NUM_SITES 2 TILEPROP CLBLL_R_X17Y135 ROW 15 TILEPROP CLBLL_R_X17Y135 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y135 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y135 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y135 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y135 TILE_X -17084 TILEPROP CLBLL_R_X17Y135 TILE_Y 195896 TILEPROP CLBLL_R_X17Y135 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y136 CLASS tile TILEPROP CLBLL_R_X17Y136 COLUMN 46 TILEPROP CLBLL_R_X17Y136 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y136 FIRST_SITE_ID 1376 TILEPROP CLBLL_R_X17Y136 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y136 GRID_POINT_Y 14 TILEPROP CLBLL_R_X17Y136 INDEX 1656 TILEPROP CLBLL_R_X17Y136 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y136 INT_TILE_Y 13 TILEPROP CLBLL_R_X17Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y136 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y136 NAME CLBLL_R_X17Y136 TILEPROP CLBLL_R_X17Y136 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y136 NUM_SITES 2 TILEPROP CLBLL_R_X17Y136 ROW 14 TILEPROP CLBLL_R_X17Y136 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y136 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y136 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y136 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y136 TILE_X -17084 TILEPROP CLBLL_R_X17Y136 TILE_Y 199096 TILEPROP CLBLL_R_X17Y136 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y137 CLASS tile TILEPROP CLBLL_R_X17Y137 COLUMN 46 TILEPROP CLBLL_R_X17Y137 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y137 FIRST_SITE_ID 1280 TILEPROP CLBLL_R_X17Y137 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y137 GRID_POINT_Y 13 TILEPROP CLBLL_R_X17Y137 INDEX 1541 TILEPROP CLBLL_R_X17Y137 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y137 INT_TILE_Y 12 TILEPROP CLBLL_R_X17Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y137 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y137 NAME CLBLL_R_X17Y137 TILEPROP CLBLL_R_X17Y137 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y137 NUM_SITES 2 TILEPROP CLBLL_R_X17Y137 ROW 13 TILEPROP CLBLL_R_X17Y137 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y137 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y137 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y137 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y137 TILE_X -17084 TILEPROP CLBLL_R_X17Y137 TILE_Y 202296 TILEPROP CLBLL_R_X17Y137 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y138 CLASS tile TILEPROP CLBLL_R_X17Y138 COLUMN 46 TILEPROP CLBLL_R_X17Y138 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y138 FIRST_SITE_ID 1176 TILEPROP CLBLL_R_X17Y138 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y138 GRID_POINT_Y 12 TILEPROP CLBLL_R_X17Y138 INDEX 1426 TILEPROP CLBLL_R_X17Y138 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y138 INT_TILE_Y 11 TILEPROP CLBLL_R_X17Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y138 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y138 NAME CLBLL_R_X17Y138 TILEPROP CLBLL_R_X17Y138 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y138 NUM_SITES 2 TILEPROP CLBLL_R_X17Y138 ROW 12 TILEPROP CLBLL_R_X17Y138 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y138 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y138 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y138 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y138 TILE_X -17084 TILEPROP CLBLL_R_X17Y138 TILE_Y 205496 TILEPROP CLBLL_R_X17Y138 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y139 CLASS tile TILEPROP CLBLL_R_X17Y139 COLUMN 46 TILEPROP CLBLL_R_X17Y139 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y139 FIRST_SITE_ID 1080 TILEPROP CLBLL_R_X17Y139 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y139 GRID_POINT_Y 11 TILEPROP CLBLL_R_X17Y139 INDEX 1311 TILEPROP CLBLL_R_X17Y139 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y139 INT_TILE_Y 10 TILEPROP CLBLL_R_X17Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y139 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y139 NAME CLBLL_R_X17Y139 TILEPROP CLBLL_R_X17Y139 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y139 NUM_SITES 2 TILEPROP CLBLL_R_X17Y139 ROW 11 TILEPROP CLBLL_R_X17Y139 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y139 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y139 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y139 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y139 TILE_X -17084 TILEPROP CLBLL_R_X17Y139 TILE_Y 208696 TILEPROP CLBLL_R_X17Y139 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y140 CLASS tile TILEPROP CLBLL_R_X17Y140 COLUMN 46 TILEPROP CLBLL_R_X17Y140 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y140 FIRST_SITE_ID 970 TILEPROP CLBLL_R_X17Y140 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y140 GRID_POINT_Y 10 TILEPROP CLBLL_R_X17Y140 INDEX 1196 TILEPROP CLBLL_R_X17Y140 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y140 INT_TILE_Y 9 TILEPROP CLBLL_R_X17Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y140 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y140 NAME CLBLL_R_X17Y140 TILEPROP CLBLL_R_X17Y140 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y140 NUM_SITES 2 TILEPROP CLBLL_R_X17Y140 ROW 10 TILEPROP CLBLL_R_X17Y140 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y140 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y140 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y140 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y140 TILE_X -17084 TILEPROP CLBLL_R_X17Y140 TILE_Y 211896 TILEPROP CLBLL_R_X17Y140 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y141 CLASS tile TILEPROP CLBLL_R_X17Y141 COLUMN 46 TILEPROP CLBLL_R_X17Y141 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y141 FIRST_SITE_ID 868 TILEPROP CLBLL_R_X17Y141 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y141 GRID_POINT_Y 9 TILEPROP CLBLL_R_X17Y141 INDEX 1081 TILEPROP CLBLL_R_X17Y141 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y141 INT_TILE_Y 8 TILEPROP CLBLL_R_X17Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y141 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y141 NAME CLBLL_R_X17Y141 TILEPROP CLBLL_R_X17Y141 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y141 NUM_SITES 2 TILEPROP CLBLL_R_X17Y141 ROW 9 TILEPROP CLBLL_R_X17Y141 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y141 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y141 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y141 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y141 TILE_X -17084 TILEPROP CLBLL_R_X17Y141 TILE_Y 215096 TILEPROP CLBLL_R_X17Y141 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y142 CLASS tile TILEPROP CLBLL_R_X17Y142 COLUMN 46 TILEPROP CLBLL_R_X17Y142 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y142 FIRST_SITE_ID 764 TILEPROP CLBLL_R_X17Y142 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y142 GRID_POINT_Y 8 TILEPROP CLBLL_R_X17Y142 INDEX 966 TILEPROP CLBLL_R_X17Y142 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y142 INT_TILE_Y 7 TILEPROP CLBLL_R_X17Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y142 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y142 NAME CLBLL_R_X17Y142 TILEPROP CLBLL_R_X17Y142 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y142 NUM_SITES 2 TILEPROP CLBLL_R_X17Y142 ROW 8 TILEPROP CLBLL_R_X17Y142 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y142 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y142 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y142 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y142 TILE_X -17084 TILEPROP CLBLL_R_X17Y142 TILE_Y 218296 TILEPROP CLBLL_R_X17Y142 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y143 CLASS tile TILEPROP CLBLL_R_X17Y143 COLUMN 46 TILEPROP CLBLL_R_X17Y143 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y143 FIRST_SITE_ID 667 TILEPROP CLBLL_R_X17Y143 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y143 GRID_POINT_Y 7 TILEPROP CLBLL_R_X17Y143 INDEX 851 TILEPROP CLBLL_R_X17Y143 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y143 INT_TILE_Y 6 TILEPROP CLBLL_R_X17Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y143 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y143 NAME CLBLL_R_X17Y143 TILEPROP CLBLL_R_X17Y143 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y143 NUM_SITES 2 TILEPROP CLBLL_R_X17Y143 ROW 7 TILEPROP CLBLL_R_X17Y143 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y143 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y143 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y143 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y143 TILE_X -17084 TILEPROP CLBLL_R_X17Y143 TILE_Y 221496 TILEPROP CLBLL_R_X17Y143 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y144 CLASS tile TILEPROP CLBLL_R_X17Y144 COLUMN 46 TILEPROP CLBLL_R_X17Y144 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y144 FIRST_SITE_ID 556 TILEPROP CLBLL_R_X17Y144 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y144 GRID_POINT_Y 6 TILEPROP CLBLL_R_X17Y144 INDEX 736 TILEPROP CLBLL_R_X17Y144 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y144 INT_TILE_Y 5 TILEPROP CLBLL_R_X17Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y144 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y144 NAME CLBLL_R_X17Y144 TILEPROP CLBLL_R_X17Y144 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y144 NUM_SITES 2 TILEPROP CLBLL_R_X17Y144 ROW 6 TILEPROP CLBLL_R_X17Y144 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y144 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y144 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y144 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y144 TILE_X -17084 TILEPROP CLBLL_R_X17Y144 TILE_Y 224696 TILEPROP CLBLL_R_X17Y144 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y145 CLASS tile TILEPROP CLBLL_R_X17Y145 COLUMN 46 TILEPROP CLBLL_R_X17Y145 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y145 FIRST_SITE_ID 454 TILEPROP CLBLL_R_X17Y145 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y145 GRID_POINT_Y 5 TILEPROP CLBLL_R_X17Y145 INDEX 621 TILEPROP CLBLL_R_X17Y145 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y145 INT_TILE_Y 4 TILEPROP CLBLL_R_X17Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y145 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y145 NAME CLBLL_R_X17Y145 TILEPROP CLBLL_R_X17Y145 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y145 NUM_SITES 2 TILEPROP CLBLL_R_X17Y145 ROW 5 TILEPROP CLBLL_R_X17Y145 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y145 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y145 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y145 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y145 TILE_X -17084 TILEPROP CLBLL_R_X17Y145 TILE_Y 227896 TILEPROP CLBLL_R_X17Y145 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y146 CLASS tile TILEPROP CLBLL_R_X17Y146 COLUMN 46 TILEPROP CLBLL_R_X17Y146 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y146 FIRST_SITE_ID 344 TILEPROP CLBLL_R_X17Y146 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y146 GRID_POINT_Y 4 TILEPROP CLBLL_R_X17Y146 INDEX 506 TILEPROP CLBLL_R_X17Y146 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y146 INT_TILE_Y 3 TILEPROP CLBLL_R_X17Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y146 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y146 NAME CLBLL_R_X17Y146 TILEPROP CLBLL_R_X17Y146 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y146 NUM_SITES 2 TILEPROP CLBLL_R_X17Y146 ROW 4 TILEPROP CLBLL_R_X17Y146 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y146 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y146 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y146 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y146 TILE_X -17084 TILEPROP CLBLL_R_X17Y146 TILE_Y 231096 TILEPROP CLBLL_R_X17Y146 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y147 CLASS tile TILEPROP CLBLL_R_X17Y147 COLUMN 46 TILEPROP CLBLL_R_X17Y147 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y147 FIRST_SITE_ID 248 TILEPROP CLBLL_R_X17Y147 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y147 GRID_POINT_Y 3 TILEPROP CLBLL_R_X17Y147 INDEX 391 TILEPROP CLBLL_R_X17Y147 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y147 INT_TILE_Y 2 TILEPROP CLBLL_R_X17Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y147 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y147 NAME CLBLL_R_X17Y147 TILEPROP CLBLL_R_X17Y147 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y147 NUM_SITES 2 TILEPROP CLBLL_R_X17Y147 ROW 3 TILEPROP CLBLL_R_X17Y147 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y147 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y147 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y147 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y147 TILE_X -17084 TILEPROP CLBLL_R_X17Y147 TILE_Y 234296 TILEPROP CLBLL_R_X17Y147 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y148 CLASS tile TILEPROP CLBLL_R_X17Y148 COLUMN 46 TILEPROP CLBLL_R_X17Y148 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y148 FIRST_SITE_ID 144 TILEPROP CLBLL_R_X17Y148 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y148 GRID_POINT_Y 2 TILEPROP CLBLL_R_X17Y148 INDEX 276 TILEPROP CLBLL_R_X17Y148 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y148 INT_TILE_Y 1 TILEPROP CLBLL_R_X17Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y148 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y148 NAME CLBLL_R_X17Y148 TILEPROP CLBLL_R_X17Y148 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y148 NUM_SITES 2 TILEPROP CLBLL_R_X17Y148 ROW 2 TILEPROP CLBLL_R_X17Y148 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y148 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X17Y148 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y148 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y148 TILE_X -17084 TILEPROP CLBLL_R_X17Y148 TILE_Y 237496 TILEPROP CLBLL_R_X17Y148 TYPE CLBLL_R TILEPROP CLBLL_R_X17Y149 CLASS tile TILEPROP CLBLL_R_X17Y149 COLUMN 46 TILEPROP CLBLL_R_X17Y149 DEVICE_ID 0 TILEPROP CLBLL_R_X17Y149 FIRST_SITE_ID 48 TILEPROP CLBLL_R_X17Y149 GRID_POINT_X 46 TILEPROP CLBLL_R_X17Y149 GRID_POINT_Y 1 TILEPROP CLBLL_R_X17Y149 INDEX 161 TILEPROP CLBLL_R_X17Y149 INT_TILE_X 17 TILEPROP CLBLL_R_X17Y149 INT_TILE_Y 0 TILEPROP CLBLL_R_X17Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X17Y149 IS_DCM_TILE 0 TILEPROP CLBLL_R_X17Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X17Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X17Y149 NAME CLBLL_R_X17Y149 TILEPROP CLBLL_R_X17Y149 NUM_ARCS 146 TILEPROP CLBLL_R_X17Y149 NUM_SITES 2 TILEPROP CLBLL_R_X17Y149 ROW 1 TILEPROP CLBLL_R_X17Y149 SLR_REGION_ID 0 TILEPROP CLBLL_R_X17Y149 TILE_PATTERN_IDX 42 TILEPROP CLBLL_R_X17Y149 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X17Y149 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X17Y149 TILE_X -17084 TILEPROP CLBLL_R_X17Y149 TILE_Y 240696 TILEPROP CLBLL_R_X17Y149 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y0 CLASS tile TILEPROP CLBLL_R_X19Y0 COLUMN 51 TILEPROP CLBLL_R_X19Y0 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y0 FIRST_SITE_ID 15742 TILEPROP CLBLL_R_X19Y0 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y0 GRID_POINT_Y 155 TILEPROP CLBLL_R_X19Y0 INDEX 17876 TILEPROP CLBLL_R_X19Y0 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y0 INT_TILE_Y 149 TILEPROP CLBLL_R_X19Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y0 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y0 NAME CLBLL_R_X19Y0 TILEPROP CLBLL_R_X19Y0 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y0 NUM_SITES 2 TILEPROP CLBLL_R_X19Y0 ROW 155 TILEPROP CLBLL_R_X19Y0 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y0 TILE_PATTERN_IDX 3523 TILEPROP CLBLL_R_X19Y0 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y0 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y0 TILE_X -9348 TILEPROP CLBLL_R_X19Y0 TILE_Y -239672 TILEPROP CLBLL_R_X19Y0 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y1 CLASS tile TILEPROP CLBLL_R_X19Y1 COLUMN 51 TILEPROP CLBLL_R_X19Y1 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y1 FIRST_SITE_ID 15631 TILEPROP CLBLL_R_X19Y1 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y1 GRID_POINT_Y 154 TILEPROP CLBLL_R_X19Y1 INDEX 17761 TILEPROP CLBLL_R_X19Y1 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y1 INT_TILE_Y 148 TILEPROP CLBLL_R_X19Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y1 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y1 NAME CLBLL_R_X19Y1 TILEPROP CLBLL_R_X19Y1 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y1 NUM_SITES 2 TILEPROP CLBLL_R_X19Y1 ROW 154 TILEPROP CLBLL_R_X19Y1 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y1 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y1 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y1 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y1 TILE_X -9348 TILEPROP CLBLL_R_X19Y1 TILE_Y -236472 TILEPROP CLBLL_R_X19Y1 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y2 CLASS tile TILEPROP CLBLL_R_X19Y2 COLUMN 51 TILEPROP CLBLL_R_X19Y2 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y2 FIRST_SITE_ID 15531 TILEPROP CLBLL_R_X19Y2 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y2 GRID_POINT_Y 153 TILEPROP CLBLL_R_X19Y2 INDEX 17646 TILEPROP CLBLL_R_X19Y2 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y2 INT_TILE_Y 147 TILEPROP CLBLL_R_X19Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y2 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y2 NAME CLBLL_R_X19Y2 TILEPROP CLBLL_R_X19Y2 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y2 NUM_SITES 2 TILEPROP CLBLL_R_X19Y2 ROW 153 TILEPROP CLBLL_R_X19Y2 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y2 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y2 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y2 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y2 TILE_X -9348 TILEPROP CLBLL_R_X19Y2 TILE_Y -233272 TILEPROP CLBLL_R_X19Y2 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y3 CLASS tile TILEPROP CLBLL_R_X19Y3 COLUMN 51 TILEPROP CLBLL_R_X19Y3 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y3 FIRST_SITE_ID 15431 TILEPROP CLBLL_R_X19Y3 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y3 GRID_POINT_Y 152 TILEPROP CLBLL_R_X19Y3 INDEX 17531 TILEPROP CLBLL_R_X19Y3 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y3 INT_TILE_Y 146 TILEPROP CLBLL_R_X19Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y3 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y3 NAME CLBLL_R_X19Y3 TILEPROP CLBLL_R_X19Y3 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y3 NUM_SITES 2 TILEPROP CLBLL_R_X19Y3 ROW 152 TILEPROP CLBLL_R_X19Y3 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y3 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y3 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y3 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y3 TILE_X -9348 TILEPROP CLBLL_R_X19Y3 TILE_Y -230072 TILEPROP CLBLL_R_X19Y3 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y4 CLASS tile TILEPROP CLBLL_R_X19Y4 COLUMN 51 TILEPROP CLBLL_R_X19Y4 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y4 FIRST_SITE_ID 15331 TILEPROP CLBLL_R_X19Y4 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y4 GRID_POINT_Y 151 TILEPROP CLBLL_R_X19Y4 INDEX 17416 TILEPROP CLBLL_R_X19Y4 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y4 INT_TILE_Y 145 TILEPROP CLBLL_R_X19Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y4 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y4 NAME CLBLL_R_X19Y4 TILEPROP CLBLL_R_X19Y4 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y4 NUM_SITES 2 TILEPROP CLBLL_R_X19Y4 ROW 151 TILEPROP CLBLL_R_X19Y4 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y4 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y4 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y4 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y4 TILE_X -9348 TILEPROP CLBLL_R_X19Y4 TILE_Y -226872 TILEPROP CLBLL_R_X19Y4 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y5 CLASS tile TILEPROP CLBLL_R_X19Y5 COLUMN 51 TILEPROP CLBLL_R_X19Y5 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y5 FIRST_SITE_ID 15222 TILEPROP CLBLL_R_X19Y5 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y5 GRID_POINT_Y 150 TILEPROP CLBLL_R_X19Y5 INDEX 17301 TILEPROP CLBLL_R_X19Y5 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y5 INT_TILE_Y 144 TILEPROP CLBLL_R_X19Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y5 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y5 NAME CLBLL_R_X19Y5 TILEPROP CLBLL_R_X19Y5 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y5 NUM_SITES 2 TILEPROP CLBLL_R_X19Y5 ROW 150 TILEPROP CLBLL_R_X19Y5 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y5 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y5 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y5 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y5 TILE_X -9348 TILEPROP CLBLL_R_X19Y5 TILE_Y -223672 TILEPROP CLBLL_R_X19Y5 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y6 CLASS tile TILEPROP CLBLL_R_X19Y6 COLUMN 51 TILEPROP CLBLL_R_X19Y6 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y6 FIRST_SITE_ID 15116 TILEPROP CLBLL_R_X19Y6 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y6 GRID_POINT_Y 149 TILEPROP CLBLL_R_X19Y6 INDEX 17186 TILEPROP CLBLL_R_X19Y6 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y6 INT_TILE_Y 143 TILEPROP CLBLL_R_X19Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y6 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y6 NAME CLBLL_R_X19Y6 TILEPROP CLBLL_R_X19Y6 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y6 NUM_SITES 2 TILEPROP CLBLL_R_X19Y6 ROW 149 TILEPROP CLBLL_R_X19Y6 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y6 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y6 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y6 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y6 TILE_X -9348 TILEPROP CLBLL_R_X19Y6 TILE_Y -220472 TILEPROP CLBLL_R_X19Y6 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y7 CLASS tile TILEPROP CLBLL_R_X19Y7 COLUMN 51 TILEPROP CLBLL_R_X19Y7 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y7 FIRST_SITE_ID 15014 TILEPROP CLBLL_R_X19Y7 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y7 GRID_POINT_Y 148 TILEPROP CLBLL_R_X19Y7 INDEX 17071 TILEPROP CLBLL_R_X19Y7 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y7 INT_TILE_Y 142 TILEPROP CLBLL_R_X19Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y7 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y7 NAME CLBLL_R_X19Y7 TILEPROP CLBLL_R_X19Y7 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y7 NUM_SITES 2 TILEPROP CLBLL_R_X19Y7 ROW 148 TILEPROP CLBLL_R_X19Y7 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y7 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y7 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y7 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y7 TILE_X -9348 TILEPROP CLBLL_R_X19Y7 TILE_Y -217272 TILEPROP CLBLL_R_X19Y7 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y8 CLASS tile TILEPROP CLBLL_R_X19Y8 COLUMN 51 TILEPROP CLBLL_R_X19Y8 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y8 FIRST_SITE_ID 14911 TILEPROP CLBLL_R_X19Y8 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y8 GRID_POINT_Y 147 TILEPROP CLBLL_R_X19Y8 INDEX 16956 TILEPROP CLBLL_R_X19Y8 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y8 INT_TILE_Y 141 TILEPROP CLBLL_R_X19Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y8 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y8 NAME CLBLL_R_X19Y8 TILEPROP CLBLL_R_X19Y8 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y8 NUM_SITES 2 TILEPROP CLBLL_R_X19Y8 ROW 147 TILEPROP CLBLL_R_X19Y8 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y8 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y8 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y8 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y8 TILE_X -9348 TILEPROP CLBLL_R_X19Y8 TILE_Y -214072 TILEPROP CLBLL_R_X19Y8 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y9 CLASS tile TILEPROP CLBLL_R_X19Y9 COLUMN 51 TILEPROP CLBLL_R_X19Y9 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y9 FIRST_SITE_ID 14810 TILEPROP CLBLL_R_X19Y9 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y9 GRID_POINT_Y 146 TILEPROP CLBLL_R_X19Y9 INDEX 16841 TILEPROP CLBLL_R_X19Y9 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y9 INT_TILE_Y 140 TILEPROP CLBLL_R_X19Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y9 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y9 NAME CLBLL_R_X19Y9 TILEPROP CLBLL_R_X19Y9 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y9 NUM_SITES 2 TILEPROP CLBLL_R_X19Y9 ROW 146 TILEPROP CLBLL_R_X19Y9 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y9 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y9 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y9 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y9 TILE_X -9348 TILEPROP CLBLL_R_X19Y9 TILE_Y -210872 TILEPROP CLBLL_R_X19Y9 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y10 CLASS tile TILEPROP CLBLL_R_X19Y10 COLUMN 51 TILEPROP CLBLL_R_X19Y10 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y10 FIRST_SITE_ID 14701 TILEPROP CLBLL_R_X19Y10 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y10 GRID_POINT_Y 145 TILEPROP CLBLL_R_X19Y10 INDEX 16726 TILEPROP CLBLL_R_X19Y10 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y10 INT_TILE_Y 139 TILEPROP CLBLL_R_X19Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y10 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y10 NAME CLBLL_R_X19Y10 TILEPROP CLBLL_R_X19Y10 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y10 NUM_SITES 2 TILEPROP CLBLL_R_X19Y10 ROW 145 TILEPROP CLBLL_R_X19Y10 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y10 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y10 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y10 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y10 TILE_X -9348 TILEPROP CLBLL_R_X19Y10 TILE_Y -207672 TILEPROP CLBLL_R_X19Y10 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y11 CLASS tile TILEPROP CLBLL_R_X19Y11 COLUMN 51 TILEPROP CLBLL_R_X19Y11 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y11 FIRST_SITE_ID 14595 TILEPROP CLBLL_R_X19Y11 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y11 GRID_POINT_Y 144 TILEPROP CLBLL_R_X19Y11 INDEX 16611 TILEPROP CLBLL_R_X19Y11 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y11 INT_TILE_Y 138 TILEPROP CLBLL_R_X19Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y11 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y11 NAME CLBLL_R_X19Y11 TILEPROP CLBLL_R_X19Y11 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y11 NUM_SITES 2 TILEPROP CLBLL_R_X19Y11 ROW 144 TILEPROP CLBLL_R_X19Y11 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y11 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y11 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y11 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y11 TILE_X -9348 TILEPROP CLBLL_R_X19Y11 TILE_Y -204472 TILEPROP CLBLL_R_X19Y11 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y12 CLASS tile TILEPROP CLBLL_R_X19Y12 COLUMN 51 TILEPROP CLBLL_R_X19Y12 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y12 FIRST_SITE_ID 14463 TILEPROP CLBLL_R_X19Y12 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y12 GRID_POINT_Y 143 TILEPROP CLBLL_R_X19Y12 INDEX 16496 TILEPROP CLBLL_R_X19Y12 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y12 INT_TILE_Y 137 TILEPROP CLBLL_R_X19Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y12 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y12 NAME CLBLL_R_X19Y12 TILEPROP CLBLL_R_X19Y12 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y12 NUM_SITES 2 TILEPROP CLBLL_R_X19Y12 ROW 143 TILEPROP CLBLL_R_X19Y12 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y12 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y12 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y12 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y12 TILE_X -9348 TILEPROP CLBLL_R_X19Y12 TILE_Y -201272 TILEPROP CLBLL_R_X19Y12 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y13 CLASS tile TILEPROP CLBLL_R_X19Y13 COLUMN 51 TILEPROP CLBLL_R_X19Y13 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y13 FIRST_SITE_ID 14363 TILEPROP CLBLL_R_X19Y13 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y13 GRID_POINT_Y 142 TILEPROP CLBLL_R_X19Y13 INDEX 16381 TILEPROP CLBLL_R_X19Y13 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y13 INT_TILE_Y 136 TILEPROP CLBLL_R_X19Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y13 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y13 NAME CLBLL_R_X19Y13 TILEPROP CLBLL_R_X19Y13 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y13 NUM_SITES 2 TILEPROP CLBLL_R_X19Y13 ROW 142 TILEPROP CLBLL_R_X19Y13 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y13 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y13 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y13 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y13 TILE_X -9348 TILEPROP CLBLL_R_X19Y13 TILE_Y -198072 TILEPROP CLBLL_R_X19Y13 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y14 CLASS tile TILEPROP CLBLL_R_X19Y14 COLUMN 51 TILEPROP CLBLL_R_X19Y14 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y14 FIRST_SITE_ID 14263 TILEPROP CLBLL_R_X19Y14 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y14 GRID_POINT_Y 141 TILEPROP CLBLL_R_X19Y14 INDEX 16266 TILEPROP CLBLL_R_X19Y14 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y14 INT_TILE_Y 135 TILEPROP CLBLL_R_X19Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y14 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y14 NAME CLBLL_R_X19Y14 TILEPROP CLBLL_R_X19Y14 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y14 NUM_SITES 2 TILEPROP CLBLL_R_X19Y14 ROW 141 TILEPROP CLBLL_R_X19Y14 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y14 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y14 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y14 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y14 TILE_X -9348 TILEPROP CLBLL_R_X19Y14 TILE_Y -194872 TILEPROP CLBLL_R_X19Y14 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y15 CLASS tile TILEPROP CLBLL_R_X19Y15 COLUMN 51 TILEPROP CLBLL_R_X19Y15 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y15 FIRST_SITE_ID 14154 TILEPROP CLBLL_R_X19Y15 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y15 GRID_POINT_Y 140 TILEPROP CLBLL_R_X19Y15 INDEX 16151 TILEPROP CLBLL_R_X19Y15 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y15 INT_TILE_Y 134 TILEPROP CLBLL_R_X19Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y15 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y15 NAME CLBLL_R_X19Y15 TILEPROP CLBLL_R_X19Y15 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y15 NUM_SITES 2 TILEPROP CLBLL_R_X19Y15 ROW 140 TILEPROP CLBLL_R_X19Y15 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y15 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y15 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y15 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y15 TILE_X -9348 TILEPROP CLBLL_R_X19Y15 TILE_Y -191672 TILEPROP CLBLL_R_X19Y15 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y16 CLASS tile TILEPROP CLBLL_R_X19Y16 COLUMN 51 TILEPROP CLBLL_R_X19Y16 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y16 FIRST_SITE_ID 14048 TILEPROP CLBLL_R_X19Y16 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y16 GRID_POINT_Y 139 TILEPROP CLBLL_R_X19Y16 INDEX 16036 TILEPROP CLBLL_R_X19Y16 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y16 INT_TILE_Y 133 TILEPROP CLBLL_R_X19Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y16 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y16 NAME CLBLL_R_X19Y16 TILEPROP CLBLL_R_X19Y16 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y16 NUM_SITES 2 TILEPROP CLBLL_R_X19Y16 ROW 139 TILEPROP CLBLL_R_X19Y16 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y16 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y16 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y16 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y16 TILE_X -9348 TILEPROP CLBLL_R_X19Y16 TILE_Y -188472 TILEPROP CLBLL_R_X19Y16 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y17 CLASS tile TILEPROP CLBLL_R_X19Y17 COLUMN 51 TILEPROP CLBLL_R_X19Y17 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y17 FIRST_SITE_ID 13943 TILEPROP CLBLL_R_X19Y17 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y17 GRID_POINT_Y 138 TILEPROP CLBLL_R_X19Y17 INDEX 15921 TILEPROP CLBLL_R_X19Y17 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y17 INT_TILE_Y 132 TILEPROP CLBLL_R_X19Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y17 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y17 NAME CLBLL_R_X19Y17 TILEPROP CLBLL_R_X19Y17 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y17 NUM_SITES 2 TILEPROP CLBLL_R_X19Y17 ROW 138 TILEPROP CLBLL_R_X19Y17 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y17 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y17 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y17 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y17 TILE_X -9348 TILEPROP CLBLL_R_X19Y17 TILE_Y -185272 TILEPROP CLBLL_R_X19Y17 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y18 CLASS tile TILEPROP CLBLL_R_X19Y18 COLUMN 51 TILEPROP CLBLL_R_X19Y18 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y18 FIRST_SITE_ID 13839 TILEPROP CLBLL_R_X19Y18 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y18 GRID_POINT_Y 137 TILEPROP CLBLL_R_X19Y18 INDEX 15806 TILEPROP CLBLL_R_X19Y18 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y18 INT_TILE_Y 131 TILEPROP CLBLL_R_X19Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y18 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y18 NAME CLBLL_R_X19Y18 TILEPROP CLBLL_R_X19Y18 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y18 NUM_SITES 2 TILEPROP CLBLL_R_X19Y18 ROW 137 TILEPROP CLBLL_R_X19Y18 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y18 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y18 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y18 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y18 TILE_X -9348 TILEPROP CLBLL_R_X19Y18 TILE_Y -182072 TILEPROP CLBLL_R_X19Y18 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y19 CLASS tile TILEPROP CLBLL_R_X19Y19 COLUMN 51 TILEPROP CLBLL_R_X19Y19 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y19 FIRST_SITE_ID 13737 TILEPROP CLBLL_R_X19Y19 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y19 GRID_POINT_Y 136 TILEPROP CLBLL_R_X19Y19 INDEX 15691 TILEPROP CLBLL_R_X19Y19 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y19 INT_TILE_Y 130 TILEPROP CLBLL_R_X19Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y19 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y19 NAME CLBLL_R_X19Y19 TILEPROP CLBLL_R_X19Y19 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y19 NUM_SITES 2 TILEPROP CLBLL_R_X19Y19 ROW 136 TILEPROP CLBLL_R_X19Y19 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y19 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y19 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y19 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y19 TILE_X -9348 TILEPROP CLBLL_R_X19Y19 TILE_Y -178872 TILEPROP CLBLL_R_X19Y19 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y20 CLASS tile TILEPROP CLBLL_R_X19Y20 COLUMN 51 TILEPROP CLBLL_R_X19Y20 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y20 FIRST_SITE_ID 13626 TILEPROP CLBLL_R_X19Y20 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y20 GRID_POINT_Y 135 TILEPROP CLBLL_R_X19Y20 INDEX 15576 TILEPROP CLBLL_R_X19Y20 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y20 INT_TILE_Y 129 TILEPROP CLBLL_R_X19Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y20 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y20 NAME CLBLL_R_X19Y20 TILEPROP CLBLL_R_X19Y20 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y20 NUM_SITES 2 TILEPROP CLBLL_R_X19Y20 ROW 135 TILEPROP CLBLL_R_X19Y20 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y20 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y20 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y20 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y20 TILE_X -9348 TILEPROP CLBLL_R_X19Y20 TILE_Y -175672 TILEPROP CLBLL_R_X19Y20 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y21 CLASS tile TILEPROP CLBLL_R_X19Y21 COLUMN 51 TILEPROP CLBLL_R_X19Y21 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y21 FIRST_SITE_ID 13520 TILEPROP CLBLL_R_X19Y21 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y21 GRID_POINT_Y 134 TILEPROP CLBLL_R_X19Y21 INDEX 15461 TILEPROP CLBLL_R_X19Y21 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y21 INT_TILE_Y 128 TILEPROP CLBLL_R_X19Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y21 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y21 NAME CLBLL_R_X19Y21 TILEPROP CLBLL_R_X19Y21 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y21 NUM_SITES 2 TILEPROP CLBLL_R_X19Y21 ROW 134 TILEPROP CLBLL_R_X19Y21 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y21 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y21 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y21 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y21 TILE_X -9348 TILEPROP CLBLL_R_X19Y21 TILE_Y -172472 TILEPROP CLBLL_R_X19Y21 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y22 CLASS tile TILEPROP CLBLL_R_X19Y22 COLUMN 51 TILEPROP CLBLL_R_X19Y22 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y22 FIRST_SITE_ID 13420 TILEPROP CLBLL_R_X19Y22 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y22 GRID_POINT_Y 133 TILEPROP CLBLL_R_X19Y22 INDEX 15346 TILEPROP CLBLL_R_X19Y22 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y22 INT_TILE_Y 127 TILEPROP CLBLL_R_X19Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y22 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y22 NAME CLBLL_R_X19Y22 TILEPROP CLBLL_R_X19Y22 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y22 NUM_SITES 2 TILEPROP CLBLL_R_X19Y22 ROW 133 TILEPROP CLBLL_R_X19Y22 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y22 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y22 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y22 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y22 TILE_X -9348 TILEPROP CLBLL_R_X19Y22 TILE_Y -169272 TILEPROP CLBLL_R_X19Y22 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y23 CLASS tile TILEPROP CLBLL_R_X19Y23 COLUMN 51 TILEPROP CLBLL_R_X19Y23 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y23 FIRST_SITE_ID 13320 TILEPROP CLBLL_R_X19Y23 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y23 GRID_POINT_Y 132 TILEPROP CLBLL_R_X19Y23 INDEX 15231 TILEPROP CLBLL_R_X19Y23 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y23 INT_TILE_Y 126 TILEPROP CLBLL_R_X19Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y23 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y23 NAME CLBLL_R_X19Y23 TILEPROP CLBLL_R_X19Y23 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y23 NUM_SITES 2 TILEPROP CLBLL_R_X19Y23 ROW 132 TILEPROP CLBLL_R_X19Y23 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y23 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y23 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y23 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y23 TILE_X -9348 TILEPROP CLBLL_R_X19Y23 TILE_Y -166072 TILEPROP CLBLL_R_X19Y23 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y24 CLASS tile TILEPROP CLBLL_R_X19Y24 COLUMN 51 TILEPROP CLBLL_R_X19Y24 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y24 FIRST_SITE_ID 13220 TILEPROP CLBLL_R_X19Y24 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y24 GRID_POINT_Y 131 TILEPROP CLBLL_R_X19Y24 INDEX 15116 TILEPROP CLBLL_R_X19Y24 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y24 INT_TILE_Y 125 TILEPROP CLBLL_R_X19Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y24 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y24 NAME CLBLL_R_X19Y24 TILEPROP CLBLL_R_X19Y24 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y24 NUM_SITES 2 TILEPROP CLBLL_R_X19Y24 ROW 131 TILEPROP CLBLL_R_X19Y24 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y24 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X19Y24 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y24 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y24 TILE_X -9348 TILEPROP CLBLL_R_X19Y24 TILE_Y -162872 TILEPROP CLBLL_R_X19Y24 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y25 CLASS tile TILEPROP CLBLL_R_X19Y25 COLUMN 51 TILEPROP CLBLL_R_X19Y25 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y25 FIRST_SITE_ID 13028 TILEPROP CLBLL_R_X19Y25 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y25 GRID_POINT_Y 129 TILEPROP CLBLL_R_X19Y25 INDEX 14886 TILEPROP CLBLL_R_X19Y25 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y25 INT_TILE_Y 124 TILEPROP CLBLL_R_X19Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y25 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y25 NAME CLBLL_R_X19Y25 TILEPROP CLBLL_R_X19Y25 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y25 NUM_SITES 2 TILEPROP CLBLL_R_X19Y25 ROW 129 TILEPROP CLBLL_R_X19Y25 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y25 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X19Y25 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y25 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y25 TILE_X -9348 TILEPROP CLBLL_R_X19Y25 TILE_Y -158648 TILEPROP CLBLL_R_X19Y25 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y26 CLASS tile TILEPROP CLBLL_R_X19Y26 COLUMN 51 TILEPROP CLBLL_R_X19Y26 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y26 FIRST_SITE_ID 12922 TILEPROP CLBLL_R_X19Y26 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y26 GRID_POINT_Y 128 TILEPROP CLBLL_R_X19Y26 INDEX 14771 TILEPROP CLBLL_R_X19Y26 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y26 INT_TILE_Y 123 TILEPROP CLBLL_R_X19Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y26 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y26 NAME CLBLL_R_X19Y26 TILEPROP CLBLL_R_X19Y26 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y26 NUM_SITES 2 TILEPROP CLBLL_R_X19Y26 ROW 128 TILEPROP CLBLL_R_X19Y26 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y26 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y26 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y26 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y26 TILE_X -9348 TILEPROP CLBLL_R_X19Y26 TILE_Y -155448 TILEPROP CLBLL_R_X19Y26 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y27 CLASS tile TILEPROP CLBLL_R_X19Y27 COLUMN 51 TILEPROP CLBLL_R_X19Y27 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y27 FIRST_SITE_ID 12822 TILEPROP CLBLL_R_X19Y27 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y27 GRID_POINT_Y 127 TILEPROP CLBLL_R_X19Y27 INDEX 14656 TILEPROP CLBLL_R_X19Y27 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y27 INT_TILE_Y 122 TILEPROP CLBLL_R_X19Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y27 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y27 NAME CLBLL_R_X19Y27 TILEPROP CLBLL_R_X19Y27 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y27 NUM_SITES 2 TILEPROP CLBLL_R_X19Y27 ROW 127 TILEPROP CLBLL_R_X19Y27 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y27 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y27 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y27 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y27 TILE_X -9348 TILEPROP CLBLL_R_X19Y27 TILE_Y -152248 TILEPROP CLBLL_R_X19Y27 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y28 CLASS tile TILEPROP CLBLL_R_X19Y28 COLUMN 51 TILEPROP CLBLL_R_X19Y28 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y28 FIRST_SITE_ID 12722 TILEPROP CLBLL_R_X19Y28 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y28 GRID_POINT_Y 126 TILEPROP CLBLL_R_X19Y28 INDEX 14541 TILEPROP CLBLL_R_X19Y28 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y28 INT_TILE_Y 121 TILEPROP CLBLL_R_X19Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y28 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y28 NAME CLBLL_R_X19Y28 TILEPROP CLBLL_R_X19Y28 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y28 NUM_SITES 2 TILEPROP CLBLL_R_X19Y28 ROW 126 TILEPROP CLBLL_R_X19Y28 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y28 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y28 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y28 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y28 TILE_X -9348 TILEPROP CLBLL_R_X19Y28 TILE_Y -149048 TILEPROP CLBLL_R_X19Y28 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y29 CLASS tile TILEPROP CLBLL_R_X19Y29 COLUMN 51 TILEPROP CLBLL_R_X19Y29 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y29 FIRST_SITE_ID 12616 TILEPROP CLBLL_R_X19Y29 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y29 GRID_POINT_Y 125 TILEPROP CLBLL_R_X19Y29 INDEX 14426 TILEPROP CLBLL_R_X19Y29 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y29 INT_TILE_Y 120 TILEPROP CLBLL_R_X19Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y29 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y29 NAME CLBLL_R_X19Y29 TILEPROP CLBLL_R_X19Y29 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y29 NUM_SITES 2 TILEPROP CLBLL_R_X19Y29 ROW 125 TILEPROP CLBLL_R_X19Y29 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y29 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y29 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y29 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y29 TILE_X -9348 TILEPROP CLBLL_R_X19Y29 TILE_Y -145848 TILEPROP CLBLL_R_X19Y29 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y30 CLASS tile TILEPROP CLBLL_R_X19Y30 COLUMN 51 TILEPROP CLBLL_R_X19Y30 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y30 FIRST_SITE_ID 12501 TILEPROP CLBLL_R_X19Y30 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y30 GRID_POINT_Y 124 TILEPROP CLBLL_R_X19Y30 INDEX 14311 TILEPROP CLBLL_R_X19Y30 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y30 INT_TILE_Y 119 TILEPROP CLBLL_R_X19Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y30 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y30 NAME CLBLL_R_X19Y30 TILEPROP CLBLL_R_X19Y30 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y30 NUM_SITES 2 TILEPROP CLBLL_R_X19Y30 ROW 124 TILEPROP CLBLL_R_X19Y30 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y30 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y30 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y30 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y30 TILE_X -9348 TILEPROP CLBLL_R_X19Y30 TILE_Y -142648 TILEPROP CLBLL_R_X19Y30 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y31 CLASS tile TILEPROP CLBLL_R_X19Y31 COLUMN 51 TILEPROP CLBLL_R_X19Y31 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y31 FIRST_SITE_ID 12380 TILEPROP CLBLL_R_X19Y31 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y31 GRID_POINT_Y 123 TILEPROP CLBLL_R_X19Y31 INDEX 14196 TILEPROP CLBLL_R_X19Y31 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y31 INT_TILE_Y 118 TILEPROP CLBLL_R_X19Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y31 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y31 NAME CLBLL_R_X19Y31 TILEPROP CLBLL_R_X19Y31 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y31 NUM_SITES 2 TILEPROP CLBLL_R_X19Y31 ROW 123 TILEPROP CLBLL_R_X19Y31 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y31 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y31 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y31 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y31 TILE_X -9348 TILEPROP CLBLL_R_X19Y31 TILE_Y -139448 TILEPROP CLBLL_R_X19Y31 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y32 CLASS tile TILEPROP CLBLL_R_X19Y32 COLUMN 51 TILEPROP CLBLL_R_X19Y32 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y32 FIRST_SITE_ID 12277 TILEPROP CLBLL_R_X19Y32 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y32 GRID_POINT_Y 122 TILEPROP CLBLL_R_X19Y32 INDEX 14081 TILEPROP CLBLL_R_X19Y32 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y32 INT_TILE_Y 117 TILEPROP CLBLL_R_X19Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y32 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y32 NAME CLBLL_R_X19Y32 TILEPROP CLBLL_R_X19Y32 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y32 NUM_SITES 2 TILEPROP CLBLL_R_X19Y32 ROW 122 TILEPROP CLBLL_R_X19Y32 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y32 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y32 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y32 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y32 TILE_X -9348 TILEPROP CLBLL_R_X19Y32 TILE_Y -136248 TILEPROP CLBLL_R_X19Y32 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y33 CLASS tile TILEPROP CLBLL_R_X19Y33 COLUMN 51 TILEPROP CLBLL_R_X19Y33 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y33 FIRST_SITE_ID 12177 TILEPROP CLBLL_R_X19Y33 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y33 GRID_POINT_Y 121 TILEPROP CLBLL_R_X19Y33 INDEX 13966 TILEPROP CLBLL_R_X19Y33 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y33 INT_TILE_Y 116 TILEPROP CLBLL_R_X19Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y33 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y33 NAME CLBLL_R_X19Y33 TILEPROP CLBLL_R_X19Y33 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y33 NUM_SITES 2 TILEPROP CLBLL_R_X19Y33 ROW 121 TILEPROP CLBLL_R_X19Y33 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y33 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y33 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y33 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y33 TILE_X -9348 TILEPROP CLBLL_R_X19Y33 TILE_Y -133048 TILEPROP CLBLL_R_X19Y33 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y34 CLASS tile TILEPROP CLBLL_R_X19Y34 COLUMN 51 TILEPROP CLBLL_R_X19Y34 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y34 FIRST_SITE_ID 12077 TILEPROP CLBLL_R_X19Y34 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y34 GRID_POINT_Y 120 TILEPROP CLBLL_R_X19Y34 INDEX 13851 TILEPROP CLBLL_R_X19Y34 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y34 INT_TILE_Y 115 TILEPROP CLBLL_R_X19Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y34 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y34 NAME CLBLL_R_X19Y34 TILEPROP CLBLL_R_X19Y34 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y34 NUM_SITES 2 TILEPROP CLBLL_R_X19Y34 ROW 120 TILEPROP CLBLL_R_X19Y34 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y34 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y34 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y34 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y34 TILE_X -9348 TILEPROP CLBLL_R_X19Y34 TILE_Y -129848 TILEPROP CLBLL_R_X19Y34 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y35 CLASS tile TILEPROP CLBLL_R_X19Y35 COLUMN 51 TILEPROP CLBLL_R_X19Y35 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y35 FIRST_SITE_ID 11968 TILEPROP CLBLL_R_X19Y35 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y35 GRID_POINT_Y 119 TILEPROP CLBLL_R_X19Y35 INDEX 13736 TILEPROP CLBLL_R_X19Y35 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y35 INT_TILE_Y 114 TILEPROP CLBLL_R_X19Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y35 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y35 NAME CLBLL_R_X19Y35 TILEPROP CLBLL_R_X19Y35 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y35 NUM_SITES 2 TILEPROP CLBLL_R_X19Y35 ROW 119 TILEPROP CLBLL_R_X19Y35 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y35 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y35 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y35 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y35 TILE_X -9348 TILEPROP CLBLL_R_X19Y35 TILE_Y -126648 TILEPROP CLBLL_R_X19Y35 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y36 CLASS tile TILEPROP CLBLL_R_X19Y36 COLUMN 51 TILEPROP CLBLL_R_X19Y36 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y36 FIRST_SITE_ID 11830 TILEPROP CLBLL_R_X19Y36 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y36 GRID_POINT_Y 118 TILEPROP CLBLL_R_X19Y36 INDEX 13621 TILEPROP CLBLL_R_X19Y36 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y36 INT_TILE_Y 113 TILEPROP CLBLL_R_X19Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y36 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y36 NAME CLBLL_R_X19Y36 TILEPROP CLBLL_R_X19Y36 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y36 NUM_SITES 2 TILEPROP CLBLL_R_X19Y36 ROW 118 TILEPROP CLBLL_R_X19Y36 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y36 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y36 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y36 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y36 TILE_X -9348 TILEPROP CLBLL_R_X19Y36 TILE_Y -123448 TILEPROP CLBLL_R_X19Y36 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y37 CLASS tile TILEPROP CLBLL_R_X19Y37 COLUMN 51 TILEPROP CLBLL_R_X19Y37 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y37 FIRST_SITE_ID 11730 TILEPROP CLBLL_R_X19Y37 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y37 GRID_POINT_Y 117 TILEPROP CLBLL_R_X19Y37 INDEX 13506 TILEPROP CLBLL_R_X19Y37 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y37 INT_TILE_Y 112 TILEPROP CLBLL_R_X19Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y37 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y37 NAME CLBLL_R_X19Y37 TILEPROP CLBLL_R_X19Y37 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y37 NUM_SITES 2 TILEPROP CLBLL_R_X19Y37 ROW 117 TILEPROP CLBLL_R_X19Y37 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y37 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y37 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y37 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y37 TILE_X -9348 TILEPROP CLBLL_R_X19Y37 TILE_Y -120248 TILEPROP CLBLL_R_X19Y37 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y38 CLASS tile TILEPROP CLBLL_R_X19Y38 COLUMN 51 TILEPROP CLBLL_R_X19Y38 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y38 FIRST_SITE_ID 11630 TILEPROP CLBLL_R_X19Y38 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y38 GRID_POINT_Y 116 TILEPROP CLBLL_R_X19Y38 INDEX 13391 TILEPROP CLBLL_R_X19Y38 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y38 INT_TILE_Y 111 TILEPROP CLBLL_R_X19Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y38 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y38 NAME CLBLL_R_X19Y38 TILEPROP CLBLL_R_X19Y38 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y38 NUM_SITES 2 TILEPROP CLBLL_R_X19Y38 ROW 116 TILEPROP CLBLL_R_X19Y38 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y38 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y38 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y38 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y38 TILE_X -9348 TILEPROP CLBLL_R_X19Y38 TILE_Y -117048 TILEPROP CLBLL_R_X19Y38 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y39 CLASS tile TILEPROP CLBLL_R_X19Y39 COLUMN 51 TILEPROP CLBLL_R_X19Y39 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y39 FIRST_SITE_ID 11530 TILEPROP CLBLL_R_X19Y39 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y39 GRID_POINT_Y 115 TILEPROP CLBLL_R_X19Y39 INDEX 13276 TILEPROP CLBLL_R_X19Y39 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y39 INT_TILE_Y 110 TILEPROP CLBLL_R_X19Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y39 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y39 NAME CLBLL_R_X19Y39 TILEPROP CLBLL_R_X19Y39 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y39 NUM_SITES 2 TILEPROP CLBLL_R_X19Y39 ROW 115 TILEPROP CLBLL_R_X19Y39 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y39 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y39 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y39 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y39 TILE_X -9348 TILEPROP CLBLL_R_X19Y39 TILE_Y -113848 TILEPROP CLBLL_R_X19Y39 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y40 CLASS tile TILEPROP CLBLL_R_X19Y40 COLUMN 51 TILEPROP CLBLL_R_X19Y40 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y40 FIRST_SITE_ID 11421 TILEPROP CLBLL_R_X19Y40 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y40 GRID_POINT_Y 114 TILEPROP CLBLL_R_X19Y40 INDEX 13161 TILEPROP CLBLL_R_X19Y40 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y40 INT_TILE_Y 109 TILEPROP CLBLL_R_X19Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y40 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y40 NAME CLBLL_R_X19Y40 TILEPROP CLBLL_R_X19Y40 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y40 NUM_SITES 2 TILEPROP CLBLL_R_X19Y40 ROW 114 TILEPROP CLBLL_R_X19Y40 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y40 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y40 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y40 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y40 TILE_X -9348 TILEPROP CLBLL_R_X19Y40 TILE_Y -110648 TILEPROP CLBLL_R_X19Y40 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y41 CLASS tile TILEPROP CLBLL_R_X19Y41 COLUMN 51 TILEPROP CLBLL_R_X19Y41 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y41 FIRST_SITE_ID 11312 TILEPROP CLBLL_R_X19Y41 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y41 GRID_POINT_Y 113 TILEPROP CLBLL_R_X19Y41 INDEX 13046 TILEPROP CLBLL_R_X19Y41 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y41 INT_TILE_Y 108 TILEPROP CLBLL_R_X19Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y41 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y41 NAME CLBLL_R_X19Y41 TILEPROP CLBLL_R_X19Y41 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y41 NUM_SITES 2 TILEPROP CLBLL_R_X19Y41 ROW 113 TILEPROP CLBLL_R_X19Y41 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y41 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y41 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y41 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y41 TILE_X -9348 TILEPROP CLBLL_R_X19Y41 TILE_Y -107448 TILEPROP CLBLL_R_X19Y41 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y42 CLASS tile TILEPROP CLBLL_R_X19Y42 COLUMN 51 TILEPROP CLBLL_R_X19Y42 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y42 FIRST_SITE_ID 11211 TILEPROP CLBLL_R_X19Y42 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y42 GRID_POINT_Y 112 TILEPROP CLBLL_R_X19Y42 INDEX 12931 TILEPROP CLBLL_R_X19Y42 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y42 INT_TILE_Y 107 TILEPROP CLBLL_R_X19Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y42 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y42 NAME CLBLL_R_X19Y42 TILEPROP CLBLL_R_X19Y42 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y42 NUM_SITES 2 TILEPROP CLBLL_R_X19Y42 ROW 112 TILEPROP CLBLL_R_X19Y42 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y42 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y42 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y42 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y42 TILE_X -9348 TILEPROP CLBLL_R_X19Y42 TILE_Y -104248 TILEPROP CLBLL_R_X19Y42 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y43 CLASS tile TILEPROP CLBLL_R_X19Y43 COLUMN 51 TILEPROP CLBLL_R_X19Y43 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y43 FIRST_SITE_ID 11108 TILEPROP CLBLL_R_X19Y43 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y43 GRID_POINT_Y 111 TILEPROP CLBLL_R_X19Y43 INDEX 12816 TILEPROP CLBLL_R_X19Y43 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y43 INT_TILE_Y 106 TILEPROP CLBLL_R_X19Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y43 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y43 NAME CLBLL_R_X19Y43 TILEPROP CLBLL_R_X19Y43 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y43 NUM_SITES 2 TILEPROP CLBLL_R_X19Y43 ROW 111 TILEPROP CLBLL_R_X19Y43 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y43 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y43 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y43 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y43 TILE_X -9348 TILEPROP CLBLL_R_X19Y43 TILE_Y -101048 TILEPROP CLBLL_R_X19Y43 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y44 CLASS tile TILEPROP CLBLL_R_X19Y44 COLUMN 51 TILEPROP CLBLL_R_X19Y44 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y44 FIRST_SITE_ID 11006 TILEPROP CLBLL_R_X19Y44 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y44 GRID_POINT_Y 110 TILEPROP CLBLL_R_X19Y44 INDEX 12701 TILEPROP CLBLL_R_X19Y44 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y44 INT_TILE_Y 105 TILEPROP CLBLL_R_X19Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y44 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y44 NAME CLBLL_R_X19Y44 TILEPROP CLBLL_R_X19Y44 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y44 NUM_SITES 2 TILEPROP CLBLL_R_X19Y44 ROW 110 TILEPROP CLBLL_R_X19Y44 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y44 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y44 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y44 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y44 TILE_X -9348 TILEPROP CLBLL_R_X19Y44 TILE_Y -97848 TILEPROP CLBLL_R_X19Y44 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y45 CLASS tile TILEPROP CLBLL_R_X19Y45 COLUMN 51 TILEPROP CLBLL_R_X19Y45 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y45 FIRST_SITE_ID 10896 TILEPROP CLBLL_R_X19Y45 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y45 GRID_POINT_Y 109 TILEPROP CLBLL_R_X19Y45 INDEX 12586 TILEPROP CLBLL_R_X19Y45 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y45 INT_TILE_Y 104 TILEPROP CLBLL_R_X19Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y45 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y45 NAME CLBLL_R_X19Y45 TILEPROP CLBLL_R_X19Y45 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y45 NUM_SITES 2 TILEPROP CLBLL_R_X19Y45 ROW 109 TILEPROP CLBLL_R_X19Y45 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y45 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y45 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y45 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y45 TILE_X -9348 TILEPROP CLBLL_R_X19Y45 TILE_Y -94648 TILEPROP CLBLL_R_X19Y45 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y46 CLASS tile TILEPROP CLBLL_R_X19Y46 COLUMN 51 TILEPROP CLBLL_R_X19Y46 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y46 FIRST_SITE_ID 10774 TILEPROP CLBLL_R_X19Y46 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y46 GRID_POINT_Y 108 TILEPROP CLBLL_R_X19Y46 INDEX 12471 TILEPROP CLBLL_R_X19Y46 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y46 INT_TILE_Y 103 TILEPROP CLBLL_R_X19Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y46 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y46 NAME CLBLL_R_X19Y46 TILEPROP CLBLL_R_X19Y46 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y46 NUM_SITES 2 TILEPROP CLBLL_R_X19Y46 ROW 108 TILEPROP CLBLL_R_X19Y46 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y46 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y46 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y46 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y46 TILE_X -9348 TILEPROP CLBLL_R_X19Y46 TILE_Y -91448 TILEPROP CLBLL_R_X19Y46 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y47 CLASS tile TILEPROP CLBLL_R_X19Y47 COLUMN 51 TILEPROP CLBLL_R_X19Y47 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y47 FIRST_SITE_ID 10674 TILEPROP CLBLL_R_X19Y47 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y47 GRID_POINT_Y 107 TILEPROP CLBLL_R_X19Y47 INDEX 12356 TILEPROP CLBLL_R_X19Y47 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y47 INT_TILE_Y 102 TILEPROP CLBLL_R_X19Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y47 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y47 NAME CLBLL_R_X19Y47 TILEPROP CLBLL_R_X19Y47 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y47 NUM_SITES 2 TILEPROP CLBLL_R_X19Y47 ROW 107 TILEPROP CLBLL_R_X19Y47 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y47 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y47 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y47 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y47 TILE_X -9348 TILEPROP CLBLL_R_X19Y47 TILE_Y -88248 TILEPROP CLBLL_R_X19Y47 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y48 CLASS tile TILEPROP CLBLL_R_X19Y48 COLUMN 51 TILEPROP CLBLL_R_X19Y48 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y48 FIRST_SITE_ID 10574 TILEPROP CLBLL_R_X19Y48 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y48 GRID_POINT_Y 106 TILEPROP CLBLL_R_X19Y48 INDEX 12241 TILEPROP CLBLL_R_X19Y48 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y48 INT_TILE_Y 101 TILEPROP CLBLL_R_X19Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y48 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y48 NAME CLBLL_R_X19Y48 TILEPROP CLBLL_R_X19Y48 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y48 NUM_SITES 2 TILEPROP CLBLL_R_X19Y48 ROW 106 TILEPROP CLBLL_R_X19Y48 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y48 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y48 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y48 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y48 TILE_X -9348 TILEPROP CLBLL_R_X19Y48 TILE_Y -85048 TILEPROP CLBLL_R_X19Y48 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y49 CLASS tile TILEPROP CLBLL_R_X19Y49 COLUMN 51 TILEPROP CLBLL_R_X19Y49 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y49 FIRST_SITE_ID 10478 TILEPROP CLBLL_R_X19Y49 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y49 GRID_POINT_Y 105 TILEPROP CLBLL_R_X19Y49 INDEX 12126 TILEPROP CLBLL_R_X19Y49 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y49 INT_TILE_Y 100 TILEPROP CLBLL_R_X19Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y49 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y49 NAME CLBLL_R_X19Y49 TILEPROP CLBLL_R_X19Y49 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y49 NUM_SITES 2 TILEPROP CLBLL_R_X19Y49 ROW 105 TILEPROP CLBLL_R_X19Y49 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y49 TILE_PATTERN_IDX 1669 TILEPROP CLBLL_R_X19Y49 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y49 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y49 TILE_X -9348 TILEPROP CLBLL_R_X19Y49 TILE_Y -81848 TILEPROP CLBLL_R_X19Y49 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y50 CLASS tile TILEPROP CLBLL_R_X19Y50 COLUMN 51 TILEPROP CLBLL_R_X19Y50 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y50 FIRST_SITE_ID 10353 TILEPROP CLBLL_R_X19Y50 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y50 GRID_POINT_Y 103 TILEPROP CLBLL_R_X19Y50 INDEX 11896 TILEPROP CLBLL_R_X19Y50 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y50 INT_TILE_Y 99 TILEPROP CLBLL_R_X19Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y50 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y50 NAME CLBLL_R_X19Y50 TILEPROP CLBLL_R_X19Y50 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y50 NUM_SITES 2 TILEPROP CLBLL_R_X19Y50 ROW 103 TILEPROP CLBLL_R_X19Y50 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y50 TILE_PATTERN_IDX 1625 TILEPROP CLBLL_R_X19Y50 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y50 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y50 TILE_X -9348 TILEPROP CLBLL_R_X19Y50 TILE_Y -78400 TILEPROP CLBLL_R_X19Y50 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y51 CLASS tile TILEPROP CLBLL_R_X19Y51 COLUMN 51 TILEPROP CLBLL_R_X19Y51 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y51 FIRST_SITE_ID 10243 TILEPROP CLBLL_R_X19Y51 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y51 GRID_POINT_Y 102 TILEPROP CLBLL_R_X19Y51 INDEX 11781 TILEPROP CLBLL_R_X19Y51 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y51 INT_TILE_Y 98 TILEPROP CLBLL_R_X19Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y51 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y51 NAME CLBLL_R_X19Y51 TILEPROP CLBLL_R_X19Y51 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y51 NUM_SITES 2 TILEPROP CLBLL_R_X19Y51 ROW 102 TILEPROP CLBLL_R_X19Y51 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y51 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y51 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y51 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y51 TILE_X -9348 TILEPROP CLBLL_R_X19Y51 TILE_Y -75200 TILEPROP CLBLL_R_X19Y51 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y52 CLASS tile TILEPROP CLBLL_R_X19Y52 COLUMN 51 TILEPROP CLBLL_R_X19Y52 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y52 FIRST_SITE_ID 10143 TILEPROP CLBLL_R_X19Y52 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y52 GRID_POINT_Y 101 TILEPROP CLBLL_R_X19Y52 INDEX 11666 TILEPROP CLBLL_R_X19Y52 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y52 INT_TILE_Y 97 TILEPROP CLBLL_R_X19Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y52 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y52 NAME CLBLL_R_X19Y52 TILEPROP CLBLL_R_X19Y52 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y52 NUM_SITES 2 TILEPROP CLBLL_R_X19Y52 ROW 101 TILEPROP CLBLL_R_X19Y52 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y52 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y52 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y52 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y52 TILE_X -9348 TILEPROP CLBLL_R_X19Y52 TILE_Y -72000 TILEPROP CLBLL_R_X19Y52 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y53 CLASS tile TILEPROP CLBLL_R_X19Y53 COLUMN 51 TILEPROP CLBLL_R_X19Y53 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y53 FIRST_SITE_ID 10043 TILEPROP CLBLL_R_X19Y53 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y53 GRID_POINT_Y 100 TILEPROP CLBLL_R_X19Y53 INDEX 11551 TILEPROP CLBLL_R_X19Y53 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y53 INT_TILE_Y 96 TILEPROP CLBLL_R_X19Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y53 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y53 NAME CLBLL_R_X19Y53 TILEPROP CLBLL_R_X19Y53 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y53 NUM_SITES 2 TILEPROP CLBLL_R_X19Y53 ROW 100 TILEPROP CLBLL_R_X19Y53 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y53 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y53 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y53 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y53 TILE_X -9348 TILEPROP CLBLL_R_X19Y53 TILE_Y -68800 TILEPROP CLBLL_R_X19Y53 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y54 CLASS tile TILEPROP CLBLL_R_X19Y54 COLUMN 51 TILEPROP CLBLL_R_X19Y54 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y54 FIRST_SITE_ID 9943 TILEPROP CLBLL_R_X19Y54 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y54 GRID_POINT_Y 99 TILEPROP CLBLL_R_X19Y54 INDEX 11436 TILEPROP CLBLL_R_X19Y54 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y54 INT_TILE_Y 95 TILEPROP CLBLL_R_X19Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y54 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y54 NAME CLBLL_R_X19Y54 TILEPROP CLBLL_R_X19Y54 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y54 NUM_SITES 2 TILEPROP CLBLL_R_X19Y54 ROW 99 TILEPROP CLBLL_R_X19Y54 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y54 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y54 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y54 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y54 TILE_X -9348 TILEPROP CLBLL_R_X19Y54 TILE_Y -65600 TILEPROP CLBLL_R_X19Y54 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y55 CLASS tile TILEPROP CLBLL_R_X19Y55 COLUMN 51 TILEPROP CLBLL_R_X19Y55 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y55 FIRST_SITE_ID 9834 TILEPROP CLBLL_R_X19Y55 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y55 GRID_POINT_Y 98 TILEPROP CLBLL_R_X19Y55 INDEX 11321 TILEPROP CLBLL_R_X19Y55 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y55 INT_TILE_Y 94 TILEPROP CLBLL_R_X19Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y55 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y55 NAME CLBLL_R_X19Y55 TILEPROP CLBLL_R_X19Y55 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y55 NUM_SITES 2 TILEPROP CLBLL_R_X19Y55 ROW 98 TILEPROP CLBLL_R_X19Y55 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y55 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y55 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y55 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y55 TILE_X -9348 TILEPROP CLBLL_R_X19Y55 TILE_Y -62400 TILEPROP CLBLL_R_X19Y55 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y56 CLASS tile TILEPROP CLBLL_R_X19Y56 COLUMN 51 TILEPROP CLBLL_R_X19Y56 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y56 FIRST_SITE_ID 9728 TILEPROP CLBLL_R_X19Y56 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y56 GRID_POINT_Y 97 TILEPROP CLBLL_R_X19Y56 INDEX 11206 TILEPROP CLBLL_R_X19Y56 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y56 INT_TILE_Y 93 TILEPROP CLBLL_R_X19Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y56 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y56 NAME CLBLL_R_X19Y56 TILEPROP CLBLL_R_X19Y56 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y56 NUM_SITES 2 TILEPROP CLBLL_R_X19Y56 ROW 97 TILEPROP CLBLL_R_X19Y56 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y56 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y56 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y56 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y56 TILE_X -9348 TILEPROP CLBLL_R_X19Y56 TILE_Y -59200 TILEPROP CLBLL_R_X19Y56 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y57 CLASS tile TILEPROP CLBLL_R_X19Y57 COLUMN 51 TILEPROP CLBLL_R_X19Y57 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y57 FIRST_SITE_ID 9626 TILEPROP CLBLL_R_X19Y57 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y57 GRID_POINT_Y 96 TILEPROP CLBLL_R_X19Y57 INDEX 11091 TILEPROP CLBLL_R_X19Y57 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y57 INT_TILE_Y 92 TILEPROP CLBLL_R_X19Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y57 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y57 NAME CLBLL_R_X19Y57 TILEPROP CLBLL_R_X19Y57 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y57 NUM_SITES 2 TILEPROP CLBLL_R_X19Y57 ROW 96 TILEPROP CLBLL_R_X19Y57 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y57 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y57 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y57 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y57 TILE_X -9348 TILEPROP CLBLL_R_X19Y57 TILE_Y -56000 TILEPROP CLBLL_R_X19Y57 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y58 CLASS tile TILEPROP CLBLL_R_X19Y58 COLUMN 51 TILEPROP CLBLL_R_X19Y58 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y58 FIRST_SITE_ID 9523 TILEPROP CLBLL_R_X19Y58 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y58 GRID_POINT_Y 95 TILEPROP CLBLL_R_X19Y58 INDEX 10976 TILEPROP CLBLL_R_X19Y58 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y58 INT_TILE_Y 91 TILEPROP CLBLL_R_X19Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y58 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y58 NAME CLBLL_R_X19Y58 TILEPROP CLBLL_R_X19Y58 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y58 NUM_SITES 2 TILEPROP CLBLL_R_X19Y58 ROW 95 TILEPROP CLBLL_R_X19Y58 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y58 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y58 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y58 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y58 TILE_X -9348 TILEPROP CLBLL_R_X19Y58 TILE_Y -52800 TILEPROP CLBLL_R_X19Y58 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y59 CLASS tile TILEPROP CLBLL_R_X19Y59 COLUMN 51 TILEPROP CLBLL_R_X19Y59 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y59 FIRST_SITE_ID 9422 TILEPROP CLBLL_R_X19Y59 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y59 GRID_POINT_Y 94 TILEPROP CLBLL_R_X19Y59 INDEX 10861 TILEPROP CLBLL_R_X19Y59 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y59 INT_TILE_Y 90 TILEPROP CLBLL_R_X19Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y59 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y59 NAME CLBLL_R_X19Y59 TILEPROP CLBLL_R_X19Y59 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y59 NUM_SITES 2 TILEPROP CLBLL_R_X19Y59 ROW 94 TILEPROP CLBLL_R_X19Y59 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y59 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y59 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y59 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y59 TILE_X -9348 TILEPROP CLBLL_R_X19Y59 TILE_Y -49600 TILEPROP CLBLL_R_X19Y59 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y60 CLASS tile TILEPROP CLBLL_R_X19Y60 COLUMN 51 TILEPROP CLBLL_R_X19Y60 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y60 FIRST_SITE_ID 9313 TILEPROP CLBLL_R_X19Y60 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y60 GRID_POINT_Y 93 TILEPROP CLBLL_R_X19Y60 INDEX 10746 TILEPROP CLBLL_R_X19Y60 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y60 INT_TILE_Y 89 TILEPROP CLBLL_R_X19Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y60 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y60 NAME CLBLL_R_X19Y60 TILEPROP CLBLL_R_X19Y60 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y60 NUM_SITES 2 TILEPROP CLBLL_R_X19Y60 ROW 93 TILEPROP CLBLL_R_X19Y60 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y60 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y60 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y60 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y60 TILE_X -9348 TILEPROP CLBLL_R_X19Y60 TILE_Y -46400 TILEPROP CLBLL_R_X19Y60 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y61 CLASS tile TILEPROP CLBLL_R_X19Y61 COLUMN 51 TILEPROP CLBLL_R_X19Y61 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y61 FIRST_SITE_ID 9207 TILEPROP CLBLL_R_X19Y61 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y61 GRID_POINT_Y 92 TILEPROP CLBLL_R_X19Y61 INDEX 10631 TILEPROP CLBLL_R_X19Y61 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y61 INT_TILE_Y 88 TILEPROP CLBLL_R_X19Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y61 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y61 NAME CLBLL_R_X19Y61 TILEPROP CLBLL_R_X19Y61 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y61 NUM_SITES 2 TILEPROP CLBLL_R_X19Y61 ROW 92 TILEPROP CLBLL_R_X19Y61 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y61 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y61 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y61 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y61 TILE_X -9348 TILEPROP CLBLL_R_X19Y61 TILE_Y -43200 TILEPROP CLBLL_R_X19Y61 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y62 CLASS tile TILEPROP CLBLL_R_X19Y62 COLUMN 51 TILEPROP CLBLL_R_X19Y62 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y62 FIRST_SITE_ID 9075 TILEPROP CLBLL_R_X19Y62 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y62 GRID_POINT_Y 91 TILEPROP CLBLL_R_X19Y62 INDEX 10516 TILEPROP CLBLL_R_X19Y62 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y62 INT_TILE_Y 87 TILEPROP CLBLL_R_X19Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y62 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y62 NAME CLBLL_R_X19Y62 TILEPROP CLBLL_R_X19Y62 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y62 NUM_SITES 2 TILEPROP CLBLL_R_X19Y62 ROW 91 TILEPROP CLBLL_R_X19Y62 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y62 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y62 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y62 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y62 TILE_X -9348 TILEPROP CLBLL_R_X19Y62 TILE_Y -40000 TILEPROP CLBLL_R_X19Y62 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y63 CLASS tile TILEPROP CLBLL_R_X19Y63 COLUMN 51 TILEPROP CLBLL_R_X19Y63 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y63 FIRST_SITE_ID 8975 TILEPROP CLBLL_R_X19Y63 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y63 GRID_POINT_Y 90 TILEPROP CLBLL_R_X19Y63 INDEX 10401 TILEPROP CLBLL_R_X19Y63 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y63 INT_TILE_Y 86 TILEPROP CLBLL_R_X19Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y63 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y63 NAME CLBLL_R_X19Y63 TILEPROP CLBLL_R_X19Y63 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y63 NUM_SITES 2 TILEPROP CLBLL_R_X19Y63 ROW 90 TILEPROP CLBLL_R_X19Y63 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y63 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y63 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y63 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y63 TILE_X -9348 TILEPROP CLBLL_R_X19Y63 TILE_Y -36800 TILEPROP CLBLL_R_X19Y63 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y64 CLASS tile TILEPROP CLBLL_R_X19Y64 COLUMN 51 TILEPROP CLBLL_R_X19Y64 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y64 FIRST_SITE_ID 8875 TILEPROP CLBLL_R_X19Y64 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y64 GRID_POINT_Y 89 TILEPROP CLBLL_R_X19Y64 INDEX 10286 TILEPROP CLBLL_R_X19Y64 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y64 INT_TILE_Y 85 TILEPROP CLBLL_R_X19Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y64 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y64 NAME CLBLL_R_X19Y64 TILEPROP CLBLL_R_X19Y64 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y64 NUM_SITES 2 TILEPROP CLBLL_R_X19Y64 ROW 89 TILEPROP CLBLL_R_X19Y64 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y64 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y64 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y64 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y64 TILE_X -9348 TILEPROP CLBLL_R_X19Y64 TILE_Y -33600 TILEPROP CLBLL_R_X19Y64 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y65 CLASS tile TILEPROP CLBLL_R_X19Y65 COLUMN 51 TILEPROP CLBLL_R_X19Y65 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y65 FIRST_SITE_ID 8766 TILEPROP CLBLL_R_X19Y65 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y65 GRID_POINT_Y 88 TILEPROP CLBLL_R_X19Y65 INDEX 10171 TILEPROP CLBLL_R_X19Y65 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y65 INT_TILE_Y 84 TILEPROP CLBLL_R_X19Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y65 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y65 NAME CLBLL_R_X19Y65 TILEPROP CLBLL_R_X19Y65 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y65 NUM_SITES 2 TILEPROP CLBLL_R_X19Y65 ROW 88 TILEPROP CLBLL_R_X19Y65 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y65 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y65 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y65 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y65 TILE_X -9348 TILEPROP CLBLL_R_X19Y65 TILE_Y -30400 TILEPROP CLBLL_R_X19Y65 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y66 CLASS tile TILEPROP CLBLL_R_X19Y66 COLUMN 51 TILEPROP CLBLL_R_X19Y66 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y66 FIRST_SITE_ID 8660 TILEPROP CLBLL_R_X19Y66 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y66 GRID_POINT_Y 87 TILEPROP CLBLL_R_X19Y66 INDEX 10056 TILEPROP CLBLL_R_X19Y66 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y66 INT_TILE_Y 83 TILEPROP CLBLL_R_X19Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y66 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y66 NAME CLBLL_R_X19Y66 TILEPROP CLBLL_R_X19Y66 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y66 NUM_SITES 2 TILEPROP CLBLL_R_X19Y66 ROW 87 TILEPROP CLBLL_R_X19Y66 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y66 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y66 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y66 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y66 TILE_X -9348 TILEPROP CLBLL_R_X19Y66 TILE_Y -27200 TILEPROP CLBLL_R_X19Y66 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y67 CLASS tile TILEPROP CLBLL_R_X19Y67 COLUMN 51 TILEPROP CLBLL_R_X19Y67 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y67 FIRST_SITE_ID 8556 TILEPROP CLBLL_R_X19Y67 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y67 GRID_POINT_Y 86 TILEPROP CLBLL_R_X19Y67 INDEX 9941 TILEPROP CLBLL_R_X19Y67 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y67 INT_TILE_Y 82 TILEPROP CLBLL_R_X19Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y67 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y67 NAME CLBLL_R_X19Y67 TILEPROP CLBLL_R_X19Y67 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y67 NUM_SITES 2 TILEPROP CLBLL_R_X19Y67 ROW 86 TILEPROP CLBLL_R_X19Y67 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y67 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y67 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y67 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y67 TILE_X -9348 TILEPROP CLBLL_R_X19Y67 TILE_Y -24000 TILEPROP CLBLL_R_X19Y67 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y68 CLASS tile TILEPROP CLBLL_R_X19Y68 COLUMN 51 TILEPROP CLBLL_R_X19Y68 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y68 FIRST_SITE_ID 8452 TILEPROP CLBLL_R_X19Y68 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y68 GRID_POINT_Y 85 TILEPROP CLBLL_R_X19Y68 INDEX 9826 TILEPROP CLBLL_R_X19Y68 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y68 INT_TILE_Y 81 TILEPROP CLBLL_R_X19Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y68 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y68 NAME CLBLL_R_X19Y68 TILEPROP CLBLL_R_X19Y68 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y68 NUM_SITES 2 TILEPROP CLBLL_R_X19Y68 ROW 85 TILEPROP CLBLL_R_X19Y68 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y68 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y68 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y68 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y68 TILE_X -9348 TILEPROP CLBLL_R_X19Y68 TILE_Y -20800 TILEPROP CLBLL_R_X19Y68 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y69 CLASS tile TILEPROP CLBLL_R_X19Y69 COLUMN 51 TILEPROP CLBLL_R_X19Y69 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y69 FIRST_SITE_ID 8350 TILEPROP CLBLL_R_X19Y69 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y69 GRID_POINT_Y 84 TILEPROP CLBLL_R_X19Y69 INDEX 9711 TILEPROP CLBLL_R_X19Y69 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y69 INT_TILE_Y 80 TILEPROP CLBLL_R_X19Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y69 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y69 NAME CLBLL_R_X19Y69 TILEPROP CLBLL_R_X19Y69 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y69 NUM_SITES 2 TILEPROP CLBLL_R_X19Y69 ROW 84 TILEPROP CLBLL_R_X19Y69 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y69 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y69 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y69 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y69 TILE_X -9348 TILEPROP CLBLL_R_X19Y69 TILE_Y -17600 TILEPROP CLBLL_R_X19Y69 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y70 CLASS tile TILEPROP CLBLL_R_X19Y70 COLUMN 51 TILEPROP CLBLL_R_X19Y70 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y70 FIRST_SITE_ID 8239 TILEPROP CLBLL_R_X19Y70 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y70 GRID_POINT_Y 83 TILEPROP CLBLL_R_X19Y70 INDEX 9596 TILEPROP CLBLL_R_X19Y70 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y70 INT_TILE_Y 79 TILEPROP CLBLL_R_X19Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y70 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y70 NAME CLBLL_R_X19Y70 TILEPROP CLBLL_R_X19Y70 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y70 NUM_SITES 2 TILEPROP CLBLL_R_X19Y70 ROW 83 TILEPROP CLBLL_R_X19Y70 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y70 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y70 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y70 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y70 TILE_X -9348 TILEPROP CLBLL_R_X19Y70 TILE_Y -14400 TILEPROP CLBLL_R_X19Y70 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y71 CLASS tile TILEPROP CLBLL_R_X19Y71 COLUMN 51 TILEPROP CLBLL_R_X19Y71 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y71 FIRST_SITE_ID 8133 TILEPROP CLBLL_R_X19Y71 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y71 GRID_POINT_Y 82 TILEPROP CLBLL_R_X19Y71 INDEX 9481 TILEPROP CLBLL_R_X19Y71 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y71 INT_TILE_Y 78 TILEPROP CLBLL_R_X19Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y71 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y71 NAME CLBLL_R_X19Y71 TILEPROP CLBLL_R_X19Y71 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y71 NUM_SITES 2 TILEPROP CLBLL_R_X19Y71 ROW 82 TILEPROP CLBLL_R_X19Y71 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y71 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y71 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y71 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y71 TILE_X -9348 TILEPROP CLBLL_R_X19Y71 TILE_Y -11200 TILEPROP CLBLL_R_X19Y71 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y72 CLASS tile TILEPROP CLBLL_R_X19Y72 COLUMN 51 TILEPROP CLBLL_R_X19Y72 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y72 FIRST_SITE_ID 8033 TILEPROP CLBLL_R_X19Y72 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y72 GRID_POINT_Y 81 TILEPROP CLBLL_R_X19Y72 INDEX 9366 TILEPROP CLBLL_R_X19Y72 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y72 INT_TILE_Y 77 TILEPROP CLBLL_R_X19Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y72 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y72 NAME CLBLL_R_X19Y72 TILEPROP CLBLL_R_X19Y72 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y72 NUM_SITES 2 TILEPROP CLBLL_R_X19Y72 ROW 81 TILEPROP CLBLL_R_X19Y72 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y72 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y72 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y72 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y72 TILE_X -9348 TILEPROP CLBLL_R_X19Y72 TILE_Y -8000 TILEPROP CLBLL_R_X19Y72 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y73 CLASS tile TILEPROP CLBLL_R_X19Y73 COLUMN 51 TILEPROP CLBLL_R_X19Y73 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y73 FIRST_SITE_ID 7933 TILEPROP CLBLL_R_X19Y73 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y73 GRID_POINT_Y 80 TILEPROP CLBLL_R_X19Y73 INDEX 9251 TILEPROP CLBLL_R_X19Y73 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y73 INT_TILE_Y 76 TILEPROP CLBLL_R_X19Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y73 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y73 NAME CLBLL_R_X19Y73 TILEPROP CLBLL_R_X19Y73 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y73 NUM_SITES 2 TILEPROP CLBLL_R_X19Y73 ROW 80 TILEPROP CLBLL_R_X19Y73 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y73 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y73 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y73 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y73 TILE_X -9348 TILEPROP CLBLL_R_X19Y73 TILE_Y -4800 TILEPROP CLBLL_R_X19Y73 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y74 CLASS tile TILEPROP CLBLL_R_X19Y74 COLUMN 51 TILEPROP CLBLL_R_X19Y74 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y74 FIRST_SITE_ID 7833 TILEPROP CLBLL_R_X19Y74 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y74 GRID_POINT_Y 79 TILEPROP CLBLL_R_X19Y74 INDEX 9136 TILEPROP CLBLL_R_X19Y74 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y74 INT_TILE_Y 75 TILEPROP CLBLL_R_X19Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y74 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y74 NAME CLBLL_R_X19Y74 TILEPROP CLBLL_R_X19Y74 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y74 NUM_SITES 2 TILEPROP CLBLL_R_X19Y74 ROW 79 TILEPROP CLBLL_R_X19Y74 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y74 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X19Y74 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y74 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y74 TILE_X -9348 TILEPROP CLBLL_R_X19Y74 TILE_Y -1600 TILEPROP CLBLL_R_X19Y74 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y75 CLASS tile TILEPROP CLBLL_R_X19Y75 COLUMN 51 TILEPROP CLBLL_R_X19Y75 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y75 FIRST_SITE_ID 7641 TILEPROP CLBLL_R_X19Y75 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y75 GRID_POINT_Y 77 TILEPROP CLBLL_R_X19Y75 INDEX 8906 TILEPROP CLBLL_R_X19Y75 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y75 INT_TILE_Y 74 TILEPROP CLBLL_R_X19Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y75 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y75 NAME CLBLL_R_X19Y75 TILEPROP CLBLL_R_X19Y75 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y75 NUM_SITES 2 TILEPROP CLBLL_R_X19Y75 ROW 77 TILEPROP CLBLL_R_X19Y75 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y75 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X19Y75 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y75 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y75 TILE_X -9348 TILEPROP CLBLL_R_X19Y75 TILE_Y 2624 TILEPROP CLBLL_R_X19Y75 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y76 CLASS tile TILEPROP CLBLL_R_X19Y76 COLUMN 51 TILEPROP CLBLL_R_X19Y76 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y76 FIRST_SITE_ID 7532 TILEPROP CLBLL_R_X19Y76 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y76 GRID_POINT_Y 76 TILEPROP CLBLL_R_X19Y76 INDEX 8791 TILEPROP CLBLL_R_X19Y76 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y76 INT_TILE_Y 73 TILEPROP CLBLL_R_X19Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y76 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y76 NAME CLBLL_R_X19Y76 TILEPROP CLBLL_R_X19Y76 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y76 NUM_SITES 2 TILEPROP CLBLL_R_X19Y76 ROW 76 TILEPROP CLBLL_R_X19Y76 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y76 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y76 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y76 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y76 TILE_X -9348 TILEPROP CLBLL_R_X19Y76 TILE_Y 5824 TILEPROP CLBLL_R_X19Y76 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y77 CLASS tile TILEPROP CLBLL_R_X19Y77 COLUMN 51 TILEPROP CLBLL_R_X19Y77 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y77 FIRST_SITE_ID 7432 TILEPROP CLBLL_R_X19Y77 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y77 GRID_POINT_Y 75 TILEPROP CLBLL_R_X19Y77 INDEX 8676 TILEPROP CLBLL_R_X19Y77 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y77 INT_TILE_Y 72 TILEPROP CLBLL_R_X19Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y77 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y77 NAME CLBLL_R_X19Y77 TILEPROP CLBLL_R_X19Y77 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y77 NUM_SITES 2 TILEPROP CLBLL_R_X19Y77 ROW 75 TILEPROP CLBLL_R_X19Y77 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y77 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y77 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y77 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y77 TILE_X -9348 TILEPROP CLBLL_R_X19Y77 TILE_Y 9024 TILEPROP CLBLL_R_X19Y77 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y78 CLASS tile TILEPROP CLBLL_R_X19Y78 COLUMN 51 TILEPROP CLBLL_R_X19Y78 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y78 FIRST_SITE_ID 7332 TILEPROP CLBLL_R_X19Y78 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y78 GRID_POINT_Y 74 TILEPROP CLBLL_R_X19Y78 INDEX 8561 TILEPROP CLBLL_R_X19Y78 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y78 INT_TILE_Y 71 TILEPROP CLBLL_R_X19Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y78 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y78 NAME CLBLL_R_X19Y78 TILEPROP CLBLL_R_X19Y78 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y78 NUM_SITES 2 TILEPROP CLBLL_R_X19Y78 ROW 74 TILEPROP CLBLL_R_X19Y78 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y78 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y78 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y78 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y78 TILE_X -9348 TILEPROP CLBLL_R_X19Y78 TILE_Y 12224 TILEPROP CLBLL_R_X19Y78 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y79 CLASS tile TILEPROP CLBLL_R_X19Y79 COLUMN 51 TILEPROP CLBLL_R_X19Y79 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y79 FIRST_SITE_ID 7226 TILEPROP CLBLL_R_X19Y79 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y79 GRID_POINT_Y 73 TILEPROP CLBLL_R_X19Y79 INDEX 8446 TILEPROP CLBLL_R_X19Y79 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y79 INT_TILE_Y 70 TILEPROP CLBLL_R_X19Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y79 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y79 NAME CLBLL_R_X19Y79 TILEPROP CLBLL_R_X19Y79 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y79 NUM_SITES 2 TILEPROP CLBLL_R_X19Y79 ROW 73 TILEPROP CLBLL_R_X19Y79 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y79 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y79 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y79 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y79 TILE_X -9348 TILEPROP CLBLL_R_X19Y79 TILE_Y 15424 TILEPROP CLBLL_R_X19Y79 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y80 CLASS tile TILEPROP CLBLL_R_X19Y80 COLUMN 51 TILEPROP CLBLL_R_X19Y80 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y80 FIRST_SITE_ID 7111 TILEPROP CLBLL_R_X19Y80 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y80 GRID_POINT_Y 72 TILEPROP CLBLL_R_X19Y80 INDEX 8331 TILEPROP CLBLL_R_X19Y80 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y80 INT_TILE_Y 69 TILEPROP CLBLL_R_X19Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y80 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y80 NAME CLBLL_R_X19Y80 TILEPROP CLBLL_R_X19Y80 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y80 NUM_SITES 2 TILEPROP CLBLL_R_X19Y80 ROW 72 TILEPROP CLBLL_R_X19Y80 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y80 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y80 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y80 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y80 TILE_X -9348 TILEPROP CLBLL_R_X19Y80 TILE_Y 18624 TILEPROP CLBLL_R_X19Y80 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y81 CLASS tile TILEPROP CLBLL_R_X19Y81 COLUMN 51 TILEPROP CLBLL_R_X19Y81 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y81 FIRST_SITE_ID 7003 TILEPROP CLBLL_R_X19Y81 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y81 GRID_POINT_Y 71 TILEPROP CLBLL_R_X19Y81 INDEX 8216 TILEPROP CLBLL_R_X19Y81 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y81 INT_TILE_Y 68 TILEPROP CLBLL_R_X19Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y81 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y81 NAME CLBLL_R_X19Y81 TILEPROP CLBLL_R_X19Y81 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y81 NUM_SITES 2 TILEPROP CLBLL_R_X19Y81 ROW 71 TILEPROP CLBLL_R_X19Y81 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y81 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y81 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y81 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y81 TILE_X -9348 TILEPROP CLBLL_R_X19Y81 TILE_Y 21824 TILEPROP CLBLL_R_X19Y81 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y82 CLASS tile TILEPROP CLBLL_R_X19Y82 COLUMN 51 TILEPROP CLBLL_R_X19Y82 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y82 FIRST_SITE_ID 6901 TILEPROP CLBLL_R_X19Y82 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y82 GRID_POINT_Y 70 TILEPROP CLBLL_R_X19Y82 INDEX 8101 TILEPROP CLBLL_R_X19Y82 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y82 INT_TILE_Y 67 TILEPROP CLBLL_R_X19Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y82 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y82 NAME CLBLL_R_X19Y82 TILEPROP CLBLL_R_X19Y82 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y82 NUM_SITES 2 TILEPROP CLBLL_R_X19Y82 ROW 70 TILEPROP CLBLL_R_X19Y82 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y82 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y82 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y82 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y82 TILE_X -9348 TILEPROP CLBLL_R_X19Y82 TILE_Y 25024 TILEPROP CLBLL_R_X19Y82 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y83 CLASS tile TILEPROP CLBLL_R_X19Y83 COLUMN 51 TILEPROP CLBLL_R_X19Y83 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y83 FIRST_SITE_ID 6801 TILEPROP CLBLL_R_X19Y83 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y83 GRID_POINT_Y 69 TILEPROP CLBLL_R_X19Y83 INDEX 7986 TILEPROP CLBLL_R_X19Y83 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y83 INT_TILE_Y 66 TILEPROP CLBLL_R_X19Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y83 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y83 NAME CLBLL_R_X19Y83 TILEPROP CLBLL_R_X19Y83 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y83 NUM_SITES 2 TILEPROP CLBLL_R_X19Y83 ROW 69 TILEPROP CLBLL_R_X19Y83 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y83 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y83 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y83 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y83 TILE_X -9348 TILEPROP CLBLL_R_X19Y83 TILE_Y 28224 TILEPROP CLBLL_R_X19Y83 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y84 CLASS tile TILEPROP CLBLL_R_X19Y84 COLUMN 51 TILEPROP CLBLL_R_X19Y84 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y84 FIRST_SITE_ID 6701 TILEPROP CLBLL_R_X19Y84 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y84 GRID_POINT_Y 68 TILEPROP CLBLL_R_X19Y84 INDEX 7871 TILEPROP CLBLL_R_X19Y84 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y84 INT_TILE_Y 65 TILEPROP CLBLL_R_X19Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y84 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y84 NAME CLBLL_R_X19Y84 TILEPROP CLBLL_R_X19Y84 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y84 NUM_SITES 2 TILEPROP CLBLL_R_X19Y84 ROW 68 TILEPROP CLBLL_R_X19Y84 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y84 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y84 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y84 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y84 TILE_X -9348 TILEPROP CLBLL_R_X19Y84 TILE_Y 31424 TILEPROP CLBLL_R_X19Y84 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y85 CLASS tile TILEPROP CLBLL_R_X19Y85 COLUMN 51 TILEPROP CLBLL_R_X19Y85 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y85 FIRST_SITE_ID 6592 TILEPROP CLBLL_R_X19Y85 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y85 GRID_POINT_Y 67 TILEPROP CLBLL_R_X19Y85 INDEX 7756 TILEPROP CLBLL_R_X19Y85 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y85 INT_TILE_Y 64 TILEPROP CLBLL_R_X19Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y85 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y85 NAME CLBLL_R_X19Y85 TILEPROP CLBLL_R_X19Y85 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y85 NUM_SITES 2 TILEPROP CLBLL_R_X19Y85 ROW 67 TILEPROP CLBLL_R_X19Y85 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y85 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y85 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y85 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y85 TILE_X -9348 TILEPROP CLBLL_R_X19Y85 TILE_Y 34624 TILEPROP CLBLL_R_X19Y85 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y86 CLASS tile TILEPROP CLBLL_R_X19Y86 COLUMN 51 TILEPROP CLBLL_R_X19Y86 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y86 FIRST_SITE_ID 6454 TILEPROP CLBLL_R_X19Y86 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y86 GRID_POINT_Y 66 TILEPROP CLBLL_R_X19Y86 INDEX 7641 TILEPROP CLBLL_R_X19Y86 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y86 INT_TILE_Y 63 TILEPROP CLBLL_R_X19Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y86 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y86 NAME CLBLL_R_X19Y86 TILEPROP CLBLL_R_X19Y86 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y86 NUM_SITES 2 TILEPROP CLBLL_R_X19Y86 ROW 66 TILEPROP CLBLL_R_X19Y86 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y86 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y86 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y86 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y86 TILE_X -9348 TILEPROP CLBLL_R_X19Y86 TILE_Y 37824 TILEPROP CLBLL_R_X19Y86 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y87 CLASS tile TILEPROP CLBLL_R_X19Y87 COLUMN 51 TILEPROP CLBLL_R_X19Y87 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y87 FIRST_SITE_ID 6354 TILEPROP CLBLL_R_X19Y87 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y87 GRID_POINT_Y 65 TILEPROP CLBLL_R_X19Y87 INDEX 7526 TILEPROP CLBLL_R_X19Y87 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y87 INT_TILE_Y 62 TILEPROP CLBLL_R_X19Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y87 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y87 NAME CLBLL_R_X19Y87 TILEPROP CLBLL_R_X19Y87 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y87 NUM_SITES 2 TILEPROP CLBLL_R_X19Y87 ROW 65 TILEPROP CLBLL_R_X19Y87 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y87 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y87 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y87 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y87 TILE_X -9348 TILEPROP CLBLL_R_X19Y87 TILE_Y 41024 TILEPROP CLBLL_R_X19Y87 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y88 CLASS tile TILEPROP CLBLL_R_X19Y88 COLUMN 51 TILEPROP CLBLL_R_X19Y88 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y88 FIRST_SITE_ID 6254 TILEPROP CLBLL_R_X19Y88 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y88 GRID_POINT_Y 64 TILEPROP CLBLL_R_X19Y88 INDEX 7411 TILEPROP CLBLL_R_X19Y88 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y88 INT_TILE_Y 61 TILEPROP CLBLL_R_X19Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y88 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y88 NAME CLBLL_R_X19Y88 TILEPROP CLBLL_R_X19Y88 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y88 NUM_SITES 2 TILEPROP CLBLL_R_X19Y88 ROW 64 TILEPROP CLBLL_R_X19Y88 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y88 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y88 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y88 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y88 TILE_X -9348 TILEPROP CLBLL_R_X19Y88 TILE_Y 44224 TILEPROP CLBLL_R_X19Y88 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y89 CLASS tile TILEPROP CLBLL_R_X19Y89 COLUMN 51 TILEPROP CLBLL_R_X19Y89 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y89 FIRST_SITE_ID 6154 TILEPROP CLBLL_R_X19Y89 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y89 GRID_POINT_Y 63 TILEPROP CLBLL_R_X19Y89 INDEX 7296 TILEPROP CLBLL_R_X19Y89 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y89 INT_TILE_Y 60 TILEPROP CLBLL_R_X19Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y89 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y89 NAME CLBLL_R_X19Y89 TILEPROP CLBLL_R_X19Y89 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y89 NUM_SITES 2 TILEPROP CLBLL_R_X19Y89 ROW 63 TILEPROP CLBLL_R_X19Y89 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y89 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y89 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y89 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y89 TILE_X -9348 TILEPROP CLBLL_R_X19Y89 TILE_Y 47424 TILEPROP CLBLL_R_X19Y89 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y90 CLASS tile TILEPROP CLBLL_R_X19Y90 COLUMN 51 TILEPROP CLBLL_R_X19Y90 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y90 FIRST_SITE_ID 6045 TILEPROP CLBLL_R_X19Y90 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y90 GRID_POINT_Y 62 TILEPROP CLBLL_R_X19Y90 INDEX 7181 TILEPROP CLBLL_R_X19Y90 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y90 INT_TILE_Y 59 TILEPROP CLBLL_R_X19Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y90 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y90 NAME CLBLL_R_X19Y90 TILEPROP CLBLL_R_X19Y90 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y90 NUM_SITES 2 TILEPROP CLBLL_R_X19Y90 ROW 62 TILEPROP CLBLL_R_X19Y90 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y90 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y90 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y90 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y90 TILE_X -9348 TILEPROP CLBLL_R_X19Y90 TILE_Y 50624 TILEPROP CLBLL_R_X19Y90 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y91 CLASS tile TILEPROP CLBLL_R_X19Y91 COLUMN 51 TILEPROP CLBLL_R_X19Y91 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y91 FIRST_SITE_ID 5939 TILEPROP CLBLL_R_X19Y91 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y91 GRID_POINT_Y 61 TILEPROP CLBLL_R_X19Y91 INDEX 7066 TILEPROP CLBLL_R_X19Y91 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y91 INT_TILE_Y 58 TILEPROP CLBLL_R_X19Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y91 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y91 NAME CLBLL_R_X19Y91 TILEPROP CLBLL_R_X19Y91 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y91 NUM_SITES 2 TILEPROP CLBLL_R_X19Y91 ROW 61 TILEPROP CLBLL_R_X19Y91 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y91 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y91 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y91 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y91 TILE_X -9348 TILEPROP CLBLL_R_X19Y91 TILE_Y 53824 TILEPROP CLBLL_R_X19Y91 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y92 CLASS tile TILEPROP CLBLL_R_X19Y92 COLUMN 51 TILEPROP CLBLL_R_X19Y92 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y92 FIRST_SITE_ID 5838 TILEPROP CLBLL_R_X19Y92 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y92 GRID_POINT_Y 60 TILEPROP CLBLL_R_X19Y92 INDEX 6951 TILEPROP CLBLL_R_X19Y92 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y92 INT_TILE_Y 57 TILEPROP CLBLL_R_X19Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y92 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y92 NAME CLBLL_R_X19Y92 TILEPROP CLBLL_R_X19Y92 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y92 NUM_SITES 2 TILEPROP CLBLL_R_X19Y92 ROW 60 TILEPROP CLBLL_R_X19Y92 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y92 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y92 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y92 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y92 TILE_X -9348 TILEPROP CLBLL_R_X19Y92 TILE_Y 57024 TILEPROP CLBLL_R_X19Y92 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y93 CLASS tile TILEPROP CLBLL_R_X19Y93 COLUMN 51 TILEPROP CLBLL_R_X19Y93 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y93 FIRST_SITE_ID 5735 TILEPROP CLBLL_R_X19Y93 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y93 GRID_POINT_Y 59 TILEPROP CLBLL_R_X19Y93 INDEX 6836 TILEPROP CLBLL_R_X19Y93 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y93 INT_TILE_Y 56 TILEPROP CLBLL_R_X19Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y93 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y93 NAME CLBLL_R_X19Y93 TILEPROP CLBLL_R_X19Y93 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y93 NUM_SITES 2 TILEPROP CLBLL_R_X19Y93 ROW 59 TILEPROP CLBLL_R_X19Y93 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y93 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y93 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y93 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y93 TILE_X -9348 TILEPROP CLBLL_R_X19Y93 TILE_Y 60224 TILEPROP CLBLL_R_X19Y93 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y94 CLASS tile TILEPROP CLBLL_R_X19Y94 COLUMN 51 TILEPROP CLBLL_R_X19Y94 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y94 FIRST_SITE_ID 5633 TILEPROP CLBLL_R_X19Y94 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y94 GRID_POINT_Y 58 TILEPROP CLBLL_R_X19Y94 INDEX 6721 TILEPROP CLBLL_R_X19Y94 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y94 INT_TILE_Y 55 TILEPROP CLBLL_R_X19Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y94 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y94 NAME CLBLL_R_X19Y94 TILEPROP CLBLL_R_X19Y94 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y94 NUM_SITES 2 TILEPROP CLBLL_R_X19Y94 ROW 58 TILEPROP CLBLL_R_X19Y94 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y94 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y94 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y94 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y94 TILE_X -9348 TILEPROP CLBLL_R_X19Y94 TILE_Y 63424 TILEPROP CLBLL_R_X19Y94 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y95 CLASS tile TILEPROP CLBLL_R_X19Y95 COLUMN 51 TILEPROP CLBLL_R_X19Y95 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y95 FIRST_SITE_ID 5524 TILEPROP CLBLL_R_X19Y95 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y95 GRID_POINT_Y 57 TILEPROP CLBLL_R_X19Y95 INDEX 6606 TILEPROP CLBLL_R_X19Y95 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y95 INT_TILE_Y 54 TILEPROP CLBLL_R_X19Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y95 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y95 NAME CLBLL_R_X19Y95 TILEPROP CLBLL_R_X19Y95 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y95 NUM_SITES 2 TILEPROP CLBLL_R_X19Y95 ROW 57 TILEPROP CLBLL_R_X19Y95 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y95 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y95 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y95 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y95 TILE_X -9348 TILEPROP CLBLL_R_X19Y95 TILE_Y 66624 TILEPROP CLBLL_R_X19Y95 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y96 CLASS tile TILEPROP CLBLL_R_X19Y96 COLUMN 51 TILEPROP CLBLL_R_X19Y96 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y96 FIRST_SITE_ID 5418 TILEPROP CLBLL_R_X19Y96 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y96 GRID_POINT_Y 56 TILEPROP CLBLL_R_X19Y96 INDEX 6491 TILEPROP CLBLL_R_X19Y96 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y96 INT_TILE_Y 53 TILEPROP CLBLL_R_X19Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y96 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y96 NAME CLBLL_R_X19Y96 TILEPROP CLBLL_R_X19Y96 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y96 NUM_SITES 2 TILEPROP CLBLL_R_X19Y96 ROW 56 TILEPROP CLBLL_R_X19Y96 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y96 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y96 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y96 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y96 TILE_X -9348 TILEPROP CLBLL_R_X19Y96 TILE_Y 69824 TILEPROP CLBLL_R_X19Y96 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y97 CLASS tile TILEPROP CLBLL_R_X19Y97 COLUMN 51 TILEPROP CLBLL_R_X19Y97 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y97 FIRST_SITE_ID 5318 TILEPROP CLBLL_R_X19Y97 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y97 GRID_POINT_Y 55 TILEPROP CLBLL_R_X19Y97 INDEX 6376 TILEPROP CLBLL_R_X19Y97 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y97 INT_TILE_Y 52 TILEPROP CLBLL_R_X19Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y97 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y97 NAME CLBLL_R_X19Y97 TILEPROP CLBLL_R_X19Y97 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y97 NUM_SITES 2 TILEPROP CLBLL_R_X19Y97 ROW 55 TILEPROP CLBLL_R_X19Y97 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y97 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y97 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y97 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y97 TILE_X -9348 TILEPROP CLBLL_R_X19Y97 TILE_Y 73024 TILEPROP CLBLL_R_X19Y97 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y98 CLASS tile TILEPROP CLBLL_R_X19Y98 COLUMN 51 TILEPROP CLBLL_R_X19Y98 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y98 FIRST_SITE_ID 5218 TILEPROP CLBLL_R_X19Y98 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y98 GRID_POINT_Y 54 TILEPROP CLBLL_R_X19Y98 INDEX 6261 TILEPROP CLBLL_R_X19Y98 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y98 INT_TILE_Y 51 TILEPROP CLBLL_R_X19Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y98 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y98 NAME CLBLL_R_X19Y98 TILEPROP CLBLL_R_X19Y98 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y98 NUM_SITES 2 TILEPROP CLBLL_R_X19Y98 ROW 54 TILEPROP CLBLL_R_X19Y98 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y98 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y98 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y98 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y98 TILE_X -9348 TILEPROP CLBLL_R_X19Y98 TILE_Y 76224 TILEPROP CLBLL_R_X19Y98 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y99 CLASS tile TILEPROP CLBLL_R_X19Y99 COLUMN 51 TILEPROP CLBLL_R_X19Y99 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y99 FIRST_SITE_ID 5122 TILEPROP CLBLL_R_X19Y99 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y99 GRID_POINT_Y 53 TILEPROP CLBLL_R_X19Y99 INDEX 6146 TILEPROP CLBLL_R_X19Y99 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y99 INT_TILE_Y 50 TILEPROP CLBLL_R_X19Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y99 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y99 NAME CLBLL_R_X19Y99 TILEPROP CLBLL_R_X19Y99 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y99 NUM_SITES 2 TILEPROP CLBLL_R_X19Y99 ROW 53 TILEPROP CLBLL_R_X19Y99 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y99 TILE_PATTERN_IDX 1669 TILEPROP CLBLL_R_X19Y99 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y99 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y99 TILE_X -9348 TILEPROP CLBLL_R_X19Y99 TILE_Y 79424 TILEPROP CLBLL_R_X19Y99 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y100 CLASS tile TILEPROP CLBLL_R_X19Y100 COLUMN 51 TILEPROP CLBLL_R_X19Y100 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y100 FIRST_SITE_ID 5045 TILEPROP CLBLL_R_X19Y100 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y100 GRID_POINT_Y 51 TILEPROP CLBLL_R_X19Y100 INDEX 5916 TILEPROP CLBLL_R_X19Y100 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y100 INT_TILE_Y 49 TILEPROP CLBLL_R_X19Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y100 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y100 NAME CLBLL_R_X19Y100 TILEPROP CLBLL_R_X19Y100 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y100 NUM_SITES 2 TILEPROP CLBLL_R_X19Y100 ROW 51 TILEPROP CLBLL_R_X19Y100 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y100 TILE_PATTERN_IDX 1625 TILEPROP CLBLL_R_X19Y100 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y100 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y100 TILE_X -9348 TILEPROP CLBLL_R_X19Y100 TILE_Y 82872 TILEPROP CLBLL_R_X19Y100 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y101 CLASS tile TILEPROP CLBLL_R_X19Y101 COLUMN 51 TILEPROP CLBLL_R_X19Y101 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y101 FIRST_SITE_ID 4947 TILEPROP CLBLL_R_X19Y101 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y101 GRID_POINT_Y 50 TILEPROP CLBLL_R_X19Y101 INDEX 5801 TILEPROP CLBLL_R_X19Y101 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y101 INT_TILE_Y 48 TILEPROP CLBLL_R_X19Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y101 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y101 NAME CLBLL_R_X19Y101 TILEPROP CLBLL_R_X19Y101 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y101 NUM_SITES 2 TILEPROP CLBLL_R_X19Y101 ROW 50 TILEPROP CLBLL_R_X19Y101 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y101 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y101 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y101 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y101 TILE_X -9348 TILEPROP CLBLL_R_X19Y101 TILE_Y 86072 TILEPROP CLBLL_R_X19Y101 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y102 CLASS tile TILEPROP CLBLL_R_X19Y102 COLUMN 51 TILEPROP CLBLL_R_X19Y102 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y102 FIRST_SITE_ID 4851 TILEPROP CLBLL_R_X19Y102 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y102 GRID_POINT_Y 49 TILEPROP CLBLL_R_X19Y102 INDEX 5686 TILEPROP CLBLL_R_X19Y102 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y102 INT_TILE_Y 47 TILEPROP CLBLL_R_X19Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y102 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y102 NAME CLBLL_R_X19Y102 TILEPROP CLBLL_R_X19Y102 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y102 NUM_SITES 2 TILEPROP CLBLL_R_X19Y102 ROW 49 TILEPROP CLBLL_R_X19Y102 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y102 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y102 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y102 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y102 TILE_X -9348 TILEPROP CLBLL_R_X19Y102 TILE_Y 89272 TILEPROP CLBLL_R_X19Y102 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y103 CLASS tile TILEPROP CLBLL_R_X19Y103 COLUMN 51 TILEPROP CLBLL_R_X19Y103 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y103 FIRST_SITE_ID 4763 TILEPROP CLBLL_R_X19Y103 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y103 GRID_POINT_Y 48 TILEPROP CLBLL_R_X19Y103 INDEX 5571 TILEPROP CLBLL_R_X19Y103 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y103 INT_TILE_Y 46 TILEPROP CLBLL_R_X19Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y103 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y103 NAME CLBLL_R_X19Y103 TILEPROP CLBLL_R_X19Y103 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y103 NUM_SITES 2 TILEPROP CLBLL_R_X19Y103 ROW 48 TILEPROP CLBLL_R_X19Y103 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y103 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y103 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y103 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y103 TILE_X -9348 TILEPROP CLBLL_R_X19Y103 TILE_Y 92472 TILEPROP CLBLL_R_X19Y103 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y104 CLASS tile TILEPROP CLBLL_R_X19Y104 COLUMN 51 TILEPROP CLBLL_R_X19Y104 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y104 FIRST_SITE_ID 4667 TILEPROP CLBLL_R_X19Y104 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y104 GRID_POINT_Y 47 TILEPROP CLBLL_R_X19Y104 INDEX 5456 TILEPROP CLBLL_R_X19Y104 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y104 INT_TILE_Y 45 TILEPROP CLBLL_R_X19Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y104 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y104 NAME CLBLL_R_X19Y104 TILEPROP CLBLL_R_X19Y104 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y104 NUM_SITES 2 TILEPROP CLBLL_R_X19Y104 ROW 47 TILEPROP CLBLL_R_X19Y104 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y104 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y104 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y104 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y104 TILE_X -9348 TILEPROP CLBLL_R_X19Y104 TILE_Y 95672 TILEPROP CLBLL_R_X19Y104 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y105 CLASS tile TILEPROP CLBLL_R_X19Y105 COLUMN 51 TILEPROP CLBLL_R_X19Y105 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y105 FIRST_SITE_ID 4571 TILEPROP CLBLL_R_X19Y105 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y105 GRID_POINT_Y 46 TILEPROP CLBLL_R_X19Y105 INDEX 5341 TILEPROP CLBLL_R_X19Y105 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y105 INT_TILE_Y 44 TILEPROP CLBLL_R_X19Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y105 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y105 NAME CLBLL_R_X19Y105 TILEPROP CLBLL_R_X19Y105 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y105 NUM_SITES 2 TILEPROP CLBLL_R_X19Y105 ROW 46 TILEPROP CLBLL_R_X19Y105 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y105 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y105 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y105 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y105 TILE_X -9348 TILEPROP CLBLL_R_X19Y105 TILE_Y 98872 TILEPROP CLBLL_R_X19Y105 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y106 CLASS tile TILEPROP CLBLL_R_X19Y106 COLUMN 51 TILEPROP CLBLL_R_X19Y106 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y106 FIRST_SITE_ID 4469 TILEPROP CLBLL_R_X19Y106 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y106 GRID_POINT_Y 45 TILEPROP CLBLL_R_X19Y106 INDEX 5226 TILEPROP CLBLL_R_X19Y106 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y106 INT_TILE_Y 43 TILEPROP CLBLL_R_X19Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y106 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y106 NAME CLBLL_R_X19Y106 TILEPROP CLBLL_R_X19Y106 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y106 NUM_SITES 2 TILEPROP CLBLL_R_X19Y106 ROW 45 TILEPROP CLBLL_R_X19Y106 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y106 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y106 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y106 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y106 TILE_X -9348 TILEPROP CLBLL_R_X19Y106 TILE_Y 102072 TILEPROP CLBLL_R_X19Y106 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y107 CLASS tile TILEPROP CLBLL_R_X19Y107 COLUMN 51 TILEPROP CLBLL_R_X19Y107 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y107 FIRST_SITE_ID 4381 TILEPROP CLBLL_R_X19Y107 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y107 GRID_POINT_Y 44 TILEPROP CLBLL_R_X19Y107 INDEX 5111 TILEPROP CLBLL_R_X19Y107 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y107 INT_TILE_Y 42 TILEPROP CLBLL_R_X19Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y107 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y107 NAME CLBLL_R_X19Y107 TILEPROP CLBLL_R_X19Y107 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y107 NUM_SITES 2 TILEPROP CLBLL_R_X19Y107 ROW 44 TILEPROP CLBLL_R_X19Y107 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y107 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y107 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y107 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y107 TILE_X -9348 TILEPROP CLBLL_R_X19Y107 TILE_Y 105272 TILEPROP CLBLL_R_X19Y107 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y108 CLASS tile TILEPROP CLBLL_R_X19Y108 COLUMN 51 TILEPROP CLBLL_R_X19Y108 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y108 FIRST_SITE_ID 4283 TILEPROP CLBLL_R_X19Y108 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y108 GRID_POINT_Y 43 TILEPROP CLBLL_R_X19Y108 INDEX 4996 TILEPROP CLBLL_R_X19Y108 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y108 INT_TILE_Y 41 TILEPROP CLBLL_R_X19Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y108 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y108 NAME CLBLL_R_X19Y108 TILEPROP CLBLL_R_X19Y108 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y108 NUM_SITES 2 TILEPROP CLBLL_R_X19Y108 ROW 43 TILEPROP CLBLL_R_X19Y108 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y108 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y108 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y108 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y108 TILE_X -9348 TILEPROP CLBLL_R_X19Y108 TILE_Y 108472 TILEPROP CLBLL_R_X19Y108 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y109 CLASS tile TILEPROP CLBLL_R_X19Y109 COLUMN 51 TILEPROP CLBLL_R_X19Y109 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y109 FIRST_SITE_ID 4194 TILEPROP CLBLL_R_X19Y109 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y109 GRID_POINT_Y 42 TILEPROP CLBLL_R_X19Y109 INDEX 4881 TILEPROP CLBLL_R_X19Y109 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y109 INT_TILE_Y 40 TILEPROP CLBLL_R_X19Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y109 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y109 NAME CLBLL_R_X19Y109 TILEPROP CLBLL_R_X19Y109 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y109 NUM_SITES 2 TILEPROP CLBLL_R_X19Y109 ROW 42 TILEPROP CLBLL_R_X19Y109 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y109 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y109 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y109 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y109 TILE_X -9348 TILEPROP CLBLL_R_X19Y109 TILE_Y 111672 TILEPROP CLBLL_R_X19Y109 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y110 CLASS tile TILEPROP CLBLL_R_X19Y110 COLUMN 51 TILEPROP CLBLL_R_X19Y110 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y110 FIRST_SITE_ID 4094 TILEPROP CLBLL_R_X19Y110 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y110 GRID_POINT_Y 41 TILEPROP CLBLL_R_X19Y110 INDEX 4766 TILEPROP CLBLL_R_X19Y110 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y110 INT_TILE_Y 39 TILEPROP CLBLL_R_X19Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y110 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y110 NAME CLBLL_R_X19Y110 TILEPROP CLBLL_R_X19Y110 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y110 NUM_SITES 2 TILEPROP CLBLL_R_X19Y110 ROW 41 TILEPROP CLBLL_R_X19Y110 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y110 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y110 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y110 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y110 TILE_X -9348 TILEPROP CLBLL_R_X19Y110 TILE_Y 114872 TILEPROP CLBLL_R_X19Y110 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y111 CLASS tile TILEPROP CLBLL_R_X19Y111 COLUMN 51 TILEPROP CLBLL_R_X19Y111 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y111 FIRST_SITE_ID 4000 TILEPROP CLBLL_R_X19Y111 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y111 GRID_POINT_Y 40 TILEPROP CLBLL_R_X19Y111 INDEX 4651 TILEPROP CLBLL_R_X19Y111 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y111 INT_TILE_Y 38 TILEPROP CLBLL_R_X19Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y111 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y111 NAME CLBLL_R_X19Y111 TILEPROP CLBLL_R_X19Y111 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y111 NUM_SITES 2 TILEPROP CLBLL_R_X19Y111 ROW 40 TILEPROP CLBLL_R_X19Y111 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y111 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y111 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y111 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y111 TILE_X -9348 TILEPROP CLBLL_R_X19Y111 TILE_Y 118072 TILEPROP CLBLL_R_X19Y111 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y112 CLASS tile TILEPROP CLBLL_R_X19Y112 COLUMN 51 TILEPROP CLBLL_R_X19Y112 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y112 FIRST_SITE_ID 3872 TILEPROP CLBLL_R_X19Y112 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y112 GRID_POINT_Y 39 TILEPROP CLBLL_R_X19Y112 INDEX 4536 TILEPROP CLBLL_R_X19Y112 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y112 INT_TILE_Y 37 TILEPROP CLBLL_R_X19Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y112 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y112 NAME CLBLL_R_X19Y112 TILEPROP CLBLL_R_X19Y112 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y112 NUM_SITES 2 TILEPROP CLBLL_R_X19Y112 ROW 39 TILEPROP CLBLL_R_X19Y112 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y112 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y112 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y112 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y112 TILE_X -9348 TILEPROP CLBLL_R_X19Y112 TILE_Y 121272 TILEPROP CLBLL_R_X19Y112 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y113 CLASS tile TILEPROP CLBLL_R_X19Y113 COLUMN 51 TILEPROP CLBLL_R_X19Y113 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y113 FIRST_SITE_ID 3784 TILEPROP CLBLL_R_X19Y113 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y113 GRID_POINT_Y 38 TILEPROP CLBLL_R_X19Y113 INDEX 4421 TILEPROP CLBLL_R_X19Y113 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y113 INT_TILE_Y 36 TILEPROP CLBLL_R_X19Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y113 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y113 NAME CLBLL_R_X19Y113 TILEPROP CLBLL_R_X19Y113 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y113 NUM_SITES 2 TILEPROP CLBLL_R_X19Y113 ROW 38 TILEPROP CLBLL_R_X19Y113 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y113 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y113 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y113 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y113 TILE_X -9348 TILEPROP CLBLL_R_X19Y113 TILE_Y 124472 TILEPROP CLBLL_R_X19Y113 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y114 CLASS tile TILEPROP CLBLL_R_X19Y114 COLUMN 51 TILEPROP CLBLL_R_X19Y114 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y114 FIRST_SITE_ID 3688 TILEPROP CLBLL_R_X19Y114 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y114 GRID_POINT_Y 37 TILEPROP CLBLL_R_X19Y114 INDEX 4306 TILEPROP CLBLL_R_X19Y114 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y114 INT_TILE_Y 35 TILEPROP CLBLL_R_X19Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y114 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y114 NAME CLBLL_R_X19Y114 TILEPROP CLBLL_R_X19Y114 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y114 NUM_SITES 2 TILEPROP CLBLL_R_X19Y114 ROW 37 TILEPROP CLBLL_R_X19Y114 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y114 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y114 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y114 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y114 TILE_X -9348 TILEPROP CLBLL_R_X19Y114 TILE_Y 127672 TILEPROP CLBLL_R_X19Y114 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y115 CLASS tile TILEPROP CLBLL_R_X19Y115 COLUMN 51 TILEPROP CLBLL_R_X19Y115 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y115 FIRST_SITE_ID 3597 TILEPROP CLBLL_R_X19Y115 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y115 GRID_POINT_Y 36 TILEPROP CLBLL_R_X19Y115 INDEX 4191 TILEPROP CLBLL_R_X19Y115 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y115 INT_TILE_Y 34 TILEPROP CLBLL_R_X19Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y115 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y115 NAME CLBLL_R_X19Y115 TILEPROP CLBLL_R_X19Y115 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y115 NUM_SITES 2 TILEPROP CLBLL_R_X19Y115 ROW 36 TILEPROP CLBLL_R_X19Y115 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y115 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y115 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y115 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y115 TILE_X -9348 TILEPROP CLBLL_R_X19Y115 TILE_Y 130872 TILEPROP CLBLL_R_X19Y115 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y116 CLASS tile TILEPROP CLBLL_R_X19Y116 COLUMN 51 TILEPROP CLBLL_R_X19Y116 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y116 FIRST_SITE_ID 3490 TILEPROP CLBLL_R_X19Y116 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y116 GRID_POINT_Y 35 TILEPROP CLBLL_R_X19Y116 INDEX 4076 TILEPROP CLBLL_R_X19Y116 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y116 INT_TILE_Y 33 TILEPROP CLBLL_R_X19Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y116 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y116 NAME CLBLL_R_X19Y116 TILEPROP CLBLL_R_X19Y116 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y116 NUM_SITES 2 TILEPROP CLBLL_R_X19Y116 ROW 35 TILEPROP CLBLL_R_X19Y116 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y116 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y116 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y116 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y116 TILE_X -9348 TILEPROP CLBLL_R_X19Y116 TILE_Y 134072 TILEPROP CLBLL_R_X19Y116 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y117 CLASS tile TILEPROP CLBLL_R_X19Y117 COLUMN 51 TILEPROP CLBLL_R_X19Y117 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y117 FIRST_SITE_ID 3402 TILEPROP CLBLL_R_X19Y117 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y117 GRID_POINT_Y 34 TILEPROP CLBLL_R_X19Y117 INDEX 3961 TILEPROP CLBLL_R_X19Y117 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y117 INT_TILE_Y 32 TILEPROP CLBLL_R_X19Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y117 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y117 NAME CLBLL_R_X19Y117 TILEPROP CLBLL_R_X19Y117 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y117 NUM_SITES 2 TILEPROP CLBLL_R_X19Y117 ROW 34 TILEPROP CLBLL_R_X19Y117 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y117 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y117 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y117 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y117 TILE_X -9348 TILEPROP CLBLL_R_X19Y117 TILE_Y 137272 TILEPROP CLBLL_R_X19Y117 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y118 CLASS tile TILEPROP CLBLL_R_X19Y118 COLUMN 51 TILEPROP CLBLL_R_X19Y118 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y118 FIRST_SITE_ID 3302 TILEPROP CLBLL_R_X19Y118 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y118 GRID_POINT_Y 33 TILEPROP CLBLL_R_X19Y118 INDEX 3846 TILEPROP CLBLL_R_X19Y118 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y118 INT_TILE_Y 31 TILEPROP CLBLL_R_X19Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y118 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y118 NAME CLBLL_R_X19Y118 TILEPROP CLBLL_R_X19Y118 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y118 NUM_SITES 2 TILEPROP CLBLL_R_X19Y118 ROW 33 TILEPROP CLBLL_R_X19Y118 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y118 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y118 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y118 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y118 TILE_X -9348 TILEPROP CLBLL_R_X19Y118 TILE_Y 140472 TILEPROP CLBLL_R_X19Y118 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y119 CLASS tile TILEPROP CLBLL_R_X19Y119 COLUMN 51 TILEPROP CLBLL_R_X19Y119 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y119 FIRST_SITE_ID 3214 TILEPROP CLBLL_R_X19Y119 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y119 GRID_POINT_Y 32 TILEPROP CLBLL_R_X19Y119 INDEX 3731 TILEPROP CLBLL_R_X19Y119 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y119 INT_TILE_Y 30 TILEPROP CLBLL_R_X19Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y119 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y119 NAME CLBLL_R_X19Y119 TILEPROP CLBLL_R_X19Y119 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y119 NUM_SITES 2 TILEPROP CLBLL_R_X19Y119 ROW 32 TILEPROP CLBLL_R_X19Y119 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y119 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y119 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y119 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y119 TILE_X -9348 TILEPROP CLBLL_R_X19Y119 TILE_Y 143672 TILEPROP CLBLL_R_X19Y119 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y120 CLASS tile TILEPROP CLBLL_R_X19Y120 COLUMN 51 TILEPROP CLBLL_R_X19Y120 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y120 FIRST_SITE_ID 3113 TILEPROP CLBLL_R_X19Y120 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y120 GRID_POINT_Y 31 TILEPROP CLBLL_R_X19Y120 INDEX 3616 TILEPROP CLBLL_R_X19Y120 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y120 INT_TILE_Y 29 TILEPROP CLBLL_R_X19Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y120 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y120 NAME CLBLL_R_X19Y120 TILEPROP CLBLL_R_X19Y120 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y120 NUM_SITES 2 TILEPROP CLBLL_R_X19Y120 ROW 31 TILEPROP CLBLL_R_X19Y120 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y120 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y120 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y120 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y120 TILE_X -9348 TILEPROP CLBLL_R_X19Y120 TILE_Y 146872 TILEPROP CLBLL_R_X19Y120 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y121 CLASS tile TILEPROP CLBLL_R_X19Y121 COLUMN 51 TILEPROP CLBLL_R_X19Y121 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y121 FIRST_SITE_ID 3019 TILEPROP CLBLL_R_X19Y121 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y121 GRID_POINT_Y 30 TILEPROP CLBLL_R_X19Y121 INDEX 3501 TILEPROP CLBLL_R_X19Y121 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y121 INT_TILE_Y 28 TILEPROP CLBLL_R_X19Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y121 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y121 NAME CLBLL_R_X19Y121 TILEPROP CLBLL_R_X19Y121 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y121 NUM_SITES 2 TILEPROP CLBLL_R_X19Y121 ROW 30 TILEPROP CLBLL_R_X19Y121 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y121 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y121 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y121 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y121 TILE_X -9348 TILEPROP CLBLL_R_X19Y121 TILE_Y 150072 TILEPROP CLBLL_R_X19Y121 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y122 CLASS tile TILEPROP CLBLL_R_X19Y122 COLUMN 51 TILEPROP CLBLL_R_X19Y122 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y122 FIRST_SITE_ID 2916 TILEPROP CLBLL_R_X19Y122 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y122 GRID_POINT_Y 29 TILEPROP CLBLL_R_X19Y122 INDEX 3386 TILEPROP CLBLL_R_X19Y122 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y122 INT_TILE_Y 27 TILEPROP CLBLL_R_X19Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y122 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y122 NAME CLBLL_R_X19Y122 TILEPROP CLBLL_R_X19Y122 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y122 NUM_SITES 2 TILEPROP CLBLL_R_X19Y122 ROW 29 TILEPROP CLBLL_R_X19Y122 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y122 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y122 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y122 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y122 TILE_X -9348 TILEPROP CLBLL_R_X19Y122 TILE_Y 153272 TILEPROP CLBLL_R_X19Y122 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y123 CLASS tile TILEPROP CLBLL_R_X19Y123 COLUMN 51 TILEPROP CLBLL_R_X19Y123 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y123 FIRST_SITE_ID 2828 TILEPROP CLBLL_R_X19Y123 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y123 GRID_POINT_Y 28 TILEPROP CLBLL_R_X19Y123 INDEX 3271 TILEPROP CLBLL_R_X19Y123 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y123 INT_TILE_Y 26 TILEPROP CLBLL_R_X19Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y123 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y123 NAME CLBLL_R_X19Y123 TILEPROP CLBLL_R_X19Y123 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y123 NUM_SITES 2 TILEPROP CLBLL_R_X19Y123 ROW 28 TILEPROP CLBLL_R_X19Y123 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y123 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y123 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y123 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y123 TILE_X -9348 TILEPROP CLBLL_R_X19Y123 TILE_Y 156472 TILEPROP CLBLL_R_X19Y123 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y124 CLASS tile TILEPROP CLBLL_R_X19Y124 COLUMN 51 TILEPROP CLBLL_R_X19Y124 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y124 FIRST_SITE_ID 2732 TILEPROP CLBLL_R_X19Y124 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y124 GRID_POINT_Y 27 TILEPROP CLBLL_R_X19Y124 INDEX 3156 TILEPROP CLBLL_R_X19Y124 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y124 INT_TILE_Y 25 TILEPROP CLBLL_R_X19Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y124 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y124 NAME CLBLL_R_X19Y124 TILEPROP CLBLL_R_X19Y124 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y124 NUM_SITES 2 TILEPROP CLBLL_R_X19Y124 ROW 27 TILEPROP CLBLL_R_X19Y124 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y124 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X19Y124 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y124 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y124 TILE_X -9348 TILEPROP CLBLL_R_X19Y124 TILE_Y 159672 TILEPROP CLBLL_R_X19Y124 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y125 CLASS tile TILEPROP CLBLL_R_X19Y125 COLUMN 51 TILEPROP CLBLL_R_X19Y125 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y125 FIRST_SITE_ID 2559 TILEPROP CLBLL_R_X19Y125 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y125 GRID_POINT_Y 25 TILEPROP CLBLL_R_X19Y125 INDEX 2926 TILEPROP CLBLL_R_X19Y125 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y125 INT_TILE_Y 24 TILEPROP CLBLL_R_X19Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y125 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y125 NAME CLBLL_R_X19Y125 TILEPROP CLBLL_R_X19Y125 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y125 NUM_SITES 2 TILEPROP CLBLL_R_X19Y125 ROW 25 TILEPROP CLBLL_R_X19Y125 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y125 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X19Y125 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y125 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y125 TILE_X -9348 TILEPROP CLBLL_R_X19Y125 TILE_Y 163896 TILEPROP CLBLL_R_X19Y125 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y126 CLASS tile TILEPROP CLBLL_R_X19Y126 COLUMN 51 TILEPROP CLBLL_R_X19Y126 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y126 FIRST_SITE_ID 2449 TILEPROP CLBLL_R_X19Y126 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y126 GRID_POINT_Y 24 TILEPROP CLBLL_R_X19Y126 INDEX 2811 TILEPROP CLBLL_R_X19Y126 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y126 INT_TILE_Y 23 TILEPROP CLBLL_R_X19Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y126 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y126 NAME CLBLL_R_X19Y126 TILEPROP CLBLL_R_X19Y126 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y126 NUM_SITES 2 TILEPROP CLBLL_R_X19Y126 ROW 24 TILEPROP CLBLL_R_X19Y126 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y126 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y126 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y126 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y126 TILE_X -9348 TILEPROP CLBLL_R_X19Y126 TILE_Y 167096 TILEPROP CLBLL_R_X19Y126 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y127 CLASS tile TILEPROP CLBLL_R_X19Y127 COLUMN 51 TILEPROP CLBLL_R_X19Y127 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y127 FIRST_SITE_ID 2353 TILEPROP CLBLL_R_X19Y127 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y127 GRID_POINT_Y 23 TILEPROP CLBLL_R_X19Y127 INDEX 2696 TILEPROP CLBLL_R_X19Y127 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y127 INT_TILE_Y 22 TILEPROP CLBLL_R_X19Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y127 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y127 NAME CLBLL_R_X19Y127 TILEPROP CLBLL_R_X19Y127 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y127 NUM_SITES 2 TILEPROP CLBLL_R_X19Y127 ROW 23 TILEPROP CLBLL_R_X19Y127 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y127 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y127 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y127 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y127 TILE_X -9348 TILEPROP CLBLL_R_X19Y127 TILE_Y 170296 TILEPROP CLBLL_R_X19Y127 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y128 CLASS tile TILEPROP CLBLL_R_X19Y128 COLUMN 51 TILEPROP CLBLL_R_X19Y128 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y128 FIRST_SITE_ID 2249 TILEPROP CLBLL_R_X19Y128 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y128 GRID_POINT_Y 22 TILEPROP CLBLL_R_X19Y128 INDEX 2581 TILEPROP CLBLL_R_X19Y128 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y128 INT_TILE_Y 21 TILEPROP CLBLL_R_X19Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y128 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y128 NAME CLBLL_R_X19Y128 TILEPROP CLBLL_R_X19Y128 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y128 NUM_SITES 2 TILEPROP CLBLL_R_X19Y128 ROW 22 TILEPROP CLBLL_R_X19Y128 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y128 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y128 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y128 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y128 TILE_X -9348 TILEPROP CLBLL_R_X19Y128 TILE_Y 173496 TILEPROP CLBLL_R_X19Y128 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y129 CLASS tile TILEPROP CLBLL_R_X19Y129 COLUMN 51 TILEPROP CLBLL_R_X19Y129 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y129 FIRST_SITE_ID 2153 TILEPROP CLBLL_R_X19Y129 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y129 GRID_POINT_Y 21 TILEPROP CLBLL_R_X19Y129 INDEX 2466 TILEPROP CLBLL_R_X19Y129 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y129 INT_TILE_Y 20 TILEPROP CLBLL_R_X19Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y129 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y129 NAME CLBLL_R_X19Y129 TILEPROP CLBLL_R_X19Y129 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y129 NUM_SITES 2 TILEPROP CLBLL_R_X19Y129 ROW 21 TILEPROP CLBLL_R_X19Y129 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y129 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y129 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y129 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y129 TILE_X -9348 TILEPROP CLBLL_R_X19Y129 TILE_Y 176696 TILEPROP CLBLL_R_X19Y129 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y130 CLASS tile TILEPROP CLBLL_R_X19Y130 COLUMN 51 TILEPROP CLBLL_R_X19Y130 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y130 FIRST_SITE_ID 2037 TILEPROP CLBLL_R_X19Y130 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y130 GRID_POINT_Y 20 TILEPROP CLBLL_R_X19Y130 INDEX 2351 TILEPROP CLBLL_R_X19Y130 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y130 INT_TILE_Y 19 TILEPROP CLBLL_R_X19Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y130 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y130 NAME CLBLL_R_X19Y130 TILEPROP CLBLL_R_X19Y130 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y130 NUM_SITES 2 TILEPROP CLBLL_R_X19Y130 ROW 20 TILEPROP CLBLL_R_X19Y130 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y130 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y130 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y130 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y130 TILE_X -9348 TILEPROP CLBLL_R_X19Y130 TILE_Y 179896 TILEPROP CLBLL_R_X19Y130 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y131 CLASS tile TILEPROP CLBLL_R_X19Y131 COLUMN 51 TILEPROP CLBLL_R_X19Y131 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y131 FIRST_SITE_ID 1935 TILEPROP CLBLL_R_X19Y131 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y131 GRID_POINT_Y 19 TILEPROP CLBLL_R_X19Y131 INDEX 2236 TILEPROP CLBLL_R_X19Y131 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y131 INT_TILE_Y 18 TILEPROP CLBLL_R_X19Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y131 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y131 NAME CLBLL_R_X19Y131 TILEPROP CLBLL_R_X19Y131 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y131 NUM_SITES 2 TILEPROP CLBLL_R_X19Y131 ROW 19 TILEPROP CLBLL_R_X19Y131 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y131 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y131 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y131 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y131 TILE_X -9348 TILEPROP CLBLL_R_X19Y131 TILE_Y 183096 TILEPROP CLBLL_R_X19Y131 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y132 CLASS tile TILEPROP CLBLL_R_X19Y132 COLUMN 51 TILEPROP CLBLL_R_X19Y132 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y132 FIRST_SITE_ID 1829 TILEPROP CLBLL_R_X19Y132 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y132 GRID_POINT_Y 18 TILEPROP CLBLL_R_X19Y132 INDEX 2121 TILEPROP CLBLL_R_X19Y132 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y132 INT_TILE_Y 17 TILEPROP CLBLL_R_X19Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y132 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y132 NAME CLBLL_R_X19Y132 TILEPROP CLBLL_R_X19Y132 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y132 NUM_SITES 2 TILEPROP CLBLL_R_X19Y132 ROW 18 TILEPROP CLBLL_R_X19Y132 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y132 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y132 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y132 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y132 TILE_X -9348 TILEPROP CLBLL_R_X19Y132 TILE_Y 186296 TILEPROP CLBLL_R_X19Y132 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y133 CLASS tile TILEPROP CLBLL_R_X19Y133 COLUMN 51 TILEPROP CLBLL_R_X19Y133 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y133 FIRST_SITE_ID 1728 TILEPROP CLBLL_R_X19Y133 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y133 GRID_POINT_Y 17 TILEPROP CLBLL_R_X19Y133 INDEX 2006 TILEPROP CLBLL_R_X19Y133 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y133 INT_TILE_Y 16 TILEPROP CLBLL_R_X19Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y133 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y133 NAME CLBLL_R_X19Y133 TILEPROP CLBLL_R_X19Y133 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y133 NUM_SITES 2 TILEPROP CLBLL_R_X19Y133 ROW 17 TILEPROP CLBLL_R_X19Y133 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y133 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y133 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y133 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y133 TILE_X -9348 TILEPROP CLBLL_R_X19Y133 TILE_Y 189496 TILEPROP CLBLL_R_X19Y133 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y134 CLASS tile TILEPROP CLBLL_R_X19Y134 COLUMN 51 TILEPROP CLBLL_R_X19Y134 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y134 FIRST_SITE_ID 1624 TILEPROP CLBLL_R_X19Y134 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y134 GRID_POINT_Y 16 TILEPROP CLBLL_R_X19Y134 INDEX 1891 TILEPROP CLBLL_R_X19Y134 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y134 INT_TILE_Y 15 TILEPROP CLBLL_R_X19Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y134 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y134 NAME CLBLL_R_X19Y134 TILEPROP CLBLL_R_X19Y134 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y134 NUM_SITES 2 TILEPROP CLBLL_R_X19Y134 ROW 16 TILEPROP CLBLL_R_X19Y134 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y134 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y134 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y134 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y134 TILE_X -9348 TILEPROP CLBLL_R_X19Y134 TILE_Y 192696 TILEPROP CLBLL_R_X19Y134 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y135 CLASS tile TILEPROP CLBLL_R_X19Y135 COLUMN 51 TILEPROP CLBLL_R_X19Y135 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y135 FIRST_SITE_ID 1522 TILEPROP CLBLL_R_X19Y135 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y135 GRID_POINT_Y 15 TILEPROP CLBLL_R_X19Y135 INDEX 1776 TILEPROP CLBLL_R_X19Y135 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y135 INT_TILE_Y 14 TILEPROP CLBLL_R_X19Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y135 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y135 NAME CLBLL_R_X19Y135 TILEPROP CLBLL_R_X19Y135 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y135 NUM_SITES 2 TILEPROP CLBLL_R_X19Y135 ROW 15 TILEPROP CLBLL_R_X19Y135 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y135 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y135 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y135 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y135 TILE_X -9348 TILEPROP CLBLL_R_X19Y135 TILE_Y 195896 TILEPROP CLBLL_R_X19Y135 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y136 CLASS tile TILEPROP CLBLL_R_X19Y136 COLUMN 51 TILEPROP CLBLL_R_X19Y136 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y136 FIRST_SITE_ID 1380 TILEPROP CLBLL_R_X19Y136 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y136 GRID_POINT_Y 14 TILEPROP CLBLL_R_X19Y136 INDEX 1661 TILEPROP CLBLL_R_X19Y136 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y136 INT_TILE_Y 13 TILEPROP CLBLL_R_X19Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y136 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y136 NAME CLBLL_R_X19Y136 TILEPROP CLBLL_R_X19Y136 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y136 NUM_SITES 2 TILEPROP CLBLL_R_X19Y136 ROW 14 TILEPROP CLBLL_R_X19Y136 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y136 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y136 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y136 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y136 TILE_X -9348 TILEPROP CLBLL_R_X19Y136 TILE_Y 199096 TILEPROP CLBLL_R_X19Y136 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y137 CLASS tile TILEPROP CLBLL_R_X19Y137 COLUMN 51 TILEPROP CLBLL_R_X19Y137 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y137 FIRST_SITE_ID 1284 TILEPROP CLBLL_R_X19Y137 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y137 GRID_POINT_Y 13 TILEPROP CLBLL_R_X19Y137 INDEX 1546 TILEPROP CLBLL_R_X19Y137 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y137 INT_TILE_Y 12 TILEPROP CLBLL_R_X19Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y137 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y137 NAME CLBLL_R_X19Y137 TILEPROP CLBLL_R_X19Y137 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y137 NUM_SITES 2 TILEPROP CLBLL_R_X19Y137 ROW 13 TILEPROP CLBLL_R_X19Y137 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y137 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y137 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y137 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y137 TILE_X -9348 TILEPROP CLBLL_R_X19Y137 TILE_Y 202296 TILEPROP CLBLL_R_X19Y137 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y138 CLASS tile TILEPROP CLBLL_R_X19Y138 COLUMN 51 TILEPROP CLBLL_R_X19Y138 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y138 FIRST_SITE_ID 1180 TILEPROP CLBLL_R_X19Y138 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y138 GRID_POINT_Y 12 TILEPROP CLBLL_R_X19Y138 INDEX 1431 TILEPROP CLBLL_R_X19Y138 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y138 INT_TILE_Y 11 TILEPROP CLBLL_R_X19Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y138 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y138 NAME CLBLL_R_X19Y138 TILEPROP CLBLL_R_X19Y138 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y138 NUM_SITES 2 TILEPROP CLBLL_R_X19Y138 ROW 12 TILEPROP CLBLL_R_X19Y138 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y138 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y138 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y138 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y138 TILE_X -9348 TILEPROP CLBLL_R_X19Y138 TILE_Y 205496 TILEPROP CLBLL_R_X19Y138 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y139 CLASS tile TILEPROP CLBLL_R_X19Y139 COLUMN 51 TILEPROP CLBLL_R_X19Y139 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y139 FIRST_SITE_ID 1084 TILEPROP CLBLL_R_X19Y139 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y139 GRID_POINT_Y 11 TILEPROP CLBLL_R_X19Y139 INDEX 1316 TILEPROP CLBLL_R_X19Y139 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y139 INT_TILE_Y 10 TILEPROP CLBLL_R_X19Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y139 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y139 NAME CLBLL_R_X19Y139 TILEPROP CLBLL_R_X19Y139 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y139 NUM_SITES 2 TILEPROP CLBLL_R_X19Y139 ROW 11 TILEPROP CLBLL_R_X19Y139 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y139 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y139 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y139 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y139 TILE_X -9348 TILEPROP CLBLL_R_X19Y139 TILE_Y 208696 TILEPROP CLBLL_R_X19Y139 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y140 CLASS tile TILEPROP CLBLL_R_X19Y140 COLUMN 51 TILEPROP CLBLL_R_X19Y140 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y140 FIRST_SITE_ID 974 TILEPROP CLBLL_R_X19Y140 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y140 GRID_POINT_Y 10 TILEPROP CLBLL_R_X19Y140 INDEX 1201 TILEPROP CLBLL_R_X19Y140 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y140 INT_TILE_Y 9 TILEPROP CLBLL_R_X19Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y140 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y140 NAME CLBLL_R_X19Y140 TILEPROP CLBLL_R_X19Y140 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y140 NUM_SITES 2 TILEPROP CLBLL_R_X19Y140 ROW 10 TILEPROP CLBLL_R_X19Y140 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y140 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y140 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y140 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y140 TILE_X -9348 TILEPROP CLBLL_R_X19Y140 TILE_Y 211896 TILEPROP CLBLL_R_X19Y140 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y141 CLASS tile TILEPROP CLBLL_R_X19Y141 COLUMN 51 TILEPROP CLBLL_R_X19Y141 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y141 FIRST_SITE_ID 872 TILEPROP CLBLL_R_X19Y141 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y141 GRID_POINT_Y 9 TILEPROP CLBLL_R_X19Y141 INDEX 1086 TILEPROP CLBLL_R_X19Y141 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y141 INT_TILE_Y 8 TILEPROP CLBLL_R_X19Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y141 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y141 NAME CLBLL_R_X19Y141 TILEPROP CLBLL_R_X19Y141 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y141 NUM_SITES 2 TILEPROP CLBLL_R_X19Y141 ROW 9 TILEPROP CLBLL_R_X19Y141 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y141 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y141 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y141 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y141 TILE_X -9348 TILEPROP CLBLL_R_X19Y141 TILE_Y 215096 TILEPROP CLBLL_R_X19Y141 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y142 CLASS tile TILEPROP CLBLL_R_X19Y142 COLUMN 51 TILEPROP CLBLL_R_X19Y142 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y142 FIRST_SITE_ID 768 TILEPROP CLBLL_R_X19Y142 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y142 GRID_POINT_Y 8 TILEPROP CLBLL_R_X19Y142 INDEX 971 TILEPROP CLBLL_R_X19Y142 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y142 INT_TILE_Y 7 TILEPROP CLBLL_R_X19Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y142 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y142 NAME CLBLL_R_X19Y142 TILEPROP CLBLL_R_X19Y142 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y142 NUM_SITES 2 TILEPROP CLBLL_R_X19Y142 ROW 8 TILEPROP CLBLL_R_X19Y142 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y142 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y142 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y142 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y142 TILE_X -9348 TILEPROP CLBLL_R_X19Y142 TILE_Y 218296 TILEPROP CLBLL_R_X19Y142 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y143 CLASS tile TILEPROP CLBLL_R_X19Y143 COLUMN 51 TILEPROP CLBLL_R_X19Y143 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y143 FIRST_SITE_ID 671 TILEPROP CLBLL_R_X19Y143 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y143 GRID_POINT_Y 7 TILEPROP CLBLL_R_X19Y143 INDEX 856 TILEPROP CLBLL_R_X19Y143 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y143 INT_TILE_Y 6 TILEPROP CLBLL_R_X19Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y143 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y143 NAME CLBLL_R_X19Y143 TILEPROP CLBLL_R_X19Y143 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y143 NUM_SITES 2 TILEPROP CLBLL_R_X19Y143 ROW 7 TILEPROP CLBLL_R_X19Y143 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y143 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y143 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y143 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y143 TILE_X -9348 TILEPROP CLBLL_R_X19Y143 TILE_Y 221496 TILEPROP CLBLL_R_X19Y143 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y144 CLASS tile TILEPROP CLBLL_R_X19Y144 COLUMN 51 TILEPROP CLBLL_R_X19Y144 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y144 FIRST_SITE_ID 560 TILEPROP CLBLL_R_X19Y144 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y144 GRID_POINT_Y 6 TILEPROP CLBLL_R_X19Y144 INDEX 741 TILEPROP CLBLL_R_X19Y144 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y144 INT_TILE_Y 5 TILEPROP CLBLL_R_X19Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y144 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y144 NAME CLBLL_R_X19Y144 TILEPROP CLBLL_R_X19Y144 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y144 NUM_SITES 2 TILEPROP CLBLL_R_X19Y144 ROW 6 TILEPROP CLBLL_R_X19Y144 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y144 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y144 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y144 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y144 TILE_X -9348 TILEPROP CLBLL_R_X19Y144 TILE_Y 224696 TILEPROP CLBLL_R_X19Y144 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y145 CLASS tile TILEPROP CLBLL_R_X19Y145 COLUMN 51 TILEPROP CLBLL_R_X19Y145 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y145 FIRST_SITE_ID 458 TILEPROP CLBLL_R_X19Y145 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y145 GRID_POINT_Y 5 TILEPROP CLBLL_R_X19Y145 INDEX 626 TILEPROP CLBLL_R_X19Y145 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y145 INT_TILE_Y 4 TILEPROP CLBLL_R_X19Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y145 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y145 NAME CLBLL_R_X19Y145 TILEPROP CLBLL_R_X19Y145 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y145 NUM_SITES 2 TILEPROP CLBLL_R_X19Y145 ROW 5 TILEPROP CLBLL_R_X19Y145 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y145 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y145 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y145 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y145 TILE_X -9348 TILEPROP CLBLL_R_X19Y145 TILE_Y 227896 TILEPROP CLBLL_R_X19Y145 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y146 CLASS tile TILEPROP CLBLL_R_X19Y146 COLUMN 51 TILEPROP CLBLL_R_X19Y146 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y146 FIRST_SITE_ID 348 TILEPROP CLBLL_R_X19Y146 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y146 GRID_POINT_Y 4 TILEPROP CLBLL_R_X19Y146 INDEX 511 TILEPROP CLBLL_R_X19Y146 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y146 INT_TILE_Y 3 TILEPROP CLBLL_R_X19Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y146 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y146 NAME CLBLL_R_X19Y146 TILEPROP CLBLL_R_X19Y146 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y146 NUM_SITES 2 TILEPROP CLBLL_R_X19Y146 ROW 4 TILEPROP CLBLL_R_X19Y146 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y146 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y146 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y146 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y146 TILE_X -9348 TILEPROP CLBLL_R_X19Y146 TILE_Y 231096 TILEPROP CLBLL_R_X19Y146 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y147 CLASS tile TILEPROP CLBLL_R_X19Y147 COLUMN 51 TILEPROP CLBLL_R_X19Y147 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y147 FIRST_SITE_ID 252 TILEPROP CLBLL_R_X19Y147 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y147 GRID_POINT_Y 3 TILEPROP CLBLL_R_X19Y147 INDEX 396 TILEPROP CLBLL_R_X19Y147 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y147 INT_TILE_Y 2 TILEPROP CLBLL_R_X19Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y147 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y147 NAME CLBLL_R_X19Y147 TILEPROP CLBLL_R_X19Y147 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y147 NUM_SITES 2 TILEPROP CLBLL_R_X19Y147 ROW 3 TILEPROP CLBLL_R_X19Y147 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y147 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y147 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y147 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y147 TILE_X -9348 TILEPROP CLBLL_R_X19Y147 TILE_Y 234296 TILEPROP CLBLL_R_X19Y147 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y148 CLASS tile TILEPROP CLBLL_R_X19Y148 COLUMN 51 TILEPROP CLBLL_R_X19Y148 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y148 FIRST_SITE_ID 148 TILEPROP CLBLL_R_X19Y148 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y148 GRID_POINT_Y 2 TILEPROP CLBLL_R_X19Y148 INDEX 281 TILEPROP CLBLL_R_X19Y148 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y148 INT_TILE_Y 1 TILEPROP CLBLL_R_X19Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y148 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y148 NAME CLBLL_R_X19Y148 TILEPROP CLBLL_R_X19Y148 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y148 NUM_SITES 2 TILEPROP CLBLL_R_X19Y148 ROW 2 TILEPROP CLBLL_R_X19Y148 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y148 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X19Y148 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y148 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y148 TILE_X -9348 TILEPROP CLBLL_R_X19Y148 TILE_Y 237496 TILEPROP CLBLL_R_X19Y148 TYPE CLBLL_R TILEPROP CLBLL_R_X19Y149 CLASS tile TILEPROP CLBLL_R_X19Y149 COLUMN 51 TILEPROP CLBLL_R_X19Y149 DEVICE_ID 0 TILEPROP CLBLL_R_X19Y149 FIRST_SITE_ID 52 TILEPROP CLBLL_R_X19Y149 GRID_POINT_X 51 TILEPROP CLBLL_R_X19Y149 GRID_POINT_Y 1 TILEPROP CLBLL_R_X19Y149 INDEX 166 TILEPROP CLBLL_R_X19Y149 INT_TILE_X 19 TILEPROP CLBLL_R_X19Y149 INT_TILE_Y 0 TILEPROP CLBLL_R_X19Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X19Y149 IS_DCM_TILE 0 TILEPROP CLBLL_R_X19Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X19Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X19Y149 NAME CLBLL_R_X19Y149 TILEPROP CLBLL_R_X19Y149 NUM_ARCS 146 TILEPROP CLBLL_R_X19Y149 NUM_SITES 2 TILEPROP CLBLL_R_X19Y149 ROW 1 TILEPROP CLBLL_R_X19Y149 SLR_REGION_ID 0 TILEPROP CLBLL_R_X19Y149 TILE_PATTERN_IDX 42 TILEPROP CLBLL_R_X19Y149 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X19Y149 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X19Y149 TILE_X -9348 TILEPROP CLBLL_R_X19Y149 TILE_Y 240696 TILEPROP CLBLL_R_X19Y149 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y0 CLASS tile TILEPROP CLBLL_R_X21Y0 COLUMN 55 TILEPROP CLBLL_R_X21Y0 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y0 FIRST_SITE_ID 15748 TILEPROP CLBLL_R_X21Y0 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y0 GRID_POINT_Y 155 TILEPROP CLBLL_R_X21Y0 INDEX 17880 TILEPROP CLBLL_R_X21Y0 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y0 INT_TILE_Y 149 TILEPROP CLBLL_R_X21Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y0 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y0 NAME CLBLL_R_X21Y0 TILEPROP CLBLL_R_X21Y0 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y0 NUM_SITES 2 TILEPROP CLBLL_R_X21Y0 ROW 155 TILEPROP CLBLL_R_X21Y0 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y0 TILE_PATTERN_IDX 3523 TILEPROP CLBLL_R_X21Y0 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y0 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y0 TILE_X -1044 TILEPROP CLBLL_R_X21Y0 TILE_Y -239672 TILEPROP CLBLL_R_X21Y0 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y1 CLASS tile TILEPROP CLBLL_R_X21Y1 COLUMN 55 TILEPROP CLBLL_R_X21Y1 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y1 FIRST_SITE_ID 15637 TILEPROP CLBLL_R_X21Y1 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y1 GRID_POINT_Y 154 TILEPROP CLBLL_R_X21Y1 INDEX 17765 TILEPROP CLBLL_R_X21Y1 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y1 INT_TILE_Y 148 TILEPROP CLBLL_R_X21Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y1 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y1 NAME CLBLL_R_X21Y1 TILEPROP CLBLL_R_X21Y1 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y1 NUM_SITES 2 TILEPROP CLBLL_R_X21Y1 ROW 154 TILEPROP CLBLL_R_X21Y1 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y1 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y1 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y1 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y1 TILE_X -1044 TILEPROP CLBLL_R_X21Y1 TILE_Y -236472 TILEPROP CLBLL_R_X21Y1 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y2 CLASS tile TILEPROP CLBLL_R_X21Y2 COLUMN 55 TILEPROP CLBLL_R_X21Y2 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y2 FIRST_SITE_ID 15537 TILEPROP CLBLL_R_X21Y2 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y2 GRID_POINT_Y 153 TILEPROP CLBLL_R_X21Y2 INDEX 17650 TILEPROP CLBLL_R_X21Y2 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y2 INT_TILE_Y 147 TILEPROP CLBLL_R_X21Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y2 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y2 NAME CLBLL_R_X21Y2 TILEPROP CLBLL_R_X21Y2 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y2 NUM_SITES 2 TILEPROP CLBLL_R_X21Y2 ROW 153 TILEPROP CLBLL_R_X21Y2 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y2 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y2 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y2 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y2 TILE_X -1044 TILEPROP CLBLL_R_X21Y2 TILE_Y -233272 TILEPROP CLBLL_R_X21Y2 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y3 CLASS tile TILEPROP CLBLL_R_X21Y3 COLUMN 55 TILEPROP CLBLL_R_X21Y3 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y3 FIRST_SITE_ID 15437 TILEPROP CLBLL_R_X21Y3 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y3 GRID_POINT_Y 152 TILEPROP CLBLL_R_X21Y3 INDEX 17535 TILEPROP CLBLL_R_X21Y3 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y3 INT_TILE_Y 146 TILEPROP CLBLL_R_X21Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y3 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y3 NAME CLBLL_R_X21Y3 TILEPROP CLBLL_R_X21Y3 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y3 NUM_SITES 2 TILEPROP CLBLL_R_X21Y3 ROW 152 TILEPROP CLBLL_R_X21Y3 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y3 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y3 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y3 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y3 TILE_X -1044 TILEPROP CLBLL_R_X21Y3 TILE_Y -230072 TILEPROP CLBLL_R_X21Y3 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y4 CLASS tile TILEPROP CLBLL_R_X21Y4 COLUMN 55 TILEPROP CLBLL_R_X21Y4 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y4 FIRST_SITE_ID 15337 TILEPROP CLBLL_R_X21Y4 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y4 GRID_POINT_Y 151 TILEPROP CLBLL_R_X21Y4 INDEX 17420 TILEPROP CLBLL_R_X21Y4 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y4 INT_TILE_Y 145 TILEPROP CLBLL_R_X21Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y4 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y4 NAME CLBLL_R_X21Y4 TILEPROP CLBLL_R_X21Y4 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y4 NUM_SITES 2 TILEPROP CLBLL_R_X21Y4 ROW 151 TILEPROP CLBLL_R_X21Y4 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y4 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y4 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y4 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y4 TILE_X -1044 TILEPROP CLBLL_R_X21Y4 TILE_Y -226872 TILEPROP CLBLL_R_X21Y4 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y5 CLASS tile TILEPROP CLBLL_R_X21Y5 COLUMN 55 TILEPROP CLBLL_R_X21Y5 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y5 FIRST_SITE_ID 15228 TILEPROP CLBLL_R_X21Y5 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y5 GRID_POINT_Y 150 TILEPROP CLBLL_R_X21Y5 INDEX 17305 TILEPROP CLBLL_R_X21Y5 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y5 INT_TILE_Y 144 TILEPROP CLBLL_R_X21Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y5 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y5 NAME CLBLL_R_X21Y5 TILEPROP CLBLL_R_X21Y5 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y5 NUM_SITES 2 TILEPROP CLBLL_R_X21Y5 ROW 150 TILEPROP CLBLL_R_X21Y5 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y5 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y5 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y5 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y5 TILE_X -1044 TILEPROP CLBLL_R_X21Y5 TILE_Y -223672 TILEPROP CLBLL_R_X21Y5 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y6 CLASS tile TILEPROP CLBLL_R_X21Y6 COLUMN 55 TILEPROP CLBLL_R_X21Y6 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y6 FIRST_SITE_ID 15122 TILEPROP CLBLL_R_X21Y6 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y6 GRID_POINT_Y 149 TILEPROP CLBLL_R_X21Y6 INDEX 17190 TILEPROP CLBLL_R_X21Y6 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y6 INT_TILE_Y 143 TILEPROP CLBLL_R_X21Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y6 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y6 NAME CLBLL_R_X21Y6 TILEPROP CLBLL_R_X21Y6 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y6 NUM_SITES 2 TILEPROP CLBLL_R_X21Y6 ROW 149 TILEPROP CLBLL_R_X21Y6 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y6 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y6 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y6 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y6 TILE_X -1044 TILEPROP CLBLL_R_X21Y6 TILE_Y -220472 TILEPROP CLBLL_R_X21Y6 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y7 CLASS tile TILEPROP CLBLL_R_X21Y7 COLUMN 55 TILEPROP CLBLL_R_X21Y7 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y7 FIRST_SITE_ID 15020 TILEPROP CLBLL_R_X21Y7 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y7 GRID_POINT_Y 148 TILEPROP CLBLL_R_X21Y7 INDEX 17075 TILEPROP CLBLL_R_X21Y7 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y7 INT_TILE_Y 142 TILEPROP CLBLL_R_X21Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y7 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y7 NAME CLBLL_R_X21Y7 TILEPROP CLBLL_R_X21Y7 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y7 NUM_SITES 2 TILEPROP CLBLL_R_X21Y7 ROW 148 TILEPROP CLBLL_R_X21Y7 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y7 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y7 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y7 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y7 TILE_X -1044 TILEPROP CLBLL_R_X21Y7 TILE_Y -217272 TILEPROP CLBLL_R_X21Y7 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y8 CLASS tile TILEPROP CLBLL_R_X21Y8 COLUMN 55 TILEPROP CLBLL_R_X21Y8 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y8 FIRST_SITE_ID 14917 TILEPROP CLBLL_R_X21Y8 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y8 GRID_POINT_Y 147 TILEPROP CLBLL_R_X21Y8 INDEX 16960 TILEPROP CLBLL_R_X21Y8 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y8 INT_TILE_Y 141 TILEPROP CLBLL_R_X21Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y8 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y8 NAME CLBLL_R_X21Y8 TILEPROP CLBLL_R_X21Y8 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y8 NUM_SITES 2 TILEPROP CLBLL_R_X21Y8 ROW 147 TILEPROP CLBLL_R_X21Y8 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y8 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y8 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y8 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y8 TILE_X -1044 TILEPROP CLBLL_R_X21Y8 TILE_Y -214072 TILEPROP CLBLL_R_X21Y8 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y9 CLASS tile TILEPROP CLBLL_R_X21Y9 COLUMN 55 TILEPROP CLBLL_R_X21Y9 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y9 FIRST_SITE_ID 14816 TILEPROP CLBLL_R_X21Y9 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y9 GRID_POINT_Y 146 TILEPROP CLBLL_R_X21Y9 INDEX 16845 TILEPROP CLBLL_R_X21Y9 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y9 INT_TILE_Y 140 TILEPROP CLBLL_R_X21Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y9 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y9 NAME CLBLL_R_X21Y9 TILEPROP CLBLL_R_X21Y9 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y9 NUM_SITES 2 TILEPROP CLBLL_R_X21Y9 ROW 146 TILEPROP CLBLL_R_X21Y9 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y9 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y9 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y9 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y9 TILE_X -1044 TILEPROP CLBLL_R_X21Y9 TILE_Y -210872 TILEPROP CLBLL_R_X21Y9 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y10 CLASS tile TILEPROP CLBLL_R_X21Y10 COLUMN 55 TILEPROP CLBLL_R_X21Y10 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y10 FIRST_SITE_ID 14707 TILEPROP CLBLL_R_X21Y10 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y10 GRID_POINT_Y 145 TILEPROP CLBLL_R_X21Y10 INDEX 16730 TILEPROP CLBLL_R_X21Y10 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y10 INT_TILE_Y 139 TILEPROP CLBLL_R_X21Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y10 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y10 NAME CLBLL_R_X21Y10 TILEPROP CLBLL_R_X21Y10 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y10 NUM_SITES 2 TILEPROP CLBLL_R_X21Y10 ROW 145 TILEPROP CLBLL_R_X21Y10 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y10 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y10 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y10 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y10 TILE_X -1044 TILEPROP CLBLL_R_X21Y10 TILE_Y -207672 TILEPROP CLBLL_R_X21Y10 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y11 CLASS tile TILEPROP CLBLL_R_X21Y11 COLUMN 55 TILEPROP CLBLL_R_X21Y11 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y11 FIRST_SITE_ID 14601 TILEPROP CLBLL_R_X21Y11 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y11 GRID_POINT_Y 144 TILEPROP CLBLL_R_X21Y11 INDEX 16615 TILEPROP CLBLL_R_X21Y11 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y11 INT_TILE_Y 138 TILEPROP CLBLL_R_X21Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y11 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y11 NAME CLBLL_R_X21Y11 TILEPROP CLBLL_R_X21Y11 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y11 NUM_SITES 2 TILEPROP CLBLL_R_X21Y11 ROW 144 TILEPROP CLBLL_R_X21Y11 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y11 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y11 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y11 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y11 TILE_X -1044 TILEPROP CLBLL_R_X21Y11 TILE_Y -204472 TILEPROP CLBLL_R_X21Y11 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y12 CLASS tile TILEPROP CLBLL_R_X21Y12 COLUMN 55 TILEPROP CLBLL_R_X21Y12 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y12 FIRST_SITE_ID 14469 TILEPROP CLBLL_R_X21Y12 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y12 GRID_POINT_Y 143 TILEPROP CLBLL_R_X21Y12 INDEX 16500 TILEPROP CLBLL_R_X21Y12 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y12 INT_TILE_Y 137 TILEPROP CLBLL_R_X21Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y12 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y12 NAME CLBLL_R_X21Y12 TILEPROP CLBLL_R_X21Y12 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y12 NUM_SITES 2 TILEPROP CLBLL_R_X21Y12 ROW 143 TILEPROP CLBLL_R_X21Y12 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y12 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y12 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y12 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y12 TILE_X -1044 TILEPROP CLBLL_R_X21Y12 TILE_Y -201272 TILEPROP CLBLL_R_X21Y12 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y13 CLASS tile TILEPROP CLBLL_R_X21Y13 COLUMN 55 TILEPROP CLBLL_R_X21Y13 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y13 FIRST_SITE_ID 14369 TILEPROP CLBLL_R_X21Y13 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y13 GRID_POINT_Y 142 TILEPROP CLBLL_R_X21Y13 INDEX 16385 TILEPROP CLBLL_R_X21Y13 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y13 INT_TILE_Y 136 TILEPROP CLBLL_R_X21Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y13 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y13 NAME CLBLL_R_X21Y13 TILEPROP CLBLL_R_X21Y13 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y13 NUM_SITES 2 TILEPROP CLBLL_R_X21Y13 ROW 142 TILEPROP CLBLL_R_X21Y13 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y13 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y13 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y13 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y13 TILE_X -1044 TILEPROP CLBLL_R_X21Y13 TILE_Y -198072 TILEPROP CLBLL_R_X21Y13 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y14 CLASS tile TILEPROP CLBLL_R_X21Y14 COLUMN 55 TILEPROP CLBLL_R_X21Y14 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y14 FIRST_SITE_ID 14269 TILEPROP CLBLL_R_X21Y14 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y14 GRID_POINT_Y 141 TILEPROP CLBLL_R_X21Y14 INDEX 16270 TILEPROP CLBLL_R_X21Y14 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y14 INT_TILE_Y 135 TILEPROP CLBLL_R_X21Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y14 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y14 NAME CLBLL_R_X21Y14 TILEPROP CLBLL_R_X21Y14 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y14 NUM_SITES 2 TILEPROP CLBLL_R_X21Y14 ROW 141 TILEPROP CLBLL_R_X21Y14 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y14 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y14 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y14 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y14 TILE_X -1044 TILEPROP CLBLL_R_X21Y14 TILE_Y -194872 TILEPROP CLBLL_R_X21Y14 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y15 CLASS tile TILEPROP CLBLL_R_X21Y15 COLUMN 55 TILEPROP CLBLL_R_X21Y15 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y15 FIRST_SITE_ID 14160 TILEPROP CLBLL_R_X21Y15 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y15 GRID_POINT_Y 140 TILEPROP CLBLL_R_X21Y15 INDEX 16155 TILEPROP CLBLL_R_X21Y15 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y15 INT_TILE_Y 134 TILEPROP CLBLL_R_X21Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y15 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y15 NAME CLBLL_R_X21Y15 TILEPROP CLBLL_R_X21Y15 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y15 NUM_SITES 2 TILEPROP CLBLL_R_X21Y15 ROW 140 TILEPROP CLBLL_R_X21Y15 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y15 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y15 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y15 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y15 TILE_X -1044 TILEPROP CLBLL_R_X21Y15 TILE_Y -191672 TILEPROP CLBLL_R_X21Y15 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y16 CLASS tile TILEPROP CLBLL_R_X21Y16 COLUMN 55 TILEPROP CLBLL_R_X21Y16 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y16 FIRST_SITE_ID 14054 TILEPROP CLBLL_R_X21Y16 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y16 GRID_POINT_Y 139 TILEPROP CLBLL_R_X21Y16 INDEX 16040 TILEPROP CLBLL_R_X21Y16 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y16 INT_TILE_Y 133 TILEPROP CLBLL_R_X21Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y16 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y16 NAME CLBLL_R_X21Y16 TILEPROP CLBLL_R_X21Y16 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y16 NUM_SITES 2 TILEPROP CLBLL_R_X21Y16 ROW 139 TILEPROP CLBLL_R_X21Y16 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y16 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y16 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y16 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y16 TILE_X -1044 TILEPROP CLBLL_R_X21Y16 TILE_Y -188472 TILEPROP CLBLL_R_X21Y16 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y17 CLASS tile TILEPROP CLBLL_R_X21Y17 COLUMN 55 TILEPROP CLBLL_R_X21Y17 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y17 FIRST_SITE_ID 13949 TILEPROP CLBLL_R_X21Y17 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y17 GRID_POINT_Y 138 TILEPROP CLBLL_R_X21Y17 INDEX 15925 TILEPROP CLBLL_R_X21Y17 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y17 INT_TILE_Y 132 TILEPROP CLBLL_R_X21Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y17 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y17 NAME CLBLL_R_X21Y17 TILEPROP CLBLL_R_X21Y17 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y17 NUM_SITES 2 TILEPROP CLBLL_R_X21Y17 ROW 138 TILEPROP CLBLL_R_X21Y17 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y17 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y17 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y17 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y17 TILE_X -1044 TILEPROP CLBLL_R_X21Y17 TILE_Y -185272 TILEPROP CLBLL_R_X21Y17 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y18 CLASS tile TILEPROP CLBLL_R_X21Y18 COLUMN 55 TILEPROP CLBLL_R_X21Y18 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y18 FIRST_SITE_ID 13845 TILEPROP CLBLL_R_X21Y18 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y18 GRID_POINT_Y 137 TILEPROP CLBLL_R_X21Y18 INDEX 15810 TILEPROP CLBLL_R_X21Y18 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y18 INT_TILE_Y 131 TILEPROP CLBLL_R_X21Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y18 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y18 NAME CLBLL_R_X21Y18 TILEPROP CLBLL_R_X21Y18 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y18 NUM_SITES 2 TILEPROP CLBLL_R_X21Y18 ROW 137 TILEPROP CLBLL_R_X21Y18 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y18 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y18 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y18 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y18 TILE_X -1044 TILEPROP CLBLL_R_X21Y18 TILE_Y -182072 TILEPROP CLBLL_R_X21Y18 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y19 CLASS tile TILEPROP CLBLL_R_X21Y19 COLUMN 55 TILEPROP CLBLL_R_X21Y19 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y19 FIRST_SITE_ID 13743 TILEPROP CLBLL_R_X21Y19 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y19 GRID_POINT_Y 136 TILEPROP CLBLL_R_X21Y19 INDEX 15695 TILEPROP CLBLL_R_X21Y19 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y19 INT_TILE_Y 130 TILEPROP CLBLL_R_X21Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y19 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y19 NAME CLBLL_R_X21Y19 TILEPROP CLBLL_R_X21Y19 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y19 NUM_SITES 2 TILEPROP CLBLL_R_X21Y19 ROW 136 TILEPROP CLBLL_R_X21Y19 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y19 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y19 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y19 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y19 TILE_X -1044 TILEPROP CLBLL_R_X21Y19 TILE_Y -178872 TILEPROP CLBLL_R_X21Y19 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y20 CLASS tile TILEPROP CLBLL_R_X21Y20 COLUMN 55 TILEPROP CLBLL_R_X21Y20 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y20 FIRST_SITE_ID 13632 TILEPROP CLBLL_R_X21Y20 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y20 GRID_POINT_Y 135 TILEPROP CLBLL_R_X21Y20 INDEX 15580 TILEPROP CLBLL_R_X21Y20 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y20 INT_TILE_Y 129 TILEPROP CLBLL_R_X21Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y20 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y20 NAME CLBLL_R_X21Y20 TILEPROP CLBLL_R_X21Y20 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y20 NUM_SITES 2 TILEPROP CLBLL_R_X21Y20 ROW 135 TILEPROP CLBLL_R_X21Y20 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y20 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y20 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y20 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y20 TILE_X -1044 TILEPROP CLBLL_R_X21Y20 TILE_Y -175672 TILEPROP CLBLL_R_X21Y20 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y21 CLASS tile TILEPROP CLBLL_R_X21Y21 COLUMN 55 TILEPROP CLBLL_R_X21Y21 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y21 FIRST_SITE_ID 13526 TILEPROP CLBLL_R_X21Y21 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y21 GRID_POINT_Y 134 TILEPROP CLBLL_R_X21Y21 INDEX 15465 TILEPROP CLBLL_R_X21Y21 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y21 INT_TILE_Y 128 TILEPROP CLBLL_R_X21Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y21 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y21 NAME CLBLL_R_X21Y21 TILEPROP CLBLL_R_X21Y21 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y21 NUM_SITES 2 TILEPROP CLBLL_R_X21Y21 ROW 134 TILEPROP CLBLL_R_X21Y21 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y21 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y21 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y21 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y21 TILE_X -1044 TILEPROP CLBLL_R_X21Y21 TILE_Y -172472 TILEPROP CLBLL_R_X21Y21 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y22 CLASS tile TILEPROP CLBLL_R_X21Y22 COLUMN 55 TILEPROP CLBLL_R_X21Y22 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y22 FIRST_SITE_ID 13426 TILEPROP CLBLL_R_X21Y22 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y22 GRID_POINT_Y 133 TILEPROP CLBLL_R_X21Y22 INDEX 15350 TILEPROP CLBLL_R_X21Y22 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y22 INT_TILE_Y 127 TILEPROP CLBLL_R_X21Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y22 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y22 NAME CLBLL_R_X21Y22 TILEPROP CLBLL_R_X21Y22 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y22 NUM_SITES 2 TILEPROP CLBLL_R_X21Y22 ROW 133 TILEPROP CLBLL_R_X21Y22 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y22 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y22 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y22 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y22 TILE_X -1044 TILEPROP CLBLL_R_X21Y22 TILE_Y -169272 TILEPROP CLBLL_R_X21Y22 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y23 CLASS tile TILEPROP CLBLL_R_X21Y23 COLUMN 55 TILEPROP CLBLL_R_X21Y23 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y23 FIRST_SITE_ID 13326 TILEPROP CLBLL_R_X21Y23 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y23 GRID_POINT_Y 132 TILEPROP CLBLL_R_X21Y23 INDEX 15235 TILEPROP CLBLL_R_X21Y23 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y23 INT_TILE_Y 126 TILEPROP CLBLL_R_X21Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y23 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y23 NAME CLBLL_R_X21Y23 TILEPROP CLBLL_R_X21Y23 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y23 NUM_SITES 2 TILEPROP CLBLL_R_X21Y23 ROW 132 TILEPROP CLBLL_R_X21Y23 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y23 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y23 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y23 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y23 TILE_X -1044 TILEPROP CLBLL_R_X21Y23 TILE_Y -166072 TILEPROP CLBLL_R_X21Y23 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y24 CLASS tile TILEPROP CLBLL_R_X21Y24 COLUMN 55 TILEPROP CLBLL_R_X21Y24 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y24 FIRST_SITE_ID 13226 TILEPROP CLBLL_R_X21Y24 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y24 GRID_POINT_Y 131 TILEPROP CLBLL_R_X21Y24 INDEX 15120 TILEPROP CLBLL_R_X21Y24 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y24 INT_TILE_Y 125 TILEPROP CLBLL_R_X21Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y24 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y24 NAME CLBLL_R_X21Y24 TILEPROP CLBLL_R_X21Y24 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y24 NUM_SITES 2 TILEPROP CLBLL_R_X21Y24 ROW 131 TILEPROP CLBLL_R_X21Y24 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y24 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X21Y24 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y24 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y24 TILE_X -1044 TILEPROP CLBLL_R_X21Y24 TILE_Y -162872 TILEPROP CLBLL_R_X21Y24 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y25 CLASS tile TILEPROP CLBLL_R_X21Y25 COLUMN 55 TILEPROP CLBLL_R_X21Y25 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y25 FIRST_SITE_ID 13034 TILEPROP CLBLL_R_X21Y25 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y25 GRID_POINT_Y 129 TILEPROP CLBLL_R_X21Y25 INDEX 14890 TILEPROP CLBLL_R_X21Y25 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y25 INT_TILE_Y 124 TILEPROP CLBLL_R_X21Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y25 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y25 NAME CLBLL_R_X21Y25 TILEPROP CLBLL_R_X21Y25 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y25 NUM_SITES 2 TILEPROP CLBLL_R_X21Y25 ROW 129 TILEPROP CLBLL_R_X21Y25 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y25 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X21Y25 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y25 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y25 TILE_X -1044 TILEPROP CLBLL_R_X21Y25 TILE_Y -158648 TILEPROP CLBLL_R_X21Y25 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y26 CLASS tile TILEPROP CLBLL_R_X21Y26 COLUMN 55 TILEPROP CLBLL_R_X21Y26 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y26 FIRST_SITE_ID 12928 TILEPROP CLBLL_R_X21Y26 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y26 GRID_POINT_Y 128 TILEPROP CLBLL_R_X21Y26 INDEX 14775 TILEPROP CLBLL_R_X21Y26 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y26 INT_TILE_Y 123 TILEPROP CLBLL_R_X21Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y26 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y26 NAME CLBLL_R_X21Y26 TILEPROP CLBLL_R_X21Y26 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y26 NUM_SITES 2 TILEPROP CLBLL_R_X21Y26 ROW 128 TILEPROP CLBLL_R_X21Y26 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y26 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y26 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y26 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y26 TILE_X -1044 TILEPROP CLBLL_R_X21Y26 TILE_Y -155448 TILEPROP CLBLL_R_X21Y26 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y27 CLASS tile TILEPROP CLBLL_R_X21Y27 COLUMN 55 TILEPROP CLBLL_R_X21Y27 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y27 FIRST_SITE_ID 12828 TILEPROP CLBLL_R_X21Y27 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y27 GRID_POINT_Y 127 TILEPROP CLBLL_R_X21Y27 INDEX 14660 TILEPROP CLBLL_R_X21Y27 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y27 INT_TILE_Y 122 TILEPROP CLBLL_R_X21Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y27 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y27 NAME CLBLL_R_X21Y27 TILEPROP CLBLL_R_X21Y27 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y27 NUM_SITES 2 TILEPROP CLBLL_R_X21Y27 ROW 127 TILEPROP CLBLL_R_X21Y27 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y27 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y27 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y27 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y27 TILE_X -1044 TILEPROP CLBLL_R_X21Y27 TILE_Y -152248 TILEPROP CLBLL_R_X21Y27 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y28 CLASS tile TILEPROP CLBLL_R_X21Y28 COLUMN 55 TILEPROP CLBLL_R_X21Y28 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y28 FIRST_SITE_ID 12728 TILEPROP CLBLL_R_X21Y28 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y28 GRID_POINT_Y 126 TILEPROP CLBLL_R_X21Y28 INDEX 14545 TILEPROP CLBLL_R_X21Y28 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y28 INT_TILE_Y 121 TILEPROP CLBLL_R_X21Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y28 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y28 NAME CLBLL_R_X21Y28 TILEPROP CLBLL_R_X21Y28 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y28 NUM_SITES 2 TILEPROP CLBLL_R_X21Y28 ROW 126 TILEPROP CLBLL_R_X21Y28 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y28 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y28 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y28 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y28 TILE_X -1044 TILEPROP CLBLL_R_X21Y28 TILE_Y -149048 TILEPROP CLBLL_R_X21Y28 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y29 CLASS tile TILEPROP CLBLL_R_X21Y29 COLUMN 55 TILEPROP CLBLL_R_X21Y29 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y29 FIRST_SITE_ID 12622 TILEPROP CLBLL_R_X21Y29 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y29 GRID_POINT_Y 125 TILEPROP CLBLL_R_X21Y29 INDEX 14430 TILEPROP CLBLL_R_X21Y29 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y29 INT_TILE_Y 120 TILEPROP CLBLL_R_X21Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y29 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y29 NAME CLBLL_R_X21Y29 TILEPROP CLBLL_R_X21Y29 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y29 NUM_SITES 2 TILEPROP CLBLL_R_X21Y29 ROW 125 TILEPROP CLBLL_R_X21Y29 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y29 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y29 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y29 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y29 TILE_X -1044 TILEPROP CLBLL_R_X21Y29 TILE_Y -145848 TILEPROP CLBLL_R_X21Y29 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y30 CLASS tile TILEPROP CLBLL_R_X21Y30 COLUMN 55 TILEPROP CLBLL_R_X21Y30 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y30 FIRST_SITE_ID 12507 TILEPROP CLBLL_R_X21Y30 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y30 GRID_POINT_Y 124 TILEPROP CLBLL_R_X21Y30 INDEX 14315 TILEPROP CLBLL_R_X21Y30 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y30 INT_TILE_Y 119 TILEPROP CLBLL_R_X21Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y30 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y30 NAME CLBLL_R_X21Y30 TILEPROP CLBLL_R_X21Y30 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y30 NUM_SITES 2 TILEPROP CLBLL_R_X21Y30 ROW 124 TILEPROP CLBLL_R_X21Y30 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y30 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y30 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y30 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y30 TILE_X -1044 TILEPROP CLBLL_R_X21Y30 TILE_Y -142648 TILEPROP CLBLL_R_X21Y30 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y31 CLASS tile TILEPROP CLBLL_R_X21Y31 COLUMN 55 TILEPROP CLBLL_R_X21Y31 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y31 FIRST_SITE_ID 12386 TILEPROP CLBLL_R_X21Y31 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y31 GRID_POINT_Y 123 TILEPROP CLBLL_R_X21Y31 INDEX 14200 TILEPROP CLBLL_R_X21Y31 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y31 INT_TILE_Y 118 TILEPROP CLBLL_R_X21Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y31 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y31 NAME CLBLL_R_X21Y31 TILEPROP CLBLL_R_X21Y31 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y31 NUM_SITES 2 TILEPROP CLBLL_R_X21Y31 ROW 123 TILEPROP CLBLL_R_X21Y31 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y31 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y31 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y31 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y31 TILE_X -1044 TILEPROP CLBLL_R_X21Y31 TILE_Y -139448 TILEPROP CLBLL_R_X21Y31 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y32 CLASS tile TILEPROP CLBLL_R_X21Y32 COLUMN 55 TILEPROP CLBLL_R_X21Y32 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y32 FIRST_SITE_ID 12283 TILEPROP CLBLL_R_X21Y32 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y32 GRID_POINT_Y 122 TILEPROP CLBLL_R_X21Y32 INDEX 14085 TILEPROP CLBLL_R_X21Y32 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y32 INT_TILE_Y 117 TILEPROP CLBLL_R_X21Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y32 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y32 NAME CLBLL_R_X21Y32 TILEPROP CLBLL_R_X21Y32 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y32 NUM_SITES 2 TILEPROP CLBLL_R_X21Y32 ROW 122 TILEPROP CLBLL_R_X21Y32 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y32 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y32 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y32 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y32 TILE_X -1044 TILEPROP CLBLL_R_X21Y32 TILE_Y -136248 TILEPROP CLBLL_R_X21Y32 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y33 CLASS tile TILEPROP CLBLL_R_X21Y33 COLUMN 55 TILEPROP CLBLL_R_X21Y33 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y33 FIRST_SITE_ID 12183 TILEPROP CLBLL_R_X21Y33 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y33 GRID_POINT_Y 121 TILEPROP CLBLL_R_X21Y33 INDEX 13970 TILEPROP CLBLL_R_X21Y33 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y33 INT_TILE_Y 116 TILEPROP CLBLL_R_X21Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y33 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y33 NAME CLBLL_R_X21Y33 TILEPROP CLBLL_R_X21Y33 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y33 NUM_SITES 2 TILEPROP CLBLL_R_X21Y33 ROW 121 TILEPROP CLBLL_R_X21Y33 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y33 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y33 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y33 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y33 TILE_X -1044 TILEPROP CLBLL_R_X21Y33 TILE_Y -133048 TILEPROP CLBLL_R_X21Y33 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y34 CLASS tile TILEPROP CLBLL_R_X21Y34 COLUMN 55 TILEPROP CLBLL_R_X21Y34 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y34 FIRST_SITE_ID 12083 TILEPROP CLBLL_R_X21Y34 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y34 GRID_POINT_Y 120 TILEPROP CLBLL_R_X21Y34 INDEX 13855 TILEPROP CLBLL_R_X21Y34 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y34 INT_TILE_Y 115 TILEPROP CLBLL_R_X21Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y34 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y34 NAME CLBLL_R_X21Y34 TILEPROP CLBLL_R_X21Y34 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y34 NUM_SITES 2 TILEPROP CLBLL_R_X21Y34 ROW 120 TILEPROP CLBLL_R_X21Y34 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y34 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y34 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y34 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y34 TILE_X -1044 TILEPROP CLBLL_R_X21Y34 TILE_Y -129848 TILEPROP CLBLL_R_X21Y34 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y35 CLASS tile TILEPROP CLBLL_R_X21Y35 COLUMN 55 TILEPROP CLBLL_R_X21Y35 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y35 FIRST_SITE_ID 11974 TILEPROP CLBLL_R_X21Y35 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y35 GRID_POINT_Y 119 TILEPROP CLBLL_R_X21Y35 INDEX 13740 TILEPROP CLBLL_R_X21Y35 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y35 INT_TILE_Y 114 TILEPROP CLBLL_R_X21Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y35 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y35 NAME CLBLL_R_X21Y35 TILEPROP CLBLL_R_X21Y35 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y35 NUM_SITES 2 TILEPROP CLBLL_R_X21Y35 ROW 119 TILEPROP CLBLL_R_X21Y35 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y35 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y35 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y35 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y35 TILE_X -1044 TILEPROP CLBLL_R_X21Y35 TILE_Y -126648 TILEPROP CLBLL_R_X21Y35 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y36 CLASS tile TILEPROP CLBLL_R_X21Y36 COLUMN 55 TILEPROP CLBLL_R_X21Y36 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y36 FIRST_SITE_ID 11836 TILEPROP CLBLL_R_X21Y36 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y36 GRID_POINT_Y 118 TILEPROP CLBLL_R_X21Y36 INDEX 13625 TILEPROP CLBLL_R_X21Y36 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y36 INT_TILE_Y 113 TILEPROP CLBLL_R_X21Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y36 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y36 NAME CLBLL_R_X21Y36 TILEPROP CLBLL_R_X21Y36 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y36 NUM_SITES 2 TILEPROP CLBLL_R_X21Y36 ROW 118 TILEPROP CLBLL_R_X21Y36 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y36 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y36 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y36 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y36 TILE_X -1044 TILEPROP CLBLL_R_X21Y36 TILE_Y -123448 TILEPROP CLBLL_R_X21Y36 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y37 CLASS tile TILEPROP CLBLL_R_X21Y37 COLUMN 55 TILEPROP CLBLL_R_X21Y37 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y37 FIRST_SITE_ID 11736 TILEPROP CLBLL_R_X21Y37 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y37 GRID_POINT_Y 117 TILEPROP CLBLL_R_X21Y37 INDEX 13510 TILEPROP CLBLL_R_X21Y37 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y37 INT_TILE_Y 112 TILEPROP CLBLL_R_X21Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y37 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y37 NAME CLBLL_R_X21Y37 TILEPROP CLBLL_R_X21Y37 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y37 NUM_SITES 2 TILEPROP CLBLL_R_X21Y37 ROW 117 TILEPROP CLBLL_R_X21Y37 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y37 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y37 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y37 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y37 TILE_X -1044 TILEPROP CLBLL_R_X21Y37 TILE_Y -120248 TILEPROP CLBLL_R_X21Y37 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y38 CLASS tile TILEPROP CLBLL_R_X21Y38 COLUMN 55 TILEPROP CLBLL_R_X21Y38 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y38 FIRST_SITE_ID 11636 TILEPROP CLBLL_R_X21Y38 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y38 GRID_POINT_Y 116 TILEPROP CLBLL_R_X21Y38 INDEX 13395 TILEPROP CLBLL_R_X21Y38 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y38 INT_TILE_Y 111 TILEPROP CLBLL_R_X21Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y38 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y38 NAME CLBLL_R_X21Y38 TILEPROP CLBLL_R_X21Y38 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y38 NUM_SITES 2 TILEPROP CLBLL_R_X21Y38 ROW 116 TILEPROP CLBLL_R_X21Y38 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y38 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y38 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y38 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y38 TILE_X -1044 TILEPROP CLBLL_R_X21Y38 TILE_Y -117048 TILEPROP CLBLL_R_X21Y38 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y39 CLASS tile TILEPROP CLBLL_R_X21Y39 COLUMN 55 TILEPROP CLBLL_R_X21Y39 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y39 FIRST_SITE_ID 11536 TILEPROP CLBLL_R_X21Y39 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y39 GRID_POINT_Y 115 TILEPROP CLBLL_R_X21Y39 INDEX 13280 TILEPROP CLBLL_R_X21Y39 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y39 INT_TILE_Y 110 TILEPROP CLBLL_R_X21Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y39 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y39 NAME CLBLL_R_X21Y39 TILEPROP CLBLL_R_X21Y39 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y39 NUM_SITES 2 TILEPROP CLBLL_R_X21Y39 ROW 115 TILEPROP CLBLL_R_X21Y39 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y39 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y39 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y39 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y39 TILE_X -1044 TILEPROP CLBLL_R_X21Y39 TILE_Y -113848 TILEPROP CLBLL_R_X21Y39 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y40 CLASS tile TILEPROP CLBLL_R_X21Y40 COLUMN 55 TILEPROP CLBLL_R_X21Y40 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y40 FIRST_SITE_ID 11427 TILEPROP CLBLL_R_X21Y40 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y40 GRID_POINT_Y 114 TILEPROP CLBLL_R_X21Y40 INDEX 13165 TILEPROP CLBLL_R_X21Y40 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y40 INT_TILE_Y 109 TILEPROP CLBLL_R_X21Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y40 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y40 NAME CLBLL_R_X21Y40 TILEPROP CLBLL_R_X21Y40 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y40 NUM_SITES 2 TILEPROP CLBLL_R_X21Y40 ROW 114 TILEPROP CLBLL_R_X21Y40 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y40 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y40 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y40 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y40 TILE_X -1044 TILEPROP CLBLL_R_X21Y40 TILE_Y -110648 TILEPROP CLBLL_R_X21Y40 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y41 CLASS tile TILEPROP CLBLL_R_X21Y41 COLUMN 55 TILEPROP CLBLL_R_X21Y41 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y41 FIRST_SITE_ID 11318 TILEPROP CLBLL_R_X21Y41 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y41 GRID_POINT_Y 113 TILEPROP CLBLL_R_X21Y41 INDEX 13050 TILEPROP CLBLL_R_X21Y41 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y41 INT_TILE_Y 108 TILEPROP CLBLL_R_X21Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y41 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y41 NAME CLBLL_R_X21Y41 TILEPROP CLBLL_R_X21Y41 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y41 NUM_SITES 2 TILEPROP CLBLL_R_X21Y41 ROW 113 TILEPROP CLBLL_R_X21Y41 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y41 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y41 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y41 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y41 TILE_X -1044 TILEPROP CLBLL_R_X21Y41 TILE_Y -107448 TILEPROP CLBLL_R_X21Y41 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y42 CLASS tile TILEPROP CLBLL_R_X21Y42 COLUMN 55 TILEPROP CLBLL_R_X21Y42 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y42 FIRST_SITE_ID 11217 TILEPROP CLBLL_R_X21Y42 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y42 GRID_POINT_Y 112 TILEPROP CLBLL_R_X21Y42 INDEX 12935 TILEPROP CLBLL_R_X21Y42 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y42 INT_TILE_Y 107 TILEPROP CLBLL_R_X21Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y42 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y42 NAME CLBLL_R_X21Y42 TILEPROP CLBLL_R_X21Y42 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y42 NUM_SITES 2 TILEPROP CLBLL_R_X21Y42 ROW 112 TILEPROP CLBLL_R_X21Y42 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y42 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y42 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y42 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y42 TILE_X -1044 TILEPROP CLBLL_R_X21Y42 TILE_Y -104248 TILEPROP CLBLL_R_X21Y42 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y43 CLASS tile TILEPROP CLBLL_R_X21Y43 COLUMN 55 TILEPROP CLBLL_R_X21Y43 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y43 FIRST_SITE_ID 11114 TILEPROP CLBLL_R_X21Y43 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y43 GRID_POINT_Y 111 TILEPROP CLBLL_R_X21Y43 INDEX 12820 TILEPROP CLBLL_R_X21Y43 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y43 INT_TILE_Y 106 TILEPROP CLBLL_R_X21Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y43 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y43 NAME CLBLL_R_X21Y43 TILEPROP CLBLL_R_X21Y43 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y43 NUM_SITES 2 TILEPROP CLBLL_R_X21Y43 ROW 111 TILEPROP CLBLL_R_X21Y43 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y43 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y43 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y43 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y43 TILE_X -1044 TILEPROP CLBLL_R_X21Y43 TILE_Y -101048 TILEPROP CLBLL_R_X21Y43 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y44 CLASS tile TILEPROP CLBLL_R_X21Y44 COLUMN 55 TILEPROP CLBLL_R_X21Y44 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y44 FIRST_SITE_ID 11012 TILEPROP CLBLL_R_X21Y44 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y44 GRID_POINT_Y 110 TILEPROP CLBLL_R_X21Y44 INDEX 12705 TILEPROP CLBLL_R_X21Y44 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y44 INT_TILE_Y 105 TILEPROP CLBLL_R_X21Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y44 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y44 NAME CLBLL_R_X21Y44 TILEPROP CLBLL_R_X21Y44 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y44 NUM_SITES 2 TILEPROP CLBLL_R_X21Y44 ROW 110 TILEPROP CLBLL_R_X21Y44 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y44 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y44 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y44 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y44 TILE_X -1044 TILEPROP CLBLL_R_X21Y44 TILE_Y -97848 TILEPROP CLBLL_R_X21Y44 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y45 CLASS tile TILEPROP CLBLL_R_X21Y45 COLUMN 55 TILEPROP CLBLL_R_X21Y45 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y45 FIRST_SITE_ID 10902 TILEPROP CLBLL_R_X21Y45 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y45 GRID_POINT_Y 109 TILEPROP CLBLL_R_X21Y45 INDEX 12590 TILEPROP CLBLL_R_X21Y45 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y45 INT_TILE_Y 104 TILEPROP CLBLL_R_X21Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y45 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y45 NAME CLBLL_R_X21Y45 TILEPROP CLBLL_R_X21Y45 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y45 NUM_SITES 2 TILEPROP CLBLL_R_X21Y45 ROW 109 TILEPROP CLBLL_R_X21Y45 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y45 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y45 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y45 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y45 TILE_X -1044 TILEPROP CLBLL_R_X21Y45 TILE_Y -94648 TILEPROP CLBLL_R_X21Y45 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y46 CLASS tile TILEPROP CLBLL_R_X21Y46 COLUMN 55 TILEPROP CLBLL_R_X21Y46 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y46 FIRST_SITE_ID 10780 TILEPROP CLBLL_R_X21Y46 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y46 GRID_POINT_Y 108 TILEPROP CLBLL_R_X21Y46 INDEX 12475 TILEPROP CLBLL_R_X21Y46 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y46 INT_TILE_Y 103 TILEPROP CLBLL_R_X21Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y46 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y46 NAME CLBLL_R_X21Y46 TILEPROP CLBLL_R_X21Y46 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y46 NUM_SITES 2 TILEPROP CLBLL_R_X21Y46 ROW 108 TILEPROP CLBLL_R_X21Y46 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y46 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y46 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y46 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y46 TILE_X -1044 TILEPROP CLBLL_R_X21Y46 TILE_Y -91448 TILEPROP CLBLL_R_X21Y46 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y47 CLASS tile TILEPROP CLBLL_R_X21Y47 COLUMN 55 TILEPROP CLBLL_R_X21Y47 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y47 FIRST_SITE_ID 10680 TILEPROP CLBLL_R_X21Y47 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y47 GRID_POINT_Y 107 TILEPROP CLBLL_R_X21Y47 INDEX 12360 TILEPROP CLBLL_R_X21Y47 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y47 INT_TILE_Y 102 TILEPROP CLBLL_R_X21Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y47 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y47 NAME CLBLL_R_X21Y47 TILEPROP CLBLL_R_X21Y47 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y47 NUM_SITES 2 TILEPROP CLBLL_R_X21Y47 ROW 107 TILEPROP CLBLL_R_X21Y47 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y47 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y47 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y47 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y47 TILE_X -1044 TILEPROP CLBLL_R_X21Y47 TILE_Y -88248 TILEPROP CLBLL_R_X21Y47 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y48 CLASS tile TILEPROP CLBLL_R_X21Y48 COLUMN 55 TILEPROP CLBLL_R_X21Y48 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y48 FIRST_SITE_ID 10580 TILEPROP CLBLL_R_X21Y48 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y48 GRID_POINT_Y 106 TILEPROP CLBLL_R_X21Y48 INDEX 12245 TILEPROP CLBLL_R_X21Y48 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y48 INT_TILE_Y 101 TILEPROP CLBLL_R_X21Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y48 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y48 NAME CLBLL_R_X21Y48 TILEPROP CLBLL_R_X21Y48 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y48 NUM_SITES 2 TILEPROP CLBLL_R_X21Y48 ROW 106 TILEPROP CLBLL_R_X21Y48 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y48 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y48 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y48 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y48 TILE_X -1044 TILEPROP CLBLL_R_X21Y48 TILE_Y -85048 TILEPROP CLBLL_R_X21Y48 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y49 CLASS tile TILEPROP CLBLL_R_X21Y49 COLUMN 55 TILEPROP CLBLL_R_X21Y49 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y49 FIRST_SITE_ID 10484 TILEPROP CLBLL_R_X21Y49 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y49 GRID_POINT_Y 105 TILEPROP CLBLL_R_X21Y49 INDEX 12130 TILEPROP CLBLL_R_X21Y49 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y49 INT_TILE_Y 100 TILEPROP CLBLL_R_X21Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y49 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y49 NAME CLBLL_R_X21Y49 TILEPROP CLBLL_R_X21Y49 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y49 NUM_SITES 2 TILEPROP CLBLL_R_X21Y49 ROW 105 TILEPROP CLBLL_R_X21Y49 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y49 TILE_PATTERN_IDX 1669 TILEPROP CLBLL_R_X21Y49 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y49 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y49 TILE_X -1044 TILEPROP CLBLL_R_X21Y49 TILE_Y -81848 TILEPROP CLBLL_R_X21Y49 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y50 CLASS tile TILEPROP CLBLL_R_X21Y50 COLUMN 55 TILEPROP CLBLL_R_X21Y50 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y50 FIRST_SITE_ID 10359 TILEPROP CLBLL_R_X21Y50 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y50 GRID_POINT_Y 103 TILEPROP CLBLL_R_X21Y50 INDEX 11900 TILEPROP CLBLL_R_X21Y50 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y50 INT_TILE_Y 99 TILEPROP CLBLL_R_X21Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y50 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y50 NAME CLBLL_R_X21Y50 TILEPROP CLBLL_R_X21Y50 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y50 NUM_SITES 2 TILEPROP CLBLL_R_X21Y50 ROW 103 TILEPROP CLBLL_R_X21Y50 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y50 TILE_PATTERN_IDX 1625 TILEPROP CLBLL_R_X21Y50 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y50 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y50 TILE_X -1044 TILEPROP CLBLL_R_X21Y50 TILE_Y -78400 TILEPROP CLBLL_R_X21Y50 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y51 CLASS tile TILEPROP CLBLL_R_X21Y51 COLUMN 55 TILEPROP CLBLL_R_X21Y51 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y51 FIRST_SITE_ID 10249 TILEPROP CLBLL_R_X21Y51 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y51 GRID_POINT_Y 102 TILEPROP CLBLL_R_X21Y51 INDEX 11785 TILEPROP CLBLL_R_X21Y51 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y51 INT_TILE_Y 98 TILEPROP CLBLL_R_X21Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y51 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y51 NAME CLBLL_R_X21Y51 TILEPROP CLBLL_R_X21Y51 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y51 NUM_SITES 2 TILEPROP CLBLL_R_X21Y51 ROW 102 TILEPROP CLBLL_R_X21Y51 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y51 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y51 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y51 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y51 TILE_X -1044 TILEPROP CLBLL_R_X21Y51 TILE_Y -75200 TILEPROP CLBLL_R_X21Y51 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y52 CLASS tile TILEPROP CLBLL_R_X21Y52 COLUMN 55 TILEPROP CLBLL_R_X21Y52 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y52 FIRST_SITE_ID 10149 TILEPROP CLBLL_R_X21Y52 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y52 GRID_POINT_Y 101 TILEPROP CLBLL_R_X21Y52 INDEX 11670 TILEPROP CLBLL_R_X21Y52 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y52 INT_TILE_Y 97 TILEPROP CLBLL_R_X21Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y52 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y52 NAME CLBLL_R_X21Y52 TILEPROP CLBLL_R_X21Y52 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y52 NUM_SITES 2 TILEPROP CLBLL_R_X21Y52 ROW 101 TILEPROP CLBLL_R_X21Y52 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y52 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y52 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y52 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y52 TILE_X -1044 TILEPROP CLBLL_R_X21Y52 TILE_Y -72000 TILEPROP CLBLL_R_X21Y52 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y53 CLASS tile TILEPROP CLBLL_R_X21Y53 COLUMN 55 TILEPROP CLBLL_R_X21Y53 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y53 FIRST_SITE_ID 10049 TILEPROP CLBLL_R_X21Y53 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y53 GRID_POINT_Y 100 TILEPROP CLBLL_R_X21Y53 INDEX 11555 TILEPROP CLBLL_R_X21Y53 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y53 INT_TILE_Y 96 TILEPROP CLBLL_R_X21Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y53 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y53 NAME CLBLL_R_X21Y53 TILEPROP CLBLL_R_X21Y53 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y53 NUM_SITES 2 TILEPROP CLBLL_R_X21Y53 ROW 100 TILEPROP CLBLL_R_X21Y53 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y53 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y53 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y53 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y53 TILE_X -1044 TILEPROP CLBLL_R_X21Y53 TILE_Y -68800 TILEPROP CLBLL_R_X21Y53 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y54 CLASS tile TILEPROP CLBLL_R_X21Y54 COLUMN 55 TILEPROP CLBLL_R_X21Y54 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y54 FIRST_SITE_ID 9949 TILEPROP CLBLL_R_X21Y54 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y54 GRID_POINT_Y 99 TILEPROP CLBLL_R_X21Y54 INDEX 11440 TILEPROP CLBLL_R_X21Y54 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y54 INT_TILE_Y 95 TILEPROP CLBLL_R_X21Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y54 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y54 NAME CLBLL_R_X21Y54 TILEPROP CLBLL_R_X21Y54 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y54 NUM_SITES 2 TILEPROP CLBLL_R_X21Y54 ROW 99 TILEPROP CLBLL_R_X21Y54 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y54 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y54 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y54 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y54 TILE_X -1044 TILEPROP CLBLL_R_X21Y54 TILE_Y -65600 TILEPROP CLBLL_R_X21Y54 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y55 CLASS tile TILEPROP CLBLL_R_X21Y55 COLUMN 55 TILEPROP CLBLL_R_X21Y55 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y55 FIRST_SITE_ID 9840 TILEPROP CLBLL_R_X21Y55 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y55 GRID_POINT_Y 98 TILEPROP CLBLL_R_X21Y55 INDEX 11325 TILEPROP CLBLL_R_X21Y55 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y55 INT_TILE_Y 94 TILEPROP CLBLL_R_X21Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y55 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y55 NAME CLBLL_R_X21Y55 TILEPROP CLBLL_R_X21Y55 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y55 NUM_SITES 2 TILEPROP CLBLL_R_X21Y55 ROW 98 TILEPROP CLBLL_R_X21Y55 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y55 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y55 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y55 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y55 TILE_X -1044 TILEPROP CLBLL_R_X21Y55 TILE_Y -62400 TILEPROP CLBLL_R_X21Y55 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y56 CLASS tile TILEPROP CLBLL_R_X21Y56 COLUMN 55 TILEPROP CLBLL_R_X21Y56 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y56 FIRST_SITE_ID 9734 TILEPROP CLBLL_R_X21Y56 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y56 GRID_POINT_Y 97 TILEPROP CLBLL_R_X21Y56 INDEX 11210 TILEPROP CLBLL_R_X21Y56 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y56 INT_TILE_Y 93 TILEPROP CLBLL_R_X21Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y56 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y56 NAME CLBLL_R_X21Y56 TILEPROP CLBLL_R_X21Y56 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y56 NUM_SITES 2 TILEPROP CLBLL_R_X21Y56 ROW 97 TILEPROP CLBLL_R_X21Y56 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y56 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y56 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y56 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y56 TILE_X -1044 TILEPROP CLBLL_R_X21Y56 TILE_Y -59200 TILEPROP CLBLL_R_X21Y56 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y57 CLASS tile TILEPROP CLBLL_R_X21Y57 COLUMN 55 TILEPROP CLBLL_R_X21Y57 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y57 FIRST_SITE_ID 9632 TILEPROP CLBLL_R_X21Y57 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y57 GRID_POINT_Y 96 TILEPROP CLBLL_R_X21Y57 INDEX 11095 TILEPROP CLBLL_R_X21Y57 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y57 INT_TILE_Y 92 TILEPROP CLBLL_R_X21Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y57 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y57 NAME CLBLL_R_X21Y57 TILEPROP CLBLL_R_X21Y57 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y57 NUM_SITES 2 TILEPROP CLBLL_R_X21Y57 ROW 96 TILEPROP CLBLL_R_X21Y57 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y57 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y57 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y57 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y57 TILE_X -1044 TILEPROP CLBLL_R_X21Y57 TILE_Y -56000 TILEPROP CLBLL_R_X21Y57 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y58 CLASS tile TILEPROP CLBLL_R_X21Y58 COLUMN 55 TILEPROP CLBLL_R_X21Y58 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y58 FIRST_SITE_ID 9529 TILEPROP CLBLL_R_X21Y58 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y58 GRID_POINT_Y 95 TILEPROP CLBLL_R_X21Y58 INDEX 10980 TILEPROP CLBLL_R_X21Y58 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y58 INT_TILE_Y 91 TILEPROP CLBLL_R_X21Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y58 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y58 NAME CLBLL_R_X21Y58 TILEPROP CLBLL_R_X21Y58 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y58 NUM_SITES 2 TILEPROP CLBLL_R_X21Y58 ROW 95 TILEPROP CLBLL_R_X21Y58 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y58 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y58 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y58 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y58 TILE_X -1044 TILEPROP CLBLL_R_X21Y58 TILE_Y -52800 TILEPROP CLBLL_R_X21Y58 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y59 CLASS tile TILEPROP CLBLL_R_X21Y59 COLUMN 55 TILEPROP CLBLL_R_X21Y59 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y59 FIRST_SITE_ID 9428 TILEPROP CLBLL_R_X21Y59 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y59 GRID_POINT_Y 94 TILEPROP CLBLL_R_X21Y59 INDEX 10865 TILEPROP CLBLL_R_X21Y59 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y59 INT_TILE_Y 90 TILEPROP CLBLL_R_X21Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y59 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y59 NAME CLBLL_R_X21Y59 TILEPROP CLBLL_R_X21Y59 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y59 NUM_SITES 2 TILEPROP CLBLL_R_X21Y59 ROW 94 TILEPROP CLBLL_R_X21Y59 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y59 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y59 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y59 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y59 TILE_X -1044 TILEPROP CLBLL_R_X21Y59 TILE_Y -49600 TILEPROP CLBLL_R_X21Y59 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y60 CLASS tile TILEPROP CLBLL_R_X21Y60 COLUMN 55 TILEPROP CLBLL_R_X21Y60 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y60 FIRST_SITE_ID 9319 TILEPROP CLBLL_R_X21Y60 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y60 GRID_POINT_Y 93 TILEPROP CLBLL_R_X21Y60 INDEX 10750 TILEPROP CLBLL_R_X21Y60 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y60 INT_TILE_Y 89 TILEPROP CLBLL_R_X21Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y60 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y60 NAME CLBLL_R_X21Y60 TILEPROP CLBLL_R_X21Y60 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y60 NUM_SITES 2 TILEPROP CLBLL_R_X21Y60 ROW 93 TILEPROP CLBLL_R_X21Y60 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y60 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y60 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y60 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y60 TILE_X -1044 TILEPROP CLBLL_R_X21Y60 TILE_Y -46400 TILEPROP CLBLL_R_X21Y60 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y61 CLASS tile TILEPROP CLBLL_R_X21Y61 COLUMN 55 TILEPROP CLBLL_R_X21Y61 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y61 FIRST_SITE_ID 9213 TILEPROP CLBLL_R_X21Y61 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y61 GRID_POINT_Y 92 TILEPROP CLBLL_R_X21Y61 INDEX 10635 TILEPROP CLBLL_R_X21Y61 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y61 INT_TILE_Y 88 TILEPROP CLBLL_R_X21Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y61 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y61 NAME CLBLL_R_X21Y61 TILEPROP CLBLL_R_X21Y61 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y61 NUM_SITES 2 TILEPROP CLBLL_R_X21Y61 ROW 92 TILEPROP CLBLL_R_X21Y61 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y61 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y61 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y61 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y61 TILE_X -1044 TILEPROP CLBLL_R_X21Y61 TILE_Y -43200 TILEPROP CLBLL_R_X21Y61 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y62 CLASS tile TILEPROP CLBLL_R_X21Y62 COLUMN 55 TILEPROP CLBLL_R_X21Y62 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y62 FIRST_SITE_ID 9081 TILEPROP CLBLL_R_X21Y62 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y62 GRID_POINT_Y 91 TILEPROP CLBLL_R_X21Y62 INDEX 10520 TILEPROP CLBLL_R_X21Y62 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y62 INT_TILE_Y 87 TILEPROP CLBLL_R_X21Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y62 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y62 NAME CLBLL_R_X21Y62 TILEPROP CLBLL_R_X21Y62 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y62 NUM_SITES 2 TILEPROP CLBLL_R_X21Y62 ROW 91 TILEPROP CLBLL_R_X21Y62 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y62 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y62 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y62 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y62 TILE_X -1044 TILEPROP CLBLL_R_X21Y62 TILE_Y -40000 TILEPROP CLBLL_R_X21Y62 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y63 CLASS tile TILEPROP CLBLL_R_X21Y63 COLUMN 55 TILEPROP CLBLL_R_X21Y63 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y63 FIRST_SITE_ID 8981 TILEPROP CLBLL_R_X21Y63 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y63 GRID_POINT_Y 90 TILEPROP CLBLL_R_X21Y63 INDEX 10405 TILEPROP CLBLL_R_X21Y63 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y63 INT_TILE_Y 86 TILEPROP CLBLL_R_X21Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y63 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y63 NAME CLBLL_R_X21Y63 TILEPROP CLBLL_R_X21Y63 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y63 NUM_SITES 2 TILEPROP CLBLL_R_X21Y63 ROW 90 TILEPROP CLBLL_R_X21Y63 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y63 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y63 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y63 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y63 TILE_X -1044 TILEPROP CLBLL_R_X21Y63 TILE_Y -36800 TILEPROP CLBLL_R_X21Y63 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y64 CLASS tile TILEPROP CLBLL_R_X21Y64 COLUMN 55 TILEPROP CLBLL_R_X21Y64 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y64 FIRST_SITE_ID 8881 TILEPROP CLBLL_R_X21Y64 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y64 GRID_POINT_Y 89 TILEPROP CLBLL_R_X21Y64 INDEX 10290 TILEPROP CLBLL_R_X21Y64 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y64 INT_TILE_Y 85 TILEPROP CLBLL_R_X21Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y64 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y64 NAME CLBLL_R_X21Y64 TILEPROP CLBLL_R_X21Y64 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y64 NUM_SITES 2 TILEPROP CLBLL_R_X21Y64 ROW 89 TILEPROP CLBLL_R_X21Y64 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y64 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y64 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y64 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y64 TILE_X -1044 TILEPROP CLBLL_R_X21Y64 TILE_Y -33600 TILEPROP CLBLL_R_X21Y64 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y65 CLASS tile TILEPROP CLBLL_R_X21Y65 COLUMN 55 TILEPROP CLBLL_R_X21Y65 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y65 FIRST_SITE_ID 8772 TILEPROP CLBLL_R_X21Y65 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y65 GRID_POINT_Y 88 TILEPROP CLBLL_R_X21Y65 INDEX 10175 TILEPROP CLBLL_R_X21Y65 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y65 INT_TILE_Y 84 TILEPROP CLBLL_R_X21Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y65 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y65 NAME CLBLL_R_X21Y65 TILEPROP CLBLL_R_X21Y65 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y65 NUM_SITES 2 TILEPROP CLBLL_R_X21Y65 ROW 88 TILEPROP CLBLL_R_X21Y65 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y65 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y65 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y65 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y65 TILE_X -1044 TILEPROP CLBLL_R_X21Y65 TILE_Y -30400 TILEPROP CLBLL_R_X21Y65 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y66 CLASS tile TILEPROP CLBLL_R_X21Y66 COLUMN 55 TILEPROP CLBLL_R_X21Y66 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y66 FIRST_SITE_ID 8666 TILEPROP CLBLL_R_X21Y66 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y66 GRID_POINT_Y 87 TILEPROP CLBLL_R_X21Y66 INDEX 10060 TILEPROP CLBLL_R_X21Y66 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y66 INT_TILE_Y 83 TILEPROP CLBLL_R_X21Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y66 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y66 NAME CLBLL_R_X21Y66 TILEPROP CLBLL_R_X21Y66 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y66 NUM_SITES 2 TILEPROP CLBLL_R_X21Y66 ROW 87 TILEPROP CLBLL_R_X21Y66 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y66 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y66 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y66 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y66 TILE_X -1044 TILEPROP CLBLL_R_X21Y66 TILE_Y -27200 TILEPROP CLBLL_R_X21Y66 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y67 CLASS tile TILEPROP CLBLL_R_X21Y67 COLUMN 55 TILEPROP CLBLL_R_X21Y67 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y67 FIRST_SITE_ID 8562 TILEPROP CLBLL_R_X21Y67 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y67 GRID_POINT_Y 86 TILEPROP CLBLL_R_X21Y67 INDEX 9945 TILEPROP CLBLL_R_X21Y67 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y67 INT_TILE_Y 82 TILEPROP CLBLL_R_X21Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y67 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y67 NAME CLBLL_R_X21Y67 TILEPROP CLBLL_R_X21Y67 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y67 NUM_SITES 2 TILEPROP CLBLL_R_X21Y67 ROW 86 TILEPROP CLBLL_R_X21Y67 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y67 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y67 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y67 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y67 TILE_X -1044 TILEPROP CLBLL_R_X21Y67 TILE_Y -24000 TILEPROP CLBLL_R_X21Y67 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y68 CLASS tile TILEPROP CLBLL_R_X21Y68 COLUMN 55 TILEPROP CLBLL_R_X21Y68 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y68 FIRST_SITE_ID 8458 TILEPROP CLBLL_R_X21Y68 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y68 GRID_POINT_Y 85 TILEPROP CLBLL_R_X21Y68 INDEX 9830 TILEPROP CLBLL_R_X21Y68 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y68 INT_TILE_Y 81 TILEPROP CLBLL_R_X21Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y68 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y68 NAME CLBLL_R_X21Y68 TILEPROP CLBLL_R_X21Y68 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y68 NUM_SITES 2 TILEPROP CLBLL_R_X21Y68 ROW 85 TILEPROP CLBLL_R_X21Y68 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y68 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y68 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y68 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y68 TILE_X -1044 TILEPROP CLBLL_R_X21Y68 TILE_Y -20800 TILEPROP CLBLL_R_X21Y68 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y69 CLASS tile TILEPROP CLBLL_R_X21Y69 COLUMN 55 TILEPROP CLBLL_R_X21Y69 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y69 FIRST_SITE_ID 8356 TILEPROP CLBLL_R_X21Y69 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y69 GRID_POINT_Y 84 TILEPROP CLBLL_R_X21Y69 INDEX 9715 TILEPROP CLBLL_R_X21Y69 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y69 INT_TILE_Y 80 TILEPROP CLBLL_R_X21Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y69 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y69 NAME CLBLL_R_X21Y69 TILEPROP CLBLL_R_X21Y69 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y69 NUM_SITES 2 TILEPROP CLBLL_R_X21Y69 ROW 84 TILEPROP CLBLL_R_X21Y69 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y69 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y69 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y69 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y69 TILE_X -1044 TILEPROP CLBLL_R_X21Y69 TILE_Y -17600 TILEPROP CLBLL_R_X21Y69 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y70 CLASS tile TILEPROP CLBLL_R_X21Y70 COLUMN 55 TILEPROP CLBLL_R_X21Y70 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y70 FIRST_SITE_ID 8245 TILEPROP CLBLL_R_X21Y70 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y70 GRID_POINT_Y 83 TILEPROP CLBLL_R_X21Y70 INDEX 9600 TILEPROP CLBLL_R_X21Y70 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y70 INT_TILE_Y 79 TILEPROP CLBLL_R_X21Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y70 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y70 NAME CLBLL_R_X21Y70 TILEPROP CLBLL_R_X21Y70 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y70 NUM_SITES 2 TILEPROP CLBLL_R_X21Y70 ROW 83 TILEPROP CLBLL_R_X21Y70 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y70 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y70 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y70 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y70 TILE_X -1044 TILEPROP CLBLL_R_X21Y70 TILE_Y -14400 TILEPROP CLBLL_R_X21Y70 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y71 CLASS tile TILEPROP CLBLL_R_X21Y71 COLUMN 55 TILEPROP CLBLL_R_X21Y71 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y71 FIRST_SITE_ID 8139 TILEPROP CLBLL_R_X21Y71 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y71 GRID_POINT_Y 82 TILEPROP CLBLL_R_X21Y71 INDEX 9485 TILEPROP CLBLL_R_X21Y71 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y71 INT_TILE_Y 78 TILEPROP CLBLL_R_X21Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y71 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y71 NAME CLBLL_R_X21Y71 TILEPROP CLBLL_R_X21Y71 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y71 NUM_SITES 2 TILEPROP CLBLL_R_X21Y71 ROW 82 TILEPROP CLBLL_R_X21Y71 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y71 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y71 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y71 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y71 TILE_X -1044 TILEPROP CLBLL_R_X21Y71 TILE_Y -11200 TILEPROP CLBLL_R_X21Y71 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y72 CLASS tile TILEPROP CLBLL_R_X21Y72 COLUMN 55 TILEPROP CLBLL_R_X21Y72 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y72 FIRST_SITE_ID 8039 TILEPROP CLBLL_R_X21Y72 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y72 GRID_POINT_Y 81 TILEPROP CLBLL_R_X21Y72 INDEX 9370 TILEPROP CLBLL_R_X21Y72 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y72 INT_TILE_Y 77 TILEPROP CLBLL_R_X21Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y72 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y72 NAME CLBLL_R_X21Y72 TILEPROP CLBLL_R_X21Y72 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y72 NUM_SITES 2 TILEPROP CLBLL_R_X21Y72 ROW 81 TILEPROP CLBLL_R_X21Y72 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y72 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y72 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y72 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y72 TILE_X -1044 TILEPROP CLBLL_R_X21Y72 TILE_Y -8000 TILEPROP CLBLL_R_X21Y72 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y73 CLASS tile TILEPROP CLBLL_R_X21Y73 COLUMN 55 TILEPROP CLBLL_R_X21Y73 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y73 FIRST_SITE_ID 7939 TILEPROP CLBLL_R_X21Y73 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y73 GRID_POINT_Y 80 TILEPROP CLBLL_R_X21Y73 INDEX 9255 TILEPROP CLBLL_R_X21Y73 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y73 INT_TILE_Y 76 TILEPROP CLBLL_R_X21Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y73 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y73 NAME CLBLL_R_X21Y73 TILEPROP CLBLL_R_X21Y73 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y73 NUM_SITES 2 TILEPROP CLBLL_R_X21Y73 ROW 80 TILEPROP CLBLL_R_X21Y73 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y73 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y73 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y73 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y73 TILE_X -1044 TILEPROP CLBLL_R_X21Y73 TILE_Y -4800 TILEPROP CLBLL_R_X21Y73 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y74 CLASS tile TILEPROP CLBLL_R_X21Y74 COLUMN 55 TILEPROP CLBLL_R_X21Y74 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y74 FIRST_SITE_ID 7839 TILEPROP CLBLL_R_X21Y74 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y74 GRID_POINT_Y 79 TILEPROP CLBLL_R_X21Y74 INDEX 9140 TILEPROP CLBLL_R_X21Y74 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y74 INT_TILE_Y 75 TILEPROP CLBLL_R_X21Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y74 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y74 NAME CLBLL_R_X21Y74 TILEPROP CLBLL_R_X21Y74 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y74 NUM_SITES 2 TILEPROP CLBLL_R_X21Y74 ROW 79 TILEPROP CLBLL_R_X21Y74 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y74 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X21Y74 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y74 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y74 TILE_X -1044 TILEPROP CLBLL_R_X21Y74 TILE_Y -1600 TILEPROP CLBLL_R_X21Y74 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y75 CLASS tile TILEPROP CLBLL_R_X21Y75 COLUMN 55 TILEPROP CLBLL_R_X21Y75 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y75 FIRST_SITE_ID 7647 TILEPROP CLBLL_R_X21Y75 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y75 GRID_POINT_Y 77 TILEPROP CLBLL_R_X21Y75 INDEX 8910 TILEPROP CLBLL_R_X21Y75 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y75 INT_TILE_Y 74 TILEPROP CLBLL_R_X21Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y75 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y75 NAME CLBLL_R_X21Y75 TILEPROP CLBLL_R_X21Y75 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y75 NUM_SITES 2 TILEPROP CLBLL_R_X21Y75 ROW 77 TILEPROP CLBLL_R_X21Y75 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y75 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X21Y75 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y75 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y75 TILE_X -1044 TILEPROP CLBLL_R_X21Y75 TILE_Y 2624 TILEPROP CLBLL_R_X21Y75 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y76 CLASS tile TILEPROP CLBLL_R_X21Y76 COLUMN 55 TILEPROP CLBLL_R_X21Y76 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y76 FIRST_SITE_ID 7538 TILEPROP CLBLL_R_X21Y76 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y76 GRID_POINT_Y 76 TILEPROP CLBLL_R_X21Y76 INDEX 8795 TILEPROP CLBLL_R_X21Y76 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y76 INT_TILE_Y 73 TILEPROP CLBLL_R_X21Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y76 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y76 NAME CLBLL_R_X21Y76 TILEPROP CLBLL_R_X21Y76 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y76 NUM_SITES 2 TILEPROP CLBLL_R_X21Y76 ROW 76 TILEPROP CLBLL_R_X21Y76 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y76 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y76 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y76 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y76 TILE_X -1044 TILEPROP CLBLL_R_X21Y76 TILE_Y 5824 TILEPROP CLBLL_R_X21Y76 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y77 CLASS tile TILEPROP CLBLL_R_X21Y77 COLUMN 55 TILEPROP CLBLL_R_X21Y77 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y77 FIRST_SITE_ID 7438 TILEPROP CLBLL_R_X21Y77 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y77 GRID_POINT_Y 75 TILEPROP CLBLL_R_X21Y77 INDEX 8680 TILEPROP CLBLL_R_X21Y77 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y77 INT_TILE_Y 72 TILEPROP CLBLL_R_X21Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y77 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y77 NAME CLBLL_R_X21Y77 TILEPROP CLBLL_R_X21Y77 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y77 NUM_SITES 2 TILEPROP CLBLL_R_X21Y77 ROW 75 TILEPROP CLBLL_R_X21Y77 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y77 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y77 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y77 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y77 TILE_X -1044 TILEPROP CLBLL_R_X21Y77 TILE_Y 9024 TILEPROP CLBLL_R_X21Y77 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y78 CLASS tile TILEPROP CLBLL_R_X21Y78 COLUMN 55 TILEPROP CLBLL_R_X21Y78 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y78 FIRST_SITE_ID 7338 TILEPROP CLBLL_R_X21Y78 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y78 GRID_POINT_Y 74 TILEPROP CLBLL_R_X21Y78 INDEX 8565 TILEPROP CLBLL_R_X21Y78 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y78 INT_TILE_Y 71 TILEPROP CLBLL_R_X21Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y78 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y78 NAME CLBLL_R_X21Y78 TILEPROP CLBLL_R_X21Y78 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y78 NUM_SITES 2 TILEPROP CLBLL_R_X21Y78 ROW 74 TILEPROP CLBLL_R_X21Y78 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y78 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y78 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y78 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y78 TILE_X -1044 TILEPROP CLBLL_R_X21Y78 TILE_Y 12224 TILEPROP CLBLL_R_X21Y78 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y79 CLASS tile TILEPROP CLBLL_R_X21Y79 COLUMN 55 TILEPROP CLBLL_R_X21Y79 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y79 FIRST_SITE_ID 7232 TILEPROP CLBLL_R_X21Y79 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y79 GRID_POINT_Y 73 TILEPROP CLBLL_R_X21Y79 INDEX 8450 TILEPROP CLBLL_R_X21Y79 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y79 INT_TILE_Y 70 TILEPROP CLBLL_R_X21Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y79 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y79 NAME CLBLL_R_X21Y79 TILEPROP CLBLL_R_X21Y79 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y79 NUM_SITES 2 TILEPROP CLBLL_R_X21Y79 ROW 73 TILEPROP CLBLL_R_X21Y79 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y79 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y79 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y79 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y79 TILE_X -1044 TILEPROP CLBLL_R_X21Y79 TILE_Y 15424 TILEPROP CLBLL_R_X21Y79 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y80 CLASS tile TILEPROP CLBLL_R_X21Y80 COLUMN 55 TILEPROP CLBLL_R_X21Y80 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y80 FIRST_SITE_ID 7117 TILEPROP CLBLL_R_X21Y80 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y80 GRID_POINT_Y 72 TILEPROP CLBLL_R_X21Y80 INDEX 8335 TILEPROP CLBLL_R_X21Y80 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y80 INT_TILE_Y 69 TILEPROP CLBLL_R_X21Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y80 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y80 NAME CLBLL_R_X21Y80 TILEPROP CLBLL_R_X21Y80 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y80 NUM_SITES 2 TILEPROP CLBLL_R_X21Y80 ROW 72 TILEPROP CLBLL_R_X21Y80 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y80 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y80 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y80 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y80 TILE_X -1044 TILEPROP CLBLL_R_X21Y80 TILE_Y 18624 TILEPROP CLBLL_R_X21Y80 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y81 CLASS tile TILEPROP CLBLL_R_X21Y81 COLUMN 55 TILEPROP CLBLL_R_X21Y81 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y81 FIRST_SITE_ID 7009 TILEPROP CLBLL_R_X21Y81 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y81 GRID_POINT_Y 71 TILEPROP CLBLL_R_X21Y81 INDEX 8220 TILEPROP CLBLL_R_X21Y81 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y81 INT_TILE_Y 68 TILEPROP CLBLL_R_X21Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y81 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y81 NAME CLBLL_R_X21Y81 TILEPROP CLBLL_R_X21Y81 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y81 NUM_SITES 2 TILEPROP CLBLL_R_X21Y81 ROW 71 TILEPROP CLBLL_R_X21Y81 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y81 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y81 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y81 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y81 TILE_X -1044 TILEPROP CLBLL_R_X21Y81 TILE_Y 21824 TILEPROP CLBLL_R_X21Y81 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y82 CLASS tile TILEPROP CLBLL_R_X21Y82 COLUMN 55 TILEPROP CLBLL_R_X21Y82 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y82 FIRST_SITE_ID 6907 TILEPROP CLBLL_R_X21Y82 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y82 GRID_POINT_Y 70 TILEPROP CLBLL_R_X21Y82 INDEX 8105 TILEPROP CLBLL_R_X21Y82 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y82 INT_TILE_Y 67 TILEPROP CLBLL_R_X21Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y82 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y82 NAME CLBLL_R_X21Y82 TILEPROP CLBLL_R_X21Y82 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y82 NUM_SITES 2 TILEPROP CLBLL_R_X21Y82 ROW 70 TILEPROP CLBLL_R_X21Y82 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y82 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y82 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y82 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y82 TILE_X -1044 TILEPROP CLBLL_R_X21Y82 TILE_Y 25024 TILEPROP CLBLL_R_X21Y82 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y83 CLASS tile TILEPROP CLBLL_R_X21Y83 COLUMN 55 TILEPROP CLBLL_R_X21Y83 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y83 FIRST_SITE_ID 6807 TILEPROP CLBLL_R_X21Y83 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y83 GRID_POINT_Y 69 TILEPROP CLBLL_R_X21Y83 INDEX 7990 TILEPROP CLBLL_R_X21Y83 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y83 INT_TILE_Y 66 TILEPROP CLBLL_R_X21Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y83 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y83 NAME CLBLL_R_X21Y83 TILEPROP CLBLL_R_X21Y83 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y83 NUM_SITES 2 TILEPROP CLBLL_R_X21Y83 ROW 69 TILEPROP CLBLL_R_X21Y83 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y83 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y83 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y83 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y83 TILE_X -1044 TILEPROP CLBLL_R_X21Y83 TILE_Y 28224 TILEPROP CLBLL_R_X21Y83 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y84 CLASS tile TILEPROP CLBLL_R_X21Y84 COLUMN 55 TILEPROP CLBLL_R_X21Y84 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y84 FIRST_SITE_ID 6707 TILEPROP CLBLL_R_X21Y84 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y84 GRID_POINT_Y 68 TILEPROP CLBLL_R_X21Y84 INDEX 7875 TILEPROP CLBLL_R_X21Y84 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y84 INT_TILE_Y 65 TILEPROP CLBLL_R_X21Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y84 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y84 NAME CLBLL_R_X21Y84 TILEPROP CLBLL_R_X21Y84 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y84 NUM_SITES 2 TILEPROP CLBLL_R_X21Y84 ROW 68 TILEPROP CLBLL_R_X21Y84 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y84 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y84 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y84 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y84 TILE_X -1044 TILEPROP CLBLL_R_X21Y84 TILE_Y 31424 TILEPROP CLBLL_R_X21Y84 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y85 CLASS tile TILEPROP CLBLL_R_X21Y85 COLUMN 55 TILEPROP CLBLL_R_X21Y85 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y85 FIRST_SITE_ID 6598 TILEPROP CLBLL_R_X21Y85 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y85 GRID_POINT_Y 67 TILEPROP CLBLL_R_X21Y85 INDEX 7760 TILEPROP CLBLL_R_X21Y85 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y85 INT_TILE_Y 64 TILEPROP CLBLL_R_X21Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y85 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y85 NAME CLBLL_R_X21Y85 TILEPROP CLBLL_R_X21Y85 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y85 NUM_SITES 2 TILEPROP CLBLL_R_X21Y85 ROW 67 TILEPROP CLBLL_R_X21Y85 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y85 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y85 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y85 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y85 TILE_X -1044 TILEPROP CLBLL_R_X21Y85 TILE_Y 34624 TILEPROP CLBLL_R_X21Y85 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y86 CLASS tile TILEPROP CLBLL_R_X21Y86 COLUMN 55 TILEPROP CLBLL_R_X21Y86 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y86 FIRST_SITE_ID 6460 TILEPROP CLBLL_R_X21Y86 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y86 GRID_POINT_Y 66 TILEPROP CLBLL_R_X21Y86 INDEX 7645 TILEPROP CLBLL_R_X21Y86 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y86 INT_TILE_Y 63 TILEPROP CLBLL_R_X21Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y86 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y86 NAME CLBLL_R_X21Y86 TILEPROP CLBLL_R_X21Y86 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y86 NUM_SITES 2 TILEPROP CLBLL_R_X21Y86 ROW 66 TILEPROP CLBLL_R_X21Y86 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y86 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y86 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y86 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y86 TILE_X -1044 TILEPROP CLBLL_R_X21Y86 TILE_Y 37824 TILEPROP CLBLL_R_X21Y86 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y87 CLASS tile TILEPROP CLBLL_R_X21Y87 COLUMN 55 TILEPROP CLBLL_R_X21Y87 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y87 FIRST_SITE_ID 6360 TILEPROP CLBLL_R_X21Y87 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y87 GRID_POINT_Y 65 TILEPROP CLBLL_R_X21Y87 INDEX 7530 TILEPROP CLBLL_R_X21Y87 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y87 INT_TILE_Y 62 TILEPROP CLBLL_R_X21Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y87 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y87 NAME CLBLL_R_X21Y87 TILEPROP CLBLL_R_X21Y87 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y87 NUM_SITES 2 TILEPROP CLBLL_R_X21Y87 ROW 65 TILEPROP CLBLL_R_X21Y87 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y87 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y87 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y87 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y87 TILE_X -1044 TILEPROP CLBLL_R_X21Y87 TILE_Y 41024 TILEPROP CLBLL_R_X21Y87 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y88 CLASS tile TILEPROP CLBLL_R_X21Y88 COLUMN 55 TILEPROP CLBLL_R_X21Y88 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y88 FIRST_SITE_ID 6260 TILEPROP CLBLL_R_X21Y88 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y88 GRID_POINT_Y 64 TILEPROP CLBLL_R_X21Y88 INDEX 7415 TILEPROP CLBLL_R_X21Y88 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y88 INT_TILE_Y 61 TILEPROP CLBLL_R_X21Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y88 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y88 NAME CLBLL_R_X21Y88 TILEPROP CLBLL_R_X21Y88 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y88 NUM_SITES 2 TILEPROP CLBLL_R_X21Y88 ROW 64 TILEPROP CLBLL_R_X21Y88 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y88 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y88 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y88 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y88 TILE_X -1044 TILEPROP CLBLL_R_X21Y88 TILE_Y 44224 TILEPROP CLBLL_R_X21Y88 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y89 CLASS tile TILEPROP CLBLL_R_X21Y89 COLUMN 55 TILEPROP CLBLL_R_X21Y89 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y89 FIRST_SITE_ID 6160 TILEPROP CLBLL_R_X21Y89 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y89 GRID_POINT_Y 63 TILEPROP CLBLL_R_X21Y89 INDEX 7300 TILEPROP CLBLL_R_X21Y89 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y89 INT_TILE_Y 60 TILEPROP CLBLL_R_X21Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y89 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y89 NAME CLBLL_R_X21Y89 TILEPROP CLBLL_R_X21Y89 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y89 NUM_SITES 2 TILEPROP CLBLL_R_X21Y89 ROW 63 TILEPROP CLBLL_R_X21Y89 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y89 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y89 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y89 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y89 TILE_X -1044 TILEPROP CLBLL_R_X21Y89 TILE_Y 47424 TILEPROP CLBLL_R_X21Y89 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y90 CLASS tile TILEPROP CLBLL_R_X21Y90 COLUMN 55 TILEPROP CLBLL_R_X21Y90 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y90 FIRST_SITE_ID 6051 TILEPROP CLBLL_R_X21Y90 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y90 GRID_POINT_Y 62 TILEPROP CLBLL_R_X21Y90 INDEX 7185 TILEPROP CLBLL_R_X21Y90 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y90 INT_TILE_Y 59 TILEPROP CLBLL_R_X21Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y90 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y90 NAME CLBLL_R_X21Y90 TILEPROP CLBLL_R_X21Y90 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y90 NUM_SITES 2 TILEPROP CLBLL_R_X21Y90 ROW 62 TILEPROP CLBLL_R_X21Y90 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y90 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y90 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y90 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y90 TILE_X -1044 TILEPROP CLBLL_R_X21Y90 TILE_Y 50624 TILEPROP CLBLL_R_X21Y90 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y91 CLASS tile TILEPROP CLBLL_R_X21Y91 COLUMN 55 TILEPROP CLBLL_R_X21Y91 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y91 FIRST_SITE_ID 5945 TILEPROP CLBLL_R_X21Y91 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y91 GRID_POINT_Y 61 TILEPROP CLBLL_R_X21Y91 INDEX 7070 TILEPROP CLBLL_R_X21Y91 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y91 INT_TILE_Y 58 TILEPROP CLBLL_R_X21Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y91 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y91 NAME CLBLL_R_X21Y91 TILEPROP CLBLL_R_X21Y91 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y91 NUM_SITES 2 TILEPROP CLBLL_R_X21Y91 ROW 61 TILEPROP CLBLL_R_X21Y91 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y91 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y91 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y91 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y91 TILE_X -1044 TILEPROP CLBLL_R_X21Y91 TILE_Y 53824 TILEPROP CLBLL_R_X21Y91 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y92 CLASS tile TILEPROP CLBLL_R_X21Y92 COLUMN 55 TILEPROP CLBLL_R_X21Y92 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y92 FIRST_SITE_ID 5844 TILEPROP CLBLL_R_X21Y92 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y92 GRID_POINT_Y 60 TILEPROP CLBLL_R_X21Y92 INDEX 6955 TILEPROP CLBLL_R_X21Y92 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y92 INT_TILE_Y 57 TILEPROP CLBLL_R_X21Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y92 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y92 NAME CLBLL_R_X21Y92 TILEPROP CLBLL_R_X21Y92 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y92 NUM_SITES 2 TILEPROP CLBLL_R_X21Y92 ROW 60 TILEPROP CLBLL_R_X21Y92 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y92 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y92 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y92 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y92 TILE_X -1044 TILEPROP CLBLL_R_X21Y92 TILE_Y 57024 TILEPROP CLBLL_R_X21Y92 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y93 CLASS tile TILEPROP CLBLL_R_X21Y93 COLUMN 55 TILEPROP CLBLL_R_X21Y93 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y93 FIRST_SITE_ID 5741 TILEPROP CLBLL_R_X21Y93 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y93 GRID_POINT_Y 59 TILEPROP CLBLL_R_X21Y93 INDEX 6840 TILEPROP CLBLL_R_X21Y93 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y93 INT_TILE_Y 56 TILEPROP CLBLL_R_X21Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y93 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y93 NAME CLBLL_R_X21Y93 TILEPROP CLBLL_R_X21Y93 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y93 NUM_SITES 2 TILEPROP CLBLL_R_X21Y93 ROW 59 TILEPROP CLBLL_R_X21Y93 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y93 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y93 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y93 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y93 TILE_X -1044 TILEPROP CLBLL_R_X21Y93 TILE_Y 60224 TILEPROP CLBLL_R_X21Y93 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y94 CLASS tile TILEPROP CLBLL_R_X21Y94 COLUMN 55 TILEPROP CLBLL_R_X21Y94 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y94 FIRST_SITE_ID 5639 TILEPROP CLBLL_R_X21Y94 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y94 GRID_POINT_Y 58 TILEPROP CLBLL_R_X21Y94 INDEX 6725 TILEPROP CLBLL_R_X21Y94 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y94 INT_TILE_Y 55 TILEPROP CLBLL_R_X21Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y94 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y94 NAME CLBLL_R_X21Y94 TILEPROP CLBLL_R_X21Y94 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y94 NUM_SITES 2 TILEPROP CLBLL_R_X21Y94 ROW 58 TILEPROP CLBLL_R_X21Y94 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y94 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y94 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y94 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y94 TILE_X -1044 TILEPROP CLBLL_R_X21Y94 TILE_Y 63424 TILEPROP CLBLL_R_X21Y94 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y95 CLASS tile TILEPROP CLBLL_R_X21Y95 COLUMN 55 TILEPROP CLBLL_R_X21Y95 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y95 FIRST_SITE_ID 5530 TILEPROP CLBLL_R_X21Y95 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y95 GRID_POINT_Y 57 TILEPROP CLBLL_R_X21Y95 INDEX 6610 TILEPROP CLBLL_R_X21Y95 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y95 INT_TILE_Y 54 TILEPROP CLBLL_R_X21Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y95 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y95 NAME CLBLL_R_X21Y95 TILEPROP CLBLL_R_X21Y95 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y95 NUM_SITES 2 TILEPROP CLBLL_R_X21Y95 ROW 57 TILEPROP CLBLL_R_X21Y95 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y95 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y95 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y95 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y95 TILE_X -1044 TILEPROP CLBLL_R_X21Y95 TILE_Y 66624 TILEPROP CLBLL_R_X21Y95 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y96 CLASS tile TILEPROP CLBLL_R_X21Y96 COLUMN 55 TILEPROP CLBLL_R_X21Y96 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y96 FIRST_SITE_ID 5424 TILEPROP CLBLL_R_X21Y96 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y96 GRID_POINT_Y 56 TILEPROP CLBLL_R_X21Y96 INDEX 6495 TILEPROP CLBLL_R_X21Y96 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y96 INT_TILE_Y 53 TILEPROP CLBLL_R_X21Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y96 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y96 NAME CLBLL_R_X21Y96 TILEPROP CLBLL_R_X21Y96 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y96 NUM_SITES 2 TILEPROP CLBLL_R_X21Y96 ROW 56 TILEPROP CLBLL_R_X21Y96 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y96 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y96 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y96 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y96 TILE_X -1044 TILEPROP CLBLL_R_X21Y96 TILE_Y 69824 TILEPROP CLBLL_R_X21Y96 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y97 CLASS tile TILEPROP CLBLL_R_X21Y97 COLUMN 55 TILEPROP CLBLL_R_X21Y97 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y97 FIRST_SITE_ID 5324 TILEPROP CLBLL_R_X21Y97 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y97 GRID_POINT_Y 55 TILEPROP CLBLL_R_X21Y97 INDEX 6380 TILEPROP CLBLL_R_X21Y97 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y97 INT_TILE_Y 52 TILEPROP CLBLL_R_X21Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y97 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y97 NAME CLBLL_R_X21Y97 TILEPROP CLBLL_R_X21Y97 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y97 NUM_SITES 2 TILEPROP CLBLL_R_X21Y97 ROW 55 TILEPROP CLBLL_R_X21Y97 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y97 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y97 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y97 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y97 TILE_X -1044 TILEPROP CLBLL_R_X21Y97 TILE_Y 73024 TILEPROP CLBLL_R_X21Y97 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y98 CLASS tile TILEPROP CLBLL_R_X21Y98 COLUMN 55 TILEPROP CLBLL_R_X21Y98 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y98 FIRST_SITE_ID 5224 TILEPROP CLBLL_R_X21Y98 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y98 GRID_POINT_Y 54 TILEPROP CLBLL_R_X21Y98 INDEX 6265 TILEPROP CLBLL_R_X21Y98 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y98 INT_TILE_Y 51 TILEPROP CLBLL_R_X21Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y98 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y98 NAME CLBLL_R_X21Y98 TILEPROP CLBLL_R_X21Y98 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y98 NUM_SITES 2 TILEPROP CLBLL_R_X21Y98 ROW 54 TILEPROP CLBLL_R_X21Y98 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y98 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y98 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y98 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y98 TILE_X -1044 TILEPROP CLBLL_R_X21Y98 TILE_Y 76224 TILEPROP CLBLL_R_X21Y98 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y99 CLASS tile TILEPROP CLBLL_R_X21Y99 COLUMN 55 TILEPROP CLBLL_R_X21Y99 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y99 FIRST_SITE_ID 5128 TILEPROP CLBLL_R_X21Y99 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y99 GRID_POINT_Y 53 TILEPROP CLBLL_R_X21Y99 INDEX 6150 TILEPROP CLBLL_R_X21Y99 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y99 INT_TILE_Y 50 TILEPROP CLBLL_R_X21Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y99 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y99 NAME CLBLL_R_X21Y99 TILEPROP CLBLL_R_X21Y99 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y99 NUM_SITES 2 TILEPROP CLBLL_R_X21Y99 ROW 53 TILEPROP CLBLL_R_X21Y99 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y99 TILE_PATTERN_IDX 1669 TILEPROP CLBLL_R_X21Y99 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y99 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y99 TILE_X -1044 TILEPROP CLBLL_R_X21Y99 TILE_Y 79424 TILEPROP CLBLL_R_X21Y99 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y100 CLASS tile TILEPROP CLBLL_R_X21Y100 COLUMN 55 TILEPROP CLBLL_R_X21Y100 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y100 FIRST_SITE_ID 5051 TILEPROP CLBLL_R_X21Y100 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y100 GRID_POINT_Y 51 TILEPROP CLBLL_R_X21Y100 INDEX 5920 TILEPROP CLBLL_R_X21Y100 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y100 INT_TILE_Y 49 TILEPROP CLBLL_R_X21Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y100 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y100 NAME CLBLL_R_X21Y100 TILEPROP CLBLL_R_X21Y100 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y100 NUM_SITES 2 TILEPROP CLBLL_R_X21Y100 ROW 51 TILEPROP CLBLL_R_X21Y100 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y100 TILE_PATTERN_IDX 1625 TILEPROP CLBLL_R_X21Y100 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y100 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y100 TILE_X -1044 TILEPROP CLBLL_R_X21Y100 TILE_Y 82872 TILEPROP CLBLL_R_X21Y100 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y101 CLASS tile TILEPROP CLBLL_R_X21Y101 COLUMN 55 TILEPROP CLBLL_R_X21Y101 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y101 FIRST_SITE_ID 4953 TILEPROP CLBLL_R_X21Y101 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y101 GRID_POINT_Y 50 TILEPROP CLBLL_R_X21Y101 INDEX 5805 TILEPROP CLBLL_R_X21Y101 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y101 INT_TILE_Y 48 TILEPROP CLBLL_R_X21Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y101 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y101 NAME CLBLL_R_X21Y101 TILEPROP CLBLL_R_X21Y101 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y101 NUM_SITES 2 TILEPROP CLBLL_R_X21Y101 ROW 50 TILEPROP CLBLL_R_X21Y101 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y101 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y101 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y101 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y101 TILE_X -1044 TILEPROP CLBLL_R_X21Y101 TILE_Y 86072 TILEPROP CLBLL_R_X21Y101 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y102 CLASS tile TILEPROP CLBLL_R_X21Y102 COLUMN 55 TILEPROP CLBLL_R_X21Y102 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y102 FIRST_SITE_ID 4857 TILEPROP CLBLL_R_X21Y102 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y102 GRID_POINT_Y 49 TILEPROP CLBLL_R_X21Y102 INDEX 5690 TILEPROP CLBLL_R_X21Y102 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y102 INT_TILE_Y 47 TILEPROP CLBLL_R_X21Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y102 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y102 NAME CLBLL_R_X21Y102 TILEPROP CLBLL_R_X21Y102 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y102 NUM_SITES 2 TILEPROP CLBLL_R_X21Y102 ROW 49 TILEPROP CLBLL_R_X21Y102 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y102 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y102 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y102 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y102 TILE_X -1044 TILEPROP CLBLL_R_X21Y102 TILE_Y 89272 TILEPROP CLBLL_R_X21Y102 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y103 CLASS tile TILEPROP CLBLL_R_X21Y103 COLUMN 55 TILEPROP CLBLL_R_X21Y103 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y103 FIRST_SITE_ID 4769 TILEPROP CLBLL_R_X21Y103 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y103 GRID_POINT_Y 48 TILEPROP CLBLL_R_X21Y103 INDEX 5575 TILEPROP CLBLL_R_X21Y103 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y103 INT_TILE_Y 46 TILEPROP CLBLL_R_X21Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y103 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y103 NAME CLBLL_R_X21Y103 TILEPROP CLBLL_R_X21Y103 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y103 NUM_SITES 2 TILEPROP CLBLL_R_X21Y103 ROW 48 TILEPROP CLBLL_R_X21Y103 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y103 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y103 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y103 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y103 TILE_X -1044 TILEPROP CLBLL_R_X21Y103 TILE_Y 92472 TILEPROP CLBLL_R_X21Y103 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y104 CLASS tile TILEPROP CLBLL_R_X21Y104 COLUMN 55 TILEPROP CLBLL_R_X21Y104 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y104 FIRST_SITE_ID 4673 TILEPROP CLBLL_R_X21Y104 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y104 GRID_POINT_Y 47 TILEPROP CLBLL_R_X21Y104 INDEX 5460 TILEPROP CLBLL_R_X21Y104 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y104 INT_TILE_Y 45 TILEPROP CLBLL_R_X21Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y104 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y104 NAME CLBLL_R_X21Y104 TILEPROP CLBLL_R_X21Y104 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y104 NUM_SITES 2 TILEPROP CLBLL_R_X21Y104 ROW 47 TILEPROP CLBLL_R_X21Y104 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y104 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y104 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y104 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y104 TILE_X -1044 TILEPROP CLBLL_R_X21Y104 TILE_Y 95672 TILEPROP CLBLL_R_X21Y104 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y105 CLASS tile TILEPROP CLBLL_R_X21Y105 COLUMN 55 TILEPROP CLBLL_R_X21Y105 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y105 FIRST_SITE_ID 4577 TILEPROP CLBLL_R_X21Y105 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y105 GRID_POINT_Y 46 TILEPROP CLBLL_R_X21Y105 INDEX 5345 TILEPROP CLBLL_R_X21Y105 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y105 INT_TILE_Y 44 TILEPROP CLBLL_R_X21Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y105 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y105 NAME CLBLL_R_X21Y105 TILEPROP CLBLL_R_X21Y105 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y105 NUM_SITES 2 TILEPROP CLBLL_R_X21Y105 ROW 46 TILEPROP CLBLL_R_X21Y105 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y105 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y105 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y105 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y105 TILE_X -1044 TILEPROP CLBLL_R_X21Y105 TILE_Y 98872 TILEPROP CLBLL_R_X21Y105 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y106 CLASS tile TILEPROP CLBLL_R_X21Y106 COLUMN 55 TILEPROP CLBLL_R_X21Y106 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y106 FIRST_SITE_ID 4475 TILEPROP CLBLL_R_X21Y106 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y106 GRID_POINT_Y 45 TILEPROP CLBLL_R_X21Y106 INDEX 5230 TILEPROP CLBLL_R_X21Y106 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y106 INT_TILE_Y 43 TILEPROP CLBLL_R_X21Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y106 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y106 NAME CLBLL_R_X21Y106 TILEPROP CLBLL_R_X21Y106 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y106 NUM_SITES 2 TILEPROP CLBLL_R_X21Y106 ROW 45 TILEPROP CLBLL_R_X21Y106 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y106 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y106 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y106 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y106 TILE_X -1044 TILEPROP CLBLL_R_X21Y106 TILE_Y 102072 TILEPROP CLBLL_R_X21Y106 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y107 CLASS tile TILEPROP CLBLL_R_X21Y107 COLUMN 55 TILEPROP CLBLL_R_X21Y107 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y107 FIRST_SITE_ID 4387 TILEPROP CLBLL_R_X21Y107 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y107 GRID_POINT_Y 44 TILEPROP CLBLL_R_X21Y107 INDEX 5115 TILEPROP CLBLL_R_X21Y107 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y107 INT_TILE_Y 42 TILEPROP CLBLL_R_X21Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y107 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y107 NAME CLBLL_R_X21Y107 TILEPROP CLBLL_R_X21Y107 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y107 NUM_SITES 2 TILEPROP CLBLL_R_X21Y107 ROW 44 TILEPROP CLBLL_R_X21Y107 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y107 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y107 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y107 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y107 TILE_X -1044 TILEPROP CLBLL_R_X21Y107 TILE_Y 105272 TILEPROP CLBLL_R_X21Y107 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y108 CLASS tile TILEPROP CLBLL_R_X21Y108 COLUMN 55 TILEPROP CLBLL_R_X21Y108 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y108 FIRST_SITE_ID 4289 TILEPROP CLBLL_R_X21Y108 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y108 GRID_POINT_Y 43 TILEPROP CLBLL_R_X21Y108 INDEX 5000 TILEPROP CLBLL_R_X21Y108 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y108 INT_TILE_Y 41 TILEPROP CLBLL_R_X21Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y108 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y108 NAME CLBLL_R_X21Y108 TILEPROP CLBLL_R_X21Y108 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y108 NUM_SITES 2 TILEPROP CLBLL_R_X21Y108 ROW 43 TILEPROP CLBLL_R_X21Y108 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y108 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y108 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y108 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y108 TILE_X -1044 TILEPROP CLBLL_R_X21Y108 TILE_Y 108472 TILEPROP CLBLL_R_X21Y108 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y109 CLASS tile TILEPROP CLBLL_R_X21Y109 COLUMN 55 TILEPROP CLBLL_R_X21Y109 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y109 FIRST_SITE_ID 4200 TILEPROP CLBLL_R_X21Y109 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y109 GRID_POINT_Y 42 TILEPROP CLBLL_R_X21Y109 INDEX 4885 TILEPROP CLBLL_R_X21Y109 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y109 INT_TILE_Y 40 TILEPROP CLBLL_R_X21Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y109 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y109 NAME CLBLL_R_X21Y109 TILEPROP CLBLL_R_X21Y109 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y109 NUM_SITES 2 TILEPROP CLBLL_R_X21Y109 ROW 42 TILEPROP CLBLL_R_X21Y109 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y109 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y109 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y109 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y109 TILE_X -1044 TILEPROP CLBLL_R_X21Y109 TILE_Y 111672 TILEPROP CLBLL_R_X21Y109 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y110 CLASS tile TILEPROP CLBLL_R_X21Y110 COLUMN 55 TILEPROP CLBLL_R_X21Y110 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y110 FIRST_SITE_ID 4100 TILEPROP CLBLL_R_X21Y110 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y110 GRID_POINT_Y 41 TILEPROP CLBLL_R_X21Y110 INDEX 4770 TILEPROP CLBLL_R_X21Y110 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y110 INT_TILE_Y 39 TILEPROP CLBLL_R_X21Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y110 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y110 NAME CLBLL_R_X21Y110 TILEPROP CLBLL_R_X21Y110 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y110 NUM_SITES 2 TILEPROP CLBLL_R_X21Y110 ROW 41 TILEPROP CLBLL_R_X21Y110 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y110 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y110 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y110 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y110 TILE_X -1044 TILEPROP CLBLL_R_X21Y110 TILE_Y 114872 TILEPROP CLBLL_R_X21Y110 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y111 CLASS tile TILEPROP CLBLL_R_X21Y111 COLUMN 55 TILEPROP CLBLL_R_X21Y111 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y111 FIRST_SITE_ID 4006 TILEPROP CLBLL_R_X21Y111 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y111 GRID_POINT_Y 40 TILEPROP CLBLL_R_X21Y111 INDEX 4655 TILEPROP CLBLL_R_X21Y111 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y111 INT_TILE_Y 38 TILEPROP CLBLL_R_X21Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y111 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y111 NAME CLBLL_R_X21Y111 TILEPROP CLBLL_R_X21Y111 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y111 NUM_SITES 2 TILEPROP CLBLL_R_X21Y111 ROW 40 TILEPROP CLBLL_R_X21Y111 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y111 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y111 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y111 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y111 TILE_X -1044 TILEPROP CLBLL_R_X21Y111 TILE_Y 118072 TILEPROP CLBLL_R_X21Y111 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y112 CLASS tile TILEPROP CLBLL_R_X21Y112 COLUMN 55 TILEPROP CLBLL_R_X21Y112 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y112 FIRST_SITE_ID 3878 TILEPROP CLBLL_R_X21Y112 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y112 GRID_POINT_Y 39 TILEPROP CLBLL_R_X21Y112 INDEX 4540 TILEPROP CLBLL_R_X21Y112 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y112 INT_TILE_Y 37 TILEPROP CLBLL_R_X21Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y112 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y112 NAME CLBLL_R_X21Y112 TILEPROP CLBLL_R_X21Y112 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y112 NUM_SITES 2 TILEPROP CLBLL_R_X21Y112 ROW 39 TILEPROP CLBLL_R_X21Y112 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y112 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y112 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y112 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y112 TILE_X -1044 TILEPROP CLBLL_R_X21Y112 TILE_Y 121272 TILEPROP CLBLL_R_X21Y112 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y113 CLASS tile TILEPROP CLBLL_R_X21Y113 COLUMN 55 TILEPROP CLBLL_R_X21Y113 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y113 FIRST_SITE_ID 3790 TILEPROP CLBLL_R_X21Y113 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y113 GRID_POINT_Y 38 TILEPROP CLBLL_R_X21Y113 INDEX 4425 TILEPROP CLBLL_R_X21Y113 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y113 INT_TILE_Y 36 TILEPROP CLBLL_R_X21Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y113 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y113 NAME CLBLL_R_X21Y113 TILEPROP CLBLL_R_X21Y113 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y113 NUM_SITES 2 TILEPROP CLBLL_R_X21Y113 ROW 38 TILEPROP CLBLL_R_X21Y113 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y113 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y113 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y113 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y113 TILE_X -1044 TILEPROP CLBLL_R_X21Y113 TILE_Y 124472 TILEPROP CLBLL_R_X21Y113 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y114 CLASS tile TILEPROP CLBLL_R_X21Y114 COLUMN 55 TILEPROP CLBLL_R_X21Y114 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y114 FIRST_SITE_ID 3694 TILEPROP CLBLL_R_X21Y114 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y114 GRID_POINT_Y 37 TILEPROP CLBLL_R_X21Y114 INDEX 4310 TILEPROP CLBLL_R_X21Y114 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y114 INT_TILE_Y 35 TILEPROP CLBLL_R_X21Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y114 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y114 NAME CLBLL_R_X21Y114 TILEPROP CLBLL_R_X21Y114 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y114 NUM_SITES 2 TILEPROP CLBLL_R_X21Y114 ROW 37 TILEPROP CLBLL_R_X21Y114 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y114 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y114 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y114 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y114 TILE_X -1044 TILEPROP CLBLL_R_X21Y114 TILE_Y 127672 TILEPROP CLBLL_R_X21Y114 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y115 CLASS tile TILEPROP CLBLL_R_X21Y115 COLUMN 55 TILEPROP CLBLL_R_X21Y115 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y115 FIRST_SITE_ID 3603 TILEPROP CLBLL_R_X21Y115 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y115 GRID_POINT_Y 36 TILEPROP CLBLL_R_X21Y115 INDEX 4195 TILEPROP CLBLL_R_X21Y115 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y115 INT_TILE_Y 34 TILEPROP CLBLL_R_X21Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y115 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y115 NAME CLBLL_R_X21Y115 TILEPROP CLBLL_R_X21Y115 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y115 NUM_SITES 2 TILEPROP CLBLL_R_X21Y115 ROW 36 TILEPROP CLBLL_R_X21Y115 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y115 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y115 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y115 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y115 TILE_X -1044 TILEPROP CLBLL_R_X21Y115 TILE_Y 130872 TILEPROP CLBLL_R_X21Y115 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y116 CLASS tile TILEPROP CLBLL_R_X21Y116 COLUMN 55 TILEPROP CLBLL_R_X21Y116 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y116 FIRST_SITE_ID 3496 TILEPROP CLBLL_R_X21Y116 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y116 GRID_POINT_Y 35 TILEPROP CLBLL_R_X21Y116 INDEX 4080 TILEPROP CLBLL_R_X21Y116 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y116 INT_TILE_Y 33 TILEPROP CLBLL_R_X21Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y116 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y116 NAME CLBLL_R_X21Y116 TILEPROP CLBLL_R_X21Y116 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y116 NUM_SITES 2 TILEPROP CLBLL_R_X21Y116 ROW 35 TILEPROP CLBLL_R_X21Y116 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y116 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y116 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y116 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y116 TILE_X -1044 TILEPROP CLBLL_R_X21Y116 TILE_Y 134072 TILEPROP CLBLL_R_X21Y116 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y117 CLASS tile TILEPROP CLBLL_R_X21Y117 COLUMN 55 TILEPROP CLBLL_R_X21Y117 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y117 FIRST_SITE_ID 3408 TILEPROP CLBLL_R_X21Y117 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y117 GRID_POINT_Y 34 TILEPROP CLBLL_R_X21Y117 INDEX 3965 TILEPROP CLBLL_R_X21Y117 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y117 INT_TILE_Y 32 TILEPROP CLBLL_R_X21Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y117 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y117 NAME CLBLL_R_X21Y117 TILEPROP CLBLL_R_X21Y117 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y117 NUM_SITES 2 TILEPROP CLBLL_R_X21Y117 ROW 34 TILEPROP CLBLL_R_X21Y117 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y117 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y117 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y117 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y117 TILE_X -1044 TILEPROP CLBLL_R_X21Y117 TILE_Y 137272 TILEPROP CLBLL_R_X21Y117 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y118 CLASS tile TILEPROP CLBLL_R_X21Y118 COLUMN 55 TILEPROP CLBLL_R_X21Y118 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y118 FIRST_SITE_ID 3308 TILEPROP CLBLL_R_X21Y118 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y118 GRID_POINT_Y 33 TILEPROP CLBLL_R_X21Y118 INDEX 3850 TILEPROP CLBLL_R_X21Y118 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y118 INT_TILE_Y 31 TILEPROP CLBLL_R_X21Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y118 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y118 NAME CLBLL_R_X21Y118 TILEPROP CLBLL_R_X21Y118 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y118 NUM_SITES 2 TILEPROP CLBLL_R_X21Y118 ROW 33 TILEPROP CLBLL_R_X21Y118 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y118 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y118 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y118 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y118 TILE_X -1044 TILEPROP CLBLL_R_X21Y118 TILE_Y 140472 TILEPROP CLBLL_R_X21Y118 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y119 CLASS tile TILEPROP CLBLL_R_X21Y119 COLUMN 55 TILEPROP CLBLL_R_X21Y119 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y119 FIRST_SITE_ID 3220 TILEPROP CLBLL_R_X21Y119 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y119 GRID_POINT_Y 32 TILEPROP CLBLL_R_X21Y119 INDEX 3735 TILEPROP CLBLL_R_X21Y119 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y119 INT_TILE_Y 30 TILEPROP CLBLL_R_X21Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y119 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y119 NAME CLBLL_R_X21Y119 TILEPROP CLBLL_R_X21Y119 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y119 NUM_SITES 2 TILEPROP CLBLL_R_X21Y119 ROW 32 TILEPROP CLBLL_R_X21Y119 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y119 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y119 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y119 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y119 TILE_X -1044 TILEPROP CLBLL_R_X21Y119 TILE_Y 143672 TILEPROP CLBLL_R_X21Y119 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y120 CLASS tile TILEPROP CLBLL_R_X21Y120 COLUMN 55 TILEPROP CLBLL_R_X21Y120 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y120 FIRST_SITE_ID 3119 TILEPROP CLBLL_R_X21Y120 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y120 GRID_POINT_Y 31 TILEPROP CLBLL_R_X21Y120 INDEX 3620 TILEPROP CLBLL_R_X21Y120 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y120 INT_TILE_Y 29 TILEPROP CLBLL_R_X21Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y120 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y120 NAME CLBLL_R_X21Y120 TILEPROP CLBLL_R_X21Y120 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y120 NUM_SITES 2 TILEPROP CLBLL_R_X21Y120 ROW 31 TILEPROP CLBLL_R_X21Y120 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y120 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y120 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y120 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y120 TILE_X -1044 TILEPROP CLBLL_R_X21Y120 TILE_Y 146872 TILEPROP CLBLL_R_X21Y120 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y121 CLASS tile TILEPROP CLBLL_R_X21Y121 COLUMN 55 TILEPROP CLBLL_R_X21Y121 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y121 FIRST_SITE_ID 3025 TILEPROP CLBLL_R_X21Y121 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y121 GRID_POINT_Y 30 TILEPROP CLBLL_R_X21Y121 INDEX 3505 TILEPROP CLBLL_R_X21Y121 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y121 INT_TILE_Y 28 TILEPROP CLBLL_R_X21Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y121 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y121 NAME CLBLL_R_X21Y121 TILEPROP CLBLL_R_X21Y121 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y121 NUM_SITES 2 TILEPROP CLBLL_R_X21Y121 ROW 30 TILEPROP CLBLL_R_X21Y121 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y121 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y121 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y121 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y121 TILE_X -1044 TILEPROP CLBLL_R_X21Y121 TILE_Y 150072 TILEPROP CLBLL_R_X21Y121 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y122 CLASS tile TILEPROP CLBLL_R_X21Y122 COLUMN 55 TILEPROP CLBLL_R_X21Y122 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y122 FIRST_SITE_ID 2922 TILEPROP CLBLL_R_X21Y122 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y122 GRID_POINT_Y 29 TILEPROP CLBLL_R_X21Y122 INDEX 3390 TILEPROP CLBLL_R_X21Y122 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y122 INT_TILE_Y 27 TILEPROP CLBLL_R_X21Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y122 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y122 NAME CLBLL_R_X21Y122 TILEPROP CLBLL_R_X21Y122 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y122 NUM_SITES 2 TILEPROP CLBLL_R_X21Y122 ROW 29 TILEPROP CLBLL_R_X21Y122 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y122 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y122 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y122 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y122 TILE_X -1044 TILEPROP CLBLL_R_X21Y122 TILE_Y 153272 TILEPROP CLBLL_R_X21Y122 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y123 CLASS tile TILEPROP CLBLL_R_X21Y123 COLUMN 55 TILEPROP CLBLL_R_X21Y123 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y123 FIRST_SITE_ID 2834 TILEPROP CLBLL_R_X21Y123 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y123 GRID_POINT_Y 28 TILEPROP CLBLL_R_X21Y123 INDEX 3275 TILEPROP CLBLL_R_X21Y123 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y123 INT_TILE_Y 26 TILEPROP CLBLL_R_X21Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y123 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y123 NAME CLBLL_R_X21Y123 TILEPROP CLBLL_R_X21Y123 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y123 NUM_SITES 2 TILEPROP CLBLL_R_X21Y123 ROW 28 TILEPROP CLBLL_R_X21Y123 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y123 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y123 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y123 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y123 TILE_X -1044 TILEPROP CLBLL_R_X21Y123 TILE_Y 156472 TILEPROP CLBLL_R_X21Y123 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y124 CLASS tile TILEPROP CLBLL_R_X21Y124 COLUMN 55 TILEPROP CLBLL_R_X21Y124 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y124 FIRST_SITE_ID 2738 TILEPROP CLBLL_R_X21Y124 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y124 GRID_POINT_Y 27 TILEPROP CLBLL_R_X21Y124 INDEX 3160 TILEPROP CLBLL_R_X21Y124 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y124 INT_TILE_Y 25 TILEPROP CLBLL_R_X21Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y124 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y124 NAME CLBLL_R_X21Y124 TILEPROP CLBLL_R_X21Y124 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y124 NUM_SITES 2 TILEPROP CLBLL_R_X21Y124 ROW 27 TILEPROP CLBLL_R_X21Y124 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y124 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X21Y124 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y124 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y124 TILE_X -1044 TILEPROP CLBLL_R_X21Y124 TILE_Y 159672 TILEPROP CLBLL_R_X21Y124 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y125 CLASS tile TILEPROP CLBLL_R_X21Y125 COLUMN 55 TILEPROP CLBLL_R_X21Y125 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y125 FIRST_SITE_ID 2565 TILEPROP CLBLL_R_X21Y125 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y125 GRID_POINT_Y 25 TILEPROP CLBLL_R_X21Y125 INDEX 2930 TILEPROP CLBLL_R_X21Y125 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y125 INT_TILE_Y 24 TILEPROP CLBLL_R_X21Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y125 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y125 NAME CLBLL_R_X21Y125 TILEPROP CLBLL_R_X21Y125 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y125 NUM_SITES 2 TILEPROP CLBLL_R_X21Y125 ROW 25 TILEPROP CLBLL_R_X21Y125 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y125 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X21Y125 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y125 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y125 TILE_X -1044 TILEPROP CLBLL_R_X21Y125 TILE_Y 163896 TILEPROP CLBLL_R_X21Y125 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y126 CLASS tile TILEPROP CLBLL_R_X21Y126 COLUMN 55 TILEPROP CLBLL_R_X21Y126 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y126 FIRST_SITE_ID 2455 TILEPROP CLBLL_R_X21Y126 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y126 GRID_POINT_Y 24 TILEPROP CLBLL_R_X21Y126 INDEX 2815 TILEPROP CLBLL_R_X21Y126 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y126 INT_TILE_Y 23 TILEPROP CLBLL_R_X21Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y126 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y126 NAME CLBLL_R_X21Y126 TILEPROP CLBLL_R_X21Y126 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y126 NUM_SITES 2 TILEPROP CLBLL_R_X21Y126 ROW 24 TILEPROP CLBLL_R_X21Y126 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y126 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y126 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y126 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y126 TILE_X -1044 TILEPROP CLBLL_R_X21Y126 TILE_Y 167096 TILEPROP CLBLL_R_X21Y126 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y127 CLASS tile TILEPROP CLBLL_R_X21Y127 COLUMN 55 TILEPROP CLBLL_R_X21Y127 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y127 FIRST_SITE_ID 2359 TILEPROP CLBLL_R_X21Y127 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y127 GRID_POINT_Y 23 TILEPROP CLBLL_R_X21Y127 INDEX 2700 TILEPROP CLBLL_R_X21Y127 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y127 INT_TILE_Y 22 TILEPROP CLBLL_R_X21Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y127 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y127 NAME CLBLL_R_X21Y127 TILEPROP CLBLL_R_X21Y127 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y127 NUM_SITES 2 TILEPROP CLBLL_R_X21Y127 ROW 23 TILEPROP CLBLL_R_X21Y127 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y127 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y127 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y127 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y127 TILE_X -1044 TILEPROP CLBLL_R_X21Y127 TILE_Y 170296 TILEPROP CLBLL_R_X21Y127 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y128 CLASS tile TILEPROP CLBLL_R_X21Y128 COLUMN 55 TILEPROP CLBLL_R_X21Y128 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y128 FIRST_SITE_ID 2255 TILEPROP CLBLL_R_X21Y128 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y128 GRID_POINT_Y 22 TILEPROP CLBLL_R_X21Y128 INDEX 2585 TILEPROP CLBLL_R_X21Y128 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y128 INT_TILE_Y 21 TILEPROP CLBLL_R_X21Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y128 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y128 NAME CLBLL_R_X21Y128 TILEPROP CLBLL_R_X21Y128 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y128 NUM_SITES 2 TILEPROP CLBLL_R_X21Y128 ROW 22 TILEPROP CLBLL_R_X21Y128 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y128 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y128 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y128 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y128 TILE_X -1044 TILEPROP CLBLL_R_X21Y128 TILE_Y 173496 TILEPROP CLBLL_R_X21Y128 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y129 CLASS tile TILEPROP CLBLL_R_X21Y129 COLUMN 55 TILEPROP CLBLL_R_X21Y129 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y129 FIRST_SITE_ID 2159 TILEPROP CLBLL_R_X21Y129 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y129 GRID_POINT_Y 21 TILEPROP CLBLL_R_X21Y129 INDEX 2470 TILEPROP CLBLL_R_X21Y129 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y129 INT_TILE_Y 20 TILEPROP CLBLL_R_X21Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y129 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y129 NAME CLBLL_R_X21Y129 TILEPROP CLBLL_R_X21Y129 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y129 NUM_SITES 2 TILEPROP CLBLL_R_X21Y129 ROW 21 TILEPROP CLBLL_R_X21Y129 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y129 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y129 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y129 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y129 TILE_X -1044 TILEPROP CLBLL_R_X21Y129 TILE_Y 176696 TILEPROP CLBLL_R_X21Y129 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y130 CLASS tile TILEPROP CLBLL_R_X21Y130 COLUMN 55 TILEPROP CLBLL_R_X21Y130 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y130 FIRST_SITE_ID 2043 TILEPROP CLBLL_R_X21Y130 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y130 GRID_POINT_Y 20 TILEPROP CLBLL_R_X21Y130 INDEX 2355 TILEPROP CLBLL_R_X21Y130 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y130 INT_TILE_Y 19 TILEPROP CLBLL_R_X21Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y130 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y130 NAME CLBLL_R_X21Y130 TILEPROP CLBLL_R_X21Y130 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y130 NUM_SITES 2 TILEPROP CLBLL_R_X21Y130 ROW 20 TILEPROP CLBLL_R_X21Y130 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y130 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y130 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y130 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y130 TILE_X -1044 TILEPROP CLBLL_R_X21Y130 TILE_Y 179896 TILEPROP CLBLL_R_X21Y130 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y131 CLASS tile TILEPROP CLBLL_R_X21Y131 COLUMN 55 TILEPROP CLBLL_R_X21Y131 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y131 FIRST_SITE_ID 1941 TILEPROP CLBLL_R_X21Y131 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y131 GRID_POINT_Y 19 TILEPROP CLBLL_R_X21Y131 INDEX 2240 TILEPROP CLBLL_R_X21Y131 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y131 INT_TILE_Y 18 TILEPROP CLBLL_R_X21Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y131 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y131 NAME CLBLL_R_X21Y131 TILEPROP CLBLL_R_X21Y131 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y131 NUM_SITES 2 TILEPROP CLBLL_R_X21Y131 ROW 19 TILEPROP CLBLL_R_X21Y131 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y131 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y131 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y131 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y131 TILE_X -1044 TILEPROP CLBLL_R_X21Y131 TILE_Y 183096 TILEPROP CLBLL_R_X21Y131 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y132 CLASS tile TILEPROP CLBLL_R_X21Y132 COLUMN 55 TILEPROP CLBLL_R_X21Y132 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y132 FIRST_SITE_ID 1835 TILEPROP CLBLL_R_X21Y132 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y132 GRID_POINT_Y 18 TILEPROP CLBLL_R_X21Y132 INDEX 2125 TILEPROP CLBLL_R_X21Y132 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y132 INT_TILE_Y 17 TILEPROP CLBLL_R_X21Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y132 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y132 NAME CLBLL_R_X21Y132 TILEPROP CLBLL_R_X21Y132 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y132 NUM_SITES 2 TILEPROP CLBLL_R_X21Y132 ROW 18 TILEPROP CLBLL_R_X21Y132 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y132 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y132 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y132 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y132 TILE_X -1044 TILEPROP CLBLL_R_X21Y132 TILE_Y 186296 TILEPROP CLBLL_R_X21Y132 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y133 CLASS tile TILEPROP CLBLL_R_X21Y133 COLUMN 55 TILEPROP CLBLL_R_X21Y133 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y133 FIRST_SITE_ID 1734 TILEPROP CLBLL_R_X21Y133 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y133 GRID_POINT_Y 17 TILEPROP CLBLL_R_X21Y133 INDEX 2010 TILEPROP CLBLL_R_X21Y133 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y133 INT_TILE_Y 16 TILEPROP CLBLL_R_X21Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y133 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y133 NAME CLBLL_R_X21Y133 TILEPROP CLBLL_R_X21Y133 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y133 NUM_SITES 2 TILEPROP CLBLL_R_X21Y133 ROW 17 TILEPROP CLBLL_R_X21Y133 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y133 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y133 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y133 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y133 TILE_X -1044 TILEPROP CLBLL_R_X21Y133 TILE_Y 189496 TILEPROP CLBLL_R_X21Y133 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y134 CLASS tile TILEPROP CLBLL_R_X21Y134 COLUMN 55 TILEPROP CLBLL_R_X21Y134 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y134 FIRST_SITE_ID 1630 TILEPROP CLBLL_R_X21Y134 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y134 GRID_POINT_Y 16 TILEPROP CLBLL_R_X21Y134 INDEX 1895 TILEPROP CLBLL_R_X21Y134 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y134 INT_TILE_Y 15 TILEPROP CLBLL_R_X21Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y134 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y134 NAME CLBLL_R_X21Y134 TILEPROP CLBLL_R_X21Y134 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y134 NUM_SITES 2 TILEPROP CLBLL_R_X21Y134 ROW 16 TILEPROP CLBLL_R_X21Y134 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y134 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y134 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y134 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y134 TILE_X -1044 TILEPROP CLBLL_R_X21Y134 TILE_Y 192696 TILEPROP CLBLL_R_X21Y134 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y135 CLASS tile TILEPROP CLBLL_R_X21Y135 COLUMN 55 TILEPROP CLBLL_R_X21Y135 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y135 FIRST_SITE_ID 1528 TILEPROP CLBLL_R_X21Y135 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y135 GRID_POINT_Y 15 TILEPROP CLBLL_R_X21Y135 INDEX 1780 TILEPROP CLBLL_R_X21Y135 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y135 INT_TILE_Y 14 TILEPROP CLBLL_R_X21Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y135 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y135 NAME CLBLL_R_X21Y135 TILEPROP CLBLL_R_X21Y135 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y135 NUM_SITES 2 TILEPROP CLBLL_R_X21Y135 ROW 15 TILEPROP CLBLL_R_X21Y135 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y135 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y135 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y135 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y135 TILE_X -1044 TILEPROP CLBLL_R_X21Y135 TILE_Y 195896 TILEPROP CLBLL_R_X21Y135 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y136 CLASS tile TILEPROP CLBLL_R_X21Y136 COLUMN 55 TILEPROP CLBLL_R_X21Y136 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y136 FIRST_SITE_ID 1386 TILEPROP CLBLL_R_X21Y136 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y136 GRID_POINT_Y 14 TILEPROP CLBLL_R_X21Y136 INDEX 1665 TILEPROP CLBLL_R_X21Y136 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y136 INT_TILE_Y 13 TILEPROP CLBLL_R_X21Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y136 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y136 NAME CLBLL_R_X21Y136 TILEPROP CLBLL_R_X21Y136 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y136 NUM_SITES 2 TILEPROP CLBLL_R_X21Y136 ROW 14 TILEPROP CLBLL_R_X21Y136 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y136 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y136 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y136 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y136 TILE_X -1044 TILEPROP CLBLL_R_X21Y136 TILE_Y 199096 TILEPROP CLBLL_R_X21Y136 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y137 CLASS tile TILEPROP CLBLL_R_X21Y137 COLUMN 55 TILEPROP CLBLL_R_X21Y137 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y137 FIRST_SITE_ID 1290 TILEPROP CLBLL_R_X21Y137 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y137 GRID_POINT_Y 13 TILEPROP CLBLL_R_X21Y137 INDEX 1550 TILEPROP CLBLL_R_X21Y137 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y137 INT_TILE_Y 12 TILEPROP CLBLL_R_X21Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y137 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y137 NAME CLBLL_R_X21Y137 TILEPROP CLBLL_R_X21Y137 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y137 NUM_SITES 2 TILEPROP CLBLL_R_X21Y137 ROW 13 TILEPROP CLBLL_R_X21Y137 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y137 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y137 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y137 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y137 TILE_X -1044 TILEPROP CLBLL_R_X21Y137 TILE_Y 202296 TILEPROP CLBLL_R_X21Y137 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y138 CLASS tile TILEPROP CLBLL_R_X21Y138 COLUMN 55 TILEPROP CLBLL_R_X21Y138 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y138 FIRST_SITE_ID 1186 TILEPROP CLBLL_R_X21Y138 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y138 GRID_POINT_Y 12 TILEPROP CLBLL_R_X21Y138 INDEX 1435 TILEPROP CLBLL_R_X21Y138 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y138 INT_TILE_Y 11 TILEPROP CLBLL_R_X21Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y138 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y138 NAME CLBLL_R_X21Y138 TILEPROP CLBLL_R_X21Y138 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y138 NUM_SITES 2 TILEPROP CLBLL_R_X21Y138 ROW 12 TILEPROP CLBLL_R_X21Y138 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y138 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y138 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y138 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y138 TILE_X -1044 TILEPROP CLBLL_R_X21Y138 TILE_Y 205496 TILEPROP CLBLL_R_X21Y138 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y139 CLASS tile TILEPROP CLBLL_R_X21Y139 COLUMN 55 TILEPROP CLBLL_R_X21Y139 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y139 FIRST_SITE_ID 1090 TILEPROP CLBLL_R_X21Y139 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y139 GRID_POINT_Y 11 TILEPROP CLBLL_R_X21Y139 INDEX 1320 TILEPROP CLBLL_R_X21Y139 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y139 INT_TILE_Y 10 TILEPROP CLBLL_R_X21Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y139 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y139 NAME CLBLL_R_X21Y139 TILEPROP CLBLL_R_X21Y139 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y139 NUM_SITES 2 TILEPROP CLBLL_R_X21Y139 ROW 11 TILEPROP CLBLL_R_X21Y139 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y139 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y139 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y139 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y139 TILE_X -1044 TILEPROP CLBLL_R_X21Y139 TILE_Y 208696 TILEPROP CLBLL_R_X21Y139 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y140 CLASS tile TILEPROP CLBLL_R_X21Y140 COLUMN 55 TILEPROP CLBLL_R_X21Y140 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y140 FIRST_SITE_ID 980 TILEPROP CLBLL_R_X21Y140 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y140 GRID_POINT_Y 10 TILEPROP CLBLL_R_X21Y140 INDEX 1205 TILEPROP CLBLL_R_X21Y140 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y140 INT_TILE_Y 9 TILEPROP CLBLL_R_X21Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y140 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y140 NAME CLBLL_R_X21Y140 TILEPROP CLBLL_R_X21Y140 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y140 NUM_SITES 2 TILEPROP CLBLL_R_X21Y140 ROW 10 TILEPROP CLBLL_R_X21Y140 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y140 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y140 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y140 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y140 TILE_X -1044 TILEPROP CLBLL_R_X21Y140 TILE_Y 211896 TILEPROP CLBLL_R_X21Y140 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y141 CLASS tile TILEPROP CLBLL_R_X21Y141 COLUMN 55 TILEPROP CLBLL_R_X21Y141 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y141 FIRST_SITE_ID 878 TILEPROP CLBLL_R_X21Y141 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y141 GRID_POINT_Y 9 TILEPROP CLBLL_R_X21Y141 INDEX 1090 TILEPROP CLBLL_R_X21Y141 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y141 INT_TILE_Y 8 TILEPROP CLBLL_R_X21Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y141 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y141 NAME CLBLL_R_X21Y141 TILEPROP CLBLL_R_X21Y141 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y141 NUM_SITES 2 TILEPROP CLBLL_R_X21Y141 ROW 9 TILEPROP CLBLL_R_X21Y141 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y141 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y141 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y141 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y141 TILE_X -1044 TILEPROP CLBLL_R_X21Y141 TILE_Y 215096 TILEPROP CLBLL_R_X21Y141 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y142 CLASS tile TILEPROP CLBLL_R_X21Y142 COLUMN 55 TILEPROP CLBLL_R_X21Y142 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y142 FIRST_SITE_ID 774 TILEPROP CLBLL_R_X21Y142 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y142 GRID_POINT_Y 8 TILEPROP CLBLL_R_X21Y142 INDEX 975 TILEPROP CLBLL_R_X21Y142 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y142 INT_TILE_Y 7 TILEPROP CLBLL_R_X21Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y142 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y142 NAME CLBLL_R_X21Y142 TILEPROP CLBLL_R_X21Y142 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y142 NUM_SITES 2 TILEPROP CLBLL_R_X21Y142 ROW 8 TILEPROP CLBLL_R_X21Y142 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y142 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y142 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y142 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y142 TILE_X -1044 TILEPROP CLBLL_R_X21Y142 TILE_Y 218296 TILEPROP CLBLL_R_X21Y142 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y143 CLASS tile TILEPROP CLBLL_R_X21Y143 COLUMN 55 TILEPROP CLBLL_R_X21Y143 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y143 FIRST_SITE_ID 677 TILEPROP CLBLL_R_X21Y143 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y143 GRID_POINT_Y 7 TILEPROP CLBLL_R_X21Y143 INDEX 860 TILEPROP CLBLL_R_X21Y143 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y143 INT_TILE_Y 6 TILEPROP CLBLL_R_X21Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y143 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y143 NAME CLBLL_R_X21Y143 TILEPROP CLBLL_R_X21Y143 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y143 NUM_SITES 2 TILEPROP CLBLL_R_X21Y143 ROW 7 TILEPROP CLBLL_R_X21Y143 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y143 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y143 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y143 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y143 TILE_X -1044 TILEPROP CLBLL_R_X21Y143 TILE_Y 221496 TILEPROP CLBLL_R_X21Y143 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y144 CLASS tile TILEPROP CLBLL_R_X21Y144 COLUMN 55 TILEPROP CLBLL_R_X21Y144 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y144 FIRST_SITE_ID 566 TILEPROP CLBLL_R_X21Y144 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y144 GRID_POINT_Y 6 TILEPROP CLBLL_R_X21Y144 INDEX 745 TILEPROP CLBLL_R_X21Y144 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y144 INT_TILE_Y 5 TILEPROP CLBLL_R_X21Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y144 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y144 NAME CLBLL_R_X21Y144 TILEPROP CLBLL_R_X21Y144 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y144 NUM_SITES 2 TILEPROP CLBLL_R_X21Y144 ROW 6 TILEPROP CLBLL_R_X21Y144 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y144 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y144 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y144 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y144 TILE_X -1044 TILEPROP CLBLL_R_X21Y144 TILE_Y 224696 TILEPROP CLBLL_R_X21Y144 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y145 CLASS tile TILEPROP CLBLL_R_X21Y145 COLUMN 55 TILEPROP CLBLL_R_X21Y145 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y145 FIRST_SITE_ID 464 TILEPROP CLBLL_R_X21Y145 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y145 GRID_POINT_Y 5 TILEPROP CLBLL_R_X21Y145 INDEX 630 TILEPROP CLBLL_R_X21Y145 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y145 INT_TILE_Y 4 TILEPROP CLBLL_R_X21Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y145 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y145 NAME CLBLL_R_X21Y145 TILEPROP CLBLL_R_X21Y145 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y145 NUM_SITES 2 TILEPROP CLBLL_R_X21Y145 ROW 5 TILEPROP CLBLL_R_X21Y145 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y145 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y145 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y145 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y145 TILE_X -1044 TILEPROP CLBLL_R_X21Y145 TILE_Y 227896 TILEPROP CLBLL_R_X21Y145 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y146 CLASS tile TILEPROP CLBLL_R_X21Y146 COLUMN 55 TILEPROP CLBLL_R_X21Y146 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y146 FIRST_SITE_ID 354 TILEPROP CLBLL_R_X21Y146 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y146 GRID_POINT_Y 4 TILEPROP CLBLL_R_X21Y146 INDEX 515 TILEPROP CLBLL_R_X21Y146 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y146 INT_TILE_Y 3 TILEPROP CLBLL_R_X21Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y146 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y146 NAME CLBLL_R_X21Y146 TILEPROP CLBLL_R_X21Y146 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y146 NUM_SITES 2 TILEPROP CLBLL_R_X21Y146 ROW 4 TILEPROP CLBLL_R_X21Y146 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y146 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y146 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y146 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y146 TILE_X -1044 TILEPROP CLBLL_R_X21Y146 TILE_Y 231096 TILEPROP CLBLL_R_X21Y146 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y147 CLASS tile TILEPROP CLBLL_R_X21Y147 COLUMN 55 TILEPROP CLBLL_R_X21Y147 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y147 FIRST_SITE_ID 258 TILEPROP CLBLL_R_X21Y147 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y147 GRID_POINT_Y 3 TILEPROP CLBLL_R_X21Y147 INDEX 400 TILEPROP CLBLL_R_X21Y147 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y147 INT_TILE_Y 2 TILEPROP CLBLL_R_X21Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y147 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y147 NAME CLBLL_R_X21Y147 TILEPROP CLBLL_R_X21Y147 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y147 NUM_SITES 2 TILEPROP CLBLL_R_X21Y147 ROW 3 TILEPROP CLBLL_R_X21Y147 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y147 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y147 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y147 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y147 TILE_X -1044 TILEPROP CLBLL_R_X21Y147 TILE_Y 234296 TILEPROP CLBLL_R_X21Y147 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y148 CLASS tile TILEPROP CLBLL_R_X21Y148 COLUMN 55 TILEPROP CLBLL_R_X21Y148 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y148 FIRST_SITE_ID 154 TILEPROP CLBLL_R_X21Y148 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y148 GRID_POINT_Y 2 TILEPROP CLBLL_R_X21Y148 INDEX 285 TILEPROP CLBLL_R_X21Y148 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y148 INT_TILE_Y 1 TILEPROP CLBLL_R_X21Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y148 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y148 NAME CLBLL_R_X21Y148 TILEPROP CLBLL_R_X21Y148 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y148 NUM_SITES 2 TILEPROP CLBLL_R_X21Y148 ROW 2 TILEPROP CLBLL_R_X21Y148 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y148 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X21Y148 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y148 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y148 TILE_X -1044 TILEPROP CLBLL_R_X21Y148 TILE_Y 237496 TILEPROP CLBLL_R_X21Y148 TYPE CLBLL_R TILEPROP CLBLL_R_X21Y149 CLASS tile TILEPROP CLBLL_R_X21Y149 COLUMN 55 TILEPROP CLBLL_R_X21Y149 DEVICE_ID 0 TILEPROP CLBLL_R_X21Y149 FIRST_SITE_ID 58 TILEPROP CLBLL_R_X21Y149 GRID_POINT_X 55 TILEPROP CLBLL_R_X21Y149 GRID_POINT_Y 1 TILEPROP CLBLL_R_X21Y149 INDEX 170 TILEPROP CLBLL_R_X21Y149 INT_TILE_X 21 TILEPROP CLBLL_R_X21Y149 INT_TILE_Y 0 TILEPROP CLBLL_R_X21Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X21Y149 IS_DCM_TILE 0 TILEPROP CLBLL_R_X21Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X21Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X21Y149 NAME CLBLL_R_X21Y149 TILEPROP CLBLL_R_X21Y149 NUM_ARCS 146 TILEPROP CLBLL_R_X21Y149 NUM_SITES 2 TILEPROP CLBLL_R_X21Y149 ROW 1 TILEPROP CLBLL_R_X21Y149 SLR_REGION_ID 0 TILEPROP CLBLL_R_X21Y149 TILE_PATTERN_IDX 42 TILEPROP CLBLL_R_X21Y149 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X21Y149 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X21Y149 TILE_X -1044 TILEPROP CLBLL_R_X21Y149 TILE_Y 240696 TILEPROP CLBLL_R_X21Y149 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y0 CLASS tile TILEPROP CLBLL_R_X31Y0 COLUMN 79 TILEPROP CLBLL_R_X31Y0 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y0 FIRST_SITE_ID 15777 TILEPROP CLBLL_R_X31Y0 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y0 GRID_POINT_Y 155 TILEPROP CLBLL_R_X31Y0 INDEX 17904 TILEPROP CLBLL_R_X31Y0 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y0 INT_TILE_Y 149 TILEPROP CLBLL_R_X31Y0 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y0 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y0 NAME CLBLL_R_X31Y0 TILEPROP CLBLL_R_X31Y0 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y0 NUM_SITES 2 TILEPROP CLBLL_R_X31Y0 ROW 155 TILEPROP CLBLL_R_X31Y0 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y0 TILE_PATTERN_IDX 3523 TILEPROP CLBLL_R_X31Y0 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y0 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y0 TILE_X 47316 TILEPROP CLBLL_R_X31Y0 TILE_Y -239672 TILEPROP CLBLL_R_X31Y0 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y1 CLASS tile TILEPROP CLBLL_R_X31Y1 COLUMN 79 TILEPROP CLBLL_R_X31Y1 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y1 FIRST_SITE_ID 15664 TILEPROP CLBLL_R_X31Y1 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y1 GRID_POINT_Y 154 TILEPROP CLBLL_R_X31Y1 INDEX 17789 TILEPROP CLBLL_R_X31Y1 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y1 INT_TILE_Y 148 TILEPROP CLBLL_R_X31Y1 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y1 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y1 NAME CLBLL_R_X31Y1 TILEPROP CLBLL_R_X31Y1 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y1 NUM_SITES 2 TILEPROP CLBLL_R_X31Y1 ROW 154 TILEPROP CLBLL_R_X31Y1 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y1 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y1 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y1 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y1 TILE_X 47316 TILEPROP CLBLL_R_X31Y1 TILE_Y -236472 TILEPROP CLBLL_R_X31Y1 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y2 CLASS tile TILEPROP CLBLL_R_X31Y2 COLUMN 79 TILEPROP CLBLL_R_X31Y2 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y2 FIRST_SITE_ID 15563 TILEPROP CLBLL_R_X31Y2 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y2 GRID_POINT_Y 153 TILEPROP CLBLL_R_X31Y2 INDEX 17674 TILEPROP CLBLL_R_X31Y2 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y2 INT_TILE_Y 147 TILEPROP CLBLL_R_X31Y2 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y2 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y2 NAME CLBLL_R_X31Y2 TILEPROP CLBLL_R_X31Y2 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y2 NUM_SITES 2 TILEPROP CLBLL_R_X31Y2 ROW 153 TILEPROP CLBLL_R_X31Y2 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y2 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y2 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y2 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y2 TILE_X 47316 TILEPROP CLBLL_R_X31Y2 TILE_Y -233272 TILEPROP CLBLL_R_X31Y2 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y3 CLASS tile TILEPROP CLBLL_R_X31Y3 COLUMN 79 TILEPROP CLBLL_R_X31Y3 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y3 FIRST_SITE_ID 15463 TILEPROP CLBLL_R_X31Y3 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y3 GRID_POINT_Y 152 TILEPROP CLBLL_R_X31Y3 INDEX 17559 TILEPROP CLBLL_R_X31Y3 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y3 INT_TILE_Y 146 TILEPROP CLBLL_R_X31Y3 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y3 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y3 NAME CLBLL_R_X31Y3 TILEPROP CLBLL_R_X31Y3 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y3 NUM_SITES 2 TILEPROP CLBLL_R_X31Y3 ROW 152 TILEPROP CLBLL_R_X31Y3 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y3 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y3 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y3 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y3 TILE_X 47316 TILEPROP CLBLL_R_X31Y3 TILE_Y -230072 TILEPROP CLBLL_R_X31Y3 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y4 CLASS tile TILEPROP CLBLL_R_X31Y4 COLUMN 79 TILEPROP CLBLL_R_X31Y4 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y4 FIRST_SITE_ID 15363 TILEPROP CLBLL_R_X31Y4 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y4 GRID_POINT_Y 151 TILEPROP CLBLL_R_X31Y4 INDEX 17444 TILEPROP CLBLL_R_X31Y4 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y4 INT_TILE_Y 145 TILEPROP CLBLL_R_X31Y4 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y4 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y4 NAME CLBLL_R_X31Y4 TILEPROP CLBLL_R_X31Y4 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y4 NUM_SITES 2 TILEPROP CLBLL_R_X31Y4 ROW 151 TILEPROP CLBLL_R_X31Y4 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y4 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y4 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y4 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y4 TILE_X 47316 TILEPROP CLBLL_R_X31Y4 TILE_Y -226872 TILEPROP CLBLL_R_X31Y4 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y5 CLASS tile TILEPROP CLBLL_R_X31Y5 COLUMN 79 TILEPROP CLBLL_R_X31Y5 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y5 FIRST_SITE_ID 15257 TILEPROP CLBLL_R_X31Y5 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y5 GRID_POINT_Y 150 TILEPROP CLBLL_R_X31Y5 INDEX 17329 TILEPROP CLBLL_R_X31Y5 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y5 INT_TILE_Y 144 TILEPROP CLBLL_R_X31Y5 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y5 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y5 NAME CLBLL_R_X31Y5 TILEPROP CLBLL_R_X31Y5 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y5 NUM_SITES 2 TILEPROP CLBLL_R_X31Y5 ROW 150 TILEPROP CLBLL_R_X31Y5 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y5 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y5 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y5 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y5 TILE_X 47316 TILEPROP CLBLL_R_X31Y5 TILE_Y -223672 TILEPROP CLBLL_R_X31Y5 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y6 CLASS tile TILEPROP CLBLL_R_X31Y6 COLUMN 79 TILEPROP CLBLL_R_X31Y6 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y6 FIRST_SITE_ID 15148 TILEPROP CLBLL_R_X31Y6 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y6 GRID_POINT_Y 149 TILEPROP CLBLL_R_X31Y6 INDEX 17214 TILEPROP CLBLL_R_X31Y6 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y6 INT_TILE_Y 143 TILEPROP CLBLL_R_X31Y6 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y6 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y6 NAME CLBLL_R_X31Y6 TILEPROP CLBLL_R_X31Y6 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y6 NUM_SITES 2 TILEPROP CLBLL_R_X31Y6 ROW 149 TILEPROP CLBLL_R_X31Y6 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y6 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y6 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y6 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y6 TILE_X 47316 TILEPROP CLBLL_R_X31Y6 TILE_Y -220472 TILEPROP CLBLL_R_X31Y6 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y7 CLASS tile TILEPROP CLBLL_R_X31Y7 COLUMN 79 TILEPROP CLBLL_R_X31Y7 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y7 FIRST_SITE_ID 15046 TILEPROP CLBLL_R_X31Y7 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y7 GRID_POINT_Y 148 TILEPROP CLBLL_R_X31Y7 INDEX 17099 TILEPROP CLBLL_R_X31Y7 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y7 INT_TILE_Y 142 TILEPROP CLBLL_R_X31Y7 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y7 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y7 NAME CLBLL_R_X31Y7 TILEPROP CLBLL_R_X31Y7 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y7 NUM_SITES 2 TILEPROP CLBLL_R_X31Y7 ROW 148 TILEPROP CLBLL_R_X31Y7 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y7 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y7 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y7 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y7 TILE_X 47316 TILEPROP CLBLL_R_X31Y7 TILE_Y -217272 TILEPROP CLBLL_R_X31Y7 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y8 CLASS tile TILEPROP CLBLL_R_X31Y8 COLUMN 79 TILEPROP CLBLL_R_X31Y8 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y8 FIRST_SITE_ID 14943 TILEPROP CLBLL_R_X31Y8 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y8 GRID_POINT_Y 147 TILEPROP CLBLL_R_X31Y8 INDEX 16984 TILEPROP CLBLL_R_X31Y8 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y8 INT_TILE_Y 141 TILEPROP CLBLL_R_X31Y8 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y8 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y8 NAME CLBLL_R_X31Y8 TILEPROP CLBLL_R_X31Y8 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y8 NUM_SITES 2 TILEPROP CLBLL_R_X31Y8 ROW 147 TILEPROP CLBLL_R_X31Y8 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y8 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y8 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y8 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y8 TILE_X 47316 TILEPROP CLBLL_R_X31Y8 TILE_Y -214072 TILEPROP CLBLL_R_X31Y8 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y9 CLASS tile TILEPROP CLBLL_R_X31Y9 COLUMN 79 TILEPROP CLBLL_R_X31Y9 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y9 FIRST_SITE_ID 14842 TILEPROP CLBLL_R_X31Y9 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y9 GRID_POINT_Y 146 TILEPROP CLBLL_R_X31Y9 INDEX 16869 TILEPROP CLBLL_R_X31Y9 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y9 INT_TILE_Y 140 TILEPROP CLBLL_R_X31Y9 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y9 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y9 NAME CLBLL_R_X31Y9 TILEPROP CLBLL_R_X31Y9 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y9 NUM_SITES 2 TILEPROP CLBLL_R_X31Y9 ROW 146 TILEPROP CLBLL_R_X31Y9 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y9 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y9 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y9 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y9 TILE_X 47316 TILEPROP CLBLL_R_X31Y9 TILE_Y -210872 TILEPROP CLBLL_R_X31Y9 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y10 CLASS tile TILEPROP CLBLL_R_X31Y10 COLUMN 79 TILEPROP CLBLL_R_X31Y10 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y10 FIRST_SITE_ID 14736 TILEPROP CLBLL_R_X31Y10 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y10 GRID_POINT_Y 145 TILEPROP CLBLL_R_X31Y10 INDEX 16754 TILEPROP CLBLL_R_X31Y10 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y10 INT_TILE_Y 139 TILEPROP CLBLL_R_X31Y10 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y10 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y10 NAME CLBLL_R_X31Y10 TILEPROP CLBLL_R_X31Y10 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y10 NUM_SITES 2 TILEPROP CLBLL_R_X31Y10 ROW 145 TILEPROP CLBLL_R_X31Y10 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y10 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y10 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y10 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y10 TILE_X 47316 TILEPROP CLBLL_R_X31Y10 TILE_Y -207672 TILEPROP CLBLL_R_X31Y10 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y11 CLASS tile TILEPROP CLBLL_R_X31Y11 COLUMN 79 TILEPROP CLBLL_R_X31Y11 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y11 FIRST_SITE_ID 14627 TILEPROP CLBLL_R_X31Y11 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y11 GRID_POINT_Y 144 TILEPROP CLBLL_R_X31Y11 INDEX 16639 TILEPROP CLBLL_R_X31Y11 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y11 INT_TILE_Y 138 TILEPROP CLBLL_R_X31Y11 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y11 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y11 NAME CLBLL_R_X31Y11 TILEPROP CLBLL_R_X31Y11 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y11 NUM_SITES 2 TILEPROP CLBLL_R_X31Y11 ROW 144 TILEPROP CLBLL_R_X31Y11 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y11 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y11 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y11 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y11 TILE_X 47316 TILEPROP CLBLL_R_X31Y11 TILE_Y -204472 TILEPROP CLBLL_R_X31Y11 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y12 CLASS tile TILEPROP CLBLL_R_X31Y12 COLUMN 79 TILEPROP CLBLL_R_X31Y12 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y12 FIRST_SITE_ID 14527 TILEPROP CLBLL_R_X31Y12 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y12 GRID_POINT_Y 143 TILEPROP CLBLL_R_X31Y12 INDEX 16524 TILEPROP CLBLL_R_X31Y12 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y12 INT_TILE_Y 137 TILEPROP CLBLL_R_X31Y12 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y12 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y12 NAME CLBLL_R_X31Y12 TILEPROP CLBLL_R_X31Y12 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y12 NUM_SITES 2 TILEPROP CLBLL_R_X31Y12 ROW 143 TILEPROP CLBLL_R_X31Y12 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y12 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y12 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y12 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y12 TILE_X 47316 TILEPROP CLBLL_R_X31Y12 TILE_Y -201272 TILEPROP CLBLL_R_X31Y12 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y13 CLASS tile TILEPROP CLBLL_R_X31Y13 COLUMN 79 TILEPROP CLBLL_R_X31Y13 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y13 FIRST_SITE_ID 14395 TILEPROP CLBLL_R_X31Y13 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y13 GRID_POINT_Y 142 TILEPROP CLBLL_R_X31Y13 INDEX 16409 TILEPROP CLBLL_R_X31Y13 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y13 INT_TILE_Y 136 TILEPROP CLBLL_R_X31Y13 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y13 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y13 NAME CLBLL_R_X31Y13 TILEPROP CLBLL_R_X31Y13 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y13 NUM_SITES 2 TILEPROP CLBLL_R_X31Y13 ROW 142 TILEPROP CLBLL_R_X31Y13 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y13 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y13 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y13 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y13 TILE_X 47316 TILEPROP CLBLL_R_X31Y13 TILE_Y -198072 TILEPROP CLBLL_R_X31Y13 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y14 CLASS tile TILEPROP CLBLL_R_X31Y14 COLUMN 79 TILEPROP CLBLL_R_X31Y14 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y14 FIRST_SITE_ID 14295 TILEPROP CLBLL_R_X31Y14 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y14 GRID_POINT_Y 141 TILEPROP CLBLL_R_X31Y14 INDEX 16294 TILEPROP CLBLL_R_X31Y14 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y14 INT_TILE_Y 135 TILEPROP CLBLL_R_X31Y14 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y14 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y14 NAME CLBLL_R_X31Y14 TILEPROP CLBLL_R_X31Y14 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y14 NUM_SITES 2 TILEPROP CLBLL_R_X31Y14 ROW 141 TILEPROP CLBLL_R_X31Y14 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y14 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y14 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y14 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y14 TILE_X 47316 TILEPROP CLBLL_R_X31Y14 TILE_Y -194872 TILEPROP CLBLL_R_X31Y14 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y15 CLASS tile TILEPROP CLBLL_R_X31Y15 COLUMN 79 TILEPROP CLBLL_R_X31Y15 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y15 FIRST_SITE_ID 14189 TILEPROP CLBLL_R_X31Y15 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y15 GRID_POINT_Y 140 TILEPROP CLBLL_R_X31Y15 INDEX 16179 TILEPROP CLBLL_R_X31Y15 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y15 INT_TILE_Y 134 TILEPROP CLBLL_R_X31Y15 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y15 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y15 NAME CLBLL_R_X31Y15 TILEPROP CLBLL_R_X31Y15 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y15 NUM_SITES 2 TILEPROP CLBLL_R_X31Y15 ROW 140 TILEPROP CLBLL_R_X31Y15 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y15 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y15 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y15 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y15 TILE_X 47316 TILEPROP CLBLL_R_X31Y15 TILE_Y -191672 TILEPROP CLBLL_R_X31Y15 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y16 CLASS tile TILEPROP CLBLL_R_X31Y16 COLUMN 79 TILEPROP CLBLL_R_X31Y16 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y16 FIRST_SITE_ID 14080 TILEPROP CLBLL_R_X31Y16 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y16 GRID_POINT_Y 139 TILEPROP CLBLL_R_X31Y16 INDEX 16064 TILEPROP CLBLL_R_X31Y16 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y16 INT_TILE_Y 133 TILEPROP CLBLL_R_X31Y16 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y16 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y16 NAME CLBLL_R_X31Y16 TILEPROP CLBLL_R_X31Y16 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y16 NUM_SITES 2 TILEPROP CLBLL_R_X31Y16 ROW 139 TILEPROP CLBLL_R_X31Y16 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y16 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y16 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y16 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y16 TILE_X 47316 TILEPROP CLBLL_R_X31Y16 TILE_Y -188472 TILEPROP CLBLL_R_X31Y16 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y17 CLASS tile TILEPROP CLBLL_R_X31Y17 COLUMN 79 TILEPROP CLBLL_R_X31Y17 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y17 FIRST_SITE_ID 13976 TILEPROP CLBLL_R_X31Y17 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y17 GRID_POINT_Y 138 TILEPROP CLBLL_R_X31Y17 INDEX 15949 TILEPROP CLBLL_R_X31Y17 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y17 INT_TILE_Y 132 TILEPROP CLBLL_R_X31Y17 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y17 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y17 NAME CLBLL_R_X31Y17 TILEPROP CLBLL_R_X31Y17 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y17 NUM_SITES 2 TILEPROP CLBLL_R_X31Y17 ROW 138 TILEPROP CLBLL_R_X31Y17 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y17 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y17 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y17 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y17 TILE_X 47316 TILEPROP CLBLL_R_X31Y17 TILE_Y -185272 TILEPROP CLBLL_R_X31Y17 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y18 CLASS tile TILEPROP CLBLL_R_X31Y18 COLUMN 79 TILEPROP CLBLL_R_X31Y18 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y18 FIRST_SITE_ID 13871 TILEPROP CLBLL_R_X31Y18 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y18 GRID_POINT_Y 137 TILEPROP CLBLL_R_X31Y18 INDEX 15834 TILEPROP CLBLL_R_X31Y18 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y18 INT_TILE_Y 131 TILEPROP CLBLL_R_X31Y18 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y18 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y18 NAME CLBLL_R_X31Y18 TILEPROP CLBLL_R_X31Y18 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y18 NUM_SITES 2 TILEPROP CLBLL_R_X31Y18 ROW 137 TILEPROP CLBLL_R_X31Y18 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y18 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y18 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y18 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y18 TILE_X 47316 TILEPROP CLBLL_R_X31Y18 TILE_Y -182072 TILEPROP CLBLL_R_X31Y18 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y19 CLASS tile TILEPROP CLBLL_R_X31Y19 COLUMN 79 TILEPROP CLBLL_R_X31Y19 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y19 FIRST_SITE_ID 13769 TILEPROP CLBLL_R_X31Y19 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y19 GRID_POINT_Y 136 TILEPROP CLBLL_R_X31Y19 INDEX 15719 TILEPROP CLBLL_R_X31Y19 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y19 INT_TILE_Y 130 TILEPROP CLBLL_R_X31Y19 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y19 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y19 NAME CLBLL_R_X31Y19 TILEPROP CLBLL_R_X31Y19 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y19 NUM_SITES 2 TILEPROP CLBLL_R_X31Y19 ROW 136 TILEPROP CLBLL_R_X31Y19 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y19 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y19 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y19 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y19 TILE_X 47316 TILEPROP CLBLL_R_X31Y19 TILE_Y -178872 TILEPROP CLBLL_R_X31Y19 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y20 CLASS tile TILEPROP CLBLL_R_X31Y20 COLUMN 79 TILEPROP CLBLL_R_X31Y20 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y20 FIRST_SITE_ID 13661 TILEPROP CLBLL_R_X31Y20 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y20 GRID_POINT_Y 135 TILEPROP CLBLL_R_X31Y20 INDEX 15604 TILEPROP CLBLL_R_X31Y20 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y20 INT_TILE_Y 129 TILEPROP CLBLL_R_X31Y20 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y20 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y20 NAME CLBLL_R_X31Y20 TILEPROP CLBLL_R_X31Y20 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y20 NUM_SITES 2 TILEPROP CLBLL_R_X31Y20 ROW 135 TILEPROP CLBLL_R_X31Y20 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y20 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y20 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y20 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y20 TILE_X 47316 TILEPROP CLBLL_R_X31Y20 TILE_Y -175672 TILEPROP CLBLL_R_X31Y20 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y21 CLASS tile TILEPROP CLBLL_R_X31Y21 COLUMN 79 TILEPROP CLBLL_R_X31Y21 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y21 FIRST_SITE_ID 13552 TILEPROP CLBLL_R_X31Y21 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y21 GRID_POINT_Y 134 TILEPROP CLBLL_R_X31Y21 INDEX 15489 TILEPROP CLBLL_R_X31Y21 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y21 INT_TILE_Y 128 TILEPROP CLBLL_R_X31Y21 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y21 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y21 NAME CLBLL_R_X31Y21 TILEPROP CLBLL_R_X31Y21 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y21 NUM_SITES 2 TILEPROP CLBLL_R_X31Y21 ROW 134 TILEPROP CLBLL_R_X31Y21 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y21 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y21 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y21 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y21 TILE_X 47316 TILEPROP CLBLL_R_X31Y21 TILE_Y -172472 TILEPROP CLBLL_R_X31Y21 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y22 CLASS tile TILEPROP CLBLL_R_X31Y22 COLUMN 79 TILEPROP CLBLL_R_X31Y22 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y22 FIRST_SITE_ID 13452 TILEPROP CLBLL_R_X31Y22 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y22 GRID_POINT_Y 133 TILEPROP CLBLL_R_X31Y22 INDEX 15374 TILEPROP CLBLL_R_X31Y22 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y22 INT_TILE_Y 127 TILEPROP CLBLL_R_X31Y22 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y22 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y22 NAME CLBLL_R_X31Y22 TILEPROP CLBLL_R_X31Y22 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y22 NUM_SITES 2 TILEPROP CLBLL_R_X31Y22 ROW 133 TILEPROP CLBLL_R_X31Y22 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y22 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y22 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y22 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y22 TILE_X 47316 TILEPROP CLBLL_R_X31Y22 TILE_Y -169272 TILEPROP CLBLL_R_X31Y22 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y23 CLASS tile TILEPROP CLBLL_R_X31Y23 COLUMN 79 TILEPROP CLBLL_R_X31Y23 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y23 FIRST_SITE_ID 13352 TILEPROP CLBLL_R_X31Y23 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y23 GRID_POINT_Y 132 TILEPROP CLBLL_R_X31Y23 INDEX 15259 TILEPROP CLBLL_R_X31Y23 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y23 INT_TILE_Y 126 TILEPROP CLBLL_R_X31Y23 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y23 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y23 NAME CLBLL_R_X31Y23 TILEPROP CLBLL_R_X31Y23 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y23 NUM_SITES 2 TILEPROP CLBLL_R_X31Y23 ROW 132 TILEPROP CLBLL_R_X31Y23 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y23 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y23 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y23 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y23 TILE_X 47316 TILEPROP CLBLL_R_X31Y23 TILE_Y -166072 TILEPROP CLBLL_R_X31Y23 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y24 CLASS tile TILEPROP CLBLL_R_X31Y24 COLUMN 79 TILEPROP CLBLL_R_X31Y24 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y24 FIRST_SITE_ID 13252 TILEPROP CLBLL_R_X31Y24 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y24 GRID_POINT_Y 131 TILEPROP CLBLL_R_X31Y24 INDEX 15144 TILEPROP CLBLL_R_X31Y24 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y24 INT_TILE_Y 125 TILEPROP CLBLL_R_X31Y24 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y24 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y24 NAME CLBLL_R_X31Y24 TILEPROP CLBLL_R_X31Y24 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y24 NUM_SITES 2 TILEPROP CLBLL_R_X31Y24 ROW 131 TILEPROP CLBLL_R_X31Y24 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y24 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X31Y24 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y24 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y24 TILE_X 47316 TILEPROP CLBLL_R_X31Y24 TILE_Y -162872 TILEPROP CLBLL_R_X31Y24 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y25 CLASS tile TILEPROP CLBLL_R_X31Y25 COLUMN 79 TILEPROP CLBLL_R_X31Y25 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y25 FIRST_SITE_ID 13063 TILEPROP CLBLL_R_X31Y25 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y25 GRID_POINT_Y 129 TILEPROP CLBLL_R_X31Y25 INDEX 14914 TILEPROP CLBLL_R_X31Y25 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y25 INT_TILE_Y 124 TILEPROP CLBLL_R_X31Y25 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y25 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y25 NAME CLBLL_R_X31Y25 TILEPROP CLBLL_R_X31Y25 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y25 NUM_SITES 2 TILEPROP CLBLL_R_X31Y25 ROW 129 TILEPROP CLBLL_R_X31Y25 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y25 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X31Y25 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y25 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y25 TILE_X 47316 TILEPROP CLBLL_R_X31Y25 TILE_Y -158648 TILEPROP CLBLL_R_X31Y25 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y26 CLASS tile TILEPROP CLBLL_R_X31Y26 COLUMN 79 TILEPROP CLBLL_R_X31Y26 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y26 FIRST_SITE_ID 12954 TILEPROP CLBLL_R_X31Y26 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y26 GRID_POINT_Y 128 TILEPROP CLBLL_R_X31Y26 INDEX 14799 TILEPROP CLBLL_R_X31Y26 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y26 INT_TILE_Y 123 TILEPROP CLBLL_R_X31Y26 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y26 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y26 NAME CLBLL_R_X31Y26 TILEPROP CLBLL_R_X31Y26 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y26 NUM_SITES 2 TILEPROP CLBLL_R_X31Y26 ROW 128 TILEPROP CLBLL_R_X31Y26 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y26 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y26 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y26 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y26 TILE_X 47316 TILEPROP CLBLL_R_X31Y26 TILE_Y -155448 TILEPROP CLBLL_R_X31Y26 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y27 CLASS tile TILEPROP CLBLL_R_X31Y27 COLUMN 79 TILEPROP CLBLL_R_X31Y27 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y27 FIRST_SITE_ID 12854 TILEPROP CLBLL_R_X31Y27 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y27 GRID_POINT_Y 127 TILEPROP CLBLL_R_X31Y27 INDEX 14684 TILEPROP CLBLL_R_X31Y27 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y27 INT_TILE_Y 122 TILEPROP CLBLL_R_X31Y27 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y27 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y27 NAME CLBLL_R_X31Y27 TILEPROP CLBLL_R_X31Y27 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y27 NUM_SITES 2 TILEPROP CLBLL_R_X31Y27 ROW 127 TILEPROP CLBLL_R_X31Y27 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y27 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y27 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y27 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y27 TILE_X 47316 TILEPROP CLBLL_R_X31Y27 TILE_Y -152248 TILEPROP CLBLL_R_X31Y27 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y28 CLASS tile TILEPROP CLBLL_R_X31Y28 COLUMN 79 TILEPROP CLBLL_R_X31Y28 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y28 FIRST_SITE_ID 12754 TILEPROP CLBLL_R_X31Y28 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y28 GRID_POINT_Y 126 TILEPROP CLBLL_R_X31Y28 INDEX 14569 TILEPROP CLBLL_R_X31Y28 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y28 INT_TILE_Y 121 TILEPROP CLBLL_R_X31Y28 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y28 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y28 NAME CLBLL_R_X31Y28 TILEPROP CLBLL_R_X31Y28 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y28 NUM_SITES 2 TILEPROP CLBLL_R_X31Y28 ROW 126 TILEPROP CLBLL_R_X31Y28 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y28 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y28 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y28 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y28 TILE_X 47316 TILEPROP CLBLL_R_X31Y28 TILE_Y -149048 TILEPROP CLBLL_R_X31Y28 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y29 CLASS tile TILEPROP CLBLL_R_X31Y29 COLUMN 79 TILEPROP CLBLL_R_X31Y29 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y29 FIRST_SITE_ID 12648 TILEPROP CLBLL_R_X31Y29 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y29 GRID_POINT_Y 125 TILEPROP CLBLL_R_X31Y29 INDEX 14454 TILEPROP CLBLL_R_X31Y29 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y29 INT_TILE_Y 120 TILEPROP CLBLL_R_X31Y29 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y29 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y29 NAME CLBLL_R_X31Y29 TILEPROP CLBLL_R_X31Y29 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y29 NUM_SITES 2 TILEPROP CLBLL_R_X31Y29 ROW 125 TILEPROP CLBLL_R_X31Y29 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y29 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y29 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y29 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y29 TILE_X 47316 TILEPROP CLBLL_R_X31Y29 TILE_Y -145848 TILEPROP CLBLL_R_X31Y29 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y30 CLASS tile TILEPROP CLBLL_R_X31Y30 COLUMN 79 TILEPROP CLBLL_R_X31Y30 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y30 FIRST_SITE_ID 12536 TILEPROP CLBLL_R_X31Y30 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y30 GRID_POINT_Y 124 TILEPROP CLBLL_R_X31Y30 INDEX 14339 TILEPROP CLBLL_R_X31Y30 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y30 INT_TILE_Y 119 TILEPROP CLBLL_R_X31Y30 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y30 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y30 NAME CLBLL_R_X31Y30 TILEPROP CLBLL_R_X31Y30 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y30 NUM_SITES 2 TILEPROP CLBLL_R_X31Y30 ROW 124 TILEPROP CLBLL_R_X31Y30 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y30 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y30 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y30 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y30 TILE_X 47316 TILEPROP CLBLL_R_X31Y30 TILE_Y -142648 TILEPROP CLBLL_R_X31Y30 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y31 CLASS tile TILEPROP CLBLL_R_X31Y31 COLUMN 79 TILEPROP CLBLL_R_X31Y31 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y31 FIRST_SITE_ID 12412 TILEPROP CLBLL_R_X31Y31 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y31 GRID_POINT_Y 123 TILEPROP CLBLL_R_X31Y31 INDEX 14224 TILEPROP CLBLL_R_X31Y31 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y31 INT_TILE_Y 118 TILEPROP CLBLL_R_X31Y31 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y31 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y31 NAME CLBLL_R_X31Y31 TILEPROP CLBLL_R_X31Y31 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y31 NUM_SITES 2 TILEPROP CLBLL_R_X31Y31 ROW 123 TILEPROP CLBLL_R_X31Y31 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y31 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y31 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y31 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y31 TILE_X 47316 TILEPROP CLBLL_R_X31Y31 TILE_Y -139448 TILEPROP CLBLL_R_X31Y31 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y32 CLASS tile TILEPROP CLBLL_R_X31Y32 COLUMN 79 TILEPROP CLBLL_R_X31Y32 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y32 FIRST_SITE_ID 12310 TILEPROP CLBLL_R_X31Y32 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y32 GRID_POINT_Y 122 TILEPROP CLBLL_R_X31Y32 INDEX 14109 TILEPROP CLBLL_R_X31Y32 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y32 INT_TILE_Y 117 TILEPROP CLBLL_R_X31Y32 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y32 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y32 NAME CLBLL_R_X31Y32 TILEPROP CLBLL_R_X31Y32 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y32 NUM_SITES 2 TILEPROP CLBLL_R_X31Y32 ROW 122 TILEPROP CLBLL_R_X31Y32 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y32 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y32 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y32 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y32 TILE_X 47316 TILEPROP CLBLL_R_X31Y32 TILE_Y -136248 TILEPROP CLBLL_R_X31Y32 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y33 CLASS tile TILEPROP CLBLL_R_X31Y33 COLUMN 79 TILEPROP CLBLL_R_X31Y33 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y33 FIRST_SITE_ID 12209 TILEPROP CLBLL_R_X31Y33 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y33 GRID_POINT_Y 121 TILEPROP CLBLL_R_X31Y33 INDEX 13994 TILEPROP CLBLL_R_X31Y33 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y33 INT_TILE_Y 116 TILEPROP CLBLL_R_X31Y33 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y33 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y33 NAME CLBLL_R_X31Y33 TILEPROP CLBLL_R_X31Y33 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y33 NUM_SITES 2 TILEPROP CLBLL_R_X31Y33 ROW 121 TILEPROP CLBLL_R_X31Y33 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y33 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y33 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y33 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y33 TILE_X 47316 TILEPROP CLBLL_R_X31Y33 TILE_Y -133048 TILEPROP CLBLL_R_X31Y33 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y34 CLASS tile TILEPROP CLBLL_R_X31Y34 COLUMN 79 TILEPROP CLBLL_R_X31Y34 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y34 FIRST_SITE_ID 12109 TILEPROP CLBLL_R_X31Y34 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y34 GRID_POINT_Y 120 TILEPROP CLBLL_R_X31Y34 INDEX 13879 TILEPROP CLBLL_R_X31Y34 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y34 INT_TILE_Y 115 TILEPROP CLBLL_R_X31Y34 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y34 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y34 NAME CLBLL_R_X31Y34 TILEPROP CLBLL_R_X31Y34 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y34 NUM_SITES 2 TILEPROP CLBLL_R_X31Y34 ROW 120 TILEPROP CLBLL_R_X31Y34 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y34 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y34 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y34 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y34 TILE_X 47316 TILEPROP CLBLL_R_X31Y34 TILE_Y -129848 TILEPROP CLBLL_R_X31Y34 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y35 CLASS tile TILEPROP CLBLL_R_X31Y35 COLUMN 79 TILEPROP CLBLL_R_X31Y35 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y35 FIRST_SITE_ID 12003 TILEPROP CLBLL_R_X31Y35 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y35 GRID_POINT_Y 119 TILEPROP CLBLL_R_X31Y35 INDEX 13764 TILEPROP CLBLL_R_X31Y35 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y35 INT_TILE_Y 114 TILEPROP CLBLL_R_X31Y35 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y35 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y35 NAME CLBLL_R_X31Y35 TILEPROP CLBLL_R_X31Y35 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y35 NUM_SITES 2 TILEPROP CLBLL_R_X31Y35 ROW 119 TILEPROP CLBLL_R_X31Y35 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y35 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y35 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y35 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y35 TILE_X 47316 TILEPROP CLBLL_R_X31Y35 TILE_Y -126648 TILEPROP CLBLL_R_X31Y35 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y36 CLASS tile TILEPROP CLBLL_R_X31Y36 COLUMN 79 TILEPROP CLBLL_R_X31Y36 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y36 FIRST_SITE_ID 11894 TILEPROP CLBLL_R_X31Y36 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y36 GRID_POINT_Y 118 TILEPROP CLBLL_R_X31Y36 INDEX 13649 TILEPROP CLBLL_R_X31Y36 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y36 INT_TILE_Y 113 TILEPROP CLBLL_R_X31Y36 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y36 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y36 NAME CLBLL_R_X31Y36 TILEPROP CLBLL_R_X31Y36 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y36 NUM_SITES 2 TILEPROP CLBLL_R_X31Y36 ROW 118 TILEPROP CLBLL_R_X31Y36 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y36 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y36 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y36 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y36 TILE_X 47316 TILEPROP CLBLL_R_X31Y36 TILE_Y -123448 TILEPROP CLBLL_R_X31Y36 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y37 CLASS tile TILEPROP CLBLL_R_X31Y37 COLUMN 79 TILEPROP CLBLL_R_X31Y37 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y37 FIRST_SITE_ID 11762 TILEPROP CLBLL_R_X31Y37 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y37 GRID_POINT_Y 117 TILEPROP CLBLL_R_X31Y37 INDEX 13534 TILEPROP CLBLL_R_X31Y37 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y37 INT_TILE_Y 112 TILEPROP CLBLL_R_X31Y37 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y37 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y37 NAME CLBLL_R_X31Y37 TILEPROP CLBLL_R_X31Y37 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y37 NUM_SITES 2 TILEPROP CLBLL_R_X31Y37 ROW 117 TILEPROP CLBLL_R_X31Y37 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y37 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y37 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y37 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y37 TILE_X 47316 TILEPROP CLBLL_R_X31Y37 TILE_Y -120248 TILEPROP CLBLL_R_X31Y37 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y38 CLASS tile TILEPROP CLBLL_R_X31Y38 COLUMN 79 TILEPROP CLBLL_R_X31Y38 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y38 FIRST_SITE_ID 11662 TILEPROP CLBLL_R_X31Y38 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y38 GRID_POINT_Y 116 TILEPROP CLBLL_R_X31Y38 INDEX 13419 TILEPROP CLBLL_R_X31Y38 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y38 INT_TILE_Y 111 TILEPROP CLBLL_R_X31Y38 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y38 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y38 NAME CLBLL_R_X31Y38 TILEPROP CLBLL_R_X31Y38 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y38 NUM_SITES 2 TILEPROP CLBLL_R_X31Y38 ROW 116 TILEPROP CLBLL_R_X31Y38 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y38 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y38 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y38 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y38 TILE_X 47316 TILEPROP CLBLL_R_X31Y38 TILE_Y -117048 TILEPROP CLBLL_R_X31Y38 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y39 CLASS tile TILEPROP CLBLL_R_X31Y39 COLUMN 79 TILEPROP CLBLL_R_X31Y39 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y39 FIRST_SITE_ID 11562 TILEPROP CLBLL_R_X31Y39 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y39 GRID_POINT_Y 115 TILEPROP CLBLL_R_X31Y39 INDEX 13304 TILEPROP CLBLL_R_X31Y39 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y39 INT_TILE_Y 110 TILEPROP CLBLL_R_X31Y39 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y39 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y39 NAME CLBLL_R_X31Y39 TILEPROP CLBLL_R_X31Y39 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y39 NUM_SITES 2 TILEPROP CLBLL_R_X31Y39 ROW 115 TILEPROP CLBLL_R_X31Y39 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y39 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y39 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y39 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y39 TILE_X 47316 TILEPROP CLBLL_R_X31Y39 TILE_Y -113848 TILEPROP CLBLL_R_X31Y39 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y40 CLASS tile TILEPROP CLBLL_R_X31Y40 COLUMN 79 TILEPROP CLBLL_R_X31Y40 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y40 FIRST_SITE_ID 11456 TILEPROP CLBLL_R_X31Y40 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y40 GRID_POINT_Y 114 TILEPROP CLBLL_R_X31Y40 INDEX 13189 TILEPROP CLBLL_R_X31Y40 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y40 INT_TILE_Y 109 TILEPROP CLBLL_R_X31Y40 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y40 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y40 NAME CLBLL_R_X31Y40 TILEPROP CLBLL_R_X31Y40 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y40 NUM_SITES 2 TILEPROP CLBLL_R_X31Y40 ROW 114 TILEPROP CLBLL_R_X31Y40 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y40 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y40 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y40 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y40 TILE_X 47316 TILEPROP CLBLL_R_X31Y40 TILE_Y -110648 TILEPROP CLBLL_R_X31Y40 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y41 CLASS tile TILEPROP CLBLL_R_X31Y41 COLUMN 79 TILEPROP CLBLL_R_X31Y41 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y41 FIRST_SITE_ID 11345 TILEPROP CLBLL_R_X31Y41 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y41 GRID_POINT_Y 113 TILEPROP CLBLL_R_X31Y41 INDEX 13074 TILEPROP CLBLL_R_X31Y41 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y41 INT_TILE_Y 108 TILEPROP CLBLL_R_X31Y41 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y41 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y41 NAME CLBLL_R_X31Y41 TILEPROP CLBLL_R_X31Y41 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y41 NUM_SITES 2 TILEPROP CLBLL_R_X31Y41 ROW 113 TILEPROP CLBLL_R_X31Y41 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y41 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y41 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y41 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y41 TILE_X 47316 TILEPROP CLBLL_R_X31Y41 TILE_Y -107448 TILEPROP CLBLL_R_X31Y41 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y42 CLASS tile TILEPROP CLBLL_R_X31Y42 COLUMN 79 TILEPROP CLBLL_R_X31Y42 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y42 FIRST_SITE_ID 11243 TILEPROP CLBLL_R_X31Y42 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y42 GRID_POINT_Y 112 TILEPROP CLBLL_R_X31Y42 INDEX 12959 TILEPROP CLBLL_R_X31Y42 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y42 INT_TILE_Y 107 TILEPROP CLBLL_R_X31Y42 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y42 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y42 NAME CLBLL_R_X31Y42 TILEPROP CLBLL_R_X31Y42 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y42 NUM_SITES 2 TILEPROP CLBLL_R_X31Y42 ROW 112 TILEPROP CLBLL_R_X31Y42 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y42 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y42 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y42 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y42 TILE_X 47316 TILEPROP CLBLL_R_X31Y42 TILE_Y -104248 TILEPROP CLBLL_R_X31Y42 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y43 CLASS tile TILEPROP CLBLL_R_X31Y43 COLUMN 79 TILEPROP CLBLL_R_X31Y43 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y43 FIRST_SITE_ID 11140 TILEPROP CLBLL_R_X31Y43 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y43 GRID_POINT_Y 111 TILEPROP CLBLL_R_X31Y43 INDEX 12844 TILEPROP CLBLL_R_X31Y43 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y43 INT_TILE_Y 106 TILEPROP CLBLL_R_X31Y43 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y43 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y43 NAME CLBLL_R_X31Y43 TILEPROP CLBLL_R_X31Y43 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y43 NUM_SITES 2 TILEPROP CLBLL_R_X31Y43 ROW 111 TILEPROP CLBLL_R_X31Y43 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y43 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y43 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y43 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y43 TILE_X 47316 TILEPROP CLBLL_R_X31Y43 TILE_Y -101048 TILEPROP CLBLL_R_X31Y43 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y44 CLASS tile TILEPROP CLBLL_R_X31Y44 COLUMN 79 TILEPROP CLBLL_R_X31Y44 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y44 FIRST_SITE_ID 11038 TILEPROP CLBLL_R_X31Y44 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y44 GRID_POINT_Y 110 TILEPROP CLBLL_R_X31Y44 INDEX 12729 TILEPROP CLBLL_R_X31Y44 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y44 INT_TILE_Y 105 TILEPROP CLBLL_R_X31Y44 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y44 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y44 NAME CLBLL_R_X31Y44 TILEPROP CLBLL_R_X31Y44 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y44 NUM_SITES 2 TILEPROP CLBLL_R_X31Y44 ROW 110 TILEPROP CLBLL_R_X31Y44 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y44 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y44 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y44 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y44 TILE_X 47316 TILEPROP CLBLL_R_X31Y44 TILE_Y -97848 TILEPROP CLBLL_R_X31Y44 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y45 CLASS tile TILEPROP CLBLL_R_X31Y45 COLUMN 79 TILEPROP CLBLL_R_X31Y45 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y45 FIRST_SITE_ID 10932 TILEPROP CLBLL_R_X31Y45 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y45 GRID_POINT_Y 109 TILEPROP CLBLL_R_X31Y45 INDEX 12614 TILEPROP CLBLL_R_X31Y45 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y45 INT_TILE_Y 104 TILEPROP CLBLL_R_X31Y45 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y45 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y45 NAME CLBLL_R_X31Y45 TILEPROP CLBLL_R_X31Y45 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y45 NUM_SITES 2 TILEPROP CLBLL_R_X31Y45 ROW 109 TILEPROP CLBLL_R_X31Y45 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y45 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y45 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y45 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y45 TILE_X 47316 TILEPROP CLBLL_R_X31Y45 TILE_Y -94648 TILEPROP CLBLL_R_X31Y45 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y46 CLASS tile TILEPROP CLBLL_R_X31Y46 COLUMN 79 TILEPROP CLBLL_R_X31Y46 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y46 FIRST_SITE_ID 10822 TILEPROP CLBLL_R_X31Y46 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y46 GRID_POINT_Y 108 TILEPROP CLBLL_R_X31Y46 INDEX 12499 TILEPROP CLBLL_R_X31Y46 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y46 INT_TILE_Y 103 TILEPROP CLBLL_R_X31Y46 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y46 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y46 NAME CLBLL_R_X31Y46 TILEPROP CLBLL_R_X31Y46 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y46 NUM_SITES 2 TILEPROP CLBLL_R_X31Y46 ROW 108 TILEPROP CLBLL_R_X31Y46 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y46 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y46 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y46 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y46 TILE_X 47316 TILEPROP CLBLL_R_X31Y46 TILE_Y -91448 TILEPROP CLBLL_R_X31Y46 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y47 CLASS tile TILEPROP CLBLL_R_X31Y47 COLUMN 79 TILEPROP CLBLL_R_X31Y47 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y47 FIRST_SITE_ID 10706 TILEPROP CLBLL_R_X31Y47 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y47 GRID_POINT_Y 107 TILEPROP CLBLL_R_X31Y47 INDEX 12384 TILEPROP CLBLL_R_X31Y47 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y47 INT_TILE_Y 102 TILEPROP CLBLL_R_X31Y47 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y47 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y47 NAME CLBLL_R_X31Y47 TILEPROP CLBLL_R_X31Y47 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y47 NUM_SITES 2 TILEPROP CLBLL_R_X31Y47 ROW 107 TILEPROP CLBLL_R_X31Y47 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y47 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y47 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y47 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y47 TILE_X 47316 TILEPROP CLBLL_R_X31Y47 TILE_Y -88248 TILEPROP CLBLL_R_X31Y47 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y48 CLASS tile TILEPROP CLBLL_R_X31Y48 COLUMN 79 TILEPROP CLBLL_R_X31Y48 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y48 FIRST_SITE_ID 10606 TILEPROP CLBLL_R_X31Y48 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y48 GRID_POINT_Y 106 TILEPROP CLBLL_R_X31Y48 INDEX 12269 TILEPROP CLBLL_R_X31Y48 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y48 INT_TILE_Y 101 TILEPROP CLBLL_R_X31Y48 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y48 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y48 NAME CLBLL_R_X31Y48 TILEPROP CLBLL_R_X31Y48 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y48 NUM_SITES 2 TILEPROP CLBLL_R_X31Y48 ROW 106 TILEPROP CLBLL_R_X31Y48 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y48 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y48 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y48 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y48 TILE_X 47316 TILEPROP CLBLL_R_X31Y48 TILE_Y -85048 TILEPROP CLBLL_R_X31Y48 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y49 CLASS tile TILEPROP CLBLL_R_X31Y49 COLUMN 79 TILEPROP CLBLL_R_X31Y49 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y49 FIRST_SITE_ID 10510 TILEPROP CLBLL_R_X31Y49 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y49 GRID_POINT_Y 105 TILEPROP CLBLL_R_X31Y49 INDEX 12154 TILEPROP CLBLL_R_X31Y49 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y49 INT_TILE_Y 100 TILEPROP CLBLL_R_X31Y49 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y49 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y49 NAME CLBLL_R_X31Y49 TILEPROP CLBLL_R_X31Y49 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y49 NUM_SITES 2 TILEPROP CLBLL_R_X31Y49 ROW 105 TILEPROP CLBLL_R_X31Y49 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y49 TILE_PATTERN_IDX 1669 TILEPROP CLBLL_R_X31Y49 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y49 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y49 TILE_X 47316 TILEPROP CLBLL_R_X31Y49 TILE_Y -81848 TILEPROP CLBLL_R_X31Y49 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y50 CLASS tile TILEPROP CLBLL_R_X31Y50 COLUMN 79 TILEPROP CLBLL_R_X31Y50 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y50 FIRST_SITE_ID 10404 TILEPROP CLBLL_R_X31Y50 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y50 GRID_POINT_Y 103 TILEPROP CLBLL_R_X31Y50 INDEX 11924 TILEPROP CLBLL_R_X31Y50 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y50 INT_TILE_Y 99 TILEPROP CLBLL_R_X31Y50 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y50 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y50 NAME CLBLL_R_X31Y50 TILEPROP CLBLL_R_X31Y50 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y50 NUM_SITES 2 TILEPROP CLBLL_R_X31Y50 ROW 103 TILEPROP CLBLL_R_X31Y50 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y50 TILE_PATTERN_IDX 1625 TILEPROP CLBLL_R_X31Y50 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y50 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y50 TILE_X 47316 TILEPROP CLBLL_R_X31Y50 TILE_Y -78400 TILEPROP CLBLL_R_X31Y50 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y51 CLASS tile TILEPROP CLBLL_R_X31Y51 COLUMN 79 TILEPROP CLBLL_R_X31Y51 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y51 FIRST_SITE_ID 10275 TILEPROP CLBLL_R_X31Y51 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y51 GRID_POINT_Y 102 TILEPROP CLBLL_R_X31Y51 INDEX 11809 TILEPROP CLBLL_R_X31Y51 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y51 INT_TILE_Y 98 TILEPROP CLBLL_R_X31Y51 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y51 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y51 NAME CLBLL_R_X31Y51 TILEPROP CLBLL_R_X31Y51 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y51 NUM_SITES 2 TILEPROP CLBLL_R_X31Y51 ROW 102 TILEPROP CLBLL_R_X31Y51 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y51 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y51 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y51 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y51 TILE_X 47316 TILEPROP CLBLL_R_X31Y51 TILE_Y -75200 TILEPROP CLBLL_R_X31Y51 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y52 CLASS tile TILEPROP CLBLL_R_X31Y52 COLUMN 79 TILEPROP CLBLL_R_X31Y52 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y52 FIRST_SITE_ID 10175 TILEPROP CLBLL_R_X31Y52 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y52 GRID_POINT_Y 101 TILEPROP CLBLL_R_X31Y52 INDEX 11694 TILEPROP CLBLL_R_X31Y52 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y52 INT_TILE_Y 97 TILEPROP CLBLL_R_X31Y52 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y52 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y52 NAME CLBLL_R_X31Y52 TILEPROP CLBLL_R_X31Y52 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y52 NUM_SITES 2 TILEPROP CLBLL_R_X31Y52 ROW 101 TILEPROP CLBLL_R_X31Y52 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y52 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y52 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y52 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y52 TILE_X 47316 TILEPROP CLBLL_R_X31Y52 TILE_Y -72000 TILEPROP CLBLL_R_X31Y52 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y53 CLASS tile TILEPROP CLBLL_R_X31Y53 COLUMN 79 TILEPROP CLBLL_R_X31Y53 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y53 FIRST_SITE_ID 10075 TILEPROP CLBLL_R_X31Y53 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y53 GRID_POINT_Y 100 TILEPROP CLBLL_R_X31Y53 INDEX 11579 TILEPROP CLBLL_R_X31Y53 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y53 INT_TILE_Y 96 TILEPROP CLBLL_R_X31Y53 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y53 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y53 NAME CLBLL_R_X31Y53 TILEPROP CLBLL_R_X31Y53 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y53 NUM_SITES 2 TILEPROP CLBLL_R_X31Y53 ROW 100 TILEPROP CLBLL_R_X31Y53 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y53 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y53 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y53 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y53 TILE_X 47316 TILEPROP CLBLL_R_X31Y53 TILE_Y -68800 TILEPROP CLBLL_R_X31Y53 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y54 CLASS tile TILEPROP CLBLL_R_X31Y54 COLUMN 79 TILEPROP CLBLL_R_X31Y54 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y54 FIRST_SITE_ID 9975 TILEPROP CLBLL_R_X31Y54 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y54 GRID_POINT_Y 99 TILEPROP CLBLL_R_X31Y54 INDEX 11464 TILEPROP CLBLL_R_X31Y54 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y54 INT_TILE_Y 95 TILEPROP CLBLL_R_X31Y54 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y54 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y54 NAME CLBLL_R_X31Y54 TILEPROP CLBLL_R_X31Y54 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y54 NUM_SITES 2 TILEPROP CLBLL_R_X31Y54 ROW 99 TILEPROP CLBLL_R_X31Y54 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y54 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y54 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y54 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y54 TILE_X 47316 TILEPROP CLBLL_R_X31Y54 TILE_Y -65600 TILEPROP CLBLL_R_X31Y54 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y55 CLASS tile TILEPROP CLBLL_R_X31Y55 COLUMN 79 TILEPROP CLBLL_R_X31Y55 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y55 FIRST_SITE_ID 9869 TILEPROP CLBLL_R_X31Y55 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y55 GRID_POINT_Y 98 TILEPROP CLBLL_R_X31Y55 INDEX 11349 TILEPROP CLBLL_R_X31Y55 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y55 INT_TILE_Y 94 TILEPROP CLBLL_R_X31Y55 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y55 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y55 NAME CLBLL_R_X31Y55 TILEPROP CLBLL_R_X31Y55 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y55 NUM_SITES 2 TILEPROP CLBLL_R_X31Y55 ROW 98 TILEPROP CLBLL_R_X31Y55 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y55 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y55 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y55 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y55 TILE_X 47316 TILEPROP CLBLL_R_X31Y55 TILE_Y -62400 TILEPROP CLBLL_R_X31Y55 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y56 CLASS tile TILEPROP CLBLL_R_X31Y56 COLUMN 79 TILEPROP CLBLL_R_X31Y56 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y56 FIRST_SITE_ID 9760 TILEPROP CLBLL_R_X31Y56 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y56 GRID_POINT_Y 97 TILEPROP CLBLL_R_X31Y56 INDEX 11234 TILEPROP CLBLL_R_X31Y56 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y56 INT_TILE_Y 93 TILEPROP CLBLL_R_X31Y56 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y56 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y56 NAME CLBLL_R_X31Y56 TILEPROP CLBLL_R_X31Y56 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y56 NUM_SITES 2 TILEPROP CLBLL_R_X31Y56 ROW 97 TILEPROP CLBLL_R_X31Y56 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y56 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y56 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y56 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y56 TILE_X 47316 TILEPROP CLBLL_R_X31Y56 TILE_Y -59200 TILEPROP CLBLL_R_X31Y56 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y57 CLASS tile TILEPROP CLBLL_R_X31Y57 COLUMN 79 TILEPROP CLBLL_R_X31Y57 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y57 FIRST_SITE_ID 9658 TILEPROP CLBLL_R_X31Y57 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y57 GRID_POINT_Y 96 TILEPROP CLBLL_R_X31Y57 INDEX 11119 TILEPROP CLBLL_R_X31Y57 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y57 INT_TILE_Y 92 TILEPROP CLBLL_R_X31Y57 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y57 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y57 NAME CLBLL_R_X31Y57 TILEPROP CLBLL_R_X31Y57 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y57 NUM_SITES 2 TILEPROP CLBLL_R_X31Y57 ROW 96 TILEPROP CLBLL_R_X31Y57 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y57 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y57 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y57 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y57 TILE_X 47316 TILEPROP CLBLL_R_X31Y57 TILE_Y -56000 TILEPROP CLBLL_R_X31Y57 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y58 CLASS tile TILEPROP CLBLL_R_X31Y58 COLUMN 79 TILEPROP CLBLL_R_X31Y58 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y58 FIRST_SITE_ID 9555 TILEPROP CLBLL_R_X31Y58 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y58 GRID_POINT_Y 95 TILEPROP CLBLL_R_X31Y58 INDEX 11004 TILEPROP CLBLL_R_X31Y58 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y58 INT_TILE_Y 91 TILEPROP CLBLL_R_X31Y58 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y58 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y58 NAME CLBLL_R_X31Y58 TILEPROP CLBLL_R_X31Y58 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y58 NUM_SITES 2 TILEPROP CLBLL_R_X31Y58 ROW 95 TILEPROP CLBLL_R_X31Y58 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y58 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y58 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y58 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y58 TILE_X 47316 TILEPROP CLBLL_R_X31Y58 TILE_Y -52800 TILEPROP CLBLL_R_X31Y58 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y59 CLASS tile TILEPROP CLBLL_R_X31Y59 COLUMN 79 TILEPROP CLBLL_R_X31Y59 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y59 FIRST_SITE_ID 9454 TILEPROP CLBLL_R_X31Y59 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y59 GRID_POINT_Y 94 TILEPROP CLBLL_R_X31Y59 INDEX 10889 TILEPROP CLBLL_R_X31Y59 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y59 INT_TILE_Y 90 TILEPROP CLBLL_R_X31Y59 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y59 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y59 NAME CLBLL_R_X31Y59 TILEPROP CLBLL_R_X31Y59 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y59 NUM_SITES 2 TILEPROP CLBLL_R_X31Y59 ROW 94 TILEPROP CLBLL_R_X31Y59 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y59 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y59 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y59 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y59 TILE_X 47316 TILEPROP CLBLL_R_X31Y59 TILE_Y -49600 TILEPROP CLBLL_R_X31Y59 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y60 CLASS tile TILEPROP CLBLL_R_X31Y60 COLUMN 79 TILEPROP CLBLL_R_X31Y60 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y60 FIRST_SITE_ID 9348 TILEPROP CLBLL_R_X31Y60 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y60 GRID_POINT_Y 93 TILEPROP CLBLL_R_X31Y60 INDEX 10774 TILEPROP CLBLL_R_X31Y60 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y60 INT_TILE_Y 89 TILEPROP CLBLL_R_X31Y60 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y60 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y60 NAME CLBLL_R_X31Y60 TILEPROP CLBLL_R_X31Y60 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y60 NUM_SITES 2 TILEPROP CLBLL_R_X31Y60 ROW 93 TILEPROP CLBLL_R_X31Y60 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y60 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y60 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y60 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y60 TILE_X 47316 TILEPROP CLBLL_R_X31Y60 TILE_Y -46400 TILEPROP CLBLL_R_X31Y60 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y61 CLASS tile TILEPROP CLBLL_R_X31Y61 COLUMN 79 TILEPROP CLBLL_R_X31Y61 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y61 FIRST_SITE_ID 9239 TILEPROP CLBLL_R_X31Y61 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y61 GRID_POINT_Y 92 TILEPROP CLBLL_R_X31Y61 INDEX 10659 TILEPROP CLBLL_R_X31Y61 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y61 INT_TILE_Y 88 TILEPROP CLBLL_R_X31Y61 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y61 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y61 NAME CLBLL_R_X31Y61 TILEPROP CLBLL_R_X31Y61 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y61 NUM_SITES 2 TILEPROP CLBLL_R_X31Y61 ROW 92 TILEPROP CLBLL_R_X31Y61 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y61 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y61 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y61 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y61 TILE_X 47316 TILEPROP CLBLL_R_X31Y61 TILE_Y -43200 TILEPROP CLBLL_R_X31Y61 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y62 CLASS tile TILEPROP CLBLL_R_X31Y62 COLUMN 79 TILEPROP CLBLL_R_X31Y62 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y62 FIRST_SITE_ID 9139 TILEPROP CLBLL_R_X31Y62 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y62 GRID_POINT_Y 91 TILEPROP CLBLL_R_X31Y62 INDEX 10544 TILEPROP CLBLL_R_X31Y62 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y62 INT_TILE_Y 87 TILEPROP CLBLL_R_X31Y62 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y62 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y62 NAME CLBLL_R_X31Y62 TILEPROP CLBLL_R_X31Y62 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y62 NUM_SITES 2 TILEPROP CLBLL_R_X31Y62 ROW 91 TILEPROP CLBLL_R_X31Y62 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y62 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y62 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y62 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y62 TILE_X 47316 TILEPROP CLBLL_R_X31Y62 TILE_Y -40000 TILEPROP CLBLL_R_X31Y62 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y63 CLASS tile TILEPROP CLBLL_R_X31Y63 COLUMN 79 TILEPROP CLBLL_R_X31Y63 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y63 FIRST_SITE_ID 9007 TILEPROP CLBLL_R_X31Y63 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y63 GRID_POINT_Y 90 TILEPROP CLBLL_R_X31Y63 INDEX 10429 TILEPROP CLBLL_R_X31Y63 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y63 INT_TILE_Y 86 TILEPROP CLBLL_R_X31Y63 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y63 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y63 NAME CLBLL_R_X31Y63 TILEPROP CLBLL_R_X31Y63 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y63 NUM_SITES 2 TILEPROP CLBLL_R_X31Y63 ROW 90 TILEPROP CLBLL_R_X31Y63 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y63 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y63 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y63 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y63 TILE_X 47316 TILEPROP CLBLL_R_X31Y63 TILE_Y -36800 TILEPROP CLBLL_R_X31Y63 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y64 CLASS tile TILEPROP CLBLL_R_X31Y64 COLUMN 79 TILEPROP CLBLL_R_X31Y64 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y64 FIRST_SITE_ID 8907 TILEPROP CLBLL_R_X31Y64 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y64 GRID_POINT_Y 89 TILEPROP CLBLL_R_X31Y64 INDEX 10314 TILEPROP CLBLL_R_X31Y64 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y64 INT_TILE_Y 85 TILEPROP CLBLL_R_X31Y64 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y64 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y64 NAME CLBLL_R_X31Y64 TILEPROP CLBLL_R_X31Y64 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y64 NUM_SITES 2 TILEPROP CLBLL_R_X31Y64 ROW 89 TILEPROP CLBLL_R_X31Y64 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y64 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y64 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y64 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y64 TILE_X 47316 TILEPROP CLBLL_R_X31Y64 TILE_Y -33600 TILEPROP CLBLL_R_X31Y64 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y65 CLASS tile TILEPROP CLBLL_R_X31Y65 COLUMN 79 TILEPROP CLBLL_R_X31Y65 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y65 FIRST_SITE_ID 8801 TILEPROP CLBLL_R_X31Y65 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y65 GRID_POINT_Y 88 TILEPROP CLBLL_R_X31Y65 INDEX 10199 TILEPROP CLBLL_R_X31Y65 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y65 INT_TILE_Y 84 TILEPROP CLBLL_R_X31Y65 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y65 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y65 NAME CLBLL_R_X31Y65 TILEPROP CLBLL_R_X31Y65 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y65 NUM_SITES 2 TILEPROP CLBLL_R_X31Y65 ROW 88 TILEPROP CLBLL_R_X31Y65 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y65 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y65 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y65 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y65 TILE_X 47316 TILEPROP CLBLL_R_X31Y65 TILE_Y -30400 TILEPROP CLBLL_R_X31Y65 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y66 CLASS tile TILEPROP CLBLL_R_X31Y66 COLUMN 79 TILEPROP CLBLL_R_X31Y66 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y66 FIRST_SITE_ID 8692 TILEPROP CLBLL_R_X31Y66 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y66 GRID_POINT_Y 87 TILEPROP CLBLL_R_X31Y66 INDEX 10084 TILEPROP CLBLL_R_X31Y66 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y66 INT_TILE_Y 83 TILEPROP CLBLL_R_X31Y66 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y66 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y66 NAME CLBLL_R_X31Y66 TILEPROP CLBLL_R_X31Y66 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y66 NUM_SITES 2 TILEPROP CLBLL_R_X31Y66 ROW 87 TILEPROP CLBLL_R_X31Y66 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y66 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y66 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y66 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y66 TILE_X 47316 TILEPROP CLBLL_R_X31Y66 TILE_Y -27200 TILEPROP CLBLL_R_X31Y66 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y67 CLASS tile TILEPROP CLBLL_R_X31Y67 COLUMN 79 TILEPROP CLBLL_R_X31Y67 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y67 FIRST_SITE_ID 8588 TILEPROP CLBLL_R_X31Y67 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y67 GRID_POINT_Y 86 TILEPROP CLBLL_R_X31Y67 INDEX 9969 TILEPROP CLBLL_R_X31Y67 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y67 INT_TILE_Y 82 TILEPROP CLBLL_R_X31Y67 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y67 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y67 NAME CLBLL_R_X31Y67 TILEPROP CLBLL_R_X31Y67 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y67 NUM_SITES 2 TILEPROP CLBLL_R_X31Y67 ROW 86 TILEPROP CLBLL_R_X31Y67 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y67 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y67 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y67 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y67 TILE_X 47316 TILEPROP CLBLL_R_X31Y67 TILE_Y -24000 TILEPROP CLBLL_R_X31Y67 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y68 CLASS tile TILEPROP CLBLL_R_X31Y68 COLUMN 79 TILEPROP CLBLL_R_X31Y68 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y68 FIRST_SITE_ID 8484 TILEPROP CLBLL_R_X31Y68 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y68 GRID_POINT_Y 85 TILEPROP CLBLL_R_X31Y68 INDEX 9854 TILEPROP CLBLL_R_X31Y68 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y68 INT_TILE_Y 81 TILEPROP CLBLL_R_X31Y68 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y68 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y68 NAME CLBLL_R_X31Y68 TILEPROP CLBLL_R_X31Y68 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y68 NUM_SITES 2 TILEPROP CLBLL_R_X31Y68 ROW 85 TILEPROP CLBLL_R_X31Y68 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y68 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y68 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y68 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y68 TILE_X 47316 TILEPROP CLBLL_R_X31Y68 TILE_Y -20800 TILEPROP CLBLL_R_X31Y68 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y69 CLASS tile TILEPROP CLBLL_R_X31Y69 COLUMN 79 TILEPROP CLBLL_R_X31Y69 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y69 FIRST_SITE_ID 8382 TILEPROP CLBLL_R_X31Y69 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y69 GRID_POINT_Y 84 TILEPROP CLBLL_R_X31Y69 INDEX 9739 TILEPROP CLBLL_R_X31Y69 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y69 INT_TILE_Y 80 TILEPROP CLBLL_R_X31Y69 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y69 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y69 NAME CLBLL_R_X31Y69 TILEPROP CLBLL_R_X31Y69 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y69 NUM_SITES 2 TILEPROP CLBLL_R_X31Y69 ROW 84 TILEPROP CLBLL_R_X31Y69 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y69 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y69 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y69 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y69 TILE_X 47316 TILEPROP CLBLL_R_X31Y69 TILE_Y -17600 TILEPROP CLBLL_R_X31Y69 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y70 CLASS tile TILEPROP CLBLL_R_X31Y70 COLUMN 79 TILEPROP CLBLL_R_X31Y70 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y70 FIRST_SITE_ID 8274 TILEPROP CLBLL_R_X31Y70 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y70 GRID_POINT_Y 83 TILEPROP CLBLL_R_X31Y70 INDEX 9624 TILEPROP CLBLL_R_X31Y70 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y70 INT_TILE_Y 79 TILEPROP CLBLL_R_X31Y70 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y70 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y70 NAME CLBLL_R_X31Y70 TILEPROP CLBLL_R_X31Y70 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y70 NUM_SITES 2 TILEPROP CLBLL_R_X31Y70 ROW 83 TILEPROP CLBLL_R_X31Y70 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y70 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y70 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y70 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y70 TILE_X 47316 TILEPROP CLBLL_R_X31Y70 TILE_Y -14400 TILEPROP CLBLL_R_X31Y70 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y71 CLASS tile TILEPROP CLBLL_R_X31Y71 COLUMN 79 TILEPROP CLBLL_R_X31Y71 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y71 FIRST_SITE_ID 8165 TILEPROP CLBLL_R_X31Y71 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y71 GRID_POINT_Y 82 TILEPROP CLBLL_R_X31Y71 INDEX 9509 TILEPROP CLBLL_R_X31Y71 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y71 INT_TILE_Y 78 TILEPROP CLBLL_R_X31Y71 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y71 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y71 NAME CLBLL_R_X31Y71 TILEPROP CLBLL_R_X31Y71 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y71 NUM_SITES 2 TILEPROP CLBLL_R_X31Y71 ROW 82 TILEPROP CLBLL_R_X31Y71 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y71 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y71 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y71 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y71 TILE_X 47316 TILEPROP CLBLL_R_X31Y71 TILE_Y -11200 TILEPROP CLBLL_R_X31Y71 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y72 CLASS tile TILEPROP CLBLL_R_X31Y72 COLUMN 79 TILEPROP CLBLL_R_X31Y72 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y72 FIRST_SITE_ID 8065 TILEPROP CLBLL_R_X31Y72 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y72 GRID_POINT_Y 81 TILEPROP CLBLL_R_X31Y72 INDEX 9394 TILEPROP CLBLL_R_X31Y72 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y72 INT_TILE_Y 77 TILEPROP CLBLL_R_X31Y72 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y72 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y72 NAME CLBLL_R_X31Y72 TILEPROP CLBLL_R_X31Y72 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y72 NUM_SITES 2 TILEPROP CLBLL_R_X31Y72 ROW 81 TILEPROP CLBLL_R_X31Y72 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y72 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y72 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y72 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y72 TILE_X 47316 TILEPROP CLBLL_R_X31Y72 TILE_Y -8000 TILEPROP CLBLL_R_X31Y72 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y73 CLASS tile TILEPROP CLBLL_R_X31Y73 COLUMN 79 TILEPROP CLBLL_R_X31Y73 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y73 FIRST_SITE_ID 7965 TILEPROP CLBLL_R_X31Y73 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y73 GRID_POINT_Y 80 TILEPROP CLBLL_R_X31Y73 INDEX 9279 TILEPROP CLBLL_R_X31Y73 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y73 INT_TILE_Y 76 TILEPROP CLBLL_R_X31Y73 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y73 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y73 NAME CLBLL_R_X31Y73 TILEPROP CLBLL_R_X31Y73 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y73 NUM_SITES 2 TILEPROP CLBLL_R_X31Y73 ROW 80 TILEPROP CLBLL_R_X31Y73 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y73 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y73 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y73 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y73 TILE_X 47316 TILEPROP CLBLL_R_X31Y73 TILE_Y -4800 TILEPROP CLBLL_R_X31Y73 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y74 CLASS tile TILEPROP CLBLL_R_X31Y74 COLUMN 79 TILEPROP CLBLL_R_X31Y74 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y74 FIRST_SITE_ID 7865 TILEPROP CLBLL_R_X31Y74 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y74 GRID_POINT_Y 79 TILEPROP CLBLL_R_X31Y74 INDEX 9164 TILEPROP CLBLL_R_X31Y74 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y74 INT_TILE_Y 75 TILEPROP CLBLL_R_X31Y74 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y74 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y74 NAME CLBLL_R_X31Y74 TILEPROP CLBLL_R_X31Y74 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y74 NUM_SITES 2 TILEPROP CLBLL_R_X31Y74 ROW 79 TILEPROP CLBLL_R_X31Y74 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y74 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X31Y74 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y74 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y74 TILE_X 47316 TILEPROP CLBLL_R_X31Y74 TILE_Y -1600 TILEPROP CLBLL_R_X31Y74 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y75 CLASS tile TILEPROP CLBLL_R_X31Y75 COLUMN 79 TILEPROP CLBLL_R_X31Y75 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y75 FIRST_SITE_ID 7676 TILEPROP CLBLL_R_X31Y75 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y75 GRID_POINT_Y 77 TILEPROP CLBLL_R_X31Y75 INDEX 8934 TILEPROP CLBLL_R_X31Y75 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y75 INT_TILE_Y 74 TILEPROP CLBLL_R_X31Y75 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y75 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y75 NAME CLBLL_R_X31Y75 TILEPROP CLBLL_R_X31Y75 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y75 NUM_SITES 2 TILEPROP CLBLL_R_X31Y75 ROW 77 TILEPROP CLBLL_R_X31Y75 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y75 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X31Y75 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y75 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y75 TILE_X 47316 TILEPROP CLBLL_R_X31Y75 TILE_Y 2624 TILEPROP CLBLL_R_X31Y75 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y76 CLASS tile TILEPROP CLBLL_R_X31Y76 COLUMN 79 TILEPROP CLBLL_R_X31Y76 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y76 FIRST_SITE_ID 7564 TILEPROP CLBLL_R_X31Y76 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y76 GRID_POINT_Y 76 TILEPROP CLBLL_R_X31Y76 INDEX 8819 TILEPROP CLBLL_R_X31Y76 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y76 INT_TILE_Y 73 TILEPROP CLBLL_R_X31Y76 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y76 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y76 NAME CLBLL_R_X31Y76 TILEPROP CLBLL_R_X31Y76 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y76 NUM_SITES 2 TILEPROP CLBLL_R_X31Y76 ROW 76 TILEPROP CLBLL_R_X31Y76 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y76 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y76 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y76 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y76 TILE_X 47316 TILEPROP CLBLL_R_X31Y76 TILE_Y 5824 TILEPROP CLBLL_R_X31Y76 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y77 CLASS tile TILEPROP CLBLL_R_X31Y77 COLUMN 79 TILEPROP CLBLL_R_X31Y77 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y77 FIRST_SITE_ID 7464 TILEPROP CLBLL_R_X31Y77 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y77 GRID_POINT_Y 75 TILEPROP CLBLL_R_X31Y77 INDEX 8704 TILEPROP CLBLL_R_X31Y77 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y77 INT_TILE_Y 72 TILEPROP CLBLL_R_X31Y77 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y77 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y77 NAME CLBLL_R_X31Y77 TILEPROP CLBLL_R_X31Y77 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y77 NUM_SITES 2 TILEPROP CLBLL_R_X31Y77 ROW 75 TILEPROP CLBLL_R_X31Y77 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y77 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y77 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y77 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y77 TILE_X 47316 TILEPROP CLBLL_R_X31Y77 TILE_Y 9024 TILEPROP CLBLL_R_X31Y77 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y78 CLASS tile TILEPROP CLBLL_R_X31Y78 COLUMN 79 TILEPROP CLBLL_R_X31Y78 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y78 FIRST_SITE_ID 7364 TILEPROP CLBLL_R_X31Y78 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y78 GRID_POINT_Y 74 TILEPROP CLBLL_R_X31Y78 INDEX 8589 TILEPROP CLBLL_R_X31Y78 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y78 INT_TILE_Y 71 TILEPROP CLBLL_R_X31Y78 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y78 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y78 NAME CLBLL_R_X31Y78 TILEPROP CLBLL_R_X31Y78 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y78 NUM_SITES 2 TILEPROP CLBLL_R_X31Y78 ROW 74 TILEPROP CLBLL_R_X31Y78 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y78 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y78 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y78 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y78 TILE_X 47316 TILEPROP CLBLL_R_X31Y78 TILE_Y 12224 TILEPROP CLBLL_R_X31Y78 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y79 CLASS tile TILEPROP CLBLL_R_X31Y79 COLUMN 79 TILEPROP CLBLL_R_X31Y79 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y79 FIRST_SITE_ID 7258 TILEPROP CLBLL_R_X31Y79 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y79 GRID_POINT_Y 73 TILEPROP CLBLL_R_X31Y79 INDEX 8474 TILEPROP CLBLL_R_X31Y79 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y79 INT_TILE_Y 70 TILEPROP CLBLL_R_X31Y79 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y79 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y79 NAME CLBLL_R_X31Y79 TILEPROP CLBLL_R_X31Y79 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y79 NUM_SITES 2 TILEPROP CLBLL_R_X31Y79 ROW 73 TILEPROP CLBLL_R_X31Y79 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y79 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y79 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y79 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y79 TILE_X 47316 TILEPROP CLBLL_R_X31Y79 TILE_Y 15424 TILEPROP CLBLL_R_X31Y79 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y80 CLASS tile TILEPROP CLBLL_R_X31Y80 COLUMN 79 TILEPROP CLBLL_R_X31Y80 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y80 FIRST_SITE_ID 7146 TILEPROP CLBLL_R_X31Y80 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y80 GRID_POINT_Y 72 TILEPROP CLBLL_R_X31Y80 INDEX 8359 TILEPROP CLBLL_R_X31Y80 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y80 INT_TILE_Y 69 TILEPROP CLBLL_R_X31Y80 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y80 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y80 NAME CLBLL_R_X31Y80 TILEPROP CLBLL_R_X31Y80 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y80 NUM_SITES 2 TILEPROP CLBLL_R_X31Y80 ROW 72 TILEPROP CLBLL_R_X31Y80 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y80 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y80 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y80 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y80 TILE_X 47316 TILEPROP CLBLL_R_X31Y80 TILE_Y 18624 TILEPROP CLBLL_R_X31Y80 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y81 CLASS tile TILEPROP CLBLL_R_X31Y81 COLUMN 79 TILEPROP CLBLL_R_X31Y81 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y81 FIRST_SITE_ID 7035 TILEPROP CLBLL_R_X31Y81 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y81 GRID_POINT_Y 71 TILEPROP CLBLL_R_X31Y81 INDEX 8244 TILEPROP CLBLL_R_X31Y81 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y81 INT_TILE_Y 68 TILEPROP CLBLL_R_X31Y81 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y81 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y81 NAME CLBLL_R_X31Y81 TILEPROP CLBLL_R_X31Y81 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y81 NUM_SITES 2 TILEPROP CLBLL_R_X31Y81 ROW 71 TILEPROP CLBLL_R_X31Y81 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y81 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y81 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y81 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y81 TILE_X 47316 TILEPROP CLBLL_R_X31Y81 TILE_Y 21824 TILEPROP CLBLL_R_X31Y81 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y82 CLASS tile TILEPROP CLBLL_R_X31Y82 COLUMN 79 TILEPROP CLBLL_R_X31Y82 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y82 FIRST_SITE_ID 6933 TILEPROP CLBLL_R_X31Y82 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y82 GRID_POINT_Y 70 TILEPROP CLBLL_R_X31Y82 INDEX 8129 TILEPROP CLBLL_R_X31Y82 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y82 INT_TILE_Y 67 TILEPROP CLBLL_R_X31Y82 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y82 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y82 NAME CLBLL_R_X31Y82 TILEPROP CLBLL_R_X31Y82 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y82 NUM_SITES 2 TILEPROP CLBLL_R_X31Y82 ROW 70 TILEPROP CLBLL_R_X31Y82 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y82 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y82 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y82 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y82 TILE_X 47316 TILEPROP CLBLL_R_X31Y82 TILE_Y 25024 TILEPROP CLBLL_R_X31Y82 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y83 CLASS tile TILEPROP CLBLL_R_X31Y83 COLUMN 79 TILEPROP CLBLL_R_X31Y83 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y83 FIRST_SITE_ID 6833 TILEPROP CLBLL_R_X31Y83 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y83 GRID_POINT_Y 69 TILEPROP CLBLL_R_X31Y83 INDEX 8014 TILEPROP CLBLL_R_X31Y83 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y83 INT_TILE_Y 66 TILEPROP CLBLL_R_X31Y83 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y83 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y83 NAME CLBLL_R_X31Y83 TILEPROP CLBLL_R_X31Y83 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y83 NUM_SITES 2 TILEPROP CLBLL_R_X31Y83 ROW 69 TILEPROP CLBLL_R_X31Y83 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y83 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y83 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y83 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y83 TILE_X 47316 TILEPROP CLBLL_R_X31Y83 TILE_Y 28224 TILEPROP CLBLL_R_X31Y83 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y84 CLASS tile TILEPROP CLBLL_R_X31Y84 COLUMN 79 TILEPROP CLBLL_R_X31Y84 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y84 FIRST_SITE_ID 6733 TILEPROP CLBLL_R_X31Y84 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y84 GRID_POINT_Y 68 TILEPROP CLBLL_R_X31Y84 INDEX 7899 TILEPROP CLBLL_R_X31Y84 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y84 INT_TILE_Y 65 TILEPROP CLBLL_R_X31Y84 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y84 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y84 NAME CLBLL_R_X31Y84 TILEPROP CLBLL_R_X31Y84 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y84 NUM_SITES 2 TILEPROP CLBLL_R_X31Y84 ROW 68 TILEPROP CLBLL_R_X31Y84 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y84 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y84 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y84 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y84 TILE_X 47316 TILEPROP CLBLL_R_X31Y84 TILE_Y 31424 TILEPROP CLBLL_R_X31Y84 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y85 CLASS tile TILEPROP CLBLL_R_X31Y85 COLUMN 79 TILEPROP CLBLL_R_X31Y85 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y85 FIRST_SITE_ID 6627 TILEPROP CLBLL_R_X31Y85 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y85 GRID_POINT_Y 67 TILEPROP CLBLL_R_X31Y85 INDEX 7784 TILEPROP CLBLL_R_X31Y85 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y85 INT_TILE_Y 64 TILEPROP CLBLL_R_X31Y85 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y85 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y85 NAME CLBLL_R_X31Y85 TILEPROP CLBLL_R_X31Y85 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y85 NUM_SITES 2 TILEPROP CLBLL_R_X31Y85 ROW 67 TILEPROP CLBLL_R_X31Y85 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y85 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y85 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y85 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y85 TILE_X 47316 TILEPROP CLBLL_R_X31Y85 TILE_Y 34624 TILEPROP CLBLL_R_X31Y85 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y86 CLASS tile TILEPROP CLBLL_R_X31Y86 COLUMN 79 TILEPROP CLBLL_R_X31Y86 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y86 FIRST_SITE_ID 6518 TILEPROP CLBLL_R_X31Y86 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y86 GRID_POINT_Y 66 TILEPROP CLBLL_R_X31Y86 INDEX 7669 TILEPROP CLBLL_R_X31Y86 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y86 INT_TILE_Y 63 TILEPROP CLBLL_R_X31Y86 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y86 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y86 NAME CLBLL_R_X31Y86 TILEPROP CLBLL_R_X31Y86 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y86 NUM_SITES 2 TILEPROP CLBLL_R_X31Y86 ROW 66 TILEPROP CLBLL_R_X31Y86 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y86 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y86 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y86 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y86 TILE_X 47316 TILEPROP CLBLL_R_X31Y86 TILE_Y 37824 TILEPROP CLBLL_R_X31Y86 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y87 CLASS tile TILEPROP CLBLL_R_X31Y87 COLUMN 79 TILEPROP CLBLL_R_X31Y87 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y87 FIRST_SITE_ID 6386 TILEPROP CLBLL_R_X31Y87 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y87 GRID_POINT_Y 65 TILEPROP CLBLL_R_X31Y87 INDEX 7554 TILEPROP CLBLL_R_X31Y87 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y87 INT_TILE_Y 62 TILEPROP CLBLL_R_X31Y87 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y87 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y87 NAME CLBLL_R_X31Y87 TILEPROP CLBLL_R_X31Y87 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y87 NUM_SITES 2 TILEPROP CLBLL_R_X31Y87 ROW 65 TILEPROP CLBLL_R_X31Y87 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y87 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y87 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y87 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y87 TILE_X 47316 TILEPROP CLBLL_R_X31Y87 TILE_Y 41024 TILEPROP CLBLL_R_X31Y87 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y88 CLASS tile TILEPROP CLBLL_R_X31Y88 COLUMN 79 TILEPROP CLBLL_R_X31Y88 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y88 FIRST_SITE_ID 6286 TILEPROP CLBLL_R_X31Y88 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y88 GRID_POINT_Y 64 TILEPROP CLBLL_R_X31Y88 INDEX 7439 TILEPROP CLBLL_R_X31Y88 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y88 INT_TILE_Y 61 TILEPROP CLBLL_R_X31Y88 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y88 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y88 NAME CLBLL_R_X31Y88 TILEPROP CLBLL_R_X31Y88 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y88 NUM_SITES 2 TILEPROP CLBLL_R_X31Y88 ROW 64 TILEPROP CLBLL_R_X31Y88 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y88 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y88 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y88 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y88 TILE_X 47316 TILEPROP CLBLL_R_X31Y88 TILE_Y 44224 TILEPROP CLBLL_R_X31Y88 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y89 CLASS tile TILEPROP CLBLL_R_X31Y89 COLUMN 79 TILEPROP CLBLL_R_X31Y89 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y89 FIRST_SITE_ID 6186 TILEPROP CLBLL_R_X31Y89 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y89 GRID_POINT_Y 63 TILEPROP CLBLL_R_X31Y89 INDEX 7324 TILEPROP CLBLL_R_X31Y89 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y89 INT_TILE_Y 60 TILEPROP CLBLL_R_X31Y89 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y89 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y89 NAME CLBLL_R_X31Y89 TILEPROP CLBLL_R_X31Y89 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y89 NUM_SITES 2 TILEPROP CLBLL_R_X31Y89 ROW 63 TILEPROP CLBLL_R_X31Y89 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y89 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y89 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y89 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y89 TILE_X 47316 TILEPROP CLBLL_R_X31Y89 TILE_Y 47424 TILEPROP CLBLL_R_X31Y89 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y90 CLASS tile TILEPROP CLBLL_R_X31Y90 COLUMN 79 TILEPROP CLBLL_R_X31Y90 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y90 FIRST_SITE_ID 6080 TILEPROP CLBLL_R_X31Y90 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y90 GRID_POINT_Y 62 TILEPROP CLBLL_R_X31Y90 INDEX 7209 TILEPROP CLBLL_R_X31Y90 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y90 INT_TILE_Y 59 TILEPROP CLBLL_R_X31Y90 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y90 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y90 NAME CLBLL_R_X31Y90 TILEPROP CLBLL_R_X31Y90 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y90 NUM_SITES 2 TILEPROP CLBLL_R_X31Y90 ROW 62 TILEPROP CLBLL_R_X31Y90 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y90 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y90 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y90 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y90 TILE_X 47316 TILEPROP CLBLL_R_X31Y90 TILE_Y 50624 TILEPROP CLBLL_R_X31Y90 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y91 CLASS tile TILEPROP CLBLL_R_X31Y91 COLUMN 79 TILEPROP CLBLL_R_X31Y91 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y91 FIRST_SITE_ID 5971 TILEPROP CLBLL_R_X31Y91 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y91 GRID_POINT_Y 61 TILEPROP CLBLL_R_X31Y91 INDEX 7094 TILEPROP CLBLL_R_X31Y91 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y91 INT_TILE_Y 58 TILEPROP CLBLL_R_X31Y91 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y91 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y91 NAME CLBLL_R_X31Y91 TILEPROP CLBLL_R_X31Y91 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y91 NUM_SITES 2 TILEPROP CLBLL_R_X31Y91 ROW 61 TILEPROP CLBLL_R_X31Y91 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y91 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y91 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y91 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y91 TILE_X 47316 TILEPROP CLBLL_R_X31Y91 TILE_Y 53824 TILEPROP CLBLL_R_X31Y91 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y92 CLASS tile TILEPROP CLBLL_R_X31Y92 COLUMN 79 TILEPROP CLBLL_R_X31Y92 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y92 FIRST_SITE_ID 5870 TILEPROP CLBLL_R_X31Y92 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y92 GRID_POINT_Y 60 TILEPROP CLBLL_R_X31Y92 INDEX 6979 TILEPROP CLBLL_R_X31Y92 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y92 INT_TILE_Y 57 TILEPROP CLBLL_R_X31Y92 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y92 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y92 NAME CLBLL_R_X31Y92 TILEPROP CLBLL_R_X31Y92 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y92 NUM_SITES 2 TILEPROP CLBLL_R_X31Y92 ROW 60 TILEPROP CLBLL_R_X31Y92 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y92 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y92 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y92 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y92 TILE_X 47316 TILEPROP CLBLL_R_X31Y92 TILE_Y 57024 TILEPROP CLBLL_R_X31Y92 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y93 CLASS tile TILEPROP CLBLL_R_X31Y93 COLUMN 79 TILEPROP CLBLL_R_X31Y93 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y93 FIRST_SITE_ID 5767 TILEPROP CLBLL_R_X31Y93 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y93 GRID_POINT_Y 59 TILEPROP CLBLL_R_X31Y93 INDEX 6864 TILEPROP CLBLL_R_X31Y93 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y93 INT_TILE_Y 56 TILEPROP CLBLL_R_X31Y93 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y93 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y93 NAME CLBLL_R_X31Y93 TILEPROP CLBLL_R_X31Y93 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y93 NUM_SITES 2 TILEPROP CLBLL_R_X31Y93 ROW 59 TILEPROP CLBLL_R_X31Y93 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y93 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y93 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y93 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y93 TILE_X 47316 TILEPROP CLBLL_R_X31Y93 TILE_Y 60224 TILEPROP CLBLL_R_X31Y93 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y94 CLASS tile TILEPROP CLBLL_R_X31Y94 COLUMN 79 TILEPROP CLBLL_R_X31Y94 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y94 FIRST_SITE_ID 5665 TILEPROP CLBLL_R_X31Y94 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y94 GRID_POINT_Y 58 TILEPROP CLBLL_R_X31Y94 INDEX 6749 TILEPROP CLBLL_R_X31Y94 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y94 INT_TILE_Y 55 TILEPROP CLBLL_R_X31Y94 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y94 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y94 NAME CLBLL_R_X31Y94 TILEPROP CLBLL_R_X31Y94 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y94 NUM_SITES 2 TILEPROP CLBLL_R_X31Y94 ROW 58 TILEPROP CLBLL_R_X31Y94 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y94 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y94 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y94 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y94 TILE_X 47316 TILEPROP CLBLL_R_X31Y94 TILE_Y 63424 TILEPROP CLBLL_R_X31Y94 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y95 CLASS tile TILEPROP CLBLL_R_X31Y95 COLUMN 79 TILEPROP CLBLL_R_X31Y95 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y95 FIRST_SITE_ID 5559 TILEPROP CLBLL_R_X31Y95 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y95 GRID_POINT_Y 57 TILEPROP CLBLL_R_X31Y95 INDEX 6634 TILEPROP CLBLL_R_X31Y95 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y95 INT_TILE_Y 54 TILEPROP CLBLL_R_X31Y95 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y95 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y95 NAME CLBLL_R_X31Y95 TILEPROP CLBLL_R_X31Y95 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y95 NUM_SITES 2 TILEPROP CLBLL_R_X31Y95 ROW 57 TILEPROP CLBLL_R_X31Y95 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y95 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y95 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y95 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y95 TILE_X 47316 TILEPROP CLBLL_R_X31Y95 TILE_Y 66624 TILEPROP CLBLL_R_X31Y95 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y96 CLASS tile TILEPROP CLBLL_R_X31Y96 COLUMN 79 TILEPROP CLBLL_R_X31Y96 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y96 FIRST_SITE_ID 5450 TILEPROP CLBLL_R_X31Y96 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y96 GRID_POINT_Y 56 TILEPROP CLBLL_R_X31Y96 INDEX 6519 TILEPROP CLBLL_R_X31Y96 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y96 INT_TILE_Y 53 TILEPROP CLBLL_R_X31Y96 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y96 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y96 NAME CLBLL_R_X31Y96 TILEPROP CLBLL_R_X31Y96 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y96 NUM_SITES 2 TILEPROP CLBLL_R_X31Y96 ROW 56 TILEPROP CLBLL_R_X31Y96 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y96 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y96 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y96 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y96 TILE_X 47316 TILEPROP CLBLL_R_X31Y96 TILE_Y 69824 TILEPROP CLBLL_R_X31Y96 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y97 CLASS tile TILEPROP CLBLL_R_X31Y97 COLUMN 79 TILEPROP CLBLL_R_X31Y97 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y97 FIRST_SITE_ID 5350 TILEPROP CLBLL_R_X31Y97 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y97 GRID_POINT_Y 55 TILEPROP CLBLL_R_X31Y97 INDEX 6404 TILEPROP CLBLL_R_X31Y97 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y97 INT_TILE_Y 52 TILEPROP CLBLL_R_X31Y97 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y97 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y97 NAME CLBLL_R_X31Y97 TILEPROP CLBLL_R_X31Y97 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y97 NUM_SITES 2 TILEPROP CLBLL_R_X31Y97 ROW 55 TILEPROP CLBLL_R_X31Y97 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y97 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y97 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y97 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y97 TILE_X 47316 TILEPROP CLBLL_R_X31Y97 TILE_Y 73024 TILEPROP CLBLL_R_X31Y97 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y98 CLASS tile TILEPROP CLBLL_R_X31Y98 COLUMN 79 TILEPROP CLBLL_R_X31Y98 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y98 FIRST_SITE_ID 5250 TILEPROP CLBLL_R_X31Y98 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y98 GRID_POINT_Y 54 TILEPROP CLBLL_R_X31Y98 INDEX 6289 TILEPROP CLBLL_R_X31Y98 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y98 INT_TILE_Y 51 TILEPROP CLBLL_R_X31Y98 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y98 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y98 NAME CLBLL_R_X31Y98 TILEPROP CLBLL_R_X31Y98 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y98 NUM_SITES 2 TILEPROP CLBLL_R_X31Y98 ROW 54 TILEPROP CLBLL_R_X31Y98 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y98 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y98 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y98 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y98 TILE_X 47316 TILEPROP CLBLL_R_X31Y98 TILE_Y 76224 TILEPROP CLBLL_R_X31Y98 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y99 CLASS tile TILEPROP CLBLL_R_X31Y99 COLUMN 79 TILEPROP CLBLL_R_X31Y99 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y99 FIRST_SITE_ID 5154 TILEPROP CLBLL_R_X31Y99 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y99 GRID_POINT_Y 53 TILEPROP CLBLL_R_X31Y99 INDEX 6174 TILEPROP CLBLL_R_X31Y99 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y99 INT_TILE_Y 50 TILEPROP CLBLL_R_X31Y99 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y99 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y99 NAME CLBLL_R_X31Y99 TILEPROP CLBLL_R_X31Y99 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y99 NUM_SITES 2 TILEPROP CLBLL_R_X31Y99 ROW 53 TILEPROP CLBLL_R_X31Y99 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y99 TILE_PATTERN_IDX 1669 TILEPROP CLBLL_R_X31Y99 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y99 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y99 TILE_X 47316 TILEPROP CLBLL_R_X31Y99 TILE_Y 79424 TILEPROP CLBLL_R_X31Y99 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y100 CLASS tile TILEPROP CLBLL_R_X31Y100 COLUMN 79 TILEPROP CLBLL_R_X31Y100 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y100 FIRST_SITE_ID 5069 TILEPROP CLBLL_R_X31Y100 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y100 GRID_POINT_Y 51 TILEPROP CLBLL_R_X31Y100 INDEX 5944 TILEPROP CLBLL_R_X31Y100 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y100 INT_TILE_Y 49 TILEPROP CLBLL_R_X31Y100 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y100 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y100 NAME CLBLL_R_X31Y100 TILEPROP CLBLL_R_X31Y100 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y100 NUM_SITES 2 TILEPROP CLBLL_R_X31Y100 ROW 51 TILEPROP CLBLL_R_X31Y100 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y100 TILE_PATTERN_IDX 1625 TILEPROP CLBLL_R_X31Y100 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y100 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y100 TILE_X 47316 TILEPROP CLBLL_R_X31Y100 TILE_Y 82872 TILEPROP CLBLL_R_X31Y100 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y101 CLASS tile TILEPROP CLBLL_R_X31Y101 COLUMN 79 TILEPROP CLBLL_R_X31Y101 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y101 FIRST_SITE_ID 4971 TILEPROP CLBLL_R_X31Y101 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y101 GRID_POINT_Y 50 TILEPROP CLBLL_R_X31Y101 INDEX 5829 TILEPROP CLBLL_R_X31Y101 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y101 INT_TILE_Y 48 TILEPROP CLBLL_R_X31Y101 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y101 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y101 NAME CLBLL_R_X31Y101 TILEPROP CLBLL_R_X31Y101 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y101 NUM_SITES 2 TILEPROP CLBLL_R_X31Y101 ROW 50 TILEPROP CLBLL_R_X31Y101 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y101 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y101 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y101 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y101 TILE_X 47316 TILEPROP CLBLL_R_X31Y101 TILE_Y 86072 TILEPROP CLBLL_R_X31Y101 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y102 CLASS tile TILEPROP CLBLL_R_X31Y102 COLUMN 79 TILEPROP CLBLL_R_X31Y102 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y102 FIRST_SITE_ID 4875 TILEPROP CLBLL_R_X31Y102 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y102 GRID_POINT_Y 49 TILEPROP CLBLL_R_X31Y102 INDEX 5714 TILEPROP CLBLL_R_X31Y102 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y102 INT_TILE_Y 47 TILEPROP CLBLL_R_X31Y102 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y102 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y102 NAME CLBLL_R_X31Y102 TILEPROP CLBLL_R_X31Y102 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y102 NUM_SITES 2 TILEPROP CLBLL_R_X31Y102 ROW 49 TILEPROP CLBLL_R_X31Y102 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y102 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y102 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y102 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y102 TILE_X 47316 TILEPROP CLBLL_R_X31Y102 TILE_Y 89272 TILEPROP CLBLL_R_X31Y102 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y103 CLASS tile TILEPROP CLBLL_R_X31Y103 COLUMN 79 TILEPROP CLBLL_R_X31Y103 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y103 FIRST_SITE_ID 4787 TILEPROP CLBLL_R_X31Y103 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y103 GRID_POINT_Y 48 TILEPROP CLBLL_R_X31Y103 INDEX 5599 TILEPROP CLBLL_R_X31Y103 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y103 INT_TILE_Y 46 TILEPROP CLBLL_R_X31Y103 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y103 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y103 NAME CLBLL_R_X31Y103 TILEPROP CLBLL_R_X31Y103 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y103 NUM_SITES 2 TILEPROP CLBLL_R_X31Y103 ROW 48 TILEPROP CLBLL_R_X31Y103 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y103 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y103 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y103 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y103 TILE_X 47316 TILEPROP CLBLL_R_X31Y103 TILE_Y 92472 TILEPROP CLBLL_R_X31Y103 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y104 CLASS tile TILEPROP CLBLL_R_X31Y104 COLUMN 79 TILEPROP CLBLL_R_X31Y104 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y104 FIRST_SITE_ID 4691 TILEPROP CLBLL_R_X31Y104 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y104 GRID_POINT_Y 47 TILEPROP CLBLL_R_X31Y104 INDEX 5484 TILEPROP CLBLL_R_X31Y104 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y104 INT_TILE_Y 45 TILEPROP CLBLL_R_X31Y104 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y104 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y104 NAME CLBLL_R_X31Y104 TILEPROP CLBLL_R_X31Y104 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y104 NUM_SITES 2 TILEPROP CLBLL_R_X31Y104 ROW 47 TILEPROP CLBLL_R_X31Y104 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y104 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y104 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y104 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y104 TILE_X 47316 TILEPROP CLBLL_R_X31Y104 TILE_Y 95672 TILEPROP CLBLL_R_X31Y104 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y105 CLASS tile TILEPROP CLBLL_R_X31Y105 COLUMN 79 TILEPROP CLBLL_R_X31Y105 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y105 FIRST_SITE_ID 4595 TILEPROP CLBLL_R_X31Y105 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y105 GRID_POINT_Y 46 TILEPROP CLBLL_R_X31Y105 INDEX 5369 TILEPROP CLBLL_R_X31Y105 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y105 INT_TILE_Y 44 TILEPROP CLBLL_R_X31Y105 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y105 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y105 NAME CLBLL_R_X31Y105 TILEPROP CLBLL_R_X31Y105 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y105 NUM_SITES 2 TILEPROP CLBLL_R_X31Y105 ROW 46 TILEPROP CLBLL_R_X31Y105 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y105 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y105 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y105 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y105 TILE_X 47316 TILEPROP CLBLL_R_X31Y105 TILE_Y 98872 TILEPROP CLBLL_R_X31Y105 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y106 CLASS tile TILEPROP CLBLL_R_X31Y106 COLUMN 79 TILEPROP CLBLL_R_X31Y106 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y106 FIRST_SITE_ID 4493 TILEPROP CLBLL_R_X31Y106 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y106 GRID_POINT_Y 45 TILEPROP CLBLL_R_X31Y106 INDEX 5254 TILEPROP CLBLL_R_X31Y106 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y106 INT_TILE_Y 43 TILEPROP CLBLL_R_X31Y106 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y106 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y106 NAME CLBLL_R_X31Y106 TILEPROP CLBLL_R_X31Y106 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y106 NUM_SITES 2 TILEPROP CLBLL_R_X31Y106 ROW 45 TILEPROP CLBLL_R_X31Y106 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y106 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y106 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y106 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y106 TILE_X 47316 TILEPROP CLBLL_R_X31Y106 TILE_Y 102072 TILEPROP CLBLL_R_X31Y106 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y107 CLASS tile TILEPROP CLBLL_R_X31Y107 COLUMN 79 TILEPROP CLBLL_R_X31Y107 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y107 FIRST_SITE_ID 4405 TILEPROP CLBLL_R_X31Y107 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y107 GRID_POINT_Y 44 TILEPROP CLBLL_R_X31Y107 INDEX 5139 TILEPROP CLBLL_R_X31Y107 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y107 INT_TILE_Y 42 TILEPROP CLBLL_R_X31Y107 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y107 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y107 NAME CLBLL_R_X31Y107 TILEPROP CLBLL_R_X31Y107 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y107 NUM_SITES 2 TILEPROP CLBLL_R_X31Y107 ROW 44 TILEPROP CLBLL_R_X31Y107 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y107 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y107 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y107 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y107 TILE_X 47316 TILEPROP CLBLL_R_X31Y107 TILE_Y 105272 TILEPROP CLBLL_R_X31Y107 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y108 CLASS tile TILEPROP CLBLL_R_X31Y108 COLUMN 79 TILEPROP CLBLL_R_X31Y108 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y108 FIRST_SITE_ID 4307 TILEPROP CLBLL_R_X31Y108 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y108 GRID_POINT_Y 43 TILEPROP CLBLL_R_X31Y108 INDEX 5024 TILEPROP CLBLL_R_X31Y108 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y108 INT_TILE_Y 41 TILEPROP CLBLL_R_X31Y108 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y108 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y108 NAME CLBLL_R_X31Y108 TILEPROP CLBLL_R_X31Y108 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y108 NUM_SITES 2 TILEPROP CLBLL_R_X31Y108 ROW 43 TILEPROP CLBLL_R_X31Y108 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y108 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y108 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y108 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y108 TILE_X 47316 TILEPROP CLBLL_R_X31Y108 TILE_Y 108472 TILEPROP CLBLL_R_X31Y108 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y109 CLASS tile TILEPROP CLBLL_R_X31Y109 COLUMN 79 TILEPROP CLBLL_R_X31Y109 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y109 FIRST_SITE_ID 4218 TILEPROP CLBLL_R_X31Y109 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y109 GRID_POINT_Y 42 TILEPROP CLBLL_R_X31Y109 INDEX 4909 TILEPROP CLBLL_R_X31Y109 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y109 INT_TILE_Y 40 TILEPROP CLBLL_R_X31Y109 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y109 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y109 NAME CLBLL_R_X31Y109 TILEPROP CLBLL_R_X31Y109 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y109 NUM_SITES 2 TILEPROP CLBLL_R_X31Y109 ROW 42 TILEPROP CLBLL_R_X31Y109 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y109 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y109 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y109 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y109 TILE_X 47316 TILEPROP CLBLL_R_X31Y109 TILE_Y 111672 TILEPROP CLBLL_R_X31Y109 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y110 CLASS tile TILEPROP CLBLL_R_X31Y110 COLUMN 79 TILEPROP CLBLL_R_X31Y110 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y110 FIRST_SITE_ID 4119 TILEPROP CLBLL_R_X31Y110 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y110 GRID_POINT_Y 41 TILEPROP CLBLL_R_X31Y110 INDEX 4794 TILEPROP CLBLL_R_X31Y110 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y110 INT_TILE_Y 39 TILEPROP CLBLL_R_X31Y110 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y110 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y110 NAME CLBLL_R_X31Y110 TILEPROP CLBLL_R_X31Y110 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y110 NUM_SITES 2 TILEPROP CLBLL_R_X31Y110 ROW 41 TILEPROP CLBLL_R_X31Y110 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y110 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y110 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y110 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y110 TILE_X 47316 TILEPROP CLBLL_R_X31Y110 TILE_Y 114872 TILEPROP CLBLL_R_X31Y110 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y111 CLASS tile TILEPROP CLBLL_R_X31Y111 COLUMN 79 TILEPROP CLBLL_R_X31Y111 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y111 FIRST_SITE_ID 4024 TILEPROP CLBLL_R_X31Y111 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y111 GRID_POINT_Y 40 TILEPROP CLBLL_R_X31Y111 INDEX 4679 TILEPROP CLBLL_R_X31Y111 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y111 INT_TILE_Y 38 TILEPROP CLBLL_R_X31Y111 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y111 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y111 NAME CLBLL_R_X31Y111 TILEPROP CLBLL_R_X31Y111 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y111 NUM_SITES 2 TILEPROP CLBLL_R_X31Y111 ROW 40 TILEPROP CLBLL_R_X31Y111 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y111 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y111 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y111 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y111 TILE_X 47316 TILEPROP CLBLL_R_X31Y111 TILE_Y 118072 TILEPROP CLBLL_R_X31Y111 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y112 CLASS tile TILEPROP CLBLL_R_X31Y112 COLUMN 79 TILEPROP CLBLL_R_X31Y112 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y112 FIRST_SITE_ID 3928 TILEPROP CLBLL_R_X31Y112 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y112 GRID_POINT_Y 39 TILEPROP CLBLL_R_X31Y112 INDEX 4564 TILEPROP CLBLL_R_X31Y112 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y112 INT_TILE_Y 37 TILEPROP CLBLL_R_X31Y112 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y112 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y112 NAME CLBLL_R_X31Y112 TILEPROP CLBLL_R_X31Y112 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y112 NUM_SITES 2 TILEPROP CLBLL_R_X31Y112 ROW 39 TILEPROP CLBLL_R_X31Y112 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y112 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y112 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y112 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y112 TILE_X 47316 TILEPROP CLBLL_R_X31Y112 TILE_Y 121272 TILEPROP CLBLL_R_X31Y112 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y113 CLASS tile TILEPROP CLBLL_R_X31Y113 COLUMN 79 TILEPROP CLBLL_R_X31Y113 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y113 FIRST_SITE_ID 3808 TILEPROP CLBLL_R_X31Y113 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y113 GRID_POINT_Y 38 TILEPROP CLBLL_R_X31Y113 INDEX 4449 TILEPROP CLBLL_R_X31Y113 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y113 INT_TILE_Y 36 TILEPROP CLBLL_R_X31Y113 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y113 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y113 NAME CLBLL_R_X31Y113 TILEPROP CLBLL_R_X31Y113 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y113 NUM_SITES 2 TILEPROP CLBLL_R_X31Y113 ROW 38 TILEPROP CLBLL_R_X31Y113 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y113 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y113 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y113 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y113 TILE_X 47316 TILEPROP CLBLL_R_X31Y113 TILE_Y 124472 TILEPROP CLBLL_R_X31Y113 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y114 CLASS tile TILEPROP CLBLL_R_X31Y114 COLUMN 79 TILEPROP CLBLL_R_X31Y114 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y114 FIRST_SITE_ID 3712 TILEPROP CLBLL_R_X31Y114 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y114 GRID_POINT_Y 37 TILEPROP CLBLL_R_X31Y114 INDEX 4334 TILEPROP CLBLL_R_X31Y114 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y114 INT_TILE_Y 35 TILEPROP CLBLL_R_X31Y114 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y114 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y114 NAME CLBLL_R_X31Y114 TILEPROP CLBLL_R_X31Y114 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y114 NUM_SITES 2 TILEPROP CLBLL_R_X31Y114 ROW 37 TILEPROP CLBLL_R_X31Y114 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y114 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y114 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y114 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y114 TILE_X 47316 TILEPROP CLBLL_R_X31Y114 TILE_Y 127672 TILEPROP CLBLL_R_X31Y114 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y115 CLASS tile TILEPROP CLBLL_R_X31Y115 COLUMN 79 TILEPROP CLBLL_R_X31Y115 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y115 FIRST_SITE_ID 3621 TILEPROP CLBLL_R_X31Y115 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y115 GRID_POINT_Y 36 TILEPROP CLBLL_R_X31Y115 INDEX 4219 TILEPROP CLBLL_R_X31Y115 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y115 INT_TILE_Y 34 TILEPROP CLBLL_R_X31Y115 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y115 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y115 NAME CLBLL_R_X31Y115 TILEPROP CLBLL_R_X31Y115 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y115 NUM_SITES 2 TILEPROP CLBLL_R_X31Y115 ROW 36 TILEPROP CLBLL_R_X31Y115 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y115 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y115 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y115 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y115 TILE_X 47316 TILEPROP CLBLL_R_X31Y115 TILE_Y 130872 TILEPROP CLBLL_R_X31Y115 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y116 CLASS tile TILEPROP CLBLL_R_X31Y116 COLUMN 79 TILEPROP CLBLL_R_X31Y116 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y116 FIRST_SITE_ID 3514 TILEPROP CLBLL_R_X31Y116 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y116 GRID_POINT_Y 35 TILEPROP CLBLL_R_X31Y116 INDEX 4104 TILEPROP CLBLL_R_X31Y116 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y116 INT_TILE_Y 33 TILEPROP CLBLL_R_X31Y116 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y116 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y116 NAME CLBLL_R_X31Y116 TILEPROP CLBLL_R_X31Y116 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y116 NUM_SITES 2 TILEPROP CLBLL_R_X31Y116 ROW 35 TILEPROP CLBLL_R_X31Y116 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y116 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y116 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y116 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y116 TILE_X 47316 TILEPROP CLBLL_R_X31Y116 TILE_Y 134072 TILEPROP CLBLL_R_X31Y116 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y117 CLASS tile TILEPROP CLBLL_R_X31Y117 COLUMN 79 TILEPROP CLBLL_R_X31Y117 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y117 FIRST_SITE_ID 3426 TILEPROP CLBLL_R_X31Y117 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y117 GRID_POINT_Y 34 TILEPROP CLBLL_R_X31Y117 INDEX 3989 TILEPROP CLBLL_R_X31Y117 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y117 INT_TILE_Y 32 TILEPROP CLBLL_R_X31Y117 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y117 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y117 NAME CLBLL_R_X31Y117 TILEPROP CLBLL_R_X31Y117 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y117 NUM_SITES 2 TILEPROP CLBLL_R_X31Y117 ROW 34 TILEPROP CLBLL_R_X31Y117 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y117 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y117 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y117 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y117 TILE_X 47316 TILEPROP CLBLL_R_X31Y117 TILE_Y 137272 TILEPROP CLBLL_R_X31Y117 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y118 CLASS tile TILEPROP CLBLL_R_X31Y118 COLUMN 79 TILEPROP CLBLL_R_X31Y118 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y118 FIRST_SITE_ID 3326 TILEPROP CLBLL_R_X31Y118 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y118 GRID_POINT_Y 33 TILEPROP CLBLL_R_X31Y118 INDEX 3874 TILEPROP CLBLL_R_X31Y118 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y118 INT_TILE_Y 31 TILEPROP CLBLL_R_X31Y118 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y118 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y118 NAME CLBLL_R_X31Y118 TILEPROP CLBLL_R_X31Y118 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y118 NUM_SITES 2 TILEPROP CLBLL_R_X31Y118 ROW 33 TILEPROP CLBLL_R_X31Y118 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y118 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y118 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y118 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y118 TILE_X 47316 TILEPROP CLBLL_R_X31Y118 TILE_Y 140472 TILEPROP CLBLL_R_X31Y118 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y119 CLASS tile TILEPROP CLBLL_R_X31Y119 COLUMN 79 TILEPROP CLBLL_R_X31Y119 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y119 FIRST_SITE_ID 3238 TILEPROP CLBLL_R_X31Y119 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y119 GRID_POINT_Y 32 TILEPROP CLBLL_R_X31Y119 INDEX 3759 TILEPROP CLBLL_R_X31Y119 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y119 INT_TILE_Y 30 TILEPROP CLBLL_R_X31Y119 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y119 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y119 NAME CLBLL_R_X31Y119 TILEPROP CLBLL_R_X31Y119 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y119 NUM_SITES 2 TILEPROP CLBLL_R_X31Y119 ROW 32 TILEPROP CLBLL_R_X31Y119 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y119 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y119 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y119 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y119 TILE_X 47316 TILEPROP CLBLL_R_X31Y119 TILE_Y 143672 TILEPROP CLBLL_R_X31Y119 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y120 CLASS tile TILEPROP CLBLL_R_X31Y120 COLUMN 79 TILEPROP CLBLL_R_X31Y120 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y120 FIRST_SITE_ID 3137 TILEPROP CLBLL_R_X31Y120 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y120 GRID_POINT_Y 31 TILEPROP CLBLL_R_X31Y120 INDEX 3644 TILEPROP CLBLL_R_X31Y120 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y120 INT_TILE_Y 29 TILEPROP CLBLL_R_X31Y120 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y120 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y120 NAME CLBLL_R_X31Y120 TILEPROP CLBLL_R_X31Y120 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y120 NUM_SITES 2 TILEPROP CLBLL_R_X31Y120 ROW 31 TILEPROP CLBLL_R_X31Y120 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y120 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y120 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y120 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y120 TILE_X 47316 TILEPROP CLBLL_R_X31Y120 TILE_Y 146872 TILEPROP CLBLL_R_X31Y120 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y121 CLASS tile TILEPROP CLBLL_R_X31Y121 COLUMN 79 TILEPROP CLBLL_R_X31Y121 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y121 FIRST_SITE_ID 3043 TILEPROP CLBLL_R_X31Y121 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y121 GRID_POINT_Y 30 TILEPROP CLBLL_R_X31Y121 INDEX 3529 TILEPROP CLBLL_R_X31Y121 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y121 INT_TILE_Y 28 TILEPROP CLBLL_R_X31Y121 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y121 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y121 NAME CLBLL_R_X31Y121 TILEPROP CLBLL_R_X31Y121 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y121 NUM_SITES 2 TILEPROP CLBLL_R_X31Y121 ROW 30 TILEPROP CLBLL_R_X31Y121 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y121 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y121 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y121 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y121 TILE_X 47316 TILEPROP CLBLL_R_X31Y121 TILE_Y 150072 TILEPROP CLBLL_R_X31Y121 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y122 CLASS tile TILEPROP CLBLL_R_X31Y122 COLUMN 79 TILEPROP CLBLL_R_X31Y122 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y122 FIRST_SITE_ID 2940 TILEPROP CLBLL_R_X31Y122 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y122 GRID_POINT_Y 29 TILEPROP CLBLL_R_X31Y122 INDEX 3414 TILEPROP CLBLL_R_X31Y122 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y122 INT_TILE_Y 27 TILEPROP CLBLL_R_X31Y122 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y122 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y122 NAME CLBLL_R_X31Y122 TILEPROP CLBLL_R_X31Y122 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y122 NUM_SITES 2 TILEPROP CLBLL_R_X31Y122 ROW 29 TILEPROP CLBLL_R_X31Y122 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y122 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y122 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y122 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y122 TILE_X 47316 TILEPROP CLBLL_R_X31Y122 TILE_Y 153272 TILEPROP CLBLL_R_X31Y122 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y123 CLASS tile TILEPROP CLBLL_R_X31Y123 COLUMN 79 TILEPROP CLBLL_R_X31Y123 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y123 FIRST_SITE_ID 2852 TILEPROP CLBLL_R_X31Y123 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y123 GRID_POINT_Y 28 TILEPROP CLBLL_R_X31Y123 INDEX 3299 TILEPROP CLBLL_R_X31Y123 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y123 INT_TILE_Y 26 TILEPROP CLBLL_R_X31Y123 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y123 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y123 NAME CLBLL_R_X31Y123 TILEPROP CLBLL_R_X31Y123 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y123 NUM_SITES 2 TILEPROP CLBLL_R_X31Y123 ROW 28 TILEPROP CLBLL_R_X31Y123 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y123 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y123 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y123 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y123 TILE_X 47316 TILEPROP CLBLL_R_X31Y123 TILE_Y 156472 TILEPROP CLBLL_R_X31Y123 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y124 CLASS tile TILEPROP CLBLL_R_X31Y124 COLUMN 79 TILEPROP CLBLL_R_X31Y124 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y124 FIRST_SITE_ID 2756 TILEPROP CLBLL_R_X31Y124 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y124 GRID_POINT_Y 27 TILEPROP CLBLL_R_X31Y124 INDEX 3184 TILEPROP CLBLL_R_X31Y124 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y124 INT_TILE_Y 25 TILEPROP CLBLL_R_X31Y124 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y124 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y124 NAME CLBLL_R_X31Y124 TILEPROP CLBLL_R_X31Y124 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y124 NUM_SITES 2 TILEPROP CLBLL_R_X31Y124 ROW 27 TILEPROP CLBLL_R_X31Y124 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y124 TILE_PATTERN_IDX 898 TILEPROP CLBLL_R_X31Y124 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y124 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y124 TILE_X 47316 TILEPROP CLBLL_R_X31Y124 TILE_Y 159672 TILEPROP CLBLL_R_X31Y124 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y125 CLASS tile TILEPROP CLBLL_R_X31Y125 COLUMN 79 TILEPROP CLBLL_R_X31Y125 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y125 FIRST_SITE_ID 2594 TILEPROP CLBLL_R_X31Y125 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y125 GRID_POINT_Y 25 TILEPROP CLBLL_R_X31Y125 INDEX 2954 TILEPROP CLBLL_R_X31Y125 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y125 INT_TILE_Y 24 TILEPROP CLBLL_R_X31Y125 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y125 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y125 NAME CLBLL_R_X31Y125 TILEPROP CLBLL_R_X31Y125 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y125 NUM_SITES 2 TILEPROP CLBLL_R_X31Y125 ROW 25 TILEPROP CLBLL_R_X31Y125 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y125 TILE_PATTERN_IDX 819 TILEPROP CLBLL_R_X31Y125 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y125 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y125 TILE_X 47316 TILEPROP CLBLL_R_X31Y125 TILE_Y 163896 TILEPROP CLBLL_R_X31Y125 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y126 CLASS tile TILEPROP CLBLL_R_X31Y126 COLUMN 79 TILEPROP CLBLL_R_X31Y126 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y126 FIRST_SITE_ID 2481 TILEPROP CLBLL_R_X31Y126 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y126 GRID_POINT_Y 24 TILEPROP CLBLL_R_X31Y126 INDEX 2839 TILEPROP CLBLL_R_X31Y126 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y126 INT_TILE_Y 23 TILEPROP CLBLL_R_X31Y126 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y126 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y126 NAME CLBLL_R_X31Y126 TILEPROP CLBLL_R_X31Y126 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y126 NUM_SITES 2 TILEPROP CLBLL_R_X31Y126 ROW 24 TILEPROP CLBLL_R_X31Y126 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y126 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y126 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y126 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y126 TILE_X 47316 TILEPROP CLBLL_R_X31Y126 TILE_Y 167096 TILEPROP CLBLL_R_X31Y126 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y127 CLASS tile TILEPROP CLBLL_R_X31Y127 COLUMN 79 TILEPROP CLBLL_R_X31Y127 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y127 FIRST_SITE_ID 2385 TILEPROP CLBLL_R_X31Y127 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y127 GRID_POINT_Y 23 TILEPROP CLBLL_R_X31Y127 INDEX 2724 TILEPROP CLBLL_R_X31Y127 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y127 INT_TILE_Y 22 TILEPROP CLBLL_R_X31Y127 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y127 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y127 NAME CLBLL_R_X31Y127 TILEPROP CLBLL_R_X31Y127 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y127 NUM_SITES 2 TILEPROP CLBLL_R_X31Y127 ROW 23 TILEPROP CLBLL_R_X31Y127 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y127 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y127 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y127 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y127 TILE_X 47316 TILEPROP CLBLL_R_X31Y127 TILE_Y 170296 TILEPROP CLBLL_R_X31Y127 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y128 CLASS tile TILEPROP CLBLL_R_X31Y128 COLUMN 79 TILEPROP CLBLL_R_X31Y128 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y128 FIRST_SITE_ID 2281 TILEPROP CLBLL_R_X31Y128 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y128 GRID_POINT_Y 22 TILEPROP CLBLL_R_X31Y128 INDEX 2609 TILEPROP CLBLL_R_X31Y128 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y128 INT_TILE_Y 21 TILEPROP CLBLL_R_X31Y128 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y128 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y128 NAME CLBLL_R_X31Y128 TILEPROP CLBLL_R_X31Y128 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y128 NUM_SITES 2 TILEPROP CLBLL_R_X31Y128 ROW 22 TILEPROP CLBLL_R_X31Y128 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y128 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y128 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y128 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y128 TILE_X 47316 TILEPROP CLBLL_R_X31Y128 TILE_Y 173496 TILEPROP CLBLL_R_X31Y128 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y129 CLASS tile TILEPROP CLBLL_R_X31Y129 COLUMN 79 TILEPROP CLBLL_R_X31Y129 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y129 FIRST_SITE_ID 2185 TILEPROP CLBLL_R_X31Y129 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y129 GRID_POINT_Y 21 TILEPROP CLBLL_R_X31Y129 INDEX 2494 TILEPROP CLBLL_R_X31Y129 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y129 INT_TILE_Y 20 TILEPROP CLBLL_R_X31Y129 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y129 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y129 NAME CLBLL_R_X31Y129 TILEPROP CLBLL_R_X31Y129 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y129 NUM_SITES 2 TILEPROP CLBLL_R_X31Y129 ROW 21 TILEPROP CLBLL_R_X31Y129 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y129 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y129 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y129 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y129 TILE_X 47316 TILEPROP CLBLL_R_X31Y129 TILE_Y 176696 TILEPROP CLBLL_R_X31Y129 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y130 CLASS tile TILEPROP CLBLL_R_X31Y130 COLUMN 79 TILEPROP CLBLL_R_X31Y130 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y130 FIRST_SITE_ID 2072 TILEPROP CLBLL_R_X31Y130 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y130 GRID_POINT_Y 20 TILEPROP CLBLL_R_X31Y130 INDEX 2379 TILEPROP CLBLL_R_X31Y130 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y130 INT_TILE_Y 19 TILEPROP CLBLL_R_X31Y130 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y130 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y130 NAME CLBLL_R_X31Y130 TILEPROP CLBLL_R_X31Y130 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y130 NUM_SITES 2 TILEPROP CLBLL_R_X31Y130 ROW 20 TILEPROP CLBLL_R_X31Y130 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y130 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y130 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y130 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y130 TILE_X 47316 TILEPROP CLBLL_R_X31Y130 TILE_Y 179896 TILEPROP CLBLL_R_X31Y130 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y131 CLASS tile TILEPROP CLBLL_R_X31Y131 COLUMN 79 TILEPROP CLBLL_R_X31Y131 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y131 FIRST_SITE_ID 1967 TILEPROP CLBLL_R_X31Y131 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y131 GRID_POINT_Y 19 TILEPROP CLBLL_R_X31Y131 INDEX 2264 TILEPROP CLBLL_R_X31Y131 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y131 INT_TILE_Y 18 TILEPROP CLBLL_R_X31Y131 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y131 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y131 NAME CLBLL_R_X31Y131 TILEPROP CLBLL_R_X31Y131 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y131 NUM_SITES 2 TILEPROP CLBLL_R_X31Y131 ROW 19 TILEPROP CLBLL_R_X31Y131 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y131 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y131 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y131 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y131 TILE_X 47316 TILEPROP CLBLL_R_X31Y131 TILE_Y 183096 TILEPROP CLBLL_R_X31Y131 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y132 CLASS tile TILEPROP CLBLL_R_X31Y132 COLUMN 79 TILEPROP CLBLL_R_X31Y132 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y132 FIRST_SITE_ID 1861 TILEPROP CLBLL_R_X31Y132 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y132 GRID_POINT_Y 18 TILEPROP CLBLL_R_X31Y132 INDEX 2149 TILEPROP CLBLL_R_X31Y132 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y132 INT_TILE_Y 17 TILEPROP CLBLL_R_X31Y132 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y132 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y132 NAME CLBLL_R_X31Y132 TILEPROP CLBLL_R_X31Y132 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y132 NUM_SITES 2 TILEPROP CLBLL_R_X31Y132 ROW 18 TILEPROP CLBLL_R_X31Y132 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y132 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y132 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y132 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y132 TILE_X 47316 TILEPROP CLBLL_R_X31Y132 TILE_Y 186296 TILEPROP CLBLL_R_X31Y132 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y133 CLASS tile TILEPROP CLBLL_R_X31Y133 COLUMN 79 TILEPROP CLBLL_R_X31Y133 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y133 FIRST_SITE_ID 1760 TILEPROP CLBLL_R_X31Y133 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y133 GRID_POINT_Y 17 TILEPROP CLBLL_R_X31Y133 INDEX 2034 TILEPROP CLBLL_R_X31Y133 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y133 INT_TILE_Y 16 TILEPROP CLBLL_R_X31Y133 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y133 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y133 NAME CLBLL_R_X31Y133 TILEPROP CLBLL_R_X31Y133 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y133 NUM_SITES 2 TILEPROP CLBLL_R_X31Y133 ROW 17 TILEPROP CLBLL_R_X31Y133 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y133 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y133 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y133 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y133 TILE_X 47316 TILEPROP CLBLL_R_X31Y133 TILE_Y 189496 TILEPROP CLBLL_R_X31Y133 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y134 CLASS tile TILEPROP CLBLL_R_X31Y134 COLUMN 79 TILEPROP CLBLL_R_X31Y134 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y134 FIRST_SITE_ID 1656 TILEPROP CLBLL_R_X31Y134 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y134 GRID_POINT_Y 16 TILEPROP CLBLL_R_X31Y134 INDEX 1919 TILEPROP CLBLL_R_X31Y134 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y134 INT_TILE_Y 15 TILEPROP CLBLL_R_X31Y134 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y134 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y134 NAME CLBLL_R_X31Y134 TILEPROP CLBLL_R_X31Y134 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y134 NUM_SITES 2 TILEPROP CLBLL_R_X31Y134 ROW 16 TILEPROP CLBLL_R_X31Y134 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y134 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y134 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y134 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y134 TILE_X 47316 TILEPROP CLBLL_R_X31Y134 TILE_Y 192696 TILEPROP CLBLL_R_X31Y134 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y135 CLASS tile TILEPROP CLBLL_R_X31Y135 COLUMN 79 TILEPROP CLBLL_R_X31Y135 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y135 FIRST_SITE_ID 1557 TILEPROP CLBLL_R_X31Y135 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y135 GRID_POINT_Y 15 TILEPROP CLBLL_R_X31Y135 INDEX 1804 TILEPROP CLBLL_R_X31Y135 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y135 INT_TILE_Y 14 TILEPROP CLBLL_R_X31Y135 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y135 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y135 NAME CLBLL_R_X31Y135 TILEPROP CLBLL_R_X31Y135 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y135 NUM_SITES 2 TILEPROP CLBLL_R_X31Y135 ROW 15 TILEPROP CLBLL_R_X31Y135 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y135 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y135 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y135 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y135 TILE_X 47316 TILEPROP CLBLL_R_X31Y135 TILE_Y 195896 TILEPROP CLBLL_R_X31Y135 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y136 CLASS tile TILEPROP CLBLL_R_X31Y136 COLUMN 79 TILEPROP CLBLL_R_X31Y136 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y136 FIRST_SITE_ID 1444 TILEPROP CLBLL_R_X31Y136 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y136 GRID_POINT_Y 14 TILEPROP CLBLL_R_X31Y136 INDEX 1689 TILEPROP CLBLL_R_X31Y136 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y136 INT_TILE_Y 13 TILEPROP CLBLL_R_X31Y136 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y136 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y136 NAME CLBLL_R_X31Y136 TILEPROP CLBLL_R_X31Y136 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y136 NUM_SITES 2 TILEPROP CLBLL_R_X31Y136 ROW 14 TILEPROP CLBLL_R_X31Y136 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y136 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y136 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y136 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y136 TILE_X 47316 TILEPROP CLBLL_R_X31Y136 TILE_Y 199096 TILEPROP CLBLL_R_X31Y136 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y137 CLASS tile TILEPROP CLBLL_R_X31Y137 COLUMN 79 TILEPROP CLBLL_R_X31Y137 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y137 FIRST_SITE_ID 1316 TILEPROP CLBLL_R_X31Y137 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y137 GRID_POINT_Y 13 TILEPROP CLBLL_R_X31Y137 INDEX 1574 TILEPROP CLBLL_R_X31Y137 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y137 INT_TILE_Y 12 TILEPROP CLBLL_R_X31Y137 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y137 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y137 NAME CLBLL_R_X31Y137 TILEPROP CLBLL_R_X31Y137 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y137 NUM_SITES 2 TILEPROP CLBLL_R_X31Y137 ROW 13 TILEPROP CLBLL_R_X31Y137 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y137 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y137 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y137 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y137 TILE_X 47316 TILEPROP CLBLL_R_X31Y137 TILE_Y 202296 TILEPROP CLBLL_R_X31Y137 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y138 CLASS tile TILEPROP CLBLL_R_X31Y138 COLUMN 79 TILEPROP CLBLL_R_X31Y138 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y138 FIRST_SITE_ID 1212 TILEPROP CLBLL_R_X31Y138 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y138 GRID_POINT_Y 12 TILEPROP CLBLL_R_X31Y138 INDEX 1459 TILEPROP CLBLL_R_X31Y138 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y138 INT_TILE_Y 11 TILEPROP CLBLL_R_X31Y138 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y138 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y138 NAME CLBLL_R_X31Y138 TILEPROP CLBLL_R_X31Y138 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y138 NUM_SITES 2 TILEPROP CLBLL_R_X31Y138 ROW 12 TILEPROP CLBLL_R_X31Y138 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y138 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y138 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y138 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y138 TILE_X 47316 TILEPROP CLBLL_R_X31Y138 TILE_Y 205496 TILEPROP CLBLL_R_X31Y138 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y139 CLASS tile TILEPROP CLBLL_R_X31Y139 COLUMN 79 TILEPROP CLBLL_R_X31Y139 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y139 FIRST_SITE_ID 1116 TILEPROP CLBLL_R_X31Y139 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y139 GRID_POINT_Y 11 TILEPROP CLBLL_R_X31Y139 INDEX 1344 TILEPROP CLBLL_R_X31Y139 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y139 INT_TILE_Y 10 TILEPROP CLBLL_R_X31Y139 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y139 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y139 NAME CLBLL_R_X31Y139 TILEPROP CLBLL_R_X31Y139 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y139 NUM_SITES 2 TILEPROP CLBLL_R_X31Y139 ROW 11 TILEPROP CLBLL_R_X31Y139 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y139 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y139 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y139 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y139 TILE_X 47316 TILEPROP CLBLL_R_X31Y139 TILE_Y 208696 TILEPROP CLBLL_R_X31Y139 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y140 CLASS tile TILEPROP CLBLL_R_X31Y140 COLUMN 79 TILEPROP CLBLL_R_X31Y140 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y140 FIRST_SITE_ID 1009 TILEPROP CLBLL_R_X31Y140 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y140 GRID_POINT_Y 10 TILEPROP CLBLL_R_X31Y140 INDEX 1229 TILEPROP CLBLL_R_X31Y140 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y140 INT_TILE_Y 9 TILEPROP CLBLL_R_X31Y140 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y140 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y140 NAME CLBLL_R_X31Y140 TILEPROP CLBLL_R_X31Y140 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y140 NUM_SITES 2 TILEPROP CLBLL_R_X31Y140 ROW 10 TILEPROP CLBLL_R_X31Y140 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y140 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y140 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y140 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y140 TILE_X 47316 TILEPROP CLBLL_R_X31Y140 TILE_Y 211896 TILEPROP CLBLL_R_X31Y140 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y141 CLASS tile TILEPROP CLBLL_R_X31Y141 COLUMN 79 TILEPROP CLBLL_R_X31Y141 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y141 FIRST_SITE_ID 904 TILEPROP CLBLL_R_X31Y141 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y141 GRID_POINT_Y 9 TILEPROP CLBLL_R_X31Y141 INDEX 1114 TILEPROP CLBLL_R_X31Y141 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y141 INT_TILE_Y 8 TILEPROP CLBLL_R_X31Y141 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y141 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y141 NAME CLBLL_R_X31Y141 TILEPROP CLBLL_R_X31Y141 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y141 NUM_SITES 2 TILEPROP CLBLL_R_X31Y141 ROW 9 TILEPROP CLBLL_R_X31Y141 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y141 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y141 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y141 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y141 TILE_X 47316 TILEPROP CLBLL_R_X31Y141 TILE_Y 215096 TILEPROP CLBLL_R_X31Y141 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y142 CLASS tile TILEPROP CLBLL_R_X31Y142 COLUMN 79 TILEPROP CLBLL_R_X31Y142 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y142 FIRST_SITE_ID 800 TILEPROP CLBLL_R_X31Y142 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y142 GRID_POINT_Y 8 TILEPROP CLBLL_R_X31Y142 INDEX 999 TILEPROP CLBLL_R_X31Y142 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y142 INT_TILE_Y 7 TILEPROP CLBLL_R_X31Y142 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y142 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y142 NAME CLBLL_R_X31Y142 TILEPROP CLBLL_R_X31Y142 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y142 NUM_SITES 2 TILEPROP CLBLL_R_X31Y142 ROW 8 TILEPROP CLBLL_R_X31Y142 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y142 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y142 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y142 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y142 TILE_X 47316 TILEPROP CLBLL_R_X31Y142 TILE_Y 218296 TILEPROP CLBLL_R_X31Y142 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y143 CLASS tile TILEPROP CLBLL_R_X31Y143 COLUMN 79 TILEPROP CLBLL_R_X31Y143 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y143 FIRST_SITE_ID 703 TILEPROP CLBLL_R_X31Y143 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y143 GRID_POINT_Y 7 TILEPROP CLBLL_R_X31Y143 INDEX 884 TILEPROP CLBLL_R_X31Y143 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y143 INT_TILE_Y 6 TILEPROP CLBLL_R_X31Y143 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y143 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y143 NAME CLBLL_R_X31Y143 TILEPROP CLBLL_R_X31Y143 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y143 NUM_SITES 2 TILEPROP CLBLL_R_X31Y143 ROW 7 TILEPROP CLBLL_R_X31Y143 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y143 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y143 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y143 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y143 TILE_X 47316 TILEPROP CLBLL_R_X31Y143 TILE_Y 221496 TILEPROP CLBLL_R_X31Y143 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y144 CLASS tile TILEPROP CLBLL_R_X31Y144 COLUMN 79 TILEPROP CLBLL_R_X31Y144 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y144 FIRST_SITE_ID 592 TILEPROP CLBLL_R_X31Y144 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y144 GRID_POINT_Y 6 TILEPROP CLBLL_R_X31Y144 INDEX 769 TILEPROP CLBLL_R_X31Y144 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y144 INT_TILE_Y 5 TILEPROP CLBLL_R_X31Y144 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y144 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y144 NAME CLBLL_R_X31Y144 TILEPROP CLBLL_R_X31Y144 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y144 NUM_SITES 2 TILEPROP CLBLL_R_X31Y144 ROW 6 TILEPROP CLBLL_R_X31Y144 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y144 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y144 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y144 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y144 TILE_X 47316 TILEPROP CLBLL_R_X31Y144 TILE_Y 224696 TILEPROP CLBLL_R_X31Y144 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y145 CLASS tile TILEPROP CLBLL_R_X31Y145 COLUMN 79 TILEPROP CLBLL_R_X31Y145 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y145 FIRST_SITE_ID 493 TILEPROP CLBLL_R_X31Y145 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y145 GRID_POINT_Y 5 TILEPROP CLBLL_R_X31Y145 INDEX 654 TILEPROP CLBLL_R_X31Y145 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y145 INT_TILE_Y 4 TILEPROP CLBLL_R_X31Y145 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y145 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y145 NAME CLBLL_R_X31Y145 TILEPROP CLBLL_R_X31Y145 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y145 NUM_SITES 2 TILEPROP CLBLL_R_X31Y145 ROW 5 TILEPROP CLBLL_R_X31Y145 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y145 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y145 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y145 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y145 TILE_X 47316 TILEPROP CLBLL_R_X31Y145 TILE_Y 227896 TILEPROP CLBLL_R_X31Y145 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y146 CLASS tile TILEPROP CLBLL_R_X31Y146 COLUMN 79 TILEPROP CLBLL_R_X31Y146 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y146 FIRST_SITE_ID 380 TILEPROP CLBLL_R_X31Y146 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y146 GRID_POINT_Y 4 TILEPROP CLBLL_R_X31Y146 INDEX 539 TILEPROP CLBLL_R_X31Y146 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y146 INT_TILE_Y 3 TILEPROP CLBLL_R_X31Y146 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y146 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y146 NAME CLBLL_R_X31Y146 TILEPROP CLBLL_R_X31Y146 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y146 NUM_SITES 2 TILEPROP CLBLL_R_X31Y146 ROW 4 TILEPROP CLBLL_R_X31Y146 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y146 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y146 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y146 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y146 TILE_X 47316 TILEPROP CLBLL_R_X31Y146 TILE_Y 231096 TILEPROP CLBLL_R_X31Y146 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y147 CLASS tile TILEPROP CLBLL_R_X31Y147 COLUMN 79 TILEPROP CLBLL_R_X31Y147 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y147 FIRST_SITE_ID 284 TILEPROP CLBLL_R_X31Y147 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y147 GRID_POINT_Y 3 TILEPROP CLBLL_R_X31Y147 INDEX 424 TILEPROP CLBLL_R_X31Y147 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y147 INT_TILE_Y 2 TILEPROP CLBLL_R_X31Y147 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y147 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y147 NAME CLBLL_R_X31Y147 TILEPROP CLBLL_R_X31Y147 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y147 NUM_SITES 2 TILEPROP CLBLL_R_X31Y147 ROW 3 TILEPROP CLBLL_R_X31Y147 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y147 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y147 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y147 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y147 TILE_X 47316 TILEPROP CLBLL_R_X31Y147 TILE_Y 234296 TILEPROP CLBLL_R_X31Y147 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y148 CLASS tile TILEPROP CLBLL_R_X31Y148 COLUMN 79 TILEPROP CLBLL_R_X31Y148 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y148 FIRST_SITE_ID 180 TILEPROP CLBLL_R_X31Y148 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y148 GRID_POINT_Y 2 TILEPROP CLBLL_R_X31Y148 INDEX 309 TILEPROP CLBLL_R_X31Y148 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y148 INT_TILE_Y 1 TILEPROP CLBLL_R_X31Y148 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y148 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y148 NAME CLBLL_R_X31Y148 TILEPROP CLBLL_R_X31Y148 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y148 NUM_SITES 2 TILEPROP CLBLL_R_X31Y148 ROW 2 TILEPROP CLBLL_R_X31Y148 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y148 TILE_PATTERN_IDX 81 TILEPROP CLBLL_R_X31Y148 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y148 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y148 TILE_X 47316 TILEPROP CLBLL_R_X31Y148 TILE_Y 237496 TILEPROP CLBLL_R_X31Y148 TYPE CLBLL_R TILEPROP CLBLL_R_X31Y149 CLASS tile TILEPROP CLBLL_R_X31Y149 COLUMN 79 TILEPROP CLBLL_R_X31Y149 DEVICE_ID 0 TILEPROP CLBLL_R_X31Y149 FIRST_SITE_ID 84 TILEPROP CLBLL_R_X31Y149 GRID_POINT_X 79 TILEPROP CLBLL_R_X31Y149 GRID_POINT_Y 1 TILEPROP CLBLL_R_X31Y149 INDEX 194 TILEPROP CLBLL_R_X31Y149 INT_TILE_X 31 TILEPROP CLBLL_R_X31Y149 INT_TILE_Y 0 TILEPROP CLBLL_R_X31Y149 IS_CENTER_TILE 1 TILEPROP CLBLL_R_X31Y149 IS_DCM_TILE 0 TILEPROP CLBLL_R_X31Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLL_R_X31Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLL_R_X31Y149 NAME CLBLL_R_X31Y149 TILEPROP CLBLL_R_X31Y149 NUM_ARCS 146 TILEPROP CLBLL_R_X31Y149 NUM_SITES 2 TILEPROP CLBLL_R_X31Y149 ROW 1 TILEPROP CLBLL_R_X31Y149 SLR_REGION_ID 0 TILEPROP CLBLL_R_X31Y149 TILE_PATTERN_IDX 42 TILEPROP CLBLL_R_X31Y149 TILE_TYPE CLBLL_R TILEPROP CLBLL_R_X31Y149 TILE_TYPE_INDEX 19 TILEPROP CLBLL_R_X31Y149 TILE_X 47316 TILEPROP CLBLL_R_X31Y149 TILE_Y 240696 TILEPROP CLBLL_R_X31Y149 TYPE CLBLL_R TILEPROP CLBLM_L_X10Y0 CLASS tile TILEPROP CLBLM_L_X10Y0 COLUMN 30 TILEPROP CLBLM_L_X10Y0 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y0 FIRST_SITE_ID 15734 TILEPROP CLBLM_L_X10Y0 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y0 GRID_POINT_Y 155 TILEPROP CLBLM_L_X10Y0 INDEX 17855 TILEPROP CLBLM_L_X10Y0 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y0 INT_TILE_Y 149 TILEPROP CLBLM_L_X10Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y0 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y0 NAME CLBLM_L_X10Y0 TILEPROP CLBLM_L_X10Y0 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y0 NUM_SITES 2 TILEPROP CLBLM_L_X10Y0 ROW 155 TILEPROP CLBLM_L_X10Y0 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y0 TILE_PATTERN_IDX 3508 TILEPROP CLBLM_L_X10Y0 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y0 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y0 TILE_X -49668 TILEPROP CLBLM_L_X10Y0 TILE_Y -239672 TILEPROP CLBLM_L_X10Y0 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y1 CLASS tile TILEPROP CLBLM_L_X10Y1 COLUMN 30 TILEPROP CLBLM_L_X10Y1 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y1 FIRST_SITE_ID 15623 TILEPROP CLBLM_L_X10Y1 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y1 GRID_POINT_Y 154 TILEPROP CLBLM_L_X10Y1 INDEX 17740 TILEPROP CLBLM_L_X10Y1 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y1 INT_TILE_Y 148 TILEPROP CLBLM_L_X10Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y1 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y1 NAME CLBLM_L_X10Y1 TILEPROP CLBLM_L_X10Y1 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y1 NUM_SITES 2 TILEPROP CLBLM_L_X10Y1 ROW 154 TILEPROP CLBLM_L_X10Y1 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y1 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y1 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y1 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y1 TILE_X -49668 TILEPROP CLBLM_L_X10Y1 TILE_Y -236472 TILEPROP CLBLM_L_X10Y1 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y2 CLASS tile TILEPROP CLBLM_L_X10Y2 COLUMN 30 TILEPROP CLBLM_L_X10Y2 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y2 FIRST_SITE_ID 15523 TILEPROP CLBLM_L_X10Y2 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y2 GRID_POINT_Y 153 TILEPROP CLBLM_L_X10Y2 INDEX 17625 TILEPROP CLBLM_L_X10Y2 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y2 INT_TILE_Y 147 TILEPROP CLBLM_L_X10Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y2 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y2 NAME CLBLM_L_X10Y2 TILEPROP CLBLM_L_X10Y2 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y2 NUM_SITES 2 TILEPROP CLBLM_L_X10Y2 ROW 153 TILEPROP CLBLM_L_X10Y2 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y2 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y2 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y2 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y2 TILE_X -49668 TILEPROP CLBLM_L_X10Y2 TILE_Y -233272 TILEPROP CLBLM_L_X10Y2 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y3 CLASS tile TILEPROP CLBLM_L_X10Y3 COLUMN 30 TILEPROP CLBLM_L_X10Y3 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y3 FIRST_SITE_ID 15423 TILEPROP CLBLM_L_X10Y3 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y3 GRID_POINT_Y 152 TILEPROP CLBLM_L_X10Y3 INDEX 17510 TILEPROP CLBLM_L_X10Y3 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y3 INT_TILE_Y 146 TILEPROP CLBLM_L_X10Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y3 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y3 NAME CLBLM_L_X10Y3 TILEPROP CLBLM_L_X10Y3 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y3 NUM_SITES 2 TILEPROP CLBLM_L_X10Y3 ROW 152 TILEPROP CLBLM_L_X10Y3 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y3 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y3 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y3 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y3 TILE_X -49668 TILEPROP CLBLM_L_X10Y3 TILE_Y -230072 TILEPROP CLBLM_L_X10Y3 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y4 CLASS tile TILEPROP CLBLM_L_X10Y4 COLUMN 30 TILEPROP CLBLM_L_X10Y4 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y4 FIRST_SITE_ID 15323 TILEPROP CLBLM_L_X10Y4 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y4 GRID_POINT_Y 151 TILEPROP CLBLM_L_X10Y4 INDEX 17395 TILEPROP CLBLM_L_X10Y4 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y4 INT_TILE_Y 145 TILEPROP CLBLM_L_X10Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y4 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y4 NAME CLBLM_L_X10Y4 TILEPROP CLBLM_L_X10Y4 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y4 NUM_SITES 2 TILEPROP CLBLM_L_X10Y4 ROW 151 TILEPROP CLBLM_L_X10Y4 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y4 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y4 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y4 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y4 TILE_X -49668 TILEPROP CLBLM_L_X10Y4 TILE_Y -226872 TILEPROP CLBLM_L_X10Y4 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y5 CLASS tile TILEPROP CLBLM_L_X10Y5 COLUMN 30 TILEPROP CLBLM_L_X10Y5 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y5 FIRST_SITE_ID 15214 TILEPROP CLBLM_L_X10Y5 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y5 GRID_POINT_Y 150 TILEPROP CLBLM_L_X10Y5 INDEX 17280 TILEPROP CLBLM_L_X10Y5 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y5 INT_TILE_Y 144 TILEPROP CLBLM_L_X10Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y5 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y5 NAME CLBLM_L_X10Y5 TILEPROP CLBLM_L_X10Y5 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y5 NUM_SITES 2 TILEPROP CLBLM_L_X10Y5 ROW 150 TILEPROP CLBLM_L_X10Y5 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y5 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y5 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y5 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y5 TILE_X -49668 TILEPROP CLBLM_L_X10Y5 TILE_Y -223672 TILEPROP CLBLM_L_X10Y5 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y6 CLASS tile TILEPROP CLBLM_L_X10Y6 COLUMN 30 TILEPROP CLBLM_L_X10Y6 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y6 FIRST_SITE_ID 15108 TILEPROP CLBLM_L_X10Y6 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y6 GRID_POINT_Y 149 TILEPROP CLBLM_L_X10Y6 INDEX 17165 TILEPROP CLBLM_L_X10Y6 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y6 INT_TILE_Y 143 TILEPROP CLBLM_L_X10Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y6 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y6 NAME CLBLM_L_X10Y6 TILEPROP CLBLM_L_X10Y6 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y6 NUM_SITES 2 TILEPROP CLBLM_L_X10Y6 ROW 149 TILEPROP CLBLM_L_X10Y6 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y6 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y6 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y6 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y6 TILE_X -49668 TILEPROP CLBLM_L_X10Y6 TILE_Y -220472 TILEPROP CLBLM_L_X10Y6 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y7 CLASS tile TILEPROP CLBLM_L_X10Y7 COLUMN 30 TILEPROP CLBLM_L_X10Y7 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y7 FIRST_SITE_ID 15006 TILEPROP CLBLM_L_X10Y7 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y7 GRID_POINT_Y 148 TILEPROP CLBLM_L_X10Y7 INDEX 17050 TILEPROP CLBLM_L_X10Y7 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y7 INT_TILE_Y 142 TILEPROP CLBLM_L_X10Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y7 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y7 NAME CLBLM_L_X10Y7 TILEPROP CLBLM_L_X10Y7 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y7 NUM_SITES 2 TILEPROP CLBLM_L_X10Y7 ROW 148 TILEPROP CLBLM_L_X10Y7 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y7 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y7 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y7 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y7 TILE_X -49668 TILEPROP CLBLM_L_X10Y7 TILE_Y -217272 TILEPROP CLBLM_L_X10Y7 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y8 CLASS tile TILEPROP CLBLM_L_X10Y8 COLUMN 30 TILEPROP CLBLM_L_X10Y8 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y8 FIRST_SITE_ID 14903 TILEPROP CLBLM_L_X10Y8 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y8 GRID_POINT_Y 147 TILEPROP CLBLM_L_X10Y8 INDEX 16935 TILEPROP CLBLM_L_X10Y8 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y8 INT_TILE_Y 141 TILEPROP CLBLM_L_X10Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y8 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y8 NAME CLBLM_L_X10Y8 TILEPROP CLBLM_L_X10Y8 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y8 NUM_SITES 2 TILEPROP CLBLM_L_X10Y8 ROW 147 TILEPROP CLBLM_L_X10Y8 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y8 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y8 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y8 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y8 TILE_X -49668 TILEPROP CLBLM_L_X10Y8 TILE_Y -214072 TILEPROP CLBLM_L_X10Y8 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y9 CLASS tile TILEPROP CLBLM_L_X10Y9 COLUMN 30 TILEPROP CLBLM_L_X10Y9 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y9 FIRST_SITE_ID 14802 TILEPROP CLBLM_L_X10Y9 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y9 GRID_POINT_Y 146 TILEPROP CLBLM_L_X10Y9 INDEX 16820 TILEPROP CLBLM_L_X10Y9 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y9 INT_TILE_Y 140 TILEPROP CLBLM_L_X10Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y9 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y9 NAME CLBLM_L_X10Y9 TILEPROP CLBLM_L_X10Y9 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y9 NUM_SITES 2 TILEPROP CLBLM_L_X10Y9 ROW 146 TILEPROP CLBLM_L_X10Y9 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y9 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y9 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y9 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y9 TILE_X -49668 TILEPROP CLBLM_L_X10Y9 TILE_Y -210872 TILEPROP CLBLM_L_X10Y9 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y10 CLASS tile TILEPROP CLBLM_L_X10Y10 COLUMN 30 TILEPROP CLBLM_L_X10Y10 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y10 FIRST_SITE_ID 14693 TILEPROP CLBLM_L_X10Y10 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y10 GRID_POINT_Y 145 TILEPROP CLBLM_L_X10Y10 INDEX 16705 TILEPROP CLBLM_L_X10Y10 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y10 INT_TILE_Y 139 TILEPROP CLBLM_L_X10Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y10 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y10 NAME CLBLM_L_X10Y10 TILEPROP CLBLM_L_X10Y10 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y10 NUM_SITES 2 TILEPROP CLBLM_L_X10Y10 ROW 145 TILEPROP CLBLM_L_X10Y10 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y10 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y10 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y10 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y10 TILE_X -49668 TILEPROP CLBLM_L_X10Y10 TILE_Y -207672 TILEPROP CLBLM_L_X10Y10 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y11 CLASS tile TILEPROP CLBLM_L_X10Y11 COLUMN 30 TILEPROP CLBLM_L_X10Y11 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y11 FIRST_SITE_ID 14587 TILEPROP CLBLM_L_X10Y11 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y11 GRID_POINT_Y 144 TILEPROP CLBLM_L_X10Y11 INDEX 16590 TILEPROP CLBLM_L_X10Y11 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y11 INT_TILE_Y 138 TILEPROP CLBLM_L_X10Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y11 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y11 NAME CLBLM_L_X10Y11 TILEPROP CLBLM_L_X10Y11 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y11 NUM_SITES 2 TILEPROP CLBLM_L_X10Y11 ROW 144 TILEPROP CLBLM_L_X10Y11 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y11 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y11 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y11 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y11 TILE_X -49668 TILEPROP CLBLM_L_X10Y11 TILE_Y -204472 TILEPROP CLBLM_L_X10Y11 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y12 CLASS tile TILEPROP CLBLM_L_X10Y12 COLUMN 30 TILEPROP CLBLM_L_X10Y12 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y12 FIRST_SITE_ID 14455 TILEPROP CLBLM_L_X10Y12 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y12 GRID_POINT_Y 143 TILEPROP CLBLM_L_X10Y12 INDEX 16475 TILEPROP CLBLM_L_X10Y12 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y12 INT_TILE_Y 137 TILEPROP CLBLM_L_X10Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y12 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y12 NAME CLBLM_L_X10Y12 TILEPROP CLBLM_L_X10Y12 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y12 NUM_SITES 2 TILEPROP CLBLM_L_X10Y12 ROW 143 TILEPROP CLBLM_L_X10Y12 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y12 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y12 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y12 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y12 TILE_X -49668 TILEPROP CLBLM_L_X10Y12 TILE_Y -201272 TILEPROP CLBLM_L_X10Y12 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y13 CLASS tile TILEPROP CLBLM_L_X10Y13 COLUMN 30 TILEPROP CLBLM_L_X10Y13 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y13 FIRST_SITE_ID 14355 TILEPROP CLBLM_L_X10Y13 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y13 GRID_POINT_Y 142 TILEPROP CLBLM_L_X10Y13 INDEX 16360 TILEPROP CLBLM_L_X10Y13 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y13 INT_TILE_Y 136 TILEPROP CLBLM_L_X10Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y13 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y13 NAME CLBLM_L_X10Y13 TILEPROP CLBLM_L_X10Y13 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y13 NUM_SITES 2 TILEPROP CLBLM_L_X10Y13 ROW 142 TILEPROP CLBLM_L_X10Y13 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y13 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y13 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y13 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y13 TILE_X -49668 TILEPROP CLBLM_L_X10Y13 TILE_Y -198072 TILEPROP CLBLM_L_X10Y13 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y14 CLASS tile TILEPROP CLBLM_L_X10Y14 COLUMN 30 TILEPROP CLBLM_L_X10Y14 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y14 FIRST_SITE_ID 14255 TILEPROP CLBLM_L_X10Y14 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y14 GRID_POINT_Y 141 TILEPROP CLBLM_L_X10Y14 INDEX 16245 TILEPROP CLBLM_L_X10Y14 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y14 INT_TILE_Y 135 TILEPROP CLBLM_L_X10Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y14 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y14 NAME CLBLM_L_X10Y14 TILEPROP CLBLM_L_X10Y14 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y14 NUM_SITES 2 TILEPROP CLBLM_L_X10Y14 ROW 141 TILEPROP CLBLM_L_X10Y14 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y14 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y14 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y14 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y14 TILE_X -49668 TILEPROP CLBLM_L_X10Y14 TILE_Y -194872 TILEPROP CLBLM_L_X10Y14 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y15 CLASS tile TILEPROP CLBLM_L_X10Y15 COLUMN 30 TILEPROP CLBLM_L_X10Y15 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y15 FIRST_SITE_ID 14146 TILEPROP CLBLM_L_X10Y15 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y15 GRID_POINT_Y 140 TILEPROP CLBLM_L_X10Y15 INDEX 16130 TILEPROP CLBLM_L_X10Y15 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y15 INT_TILE_Y 134 TILEPROP CLBLM_L_X10Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y15 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y15 NAME CLBLM_L_X10Y15 TILEPROP CLBLM_L_X10Y15 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y15 NUM_SITES 2 TILEPROP CLBLM_L_X10Y15 ROW 140 TILEPROP CLBLM_L_X10Y15 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y15 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y15 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y15 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y15 TILE_X -49668 TILEPROP CLBLM_L_X10Y15 TILE_Y -191672 TILEPROP CLBLM_L_X10Y15 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y16 CLASS tile TILEPROP CLBLM_L_X10Y16 COLUMN 30 TILEPROP CLBLM_L_X10Y16 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y16 FIRST_SITE_ID 14040 TILEPROP CLBLM_L_X10Y16 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y16 GRID_POINT_Y 139 TILEPROP CLBLM_L_X10Y16 INDEX 16015 TILEPROP CLBLM_L_X10Y16 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y16 INT_TILE_Y 133 TILEPROP CLBLM_L_X10Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y16 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y16 NAME CLBLM_L_X10Y16 TILEPROP CLBLM_L_X10Y16 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y16 NUM_SITES 2 TILEPROP CLBLM_L_X10Y16 ROW 139 TILEPROP CLBLM_L_X10Y16 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y16 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y16 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y16 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y16 TILE_X -49668 TILEPROP CLBLM_L_X10Y16 TILE_Y -188472 TILEPROP CLBLM_L_X10Y16 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y17 CLASS tile TILEPROP CLBLM_L_X10Y17 COLUMN 30 TILEPROP CLBLM_L_X10Y17 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y17 FIRST_SITE_ID 13935 TILEPROP CLBLM_L_X10Y17 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y17 GRID_POINT_Y 138 TILEPROP CLBLM_L_X10Y17 INDEX 15900 TILEPROP CLBLM_L_X10Y17 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y17 INT_TILE_Y 132 TILEPROP CLBLM_L_X10Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y17 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y17 NAME CLBLM_L_X10Y17 TILEPROP CLBLM_L_X10Y17 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y17 NUM_SITES 2 TILEPROP CLBLM_L_X10Y17 ROW 138 TILEPROP CLBLM_L_X10Y17 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y17 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y17 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y17 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y17 TILE_X -49668 TILEPROP CLBLM_L_X10Y17 TILE_Y -185272 TILEPROP CLBLM_L_X10Y17 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y18 CLASS tile TILEPROP CLBLM_L_X10Y18 COLUMN 30 TILEPROP CLBLM_L_X10Y18 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y18 FIRST_SITE_ID 13831 TILEPROP CLBLM_L_X10Y18 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y18 GRID_POINT_Y 137 TILEPROP CLBLM_L_X10Y18 INDEX 15785 TILEPROP CLBLM_L_X10Y18 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y18 INT_TILE_Y 131 TILEPROP CLBLM_L_X10Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y18 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y18 NAME CLBLM_L_X10Y18 TILEPROP CLBLM_L_X10Y18 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y18 NUM_SITES 2 TILEPROP CLBLM_L_X10Y18 ROW 137 TILEPROP CLBLM_L_X10Y18 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y18 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y18 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y18 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y18 TILE_X -49668 TILEPROP CLBLM_L_X10Y18 TILE_Y -182072 TILEPROP CLBLM_L_X10Y18 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y19 CLASS tile TILEPROP CLBLM_L_X10Y19 COLUMN 30 TILEPROP CLBLM_L_X10Y19 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y19 FIRST_SITE_ID 13729 TILEPROP CLBLM_L_X10Y19 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y19 GRID_POINT_Y 136 TILEPROP CLBLM_L_X10Y19 INDEX 15670 TILEPROP CLBLM_L_X10Y19 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y19 INT_TILE_Y 130 TILEPROP CLBLM_L_X10Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y19 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y19 NAME CLBLM_L_X10Y19 TILEPROP CLBLM_L_X10Y19 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y19 NUM_SITES 2 TILEPROP CLBLM_L_X10Y19 ROW 136 TILEPROP CLBLM_L_X10Y19 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y19 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y19 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y19 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y19 TILE_X -49668 TILEPROP CLBLM_L_X10Y19 TILE_Y -178872 TILEPROP CLBLM_L_X10Y19 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y20 CLASS tile TILEPROP CLBLM_L_X10Y20 COLUMN 30 TILEPROP CLBLM_L_X10Y20 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y20 FIRST_SITE_ID 13618 TILEPROP CLBLM_L_X10Y20 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y20 GRID_POINT_Y 135 TILEPROP CLBLM_L_X10Y20 INDEX 15555 TILEPROP CLBLM_L_X10Y20 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y20 INT_TILE_Y 129 TILEPROP CLBLM_L_X10Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y20 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y20 NAME CLBLM_L_X10Y20 TILEPROP CLBLM_L_X10Y20 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y20 NUM_SITES 2 TILEPROP CLBLM_L_X10Y20 ROW 135 TILEPROP CLBLM_L_X10Y20 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y20 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y20 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y20 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y20 TILE_X -49668 TILEPROP CLBLM_L_X10Y20 TILE_Y -175672 TILEPROP CLBLM_L_X10Y20 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y21 CLASS tile TILEPROP CLBLM_L_X10Y21 COLUMN 30 TILEPROP CLBLM_L_X10Y21 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y21 FIRST_SITE_ID 13512 TILEPROP CLBLM_L_X10Y21 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y21 GRID_POINT_Y 134 TILEPROP CLBLM_L_X10Y21 INDEX 15440 TILEPROP CLBLM_L_X10Y21 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y21 INT_TILE_Y 128 TILEPROP CLBLM_L_X10Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y21 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y21 NAME CLBLM_L_X10Y21 TILEPROP CLBLM_L_X10Y21 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y21 NUM_SITES 2 TILEPROP CLBLM_L_X10Y21 ROW 134 TILEPROP CLBLM_L_X10Y21 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y21 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y21 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y21 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y21 TILE_X -49668 TILEPROP CLBLM_L_X10Y21 TILE_Y -172472 TILEPROP CLBLM_L_X10Y21 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y22 CLASS tile TILEPROP CLBLM_L_X10Y22 COLUMN 30 TILEPROP CLBLM_L_X10Y22 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y22 FIRST_SITE_ID 13412 TILEPROP CLBLM_L_X10Y22 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y22 GRID_POINT_Y 133 TILEPROP CLBLM_L_X10Y22 INDEX 15325 TILEPROP CLBLM_L_X10Y22 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y22 INT_TILE_Y 127 TILEPROP CLBLM_L_X10Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y22 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y22 NAME CLBLM_L_X10Y22 TILEPROP CLBLM_L_X10Y22 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y22 NUM_SITES 2 TILEPROP CLBLM_L_X10Y22 ROW 133 TILEPROP CLBLM_L_X10Y22 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y22 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y22 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y22 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y22 TILE_X -49668 TILEPROP CLBLM_L_X10Y22 TILE_Y -169272 TILEPROP CLBLM_L_X10Y22 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y23 CLASS tile TILEPROP CLBLM_L_X10Y23 COLUMN 30 TILEPROP CLBLM_L_X10Y23 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y23 FIRST_SITE_ID 13312 TILEPROP CLBLM_L_X10Y23 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y23 GRID_POINT_Y 132 TILEPROP CLBLM_L_X10Y23 INDEX 15210 TILEPROP CLBLM_L_X10Y23 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y23 INT_TILE_Y 126 TILEPROP CLBLM_L_X10Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y23 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y23 NAME CLBLM_L_X10Y23 TILEPROP CLBLM_L_X10Y23 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y23 NUM_SITES 2 TILEPROP CLBLM_L_X10Y23 ROW 132 TILEPROP CLBLM_L_X10Y23 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y23 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y23 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y23 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y23 TILE_X -49668 TILEPROP CLBLM_L_X10Y23 TILE_Y -166072 TILEPROP CLBLM_L_X10Y23 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y24 CLASS tile TILEPROP CLBLM_L_X10Y24 COLUMN 30 TILEPROP CLBLM_L_X10Y24 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y24 FIRST_SITE_ID 13212 TILEPROP CLBLM_L_X10Y24 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y24 GRID_POINT_Y 131 TILEPROP CLBLM_L_X10Y24 INDEX 15095 TILEPROP CLBLM_L_X10Y24 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y24 INT_TILE_Y 125 TILEPROP CLBLM_L_X10Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y24 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y24 NAME CLBLM_L_X10Y24 TILEPROP CLBLM_L_X10Y24 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y24 NUM_SITES 2 TILEPROP CLBLM_L_X10Y24 ROW 131 TILEPROP CLBLM_L_X10Y24 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y24 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X10Y24 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y24 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y24 TILE_X -49668 TILEPROP CLBLM_L_X10Y24 TILE_Y -162872 TILEPROP CLBLM_L_X10Y24 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y25 CLASS tile TILEPROP CLBLM_L_X10Y25 COLUMN 30 TILEPROP CLBLM_L_X10Y25 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y25 FIRST_SITE_ID 13020 TILEPROP CLBLM_L_X10Y25 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y25 GRID_POINT_Y 129 TILEPROP CLBLM_L_X10Y25 INDEX 14865 TILEPROP CLBLM_L_X10Y25 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y25 INT_TILE_Y 124 TILEPROP CLBLM_L_X10Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y25 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y25 NAME CLBLM_L_X10Y25 TILEPROP CLBLM_L_X10Y25 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y25 NUM_SITES 2 TILEPROP CLBLM_L_X10Y25 ROW 129 TILEPROP CLBLM_L_X10Y25 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y25 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X10Y25 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y25 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y25 TILE_X -49668 TILEPROP CLBLM_L_X10Y25 TILE_Y -158648 TILEPROP CLBLM_L_X10Y25 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y26 CLASS tile TILEPROP CLBLM_L_X10Y26 COLUMN 30 TILEPROP CLBLM_L_X10Y26 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y26 FIRST_SITE_ID 12914 TILEPROP CLBLM_L_X10Y26 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y26 GRID_POINT_Y 128 TILEPROP CLBLM_L_X10Y26 INDEX 14750 TILEPROP CLBLM_L_X10Y26 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y26 INT_TILE_Y 123 TILEPROP CLBLM_L_X10Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y26 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y26 NAME CLBLM_L_X10Y26 TILEPROP CLBLM_L_X10Y26 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y26 NUM_SITES 2 TILEPROP CLBLM_L_X10Y26 ROW 128 TILEPROP CLBLM_L_X10Y26 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y26 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y26 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y26 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y26 TILE_X -49668 TILEPROP CLBLM_L_X10Y26 TILE_Y -155448 TILEPROP CLBLM_L_X10Y26 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y27 CLASS tile TILEPROP CLBLM_L_X10Y27 COLUMN 30 TILEPROP CLBLM_L_X10Y27 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y27 FIRST_SITE_ID 12814 TILEPROP CLBLM_L_X10Y27 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y27 GRID_POINT_Y 127 TILEPROP CLBLM_L_X10Y27 INDEX 14635 TILEPROP CLBLM_L_X10Y27 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y27 INT_TILE_Y 122 TILEPROP CLBLM_L_X10Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y27 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y27 NAME CLBLM_L_X10Y27 TILEPROP CLBLM_L_X10Y27 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y27 NUM_SITES 2 TILEPROP CLBLM_L_X10Y27 ROW 127 TILEPROP CLBLM_L_X10Y27 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y27 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y27 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y27 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y27 TILE_X -49668 TILEPROP CLBLM_L_X10Y27 TILE_Y -152248 TILEPROP CLBLM_L_X10Y27 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y28 CLASS tile TILEPROP CLBLM_L_X10Y28 COLUMN 30 TILEPROP CLBLM_L_X10Y28 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y28 FIRST_SITE_ID 12714 TILEPROP CLBLM_L_X10Y28 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y28 GRID_POINT_Y 126 TILEPROP CLBLM_L_X10Y28 INDEX 14520 TILEPROP CLBLM_L_X10Y28 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y28 INT_TILE_Y 121 TILEPROP CLBLM_L_X10Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y28 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y28 NAME CLBLM_L_X10Y28 TILEPROP CLBLM_L_X10Y28 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y28 NUM_SITES 2 TILEPROP CLBLM_L_X10Y28 ROW 126 TILEPROP CLBLM_L_X10Y28 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y28 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y28 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y28 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y28 TILE_X -49668 TILEPROP CLBLM_L_X10Y28 TILE_Y -149048 TILEPROP CLBLM_L_X10Y28 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y29 CLASS tile TILEPROP CLBLM_L_X10Y29 COLUMN 30 TILEPROP CLBLM_L_X10Y29 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y29 FIRST_SITE_ID 12608 TILEPROP CLBLM_L_X10Y29 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y29 GRID_POINT_Y 125 TILEPROP CLBLM_L_X10Y29 INDEX 14405 TILEPROP CLBLM_L_X10Y29 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y29 INT_TILE_Y 120 TILEPROP CLBLM_L_X10Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y29 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y29 NAME CLBLM_L_X10Y29 TILEPROP CLBLM_L_X10Y29 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y29 NUM_SITES 2 TILEPROP CLBLM_L_X10Y29 ROW 125 TILEPROP CLBLM_L_X10Y29 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y29 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y29 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y29 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y29 TILE_X -49668 TILEPROP CLBLM_L_X10Y29 TILE_Y -145848 TILEPROP CLBLM_L_X10Y29 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y30 CLASS tile TILEPROP CLBLM_L_X10Y30 COLUMN 30 TILEPROP CLBLM_L_X10Y30 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y30 FIRST_SITE_ID 12480 TILEPROP CLBLM_L_X10Y30 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y30 GRID_POINT_Y 124 TILEPROP CLBLM_L_X10Y30 INDEX 14290 TILEPROP CLBLM_L_X10Y30 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y30 INT_TILE_Y 119 TILEPROP CLBLM_L_X10Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y30 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y30 NAME CLBLM_L_X10Y30 TILEPROP CLBLM_L_X10Y30 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y30 NUM_SITES 2 TILEPROP CLBLM_L_X10Y30 ROW 124 TILEPROP CLBLM_L_X10Y30 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y30 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y30 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y30 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y30 TILE_X -49668 TILEPROP CLBLM_L_X10Y30 TILE_Y -142648 TILEPROP CLBLM_L_X10Y30 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y31 CLASS tile TILEPROP CLBLM_L_X10Y31 COLUMN 30 TILEPROP CLBLM_L_X10Y31 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y31 FIRST_SITE_ID 12372 TILEPROP CLBLM_L_X10Y31 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y31 GRID_POINT_Y 123 TILEPROP CLBLM_L_X10Y31 INDEX 14175 TILEPROP CLBLM_L_X10Y31 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y31 INT_TILE_Y 118 TILEPROP CLBLM_L_X10Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y31 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y31 NAME CLBLM_L_X10Y31 TILEPROP CLBLM_L_X10Y31 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y31 NUM_SITES 2 TILEPROP CLBLM_L_X10Y31 ROW 123 TILEPROP CLBLM_L_X10Y31 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y31 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y31 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y31 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y31 TILE_X -49668 TILEPROP CLBLM_L_X10Y31 TILE_Y -139448 TILEPROP CLBLM_L_X10Y31 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y32 CLASS tile TILEPROP CLBLM_L_X10Y32 COLUMN 30 TILEPROP CLBLM_L_X10Y32 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y32 FIRST_SITE_ID 12269 TILEPROP CLBLM_L_X10Y32 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y32 GRID_POINT_Y 122 TILEPROP CLBLM_L_X10Y32 INDEX 14060 TILEPROP CLBLM_L_X10Y32 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y32 INT_TILE_Y 117 TILEPROP CLBLM_L_X10Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y32 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y32 NAME CLBLM_L_X10Y32 TILEPROP CLBLM_L_X10Y32 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y32 NUM_SITES 2 TILEPROP CLBLM_L_X10Y32 ROW 122 TILEPROP CLBLM_L_X10Y32 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y32 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y32 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y32 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y32 TILE_X -49668 TILEPROP CLBLM_L_X10Y32 TILE_Y -136248 TILEPROP CLBLM_L_X10Y32 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y33 CLASS tile TILEPROP CLBLM_L_X10Y33 COLUMN 30 TILEPROP CLBLM_L_X10Y33 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y33 FIRST_SITE_ID 12169 TILEPROP CLBLM_L_X10Y33 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y33 GRID_POINT_Y 121 TILEPROP CLBLM_L_X10Y33 INDEX 13945 TILEPROP CLBLM_L_X10Y33 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y33 INT_TILE_Y 116 TILEPROP CLBLM_L_X10Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y33 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y33 NAME CLBLM_L_X10Y33 TILEPROP CLBLM_L_X10Y33 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y33 NUM_SITES 2 TILEPROP CLBLM_L_X10Y33 ROW 121 TILEPROP CLBLM_L_X10Y33 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y33 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y33 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y33 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y33 TILE_X -49668 TILEPROP CLBLM_L_X10Y33 TILE_Y -133048 TILEPROP CLBLM_L_X10Y33 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y34 CLASS tile TILEPROP CLBLM_L_X10Y34 COLUMN 30 TILEPROP CLBLM_L_X10Y34 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y34 FIRST_SITE_ID 12069 TILEPROP CLBLM_L_X10Y34 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y34 GRID_POINT_Y 120 TILEPROP CLBLM_L_X10Y34 INDEX 13830 TILEPROP CLBLM_L_X10Y34 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y34 INT_TILE_Y 115 TILEPROP CLBLM_L_X10Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y34 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y34 NAME CLBLM_L_X10Y34 TILEPROP CLBLM_L_X10Y34 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y34 NUM_SITES 2 TILEPROP CLBLM_L_X10Y34 ROW 120 TILEPROP CLBLM_L_X10Y34 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y34 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y34 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y34 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y34 TILE_X -49668 TILEPROP CLBLM_L_X10Y34 TILE_Y -129848 TILEPROP CLBLM_L_X10Y34 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y35 CLASS tile TILEPROP CLBLM_L_X10Y35 COLUMN 30 TILEPROP CLBLM_L_X10Y35 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y35 FIRST_SITE_ID 11960 TILEPROP CLBLM_L_X10Y35 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y35 GRID_POINT_Y 119 TILEPROP CLBLM_L_X10Y35 INDEX 13715 TILEPROP CLBLM_L_X10Y35 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y35 INT_TILE_Y 114 TILEPROP CLBLM_L_X10Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y35 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y35 NAME CLBLM_L_X10Y35 TILEPROP CLBLM_L_X10Y35 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y35 NUM_SITES 2 TILEPROP CLBLM_L_X10Y35 ROW 119 TILEPROP CLBLM_L_X10Y35 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y35 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y35 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y35 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y35 TILE_X -49668 TILEPROP CLBLM_L_X10Y35 TILE_Y -126648 TILEPROP CLBLM_L_X10Y35 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y36 CLASS tile TILEPROP CLBLM_L_X10Y36 COLUMN 30 TILEPROP CLBLM_L_X10Y36 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y36 FIRST_SITE_ID 11822 TILEPROP CLBLM_L_X10Y36 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y36 GRID_POINT_Y 118 TILEPROP CLBLM_L_X10Y36 INDEX 13600 TILEPROP CLBLM_L_X10Y36 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y36 INT_TILE_Y 113 TILEPROP CLBLM_L_X10Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y36 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y36 NAME CLBLM_L_X10Y36 TILEPROP CLBLM_L_X10Y36 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y36 NUM_SITES 2 TILEPROP CLBLM_L_X10Y36 ROW 118 TILEPROP CLBLM_L_X10Y36 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y36 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y36 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y36 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y36 TILE_X -49668 TILEPROP CLBLM_L_X10Y36 TILE_Y -123448 TILEPROP CLBLM_L_X10Y36 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y37 CLASS tile TILEPROP CLBLM_L_X10Y37 COLUMN 30 TILEPROP CLBLM_L_X10Y37 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y37 FIRST_SITE_ID 11722 TILEPROP CLBLM_L_X10Y37 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y37 GRID_POINT_Y 117 TILEPROP CLBLM_L_X10Y37 INDEX 13485 TILEPROP CLBLM_L_X10Y37 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y37 INT_TILE_Y 112 TILEPROP CLBLM_L_X10Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y37 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y37 NAME CLBLM_L_X10Y37 TILEPROP CLBLM_L_X10Y37 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y37 NUM_SITES 2 TILEPROP CLBLM_L_X10Y37 ROW 117 TILEPROP CLBLM_L_X10Y37 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y37 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y37 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y37 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y37 TILE_X -49668 TILEPROP CLBLM_L_X10Y37 TILE_Y -120248 TILEPROP CLBLM_L_X10Y37 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y38 CLASS tile TILEPROP CLBLM_L_X10Y38 COLUMN 30 TILEPROP CLBLM_L_X10Y38 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y38 FIRST_SITE_ID 11622 TILEPROP CLBLM_L_X10Y38 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y38 GRID_POINT_Y 116 TILEPROP CLBLM_L_X10Y38 INDEX 13370 TILEPROP CLBLM_L_X10Y38 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y38 INT_TILE_Y 111 TILEPROP CLBLM_L_X10Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y38 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y38 NAME CLBLM_L_X10Y38 TILEPROP CLBLM_L_X10Y38 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y38 NUM_SITES 2 TILEPROP CLBLM_L_X10Y38 ROW 116 TILEPROP CLBLM_L_X10Y38 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y38 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y38 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y38 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y38 TILE_X -49668 TILEPROP CLBLM_L_X10Y38 TILE_Y -117048 TILEPROP CLBLM_L_X10Y38 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y39 CLASS tile TILEPROP CLBLM_L_X10Y39 COLUMN 30 TILEPROP CLBLM_L_X10Y39 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y39 FIRST_SITE_ID 11522 TILEPROP CLBLM_L_X10Y39 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y39 GRID_POINT_Y 115 TILEPROP CLBLM_L_X10Y39 INDEX 13255 TILEPROP CLBLM_L_X10Y39 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y39 INT_TILE_Y 110 TILEPROP CLBLM_L_X10Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y39 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y39 NAME CLBLM_L_X10Y39 TILEPROP CLBLM_L_X10Y39 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y39 NUM_SITES 2 TILEPROP CLBLM_L_X10Y39 ROW 115 TILEPROP CLBLM_L_X10Y39 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y39 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y39 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y39 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y39 TILE_X -49668 TILEPROP CLBLM_L_X10Y39 TILE_Y -113848 TILEPROP CLBLM_L_X10Y39 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y40 CLASS tile TILEPROP CLBLM_L_X10Y40 COLUMN 30 TILEPROP CLBLM_L_X10Y40 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y40 FIRST_SITE_ID 11411 TILEPROP CLBLM_L_X10Y40 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y40 GRID_POINT_Y 114 TILEPROP CLBLM_L_X10Y40 INDEX 13140 TILEPROP CLBLM_L_X10Y40 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y40 INT_TILE_Y 109 TILEPROP CLBLM_L_X10Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y40 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y40 NAME CLBLM_L_X10Y40 TILEPROP CLBLM_L_X10Y40 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y40 NUM_SITES 2 TILEPROP CLBLM_L_X10Y40 ROW 114 TILEPROP CLBLM_L_X10Y40 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y40 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y40 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y40 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y40 TILE_X -49668 TILEPROP CLBLM_L_X10Y40 TILE_Y -110648 TILEPROP CLBLM_L_X10Y40 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y41 CLASS tile TILEPROP CLBLM_L_X10Y41 COLUMN 30 TILEPROP CLBLM_L_X10Y41 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y41 FIRST_SITE_ID 11304 TILEPROP CLBLM_L_X10Y41 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y41 GRID_POINT_Y 113 TILEPROP CLBLM_L_X10Y41 INDEX 13025 TILEPROP CLBLM_L_X10Y41 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y41 INT_TILE_Y 108 TILEPROP CLBLM_L_X10Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y41 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y41 NAME CLBLM_L_X10Y41 TILEPROP CLBLM_L_X10Y41 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y41 NUM_SITES 2 TILEPROP CLBLM_L_X10Y41 ROW 113 TILEPROP CLBLM_L_X10Y41 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y41 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y41 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y41 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y41 TILE_X -49668 TILEPROP CLBLM_L_X10Y41 TILE_Y -107448 TILEPROP CLBLM_L_X10Y41 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y42 CLASS tile TILEPROP CLBLM_L_X10Y42 COLUMN 30 TILEPROP CLBLM_L_X10Y42 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y42 FIRST_SITE_ID 11203 TILEPROP CLBLM_L_X10Y42 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y42 GRID_POINT_Y 112 TILEPROP CLBLM_L_X10Y42 INDEX 12910 TILEPROP CLBLM_L_X10Y42 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y42 INT_TILE_Y 107 TILEPROP CLBLM_L_X10Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y42 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y42 NAME CLBLM_L_X10Y42 TILEPROP CLBLM_L_X10Y42 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y42 NUM_SITES 2 TILEPROP CLBLM_L_X10Y42 ROW 112 TILEPROP CLBLM_L_X10Y42 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y42 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y42 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y42 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y42 TILE_X -49668 TILEPROP CLBLM_L_X10Y42 TILE_Y -104248 TILEPROP CLBLM_L_X10Y42 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y43 CLASS tile TILEPROP CLBLM_L_X10Y43 COLUMN 30 TILEPROP CLBLM_L_X10Y43 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y43 FIRST_SITE_ID 11100 TILEPROP CLBLM_L_X10Y43 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y43 GRID_POINT_Y 111 TILEPROP CLBLM_L_X10Y43 INDEX 12795 TILEPROP CLBLM_L_X10Y43 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y43 INT_TILE_Y 106 TILEPROP CLBLM_L_X10Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y43 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y43 NAME CLBLM_L_X10Y43 TILEPROP CLBLM_L_X10Y43 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y43 NUM_SITES 2 TILEPROP CLBLM_L_X10Y43 ROW 111 TILEPROP CLBLM_L_X10Y43 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y43 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y43 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y43 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y43 TILE_X -49668 TILEPROP CLBLM_L_X10Y43 TILE_Y -101048 TILEPROP CLBLM_L_X10Y43 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y44 CLASS tile TILEPROP CLBLM_L_X10Y44 COLUMN 30 TILEPROP CLBLM_L_X10Y44 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y44 FIRST_SITE_ID 10998 TILEPROP CLBLM_L_X10Y44 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y44 GRID_POINT_Y 110 TILEPROP CLBLM_L_X10Y44 INDEX 12680 TILEPROP CLBLM_L_X10Y44 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y44 INT_TILE_Y 105 TILEPROP CLBLM_L_X10Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y44 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y44 NAME CLBLM_L_X10Y44 TILEPROP CLBLM_L_X10Y44 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y44 NUM_SITES 2 TILEPROP CLBLM_L_X10Y44 ROW 110 TILEPROP CLBLM_L_X10Y44 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y44 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y44 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y44 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y44 TILE_X -49668 TILEPROP CLBLM_L_X10Y44 TILE_Y -97848 TILEPROP CLBLM_L_X10Y44 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y45 CLASS tile TILEPROP CLBLM_L_X10Y45 COLUMN 30 TILEPROP CLBLM_L_X10Y45 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y45 FIRST_SITE_ID 10888 TILEPROP CLBLM_L_X10Y45 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y45 GRID_POINT_Y 109 TILEPROP CLBLM_L_X10Y45 INDEX 12565 TILEPROP CLBLM_L_X10Y45 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y45 INT_TILE_Y 104 TILEPROP CLBLM_L_X10Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y45 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y45 NAME CLBLM_L_X10Y45 TILEPROP CLBLM_L_X10Y45 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y45 NUM_SITES 2 TILEPROP CLBLM_L_X10Y45 ROW 109 TILEPROP CLBLM_L_X10Y45 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y45 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y45 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y45 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y45 TILE_X -49668 TILEPROP CLBLM_L_X10Y45 TILE_Y -94648 TILEPROP CLBLM_L_X10Y45 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y46 CLASS tile TILEPROP CLBLM_L_X10Y46 COLUMN 30 TILEPROP CLBLM_L_X10Y46 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y46 FIRST_SITE_ID 10766 TILEPROP CLBLM_L_X10Y46 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y46 GRID_POINT_Y 108 TILEPROP CLBLM_L_X10Y46 INDEX 12450 TILEPROP CLBLM_L_X10Y46 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y46 INT_TILE_Y 103 TILEPROP CLBLM_L_X10Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y46 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y46 NAME CLBLM_L_X10Y46 TILEPROP CLBLM_L_X10Y46 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y46 NUM_SITES 2 TILEPROP CLBLM_L_X10Y46 ROW 108 TILEPROP CLBLM_L_X10Y46 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y46 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y46 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y46 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y46 TILE_X -49668 TILEPROP CLBLM_L_X10Y46 TILE_Y -91448 TILEPROP CLBLM_L_X10Y46 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y47 CLASS tile TILEPROP CLBLM_L_X10Y47 COLUMN 30 TILEPROP CLBLM_L_X10Y47 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y47 FIRST_SITE_ID 10666 TILEPROP CLBLM_L_X10Y47 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y47 GRID_POINT_Y 107 TILEPROP CLBLM_L_X10Y47 INDEX 12335 TILEPROP CLBLM_L_X10Y47 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y47 INT_TILE_Y 102 TILEPROP CLBLM_L_X10Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y47 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y47 NAME CLBLM_L_X10Y47 TILEPROP CLBLM_L_X10Y47 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y47 NUM_SITES 2 TILEPROP CLBLM_L_X10Y47 ROW 107 TILEPROP CLBLM_L_X10Y47 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y47 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y47 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y47 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y47 TILE_X -49668 TILEPROP CLBLM_L_X10Y47 TILE_Y -88248 TILEPROP CLBLM_L_X10Y47 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y48 CLASS tile TILEPROP CLBLM_L_X10Y48 COLUMN 30 TILEPROP CLBLM_L_X10Y48 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y48 FIRST_SITE_ID 10566 TILEPROP CLBLM_L_X10Y48 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y48 GRID_POINT_Y 106 TILEPROP CLBLM_L_X10Y48 INDEX 12220 TILEPROP CLBLM_L_X10Y48 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y48 INT_TILE_Y 101 TILEPROP CLBLM_L_X10Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y48 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y48 NAME CLBLM_L_X10Y48 TILEPROP CLBLM_L_X10Y48 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y48 NUM_SITES 2 TILEPROP CLBLM_L_X10Y48 ROW 106 TILEPROP CLBLM_L_X10Y48 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y48 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y48 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y48 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y48 TILE_X -49668 TILEPROP CLBLM_L_X10Y48 TILE_Y -85048 TILEPROP CLBLM_L_X10Y48 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y49 CLASS tile TILEPROP CLBLM_L_X10Y49 COLUMN 30 TILEPROP CLBLM_L_X10Y49 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y49 FIRST_SITE_ID 10470 TILEPROP CLBLM_L_X10Y49 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y49 GRID_POINT_Y 105 TILEPROP CLBLM_L_X10Y49 INDEX 12105 TILEPROP CLBLM_L_X10Y49 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y49 INT_TILE_Y 100 TILEPROP CLBLM_L_X10Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y49 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y49 NAME CLBLM_L_X10Y49 TILEPROP CLBLM_L_X10Y49 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y49 NUM_SITES 2 TILEPROP CLBLM_L_X10Y49 ROW 105 TILEPROP CLBLM_L_X10Y49 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y49 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X10Y49 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y49 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y49 TILE_X -49668 TILEPROP CLBLM_L_X10Y49 TILE_Y -81848 TILEPROP CLBLM_L_X10Y49 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y50 CLASS tile TILEPROP CLBLM_L_X10Y50 COLUMN 30 TILEPROP CLBLM_L_X10Y50 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y50 FIRST_SITE_ID 10345 TILEPROP CLBLM_L_X10Y50 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y50 GRID_POINT_Y 103 TILEPROP CLBLM_L_X10Y50 INDEX 11875 TILEPROP CLBLM_L_X10Y50 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y50 INT_TILE_Y 99 TILEPROP CLBLM_L_X10Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y50 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y50 NAME CLBLM_L_X10Y50 TILEPROP CLBLM_L_X10Y50 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y50 NUM_SITES 2 TILEPROP CLBLM_L_X10Y50 ROW 103 TILEPROP CLBLM_L_X10Y50 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y50 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X10Y50 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y50 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y50 TILE_X -49668 TILEPROP CLBLM_L_X10Y50 TILE_Y -78400 TILEPROP CLBLM_L_X10Y50 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y51 CLASS tile TILEPROP CLBLM_L_X10Y51 COLUMN 30 TILEPROP CLBLM_L_X10Y51 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y51 FIRST_SITE_ID 10235 TILEPROP CLBLM_L_X10Y51 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y51 GRID_POINT_Y 102 TILEPROP CLBLM_L_X10Y51 INDEX 11760 TILEPROP CLBLM_L_X10Y51 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y51 INT_TILE_Y 98 TILEPROP CLBLM_L_X10Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y51 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y51 NAME CLBLM_L_X10Y51 TILEPROP CLBLM_L_X10Y51 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y51 NUM_SITES 2 TILEPROP CLBLM_L_X10Y51 ROW 102 TILEPROP CLBLM_L_X10Y51 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y51 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y51 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y51 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y51 TILE_X -49668 TILEPROP CLBLM_L_X10Y51 TILE_Y -75200 TILEPROP CLBLM_L_X10Y51 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y52 CLASS tile TILEPROP CLBLM_L_X10Y52 COLUMN 30 TILEPROP CLBLM_L_X10Y52 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y52 FIRST_SITE_ID 10135 TILEPROP CLBLM_L_X10Y52 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y52 GRID_POINT_Y 101 TILEPROP CLBLM_L_X10Y52 INDEX 11645 TILEPROP CLBLM_L_X10Y52 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y52 INT_TILE_Y 97 TILEPROP CLBLM_L_X10Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y52 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y52 NAME CLBLM_L_X10Y52 TILEPROP CLBLM_L_X10Y52 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y52 NUM_SITES 2 TILEPROP CLBLM_L_X10Y52 ROW 101 TILEPROP CLBLM_L_X10Y52 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y52 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y52 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y52 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y52 TILE_X -49668 TILEPROP CLBLM_L_X10Y52 TILE_Y -72000 TILEPROP CLBLM_L_X10Y52 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y53 CLASS tile TILEPROP CLBLM_L_X10Y53 COLUMN 30 TILEPROP CLBLM_L_X10Y53 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y53 FIRST_SITE_ID 10035 TILEPROP CLBLM_L_X10Y53 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y53 GRID_POINT_Y 100 TILEPROP CLBLM_L_X10Y53 INDEX 11530 TILEPROP CLBLM_L_X10Y53 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y53 INT_TILE_Y 96 TILEPROP CLBLM_L_X10Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y53 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y53 NAME CLBLM_L_X10Y53 TILEPROP CLBLM_L_X10Y53 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y53 NUM_SITES 2 TILEPROP CLBLM_L_X10Y53 ROW 100 TILEPROP CLBLM_L_X10Y53 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y53 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y53 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y53 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y53 TILE_X -49668 TILEPROP CLBLM_L_X10Y53 TILE_Y -68800 TILEPROP CLBLM_L_X10Y53 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y54 CLASS tile TILEPROP CLBLM_L_X10Y54 COLUMN 30 TILEPROP CLBLM_L_X10Y54 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y54 FIRST_SITE_ID 9935 TILEPROP CLBLM_L_X10Y54 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y54 GRID_POINT_Y 99 TILEPROP CLBLM_L_X10Y54 INDEX 11415 TILEPROP CLBLM_L_X10Y54 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y54 INT_TILE_Y 95 TILEPROP CLBLM_L_X10Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y54 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y54 NAME CLBLM_L_X10Y54 TILEPROP CLBLM_L_X10Y54 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y54 NUM_SITES 2 TILEPROP CLBLM_L_X10Y54 ROW 99 TILEPROP CLBLM_L_X10Y54 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y54 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y54 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y54 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y54 TILE_X -49668 TILEPROP CLBLM_L_X10Y54 TILE_Y -65600 TILEPROP CLBLM_L_X10Y54 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y55 CLASS tile TILEPROP CLBLM_L_X10Y55 COLUMN 30 TILEPROP CLBLM_L_X10Y55 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y55 FIRST_SITE_ID 9826 TILEPROP CLBLM_L_X10Y55 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y55 GRID_POINT_Y 98 TILEPROP CLBLM_L_X10Y55 INDEX 11300 TILEPROP CLBLM_L_X10Y55 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y55 INT_TILE_Y 94 TILEPROP CLBLM_L_X10Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y55 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y55 NAME CLBLM_L_X10Y55 TILEPROP CLBLM_L_X10Y55 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y55 NUM_SITES 2 TILEPROP CLBLM_L_X10Y55 ROW 98 TILEPROP CLBLM_L_X10Y55 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y55 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y55 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y55 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y55 TILE_X -49668 TILEPROP CLBLM_L_X10Y55 TILE_Y -62400 TILEPROP CLBLM_L_X10Y55 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y56 CLASS tile TILEPROP CLBLM_L_X10Y56 COLUMN 30 TILEPROP CLBLM_L_X10Y56 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y56 FIRST_SITE_ID 9720 TILEPROP CLBLM_L_X10Y56 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y56 GRID_POINT_Y 97 TILEPROP CLBLM_L_X10Y56 INDEX 11185 TILEPROP CLBLM_L_X10Y56 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y56 INT_TILE_Y 93 TILEPROP CLBLM_L_X10Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y56 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y56 NAME CLBLM_L_X10Y56 TILEPROP CLBLM_L_X10Y56 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y56 NUM_SITES 2 TILEPROP CLBLM_L_X10Y56 ROW 97 TILEPROP CLBLM_L_X10Y56 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y56 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y56 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y56 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y56 TILE_X -49668 TILEPROP CLBLM_L_X10Y56 TILE_Y -59200 TILEPROP CLBLM_L_X10Y56 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y57 CLASS tile TILEPROP CLBLM_L_X10Y57 COLUMN 30 TILEPROP CLBLM_L_X10Y57 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y57 FIRST_SITE_ID 9618 TILEPROP CLBLM_L_X10Y57 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y57 GRID_POINT_Y 96 TILEPROP CLBLM_L_X10Y57 INDEX 11070 TILEPROP CLBLM_L_X10Y57 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y57 INT_TILE_Y 92 TILEPROP CLBLM_L_X10Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y57 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y57 NAME CLBLM_L_X10Y57 TILEPROP CLBLM_L_X10Y57 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y57 NUM_SITES 2 TILEPROP CLBLM_L_X10Y57 ROW 96 TILEPROP CLBLM_L_X10Y57 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y57 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y57 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y57 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y57 TILE_X -49668 TILEPROP CLBLM_L_X10Y57 TILE_Y -56000 TILEPROP CLBLM_L_X10Y57 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y58 CLASS tile TILEPROP CLBLM_L_X10Y58 COLUMN 30 TILEPROP CLBLM_L_X10Y58 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y58 FIRST_SITE_ID 9515 TILEPROP CLBLM_L_X10Y58 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y58 GRID_POINT_Y 95 TILEPROP CLBLM_L_X10Y58 INDEX 10955 TILEPROP CLBLM_L_X10Y58 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y58 INT_TILE_Y 91 TILEPROP CLBLM_L_X10Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y58 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y58 NAME CLBLM_L_X10Y58 TILEPROP CLBLM_L_X10Y58 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y58 NUM_SITES 2 TILEPROP CLBLM_L_X10Y58 ROW 95 TILEPROP CLBLM_L_X10Y58 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y58 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y58 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y58 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y58 TILE_X -49668 TILEPROP CLBLM_L_X10Y58 TILE_Y -52800 TILEPROP CLBLM_L_X10Y58 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y59 CLASS tile TILEPROP CLBLM_L_X10Y59 COLUMN 30 TILEPROP CLBLM_L_X10Y59 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y59 FIRST_SITE_ID 9414 TILEPROP CLBLM_L_X10Y59 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y59 GRID_POINT_Y 94 TILEPROP CLBLM_L_X10Y59 INDEX 10840 TILEPROP CLBLM_L_X10Y59 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y59 INT_TILE_Y 90 TILEPROP CLBLM_L_X10Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y59 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y59 NAME CLBLM_L_X10Y59 TILEPROP CLBLM_L_X10Y59 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y59 NUM_SITES 2 TILEPROP CLBLM_L_X10Y59 ROW 94 TILEPROP CLBLM_L_X10Y59 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y59 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y59 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y59 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y59 TILE_X -49668 TILEPROP CLBLM_L_X10Y59 TILE_Y -49600 TILEPROP CLBLM_L_X10Y59 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y60 CLASS tile TILEPROP CLBLM_L_X10Y60 COLUMN 30 TILEPROP CLBLM_L_X10Y60 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y60 FIRST_SITE_ID 9305 TILEPROP CLBLM_L_X10Y60 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y60 GRID_POINT_Y 93 TILEPROP CLBLM_L_X10Y60 INDEX 10725 TILEPROP CLBLM_L_X10Y60 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y60 INT_TILE_Y 89 TILEPROP CLBLM_L_X10Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y60 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y60 NAME CLBLM_L_X10Y60 TILEPROP CLBLM_L_X10Y60 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y60 NUM_SITES 2 TILEPROP CLBLM_L_X10Y60 ROW 93 TILEPROP CLBLM_L_X10Y60 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y60 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y60 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y60 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y60 TILE_X -49668 TILEPROP CLBLM_L_X10Y60 TILE_Y -46400 TILEPROP CLBLM_L_X10Y60 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y61 CLASS tile TILEPROP CLBLM_L_X10Y61 COLUMN 30 TILEPROP CLBLM_L_X10Y61 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y61 FIRST_SITE_ID 9199 TILEPROP CLBLM_L_X10Y61 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y61 GRID_POINT_Y 92 TILEPROP CLBLM_L_X10Y61 INDEX 10610 TILEPROP CLBLM_L_X10Y61 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y61 INT_TILE_Y 88 TILEPROP CLBLM_L_X10Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y61 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y61 NAME CLBLM_L_X10Y61 TILEPROP CLBLM_L_X10Y61 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y61 NUM_SITES 2 TILEPROP CLBLM_L_X10Y61 ROW 92 TILEPROP CLBLM_L_X10Y61 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y61 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y61 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y61 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y61 TILE_X -49668 TILEPROP CLBLM_L_X10Y61 TILE_Y -43200 TILEPROP CLBLM_L_X10Y61 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y62 CLASS tile TILEPROP CLBLM_L_X10Y62 COLUMN 30 TILEPROP CLBLM_L_X10Y62 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y62 FIRST_SITE_ID 9067 TILEPROP CLBLM_L_X10Y62 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y62 GRID_POINT_Y 91 TILEPROP CLBLM_L_X10Y62 INDEX 10495 TILEPROP CLBLM_L_X10Y62 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y62 INT_TILE_Y 87 TILEPROP CLBLM_L_X10Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y62 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y62 NAME CLBLM_L_X10Y62 TILEPROP CLBLM_L_X10Y62 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y62 NUM_SITES 2 TILEPROP CLBLM_L_X10Y62 ROW 91 TILEPROP CLBLM_L_X10Y62 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y62 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y62 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y62 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y62 TILE_X -49668 TILEPROP CLBLM_L_X10Y62 TILE_Y -40000 TILEPROP CLBLM_L_X10Y62 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y63 CLASS tile TILEPROP CLBLM_L_X10Y63 COLUMN 30 TILEPROP CLBLM_L_X10Y63 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y63 FIRST_SITE_ID 8967 TILEPROP CLBLM_L_X10Y63 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y63 GRID_POINT_Y 90 TILEPROP CLBLM_L_X10Y63 INDEX 10380 TILEPROP CLBLM_L_X10Y63 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y63 INT_TILE_Y 86 TILEPROP CLBLM_L_X10Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y63 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y63 NAME CLBLM_L_X10Y63 TILEPROP CLBLM_L_X10Y63 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y63 NUM_SITES 2 TILEPROP CLBLM_L_X10Y63 ROW 90 TILEPROP CLBLM_L_X10Y63 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y63 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y63 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y63 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y63 TILE_X -49668 TILEPROP CLBLM_L_X10Y63 TILE_Y -36800 TILEPROP CLBLM_L_X10Y63 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y64 CLASS tile TILEPROP CLBLM_L_X10Y64 COLUMN 30 TILEPROP CLBLM_L_X10Y64 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y64 FIRST_SITE_ID 8867 TILEPROP CLBLM_L_X10Y64 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y64 GRID_POINT_Y 89 TILEPROP CLBLM_L_X10Y64 INDEX 10265 TILEPROP CLBLM_L_X10Y64 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y64 INT_TILE_Y 85 TILEPROP CLBLM_L_X10Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y64 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y64 NAME CLBLM_L_X10Y64 TILEPROP CLBLM_L_X10Y64 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y64 NUM_SITES 2 TILEPROP CLBLM_L_X10Y64 ROW 89 TILEPROP CLBLM_L_X10Y64 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y64 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y64 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y64 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y64 TILE_X -49668 TILEPROP CLBLM_L_X10Y64 TILE_Y -33600 TILEPROP CLBLM_L_X10Y64 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y65 CLASS tile TILEPROP CLBLM_L_X10Y65 COLUMN 30 TILEPROP CLBLM_L_X10Y65 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y65 FIRST_SITE_ID 8758 TILEPROP CLBLM_L_X10Y65 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y65 GRID_POINT_Y 88 TILEPROP CLBLM_L_X10Y65 INDEX 10150 TILEPROP CLBLM_L_X10Y65 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y65 INT_TILE_Y 84 TILEPROP CLBLM_L_X10Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y65 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y65 NAME CLBLM_L_X10Y65 TILEPROP CLBLM_L_X10Y65 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y65 NUM_SITES 2 TILEPROP CLBLM_L_X10Y65 ROW 88 TILEPROP CLBLM_L_X10Y65 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y65 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y65 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y65 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y65 TILE_X -49668 TILEPROP CLBLM_L_X10Y65 TILE_Y -30400 TILEPROP CLBLM_L_X10Y65 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y66 CLASS tile TILEPROP CLBLM_L_X10Y66 COLUMN 30 TILEPROP CLBLM_L_X10Y66 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y66 FIRST_SITE_ID 8652 TILEPROP CLBLM_L_X10Y66 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y66 GRID_POINT_Y 87 TILEPROP CLBLM_L_X10Y66 INDEX 10035 TILEPROP CLBLM_L_X10Y66 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y66 INT_TILE_Y 83 TILEPROP CLBLM_L_X10Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y66 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y66 NAME CLBLM_L_X10Y66 TILEPROP CLBLM_L_X10Y66 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y66 NUM_SITES 2 TILEPROP CLBLM_L_X10Y66 ROW 87 TILEPROP CLBLM_L_X10Y66 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y66 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y66 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y66 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y66 TILE_X -49668 TILEPROP CLBLM_L_X10Y66 TILE_Y -27200 TILEPROP CLBLM_L_X10Y66 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y67 CLASS tile TILEPROP CLBLM_L_X10Y67 COLUMN 30 TILEPROP CLBLM_L_X10Y67 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y67 FIRST_SITE_ID 8548 TILEPROP CLBLM_L_X10Y67 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y67 GRID_POINT_Y 86 TILEPROP CLBLM_L_X10Y67 INDEX 9920 TILEPROP CLBLM_L_X10Y67 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y67 INT_TILE_Y 82 TILEPROP CLBLM_L_X10Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y67 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y67 NAME CLBLM_L_X10Y67 TILEPROP CLBLM_L_X10Y67 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y67 NUM_SITES 2 TILEPROP CLBLM_L_X10Y67 ROW 86 TILEPROP CLBLM_L_X10Y67 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y67 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y67 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y67 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y67 TILE_X -49668 TILEPROP CLBLM_L_X10Y67 TILE_Y -24000 TILEPROP CLBLM_L_X10Y67 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y68 CLASS tile TILEPROP CLBLM_L_X10Y68 COLUMN 30 TILEPROP CLBLM_L_X10Y68 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y68 FIRST_SITE_ID 8444 TILEPROP CLBLM_L_X10Y68 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y68 GRID_POINT_Y 85 TILEPROP CLBLM_L_X10Y68 INDEX 9805 TILEPROP CLBLM_L_X10Y68 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y68 INT_TILE_Y 81 TILEPROP CLBLM_L_X10Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y68 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y68 NAME CLBLM_L_X10Y68 TILEPROP CLBLM_L_X10Y68 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y68 NUM_SITES 2 TILEPROP CLBLM_L_X10Y68 ROW 85 TILEPROP CLBLM_L_X10Y68 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y68 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y68 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y68 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y68 TILE_X -49668 TILEPROP CLBLM_L_X10Y68 TILE_Y -20800 TILEPROP CLBLM_L_X10Y68 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y69 CLASS tile TILEPROP CLBLM_L_X10Y69 COLUMN 30 TILEPROP CLBLM_L_X10Y69 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y69 FIRST_SITE_ID 8342 TILEPROP CLBLM_L_X10Y69 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y69 GRID_POINT_Y 84 TILEPROP CLBLM_L_X10Y69 INDEX 9690 TILEPROP CLBLM_L_X10Y69 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y69 INT_TILE_Y 80 TILEPROP CLBLM_L_X10Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y69 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y69 NAME CLBLM_L_X10Y69 TILEPROP CLBLM_L_X10Y69 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y69 NUM_SITES 2 TILEPROP CLBLM_L_X10Y69 ROW 84 TILEPROP CLBLM_L_X10Y69 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y69 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y69 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y69 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y69 TILE_X -49668 TILEPROP CLBLM_L_X10Y69 TILE_Y -17600 TILEPROP CLBLM_L_X10Y69 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y70 CLASS tile TILEPROP CLBLM_L_X10Y70 COLUMN 30 TILEPROP CLBLM_L_X10Y70 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y70 FIRST_SITE_ID 8231 TILEPROP CLBLM_L_X10Y70 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y70 GRID_POINT_Y 83 TILEPROP CLBLM_L_X10Y70 INDEX 9575 TILEPROP CLBLM_L_X10Y70 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y70 INT_TILE_Y 79 TILEPROP CLBLM_L_X10Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y70 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y70 NAME CLBLM_L_X10Y70 TILEPROP CLBLM_L_X10Y70 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y70 NUM_SITES 2 TILEPROP CLBLM_L_X10Y70 ROW 83 TILEPROP CLBLM_L_X10Y70 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y70 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y70 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y70 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y70 TILE_X -49668 TILEPROP CLBLM_L_X10Y70 TILE_Y -14400 TILEPROP CLBLM_L_X10Y70 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y71 CLASS tile TILEPROP CLBLM_L_X10Y71 COLUMN 30 TILEPROP CLBLM_L_X10Y71 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y71 FIRST_SITE_ID 8125 TILEPROP CLBLM_L_X10Y71 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y71 GRID_POINT_Y 82 TILEPROP CLBLM_L_X10Y71 INDEX 9460 TILEPROP CLBLM_L_X10Y71 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y71 INT_TILE_Y 78 TILEPROP CLBLM_L_X10Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y71 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y71 NAME CLBLM_L_X10Y71 TILEPROP CLBLM_L_X10Y71 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y71 NUM_SITES 2 TILEPROP CLBLM_L_X10Y71 ROW 82 TILEPROP CLBLM_L_X10Y71 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y71 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y71 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y71 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y71 TILE_X -49668 TILEPROP CLBLM_L_X10Y71 TILE_Y -11200 TILEPROP CLBLM_L_X10Y71 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y72 CLASS tile TILEPROP CLBLM_L_X10Y72 COLUMN 30 TILEPROP CLBLM_L_X10Y72 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y72 FIRST_SITE_ID 8025 TILEPROP CLBLM_L_X10Y72 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y72 GRID_POINT_Y 81 TILEPROP CLBLM_L_X10Y72 INDEX 9345 TILEPROP CLBLM_L_X10Y72 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y72 INT_TILE_Y 77 TILEPROP CLBLM_L_X10Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y72 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y72 NAME CLBLM_L_X10Y72 TILEPROP CLBLM_L_X10Y72 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y72 NUM_SITES 2 TILEPROP CLBLM_L_X10Y72 ROW 81 TILEPROP CLBLM_L_X10Y72 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y72 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y72 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y72 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y72 TILE_X -49668 TILEPROP CLBLM_L_X10Y72 TILE_Y -8000 TILEPROP CLBLM_L_X10Y72 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y73 CLASS tile TILEPROP CLBLM_L_X10Y73 COLUMN 30 TILEPROP CLBLM_L_X10Y73 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y73 FIRST_SITE_ID 7925 TILEPROP CLBLM_L_X10Y73 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y73 GRID_POINT_Y 80 TILEPROP CLBLM_L_X10Y73 INDEX 9230 TILEPROP CLBLM_L_X10Y73 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y73 INT_TILE_Y 76 TILEPROP CLBLM_L_X10Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y73 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y73 NAME CLBLM_L_X10Y73 TILEPROP CLBLM_L_X10Y73 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y73 NUM_SITES 2 TILEPROP CLBLM_L_X10Y73 ROW 80 TILEPROP CLBLM_L_X10Y73 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y73 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y73 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y73 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y73 TILE_X -49668 TILEPROP CLBLM_L_X10Y73 TILE_Y -4800 TILEPROP CLBLM_L_X10Y73 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y74 CLASS tile TILEPROP CLBLM_L_X10Y74 COLUMN 30 TILEPROP CLBLM_L_X10Y74 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y74 FIRST_SITE_ID 7825 TILEPROP CLBLM_L_X10Y74 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y74 GRID_POINT_Y 79 TILEPROP CLBLM_L_X10Y74 INDEX 9115 TILEPROP CLBLM_L_X10Y74 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y74 INT_TILE_Y 75 TILEPROP CLBLM_L_X10Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y74 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y74 NAME CLBLM_L_X10Y74 TILEPROP CLBLM_L_X10Y74 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y74 NUM_SITES 2 TILEPROP CLBLM_L_X10Y74 ROW 79 TILEPROP CLBLM_L_X10Y74 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y74 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X10Y74 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y74 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y74 TILE_X -49668 TILEPROP CLBLM_L_X10Y74 TILE_Y -1600 TILEPROP CLBLM_L_X10Y74 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y75 CLASS tile TILEPROP CLBLM_L_X10Y75 COLUMN 30 TILEPROP CLBLM_L_X10Y75 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y75 FIRST_SITE_ID 7630 TILEPROP CLBLM_L_X10Y75 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y75 GRID_POINT_Y 77 TILEPROP CLBLM_L_X10Y75 INDEX 8885 TILEPROP CLBLM_L_X10Y75 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y75 INT_TILE_Y 74 TILEPROP CLBLM_L_X10Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y75 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y75 NAME CLBLM_L_X10Y75 TILEPROP CLBLM_L_X10Y75 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y75 NUM_SITES 2 TILEPROP CLBLM_L_X10Y75 ROW 77 TILEPROP CLBLM_L_X10Y75 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y75 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X10Y75 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y75 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y75 TILE_X -49668 TILEPROP CLBLM_L_X10Y75 TILE_Y 2624 TILEPROP CLBLM_L_X10Y75 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y76 CLASS tile TILEPROP CLBLM_L_X10Y76 COLUMN 30 TILEPROP CLBLM_L_X10Y76 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y76 FIRST_SITE_ID 7524 TILEPROP CLBLM_L_X10Y76 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y76 GRID_POINT_Y 76 TILEPROP CLBLM_L_X10Y76 INDEX 8770 TILEPROP CLBLM_L_X10Y76 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y76 INT_TILE_Y 73 TILEPROP CLBLM_L_X10Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y76 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y76 NAME CLBLM_L_X10Y76 TILEPROP CLBLM_L_X10Y76 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y76 NUM_SITES 2 TILEPROP CLBLM_L_X10Y76 ROW 76 TILEPROP CLBLM_L_X10Y76 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y76 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y76 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y76 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y76 TILE_X -49668 TILEPROP CLBLM_L_X10Y76 TILE_Y 5824 TILEPROP CLBLM_L_X10Y76 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y77 CLASS tile TILEPROP CLBLM_L_X10Y77 COLUMN 30 TILEPROP CLBLM_L_X10Y77 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y77 FIRST_SITE_ID 7424 TILEPROP CLBLM_L_X10Y77 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y77 GRID_POINT_Y 75 TILEPROP CLBLM_L_X10Y77 INDEX 8655 TILEPROP CLBLM_L_X10Y77 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y77 INT_TILE_Y 72 TILEPROP CLBLM_L_X10Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y77 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y77 NAME CLBLM_L_X10Y77 TILEPROP CLBLM_L_X10Y77 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y77 NUM_SITES 2 TILEPROP CLBLM_L_X10Y77 ROW 75 TILEPROP CLBLM_L_X10Y77 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y77 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y77 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y77 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y77 TILE_X -49668 TILEPROP CLBLM_L_X10Y77 TILE_Y 9024 TILEPROP CLBLM_L_X10Y77 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y78 CLASS tile TILEPROP CLBLM_L_X10Y78 COLUMN 30 TILEPROP CLBLM_L_X10Y78 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y78 FIRST_SITE_ID 7324 TILEPROP CLBLM_L_X10Y78 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y78 GRID_POINT_Y 74 TILEPROP CLBLM_L_X10Y78 INDEX 8540 TILEPROP CLBLM_L_X10Y78 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y78 INT_TILE_Y 71 TILEPROP CLBLM_L_X10Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y78 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y78 NAME CLBLM_L_X10Y78 TILEPROP CLBLM_L_X10Y78 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y78 NUM_SITES 2 TILEPROP CLBLM_L_X10Y78 ROW 74 TILEPROP CLBLM_L_X10Y78 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y78 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y78 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y78 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y78 TILE_X -49668 TILEPROP CLBLM_L_X10Y78 TILE_Y 12224 TILEPROP CLBLM_L_X10Y78 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y79 CLASS tile TILEPROP CLBLM_L_X10Y79 COLUMN 30 TILEPROP CLBLM_L_X10Y79 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y79 FIRST_SITE_ID 7218 TILEPROP CLBLM_L_X10Y79 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y79 GRID_POINT_Y 73 TILEPROP CLBLM_L_X10Y79 INDEX 8425 TILEPROP CLBLM_L_X10Y79 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y79 INT_TILE_Y 70 TILEPROP CLBLM_L_X10Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y79 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y79 NAME CLBLM_L_X10Y79 TILEPROP CLBLM_L_X10Y79 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y79 NUM_SITES 2 TILEPROP CLBLM_L_X10Y79 ROW 73 TILEPROP CLBLM_L_X10Y79 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y79 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y79 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y79 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y79 TILE_X -49668 TILEPROP CLBLM_L_X10Y79 TILE_Y 15424 TILEPROP CLBLM_L_X10Y79 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y80 CLASS tile TILEPROP CLBLM_L_X10Y80 COLUMN 30 TILEPROP CLBLM_L_X10Y80 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y80 FIRST_SITE_ID 7103 TILEPROP CLBLM_L_X10Y80 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y80 GRID_POINT_Y 72 TILEPROP CLBLM_L_X10Y80 INDEX 8310 TILEPROP CLBLM_L_X10Y80 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y80 INT_TILE_Y 69 TILEPROP CLBLM_L_X10Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y80 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y80 NAME CLBLM_L_X10Y80 TILEPROP CLBLM_L_X10Y80 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y80 NUM_SITES 2 TILEPROP CLBLM_L_X10Y80 ROW 72 TILEPROP CLBLM_L_X10Y80 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y80 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y80 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y80 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y80 TILE_X -49668 TILEPROP CLBLM_L_X10Y80 TILE_Y 18624 TILEPROP CLBLM_L_X10Y80 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y81 CLASS tile TILEPROP CLBLM_L_X10Y81 COLUMN 30 TILEPROP CLBLM_L_X10Y81 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y81 FIRST_SITE_ID 6995 TILEPROP CLBLM_L_X10Y81 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y81 GRID_POINT_Y 71 TILEPROP CLBLM_L_X10Y81 INDEX 8195 TILEPROP CLBLM_L_X10Y81 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y81 INT_TILE_Y 68 TILEPROP CLBLM_L_X10Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y81 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y81 NAME CLBLM_L_X10Y81 TILEPROP CLBLM_L_X10Y81 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y81 NUM_SITES 2 TILEPROP CLBLM_L_X10Y81 ROW 71 TILEPROP CLBLM_L_X10Y81 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y81 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y81 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y81 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y81 TILE_X -49668 TILEPROP CLBLM_L_X10Y81 TILE_Y 21824 TILEPROP CLBLM_L_X10Y81 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y82 CLASS tile TILEPROP CLBLM_L_X10Y82 COLUMN 30 TILEPROP CLBLM_L_X10Y82 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y82 FIRST_SITE_ID 6893 TILEPROP CLBLM_L_X10Y82 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y82 GRID_POINT_Y 70 TILEPROP CLBLM_L_X10Y82 INDEX 8080 TILEPROP CLBLM_L_X10Y82 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y82 INT_TILE_Y 67 TILEPROP CLBLM_L_X10Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y82 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y82 NAME CLBLM_L_X10Y82 TILEPROP CLBLM_L_X10Y82 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y82 NUM_SITES 2 TILEPROP CLBLM_L_X10Y82 ROW 70 TILEPROP CLBLM_L_X10Y82 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y82 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y82 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y82 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y82 TILE_X -49668 TILEPROP CLBLM_L_X10Y82 TILE_Y 25024 TILEPROP CLBLM_L_X10Y82 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y83 CLASS tile TILEPROP CLBLM_L_X10Y83 COLUMN 30 TILEPROP CLBLM_L_X10Y83 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y83 FIRST_SITE_ID 6793 TILEPROP CLBLM_L_X10Y83 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y83 GRID_POINT_Y 69 TILEPROP CLBLM_L_X10Y83 INDEX 7965 TILEPROP CLBLM_L_X10Y83 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y83 INT_TILE_Y 66 TILEPROP CLBLM_L_X10Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y83 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y83 NAME CLBLM_L_X10Y83 TILEPROP CLBLM_L_X10Y83 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y83 NUM_SITES 2 TILEPROP CLBLM_L_X10Y83 ROW 69 TILEPROP CLBLM_L_X10Y83 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y83 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y83 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y83 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y83 TILE_X -49668 TILEPROP CLBLM_L_X10Y83 TILE_Y 28224 TILEPROP CLBLM_L_X10Y83 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y84 CLASS tile TILEPROP CLBLM_L_X10Y84 COLUMN 30 TILEPROP CLBLM_L_X10Y84 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y84 FIRST_SITE_ID 6693 TILEPROP CLBLM_L_X10Y84 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y84 GRID_POINT_Y 68 TILEPROP CLBLM_L_X10Y84 INDEX 7850 TILEPROP CLBLM_L_X10Y84 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y84 INT_TILE_Y 65 TILEPROP CLBLM_L_X10Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y84 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y84 NAME CLBLM_L_X10Y84 TILEPROP CLBLM_L_X10Y84 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y84 NUM_SITES 2 TILEPROP CLBLM_L_X10Y84 ROW 68 TILEPROP CLBLM_L_X10Y84 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y84 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y84 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y84 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y84 TILE_X -49668 TILEPROP CLBLM_L_X10Y84 TILE_Y 31424 TILEPROP CLBLM_L_X10Y84 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y85 CLASS tile TILEPROP CLBLM_L_X10Y85 COLUMN 30 TILEPROP CLBLM_L_X10Y85 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y85 FIRST_SITE_ID 6584 TILEPROP CLBLM_L_X10Y85 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y85 GRID_POINT_Y 67 TILEPROP CLBLM_L_X10Y85 INDEX 7735 TILEPROP CLBLM_L_X10Y85 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y85 INT_TILE_Y 64 TILEPROP CLBLM_L_X10Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y85 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y85 NAME CLBLM_L_X10Y85 TILEPROP CLBLM_L_X10Y85 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y85 NUM_SITES 2 TILEPROP CLBLM_L_X10Y85 ROW 67 TILEPROP CLBLM_L_X10Y85 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y85 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y85 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y85 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y85 TILE_X -49668 TILEPROP CLBLM_L_X10Y85 TILE_Y 34624 TILEPROP CLBLM_L_X10Y85 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y86 CLASS tile TILEPROP CLBLM_L_X10Y86 COLUMN 30 TILEPROP CLBLM_L_X10Y86 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y86 FIRST_SITE_ID 6446 TILEPROP CLBLM_L_X10Y86 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y86 GRID_POINT_Y 66 TILEPROP CLBLM_L_X10Y86 INDEX 7620 TILEPROP CLBLM_L_X10Y86 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y86 INT_TILE_Y 63 TILEPROP CLBLM_L_X10Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y86 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y86 NAME CLBLM_L_X10Y86 TILEPROP CLBLM_L_X10Y86 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y86 NUM_SITES 2 TILEPROP CLBLM_L_X10Y86 ROW 66 TILEPROP CLBLM_L_X10Y86 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y86 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y86 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y86 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y86 TILE_X -49668 TILEPROP CLBLM_L_X10Y86 TILE_Y 37824 TILEPROP CLBLM_L_X10Y86 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y87 CLASS tile TILEPROP CLBLM_L_X10Y87 COLUMN 30 TILEPROP CLBLM_L_X10Y87 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y87 FIRST_SITE_ID 6346 TILEPROP CLBLM_L_X10Y87 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y87 GRID_POINT_Y 65 TILEPROP CLBLM_L_X10Y87 INDEX 7505 TILEPROP CLBLM_L_X10Y87 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y87 INT_TILE_Y 62 TILEPROP CLBLM_L_X10Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y87 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y87 NAME CLBLM_L_X10Y87 TILEPROP CLBLM_L_X10Y87 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y87 NUM_SITES 2 TILEPROP CLBLM_L_X10Y87 ROW 65 TILEPROP CLBLM_L_X10Y87 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y87 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y87 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y87 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y87 TILE_X -49668 TILEPROP CLBLM_L_X10Y87 TILE_Y 41024 TILEPROP CLBLM_L_X10Y87 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y88 CLASS tile TILEPROP CLBLM_L_X10Y88 COLUMN 30 TILEPROP CLBLM_L_X10Y88 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y88 FIRST_SITE_ID 6246 TILEPROP CLBLM_L_X10Y88 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y88 GRID_POINT_Y 64 TILEPROP CLBLM_L_X10Y88 INDEX 7390 TILEPROP CLBLM_L_X10Y88 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y88 INT_TILE_Y 61 TILEPROP CLBLM_L_X10Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y88 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y88 NAME CLBLM_L_X10Y88 TILEPROP CLBLM_L_X10Y88 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y88 NUM_SITES 2 TILEPROP CLBLM_L_X10Y88 ROW 64 TILEPROP CLBLM_L_X10Y88 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y88 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y88 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y88 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y88 TILE_X -49668 TILEPROP CLBLM_L_X10Y88 TILE_Y 44224 TILEPROP CLBLM_L_X10Y88 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y89 CLASS tile TILEPROP CLBLM_L_X10Y89 COLUMN 30 TILEPROP CLBLM_L_X10Y89 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y89 FIRST_SITE_ID 6146 TILEPROP CLBLM_L_X10Y89 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y89 GRID_POINT_Y 63 TILEPROP CLBLM_L_X10Y89 INDEX 7275 TILEPROP CLBLM_L_X10Y89 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y89 INT_TILE_Y 60 TILEPROP CLBLM_L_X10Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y89 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y89 NAME CLBLM_L_X10Y89 TILEPROP CLBLM_L_X10Y89 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y89 NUM_SITES 2 TILEPROP CLBLM_L_X10Y89 ROW 63 TILEPROP CLBLM_L_X10Y89 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y89 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y89 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y89 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y89 TILE_X -49668 TILEPROP CLBLM_L_X10Y89 TILE_Y 47424 TILEPROP CLBLM_L_X10Y89 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y90 CLASS tile TILEPROP CLBLM_L_X10Y90 COLUMN 30 TILEPROP CLBLM_L_X10Y90 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y90 FIRST_SITE_ID 6037 TILEPROP CLBLM_L_X10Y90 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y90 GRID_POINT_Y 62 TILEPROP CLBLM_L_X10Y90 INDEX 7160 TILEPROP CLBLM_L_X10Y90 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y90 INT_TILE_Y 59 TILEPROP CLBLM_L_X10Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y90 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y90 NAME CLBLM_L_X10Y90 TILEPROP CLBLM_L_X10Y90 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y90 NUM_SITES 2 TILEPROP CLBLM_L_X10Y90 ROW 62 TILEPROP CLBLM_L_X10Y90 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y90 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y90 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y90 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y90 TILE_X -49668 TILEPROP CLBLM_L_X10Y90 TILE_Y 50624 TILEPROP CLBLM_L_X10Y90 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y91 CLASS tile TILEPROP CLBLM_L_X10Y91 COLUMN 30 TILEPROP CLBLM_L_X10Y91 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y91 FIRST_SITE_ID 5931 TILEPROP CLBLM_L_X10Y91 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y91 GRID_POINT_Y 61 TILEPROP CLBLM_L_X10Y91 INDEX 7045 TILEPROP CLBLM_L_X10Y91 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y91 INT_TILE_Y 58 TILEPROP CLBLM_L_X10Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y91 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y91 NAME CLBLM_L_X10Y91 TILEPROP CLBLM_L_X10Y91 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y91 NUM_SITES 2 TILEPROP CLBLM_L_X10Y91 ROW 61 TILEPROP CLBLM_L_X10Y91 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y91 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y91 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y91 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y91 TILE_X -49668 TILEPROP CLBLM_L_X10Y91 TILE_Y 53824 TILEPROP CLBLM_L_X10Y91 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y92 CLASS tile TILEPROP CLBLM_L_X10Y92 COLUMN 30 TILEPROP CLBLM_L_X10Y92 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y92 FIRST_SITE_ID 5830 TILEPROP CLBLM_L_X10Y92 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y92 GRID_POINT_Y 60 TILEPROP CLBLM_L_X10Y92 INDEX 6930 TILEPROP CLBLM_L_X10Y92 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y92 INT_TILE_Y 57 TILEPROP CLBLM_L_X10Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y92 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y92 NAME CLBLM_L_X10Y92 TILEPROP CLBLM_L_X10Y92 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y92 NUM_SITES 2 TILEPROP CLBLM_L_X10Y92 ROW 60 TILEPROP CLBLM_L_X10Y92 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y92 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y92 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y92 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y92 TILE_X -49668 TILEPROP CLBLM_L_X10Y92 TILE_Y 57024 TILEPROP CLBLM_L_X10Y92 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y93 CLASS tile TILEPROP CLBLM_L_X10Y93 COLUMN 30 TILEPROP CLBLM_L_X10Y93 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y93 FIRST_SITE_ID 5727 TILEPROP CLBLM_L_X10Y93 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y93 GRID_POINT_Y 59 TILEPROP CLBLM_L_X10Y93 INDEX 6815 TILEPROP CLBLM_L_X10Y93 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y93 INT_TILE_Y 56 TILEPROP CLBLM_L_X10Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y93 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y93 NAME CLBLM_L_X10Y93 TILEPROP CLBLM_L_X10Y93 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y93 NUM_SITES 2 TILEPROP CLBLM_L_X10Y93 ROW 59 TILEPROP CLBLM_L_X10Y93 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y93 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y93 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y93 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y93 TILE_X -49668 TILEPROP CLBLM_L_X10Y93 TILE_Y 60224 TILEPROP CLBLM_L_X10Y93 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y94 CLASS tile TILEPROP CLBLM_L_X10Y94 COLUMN 30 TILEPROP CLBLM_L_X10Y94 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y94 FIRST_SITE_ID 5625 TILEPROP CLBLM_L_X10Y94 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y94 GRID_POINT_Y 58 TILEPROP CLBLM_L_X10Y94 INDEX 6700 TILEPROP CLBLM_L_X10Y94 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y94 INT_TILE_Y 55 TILEPROP CLBLM_L_X10Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y94 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y94 NAME CLBLM_L_X10Y94 TILEPROP CLBLM_L_X10Y94 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y94 NUM_SITES 2 TILEPROP CLBLM_L_X10Y94 ROW 58 TILEPROP CLBLM_L_X10Y94 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y94 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y94 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y94 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y94 TILE_X -49668 TILEPROP CLBLM_L_X10Y94 TILE_Y 63424 TILEPROP CLBLM_L_X10Y94 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y95 CLASS tile TILEPROP CLBLM_L_X10Y95 COLUMN 30 TILEPROP CLBLM_L_X10Y95 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y95 FIRST_SITE_ID 5516 TILEPROP CLBLM_L_X10Y95 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y95 GRID_POINT_Y 57 TILEPROP CLBLM_L_X10Y95 INDEX 6585 TILEPROP CLBLM_L_X10Y95 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y95 INT_TILE_Y 54 TILEPROP CLBLM_L_X10Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y95 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y95 NAME CLBLM_L_X10Y95 TILEPROP CLBLM_L_X10Y95 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y95 NUM_SITES 2 TILEPROP CLBLM_L_X10Y95 ROW 57 TILEPROP CLBLM_L_X10Y95 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y95 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y95 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y95 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y95 TILE_X -49668 TILEPROP CLBLM_L_X10Y95 TILE_Y 66624 TILEPROP CLBLM_L_X10Y95 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y96 CLASS tile TILEPROP CLBLM_L_X10Y96 COLUMN 30 TILEPROP CLBLM_L_X10Y96 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y96 FIRST_SITE_ID 5410 TILEPROP CLBLM_L_X10Y96 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y96 GRID_POINT_Y 56 TILEPROP CLBLM_L_X10Y96 INDEX 6470 TILEPROP CLBLM_L_X10Y96 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y96 INT_TILE_Y 53 TILEPROP CLBLM_L_X10Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y96 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y96 NAME CLBLM_L_X10Y96 TILEPROP CLBLM_L_X10Y96 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y96 NUM_SITES 2 TILEPROP CLBLM_L_X10Y96 ROW 56 TILEPROP CLBLM_L_X10Y96 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y96 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y96 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y96 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y96 TILE_X -49668 TILEPROP CLBLM_L_X10Y96 TILE_Y 69824 TILEPROP CLBLM_L_X10Y96 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y97 CLASS tile TILEPROP CLBLM_L_X10Y97 COLUMN 30 TILEPROP CLBLM_L_X10Y97 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y97 FIRST_SITE_ID 5310 TILEPROP CLBLM_L_X10Y97 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y97 GRID_POINT_Y 55 TILEPROP CLBLM_L_X10Y97 INDEX 6355 TILEPROP CLBLM_L_X10Y97 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y97 INT_TILE_Y 52 TILEPROP CLBLM_L_X10Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y97 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y97 NAME CLBLM_L_X10Y97 TILEPROP CLBLM_L_X10Y97 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y97 NUM_SITES 2 TILEPROP CLBLM_L_X10Y97 ROW 55 TILEPROP CLBLM_L_X10Y97 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y97 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y97 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y97 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y97 TILE_X -49668 TILEPROP CLBLM_L_X10Y97 TILE_Y 73024 TILEPROP CLBLM_L_X10Y97 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y98 CLASS tile TILEPROP CLBLM_L_X10Y98 COLUMN 30 TILEPROP CLBLM_L_X10Y98 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y98 FIRST_SITE_ID 5210 TILEPROP CLBLM_L_X10Y98 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y98 GRID_POINT_Y 54 TILEPROP CLBLM_L_X10Y98 INDEX 6240 TILEPROP CLBLM_L_X10Y98 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y98 INT_TILE_Y 51 TILEPROP CLBLM_L_X10Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y98 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y98 NAME CLBLM_L_X10Y98 TILEPROP CLBLM_L_X10Y98 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y98 NUM_SITES 2 TILEPROP CLBLM_L_X10Y98 ROW 54 TILEPROP CLBLM_L_X10Y98 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y98 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y98 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y98 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y98 TILE_X -49668 TILEPROP CLBLM_L_X10Y98 TILE_Y 76224 TILEPROP CLBLM_L_X10Y98 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y99 CLASS tile TILEPROP CLBLM_L_X10Y99 COLUMN 30 TILEPROP CLBLM_L_X10Y99 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y99 FIRST_SITE_ID 5114 TILEPROP CLBLM_L_X10Y99 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y99 GRID_POINT_Y 53 TILEPROP CLBLM_L_X10Y99 INDEX 6125 TILEPROP CLBLM_L_X10Y99 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y99 INT_TILE_Y 50 TILEPROP CLBLM_L_X10Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y99 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y99 NAME CLBLM_L_X10Y99 TILEPROP CLBLM_L_X10Y99 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y99 NUM_SITES 2 TILEPROP CLBLM_L_X10Y99 ROW 53 TILEPROP CLBLM_L_X10Y99 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y99 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X10Y99 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y99 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y99 TILE_X -49668 TILEPROP CLBLM_L_X10Y99 TILE_Y 79424 TILEPROP CLBLM_L_X10Y99 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y100 CLASS tile TILEPROP CLBLM_L_X10Y100 COLUMN 30 TILEPROP CLBLM_L_X10Y100 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y100 FIRST_SITE_ID 5019 TILEPROP CLBLM_L_X10Y100 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y100 GRID_POINT_Y 51 TILEPROP CLBLM_L_X10Y100 INDEX 5895 TILEPROP CLBLM_L_X10Y100 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y100 INT_TILE_Y 49 TILEPROP CLBLM_L_X10Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y100 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y100 NAME CLBLM_L_X10Y100 TILEPROP CLBLM_L_X10Y100 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y100 NUM_SITES 2 TILEPROP CLBLM_L_X10Y100 ROW 51 TILEPROP CLBLM_L_X10Y100 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y100 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X10Y100 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y100 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y100 TILE_X -49668 TILEPROP CLBLM_L_X10Y100 TILE_Y 82872 TILEPROP CLBLM_L_X10Y100 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y101 CLASS tile TILEPROP CLBLM_L_X10Y101 COLUMN 30 TILEPROP CLBLM_L_X10Y101 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y101 FIRST_SITE_ID 4921 TILEPROP CLBLM_L_X10Y101 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y101 GRID_POINT_Y 50 TILEPROP CLBLM_L_X10Y101 INDEX 5780 TILEPROP CLBLM_L_X10Y101 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y101 INT_TILE_Y 48 TILEPROP CLBLM_L_X10Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y101 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y101 NAME CLBLM_L_X10Y101 TILEPROP CLBLM_L_X10Y101 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y101 NUM_SITES 2 TILEPROP CLBLM_L_X10Y101 ROW 50 TILEPROP CLBLM_L_X10Y101 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y101 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y101 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y101 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y101 TILE_X -49668 TILEPROP CLBLM_L_X10Y101 TILE_Y 86072 TILEPROP CLBLM_L_X10Y101 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y102 CLASS tile TILEPROP CLBLM_L_X10Y102 COLUMN 30 TILEPROP CLBLM_L_X10Y102 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y102 FIRST_SITE_ID 4825 TILEPROP CLBLM_L_X10Y102 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y102 GRID_POINT_Y 49 TILEPROP CLBLM_L_X10Y102 INDEX 5665 TILEPROP CLBLM_L_X10Y102 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y102 INT_TILE_Y 47 TILEPROP CLBLM_L_X10Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y102 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y102 NAME CLBLM_L_X10Y102 TILEPROP CLBLM_L_X10Y102 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y102 NUM_SITES 2 TILEPROP CLBLM_L_X10Y102 ROW 49 TILEPROP CLBLM_L_X10Y102 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y102 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y102 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y102 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y102 TILE_X -49668 TILEPROP CLBLM_L_X10Y102 TILE_Y 89272 TILEPROP CLBLM_L_X10Y102 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y103 CLASS tile TILEPROP CLBLM_L_X10Y103 COLUMN 30 TILEPROP CLBLM_L_X10Y103 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y103 FIRST_SITE_ID 4737 TILEPROP CLBLM_L_X10Y103 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y103 GRID_POINT_Y 48 TILEPROP CLBLM_L_X10Y103 INDEX 5550 TILEPROP CLBLM_L_X10Y103 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y103 INT_TILE_Y 46 TILEPROP CLBLM_L_X10Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y103 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y103 NAME CLBLM_L_X10Y103 TILEPROP CLBLM_L_X10Y103 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y103 NUM_SITES 2 TILEPROP CLBLM_L_X10Y103 ROW 48 TILEPROP CLBLM_L_X10Y103 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y103 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y103 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y103 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y103 TILE_X -49668 TILEPROP CLBLM_L_X10Y103 TILE_Y 92472 TILEPROP CLBLM_L_X10Y103 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y104 CLASS tile TILEPROP CLBLM_L_X10Y104 COLUMN 30 TILEPROP CLBLM_L_X10Y104 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y104 FIRST_SITE_ID 4641 TILEPROP CLBLM_L_X10Y104 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y104 GRID_POINT_Y 47 TILEPROP CLBLM_L_X10Y104 INDEX 5435 TILEPROP CLBLM_L_X10Y104 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y104 INT_TILE_Y 45 TILEPROP CLBLM_L_X10Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y104 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y104 NAME CLBLM_L_X10Y104 TILEPROP CLBLM_L_X10Y104 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y104 NUM_SITES 2 TILEPROP CLBLM_L_X10Y104 ROW 47 TILEPROP CLBLM_L_X10Y104 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y104 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y104 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y104 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y104 TILE_X -49668 TILEPROP CLBLM_L_X10Y104 TILE_Y 95672 TILEPROP CLBLM_L_X10Y104 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y105 CLASS tile TILEPROP CLBLM_L_X10Y105 COLUMN 30 TILEPROP CLBLM_L_X10Y105 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y105 FIRST_SITE_ID 4545 TILEPROP CLBLM_L_X10Y105 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y105 GRID_POINT_Y 46 TILEPROP CLBLM_L_X10Y105 INDEX 5320 TILEPROP CLBLM_L_X10Y105 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y105 INT_TILE_Y 44 TILEPROP CLBLM_L_X10Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y105 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y105 NAME CLBLM_L_X10Y105 TILEPROP CLBLM_L_X10Y105 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y105 NUM_SITES 2 TILEPROP CLBLM_L_X10Y105 ROW 46 TILEPROP CLBLM_L_X10Y105 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y105 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y105 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y105 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y105 TILE_X -49668 TILEPROP CLBLM_L_X10Y105 TILE_Y 98872 TILEPROP CLBLM_L_X10Y105 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y106 CLASS tile TILEPROP CLBLM_L_X10Y106 COLUMN 30 TILEPROP CLBLM_L_X10Y106 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y106 FIRST_SITE_ID 4443 TILEPROP CLBLM_L_X10Y106 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y106 GRID_POINT_Y 45 TILEPROP CLBLM_L_X10Y106 INDEX 5205 TILEPROP CLBLM_L_X10Y106 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y106 INT_TILE_Y 43 TILEPROP CLBLM_L_X10Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y106 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y106 NAME CLBLM_L_X10Y106 TILEPROP CLBLM_L_X10Y106 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y106 NUM_SITES 2 TILEPROP CLBLM_L_X10Y106 ROW 45 TILEPROP CLBLM_L_X10Y106 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y106 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y106 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y106 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y106 TILE_X -49668 TILEPROP CLBLM_L_X10Y106 TILE_Y 102072 TILEPROP CLBLM_L_X10Y106 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y107 CLASS tile TILEPROP CLBLM_L_X10Y107 COLUMN 30 TILEPROP CLBLM_L_X10Y107 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y107 FIRST_SITE_ID 4355 TILEPROP CLBLM_L_X10Y107 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y107 GRID_POINT_Y 44 TILEPROP CLBLM_L_X10Y107 INDEX 5090 TILEPROP CLBLM_L_X10Y107 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y107 INT_TILE_Y 42 TILEPROP CLBLM_L_X10Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y107 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y107 NAME CLBLM_L_X10Y107 TILEPROP CLBLM_L_X10Y107 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y107 NUM_SITES 2 TILEPROP CLBLM_L_X10Y107 ROW 44 TILEPROP CLBLM_L_X10Y107 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y107 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y107 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y107 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y107 TILE_X -49668 TILEPROP CLBLM_L_X10Y107 TILE_Y 105272 TILEPROP CLBLM_L_X10Y107 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y108 CLASS tile TILEPROP CLBLM_L_X10Y108 COLUMN 30 TILEPROP CLBLM_L_X10Y108 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y108 FIRST_SITE_ID 4257 TILEPROP CLBLM_L_X10Y108 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y108 GRID_POINT_Y 43 TILEPROP CLBLM_L_X10Y108 INDEX 4975 TILEPROP CLBLM_L_X10Y108 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y108 INT_TILE_Y 41 TILEPROP CLBLM_L_X10Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y108 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y108 NAME CLBLM_L_X10Y108 TILEPROP CLBLM_L_X10Y108 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y108 NUM_SITES 2 TILEPROP CLBLM_L_X10Y108 ROW 43 TILEPROP CLBLM_L_X10Y108 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y108 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y108 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y108 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y108 TILE_X -49668 TILEPROP CLBLM_L_X10Y108 TILE_Y 108472 TILEPROP CLBLM_L_X10Y108 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y109 CLASS tile TILEPROP CLBLM_L_X10Y109 COLUMN 30 TILEPROP CLBLM_L_X10Y109 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y109 FIRST_SITE_ID 4168 TILEPROP CLBLM_L_X10Y109 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y109 GRID_POINT_Y 42 TILEPROP CLBLM_L_X10Y109 INDEX 4860 TILEPROP CLBLM_L_X10Y109 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y109 INT_TILE_Y 40 TILEPROP CLBLM_L_X10Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y109 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y109 NAME CLBLM_L_X10Y109 TILEPROP CLBLM_L_X10Y109 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y109 NUM_SITES 2 TILEPROP CLBLM_L_X10Y109 ROW 42 TILEPROP CLBLM_L_X10Y109 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y109 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y109 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y109 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y109 TILE_X -49668 TILEPROP CLBLM_L_X10Y109 TILE_Y 111672 TILEPROP CLBLM_L_X10Y109 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y110 CLASS tile TILEPROP CLBLM_L_X10Y110 COLUMN 30 TILEPROP CLBLM_L_X10Y110 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y110 FIRST_SITE_ID 4068 TILEPROP CLBLM_L_X10Y110 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y110 GRID_POINT_Y 41 TILEPROP CLBLM_L_X10Y110 INDEX 4745 TILEPROP CLBLM_L_X10Y110 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y110 INT_TILE_Y 39 TILEPROP CLBLM_L_X10Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y110 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y110 NAME CLBLM_L_X10Y110 TILEPROP CLBLM_L_X10Y110 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y110 NUM_SITES 2 TILEPROP CLBLM_L_X10Y110 ROW 41 TILEPROP CLBLM_L_X10Y110 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y110 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y110 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y110 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y110 TILE_X -49668 TILEPROP CLBLM_L_X10Y110 TILE_Y 114872 TILEPROP CLBLM_L_X10Y110 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y111 CLASS tile TILEPROP CLBLM_L_X10Y111 COLUMN 30 TILEPROP CLBLM_L_X10Y111 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y111 FIRST_SITE_ID 3974 TILEPROP CLBLM_L_X10Y111 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y111 GRID_POINT_Y 40 TILEPROP CLBLM_L_X10Y111 INDEX 4630 TILEPROP CLBLM_L_X10Y111 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y111 INT_TILE_Y 38 TILEPROP CLBLM_L_X10Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y111 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y111 NAME CLBLM_L_X10Y111 TILEPROP CLBLM_L_X10Y111 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y111 NUM_SITES 2 TILEPROP CLBLM_L_X10Y111 ROW 40 TILEPROP CLBLM_L_X10Y111 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y111 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y111 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y111 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y111 TILE_X -49668 TILEPROP CLBLM_L_X10Y111 TILE_Y 118072 TILEPROP CLBLM_L_X10Y111 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y112 CLASS tile TILEPROP CLBLM_L_X10Y112 COLUMN 30 TILEPROP CLBLM_L_X10Y112 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y112 FIRST_SITE_ID 3846 TILEPROP CLBLM_L_X10Y112 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y112 GRID_POINT_Y 39 TILEPROP CLBLM_L_X10Y112 INDEX 4515 TILEPROP CLBLM_L_X10Y112 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y112 INT_TILE_Y 37 TILEPROP CLBLM_L_X10Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y112 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y112 NAME CLBLM_L_X10Y112 TILEPROP CLBLM_L_X10Y112 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y112 NUM_SITES 2 TILEPROP CLBLM_L_X10Y112 ROW 39 TILEPROP CLBLM_L_X10Y112 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y112 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y112 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y112 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y112 TILE_X -49668 TILEPROP CLBLM_L_X10Y112 TILE_Y 121272 TILEPROP CLBLM_L_X10Y112 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y113 CLASS tile TILEPROP CLBLM_L_X10Y113 COLUMN 30 TILEPROP CLBLM_L_X10Y113 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y113 FIRST_SITE_ID 3758 TILEPROP CLBLM_L_X10Y113 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y113 GRID_POINT_Y 38 TILEPROP CLBLM_L_X10Y113 INDEX 4400 TILEPROP CLBLM_L_X10Y113 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y113 INT_TILE_Y 36 TILEPROP CLBLM_L_X10Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y113 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y113 NAME CLBLM_L_X10Y113 TILEPROP CLBLM_L_X10Y113 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y113 NUM_SITES 2 TILEPROP CLBLM_L_X10Y113 ROW 38 TILEPROP CLBLM_L_X10Y113 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y113 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y113 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y113 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y113 TILE_X -49668 TILEPROP CLBLM_L_X10Y113 TILE_Y 124472 TILEPROP CLBLM_L_X10Y113 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y114 CLASS tile TILEPROP CLBLM_L_X10Y114 COLUMN 30 TILEPROP CLBLM_L_X10Y114 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y114 FIRST_SITE_ID 3662 TILEPROP CLBLM_L_X10Y114 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y114 GRID_POINT_Y 37 TILEPROP CLBLM_L_X10Y114 INDEX 4285 TILEPROP CLBLM_L_X10Y114 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y114 INT_TILE_Y 35 TILEPROP CLBLM_L_X10Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y114 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y114 NAME CLBLM_L_X10Y114 TILEPROP CLBLM_L_X10Y114 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y114 NUM_SITES 2 TILEPROP CLBLM_L_X10Y114 ROW 37 TILEPROP CLBLM_L_X10Y114 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y114 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y114 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y114 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y114 TILE_X -49668 TILEPROP CLBLM_L_X10Y114 TILE_Y 127672 TILEPROP CLBLM_L_X10Y114 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y115 CLASS tile TILEPROP CLBLM_L_X10Y115 COLUMN 30 TILEPROP CLBLM_L_X10Y115 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y115 FIRST_SITE_ID 3571 TILEPROP CLBLM_L_X10Y115 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y115 GRID_POINT_Y 36 TILEPROP CLBLM_L_X10Y115 INDEX 4170 TILEPROP CLBLM_L_X10Y115 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y115 INT_TILE_Y 34 TILEPROP CLBLM_L_X10Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y115 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y115 NAME CLBLM_L_X10Y115 TILEPROP CLBLM_L_X10Y115 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y115 NUM_SITES 2 TILEPROP CLBLM_L_X10Y115 ROW 36 TILEPROP CLBLM_L_X10Y115 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y115 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y115 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y115 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y115 TILE_X -49668 TILEPROP CLBLM_L_X10Y115 TILE_Y 130872 TILEPROP CLBLM_L_X10Y115 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y116 CLASS tile TILEPROP CLBLM_L_X10Y116 COLUMN 30 TILEPROP CLBLM_L_X10Y116 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y116 FIRST_SITE_ID 3464 TILEPROP CLBLM_L_X10Y116 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y116 GRID_POINT_Y 35 TILEPROP CLBLM_L_X10Y116 INDEX 4055 TILEPROP CLBLM_L_X10Y116 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y116 INT_TILE_Y 33 TILEPROP CLBLM_L_X10Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y116 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y116 NAME CLBLM_L_X10Y116 TILEPROP CLBLM_L_X10Y116 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y116 NUM_SITES 2 TILEPROP CLBLM_L_X10Y116 ROW 35 TILEPROP CLBLM_L_X10Y116 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y116 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y116 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y116 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y116 TILE_X -49668 TILEPROP CLBLM_L_X10Y116 TILE_Y 134072 TILEPROP CLBLM_L_X10Y116 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y117 CLASS tile TILEPROP CLBLM_L_X10Y117 COLUMN 30 TILEPROP CLBLM_L_X10Y117 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y117 FIRST_SITE_ID 3376 TILEPROP CLBLM_L_X10Y117 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y117 GRID_POINT_Y 34 TILEPROP CLBLM_L_X10Y117 INDEX 3940 TILEPROP CLBLM_L_X10Y117 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y117 INT_TILE_Y 32 TILEPROP CLBLM_L_X10Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y117 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y117 NAME CLBLM_L_X10Y117 TILEPROP CLBLM_L_X10Y117 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y117 NUM_SITES 2 TILEPROP CLBLM_L_X10Y117 ROW 34 TILEPROP CLBLM_L_X10Y117 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y117 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y117 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y117 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y117 TILE_X -49668 TILEPROP CLBLM_L_X10Y117 TILE_Y 137272 TILEPROP CLBLM_L_X10Y117 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y118 CLASS tile TILEPROP CLBLM_L_X10Y118 COLUMN 30 TILEPROP CLBLM_L_X10Y118 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y118 FIRST_SITE_ID 3276 TILEPROP CLBLM_L_X10Y118 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y118 GRID_POINT_Y 33 TILEPROP CLBLM_L_X10Y118 INDEX 3825 TILEPROP CLBLM_L_X10Y118 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y118 INT_TILE_Y 31 TILEPROP CLBLM_L_X10Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y118 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y118 NAME CLBLM_L_X10Y118 TILEPROP CLBLM_L_X10Y118 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y118 NUM_SITES 2 TILEPROP CLBLM_L_X10Y118 ROW 33 TILEPROP CLBLM_L_X10Y118 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y118 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y118 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y118 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y118 TILE_X -49668 TILEPROP CLBLM_L_X10Y118 TILE_Y 140472 TILEPROP CLBLM_L_X10Y118 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y119 CLASS tile TILEPROP CLBLM_L_X10Y119 COLUMN 30 TILEPROP CLBLM_L_X10Y119 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y119 FIRST_SITE_ID 3188 TILEPROP CLBLM_L_X10Y119 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y119 GRID_POINT_Y 32 TILEPROP CLBLM_L_X10Y119 INDEX 3710 TILEPROP CLBLM_L_X10Y119 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y119 INT_TILE_Y 30 TILEPROP CLBLM_L_X10Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y119 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y119 NAME CLBLM_L_X10Y119 TILEPROP CLBLM_L_X10Y119 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y119 NUM_SITES 2 TILEPROP CLBLM_L_X10Y119 ROW 32 TILEPROP CLBLM_L_X10Y119 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y119 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y119 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y119 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y119 TILE_X -49668 TILEPROP CLBLM_L_X10Y119 TILE_Y 143672 TILEPROP CLBLM_L_X10Y119 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y120 CLASS tile TILEPROP CLBLM_L_X10Y120 COLUMN 30 TILEPROP CLBLM_L_X10Y120 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y120 FIRST_SITE_ID 3087 TILEPROP CLBLM_L_X10Y120 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y120 GRID_POINT_Y 31 TILEPROP CLBLM_L_X10Y120 INDEX 3595 TILEPROP CLBLM_L_X10Y120 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y120 INT_TILE_Y 29 TILEPROP CLBLM_L_X10Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y120 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y120 NAME CLBLM_L_X10Y120 TILEPROP CLBLM_L_X10Y120 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y120 NUM_SITES 2 TILEPROP CLBLM_L_X10Y120 ROW 31 TILEPROP CLBLM_L_X10Y120 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y120 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y120 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y120 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y120 TILE_X -49668 TILEPROP CLBLM_L_X10Y120 TILE_Y 146872 TILEPROP CLBLM_L_X10Y120 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y121 CLASS tile TILEPROP CLBLM_L_X10Y121 COLUMN 30 TILEPROP CLBLM_L_X10Y121 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y121 FIRST_SITE_ID 2993 TILEPROP CLBLM_L_X10Y121 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y121 GRID_POINT_Y 30 TILEPROP CLBLM_L_X10Y121 INDEX 3480 TILEPROP CLBLM_L_X10Y121 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y121 INT_TILE_Y 28 TILEPROP CLBLM_L_X10Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y121 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y121 NAME CLBLM_L_X10Y121 TILEPROP CLBLM_L_X10Y121 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y121 NUM_SITES 2 TILEPROP CLBLM_L_X10Y121 ROW 30 TILEPROP CLBLM_L_X10Y121 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y121 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y121 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y121 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y121 TILE_X -49668 TILEPROP CLBLM_L_X10Y121 TILE_Y 150072 TILEPROP CLBLM_L_X10Y121 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y122 CLASS tile TILEPROP CLBLM_L_X10Y122 COLUMN 30 TILEPROP CLBLM_L_X10Y122 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y122 FIRST_SITE_ID 2890 TILEPROP CLBLM_L_X10Y122 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y122 GRID_POINT_Y 29 TILEPROP CLBLM_L_X10Y122 INDEX 3365 TILEPROP CLBLM_L_X10Y122 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y122 INT_TILE_Y 27 TILEPROP CLBLM_L_X10Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y122 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y122 NAME CLBLM_L_X10Y122 TILEPROP CLBLM_L_X10Y122 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y122 NUM_SITES 2 TILEPROP CLBLM_L_X10Y122 ROW 29 TILEPROP CLBLM_L_X10Y122 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y122 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y122 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y122 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y122 TILE_X -49668 TILEPROP CLBLM_L_X10Y122 TILE_Y 153272 TILEPROP CLBLM_L_X10Y122 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y123 CLASS tile TILEPROP CLBLM_L_X10Y123 COLUMN 30 TILEPROP CLBLM_L_X10Y123 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y123 FIRST_SITE_ID 2802 TILEPROP CLBLM_L_X10Y123 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y123 GRID_POINT_Y 28 TILEPROP CLBLM_L_X10Y123 INDEX 3250 TILEPROP CLBLM_L_X10Y123 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y123 INT_TILE_Y 26 TILEPROP CLBLM_L_X10Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y123 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y123 NAME CLBLM_L_X10Y123 TILEPROP CLBLM_L_X10Y123 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y123 NUM_SITES 2 TILEPROP CLBLM_L_X10Y123 ROW 28 TILEPROP CLBLM_L_X10Y123 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y123 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y123 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y123 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y123 TILE_X -49668 TILEPROP CLBLM_L_X10Y123 TILE_Y 156472 TILEPROP CLBLM_L_X10Y123 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y124 CLASS tile TILEPROP CLBLM_L_X10Y124 COLUMN 30 TILEPROP CLBLM_L_X10Y124 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y124 FIRST_SITE_ID 2706 TILEPROP CLBLM_L_X10Y124 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y124 GRID_POINT_Y 27 TILEPROP CLBLM_L_X10Y124 INDEX 3135 TILEPROP CLBLM_L_X10Y124 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y124 INT_TILE_Y 25 TILEPROP CLBLM_L_X10Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y124 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y124 NAME CLBLM_L_X10Y124 TILEPROP CLBLM_L_X10Y124 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y124 NUM_SITES 2 TILEPROP CLBLM_L_X10Y124 ROW 27 TILEPROP CLBLM_L_X10Y124 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y124 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X10Y124 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y124 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y124 TILE_X -49668 TILEPROP CLBLM_L_X10Y124 TILE_Y 159672 TILEPROP CLBLM_L_X10Y124 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y125 CLASS tile TILEPROP CLBLM_L_X10Y125 COLUMN 30 TILEPROP CLBLM_L_X10Y125 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y125 FIRST_SITE_ID 2533 TILEPROP CLBLM_L_X10Y125 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y125 GRID_POINT_Y 25 TILEPROP CLBLM_L_X10Y125 INDEX 2905 TILEPROP CLBLM_L_X10Y125 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y125 INT_TILE_Y 24 TILEPROP CLBLM_L_X10Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y125 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y125 NAME CLBLM_L_X10Y125 TILEPROP CLBLM_L_X10Y125 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y125 NUM_SITES 2 TILEPROP CLBLM_L_X10Y125 ROW 25 TILEPROP CLBLM_L_X10Y125 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y125 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X10Y125 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y125 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y125 TILE_X -49668 TILEPROP CLBLM_L_X10Y125 TILE_Y 163896 TILEPROP CLBLM_L_X10Y125 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y126 CLASS tile TILEPROP CLBLM_L_X10Y126 COLUMN 30 TILEPROP CLBLM_L_X10Y126 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y126 FIRST_SITE_ID 2423 TILEPROP CLBLM_L_X10Y126 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y126 GRID_POINT_Y 24 TILEPROP CLBLM_L_X10Y126 INDEX 2790 TILEPROP CLBLM_L_X10Y126 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y126 INT_TILE_Y 23 TILEPROP CLBLM_L_X10Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y126 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y126 NAME CLBLM_L_X10Y126 TILEPROP CLBLM_L_X10Y126 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y126 NUM_SITES 2 TILEPROP CLBLM_L_X10Y126 ROW 24 TILEPROP CLBLM_L_X10Y126 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y126 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y126 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y126 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y126 TILE_X -49668 TILEPROP CLBLM_L_X10Y126 TILE_Y 167096 TILEPROP CLBLM_L_X10Y126 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y127 CLASS tile TILEPROP CLBLM_L_X10Y127 COLUMN 30 TILEPROP CLBLM_L_X10Y127 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y127 FIRST_SITE_ID 2327 TILEPROP CLBLM_L_X10Y127 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y127 GRID_POINT_Y 23 TILEPROP CLBLM_L_X10Y127 INDEX 2675 TILEPROP CLBLM_L_X10Y127 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y127 INT_TILE_Y 22 TILEPROP CLBLM_L_X10Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y127 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y127 NAME CLBLM_L_X10Y127 TILEPROP CLBLM_L_X10Y127 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y127 NUM_SITES 2 TILEPROP CLBLM_L_X10Y127 ROW 23 TILEPROP CLBLM_L_X10Y127 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y127 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y127 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y127 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y127 TILE_X -49668 TILEPROP CLBLM_L_X10Y127 TILE_Y 170296 TILEPROP CLBLM_L_X10Y127 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y128 CLASS tile TILEPROP CLBLM_L_X10Y128 COLUMN 30 TILEPROP CLBLM_L_X10Y128 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y128 FIRST_SITE_ID 2223 TILEPROP CLBLM_L_X10Y128 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y128 GRID_POINT_Y 22 TILEPROP CLBLM_L_X10Y128 INDEX 2560 TILEPROP CLBLM_L_X10Y128 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y128 INT_TILE_Y 21 TILEPROP CLBLM_L_X10Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y128 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y128 NAME CLBLM_L_X10Y128 TILEPROP CLBLM_L_X10Y128 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y128 NUM_SITES 2 TILEPROP CLBLM_L_X10Y128 ROW 22 TILEPROP CLBLM_L_X10Y128 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y128 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y128 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y128 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y128 TILE_X -49668 TILEPROP CLBLM_L_X10Y128 TILE_Y 173496 TILEPROP CLBLM_L_X10Y128 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y129 CLASS tile TILEPROP CLBLM_L_X10Y129 COLUMN 30 TILEPROP CLBLM_L_X10Y129 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y129 FIRST_SITE_ID 2127 TILEPROP CLBLM_L_X10Y129 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y129 GRID_POINT_Y 21 TILEPROP CLBLM_L_X10Y129 INDEX 2445 TILEPROP CLBLM_L_X10Y129 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y129 INT_TILE_Y 20 TILEPROP CLBLM_L_X10Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y129 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y129 NAME CLBLM_L_X10Y129 TILEPROP CLBLM_L_X10Y129 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y129 NUM_SITES 2 TILEPROP CLBLM_L_X10Y129 ROW 21 TILEPROP CLBLM_L_X10Y129 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y129 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y129 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y129 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y129 TILE_X -49668 TILEPROP CLBLM_L_X10Y129 TILE_Y 176696 TILEPROP CLBLM_L_X10Y129 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y130 CLASS tile TILEPROP CLBLM_L_X10Y130 COLUMN 30 TILEPROP CLBLM_L_X10Y130 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y130 FIRST_SITE_ID 2011 TILEPROP CLBLM_L_X10Y130 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y130 GRID_POINT_Y 20 TILEPROP CLBLM_L_X10Y130 INDEX 2330 TILEPROP CLBLM_L_X10Y130 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y130 INT_TILE_Y 19 TILEPROP CLBLM_L_X10Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y130 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y130 NAME CLBLM_L_X10Y130 TILEPROP CLBLM_L_X10Y130 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y130 NUM_SITES 2 TILEPROP CLBLM_L_X10Y130 ROW 20 TILEPROP CLBLM_L_X10Y130 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y130 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y130 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y130 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y130 TILE_X -49668 TILEPROP CLBLM_L_X10Y130 TILE_Y 179896 TILEPROP CLBLM_L_X10Y130 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y131 CLASS tile TILEPROP CLBLM_L_X10Y131 COLUMN 30 TILEPROP CLBLM_L_X10Y131 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y131 FIRST_SITE_ID 1909 TILEPROP CLBLM_L_X10Y131 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y131 GRID_POINT_Y 19 TILEPROP CLBLM_L_X10Y131 INDEX 2215 TILEPROP CLBLM_L_X10Y131 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y131 INT_TILE_Y 18 TILEPROP CLBLM_L_X10Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y131 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y131 NAME CLBLM_L_X10Y131 TILEPROP CLBLM_L_X10Y131 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y131 NUM_SITES 2 TILEPROP CLBLM_L_X10Y131 ROW 19 TILEPROP CLBLM_L_X10Y131 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y131 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y131 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y131 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y131 TILE_X -49668 TILEPROP CLBLM_L_X10Y131 TILE_Y 183096 TILEPROP CLBLM_L_X10Y131 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y132 CLASS tile TILEPROP CLBLM_L_X10Y132 COLUMN 30 TILEPROP CLBLM_L_X10Y132 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y132 FIRST_SITE_ID 1803 TILEPROP CLBLM_L_X10Y132 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y132 GRID_POINT_Y 18 TILEPROP CLBLM_L_X10Y132 INDEX 2100 TILEPROP CLBLM_L_X10Y132 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y132 INT_TILE_Y 17 TILEPROP CLBLM_L_X10Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y132 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y132 NAME CLBLM_L_X10Y132 TILEPROP CLBLM_L_X10Y132 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y132 NUM_SITES 2 TILEPROP CLBLM_L_X10Y132 ROW 18 TILEPROP CLBLM_L_X10Y132 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y132 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y132 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y132 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y132 TILE_X -49668 TILEPROP CLBLM_L_X10Y132 TILE_Y 186296 TILEPROP CLBLM_L_X10Y132 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y133 CLASS tile TILEPROP CLBLM_L_X10Y133 COLUMN 30 TILEPROP CLBLM_L_X10Y133 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y133 FIRST_SITE_ID 1702 TILEPROP CLBLM_L_X10Y133 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y133 GRID_POINT_Y 17 TILEPROP CLBLM_L_X10Y133 INDEX 1985 TILEPROP CLBLM_L_X10Y133 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y133 INT_TILE_Y 16 TILEPROP CLBLM_L_X10Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y133 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y133 NAME CLBLM_L_X10Y133 TILEPROP CLBLM_L_X10Y133 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y133 NUM_SITES 2 TILEPROP CLBLM_L_X10Y133 ROW 17 TILEPROP CLBLM_L_X10Y133 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y133 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y133 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y133 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y133 TILE_X -49668 TILEPROP CLBLM_L_X10Y133 TILE_Y 189496 TILEPROP CLBLM_L_X10Y133 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y134 CLASS tile TILEPROP CLBLM_L_X10Y134 COLUMN 30 TILEPROP CLBLM_L_X10Y134 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y134 FIRST_SITE_ID 1598 TILEPROP CLBLM_L_X10Y134 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y134 GRID_POINT_Y 16 TILEPROP CLBLM_L_X10Y134 INDEX 1870 TILEPROP CLBLM_L_X10Y134 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y134 INT_TILE_Y 15 TILEPROP CLBLM_L_X10Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y134 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y134 NAME CLBLM_L_X10Y134 TILEPROP CLBLM_L_X10Y134 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y134 NUM_SITES 2 TILEPROP CLBLM_L_X10Y134 ROW 16 TILEPROP CLBLM_L_X10Y134 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y134 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y134 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y134 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y134 TILE_X -49668 TILEPROP CLBLM_L_X10Y134 TILE_Y 192696 TILEPROP CLBLM_L_X10Y134 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y135 CLASS tile TILEPROP CLBLM_L_X10Y135 COLUMN 30 TILEPROP CLBLM_L_X10Y135 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y135 FIRST_SITE_ID 1496 TILEPROP CLBLM_L_X10Y135 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y135 GRID_POINT_Y 15 TILEPROP CLBLM_L_X10Y135 INDEX 1755 TILEPROP CLBLM_L_X10Y135 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y135 INT_TILE_Y 14 TILEPROP CLBLM_L_X10Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y135 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y135 NAME CLBLM_L_X10Y135 TILEPROP CLBLM_L_X10Y135 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y135 NUM_SITES 2 TILEPROP CLBLM_L_X10Y135 ROW 15 TILEPROP CLBLM_L_X10Y135 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y135 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y135 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y135 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y135 TILE_X -49668 TILEPROP CLBLM_L_X10Y135 TILE_Y 195896 TILEPROP CLBLM_L_X10Y135 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y136 CLASS tile TILEPROP CLBLM_L_X10Y136 COLUMN 30 TILEPROP CLBLM_L_X10Y136 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y136 FIRST_SITE_ID 1354 TILEPROP CLBLM_L_X10Y136 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y136 GRID_POINT_Y 14 TILEPROP CLBLM_L_X10Y136 INDEX 1640 TILEPROP CLBLM_L_X10Y136 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y136 INT_TILE_Y 13 TILEPROP CLBLM_L_X10Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y136 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y136 NAME CLBLM_L_X10Y136 TILEPROP CLBLM_L_X10Y136 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y136 NUM_SITES 2 TILEPROP CLBLM_L_X10Y136 ROW 14 TILEPROP CLBLM_L_X10Y136 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y136 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y136 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y136 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y136 TILE_X -49668 TILEPROP CLBLM_L_X10Y136 TILE_Y 199096 TILEPROP CLBLM_L_X10Y136 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y137 CLASS tile TILEPROP CLBLM_L_X10Y137 COLUMN 30 TILEPROP CLBLM_L_X10Y137 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y137 FIRST_SITE_ID 1258 TILEPROP CLBLM_L_X10Y137 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y137 GRID_POINT_Y 13 TILEPROP CLBLM_L_X10Y137 INDEX 1525 TILEPROP CLBLM_L_X10Y137 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y137 INT_TILE_Y 12 TILEPROP CLBLM_L_X10Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y137 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y137 NAME CLBLM_L_X10Y137 TILEPROP CLBLM_L_X10Y137 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y137 NUM_SITES 2 TILEPROP CLBLM_L_X10Y137 ROW 13 TILEPROP CLBLM_L_X10Y137 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y137 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y137 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y137 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y137 TILE_X -49668 TILEPROP CLBLM_L_X10Y137 TILE_Y 202296 TILEPROP CLBLM_L_X10Y137 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y138 CLASS tile TILEPROP CLBLM_L_X10Y138 COLUMN 30 TILEPROP CLBLM_L_X10Y138 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y138 FIRST_SITE_ID 1154 TILEPROP CLBLM_L_X10Y138 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y138 GRID_POINT_Y 12 TILEPROP CLBLM_L_X10Y138 INDEX 1410 TILEPROP CLBLM_L_X10Y138 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y138 INT_TILE_Y 11 TILEPROP CLBLM_L_X10Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y138 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y138 NAME CLBLM_L_X10Y138 TILEPROP CLBLM_L_X10Y138 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y138 NUM_SITES 2 TILEPROP CLBLM_L_X10Y138 ROW 12 TILEPROP CLBLM_L_X10Y138 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y138 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y138 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y138 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y138 TILE_X -49668 TILEPROP CLBLM_L_X10Y138 TILE_Y 205496 TILEPROP CLBLM_L_X10Y138 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y139 CLASS tile TILEPROP CLBLM_L_X10Y139 COLUMN 30 TILEPROP CLBLM_L_X10Y139 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y139 FIRST_SITE_ID 1058 TILEPROP CLBLM_L_X10Y139 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y139 GRID_POINT_Y 11 TILEPROP CLBLM_L_X10Y139 INDEX 1295 TILEPROP CLBLM_L_X10Y139 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y139 INT_TILE_Y 10 TILEPROP CLBLM_L_X10Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y139 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y139 NAME CLBLM_L_X10Y139 TILEPROP CLBLM_L_X10Y139 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y139 NUM_SITES 2 TILEPROP CLBLM_L_X10Y139 ROW 11 TILEPROP CLBLM_L_X10Y139 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y139 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y139 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y139 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y139 TILE_X -49668 TILEPROP CLBLM_L_X10Y139 TILE_Y 208696 TILEPROP CLBLM_L_X10Y139 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y140 CLASS tile TILEPROP CLBLM_L_X10Y140 COLUMN 30 TILEPROP CLBLM_L_X10Y140 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y140 FIRST_SITE_ID 948 TILEPROP CLBLM_L_X10Y140 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y140 GRID_POINT_Y 10 TILEPROP CLBLM_L_X10Y140 INDEX 1180 TILEPROP CLBLM_L_X10Y140 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y140 INT_TILE_Y 9 TILEPROP CLBLM_L_X10Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y140 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y140 NAME CLBLM_L_X10Y140 TILEPROP CLBLM_L_X10Y140 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y140 NUM_SITES 2 TILEPROP CLBLM_L_X10Y140 ROW 10 TILEPROP CLBLM_L_X10Y140 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y140 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y140 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y140 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y140 TILE_X -49668 TILEPROP CLBLM_L_X10Y140 TILE_Y 211896 TILEPROP CLBLM_L_X10Y140 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y141 CLASS tile TILEPROP CLBLM_L_X10Y141 COLUMN 30 TILEPROP CLBLM_L_X10Y141 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y141 FIRST_SITE_ID 846 TILEPROP CLBLM_L_X10Y141 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y141 GRID_POINT_Y 9 TILEPROP CLBLM_L_X10Y141 INDEX 1065 TILEPROP CLBLM_L_X10Y141 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y141 INT_TILE_Y 8 TILEPROP CLBLM_L_X10Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y141 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y141 NAME CLBLM_L_X10Y141 TILEPROP CLBLM_L_X10Y141 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y141 NUM_SITES 2 TILEPROP CLBLM_L_X10Y141 ROW 9 TILEPROP CLBLM_L_X10Y141 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y141 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y141 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y141 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y141 TILE_X -49668 TILEPROP CLBLM_L_X10Y141 TILE_Y 215096 TILEPROP CLBLM_L_X10Y141 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y142 CLASS tile TILEPROP CLBLM_L_X10Y142 COLUMN 30 TILEPROP CLBLM_L_X10Y142 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y142 FIRST_SITE_ID 742 TILEPROP CLBLM_L_X10Y142 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y142 GRID_POINT_Y 8 TILEPROP CLBLM_L_X10Y142 INDEX 950 TILEPROP CLBLM_L_X10Y142 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y142 INT_TILE_Y 7 TILEPROP CLBLM_L_X10Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y142 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y142 NAME CLBLM_L_X10Y142 TILEPROP CLBLM_L_X10Y142 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y142 NUM_SITES 2 TILEPROP CLBLM_L_X10Y142 ROW 8 TILEPROP CLBLM_L_X10Y142 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y142 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y142 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y142 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y142 TILE_X -49668 TILEPROP CLBLM_L_X10Y142 TILE_Y 218296 TILEPROP CLBLM_L_X10Y142 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y143 CLASS tile TILEPROP CLBLM_L_X10Y143 COLUMN 30 TILEPROP CLBLM_L_X10Y143 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y143 FIRST_SITE_ID 645 TILEPROP CLBLM_L_X10Y143 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y143 GRID_POINT_Y 7 TILEPROP CLBLM_L_X10Y143 INDEX 835 TILEPROP CLBLM_L_X10Y143 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y143 INT_TILE_Y 6 TILEPROP CLBLM_L_X10Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y143 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y143 NAME CLBLM_L_X10Y143 TILEPROP CLBLM_L_X10Y143 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y143 NUM_SITES 2 TILEPROP CLBLM_L_X10Y143 ROW 7 TILEPROP CLBLM_L_X10Y143 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y143 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y143 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y143 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y143 TILE_X -49668 TILEPROP CLBLM_L_X10Y143 TILE_Y 221496 TILEPROP CLBLM_L_X10Y143 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y144 CLASS tile TILEPROP CLBLM_L_X10Y144 COLUMN 30 TILEPROP CLBLM_L_X10Y144 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y144 FIRST_SITE_ID 534 TILEPROP CLBLM_L_X10Y144 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y144 GRID_POINT_Y 6 TILEPROP CLBLM_L_X10Y144 INDEX 720 TILEPROP CLBLM_L_X10Y144 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y144 INT_TILE_Y 5 TILEPROP CLBLM_L_X10Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y144 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y144 NAME CLBLM_L_X10Y144 TILEPROP CLBLM_L_X10Y144 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y144 NUM_SITES 2 TILEPROP CLBLM_L_X10Y144 ROW 6 TILEPROP CLBLM_L_X10Y144 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y144 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y144 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y144 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y144 TILE_X -49668 TILEPROP CLBLM_L_X10Y144 TILE_Y 224696 TILEPROP CLBLM_L_X10Y144 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y145 CLASS tile TILEPROP CLBLM_L_X10Y145 COLUMN 30 TILEPROP CLBLM_L_X10Y145 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y145 FIRST_SITE_ID 432 TILEPROP CLBLM_L_X10Y145 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y145 GRID_POINT_Y 5 TILEPROP CLBLM_L_X10Y145 INDEX 605 TILEPROP CLBLM_L_X10Y145 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y145 INT_TILE_Y 4 TILEPROP CLBLM_L_X10Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y145 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y145 NAME CLBLM_L_X10Y145 TILEPROP CLBLM_L_X10Y145 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y145 NUM_SITES 2 TILEPROP CLBLM_L_X10Y145 ROW 5 TILEPROP CLBLM_L_X10Y145 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y145 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y145 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y145 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y145 TILE_X -49668 TILEPROP CLBLM_L_X10Y145 TILE_Y 227896 TILEPROP CLBLM_L_X10Y145 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y146 CLASS tile TILEPROP CLBLM_L_X10Y146 COLUMN 30 TILEPROP CLBLM_L_X10Y146 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y146 FIRST_SITE_ID 322 TILEPROP CLBLM_L_X10Y146 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y146 GRID_POINT_Y 4 TILEPROP CLBLM_L_X10Y146 INDEX 490 TILEPROP CLBLM_L_X10Y146 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y146 INT_TILE_Y 3 TILEPROP CLBLM_L_X10Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y146 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y146 NAME CLBLM_L_X10Y146 TILEPROP CLBLM_L_X10Y146 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y146 NUM_SITES 2 TILEPROP CLBLM_L_X10Y146 ROW 4 TILEPROP CLBLM_L_X10Y146 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y146 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y146 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y146 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y146 TILE_X -49668 TILEPROP CLBLM_L_X10Y146 TILE_Y 231096 TILEPROP CLBLM_L_X10Y146 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y147 CLASS tile TILEPROP CLBLM_L_X10Y147 COLUMN 30 TILEPROP CLBLM_L_X10Y147 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y147 FIRST_SITE_ID 226 TILEPROP CLBLM_L_X10Y147 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y147 GRID_POINT_Y 3 TILEPROP CLBLM_L_X10Y147 INDEX 375 TILEPROP CLBLM_L_X10Y147 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y147 INT_TILE_Y 2 TILEPROP CLBLM_L_X10Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y147 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y147 NAME CLBLM_L_X10Y147 TILEPROP CLBLM_L_X10Y147 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y147 NUM_SITES 2 TILEPROP CLBLM_L_X10Y147 ROW 3 TILEPROP CLBLM_L_X10Y147 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y147 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y147 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y147 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y147 TILE_X -49668 TILEPROP CLBLM_L_X10Y147 TILE_Y 234296 TILEPROP CLBLM_L_X10Y147 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y148 CLASS tile TILEPROP CLBLM_L_X10Y148 COLUMN 30 TILEPROP CLBLM_L_X10Y148 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y148 FIRST_SITE_ID 122 TILEPROP CLBLM_L_X10Y148 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y148 GRID_POINT_Y 2 TILEPROP CLBLM_L_X10Y148 INDEX 260 TILEPROP CLBLM_L_X10Y148 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y148 INT_TILE_Y 1 TILEPROP CLBLM_L_X10Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y148 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y148 NAME CLBLM_L_X10Y148 TILEPROP CLBLM_L_X10Y148 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y148 NUM_SITES 2 TILEPROP CLBLM_L_X10Y148 ROW 2 TILEPROP CLBLM_L_X10Y148 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y148 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X10Y148 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y148 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y148 TILE_X -49668 TILEPROP CLBLM_L_X10Y148 TILE_Y 237496 TILEPROP CLBLM_L_X10Y148 TYPE CLBLM_L TILEPROP CLBLM_L_X10Y149 CLASS tile TILEPROP CLBLM_L_X10Y149 COLUMN 30 TILEPROP CLBLM_L_X10Y149 DEVICE_ID 0 TILEPROP CLBLM_L_X10Y149 FIRST_SITE_ID 26 TILEPROP CLBLM_L_X10Y149 GRID_POINT_X 30 TILEPROP CLBLM_L_X10Y149 GRID_POINT_Y 1 TILEPROP CLBLM_L_X10Y149 INDEX 145 TILEPROP CLBLM_L_X10Y149 INT_TILE_X 9 TILEPROP CLBLM_L_X10Y149 INT_TILE_Y 0 TILEPROP CLBLM_L_X10Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X10Y149 IS_DCM_TILE 0 TILEPROP CLBLM_L_X10Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X10Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X10Y149 NAME CLBLM_L_X10Y149 TILEPROP CLBLM_L_X10Y149 NUM_ARCS 151 TILEPROP CLBLM_L_X10Y149 NUM_SITES 2 TILEPROP CLBLM_L_X10Y149 ROW 1 TILEPROP CLBLM_L_X10Y149 SLR_REGION_ID 0 TILEPROP CLBLM_L_X10Y149 TILE_PATTERN_IDX 22 TILEPROP CLBLM_L_X10Y149 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X10Y149 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X10Y149 TILE_X -49668 TILEPROP CLBLM_L_X10Y149 TILE_Y 240696 TILEPROP CLBLM_L_X10Y149 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y0 CLASS tile TILEPROP CLBLM_L_X20Y0 COLUMN 52 TILEPROP CLBLM_L_X20Y0 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y0 FIRST_SITE_ID 15744 TILEPROP CLBLM_L_X20Y0 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y0 GRID_POINT_Y 155 TILEPROP CLBLM_L_X20Y0 INDEX 17877 TILEPROP CLBLM_L_X20Y0 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y0 INT_TILE_Y 149 TILEPROP CLBLM_L_X20Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y0 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y0 NAME CLBLM_L_X20Y0 TILEPROP CLBLM_L_X20Y0 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y0 NUM_SITES 2 TILEPROP CLBLM_L_X20Y0 ROW 155 TILEPROP CLBLM_L_X20Y0 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y0 TILE_PATTERN_IDX 3508 TILEPROP CLBLM_L_X20Y0 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y0 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y0 TILE_X -8652 TILEPROP CLBLM_L_X20Y0 TILE_Y -239672 TILEPROP CLBLM_L_X20Y0 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y1 CLASS tile TILEPROP CLBLM_L_X20Y1 COLUMN 52 TILEPROP CLBLM_L_X20Y1 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y1 FIRST_SITE_ID 15633 TILEPROP CLBLM_L_X20Y1 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y1 GRID_POINT_Y 154 TILEPROP CLBLM_L_X20Y1 INDEX 17762 TILEPROP CLBLM_L_X20Y1 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y1 INT_TILE_Y 148 TILEPROP CLBLM_L_X20Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y1 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y1 NAME CLBLM_L_X20Y1 TILEPROP CLBLM_L_X20Y1 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y1 NUM_SITES 2 TILEPROP CLBLM_L_X20Y1 ROW 154 TILEPROP CLBLM_L_X20Y1 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y1 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y1 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y1 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y1 TILE_X -8652 TILEPROP CLBLM_L_X20Y1 TILE_Y -236472 TILEPROP CLBLM_L_X20Y1 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y2 CLASS tile TILEPROP CLBLM_L_X20Y2 COLUMN 52 TILEPROP CLBLM_L_X20Y2 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y2 FIRST_SITE_ID 15533 TILEPROP CLBLM_L_X20Y2 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y2 GRID_POINT_Y 153 TILEPROP CLBLM_L_X20Y2 INDEX 17647 TILEPROP CLBLM_L_X20Y2 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y2 INT_TILE_Y 147 TILEPROP CLBLM_L_X20Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y2 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y2 NAME CLBLM_L_X20Y2 TILEPROP CLBLM_L_X20Y2 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y2 NUM_SITES 2 TILEPROP CLBLM_L_X20Y2 ROW 153 TILEPROP CLBLM_L_X20Y2 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y2 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y2 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y2 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y2 TILE_X -8652 TILEPROP CLBLM_L_X20Y2 TILE_Y -233272 TILEPROP CLBLM_L_X20Y2 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y3 CLASS tile TILEPROP CLBLM_L_X20Y3 COLUMN 52 TILEPROP CLBLM_L_X20Y3 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y3 FIRST_SITE_ID 15433 TILEPROP CLBLM_L_X20Y3 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y3 GRID_POINT_Y 152 TILEPROP CLBLM_L_X20Y3 INDEX 17532 TILEPROP CLBLM_L_X20Y3 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y3 INT_TILE_Y 146 TILEPROP CLBLM_L_X20Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y3 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y3 NAME CLBLM_L_X20Y3 TILEPROP CLBLM_L_X20Y3 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y3 NUM_SITES 2 TILEPROP CLBLM_L_X20Y3 ROW 152 TILEPROP CLBLM_L_X20Y3 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y3 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y3 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y3 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y3 TILE_X -8652 TILEPROP CLBLM_L_X20Y3 TILE_Y -230072 TILEPROP CLBLM_L_X20Y3 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y4 CLASS tile TILEPROP CLBLM_L_X20Y4 COLUMN 52 TILEPROP CLBLM_L_X20Y4 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y4 FIRST_SITE_ID 15333 TILEPROP CLBLM_L_X20Y4 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y4 GRID_POINT_Y 151 TILEPROP CLBLM_L_X20Y4 INDEX 17417 TILEPROP CLBLM_L_X20Y4 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y4 INT_TILE_Y 145 TILEPROP CLBLM_L_X20Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y4 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y4 NAME CLBLM_L_X20Y4 TILEPROP CLBLM_L_X20Y4 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y4 NUM_SITES 2 TILEPROP CLBLM_L_X20Y4 ROW 151 TILEPROP CLBLM_L_X20Y4 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y4 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y4 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y4 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y4 TILE_X -8652 TILEPROP CLBLM_L_X20Y4 TILE_Y -226872 TILEPROP CLBLM_L_X20Y4 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y5 CLASS tile TILEPROP CLBLM_L_X20Y5 COLUMN 52 TILEPROP CLBLM_L_X20Y5 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y5 FIRST_SITE_ID 15224 TILEPROP CLBLM_L_X20Y5 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y5 GRID_POINT_Y 150 TILEPROP CLBLM_L_X20Y5 INDEX 17302 TILEPROP CLBLM_L_X20Y5 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y5 INT_TILE_Y 144 TILEPROP CLBLM_L_X20Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y5 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y5 NAME CLBLM_L_X20Y5 TILEPROP CLBLM_L_X20Y5 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y5 NUM_SITES 2 TILEPROP CLBLM_L_X20Y5 ROW 150 TILEPROP CLBLM_L_X20Y5 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y5 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y5 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y5 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y5 TILE_X -8652 TILEPROP CLBLM_L_X20Y5 TILE_Y -223672 TILEPROP CLBLM_L_X20Y5 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y6 CLASS tile TILEPROP CLBLM_L_X20Y6 COLUMN 52 TILEPROP CLBLM_L_X20Y6 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y6 FIRST_SITE_ID 15118 TILEPROP CLBLM_L_X20Y6 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y6 GRID_POINT_Y 149 TILEPROP CLBLM_L_X20Y6 INDEX 17187 TILEPROP CLBLM_L_X20Y6 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y6 INT_TILE_Y 143 TILEPROP CLBLM_L_X20Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y6 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y6 NAME CLBLM_L_X20Y6 TILEPROP CLBLM_L_X20Y6 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y6 NUM_SITES 2 TILEPROP CLBLM_L_X20Y6 ROW 149 TILEPROP CLBLM_L_X20Y6 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y6 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y6 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y6 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y6 TILE_X -8652 TILEPROP CLBLM_L_X20Y6 TILE_Y -220472 TILEPROP CLBLM_L_X20Y6 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y7 CLASS tile TILEPROP CLBLM_L_X20Y7 COLUMN 52 TILEPROP CLBLM_L_X20Y7 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y7 FIRST_SITE_ID 15016 TILEPROP CLBLM_L_X20Y7 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y7 GRID_POINT_Y 148 TILEPROP CLBLM_L_X20Y7 INDEX 17072 TILEPROP CLBLM_L_X20Y7 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y7 INT_TILE_Y 142 TILEPROP CLBLM_L_X20Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y7 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y7 NAME CLBLM_L_X20Y7 TILEPROP CLBLM_L_X20Y7 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y7 NUM_SITES 2 TILEPROP CLBLM_L_X20Y7 ROW 148 TILEPROP CLBLM_L_X20Y7 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y7 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y7 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y7 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y7 TILE_X -8652 TILEPROP CLBLM_L_X20Y7 TILE_Y -217272 TILEPROP CLBLM_L_X20Y7 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y8 CLASS tile TILEPROP CLBLM_L_X20Y8 COLUMN 52 TILEPROP CLBLM_L_X20Y8 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y8 FIRST_SITE_ID 14913 TILEPROP CLBLM_L_X20Y8 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y8 GRID_POINT_Y 147 TILEPROP CLBLM_L_X20Y8 INDEX 16957 TILEPROP CLBLM_L_X20Y8 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y8 INT_TILE_Y 141 TILEPROP CLBLM_L_X20Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y8 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y8 NAME CLBLM_L_X20Y8 TILEPROP CLBLM_L_X20Y8 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y8 NUM_SITES 2 TILEPROP CLBLM_L_X20Y8 ROW 147 TILEPROP CLBLM_L_X20Y8 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y8 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y8 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y8 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y8 TILE_X -8652 TILEPROP CLBLM_L_X20Y8 TILE_Y -214072 TILEPROP CLBLM_L_X20Y8 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y9 CLASS tile TILEPROP CLBLM_L_X20Y9 COLUMN 52 TILEPROP CLBLM_L_X20Y9 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y9 FIRST_SITE_ID 14812 TILEPROP CLBLM_L_X20Y9 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y9 GRID_POINT_Y 146 TILEPROP CLBLM_L_X20Y9 INDEX 16842 TILEPROP CLBLM_L_X20Y9 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y9 INT_TILE_Y 140 TILEPROP CLBLM_L_X20Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y9 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y9 NAME CLBLM_L_X20Y9 TILEPROP CLBLM_L_X20Y9 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y9 NUM_SITES 2 TILEPROP CLBLM_L_X20Y9 ROW 146 TILEPROP CLBLM_L_X20Y9 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y9 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y9 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y9 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y9 TILE_X -8652 TILEPROP CLBLM_L_X20Y9 TILE_Y -210872 TILEPROP CLBLM_L_X20Y9 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y10 CLASS tile TILEPROP CLBLM_L_X20Y10 COLUMN 52 TILEPROP CLBLM_L_X20Y10 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y10 FIRST_SITE_ID 14703 TILEPROP CLBLM_L_X20Y10 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y10 GRID_POINT_Y 145 TILEPROP CLBLM_L_X20Y10 INDEX 16727 TILEPROP CLBLM_L_X20Y10 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y10 INT_TILE_Y 139 TILEPROP CLBLM_L_X20Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y10 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y10 NAME CLBLM_L_X20Y10 TILEPROP CLBLM_L_X20Y10 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y10 NUM_SITES 2 TILEPROP CLBLM_L_X20Y10 ROW 145 TILEPROP CLBLM_L_X20Y10 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y10 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y10 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y10 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y10 TILE_X -8652 TILEPROP CLBLM_L_X20Y10 TILE_Y -207672 TILEPROP CLBLM_L_X20Y10 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y11 CLASS tile TILEPROP CLBLM_L_X20Y11 COLUMN 52 TILEPROP CLBLM_L_X20Y11 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y11 FIRST_SITE_ID 14597 TILEPROP CLBLM_L_X20Y11 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y11 GRID_POINT_Y 144 TILEPROP CLBLM_L_X20Y11 INDEX 16612 TILEPROP CLBLM_L_X20Y11 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y11 INT_TILE_Y 138 TILEPROP CLBLM_L_X20Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y11 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y11 NAME CLBLM_L_X20Y11 TILEPROP CLBLM_L_X20Y11 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y11 NUM_SITES 2 TILEPROP CLBLM_L_X20Y11 ROW 144 TILEPROP CLBLM_L_X20Y11 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y11 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y11 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y11 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y11 TILE_X -8652 TILEPROP CLBLM_L_X20Y11 TILE_Y -204472 TILEPROP CLBLM_L_X20Y11 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y12 CLASS tile TILEPROP CLBLM_L_X20Y12 COLUMN 52 TILEPROP CLBLM_L_X20Y12 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y12 FIRST_SITE_ID 14465 TILEPROP CLBLM_L_X20Y12 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y12 GRID_POINT_Y 143 TILEPROP CLBLM_L_X20Y12 INDEX 16497 TILEPROP CLBLM_L_X20Y12 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y12 INT_TILE_Y 137 TILEPROP CLBLM_L_X20Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y12 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y12 NAME CLBLM_L_X20Y12 TILEPROP CLBLM_L_X20Y12 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y12 NUM_SITES 2 TILEPROP CLBLM_L_X20Y12 ROW 143 TILEPROP CLBLM_L_X20Y12 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y12 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y12 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y12 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y12 TILE_X -8652 TILEPROP CLBLM_L_X20Y12 TILE_Y -201272 TILEPROP CLBLM_L_X20Y12 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y13 CLASS tile TILEPROP CLBLM_L_X20Y13 COLUMN 52 TILEPROP CLBLM_L_X20Y13 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y13 FIRST_SITE_ID 14365 TILEPROP CLBLM_L_X20Y13 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y13 GRID_POINT_Y 142 TILEPROP CLBLM_L_X20Y13 INDEX 16382 TILEPROP CLBLM_L_X20Y13 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y13 INT_TILE_Y 136 TILEPROP CLBLM_L_X20Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y13 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y13 NAME CLBLM_L_X20Y13 TILEPROP CLBLM_L_X20Y13 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y13 NUM_SITES 2 TILEPROP CLBLM_L_X20Y13 ROW 142 TILEPROP CLBLM_L_X20Y13 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y13 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y13 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y13 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y13 TILE_X -8652 TILEPROP CLBLM_L_X20Y13 TILE_Y -198072 TILEPROP CLBLM_L_X20Y13 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y14 CLASS tile TILEPROP CLBLM_L_X20Y14 COLUMN 52 TILEPROP CLBLM_L_X20Y14 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y14 FIRST_SITE_ID 14265 TILEPROP CLBLM_L_X20Y14 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y14 GRID_POINT_Y 141 TILEPROP CLBLM_L_X20Y14 INDEX 16267 TILEPROP CLBLM_L_X20Y14 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y14 INT_TILE_Y 135 TILEPROP CLBLM_L_X20Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y14 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y14 NAME CLBLM_L_X20Y14 TILEPROP CLBLM_L_X20Y14 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y14 NUM_SITES 2 TILEPROP CLBLM_L_X20Y14 ROW 141 TILEPROP CLBLM_L_X20Y14 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y14 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y14 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y14 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y14 TILE_X -8652 TILEPROP CLBLM_L_X20Y14 TILE_Y -194872 TILEPROP CLBLM_L_X20Y14 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y15 CLASS tile TILEPROP CLBLM_L_X20Y15 COLUMN 52 TILEPROP CLBLM_L_X20Y15 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y15 FIRST_SITE_ID 14156 TILEPROP CLBLM_L_X20Y15 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y15 GRID_POINT_Y 140 TILEPROP CLBLM_L_X20Y15 INDEX 16152 TILEPROP CLBLM_L_X20Y15 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y15 INT_TILE_Y 134 TILEPROP CLBLM_L_X20Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y15 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y15 NAME CLBLM_L_X20Y15 TILEPROP CLBLM_L_X20Y15 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y15 NUM_SITES 2 TILEPROP CLBLM_L_X20Y15 ROW 140 TILEPROP CLBLM_L_X20Y15 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y15 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y15 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y15 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y15 TILE_X -8652 TILEPROP CLBLM_L_X20Y15 TILE_Y -191672 TILEPROP CLBLM_L_X20Y15 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y16 CLASS tile TILEPROP CLBLM_L_X20Y16 COLUMN 52 TILEPROP CLBLM_L_X20Y16 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y16 FIRST_SITE_ID 14050 TILEPROP CLBLM_L_X20Y16 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y16 GRID_POINT_Y 139 TILEPROP CLBLM_L_X20Y16 INDEX 16037 TILEPROP CLBLM_L_X20Y16 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y16 INT_TILE_Y 133 TILEPROP CLBLM_L_X20Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y16 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y16 NAME CLBLM_L_X20Y16 TILEPROP CLBLM_L_X20Y16 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y16 NUM_SITES 2 TILEPROP CLBLM_L_X20Y16 ROW 139 TILEPROP CLBLM_L_X20Y16 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y16 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y16 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y16 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y16 TILE_X -8652 TILEPROP CLBLM_L_X20Y16 TILE_Y -188472 TILEPROP CLBLM_L_X20Y16 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y17 CLASS tile TILEPROP CLBLM_L_X20Y17 COLUMN 52 TILEPROP CLBLM_L_X20Y17 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y17 FIRST_SITE_ID 13945 TILEPROP CLBLM_L_X20Y17 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y17 GRID_POINT_Y 138 TILEPROP CLBLM_L_X20Y17 INDEX 15922 TILEPROP CLBLM_L_X20Y17 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y17 INT_TILE_Y 132 TILEPROP CLBLM_L_X20Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y17 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y17 NAME CLBLM_L_X20Y17 TILEPROP CLBLM_L_X20Y17 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y17 NUM_SITES 2 TILEPROP CLBLM_L_X20Y17 ROW 138 TILEPROP CLBLM_L_X20Y17 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y17 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y17 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y17 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y17 TILE_X -8652 TILEPROP CLBLM_L_X20Y17 TILE_Y -185272 TILEPROP CLBLM_L_X20Y17 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y18 CLASS tile TILEPROP CLBLM_L_X20Y18 COLUMN 52 TILEPROP CLBLM_L_X20Y18 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y18 FIRST_SITE_ID 13841 TILEPROP CLBLM_L_X20Y18 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y18 GRID_POINT_Y 137 TILEPROP CLBLM_L_X20Y18 INDEX 15807 TILEPROP CLBLM_L_X20Y18 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y18 INT_TILE_Y 131 TILEPROP CLBLM_L_X20Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y18 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y18 NAME CLBLM_L_X20Y18 TILEPROP CLBLM_L_X20Y18 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y18 NUM_SITES 2 TILEPROP CLBLM_L_X20Y18 ROW 137 TILEPROP CLBLM_L_X20Y18 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y18 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y18 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y18 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y18 TILE_X -8652 TILEPROP CLBLM_L_X20Y18 TILE_Y -182072 TILEPROP CLBLM_L_X20Y18 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y19 CLASS tile TILEPROP CLBLM_L_X20Y19 COLUMN 52 TILEPROP CLBLM_L_X20Y19 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y19 FIRST_SITE_ID 13739 TILEPROP CLBLM_L_X20Y19 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y19 GRID_POINT_Y 136 TILEPROP CLBLM_L_X20Y19 INDEX 15692 TILEPROP CLBLM_L_X20Y19 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y19 INT_TILE_Y 130 TILEPROP CLBLM_L_X20Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y19 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y19 NAME CLBLM_L_X20Y19 TILEPROP CLBLM_L_X20Y19 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y19 NUM_SITES 2 TILEPROP CLBLM_L_X20Y19 ROW 136 TILEPROP CLBLM_L_X20Y19 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y19 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y19 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y19 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y19 TILE_X -8652 TILEPROP CLBLM_L_X20Y19 TILE_Y -178872 TILEPROP CLBLM_L_X20Y19 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y20 CLASS tile TILEPROP CLBLM_L_X20Y20 COLUMN 52 TILEPROP CLBLM_L_X20Y20 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y20 FIRST_SITE_ID 13628 TILEPROP CLBLM_L_X20Y20 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y20 GRID_POINT_Y 135 TILEPROP CLBLM_L_X20Y20 INDEX 15577 TILEPROP CLBLM_L_X20Y20 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y20 INT_TILE_Y 129 TILEPROP CLBLM_L_X20Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y20 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y20 NAME CLBLM_L_X20Y20 TILEPROP CLBLM_L_X20Y20 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y20 NUM_SITES 2 TILEPROP CLBLM_L_X20Y20 ROW 135 TILEPROP CLBLM_L_X20Y20 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y20 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y20 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y20 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y20 TILE_X -8652 TILEPROP CLBLM_L_X20Y20 TILE_Y -175672 TILEPROP CLBLM_L_X20Y20 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y21 CLASS tile TILEPROP CLBLM_L_X20Y21 COLUMN 52 TILEPROP CLBLM_L_X20Y21 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y21 FIRST_SITE_ID 13522 TILEPROP CLBLM_L_X20Y21 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y21 GRID_POINT_Y 134 TILEPROP CLBLM_L_X20Y21 INDEX 15462 TILEPROP CLBLM_L_X20Y21 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y21 INT_TILE_Y 128 TILEPROP CLBLM_L_X20Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y21 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y21 NAME CLBLM_L_X20Y21 TILEPROP CLBLM_L_X20Y21 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y21 NUM_SITES 2 TILEPROP CLBLM_L_X20Y21 ROW 134 TILEPROP CLBLM_L_X20Y21 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y21 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y21 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y21 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y21 TILE_X -8652 TILEPROP CLBLM_L_X20Y21 TILE_Y -172472 TILEPROP CLBLM_L_X20Y21 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y22 CLASS tile TILEPROP CLBLM_L_X20Y22 COLUMN 52 TILEPROP CLBLM_L_X20Y22 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y22 FIRST_SITE_ID 13422 TILEPROP CLBLM_L_X20Y22 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y22 GRID_POINT_Y 133 TILEPROP CLBLM_L_X20Y22 INDEX 15347 TILEPROP CLBLM_L_X20Y22 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y22 INT_TILE_Y 127 TILEPROP CLBLM_L_X20Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y22 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y22 NAME CLBLM_L_X20Y22 TILEPROP CLBLM_L_X20Y22 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y22 NUM_SITES 2 TILEPROP CLBLM_L_X20Y22 ROW 133 TILEPROP CLBLM_L_X20Y22 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y22 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y22 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y22 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y22 TILE_X -8652 TILEPROP CLBLM_L_X20Y22 TILE_Y -169272 TILEPROP CLBLM_L_X20Y22 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y23 CLASS tile TILEPROP CLBLM_L_X20Y23 COLUMN 52 TILEPROP CLBLM_L_X20Y23 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y23 FIRST_SITE_ID 13322 TILEPROP CLBLM_L_X20Y23 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y23 GRID_POINT_Y 132 TILEPROP CLBLM_L_X20Y23 INDEX 15232 TILEPROP CLBLM_L_X20Y23 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y23 INT_TILE_Y 126 TILEPROP CLBLM_L_X20Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y23 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y23 NAME CLBLM_L_X20Y23 TILEPROP CLBLM_L_X20Y23 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y23 NUM_SITES 2 TILEPROP CLBLM_L_X20Y23 ROW 132 TILEPROP CLBLM_L_X20Y23 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y23 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y23 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y23 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y23 TILE_X -8652 TILEPROP CLBLM_L_X20Y23 TILE_Y -166072 TILEPROP CLBLM_L_X20Y23 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y24 CLASS tile TILEPROP CLBLM_L_X20Y24 COLUMN 52 TILEPROP CLBLM_L_X20Y24 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y24 FIRST_SITE_ID 13222 TILEPROP CLBLM_L_X20Y24 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y24 GRID_POINT_Y 131 TILEPROP CLBLM_L_X20Y24 INDEX 15117 TILEPROP CLBLM_L_X20Y24 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y24 INT_TILE_Y 125 TILEPROP CLBLM_L_X20Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y24 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y24 NAME CLBLM_L_X20Y24 TILEPROP CLBLM_L_X20Y24 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y24 NUM_SITES 2 TILEPROP CLBLM_L_X20Y24 ROW 131 TILEPROP CLBLM_L_X20Y24 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y24 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X20Y24 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y24 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y24 TILE_X -8652 TILEPROP CLBLM_L_X20Y24 TILE_Y -162872 TILEPROP CLBLM_L_X20Y24 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y25 CLASS tile TILEPROP CLBLM_L_X20Y25 COLUMN 52 TILEPROP CLBLM_L_X20Y25 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y25 FIRST_SITE_ID 13030 TILEPROP CLBLM_L_X20Y25 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y25 GRID_POINT_Y 129 TILEPROP CLBLM_L_X20Y25 INDEX 14887 TILEPROP CLBLM_L_X20Y25 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y25 INT_TILE_Y 124 TILEPROP CLBLM_L_X20Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y25 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y25 NAME CLBLM_L_X20Y25 TILEPROP CLBLM_L_X20Y25 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y25 NUM_SITES 2 TILEPROP CLBLM_L_X20Y25 ROW 129 TILEPROP CLBLM_L_X20Y25 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y25 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X20Y25 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y25 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y25 TILE_X -8652 TILEPROP CLBLM_L_X20Y25 TILE_Y -158648 TILEPROP CLBLM_L_X20Y25 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y26 CLASS tile TILEPROP CLBLM_L_X20Y26 COLUMN 52 TILEPROP CLBLM_L_X20Y26 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y26 FIRST_SITE_ID 12924 TILEPROP CLBLM_L_X20Y26 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y26 GRID_POINT_Y 128 TILEPROP CLBLM_L_X20Y26 INDEX 14772 TILEPROP CLBLM_L_X20Y26 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y26 INT_TILE_Y 123 TILEPROP CLBLM_L_X20Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y26 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y26 NAME CLBLM_L_X20Y26 TILEPROP CLBLM_L_X20Y26 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y26 NUM_SITES 2 TILEPROP CLBLM_L_X20Y26 ROW 128 TILEPROP CLBLM_L_X20Y26 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y26 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y26 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y26 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y26 TILE_X -8652 TILEPROP CLBLM_L_X20Y26 TILE_Y -155448 TILEPROP CLBLM_L_X20Y26 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y27 CLASS tile TILEPROP CLBLM_L_X20Y27 COLUMN 52 TILEPROP CLBLM_L_X20Y27 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y27 FIRST_SITE_ID 12824 TILEPROP CLBLM_L_X20Y27 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y27 GRID_POINT_Y 127 TILEPROP CLBLM_L_X20Y27 INDEX 14657 TILEPROP CLBLM_L_X20Y27 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y27 INT_TILE_Y 122 TILEPROP CLBLM_L_X20Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y27 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y27 NAME CLBLM_L_X20Y27 TILEPROP CLBLM_L_X20Y27 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y27 NUM_SITES 2 TILEPROP CLBLM_L_X20Y27 ROW 127 TILEPROP CLBLM_L_X20Y27 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y27 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y27 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y27 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y27 TILE_X -8652 TILEPROP CLBLM_L_X20Y27 TILE_Y -152248 TILEPROP CLBLM_L_X20Y27 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y28 CLASS tile TILEPROP CLBLM_L_X20Y28 COLUMN 52 TILEPROP CLBLM_L_X20Y28 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y28 FIRST_SITE_ID 12724 TILEPROP CLBLM_L_X20Y28 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y28 GRID_POINT_Y 126 TILEPROP CLBLM_L_X20Y28 INDEX 14542 TILEPROP CLBLM_L_X20Y28 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y28 INT_TILE_Y 121 TILEPROP CLBLM_L_X20Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y28 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y28 NAME CLBLM_L_X20Y28 TILEPROP CLBLM_L_X20Y28 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y28 NUM_SITES 2 TILEPROP CLBLM_L_X20Y28 ROW 126 TILEPROP CLBLM_L_X20Y28 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y28 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y28 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y28 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y28 TILE_X -8652 TILEPROP CLBLM_L_X20Y28 TILE_Y -149048 TILEPROP CLBLM_L_X20Y28 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y29 CLASS tile TILEPROP CLBLM_L_X20Y29 COLUMN 52 TILEPROP CLBLM_L_X20Y29 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y29 FIRST_SITE_ID 12618 TILEPROP CLBLM_L_X20Y29 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y29 GRID_POINT_Y 125 TILEPROP CLBLM_L_X20Y29 INDEX 14427 TILEPROP CLBLM_L_X20Y29 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y29 INT_TILE_Y 120 TILEPROP CLBLM_L_X20Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y29 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y29 NAME CLBLM_L_X20Y29 TILEPROP CLBLM_L_X20Y29 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y29 NUM_SITES 2 TILEPROP CLBLM_L_X20Y29 ROW 125 TILEPROP CLBLM_L_X20Y29 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y29 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y29 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y29 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y29 TILE_X -8652 TILEPROP CLBLM_L_X20Y29 TILE_Y -145848 TILEPROP CLBLM_L_X20Y29 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y30 CLASS tile TILEPROP CLBLM_L_X20Y30 COLUMN 52 TILEPROP CLBLM_L_X20Y30 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y30 FIRST_SITE_ID 12503 TILEPROP CLBLM_L_X20Y30 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y30 GRID_POINT_Y 124 TILEPROP CLBLM_L_X20Y30 INDEX 14312 TILEPROP CLBLM_L_X20Y30 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y30 INT_TILE_Y 119 TILEPROP CLBLM_L_X20Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y30 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y30 NAME CLBLM_L_X20Y30 TILEPROP CLBLM_L_X20Y30 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y30 NUM_SITES 2 TILEPROP CLBLM_L_X20Y30 ROW 124 TILEPROP CLBLM_L_X20Y30 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y30 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y30 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y30 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y30 TILE_X -8652 TILEPROP CLBLM_L_X20Y30 TILE_Y -142648 TILEPROP CLBLM_L_X20Y30 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y31 CLASS tile TILEPROP CLBLM_L_X20Y31 COLUMN 52 TILEPROP CLBLM_L_X20Y31 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y31 FIRST_SITE_ID 12382 TILEPROP CLBLM_L_X20Y31 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y31 GRID_POINT_Y 123 TILEPROP CLBLM_L_X20Y31 INDEX 14197 TILEPROP CLBLM_L_X20Y31 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y31 INT_TILE_Y 118 TILEPROP CLBLM_L_X20Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y31 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y31 NAME CLBLM_L_X20Y31 TILEPROP CLBLM_L_X20Y31 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y31 NUM_SITES 2 TILEPROP CLBLM_L_X20Y31 ROW 123 TILEPROP CLBLM_L_X20Y31 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y31 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y31 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y31 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y31 TILE_X -8652 TILEPROP CLBLM_L_X20Y31 TILE_Y -139448 TILEPROP CLBLM_L_X20Y31 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y32 CLASS tile TILEPROP CLBLM_L_X20Y32 COLUMN 52 TILEPROP CLBLM_L_X20Y32 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y32 FIRST_SITE_ID 12279 TILEPROP CLBLM_L_X20Y32 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y32 GRID_POINT_Y 122 TILEPROP CLBLM_L_X20Y32 INDEX 14082 TILEPROP CLBLM_L_X20Y32 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y32 INT_TILE_Y 117 TILEPROP CLBLM_L_X20Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y32 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y32 NAME CLBLM_L_X20Y32 TILEPROP CLBLM_L_X20Y32 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y32 NUM_SITES 2 TILEPROP CLBLM_L_X20Y32 ROW 122 TILEPROP CLBLM_L_X20Y32 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y32 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y32 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y32 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y32 TILE_X -8652 TILEPROP CLBLM_L_X20Y32 TILE_Y -136248 TILEPROP CLBLM_L_X20Y32 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y33 CLASS tile TILEPROP CLBLM_L_X20Y33 COLUMN 52 TILEPROP CLBLM_L_X20Y33 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y33 FIRST_SITE_ID 12179 TILEPROP CLBLM_L_X20Y33 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y33 GRID_POINT_Y 121 TILEPROP CLBLM_L_X20Y33 INDEX 13967 TILEPROP CLBLM_L_X20Y33 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y33 INT_TILE_Y 116 TILEPROP CLBLM_L_X20Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y33 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y33 NAME CLBLM_L_X20Y33 TILEPROP CLBLM_L_X20Y33 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y33 NUM_SITES 2 TILEPROP CLBLM_L_X20Y33 ROW 121 TILEPROP CLBLM_L_X20Y33 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y33 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y33 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y33 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y33 TILE_X -8652 TILEPROP CLBLM_L_X20Y33 TILE_Y -133048 TILEPROP CLBLM_L_X20Y33 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y34 CLASS tile TILEPROP CLBLM_L_X20Y34 COLUMN 52 TILEPROP CLBLM_L_X20Y34 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y34 FIRST_SITE_ID 12079 TILEPROP CLBLM_L_X20Y34 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y34 GRID_POINT_Y 120 TILEPROP CLBLM_L_X20Y34 INDEX 13852 TILEPROP CLBLM_L_X20Y34 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y34 INT_TILE_Y 115 TILEPROP CLBLM_L_X20Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y34 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y34 NAME CLBLM_L_X20Y34 TILEPROP CLBLM_L_X20Y34 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y34 NUM_SITES 2 TILEPROP CLBLM_L_X20Y34 ROW 120 TILEPROP CLBLM_L_X20Y34 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y34 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y34 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y34 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y34 TILE_X -8652 TILEPROP CLBLM_L_X20Y34 TILE_Y -129848 TILEPROP CLBLM_L_X20Y34 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y35 CLASS tile TILEPROP CLBLM_L_X20Y35 COLUMN 52 TILEPROP CLBLM_L_X20Y35 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y35 FIRST_SITE_ID 11970 TILEPROP CLBLM_L_X20Y35 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y35 GRID_POINT_Y 119 TILEPROP CLBLM_L_X20Y35 INDEX 13737 TILEPROP CLBLM_L_X20Y35 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y35 INT_TILE_Y 114 TILEPROP CLBLM_L_X20Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y35 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y35 NAME CLBLM_L_X20Y35 TILEPROP CLBLM_L_X20Y35 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y35 NUM_SITES 2 TILEPROP CLBLM_L_X20Y35 ROW 119 TILEPROP CLBLM_L_X20Y35 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y35 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y35 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y35 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y35 TILE_X -8652 TILEPROP CLBLM_L_X20Y35 TILE_Y -126648 TILEPROP CLBLM_L_X20Y35 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y36 CLASS tile TILEPROP CLBLM_L_X20Y36 COLUMN 52 TILEPROP CLBLM_L_X20Y36 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y36 FIRST_SITE_ID 11832 TILEPROP CLBLM_L_X20Y36 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y36 GRID_POINT_Y 118 TILEPROP CLBLM_L_X20Y36 INDEX 13622 TILEPROP CLBLM_L_X20Y36 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y36 INT_TILE_Y 113 TILEPROP CLBLM_L_X20Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y36 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y36 NAME CLBLM_L_X20Y36 TILEPROP CLBLM_L_X20Y36 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y36 NUM_SITES 2 TILEPROP CLBLM_L_X20Y36 ROW 118 TILEPROP CLBLM_L_X20Y36 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y36 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y36 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y36 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y36 TILE_X -8652 TILEPROP CLBLM_L_X20Y36 TILE_Y -123448 TILEPROP CLBLM_L_X20Y36 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y37 CLASS tile TILEPROP CLBLM_L_X20Y37 COLUMN 52 TILEPROP CLBLM_L_X20Y37 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y37 FIRST_SITE_ID 11732 TILEPROP CLBLM_L_X20Y37 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y37 GRID_POINT_Y 117 TILEPROP CLBLM_L_X20Y37 INDEX 13507 TILEPROP CLBLM_L_X20Y37 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y37 INT_TILE_Y 112 TILEPROP CLBLM_L_X20Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y37 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y37 NAME CLBLM_L_X20Y37 TILEPROP CLBLM_L_X20Y37 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y37 NUM_SITES 2 TILEPROP CLBLM_L_X20Y37 ROW 117 TILEPROP CLBLM_L_X20Y37 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y37 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y37 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y37 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y37 TILE_X -8652 TILEPROP CLBLM_L_X20Y37 TILE_Y -120248 TILEPROP CLBLM_L_X20Y37 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y38 CLASS tile TILEPROP CLBLM_L_X20Y38 COLUMN 52 TILEPROP CLBLM_L_X20Y38 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y38 FIRST_SITE_ID 11632 TILEPROP CLBLM_L_X20Y38 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y38 GRID_POINT_Y 116 TILEPROP CLBLM_L_X20Y38 INDEX 13392 TILEPROP CLBLM_L_X20Y38 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y38 INT_TILE_Y 111 TILEPROP CLBLM_L_X20Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y38 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y38 NAME CLBLM_L_X20Y38 TILEPROP CLBLM_L_X20Y38 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y38 NUM_SITES 2 TILEPROP CLBLM_L_X20Y38 ROW 116 TILEPROP CLBLM_L_X20Y38 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y38 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y38 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y38 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y38 TILE_X -8652 TILEPROP CLBLM_L_X20Y38 TILE_Y -117048 TILEPROP CLBLM_L_X20Y38 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y39 CLASS tile TILEPROP CLBLM_L_X20Y39 COLUMN 52 TILEPROP CLBLM_L_X20Y39 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y39 FIRST_SITE_ID 11532 TILEPROP CLBLM_L_X20Y39 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y39 GRID_POINT_Y 115 TILEPROP CLBLM_L_X20Y39 INDEX 13277 TILEPROP CLBLM_L_X20Y39 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y39 INT_TILE_Y 110 TILEPROP CLBLM_L_X20Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y39 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y39 NAME CLBLM_L_X20Y39 TILEPROP CLBLM_L_X20Y39 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y39 NUM_SITES 2 TILEPROP CLBLM_L_X20Y39 ROW 115 TILEPROP CLBLM_L_X20Y39 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y39 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y39 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y39 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y39 TILE_X -8652 TILEPROP CLBLM_L_X20Y39 TILE_Y -113848 TILEPROP CLBLM_L_X20Y39 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y40 CLASS tile TILEPROP CLBLM_L_X20Y40 COLUMN 52 TILEPROP CLBLM_L_X20Y40 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y40 FIRST_SITE_ID 11423 TILEPROP CLBLM_L_X20Y40 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y40 GRID_POINT_Y 114 TILEPROP CLBLM_L_X20Y40 INDEX 13162 TILEPROP CLBLM_L_X20Y40 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y40 INT_TILE_Y 109 TILEPROP CLBLM_L_X20Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y40 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y40 NAME CLBLM_L_X20Y40 TILEPROP CLBLM_L_X20Y40 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y40 NUM_SITES 2 TILEPROP CLBLM_L_X20Y40 ROW 114 TILEPROP CLBLM_L_X20Y40 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y40 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y40 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y40 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y40 TILE_X -8652 TILEPROP CLBLM_L_X20Y40 TILE_Y -110648 TILEPROP CLBLM_L_X20Y40 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y41 CLASS tile TILEPROP CLBLM_L_X20Y41 COLUMN 52 TILEPROP CLBLM_L_X20Y41 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y41 FIRST_SITE_ID 11314 TILEPROP CLBLM_L_X20Y41 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y41 GRID_POINT_Y 113 TILEPROP CLBLM_L_X20Y41 INDEX 13047 TILEPROP CLBLM_L_X20Y41 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y41 INT_TILE_Y 108 TILEPROP CLBLM_L_X20Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y41 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y41 NAME CLBLM_L_X20Y41 TILEPROP CLBLM_L_X20Y41 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y41 NUM_SITES 2 TILEPROP CLBLM_L_X20Y41 ROW 113 TILEPROP CLBLM_L_X20Y41 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y41 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y41 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y41 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y41 TILE_X -8652 TILEPROP CLBLM_L_X20Y41 TILE_Y -107448 TILEPROP CLBLM_L_X20Y41 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y42 CLASS tile TILEPROP CLBLM_L_X20Y42 COLUMN 52 TILEPROP CLBLM_L_X20Y42 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y42 FIRST_SITE_ID 11213 TILEPROP CLBLM_L_X20Y42 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y42 GRID_POINT_Y 112 TILEPROP CLBLM_L_X20Y42 INDEX 12932 TILEPROP CLBLM_L_X20Y42 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y42 INT_TILE_Y 107 TILEPROP CLBLM_L_X20Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y42 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y42 NAME CLBLM_L_X20Y42 TILEPROP CLBLM_L_X20Y42 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y42 NUM_SITES 2 TILEPROP CLBLM_L_X20Y42 ROW 112 TILEPROP CLBLM_L_X20Y42 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y42 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y42 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y42 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y42 TILE_X -8652 TILEPROP CLBLM_L_X20Y42 TILE_Y -104248 TILEPROP CLBLM_L_X20Y42 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y43 CLASS tile TILEPROP CLBLM_L_X20Y43 COLUMN 52 TILEPROP CLBLM_L_X20Y43 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y43 FIRST_SITE_ID 11110 TILEPROP CLBLM_L_X20Y43 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y43 GRID_POINT_Y 111 TILEPROP CLBLM_L_X20Y43 INDEX 12817 TILEPROP CLBLM_L_X20Y43 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y43 INT_TILE_Y 106 TILEPROP CLBLM_L_X20Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y43 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y43 NAME CLBLM_L_X20Y43 TILEPROP CLBLM_L_X20Y43 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y43 NUM_SITES 2 TILEPROP CLBLM_L_X20Y43 ROW 111 TILEPROP CLBLM_L_X20Y43 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y43 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y43 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y43 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y43 TILE_X -8652 TILEPROP CLBLM_L_X20Y43 TILE_Y -101048 TILEPROP CLBLM_L_X20Y43 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y44 CLASS tile TILEPROP CLBLM_L_X20Y44 COLUMN 52 TILEPROP CLBLM_L_X20Y44 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y44 FIRST_SITE_ID 11008 TILEPROP CLBLM_L_X20Y44 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y44 GRID_POINT_Y 110 TILEPROP CLBLM_L_X20Y44 INDEX 12702 TILEPROP CLBLM_L_X20Y44 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y44 INT_TILE_Y 105 TILEPROP CLBLM_L_X20Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y44 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y44 NAME CLBLM_L_X20Y44 TILEPROP CLBLM_L_X20Y44 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y44 NUM_SITES 2 TILEPROP CLBLM_L_X20Y44 ROW 110 TILEPROP CLBLM_L_X20Y44 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y44 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y44 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y44 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y44 TILE_X -8652 TILEPROP CLBLM_L_X20Y44 TILE_Y -97848 TILEPROP CLBLM_L_X20Y44 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y45 CLASS tile TILEPROP CLBLM_L_X20Y45 COLUMN 52 TILEPROP CLBLM_L_X20Y45 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y45 FIRST_SITE_ID 10898 TILEPROP CLBLM_L_X20Y45 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y45 GRID_POINT_Y 109 TILEPROP CLBLM_L_X20Y45 INDEX 12587 TILEPROP CLBLM_L_X20Y45 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y45 INT_TILE_Y 104 TILEPROP CLBLM_L_X20Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y45 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y45 NAME CLBLM_L_X20Y45 TILEPROP CLBLM_L_X20Y45 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y45 NUM_SITES 2 TILEPROP CLBLM_L_X20Y45 ROW 109 TILEPROP CLBLM_L_X20Y45 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y45 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y45 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y45 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y45 TILE_X -8652 TILEPROP CLBLM_L_X20Y45 TILE_Y -94648 TILEPROP CLBLM_L_X20Y45 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y46 CLASS tile TILEPROP CLBLM_L_X20Y46 COLUMN 52 TILEPROP CLBLM_L_X20Y46 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y46 FIRST_SITE_ID 10776 TILEPROP CLBLM_L_X20Y46 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y46 GRID_POINT_Y 108 TILEPROP CLBLM_L_X20Y46 INDEX 12472 TILEPROP CLBLM_L_X20Y46 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y46 INT_TILE_Y 103 TILEPROP CLBLM_L_X20Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y46 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y46 NAME CLBLM_L_X20Y46 TILEPROP CLBLM_L_X20Y46 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y46 NUM_SITES 2 TILEPROP CLBLM_L_X20Y46 ROW 108 TILEPROP CLBLM_L_X20Y46 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y46 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y46 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y46 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y46 TILE_X -8652 TILEPROP CLBLM_L_X20Y46 TILE_Y -91448 TILEPROP CLBLM_L_X20Y46 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y47 CLASS tile TILEPROP CLBLM_L_X20Y47 COLUMN 52 TILEPROP CLBLM_L_X20Y47 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y47 FIRST_SITE_ID 10676 TILEPROP CLBLM_L_X20Y47 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y47 GRID_POINT_Y 107 TILEPROP CLBLM_L_X20Y47 INDEX 12357 TILEPROP CLBLM_L_X20Y47 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y47 INT_TILE_Y 102 TILEPROP CLBLM_L_X20Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y47 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y47 NAME CLBLM_L_X20Y47 TILEPROP CLBLM_L_X20Y47 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y47 NUM_SITES 2 TILEPROP CLBLM_L_X20Y47 ROW 107 TILEPROP CLBLM_L_X20Y47 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y47 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y47 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y47 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y47 TILE_X -8652 TILEPROP CLBLM_L_X20Y47 TILE_Y -88248 TILEPROP CLBLM_L_X20Y47 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y48 CLASS tile TILEPROP CLBLM_L_X20Y48 COLUMN 52 TILEPROP CLBLM_L_X20Y48 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y48 FIRST_SITE_ID 10576 TILEPROP CLBLM_L_X20Y48 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y48 GRID_POINT_Y 106 TILEPROP CLBLM_L_X20Y48 INDEX 12242 TILEPROP CLBLM_L_X20Y48 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y48 INT_TILE_Y 101 TILEPROP CLBLM_L_X20Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y48 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y48 NAME CLBLM_L_X20Y48 TILEPROP CLBLM_L_X20Y48 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y48 NUM_SITES 2 TILEPROP CLBLM_L_X20Y48 ROW 106 TILEPROP CLBLM_L_X20Y48 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y48 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y48 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y48 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y48 TILE_X -8652 TILEPROP CLBLM_L_X20Y48 TILE_Y -85048 TILEPROP CLBLM_L_X20Y48 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y49 CLASS tile TILEPROP CLBLM_L_X20Y49 COLUMN 52 TILEPROP CLBLM_L_X20Y49 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y49 FIRST_SITE_ID 10480 TILEPROP CLBLM_L_X20Y49 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y49 GRID_POINT_Y 105 TILEPROP CLBLM_L_X20Y49 INDEX 12127 TILEPROP CLBLM_L_X20Y49 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y49 INT_TILE_Y 100 TILEPROP CLBLM_L_X20Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y49 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y49 NAME CLBLM_L_X20Y49 TILEPROP CLBLM_L_X20Y49 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y49 NUM_SITES 2 TILEPROP CLBLM_L_X20Y49 ROW 105 TILEPROP CLBLM_L_X20Y49 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y49 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X20Y49 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y49 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y49 TILE_X -8652 TILEPROP CLBLM_L_X20Y49 TILE_Y -81848 TILEPROP CLBLM_L_X20Y49 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y50 CLASS tile TILEPROP CLBLM_L_X20Y50 COLUMN 52 TILEPROP CLBLM_L_X20Y50 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y50 FIRST_SITE_ID 10355 TILEPROP CLBLM_L_X20Y50 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y50 GRID_POINT_Y 103 TILEPROP CLBLM_L_X20Y50 INDEX 11897 TILEPROP CLBLM_L_X20Y50 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y50 INT_TILE_Y 99 TILEPROP CLBLM_L_X20Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y50 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y50 NAME CLBLM_L_X20Y50 TILEPROP CLBLM_L_X20Y50 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y50 NUM_SITES 2 TILEPROP CLBLM_L_X20Y50 ROW 103 TILEPROP CLBLM_L_X20Y50 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y50 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X20Y50 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y50 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y50 TILE_X -8652 TILEPROP CLBLM_L_X20Y50 TILE_Y -78400 TILEPROP CLBLM_L_X20Y50 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y51 CLASS tile TILEPROP CLBLM_L_X20Y51 COLUMN 52 TILEPROP CLBLM_L_X20Y51 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y51 FIRST_SITE_ID 10245 TILEPROP CLBLM_L_X20Y51 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y51 GRID_POINT_Y 102 TILEPROP CLBLM_L_X20Y51 INDEX 11782 TILEPROP CLBLM_L_X20Y51 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y51 INT_TILE_Y 98 TILEPROP CLBLM_L_X20Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y51 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y51 NAME CLBLM_L_X20Y51 TILEPROP CLBLM_L_X20Y51 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y51 NUM_SITES 2 TILEPROP CLBLM_L_X20Y51 ROW 102 TILEPROP CLBLM_L_X20Y51 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y51 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y51 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y51 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y51 TILE_X -8652 TILEPROP CLBLM_L_X20Y51 TILE_Y -75200 TILEPROP CLBLM_L_X20Y51 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y52 CLASS tile TILEPROP CLBLM_L_X20Y52 COLUMN 52 TILEPROP CLBLM_L_X20Y52 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y52 FIRST_SITE_ID 10145 TILEPROP CLBLM_L_X20Y52 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y52 GRID_POINT_Y 101 TILEPROP CLBLM_L_X20Y52 INDEX 11667 TILEPROP CLBLM_L_X20Y52 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y52 INT_TILE_Y 97 TILEPROP CLBLM_L_X20Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y52 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y52 NAME CLBLM_L_X20Y52 TILEPROP CLBLM_L_X20Y52 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y52 NUM_SITES 2 TILEPROP CLBLM_L_X20Y52 ROW 101 TILEPROP CLBLM_L_X20Y52 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y52 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y52 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y52 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y52 TILE_X -8652 TILEPROP CLBLM_L_X20Y52 TILE_Y -72000 TILEPROP CLBLM_L_X20Y52 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y53 CLASS tile TILEPROP CLBLM_L_X20Y53 COLUMN 52 TILEPROP CLBLM_L_X20Y53 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y53 FIRST_SITE_ID 10045 TILEPROP CLBLM_L_X20Y53 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y53 GRID_POINT_Y 100 TILEPROP CLBLM_L_X20Y53 INDEX 11552 TILEPROP CLBLM_L_X20Y53 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y53 INT_TILE_Y 96 TILEPROP CLBLM_L_X20Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y53 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y53 NAME CLBLM_L_X20Y53 TILEPROP CLBLM_L_X20Y53 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y53 NUM_SITES 2 TILEPROP CLBLM_L_X20Y53 ROW 100 TILEPROP CLBLM_L_X20Y53 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y53 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y53 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y53 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y53 TILE_X -8652 TILEPROP CLBLM_L_X20Y53 TILE_Y -68800 TILEPROP CLBLM_L_X20Y53 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y54 CLASS tile TILEPROP CLBLM_L_X20Y54 COLUMN 52 TILEPROP CLBLM_L_X20Y54 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y54 FIRST_SITE_ID 9945 TILEPROP CLBLM_L_X20Y54 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y54 GRID_POINT_Y 99 TILEPROP CLBLM_L_X20Y54 INDEX 11437 TILEPROP CLBLM_L_X20Y54 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y54 INT_TILE_Y 95 TILEPROP CLBLM_L_X20Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y54 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y54 NAME CLBLM_L_X20Y54 TILEPROP CLBLM_L_X20Y54 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y54 NUM_SITES 2 TILEPROP CLBLM_L_X20Y54 ROW 99 TILEPROP CLBLM_L_X20Y54 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y54 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y54 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y54 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y54 TILE_X -8652 TILEPROP CLBLM_L_X20Y54 TILE_Y -65600 TILEPROP CLBLM_L_X20Y54 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y55 CLASS tile TILEPROP CLBLM_L_X20Y55 COLUMN 52 TILEPROP CLBLM_L_X20Y55 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y55 FIRST_SITE_ID 9836 TILEPROP CLBLM_L_X20Y55 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y55 GRID_POINT_Y 98 TILEPROP CLBLM_L_X20Y55 INDEX 11322 TILEPROP CLBLM_L_X20Y55 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y55 INT_TILE_Y 94 TILEPROP CLBLM_L_X20Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y55 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y55 NAME CLBLM_L_X20Y55 TILEPROP CLBLM_L_X20Y55 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y55 NUM_SITES 2 TILEPROP CLBLM_L_X20Y55 ROW 98 TILEPROP CLBLM_L_X20Y55 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y55 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y55 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y55 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y55 TILE_X -8652 TILEPROP CLBLM_L_X20Y55 TILE_Y -62400 TILEPROP CLBLM_L_X20Y55 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y56 CLASS tile TILEPROP CLBLM_L_X20Y56 COLUMN 52 TILEPROP CLBLM_L_X20Y56 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y56 FIRST_SITE_ID 9730 TILEPROP CLBLM_L_X20Y56 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y56 GRID_POINT_Y 97 TILEPROP CLBLM_L_X20Y56 INDEX 11207 TILEPROP CLBLM_L_X20Y56 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y56 INT_TILE_Y 93 TILEPROP CLBLM_L_X20Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y56 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y56 NAME CLBLM_L_X20Y56 TILEPROP CLBLM_L_X20Y56 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y56 NUM_SITES 2 TILEPROP CLBLM_L_X20Y56 ROW 97 TILEPROP CLBLM_L_X20Y56 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y56 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y56 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y56 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y56 TILE_X -8652 TILEPROP CLBLM_L_X20Y56 TILE_Y -59200 TILEPROP CLBLM_L_X20Y56 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y57 CLASS tile TILEPROP CLBLM_L_X20Y57 COLUMN 52 TILEPROP CLBLM_L_X20Y57 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y57 FIRST_SITE_ID 9628 TILEPROP CLBLM_L_X20Y57 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y57 GRID_POINT_Y 96 TILEPROP CLBLM_L_X20Y57 INDEX 11092 TILEPROP CLBLM_L_X20Y57 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y57 INT_TILE_Y 92 TILEPROP CLBLM_L_X20Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y57 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y57 NAME CLBLM_L_X20Y57 TILEPROP CLBLM_L_X20Y57 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y57 NUM_SITES 2 TILEPROP CLBLM_L_X20Y57 ROW 96 TILEPROP CLBLM_L_X20Y57 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y57 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y57 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y57 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y57 TILE_X -8652 TILEPROP CLBLM_L_X20Y57 TILE_Y -56000 TILEPROP CLBLM_L_X20Y57 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y58 CLASS tile TILEPROP CLBLM_L_X20Y58 COLUMN 52 TILEPROP CLBLM_L_X20Y58 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y58 FIRST_SITE_ID 9525 TILEPROP CLBLM_L_X20Y58 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y58 GRID_POINT_Y 95 TILEPROP CLBLM_L_X20Y58 INDEX 10977 TILEPROP CLBLM_L_X20Y58 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y58 INT_TILE_Y 91 TILEPROP CLBLM_L_X20Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y58 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y58 NAME CLBLM_L_X20Y58 TILEPROP CLBLM_L_X20Y58 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y58 NUM_SITES 2 TILEPROP CLBLM_L_X20Y58 ROW 95 TILEPROP CLBLM_L_X20Y58 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y58 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y58 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y58 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y58 TILE_X -8652 TILEPROP CLBLM_L_X20Y58 TILE_Y -52800 TILEPROP CLBLM_L_X20Y58 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y59 CLASS tile TILEPROP CLBLM_L_X20Y59 COLUMN 52 TILEPROP CLBLM_L_X20Y59 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y59 FIRST_SITE_ID 9424 TILEPROP CLBLM_L_X20Y59 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y59 GRID_POINT_Y 94 TILEPROP CLBLM_L_X20Y59 INDEX 10862 TILEPROP CLBLM_L_X20Y59 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y59 INT_TILE_Y 90 TILEPROP CLBLM_L_X20Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y59 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y59 NAME CLBLM_L_X20Y59 TILEPROP CLBLM_L_X20Y59 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y59 NUM_SITES 2 TILEPROP CLBLM_L_X20Y59 ROW 94 TILEPROP CLBLM_L_X20Y59 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y59 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y59 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y59 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y59 TILE_X -8652 TILEPROP CLBLM_L_X20Y59 TILE_Y -49600 TILEPROP CLBLM_L_X20Y59 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y60 CLASS tile TILEPROP CLBLM_L_X20Y60 COLUMN 52 TILEPROP CLBLM_L_X20Y60 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y60 FIRST_SITE_ID 9315 TILEPROP CLBLM_L_X20Y60 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y60 GRID_POINT_Y 93 TILEPROP CLBLM_L_X20Y60 INDEX 10747 TILEPROP CLBLM_L_X20Y60 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y60 INT_TILE_Y 89 TILEPROP CLBLM_L_X20Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y60 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y60 NAME CLBLM_L_X20Y60 TILEPROP CLBLM_L_X20Y60 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y60 NUM_SITES 2 TILEPROP CLBLM_L_X20Y60 ROW 93 TILEPROP CLBLM_L_X20Y60 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y60 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y60 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y60 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y60 TILE_X -8652 TILEPROP CLBLM_L_X20Y60 TILE_Y -46400 TILEPROP CLBLM_L_X20Y60 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y61 CLASS tile TILEPROP CLBLM_L_X20Y61 COLUMN 52 TILEPROP CLBLM_L_X20Y61 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y61 FIRST_SITE_ID 9209 TILEPROP CLBLM_L_X20Y61 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y61 GRID_POINT_Y 92 TILEPROP CLBLM_L_X20Y61 INDEX 10632 TILEPROP CLBLM_L_X20Y61 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y61 INT_TILE_Y 88 TILEPROP CLBLM_L_X20Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y61 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y61 NAME CLBLM_L_X20Y61 TILEPROP CLBLM_L_X20Y61 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y61 NUM_SITES 2 TILEPROP CLBLM_L_X20Y61 ROW 92 TILEPROP CLBLM_L_X20Y61 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y61 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y61 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y61 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y61 TILE_X -8652 TILEPROP CLBLM_L_X20Y61 TILE_Y -43200 TILEPROP CLBLM_L_X20Y61 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y62 CLASS tile TILEPROP CLBLM_L_X20Y62 COLUMN 52 TILEPROP CLBLM_L_X20Y62 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y62 FIRST_SITE_ID 9077 TILEPROP CLBLM_L_X20Y62 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y62 GRID_POINT_Y 91 TILEPROP CLBLM_L_X20Y62 INDEX 10517 TILEPROP CLBLM_L_X20Y62 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y62 INT_TILE_Y 87 TILEPROP CLBLM_L_X20Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y62 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y62 NAME CLBLM_L_X20Y62 TILEPROP CLBLM_L_X20Y62 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y62 NUM_SITES 2 TILEPROP CLBLM_L_X20Y62 ROW 91 TILEPROP CLBLM_L_X20Y62 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y62 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y62 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y62 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y62 TILE_X -8652 TILEPROP CLBLM_L_X20Y62 TILE_Y -40000 TILEPROP CLBLM_L_X20Y62 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y63 CLASS tile TILEPROP CLBLM_L_X20Y63 COLUMN 52 TILEPROP CLBLM_L_X20Y63 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y63 FIRST_SITE_ID 8977 TILEPROP CLBLM_L_X20Y63 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y63 GRID_POINT_Y 90 TILEPROP CLBLM_L_X20Y63 INDEX 10402 TILEPROP CLBLM_L_X20Y63 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y63 INT_TILE_Y 86 TILEPROP CLBLM_L_X20Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y63 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y63 NAME CLBLM_L_X20Y63 TILEPROP CLBLM_L_X20Y63 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y63 NUM_SITES 2 TILEPROP CLBLM_L_X20Y63 ROW 90 TILEPROP CLBLM_L_X20Y63 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y63 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y63 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y63 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y63 TILE_X -8652 TILEPROP CLBLM_L_X20Y63 TILE_Y -36800 TILEPROP CLBLM_L_X20Y63 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y64 CLASS tile TILEPROP CLBLM_L_X20Y64 COLUMN 52 TILEPROP CLBLM_L_X20Y64 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y64 FIRST_SITE_ID 8877 TILEPROP CLBLM_L_X20Y64 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y64 GRID_POINT_Y 89 TILEPROP CLBLM_L_X20Y64 INDEX 10287 TILEPROP CLBLM_L_X20Y64 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y64 INT_TILE_Y 85 TILEPROP CLBLM_L_X20Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y64 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y64 NAME CLBLM_L_X20Y64 TILEPROP CLBLM_L_X20Y64 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y64 NUM_SITES 2 TILEPROP CLBLM_L_X20Y64 ROW 89 TILEPROP CLBLM_L_X20Y64 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y64 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y64 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y64 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y64 TILE_X -8652 TILEPROP CLBLM_L_X20Y64 TILE_Y -33600 TILEPROP CLBLM_L_X20Y64 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y65 CLASS tile TILEPROP CLBLM_L_X20Y65 COLUMN 52 TILEPROP CLBLM_L_X20Y65 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y65 FIRST_SITE_ID 8768 TILEPROP CLBLM_L_X20Y65 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y65 GRID_POINT_Y 88 TILEPROP CLBLM_L_X20Y65 INDEX 10172 TILEPROP CLBLM_L_X20Y65 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y65 INT_TILE_Y 84 TILEPROP CLBLM_L_X20Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y65 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y65 NAME CLBLM_L_X20Y65 TILEPROP CLBLM_L_X20Y65 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y65 NUM_SITES 2 TILEPROP CLBLM_L_X20Y65 ROW 88 TILEPROP CLBLM_L_X20Y65 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y65 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y65 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y65 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y65 TILE_X -8652 TILEPROP CLBLM_L_X20Y65 TILE_Y -30400 TILEPROP CLBLM_L_X20Y65 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y66 CLASS tile TILEPROP CLBLM_L_X20Y66 COLUMN 52 TILEPROP CLBLM_L_X20Y66 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y66 FIRST_SITE_ID 8662 TILEPROP CLBLM_L_X20Y66 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y66 GRID_POINT_Y 87 TILEPROP CLBLM_L_X20Y66 INDEX 10057 TILEPROP CLBLM_L_X20Y66 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y66 INT_TILE_Y 83 TILEPROP CLBLM_L_X20Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y66 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y66 NAME CLBLM_L_X20Y66 TILEPROP CLBLM_L_X20Y66 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y66 NUM_SITES 2 TILEPROP CLBLM_L_X20Y66 ROW 87 TILEPROP CLBLM_L_X20Y66 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y66 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y66 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y66 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y66 TILE_X -8652 TILEPROP CLBLM_L_X20Y66 TILE_Y -27200 TILEPROP CLBLM_L_X20Y66 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y67 CLASS tile TILEPROP CLBLM_L_X20Y67 COLUMN 52 TILEPROP CLBLM_L_X20Y67 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y67 FIRST_SITE_ID 8558 TILEPROP CLBLM_L_X20Y67 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y67 GRID_POINT_Y 86 TILEPROP CLBLM_L_X20Y67 INDEX 9942 TILEPROP CLBLM_L_X20Y67 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y67 INT_TILE_Y 82 TILEPROP CLBLM_L_X20Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y67 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y67 NAME CLBLM_L_X20Y67 TILEPROP CLBLM_L_X20Y67 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y67 NUM_SITES 2 TILEPROP CLBLM_L_X20Y67 ROW 86 TILEPROP CLBLM_L_X20Y67 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y67 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y67 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y67 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y67 TILE_X -8652 TILEPROP CLBLM_L_X20Y67 TILE_Y -24000 TILEPROP CLBLM_L_X20Y67 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y68 CLASS tile TILEPROP CLBLM_L_X20Y68 COLUMN 52 TILEPROP CLBLM_L_X20Y68 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y68 FIRST_SITE_ID 8454 TILEPROP CLBLM_L_X20Y68 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y68 GRID_POINT_Y 85 TILEPROP CLBLM_L_X20Y68 INDEX 9827 TILEPROP CLBLM_L_X20Y68 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y68 INT_TILE_Y 81 TILEPROP CLBLM_L_X20Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y68 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y68 NAME CLBLM_L_X20Y68 TILEPROP CLBLM_L_X20Y68 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y68 NUM_SITES 2 TILEPROP CLBLM_L_X20Y68 ROW 85 TILEPROP CLBLM_L_X20Y68 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y68 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y68 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y68 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y68 TILE_X -8652 TILEPROP CLBLM_L_X20Y68 TILE_Y -20800 TILEPROP CLBLM_L_X20Y68 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y69 CLASS tile TILEPROP CLBLM_L_X20Y69 COLUMN 52 TILEPROP CLBLM_L_X20Y69 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y69 FIRST_SITE_ID 8352 TILEPROP CLBLM_L_X20Y69 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y69 GRID_POINT_Y 84 TILEPROP CLBLM_L_X20Y69 INDEX 9712 TILEPROP CLBLM_L_X20Y69 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y69 INT_TILE_Y 80 TILEPROP CLBLM_L_X20Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y69 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y69 NAME CLBLM_L_X20Y69 TILEPROP CLBLM_L_X20Y69 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y69 NUM_SITES 2 TILEPROP CLBLM_L_X20Y69 ROW 84 TILEPROP CLBLM_L_X20Y69 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y69 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y69 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y69 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y69 TILE_X -8652 TILEPROP CLBLM_L_X20Y69 TILE_Y -17600 TILEPROP CLBLM_L_X20Y69 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y70 CLASS tile TILEPROP CLBLM_L_X20Y70 COLUMN 52 TILEPROP CLBLM_L_X20Y70 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y70 FIRST_SITE_ID 8241 TILEPROP CLBLM_L_X20Y70 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y70 GRID_POINT_Y 83 TILEPROP CLBLM_L_X20Y70 INDEX 9597 TILEPROP CLBLM_L_X20Y70 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y70 INT_TILE_Y 79 TILEPROP CLBLM_L_X20Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y70 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y70 NAME CLBLM_L_X20Y70 TILEPROP CLBLM_L_X20Y70 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y70 NUM_SITES 2 TILEPROP CLBLM_L_X20Y70 ROW 83 TILEPROP CLBLM_L_X20Y70 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y70 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y70 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y70 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y70 TILE_X -8652 TILEPROP CLBLM_L_X20Y70 TILE_Y -14400 TILEPROP CLBLM_L_X20Y70 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y71 CLASS tile TILEPROP CLBLM_L_X20Y71 COLUMN 52 TILEPROP CLBLM_L_X20Y71 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y71 FIRST_SITE_ID 8135 TILEPROP CLBLM_L_X20Y71 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y71 GRID_POINT_Y 82 TILEPROP CLBLM_L_X20Y71 INDEX 9482 TILEPROP CLBLM_L_X20Y71 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y71 INT_TILE_Y 78 TILEPROP CLBLM_L_X20Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y71 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y71 NAME CLBLM_L_X20Y71 TILEPROP CLBLM_L_X20Y71 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y71 NUM_SITES 2 TILEPROP CLBLM_L_X20Y71 ROW 82 TILEPROP CLBLM_L_X20Y71 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y71 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y71 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y71 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y71 TILE_X -8652 TILEPROP CLBLM_L_X20Y71 TILE_Y -11200 TILEPROP CLBLM_L_X20Y71 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y72 CLASS tile TILEPROP CLBLM_L_X20Y72 COLUMN 52 TILEPROP CLBLM_L_X20Y72 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y72 FIRST_SITE_ID 8035 TILEPROP CLBLM_L_X20Y72 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y72 GRID_POINT_Y 81 TILEPROP CLBLM_L_X20Y72 INDEX 9367 TILEPROP CLBLM_L_X20Y72 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y72 INT_TILE_Y 77 TILEPROP CLBLM_L_X20Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y72 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y72 NAME CLBLM_L_X20Y72 TILEPROP CLBLM_L_X20Y72 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y72 NUM_SITES 2 TILEPROP CLBLM_L_X20Y72 ROW 81 TILEPROP CLBLM_L_X20Y72 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y72 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y72 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y72 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y72 TILE_X -8652 TILEPROP CLBLM_L_X20Y72 TILE_Y -8000 TILEPROP CLBLM_L_X20Y72 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y73 CLASS tile TILEPROP CLBLM_L_X20Y73 COLUMN 52 TILEPROP CLBLM_L_X20Y73 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y73 FIRST_SITE_ID 7935 TILEPROP CLBLM_L_X20Y73 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y73 GRID_POINT_Y 80 TILEPROP CLBLM_L_X20Y73 INDEX 9252 TILEPROP CLBLM_L_X20Y73 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y73 INT_TILE_Y 76 TILEPROP CLBLM_L_X20Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y73 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y73 NAME CLBLM_L_X20Y73 TILEPROP CLBLM_L_X20Y73 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y73 NUM_SITES 2 TILEPROP CLBLM_L_X20Y73 ROW 80 TILEPROP CLBLM_L_X20Y73 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y73 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y73 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y73 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y73 TILE_X -8652 TILEPROP CLBLM_L_X20Y73 TILE_Y -4800 TILEPROP CLBLM_L_X20Y73 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y74 CLASS tile TILEPROP CLBLM_L_X20Y74 COLUMN 52 TILEPROP CLBLM_L_X20Y74 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y74 FIRST_SITE_ID 7835 TILEPROP CLBLM_L_X20Y74 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y74 GRID_POINT_Y 79 TILEPROP CLBLM_L_X20Y74 INDEX 9137 TILEPROP CLBLM_L_X20Y74 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y74 INT_TILE_Y 75 TILEPROP CLBLM_L_X20Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y74 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y74 NAME CLBLM_L_X20Y74 TILEPROP CLBLM_L_X20Y74 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y74 NUM_SITES 2 TILEPROP CLBLM_L_X20Y74 ROW 79 TILEPROP CLBLM_L_X20Y74 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y74 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X20Y74 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y74 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y74 TILE_X -8652 TILEPROP CLBLM_L_X20Y74 TILE_Y -1600 TILEPROP CLBLM_L_X20Y74 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y75 CLASS tile TILEPROP CLBLM_L_X20Y75 COLUMN 52 TILEPROP CLBLM_L_X20Y75 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y75 FIRST_SITE_ID 7643 TILEPROP CLBLM_L_X20Y75 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y75 GRID_POINT_Y 77 TILEPROP CLBLM_L_X20Y75 INDEX 8907 TILEPROP CLBLM_L_X20Y75 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y75 INT_TILE_Y 74 TILEPROP CLBLM_L_X20Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y75 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y75 NAME CLBLM_L_X20Y75 TILEPROP CLBLM_L_X20Y75 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y75 NUM_SITES 2 TILEPROP CLBLM_L_X20Y75 ROW 77 TILEPROP CLBLM_L_X20Y75 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y75 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X20Y75 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y75 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y75 TILE_X -8652 TILEPROP CLBLM_L_X20Y75 TILE_Y 2624 TILEPROP CLBLM_L_X20Y75 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y76 CLASS tile TILEPROP CLBLM_L_X20Y76 COLUMN 52 TILEPROP CLBLM_L_X20Y76 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y76 FIRST_SITE_ID 7534 TILEPROP CLBLM_L_X20Y76 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y76 GRID_POINT_Y 76 TILEPROP CLBLM_L_X20Y76 INDEX 8792 TILEPROP CLBLM_L_X20Y76 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y76 INT_TILE_Y 73 TILEPROP CLBLM_L_X20Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y76 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y76 NAME CLBLM_L_X20Y76 TILEPROP CLBLM_L_X20Y76 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y76 NUM_SITES 2 TILEPROP CLBLM_L_X20Y76 ROW 76 TILEPROP CLBLM_L_X20Y76 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y76 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y76 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y76 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y76 TILE_X -8652 TILEPROP CLBLM_L_X20Y76 TILE_Y 5824 TILEPROP CLBLM_L_X20Y76 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y77 CLASS tile TILEPROP CLBLM_L_X20Y77 COLUMN 52 TILEPROP CLBLM_L_X20Y77 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y77 FIRST_SITE_ID 7434 TILEPROP CLBLM_L_X20Y77 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y77 GRID_POINT_Y 75 TILEPROP CLBLM_L_X20Y77 INDEX 8677 TILEPROP CLBLM_L_X20Y77 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y77 INT_TILE_Y 72 TILEPROP CLBLM_L_X20Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y77 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y77 NAME CLBLM_L_X20Y77 TILEPROP CLBLM_L_X20Y77 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y77 NUM_SITES 2 TILEPROP CLBLM_L_X20Y77 ROW 75 TILEPROP CLBLM_L_X20Y77 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y77 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y77 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y77 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y77 TILE_X -8652 TILEPROP CLBLM_L_X20Y77 TILE_Y 9024 TILEPROP CLBLM_L_X20Y77 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y78 CLASS tile TILEPROP CLBLM_L_X20Y78 COLUMN 52 TILEPROP CLBLM_L_X20Y78 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y78 FIRST_SITE_ID 7334 TILEPROP CLBLM_L_X20Y78 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y78 GRID_POINT_Y 74 TILEPROP CLBLM_L_X20Y78 INDEX 8562 TILEPROP CLBLM_L_X20Y78 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y78 INT_TILE_Y 71 TILEPROP CLBLM_L_X20Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y78 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y78 NAME CLBLM_L_X20Y78 TILEPROP CLBLM_L_X20Y78 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y78 NUM_SITES 2 TILEPROP CLBLM_L_X20Y78 ROW 74 TILEPROP CLBLM_L_X20Y78 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y78 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y78 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y78 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y78 TILE_X -8652 TILEPROP CLBLM_L_X20Y78 TILE_Y 12224 TILEPROP CLBLM_L_X20Y78 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y79 CLASS tile TILEPROP CLBLM_L_X20Y79 COLUMN 52 TILEPROP CLBLM_L_X20Y79 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y79 FIRST_SITE_ID 7228 TILEPROP CLBLM_L_X20Y79 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y79 GRID_POINT_Y 73 TILEPROP CLBLM_L_X20Y79 INDEX 8447 TILEPROP CLBLM_L_X20Y79 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y79 INT_TILE_Y 70 TILEPROP CLBLM_L_X20Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y79 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y79 NAME CLBLM_L_X20Y79 TILEPROP CLBLM_L_X20Y79 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y79 NUM_SITES 2 TILEPROP CLBLM_L_X20Y79 ROW 73 TILEPROP CLBLM_L_X20Y79 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y79 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y79 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y79 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y79 TILE_X -8652 TILEPROP CLBLM_L_X20Y79 TILE_Y 15424 TILEPROP CLBLM_L_X20Y79 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y80 CLASS tile TILEPROP CLBLM_L_X20Y80 COLUMN 52 TILEPROP CLBLM_L_X20Y80 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y80 FIRST_SITE_ID 7113 TILEPROP CLBLM_L_X20Y80 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y80 GRID_POINT_Y 72 TILEPROP CLBLM_L_X20Y80 INDEX 8332 TILEPROP CLBLM_L_X20Y80 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y80 INT_TILE_Y 69 TILEPROP CLBLM_L_X20Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y80 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y80 NAME CLBLM_L_X20Y80 TILEPROP CLBLM_L_X20Y80 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y80 NUM_SITES 2 TILEPROP CLBLM_L_X20Y80 ROW 72 TILEPROP CLBLM_L_X20Y80 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y80 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y80 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y80 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y80 TILE_X -8652 TILEPROP CLBLM_L_X20Y80 TILE_Y 18624 TILEPROP CLBLM_L_X20Y80 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y81 CLASS tile TILEPROP CLBLM_L_X20Y81 COLUMN 52 TILEPROP CLBLM_L_X20Y81 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y81 FIRST_SITE_ID 7005 TILEPROP CLBLM_L_X20Y81 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y81 GRID_POINT_Y 71 TILEPROP CLBLM_L_X20Y81 INDEX 8217 TILEPROP CLBLM_L_X20Y81 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y81 INT_TILE_Y 68 TILEPROP CLBLM_L_X20Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y81 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y81 NAME CLBLM_L_X20Y81 TILEPROP CLBLM_L_X20Y81 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y81 NUM_SITES 2 TILEPROP CLBLM_L_X20Y81 ROW 71 TILEPROP CLBLM_L_X20Y81 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y81 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y81 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y81 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y81 TILE_X -8652 TILEPROP CLBLM_L_X20Y81 TILE_Y 21824 TILEPROP CLBLM_L_X20Y81 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y82 CLASS tile TILEPROP CLBLM_L_X20Y82 COLUMN 52 TILEPROP CLBLM_L_X20Y82 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y82 FIRST_SITE_ID 6903 TILEPROP CLBLM_L_X20Y82 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y82 GRID_POINT_Y 70 TILEPROP CLBLM_L_X20Y82 INDEX 8102 TILEPROP CLBLM_L_X20Y82 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y82 INT_TILE_Y 67 TILEPROP CLBLM_L_X20Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y82 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y82 NAME CLBLM_L_X20Y82 TILEPROP CLBLM_L_X20Y82 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y82 NUM_SITES 2 TILEPROP CLBLM_L_X20Y82 ROW 70 TILEPROP CLBLM_L_X20Y82 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y82 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y82 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y82 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y82 TILE_X -8652 TILEPROP CLBLM_L_X20Y82 TILE_Y 25024 TILEPROP CLBLM_L_X20Y82 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y83 CLASS tile TILEPROP CLBLM_L_X20Y83 COLUMN 52 TILEPROP CLBLM_L_X20Y83 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y83 FIRST_SITE_ID 6803 TILEPROP CLBLM_L_X20Y83 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y83 GRID_POINT_Y 69 TILEPROP CLBLM_L_X20Y83 INDEX 7987 TILEPROP CLBLM_L_X20Y83 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y83 INT_TILE_Y 66 TILEPROP CLBLM_L_X20Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y83 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y83 NAME CLBLM_L_X20Y83 TILEPROP CLBLM_L_X20Y83 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y83 NUM_SITES 2 TILEPROP CLBLM_L_X20Y83 ROW 69 TILEPROP CLBLM_L_X20Y83 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y83 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y83 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y83 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y83 TILE_X -8652 TILEPROP CLBLM_L_X20Y83 TILE_Y 28224 TILEPROP CLBLM_L_X20Y83 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y84 CLASS tile TILEPROP CLBLM_L_X20Y84 COLUMN 52 TILEPROP CLBLM_L_X20Y84 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y84 FIRST_SITE_ID 6703 TILEPROP CLBLM_L_X20Y84 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y84 GRID_POINT_Y 68 TILEPROP CLBLM_L_X20Y84 INDEX 7872 TILEPROP CLBLM_L_X20Y84 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y84 INT_TILE_Y 65 TILEPROP CLBLM_L_X20Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y84 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y84 NAME CLBLM_L_X20Y84 TILEPROP CLBLM_L_X20Y84 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y84 NUM_SITES 2 TILEPROP CLBLM_L_X20Y84 ROW 68 TILEPROP CLBLM_L_X20Y84 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y84 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y84 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y84 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y84 TILE_X -8652 TILEPROP CLBLM_L_X20Y84 TILE_Y 31424 TILEPROP CLBLM_L_X20Y84 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y85 CLASS tile TILEPROP CLBLM_L_X20Y85 COLUMN 52 TILEPROP CLBLM_L_X20Y85 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y85 FIRST_SITE_ID 6594 TILEPROP CLBLM_L_X20Y85 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y85 GRID_POINT_Y 67 TILEPROP CLBLM_L_X20Y85 INDEX 7757 TILEPROP CLBLM_L_X20Y85 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y85 INT_TILE_Y 64 TILEPROP CLBLM_L_X20Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y85 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y85 NAME CLBLM_L_X20Y85 TILEPROP CLBLM_L_X20Y85 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y85 NUM_SITES 2 TILEPROP CLBLM_L_X20Y85 ROW 67 TILEPROP CLBLM_L_X20Y85 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y85 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y85 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y85 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y85 TILE_X -8652 TILEPROP CLBLM_L_X20Y85 TILE_Y 34624 TILEPROP CLBLM_L_X20Y85 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y86 CLASS tile TILEPROP CLBLM_L_X20Y86 COLUMN 52 TILEPROP CLBLM_L_X20Y86 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y86 FIRST_SITE_ID 6456 TILEPROP CLBLM_L_X20Y86 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y86 GRID_POINT_Y 66 TILEPROP CLBLM_L_X20Y86 INDEX 7642 TILEPROP CLBLM_L_X20Y86 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y86 INT_TILE_Y 63 TILEPROP CLBLM_L_X20Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y86 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y86 NAME CLBLM_L_X20Y86 TILEPROP CLBLM_L_X20Y86 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y86 NUM_SITES 2 TILEPROP CLBLM_L_X20Y86 ROW 66 TILEPROP CLBLM_L_X20Y86 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y86 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y86 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y86 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y86 TILE_X -8652 TILEPROP CLBLM_L_X20Y86 TILE_Y 37824 TILEPROP CLBLM_L_X20Y86 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y87 CLASS tile TILEPROP CLBLM_L_X20Y87 COLUMN 52 TILEPROP CLBLM_L_X20Y87 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y87 FIRST_SITE_ID 6356 TILEPROP CLBLM_L_X20Y87 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y87 GRID_POINT_Y 65 TILEPROP CLBLM_L_X20Y87 INDEX 7527 TILEPROP CLBLM_L_X20Y87 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y87 INT_TILE_Y 62 TILEPROP CLBLM_L_X20Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y87 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y87 NAME CLBLM_L_X20Y87 TILEPROP CLBLM_L_X20Y87 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y87 NUM_SITES 2 TILEPROP CLBLM_L_X20Y87 ROW 65 TILEPROP CLBLM_L_X20Y87 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y87 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y87 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y87 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y87 TILE_X -8652 TILEPROP CLBLM_L_X20Y87 TILE_Y 41024 TILEPROP CLBLM_L_X20Y87 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y88 CLASS tile TILEPROP CLBLM_L_X20Y88 COLUMN 52 TILEPROP CLBLM_L_X20Y88 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y88 FIRST_SITE_ID 6256 TILEPROP CLBLM_L_X20Y88 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y88 GRID_POINT_Y 64 TILEPROP CLBLM_L_X20Y88 INDEX 7412 TILEPROP CLBLM_L_X20Y88 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y88 INT_TILE_Y 61 TILEPROP CLBLM_L_X20Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y88 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y88 NAME CLBLM_L_X20Y88 TILEPROP CLBLM_L_X20Y88 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y88 NUM_SITES 2 TILEPROP CLBLM_L_X20Y88 ROW 64 TILEPROP CLBLM_L_X20Y88 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y88 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y88 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y88 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y88 TILE_X -8652 TILEPROP CLBLM_L_X20Y88 TILE_Y 44224 TILEPROP CLBLM_L_X20Y88 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y89 CLASS tile TILEPROP CLBLM_L_X20Y89 COLUMN 52 TILEPROP CLBLM_L_X20Y89 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y89 FIRST_SITE_ID 6156 TILEPROP CLBLM_L_X20Y89 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y89 GRID_POINT_Y 63 TILEPROP CLBLM_L_X20Y89 INDEX 7297 TILEPROP CLBLM_L_X20Y89 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y89 INT_TILE_Y 60 TILEPROP CLBLM_L_X20Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y89 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y89 NAME CLBLM_L_X20Y89 TILEPROP CLBLM_L_X20Y89 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y89 NUM_SITES 2 TILEPROP CLBLM_L_X20Y89 ROW 63 TILEPROP CLBLM_L_X20Y89 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y89 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y89 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y89 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y89 TILE_X -8652 TILEPROP CLBLM_L_X20Y89 TILE_Y 47424 TILEPROP CLBLM_L_X20Y89 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y90 CLASS tile TILEPROP CLBLM_L_X20Y90 COLUMN 52 TILEPROP CLBLM_L_X20Y90 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y90 FIRST_SITE_ID 6047 TILEPROP CLBLM_L_X20Y90 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y90 GRID_POINT_Y 62 TILEPROP CLBLM_L_X20Y90 INDEX 7182 TILEPROP CLBLM_L_X20Y90 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y90 INT_TILE_Y 59 TILEPROP CLBLM_L_X20Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y90 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y90 NAME CLBLM_L_X20Y90 TILEPROP CLBLM_L_X20Y90 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y90 NUM_SITES 2 TILEPROP CLBLM_L_X20Y90 ROW 62 TILEPROP CLBLM_L_X20Y90 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y90 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y90 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y90 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y90 TILE_X -8652 TILEPROP CLBLM_L_X20Y90 TILE_Y 50624 TILEPROP CLBLM_L_X20Y90 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y91 CLASS tile TILEPROP CLBLM_L_X20Y91 COLUMN 52 TILEPROP CLBLM_L_X20Y91 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y91 FIRST_SITE_ID 5941 TILEPROP CLBLM_L_X20Y91 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y91 GRID_POINT_Y 61 TILEPROP CLBLM_L_X20Y91 INDEX 7067 TILEPROP CLBLM_L_X20Y91 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y91 INT_TILE_Y 58 TILEPROP CLBLM_L_X20Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y91 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y91 NAME CLBLM_L_X20Y91 TILEPROP CLBLM_L_X20Y91 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y91 NUM_SITES 2 TILEPROP CLBLM_L_X20Y91 ROW 61 TILEPROP CLBLM_L_X20Y91 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y91 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y91 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y91 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y91 TILE_X -8652 TILEPROP CLBLM_L_X20Y91 TILE_Y 53824 TILEPROP CLBLM_L_X20Y91 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y92 CLASS tile TILEPROP CLBLM_L_X20Y92 COLUMN 52 TILEPROP CLBLM_L_X20Y92 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y92 FIRST_SITE_ID 5840 TILEPROP CLBLM_L_X20Y92 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y92 GRID_POINT_Y 60 TILEPROP CLBLM_L_X20Y92 INDEX 6952 TILEPROP CLBLM_L_X20Y92 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y92 INT_TILE_Y 57 TILEPROP CLBLM_L_X20Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y92 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y92 NAME CLBLM_L_X20Y92 TILEPROP CLBLM_L_X20Y92 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y92 NUM_SITES 2 TILEPROP CLBLM_L_X20Y92 ROW 60 TILEPROP CLBLM_L_X20Y92 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y92 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y92 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y92 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y92 TILE_X -8652 TILEPROP CLBLM_L_X20Y92 TILE_Y 57024 TILEPROP CLBLM_L_X20Y92 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y93 CLASS tile TILEPROP CLBLM_L_X20Y93 COLUMN 52 TILEPROP CLBLM_L_X20Y93 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y93 FIRST_SITE_ID 5737 TILEPROP CLBLM_L_X20Y93 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y93 GRID_POINT_Y 59 TILEPROP CLBLM_L_X20Y93 INDEX 6837 TILEPROP CLBLM_L_X20Y93 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y93 INT_TILE_Y 56 TILEPROP CLBLM_L_X20Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y93 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y93 NAME CLBLM_L_X20Y93 TILEPROP CLBLM_L_X20Y93 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y93 NUM_SITES 2 TILEPROP CLBLM_L_X20Y93 ROW 59 TILEPROP CLBLM_L_X20Y93 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y93 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y93 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y93 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y93 TILE_X -8652 TILEPROP CLBLM_L_X20Y93 TILE_Y 60224 TILEPROP CLBLM_L_X20Y93 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y94 CLASS tile TILEPROP CLBLM_L_X20Y94 COLUMN 52 TILEPROP CLBLM_L_X20Y94 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y94 FIRST_SITE_ID 5635 TILEPROP CLBLM_L_X20Y94 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y94 GRID_POINT_Y 58 TILEPROP CLBLM_L_X20Y94 INDEX 6722 TILEPROP CLBLM_L_X20Y94 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y94 INT_TILE_Y 55 TILEPROP CLBLM_L_X20Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y94 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y94 NAME CLBLM_L_X20Y94 TILEPROP CLBLM_L_X20Y94 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y94 NUM_SITES 2 TILEPROP CLBLM_L_X20Y94 ROW 58 TILEPROP CLBLM_L_X20Y94 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y94 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y94 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y94 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y94 TILE_X -8652 TILEPROP CLBLM_L_X20Y94 TILE_Y 63424 TILEPROP CLBLM_L_X20Y94 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y95 CLASS tile TILEPROP CLBLM_L_X20Y95 COLUMN 52 TILEPROP CLBLM_L_X20Y95 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y95 FIRST_SITE_ID 5526 TILEPROP CLBLM_L_X20Y95 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y95 GRID_POINT_Y 57 TILEPROP CLBLM_L_X20Y95 INDEX 6607 TILEPROP CLBLM_L_X20Y95 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y95 INT_TILE_Y 54 TILEPROP CLBLM_L_X20Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y95 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y95 NAME CLBLM_L_X20Y95 TILEPROP CLBLM_L_X20Y95 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y95 NUM_SITES 2 TILEPROP CLBLM_L_X20Y95 ROW 57 TILEPROP CLBLM_L_X20Y95 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y95 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y95 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y95 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y95 TILE_X -8652 TILEPROP CLBLM_L_X20Y95 TILE_Y 66624 TILEPROP CLBLM_L_X20Y95 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y96 CLASS tile TILEPROP CLBLM_L_X20Y96 COLUMN 52 TILEPROP CLBLM_L_X20Y96 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y96 FIRST_SITE_ID 5420 TILEPROP CLBLM_L_X20Y96 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y96 GRID_POINT_Y 56 TILEPROP CLBLM_L_X20Y96 INDEX 6492 TILEPROP CLBLM_L_X20Y96 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y96 INT_TILE_Y 53 TILEPROP CLBLM_L_X20Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y96 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y96 NAME CLBLM_L_X20Y96 TILEPROP CLBLM_L_X20Y96 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y96 NUM_SITES 2 TILEPROP CLBLM_L_X20Y96 ROW 56 TILEPROP CLBLM_L_X20Y96 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y96 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y96 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y96 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y96 TILE_X -8652 TILEPROP CLBLM_L_X20Y96 TILE_Y 69824 TILEPROP CLBLM_L_X20Y96 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y97 CLASS tile TILEPROP CLBLM_L_X20Y97 COLUMN 52 TILEPROP CLBLM_L_X20Y97 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y97 FIRST_SITE_ID 5320 TILEPROP CLBLM_L_X20Y97 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y97 GRID_POINT_Y 55 TILEPROP CLBLM_L_X20Y97 INDEX 6377 TILEPROP CLBLM_L_X20Y97 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y97 INT_TILE_Y 52 TILEPROP CLBLM_L_X20Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y97 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y97 NAME CLBLM_L_X20Y97 TILEPROP CLBLM_L_X20Y97 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y97 NUM_SITES 2 TILEPROP CLBLM_L_X20Y97 ROW 55 TILEPROP CLBLM_L_X20Y97 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y97 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y97 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y97 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y97 TILE_X -8652 TILEPROP CLBLM_L_X20Y97 TILE_Y 73024 TILEPROP CLBLM_L_X20Y97 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y98 CLASS tile TILEPROP CLBLM_L_X20Y98 COLUMN 52 TILEPROP CLBLM_L_X20Y98 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y98 FIRST_SITE_ID 5220 TILEPROP CLBLM_L_X20Y98 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y98 GRID_POINT_Y 54 TILEPROP CLBLM_L_X20Y98 INDEX 6262 TILEPROP CLBLM_L_X20Y98 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y98 INT_TILE_Y 51 TILEPROP CLBLM_L_X20Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y98 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y98 NAME CLBLM_L_X20Y98 TILEPROP CLBLM_L_X20Y98 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y98 NUM_SITES 2 TILEPROP CLBLM_L_X20Y98 ROW 54 TILEPROP CLBLM_L_X20Y98 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y98 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y98 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y98 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y98 TILE_X -8652 TILEPROP CLBLM_L_X20Y98 TILE_Y 76224 TILEPROP CLBLM_L_X20Y98 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y99 CLASS tile TILEPROP CLBLM_L_X20Y99 COLUMN 52 TILEPROP CLBLM_L_X20Y99 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y99 FIRST_SITE_ID 5124 TILEPROP CLBLM_L_X20Y99 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y99 GRID_POINT_Y 53 TILEPROP CLBLM_L_X20Y99 INDEX 6147 TILEPROP CLBLM_L_X20Y99 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y99 INT_TILE_Y 50 TILEPROP CLBLM_L_X20Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y99 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y99 NAME CLBLM_L_X20Y99 TILEPROP CLBLM_L_X20Y99 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y99 NUM_SITES 2 TILEPROP CLBLM_L_X20Y99 ROW 53 TILEPROP CLBLM_L_X20Y99 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y99 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X20Y99 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y99 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y99 TILE_X -8652 TILEPROP CLBLM_L_X20Y99 TILE_Y 79424 TILEPROP CLBLM_L_X20Y99 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y100 CLASS tile TILEPROP CLBLM_L_X20Y100 COLUMN 52 TILEPROP CLBLM_L_X20Y100 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y100 FIRST_SITE_ID 5047 TILEPROP CLBLM_L_X20Y100 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y100 GRID_POINT_Y 51 TILEPROP CLBLM_L_X20Y100 INDEX 5917 TILEPROP CLBLM_L_X20Y100 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y100 INT_TILE_Y 49 TILEPROP CLBLM_L_X20Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y100 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y100 NAME CLBLM_L_X20Y100 TILEPROP CLBLM_L_X20Y100 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y100 NUM_SITES 2 TILEPROP CLBLM_L_X20Y100 ROW 51 TILEPROP CLBLM_L_X20Y100 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y100 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X20Y100 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y100 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y100 TILE_X -8652 TILEPROP CLBLM_L_X20Y100 TILE_Y 82872 TILEPROP CLBLM_L_X20Y100 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y101 CLASS tile TILEPROP CLBLM_L_X20Y101 COLUMN 52 TILEPROP CLBLM_L_X20Y101 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y101 FIRST_SITE_ID 4949 TILEPROP CLBLM_L_X20Y101 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y101 GRID_POINT_Y 50 TILEPROP CLBLM_L_X20Y101 INDEX 5802 TILEPROP CLBLM_L_X20Y101 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y101 INT_TILE_Y 48 TILEPROP CLBLM_L_X20Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y101 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y101 NAME CLBLM_L_X20Y101 TILEPROP CLBLM_L_X20Y101 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y101 NUM_SITES 2 TILEPROP CLBLM_L_X20Y101 ROW 50 TILEPROP CLBLM_L_X20Y101 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y101 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y101 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y101 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y101 TILE_X -8652 TILEPROP CLBLM_L_X20Y101 TILE_Y 86072 TILEPROP CLBLM_L_X20Y101 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y102 CLASS tile TILEPROP CLBLM_L_X20Y102 COLUMN 52 TILEPROP CLBLM_L_X20Y102 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y102 FIRST_SITE_ID 4853 TILEPROP CLBLM_L_X20Y102 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y102 GRID_POINT_Y 49 TILEPROP CLBLM_L_X20Y102 INDEX 5687 TILEPROP CLBLM_L_X20Y102 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y102 INT_TILE_Y 47 TILEPROP CLBLM_L_X20Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y102 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y102 NAME CLBLM_L_X20Y102 TILEPROP CLBLM_L_X20Y102 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y102 NUM_SITES 2 TILEPROP CLBLM_L_X20Y102 ROW 49 TILEPROP CLBLM_L_X20Y102 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y102 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y102 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y102 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y102 TILE_X -8652 TILEPROP CLBLM_L_X20Y102 TILE_Y 89272 TILEPROP CLBLM_L_X20Y102 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y103 CLASS tile TILEPROP CLBLM_L_X20Y103 COLUMN 52 TILEPROP CLBLM_L_X20Y103 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y103 FIRST_SITE_ID 4765 TILEPROP CLBLM_L_X20Y103 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y103 GRID_POINT_Y 48 TILEPROP CLBLM_L_X20Y103 INDEX 5572 TILEPROP CLBLM_L_X20Y103 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y103 INT_TILE_Y 46 TILEPROP CLBLM_L_X20Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y103 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y103 NAME CLBLM_L_X20Y103 TILEPROP CLBLM_L_X20Y103 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y103 NUM_SITES 2 TILEPROP CLBLM_L_X20Y103 ROW 48 TILEPROP CLBLM_L_X20Y103 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y103 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y103 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y103 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y103 TILE_X -8652 TILEPROP CLBLM_L_X20Y103 TILE_Y 92472 TILEPROP CLBLM_L_X20Y103 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y104 CLASS tile TILEPROP CLBLM_L_X20Y104 COLUMN 52 TILEPROP CLBLM_L_X20Y104 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y104 FIRST_SITE_ID 4669 TILEPROP CLBLM_L_X20Y104 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y104 GRID_POINT_Y 47 TILEPROP CLBLM_L_X20Y104 INDEX 5457 TILEPROP CLBLM_L_X20Y104 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y104 INT_TILE_Y 45 TILEPROP CLBLM_L_X20Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y104 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y104 NAME CLBLM_L_X20Y104 TILEPROP CLBLM_L_X20Y104 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y104 NUM_SITES 2 TILEPROP CLBLM_L_X20Y104 ROW 47 TILEPROP CLBLM_L_X20Y104 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y104 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y104 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y104 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y104 TILE_X -8652 TILEPROP CLBLM_L_X20Y104 TILE_Y 95672 TILEPROP CLBLM_L_X20Y104 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y105 CLASS tile TILEPROP CLBLM_L_X20Y105 COLUMN 52 TILEPROP CLBLM_L_X20Y105 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y105 FIRST_SITE_ID 4573 TILEPROP CLBLM_L_X20Y105 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y105 GRID_POINT_Y 46 TILEPROP CLBLM_L_X20Y105 INDEX 5342 TILEPROP CLBLM_L_X20Y105 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y105 INT_TILE_Y 44 TILEPROP CLBLM_L_X20Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y105 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y105 NAME CLBLM_L_X20Y105 TILEPROP CLBLM_L_X20Y105 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y105 NUM_SITES 2 TILEPROP CLBLM_L_X20Y105 ROW 46 TILEPROP CLBLM_L_X20Y105 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y105 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y105 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y105 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y105 TILE_X -8652 TILEPROP CLBLM_L_X20Y105 TILE_Y 98872 TILEPROP CLBLM_L_X20Y105 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y106 CLASS tile TILEPROP CLBLM_L_X20Y106 COLUMN 52 TILEPROP CLBLM_L_X20Y106 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y106 FIRST_SITE_ID 4471 TILEPROP CLBLM_L_X20Y106 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y106 GRID_POINT_Y 45 TILEPROP CLBLM_L_X20Y106 INDEX 5227 TILEPROP CLBLM_L_X20Y106 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y106 INT_TILE_Y 43 TILEPROP CLBLM_L_X20Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y106 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y106 NAME CLBLM_L_X20Y106 TILEPROP CLBLM_L_X20Y106 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y106 NUM_SITES 2 TILEPROP CLBLM_L_X20Y106 ROW 45 TILEPROP CLBLM_L_X20Y106 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y106 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y106 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y106 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y106 TILE_X -8652 TILEPROP CLBLM_L_X20Y106 TILE_Y 102072 TILEPROP CLBLM_L_X20Y106 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y107 CLASS tile TILEPROP CLBLM_L_X20Y107 COLUMN 52 TILEPROP CLBLM_L_X20Y107 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y107 FIRST_SITE_ID 4383 TILEPROP CLBLM_L_X20Y107 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y107 GRID_POINT_Y 44 TILEPROP CLBLM_L_X20Y107 INDEX 5112 TILEPROP CLBLM_L_X20Y107 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y107 INT_TILE_Y 42 TILEPROP CLBLM_L_X20Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y107 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y107 NAME CLBLM_L_X20Y107 TILEPROP CLBLM_L_X20Y107 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y107 NUM_SITES 2 TILEPROP CLBLM_L_X20Y107 ROW 44 TILEPROP CLBLM_L_X20Y107 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y107 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y107 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y107 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y107 TILE_X -8652 TILEPROP CLBLM_L_X20Y107 TILE_Y 105272 TILEPROP CLBLM_L_X20Y107 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y108 CLASS tile TILEPROP CLBLM_L_X20Y108 COLUMN 52 TILEPROP CLBLM_L_X20Y108 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y108 FIRST_SITE_ID 4285 TILEPROP CLBLM_L_X20Y108 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y108 GRID_POINT_Y 43 TILEPROP CLBLM_L_X20Y108 INDEX 4997 TILEPROP CLBLM_L_X20Y108 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y108 INT_TILE_Y 41 TILEPROP CLBLM_L_X20Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y108 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y108 NAME CLBLM_L_X20Y108 TILEPROP CLBLM_L_X20Y108 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y108 NUM_SITES 2 TILEPROP CLBLM_L_X20Y108 ROW 43 TILEPROP CLBLM_L_X20Y108 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y108 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y108 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y108 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y108 TILE_X -8652 TILEPROP CLBLM_L_X20Y108 TILE_Y 108472 TILEPROP CLBLM_L_X20Y108 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y109 CLASS tile TILEPROP CLBLM_L_X20Y109 COLUMN 52 TILEPROP CLBLM_L_X20Y109 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y109 FIRST_SITE_ID 4196 TILEPROP CLBLM_L_X20Y109 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y109 GRID_POINT_Y 42 TILEPROP CLBLM_L_X20Y109 INDEX 4882 TILEPROP CLBLM_L_X20Y109 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y109 INT_TILE_Y 40 TILEPROP CLBLM_L_X20Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y109 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y109 NAME CLBLM_L_X20Y109 TILEPROP CLBLM_L_X20Y109 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y109 NUM_SITES 2 TILEPROP CLBLM_L_X20Y109 ROW 42 TILEPROP CLBLM_L_X20Y109 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y109 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y109 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y109 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y109 TILE_X -8652 TILEPROP CLBLM_L_X20Y109 TILE_Y 111672 TILEPROP CLBLM_L_X20Y109 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y110 CLASS tile TILEPROP CLBLM_L_X20Y110 COLUMN 52 TILEPROP CLBLM_L_X20Y110 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y110 FIRST_SITE_ID 4096 TILEPROP CLBLM_L_X20Y110 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y110 GRID_POINT_Y 41 TILEPROP CLBLM_L_X20Y110 INDEX 4767 TILEPROP CLBLM_L_X20Y110 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y110 INT_TILE_Y 39 TILEPROP CLBLM_L_X20Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y110 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y110 NAME CLBLM_L_X20Y110 TILEPROP CLBLM_L_X20Y110 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y110 NUM_SITES 2 TILEPROP CLBLM_L_X20Y110 ROW 41 TILEPROP CLBLM_L_X20Y110 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y110 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y110 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y110 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y110 TILE_X -8652 TILEPROP CLBLM_L_X20Y110 TILE_Y 114872 TILEPROP CLBLM_L_X20Y110 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y111 CLASS tile TILEPROP CLBLM_L_X20Y111 COLUMN 52 TILEPROP CLBLM_L_X20Y111 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y111 FIRST_SITE_ID 4002 TILEPROP CLBLM_L_X20Y111 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y111 GRID_POINT_Y 40 TILEPROP CLBLM_L_X20Y111 INDEX 4652 TILEPROP CLBLM_L_X20Y111 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y111 INT_TILE_Y 38 TILEPROP CLBLM_L_X20Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y111 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y111 NAME CLBLM_L_X20Y111 TILEPROP CLBLM_L_X20Y111 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y111 NUM_SITES 2 TILEPROP CLBLM_L_X20Y111 ROW 40 TILEPROP CLBLM_L_X20Y111 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y111 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y111 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y111 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y111 TILE_X -8652 TILEPROP CLBLM_L_X20Y111 TILE_Y 118072 TILEPROP CLBLM_L_X20Y111 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y112 CLASS tile TILEPROP CLBLM_L_X20Y112 COLUMN 52 TILEPROP CLBLM_L_X20Y112 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y112 FIRST_SITE_ID 3874 TILEPROP CLBLM_L_X20Y112 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y112 GRID_POINT_Y 39 TILEPROP CLBLM_L_X20Y112 INDEX 4537 TILEPROP CLBLM_L_X20Y112 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y112 INT_TILE_Y 37 TILEPROP CLBLM_L_X20Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y112 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y112 NAME CLBLM_L_X20Y112 TILEPROP CLBLM_L_X20Y112 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y112 NUM_SITES 2 TILEPROP CLBLM_L_X20Y112 ROW 39 TILEPROP CLBLM_L_X20Y112 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y112 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y112 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y112 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y112 TILE_X -8652 TILEPROP CLBLM_L_X20Y112 TILE_Y 121272 TILEPROP CLBLM_L_X20Y112 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y113 CLASS tile TILEPROP CLBLM_L_X20Y113 COLUMN 52 TILEPROP CLBLM_L_X20Y113 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y113 FIRST_SITE_ID 3786 TILEPROP CLBLM_L_X20Y113 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y113 GRID_POINT_Y 38 TILEPROP CLBLM_L_X20Y113 INDEX 4422 TILEPROP CLBLM_L_X20Y113 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y113 INT_TILE_Y 36 TILEPROP CLBLM_L_X20Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y113 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y113 NAME CLBLM_L_X20Y113 TILEPROP CLBLM_L_X20Y113 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y113 NUM_SITES 2 TILEPROP CLBLM_L_X20Y113 ROW 38 TILEPROP CLBLM_L_X20Y113 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y113 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y113 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y113 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y113 TILE_X -8652 TILEPROP CLBLM_L_X20Y113 TILE_Y 124472 TILEPROP CLBLM_L_X20Y113 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y114 CLASS tile TILEPROP CLBLM_L_X20Y114 COLUMN 52 TILEPROP CLBLM_L_X20Y114 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y114 FIRST_SITE_ID 3690 TILEPROP CLBLM_L_X20Y114 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y114 GRID_POINT_Y 37 TILEPROP CLBLM_L_X20Y114 INDEX 4307 TILEPROP CLBLM_L_X20Y114 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y114 INT_TILE_Y 35 TILEPROP CLBLM_L_X20Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y114 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y114 NAME CLBLM_L_X20Y114 TILEPROP CLBLM_L_X20Y114 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y114 NUM_SITES 2 TILEPROP CLBLM_L_X20Y114 ROW 37 TILEPROP CLBLM_L_X20Y114 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y114 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y114 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y114 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y114 TILE_X -8652 TILEPROP CLBLM_L_X20Y114 TILE_Y 127672 TILEPROP CLBLM_L_X20Y114 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y115 CLASS tile TILEPROP CLBLM_L_X20Y115 COLUMN 52 TILEPROP CLBLM_L_X20Y115 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y115 FIRST_SITE_ID 3599 TILEPROP CLBLM_L_X20Y115 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y115 GRID_POINT_Y 36 TILEPROP CLBLM_L_X20Y115 INDEX 4192 TILEPROP CLBLM_L_X20Y115 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y115 INT_TILE_Y 34 TILEPROP CLBLM_L_X20Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y115 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y115 NAME CLBLM_L_X20Y115 TILEPROP CLBLM_L_X20Y115 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y115 NUM_SITES 2 TILEPROP CLBLM_L_X20Y115 ROW 36 TILEPROP CLBLM_L_X20Y115 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y115 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y115 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y115 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y115 TILE_X -8652 TILEPROP CLBLM_L_X20Y115 TILE_Y 130872 TILEPROP CLBLM_L_X20Y115 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y116 CLASS tile TILEPROP CLBLM_L_X20Y116 COLUMN 52 TILEPROP CLBLM_L_X20Y116 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y116 FIRST_SITE_ID 3492 TILEPROP CLBLM_L_X20Y116 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y116 GRID_POINT_Y 35 TILEPROP CLBLM_L_X20Y116 INDEX 4077 TILEPROP CLBLM_L_X20Y116 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y116 INT_TILE_Y 33 TILEPROP CLBLM_L_X20Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y116 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y116 NAME CLBLM_L_X20Y116 TILEPROP CLBLM_L_X20Y116 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y116 NUM_SITES 2 TILEPROP CLBLM_L_X20Y116 ROW 35 TILEPROP CLBLM_L_X20Y116 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y116 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y116 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y116 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y116 TILE_X -8652 TILEPROP CLBLM_L_X20Y116 TILE_Y 134072 TILEPROP CLBLM_L_X20Y116 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y117 CLASS tile TILEPROP CLBLM_L_X20Y117 COLUMN 52 TILEPROP CLBLM_L_X20Y117 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y117 FIRST_SITE_ID 3404 TILEPROP CLBLM_L_X20Y117 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y117 GRID_POINT_Y 34 TILEPROP CLBLM_L_X20Y117 INDEX 3962 TILEPROP CLBLM_L_X20Y117 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y117 INT_TILE_Y 32 TILEPROP CLBLM_L_X20Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y117 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y117 NAME CLBLM_L_X20Y117 TILEPROP CLBLM_L_X20Y117 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y117 NUM_SITES 2 TILEPROP CLBLM_L_X20Y117 ROW 34 TILEPROP CLBLM_L_X20Y117 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y117 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y117 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y117 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y117 TILE_X -8652 TILEPROP CLBLM_L_X20Y117 TILE_Y 137272 TILEPROP CLBLM_L_X20Y117 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y118 CLASS tile TILEPROP CLBLM_L_X20Y118 COLUMN 52 TILEPROP CLBLM_L_X20Y118 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y118 FIRST_SITE_ID 3304 TILEPROP CLBLM_L_X20Y118 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y118 GRID_POINT_Y 33 TILEPROP CLBLM_L_X20Y118 INDEX 3847 TILEPROP CLBLM_L_X20Y118 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y118 INT_TILE_Y 31 TILEPROP CLBLM_L_X20Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y118 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y118 NAME CLBLM_L_X20Y118 TILEPROP CLBLM_L_X20Y118 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y118 NUM_SITES 2 TILEPROP CLBLM_L_X20Y118 ROW 33 TILEPROP CLBLM_L_X20Y118 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y118 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y118 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y118 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y118 TILE_X -8652 TILEPROP CLBLM_L_X20Y118 TILE_Y 140472 TILEPROP CLBLM_L_X20Y118 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y119 CLASS tile TILEPROP CLBLM_L_X20Y119 COLUMN 52 TILEPROP CLBLM_L_X20Y119 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y119 FIRST_SITE_ID 3216 TILEPROP CLBLM_L_X20Y119 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y119 GRID_POINT_Y 32 TILEPROP CLBLM_L_X20Y119 INDEX 3732 TILEPROP CLBLM_L_X20Y119 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y119 INT_TILE_Y 30 TILEPROP CLBLM_L_X20Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y119 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y119 NAME CLBLM_L_X20Y119 TILEPROP CLBLM_L_X20Y119 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y119 NUM_SITES 2 TILEPROP CLBLM_L_X20Y119 ROW 32 TILEPROP CLBLM_L_X20Y119 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y119 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y119 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y119 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y119 TILE_X -8652 TILEPROP CLBLM_L_X20Y119 TILE_Y 143672 TILEPROP CLBLM_L_X20Y119 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y120 CLASS tile TILEPROP CLBLM_L_X20Y120 COLUMN 52 TILEPROP CLBLM_L_X20Y120 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y120 FIRST_SITE_ID 3115 TILEPROP CLBLM_L_X20Y120 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y120 GRID_POINT_Y 31 TILEPROP CLBLM_L_X20Y120 INDEX 3617 TILEPROP CLBLM_L_X20Y120 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y120 INT_TILE_Y 29 TILEPROP CLBLM_L_X20Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y120 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y120 NAME CLBLM_L_X20Y120 TILEPROP CLBLM_L_X20Y120 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y120 NUM_SITES 2 TILEPROP CLBLM_L_X20Y120 ROW 31 TILEPROP CLBLM_L_X20Y120 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y120 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y120 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y120 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y120 TILE_X -8652 TILEPROP CLBLM_L_X20Y120 TILE_Y 146872 TILEPROP CLBLM_L_X20Y120 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y121 CLASS tile TILEPROP CLBLM_L_X20Y121 COLUMN 52 TILEPROP CLBLM_L_X20Y121 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y121 FIRST_SITE_ID 3021 TILEPROP CLBLM_L_X20Y121 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y121 GRID_POINT_Y 30 TILEPROP CLBLM_L_X20Y121 INDEX 3502 TILEPROP CLBLM_L_X20Y121 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y121 INT_TILE_Y 28 TILEPROP CLBLM_L_X20Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y121 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y121 NAME CLBLM_L_X20Y121 TILEPROP CLBLM_L_X20Y121 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y121 NUM_SITES 2 TILEPROP CLBLM_L_X20Y121 ROW 30 TILEPROP CLBLM_L_X20Y121 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y121 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y121 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y121 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y121 TILE_X -8652 TILEPROP CLBLM_L_X20Y121 TILE_Y 150072 TILEPROP CLBLM_L_X20Y121 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y122 CLASS tile TILEPROP CLBLM_L_X20Y122 COLUMN 52 TILEPROP CLBLM_L_X20Y122 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y122 FIRST_SITE_ID 2918 TILEPROP CLBLM_L_X20Y122 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y122 GRID_POINT_Y 29 TILEPROP CLBLM_L_X20Y122 INDEX 3387 TILEPROP CLBLM_L_X20Y122 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y122 INT_TILE_Y 27 TILEPROP CLBLM_L_X20Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y122 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y122 NAME CLBLM_L_X20Y122 TILEPROP CLBLM_L_X20Y122 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y122 NUM_SITES 2 TILEPROP CLBLM_L_X20Y122 ROW 29 TILEPROP CLBLM_L_X20Y122 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y122 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y122 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y122 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y122 TILE_X -8652 TILEPROP CLBLM_L_X20Y122 TILE_Y 153272 TILEPROP CLBLM_L_X20Y122 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y123 CLASS tile TILEPROP CLBLM_L_X20Y123 COLUMN 52 TILEPROP CLBLM_L_X20Y123 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y123 FIRST_SITE_ID 2830 TILEPROP CLBLM_L_X20Y123 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y123 GRID_POINT_Y 28 TILEPROP CLBLM_L_X20Y123 INDEX 3272 TILEPROP CLBLM_L_X20Y123 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y123 INT_TILE_Y 26 TILEPROP CLBLM_L_X20Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y123 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y123 NAME CLBLM_L_X20Y123 TILEPROP CLBLM_L_X20Y123 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y123 NUM_SITES 2 TILEPROP CLBLM_L_X20Y123 ROW 28 TILEPROP CLBLM_L_X20Y123 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y123 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y123 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y123 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y123 TILE_X -8652 TILEPROP CLBLM_L_X20Y123 TILE_Y 156472 TILEPROP CLBLM_L_X20Y123 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y124 CLASS tile TILEPROP CLBLM_L_X20Y124 COLUMN 52 TILEPROP CLBLM_L_X20Y124 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y124 FIRST_SITE_ID 2734 TILEPROP CLBLM_L_X20Y124 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y124 GRID_POINT_Y 27 TILEPROP CLBLM_L_X20Y124 INDEX 3157 TILEPROP CLBLM_L_X20Y124 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y124 INT_TILE_Y 25 TILEPROP CLBLM_L_X20Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y124 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y124 NAME CLBLM_L_X20Y124 TILEPROP CLBLM_L_X20Y124 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y124 NUM_SITES 2 TILEPROP CLBLM_L_X20Y124 ROW 27 TILEPROP CLBLM_L_X20Y124 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y124 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X20Y124 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y124 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y124 TILE_X -8652 TILEPROP CLBLM_L_X20Y124 TILE_Y 159672 TILEPROP CLBLM_L_X20Y124 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y125 CLASS tile TILEPROP CLBLM_L_X20Y125 COLUMN 52 TILEPROP CLBLM_L_X20Y125 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y125 FIRST_SITE_ID 2561 TILEPROP CLBLM_L_X20Y125 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y125 GRID_POINT_Y 25 TILEPROP CLBLM_L_X20Y125 INDEX 2927 TILEPROP CLBLM_L_X20Y125 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y125 INT_TILE_Y 24 TILEPROP CLBLM_L_X20Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y125 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y125 NAME CLBLM_L_X20Y125 TILEPROP CLBLM_L_X20Y125 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y125 NUM_SITES 2 TILEPROP CLBLM_L_X20Y125 ROW 25 TILEPROP CLBLM_L_X20Y125 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y125 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X20Y125 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y125 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y125 TILE_X -8652 TILEPROP CLBLM_L_X20Y125 TILE_Y 163896 TILEPROP CLBLM_L_X20Y125 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y126 CLASS tile TILEPROP CLBLM_L_X20Y126 COLUMN 52 TILEPROP CLBLM_L_X20Y126 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y126 FIRST_SITE_ID 2451 TILEPROP CLBLM_L_X20Y126 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y126 GRID_POINT_Y 24 TILEPROP CLBLM_L_X20Y126 INDEX 2812 TILEPROP CLBLM_L_X20Y126 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y126 INT_TILE_Y 23 TILEPROP CLBLM_L_X20Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y126 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y126 NAME CLBLM_L_X20Y126 TILEPROP CLBLM_L_X20Y126 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y126 NUM_SITES 2 TILEPROP CLBLM_L_X20Y126 ROW 24 TILEPROP CLBLM_L_X20Y126 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y126 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y126 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y126 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y126 TILE_X -8652 TILEPROP CLBLM_L_X20Y126 TILE_Y 167096 TILEPROP CLBLM_L_X20Y126 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y127 CLASS tile TILEPROP CLBLM_L_X20Y127 COLUMN 52 TILEPROP CLBLM_L_X20Y127 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y127 FIRST_SITE_ID 2355 TILEPROP CLBLM_L_X20Y127 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y127 GRID_POINT_Y 23 TILEPROP CLBLM_L_X20Y127 INDEX 2697 TILEPROP CLBLM_L_X20Y127 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y127 INT_TILE_Y 22 TILEPROP CLBLM_L_X20Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y127 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y127 NAME CLBLM_L_X20Y127 TILEPROP CLBLM_L_X20Y127 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y127 NUM_SITES 2 TILEPROP CLBLM_L_X20Y127 ROW 23 TILEPROP CLBLM_L_X20Y127 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y127 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y127 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y127 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y127 TILE_X -8652 TILEPROP CLBLM_L_X20Y127 TILE_Y 170296 TILEPROP CLBLM_L_X20Y127 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y128 CLASS tile TILEPROP CLBLM_L_X20Y128 COLUMN 52 TILEPROP CLBLM_L_X20Y128 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y128 FIRST_SITE_ID 2251 TILEPROP CLBLM_L_X20Y128 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y128 GRID_POINT_Y 22 TILEPROP CLBLM_L_X20Y128 INDEX 2582 TILEPROP CLBLM_L_X20Y128 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y128 INT_TILE_Y 21 TILEPROP CLBLM_L_X20Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y128 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y128 NAME CLBLM_L_X20Y128 TILEPROP CLBLM_L_X20Y128 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y128 NUM_SITES 2 TILEPROP CLBLM_L_X20Y128 ROW 22 TILEPROP CLBLM_L_X20Y128 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y128 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y128 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y128 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y128 TILE_X -8652 TILEPROP CLBLM_L_X20Y128 TILE_Y 173496 TILEPROP CLBLM_L_X20Y128 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y129 CLASS tile TILEPROP CLBLM_L_X20Y129 COLUMN 52 TILEPROP CLBLM_L_X20Y129 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y129 FIRST_SITE_ID 2155 TILEPROP CLBLM_L_X20Y129 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y129 GRID_POINT_Y 21 TILEPROP CLBLM_L_X20Y129 INDEX 2467 TILEPROP CLBLM_L_X20Y129 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y129 INT_TILE_Y 20 TILEPROP CLBLM_L_X20Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y129 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y129 NAME CLBLM_L_X20Y129 TILEPROP CLBLM_L_X20Y129 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y129 NUM_SITES 2 TILEPROP CLBLM_L_X20Y129 ROW 21 TILEPROP CLBLM_L_X20Y129 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y129 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y129 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y129 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y129 TILE_X -8652 TILEPROP CLBLM_L_X20Y129 TILE_Y 176696 TILEPROP CLBLM_L_X20Y129 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y130 CLASS tile TILEPROP CLBLM_L_X20Y130 COLUMN 52 TILEPROP CLBLM_L_X20Y130 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y130 FIRST_SITE_ID 2039 TILEPROP CLBLM_L_X20Y130 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y130 GRID_POINT_Y 20 TILEPROP CLBLM_L_X20Y130 INDEX 2352 TILEPROP CLBLM_L_X20Y130 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y130 INT_TILE_Y 19 TILEPROP CLBLM_L_X20Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y130 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y130 NAME CLBLM_L_X20Y130 TILEPROP CLBLM_L_X20Y130 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y130 NUM_SITES 2 TILEPROP CLBLM_L_X20Y130 ROW 20 TILEPROP CLBLM_L_X20Y130 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y130 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y130 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y130 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y130 TILE_X -8652 TILEPROP CLBLM_L_X20Y130 TILE_Y 179896 TILEPROP CLBLM_L_X20Y130 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y131 CLASS tile TILEPROP CLBLM_L_X20Y131 COLUMN 52 TILEPROP CLBLM_L_X20Y131 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y131 FIRST_SITE_ID 1937 TILEPROP CLBLM_L_X20Y131 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y131 GRID_POINT_Y 19 TILEPROP CLBLM_L_X20Y131 INDEX 2237 TILEPROP CLBLM_L_X20Y131 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y131 INT_TILE_Y 18 TILEPROP CLBLM_L_X20Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y131 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y131 NAME CLBLM_L_X20Y131 TILEPROP CLBLM_L_X20Y131 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y131 NUM_SITES 2 TILEPROP CLBLM_L_X20Y131 ROW 19 TILEPROP CLBLM_L_X20Y131 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y131 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y131 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y131 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y131 TILE_X -8652 TILEPROP CLBLM_L_X20Y131 TILE_Y 183096 TILEPROP CLBLM_L_X20Y131 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y132 CLASS tile TILEPROP CLBLM_L_X20Y132 COLUMN 52 TILEPROP CLBLM_L_X20Y132 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y132 FIRST_SITE_ID 1831 TILEPROP CLBLM_L_X20Y132 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y132 GRID_POINT_Y 18 TILEPROP CLBLM_L_X20Y132 INDEX 2122 TILEPROP CLBLM_L_X20Y132 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y132 INT_TILE_Y 17 TILEPROP CLBLM_L_X20Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y132 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y132 NAME CLBLM_L_X20Y132 TILEPROP CLBLM_L_X20Y132 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y132 NUM_SITES 2 TILEPROP CLBLM_L_X20Y132 ROW 18 TILEPROP CLBLM_L_X20Y132 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y132 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y132 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y132 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y132 TILE_X -8652 TILEPROP CLBLM_L_X20Y132 TILE_Y 186296 TILEPROP CLBLM_L_X20Y132 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y133 CLASS tile TILEPROP CLBLM_L_X20Y133 COLUMN 52 TILEPROP CLBLM_L_X20Y133 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y133 FIRST_SITE_ID 1730 TILEPROP CLBLM_L_X20Y133 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y133 GRID_POINT_Y 17 TILEPROP CLBLM_L_X20Y133 INDEX 2007 TILEPROP CLBLM_L_X20Y133 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y133 INT_TILE_Y 16 TILEPROP CLBLM_L_X20Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y133 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y133 NAME CLBLM_L_X20Y133 TILEPROP CLBLM_L_X20Y133 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y133 NUM_SITES 2 TILEPROP CLBLM_L_X20Y133 ROW 17 TILEPROP CLBLM_L_X20Y133 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y133 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y133 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y133 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y133 TILE_X -8652 TILEPROP CLBLM_L_X20Y133 TILE_Y 189496 TILEPROP CLBLM_L_X20Y133 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y134 CLASS tile TILEPROP CLBLM_L_X20Y134 COLUMN 52 TILEPROP CLBLM_L_X20Y134 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y134 FIRST_SITE_ID 1626 TILEPROP CLBLM_L_X20Y134 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y134 GRID_POINT_Y 16 TILEPROP CLBLM_L_X20Y134 INDEX 1892 TILEPROP CLBLM_L_X20Y134 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y134 INT_TILE_Y 15 TILEPROP CLBLM_L_X20Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y134 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y134 NAME CLBLM_L_X20Y134 TILEPROP CLBLM_L_X20Y134 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y134 NUM_SITES 2 TILEPROP CLBLM_L_X20Y134 ROW 16 TILEPROP CLBLM_L_X20Y134 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y134 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y134 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y134 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y134 TILE_X -8652 TILEPROP CLBLM_L_X20Y134 TILE_Y 192696 TILEPROP CLBLM_L_X20Y134 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y135 CLASS tile TILEPROP CLBLM_L_X20Y135 COLUMN 52 TILEPROP CLBLM_L_X20Y135 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y135 FIRST_SITE_ID 1524 TILEPROP CLBLM_L_X20Y135 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y135 GRID_POINT_Y 15 TILEPROP CLBLM_L_X20Y135 INDEX 1777 TILEPROP CLBLM_L_X20Y135 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y135 INT_TILE_Y 14 TILEPROP CLBLM_L_X20Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y135 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y135 NAME CLBLM_L_X20Y135 TILEPROP CLBLM_L_X20Y135 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y135 NUM_SITES 2 TILEPROP CLBLM_L_X20Y135 ROW 15 TILEPROP CLBLM_L_X20Y135 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y135 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y135 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y135 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y135 TILE_X -8652 TILEPROP CLBLM_L_X20Y135 TILE_Y 195896 TILEPROP CLBLM_L_X20Y135 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y136 CLASS tile TILEPROP CLBLM_L_X20Y136 COLUMN 52 TILEPROP CLBLM_L_X20Y136 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y136 FIRST_SITE_ID 1382 TILEPROP CLBLM_L_X20Y136 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y136 GRID_POINT_Y 14 TILEPROP CLBLM_L_X20Y136 INDEX 1662 TILEPROP CLBLM_L_X20Y136 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y136 INT_TILE_Y 13 TILEPROP CLBLM_L_X20Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y136 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y136 NAME CLBLM_L_X20Y136 TILEPROP CLBLM_L_X20Y136 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y136 NUM_SITES 2 TILEPROP CLBLM_L_X20Y136 ROW 14 TILEPROP CLBLM_L_X20Y136 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y136 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y136 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y136 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y136 TILE_X -8652 TILEPROP CLBLM_L_X20Y136 TILE_Y 199096 TILEPROP CLBLM_L_X20Y136 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y137 CLASS tile TILEPROP CLBLM_L_X20Y137 COLUMN 52 TILEPROP CLBLM_L_X20Y137 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y137 FIRST_SITE_ID 1286 TILEPROP CLBLM_L_X20Y137 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y137 GRID_POINT_Y 13 TILEPROP CLBLM_L_X20Y137 INDEX 1547 TILEPROP CLBLM_L_X20Y137 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y137 INT_TILE_Y 12 TILEPROP CLBLM_L_X20Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y137 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y137 NAME CLBLM_L_X20Y137 TILEPROP CLBLM_L_X20Y137 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y137 NUM_SITES 2 TILEPROP CLBLM_L_X20Y137 ROW 13 TILEPROP CLBLM_L_X20Y137 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y137 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y137 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y137 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y137 TILE_X -8652 TILEPROP CLBLM_L_X20Y137 TILE_Y 202296 TILEPROP CLBLM_L_X20Y137 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y138 CLASS tile TILEPROP CLBLM_L_X20Y138 COLUMN 52 TILEPROP CLBLM_L_X20Y138 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y138 FIRST_SITE_ID 1182 TILEPROP CLBLM_L_X20Y138 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y138 GRID_POINT_Y 12 TILEPROP CLBLM_L_X20Y138 INDEX 1432 TILEPROP CLBLM_L_X20Y138 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y138 INT_TILE_Y 11 TILEPROP CLBLM_L_X20Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y138 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y138 NAME CLBLM_L_X20Y138 TILEPROP CLBLM_L_X20Y138 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y138 NUM_SITES 2 TILEPROP CLBLM_L_X20Y138 ROW 12 TILEPROP CLBLM_L_X20Y138 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y138 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y138 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y138 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y138 TILE_X -8652 TILEPROP CLBLM_L_X20Y138 TILE_Y 205496 TILEPROP CLBLM_L_X20Y138 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y139 CLASS tile TILEPROP CLBLM_L_X20Y139 COLUMN 52 TILEPROP CLBLM_L_X20Y139 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y139 FIRST_SITE_ID 1086 TILEPROP CLBLM_L_X20Y139 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y139 GRID_POINT_Y 11 TILEPROP CLBLM_L_X20Y139 INDEX 1317 TILEPROP CLBLM_L_X20Y139 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y139 INT_TILE_Y 10 TILEPROP CLBLM_L_X20Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y139 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y139 NAME CLBLM_L_X20Y139 TILEPROP CLBLM_L_X20Y139 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y139 NUM_SITES 2 TILEPROP CLBLM_L_X20Y139 ROW 11 TILEPROP CLBLM_L_X20Y139 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y139 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y139 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y139 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y139 TILE_X -8652 TILEPROP CLBLM_L_X20Y139 TILE_Y 208696 TILEPROP CLBLM_L_X20Y139 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y140 CLASS tile TILEPROP CLBLM_L_X20Y140 COLUMN 52 TILEPROP CLBLM_L_X20Y140 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y140 FIRST_SITE_ID 976 TILEPROP CLBLM_L_X20Y140 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y140 GRID_POINT_Y 10 TILEPROP CLBLM_L_X20Y140 INDEX 1202 TILEPROP CLBLM_L_X20Y140 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y140 INT_TILE_Y 9 TILEPROP CLBLM_L_X20Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y140 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y140 NAME CLBLM_L_X20Y140 TILEPROP CLBLM_L_X20Y140 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y140 NUM_SITES 2 TILEPROP CLBLM_L_X20Y140 ROW 10 TILEPROP CLBLM_L_X20Y140 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y140 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y140 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y140 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y140 TILE_X -8652 TILEPROP CLBLM_L_X20Y140 TILE_Y 211896 TILEPROP CLBLM_L_X20Y140 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y141 CLASS tile TILEPROP CLBLM_L_X20Y141 COLUMN 52 TILEPROP CLBLM_L_X20Y141 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y141 FIRST_SITE_ID 874 TILEPROP CLBLM_L_X20Y141 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y141 GRID_POINT_Y 9 TILEPROP CLBLM_L_X20Y141 INDEX 1087 TILEPROP CLBLM_L_X20Y141 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y141 INT_TILE_Y 8 TILEPROP CLBLM_L_X20Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y141 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y141 NAME CLBLM_L_X20Y141 TILEPROP CLBLM_L_X20Y141 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y141 NUM_SITES 2 TILEPROP CLBLM_L_X20Y141 ROW 9 TILEPROP CLBLM_L_X20Y141 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y141 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y141 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y141 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y141 TILE_X -8652 TILEPROP CLBLM_L_X20Y141 TILE_Y 215096 TILEPROP CLBLM_L_X20Y141 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y142 CLASS tile TILEPROP CLBLM_L_X20Y142 COLUMN 52 TILEPROP CLBLM_L_X20Y142 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y142 FIRST_SITE_ID 770 TILEPROP CLBLM_L_X20Y142 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y142 GRID_POINT_Y 8 TILEPROP CLBLM_L_X20Y142 INDEX 972 TILEPROP CLBLM_L_X20Y142 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y142 INT_TILE_Y 7 TILEPROP CLBLM_L_X20Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y142 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y142 NAME CLBLM_L_X20Y142 TILEPROP CLBLM_L_X20Y142 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y142 NUM_SITES 2 TILEPROP CLBLM_L_X20Y142 ROW 8 TILEPROP CLBLM_L_X20Y142 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y142 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y142 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y142 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y142 TILE_X -8652 TILEPROP CLBLM_L_X20Y142 TILE_Y 218296 TILEPROP CLBLM_L_X20Y142 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y143 CLASS tile TILEPROP CLBLM_L_X20Y143 COLUMN 52 TILEPROP CLBLM_L_X20Y143 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y143 FIRST_SITE_ID 673 TILEPROP CLBLM_L_X20Y143 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y143 GRID_POINT_Y 7 TILEPROP CLBLM_L_X20Y143 INDEX 857 TILEPROP CLBLM_L_X20Y143 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y143 INT_TILE_Y 6 TILEPROP CLBLM_L_X20Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y143 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y143 NAME CLBLM_L_X20Y143 TILEPROP CLBLM_L_X20Y143 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y143 NUM_SITES 2 TILEPROP CLBLM_L_X20Y143 ROW 7 TILEPROP CLBLM_L_X20Y143 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y143 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y143 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y143 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y143 TILE_X -8652 TILEPROP CLBLM_L_X20Y143 TILE_Y 221496 TILEPROP CLBLM_L_X20Y143 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y144 CLASS tile TILEPROP CLBLM_L_X20Y144 COLUMN 52 TILEPROP CLBLM_L_X20Y144 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y144 FIRST_SITE_ID 562 TILEPROP CLBLM_L_X20Y144 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y144 GRID_POINT_Y 6 TILEPROP CLBLM_L_X20Y144 INDEX 742 TILEPROP CLBLM_L_X20Y144 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y144 INT_TILE_Y 5 TILEPROP CLBLM_L_X20Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y144 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y144 NAME CLBLM_L_X20Y144 TILEPROP CLBLM_L_X20Y144 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y144 NUM_SITES 2 TILEPROP CLBLM_L_X20Y144 ROW 6 TILEPROP CLBLM_L_X20Y144 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y144 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y144 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y144 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y144 TILE_X -8652 TILEPROP CLBLM_L_X20Y144 TILE_Y 224696 TILEPROP CLBLM_L_X20Y144 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y145 CLASS tile TILEPROP CLBLM_L_X20Y145 COLUMN 52 TILEPROP CLBLM_L_X20Y145 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y145 FIRST_SITE_ID 460 TILEPROP CLBLM_L_X20Y145 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y145 GRID_POINT_Y 5 TILEPROP CLBLM_L_X20Y145 INDEX 627 TILEPROP CLBLM_L_X20Y145 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y145 INT_TILE_Y 4 TILEPROP CLBLM_L_X20Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y145 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y145 NAME CLBLM_L_X20Y145 TILEPROP CLBLM_L_X20Y145 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y145 NUM_SITES 2 TILEPROP CLBLM_L_X20Y145 ROW 5 TILEPROP CLBLM_L_X20Y145 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y145 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y145 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y145 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y145 TILE_X -8652 TILEPROP CLBLM_L_X20Y145 TILE_Y 227896 TILEPROP CLBLM_L_X20Y145 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y146 CLASS tile TILEPROP CLBLM_L_X20Y146 COLUMN 52 TILEPROP CLBLM_L_X20Y146 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y146 FIRST_SITE_ID 350 TILEPROP CLBLM_L_X20Y146 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y146 GRID_POINT_Y 4 TILEPROP CLBLM_L_X20Y146 INDEX 512 TILEPROP CLBLM_L_X20Y146 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y146 INT_TILE_Y 3 TILEPROP CLBLM_L_X20Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y146 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y146 NAME CLBLM_L_X20Y146 TILEPROP CLBLM_L_X20Y146 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y146 NUM_SITES 2 TILEPROP CLBLM_L_X20Y146 ROW 4 TILEPROP CLBLM_L_X20Y146 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y146 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y146 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y146 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y146 TILE_X -8652 TILEPROP CLBLM_L_X20Y146 TILE_Y 231096 TILEPROP CLBLM_L_X20Y146 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y147 CLASS tile TILEPROP CLBLM_L_X20Y147 COLUMN 52 TILEPROP CLBLM_L_X20Y147 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y147 FIRST_SITE_ID 254 TILEPROP CLBLM_L_X20Y147 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y147 GRID_POINT_Y 3 TILEPROP CLBLM_L_X20Y147 INDEX 397 TILEPROP CLBLM_L_X20Y147 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y147 INT_TILE_Y 2 TILEPROP CLBLM_L_X20Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y147 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y147 NAME CLBLM_L_X20Y147 TILEPROP CLBLM_L_X20Y147 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y147 NUM_SITES 2 TILEPROP CLBLM_L_X20Y147 ROW 3 TILEPROP CLBLM_L_X20Y147 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y147 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y147 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y147 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y147 TILE_X -8652 TILEPROP CLBLM_L_X20Y147 TILE_Y 234296 TILEPROP CLBLM_L_X20Y147 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y148 CLASS tile TILEPROP CLBLM_L_X20Y148 COLUMN 52 TILEPROP CLBLM_L_X20Y148 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y148 FIRST_SITE_ID 150 TILEPROP CLBLM_L_X20Y148 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y148 GRID_POINT_Y 2 TILEPROP CLBLM_L_X20Y148 INDEX 282 TILEPROP CLBLM_L_X20Y148 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y148 INT_TILE_Y 1 TILEPROP CLBLM_L_X20Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y148 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y148 NAME CLBLM_L_X20Y148 TILEPROP CLBLM_L_X20Y148 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y148 NUM_SITES 2 TILEPROP CLBLM_L_X20Y148 ROW 2 TILEPROP CLBLM_L_X20Y148 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y148 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X20Y148 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y148 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y148 TILE_X -8652 TILEPROP CLBLM_L_X20Y148 TILE_Y 237496 TILEPROP CLBLM_L_X20Y148 TYPE CLBLM_L TILEPROP CLBLM_L_X20Y149 CLASS tile TILEPROP CLBLM_L_X20Y149 COLUMN 52 TILEPROP CLBLM_L_X20Y149 DEVICE_ID 0 TILEPROP CLBLM_L_X20Y149 FIRST_SITE_ID 54 TILEPROP CLBLM_L_X20Y149 GRID_POINT_X 52 TILEPROP CLBLM_L_X20Y149 GRID_POINT_Y 1 TILEPROP CLBLM_L_X20Y149 INDEX 167 TILEPROP CLBLM_L_X20Y149 INT_TILE_X 19 TILEPROP CLBLM_L_X20Y149 INT_TILE_Y 0 TILEPROP CLBLM_L_X20Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X20Y149 IS_DCM_TILE 0 TILEPROP CLBLM_L_X20Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X20Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X20Y149 NAME CLBLM_L_X20Y149 TILEPROP CLBLM_L_X20Y149 NUM_ARCS 151 TILEPROP CLBLM_L_X20Y149 NUM_SITES 2 TILEPROP CLBLM_L_X20Y149 ROW 1 TILEPROP CLBLM_L_X20Y149 SLR_REGION_ID 0 TILEPROP CLBLM_L_X20Y149 TILE_PATTERN_IDX 22 TILEPROP CLBLM_L_X20Y149 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X20Y149 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X20Y149 TILE_X -8652 TILEPROP CLBLM_L_X20Y149 TILE_Y 240696 TILEPROP CLBLM_L_X20Y149 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y0 CLASS tile TILEPROP CLBLM_L_X22Y0 COLUMN 56 TILEPROP CLBLM_L_X22Y0 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y0 FIRST_SITE_ID 15750 TILEPROP CLBLM_L_X22Y0 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y0 GRID_POINT_Y 155 TILEPROP CLBLM_L_X22Y0 INDEX 17881 TILEPROP CLBLM_L_X22Y0 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y0 INT_TILE_Y 149 TILEPROP CLBLM_L_X22Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y0 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y0 NAME CLBLM_L_X22Y0 TILEPROP CLBLM_L_X22Y0 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y0 NUM_SITES 2 TILEPROP CLBLM_L_X22Y0 ROW 155 TILEPROP CLBLM_L_X22Y0 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y0 TILE_PATTERN_IDX 3508 TILEPROP CLBLM_L_X22Y0 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y0 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y0 TILE_X -348 TILEPROP CLBLM_L_X22Y0 TILE_Y -239672 TILEPROP CLBLM_L_X22Y0 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y1 CLASS tile TILEPROP CLBLM_L_X22Y1 COLUMN 56 TILEPROP CLBLM_L_X22Y1 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y1 FIRST_SITE_ID 15639 TILEPROP CLBLM_L_X22Y1 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y1 GRID_POINT_Y 154 TILEPROP CLBLM_L_X22Y1 INDEX 17766 TILEPROP CLBLM_L_X22Y1 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y1 INT_TILE_Y 148 TILEPROP CLBLM_L_X22Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y1 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y1 NAME CLBLM_L_X22Y1 TILEPROP CLBLM_L_X22Y1 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y1 NUM_SITES 2 TILEPROP CLBLM_L_X22Y1 ROW 154 TILEPROP CLBLM_L_X22Y1 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y1 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y1 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y1 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y1 TILE_X -348 TILEPROP CLBLM_L_X22Y1 TILE_Y -236472 TILEPROP CLBLM_L_X22Y1 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y2 CLASS tile TILEPROP CLBLM_L_X22Y2 COLUMN 56 TILEPROP CLBLM_L_X22Y2 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y2 FIRST_SITE_ID 15539 TILEPROP CLBLM_L_X22Y2 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y2 GRID_POINT_Y 153 TILEPROP CLBLM_L_X22Y2 INDEX 17651 TILEPROP CLBLM_L_X22Y2 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y2 INT_TILE_Y 147 TILEPROP CLBLM_L_X22Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y2 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y2 NAME CLBLM_L_X22Y2 TILEPROP CLBLM_L_X22Y2 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y2 NUM_SITES 2 TILEPROP CLBLM_L_X22Y2 ROW 153 TILEPROP CLBLM_L_X22Y2 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y2 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y2 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y2 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y2 TILE_X -348 TILEPROP CLBLM_L_X22Y2 TILE_Y -233272 TILEPROP CLBLM_L_X22Y2 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y3 CLASS tile TILEPROP CLBLM_L_X22Y3 COLUMN 56 TILEPROP CLBLM_L_X22Y3 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y3 FIRST_SITE_ID 15439 TILEPROP CLBLM_L_X22Y3 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y3 GRID_POINT_Y 152 TILEPROP CLBLM_L_X22Y3 INDEX 17536 TILEPROP CLBLM_L_X22Y3 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y3 INT_TILE_Y 146 TILEPROP CLBLM_L_X22Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y3 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y3 NAME CLBLM_L_X22Y3 TILEPROP CLBLM_L_X22Y3 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y3 NUM_SITES 2 TILEPROP CLBLM_L_X22Y3 ROW 152 TILEPROP CLBLM_L_X22Y3 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y3 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y3 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y3 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y3 TILE_X -348 TILEPROP CLBLM_L_X22Y3 TILE_Y -230072 TILEPROP CLBLM_L_X22Y3 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y4 CLASS tile TILEPROP CLBLM_L_X22Y4 COLUMN 56 TILEPROP CLBLM_L_X22Y4 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y4 FIRST_SITE_ID 15339 TILEPROP CLBLM_L_X22Y4 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y4 GRID_POINT_Y 151 TILEPROP CLBLM_L_X22Y4 INDEX 17421 TILEPROP CLBLM_L_X22Y4 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y4 INT_TILE_Y 145 TILEPROP CLBLM_L_X22Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y4 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y4 NAME CLBLM_L_X22Y4 TILEPROP CLBLM_L_X22Y4 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y4 NUM_SITES 2 TILEPROP CLBLM_L_X22Y4 ROW 151 TILEPROP CLBLM_L_X22Y4 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y4 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y4 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y4 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y4 TILE_X -348 TILEPROP CLBLM_L_X22Y4 TILE_Y -226872 TILEPROP CLBLM_L_X22Y4 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y5 CLASS tile TILEPROP CLBLM_L_X22Y5 COLUMN 56 TILEPROP CLBLM_L_X22Y5 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y5 FIRST_SITE_ID 15230 TILEPROP CLBLM_L_X22Y5 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y5 GRID_POINT_Y 150 TILEPROP CLBLM_L_X22Y5 INDEX 17306 TILEPROP CLBLM_L_X22Y5 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y5 INT_TILE_Y 144 TILEPROP CLBLM_L_X22Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y5 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y5 NAME CLBLM_L_X22Y5 TILEPROP CLBLM_L_X22Y5 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y5 NUM_SITES 2 TILEPROP CLBLM_L_X22Y5 ROW 150 TILEPROP CLBLM_L_X22Y5 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y5 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y5 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y5 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y5 TILE_X -348 TILEPROP CLBLM_L_X22Y5 TILE_Y -223672 TILEPROP CLBLM_L_X22Y5 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y6 CLASS tile TILEPROP CLBLM_L_X22Y6 COLUMN 56 TILEPROP CLBLM_L_X22Y6 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y6 FIRST_SITE_ID 15124 TILEPROP CLBLM_L_X22Y6 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y6 GRID_POINT_Y 149 TILEPROP CLBLM_L_X22Y6 INDEX 17191 TILEPROP CLBLM_L_X22Y6 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y6 INT_TILE_Y 143 TILEPROP CLBLM_L_X22Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y6 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y6 NAME CLBLM_L_X22Y6 TILEPROP CLBLM_L_X22Y6 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y6 NUM_SITES 2 TILEPROP CLBLM_L_X22Y6 ROW 149 TILEPROP CLBLM_L_X22Y6 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y6 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y6 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y6 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y6 TILE_X -348 TILEPROP CLBLM_L_X22Y6 TILE_Y -220472 TILEPROP CLBLM_L_X22Y6 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y7 CLASS tile TILEPROP CLBLM_L_X22Y7 COLUMN 56 TILEPROP CLBLM_L_X22Y7 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y7 FIRST_SITE_ID 15022 TILEPROP CLBLM_L_X22Y7 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y7 GRID_POINT_Y 148 TILEPROP CLBLM_L_X22Y7 INDEX 17076 TILEPROP CLBLM_L_X22Y7 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y7 INT_TILE_Y 142 TILEPROP CLBLM_L_X22Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y7 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y7 NAME CLBLM_L_X22Y7 TILEPROP CLBLM_L_X22Y7 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y7 NUM_SITES 2 TILEPROP CLBLM_L_X22Y7 ROW 148 TILEPROP CLBLM_L_X22Y7 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y7 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y7 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y7 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y7 TILE_X -348 TILEPROP CLBLM_L_X22Y7 TILE_Y -217272 TILEPROP CLBLM_L_X22Y7 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y8 CLASS tile TILEPROP CLBLM_L_X22Y8 COLUMN 56 TILEPROP CLBLM_L_X22Y8 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y8 FIRST_SITE_ID 14919 TILEPROP CLBLM_L_X22Y8 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y8 GRID_POINT_Y 147 TILEPROP CLBLM_L_X22Y8 INDEX 16961 TILEPROP CLBLM_L_X22Y8 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y8 INT_TILE_Y 141 TILEPROP CLBLM_L_X22Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y8 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y8 NAME CLBLM_L_X22Y8 TILEPROP CLBLM_L_X22Y8 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y8 NUM_SITES 2 TILEPROP CLBLM_L_X22Y8 ROW 147 TILEPROP CLBLM_L_X22Y8 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y8 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y8 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y8 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y8 TILE_X -348 TILEPROP CLBLM_L_X22Y8 TILE_Y -214072 TILEPROP CLBLM_L_X22Y8 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y9 CLASS tile TILEPROP CLBLM_L_X22Y9 COLUMN 56 TILEPROP CLBLM_L_X22Y9 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y9 FIRST_SITE_ID 14818 TILEPROP CLBLM_L_X22Y9 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y9 GRID_POINT_Y 146 TILEPROP CLBLM_L_X22Y9 INDEX 16846 TILEPROP CLBLM_L_X22Y9 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y9 INT_TILE_Y 140 TILEPROP CLBLM_L_X22Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y9 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y9 NAME CLBLM_L_X22Y9 TILEPROP CLBLM_L_X22Y9 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y9 NUM_SITES 2 TILEPROP CLBLM_L_X22Y9 ROW 146 TILEPROP CLBLM_L_X22Y9 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y9 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y9 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y9 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y9 TILE_X -348 TILEPROP CLBLM_L_X22Y9 TILE_Y -210872 TILEPROP CLBLM_L_X22Y9 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y10 CLASS tile TILEPROP CLBLM_L_X22Y10 COLUMN 56 TILEPROP CLBLM_L_X22Y10 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y10 FIRST_SITE_ID 14709 TILEPROP CLBLM_L_X22Y10 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y10 GRID_POINT_Y 145 TILEPROP CLBLM_L_X22Y10 INDEX 16731 TILEPROP CLBLM_L_X22Y10 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y10 INT_TILE_Y 139 TILEPROP CLBLM_L_X22Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y10 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y10 NAME CLBLM_L_X22Y10 TILEPROP CLBLM_L_X22Y10 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y10 NUM_SITES 2 TILEPROP CLBLM_L_X22Y10 ROW 145 TILEPROP CLBLM_L_X22Y10 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y10 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y10 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y10 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y10 TILE_X -348 TILEPROP CLBLM_L_X22Y10 TILE_Y -207672 TILEPROP CLBLM_L_X22Y10 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y11 CLASS tile TILEPROP CLBLM_L_X22Y11 COLUMN 56 TILEPROP CLBLM_L_X22Y11 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y11 FIRST_SITE_ID 14603 TILEPROP CLBLM_L_X22Y11 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y11 GRID_POINT_Y 144 TILEPROP CLBLM_L_X22Y11 INDEX 16616 TILEPROP CLBLM_L_X22Y11 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y11 INT_TILE_Y 138 TILEPROP CLBLM_L_X22Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y11 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y11 NAME CLBLM_L_X22Y11 TILEPROP CLBLM_L_X22Y11 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y11 NUM_SITES 2 TILEPROP CLBLM_L_X22Y11 ROW 144 TILEPROP CLBLM_L_X22Y11 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y11 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y11 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y11 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y11 TILE_X -348 TILEPROP CLBLM_L_X22Y11 TILE_Y -204472 TILEPROP CLBLM_L_X22Y11 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y12 CLASS tile TILEPROP CLBLM_L_X22Y12 COLUMN 56 TILEPROP CLBLM_L_X22Y12 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y12 FIRST_SITE_ID 14471 TILEPROP CLBLM_L_X22Y12 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y12 GRID_POINT_Y 143 TILEPROP CLBLM_L_X22Y12 INDEX 16501 TILEPROP CLBLM_L_X22Y12 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y12 INT_TILE_Y 137 TILEPROP CLBLM_L_X22Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y12 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y12 NAME CLBLM_L_X22Y12 TILEPROP CLBLM_L_X22Y12 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y12 NUM_SITES 2 TILEPROP CLBLM_L_X22Y12 ROW 143 TILEPROP CLBLM_L_X22Y12 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y12 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y12 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y12 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y12 TILE_X -348 TILEPROP CLBLM_L_X22Y12 TILE_Y -201272 TILEPROP CLBLM_L_X22Y12 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y13 CLASS tile TILEPROP CLBLM_L_X22Y13 COLUMN 56 TILEPROP CLBLM_L_X22Y13 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y13 FIRST_SITE_ID 14371 TILEPROP CLBLM_L_X22Y13 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y13 GRID_POINT_Y 142 TILEPROP CLBLM_L_X22Y13 INDEX 16386 TILEPROP CLBLM_L_X22Y13 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y13 INT_TILE_Y 136 TILEPROP CLBLM_L_X22Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y13 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y13 NAME CLBLM_L_X22Y13 TILEPROP CLBLM_L_X22Y13 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y13 NUM_SITES 2 TILEPROP CLBLM_L_X22Y13 ROW 142 TILEPROP CLBLM_L_X22Y13 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y13 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y13 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y13 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y13 TILE_X -348 TILEPROP CLBLM_L_X22Y13 TILE_Y -198072 TILEPROP CLBLM_L_X22Y13 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y14 CLASS tile TILEPROP CLBLM_L_X22Y14 COLUMN 56 TILEPROP CLBLM_L_X22Y14 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y14 FIRST_SITE_ID 14271 TILEPROP CLBLM_L_X22Y14 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y14 GRID_POINT_Y 141 TILEPROP CLBLM_L_X22Y14 INDEX 16271 TILEPROP CLBLM_L_X22Y14 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y14 INT_TILE_Y 135 TILEPROP CLBLM_L_X22Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y14 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y14 NAME CLBLM_L_X22Y14 TILEPROP CLBLM_L_X22Y14 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y14 NUM_SITES 2 TILEPROP CLBLM_L_X22Y14 ROW 141 TILEPROP CLBLM_L_X22Y14 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y14 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y14 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y14 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y14 TILE_X -348 TILEPROP CLBLM_L_X22Y14 TILE_Y -194872 TILEPROP CLBLM_L_X22Y14 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y15 CLASS tile TILEPROP CLBLM_L_X22Y15 COLUMN 56 TILEPROP CLBLM_L_X22Y15 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y15 FIRST_SITE_ID 14162 TILEPROP CLBLM_L_X22Y15 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y15 GRID_POINT_Y 140 TILEPROP CLBLM_L_X22Y15 INDEX 16156 TILEPROP CLBLM_L_X22Y15 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y15 INT_TILE_Y 134 TILEPROP CLBLM_L_X22Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y15 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y15 NAME CLBLM_L_X22Y15 TILEPROP CLBLM_L_X22Y15 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y15 NUM_SITES 2 TILEPROP CLBLM_L_X22Y15 ROW 140 TILEPROP CLBLM_L_X22Y15 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y15 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y15 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y15 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y15 TILE_X -348 TILEPROP CLBLM_L_X22Y15 TILE_Y -191672 TILEPROP CLBLM_L_X22Y15 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y16 CLASS tile TILEPROP CLBLM_L_X22Y16 COLUMN 56 TILEPROP CLBLM_L_X22Y16 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y16 FIRST_SITE_ID 14056 TILEPROP CLBLM_L_X22Y16 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y16 GRID_POINT_Y 139 TILEPROP CLBLM_L_X22Y16 INDEX 16041 TILEPROP CLBLM_L_X22Y16 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y16 INT_TILE_Y 133 TILEPROP CLBLM_L_X22Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y16 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y16 NAME CLBLM_L_X22Y16 TILEPROP CLBLM_L_X22Y16 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y16 NUM_SITES 2 TILEPROP CLBLM_L_X22Y16 ROW 139 TILEPROP CLBLM_L_X22Y16 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y16 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y16 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y16 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y16 TILE_X -348 TILEPROP CLBLM_L_X22Y16 TILE_Y -188472 TILEPROP CLBLM_L_X22Y16 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y17 CLASS tile TILEPROP CLBLM_L_X22Y17 COLUMN 56 TILEPROP CLBLM_L_X22Y17 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y17 FIRST_SITE_ID 13951 TILEPROP CLBLM_L_X22Y17 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y17 GRID_POINT_Y 138 TILEPROP CLBLM_L_X22Y17 INDEX 15926 TILEPROP CLBLM_L_X22Y17 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y17 INT_TILE_Y 132 TILEPROP CLBLM_L_X22Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y17 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y17 NAME CLBLM_L_X22Y17 TILEPROP CLBLM_L_X22Y17 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y17 NUM_SITES 2 TILEPROP CLBLM_L_X22Y17 ROW 138 TILEPROP CLBLM_L_X22Y17 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y17 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y17 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y17 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y17 TILE_X -348 TILEPROP CLBLM_L_X22Y17 TILE_Y -185272 TILEPROP CLBLM_L_X22Y17 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y18 CLASS tile TILEPROP CLBLM_L_X22Y18 COLUMN 56 TILEPROP CLBLM_L_X22Y18 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y18 FIRST_SITE_ID 13847 TILEPROP CLBLM_L_X22Y18 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y18 GRID_POINT_Y 137 TILEPROP CLBLM_L_X22Y18 INDEX 15811 TILEPROP CLBLM_L_X22Y18 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y18 INT_TILE_Y 131 TILEPROP CLBLM_L_X22Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y18 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y18 NAME CLBLM_L_X22Y18 TILEPROP CLBLM_L_X22Y18 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y18 NUM_SITES 2 TILEPROP CLBLM_L_X22Y18 ROW 137 TILEPROP CLBLM_L_X22Y18 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y18 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y18 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y18 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y18 TILE_X -348 TILEPROP CLBLM_L_X22Y18 TILE_Y -182072 TILEPROP CLBLM_L_X22Y18 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y19 CLASS tile TILEPROP CLBLM_L_X22Y19 COLUMN 56 TILEPROP CLBLM_L_X22Y19 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y19 FIRST_SITE_ID 13745 TILEPROP CLBLM_L_X22Y19 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y19 GRID_POINT_Y 136 TILEPROP CLBLM_L_X22Y19 INDEX 15696 TILEPROP CLBLM_L_X22Y19 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y19 INT_TILE_Y 130 TILEPROP CLBLM_L_X22Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y19 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y19 NAME CLBLM_L_X22Y19 TILEPROP CLBLM_L_X22Y19 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y19 NUM_SITES 2 TILEPROP CLBLM_L_X22Y19 ROW 136 TILEPROP CLBLM_L_X22Y19 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y19 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y19 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y19 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y19 TILE_X -348 TILEPROP CLBLM_L_X22Y19 TILE_Y -178872 TILEPROP CLBLM_L_X22Y19 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y20 CLASS tile TILEPROP CLBLM_L_X22Y20 COLUMN 56 TILEPROP CLBLM_L_X22Y20 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y20 FIRST_SITE_ID 13634 TILEPROP CLBLM_L_X22Y20 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y20 GRID_POINT_Y 135 TILEPROP CLBLM_L_X22Y20 INDEX 15581 TILEPROP CLBLM_L_X22Y20 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y20 INT_TILE_Y 129 TILEPROP CLBLM_L_X22Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y20 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y20 NAME CLBLM_L_X22Y20 TILEPROP CLBLM_L_X22Y20 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y20 NUM_SITES 2 TILEPROP CLBLM_L_X22Y20 ROW 135 TILEPROP CLBLM_L_X22Y20 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y20 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y20 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y20 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y20 TILE_X -348 TILEPROP CLBLM_L_X22Y20 TILE_Y -175672 TILEPROP CLBLM_L_X22Y20 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y21 CLASS tile TILEPROP CLBLM_L_X22Y21 COLUMN 56 TILEPROP CLBLM_L_X22Y21 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y21 FIRST_SITE_ID 13528 TILEPROP CLBLM_L_X22Y21 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y21 GRID_POINT_Y 134 TILEPROP CLBLM_L_X22Y21 INDEX 15466 TILEPROP CLBLM_L_X22Y21 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y21 INT_TILE_Y 128 TILEPROP CLBLM_L_X22Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y21 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y21 NAME CLBLM_L_X22Y21 TILEPROP CLBLM_L_X22Y21 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y21 NUM_SITES 2 TILEPROP CLBLM_L_X22Y21 ROW 134 TILEPROP CLBLM_L_X22Y21 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y21 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y21 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y21 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y21 TILE_X -348 TILEPROP CLBLM_L_X22Y21 TILE_Y -172472 TILEPROP CLBLM_L_X22Y21 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y22 CLASS tile TILEPROP CLBLM_L_X22Y22 COLUMN 56 TILEPROP CLBLM_L_X22Y22 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y22 FIRST_SITE_ID 13428 TILEPROP CLBLM_L_X22Y22 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y22 GRID_POINT_Y 133 TILEPROP CLBLM_L_X22Y22 INDEX 15351 TILEPROP CLBLM_L_X22Y22 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y22 INT_TILE_Y 127 TILEPROP CLBLM_L_X22Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y22 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y22 NAME CLBLM_L_X22Y22 TILEPROP CLBLM_L_X22Y22 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y22 NUM_SITES 2 TILEPROP CLBLM_L_X22Y22 ROW 133 TILEPROP CLBLM_L_X22Y22 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y22 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y22 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y22 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y22 TILE_X -348 TILEPROP CLBLM_L_X22Y22 TILE_Y -169272 TILEPROP CLBLM_L_X22Y22 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y23 CLASS tile TILEPROP CLBLM_L_X22Y23 COLUMN 56 TILEPROP CLBLM_L_X22Y23 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y23 FIRST_SITE_ID 13328 TILEPROP CLBLM_L_X22Y23 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y23 GRID_POINT_Y 132 TILEPROP CLBLM_L_X22Y23 INDEX 15236 TILEPROP CLBLM_L_X22Y23 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y23 INT_TILE_Y 126 TILEPROP CLBLM_L_X22Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y23 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y23 NAME CLBLM_L_X22Y23 TILEPROP CLBLM_L_X22Y23 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y23 NUM_SITES 2 TILEPROP CLBLM_L_X22Y23 ROW 132 TILEPROP CLBLM_L_X22Y23 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y23 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y23 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y23 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y23 TILE_X -348 TILEPROP CLBLM_L_X22Y23 TILE_Y -166072 TILEPROP CLBLM_L_X22Y23 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y24 CLASS tile TILEPROP CLBLM_L_X22Y24 COLUMN 56 TILEPROP CLBLM_L_X22Y24 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y24 FIRST_SITE_ID 13228 TILEPROP CLBLM_L_X22Y24 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y24 GRID_POINT_Y 131 TILEPROP CLBLM_L_X22Y24 INDEX 15121 TILEPROP CLBLM_L_X22Y24 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y24 INT_TILE_Y 125 TILEPROP CLBLM_L_X22Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y24 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y24 NAME CLBLM_L_X22Y24 TILEPROP CLBLM_L_X22Y24 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y24 NUM_SITES 2 TILEPROP CLBLM_L_X22Y24 ROW 131 TILEPROP CLBLM_L_X22Y24 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y24 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X22Y24 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y24 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y24 TILE_X -348 TILEPROP CLBLM_L_X22Y24 TILE_Y -162872 TILEPROP CLBLM_L_X22Y24 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y25 CLASS tile TILEPROP CLBLM_L_X22Y25 COLUMN 56 TILEPROP CLBLM_L_X22Y25 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y25 FIRST_SITE_ID 13036 TILEPROP CLBLM_L_X22Y25 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y25 GRID_POINT_Y 129 TILEPROP CLBLM_L_X22Y25 INDEX 14891 TILEPROP CLBLM_L_X22Y25 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y25 INT_TILE_Y 124 TILEPROP CLBLM_L_X22Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y25 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y25 NAME CLBLM_L_X22Y25 TILEPROP CLBLM_L_X22Y25 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y25 NUM_SITES 2 TILEPROP CLBLM_L_X22Y25 ROW 129 TILEPROP CLBLM_L_X22Y25 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y25 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X22Y25 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y25 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y25 TILE_X -348 TILEPROP CLBLM_L_X22Y25 TILE_Y -158648 TILEPROP CLBLM_L_X22Y25 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y26 CLASS tile TILEPROP CLBLM_L_X22Y26 COLUMN 56 TILEPROP CLBLM_L_X22Y26 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y26 FIRST_SITE_ID 12930 TILEPROP CLBLM_L_X22Y26 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y26 GRID_POINT_Y 128 TILEPROP CLBLM_L_X22Y26 INDEX 14776 TILEPROP CLBLM_L_X22Y26 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y26 INT_TILE_Y 123 TILEPROP CLBLM_L_X22Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y26 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y26 NAME CLBLM_L_X22Y26 TILEPROP CLBLM_L_X22Y26 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y26 NUM_SITES 2 TILEPROP CLBLM_L_X22Y26 ROW 128 TILEPROP CLBLM_L_X22Y26 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y26 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y26 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y26 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y26 TILE_X -348 TILEPROP CLBLM_L_X22Y26 TILE_Y -155448 TILEPROP CLBLM_L_X22Y26 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y27 CLASS tile TILEPROP CLBLM_L_X22Y27 COLUMN 56 TILEPROP CLBLM_L_X22Y27 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y27 FIRST_SITE_ID 12830 TILEPROP CLBLM_L_X22Y27 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y27 GRID_POINT_Y 127 TILEPROP CLBLM_L_X22Y27 INDEX 14661 TILEPROP CLBLM_L_X22Y27 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y27 INT_TILE_Y 122 TILEPROP CLBLM_L_X22Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y27 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y27 NAME CLBLM_L_X22Y27 TILEPROP CLBLM_L_X22Y27 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y27 NUM_SITES 2 TILEPROP CLBLM_L_X22Y27 ROW 127 TILEPROP CLBLM_L_X22Y27 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y27 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y27 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y27 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y27 TILE_X -348 TILEPROP CLBLM_L_X22Y27 TILE_Y -152248 TILEPROP CLBLM_L_X22Y27 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y28 CLASS tile TILEPROP CLBLM_L_X22Y28 COLUMN 56 TILEPROP CLBLM_L_X22Y28 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y28 FIRST_SITE_ID 12730 TILEPROP CLBLM_L_X22Y28 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y28 GRID_POINT_Y 126 TILEPROP CLBLM_L_X22Y28 INDEX 14546 TILEPROP CLBLM_L_X22Y28 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y28 INT_TILE_Y 121 TILEPROP CLBLM_L_X22Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y28 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y28 NAME CLBLM_L_X22Y28 TILEPROP CLBLM_L_X22Y28 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y28 NUM_SITES 2 TILEPROP CLBLM_L_X22Y28 ROW 126 TILEPROP CLBLM_L_X22Y28 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y28 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y28 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y28 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y28 TILE_X -348 TILEPROP CLBLM_L_X22Y28 TILE_Y -149048 TILEPROP CLBLM_L_X22Y28 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y29 CLASS tile TILEPROP CLBLM_L_X22Y29 COLUMN 56 TILEPROP CLBLM_L_X22Y29 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y29 FIRST_SITE_ID 12624 TILEPROP CLBLM_L_X22Y29 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y29 GRID_POINT_Y 125 TILEPROP CLBLM_L_X22Y29 INDEX 14431 TILEPROP CLBLM_L_X22Y29 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y29 INT_TILE_Y 120 TILEPROP CLBLM_L_X22Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y29 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y29 NAME CLBLM_L_X22Y29 TILEPROP CLBLM_L_X22Y29 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y29 NUM_SITES 2 TILEPROP CLBLM_L_X22Y29 ROW 125 TILEPROP CLBLM_L_X22Y29 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y29 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y29 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y29 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y29 TILE_X -348 TILEPROP CLBLM_L_X22Y29 TILE_Y -145848 TILEPROP CLBLM_L_X22Y29 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y30 CLASS tile TILEPROP CLBLM_L_X22Y30 COLUMN 56 TILEPROP CLBLM_L_X22Y30 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y30 FIRST_SITE_ID 12509 TILEPROP CLBLM_L_X22Y30 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y30 GRID_POINT_Y 124 TILEPROP CLBLM_L_X22Y30 INDEX 14316 TILEPROP CLBLM_L_X22Y30 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y30 INT_TILE_Y 119 TILEPROP CLBLM_L_X22Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y30 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y30 NAME CLBLM_L_X22Y30 TILEPROP CLBLM_L_X22Y30 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y30 NUM_SITES 2 TILEPROP CLBLM_L_X22Y30 ROW 124 TILEPROP CLBLM_L_X22Y30 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y30 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y30 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y30 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y30 TILE_X -348 TILEPROP CLBLM_L_X22Y30 TILE_Y -142648 TILEPROP CLBLM_L_X22Y30 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y31 CLASS tile TILEPROP CLBLM_L_X22Y31 COLUMN 56 TILEPROP CLBLM_L_X22Y31 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y31 FIRST_SITE_ID 12388 TILEPROP CLBLM_L_X22Y31 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y31 GRID_POINT_Y 123 TILEPROP CLBLM_L_X22Y31 INDEX 14201 TILEPROP CLBLM_L_X22Y31 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y31 INT_TILE_Y 118 TILEPROP CLBLM_L_X22Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y31 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y31 NAME CLBLM_L_X22Y31 TILEPROP CLBLM_L_X22Y31 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y31 NUM_SITES 2 TILEPROP CLBLM_L_X22Y31 ROW 123 TILEPROP CLBLM_L_X22Y31 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y31 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y31 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y31 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y31 TILE_X -348 TILEPROP CLBLM_L_X22Y31 TILE_Y -139448 TILEPROP CLBLM_L_X22Y31 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y32 CLASS tile TILEPROP CLBLM_L_X22Y32 COLUMN 56 TILEPROP CLBLM_L_X22Y32 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y32 FIRST_SITE_ID 12285 TILEPROP CLBLM_L_X22Y32 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y32 GRID_POINT_Y 122 TILEPROP CLBLM_L_X22Y32 INDEX 14086 TILEPROP CLBLM_L_X22Y32 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y32 INT_TILE_Y 117 TILEPROP CLBLM_L_X22Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y32 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y32 NAME CLBLM_L_X22Y32 TILEPROP CLBLM_L_X22Y32 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y32 NUM_SITES 2 TILEPROP CLBLM_L_X22Y32 ROW 122 TILEPROP CLBLM_L_X22Y32 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y32 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y32 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y32 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y32 TILE_X -348 TILEPROP CLBLM_L_X22Y32 TILE_Y -136248 TILEPROP CLBLM_L_X22Y32 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y33 CLASS tile TILEPROP CLBLM_L_X22Y33 COLUMN 56 TILEPROP CLBLM_L_X22Y33 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y33 FIRST_SITE_ID 12185 TILEPROP CLBLM_L_X22Y33 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y33 GRID_POINT_Y 121 TILEPROP CLBLM_L_X22Y33 INDEX 13971 TILEPROP CLBLM_L_X22Y33 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y33 INT_TILE_Y 116 TILEPROP CLBLM_L_X22Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y33 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y33 NAME CLBLM_L_X22Y33 TILEPROP CLBLM_L_X22Y33 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y33 NUM_SITES 2 TILEPROP CLBLM_L_X22Y33 ROW 121 TILEPROP CLBLM_L_X22Y33 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y33 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y33 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y33 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y33 TILE_X -348 TILEPROP CLBLM_L_X22Y33 TILE_Y -133048 TILEPROP CLBLM_L_X22Y33 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y34 CLASS tile TILEPROP CLBLM_L_X22Y34 COLUMN 56 TILEPROP CLBLM_L_X22Y34 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y34 FIRST_SITE_ID 12085 TILEPROP CLBLM_L_X22Y34 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y34 GRID_POINT_Y 120 TILEPROP CLBLM_L_X22Y34 INDEX 13856 TILEPROP CLBLM_L_X22Y34 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y34 INT_TILE_Y 115 TILEPROP CLBLM_L_X22Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y34 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y34 NAME CLBLM_L_X22Y34 TILEPROP CLBLM_L_X22Y34 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y34 NUM_SITES 2 TILEPROP CLBLM_L_X22Y34 ROW 120 TILEPROP CLBLM_L_X22Y34 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y34 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y34 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y34 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y34 TILE_X -348 TILEPROP CLBLM_L_X22Y34 TILE_Y -129848 TILEPROP CLBLM_L_X22Y34 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y35 CLASS tile TILEPROP CLBLM_L_X22Y35 COLUMN 56 TILEPROP CLBLM_L_X22Y35 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y35 FIRST_SITE_ID 11976 TILEPROP CLBLM_L_X22Y35 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y35 GRID_POINT_Y 119 TILEPROP CLBLM_L_X22Y35 INDEX 13741 TILEPROP CLBLM_L_X22Y35 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y35 INT_TILE_Y 114 TILEPROP CLBLM_L_X22Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y35 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y35 NAME CLBLM_L_X22Y35 TILEPROP CLBLM_L_X22Y35 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y35 NUM_SITES 2 TILEPROP CLBLM_L_X22Y35 ROW 119 TILEPROP CLBLM_L_X22Y35 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y35 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y35 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y35 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y35 TILE_X -348 TILEPROP CLBLM_L_X22Y35 TILE_Y -126648 TILEPROP CLBLM_L_X22Y35 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y36 CLASS tile TILEPROP CLBLM_L_X22Y36 COLUMN 56 TILEPROP CLBLM_L_X22Y36 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y36 FIRST_SITE_ID 11838 TILEPROP CLBLM_L_X22Y36 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y36 GRID_POINT_Y 118 TILEPROP CLBLM_L_X22Y36 INDEX 13626 TILEPROP CLBLM_L_X22Y36 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y36 INT_TILE_Y 113 TILEPROP CLBLM_L_X22Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y36 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y36 NAME CLBLM_L_X22Y36 TILEPROP CLBLM_L_X22Y36 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y36 NUM_SITES 2 TILEPROP CLBLM_L_X22Y36 ROW 118 TILEPROP CLBLM_L_X22Y36 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y36 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y36 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y36 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y36 TILE_X -348 TILEPROP CLBLM_L_X22Y36 TILE_Y -123448 TILEPROP CLBLM_L_X22Y36 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y37 CLASS tile TILEPROP CLBLM_L_X22Y37 COLUMN 56 TILEPROP CLBLM_L_X22Y37 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y37 FIRST_SITE_ID 11738 TILEPROP CLBLM_L_X22Y37 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y37 GRID_POINT_Y 117 TILEPROP CLBLM_L_X22Y37 INDEX 13511 TILEPROP CLBLM_L_X22Y37 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y37 INT_TILE_Y 112 TILEPROP CLBLM_L_X22Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y37 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y37 NAME CLBLM_L_X22Y37 TILEPROP CLBLM_L_X22Y37 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y37 NUM_SITES 2 TILEPROP CLBLM_L_X22Y37 ROW 117 TILEPROP CLBLM_L_X22Y37 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y37 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y37 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y37 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y37 TILE_X -348 TILEPROP CLBLM_L_X22Y37 TILE_Y -120248 TILEPROP CLBLM_L_X22Y37 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y38 CLASS tile TILEPROP CLBLM_L_X22Y38 COLUMN 56 TILEPROP CLBLM_L_X22Y38 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y38 FIRST_SITE_ID 11638 TILEPROP CLBLM_L_X22Y38 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y38 GRID_POINT_Y 116 TILEPROP CLBLM_L_X22Y38 INDEX 13396 TILEPROP CLBLM_L_X22Y38 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y38 INT_TILE_Y 111 TILEPROP CLBLM_L_X22Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y38 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y38 NAME CLBLM_L_X22Y38 TILEPROP CLBLM_L_X22Y38 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y38 NUM_SITES 2 TILEPROP CLBLM_L_X22Y38 ROW 116 TILEPROP CLBLM_L_X22Y38 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y38 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y38 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y38 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y38 TILE_X -348 TILEPROP CLBLM_L_X22Y38 TILE_Y -117048 TILEPROP CLBLM_L_X22Y38 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y39 CLASS tile TILEPROP CLBLM_L_X22Y39 COLUMN 56 TILEPROP CLBLM_L_X22Y39 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y39 FIRST_SITE_ID 11538 TILEPROP CLBLM_L_X22Y39 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y39 GRID_POINT_Y 115 TILEPROP CLBLM_L_X22Y39 INDEX 13281 TILEPROP CLBLM_L_X22Y39 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y39 INT_TILE_Y 110 TILEPROP CLBLM_L_X22Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y39 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y39 NAME CLBLM_L_X22Y39 TILEPROP CLBLM_L_X22Y39 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y39 NUM_SITES 2 TILEPROP CLBLM_L_X22Y39 ROW 115 TILEPROP CLBLM_L_X22Y39 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y39 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y39 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y39 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y39 TILE_X -348 TILEPROP CLBLM_L_X22Y39 TILE_Y -113848 TILEPROP CLBLM_L_X22Y39 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y40 CLASS tile TILEPROP CLBLM_L_X22Y40 COLUMN 56 TILEPROP CLBLM_L_X22Y40 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y40 FIRST_SITE_ID 11429 TILEPROP CLBLM_L_X22Y40 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y40 GRID_POINT_Y 114 TILEPROP CLBLM_L_X22Y40 INDEX 13166 TILEPROP CLBLM_L_X22Y40 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y40 INT_TILE_Y 109 TILEPROP CLBLM_L_X22Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y40 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y40 NAME CLBLM_L_X22Y40 TILEPROP CLBLM_L_X22Y40 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y40 NUM_SITES 2 TILEPROP CLBLM_L_X22Y40 ROW 114 TILEPROP CLBLM_L_X22Y40 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y40 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y40 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y40 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y40 TILE_X -348 TILEPROP CLBLM_L_X22Y40 TILE_Y -110648 TILEPROP CLBLM_L_X22Y40 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y41 CLASS tile TILEPROP CLBLM_L_X22Y41 COLUMN 56 TILEPROP CLBLM_L_X22Y41 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y41 FIRST_SITE_ID 11320 TILEPROP CLBLM_L_X22Y41 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y41 GRID_POINT_Y 113 TILEPROP CLBLM_L_X22Y41 INDEX 13051 TILEPROP CLBLM_L_X22Y41 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y41 INT_TILE_Y 108 TILEPROP CLBLM_L_X22Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y41 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y41 NAME CLBLM_L_X22Y41 TILEPROP CLBLM_L_X22Y41 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y41 NUM_SITES 2 TILEPROP CLBLM_L_X22Y41 ROW 113 TILEPROP CLBLM_L_X22Y41 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y41 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y41 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y41 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y41 TILE_X -348 TILEPROP CLBLM_L_X22Y41 TILE_Y -107448 TILEPROP CLBLM_L_X22Y41 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y42 CLASS tile TILEPROP CLBLM_L_X22Y42 COLUMN 56 TILEPROP CLBLM_L_X22Y42 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y42 FIRST_SITE_ID 11219 TILEPROP CLBLM_L_X22Y42 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y42 GRID_POINT_Y 112 TILEPROP CLBLM_L_X22Y42 INDEX 12936 TILEPROP CLBLM_L_X22Y42 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y42 INT_TILE_Y 107 TILEPROP CLBLM_L_X22Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y42 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y42 NAME CLBLM_L_X22Y42 TILEPROP CLBLM_L_X22Y42 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y42 NUM_SITES 2 TILEPROP CLBLM_L_X22Y42 ROW 112 TILEPROP CLBLM_L_X22Y42 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y42 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y42 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y42 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y42 TILE_X -348 TILEPROP CLBLM_L_X22Y42 TILE_Y -104248 TILEPROP CLBLM_L_X22Y42 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y43 CLASS tile TILEPROP CLBLM_L_X22Y43 COLUMN 56 TILEPROP CLBLM_L_X22Y43 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y43 FIRST_SITE_ID 11116 TILEPROP CLBLM_L_X22Y43 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y43 GRID_POINT_Y 111 TILEPROP CLBLM_L_X22Y43 INDEX 12821 TILEPROP CLBLM_L_X22Y43 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y43 INT_TILE_Y 106 TILEPROP CLBLM_L_X22Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y43 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y43 NAME CLBLM_L_X22Y43 TILEPROP CLBLM_L_X22Y43 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y43 NUM_SITES 2 TILEPROP CLBLM_L_X22Y43 ROW 111 TILEPROP CLBLM_L_X22Y43 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y43 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y43 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y43 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y43 TILE_X -348 TILEPROP CLBLM_L_X22Y43 TILE_Y -101048 TILEPROP CLBLM_L_X22Y43 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y44 CLASS tile TILEPROP CLBLM_L_X22Y44 COLUMN 56 TILEPROP CLBLM_L_X22Y44 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y44 FIRST_SITE_ID 11014 TILEPROP CLBLM_L_X22Y44 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y44 GRID_POINT_Y 110 TILEPROP CLBLM_L_X22Y44 INDEX 12706 TILEPROP CLBLM_L_X22Y44 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y44 INT_TILE_Y 105 TILEPROP CLBLM_L_X22Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y44 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y44 NAME CLBLM_L_X22Y44 TILEPROP CLBLM_L_X22Y44 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y44 NUM_SITES 2 TILEPROP CLBLM_L_X22Y44 ROW 110 TILEPROP CLBLM_L_X22Y44 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y44 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y44 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y44 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y44 TILE_X -348 TILEPROP CLBLM_L_X22Y44 TILE_Y -97848 TILEPROP CLBLM_L_X22Y44 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y45 CLASS tile TILEPROP CLBLM_L_X22Y45 COLUMN 56 TILEPROP CLBLM_L_X22Y45 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y45 FIRST_SITE_ID 10904 TILEPROP CLBLM_L_X22Y45 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y45 GRID_POINT_Y 109 TILEPROP CLBLM_L_X22Y45 INDEX 12591 TILEPROP CLBLM_L_X22Y45 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y45 INT_TILE_Y 104 TILEPROP CLBLM_L_X22Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y45 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y45 NAME CLBLM_L_X22Y45 TILEPROP CLBLM_L_X22Y45 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y45 NUM_SITES 2 TILEPROP CLBLM_L_X22Y45 ROW 109 TILEPROP CLBLM_L_X22Y45 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y45 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y45 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y45 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y45 TILE_X -348 TILEPROP CLBLM_L_X22Y45 TILE_Y -94648 TILEPROP CLBLM_L_X22Y45 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y46 CLASS tile TILEPROP CLBLM_L_X22Y46 COLUMN 56 TILEPROP CLBLM_L_X22Y46 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y46 FIRST_SITE_ID 10782 TILEPROP CLBLM_L_X22Y46 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y46 GRID_POINT_Y 108 TILEPROP CLBLM_L_X22Y46 INDEX 12476 TILEPROP CLBLM_L_X22Y46 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y46 INT_TILE_Y 103 TILEPROP CLBLM_L_X22Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y46 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y46 NAME CLBLM_L_X22Y46 TILEPROP CLBLM_L_X22Y46 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y46 NUM_SITES 2 TILEPROP CLBLM_L_X22Y46 ROW 108 TILEPROP CLBLM_L_X22Y46 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y46 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y46 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y46 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y46 TILE_X -348 TILEPROP CLBLM_L_X22Y46 TILE_Y -91448 TILEPROP CLBLM_L_X22Y46 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y47 CLASS tile TILEPROP CLBLM_L_X22Y47 COLUMN 56 TILEPROP CLBLM_L_X22Y47 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y47 FIRST_SITE_ID 10682 TILEPROP CLBLM_L_X22Y47 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y47 GRID_POINT_Y 107 TILEPROP CLBLM_L_X22Y47 INDEX 12361 TILEPROP CLBLM_L_X22Y47 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y47 INT_TILE_Y 102 TILEPROP CLBLM_L_X22Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y47 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y47 NAME CLBLM_L_X22Y47 TILEPROP CLBLM_L_X22Y47 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y47 NUM_SITES 2 TILEPROP CLBLM_L_X22Y47 ROW 107 TILEPROP CLBLM_L_X22Y47 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y47 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y47 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y47 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y47 TILE_X -348 TILEPROP CLBLM_L_X22Y47 TILE_Y -88248 TILEPROP CLBLM_L_X22Y47 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y48 CLASS tile TILEPROP CLBLM_L_X22Y48 COLUMN 56 TILEPROP CLBLM_L_X22Y48 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y48 FIRST_SITE_ID 10582 TILEPROP CLBLM_L_X22Y48 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y48 GRID_POINT_Y 106 TILEPROP CLBLM_L_X22Y48 INDEX 12246 TILEPROP CLBLM_L_X22Y48 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y48 INT_TILE_Y 101 TILEPROP CLBLM_L_X22Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y48 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y48 NAME CLBLM_L_X22Y48 TILEPROP CLBLM_L_X22Y48 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y48 NUM_SITES 2 TILEPROP CLBLM_L_X22Y48 ROW 106 TILEPROP CLBLM_L_X22Y48 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y48 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y48 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y48 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y48 TILE_X -348 TILEPROP CLBLM_L_X22Y48 TILE_Y -85048 TILEPROP CLBLM_L_X22Y48 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y49 CLASS tile TILEPROP CLBLM_L_X22Y49 COLUMN 56 TILEPROP CLBLM_L_X22Y49 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y49 FIRST_SITE_ID 10486 TILEPROP CLBLM_L_X22Y49 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y49 GRID_POINT_Y 105 TILEPROP CLBLM_L_X22Y49 INDEX 12131 TILEPROP CLBLM_L_X22Y49 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y49 INT_TILE_Y 100 TILEPROP CLBLM_L_X22Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y49 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y49 NAME CLBLM_L_X22Y49 TILEPROP CLBLM_L_X22Y49 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y49 NUM_SITES 2 TILEPROP CLBLM_L_X22Y49 ROW 105 TILEPROP CLBLM_L_X22Y49 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y49 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X22Y49 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y49 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y49 TILE_X -348 TILEPROP CLBLM_L_X22Y49 TILE_Y -81848 TILEPROP CLBLM_L_X22Y49 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y50 CLASS tile TILEPROP CLBLM_L_X22Y50 COLUMN 56 TILEPROP CLBLM_L_X22Y50 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y50 FIRST_SITE_ID 10361 TILEPROP CLBLM_L_X22Y50 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y50 GRID_POINT_Y 103 TILEPROP CLBLM_L_X22Y50 INDEX 11901 TILEPROP CLBLM_L_X22Y50 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y50 INT_TILE_Y 99 TILEPROP CLBLM_L_X22Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y50 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y50 NAME CLBLM_L_X22Y50 TILEPROP CLBLM_L_X22Y50 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y50 NUM_SITES 2 TILEPROP CLBLM_L_X22Y50 ROW 103 TILEPROP CLBLM_L_X22Y50 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y50 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X22Y50 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y50 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y50 TILE_X -348 TILEPROP CLBLM_L_X22Y50 TILE_Y -78400 TILEPROP CLBLM_L_X22Y50 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y51 CLASS tile TILEPROP CLBLM_L_X22Y51 COLUMN 56 TILEPROP CLBLM_L_X22Y51 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y51 FIRST_SITE_ID 10251 TILEPROP CLBLM_L_X22Y51 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y51 GRID_POINT_Y 102 TILEPROP CLBLM_L_X22Y51 INDEX 11786 TILEPROP CLBLM_L_X22Y51 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y51 INT_TILE_Y 98 TILEPROP CLBLM_L_X22Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y51 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y51 NAME CLBLM_L_X22Y51 TILEPROP CLBLM_L_X22Y51 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y51 NUM_SITES 2 TILEPROP CLBLM_L_X22Y51 ROW 102 TILEPROP CLBLM_L_X22Y51 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y51 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y51 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y51 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y51 TILE_X -348 TILEPROP CLBLM_L_X22Y51 TILE_Y -75200 TILEPROP CLBLM_L_X22Y51 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y52 CLASS tile TILEPROP CLBLM_L_X22Y52 COLUMN 56 TILEPROP CLBLM_L_X22Y52 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y52 FIRST_SITE_ID 10151 TILEPROP CLBLM_L_X22Y52 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y52 GRID_POINT_Y 101 TILEPROP CLBLM_L_X22Y52 INDEX 11671 TILEPROP CLBLM_L_X22Y52 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y52 INT_TILE_Y 97 TILEPROP CLBLM_L_X22Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y52 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y52 NAME CLBLM_L_X22Y52 TILEPROP CLBLM_L_X22Y52 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y52 NUM_SITES 2 TILEPROP CLBLM_L_X22Y52 ROW 101 TILEPROP CLBLM_L_X22Y52 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y52 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y52 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y52 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y52 TILE_X -348 TILEPROP CLBLM_L_X22Y52 TILE_Y -72000 TILEPROP CLBLM_L_X22Y52 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y53 CLASS tile TILEPROP CLBLM_L_X22Y53 COLUMN 56 TILEPROP CLBLM_L_X22Y53 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y53 FIRST_SITE_ID 10051 TILEPROP CLBLM_L_X22Y53 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y53 GRID_POINT_Y 100 TILEPROP CLBLM_L_X22Y53 INDEX 11556 TILEPROP CLBLM_L_X22Y53 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y53 INT_TILE_Y 96 TILEPROP CLBLM_L_X22Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y53 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y53 NAME CLBLM_L_X22Y53 TILEPROP CLBLM_L_X22Y53 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y53 NUM_SITES 2 TILEPROP CLBLM_L_X22Y53 ROW 100 TILEPROP CLBLM_L_X22Y53 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y53 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y53 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y53 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y53 TILE_X -348 TILEPROP CLBLM_L_X22Y53 TILE_Y -68800 TILEPROP CLBLM_L_X22Y53 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y54 CLASS tile TILEPROP CLBLM_L_X22Y54 COLUMN 56 TILEPROP CLBLM_L_X22Y54 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y54 FIRST_SITE_ID 9951 TILEPROP CLBLM_L_X22Y54 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y54 GRID_POINT_Y 99 TILEPROP CLBLM_L_X22Y54 INDEX 11441 TILEPROP CLBLM_L_X22Y54 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y54 INT_TILE_Y 95 TILEPROP CLBLM_L_X22Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y54 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y54 NAME CLBLM_L_X22Y54 TILEPROP CLBLM_L_X22Y54 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y54 NUM_SITES 2 TILEPROP CLBLM_L_X22Y54 ROW 99 TILEPROP CLBLM_L_X22Y54 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y54 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y54 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y54 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y54 TILE_X -348 TILEPROP CLBLM_L_X22Y54 TILE_Y -65600 TILEPROP CLBLM_L_X22Y54 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y55 CLASS tile TILEPROP CLBLM_L_X22Y55 COLUMN 56 TILEPROP CLBLM_L_X22Y55 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y55 FIRST_SITE_ID 9842 TILEPROP CLBLM_L_X22Y55 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y55 GRID_POINT_Y 98 TILEPROP CLBLM_L_X22Y55 INDEX 11326 TILEPROP CLBLM_L_X22Y55 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y55 INT_TILE_Y 94 TILEPROP CLBLM_L_X22Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y55 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y55 NAME CLBLM_L_X22Y55 TILEPROP CLBLM_L_X22Y55 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y55 NUM_SITES 2 TILEPROP CLBLM_L_X22Y55 ROW 98 TILEPROP CLBLM_L_X22Y55 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y55 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y55 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y55 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y55 TILE_X -348 TILEPROP CLBLM_L_X22Y55 TILE_Y -62400 TILEPROP CLBLM_L_X22Y55 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y56 CLASS tile TILEPROP CLBLM_L_X22Y56 COLUMN 56 TILEPROP CLBLM_L_X22Y56 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y56 FIRST_SITE_ID 9736 TILEPROP CLBLM_L_X22Y56 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y56 GRID_POINT_Y 97 TILEPROP CLBLM_L_X22Y56 INDEX 11211 TILEPROP CLBLM_L_X22Y56 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y56 INT_TILE_Y 93 TILEPROP CLBLM_L_X22Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y56 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y56 NAME CLBLM_L_X22Y56 TILEPROP CLBLM_L_X22Y56 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y56 NUM_SITES 2 TILEPROP CLBLM_L_X22Y56 ROW 97 TILEPROP CLBLM_L_X22Y56 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y56 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y56 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y56 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y56 TILE_X -348 TILEPROP CLBLM_L_X22Y56 TILE_Y -59200 TILEPROP CLBLM_L_X22Y56 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y57 CLASS tile TILEPROP CLBLM_L_X22Y57 COLUMN 56 TILEPROP CLBLM_L_X22Y57 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y57 FIRST_SITE_ID 9634 TILEPROP CLBLM_L_X22Y57 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y57 GRID_POINT_Y 96 TILEPROP CLBLM_L_X22Y57 INDEX 11096 TILEPROP CLBLM_L_X22Y57 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y57 INT_TILE_Y 92 TILEPROP CLBLM_L_X22Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y57 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y57 NAME CLBLM_L_X22Y57 TILEPROP CLBLM_L_X22Y57 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y57 NUM_SITES 2 TILEPROP CLBLM_L_X22Y57 ROW 96 TILEPROP CLBLM_L_X22Y57 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y57 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y57 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y57 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y57 TILE_X -348 TILEPROP CLBLM_L_X22Y57 TILE_Y -56000 TILEPROP CLBLM_L_X22Y57 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y58 CLASS tile TILEPROP CLBLM_L_X22Y58 COLUMN 56 TILEPROP CLBLM_L_X22Y58 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y58 FIRST_SITE_ID 9531 TILEPROP CLBLM_L_X22Y58 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y58 GRID_POINT_Y 95 TILEPROP CLBLM_L_X22Y58 INDEX 10981 TILEPROP CLBLM_L_X22Y58 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y58 INT_TILE_Y 91 TILEPROP CLBLM_L_X22Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y58 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y58 NAME CLBLM_L_X22Y58 TILEPROP CLBLM_L_X22Y58 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y58 NUM_SITES 2 TILEPROP CLBLM_L_X22Y58 ROW 95 TILEPROP CLBLM_L_X22Y58 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y58 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y58 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y58 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y58 TILE_X -348 TILEPROP CLBLM_L_X22Y58 TILE_Y -52800 TILEPROP CLBLM_L_X22Y58 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y59 CLASS tile TILEPROP CLBLM_L_X22Y59 COLUMN 56 TILEPROP CLBLM_L_X22Y59 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y59 FIRST_SITE_ID 9430 TILEPROP CLBLM_L_X22Y59 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y59 GRID_POINT_Y 94 TILEPROP CLBLM_L_X22Y59 INDEX 10866 TILEPROP CLBLM_L_X22Y59 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y59 INT_TILE_Y 90 TILEPROP CLBLM_L_X22Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y59 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y59 NAME CLBLM_L_X22Y59 TILEPROP CLBLM_L_X22Y59 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y59 NUM_SITES 2 TILEPROP CLBLM_L_X22Y59 ROW 94 TILEPROP CLBLM_L_X22Y59 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y59 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y59 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y59 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y59 TILE_X -348 TILEPROP CLBLM_L_X22Y59 TILE_Y -49600 TILEPROP CLBLM_L_X22Y59 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y60 CLASS tile TILEPROP CLBLM_L_X22Y60 COLUMN 56 TILEPROP CLBLM_L_X22Y60 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y60 FIRST_SITE_ID 9321 TILEPROP CLBLM_L_X22Y60 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y60 GRID_POINT_Y 93 TILEPROP CLBLM_L_X22Y60 INDEX 10751 TILEPROP CLBLM_L_X22Y60 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y60 INT_TILE_Y 89 TILEPROP CLBLM_L_X22Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y60 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y60 NAME CLBLM_L_X22Y60 TILEPROP CLBLM_L_X22Y60 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y60 NUM_SITES 2 TILEPROP CLBLM_L_X22Y60 ROW 93 TILEPROP CLBLM_L_X22Y60 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y60 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y60 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y60 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y60 TILE_X -348 TILEPROP CLBLM_L_X22Y60 TILE_Y -46400 TILEPROP CLBLM_L_X22Y60 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y61 CLASS tile TILEPROP CLBLM_L_X22Y61 COLUMN 56 TILEPROP CLBLM_L_X22Y61 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y61 FIRST_SITE_ID 9215 TILEPROP CLBLM_L_X22Y61 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y61 GRID_POINT_Y 92 TILEPROP CLBLM_L_X22Y61 INDEX 10636 TILEPROP CLBLM_L_X22Y61 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y61 INT_TILE_Y 88 TILEPROP CLBLM_L_X22Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y61 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y61 NAME CLBLM_L_X22Y61 TILEPROP CLBLM_L_X22Y61 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y61 NUM_SITES 2 TILEPROP CLBLM_L_X22Y61 ROW 92 TILEPROP CLBLM_L_X22Y61 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y61 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y61 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y61 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y61 TILE_X -348 TILEPROP CLBLM_L_X22Y61 TILE_Y -43200 TILEPROP CLBLM_L_X22Y61 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y62 CLASS tile TILEPROP CLBLM_L_X22Y62 COLUMN 56 TILEPROP CLBLM_L_X22Y62 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y62 FIRST_SITE_ID 9083 TILEPROP CLBLM_L_X22Y62 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y62 GRID_POINT_Y 91 TILEPROP CLBLM_L_X22Y62 INDEX 10521 TILEPROP CLBLM_L_X22Y62 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y62 INT_TILE_Y 87 TILEPROP CLBLM_L_X22Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y62 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y62 NAME CLBLM_L_X22Y62 TILEPROP CLBLM_L_X22Y62 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y62 NUM_SITES 2 TILEPROP CLBLM_L_X22Y62 ROW 91 TILEPROP CLBLM_L_X22Y62 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y62 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y62 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y62 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y62 TILE_X -348 TILEPROP CLBLM_L_X22Y62 TILE_Y -40000 TILEPROP CLBLM_L_X22Y62 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y63 CLASS tile TILEPROP CLBLM_L_X22Y63 COLUMN 56 TILEPROP CLBLM_L_X22Y63 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y63 FIRST_SITE_ID 8983 TILEPROP CLBLM_L_X22Y63 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y63 GRID_POINT_Y 90 TILEPROP CLBLM_L_X22Y63 INDEX 10406 TILEPROP CLBLM_L_X22Y63 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y63 INT_TILE_Y 86 TILEPROP CLBLM_L_X22Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y63 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y63 NAME CLBLM_L_X22Y63 TILEPROP CLBLM_L_X22Y63 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y63 NUM_SITES 2 TILEPROP CLBLM_L_X22Y63 ROW 90 TILEPROP CLBLM_L_X22Y63 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y63 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y63 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y63 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y63 TILE_X -348 TILEPROP CLBLM_L_X22Y63 TILE_Y -36800 TILEPROP CLBLM_L_X22Y63 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y64 CLASS tile TILEPROP CLBLM_L_X22Y64 COLUMN 56 TILEPROP CLBLM_L_X22Y64 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y64 FIRST_SITE_ID 8883 TILEPROP CLBLM_L_X22Y64 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y64 GRID_POINT_Y 89 TILEPROP CLBLM_L_X22Y64 INDEX 10291 TILEPROP CLBLM_L_X22Y64 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y64 INT_TILE_Y 85 TILEPROP CLBLM_L_X22Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y64 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y64 NAME CLBLM_L_X22Y64 TILEPROP CLBLM_L_X22Y64 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y64 NUM_SITES 2 TILEPROP CLBLM_L_X22Y64 ROW 89 TILEPROP CLBLM_L_X22Y64 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y64 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y64 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y64 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y64 TILE_X -348 TILEPROP CLBLM_L_X22Y64 TILE_Y -33600 TILEPROP CLBLM_L_X22Y64 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y65 CLASS tile TILEPROP CLBLM_L_X22Y65 COLUMN 56 TILEPROP CLBLM_L_X22Y65 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y65 FIRST_SITE_ID 8774 TILEPROP CLBLM_L_X22Y65 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y65 GRID_POINT_Y 88 TILEPROP CLBLM_L_X22Y65 INDEX 10176 TILEPROP CLBLM_L_X22Y65 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y65 INT_TILE_Y 84 TILEPROP CLBLM_L_X22Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y65 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y65 NAME CLBLM_L_X22Y65 TILEPROP CLBLM_L_X22Y65 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y65 NUM_SITES 2 TILEPROP CLBLM_L_X22Y65 ROW 88 TILEPROP CLBLM_L_X22Y65 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y65 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y65 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y65 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y65 TILE_X -348 TILEPROP CLBLM_L_X22Y65 TILE_Y -30400 TILEPROP CLBLM_L_X22Y65 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y66 CLASS tile TILEPROP CLBLM_L_X22Y66 COLUMN 56 TILEPROP CLBLM_L_X22Y66 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y66 FIRST_SITE_ID 8668 TILEPROP CLBLM_L_X22Y66 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y66 GRID_POINT_Y 87 TILEPROP CLBLM_L_X22Y66 INDEX 10061 TILEPROP CLBLM_L_X22Y66 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y66 INT_TILE_Y 83 TILEPROP CLBLM_L_X22Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y66 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y66 NAME CLBLM_L_X22Y66 TILEPROP CLBLM_L_X22Y66 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y66 NUM_SITES 2 TILEPROP CLBLM_L_X22Y66 ROW 87 TILEPROP CLBLM_L_X22Y66 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y66 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y66 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y66 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y66 TILE_X -348 TILEPROP CLBLM_L_X22Y66 TILE_Y -27200 TILEPROP CLBLM_L_X22Y66 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y67 CLASS tile TILEPROP CLBLM_L_X22Y67 COLUMN 56 TILEPROP CLBLM_L_X22Y67 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y67 FIRST_SITE_ID 8564 TILEPROP CLBLM_L_X22Y67 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y67 GRID_POINT_Y 86 TILEPROP CLBLM_L_X22Y67 INDEX 9946 TILEPROP CLBLM_L_X22Y67 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y67 INT_TILE_Y 82 TILEPROP CLBLM_L_X22Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y67 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y67 NAME CLBLM_L_X22Y67 TILEPROP CLBLM_L_X22Y67 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y67 NUM_SITES 2 TILEPROP CLBLM_L_X22Y67 ROW 86 TILEPROP CLBLM_L_X22Y67 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y67 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y67 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y67 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y67 TILE_X -348 TILEPROP CLBLM_L_X22Y67 TILE_Y -24000 TILEPROP CLBLM_L_X22Y67 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y68 CLASS tile TILEPROP CLBLM_L_X22Y68 COLUMN 56 TILEPROP CLBLM_L_X22Y68 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y68 FIRST_SITE_ID 8460 TILEPROP CLBLM_L_X22Y68 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y68 GRID_POINT_Y 85 TILEPROP CLBLM_L_X22Y68 INDEX 9831 TILEPROP CLBLM_L_X22Y68 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y68 INT_TILE_Y 81 TILEPROP CLBLM_L_X22Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y68 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y68 NAME CLBLM_L_X22Y68 TILEPROP CLBLM_L_X22Y68 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y68 NUM_SITES 2 TILEPROP CLBLM_L_X22Y68 ROW 85 TILEPROP CLBLM_L_X22Y68 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y68 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y68 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y68 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y68 TILE_X -348 TILEPROP CLBLM_L_X22Y68 TILE_Y -20800 TILEPROP CLBLM_L_X22Y68 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y69 CLASS tile TILEPROP CLBLM_L_X22Y69 COLUMN 56 TILEPROP CLBLM_L_X22Y69 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y69 FIRST_SITE_ID 8358 TILEPROP CLBLM_L_X22Y69 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y69 GRID_POINT_Y 84 TILEPROP CLBLM_L_X22Y69 INDEX 9716 TILEPROP CLBLM_L_X22Y69 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y69 INT_TILE_Y 80 TILEPROP CLBLM_L_X22Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y69 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y69 NAME CLBLM_L_X22Y69 TILEPROP CLBLM_L_X22Y69 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y69 NUM_SITES 2 TILEPROP CLBLM_L_X22Y69 ROW 84 TILEPROP CLBLM_L_X22Y69 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y69 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y69 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y69 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y69 TILE_X -348 TILEPROP CLBLM_L_X22Y69 TILE_Y -17600 TILEPROP CLBLM_L_X22Y69 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y70 CLASS tile TILEPROP CLBLM_L_X22Y70 COLUMN 56 TILEPROP CLBLM_L_X22Y70 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y70 FIRST_SITE_ID 8247 TILEPROP CLBLM_L_X22Y70 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y70 GRID_POINT_Y 83 TILEPROP CLBLM_L_X22Y70 INDEX 9601 TILEPROP CLBLM_L_X22Y70 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y70 INT_TILE_Y 79 TILEPROP CLBLM_L_X22Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y70 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y70 NAME CLBLM_L_X22Y70 TILEPROP CLBLM_L_X22Y70 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y70 NUM_SITES 2 TILEPROP CLBLM_L_X22Y70 ROW 83 TILEPROP CLBLM_L_X22Y70 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y70 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y70 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y70 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y70 TILE_X -348 TILEPROP CLBLM_L_X22Y70 TILE_Y -14400 TILEPROP CLBLM_L_X22Y70 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y71 CLASS tile TILEPROP CLBLM_L_X22Y71 COLUMN 56 TILEPROP CLBLM_L_X22Y71 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y71 FIRST_SITE_ID 8141 TILEPROP CLBLM_L_X22Y71 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y71 GRID_POINT_Y 82 TILEPROP CLBLM_L_X22Y71 INDEX 9486 TILEPROP CLBLM_L_X22Y71 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y71 INT_TILE_Y 78 TILEPROP CLBLM_L_X22Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y71 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y71 NAME CLBLM_L_X22Y71 TILEPROP CLBLM_L_X22Y71 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y71 NUM_SITES 2 TILEPROP CLBLM_L_X22Y71 ROW 82 TILEPROP CLBLM_L_X22Y71 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y71 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y71 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y71 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y71 TILE_X -348 TILEPROP CLBLM_L_X22Y71 TILE_Y -11200 TILEPROP CLBLM_L_X22Y71 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y72 CLASS tile TILEPROP CLBLM_L_X22Y72 COLUMN 56 TILEPROP CLBLM_L_X22Y72 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y72 FIRST_SITE_ID 8041 TILEPROP CLBLM_L_X22Y72 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y72 GRID_POINT_Y 81 TILEPROP CLBLM_L_X22Y72 INDEX 9371 TILEPROP CLBLM_L_X22Y72 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y72 INT_TILE_Y 77 TILEPROP CLBLM_L_X22Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y72 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y72 NAME CLBLM_L_X22Y72 TILEPROP CLBLM_L_X22Y72 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y72 NUM_SITES 2 TILEPROP CLBLM_L_X22Y72 ROW 81 TILEPROP CLBLM_L_X22Y72 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y72 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y72 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y72 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y72 TILE_X -348 TILEPROP CLBLM_L_X22Y72 TILE_Y -8000 TILEPROP CLBLM_L_X22Y72 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y73 CLASS tile TILEPROP CLBLM_L_X22Y73 COLUMN 56 TILEPROP CLBLM_L_X22Y73 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y73 FIRST_SITE_ID 7941 TILEPROP CLBLM_L_X22Y73 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y73 GRID_POINT_Y 80 TILEPROP CLBLM_L_X22Y73 INDEX 9256 TILEPROP CLBLM_L_X22Y73 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y73 INT_TILE_Y 76 TILEPROP CLBLM_L_X22Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y73 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y73 NAME CLBLM_L_X22Y73 TILEPROP CLBLM_L_X22Y73 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y73 NUM_SITES 2 TILEPROP CLBLM_L_X22Y73 ROW 80 TILEPROP CLBLM_L_X22Y73 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y73 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y73 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y73 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y73 TILE_X -348 TILEPROP CLBLM_L_X22Y73 TILE_Y -4800 TILEPROP CLBLM_L_X22Y73 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y74 CLASS tile TILEPROP CLBLM_L_X22Y74 COLUMN 56 TILEPROP CLBLM_L_X22Y74 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y74 FIRST_SITE_ID 7841 TILEPROP CLBLM_L_X22Y74 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y74 GRID_POINT_Y 79 TILEPROP CLBLM_L_X22Y74 INDEX 9141 TILEPROP CLBLM_L_X22Y74 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y74 INT_TILE_Y 75 TILEPROP CLBLM_L_X22Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y74 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y74 NAME CLBLM_L_X22Y74 TILEPROP CLBLM_L_X22Y74 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y74 NUM_SITES 2 TILEPROP CLBLM_L_X22Y74 ROW 79 TILEPROP CLBLM_L_X22Y74 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y74 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X22Y74 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y74 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y74 TILE_X -348 TILEPROP CLBLM_L_X22Y74 TILE_Y -1600 TILEPROP CLBLM_L_X22Y74 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y75 CLASS tile TILEPROP CLBLM_L_X22Y75 COLUMN 56 TILEPROP CLBLM_L_X22Y75 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y75 FIRST_SITE_ID 7649 TILEPROP CLBLM_L_X22Y75 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y75 GRID_POINT_Y 77 TILEPROP CLBLM_L_X22Y75 INDEX 8911 TILEPROP CLBLM_L_X22Y75 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y75 INT_TILE_Y 74 TILEPROP CLBLM_L_X22Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y75 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y75 NAME CLBLM_L_X22Y75 TILEPROP CLBLM_L_X22Y75 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y75 NUM_SITES 2 TILEPROP CLBLM_L_X22Y75 ROW 77 TILEPROP CLBLM_L_X22Y75 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y75 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X22Y75 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y75 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y75 TILE_X -348 TILEPROP CLBLM_L_X22Y75 TILE_Y 2624 TILEPROP CLBLM_L_X22Y75 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y76 CLASS tile TILEPROP CLBLM_L_X22Y76 COLUMN 56 TILEPROP CLBLM_L_X22Y76 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y76 FIRST_SITE_ID 7540 TILEPROP CLBLM_L_X22Y76 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y76 GRID_POINT_Y 76 TILEPROP CLBLM_L_X22Y76 INDEX 8796 TILEPROP CLBLM_L_X22Y76 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y76 INT_TILE_Y 73 TILEPROP CLBLM_L_X22Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y76 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y76 NAME CLBLM_L_X22Y76 TILEPROP CLBLM_L_X22Y76 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y76 NUM_SITES 2 TILEPROP CLBLM_L_X22Y76 ROW 76 TILEPROP CLBLM_L_X22Y76 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y76 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y76 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y76 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y76 TILE_X -348 TILEPROP CLBLM_L_X22Y76 TILE_Y 5824 TILEPROP CLBLM_L_X22Y76 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y77 CLASS tile TILEPROP CLBLM_L_X22Y77 COLUMN 56 TILEPROP CLBLM_L_X22Y77 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y77 FIRST_SITE_ID 7440 TILEPROP CLBLM_L_X22Y77 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y77 GRID_POINT_Y 75 TILEPROP CLBLM_L_X22Y77 INDEX 8681 TILEPROP CLBLM_L_X22Y77 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y77 INT_TILE_Y 72 TILEPROP CLBLM_L_X22Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y77 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y77 NAME CLBLM_L_X22Y77 TILEPROP CLBLM_L_X22Y77 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y77 NUM_SITES 2 TILEPROP CLBLM_L_X22Y77 ROW 75 TILEPROP CLBLM_L_X22Y77 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y77 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y77 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y77 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y77 TILE_X -348 TILEPROP CLBLM_L_X22Y77 TILE_Y 9024 TILEPROP CLBLM_L_X22Y77 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y78 CLASS tile TILEPROP CLBLM_L_X22Y78 COLUMN 56 TILEPROP CLBLM_L_X22Y78 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y78 FIRST_SITE_ID 7340 TILEPROP CLBLM_L_X22Y78 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y78 GRID_POINT_Y 74 TILEPROP CLBLM_L_X22Y78 INDEX 8566 TILEPROP CLBLM_L_X22Y78 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y78 INT_TILE_Y 71 TILEPROP CLBLM_L_X22Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y78 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y78 NAME CLBLM_L_X22Y78 TILEPROP CLBLM_L_X22Y78 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y78 NUM_SITES 2 TILEPROP CLBLM_L_X22Y78 ROW 74 TILEPROP CLBLM_L_X22Y78 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y78 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y78 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y78 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y78 TILE_X -348 TILEPROP CLBLM_L_X22Y78 TILE_Y 12224 TILEPROP CLBLM_L_X22Y78 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y79 CLASS tile TILEPROP CLBLM_L_X22Y79 COLUMN 56 TILEPROP CLBLM_L_X22Y79 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y79 FIRST_SITE_ID 7234 TILEPROP CLBLM_L_X22Y79 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y79 GRID_POINT_Y 73 TILEPROP CLBLM_L_X22Y79 INDEX 8451 TILEPROP CLBLM_L_X22Y79 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y79 INT_TILE_Y 70 TILEPROP CLBLM_L_X22Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y79 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y79 NAME CLBLM_L_X22Y79 TILEPROP CLBLM_L_X22Y79 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y79 NUM_SITES 2 TILEPROP CLBLM_L_X22Y79 ROW 73 TILEPROP CLBLM_L_X22Y79 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y79 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y79 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y79 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y79 TILE_X -348 TILEPROP CLBLM_L_X22Y79 TILE_Y 15424 TILEPROP CLBLM_L_X22Y79 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y80 CLASS tile TILEPROP CLBLM_L_X22Y80 COLUMN 56 TILEPROP CLBLM_L_X22Y80 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y80 FIRST_SITE_ID 7119 TILEPROP CLBLM_L_X22Y80 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y80 GRID_POINT_Y 72 TILEPROP CLBLM_L_X22Y80 INDEX 8336 TILEPROP CLBLM_L_X22Y80 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y80 INT_TILE_Y 69 TILEPROP CLBLM_L_X22Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y80 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y80 NAME CLBLM_L_X22Y80 TILEPROP CLBLM_L_X22Y80 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y80 NUM_SITES 2 TILEPROP CLBLM_L_X22Y80 ROW 72 TILEPROP CLBLM_L_X22Y80 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y80 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y80 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y80 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y80 TILE_X -348 TILEPROP CLBLM_L_X22Y80 TILE_Y 18624 TILEPROP CLBLM_L_X22Y80 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y81 CLASS tile TILEPROP CLBLM_L_X22Y81 COLUMN 56 TILEPROP CLBLM_L_X22Y81 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y81 FIRST_SITE_ID 7011 TILEPROP CLBLM_L_X22Y81 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y81 GRID_POINT_Y 71 TILEPROP CLBLM_L_X22Y81 INDEX 8221 TILEPROP CLBLM_L_X22Y81 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y81 INT_TILE_Y 68 TILEPROP CLBLM_L_X22Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y81 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y81 NAME CLBLM_L_X22Y81 TILEPROP CLBLM_L_X22Y81 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y81 NUM_SITES 2 TILEPROP CLBLM_L_X22Y81 ROW 71 TILEPROP CLBLM_L_X22Y81 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y81 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y81 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y81 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y81 TILE_X -348 TILEPROP CLBLM_L_X22Y81 TILE_Y 21824 TILEPROP CLBLM_L_X22Y81 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y82 CLASS tile TILEPROP CLBLM_L_X22Y82 COLUMN 56 TILEPROP CLBLM_L_X22Y82 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y82 FIRST_SITE_ID 6909 TILEPROP CLBLM_L_X22Y82 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y82 GRID_POINT_Y 70 TILEPROP CLBLM_L_X22Y82 INDEX 8106 TILEPROP CLBLM_L_X22Y82 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y82 INT_TILE_Y 67 TILEPROP CLBLM_L_X22Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y82 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y82 NAME CLBLM_L_X22Y82 TILEPROP CLBLM_L_X22Y82 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y82 NUM_SITES 2 TILEPROP CLBLM_L_X22Y82 ROW 70 TILEPROP CLBLM_L_X22Y82 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y82 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y82 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y82 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y82 TILE_X -348 TILEPROP CLBLM_L_X22Y82 TILE_Y 25024 TILEPROP CLBLM_L_X22Y82 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y83 CLASS tile TILEPROP CLBLM_L_X22Y83 COLUMN 56 TILEPROP CLBLM_L_X22Y83 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y83 FIRST_SITE_ID 6809 TILEPROP CLBLM_L_X22Y83 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y83 GRID_POINT_Y 69 TILEPROP CLBLM_L_X22Y83 INDEX 7991 TILEPROP CLBLM_L_X22Y83 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y83 INT_TILE_Y 66 TILEPROP CLBLM_L_X22Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y83 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y83 NAME CLBLM_L_X22Y83 TILEPROP CLBLM_L_X22Y83 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y83 NUM_SITES 2 TILEPROP CLBLM_L_X22Y83 ROW 69 TILEPROP CLBLM_L_X22Y83 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y83 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y83 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y83 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y83 TILE_X -348 TILEPROP CLBLM_L_X22Y83 TILE_Y 28224 TILEPROP CLBLM_L_X22Y83 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y84 CLASS tile TILEPROP CLBLM_L_X22Y84 COLUMN 56 TILEPROP CLBLM_L_X22Y84 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y84 FIRST_SITE_ID 6709 TILEPROP CLBLM_L_X22Y84 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y84 GRID_POINT_Y 68 TILEPROP CLBLM_L_X22Y84 INDEX 7876 TILEPROP CLBLM_L_X22Y84 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y84 INT_TILE_Y 65 TILEPROP CLBLM_L_X22Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y84 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y84 NAME CLBLM_L_X22Y84 TILEPROP CLBLM_L_X22Y84 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y84 NUM_SITES 2 TILEPROP CLBLM_L_X22Y84 ROW 68 TILEPROP CLBLM_L_X22Y84 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y84 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y84 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y84 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y84 TILE_X -348 TILEPROP CLBLM_L_X22Y84 TILE_Y 31424 TILEPROP CLBLM_L_X22Y84 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y85 CLASS tile TILEPROP CLBLM_L_X22Y85 COLUMN 56 TILEPROP CLBLM_L_X22Y85 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y85 FIRST_SITE_ID 6600 TILEPROP CLBLM_L_X22Y85 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y85 GRID_POINT_Y 67 TILEPROP CLBLM_L_X22Y85 INDEX 7761 TILEPROP CLBLM_L_X22Y85 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y85 INT_TILE_Y 64 TILEPROP CLBLM_L_X22Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y85 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y85 NAME CLBLM_L_X22Y85 TILEPROP CLBLM_L_X22Y85 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y85 NUM_SITES 2 TILEPROP CLBLM_L_X22Y85 ROW 67 TILEPROP CLBLM_L_X22Y85 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y85 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y85 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y85 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y85 TILE_X -348 TILEPROP CLBLM_L_X22Y85 TILE_Y 34624 TILEPROP CLBLM_L_X22Y85 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y86 CLASS tile TILEPROP CLBLM_L_X22Y86 COLUMN 56 TILEPROP CLBLM_L_X22Y86 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y86 FIRST_SITE_ID 6462 TILEPROP CLBLM_L_X22Y86 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y86 GRID_POINT_Y 66 TILEPROP CLBLM_L_X22Y86 INDEX 7646 TILEPROP CLBLM_L_X22Y86 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y86 INT_TILE_Y 63 TILEPROP CLBLM_L_X22Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y86 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y86 NAME CLBLM_L_X22Y86 TILEPROP CLBLM_L_X22Y86 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y86 NUM_SITES 2 TILEPROP CLBLM_L_X22Y86 ROW 66 TILEPROP CLBLM_L_X22Y86 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y86 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y86 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y86 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y86 TILE_X -348 TILEPROP CLBLM_L_X22Y86 TILE_Y 37824 TILEPROP CLBLM_L_X22Y86 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y87 CLASS tile TILEPROP CLBLM_L_X22Y87 COLUMN 56 TILEPROP CLBLM_L_X22Y87 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y87 FIRST_SITE_ID 6362 TILEPROP CLBLM_L_X22Y87 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y87 GRID_POINT_Y 65 TILEPROP CLBLM_L_X22Y87 INDEX 7531 TILEPROP CLBLM_L_X22Y87 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y87 INT_TILE_Y 62 TILEPROP CLBLM_L_X22Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y87 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y87 NAME CLBLM_L_X22Y87 TILEPROP CLBLM_L_X22Y87 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y87 NUM_SITES 2 TILEPROP CLBLM_L_X22Y87 ROW 65 TILEPROP CLBLM_L_X22Y87 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y87 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y87 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y87 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y87 TILE_X -348 TILEPROP CLBLM_L_X22Y87 TILE_Y 41024 TILEPROP CLBLM_L_X22Y87 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y88 CLASS tile TILEPROP CLBLM_L_X22Y88 COLUMN 56 TILEPROP CLBLM_L_X22Y88 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y88 FIRST_SITE_ID 6262 TILEPROP CLBLM_L_X22Y88 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y88 GRID_POINT_Y 64 TILEPROP CLBLM_L_X22Y88 INDEX 7416 TILEPROP CLBLM_L_X22Y88 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y88 INT_TILE_Y 61 TILEPROP CLBLM_L_X22Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y88 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y88 NAME CLBLM_L_X22Y88 TILEPROP CLBLM_L_X22Y88 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y88 NUM_SITES 2 TILEPROP CLBLM_L_X22Y88 ROW 64 TILEPROP CLBLM_L_X22Y88 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y88 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y88 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y88 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y88 TILE_X -348 TILEPROP CLBLM_L_X22Y88 TILE_Y 44224 TILEPROP CLBLM_L_X22Y88 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y89 CLASS tile TILEPROP CLBLM_L_X22Y89 COLUMN 56 TILEPROP CLBLM_L_X22Y89 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y89 FIRST_SITE_ID 6162 TILEPROP CLBLM_L_X22Y89 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y89 GRID_POINT_Y 63 TILEPROP CLBLM_L_X22Y89 INDEX 7301 TILEPROP CLBLM_L_X22Y89 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y89 INT_TILE_Y 60 TILEPROP CLBLM_L_X22Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y89 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y89 NAME CLBLM_L_X22Y89 TILEPROP CLBLM_L_X22Y89 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y89 NUM_SITES 2 TILEPROP CLBLM_L_X22Y89 ROW 63 TILEPROP CLBLM_L_X22Y89 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y89 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y89 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y89 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y89 TILE_X -348 TILEPROP CLBLM_L_X22Y89 TILE_Y 47424 TILEPROP CLBLM_L_X22Y89 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y90 CLASS tile TILEPROP CLBLM_L_X22Y90 COLUMN 56 TILEPROP CLBLM_L_X22Y90 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y90 FIRST_SITE_ID 6053 TILEPROP CLBLM_L_X22Y90 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y90 GRID_POINT_Y 62 TILEPROP CLBLM_L_X22Y90 INDEX 7186 TILEPROP CLBLM_L_X22Y90 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y90 INT_TILE_Y 59 TILEPROP CLBLM_L_X22Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y90 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y90 NAME CLBLM_L_X22Y90 TILEPROP CLBLM_L_X22Y90 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y90 NUM_SITES 2 TILEPROP CLBLM_L_X22Y90 ROW 62 TILEPROP CLBLM_L_X22Y90 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y90 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y90 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y90 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y90 TILE_X -348 TILEPROP CLBLM_L_X22Y90 TILE_Y 50624 TILEPROP CLBLM_L_X22Y90 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y91 CLASS tile TILEPROP CLBLM_L_X22Y91 COLUMN 56 TILEPROP CLBLM_L_X22Y91 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y91 FIRST_SITE_ID 5947 TILEPROP CLBLM_L_X22Y91 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y91 GRID_POINT_Y 61 TILEPROP CLBLM_L_X22Y91 INDEX 7071 TILEPROP CLBLM_L_X22Y91 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y91 INT_TILE_Y 58 TILEPROP CLBLM_L_X22Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y91 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y91 NAME CLBLM_L_X22Y91 TILEPROP CLBLM_L_X22Y91 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y91 NUM_SITES 2 TILEPROP CLBLM_L_X22Y91 ROW 61 TILEPROP CLBLM_L_X22Y91 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y91 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y91 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y91 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y91 TILE_X -348 TILEPROP CLBLM_L_X22Y91 TILE_Y 53824 TILEPROP CLBLM_L_X22Y91 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y92 CLASS tile TILEPROP CLBLM_L_X22Y92 COLUMN 56 TILEPROP CLBLM_L_X22Y92 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y92 FIRST_SITE_ID 5846 TILEPROP CLBLM_L_X22Y92 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y92 GRID_POINT_Y 60 TILEPROP CLBLM_L_X22Y92 INDEX 6956 TILEPROP CLBLM_L_X22Y92 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y92 INT_TILE_Y 57 TILEPROP CLBLM_L_X22Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y92 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y92 NAME CLBLM_L_X22Y92 TILEPROP CLBLM_L_X22Y92 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y92 NUM_SITES 2 TILEPROP CLBLM_L_X22Y92 ROW 60 TILEPROP CLBLM_L_X22Y92 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y92 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y92 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y92 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y92 TILE_X -348 TILEPROP CLBLM_L_X22Y92 TILE_Y 57024 TILEPROP CLBLM_L_X22Y92 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y93 CLASS tile TILEPROP CLBLM_L_X22Y93 COLUMN 56 TILEPROP CLBLM_L_X22Y93 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y93 FIRST_SITE_ID 5743 TILEPROP CLBLM_L_X22Y93 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y93 GRID_POINT_Y 59 TILEPROP CLBLM_L_X22Y93 INDEX 6841 TILEPROP CLBLM_L_X22Y93 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y93 INT_TILE_Y 56 TILEPROP CLBLM_L_X22Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y93 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y93 NAME CLBLM_L_X22Y93 TILEPROP CLBLM_L_X22Y93 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y93 NUM_SITES 2 TILEPROP CLBLM_L_X22Y93 ROW 59 TILEPROP CLBLM_L_X22Y93 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y93 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y93 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y93 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y93 TILE_X -348 TILEPROP CLBLM_L_X22Y93 TILE_Y 60224 TILEPROP CLBLM_L_X22Y93 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y94 CLASS tile TILEPROP CLBLM_L_X22Y94 COLUMN 56 TILEPROP CLBLM_L_X22Y94 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y94 FIRST_SITE_ID 5641 TILEPROP CLBLM_L_X22Y94 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y94 GRID_POINT_Y 58 TILEPROP CLBLM_L_X22Y94 INDEX 6726 TILEPROP CLBLM_L_X22Y94 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y94 INT_TILE_Y 55 TILEPROP CLBLM_L_X22Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y94 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y94 NAME CLBLM_L_X22Y94 TILEPROP CLBLM_L_X22Y94 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y94 NUM_SITES 2 TILEPROP CLBLM_L_X22Y94 ROW 58 TILEPROP CLBLM_L_X22Y94 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y94 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y94 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y94 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y94 TILE_X -348 TILEPROP CLBLM_L_X22Y94 TILE_Y 63424 TILEPROP CLBLM_L_X22Y94 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y95 CLASS tile TILEPROP CLBLM_L_X22Y95 COLUMN 56 TILEPROP CLBLM_L_X22Y95 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y95 FIRST_SITE_ID 5532 TILEPROP CLBLM_L_X22Y95 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y95 GRID_POINT_Y 57 TILEPROP CLBLM_L_X22Y95 INDEX 6611 TILEPROP CLBLM_L_X22Y95 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y95 INT_TILE_Y 54 TILEPROP CLBLM_L_X22Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y95 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y95 NAME CLBLM_L_X22Y95 TILEPROP CLBLM_L_X22Y95 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y95 NUM_SITES 2 TILEPROP CLBLM_L_X22Y95 ROW 57 TILEPROP CLBLM_L_X22Y95 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y95 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y95 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y95 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y95 TILE_X -348 TILEPROP CLBLM_L_X22Y95 TILE_Y 66624 TILEPROP CLBLM_L_X22Y95 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y96 CLASS tile TILEPROP CLBLM_L_X22Y96 COLUMN 56 TILEPROP CLBLM_L_X22Y96 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y96 FIRST_SITE_ID 5426 TILEPROP CLBLM_L_X22Y96 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y96 GRID_POINT_Y 56 TILEPROP CLBLM_L_X22Y96 INDEX 6496 TILEPROP CLBLM_L_X22Y96 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y96 INT_TILE_Y 53 TILEPROP CLBLM_L_X22Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y96 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y96 NAME CLBLM_L_X22Y96 TILEPROP CLBLM_L_X22Y96 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y96 NUM_SITES 2 TILEPROP CLBLM_L_X22Y96 ROW 56 TILEPROP CLBLM_L_X22Y96 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y96 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y96 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y96 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y96 TILE_X -348 TILEPROP CLBLM_L_X22Y96 TILE_Y 69824 TILEPROP CLBLM_L_X22Y96 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y97 CLASS tile TILEPROP CLBLM_L_X22Y97 COLUMN 56 TILEPROP CLBLM_L_X22Y97 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y97 FIRST_SITE_ID 5326 TILEPROP CLBLM_L_X22Y97 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y97 GRID_POINT_Y 55 TILEPROP CLBLM_L_X22Y97 INDEX 6381 TILEPROP CLBLM_L_X22Y97 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y97 INT_TILE_Y 52 TILEPROP CLBLM_L_X22Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y97 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y97 NAME CLBLM_L_X22Y97 TILEPROP CLBLM_L_X22Y97 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y97 NUM_SITES 2 TILEPROP CLBLM_L_X22Y97 ROW 55 TILEPROP CLBLM_L_X22Y97 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y97 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y97 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y97 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y97 TILE_X -348 TILEPROP CLBLM_L_X22Y97 TILE_Y 73024 TILEPROP CLBLM_L_X22Y97 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y98 CLASS tile TILEPROP CLBLM_L_X22Y98 COLUMN 56 TILEPROP CLBLM_L_X22Y98 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y98 FIRST_SITE_ID 5226 TILEPROP CLBLM_L_X22Y98 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y98 GRID_POINT_Y 54 TILEPROP CLBLM_L_X22Y98 INDEX 6266 TILEPROP CLBLM_L_X22Y98 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y98 INT_TILE_Y 51 TILEPROP CLBLM_L_X22Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y98 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y98 NAME CLBLM_L_X22Y98 TILEPROP CLBLM_L_X22Y98 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y98 NUM_SITES 2 TILEPROP CLBLM_L_X22Y98 ROW 54 TILEPROP CLBLM_L_X22Y98 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y98 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y98 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y98 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y98 TILE_X -348 TILEPROP CLBLM_L_X22Y98 TILE_Y 76224 TILEPROP CLBLM_L_X22Y98 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y99 CLASS tile TILEPROP CLBLM_L_X22Y99 COLUMN 56 TILEPROP CLBLM_L_X22Y99 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y99 FIRST_SITE_ID 5130 TILEPROP CLBLM_L_X22Y99 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y99 GRID_POINT_Y 53 TILEPROP CLBLM_L_X22Y99 INDEX 6151 TILEPROP CLBLM_L_X22Y99 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y99 INT_TILE_Y 50 TILEPROP CLBLM_L_X22Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y99 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y99 NAME CLBLM_L_X22Y99 TILEPROP CLBLM_L_X22Y99 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y99 NUM_SITES 2 TILEPROP CLBLM_L_X22Y99 ROW 53 TILEPROP CLBLM_L_X22Y99 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y99 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X22Y99 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y99 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y99 TILE_X -348 TILEPROP CLBLM_L_X22Y99 TILE_Y 79424 TILEPROP CLBLM_L_X22Y99 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y100 CLASS tile TILEPROP CLBLM_L_X22Y100 COLUMN 56 TILEPROP CLBLM_L_X22Y100 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y100 FIRST_SITE_ID 5053 TILEPROP CLBLM_L_X22Y100 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y100 GRID_POINT_Y 51 TILEPROP CLBLM_L_X22Y100 INDEX 5921 TILEPROP CLBLM_L_X22Y100 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y100 INT_TILE_Y 49 TILEPROP CLBLM_L_X22Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y100 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y100 NAME CLBLM_L_X22Y100 TILEPROP CLBLM_L_X22Y100 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y100 NUM_SITES 2 TILEPROP CLBLM_L_X22Y100 ROW 51 TILEPROP CLBLM_L_X22Y100 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y100 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X22Y100 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y100 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y100 TILE_X -348 TILEPROP CLBLM_L_X22Y100 TILE_Y 82872 TILEPROP CLBLM_L_X22Y100 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y101 CLASS tile TILEPROP CLBLM_L_X22Y101 COLUMN 56 TILEPROP CLBLM_L_X22Y101 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y101 FIRST_SITE_ID 4955 TILEPROP CLBLM_L_X22Y101 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y101 GRID_POINT_Y 50 TILEPROP CLBLM_L_X22Y101 INDEX 5806 TILEPROP CLBLM_L_X22Y101 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y101 INT_TILE_Y 48 TILEPROP CLBLM_L_X22Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y101 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y101 NAME CLBLM_L_X22Y101 TILEPROP CLBLM_L_X22Y101 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y101 NUM_SITES 2 TILEPROP CLBLM_L_X22Y101 ROW 50 TILEPROP CLBLM_L_X22Y101 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y101 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y101 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y101 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y101 TILE_X -348 TILEPROP CLBLM_L_X22Y101 TILE_Y 86072 TILEPROP CLBLM_L_X22Y101 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y102 CLASS tile TILEPROP CLBLM_L_X22Y102 COLUMN 56 TILEPROP CLBLM_L_X22Y102 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y102 FIRST_SITE_ID 4859 TILEPROP CLBLM_L_X22Y102 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y102 GRID_POINT_Y 49 TILEPROP CLBLM_L_X22Y102 INDEX 5691 TILEPROP CLBLM_L_X22Y102 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y102 INT_TILE_Y 47 TILEPROP CLBLM_L_X22Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y102 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y102 NAME CLBLM_L_X22Y102 TILEPROP CLBLM_L_X22Y102 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y102 NUM_SITES 2 TILEPROP CLBLM_L_X22Y102 ROW 49 TILEPROP CLBLM_L_X22Y102 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y102 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y102 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y102 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y102 TILE_X -348 TILEPROP CLBLM_L_X22Y102 TILE_Y 89272 TILEPROP CLBLM_L_X22Y102 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y103 CLASS tile TILEPROP CLBLM_L_X22Y103 COLUMN 56 TILEPROP CLBLM_L_X22Y103 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y103 FIRST_SITE_ID 4771 TILEPROP CLBLM_L_X22Y103 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y103 GRID_POINT_Y 48 TILEPROP CLBLM_L_X22Y103 INDEX 5576 TILEPROP CLBLM_L_X22Y103 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y103 INT_TILE_Y 46 TILEPROP CLBLM_L_X22Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y103 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y103 NAME CLBLM_L_X22Y103 TILEPROP CLBLM_L_X22Y103 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y103 NUM_SITES 2 TILEPROP CLBLM_L_X22Y103 ROW 48 TILEPROP CLBLM_L_X22Y103 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y103 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y103 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y103 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y103 TILE_X -348 TILEPROP CLBLM_L_X22Y103 TILE_Y 92472 TILEPROP CLBLM_L_X22Y103 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y104 CLASS tile TILEPROP CLBLM_L_X22Y104 COLUMN 56 TILEPROP CLBLM_L_X22Y104 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y104 FIRST_SITE_ID 4675 TILEPROP CLBLM_L_X22Y104 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y104 GRID_POINT_Y 47 TILEPROP CLBLM_L_X22Y104 INDEX 5461 TILEPROP CLBLM_L_X22Y104 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y104 INT_TILE_Y 45 TILEPROP CLBLM_L_X22Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y104 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y104 NAME CLBLM_L_X22Y104 TILEPROP CLBLM_L_X22Y104 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y104 NUM_SITES 2 TILEPROP CLBLM_L_X22Y104 ROW 47 TILEPROP CLBLM_L_X22Y104 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y104 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y104 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y104 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y104 TILE_X -348 TILEPROP CLBLM_L_X22Y104 TILE_Y 95672 TILEPROP CLBLM_L_X22Y104 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y105 CLASS tile TILEPROP CLBLM_L_X22Y105 COLUMN 56 TILEPROP CLBLM_L_X22Y105 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y105 FIRST_SITE_ID 4579 TILEPROP CLBLM_L_X22Y105 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y105 GRID_POINT_Y 46 TILEPROP CLBLM_L_X22Y105 INDEX 5346 TILEPROP CLBLM_L_X22Y105 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y105 INT_TILE_Y 44 TILEPROP CLBLM_L_X22Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y105 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y105 NAME CLBLM_L_X22Y105 TILEPROP CLBLM_L_X22Y105 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y105 NUM_SITES 2 TILEPROP CLBLM_L_X22Y105 ROW 46 TILEPROP CLBLM_L_X22Y105 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y105 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y105 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y105 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y105 TILE_X -348 TILEPROP CLBLM_L_X22Y105 TILE_Y 98872 TILEPROP CLBLM_L_X22Y105 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y106 CLASS tile TILEPROP CLBLM_L_X22Y106 COLUMN 56 TILEPROP CLBLM_L_X22Y106 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y106 FIRST_SITE_ID 4477 TILEPROP CLBLM_L_X22Y106 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y106 GRID_POINT_Y 45 TILEPROP CLBLM_L_X22Y106 INDEX 5231 TILEPROP CLBLM_L_X22Y106 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y106 INT_TILE_Y 43 TILEPROP CLBLM_L_X22Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y106 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y106 NAME CLBLM_L_X22Y106 TILEPROP CLBLM_L_X22Y106 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y106 NUM_SITES 2 TILEPROP CLBLM_L_X22Y106 ROW 45 TILEPROP CLBLM_L_X22Y106 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y106 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y106 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y106 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y106 TILE_X -348 TILEPROP CLBLM_L_X22Y106 TILE_Y 102072 TILEPROP CLBLM_L_X22Y106 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y107 CLASS tile TILEPROP CLBLM_L_X22Y107 COLUMN 56 TILEPROP CLBLM_L_X22Y107 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y107 FIRST_SITE_ID 4389 TILEPROP CLBLM_L_X22Y107 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y107 GRID_POINT_Y 44 TILEPROP CLBLM_L_X22Y107 INDEX 5116 TILEPROP CLBLM_L_X22Y107 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y107 INT_TILE_Y 42 TILEPROP CLBLM_L_X22Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y107 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y107 NAME CLBLM_L_X22Y107 TILEPROP CLBLM_L_X22Y107 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y107 NUM_SITES 2 TILEPROP CLBLM_L_X22Y107 ROW 44 TILEPROP CLBLM_L_X22Y107 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y107 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y107 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y107 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y107 TILE_X -348 TILEPROP CLBLM_L_X22Y107 TILE_Y 105272 TILEPROP CLBLM_L_X22Y107 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y108 CLASS tile TILEPROP CLBLM_L_X22Y108 COLUMN 56 TILEPROP CLBLM_L_X22Y108 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y108 FIRST_SITE_ID 4291 TILEPROP CLBLM_L_X22Y108 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y108 GRID_POINT_Y 43 TILEPROP CLBLM_L_X22Y108 INDEX 5001 TILEPROP CLBLM_L_X22Y108 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y108 INT_TILE_Y 41 TILEPROP CLBLM_L_X22Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y108 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y108 NAME CLBLM_L_X22Y108 TILEPROP CLBLM_L_X22Y108 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y108 NUM_SITES 2 TILEPROP CLBLM_L_X22Y108 ROW 43 TILEPROP CLBLM_L_X22Y108 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y108 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y108 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y108 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y108 TILE_X -348 TILEPROP CLBLM_L_X22Y108 TILE_Y 108472 TILEPROP CLBLM_L_X22Y108 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y109 CLASS tile TILEPROP CLBLM_L_X22Y109 COLUMN 56 TILEPROP CLBLM_L_X22Y109 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y109 FIRST_SITE_ID 4202 TILEPROP CLBLM_L_X22Y109 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y109 GRID_POINT_Y 42 TILEPROP CLBLM_L_X22Y109 INDEX 4886 TILEPROP CLBLM_L_X22Y109 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y109 INT_TILE_Y 40 TILEPROP CLBLM_L_X22Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y109 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y109 NAME CLBLM_L_X22Y109 TILEPROP CLBLM_L_X22Y109 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y109 NUM_SITES 2 TILEPROP CLBLM_L_X22Y109 ROW 42 TILEPROP CLBLM_L_X22Y109 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y109 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y109 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y109 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y109 TILE_X -348 TILEPROP CLBLM_L_X22Y109 TILE_Y 111672 TILEPROP CLBLM_L_X22Y109 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y110 CLASS tile TILEPROP CLBLM_L_X22Y110 COLUMN 56 TILEPROP CLBLM_L_X22Y110 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y110 FIRST_SITE_ID 4102 TILEPROP CLBLM_L_X22Y110 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y110 GRID_POINT_Y 41 TILEPROP CLBLM_L_X22Y110 INDEX 4771 TILEPROP CLBLM_L_X22Y110 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y110 INT_TILE_Y 39 TILEPROP CLBLM_L_X22Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y110 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y110 NAME CLBLM_L_X22Y110 TILEPROP CLBLM_L_X22Y110 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y110 NUM_SITES 2 TILEPROP CLBLM_L_X22Y110 ROW 41 TILEPROP CLBLM_L_X22Y110 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y110 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y110 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y110 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y110 TILE_X -348 TILEPROP CLBLM_L_X22Y110 TILE_Y 114872 TILEPROP CLBLM_L_X22Y110 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y111 CLASS tile TILEPROP CLBLM_L_X22Y111 COLUMN 56 TILEPROP CLBLM_L_X22Y111 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y111 FIRST_SITE_ID 4008 TILEPROP CLBLM_L_X22Y111 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y111 GRID_POINT_Y 40 TILEPROP CLBLM_L_X22Y111 INDEX 4656 TILEPROP CLBLM_L_X22Y111 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y111 INT_TILE_Y 38 TILEPROP CLBLM_L_X22Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y111 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y111 NAME CLBLM_L_X22Y111 TILEPROP CLBLM_L_X22Y111 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y111 NUM_SITES 2 TILEPROP CLBLM_L_X22Y111 ROW 40 TILEPROP CLBLM_L_X22Y111 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y111 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y111 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y111 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y111 TILE_X -348 TILEPROP CLBLM_L_X22Y111 TILE_Y 118072 TILEPROP CLBLM_L_X22Y111 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y112 CLASS tile TILEPROP CLBLM_L_X22Y112 COLUMN 56 TILEPROP CLBLM_L_X22Y112 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y112 FIRST_SITE_ID 3880 TILEPROP CLBLM_L_X22Y112 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y112 GRID_POINT_Y 39 TILEPROP CLBLM_L_X22Y112 INDEX 4541 TILEPROP CLBLM_L_X22Y112 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y112 INT_TILE_Y 37 TILEPROP CLBLM_L_X22Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y112 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y112 NAME CLBLM_L_X22Y112 TILEPROP CLBLM_L_X22Y112 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y112 NUM_SITES 2 TILEPROP CLBLM_L_X22Y112 ROW 39 TILEPROP CLBLM_L_X22Y112 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y112 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y112 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y112 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y112 TILE_X -348 TILEPROP CLBLM_L_X22Y112 TILE_Y 121272 TILEPROP CLBLM_L_X22Y112 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y113 CLASS tile TILEPROP CLBLM_L_X22Y113 COLUMN 56 TILEPROP CLBLM_L_X22Y113 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y113 FIRST_SITE_ID 3792 TILEPROP CLBLM_L_X22Y113 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y113 GRID_POINT_Y 38 TILEPROP CLBLM_L_X22Y113 INDEX 4426 TILEPROP CLBLM_L_X22Y113 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y113 INT_TILE_Y 36 TILEPROP CLBLM_L_X22Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y113 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y113 NAME CLBLM_L_X22Y113 TILEPROP CLBLM_L_X22Y113 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y113 NUM_SITES 2 TILEPROP CLBLM_L_X22Y113 ROW 38 TILEPROP CLBLM_L_X22Y113 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y113 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y113 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y113 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y113 TILE_X -348 TILEPROP CLBLM_L_X22Y113 TILE_Y 124472 TILEPROP CLBLM_L_X22Y113 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y114 CLASS tile TILEPROP CLBLM_L_X22Y114 COLUMN 56 TILEPROP CLBLM_L_X22Y114 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y114 FIRST_SITE_ID 3696 TILEPROP CLBLM_L_X22Y114 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y114 GRID_POINT_Y 37 TILEPROP CLBLM_L_X22Y114 INDEX 4311 TILEPROP CLBLM_L_X22Y114 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y114 INT_TILE_Y 35 TILEPROP CLBLM_L_X22Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y114 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y114 NAME CLBLM_L_X22Y114 TILEPROP CLBLM_L_X22Y114 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y114 NUM_SITES 2 TILEPROP CLBLM_L_X22Y114 ROW 37 TILEPROP CLBLM_L_X22Y114 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y114 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y114 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y114 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y114 TILE_X -348 TILEPROP CLBLM_L_X22Y114 TILE_Y 127672 TILEPROP CLBLM_L_X22Y114 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y115 CLASS tile TILEPROP CLBLM_L_X22Y115 COLUMN 56 TILEPROP CLBLM_L_X22Y115 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y115 FIRST_SITE_ID 3605 TILEPROP CLBLM_L_X22Y115 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y115 GRID_POINT_Y 36 TILEPROP CLBLM_L_X22Y115 INDEX 4196 TILEPROP CLBLM_L_X22Y115 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y115 INT_TILE_Y 34 TILEPROP CLBLM_L_X22Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y115 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y115 NAME CLBLM_L_X22Y115 TILEPROP CLBLM_L_X22Y115 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y115 NUM_SITES 2 TILEPROP CLBLM_L_X22Y115 ROW 36 TILEPROP CLBLM_L_X22Y115 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y115 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y115 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y115 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y115 TILE_X -348 TILEPROP CLBLM_L_X22Y115 TILE_Y 130872 TILEPROP CLBLM_L_X22Y115 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y116 CLASS tile TILEPROP CLBLM_L_X22Y116 COLUMN 56 TILEPROP CLBLM_L_X22Y116 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y116 FIRST_SITE_ID 3498 TILEPROP CLBLM_L_X22Y116 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y116 GRID_POINT_Y 35 TILEPROP CLBLM_L_X22Y116 INDEX 4081 TILEPROP CLBLM_L_X22Y116 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y116 INT_TILE_Y 33 TILEPROP CLBLM_L_X22Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y116 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y116 NAME CLBLM_L_X22Y116 TILEPROP CLBLM_L_X22Y116 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y116 NUM_SITES 2 TILEPROP CLBLM_L_X22Y116 ROW 35 TILEPROP CLBLM_L_X22Y116 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y116 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y116 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y116 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y116 TILE_X -348 TILEPROP CLBLM_L_X22Y116 TILE_Y 134072 TILEPROP CLBLM_L_X22Y116 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y117 CLASS tile TILEPROP CLBLM_L_X22Y117 COLUMN 56 TILEPROP CLBLM_L_X22Y117 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y117 FIRST_SITE_ID 3410 TILEPROP CLBLM_L_X22Y117 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y117 GRID_POINT_Y 34 TILEPROP CLBLM_L_X22Y117 INDEX 3966 TILEPROP CLBLM_L_X22Y117 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y117 INT_TILE_Y 32 TILEPROP CLBLM_L_X22Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y117 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y117 NAME CLBLM_L_X22Y117 TILEPROP CLBLM_L_X22Y117 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y117 NUM_SITES 2 TILEPROP CLBLM_L_X22Y117 ROW 34 TILEPROP CLBLM_L_X22Y117 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y117 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y117 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y117 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y117 TILE_X -348 TILEPROP CLBLM_L_X22Y117 TILE_Y 137272 TILEPROP CLBLM_L_X22Y117 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y118 CLASS tile TILEPROP CLBLM_L_X22Y118 COLUMN 56 TILEPROP CLBLM_L_X22Y118 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y118 FIRST_SITE_ID 3310 TILEPROP CLBLM_L_X22Y118 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y118 GRID_POINT_Y 33 TILEPROP CLBLM_L_X22Y118 INDEX 3851 TILEPROP CLBLM_L_X22Y118 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y118 INT_TILE_Y 31 TILEPROP CLBLM_L_X22Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y118 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y118 NAME CLBLM_L_X22Y118 TILEPROP CLBLM_L_X22Y118 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y118 NUM_SITES 2 TILEPROP CLBLM_L_X22Y118 ROW 33 TILEPROP CLBLM_L_X22Y118 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y118 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y118 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y118 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y118 TILE_X -348 TILEPROP CLBLM_L_X22Y118 TILE_Y 140472 TILEPROP CLBLM_L_X22Y118 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y119 CLASS tile TILEPROP CLBLM_L_X22Y119 COLUMN 56 TILEPROP CLBLM_L_X22Y119 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y119 FIRST_SITE_ID 3222 TILEPROP CLBLM_L_X22Y119 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y119 GRID_POINT_Y 32 TILEPROP CLBLM_L_X22Y119 INDEX 3736 TILEPROP CLBLM_L_X22Y119 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y119 INT_TILE_Y 30 TILEPROP CLBLM_L_X22Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y119 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y119 NAME CLBLM_L_X22Y119 TILEPROP CLBLM_L_X22Y119 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y119 NUM_SITES 2 TILEPROP CLBLM_L_X22Y119 ROW 32 TILEPROP CLBLM_L_X22Y119 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y119 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y119 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y119 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y119 TILE_X -348 TILEPROP CLBLM_L_X22Y119 TILE_Y 143672 TILEPROP CLBLM_L_X22Y119 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y120 CLASS tile TILEPROP CLBLM_L_X22Y120 COLUMN 56 TILEPROP CLBLM_L_X22Y120 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y120 FIRST_SITE_ID 3121 TILEPROP CLBLM_L_X22Y120 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y120 GRID_POINT_Y 31 TILEPROP CLBLM_L_X22Y120 INDEX 3621 TILEPROP CLBLM_L_X22Y120 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y120 INT_TILE_Y 29 TILEPROP CLBLM_L_X22Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y120 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y120 NAME CLBLM_L_X22Y120 TILEPROP CLBLM_L_X22Y120 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y120 NUM_SITES 2 TILEPROP CLBLM_L_X22Y120 ROW 31 TILEPROP CLBLM_L_X22Y120 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y120 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y120 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y120 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y120 TILE_X -348 TILEPROP CLBLM_L_X22Y120 TILE_Y 146872 TILEPROP CLBLM_L_X22Y120 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y121 CLASS tile TILEPROP CLBLM_L_X22Y121 COLUMN 56 TILEPROP CLBLM_L_X22Y121 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y121 FIRST_SITE_ID 3027 TILEPROP CLBLM_L_X22Y121 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y121 GRID_POINT_Y 30 TILEPROP CLBLM_L_X22Y121 INDEX 3506 TILEPROP CLBLM_L_X22Y121 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y121 INT_TILE_Y 28 TILEPROP CLBLM_L_X22Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y121 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y121 NAME CLBLM_L_X22Y121 TILEPROP CLBLM_L_X22Y121 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y121 NUM_SITES 2 TILEPROP CLBLM_L_X22Y121 ROW 30 TILEPROP CLBLM_L_X22Y121 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y121 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y121 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y121 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y121 TILE_X -348 TILEPROP CLBLM_L_X22Y121 TILE_Y 150072 TILEPROP CLBLM_L_X22Y121 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y122 CLASS tile TILEPROP CLBLM_L_X22Y122 COLUMN 56 TILEPROP CLBLM_L_X22Y122 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y122 FIRST_SITE_ID 2924 TILEPROP CLBLM_L_X22Y122 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y122 GRID_POINT_Y 29 TILEPROP CLBLM_L_X22Y122 INDEX 3391 TILEPROP CLBLM_L_X22Y122 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y122 INT_TILE_Y 27 TILEPROP CLBLM_L_X22Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y122 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y122 NAME CLBLM_L_X22Y122 TILEPROP CLBLM_L_X22Y122 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y122 NUM_SITES 2 TILEPROP CLBLM_L_X22Y122 ROW 29 TILEPROP CLBLM_L_X22Y122 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y122 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y122 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y122 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y122 TILE_X -348 TILEPROP CLBLM_L_X22Y122 TILE_Y 153272 TILEPROP CLBLM_L_X22Y122 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y123 CLASS tile TILEPROP CLBLM_L_X22Y123 COLUMN 56 TILEPROP CLBLM_L_X22Y123 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y123 FIRST_SITE_ID 2836 TILEPROP CLBLM_L_X22Y123 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y123 GRID_POINT_Y 28 TILEPROP CLBLM_L_X22Y123 INDEX 3276 TILEPROP CLBLM_L_X22Y123 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y123 INT_TILE_Y 26 TILEPROP CLBLM_L_X22Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y123 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y123 NAME CLBLM_L_X22Y123 TILEPROP CLBLM_L_X22Y123 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y123 NUM_SITES 2 TILEPROP CLBLM_L_X22Y123 ROW 28 TILEPROP CLBLM_L_X22Y123 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y123 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y123 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y123 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y123 TILE_X -348 TILEPROP CLBLM_L_X22Y123 TILE_Y 156472 TILEPROP CLBLM_L_X22Y123 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y124 CLASS tile TILEPROP CLBLM_L_X22Y124 COLUMN 56 TILEPROP CLBLM_L_X22Y124 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y124 FIRST_SITE_ID 2740 TILEPROP CLBLM_L_X22Y124 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y124 GRID_POINT_Y 27 TILEPROP CLBLM_L_X22Y124 INDEX 3161 TILEPROP CLBLM_L_X22Y124 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y124 INT_TILE_Y 25 TILEPROP CLBLM_L_X22Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y124 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y124 NAME CLBLM_L_X22Y124 TILEPROP CLBLM_L_X22Y124 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y124 NUM_SITES 2 TILEPROP CLBLM_L_X22Y124 ROW 27 TILEPROP CLBLM_L_X22Y124 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y124 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X22Y124 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y124 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y124 TILE_X -348 TILEPROP CLBLM_L_X22Y124 TILE_Y 159672 TILEPROP CLBLM_L_X22Y124 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y125 CLASS tile TILEPROP CLBLM_L_X22Y125 COLUMN 56 TILEPROP CLBLM_L_X22Y125 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y125 FIRST_SITE_ID 2567 TILEPROP CLBLM_L_X22Y125 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y125 GRID_POINT_Y 25 TILEPROP CLBLM_L_X22Y125 INDEX 2931 TILEPROP CLBLM_L_X22Y125 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y125 INT_TILE_Y 24 TILEPROP CLBLM_L_X22Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y125 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y125 NAME CLBLM_L_X22Y125 TILEPROP CLBLM_L_X22Y125 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y125 NUM_SITES 2 TILEPROP CLBLM_L_X22Y125 ROW 25 TILEPROP CLBLM_L_X22Y125 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y125 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X22Y125 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y125 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y125 TILE_X -348 TILEPROP CLBLM_L_X22Y125 TILE_Y 163896 TILEPROP CLBLM_L_X22Y125 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y126 CLASS tile TILEPROP CLBLM_L_X22Y126 COLUMN 56 TILEPROP CLBLM_L_X22Y126 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y126 FIRST_SITE_ID 2457 TILEPROP CLBLM_L_X22Y126 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y126 GRID_POINT_Y 24 TILEPROP CLBLM_L_X22Y126 INDEX 2816 TILEPROP CLBLM_L_X22Y126 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y126 INT_TILE_Y 23 TILEPROP CLBLM_L_X22Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y126 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y126 NAME CLBLM_L_X22Y126 TILEPROP CLBLM_L_X22Y126 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y126 NUM_SITES 2 TILEPROP CLBLM_L_X22Y126 ROW 24 TILEPROP CLBLM_L_X22Y126 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y126 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y126 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y126 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y126 TILE_X -348 TILEPROP CLBLM_L_X22Y126 TILE_Y 167096 TILEPROP CLBLM_L_X22Y126 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y127 CLASS tile TILEPROP CLBLM_L_X22Y127 COLUMN 56 TILEPROP CLBLM_L_X22Y127 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y127 FIRST_SITE_ID 2361 TILEPROP CLBLM_L_X22Y127 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y127 GRID_POINT_Y 23 TILEPROP CLBLM_L_X22Y127 INDEX 2701 TILEPROP CLBLM_L_X22Y127 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y127 INT_TILE_Y 22 TILEPROP CLBLM_L_X22Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y127 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y127 NAME CLBLM_L_X22Y127 TILEPROP CLBLM_L_X22Y127 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y127 NUM_SITES 2 TILEPROP CLBLM_L_X22Y127 ROW 23 TILEPROP CLBLM_L_X22Y127 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y127 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y127 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y127 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y127 TILE_X -348 TILEPROP CLBLM_L_X22Y127 TILE_Y 170296 TILEPROP CLBLM_L_X22Y127 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y128 CLASS tile TILEPROP CLBLM_L_X22Y128 COLUMN 56 TILEPROP CLBLM_L_X22Y128 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y128 FIRST_SITE_ID 2257 TILEPROP CLBLM_L_X22Y128 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y128 GRID_POINT_Y 22 TILEPROP CLBLM_L_X22Y128 INDEX 2586 TILEPROP CLBLM_L_X22Y128 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y128 INT_TILE_Y 21 TILEPROP CLBLM_L_X22Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y128 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y128 NAME CLBLM_L_X22Y128 TILEPROP CLBLM_L_X22Y128 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y128 NUM_SITES 2 TILEPROP CLBLM_L_X22Y128 ROW 22 TILEPROP CLBLM_L_X22Y128 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y128 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y128 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y128 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y128 TILE_X -348 TILEPROP CLBLM_L_X22Y128 TILE_Y 173496 TILEPROP CLBLM_L_X22Y128 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y129 CLASS tile TILEPROP CLBLM_L_X22Y129 COLUMN 56 TILEPROP CLBLM_L_X22Y129 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y129 FIRST_SITE_ID 2161 TILEPROP CLBLM_L_X22Y129 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y129 GRID_POINT_Y 21 TILEPROP CLBLM_L_X22Y129 INDEX 2471 TILEPROP CLBLM_L_X22Y129 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y129 INT_TILE_Y 20 TILEPROP CLBLM_L_X22Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y129 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y129 NAME CLBLM_L_X22Y129 TILEPROP CLBLM_L_X22Y129 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y129 NUM_SITES 2 TILEPROP CLBLM_L_X22Y129 ROW 21 TILEPROP CLBLM_L_X22Y129 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y129 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y129 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y129 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y129 TILE_X -348 TILEPROP CLBLM_L_X22Y129 TILE_Y 176696 TILEPROP CLBLM_L_X22Y129 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y130 CLASS tile TILEPROP CLBLM_L_X22Y130 COLUMN 56 TILEPROP CLBLM_L_X22Y130 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y130 FIRST_SITE_ID 2045 TILEPROP CLBLM_L_X22Y130 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y130 GRID_POINT_Y 20 TILEPROP CLBLM_L_X22Y130 INDEX 2356 TILEPROP CLBLM_L_X22Y130 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y130 INT_TILE_Y 19 TILEPROP CLBLM_L_X22Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y130 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y130 NAME CLBLM_L_X22Y130 TILEPROP CLBLM_L_X22Y130 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y130 NUM_SITES 2 TILEPROP CLBLM_L_X22Y130 ROW 20 TILEPROP CLBLM_L_X22Y130 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y130 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y130 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y130 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y130 TILE_X -348 TILEPROP CLBLM_L_X22Y130 TILE_Y 179896 TILEPROP CLBLM_L_X22Y130 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y131 CLASS tile TILEPROP CLBLM_L_X22Y131 COLUMN 56 TILEPROP CLBLM_L_X22Y131 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y131 FIRST_SITE_ID 1943 TILEPROP CLBLM_L_X22Y131 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y131 GRID_POINT_Y 19 TILEPROP CLBLM_L_X22Y131 INDEX 2241 TILEPROP CLBLM_L_X22Y131 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y131 INT_TILE_Y 18 TILEPROP CLBLM_L_X22Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y131 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y131 NAME CLBLM_L_X22Y131 TILEPROP CLBLM_L_X22Y131 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y131 NUM_SITES 2 TILEPROP CLBLM_L_X22Y131 ROW 19 TILEPROP CLBLM_L_X22Y131 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y131 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y131 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y131 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y131 TILE_X -348 TILEPROP CLBLM_L_X22Y131 TILE_Y 183096 TILEPROP CLBLM_L_X22Y131 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y132 CLASS tile TILEPROP CLBLM_L_X22Y132 COLUMN 56 TILEPROP CLBLM_L_X22Y132 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y132 FIRST_SITE_ID 1837 TILEPROP CLBLM_L_X22Y132 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y132 GRID_POINT_Y 18 TILEPROP CLBLM_L_X22Y132 INDEX 2126 TILEPROP CLBLM_L_X22Y132 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y132 INT_TILE_Y 17 TILEPROP CLBLM_L_X22Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y132 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y132 NAME CLBLM_L_X22Y132 TILEPROP CLBLM_L_X22Y132 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y132 NUM_SITES 2 TILEPROP CLBLM_L_X22Y132 ROW 18 TILEPROP CLBLM_L_X22Y132 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y132 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y132 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y132 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y132 TILE_X -348 TILEPROP CLBLM_L_X22Y132 TILE_Y 186296 TILEPROP CLBLM_L_X22Y132 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y133 CLASS tile TILEPROP CLBLM_L_X22Y133 COLUMN 56 TILEPROP CLBLM_L_X22Y133 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y133 FIRST_SITE_ID 1736 TILEPROP CLBLM_L_X22Y133 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y133 GRID_POINT_Y 17 TILEPROP CLBLM_L_X22Y133 INDEX 2011 TILEPROP CLBLM_L_X22Y133 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y133 INT_TILE_Y 16 TILEPROP CLBLM_L_X22Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y133 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y133 NAME CLBLM_L_X22Y133 TILEPROP CLBLM_L_X22Y133 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y133 NUM_SITES 2 TILEPROP CLBLM_L_X22Y133 ROW 17 TILEPROP CLBLM_L_X22Y133 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y133 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y133 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y133 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y133 TILE_X -348 TILEPROP CLBLM_L_X22Y133 TILE_Y 189496 TILEPROP CLBLM_L_X22Y133 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y134 CLASS tile TILEPROP CLBLM_L_X22Y134 COLUMN 56 TILEPROP CLBLM_L_X22Y134 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y134 FIRST_SITE_ID 1632 TILEPROP CLBLM_L_X22Y134 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y134 GRID_POINT_Y 16 TILEPROP CLBLM_L_X22Y134 INDEX 1896 TILEPROP CLBLM_L_X22Y134 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y134 INT_TILE_Y 15 TILEPROP CLBLM_L_X22Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y134 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y134 NAME CLBLM_L_X22Y134 TILEPROP CLBLM_L_X22Y134 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y134 NUM_SITES 2 TILEPROP CLBLM_L_X22Y134 ROW 16 TILEPROP CLBLM_L_X22Y134 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y134 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y134 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y134 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y134 TILE_X -348 TILEPROP CLBLM_L_X22Y134 TILE_Y 192696 TILEPROP CLBLM_L_X22Y134 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y135 CLASS tile TILEPROP CLBLM_L_X22Y135 COLUMN 56 TILEPROP CLBLM_L_X22Y135 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y135 FIRST_SITE_ID 1530 TILEPROP CLBLM_L_X22Y135 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y135 GRID_POINT_Y 15 TILEPROP CLBLM_L_X22Y135 INDEX 1781 TILEPROP CLBLM_L_X22Y135 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y135 INT_TILE_Y 14 TILEPROP CLBLM_L_X22Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y135 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y135 NAME CLBLM_L_X22Y135 TILEPROP CLBLM_L_X22Y135 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y135 NUM_SITES 2 TILEPROP CLBLM_L_X22Y135 ROW 15 TILEPROP CLBLM_L_X22Y135 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y135 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y135 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y135 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y135 TILE_X -348 TILEPROP CLBLM_L_X22Y135 TILE_Y 195896 TILEPROP CLBLM_L_X22Y135 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y136 CLASS tile TILEPROP CLBLM_L_X22Y136 COLUMN 56 TILEPROP CLBLM_L_X22Y136 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y136 FIRST_SITE_ID 1388 TILEPROP CLBLM_L_X22Y136 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y136 GRID_POINT_Y 14 TILEPROP CLBLM_L_X22Y136 INDEX 1666 TILEPROP CLBLM_L_X22Y136 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y136 INT_TILE_Y 13 TILEPROP CLBLM_L_X22Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y136 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y136 NAME CLBLM_L_X22Y136 TILEPROP CLBLM_L_X22Y136 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y136 NUM_SITES 2 TILEPROP CLBLM_L_X22Y136 ROW 14 TILEPROP CLBLM_L_X22Y136 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y136 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y136 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y136 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y136 TILE_X -348 TILEPROP CLBLM_L_X22Y136 TILE_Y 199096 TILEPROP CLBLM_L_X22Y136 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y137 CLASS tile TILEPROP CLBLM_L_X22Y137 COLUMN 56 TILEPROP CLBLM_L_X22Y137 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y137 FIRST_SITE_ID 1292 TILEPROP CLBLM_L_X22Y137 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y137 GRID_POINT_Y 13 TILEPROP CLBLM_L_X22Y137 INDEX 1551 TILEPROP CLBLM_L_X22Y137 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y137 INT_TILE_Y 12 TILEPROP CLBLM_L_X22Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y137 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y137 NAME CLBLM_L_X22Y137 TILEPROP CLBLM_L_X22Y137 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y137 NUM_SITES 2 TILEPROP CLBLM_L_X22Y137 ROW 13 TILEPROP CLBLM_L_X22Y137 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y137 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y137 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y137 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y137 TILE_X -348 TILEPROP CLBLM_L_X22Y137 TILE_Y 202296 TILEPROP CLBLM_L_X22Y137 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y138 CLASS tile TILEPROP CLBLM_L_X22Y138 COLUMN 56 TILEPROP CLBLM_L_X22Y138 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y138 FIRST_SITE_ID 1188 TILEPROP CLBLM_L_X22Y138 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y138 GRID_POINT_Y 12 TILEPROP CLBLM_L_X22Y138 INDEX 1436 TILEPROP CLBLM_L_X22Y138 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y138 INT_TILE_Y 11 TILEPROP CLBLM_L_X22Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y138 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y138 NAME CLBLM_L_X22Y138 TILEPROP CLBLM_L_X22Y138 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y138 NUM_SITES 2 TILEPROP CLBLM_L_X22Y138 ROW 12 TILEPROP CLBLM_L_X22Y138 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y138 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y138 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y138 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y138 TILE_X -348 TILEPROP CLBLM_L_X22Y138 TILE_Y 205496 TILEPROP CLBLM_L_X22Y138 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y139 CLASS tile TILEPROP CLBLM_L_X22Y139 COLUMN 56 TILEPROP CLBLM_L_X22Y139 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y139 FIRST_SITE_ID 1092 TILEPROP CLBLM_L_X22Y139 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y139 GRID_POINT_Y 11 TILEPROP CLBLM_L_X22Y139 INDEX 1321 TILEPROP CLBLM_L_X22Y139 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y139 INT_TILE_Y 10 TILEPROP CLBLM_L_X22Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y139 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y139 NAME CLBLM_L_X22Y139 TILEPROP CLBLM_L_X22Y139 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y139 NUM_SITES 2 TILEPROP CLBLM_L_X22Y139 ROW 11 TILEPROP CLBLM_L_X22Y139 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y139 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y139 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y139 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y139 TILE_X -348 TILEPROP CLBLM_L_X22Y139 TILE_Y 208696 TILEPROP CLBLM_L_X22Y139 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y140 CLASS tile TILEPROP CLBLM_L_X22Y140 COLUMN 56 TILEPROP CLBLM_L_X22Y140 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y140 FIRST_SITE_ID 982 TILEPROP CLBLM_L_X22Y140 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y140 GRID_POINT_Y 10 TILEPROP CLBLM_L_X22Y140 INDEX 1206 TILEPROP CLBLM_L_X22Y140 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y140 INT_TILE_Y 9 TILEPROP CLBLM_L_X22Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y140 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y140 NAME CLBLM_L_X22Y140 TILEPROP CLBLM_L_X22Y140 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y140 NUM_SITES 2 TILEPROP CLBLM_L_X22Y140 ROW 10 TILEPROP CLBLM_L_X22Y140 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y140 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y140 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y140 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y140 TILE_X -348 TILEPROP CLBLM_L_X22Y140 TILE_Y 211896 TILEPROP CLBLM_L_X22Y140 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y141 CLASS tile TILEPROP CLBLM_L_X22Y141 COLUMN 56 TILEPROP CLBLM_L_X22Y141 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y141 FIRST_SITE_ID 880 TILEPROP CLBLM_L_X22Y141 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y141 GRID_POINT_Y 9 TILEPROP CLBLM_L_X22Y141 INDEX 1091 TILEPROP CLBLM_L_X22Y141 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y141 INT_TILE_Y 8 TILEPROP CLBLM_L_X22Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y141 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y141 NAME CLBLM_L_X22Y141 TILEPROP CLBLM_L_X22Y141 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y141 NUM_SITES 2 TILEPROP CLBLM_L_X22Y141 ROW 9 TILEPROP CLBLM_L_X22Y141 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y141 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y141 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y141 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y141 TILE_X -348 TILEPROP CLBLM_L_X22Y141 TILE_Y 215096 TILEPROP CLBLM_L_X22Y141 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y142 CLASS tile TILEPROP CLBLM_L_X22Y142 COLUMN 56 TILEPROP CLBLM_L_X22Y142 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y142 FIRST_SITE_ID 776 TILEPROP CLBLM_L_X22Y142 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y142 GRID_POINT_Y 8 TILEPROP CLBLM_L_X22Y142 INDEX 976 TILEPROP CLBLM_L_X22Y142 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y142 INT_TILE_Y 7 TILEPROP CLBLM_L_X22Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y142 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y142 NAME CLBLM_L_X22Y142 TILEPROP CLBLM_L_X22Y142 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y142 NUM_SITES 2 TILEPROP CLBLM_L_X22Y142 ROW 8 TILEPROP CLBLM_L_X22Y142 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y142 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y142 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y142 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y142 TILE_X -348 TILEPROP CLBLM_L_X22Y142 TILE_Y 218296 TILEPROP CLBLM_L_X22Y142 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y143 CLASS tile TILEPROP CLBLM_L_X22Y143 COLUMN 56 TILEPROP CLBLM_L_X22Y143 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y143 FIRST_SITE_ID 679 TILEPROP CLBLM_L_X22Y143 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y143 GRID_POINT_Y 7 TILEPROP CLBLM_L_X22Y143 INDEX 861 TILEPROP CLBLM_L_X22Y143 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y143 INT_TILE_Y 6 TILEPROP CLBLM_L_X22Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y143 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y143 NAME CLBLM_L_X22Y143 TILEPROP CLBLM_L_X22Y143 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y143 NUM_SITES 2 TILEPROP CLBLM_L_X22Y143 ROW 7 TILEPROP CLBLM_L_X22Y143 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y143 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y143 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y143 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y143 TILE_X -348 TILEPROP CLBLM_L_X22Y143 TILE_Y 221496 TILEPROP CLBLM_L_X22Y143 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y144 CLASS tile TILEPROP CLBLM_L_X22Y144 COLUMN 56 TILEPROP CLBLM_L_X22Y144 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y144 FIRST_SITE_ID 568 TILEPROP CLBLM_L_X22Y144 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y144 GRID_POINT_Y 6 TILEPROP CLBLM_L_X22Y144 INDEX 746 TILEPROP CLBLM_L_X22Y144 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y144 INT_TILE_Y 5 TILEPROP CLBLM_L_X22Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y144 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y144 NAME CLBLM_L_X22Y144 TILEPROP CLBLM_L_X22Y144 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y144 NUM_SITES 2 TILEPROP CLBLM_L_X22Y144 ROW 6 TILEPROP CLBLM_L_X22Y144 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y144 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y144 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y144 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y144 TILE_X -348 TILEPROP CLBLM_L_X22Y144 TILE_Y 224696 TILEPROP CLBLM_L_X22Y144 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y145 CLASS tile TILEPROP CLBLM_L_X22Y145 COLUMN 56 TILEPROP CLBLM_L_X22Y145 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y145 FIRST_SITE_ID 466 TILEPROP CLBLM_L_X22Y145 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y145 GRID_POINT_Y 5 TILEPROP CLBLM_L_X22Y145 INDEX 631 TILEPROP CLBLM_L_X22Y145 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y145 INT_TILE_Y 4 TILEPROP CLBLM_L_X22Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y145 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y145 NAME CLBLM_L_X22Y145 TILEPROP CLBLM_L_X22Y145 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y145 NUM_SITES 2 TILEPROP CLBLM_L_X22Y145 ROW 5 TILEPROP CLBLM_L_X22Y145 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y145 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y145 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y145 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y145 TILE_X -348 TILEPROP CLBLM_L_X22Y145 TILE_Y 227896 TILEPROP CLBLM_L_X22Y145 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y146 CLASS tile TILEPROP CLBLM_L_X22Y146 COLUMN 56 TILEPROP CLBLM_L_X22Y146 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y146 FIRST_SITE_ID 356 TILEPROP CLBLM_L_X22Y146 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y146 GRID_POINT_Y 4 TILEPROP CLBLM_L_X22Y146 INDEX 516 TILEPROP CLBLM_L_X22Y146 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y146 INT_TILE_Y 3 TILEPROP CLBLM_L_X22Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y146 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y146 NAME CLBLM_L_X22Y146 TILEPROP CLBLM_L_X22Y146 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y146 NUM_SITES 2 TILEPROP CLBLM_L_X22Y146 ROW 4 TILEPROP CLBLM_L_X22Y146 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y146 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y146 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y146 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y146 TILE_X -348 TILEPROP CLBLM_L_X22Y146 TILE_Y 231096 TILEPROP CLBLM_L_X22Y146 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y147 CLASS tile TILEPROP CLBLM_L_X22Y147 COLUMN 56 TILEPROP CLBLM_L_X22Y147 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y147 FIRST_SITE_ID 260 TILEPROP CLBLM_L_X22Y147 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y147 GRID_POINT_Y 3 TILEPROP CLBLM_L_X22Y147 INDEX 401 TILEPROP CLBLM_L_X22Y147 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y147 INT_TILE_Y 2 TILEPROP CLBLM_L_X22Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y147 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y147 NAME CLBLM_L_X22Y147 TILEPROP CLBLM_L_X22Y147 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y147 NUM_SITES 2 TILEPROP CLBLM_L_X22Y147 ROW 3 TILEPROP CLBLM_L_X22Y147 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y147 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y147 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y147 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y147 TILE_X -348 TILEPROP CLBLM_L_X22Y147 TILE_Y 234296 TILEPROP CLBLM_L_X22Y147 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y148 CLASS tile TILEPROP CLBLM_L_X22Y148 COLUMN 56 TILEPROP CLBLM_L_X22Y148 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y148 FIRST_SITE_ID 156 TILEPROP CLBLM_L_X22Y148 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y148 GRID_POINT_Y 2 TILEPROP CLBLM_L_X22Y148 INDEX 286 TILEPROP CLBLM_L_X22Y148 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y148 INT_TILE_Y 1 TILEPROP CLBLM_L_X22Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y148 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y148 NAME CLBLM_L_X22Y148 TILEPROP CLBLM_L_X22Y148 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y148 NUM_SITES 2 TILEPROP CLBLM_L_X22Y148 ROW 2 TILEPROP CLBLM_L_X22Y148 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y148 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X22Y148 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y148 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y148 TILE_X -348 TILEPROP CLBLM_L_X22Y148 TILE_Y 237496 TILEPROP CLBLM_L_X22Y148 TYPE CLBLM_L TILEPROP CLBLM_L_X22Y149 CLASS tile TILEPROP CLBLM_L_X22Y149 COLUMN 56 TILEPROP CLBLM_L_X22Y149 DEVICE_ID 0 TILEPROP CLBLM_L_X22Y149 FIRST_SITE_ID 60 TILEPROP CLBLM_L_X22Y149 GRID_POINT_X 56 TILEPROP CLBLM_L_X22Y149 GRID_POINT_Y 1 TILEPROP CLBLM_L_X22Y149 INDEX 171 TILEPROP CLBLM_L_X22Y149 INT_TILE_X 21 TILEPROP CLBLM_L_X22Y149 INT_TILE_Y 0 TILEPROP CLBLM_L_X22Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X22Y149 IS_DCM_TILE 0 TILEPROP CLBLM_L_X22Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X22Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X22Y149 NAME CLBLM_L_X22Y149 TILEPROP CLBLM_L_X22Y149 NUM_ARCS 151 TILEPROP CLBLM_L_X22Y149 NUM_SITES 2 TILEPROP CLBLM_L_X22Y149 ROW 1 TILEPROP CLBLM_L_X22Y149 SLR_REGION_ID 0 TILEPROP CLBLM_L_X22Y149 TILE_PATTERN_IDX 22 TILEPROP CLBLM_L_X22Y149 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X22Y149 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X22Y149 TILE_X -348 TILEPROP CLBLM_L_X22Y149 TILE_Y 240696 TILEPROP CLBLM_L_X22Y149 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y0 CLASS tile TILEPROP CLBLM_L_X32Y0 COLUMN 81 TILEPROP CLBLM_L_X32Y0 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y0 FIRST_SITE_ID 15779 TILEPROP CLBLM_L_X32Y0 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y0 GRID_POINT_Y 155 TILEPROP CLBLM_L_X32Y0 INDEX 17906 TILEPROP CLBLM_L_X32Y0 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y0 INT_TILE_Y 149 TILEPROP CLBLM_L_X32Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y0 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y0 NAME CLBLM_L_X32Y0 TILEPROP CLBLM_L_X32Y0 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y0 NUM_SITES 2 TILEPROP CLBLM_L_X32Y0 ROW 155 TILEPROP CLBLM_L_X32Y0 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y0 TILE_PATTERN_IDX 3508 TILEPROP CLBLM_L_X32Y0 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y0 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y0 TILE_X 48076 TILEPROP CLBLM_L_X32Y0 TILE_Y -239672 TILEPROP CLBLM_L_X32Y0 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y1 CLASS tile TILEPROP CLBLM_L_X32Y1 COLUMN 81 TILEPROP CLBLM_L_X32Y1 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y1 FIRST_SITE_ID 15666 TILEPROP CLBLM_L_X32Y1 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y1 GRID_POINT_Y 154 TILEPROP CLBLM_L_X32Y1 INDEX 17791 TILEPROP CLBLM_L_X32Y1 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y1 INT_TILE_Y 148 TILEPROP CLBLM_L_X32Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y1 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y1 NAME CLBLM_L_X32Y1 TILEPROP CLBLM_L_X32Y1 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y1 NUM_SITES 2 TILEPROP CLBLM_L_X32Y1 ROW 154 TILEPROP CLBLM_L_X32Y1 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y1 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y1 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y1 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y1 TILE_X 48076 TILEPROP CLBLM_L_X32Y1 TILE_Y -236472 TILEPROP CLBLM_L_X32Y1 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y2 CLASS tile TILEPROP CLBLM_L_X32Y2 COLUMN 81 TILEPROP CLBLM_L_X32Y2 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y2 FIRST_SITE_ID 15565 TILEPROP CLBLM_L_X32Y2 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y2 GRID_POINT_Y 153 TILEPROP CLBLM_L_X32Y2 INDEX 17676 TILEPROP CLBLM_L_X32Y2 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y2 INT_TILE_Y 147 TILEPROP CLBLM_L_X32Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y2 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y2 NAME CLBLM_L_X32Y2 TILEPROP CLBLM_L_X32Y2 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y2 NUM_SITES 2 TILEPROP CLBLM_L_X32Y2 ROW 153 TILEPROP CLBLM_L_X32Y2 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y2 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y2 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y2 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y2 TILE_X 48076 TILEPROP CLBLM_L_X32Y2 TILE_Y -233272 TILEPROP CLBLM_L_X32Y2 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y3 CLASS tile TILEPROP CLBLM_L_X32Y3 COLUMN 81 TILEPROP CLBLM_L_X32Y3 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y3 FIRST_SITE_ID 15465 TILEPROP CLBLM_L_X32Y3 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y3 GRID_POINT_Y 152 TILEPROP CLBLM_L_X32Y3 INDEX 17561 TILEPROP CLBLM_L_X32Y3 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y3 INT_TILE_Y 146 TILEPROP CLBLM_L_X32Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y3 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y3 NAME CLBLM_L_X32Y3 TILEPROP CLBLM_L_X32Y3 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y3 NUM_SITES 2 TILEPROP CLBLM_L_X32Y3 ROW 152 TILEPROP CLBLM_L_X32Y3 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y3 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y3 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y3 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y3 TILE_X 48076 TILEPROP CLBLM_L_X32Y3 TILE_Y -230072 TILEPROP CLBLM_L_X32Y3 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y4 CLASS tile TILEPROP CLBLM_L_X32Y4 COLUMN 81 TILEPROP CLBLM_L_X32Y4 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y4 FIRST_SITE_ID 15365 TILEPROP CLBLM_L_X32Y4 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y4 GRID_POINT_Y 151 TILEPROP CLBLM_L_X32Y4 INDEX 17446 TILEPROP CLBLM_L_X32Y4 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y4 INT_TILE_Y 145 TILEPROP CLBLM_L_X32Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y4 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y4 NAME CLBLM_L_X32Y4 TILEPROP CLBLM_L_X32Y4 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y4 NUM_SITES 2 TILEPROP CLBLM_L_X32Y4 ROW 151 TILEPROP CLBLM_L_X32Y4 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y4 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y4 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y4 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y4 TILE_X 48076 TILEPROP CLBLM_L_X32Y4 TILE_Y -226872 TILEPROP CLBLM_L_X32Y4 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y5 CLASS tile TILEPROP CLBLM_L_X32Y5 COLUMN 81 TILEPROP CLBLM_L_X32Y5 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y5 FIRST_SITE_ID 15259 TILEPROP CLBLM_L_X32Y5 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y5 GRID_POINT_Y 150 TILEPROP CLBLM_L_X32Y5 INDEX 17331 TILEPROP CLBLM_L_X32Y5 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y5 INT_TILE_Y 144 TILEPROP CLBLM_L_X32Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y5 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y5 NAME CLBLM_L_X32Y5 TILEPROP CLBLM_L_X32Y5 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y5 NUM_SITES 2 TILEPROP CLBLM_L_X32Y5 ROW 150 TILEPROP CLBLM_L_X32Y5 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y5 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y5 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y5 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y5 TILE_X 48076 TILEPROP CLBLM_L_X32Y5 TILE_Y -223672 TILEPROP CLBLM_L_X32Y5 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y6 CLASS tile TILEPROP CLBLM_L_X32Y6 COLUMN 81 TILEPROP CLBLM_L_X32Y6 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y6 FIRST_SITE_ID 15150 TILEPROP CLBLM_L_X32Y6 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y6 GRID_POINT_Y 149 TILEPROP CLBLM_L_X32Y6 INDEX 17216 TILEPROP CLBLM_L_X32Y6 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y6 INT_TILE_Y 143 TILEPROP CLBLM_L_X32Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y6 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y6 NAME CLBLM_L_X32Y6 TILEPROP CLBLM_L_X32Y6 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y6 NUM_SITES 2 TILEPROP CLBLM_L_X32Y6 ROW 149 TILEPROP CLBLM_L_X32Y6 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y6 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y6 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y6 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y6 TILE_X 48076 TILEPROP CLBLM_L_X32Y6 TILE_Y -220472 TILEPROP CLBLM_L_X32Y6 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y7 CLASS tile TILEPROP CLBLM_L_X32Y7 COLUMN 81 TILEPROP CLBLM_L_X32Y7 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y7 FIRST_SITE_ID 15048 TILEPROP CLBLM_L_X32Y7 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y7 GRID_POINT_Y 148 TILEPROP CLBLM_L_X32Y7 INDEX 17101 TILEPROP CLBLM_L_X32Y7 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y7 INT_TILE_Y 142 TILEPROP CLBLM_L_X32Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y7 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y7 NAME CLBLM_L_X32Y7 TILEPROP CLBLM_L_X32Y7 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y7 NUM_SITES 2 TILEPROP CLBLM_L_X32Y7 ROW 148 TILEPROP CLBLM_L_X32Y7 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y7 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y7 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y7 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y7 TILE_X 48076 TILEPROP CLBLM_L_X32Y7 TILE_Y -217272 TILEPROP CLBLM_L_X32Y7 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y8 CLASS tile TILEPROP CLBLM_L_X32Y8 COLUMN 81 TILEPROP CLBLM_L_X32Y8 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y8 FIRST_SITE_ID 14945 TILEPROP CLBLM_L_X32Y8 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y8 GRID_POINT_Y 147 TILEPROP CLBLM_L_X32Y8 INDEX 16986 TILEPROP CLBLM_L_X32Y8 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y8 INT_TILE_Y 141 TILEPROP CLBLM_L_X32Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y8 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y8 NAME CLBLM_L_X32Y8 TILEPROP CLBLM_L_X32Y8 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y8 NUM_SITES 2 TILEPROP CLBLM_L_X32Y8 ROW 147 TILEPROP CLBLM_L_X32Y8 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y8 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y8 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y8 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y8 TILE_X 48076 TILEPROP CLBLM_L_X32Y8 TILE_Y -214072 TILEPROP CLBLM_L_X32Y8 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y9 CLASS tile TILEPROP CLBLM_L_X32Y9 COLUMN 81 TILEPROP CLBLM_L_X32Y9 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y9 FIRST_SITE_ID 14844 TILEPROP CLBLM_L_X32Y9 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y9 GRID_POINT_Y 146 TILEPROP CLBLM_L_X32Y9 INDEX 16871 TILEPROP CLBLM_L_X32Y9 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y9 INT_TILE_Y 140 TILEPROP CLBLM_L_X32Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y9 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y9 NAME CLBLM_L_X32Y9 TILEPROP CLBLM_L_X32Y9 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y9 NUM_SITES 2 TILEPROP CLBLM_L_X32Y9 ROW 146 TILEPROP CLBLM_L_X32Y9 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y9 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y9 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y9 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y9 TILE_X 48076 TILEPROP CLBLM_L_X32Y9 TILE_Y -210872 TILEPROP CLBLM_L_X32Y9 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y10 CLASS tile TILEPROP CLBLM_L_X32Y10 COLUMN 81 TILEPROP CLBLM_L_X32Y10 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y10 FIRST_SITE_ID 14738 TILEPROP CLBLM_L_X32Y10 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y10 GRID_POINT_Y 145 TILEPROP CLBLM_L_X32Y10 INDEX 16756 TILEPROP CLBLM_L_X32Y10 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y10 INT_TILE_Y 139 TILEPROP CLBLM_L_X32Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y10 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y10 NAME CLBLM_L_X32Y10 TILEPROP CLBLM_L_X32Y10 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y10 NUM_SITES 2 TILEPROP CLBLM_L_X32Y10 ROW 145 TILEPROP CLBLM_L_X32Y10 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y10 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y10 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y10 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y10 TILE_X 48076 TILEPROP CLBLM_L_X32Y10 TILE_Y -207672 TILEPROP CLBLM_L_X32Y10 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y11 CLASS tile TILEPROP CLBLM_L_X32Y11 COLUMN 81 TILEPROP CLBLM_L_X32Y11 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y11 FIRST_SITE_ID 14629 TILEPROP CLBLM_L_X32Y11 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y11 GRID_POINT_Y 144 TILEPROP CLBLM_L_X32Y11 INDEX 16641 TILEPROP CLBLM_L_X32Y11 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y11 INT_TILE_Y 138 TILEPROP CLBLM_L_X32Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y11 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y11 NAME CLBLM_L_X32Y11 TILEPROP CLBLM_L_X32Y11 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y11 NUM_SITES 2 TILEPROP CLBLM_L_X32Y11 ROW 144 TILEPROP CLBLM_L_X32Y11 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y11 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y11 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y11 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y11 TILE_X 48076 TILEPROP CLBLM_L_X32Y11 TILE_Y -204472 TILEPROP CLBLM_L_X32Y11 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y12 CLASS tile TILEPROP CLBLM_L_X32Y12 COLUMN 81 TILEPROP CLBLM_L_X32Y12 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y12 FIRST_SITE_ID 14529 TILEPROP CLBLM_L_X32Y12 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y12 GRID_POINT_Y 143 TILEPROP CLBLM_L_X32Y12 INDEX 16526 TILEPROP CLBLM_L_X32Y12 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y12 INT_TILE_Y 137 TILEPROP CLBLM_L_X32Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y12 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y12 NAME CLBLM_L_X32Y12 TILEPROP CLBLM_L_X32Y12 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y12 NUM_SITES 2 TILEPROP CLBLM_L_X32Y12 ROW 143 TILEPROP CLBLM_L_X32Y12 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y12 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y12 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y12 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y12 TILE_X 48076 TILEPROP CLBLM_L_X32Y12 TILE_Y -201272 TILEPROP CLBLM_L_X32Y12 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y13 CLASS tile TILEPROP CLBLM_L_X32Y13 COLUMN 81 TILEPROP CLBLM_L_X32Y13 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y13 FIRST_SITE_ID 14397 TILEPROP CLBLM_L_X32Y13 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y13 GRID_POINT_Y 142 TILEPROP CLBLM_L_X32Y13 INDEX 16411 TILEPROP CLBLM_L_X32Y13 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y13 INT_TILE_Y 136 TILEPROP CLBLM_L_X32Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y13 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y13 NAME CLBLM_L_X32Y13 TILEPROP CLBLM_L_X32Y13 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y13 NUM_SITES 2 TILEPROP CLBLM_L_X32Y13 ROW 142 TILEPROP CLBLM_L_X32Y13 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y13 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y13 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y13 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y13 TILE_X 48076 TILEPROP CLBLM_L_X32Y13 TILE_Y -198072 TILEPROP CLBLM_L_X32Y13 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y14 CLASS tile TILEPROP CLBLM_L_X32Y14 COLUMN 81 TILEPROP CLBLM_L_X32Y14 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y14 FIRST_SITE_ID 14297 TILEPROP CLBLM_L_X32Y14 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y14 GRID_POINT_Y 141 TILEPROP CLBLM_L_X32Y14 INDEX 16296 TILEPROP CLBLM_L_X32Y14 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y14 INT_TILE_Y 135 TILEPROP CLBLM_L_X32Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y14 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y14 NAME CLBLM_L_X32Y14 TILEPROP CLBLM_L_X32Y14 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y14 NUM_SITES 2 TILEPROP CLBLM_L_X32Y14 ROW 141 TILEPROP CLBLM_L_X32Y14 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y14 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y14 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y14 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y14 TILE_X 48076 TILEPROP CLBLM_L_X32Y14 TILE_Y -194872 TILEPROP CLBLM_L_X32Y14 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y15 CLASS tile TILEPROP CLBLM_L_X32Y15 COLUMN 81 TILEPROP CLBLM_L_X32Y15 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y15 FIRST_SITE_ID 14191 TILEPROP CLBLM_L_X32Y15 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y15 GRID_POINT_Y 140 TILEPROP CLBLM_L_X32Y15 INDEX 16181 TILEPROP CLBLM_L_X32Y15 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y15 INT_TILE_Y 134 TILEPROP CLBLM_L_X32Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y15 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y15 NAME CLBLM_L_X32Y15 TILEPROP CLBLM_L_X32Y15 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y15 NUM_SITES 2 TILEPROP CLBLM_L_X32Y15 ROW 140 TILEPROP CLBLM_L_X32Y15 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y15 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y15 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y15 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y15 TILE_X 48076 TILEPROP CLBLM_L_X32Y15 TILE_Y -191672 TILEPROP CLBLM_L_X32Y15 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y16 CLASS tile TILEPROP CLBLM_L_X32Y16 COLUMN 81 TILEPROP CLBLM_L_X32Y16 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y16 FIRST_SITE_ID 14082 TILEPROP CLBLM_L_X32Y16 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y16 GRID_POINT_Y 139 TILEPROP CLBLM_L_X32Y16 INDEX 16066 TILEPROP CLBLM_L_X32Y16 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y16 INT_TILE_Y 133 TILEPROP CLBLM_L_X32Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y16 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y16 NAME CLBLM_L_X32Y16 TILEPROP CLBLM_L_X32Y16 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y16 NUM_SITES 2 TILEPROP CLBLM_L_X32Y16 ROW 139 TILEPROP CLBLM_L_X32Y16 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y16 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y16 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y16 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y16 TILE_X 48076 TILEPROP CLBLM_L_X32Y16 TILE_Y -188472 TILEPROP CLBLM_L_X32Y16 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y17 CLASS tile TILEPROP CLBLM_L_X32Y17 COLUMN 81 TILEPROP CLBLM_L_X32Y17 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y17 FIRST_SITE_ID 13978 TILEPROP CLBLM_L_X32Y17 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y17 GRID_POINT_Y 138 TILEPROP CLBLM_L_X32Y17 INDEX 15951 TILEPROP CLBLM_L_X32Y17 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y17 INT_TILE_Y 132 TILEPROP CLBLM_L_X32Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y17 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y17 NAME CLBLM_L_X32Y17 TILEPROP CLBLM_L_X32Y17 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y17 NUM_SITES 2 TILEPROP CLBLM_L_X32Y17 ROW 138 TILEPROP CLBLM_L_X32Y17 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y17 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y17 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y17 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y17 TILE_X 48076 TILEPROP CLBLM_L_X32Y17 TILE_Y -185272 TILEPROP CLBLM_L_X32Y17 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y18 CLASS tile TILEPROP CLBLM_L_X32Y18 COLUMN 81 TILEPROP CLBLM_L_X32Y18 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y18 FIRST_SITE_ID 13873 TILEPROP CLBLM_L_X32Y18 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y18 GRID_POINT_Y 137 TILEPROP CLBLM_L_X32Y18 INDEX 15836 TILEPROP CLBLM_L_X32Y18 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y18 INT_TILE_Y 131 TILEPROP CLBLM_L_X32Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y18 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y18 NAME CLBLM_L_X32Y18 TILEPROP CLBLM_L_X32Y18 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y18 NUM_SITES 2 TILEPROP CLBLM_L_X32Y18 ROW 137 TILEPROP CLBLM_L_X32Y18 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y18 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y18 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y18 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y18 TILE_X 48076 TILEPROP CLBLM_L_X32Y18 TILE_Y -182072 TILEPROP CLBLM_L_X32Y18 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y19 CLASS tile TILEPROP CLBLM_L_X32Y19 COLUMN 81 TILEPROP CLBLM_L_X32Y19 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y19 FIRST_SITE_ID 13771 TILEPROP CLBLM_L_X32Y19 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y19 GRID_POINT_Y 136 TILEPROP CLBLM_L_X32Y19 INDEX 15721 TILEPROP CLBLM_L_X32Y19 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y19 INT_TILE_Y 130 TILEPROP CLBLM_L_X32Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y19 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y19 NAME CLBLM_L_X32Y19 TILEPROP CLBLM_L_X32Y19 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y19 NUM_SITES 2 TILEPROP CLBLM_L_X32Y19 ROW 136 TILEPROP CLBLM_L_X32Y19 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y19 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y19 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y19 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y19 TILE_X 48076 TILEPROP CLBLM_L_X32Y19 TILE_Y -178872 TILEPROP CLBLM_L_X32Y19 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y20 CLASS tile TILEPROP CLBLM_L_X32Y20 COLUMN 81 TILEPROP CLBLM_L_X32Y20 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y20 FIRST_SITE_ID 13663 TILEPROP CLBLM_L_X32Y20 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y20 GRID_POINT_Y 135 TILEPROP CLBLM_L_X32Y20 INDEX 15606 TILEPROP CLBLM_L_X32Y20 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y20 INT_TILE_Y 129 TILEPROP CLBLM_L_X32Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y20 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y20 NAME CLBLM_L_X32Y20 TILEPROP CLBLM_L_X32Y20 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y20 NUM_SITES 2 TILEPROP CLBLM_L_X32Y20 ROW 135 TILEPROP CLBLM_L_X32Y20 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y20 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y20 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y20 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y20 TILE_X 48076 TILEPROP CLBLM_L_X32Y20 TILE_Y -175672 TILEPROP CLBLM_L_X32Y20 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y21 CLASS tile TILEPROP CLBLM_L_X32Y21 COLUMN 81 TILEPROP CLBLM_L_X32Y21 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y21 FIRST_SITE_ID 13554 TILEPROP CLBLM_L_X32Y21 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y21 GRID_POINT_Y 134 TILEPROP CLBLM_L_X32Y21 INDEX 15491 TILEPROP CLBLM_L_X32Y21 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y21 INT_TILE_Y 128 TILEPROP CLBLM_L_X32Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y21 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y21 NAME CLBLM_L_X32Y21 TILEPROP CLBLM_L_X32Y21 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y21 NUM_SITES 2 TILEPROP CLBLM_L_X32Y21 ROW 134 TILEPROP CLBLM_L_X32Y21 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y21 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y21 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y21 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y21 TILE_X 48076 TILEPROP CLBLM_L_X32Y21 TILE_Y -172472 TILEPROP CLBLM_L_X32Y21 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y22 CLASS tile TILEPROP CLBLM_L_X32Y22 COLUMN 81 TILEPROP CLBLM_L_X32Y22 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y22 FIRST_SITE_ID 13454 TILEPROP CLBLM_L_X32Y22 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y22 GRID_POINT_Y 133 TILEPROP CLBLM_L_X32Y22 INDEX 15376 TILEPROP CLBLM_L_X32Y22 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y22 INT_TILE_Y 127 TILEPROP CLBLM_L_X32Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y22 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y22 NAME CLBLM_L_X32Y22 TILEPROP CLBLM_L_X32Y22 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y22 NUM_SITES 2 TILEPROP CLBLM_L_X32Y22 ROW 133 TILEPROP CLBLM_L_X32Y22 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y22 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y22 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y22 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y22 TILE_X 48076 TILEPROP CLBLM_L_X32Y22 TILE_Y -169272 TILEPROP CLBLM_L_X32Y22 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y23 CLASS tile TILEPROP CLBLM_L_X32Y23 COLUMN 81 TILEPROP CLBLM_L_X32Y23 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y23 FIRST_SITE_ID 13354 TILEPROP CLBLM_L_X32Y23 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y23 GRID_POINT_Y 132 TILEPROP CLBLM_L_X32Y23 INDEX 15261 TILEPROP CLBLM_L_X32Y23 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y23 INT_TILE_Y 126 TILEPROP CLBLM_L_X32Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y23 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y23 NAME CLBLM_L_X32Y23 TILEPROP CLBLM_L_X32Y23 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y23 NUM_SITES 2 TILEPROP CLBLM_L_X32Y23 ROW 132 TILEPROP CLBLM_L_X32Y23 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y23 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y23 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y23 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y23 TILE_X 48076 TILEPROP CLBLM_L_X32Y23 TILE_Y -166072 TILEPROP CLBLM_L_X32Y23 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y24 CLASS tile TILEPROP CLBLM_L_X32Y24 COLUMN 81 TILEPROP CLBLM_L_X32Y24 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y24 FIRST_SITE_ID 13254 TILEPROP CLBLM_L_X32Y24 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y24 GRID_POINT_Y 131 TILEPROP CLBLM_L_X32Y24 INDEX 15146 TILEPROP CLBLM_L_X32Y24 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y24 INT_TILE_Y 125 TILEPROP CLBLM_L_X32Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y24 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y24 NAME CLBLM_L_X32Y24 TILEPROP CLBLM_L_X32Y24 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y24 NUM_SITES 2 TILEPROP CLBLM_L_X32Y24 ROW 131 TILEPROP CLBLM_L_X32Y24 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y24 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X32Y24 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y24 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y24 TILE_X 48076 TILEPROP CLBLM_L_X32Y24 TILE_Y -162872 TILEPROP CLBLM_L_X32Y24 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y25 CLASS tile TILEPROP CLBLM_L_X32Y25 COLUMN 81 TILEPROP CLBLM_L_X32Y25 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y25 FIRST_SITE_ID 13065 TILEPROP CLBLM_L_X32Y25 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y25 GRID_POINT_Y 129 TILEPROP CLBLM_L_X32Y25 INDEX 14916 TILEPROP CLBLM_L_X32Y25 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y25 INT_TILE_Y 124 TILEPROP CLBLM_L_X32Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y25 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y25 NAME CLBLM_L_X32Y25 TILEPROP CLBLM_L_X32Y25 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y25 NUM_SITES 2 TILEPROP CLBLM_L_X32Y25 ROW 129 TILEPROP CLBLM_L_X32Y25 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y25 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X32Y25 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y25 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y25 TILE_X 48076 TILEPROP CLBLM_L_X32Y25 TILE_Y -158648 TILEPROP CLBLM_L_X32Y25 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y26 CLASS tile TILEPROP CLBLM_L_X32Y26 COLUMN 81 TILEPROP CLBLM_L_X32Y26 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y26 FIRST_SITE_ID 12956 TILEPROP CLBLM_L_X32Y26 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y26 GRID_POINT_Y 128 TILEPROP CLBLM_L_X32Y26 INDEX 14801 TILEPROP CLBLM_L_X32Y26 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y26 INT_TILE_Y 123 TILEPROP CLBLM_L_X32Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y26 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y26 NAME CLBLM_L_X32Y26 TILEPROP CLBLM_L_X32Y26 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y26 NUM_SITES 2 TILEPROP CLBLM_L_X32Y26 ROW 128 TILEPROP CLBLM_L_X32Y26 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y26 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y26 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y26 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y26 TILE_X 48076 TILEPROP CLBLM_L_X32Y26 TILE_Y -155448 TILEPROP CLBLM_L_X32Y26 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y27 CLASS tile TILEPROP CLBLM_L_X32Y27 COLUMN 81 TILEPROP CLBLM_L_X32Y27 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y27 FIRST_SITE_ID 12856 TILEPROP CLBLM_L_X32Y27 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y27 GRID_POINT_Y 127 TILEPROP CLBLM_L_X32Y27 INDEX 14686 TILEPROP CLBLM_L_X32Y27 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y27 INT_TILE_Y 122 TILEPROP CLBLM_L_X32Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y27 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y27 NAME CLBLM_L_X32Y27 TILEPROP CLBLM_L_X32Y27 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y27 NUM_SITES 2 TILEPROP CLBLM_L_X32Y27 ROW 127 TILEPROP CLBLM_L_X32Y27 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y27 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y27 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y27 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y27 TILE_X 48076 TILEPROP CLBLM_L_X32Y27 TILE_Y -152248 TILEPROP CLBLM_L_X32Y27 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y28 CLASS tile TILEPROP CLBLM_L_X32Y28 COLUMN 81 TILEPROP CLBLM_L_X32Y28 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y28 FIRST_SITE_ID 12756 TILEPROP CLBLM_L_X32Y28 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y28 GRID_POINT_Y 126 TILEPROP CLBLM_L_X32Y28 INDEX 14571 TILEPROP CLBLM_L_X32Y28 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y28 INT_TILE_Y 121 TILEPROP CLBLM_L_X32Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y28 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y28 NAME CLBLM_L_X32Y28 TILEPROP CLBLM_L_X32Y28 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y28 NUM_SITES 2 TILEPROP CLBLM_L_X32Y28 ROW 126 TILEPROP CLBLM_L_X32Y28 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y28 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y28 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y28 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y28 TILE_X 48076 TILEPROP CLBLM_L_X32Y28 TILE_Y -149048 TILEPROP CLBLM_L_X32Y28 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y29 CLASS tile TILEPROP CLBLM_L_X32Y29 COLUMN 81 TILEPROP CLBLM_L_X32Y29 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y29 FIRST_SITE_ID 12650 TILEPROP CLBLM_L_X32Y29 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y29 GRID_POINT_Y 125 TILEPROP CLBLM_L_X32Y29 INDEX 14456 TILEPROP CLBLM_L_X32Y29 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y29 INT_TILE_Y 120 TILEPROP CLBLM_L_X32Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y29 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y29 NAME CLBLM_L_X32Y29 TILEPROP CLBLM_L_X32Y29 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y29 NUM_SITES 2 TILEPROP CLBLM_L_X32Y29 ROW 125 TILEPROP CLBLM_L_X32Y29 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y29 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y29 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y29 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y29 TILE_X 48076 TILEPROP CLBLM_L_X32Y29 TILE_Y -145848 TILEPROP CLBLM_L_X32Y29 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y30 CLASS tile TILEPROP CLBLM_L_X32Y30 COLUMN 81 TILEPROP CLBLM_L_X32Y30 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y30 FIRST_SITE_ID 12538 TILEPROP CLBLM_L_X32Y30 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y30 GRID_POINT_Y 124 TILEPROP CLBLM_L_X32Y30 INDEX 14341 TILEPROP CLBLM_L_X32Y30 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y30 INT_TILE_Y 119 TILEPROP CLBLM_L_X32Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y30 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y30 NAME CLBLM_L_X32Y30 TILEPROP CLBLM_L_X32Y30 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y30 NUM_SITES 2 TILEPROP CLBLM_L_X32Y30 ROW 124 TILEPROP CLBLM_L_X32Y30 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y30 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y30 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y30 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y30 TILE_X 48076 TILEPROP CLBLM_L_X32Y30 TILE_Y -142648 TILEPROP CLBLM_L_X32Y30 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y31 CLASS tile TILEPROP CLBLM_L_X32Y31 COLUMN 81 TILEPROP CLBLM_L_X32Y31 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y31 FIRST_SITE_ID 12414 TILEPROP CLBLM_L_X32Y31 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y31 GRID_POINT_Y 123 TILEPROP CLBLM_L_X32Y31 INDEX 14226 TILEPROP CLBLM_L_X32Y31 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y31 INT_TILE_Y 118 TILEPROP CLBLM_L_X32Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y31 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y31 NAME CLBLM_L_X32Y31 TILEPROP CLBLM_L_X32Y31 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y31 NUM_SITES 2 TILEPROP CLBLM_L_X32Y31 ROW 123 TILEPROP CLBLM_L_X32Y31 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y31 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y31 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y31 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y31 TILE_X 48076 TILEPROP CLBLM_L_X32Y31 TILE_Y -139448 TILEPROP CLBLM_L_X32Y31 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y32 CLASS tile TILEPROP CLBLM_L_X32Y32 COLUMN 81 TILEPROP CLBLM_L_X32Y32 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y32 FIRST_SITE_ID 12312 TILEPROP CLBLM_L_X32Y32 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y32 GRID_POINT_Y 122 TILEPROP CLBLM_L_X32Y32 INDEX 14111 TILEPROP CLBLM_L_X32Y32 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y32 INT_TILE_Y 117 TILEPROP CLBLM_L_X32Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y32 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y32 NAME CLBLM_L_X32Y32 TILEPROP CLBLM_L_X32Y32 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y32 NUM_SITES 2 TILEPROP CLBLM_L_X32Y32 ROW 122 TILEPROP CLBLM_L_X32Y32 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y32 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y32 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y32 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y32 TILE_X 48076 TILEPROP CLBLM_L_X32Y32 TILE_Y -136248 TILEPROP CLBLM_L_X32Y32 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y33 CLASS tile TILEPROP CLBLM_L_X32Y33 COLUMN 81 TILEPROP CLBLM_L_X32Y33 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y33 FIRST_SITE_ID 12211 TILEPROP CLBLM_L_X32Y33 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y33 GRID_POINT_Y 121 TILEPROP CLBLM_L_X32Y33 INDEX 13996 TILEPROP CLBLM_L_X32Y33 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y33 INT_TILE_Y 116 TILEPROP CLBLM_L_X32Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y33 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y33 NAME CLBLM_L_X32Y33 TILEPROP CLBLM_L_X32Y33 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y33 NUM_SITES 2 TILEPROP CLBLM_L_X32Y33 ROW 121 TILEPROP CLBLM_L_X32Y33 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y33 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y33 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y33 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y33 TILE_X 48076 TILEPROP CLBLM_L_X32Y33 TILE_Y -133048 TILEPROP CLBLM_L_X32Y33 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y34 CLASS tile TILEPROP CLBLM_L_X32Y34 COLUMN 81 TILEPROP CLBLM_L_X32Y34 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y34 FIRST_SITE_ID 12111 TILEPROP CLBLM_L_X32Y34 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y34 GRID_POINT_Y 120 TILEPROP CLBLM_L_X32Y34 INDEX 13881 TILEPROP CLBLM_L_X32Y34 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y34 INT_TILE_Y 115 TILEPROP CLBLM_L_X32Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y34 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y34 NAME CLBLM_L_X32Y34 TILEPROP CLBLM_L_X32Y34 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y34 NUM_SITES 2 TILEPROP CLBLM_L_X32Y34 ROW 120 TILEPROP CLBLM_L_X32Y34 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y34 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y34 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y34 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y34 TILE_X 48076 TILEPROP CLBLM_L_X32Y34 TILE_Y -129848 TILEPROP CLBLM_L_X32Y34 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y35 CLASS tile TILEPROP CLBLM_L_X32Y35 COLUMN 81 TILEPROP CLBLM_L_X32Y35 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y35 FIRST_SITE_ID 12005 TILEPROP CLBLM_L_X32Y35 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y35 GRID_POINT_Y 119 TILEPROP CLBLM_L_X32Y35 INDEX 13766 TILEPROP CLBLM_L_X32Y35 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y35 INT_TILE_Y 114 TILEPROP CLBLM_L_X32Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y35 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y35 NAME CLBLM_L_X32Y35 TILEPROP CLBLM_L_X32Y35 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y35 NUM_SITES 2 TILEPROP CLBLM_L_X32Y35 ROW 119 TILEPROP CLBLM_L_X32Y35 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y35 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y35 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y35 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y35 TILE_X 48076 TILEPROP CLBLM_L_X32Y35 TILE_Y -126648 TILEPROP CLBLM_L_X32Y35 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y36 CLASS tile TILEPROP CLBLM_L_X32Y36 COLUMN 81 TILEPROP CLBLM_L_X32Y36 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y36 FIRST_SITE_ID 11896 TILEPROP CLBLM_L_X32Y36 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y36 GRID_POINT_Y 118 TILEPROP CLBLM_L_X32Y36 INDEX 13651 TILEPROP CLBLM_L_X32Y36 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y36 INT_TILE_Y 113 TILEPROP CLBLM_L_X32Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y36 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y36 NAME CLBLM_L_X32Y36 TILEPROP CLBLM_L_X32Y36 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y36 NUM_SITES 2 TILEPROP CLBLM_L_X32Y36 ROW 118 TILEPROP CLBLM_L_X32Y36 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y36 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y36 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y36 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y36 TILE_X 48076 TILEPROP CLBLM_L_X32Y36 TILE_Y -123448 TILEPROP CLBLM_L_X32Y36 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y37 CLASS tile TILEPROP CLBLM_L_X32Y37 COLUMN 81 TILEPROP CLBLM_L_X32Y37 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y37 FIRST_SITE_ID 11764 TILEPROP CLBLM_L_X32Y37 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y37 GRID_POINT_Y 117 TILEPROP CLBLM_L_X32Y37 INDEX 13536 TILEPROP CLBLM_L_X32Y37 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y37 INT_TILE_Y 112 TILEPROP CLBLM_L_X32Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y37 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y37 NAME CLBLM_L_X32Y37 TILEPROP CLBLM_L_X32Y37 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y37 NUM_SITES 2 TILEPROP CLBLM_L_X32Y37 ROW 117 TILEPROP CLBLM_L_X32Y37 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y37 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y37 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y37 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y37 TILE_X 48076 TILEPROP CLBLM_L_X32Y37 TILE_Y -120248 TILEPROP CLBLM_L_X32Y37 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y38 CLASS tile TILEPROP CLBLM_L_X32Y38 COLUMN 81 TILEPROP CLBLM_L_X32Y38 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y38 FIRST_SITE_ID 11664 TILEPROP CLBLM_L_X32Y38 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y38 GRID_POINT_Y 116 TILEPROP CLBLM_L_X32Y38 INDEX 13421 TILEPROP CLBLM_L_X32Y38 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y38 INT_TILE_Y 111 TILEPROP CLBLM_L_X32Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y38 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y38 NAME CLBLM_L_X32Y38 TILEPROP CLBLM_L_X32Y38 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y38 NUM_SITES 2 TILEPROP CLBLM_L_X32Y38 ROW 116 TILEPROP CLBLM_L_X32Y38 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y38 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y38 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y38 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y38 TILE_X 48076 TILEPROP CLBLM_L_X32Y38 TILE_Y -117048 TILEPROP CLBLM_L_X32Y38 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y39 CLASS tile TILEPROP CLBLM_L_X32Y39 COLUMN 81 TILEPROP CLBLM_L_X32Y39 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y39 FIRST_SITE_ID 11564 TILEPROP CLBLM_L_X32Y39 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y39 GRID_POINT_Y 115 TILEPROP CLBLM_L_X32Y39 INDEX 13306 TILEPROP CLBLM_L_X32Y39 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y39 INT_TILE_Y 110 TILEPROP CLBLM_L_X32Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y39 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y39 NAME CLBLM_L_X32Y39 TILEPROP CLBLM_L_X32Y39 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y39 NUM_SITES 2 TILEPROP CLBLM_L_X32Y39 ROW 115 TILEPROP CLBLM_L_X32Y39 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y39 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y39 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y39 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y39 TILE_X 48076 TILEPROP CLBLM_L_X32Y39 TILE_Y -113848 TILEPROP CLBLM_L_X32Y39 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y40 CLASS tile TILEPROP CLBLM_L_X32Y40 COLUMN 81 TILEPROP CLBLM_L_X32Y40 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y40 FIRST_SITE_ID 11458 TILEPROP CLBLM_L_X32Y40 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y40 GRID_POINT_Y 114 TILEPROP CLBLM_L_X32Y40 INDEX 13191 TILEPROP CLBLM_L_X32Y40 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y40 INT_TILE_Y 109 TILEPROP CLBLM_L_X32Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y40 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y40 NAME CLBLM_L_X32Y40 TILEPROP CLBLM_L_X32Y40 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y40 NUM_SITES 2 TILEPROP CLBLM_L_X32Y40 ROW 114 TILEPROP CLBLM_L_X32Y40 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y40 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y40 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y40 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y40 TILE_X 48076 TILEPROP CLBLM_L_X32Y40 TILE_Y -110648 TILEPROP CLBLM_L_X32Y40 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y41 CLASS tile TILEPROP CLBLM_L_X32Y41 COLUMN 81 TILEPROP CLBLM_L_X32Y41 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y41 FIRST_SITE_ID 11347 TILEPROP CLBLM_L_X32Y41 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y41 GRID_POINT_Y 113 TILEPROP CLBLM_L_X32Y41 INDEX 13076 TILEPROP CLBLM_L_X32Y41 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y41 INT_TILE_Y 108 TILEPROP CLBLM_L_X32Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y41 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y41 NAME CLBLM_L_X32Y41 TILEPROP CLBLM_L_X32Y41 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y41 NUM_SITES 2 TILEPROP CLBLM_L_X32Y41 ROW 113 TILEPROP CLBLM_L_X32Y41 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y41 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y41 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y41 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y41 TILE_X 48076 TILEPROP CLBLM_L_X32Y41 TILE_Y -107448 TILEPROP CLBLM_L_X32Y41 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y42 CLASS tile TILEPROP CLBLM_L_X32Y42 COLUMN 81 TILEPROP CLBLM_L_X32Y42 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y42 FIRST_SITE_ID 11245 TILEPROP CLBLM_L_X32Y42 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y42 GRID_POINT_Y 112 TILEPROP CLBLM_L_X32Y42 INDEX 12961 TILEPROP CLBLM_L_X32Y42 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y42 INT_TILE_Y 107 TILEPROP CLBLM_L_X32Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y42 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y42 NAME CLBLM_L_X32Y42 TILEPROP CLBLM_L_X32Y42 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y42 NUM_SITES 2 TILEPROP CLBLM_L_X32Y42 ROW 112 TILEPROP CLBLM_L_X32Y42 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y42 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y42 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y42 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y42 TILE_X 48076 TILEPROP CLBLM_L_X32Y42 TILE_Y -104248 TILEPROP CLBLM_L_X32Y42 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y43 CLASS tile TILEPROP CLBLM_L_X32Y43 COLUMN 81 TILEPROP CLBLM_L_X32Y43 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y43 FIRST_SITE_ID 11142 TILEPROP CLBLM_L_X32Y43 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y43 GRID_POINT_Y 111 TILEPROP CLBLM_L_X32Y43 INDEX 12846 TILEPROP CLBLM_L_X32Y43 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y43 INT_TILE_Y 106 TILEPROP CLBLM_L_X32Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y43 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y43 NAME CLBLM_L_X32Y43 TILEPROP CLBLM_L_X32Y43 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y43 NUM_SITES 2 TILEPROP CLBLM_L_X32Y43 ROW 111 TILEPROP CLBLM_L_X32Y43 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y43 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y43 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y43 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y43 TILE_X 48076 TILEPROP CLBLM_L_X32Y43 TILE_Y -101048 TILEPROP CLBLM_L_X32Y43 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y44 CLASS tile TILEPROP CLBLM_L_X32Y44 COLUMN 81 TILEPROP CLBLM_L_X32Y44 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y44 FIRST_SITE_ID 11040 TILEPROP CLBLM_L_X32Y44 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y44 GRID_POINT_Y 110 TILEPROP CLBLM_L_X32Y44 INDEX 12731 TILEPROP CLBLM_L_X32Y44 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y44 INT_TILE_Y 105 TILEPROP CLBLM_L_X32Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y44 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y44 NAME CLBLM_L_X32Y44 TILEPROP CLBLM_L_X32Y44 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y44 NUM_SITES 2 TILEPROP CLBLM_L_X32Y44 ROW 110 TILEPROP CLBLM_L_X32Y44 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y44 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y44 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y44 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y44 TILE_X 48076 TILEPROP CLBLM_L_X32Y44 TILE_Y -97848 TILEPROP CLBLM_L_X32Y44 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y45 CLASS tile TILEPROP CLBLM_L_X32Y45 COLUMN 81 TILEPROP CLBLM_L_X32Y45 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y45 FIRST_SITE_ID 10934 TILEPROP CLBLM_L_X32Y45 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y45 GRID_POINT_Y 109 TILEPROP CLBLM_L_X32Y45 INDEX 12616 TILEPROP CLBLM_L_X32Y45 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y45 INT_TILE_Y 104 TILEPROP CLBLM_L_X32Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y45 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y45 NAME CLBLM_L_X32Y45 TILEPROP CLBLM_L_X32Y45 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y45 NUM_SITES 2 TILEPROP CLBLM_L_X32Y45 ROW 109 TILEPROP CLBLM_L_X32Y45 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y45 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y45 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y45 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y45 TILE_X 48076 TILEPROP CLBLM_L_X32Y45 TILE_Y -94648 TILEPROP CLBLM_L_X32Y45 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y46 CLASS tile TILEPROP CLBLM_L_X32Y46 COLUMN 81 TILEPROP CLBLM_L_X32Y46 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y46 FIRST_SITE_ID 10824 TILEPROP CLBLM_L_X32Y46 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y46 GRID_POINT_Y 108 TILEPROP CLBLM_L_X32Y46 INDEX 12501 TILEPROP CLBLM_L_X32Y46 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y46 INT_TILE_Y 103 TILEPROP CLBLM_L_X32Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y46 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y46 NAME CLBLM_L_X32Y46 TILEPROP CLBLM_L_X32Y46 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y46 NUM_SITES 2 TILEPROP CLBLM_L_X32Y46 ROW 108 TILEPROP CLBLM_L_X32Y46 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y46 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y46 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y46 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y46 TILE_X 48076 TILEPROP CLBLM_L_X32Y46 TILE_Y -91448 TILEPROP CLBLM_L_X32Y46 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y47 CLASS tile TILEPROP CLBLM_L_X32Y47 COLUMN 81 TILEPROP CLBLM_L_X32Y47 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y47 FIRST_SITE_ID 10708 TILEPROP CLBLM_L_X32Y47 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y47 GRID_POINT_Y 107 TILEPROP CLBLM_L_X32Y47 INDEX 12386 TILEPROP CLBLM_L_X32Y47 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y47 INT_TILE_Y 102 TILEPROP CLBLM_L_X32Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y47 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y47 NAME CLBLM_L_X32Y47 TILEPROP CLBLM_L_X32Y47 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y47 NUM_SITES 2 TILEPROP CLBLM_L_X32Y47 ROW 107 TILEPROP CLBLM_L_X32Y47 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y47 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y47 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y47 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y47 TILE_X 48076 TILEPROP CLBLM_L_X32Y47 TILE_Y -88248 TILEPROP CLBLM_L_X32Y47 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y48 CLASS tile TILEPROP CLBLM_L_X32Y48 COLUMN 81 TILEPROP CLBLM_L_X32Y48 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y48 FIRST_SITE_ID 10608 TILEPROP CLBLM_L_X32Y48 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y48 GRID_POINT_Y 106 TILEPROP CLBLM_L_X32Y48 INDEX 12271 TILEPROP CLBLM_L_X32Y48 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y48 INT_TILE_Y 101 TILEPROP CLBLM_L_X32Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y48 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y48 NAME CLBLM_L_X32Y48 TILEPROP CLBLM_L_X32Y48 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y48 NUM_SITES 2 TILEPROP CLBLM_L_X32Y48 ROW 106 TILEPROP CLBLM_L_X32Y48 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y48 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y48 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y48 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y48 TILE_X 48076 TILEPROP CLBLM_L_X32Y48 TILE_Y -85048 TILEPROP CLBLM_L_X32Y48 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y49 CLASS tile TILEPROP CLBLM_L_X32Y49 COLUMN 81 TILEPROP CLBLM_L_X32Y49 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y49 FIRST_SITE_ID 10512 TILEPROP CLBLM_L_X32Y49 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y49 GRID_POINT_Y 105 TILEPROP CLBLM_L_X32Y49 INDEX 12156 TILEPROP CLBLM_L_X32Y49 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y49 INT_TILE_Y 100 TILEPROP CLBLM_L_X32Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y49 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y49 NAME CLBLM_L_X32Y49 TILEPROP CLBLM_L_X32Y49 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y49 NUM_SITES 2 TILEPROP CLBLM_L_X32Y49 ROW 105 TILEPROP CLBLM_L_X32Y49 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y49 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X32Y49 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y49 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y49 TILE_X 48076 TILEPROP CLBLM_L_X32Y49 TILE_Y -81848 TILEPROP CLBLM_L_X32Y49 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y50 CLASS tile TILEPROP CLBLM_L_X32Y50 COLUMN 81 TILEPROP CLBLM_L_X32Y50 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y50 FIRST_SITE_ID 10406 TILEPROP CLBLM_L_X32Y50 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y50 GRID_POINT_Y 103 TILEPROP CLBLM_L_X32Y50 INDEX 11926 TILEPROP CLBLM_L_X32Y50 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y50 INT_TILE_Y 99 TILEPROP CLBLM_L_X32Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y50 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y50 NAME CLBLM_L_X32Y50 TILEPROP CLBLM_L_X32Y50 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y50 NUM_SITES 2 TILEPROP CLBLM_L_X32Y50 ROW 103 TILEPROP CLBLM_L_X32Y50 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y50 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X32Y50 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y50 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y50 TILE_X 48076 TILEPROP CLBLM_L_X32Y50 TILE_Y -78400 TILEPROP CLBLM_L_X32Y50 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y51 CLASS tile TILEPROP CLBLM_L_X32Y51 COLUMN 81 TILEPROP CLBLM_L_X32Y51 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y51 FIRST_SITE_ID 10277 TILEPROP CLBLM_L_X32Y51 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y51 GRID_POINT_Y 102 TILEPROP CLBLM_L_X32Y51 INDEX 11811 TILEPROP CLBLM_L_X32Y51 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y51 INT_TILE_Y 98 TILEPROP CLBLM_L_X32Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y51 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y51 NAME CLBLM_L_X32Y51 TILEPROP CLBLM_L_X32Y51 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y51 NUM_SITES 2 TILEPROP CLBLM_L_X32Y51 ROW 102 TILEPROP CLBLM_L_X32Y51 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y51 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y51 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y51 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y51 TILE_X 48076 TILEPROP CLBLM_L_X32Y51 TILE_Y -75200 TILEPROP CLBLM_L_X32Y51 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y52 CLASS tile TILEPROP CLBLM_L_X32Y52 COLUMN 81 TILEPROP CLBLM_L_X32Y52 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y52 FIRST_SITE_ID 10177 TILEPROP CLBLM_L_X32Y52 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y52 GRID_POINT_Y 101 TILEPROP CLBLM_L_X32Y52 INDEX 11696 TILEPROP CLBLM_L_X32Y52 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y52 INT_TILE_Y 97 TILEPROP CLBLM_L_X32Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y52 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y52 NAME CLBLM_L_X32Y52 TILEPROP CLBLM_L_X32Y52 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y52 NUM_SITES 2 TILEPROP CLBLM_L_X32Y52 ROW 101 TILEPROP CLBLM_L_X32Y52 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y52 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y52 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y52 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y52 TILE_X 48076 TILEPROP CLBLM_L_X32Y52 TILE_Y -72000 TILEPROP CLBLM_L_X32Y52 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y53 CLASS tile TILEPROP CLBLM_L_X32Y53 COLUMN 81 TILEPROP CLBLM_L_X32Y53 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y53 FIRST_SITE_ID 10077 TILEPROP CLBLM_L_X32Y53 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y53 GRID_POINT_Y 100 TILEPROP CLBLM_L_X32Y53 INDEX 11581 TILEPROP CLBLM_L_X32Y53 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y53 INT_TILE_Y 96 TILEPROP CLBLM_L_X32Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y53 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y53 NAME CLBLM_L_X32Y53 TILEPROP CLBLM_L_X32Y53 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y53 NUM_SITES 2 TILEPROP CLBLM_L_X32Y53 ROW 100 TILEPROP CLBLM_L_X32Y53 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y53 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y53 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y53 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y53 TILE_X 48076 TILEPROP CLBLM_L_X32Y53 TILE_Y -68800 TILEPROP CLBLM_L_X32Y53 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y54 CLASS tile TILEPROP CLBLM_L_X32Y54 COLUMN 81 TILEPROP CLBLM_L_X32Y54 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y54 FIRST_SITE_ID 9977 TILEPROP CLBLM_L_X32Y54 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y54 GRID_POINT_Y 99 TILEPROP CLBLM_L_X32Y54 INDEX 11466 TILEPROP CLBLM_L_X32Y54 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y54 INT_TILE_Y 95 TILEPROP CLBLM_L_X32Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y54 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y54 NAME CLBLM_L_X32Y54 TILEPROP CLBLM_L_X32Y54 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y54 NUM_SITES 2 TILEPROP CLBLM_L_X32Y54 ROW 99 TILEPROP CLBLM_L_X32Y54 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y54 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y54 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y54 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y54 TILE_X 48076 TILEPROP CLBLM_L_X32Y54 TILE_Y -65600 TILEPROP CLBLM_L_X32Y54 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y55 CLASS tile TILEPROP CLBLM_L_X32Y55 COLUMN 81 TILEPROP CLBLM_L_X32Y55 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y55 FIRST_SITE_ID 9871 TILEPROP CLBLM_L_X32Y55 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y55 GRID_POINT_Y 98 TILEPROP CLBLM_L_X32Y55 INDEX 11351 TILEPROP CLBLM_L_X32Y55 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y55 INT_TILE_Y 94 TILEPROP CLBLM_L_X32Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y55 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y55 NAME CLBLM_L_X32Y55 TILEPROP CLBLM_L_X32Y55 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y55 NUM_SITES 2 TILEPROP CLBLM_L_X32Y55 ROW 98 TILEPROP CLBLM_L_X32Y55 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y55 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y55 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y55 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y55 TILE_X 48076 TILEPROP CLBLM_L_X32Y55 TILE_Y -62400 TILEPROP CLBLM_L_X32Y55 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y56 CLASS tile TILEPROP CLBLM_L_X32Y56 COLUMN 81 TILEPROP CLBLM_L_X32Y56 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y56 FIRST_SITE_ID 9762 TILEPROP CLBLM_L_X32Y56 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y56 GRID_POINT_Y 97 TILEPROP CLBLM_L_X32Y56 INDEX 11236 TILEPROP CLBLM_L_X32Y56 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y56 INT_TILE_Y 93 TILEPROP CLBLM_L_X32Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y56 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y56 NAME CLBLM_L_X32Y56 TILEPROP CLBLM_L_X32Y56 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y56 NUM_SITES 2 TILEPROP CLBLM_L_X32Y56 ROW 97 TILEPROP CLBLM_L_X32Y56 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y56 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y56 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y56 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y56 TILE_X 48076 TILEPROP CLBLM_L_X32Y56 TILE_Y -59200 TILEPROP CLBLM_L_X32Y56 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y57 CLASS tile TILEPROP CLBLM_L_X32Y57 COLUMN 81 TILEPROP CLBLM_L_X32Y57 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y57 FIRST_SITE_ID 9660 TILEPROP CLBLM_L_X32Y57 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y57 GRID_POINT_Y 96 TILEPROP CLBLM_L_X32Y57 INDEX 11121 TILEPROP CLBLM_L_X32Y57 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y57 INT_TILE_Y 92 TILEPROP CLBLM_L_X32Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y57 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y57 NAME CLBLM_L_X32Y57 TILEPROP CLBLM_L_X32Y57 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y57 NUM_SITES 2 TILEPROP CLBLM_L_X32Y57 ROW 96 TILEPROP CLBLM_L_X32Y57 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y57 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y57 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y57 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y57 TILE_X 48076 TILEPROP CLBLM_L_X32Y57 TILE_Y -56000 TILEPROP CLBLM_L_X32Y57 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y58 CLASS tile TILEPROP CLBLM_L_X32Y58 COLUMN 81 TILEPROP CLBLM_L_X32Y58 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y58 FIRST_SITE_ID 9557 TILEPROP CLBLM_L_X32Y58 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y58 GRID_POINT_Y 95 TILEPROP CLBLM_L_X32Y58 INDEX 11006 TILEPROP CLBLM_L_X32Y58 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y58 INT_TILE_Y 91 TILEPROP CLBLM_L_X32Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y58 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y58 NAME CLBLM_L_X32Y58 TILEPROP CLBLM_L_X32Y58 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y58 NUM_SITES 2 TILEPROP CLBLM_L_X32Y58 ROW 95 TILEPROP CLBLM_L_X32Y58 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y58 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y58 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y58 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y58 TILE_X 48076 TILEPROP CLBLM_L_X32Y58 TILE_Y -52800 TILEPROP CLBLM_L_X32Y58 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y59 CLASS tile TILEPROP CLBLM_L_X32Y59 COLUMN 81 TILEPROP CLBLM_L_X32Y59 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y59 FIRST_SITE_ID 9456 TILEPROP CLBLM_L_X32Y59 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y59 GRID_POINT_Y 94 TILEPROP CLBLM_L_X32Y59 INDEX 10891 TILEPROP CLBLM_L_X32Y59 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y59 INT_TILE_Y 90 TILEPROP CLBLM_L_X32Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y59 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y59 NAME CLBLM_L_X32Y59 TILEPROP CLBLM_L_X32Y59 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y59 NUM_SITES 2 TILEPROP CLBLM_L_X32Y59 ROW 94 TILEPROP CLBLM_L_X32Y59 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y59 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y59 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y59 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y59 TILE_X 48076 TILEPROP CLBLM_L_X32Y59 TILE_Y -49600 TILEPROP CLBLM_L_X32Y59 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y60 CLASS tile TILEPROP CLBLM_L_X32Y60 COLUMN 81 TILEPROP CLBLM_L_X32Y60 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y60 FIRST_SITE_ID 9350 TILEPROP CLBLM_L_X32Y60 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y60 GRID_POINT_Y 93 TILEPROP CLBLM_L_X32Y60 INDEX 10776 TILEPROP CLBLM_L_X32Y60 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y60 INT_TILE_Y 89 TILEPROP CLBLM_L_X32Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y60 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y60 NAME CLBLM_L_X32Y60 TILEPROP CLBLM_L_X32Y60 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y60 NUM_SITES 2 TILEPROP CLBLM_L_X32Y60 ROW 93 TILEPROP CLBLM_L_X32Y60 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y60 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y60 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y60 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y60 TILE_X 48076 TILEPROP CLBLM_L_X32Y60 TILE_Y -46400 TILEPROP CLBLM_L_X32Y60 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y61 CLASS tile TILEPROP CLBLM_L_X32Y61 COLUMN 81 TILEPROP CLBLM_L_X32Y61 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y61 FIRST_SITE_ID 9241 TILEPROP CLBLM_L_X32Y61 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y61 GRID_POINT_Y 92 TILEPROP CLBLM_L_X32Y61 INDEX 10661 TILEPROP CLBLM_L_X32Y61 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y61 INT_TILE_Y 88 TILEPROP CLBLM_L_X32Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y61 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y61 NAME CLBLM_L_X32Y61 TILEPROP CLBLM_L_X32Y61 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y61 NUM_SITES 2 TILEPROP CLBLM_L_X32Y61 ROW 92 TILEPROP CLBLM_L_X32Y61 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y61 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y61 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y61 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y61 TILE_X 48076 TILEPROP CLBLM_L_X32Y61 TILE_Y -43200 TILEPROP CLBLM_L_X32Y61 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y62 CLASS tile TILEPROP CLBLM_L_X32Y62 COLUMN 81 TILEPROP CLBLM_L_X32Y62 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y62 FIRST_SITE_ID 9141 TILEPROP CLBLM_L_X32Y62 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y62 GRID_POINT_Y 91 TILEPROP CLBLM_L_X32Y62 INDEX 10546 TILEPROP CLBLM_L_X32Y62 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y62 INT_TILE_Y 87 TILEPROP CLBLM_L_X32Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y62 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y62 NAME CLBLM_L_X32Y62 TILEPROP CLBLM_L_X32Y62 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y62 NUM_SITES 2 TILEPROP CLBLM_L_X32Y62 ROW 91 TILEPROP CLBLM_L_X32Y62 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y62 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y62 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y62 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y62 TILE_X 48076 TILEPROP CLBLM_L_X32Y62 TILE_Y -40000 TILEPROP CLBLM_L_X32Y62 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y63 CLASS tile TILEPROP CLBLM_L_X32Y63 COLUMN 81 TILEPROP CLBLM_L_X32Y63 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y63 FIRST_SITE_ID 9009 TILEPROP CLBLM_L_X32Y63 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y63 GRID_POINT_Y 90 TILEPROP CLBLM_L_X32Y63 INDEX 10431 TILEPROP CLBLM_L_X32Y63 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y63 INT_TILE_Y 86 TILEPROP CLBLM_L_X32Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y63 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y63 NAME CLBLM_L_X32Y63 TILEPROP CLBLM_L_X32Y63 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y63 NUM_SITES 2 TILEPROP CLBLM_L_X32Y63 ROW 90 TILEPROP CLBLM_L_X32Y63 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y63 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y63 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y63 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y63 TILE_X 48076 TILEPROP CLBLM_L_X32Y63 TILE_Y -36800 TILEPROP CLBLM_L_X32Y63 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y64 CLASS tile TILEPROP CLBLM_L_X32Y64 COLUMN 81 TILEPROP CLBLM_L_X32Y64 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y64 FIRST_SITE_ID 8909 TILEPROP CLBLM_L_X32Y64 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y64 GRID_POINT_Y 89 TILEPROP CLBLM_L_X32Y64 INDEX 10316 TILEPROP CLBLM_L_X32Y64 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y64 INT_TILE_Y 85 TILEPROP CLBLM_L_X32Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y64 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y64 NAME CLBLM_L_X32Y64 TILEPROP CLBLM_L_X32Y64 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y64 NUM_SITES 2 TILEPROP CLBLM_L_X32Y64 ROW 89 TILEPROP CLBLM_L_X32Y64 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y64 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y64 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y64 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y64 TILE_X 48076 TILEPROP CLBLM_L_X32Y64 TILE_Y -33600 TILEPROP CLBLM_L_X32Y64 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y65 CLASS tile TILEPROP CLBLM_L_X32Y65 COLUMN 81 TILEPROP CLBLM_L_X32Y65 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y65 FIRST_SITE_ID 8803 TILEPROP CLBLM_L_X32Y65 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y65 GRID_POINT_Y 88 TILEPROP CLBLM_L_X32Y65 INDEX 10201 TILEPROP CLBLM_L_X32Y65 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y65 INT_TILE_Y 84 TILEPROP CLBLM_L_X32Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y65 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y65 NAME CLBLM_L_X32Y65 TILEPROP CLBLM_L_X32Y65 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y65 NUM_SITES 2 TILEPROP CLBLM_L_X32Y65 ROW 88 TILEPROP CLBLM_L_X32Y65 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y65 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y65 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y65 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y65 TILE_X 48076 TILEPROP CLBLM_L_X32Y65 TILE_Y -30400 TILEPROP CLBLM_L_X32Y65 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y66 CLASS tile TILEPROP CLBLM_L_X32Y66 COLUMN 81 TILEPROP CLBLM_L_X32Y66 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y66 FIRST_SITE_ID 8694 TILEPROP CLBLM_L_X32Y66 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y66 GRID_POINT_Y 87 TILEPROP CLBLM_L_X32Y66 INDEX 10086 TILEPROP CLBLM_L_X32Y66 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y66 INT_TILE_Y 83 TILEPROP CLBLM_L_X32Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y66 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y66 NAME CLBLM_L_X32Y66 TILEPROP CLBLM_L_X32Y66 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y66 NUM_SITES 2 TILEPROP CLBLM_L_X32Y66 ROW 87 TILEPROP CLBLM_L_X32Y66 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y66 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y66 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y66 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y66 TILE_X 48076 TILEPROP CLBLM_L_X32Y66 TILE_Y -27200 TILEPROP CLBLM_L_X32Y66 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y67 CLASS tile TILEPROP CLBLM_L_X32Y67 COLUMN 81 TILEPROP CLBLM_L_X32Y67 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y67 FIRST_SITE_ID 8590 TILEPROP CLBLM_L_X32Y67 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y67 GRID_POINT_Y 86 TILEPROP CLBLM_L_X32Y67 INDEX 9971 TILEPROP CLBLM_L_X32Y67 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y67 INT_TILE_Y 82 TILEPROP CLBLM_L_X32Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y67 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y67 NAME CLBLM_L_X32Y67 TILEPROP CLBLM_L_X32Y67 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y67 NUM_SITES 2 TILEPROP CLBLM_L_X32Y67 ROW 86 TILEPROP CLBLM_L_X32Y67 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y67 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y67 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y67 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y67 TILE_X 48076 TILEPROP CLBLM_L_X32Y67 TILE_Y -24000 TILEPROP CLBLM_L_X32Y67 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y68 CLASS tile TILEPROP CLBLM_L_X32Y68 COLUMN 81 TILEPROP CLBLM_L_X32Y68 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y68 FIRST_SITE_ID 8486 TILEPROP CLBLM_L_X32Y68 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y68 GRID_POINT_Y 85 TILEPROP CLBLM_L_X32Y68 INDEX 9856 TILEPROP CLBLM_L_X32Y68 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y68 INT_TILE_Y 81 TILEPROP CLBLM_L_X32Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y68 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y68 NAME CLBLM_L_X32Y68 TILEPROP CLBLM_L_X32Y68 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y68 NUM_SITES 2 TILEPROP CLBLM_L_X32Y68 ROW 85 TILEPROP CLBLM_L_X32Y68 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y68 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y68 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y68 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y68 TILE_X 48076 TILEPROP CLBLM_L_X32Y68 TILE_Y -20800 TILEPROP CLBLM_L_X32Y68 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y69 CLASS tile TILEPROP CLBLM_L_X32Y69 COLUMN 81 TILEPROP CLBLM_L_X32Y69 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y69 FIRST_SITE_ID 8384 TILEPROP CLBLM_L_X32Y69 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y69 GRID_POINT_Y 84 TILEPROP CLBLM_L_X32Y69 INDEX 9741 TILEPROP CLBLM_L_X32Y69 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y69 INT_TILE_Y 80 TILEPROP CLBLM_L_X32Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y69 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y69 NAME CLBLM_L_X32Y69 TILEPROP CLBLM_L_X32Y69 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y69 NUM_SITES 2 TILEPROP CLBLM_L_X32Y69 ROW 84 TILEPROP CLBLM_L_X32Y69 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y69 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y69 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y69 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y69 TILE_X 48076 TILEPROP CLBLM_L_X32Y69 TILE_Y -17600 TILEPROP CLBLM_L_X32Y69 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y70 CLASS tile TILEPROP CLBLM_L_X32Y70 COLUMN 81 TILEPROP CLBLM_L_X32Y70 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y70 FIRST_SITE_ID 8276 TILEPROP CLBLM_L_X32Y70 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y70 GRID_POINT_Y 83 TILEPROP CLBLM_L_X32Y70 INDEX 9626 TILEPROP CLBLM_L_X32Y70 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y70 INT_TILE_Y 79 TILEPROP CLBLM_L_X32Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y70 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y70 NAME CLBLM_L_X32Y70 TILEPROP CLBLM_L_X32Y70 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y70 NUM_SITES 2 TILEPROP CLBLM_L_X32Y70 ROW 83 TILEPROP CLBLM_L_X32Y70 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y70 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y70 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y70 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y70 TILE_X 48076 TILEPROP CLBLM_L_X32Y70 TILE_Y -14400 TILEPROP CLBLM_L_X32Y70 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y71 CLASS tile TILEPROP CLBLM_L_X32Y71 COLUMN 81 TILEPROP CLBLM_L_X32Y71 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y71 FIRST_SITE_ID 8167 TILEPROP CLBLM_L_X32Y71 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y71 GRID_POINT_Y 82 TILEPROP CLBLM_L_X32Y71 INDEX 9511 TILEPROP CLBLM_L_X32Y71 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y71 INT_TILE_Y 78 TILEPROP CLBLM_L_X32Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y71 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y71 NAME CLBLM_L_X32Y71 TILEPROP CLBLM_L_X32Y71 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y71 NUM_SITES 2 TILEPROP CLBLM_L_X32Y71 ROW 82 TILEPROP CLBLM_L_X32Y71 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y71 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y71 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y71 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y71 TILE_X 48076 TILEPROP CLBLM_L_X32Y71 TILE_Y -11200 TILEPROP CLBLM_L_X32Y71 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y72 CLASS tile TILEPROP CLBLM_L_X32Y72 COLUMN 81 TILEPROP CLBLM_L_X32Y72 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y72 FIRST_SITE_ID 8067 TILEPROP CLBLM_L_X32Y72 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y72 GRID_POINT_Y 81 TILEPROP CLBLM_L_X32Y72 INDEX 9396 TILEPROP CLBLM_L_X32Y72 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y72 INT_TILE_Y 77 TILEPROP CLBLM_L_X32Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y72 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y72 NAME CLBLM_L_X32Y72 TILEPROP CLBLM_L_X32Y72 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y72 NUM_SITES 2 TILEPROP CLBLM_L_X32Y72 ROW 81 TILEPROP CLBLM_L_X32Y72 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y72 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y72 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y72 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y72 TILE_X 48076 TILEPROP CLBLM_L_X32Y72 TILE_Y -8000 TILEPROP CLBLM_L_X32Y72 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y73 CLASS tile TILEPROP CLBLM_L_X32Y73 COLUMN 81 TILEPROP CLBLM_L_X32Y73 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y73 FIRST_SITE_ID 7967 TILEPROP CLBLM_L_X32Y73 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y73 GRID_POINT_Y 80 TILEPROP CLBLM_L_X32Y73 INDEX 9281 TILEPROP CLBLM_L_X32Y73 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y73 INT_TILE_Y 76 TILEPROP CLBLM_L_X32Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y73 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y73 NAME CLBLM_L_X32Y73 TILEPROP CLBLM_L_X32Y73 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y73 NUM_SITES 2 TILEPROP CLBLM_L_X32Y73 ROW 80 TILEPROP CLBLM_L_X32Y73 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y73 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y73 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y73 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y73 TILE_X 48076 TILEPROP CLBLM_L_X32Y73 TILE_Y -4800 TILEPROP CLBLM_L_X32Y73 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y74 CLASS tile TILEPROP CLBLM_L_X32Y74 COLUMN 81 TILEPROP CLBLM_L_X32Y74 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y74 FIRST_SITE_ID 7867 TILEPROP CLBLM_L_X32Y74 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y74 GRID_POINT_Y 79 TILEPROP CLBLM_L_X32Y74 INDEX 9166 TILEPROP CLBLM_L_X32Y74 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y74 INT_TILE_Y 75 TILEPROP CLBLM_L_X32Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y74 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y74 NAME CLBLM_L_X32Y74 TILEPROP CLBLM_L_X32Y74 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y74 NUM_SITES 2 TILEPROP CLBLM_L_X32Y74 ROW 79 TILEPROP CLBLM_L_X32Y74 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y74 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X32Y74 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y74 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y74 TILE_X 48076 TILEPROP CLBLM_L_X32Y74 TILE_Y -1600 TILEPROP CLBLM_L_X32Y74 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y75 CLASS tile TILEPROP CLBLM_L_X32Y75 COLUMN 81 TILEPROP CLBLM_L_X32Y75 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y75 FIRST_SITE_ID 7678 TILEPROP CLBLM_L_X32Y75 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y75 GRID_POINT_Y 77 TILEPROP CLBLM_L_X32Y75 INDEX 8936 TILEPROP CLBLM_L_X32Y75 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y75 INT_TILE_Y 74 TILEPROP CLBLM_L_X32Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y75 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y75 NAME CLBLM_L_X32Y75 TILEPROP CLBLM_L_X32Y75 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y75 NUM_SITES 2 TILEPROP CLBLM_L_X32Y75 ROW 77 TILEPROP CLBLM_L_X32Y75 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y75 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X32Y75 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y75 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y75 TILE_X 48076 TILEPROP CLBLM_L_X32Y75 TILE_Y 2624 TILEPROP CLBLM_L_X32Y75 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y76 CLASS tile TILEPROP CLBLM_L_X32Y76 COLUMN 81 TILEPROP CLBLM_L_X32Y76 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y76 FIRST_SITE_ID 7566 TILEPROP CLBLM_L_X32Y76 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y76 GRID_POINT_Y 76 TILEPROP CLBLM_L_X32Y76 INDEX 8821 TILEPROP CLBLM_L_X32Y76 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y76 INT_TILE_Y 73 TILEPROP CLBLM_L_X32Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y76 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y76 NAME CLBLM_L_X32Y76 TILEPROP CLBLM_L_X32Y76 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y76 NUM_SITES 2 TILEPROP CLBLM_L_X32Y76 ROW 76 TILEPROP CLBLM_L_X32Y76 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y76 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y76 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y76 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y76 TILE_X 48076 TILEPROP CLBLM_L_X32Y76 TILE_Y 5824 TILEPROP CLBLM_L_X32Y76 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y77 CLASS tile TILEPROP CLBLM_L_X32Y77 COLUMN 81 TILEPROP CLBLM_L_X32Y77 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y77 FIRST_SITE_ID 7466 TILEPROP CLBLM_L_X32Y77 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y77 GRID_POINT_Y 75 TILEPROP CLBLM_L_X32Y77 INDEX 8706 TILEPROP CLBLM_L_X32Y77 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y77 INT_TILE_Y 72 TILEPROP CLBLM_L_X32Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y77 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y77 NAME CLBLM_L_X32Y77 TILEPROP CLBLM_L_X32Y77 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y77 NUM_SITES 2 TILEPROP CLBLM_L_X32Y77 ROW 75 TILEPROP CLBLM_L_X32Y77 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y77 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y77 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y77 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y77 TILE_X 48076 TILEPROP CLBLM_L_X32Y77 TILE_Y 9024 TILEPROP CLBLM_L_X32Y77 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y78 CLASS tile TILEPROP CLBLM_L_X32Y78 COLUMN 81 TILEPROP CLBLM_L_X32Y78 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y78 FIRST_SITE_ID 7366 TILEPROP CLBLM_L_X32Y78 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y78 GRID_POINT_Y 74 TILEPROP CLBLM_L_X32Y78 INDEX 8591 TILEPROP CLBLM_L_X32Y78 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y78 INT_TILE_Y 71 TILEPROP CLBLM_L_X32Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y78 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y78 NAME CLBLM_L_X32Y78 TILEPROP CLBLM_L_X32Y78 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y78 NUM_SITES 2 TILEPROP CLBLM_L_X32Y78 ROW 74 TILEPROP CLBLM_L_X32Y78 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y78 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y78 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y78 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y78 TILE_X 48076 TILEPROP CLBLM_L_X32Y78 TILE_Y 12224 TILEPROP CLBLM_L_X32Y78 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y79 CLASS tile TILEPROP CLBLM_L_X32Y79 COLUMN 81 TILEPROP CLBLM_L_X32Y79 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y79 FIRST_SITE_ID 7260 TILEPROP CLBLM_L_X32Y79 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y79 GRID_POINT_Y 73 TILEPROP CLBLM_L_X32Y79 INDEX 8476 TILEPROP CLBLM_L_X32Y79 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y79 INT_TILE_Y 70 TILEPROP CLBLM_L_X32Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y79 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y79 NAME CLBLM_L_X32Y79 TILEPROP CLBLM_L_X32Y79 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y79 NUM_SITES 2 TILEPROP CLBLM_L_X32Y79 ROW 73 TILEPROP CLBLM_L_X32Y79 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y79 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y79 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y79 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y79 TILE_X 48076 TILEPROP CLBLM_L_X32Y79 TILE_Y 15424 TILEPROP CLBLM_L_X32Y79 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y80 CLASS tile TILEPROP CLBLM_L_X32Y80 COLUMN 81 TILEPROP CLBLM_L_X32Y80 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y80 FIRST_SITE_ID 7148 TILEPROP CLBLM_L_X32Y80 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y80 GRID_POINT_Y 72 TILEPROP CLBLM_L_X32Y80 INDEX 8361 TILEPROP CLBLM_L_X32Y80 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y80 INT_TILE_Y 69 TILEPROP CLBLM_L_X32Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y80 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y80 NAME CLBLM_L_X32Y80 TILEPROP CLBLM_L_X32Y80 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y80 NUM_SITES 2 TILEPROP CLBLM_L_X32Y80 ROW 72 TILEPROP CLBLM_L_X32Y80 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y80 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y80 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y80 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y80 TILE_X 48076 TILEPROP CLBLM_L_X32Y80 TILE_Y 18624 TILEPROP CLBLM_L_X32Y80 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y81 CLASS tile TILEPROP CLBLM_L_X32Y81 COLUMN 81 TILEPROP CLBLM_L_X32Y81 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y81 FIRST_SITE_ID 7037 TILEPROP CLBLM_L_X32Y81 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y81 GRID_POINT_Y 71 TILEPROP CLBLM_L_X32Y81 INDEX 8246 TILEPROP CLBLM_L_X32Y81 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y81 INT_TILE_Y 68 TILEPROP CLBLM_L_X32Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y81 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y81 NAME CLBLM_L_X32Y81 TILEPROP CLBLM_L_X32Y81 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y81 NUM_SITES 2 TILEPROP CLBLM_L_X32Y81 ROW 71 TILEPROP CLBLM_L_X32Y81 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y81 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y81 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y81 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y81 TILE_X 48076 TILEPROP CLBLM_L_X32Y81 TILE_Y 21824 TILEPROP CLBLM_L_X32Y81 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y82 CLASS tile TILEPROP CLBLM_L_X32Y82 COLUMN 81 TILEPROP CLBLM_L_X32Y82 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y82 FIRST_SITE_ID 6935 TILEPROP CLBLM_L_X32Y82 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y82 GRID_POINT_Y 70 TILEPROP CLBLM_L_X32Y82 INDEX 8131 TILEPROP CLBLM_L_X32Y82 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y82 INT_TILE_Y 67 TILEPROP CLBLM_L_X32Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y82 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y82 NAME CLBLM_L_X32Y82 TILEPROP CLBLM_L_X32Y82 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y82 NUM_SITES 2 TILEPROP CLBLM_L_X32Y82 ROW 70 TILEPROP CLBLM_L_X32Y82 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y82 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y82 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y82 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y82 TILE_X 48076 TILEPROP CLBLM_L_X32Y82 TILE_Y 25024 TILEPROP CLBLM_L_X32Y82 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y83 CLASS tile TILEPROP CLBLM_L_X32Y83 COLUMN 81 TILEPROP CLBLM_L_X32Y83 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y83 FIRST_SITE_ID 6835 TILEPROP CLBLM_L_X32Y83 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y83 GRID_POINT_Y 69 TILEPROP CLBLM_L_X32Y83 INDEX 8016 TILEPROP CLBLM_L_X32Y83 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y83 INT_TILE_Y 66 TILEPROP CLBLM_L_X32Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y83 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y83 NAME CLBLM_L_X32Y83 TILEPROP CLBLM_L_X32Y83 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y83 NUM_SITES 2 TILEPROP CLBLM_L_X32Y83 ROW 69 TILEPROP CLBLM_L_X32Y83 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y83 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y83 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y83 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y83 TILE_X 48076 TILEPROP CLBLM_L_X32Y83 TILE_Y 28224 TILEPROP CLBLM_L_X32Y83 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y84 CLASS tile TILEPROP CLBLM_L_X32Y84 COLUMN 81 TILEPROP CLBLM_L_X32Y84 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y84 FIRST_SITE_ID 6735 TILEPROP CLBLM_L_X32Y84 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y84 GRID_POINT_Y 68 TILEPROP CLBLM_L_X32Y84 INDEX 7901 TILEPROP CLBLM_L_X32Y84 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y84 INT_TILE_Y 65 TILEPROP CLBLM_L_X32Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y84 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y84 NAME CLBLM_L_X32Y84 TILEPROP CLBLM_L_X32Y84 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y84 NUM_SITES 2 TILEPROP CLBLM_L_X32Y84 ROW 68 TILEPROP CLBLM_L_X32Y84 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y84 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y84 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y84 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y84 TILE_X 48076 TILEPROP CLBLM_L_X32Y84 TILE_Y 31424 TILEPROP CLBLM_L_X32Y84 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y85 CLASS tile TILEPROP CLBLM_L_X32Y85 COLUMN 81 TILEPROP CLBLM_L_X32Y85 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y85 FIRST_SITE_ID 6629 TILEPROP CLBLM_L_X32Y85 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y85 GRID_POINT_Y 67 TILEPROP CLBLM_L_X32Y85 INDEX 7786 TILEPROP CLBLM_L_X32Y85 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y85 INT_TILE_Y 64 TILEPROP CLBLM_L_X32Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y85 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y85 NAME CLBLM_L_X32Y85 TILEPROP CLBLM_L_X32Y85 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y85 NUM_SITES 2 TILEPROP CLBLM_L_X32Y85 ROW 67 TILEPROP CLBLM_L_X32Y85 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y85 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y85 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y85 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y85 TILE_X 48076 TILEPROP CLBLM_L_X32Y85 TILE_Y 34624 TILEPROP CLBLM_L_X32Y85 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y86 CLASS tile TILEPROP CLBLM_L_X32Y86 COLUMN 81 TILEPROP CLBLM_L_X32Y86 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y86 FIRST_SITE_ID 6520 TILEPROP CLBLM_L_X32Y86 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y86 GRID_POINT_Y 66 TILEPROP CLBLM_L_X32Y86 INDEX 7671 TILEPROP CLBLM_L_X32Y86 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y86 INT_TILE_Y 63 TILEPROP CLBLM_L_X32Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y86 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y86 NAME CLBLM_L_X32Y86 TILEPROP CLBLM_L_X32Y86 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y86 NUM_SITES 2 TILEPROP CLBLM_L_X32Y86 ROW 66 TILEPROP CLBLM_L_X32Y86 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y86 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y86 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y86 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y86 TILE_X 48076 TILEPROP CLBLM_L_X32Y86 TILE_Y 37824 TILEPROP CLBLM_L_X32Y86 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y87 CLASS tile TILEPROP CLBLM_L_X32Y87 COLUMN 81 TILEPROP CLBLM_L_X32Y87 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y87 FIRST_SITE_ID 6388 TILEPROP CLBLM_L_X32Y87 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y87 GRID_POINT_Y 65 TILEPROP CLBLM_L_X32Y87 INDEX 7556 TILEPROP CLBLM_L_X32Y87 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y87 INT_TILE_Y 62 TILEPROP CLBLM_L_X32Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y87 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y87 NAME CLBLM_L_X32Y87 TILEPROP CLBLM_L_X32Y87 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y87 NUM_SITES 2 TILEPROP CLBLM_L_X32Y87 ROW 65 TILEPROP CLBLM_L_X32Y87 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y87 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y87 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y87 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y87 TILE_X 48076 TILEPROP CLBLM_L_X32Y87 TILE_Y 41024 TILEPROP CLBLM_L_X32Y87 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y88 CLASS tile TILEPROP CLBLM_L_X32Y88 COLUMN 81 TILEPROP CLBLM_L_X32Y88 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y88 FIRST_SITE_ID 6288 TILEPROP CLBLM_L_X32Y88 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y88 GRID_POINT_Y 64 TILEPROP CLBLM_L_X32Y88 INDEX 7441 TILEPROP CLBLM_L_X32Y88 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y88 INT_TILE_Y 61 TILEPROP CLBLM_L_X32Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y88 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y88 NAME CLBLM_L_X32Y88 TILEPROP CLBLM_L_X32Y88 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y88 NUM_SITES 2 TILEPROP CLBLM_L_X32Y88 ROW 64 TILEPROP CLBLM_L_X32Y88 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y88 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y88 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y88 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y88 TILE_X 48076 TILEPROP CLBLM_L_X32Y88 TILE_Y 44224 TILEPROP CLBLM_L_X32Y88 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y89 CLASS tile TILEPROP CLBLM_L_X32Y89 COLUMN 81 TILEPROP CLBLM_L_X32Y89 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y89 FIRST_SITE_ID 6188 TILEPROP CLBLM_L_X32Y89 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y89 GRID_POINT_Y 63 TILEPROP CLBLM_L_X32Y89 INDEX 7326 TILEPROP CLBLM_L_X32Y89 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y89 INT_TILE_Y 60 TILEPROP CLBLM_L_X32Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y89 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y89 NAME CLBLM_L_X32Y89 TILEPROP CLBLM_L_X32Y89 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y89 NUM_SITES 2 TILEPROP CLBLM_L_X32Y89 ROW 63 TILEPROP CLBLM_L_X32Y89 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y89 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y89 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y89 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y89 TILE_X 48076 TILEPROP CLBLM_L_X32Y89 TILE_Y 47424 TILEPROP CLBLM_L_X32Y89 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y90 CLASS tile TILEPROP CLBLM_L_X32Y90 COLUMN 81 TILEPROP CLBLM_L_X32Y90 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y90 FIRST_SITE_ID 6082 TILEPROP CLBLM_L_X32Y90 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y90 GRID_POINT_Y 62 TILEPROP CLBLM_L_X32Y90 INDEX 7211 TILEPROP CLBLM_L_X32Y90 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y90 INT_TILE_Y 59 TILEPROP CLBLM_L_X32Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y90 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y90 NAME CLBLM_L_X32Y90 TILEPROP CLBLM_L_X32Y90 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y90 NUM_SITES 2 TILEPROP CLBLM_L_X32Y90 ROW 62 TILEPROP CLBLM_L_X32Y90 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y90 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y90 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y90 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y90 TILE_X 48076 TILEPROP CLBLM_L_X32Y90 TILE_Y 50624 TILEPROP CLBLM_L_X32Y90 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y91 CLASS tile TILEPROP CLBLM_L_X32Y91 COLUMN 81 TILEPROP CLBLM_L_X32Y91 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y91 FIRST_SITE_ID 5973 TILEPROP CLBLM_L_X32Y91 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y91 GRID_POINT_Y 61 TILEPROP CLBLM_L_X32Y91 INDEX 7096 TILEPROP CLBLM_L_X32Y91 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y91 INT_TILE_Y 58 TILEPROP CLBLM_L_X32Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y91 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y91 NAME CLBLM_L_X32Y91 TILEPROP CLBLM_L_X32Y91 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y91 NUM_SITES 2 TILEPROP CLBLM_L_X32Y91 ROW 61 TILEPROP CLBLM_L_X32Y91 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y91 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y91 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y91 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y91 TILE_X 48076 TILEPROP CLBLM_L_X32Y91 TILE_Y 53824 TILEPROP CLBLM_L_X32Y91 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y92 CLASS tile TILEPROP CLBLM_L_X32Y92 COLUMN 81 TILEPROP CLBLM_L_X32Y92 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y92 FIRST_SITE_ID 5872 TILEPROP CLBLM_L_X32Y92 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y92 GRID_POINT_Y 60 TILEPROP CLBLM_L_X32Y92 INDEX 6981 TILEPROP CLBLM_L_X32Y92 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y92 INT_TILE_Y 57 TILEPROP CLBLM_L_X32Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y92 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y92 NAME CLBLM_L_X32Y92 TILEPROP CLBLM_L_X32Y92 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y92 NUM_SITES 2 TILEPROP CLBLM_L_X32Y92 ROW 60 TILEPROP CLBLM_L_X32Y92 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y92 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y92 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y92 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y92 TILE_X 48076 TILEPROP CLBLM_L_X32Y92 TILE_Y 57024 TILEPROP CLBLM_L_X32Y92 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y93 CLASS tile TILEPROP CLBLM_L_X32Y93 COLUMN 81 TILEPROP CLBLM_L_X32Y93 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y93 FIRST_SITE_ID 5769 TILEPROP CLBLM_L_X32Y93 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y93 GRID_POINT_Y 59 TILEPROP CLBLM_L_X32Y93 INDEX 6866 TILEPROP CLBLM_L_X32Y93 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y93 INT_TILE_Y 56 TILEPROP CLBLM_L_X32Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y93 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y93 NAME CLBLM_L_X32Y93 TILEPROP CLBLM_L_X32Y93 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y93 NUM_SITES 2 TILEPROP CLBLM_L_X32Y93 ROW 59 TILEPROP CLBLM_L_X32Y93 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y93 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y93 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y93 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y93 TILE_X 48076 TILEPROP CLBLM_L_X32Y93 TILE_Y 60224 TILEPROP CLBLM_L_X32Y93 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y94 CLASS tile TILEPROP CLBLM_L_X32Y94 COLUMN 81 TILEPROP CLBLM_L_X32Y94 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y94 FIRST_SITE_ID 5667 TILEPROP CLBLM_L_X32Y94 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y94 GRID_POINT_Y 58 TILEPROP CLBLM_L_X32Y94 INDEX 6751 TILEPROP CLBLM_L_X32Y94 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y94 INT_TILE_Y 55 TILEPROP CLBLM_L_X32Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y94 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y94 NAME CLBLM_L_X32Y94 TILEPROP CLBLM_L_X32Y94 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y94 NUM_SITES 2 TILEPROP CLBLM_L_X32Y94 ROW 58 TILEPROP CLBLM_L_X32Y94 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y94 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y94 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y94 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y94 TILE_X 48076 TILEPROP CLBLM_L_X32Y94 TILE_Y 63424 TILEPROP CLBLM_L_X32Y94 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y95 CLASS tile TILEPROP CLBLM_L_X32Y95 COLUMN 81 TILEPROP CLBLM_L_X32Y95 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y95 FIRST_SITE_ID 5561 TILEPROP CLBLM_L_X32Y95 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y95 GRID_POINT_Y 57 TILEPROP CLBLM_L_X32Y95 INDEX 6636 TILEPROP CLBLM_L_X32Y95 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y95 INT_TILE_Y 54 TILEPROP CLBLM_L_X32Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y95 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y95 NAME CLBLM_L_X32Y95 TILEPROP CLBLM_L_X32Y95 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y95 NUM_SITES 2 TILEPROP CLBLM_L_X32Y95 ROW 57 TILEPROP CLBLM_L_X32Y95 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y95 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y95 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y95 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y95 TILE_X 48076 TILEPROP CLBLM_L_X32Y95 TILE_Y 66624 TILEPROP CLBLM_L_X32Y95 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y96 CLASS tile TILEPROP CLBLM_L_X32Y96 COLUMN 81 TILEPROP CLBLM_L_X32Y96 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y96 FIRST_SITE_ID 5452 TILEPROP CLBLM_L_X32Y96 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y96 GRID_POINT_Y 56 TILEPROP CLBLM_L_X32Y96 INDEX 6521 TILEPROP CLBLM_L_X32Y96 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y96 INT_TILE_Y 53 TILEPROP CLBLM_L_X32Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y96 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y96 NAME CLBLM_L_X32Y96 TILEPROP CLBLM_L_X32Y96 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y96 NUM_SITES 2 TILEPROP CLBLM_L_X32Y96 ROW 56 TILEPROP CLBLM_L_X32Y96 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y96 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y96 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y96 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y96 TILE_X 48076 TILEPROP CLBLM_L_X32Y96 TILE_Y 69824 TILEPROP CLBLM_L_X32Y96 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y97 CLASS tile TILEPROP CLBLM_L_X32Y97 COLUMN 81 TILEPROP CLBLM_L_X32Y97 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y97 FIRST_SITE_ID 5352 TILEPROP CLBLM_L_X32Y97 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y97 GRID_POINT_Y 55 TILEPROP CLBLM_L_X32Y97 INDEX 6406 TILEPROP CLBLM_L_X32Y97 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y97 INT_TILE_Y 52 TILEPROP CLBLM_L_X32Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y97 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y97 NAME CLBLM_L_X32Y97 TILEPROP CLBLM_L_X32Y97 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y97 NUM_SITES 2 TILEPROP CLBLM_L_X32Y97 ROW 55 TILEPROP CLBLM_L_X32Y97 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y97 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y97 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y97 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y97 TILE_X 48076 TILEPROP CLBLM_L_X32Y97 TILE_Y 73024 TILEPROP CLBLM_L_X32Y97 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y98 CLASS tile TILEPROP CLBLM_L_X32Y98 COLUMN 81 TILEPROP CLBLM_L_X32Y98 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y98 FIRST_SITE_ID 5252 TILEPROP CLBLM_L_X32Y98 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y98 GRID_POINT_Y 54 TILEPROP CLBLM_L_X32Y98 INDEX 6291 TILEPROP CLBLM_L_X32Y98 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y98 INT_TILE_Y 51 TILEPROP CLBLM_L_X32Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y98 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y98 NAME CLBLM_L_X32Y98 TILEPROP CLBLM_L_X32Y98 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y98 NUM_SITES 2 TILEPROP CLBLM_L_X32Y98 ROW 54 TILEPROP CLBLM_L_X32Y98 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y98 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y98 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y98 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y98 TILE_X 48076 TILEPROP CLBLM_L_X32Y98 TILE_Y 76224 TILEPROP CLBLM_L_X32Y98 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y99 CLASS tile TILEPROP CLBLM_L_X32Y99 COLUMN 81 TILEPROP CLBLM_L_X32Y99 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y99 FIRST_SITE_ID 5156 TILEPROP CLBLM_L_X32Y99 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y99 GRID_POINT_Y 53 TILEPROP CLBLM_L_X32Y99 INDEX 6176 TILEPROP CLBLM_L_X32Y99 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y99 INT_TILE_Y 50 TILEPROP CLBLM_L_X32Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y99 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y99 NAME CLBLM_L_X32Y99 TILEPROP CLBLM_L_X32Y99 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y99 NUM_SITES 2 TILEPROP CLBLM_L_X32Y99 ROW 53 TILEPROP CLBLM_L_X32Y99 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y99 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X32Y99 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y99 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y99 TILE_X 48076 TILEPROP CLBLM_L_X32Y99 TILE_Y 79424 TILEPROP CLBLM_L_X32Y99 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y100 CLASS tile TILEPROP CLBLM_L_X32Y100 COLUMN 81 TILEPROP CLBLM_L_X32Y100 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y100 FIRST_SITE_ID 5071 TILEPROP CLBLM_L_X32Y100 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y100 GRID_POINT_Y 51 TILEPROP CLBLM_L_X32Y100 INDEX 5946 TILEPROP CLBLM_L_X32Y100 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y100 INT_TILE_Y 49 TILEPROP CLBLM_L_X32Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y100 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y100 NAME CLBLM_L_X32Y100 TILEPROP CLBLM_L_X32Y100 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y100 NUM_SITES 2 TILEPROP CLBLM_L_X32Y100 ROW 51 TILEPROP CLBLM_L_X32Y100 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y100 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X32Y100 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y100 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y100 TILE_X 48076 TILEPROP CLBLM_L_X32Y100 TILE_Y 82872 TILEPROP CLBLM_L_X32Y100 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y101 CLASS tile TILEPROP CLBLM_L_X32Y101 COLUMN 81 TILEPROP CLBLM_L_X32Y101 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y101 FIRST_SITE_ID 4973 TILEPROP CLBLM_L_X32Y101 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y101 GRID_POINT_Y 50 TILEPROP CLBLM_L_X32Y101 INDEX 5831 TILEPROP CLBLM_L_X32Y101 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y101 INT_TILE_Y 48 TILEPROP CLBLM_L_X32Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y101 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y101 NAME CLBLM_L_X32Y101 TILEPROP CLBLM_L_X32Y101 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y101 NUM_SITES 2 TILEPROP CLBLM_L_X32Y101 ROW 50 TILEPROP CLBLM_L_X32Y101 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y101 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y101 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y101 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y101 TILE_X 48076 TILEPROP CLBLM_L_X32Y101 TILE_Y 86072 TILEPROP CLBLM_L_X32Y101 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y102 CLASS tile TILEPROP CLBLM_L_X32Y102 COLUMN 81 TILEPROP CLBLM_L_X32Y102 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y102 FIRST_SITE_ID 4877 TILEPROP CLBLM_L_X32Y102 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y102 GRID_POINT_Y 49 TILEPROP CLBLM_L_X32Y102 INDEX 5716 TILEPROP CLBLM_L_X32Y102 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y102 INT_TILE_Y 47 TILEPROP CLBLM_L_X32Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y102 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y102 NAME CLBLM_L_X32Y102 TILEPROP CLBLM_L_X32Y102 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y102 NUM_SITES 2 TILEPROP CLBLM_L_X32Y102 ROW 49 TILEPROP CLBLM_L_X32Y102 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y102 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y102 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y102 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y102 TILE_X 48076 TILEPROP CLBLM_L_X32Y102 TILE_Y 89272 TILEPROP CLBLM_L_X32Y102 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y103 CLASS tile TILEPROP CLBLM_L_X32Y103 COLUMN 81 TILEPROP CLBLM_L_X32Y103 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y103 FIRST_SITE_ID 4789 TILEPROP CLBLM_L_X32Y103 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y103 GRID_POINT_Y 48 TILEPROP CLBLM_L_X32Y103 INDEX 5601 TILEPROP CLBLM_L_X32Y103 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y103 INT_TILE_Y 46 TILEPROP CLBLM_L_X32Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y103 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y103 NAME CLBLM_L_X32Y103 TILEPROP CLBLM_L_X32Y103 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y103 NUM_SITES 2 TILEPROP CLBLM_L_X32Y103 ROW 48 TILEPROP CLBLM_L_X32Y103 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y103 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y103 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y103 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y103 TILE_X 48076 TILEPROP CLBLM_L_X32Y103 TILE_Y 92472 TILEPROP CLBLM_L_X32Y103 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y104 CLASS tile TILEPROP CLBLM_L_X32Y104 COLUMN 81 TILEPROP CLBLM_L_X32Y104 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y104 FIRST_SITE_ID 4693 TILEPROP CLBLM_L_X32Y104 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y104 GRID_POINT_Y 47 TILEPROP CLBLM_L_X32Y104 INDEX 5486 TILEPROP CLBLM_L_X32Y104 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y104 INT_TILE_Y 45 TILEPROP CLBLM_L_X32Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y104 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y104 NAME CLBLM_L_X32Y104 TILEPROP CLBLM_L_X32Y104 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y104 NUM_SITES 2 TILEPROP CLBLM_L_X32Y104 ROW 47 TILEPROP CLBLM_L_X32Y104 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y104 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y104 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y104 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y104 TILE_X 48076 TILEPROP CLBLM_L_X32Y104 TILE_Y 95672 TILEPROP CLBLM_L_X32Y104 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y105 CLASS tile TILEPROP CLBLM_L_X32Y105 COLUMN 81 TILEPROP CLBLM_L_X32Y105 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y105 FIRST_SITE_ID 4597 TILEPROP CLBLM_L_X32Y105 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y105 GRID_POINT_Y 46 TILEPROP CLBLM_L_X32Y105 INDEX 5371 TILEPROP CLBLM_L_X32Y105 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y105 INT_TILE_Y 44 TILEPROP CLBLM_L_X32Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y105 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y105 NAME CLBLM_L_X32Y105 TILEPROP CLBLM_L_X32Y105 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y105 NUM_SITES 2 TILEPROP CLBLM_L_X32Y105 ROW 46 TILEPROP CLBLM_L_X32Y105 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y105 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y105 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y105 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y105 TILE_X 48076 TILEPROP CLBLM_L_X32Y105 TILE_Y 98872 TILEPROP CLBLM_L_X32Y105 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y106 CLASS tile TILEPROP CLBLM_L_X32Y106 COLUMN 81 TILEPROP CLBLM_L_X32Y106 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y106 FIRST_SITE_ID 4495 TILEPROP CLBLM_L_X32Y106 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y106 GRID_POINT_Y 45 TILEPROP CLBLM_L_X32Y106 INDEX 5256 TILEPROP CLBLM_L_X32Y106 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y106 INT_TILE_Y 43 TILEPROP CLBLM_L_X32Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y106 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y106 NAME CLBLM_L_X32Y106 TILEPROP CLBLM_L_X32Y106 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y106 NUM_SITES 2 TILEPROP CLBLM_L_X32Y106 ROW 45 TILEPROP CLBLM_L_X32Y106 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y106 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y106 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y106 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y106 TILE_X 48076 TILEPROP CLBLM_L_X32Y106 TILE_Y 102072 TILEPROP CLBLM_L_X32Y106 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y107 CLASS tile TILEPROP CLBLM_L_X32Y107 COLUMN 81 TILEPROP CLBLM_L_X32Y107 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y107 FIRST_SITE_ID 4407 TILEPROP CLBLM_L_X32Y107 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y107 GRID_POINT_Y 44 TILEPROP CLBLM_L_X32Y107 INDEX 5141 TILEPROP CLBLM_L_X32Y107 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y107 INT_TILE_Y 42 TILEPROP CLBLM_L_X32Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y107 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y107 NAME CLBLM_L_X32Y107 TILEPROP CLBLM_L_X32Y107 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y107 NUM_SITES 2 TILEPROP CLBLM_L_X32Y107 ROW 44 TILEPROP CLBLM_L_X32Y107 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y107 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y107 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y107 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y107 TILE_X 48076 TILEPROP CLBLM_L_X32Y107 TILE_Y 105272 TILEPROP CLBLM_L_X32Y107 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y108 CLASS tile TILEPROP CLBLM_L_X32Y108 COLUMN 81 TILEPROP CLBLM_L_X32Y108 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y108 FIRST_SITE_ID 4309 TILEPROP CLBLM_L_X32Y108 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y108 GRID_POINT_Y 43 TILEPROP CLBLM_L_X32Y108 INDEX 5026 TILEPROP CLBLM_L_X32Y108 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y108 INT_TILE_Y 41 TILEPROP CLBLM_L_X32Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y108 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y108 NAME CLBLM_L_X32Y108 TILEPROP CLBLM_L_X32Y108 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y108 NUM_SITES 2 TILEPROP CLBLM_L_X32Y108 ROW 43 TILEPROP CLBLM_L_X32Y108 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y108 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y108 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y108 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y108 TILE_X 48076 TILEPROP CLBLM_L_X32Y108 TILE_Y 108472 TILEPROP CLBLM_L_X32Y108 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y109 CLASS tile TILEPROP CLBLM_L_X32Y109 COLUMN 81 TILEPROP CLBLM_L_X32Y109 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y109 FIRST_SITE_ID 4220 TILEPROP CLBLM_L_X32Y109 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y109 GRID_POINT_Y 42 TILEPROP CLBLM_L_X32Y109 INDEX 4911 TILEPROP CLBLM_L_X32Y109 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y109 INT_TILE_Y 40 TILEPROP CLBLM_L_X32Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y109 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y109 NAME CLBLM_L_X32Y109 TILEPROP CLBLM_L_X32Y109 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y109 NUM_SITES 2 TILEPROP CLBLM_L_X32Y109 ROW 42 TILEPROP CLBLM_L_X32Y109 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y109 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y109 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y109 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y109 TILE_X 48076 TILEPROP CLBLM_L_X32Y109 TILE_Y 111672 TILEPROP CLBLM_L_X32Y109 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y110 CLASS tile TILEPROP CLBLM_L_X32Y110 COLUMN 81 TILEPROP CLBLM_L_X32Y110 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y110 FIRST_SITE_ID 4121 TILEPROP CLBLM_L_X32Y110 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y110 GRID_POINT_Y 41 TILEPROP CLBLM_L_X32Y110 INDEX 4796 TILEPROP CLBLM_L_X32Y110 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y110 INT_TILE_Y 39 TILEPROP CLBLM_L_X32Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y110 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y110 NAME CLBLM_L_X32Y110 TILEPROP CLBLM_L_X32Y110 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y110 NUM_SITES 2 TILEPROP CLBLM_L_X32Y110 ROW 41 TILEPROP CLBLM_L_X32Y110 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y110 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y110 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y110 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y110 TILE_X 48076 TILEPROP CLBLM_L_X32Y110 TILE_Y 114872 TILEPROP CLBLM_L_X32Y110 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y111 CLASS tile TILEPROP CLBLM_L_X32Y111 COLUMN 81 TILEPROP CLBLM_L_X32Y111 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y111 FIRST_SITE_ID 4026 TILEPROP CLBLM_L_X32Y111 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y111 GRID_POINT_Y 40 TILEPROP CLBLM_L_X32Y111 INDEX 4681 TILEPROP CLBLM_L_X32Y111 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y111 INT_TILE_Y 38 TILEPROP CLBLM_L_X32Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y111 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y111 NAME CLBLM_L_X32Y111 TILEPROP CLBLM_L_X32Y111 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y111 NUM_SITES 2 TILEPROP CLBLM_L_X32Y111 ROW 40 TILEPROP CLBLM_L_X32Y111 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y111 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y111 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y111 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y111 TILE_X 48076 TILEPROP CLBLM_L_X32Y111 TILE_Y 118072 TILEPROP CLBLM_L_X32Y111 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y112 CLASS tile TILEPROP CLBLM_L_X32Y112 COLUMN 81 TILEPROP CLBLM_L_X32Y112 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y112 FIRST_SITE_ID 3930 TILEPROP CLBLM_L_X32Y112 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y112 GRID_POINT_Y 39 TILEPROP CLBLM_L_X32Y112 INDEX 4566 TILEPROP CLBLM_L_X32Y112 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y112 INT_TILE_Y 37 TILEPROP CLBLM_L_X32Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y112 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y112 NAME CLBLM_L_X32Y112 TILEPROP CLBLM_L_X32Y112 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y112 NUM_SITES 2 TILEPROP CLBLM_L_X32Y112 ROW 39 TILEPROP CLBLM_L_X32Y112 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y112 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y112 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y112 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y112 TILE_X 48076 TILEPROP CLBLM_L_X32Y112 TILE_Y 121272 TILEPROP CLBLM_L_X32Y112 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y113 CLASS tile TILEPROP CLBLM_L_X32Y113 COLUMN 81 TILEPROP CLBLM_L_X32Y113 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y113 FIRST_SITE_ID 3810 TILEPROP CLBLM_L_X32Y113 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y113 GRID_POINT_Y 38 TILEPROP CLBLM_L_X32Y113 INDEX 4451 TILEPROP CLBLM_L_X32Y113 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y113 INT_TILE_Y 36 TILEPROP CLBLM_L_X32Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y113 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y113 NAME CLBLM_L_X32Y113 TILEPROP CLBLM_L_X32Y113 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y113 NUM_SITES 2 TILEPROP CLBLM_L_X32Y113 ROW 38 TILEPROP CLBLM_L_X32Y113 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y113 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y113 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y113 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y113 TILE_X 48076 TILEPROP CLBLM_L_X32Y113 TILE_Y 124472 TILEPROP CLBLM_L_X32Y113 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y114 CLASS tile TILEPROP CLBLM_L_X32Y114 COLUMN 81 TILEPROP CLBLM_L_X32Y114 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y114 FIRST_SITE_ID 3714 TILEPROP CLBLM_L_X32Y114 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y114 GRID_POINT_Y 37 TILEPROP CLBLM_L_X32Y114 INDEX 4336 TILEPROP CLBLM_L_X32Y114 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y114 INT_TILE_Y 35 TILEPROP CLBLM_L_X32Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y114 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y114 NAME CLBLM_L_X32Y114 TILEPROP CLBLM_L_X32Y114 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y114 NUM_SITES 2 TILEPROP CLBLM_L_X32Y114 ROW 37 TILEPROP CLBLM_L_X32Y114 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y114 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y114 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y114 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y114 TILE_X 48076 TILEPROP CLBLM_L_X32Y114 TILE_Y 127672 TILEPROP CLBLM_L_X32Y114 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y115 CLASS tile TILEPROP CLBLM_L_X32Y115 COLUMN 81 TILEPROP CLBLM_L_X32Y115 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y115 FIRST_SITE_ID 3623 TILEPROP CLBLM_L_X32Y115 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y115 GRID_POINT_Y 36 TILEPROP CLBLM_L_X32Y115 INDEX 4221 TILEPROP CLBLM_L_X32Y115 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y115 INT_TILE_Y 34 TILEPROP CLBLM_L_X32Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y115 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y115 NAME CLBLM_L_X32Y115 TILEPROP CLBLM_L_X32Y115 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y115 NUM_SITES 2 TILEPROP CLBLM_L_X32Y115 ROW 36 TILEPROP CLBLM_L_X32Y115 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y115 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y115 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y115 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y115 TILE_X 48076 TILEPROP CLBLM_L_X32Y115 TILE_Y 130872 TILEPROP CLBLM_L_X32Y115 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y116 CLASS tile TILEPROP CLBLM_L_X32Y116 COLUMN 81 TILEPROP CLBLM_L_X32Y116 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y116 FIRST_SITE_ID 3516 TILEPROP CLBLM_L_X32Y116 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y116 GRID_POINT_Y 35 TILEPROP CLBLM_L_X32Y116 INDEX 4106 TILEPROP CLBLM_L_X32Y116 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y116 INT_TILE_Y 33 TILEPROP CLBLM_L_X32Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y116 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y116 NAME CLBLM_L_X32Y116 TILEPROP CLBLM_L_X32Y116 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y116 NUM_SITES 2 TILEPROP CLBLM_L_X32Y116 ROW 35 TILEPROP CLBLM_L_X32Y116 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y116 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y116 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y116 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y116 TILE_X 48076 TILEPROP CLBLM_L_X32Y116 TILE_Y 134072 TILEPROP CLBLM_L_X32Y116 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y117 CLASS tile TILEPROP CLBLM_L_X32Y117 COLUMN 81 TILEPROP CLBLM_L_X32Y117 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y117 FIRST_SITE_ID 3428 TILEPROP CLBLM_L_X32Y117 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y117 GRID_POINT_Y 34 TILEPROP CLBLM_L_X32Y117 INDEX 3991 TILEPROP CLBLM_L_X32Y117 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y117 INT_TILE_Y 32 TILEPROP CLBLM_L_X32Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y117 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y117 NAME CLBLM_L_X32Y117 TILEPROP CLBLM_L_X32Y117 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y117 NUM_SITES 2 TILEPROP CLBLM_L_X32Y117 ROW 34 TILEPROP CLBLM_L_X32Y117 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y117 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y117 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y117 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y117 TILE_X 48076 TILEPROP CLBLM_L_X32Y117 TILE_Y 137272 TILEPROP CLBLM_L_X32Y117 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y118 CLASS tile TILEPROP CLBLM_L_X32Y118 COLUMN 81 TILEPROP CLBLM_L_X32Y118 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y118 FIRST_SITE_ID 3328 TILEPROP CLBLM_L_X32Y118 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y118 GRID_POINT_Y 33 TILEPROP CLBLM_L_X32Y118 INDEX 3876 TILEPROP CLBLM_L_X32Y118 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y118 INT_TILE_Y 31 TILEPROP CLBLM_L_X32Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y118 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y118 NAME CLBLM_L_X32Y118 TILEPROP CLBLM_L_X32Y118 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y118 NUM_SITES 2 TILEPROP CLBLM_L_X32Y118 ROW 33 TILEPROP CLBLM_L_X32Y118 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y118 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y118 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y118 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y118 TILE_X 48076 TILEPROP CLBLM_L_X32Y118 TILE_Y 140472 TILEPROP CLBLM_L_X32Y118 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y119 CLASS tile TILEPROP CLBLM_L_X32Y119 COLUMN 81 TILEPROP CLBLM_L_X32Y119 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y119 FIRST_SITE_ID 3240 TILEPROP CLBLM_L_X32Y119 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y119 GRID_POINT_Y 32 TILEPROP CLBLM_L_X32Y119 INDEX 3761 TILEPROP CLBLM_L_X32Y119 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y119 INT_TILE_Y 30 TILEPROP CLBLM_L_X32Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y119 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y119 NAME CLBLM_L_X32Y119 TILEPROP CLBLM_L_X32Y119 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y119 NUM_SITES 2 TILEPROP CLBLM_L_X32Y119 ROW 32 TILEPROP CLBLM_L_X32Y119 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y119 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y119 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y119 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y119 TILE_X 48076 TILEPROP CLBLM_L_X32Y119 TILE_Y 143672 TILEPROP CLBLM_L_X32Y119 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y120 CLASS tile TILEPROP CLBLM_L_X32Y120 COLUMN 81 TILEPROP CLBLM_L_X32Y120 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y120 FIRST_SITE_ID 3139 TILEPROP CLBLM_L_X32Y120 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y120 GRID_POINT_Y 31 TILEPROP CLBLM_L_X32Y120 INDEX 3646 TILEPROP CLBLM_L_X32Y120 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y120 INT_TILE_Y 29 TILEPROP CLBLM_L_X32Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y120 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y120 NAME CLBLM_L_X32Y120 TILEPROP CLBLM_L_X32Y120 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y120 NUM_SITES 2 TILEPROP CLBLM_L_X32Y120 ROW 31 TILEPROP CLBLM_L_X32Y120 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y120 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y120 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y120 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y120 TILE_X 48076 TILEPROP CLBLM_L_X32Y120 TILE_Y 146872 TILEPROP CLBLM_L_X32Y120 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y121 CLASS tile TILEPROP CLBLM_L_X32Y121 COLUMN 81 TILEPROP CLBLM_L_X32Y121 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y121 FIRST_SITE_ID 3045 TILEPROP CLBLM_L_X32Y121 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y121 GRID_POINT_Y 30 TILEPROP CLBLM_L_X32Y121 INDEX 3531 TILEPROP CLBLM_L_X32Y121 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y121 INT_TILE_Y 28 TILEPROP CLBLM_L_X32Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y121 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y121 NAME CLBLM_L_X32Y121 TILEPROP CLBLM_L_X32Y121 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y121 NUM_SITES 2 TILEPROP CLBLM_L_X32Y121 ROW 30 TILEPROP CLBLM_L_X32Y121 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y121 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y121 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y121 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y121 TILE_X 48076 TILEPROP CLBLM_L_X32Y121 TILE_Y 150072 TILEPROP CLBLM_L_X32Y121 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y122 CLASS tile TILEPROP CLBLM_L_X32Y122 COLUMN 81 TILEPROP CLBLM_L_X32Y122 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y122 FIRST_SITE_ID 2942 TILEPROP CLBLM_L_X32Y122 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y122 GRID_POINT_Y 29 TILEPROP CLBLM_L_X32Y122 INDEX 3416 TILEPROP CLBLM_L_X32Y122 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y122 INT_TILE_Y 27 TILEPROP CLBLM_L_X32Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y122 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y122 NAME CLBLM_L_X32Y122 TILEPROP CLBLM_L_X32Y122 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y122 NUM_SITES 2 TILEPROP CLBLM_L_X32Y122 ROW 29 TILEPROP CLBLM_L_X32Y122 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y122 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y122 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y122 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y122 TILE_X 48076 TILEPROP CLBLM_L_X32Y122 TILE_Y 153272 TILEPROP CLBLM_L_X32Y122 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y123 CLASS tile TILEPROP CLBLM_L_X32Y123 COLUMN 81 TILEPROP CLBLM_L_X32Y123 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y123 FIRST_SITE_ID 2854 TILEPROP CLBLM_L_X32Y123 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y123 GRID_POINT_Y 28 TILEPROP CLBLM_L_X32Y123 INDEX 3301 TILEPROP CLBLM_L_X32Y123 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y123 INT_TILE_Y 26 TILEPROP CLBLM_L_X32Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y123 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y123 NAME CLBLM_L_X32Y123 TILEPROP CLBLM_L_X32Y123 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y123 NUM_SITES 2 TILEPROP CLBLM_L_X32Y123 ROW 28 TILEPROP CLBLM_L_X32Y123 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y123 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y123 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y123 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y123 TILE_X 48076 TILEPROP CLBLM_L_X32Y123 TILE_Y 156472 TILEPROP CLBLM_L_X32Y123 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y124 CLASS tile TILEPROP CLBLM_L_X32Y124 COLUMN 81 TILEPROP CLBLM_L_X32Y124 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y124 FIRST_SITE_ID 2758 TILEPROP CLBLM_L_X32Y124 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y124 GRID_POINT_Y 27 TILEPROP CLBLM_L_X32Y124 INDEX 3186 TILEPROP CLBLM_L_X32Y124 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y124 INT_TILE_Y 25 TILEPROP CLBLM_L_X32Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y124 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y124 NAME CLBLM_L_X32Y124 TILEPROP CLBLM_L_X32Y124 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y124 NUM_SITES 2 TILEPROP CLBLM_L_X32Y124 ROW 27 TILEPROP CLBLM_L_X32Y124 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y124 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X32Y124 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y124 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y124 TILE_X 48076 TILEPROP CLBLM_L_X32Y124 TILE_Y 159672 TILEPROP CLBLM_L_X32Y124 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y125 CLASS tile TILEPROP CLBLM_L_X32Y125 COLUMN 81 TILEPROP CLBLM_L_X32Y125 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y125 FIRST_SITE_ID 2596 TILEPROP CLBLM_L_X32Y125 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y125 GRID_POINT_Y 25 TILEPROP CLBLM_L_X32Y125 INDEX 2956 TILEPROP CLBLM_L_X32Y125 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y125 INT_TILE_Y 24 TILEPROP CLBLM_L_X32Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y125 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y125 NAME CLBLM_L_X32Y125 TILEPROP CLBLM_L_X32Y125 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y125 NUM_SITES 2 TILEPROP CLBLM_L_X32Y125 ROW 25 TILEPROP CLBLM_L_X32Y125 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y125 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X32Y125 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y125 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y125 TILE_X 48076 TILEPROP CLBLM_L_X32Y125 TILE_Y 163896 TILEPROP CLBLM_L_X32Y125 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y126 CLASS tile TILEPROP CLBLM_L_X32Y126 COLUMN 81 TILEPROP CLBLM_L_X32Y126 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y126 FIRST_SITE_ID 2483 TILEPROP CLBLM_L_X32Y126 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y126 GRID_POINT_Y 24 TILEPROP CLBLM_L_X32Y126 INDEX 2841 TILEPROP CLBLM_L_X32Y126 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y126 INT_TILE_Y 23 TILEPROP CLBLM_L_X32Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y126 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y126 NAME CLBLM_L_X32Y126 TILEPROP CLBLM_L_X32Y126 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y126 NUM_SITES 2 TILEPROP CLBLM_L_X32Y126 ROW 24 TILEPROP CLBLM_L_X32Y126 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y126 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y126 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y126 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y126 TILE_X 48076 TILEPROP CLBLM_L_X32Y126 TILE_Y 167096 TILEPROP CLBLM_L_X32Y126 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y127 CLASS tile TILEPROP CLBLM_L_X32Y127 COLUMN 81 TILEPROP CLBLM_L_X32Y127 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y127 FIRST_SITE_ID 2387 TILEPROP CLBLM_L_X32Y127 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y127 GRID_POINT_Y 23 TILEPROP CLBLM_L_X32Y127 INDEX 2726 TILEPROP CLBLM_L_X32Y127 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y127 INT_TILE_Y 22 TILEPROP CLBLM_L_X32Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y127 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y127 NAME CLBLM_L_X32Y127 TILEPROP CLBLM_L_X32Y127 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y127 NUM_SITES 2 TILEPROP CLBLM_L_X32Y127 ROW 23 TILEPROP CLBLM_L_X32Y127 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y127 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y127 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y127 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y127 TILE_X 48076 TILEPROP CLBLM_L_X32Y127 TILE_Y 170296 TILEPROP CLBLM_L_X32Y127 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y128 CLASS tile TILEPROP CLBLM_L_X32Y128 COLUMN 81 TILEPROP CLBLM_L_X32Y128 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y128 FIRST_SITE_ID 2283 TILEPROP CLBLM_L_X32Y128 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y128 GRID_POINT_Y 22 TILEPROP CLBLM_L_X32Y128 INDEX 2611 TILEPROP CLBLM_L_X32Y128 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y128 INT_TILE_Y 21 TILEPROP CLBLM_L_X32Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y128 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y128 NAME CLBLM_L_X32Y128 TILEPROP CLBLM_L_X32Y128 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y128 NUM_SITES 2 TILEPROP CLBLM_L_X32Y128 ROW 22 TILEPROP CLBLM_L_X32Y128 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y128 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y128 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y128 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y128 TILE_X 48076 TILEPROP CLBLM_L_X32Y128 TILE_Y 173496 TILEPROP CLBLM_L_X32Y128 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y129 CLASS tile TILEPROP CLBLM_L_X32Y129 COLUMN 81 TILEPROP CLBLM_L_X32Y129 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y129 FIRST_SITE_ID 2187 TILEPROP CLBLM_L_X32Y129 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y129 GRID_POINT_Y 21 TILEPROP CLBLM_L_X32Y129 INDEX 2496 TILEPROP CLBLM_L_X32Y129 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y129 INT_TILE_Y 20 TILEPROP CLBLM_L_X32Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y129 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y129 NAME CLBLM_L_X32Y129 TILEPROP CLBLM_L_X32Y129 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y129 NUM_SITES 2 TILEPROP CLBLM_L_X32Y129 ROW 21 TILEPROP CLBLM_L_X32Y129 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y129 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y129 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y129 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y129 TILE_X 48076 TILEPROP CLBLM_L_X32Y129 TILE_Y 176696 TILEPROP CLBLM_L_X32Y129 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y130 CLASS tile TILEPROP CLBLM_L_X32Y130 COLUMN 81 TILEPROP CLBLM_L_X32Y130 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y130 FIRST_SITE_ID 2074 TILEPROP CLBLM_L_X32Y130 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y130 GRID_POINT_Y 20 TILEPROP CLBLM_L_X32Y130 INDEX 2381 TILEPROP CLBLM_L_X32Y130 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y130 INT_TILE_Y 19 TILEPROP CLBLM_L_X32Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y130 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y130 NAME CLBLM_L_X32Y130 TILEPROP CLBLM_L_X32Y130 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y130 NUM_SITES 2 TILEPROP CLBLM_L_X32Y130 ROW 20 TILEPROP CLBLM_L_X32Y130 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y130 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y130 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y130 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y130 TILE_X 48076 TILEPROP CLBLM_L_X32Y130 TILE_Y 179896 TILEPROP CLBLM_L_X32Y130 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y131 CLASS tile TILEPROP CLBLM_L_X32Y131 COLUMN 81 TILEPROP CLBLM_L_X32Y131 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y131 FIRST_SITE_ID 1969 TILEPROP CLBLM_L_X32Y131 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y131 GRID_POINT_Y 19 TILEPROP CLBLM_L_X32Y131 INDEX 2266 TILEPROP CLBLM_L_X32Y131 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y131 INT_TILE_Y 18 TILEPROP CLBLM_L_X32Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y131 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y131 NAME CLBLM_L_X32Y131 TILEPROP CLBLM_L_X32Y131 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y131 NUM_SITES 2 TILEPROP CLBLM_L_X32Y131 ROW 19 TILEPROP CLBLM_L_X32Y131 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y131 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y131 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y131 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y131 TILE_X 48076 TILEPROP CLBLM_L_X32Y131 TILE_Y 183096 TILEPROP CLBLM_L_X32Y131 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y132 CLASS tile TILEPROP CLBLM_L_X32Y132 COLUMN 81 TILEPROP CLBLM_L_X32Y132 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y132 FIRST_SITE_ID 1863 TILEPROP CLBLM_L_X32Y132 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y132 GRID_POINT_Y 18 TILEPROP CLBLM_L_X32Y132 INDEX 2151 TILEPROP CLBLM_L_X32Y132 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y132 INT_TILE_Y 17 TILEPROP CLBLM_L_X32Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y132 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y132 NAME CLBLM_L_X32Y132 TILEPROP CLBLM_L_X32Y132 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y132 NUM_SITES 2 TILEPROP CLBLM_L_X32Y132 ROW 18 TILEPROP CLBLM_L_X32Y132 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y132 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y132 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y132 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y132 TILE_X 48076 TILEPROP CLBLM_L_X32Y132 TILE_Y 186296 TILEPROP CLBLM_L_X32Y132 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y133 CLASS tile TILEPROP CLBLM_L_X32Y133 COLUMN 81 TILEPROP CLBLM_L_X32Y133 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y133 FIRST_SITE_ID 1762 TILEPROP CLBLM_L_X32Y133 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y133 GRID_POINT_Y 17 TILEPROP CLBLM_L_X32Y133 INDEX 2036 TILEPROP CLBLM_L_X32Y133 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y133 INT_TILE_Y 16 TILEPROP CLBLM_L_X32Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y133 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y133 NAME CLBLM_L_X32Y133 TILEPROP CLBLM_L_X32Y133 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y133 NUM_SITES 2 TILEPROP CLBLM_L_X32Y133 ROW 17 TILEPROP CLBLM_L_X32Y133 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y133 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y133 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y133 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y133 TILE_X 48076 TILEPROP CLBLM_L_X32Y133 TILE_Y 189496 TILEPROP CLBLM_L_X32Y133 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y134 CLASS tile TILEPROP CLBLM_L_X32Y134 COLUMN 81 TILEPROP CLBLM_L_X32Y134 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y134 FIRST_SITE_ID 1658 TILEPROP CLBLM_L_X32Y134 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y134 GRID_POINT_Y 16 TILEPROP CLBLM_L_X32Y134 INDEX 1921 TILEPROP CLBLM_L_X32Y134 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y134 INT_TILE_Y 15 TILEPROP CLBLM_L_X32Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y134 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y134 NAME CLBLM_L_X32Y134 TILEPROP CLBLM_L_X32Y134 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y134 NUM_SITES 2 TILEPROP CLBLM_L_X32Y134 ROW 16 TILEPROP CLBLM_L_X32Y134 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y134 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y134 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y134 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y134 TILE_X 48076 TILEPROP CLBLM_L_X32Y134 TILE_Y 192696 TILEPROP CLBLM_L_X32Y134 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y135 CLASS tile TILEPROP CLBLM_L_X32Y135 COLUMN 81 TILEPROP CLBLM_L_X32Y135 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y135 FIRST_SITE_ID 1559 TILEPROP CLBLM_L_X32Y135 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y135 GRID_POINT_Y 15 TILEPROP CLBLM_L_X32Y135 INDEX 1806 TILEPROP CLBLM_L_X32Y135 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y135 INT_TILE_Y 14 TILEPROP CLBLM_L_X32Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y135 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y135 NAME CLBLM_L_X32Y135 TILEPROP CLBLM_L_X32Y135 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y135 NUM_SITES 2 TILEPROP CLBLM_L_X32Y135 ROW 15 TILEPROP CLBLM_L_X32Y135 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y135 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y135 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y135 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y135 TILE_X 48076 TILEPROP CLBLM_L_X32Y135 TILE_Y 195896 TILEPROP CLBLM_L_X32Y135 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y136 CLASS tile TILEPROP CLBLM_L_X32Y136 COLUMN 81 TILEPROP CLBLM_L_X32Y136 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y136 FIRST_SITE_ID 1446 TILEPROP CLBLM_L_X32Y136 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y136 GRID_POINT_Y 14 TILEPROP CLBLM_L_X32Y136 INDEX 1691 TILEPROP CLBLM_L_X32Y136 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y136 INT_TILE_Y 13 TILEPROP CLBLM_L_X32Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y136 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y136 NAME CLBLM_L_X32Y136 TILEPROP CLBLM_L_X32Y136 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y136 NUM_SITES 2 TILEPROP CLBLM_L_X32Y136 ROW 14 TILEPROP CLBLM_L_X32Y136 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y136 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y136 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y136 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y136 TILE_X 48076 TILEPROP CLBLM_L_X32Y136 TILE_Y 199096 TILEPROP CLBLM_L_X32Y136 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y137 CLASS tile TILEPROP CLBLM_L_X32Y137 COLUMN 81 TILEPROP CLBLM_L_X32Y137 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y137 FIRST_SITE_ID 1318 TILEPROP CLBLM_L_X32Y137 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y137 GRID_POINT_Y 13 TILEPROP CLBLM_L_X32Y137 INDEX 1576 TILEPROP CLBLM_L_X32Y137 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y137 INT_TILE_Y 12 TILEPROP CLBLM_L_X32Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y137 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y137 NAME CLBLM_L_X32Y137 TILEPROP CLBLM_L_X32Y137 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y137 NUM_SITES 2 TILEPROP CLBLM_L_X32Y137 ROW 13 TILEPROP CLBLM_L_X32Y137 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y137 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y137 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y137 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y137 TILE_X 48076 TILEPROP CLBLM_L_X32Y137 TILE_Y 202296 TILEPROP CLBLM_L_X32Y137 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y138 CLASS tile TILEPROP CLBLM_L_X32Y138 COLUMN 81 TILEPROP CLBLM_L_X32Y138 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y138 FIRST_SITE_ID 1214 TILEPROP CLBLM_L_X32Y138 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y138 GRID_POINT_Y 12 TILEPROP CLBLM_L_X32Y138 INDEX 1461 TILEPROP CLBLM_L_X32Y138 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y138 INT_TILE_Y 11 TILEPROP CLBLM_L_X32Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y138 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y138 NAME CLBLM_L_X32Y138 TILEPROP CLBLM_L_X32Y138 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y138 NUM_SITES 2 TILEPROP CLBLM_L_X32Y138 ROW 12 TILEPROP CLBLM_L_X32Y138 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y138 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y138 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y138 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y138 TILE_X 48076 TILEPROP CLBLM_L_X32Y138 TILE_Y 205496 TILEPROP CLBLM_L_X32Y138 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y139 CLASS tile TILEPROP CLBLM_L_X32Y139 COLUMN 81 TILEPROP CLBLM_L_X32Y139 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y139 FIRST_SITE_ID 1118 TILEPROP CLBLM_L_X32Y139 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y139 GRID_POINT_Y 11 TILEPROP CLBLM_L_X32Y139 INDEX 1346 TILEPROP CLBLM_L_X32Y139 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y139 INT_TILE_Y 10 TILEPROP CLBLM_L_X32Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y139 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y139 NAME CLBLM_L_X32Y139 TILEPROP CLBLM_L_X32Y139 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y139 NUM_SITES 2 TILEPROP CLBLM_L_X32Y139 ROW 11 TILEPROP CLBLM_L_X32Y139 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y139 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y139 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y139 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y139 TILE_X 48076 TILEPROP CLBLM_L_X32Y139 TILE_Y 208696 TILEPROP CLBLM_L_X32Y139 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y140 CLASS tile TILEPROP CLBLM_L_X32Y140 COLUMN 81 TILEPROP CLBLM_L_X32Y140 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y140 FIRST_SITE_ID 1011 TILEPROP CLBLM_L_X32Y140 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y140 GRID_POINT_Y 10 TILEPROP CLBLM_L_X32Y140 INDEX 1231 TILEPROP CLBLM_L_X32Y140 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y140 INT_TILE_Y 9 TILEPROP CLBLM_L_X32Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y140 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y140 NAME CLBLM_L_X32Y140 TILEPROP CLBLM_L_X32Y140 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y140 NUM_SITES 2 TILEPROP CLBLM_L_X32Y140 ROW 10 TILEPROP CLBLM_L_X32Y140 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y140 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y140 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y140 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y140 TILE_X 48076 TILEPROP CLBLM_L_X32Y140 TILE_Y 211896 TILEPROP CLBLM_L_X32Y140 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y141 CLASS tile TILEPROP CLBLM_L_X32Y141 COLUMN 81 TILEPROP CLBLM_L_X32Y141 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y141 FIRST_SITE_ID 906 TILEPROP CLBLM_L_X32Y141 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y141 GRID_POINT_Y 9 TILEPROP CLBLM_L_X32Y141 INDEX 1116 TILEPROP CLBLM_L_X32Y141 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y141 INT_TILE_Y 8 TILEPROP CLBLM_L_X32Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y141 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y141 NAME CLBLM_L_X32Y141 TILEPROP CLBLM_L_X32Y141 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y141 NUM_SITES 2 TILEPROP CLBLM_L_X32Y141 ROW 9 TILEPROP CLBLM_L_X32Y141 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y141 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y141 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y141 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y141 TILE_X 48076 TILEPROP CLBLM_L_X32Y141 TILE_Y 215096 TILEPROP CLBLM_L_X32Y141 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y142 CLASS tile TILEPROP CLBLM_L_X32Y142 COLUMN 81 TILEPROP CLBLM_L_X32Y142 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y142 FIRST_SITE_ID 802 TILEPROP CLBLM_L_X32Y142 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y142 GRID_POINT_Y 8 TILEPROP CLBLM_L_X32Y142 INDEX 1001 TILEPROP CLBLM_L_X32Y142 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y142 INT_TILE_Y 7 TILEPROP CLBLM_L_X32Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y142 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y142 NAME CLBLM_L_X32Y142 TILEPROP CLBLM_L_X32Y142 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y142 NUM_SITES 2 TILEPROP CLBLM_L_X32Y142 ROW 8 TILEPROP CLBLM_L_X32Y142 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y142 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y142 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y142 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y142 TILE_X 48076 TILEPROP CLBLM_L_X32Y142 TILE_Y 218296 TILEPROP CLBLM_L_X32Y142 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y143 CLASS tile TILEPROP CLBLM_L_X32Y143 COLUMN 81 TILEPROP CLBLM_L_X32Y143 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y143 FIRST_SITE_ID 705 TILEPROP CLBLM_L_X32Y143 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y143 GRID_POINT_Y 7 TILEPROP CLBLM_L_X32Y143 INDEX 886 TILEPROP CLBLM_L_X32Y143 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y143 INT_TILE_Y 6 TILEPROP CLBLM_L_X32Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y143 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y143 NAME CLBLM_L_X32Y143 TILEPROP CLBLM_L_X32Y143 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y143 NUM_SITES 2 TILEPROP CLBLM_L_X32Y143 ROW 7 TILEPROP CLBLM_L_X32Y143 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y143 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y143 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y143 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y143 TILE_X 48076 TILEPROP CLBLM_L_X32Y143 TILE_Y 221496 TILEPROP CLBLM_L_X32Y143 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y144 CLASS tile TILEPROP CLBLM_L_X32Y144 COLUMN 81 TILEPROP CLBLM_L_X32Y144 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y144 FIRST_SITE_ID 594 TILEPROP CLBLM_L_X32Y144 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y144 GRID_POINT_Y 6 TILEPROP CLBLM_L_X32Y144 INDEX 771 TILEPROP CLBLM_L_X32Y144 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y144 INT_TILE_Y 5 TILEPROP CLBLM_L_X32Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y144 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y144 NAME CLBLM_L_X32Y144 TILEPROP CLBLM_L_X32Y144 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y144 NUM_SITES 2 TILEPROP CLBLM_L_X32Y144 ROW 6 TILEPROP CLBLM_L_X32Y144 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y144 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y144 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y144 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y144 TILE_X 48076 TILEPROP CLBLM_L_X32Y144 TILE_Y 224696 TILEPROP CLBLM_L_X32Y144 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y145 CLASS tile TILEPROP CLBLM_L_X32Y145 COLUMN 81 TILEPROP CLBLM_L_X32Y145 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y145 FIRST_SITE_ID 495 TILEPROP CLBLM_L_X32Y145 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y145 GRID_POINT_Y 5 TILEPROP CLBLM_L_X32Y145 INDEX 656 TILEPROP CLBLM_L_X32Y145 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y145 INT_TILE_Y 4 TILEPROP CLBLM_L_X32Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y145 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y145 NAME CLBLM_L_X32Y145 TILEPROP CLBLM_L_X32Y145 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y145 NUM_SITES 2 TILEPROP CLBLM_L_X32Y145 ROW 5 TILEPROP CLBLM_L_X32Y145 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y145 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y145 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y145 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y145 TILE_X 48076 TILEPROP CLBLM_L_X32Y145 TILE_Y 227896 TILEPROP CLBLM_L_X32Y145 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y146 CLASS tile TILEPROP CLBLM_L_X32Y146 COLUMN 81 TILEPROP CLBLM_L_X32Y146 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y146 FIRST_SITE_ID 382 TILEPROP CLBLM_L_X32Y146 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y146 GRID_POINT_Y 4 TILEPROP CLBLM_L_X32Y146 INDEX 541 TILEPROP CLBLM_L_X32Y146 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y146 INT_TILE_Y 3 TILEPROP CLBLM_L_X32Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y146 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y146 NAME CLBLM_L_X32Y146 TILEPROP CLBLM_L_X32Y146 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y146 NUM_SITES 2 TILEPROP CLBLM_L_X32Y146 ROW 4 TILEPROP CLBLM_L_X32Y146 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y146 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y146 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y146 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y146 TILE_X 48076 TILEPROP CLBLM_L_X32Y146 TILE_Y 231096 TILEPROP CLBLM_L_X32Y146 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y147 CLASS tile TILEPROP CLBLM_L_X32Y147 COLUMN 81 TILEPROP CLBLM_L_X32Y147 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y147 FIRST_SITE_ID 286 TILEPROP CLBLM_L_X32Y147 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y147 GRID_POINT_Y 3 TILEPROP CLBLM_L_X32Y147 INDEX 426 TILEPROP CLBLM_L_X32Y147 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y147 INT_TILE_Y 2 TILEPROP CLBLM_L_X32Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y147 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y147 NAME CLBLM_L_X32Y147 TILEPROP CLBLM_L_X32Y147 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y147 NUM_SITES 2 TILEPROP CLBLM_L_X32Y147 ROW 3 TILEPROP CLBLM_L_X32Y147 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y147 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y147 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y147 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y147 TILE_X 48076 TILEPROP CLBLM_L_X32Y147 TILE_Y 234296 TILEPROP CLBLM_L_X32Y147 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y148 CLASS tile TILEPROP CLBLM_L_X32Y148 COLUMN 81 TILEPROP CLBLM_L_X32Y148 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y148 FIRST_SITE_ID 182 TILEPROP CLBLM_L_X32Y148 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y148 GRID_POINT_Y 2 TILEPROP CLBLM_L_X32Y148 INDEX 311 TILEPROP CLBLM_L_X32Y148 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y148 INT_TILE_Y 1 TILEPROP CLBLM_L_X32Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y148 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y148 NAME CLBLM_L_X32Y148 TILEPROP CLBLM_L_X32Y148 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y148 NUM_SITES 2 TILEPROP CLBLM_L_X32Y148 ROW 2 TILEPROP CLBLM_L_X32Y148 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y148 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X32Y148 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y148 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y148 TILE_X 48076 TILEPROP CLBLM_L_X32Y148 TILE_Y 237496 TILEPROP CLBLM_L_X32Y148 TYPE CLBLM_L TILEPROP CLBLM_L_X32Y149 CLASS tile TILEPROP CLBLM_L_X32Y149 COLUMN 81 TILEPROP CLBLM_L_X32Y149 DEVICE_ID 0 TILEPROP CLBLM_L_X32Y149 FIRST_SITE_ID 86 TILEPROP CLBLM_L_X32Y149 GRID_POINT_X 81 TILEPROP CLBLM_L_X32Y149 GRID_POINT_Y 1 TILEPROP CLBLM_L_X32Y149 INDEX 196 TILEPROP CLBLM_L_X32Y149 INT_TILE_X 31 TILEPROP CLBLM_L_X32Y149 INT_TILE_Y 0 TILEPROP CLBLM_L_X32Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X32Y149 IS_DCM_TILE 0 TILEPROP CLBLM_L_X32Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X32Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X32Y149 NAME CLBLM_L_X32Y149 TILEPROP CLBLM_L_X32Y149 NUM_ARCS 151 TILEPROP CLBLM_L_X32Y149 NUM_SITES 2 TILEPROP CLBLM_L_X32Y149 ROW 1 TILEPROP CLBLM_L_X32Y149 SLR_REGION_ID 0 TILEPROP CLBLM_L_X32Y149 TILE_PATTERN_IDX 22 TILEPROP CLBLM_L_X32Y149 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X32Y149 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X32Y149 TILE_X 48076 TILEPROP CLBLM_L_X32Y149 TILE_Y 240696 TILEPROP CLBLM_L_X32Y149 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y0 CLASS tile TILEPROP CLBLM_L_X36Y0 COLUMN 91 TILEPROP CLBLM_L_X36Y0 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y0 FIRST_SITE_ID 15792 TILEPROP CLBLM_L_X36Y0 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y0 GRID_POINT_Y 155 TILEPROP CLBLM_L_X36Y0 INDEX 17916 TILEPROP CLBLM_L_X36Y0 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y0 INT_TILE_Y 149 TILEPROP CLBLM_L_X36Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y0 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y0 NAME CLBLM_L_X36Y0 TILEPROP CLBLM_L_X36Y0 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y0 NUM_SITES 2 TILEPROP CLBLM_L_X36Y0 ROW 155 TILEPROP CLBLM_L_X36Y0 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y0 TILE_PATTERN_IDX 3508 TILEPROP CLBLM_L_X36Y0 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y0 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y0 TILE_X 65202 TILEPROP CLBLM_L_X36Y0 TILE_Y -239672 TILEPROP CLBLM_L_X36Y0 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y1 CLASS tile TILEPROP CLBLM_L_X36Y1 COLUMN 91 TILEPROP CLBLM_L_X36Y1 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y1 FIRST_SITE_ID 15676 TILEPROP CLBLM_L_X36Y1 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y1 GRID_POINT_Y 154 TILEPROP CLBLM_L_X36Y1 INDEX 17801 TILEPROP CLBLM_L_X36Y1 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y1 INT_TILE_Y 148 TILEPROP CLBLM_L_X36Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y1 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y1 NAME CLBLM_L_X36Y1 TILEPROP CLBLM_L_X36Y1 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y1 NUM_SITES 2 TILEPROP CLBLM_L_X36Y1 ROW 154 TILEPROP CLBLM_L_X36Y1 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y1 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y1 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y1 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y1 TILE_X 65202 TILEPROP CLBLM_L_X36Y1 TILE_Y -236472 TILEPROP CLBLM_L_X36Y1 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y2 CLASS tile TILEPROP CLBLM_L_X36Y2 COLUMN 91 TILEPROP CLBLM_L_X36Y2 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y2 FIRST_SITE_ID 15575 TILEPROP CLBLM_L_X36Y2 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y2 GRID_POINT_Y 153 TILEPROP CLBLM_L_X36Y2 INDEX 17686 TILEPROP CLBLM_L_X36Y2 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y2 INT_TILE_Y 147 TILEPROP CLBLM_L_X36Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y2 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y2 NAME CLBLM_L_X36Y2 TILEPROP CLBLM_L_X36Y2 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y2 NUM_SITES 2 TILEPROP CLBLM_L_X36Y2 ROW 153 TILEPROP CLBLM_L_X36Y2 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y2 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y2 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y2 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y2 TILE_X 65202 TILEPROP CLBLM_L_X36Y2 TILE_Y -233272 TILEPROP CLBLM_L_X36Y2 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y3 CLASS tile TILEPROP CLBLM_L_X36Y3 COLUMN 91 TILEPROP CLBLM_L_X36Y3 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y3 FIRST_SITE_ID 15475 TILEPROP CLBLM_L_X36Y3 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y3 GRID_POINT_Y 152 TILEPROP CLBLM_L_X36Y3 INDEX 17571 TILEPROP CLBLM_L_X36Y3 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y3 INT_TILE_Y 146 TILEPROP CLBLM_L_X36Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y3 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y3 NAME CLBLM_L_X36Y3 TILEPROP CLBLM_L_X36Y3 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y3 NUM_SITES 2 TILEPROP CLBLM_L_X36Y3 ROW 152 TILEPROP CLBLM_L_X36Y3 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y3 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y3 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y3 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y3 TILE_X 65202 TILEPROP CLBLM_L_X36Y3 TILE_Y -230072 TILEPROP CLBLM_L_X36Y3 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y4 CLASS tile TILEPROP CLBLM_L_X36Y4 COLUMN 91 TILEPROP CLBLM_L_X36Y4 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y4 FIRST_SITE_ID 15375 TILEPROP CLBLM_L_X36Y4 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y4 GRID_POINT_Y 151 TILEPROP CLBLM_L_X36Y4 INDEX 17456 TILEPROP CLBLM_L_X36Y4 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y4 INT_TILE_Y 145 TILEPROP CLBLM_L_X36Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y4 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y4 NAME CLBLM_L_X36Y4 TILEPROP CLBLM_L_X36Y4 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y4 NUM_SITES 2 TILEPROP CLBLM_L_X36Y4 ROW 151 TILEPROP CLBLM_L_X36Y4 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y4 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y4 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y4 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y4 TILE_X 65202 TILEPROP CLBLM_L_X36Y4 TILE_Y -226872 TILEPROP CLBLM_L_X36Y4 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y5 CLASS tile TILEPROP CLBLM_L_X36Y5 COLUMN 91 TILEPROP CLBLM_L_X36Y5 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y5 FIRST_SITE_ID 15272 TILEPROP CLBLM_L_X36Y5 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y5 GRID_POINT_Y 150 TILEPROP CLBLM_L_X36Y5 INDEX 17341 TILEPROP CLBLM_L_X36Y5 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y5 INT_TILE_Y 144 TILEPROP CLBLM_L_X36Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y5 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y5 NAME CLBLM_L_X36Y5 TILEPROP CLBLM_L_X36Y5 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y5 NUM_SITES 2 TILEPROP CLBLM_L_X36Y5 ROW 150 TILEPROP CLBLM_L_X36Y5 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y5 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y5 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y5 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y5 TILE_X 65202 TILEPROP CLBLM_L_X36Y5 TILE_Y -223672 TILEPROP CLBLM_L_X36Y5 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y6 CLASS tile TILEPROP CLBLM_L_X36Y6 COLUMN 91 TILEPROP CLBLM_L_X36Y6 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y6 FIRST_SITE_ID 15160 TILEPROP CLBLM_L_X36Y6 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y6 GRID_POINT_Y 149 TILEPROP CLBLM_L_X36Y6 INDEX 17226 TILEPROP CLBLM_L_X36Y6 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y6 INT_TILE_Y 143 TILEPROP CLBLM_L_X36Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y6 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y6 NAME CLBLM_L_X36Y6 TILEPROP CLBLM_L_X36Y6 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y6 NUM_SITES 2 TILEPROP CLBLM_L_X36Y6 ROW 149 TILEPROP CLBLM_L_X36Y6 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y6 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y6 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y6 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y6 TILE_X 65202 TILEPROP CLBLM_L_X36Y6 TILE_Y -220472 TILEPROP CLBLM_L_X36Y6 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y7 CLASS tile TILEPROP CLBLM_L_X36Y7 COLUMN 91 TILEPROP CLBLM_L_X36Y7 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y7 FIRST_SITE_ID 15058 TILEPROP CLBLM_L_X36Y7 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y7 GRID_POINT_Y 148 TILEPROP CLBLM_L_X36Y7 INDEX 17111 TILEPROP CLBLM_L_X36Y7 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y7 INT_TILE_Y 142 TILEPROP CLBLM_L_X36Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y7 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y7 NAME CLBLM_L_X36Y7 TILEPROP CLBLM_L_X36Y7 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y7 NUM_SITES 2 TILEPROP CLBLM_L_X36Y7 ROW 148 TILEPROP CLBLM_L_X36Y7 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y7 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y7 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y7 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y7 TILE_X 65202 TILEPROP CLBLM_L_X36Y7 TILE_Y -217272 TILEPROP CLBLM_L_X36Y7 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y8 CLASS tile TILEPROP CLBLM_L_X36Y8 COLUMN 91 TILEPROP CLBLM_L_X36Y8 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y8 FIRST_SITE_ID 14955 TILEPROP CLBLM_L_X36Y8 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y8 GRID_POINT_Y 147 TILEPROP CLBLM_L_X36Y8 INDEX 16996 TILEPROP CLBLM_L_X36Y8 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y8 INT_TILE_Y 141 TILEPROP CLBLM_L_X36Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y8 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y8 NAME CLBLM_L_X36Y8 TILEPROP CLBLM_L_X36Y8 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y8 NUM_SITES 2 TILEPROP CLBLM_L_X36Y8 ROW 147 TILEPROP CLBLM_L_X36Y8 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y8 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y8 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y8 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y8 TILE_X 65202 TILEPROP CLBLM_L_X36Y8 TILE_Y -214072 TILEPROP CLBLM_L_X36Y8 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y9 CLASS tile TILEPROP CLBLM_L_X36Y9 COLUMN 91 TILEPROP CLBLM_L_X36Y9 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y9 FIRST_SITE_ID 14854 TILEPROP CLBLM_L_X36Y9 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y9 GRID_POINT_Y 146 TILEPROP CLBLM_L_X36Y9 INDEX 16881 TILEPROP CLBLM_L_X36Y9 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y9 INT_TILE_Y 140 TILEPROP CLBLM_L_X36Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y9 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y9 NAME CLBLM_L_X36Y9 TILEPROP CLBLM_L_X36Y9 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y9 NUM_SITES 2 TILEPROP CLBLM_L_X36Y9 ROW 146 TILEPROP CLBLM_L_X36Y9 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y9 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y9 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y9 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y9 TILE_X 65202 TILEPROP CLBLM_L_X36Y9 TILE_Y -210872 TILEPROP CLBLM_L_X36Y9 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y10 CLASS tile TILEPROP CLBLM_L_X36Y10 COLUMN 91 TILEPROP CLBLM_L_X36Y10 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y10 FIRST_SITE_ID 14751 TILEPROP CLBLM_L_X36Y10 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y10 GRID_POINT_Y 145 TILEPROP CLBLM_L_X36Y10 INDEX 16766 TILEPROP CLBLM_L_X36Y10 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y10 INT_TILE_Y 139 TILEPROP CLBLM_L_X36Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y10 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y10 NAME CLBLM_L_X36Y10 TILEPROP CLBLM_L_X36Y10 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y10 NUM_SITES 2 TILEPROP CLBLM_L_X36Y10 ROW 145 TILEPROP CLBLM_L_X36Y10 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y10 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y10 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y10 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y10 TILE_X 65202 TILEPROP CLBLM_L_X36Y10 TILE_Y -207672 TILEPROP CLBLM_L_X36Y10 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y11 CLASS tile TILEPROP CLBLM_L_X36Y11 COLUMN 91 TILEPROP CLBLM_L_X36Y11 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y11 FIRST_SITE_ID 14639 TILEPROP CLBLM_L_X36Y11 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y11 GRID_POINT_Y 144 TILEPROP CLBLM_L_X36Y11 INDEX 16651 TILEPROP CLBLM_L_X36Y11 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y11 INT_TILE_Y 138 TILEPROP CLBLM_L_X36Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y11 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y11 NAME CLBLM_L_X36Y11 TILEPROP CLBLM_L_X36Y11 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y11 NUM_SITES 2 TILEPROP CLBLM_L_X36Y11 ROW 144 TILEPROP CLBLM_L_X36Y11 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y11 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y11 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y11 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y11 TILE_X 65202 TILEPROP CLBLM_L_X36Y11 TILE_Y -204472 TILEPROP CLBLM_L_X36Y11 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y12 CLASS tile TILEPROP CLBLM_L_X36Y12 COLUMN 91 TILEPROP CLBLM_L_X36Y12 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y12 FIRST_SITE_ID 14539 TILEPROP CLBLM_L_X36Y12 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y12 GRID_POINT_Y 143 TILEPROP CLBLM_L_X36Y12 INDEX 16536 TILEPROP CLBLM_L_X36Y12 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y12 INT_TILE_Y 137 TILEPROP CLBLM_L_X36Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y12 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y12 NAME CLBLM_L_X36Y12 TILEPROP CLBLM_L_X36Y12 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y12 NUM_SITES 2 TILEPROP CLBLM_L_X36Y12 ROW 143 TILEPROP CLBLM_L_X36Y12 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y12 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y12 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y12 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y12 TILE_X 65202 TILEPROP CLBLM_L_X36Y12 TILE_Y -201272 TILEPROP CLBLM_L_X36Y12 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y13 CLASS tile TILEPROP CLBLM_L_X36Y13 COLUMN 91 TILEPROP CLBLM_L_X36Y13 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y13 FIRST_SITE_ID 14407 TILEPROP CLBLM_L_X36Y13 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y13 GRID_POINT_Y 142 TILEPROP CLBLM_L_X36Y13 INDEX 16421 TILEPROP CLBLM_L_X36Y13 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y13 INT_TILE_Y 136 TILEPROP CLBLM_L_X36Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y13 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y13 NAME CLBLM_L_X36Y13 TILEPROP CLBLM_L_X36Y13 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y13 NUM_SITES 2 TILEPROP CLBLM_L_X36Y13 ROW 142 TILEPROP CLBLM_L_X36Y13 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y13 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y13 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y13 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y13 TILE_X 65202 TILEPROP CLBLM_L_X36Y13 TILE_Y -198072 TILEPROP CLBLM_L_X36Y13 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y14 CLASS tile TILEPROP CLBLM_L_X36Y14 COLUMN 91 TILEPROP CLBLM_L_X36Y14 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y14 FIRST_SITE_ID 14307 TILEPROP CLBLM_L_X36Y14 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y14 GRID_POINT_Y 141 TILEPROP CLBLM_L_X36Y14 INDEX 16306 TILEPROP CLBLM_L_X36Y14 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y14 INT_TILE_Y 135 TILEPROP CLBLM_L_X36Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y14 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y14 NAME CLBLM_L_X36Y14 TILEPROP CLBLM_L_X36Y14 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y14 NUM_SITES 2 TILEPROP CLBLM_L_X36Y14 ROW 141 TILEPROP CLBLM_L_X36Y14 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y14 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y14 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y14 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y14 TILE_X 65202 TILEPROP CLBLM_L_X36Y14 TILE_Y -194872 TILEPROP CLBLM_L_X36Y14 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y15 CLASS tile TILEPROP CLBLM_L_X36Y15 COLUMN 91 TILEPROP CLBLM_L_X36Y15 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y15 FIRST_SITE_ID 14204 TILEPROP CLBLM_L_X36Y15 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y15 GRID_POINT_Y 140 TILEPROP CLBLM_L_X36Y15 INDEX 16191 TILEPROP CLBLM_L_X36Y15 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y15 INT_TILE_Y 134 TILEPROP CLBLM_L_X36Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y15 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y15 NAME CLBLM_L_X36Y15 TILEPROP CLBLM_L_X36Y15 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y15 NUM_SITES 2 TILEPROP CLBLM_L_X36Y15 ROW 140 TILEPROP CLBLM_L_X36Y15 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y15 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y15 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y15 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y15 TILE_X 65202 TILEPROP CLBLM_L_X36Y15 TILE_Y -191672 TILEPROP CLBLM_L_X36Y15 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y16 CLASS tile TILEPROP CLBLM_L_X36Y16 COLUMN 91 TILEPROP CLBLM_L_X36Y16 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y16 FIRST_SITE_ID 14092 TILEPROP CLBLM_L_X36Y16 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y16 GRID_POINT_Y 139 TILEPROP CLBLM_L_X36Y16 INDEX 16076 TILEPROP CLBLM_L_X36Y16 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y16 INT_TILE_Y 133 TILEPROP CLBLM_L_X36Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y16 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y16 NAME CLBLM_L_X36Y16 TILEPROP CLBLM_L_X36Y16 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y16 NUM_SITES 2 TILEPROP CLBLM_L_X36Y16 ROW 139 TILEPROP CLBLM_L_X36Y16 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y16 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y16 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y16 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y16 TILE_X 65202 TILEPROP CLBLM_L_X36Y16 TILE_Y -188472 TILEPROP CLBLM_L_X36Y16 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y17 CLASS tile TILEPROP CLBLM_L_X36Y17 COLUMN 91 TILEPROP CLBLM_L_X36Y17 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y17 FIRST_SITE_ID 13988 TILEPROP CLBLM_L_X36Y17 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y17 GRID_POINT_Y 138 TILEPROP CLBLM_L_X36Y17 INDEX 15961 TILEPROP CLBLM_L_X36Y17 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y17 INT_TILE_Y 132 TILEPROP CLBLM_L_X36Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y17 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y17 NAME CLBLM_L_X36Y17 TILEPROP CLBLM_L_X36Y17 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y17 NUM_SITES 2 TILEPROP CLBLM_L_X36Y17 ROW 138 TILEPROP CLBLM_L_X36Y17 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y17 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y17 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y17 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y17 TILE_X 65202 TILEPROP CLBLM_L_X36Y17 TILE_Y -185272 TILEPROP CLBLM_L_X36Y17 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y18 CLASS tile TILEPROP CLBLM_L_X36Y18 COLUMN 91 TILEPROP CLBLM_L_X36Y18 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y18 FIRST_SITE_ID 13883 TILEPROP CLBLM_L_X36Y18 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y18 GRID_POINT_Y 137 TILEPROP CLBLM_L_X36Y18 INDEX 15846 TILEPROP CLBLM_L_X36Y18 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y18 INT_TILE_Y 131 TILEPROP CLBLM_L_X36Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y18 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y18 NAME CLBLM_L_X36Y18 TILEPROP CLBLM_L_X36Y18 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y18 NUM_SITES 2 TILEPROP CLBLM_L_X36Y18 ROW 137 TILEPROP CLBLM_L_X36Y18 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y18 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y18 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y18 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y18 TILE_X 65202 TILEPROP CLBLM_L_X36Y18 TILE_Y -182072 TILEPROP CLBLM_L_X36Y18 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y19 CLASS tile TILEPROP CLBLM_L_X36Y19 COLUMN 91 TILEPROP CLBLM_L_X36Y19 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y19 FIRST_SITE_ID 13781 TILEPROP CLBLM_L_X36Y19 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y19 GRID_POINT_Y 136 TILEPROP CLBLM_L_X36Y19 INDEX 15731 TILEPROP CLBLM_L_X36Y19 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y19 INT_TILE_Y 130 TILEPROP CLBLM_L_X36Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y19 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y19 NAME CLBLM_L_X36Y19 TILEPROP CLBLM_L_X36Y19 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y19 NUM_SITES 2 TILEPROP CLBLM_L_X36Y19 ROW 136 TILEPROP CLBLM_L_X36Y19 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y19 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y19 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y19 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y19 TILE_X 65202 TILEPROP CLBLM_L_X36Y19 TILE_Y -178872 TILEPROP CLBLM_L_X36Y19 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y20 CLASS tile TILEPROP CLBLM_L_X36Y20 COLUMN 91 TILEPROP CLBLM_L_X36Y20 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y20 FIRST_SITE_ID 13676 TILEPROP CLBLM_L_X36Y20 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y20 GRID_POINT_Y 135 TILEPROP CLBLM_L_X36Y20 INDEX 15616 TILEPROP CLBLM_L_X36Y20 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y20 INT_TILE_Y 129 TILEPROP CLBLM_L_X36Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y20 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y20 NAME CLBLM_L_X36Y20 TILEPROP CLBLM_L_X36Y20 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y20 NUM_SITES 2 TILEPROP CLBLM_L_X36Y20 ROW 135 TILEPROP CLBLM_L_X36Y20 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y20 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y20 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y20 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y20 TILE_X 65202 TILEPROP CLBLM_L_X36Y20 TILE_Y -175672 TILEPROP CLBLM_L_X36Y20 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y21 CLASS tile TILEPROP CLBLM_L_X36Y21 COLUMN 91 TILEPROP CLBLM_L_X36Y21 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y21 FIRST_SITE_ID 13564 TILEPROP CLBLM_L_X36Y21 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y21 GRID_POINT_Y 134 TILEPROP CLBLM_L_X36Y21 INDEX 15501 TILEPROP CLBLM_L_X36Y21 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y21 INT_TILE_Y 128 TILEPROP CLBLM_L_X36Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y21 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y21 NAME CLBLM_L_X36Y21 TILEPROP CLBLM_L_X36Y21 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y21 NUM_SITES 2 TILEPROP CLBLM_L_X36Y21 ROW 134 TILEPROP CLBLM_L_X36Y21 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y21 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y21 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y21 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y21 TILE_X 65202 TILEPROP CLBLM_L_X36Y21 TILE_Y -172472 TILEPROP CLBLM_L_X36Y21 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y22 CLASS tile TILEPROP CLBLM_L_X36Y22 COLUMN 91 TILEPROP CLBLM_L_X36Y22 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y22 FIRST_SITE_ID 13464 TILEPROP CLBLM_L_X36Y22 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y22 GRID_POINT_Y 133 TILEPROP CLBLM_L_X36Y22 INDEX 15386 TILEPROP CLBLM_L_X36Y22 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y22 INT_TILE_Y 127 TILEPROP CLBLM_L_X36Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y22 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y22 NAME CLBLM_L_X36Y22 TILEPROP CLBLM_L_X36Y22 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y22 NUM_SITES 2 TILEPROP CLBLM_L_X36Y22 ROW 133 TILEPROP CLBLM_L_X36Y22 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y22 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y22 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y22 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y22 TILE_X 65202 TILEPROP CLBLM_L_X36Y22 TILE_Y -169272 TILEPROP CLBLM_L_X36Y22 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y23 CLASS tile TILEPROP CLBLM_L_X36Y23 COLUMN 91 TILEPROP CLBLM_L_X36Y23 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y23 FIRST_SITE_ID 13364 TILEPROP CLBLM_L_X36Y23 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y23 GRID_POINT_Y 132 TILEPROP CLBLM_L_X36Y23 INDEX 15271 TILEPROP CLBLM_L_X36Y23 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y23 INT_TILE_Y 126 TILEPROP CLBLM_L_X36Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y23 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y23 NAME CLBLM_L_X36Y23 TILEPROP CLBLM_L_X36Y23 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y23 NUM_SITES 2 TILEPROP CLBLM_L_X36Y23 ROW 132 TILEPROP CLBLM_L_X36Y23 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y23 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y23 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y23 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y23 TILE_X 65202 TILEPROP CLBLM_L_X36Y23 TILE_Y -166072 TILEPROP CLBLM_L_X36Y23 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y24 CLASS tile TILEPROP CLBLM_L_X36Y24 COLUMN 91 TILEPROP CLBLM_L_X36Y24 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y24 FIRST_SITE_ID 13264 TILEPROP CLBLM_L_X36Y24 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y24 GRID_POINT_Y 131 TILEPROP CLBLM_L_X36Y24 INDEX 15156 TILEPROP CLBLM_L_X36Y24 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y24 INT_TILE_Y 125 TILEPROP CLBLM_L_X36Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y24 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y24 NAME CLBLM_L_X36Y24 TILEPROP CLBLM_L_X36Y24 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y24 NUM_SITES 2 TILEPROP CLBLM_L_X36Y24 ROW 131 TILEPROP CLBLM_L_X36Y24 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y24 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X36Y24 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y24 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y24 TILE_X 65202 TILEPROP CLBLM_L_X36Y24 TILE_Y -162872 TILEPROP CLBLM_L_X36Y24 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y25 CLASS tile TILEPROP CLBLM_L_X36Y25 COLUMN 91 TILEPROP CLBLM_L_X36Y25 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y25 FIRST_SITE_ID 13078 TILEPROP CLBLM_L_X36Y25 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y25 GRID_POINT_Y 129 TILEPROP CLBLM_L_X36Y25 INDEX 14926 TILEPROP CLBLM_L_X36Y25 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y25 INT_TILE_Y 124 TILEPROP CLBLM_L_X36Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y25 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y25 NAME CLBLM_L_X36Y25 TILEPROP CLBLM_L_X36Y25 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y25 NUM_SITES 2 TILEPROP CLBLM_L_X36Y25 ROW 129 TILEPROP CLBLM_L_X36Y25 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y25 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X36Y25 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y25 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y25 TILE_X 65202 TILEPROP CLBLM_L_X36Y25 TILE_Y -158648 TILEPROP CLBLM_L_X36Y25 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y26 CLASS tile TILEPROP CLBLM_L_X36Y26 COLUMN 91 TILEPROP CLBLM_L_X36Y26 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y26 FIRST_SITE_ID 12966 TILEPROP CLBLM_L_X36Y26 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y26 GRID_POINT_Y 128 TILEPROP CLBLM_L_X36Y26 INDEX 14811 TILEPROP CLBLM_L_X36Y26 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y26 INT_TILE_Y 123 TILEPROP CLBLM_L_X36Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y26 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y26 NAME CLBLM_L_X36Y26 TILEPROP CLBLM_L_X36Y26 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y26 NUM_SITES 2 TILEPROP CLBLM_L_X36Y26 ROW 128 TILEPROP CLBLM_L_X36Y26 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y26 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y26 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y26 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y26 TILE_X 65202 TILEPROP CLBLM_L_X36Y26 TILE_Y -155448 TILEPROP CLBLM_L_X36Y26 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y27 CLASS tile TILEPROP CLBLM_L_X36Y27 COLUMN 91 TILEPROP CLBLM_L_X36Y27 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y27 FIRST_SITE_ID 12866 TILEPROP CLBLM_L_X36Y27 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y27 GRID_POINT_Y 127 TILEPROP CLBLM_L_X36Y27 INDEX 14696 TILEPROP CLBLM_L_X36Y27 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y27 INT_TILE_Y 122 TILEPROP CLBLM_L_X36Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y27 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y27 NAME CLBLM_L_X36Y27 TILEPROP CLBLM_L_X36Y27 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y27 NUM_SITES 2 TILEPROP CLBLM_L_X36Y27 ROW 127 TILEPROP CLBLM_L_X36Y27 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y27 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y27 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y27 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y27 TILE_X 65202 TILEPROP CLBLM_L_X36Y27 TILE_Y -152248 TILEPROP CLBLM_L_X36Y27 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y28 CLASS tile TILEPROP CLBLM_L_X36Y28 COLUMN 91 TILEPROP CLBLM_L_X36Y28 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y28 FIRST_SITE_ID 12766 TILEPROP CLBLM_L_X36Y28 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y28 GRID_POINT_Y 126 TILEPROP CLBLM_L_X36Y28 INDEX 14581 TILEPROP CLBLM_L_X36Y28 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y28 INT_TILE_Y 121 TILEPROP CLBLM_L_X36Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y28 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y28 NAME CLBLM_L_X36Y28 TILEPROP CLBLM_L_X36Y28 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y28 NUM_SITES 2 TILEPROP CLBLM_L_X36Y28 ROW 126 TILEPROP CLBLM_L_X36Y28 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y28 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y28 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y28 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y28 TILE_X 65202 TILEPROP CLBLM_L_X36Y28 TILE_Y -149048 TILEPROP CLBLM_L_X36Y28 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y29 CLASS tile TILEPROP CLBLM_L_X36Y29 COLUMN 91 TILEPROP CLBLM_L_X36Y29 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y29 FIRST_SITE_ID 12660 TILEPROP CLBLM_L_X36Y29 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y29 GRID_POINT_Y 125 TILEPROP CLBLM_L_X36Y29 INDEX 14466 TILEPROP CLBLM_L_X36Y29 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y29 INT_TILE_Y 120 TILEPROP CLBLM_L_X36Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y29 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y29 NAME CLBLM_L_X36Y29 TILEPROP CLBLM_L_X36Y29 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y29 NUM_SITES 2 TILEPROP CLBLM_L_X36Y29 ROW 125 TILEPROP CLBLM_L_X36Y29 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y29 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y29 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y29 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y29 TILE_X 65202 TILEPROP CLBLM_L_X36Y29 TILE_Y -145848 TILEPROP CLBLM_L_X36Y29 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y30 CLASS tile TILEPROP CLBLM_L_X36Y30 COLUMN 91 TILEPROP CLBLM_L_X36Y30 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y30 FIRST_SITE_ID 12551 TILEPROP CLBLM_L_X36Y30 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y30 GRID_POINT_Y 124 TILEPROP CLBLM_L_X36Y30 INDEX 14351 TILEPROP CLBLM_L_X36Y30 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y30 INT_TILE_Y 119 TILEPROP CLBLM_L_X36Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y30 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y30 NAME CLBLM_L_X36Y30 TILEPROP CLBLM_L_X36Y30 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y30 NUM_SITES 2 TILEPROP CLBLM_L_X36Y30 ROW 124 TILEPROP CLBLM_L_X36Y30 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y30 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y30 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y30 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y30 TILE_X 65202 TILEPROP CLBLM_L_X36Y30 TILE_Y -142648 TILEPROP CLBLM_L_X36Y30 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y31 CLASS tile TILEPROP CLBLM_L_X36Y31 COLUMN 91 TILEPROP CLBLM_L_X36Y31 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y31 FIRST_SITE_ID 12424 TILEPROP CLBLM_L_X36Y31 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y31 GRID_POINT_Y 123 TILEPROP CLBLM_L_X36Y31 INDEX 14236 TILEPROP CLBLM_L_X36Y31 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y31 INT_TILE_Y 118 TILEPROP CLBLM_L_X36Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y31 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y31 NAME CLBLM_L_X36Y31 TILEPROP CLBLM_L_X36Y31 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y31 NUM_SITES 2 TILEPROP CLBLM_L_X36Y31 ROW 123 TILEPROP CLBLM_L_X36Y31 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y31 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y31 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y31 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y31 TILE_X 65202 TILEPROP CLBLM_L_X36Y31 TILE_Y -139448 TILEPROP CLBLM_L_X36Y31 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y32 CLASS tile TILEPROP CLBLM_L_X36Y32 COLUMN 91 TILEPROP CLBLM_L_X36Y32 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y32 FIRST_SITE_ID 12322 TILEPROP CLBLM_L_X36Y32 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y32 GRID_POINT_Y 122 TILEPROP CLBLM_L_X36Y32 INDEX 14121 TILEPROP CLBLM_L_X36Y32 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y32 INT_TILE_Y 117 TILEPROP CLBLM_L_X36Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y32 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y32 NAME CLBLM_L_X36Y32 TILEPROP CLBLM_L_X36Y32 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y32 NUM_SITES 2 TILEPROP CLBLM_L_X36Y32 ROW 122 TILEPROP CLBLM_L_X36Y32 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y32 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y32 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y32 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y32 TILE_X 65202 TILEPROP CLBLM_L_X36Y32 TILE_Y -136248 TILEPROP CLBLM_L_X36Y32 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y33 CLASS tile TILEPROP CLBLM_L_X36Y33 COLUMN 91 TILEPROP CLBLM_L_X36Y33 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y33 FIRST_SITE_ID 12221 TILEPROP CLBLM_L_X36Y33 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y33 GRID_POINT_Y 121 TILEPROP CLBLM_L_X36Y33 INDEX 14006 TILEPROP CLBLM_L_X36Y33 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y33 INT_TILE_Y 116 TILEPROP CLBLM_L_X36Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y33 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y33 NAME CLBLM_L_X36Y33 TILEPROP CLBLM_L_X36Y33 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y33 NUM_SITES 2 TILEPROP CLBLM_L_X36Y33 ROW 121 TILEPROP CLBLM_L_X36Y33 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y33 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y33 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y33 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y33 TILE_X 65202 TILEPROP CLBLM_L_X36Y33 TILE_Y -133048 TILEPROP CLBLM_L_X36Y33 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y34 CLASS tile TILEPROP CLBLM_L_X36Y34 COLUMN 91 TILEPROP CLBLM_L_X36Y34 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y34 FIRST_SITE_ID 12121 TILEPROP CLBLM_L_X36Y34 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y34 GRID_POINT_Y 120 TILEPROP CLBLM_L_X36Y34 INDEX 13891 TILEPROP CLBLM_L_X36Y34 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y34 INT_TILE_Y 115 TILEPROP CLBLM_L_X36Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y34 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y34 NAME CLBLM_L_X36Y34 TILEPROP CLBLM_L_X36Y34 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y34 NUM_SITES 2 TILEPROP CLBLM_L_X36Y34 ROW 120 TILEPROP CLBLM_L_X36Y34 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y34 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y34 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y34 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y34 TILE_X 65202 TILEPROP CLBLM_L_X36Y34 TILE_Y -129848 TILEPROP CLBLM_L_X36Y34 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y35 CLASS tile TILEPROP CLBLM_L_X36Y35 COLUMN 91 TILEPROP CLBLM_L_X36Y35 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y35 FIRST_SITE_ID 12018 TILEPROP CLBLM_L_X36Y35 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y35 GRID_POINT_Y 119 TILEPROP CLBLM_L_X36Y35 INDEX 13776 TILEPROP CLBLM_L_X36Y35 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y35 INT_TILE_Y 114 TILEPROP CLBLM_L_X36Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y35 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y35 NAME CLBLM_L_X36Y35 TILEPROP CLBLM_L_X36Y35 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y35 NUM_SITES 2 TILEPROP CLBLM_L_X36Y35 ROW 119 TILEPROP CLBLM_L_X36Y35 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y35 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y35 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y35 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y35 TILE_X 65202 TILEPROP CLBLM_L_X36Y35 TILE_Y -126648 TILEPROP CLBLM_L_X36Y35 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y36 CLASS tile TILEPROP CLBLM_L_X36Y36 COLUMN 91 TILEPROP CLBLM_L_X36Y36 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y36 FIRST_SITE_ID 11906 TILEPROP CLBLM_L_X36Y36 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y36 GRID_POINT_Y 118 TILEPROP CLBLM_L_X36Y36 INDEX 13661 TILEPROP CLBLM_L_X36Y36 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y36 INT_TILE_Y 113 TILEPROP CLBLM_L_X36Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y36 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y36 NAME CLBLM_L_X36Y36 TILEPROP CLBLM_L_X36Y36 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y36 NUM_SITES 2 TILEPROP CLBLM_L_X36Y36 ROW 118 TILEPROP CLBLM_L_X36Y36 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y36 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y36 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y36 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y36 TILE_X 65202 TILEPROP CLBLM_L_X36Y36 TILE_Y -123448 TILEPROP CLBLM_L_X36Y36 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y37 CLASS tile TILEPROP CLBLM_L_X36Y37 COLUMN 91 TILEPROP CLBLM_L_X36Y37 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y37 FIRST_SITE_ID 11774 TILEPROP CLBLM_L_X36Y37 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y37 GRID_POINT_Y 117 TILEPROP CLBLM_L_X36Y37 INDEX 13546 TILEPROP CLBLM_L_X36Y37 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y37 INT_TILE_Y 112 TILEPROP CLBLM_L_X36Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y37 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y37 NAME CLBLM_L_X36Y37 TILEPROP CLBLM_L_X36Y37 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y37 NUM_SITES 2 TILEPROP CLBLM_L_X36Y37 ROW 117 TILEPROP CLBLM_L_X36Y37 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y37 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y37 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y37 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y37 TILE_X 65202 TILEPROP CLBLM_L_X36Y37 TILE_Y -120248 TILEPROP CLBLM_L_X36Y37 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y38 CLASS tile TILEPROP CLBLM_L_X36Y38 COLUMN 91 TILEPROP CLBLM_L_X36Y38 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y38 FIRST_SITE_ID 11674 TILEPROP CLBLM_L_X36Y38 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y38 GRID_POINT_Y 116 TILEPROP CLBLM_L_X36Y38 INDEX 13431 TILEPROP CLBLM_L_X36Y38 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y38 INT_TILE_Y 111 TILEPROP CLBLM_L_X36Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y38 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y38 NAME CLBLM_L_X36Y38 TILEPROP CLBLM_L_X36Y38 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y38 NUM_SITES 2 TILEPROP CLBLM_L_X36Y38 ROW 116 TILEPROP CLBLM_L_X36Y38 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y38 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y38 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y38 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y38 TILE_X 65202 TILEPROP CLBLM_L_X36Y38 TILE_Y -117048 TILEPROP CLBLM_L_X36Y38 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y39 CLASS tile TILEPROP CLBLM_L_X36Y39 COLUMN 91 TILEPROP CLBLM_L_X36Y39 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y39 FIRST_SITE_ID 11574 TILEPROP CLBLM_L_X36Y39 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y39 GRID_POINT_Y 115 TILEPROP CLBLM_L_X36Y39 INDEX 13316 TILEPROP CLBLM_L_X36Y39 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y39 INT_TILE_Y 110 TILEPROP CLBLM_L_X36Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y39 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y39 NAME CLBLM_L_X36Y39 TILEPROP CLBLM_L_X36Y39 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y39 NUM_SITES 2 TILEPROP CLBLM_L_X36Y39 ROW 115 TILEPROP CLBLM_L_X36Y39 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y39 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y39 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y39 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y39 TILE_X 65202 TILEPROP CLBLM_L_X36Y39 TILE_Y -113848 TILEPROP CLBLM_L_X36Y39 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y40 CLASS tile TILEPROP CLBLM_L_X36Y40 COLUMN 91 TILEPROP CLBLM_L_X36Y40 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y40 FIRST_SITE_ID 11471 TILEPROP CLBLM_L_X36Y40 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y40 GRID_POINT_Y 114 TILEPROP CLBLM_L_X36Y40 INDEX 13201 TILEPROP CLBLM_L_X36Y40 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y40 INT_TILE_Y 109 TILEPROP CLBLM_L_X36Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y40 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y40 NAME CLBLM_L_X36Y40 TILEPROP CLBLM_L_X36Y40 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y40 NUM_SITES 2 TILEPROP CLBLM_L_X36Y40 ROW 114 TILEPROP CLBLM_L_X36Y40 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y40 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y40 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y40 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y40 TILE_X 65202 TILEPROP CLBLM_L_X36Y40 TILE_Y -110648 TILEPROP CLBLM_L_X36Y40 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y41 CLASS tile TILEPROP CLBLM_L_X36Y41 COLUMN 91 TILEPROP CLBLM_L_X36Y41 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y41 FIRST_SITE_ID 11357 TILEPROP CLBLM_L_X36Y41 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y41 GRID_POINT_Y 113 TILEPROP CLBLM_L_X36Y41 INDEX 13086 TILEPROP CLBLM_L_X36Y41 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y41 INT_TILE_Y 108 TILEPROP CLBLM_L_X36Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y41 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y41 NAME CLBLM_L_X36Y41 TILEPROP CLBLM_L_X36Y41 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y41 NUM_SITES 2 TILEPROP CLBLM_L_X36Y41 ROW 113 TILEPROP CLBLM_L_X36Y41 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y41 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y41 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y41 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y41 TILE_X 65202 TILEPROP CLBLM_L_X36Y41 TILE_Y -107448 TILEPROP CLBLM_L_X36Y41 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y42 CLASS tile TILEPROP CLBLM_L_X36Y42 COLUMN 91 TILEPROP CLBLM_L_X36Y42 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y42 FIRST_SITE_ID 11255 TILEPROP CLBLM_L_X36Y42 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y42 GRID_POINT_Y 112 TILEPROP CLBLM_L_X36Y42 INDEX 12971 TILEPROP CLBLM_L_X36Y42 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y42 INT_TILE_Y 107 TILEPROP CLBLM_L_X36Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y42 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y42 NAME CLBLM_L_X36Y42 TILEPROP CLBLM_L_X36Y42 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y42 NUM_SITES 2 TILEPROP CLBLM_L_X36Y42 ROW 112 TILEPROP CLBLM_L_X36Y42 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y42 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y42 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y42 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y42 TILE_X 65202 TILEPROP CLBLM_L_X36Y42 TILE_Y -104248 TILEPROP CLBLM_L_X36Y42 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y43 CLASS tile TILEPROP CLBLM_L_X36Y43 COLUMN 91 TILEPROP CLBLM_L_X36Y43 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y43 FIRST_SITE_ID 11152 TILEPROP CLBLM_L_X36Y43 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y43 GRID_POINT_Y 111 TILEPROP CLBLM_L_X36Y43 INDEX 12856 TILEPROP CLBLM_L_X36Y43 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y43 INT_TILE_Y 106 TILEPROP CLBLM_L_X36Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y43 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y43 NAME CLBLM_L_X36Y43 TILEPROP CLBLM_L_X36Y43 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y43 NUM_SITES 2 TILEPROP CLBLM_L_X36Y43 ROW 111 TILEPROP CLBLM_L_X36Y43 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y43 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y43 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y43 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y43 TILE_X 65202 TILEPROP CLBLM_L_X36Y43 TILE_Y -101048 TILEPROP CLBLM_L_X36Y43 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y44 CLASS tile TILEPROP CLBLM_L_X36Y44 COLUMN 91 TILEPROP CLBLM_L_X36Y44 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y44 FIRST_SITE_ID 11050 TILEPROP CLBLM_L_X36Y44 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y44 GRID_POINT_Y 110 TILEPROP CLBLM_L_X36Y44 INDEX 12741 TILEPROP CLBLM_L_X36Y44 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y44 INT_TILE_Y 105 TILEPROP CLBLM_L_X36Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y44 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y44 NAME CLBLM_L_X36Y44 TILEPROP CLBLM_L_X36Y44 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y44 NUM_SITES 2 TILEPROP CLBLM_L_X36Y44 ROW 110 TILEPROP CLBLM_L_X36Y44 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y44 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y44 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y44 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y44 TILE_X 65202 TILEPROP CLBLM_L_X36Y44 TILE_Y -97848 TILEPROP CLBLM_L_X36Y44 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y45 CLASS tile TILEPROP CLBLM_L_X36Y45 COLUMN 91 TILEPROP CLBLM_L_X36Y45 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y45 FIRST_SITE_ID 10947 TILEPROP CLBLM_L_X36Y45 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y45 GRID_POINT_Y 109 TILEPROP CLBLM_L_X36Y45 INDEX 12626 TILEPROP CLBLM_L_X36Y45 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y45 INT_TILE_Y 104 TILEPROP CLBLM_L_X36Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y45 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y45 NAME CLBLM_L_X36Y45 TILEPROP CLBLM_L_X36Y45 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y45 NUM_SITES 2 TILEPROP CLBLM_L_X36Y45 ROW 109 TILEPROP CLBLM_L_X36Y45 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y45 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y45 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y45 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y45 TILE_X 65202 TILEPROP CLBLM_L_X36Y45 TILE_Y -94648 TILEPROP CLBLM_L_X36Y45 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y46 CLASS tile TILEPROP CLBLM_L_X36Y46 COLUMN 91 TILEPROP CLBLM_L_X36Y46 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y46 FIRST_SITE_ID 10834 TILEPROP CLBLM_L_X36Y46 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y46 GRID_POINT_Y 108 TILEPROP CLBLM_L_X36Y46 INDEX 12511 TILEPROP CLBLM_L_X36Y46 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y46 INT_TILE_Y 103 TILEPROP CLBLM_L_X36Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y46 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y46 NAME CLBLM_L_X36Y46 TILEPROP CLBLM_L_X36Y46 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y46 NUM_SITES 2 TILEPROP CLBLM_L_X36Y46 ROW 108 TILEPROP CLBLM_L_X36Y46 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y46 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y46 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y46 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y46 TILE_X 65202 TILEPROP CLBLM_L_X36Y46 TILE_Y -91448 TILEPROP CLBLM_L_X36Y46 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y47 CLASS tile TILEPROP CLBLM_L_X36Y47 COLUMN 91 TILEPROP CLBLM_L_X36Y47 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y47 FIRST_SITE_ID 10718 TILEPROP CLBLM_L_X36Y47 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y47 GRID_POINT_Y 107 TILEPROP CLBLM_L_X36Y47 INDEX 12396 TILEPROP CLBLM_L_X36Y47 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y47 INT_TILE_Y 102 TILEPROP CLBLM_L_X36Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y47 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y47 NAME CLBLM_L_X36Y47 TILEPROP CLBLM_L_X36Y47 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y47 NUM_SITES 2 TILEPROP CLBLM_L_X36Y47 ROW 107 TILEPROP CLBLM_L_X36Y47 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y47 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y47 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y47 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y47 TILE_X 65202 TILEPROP CLBLM_L_X36Y47 TILE_Y -88248 TILEPROP CLBLM_L_X36Y47 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y48 CLASS tile TILEPROP CLBLM_L_X36Y48 COLUMN 91 TILEPROP CLBLM_L_X36Y48 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y48 FIRST_SITE_ID 10618 TILEPROP CLBLM_L_X36Y48 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y48 GRID_POINT_Y 106 TILEPROP CLBLM_L_X36Y48 INDEX 12281 TILEPROP CLBLM_L_X36Y48 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y48 INT_TILE_Y 101 TILEPROP CLBLM_L_X36Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y48 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y48 NAME CLBLM_L_X36Y48 TILEPROP CLBLM_L_X36Y48 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y48 NUM_SITES 2 TILEPROP CLBLM_L_X36Y48 ROW 106 TILEPROP CLBLM_L_X36Y48 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y48 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y48 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y48 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y48 TILE_X 65202 TILEPROP CLBLM_L_X36Y48 TILE_Y -85048 TILEPROP CLBLM_L_X36Y48 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y49 CLASS tile TILEPROP CLBLM_L_X36Y49 COLUMN 91 TILEPROP CLBLM_L_X36Y49 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y49 FIRST_SITE_ID 10522 TILEPROP CLBLM_L_X36Y49 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y49 GRID_POINT_Y 105 TILEPROP CLBLM_L_X36Y49 INDEX 12166 TILEPROP CLBLM_L_X36Y49 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y49 INT_TILE_Y 100 TILEPROP CLBLM_L_X36Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y49 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y49 NAME CLBLM_L_X36Y49 TILEPROP CLBLM_L_X36Y49 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y49 NUM_SITES 2 TILEPROP CLBLM_L_X36Y49 ROW 105 TILEPROP CLBLM_L_X36Y49 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y49 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X36Y49 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y49 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y49 TILE_X 65202 TILEPROP CLBLM_L_X36Y49 TILE_Y -81848 TILEPROP CLBLM_L_X36Y49 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y50 CLASS tile TILEPROP CLBLM_L_X36Y50 COLUMN 91 TILEPROP CLBLM_L_X36Y50 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y50 FIRST_SITE_ID 10419 TILEPROP CLBLM_L_X36Y50 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y50 GRID_POINT_Y 103 TILEPROP CLBLM_L_X36Y50 INDEX 11936 TILEPROP CLBLM_L_X36Y50 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y50 INT_TILE_Y 99 TILEPROP CLBLM_L_X36Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y50 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y50 NAME CLBLM_L_X36Y50 TILEPROP CLBLM_L_X36Y50 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y50 NUM_SITES 2 TILEPROP CLBLM_L_X36Y50 ROW 103 TILEPROP CLBLM_L_X36Y50 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y50 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X36Y50 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y50 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y50 TILE_X 65202 TILEPROP CLBLM_L_X36Y50 TILE_Y -78400 TILEPROP CLBLM_L_X36Y50 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y51 CLASS tile TILEPROP CLBLM_L_X36Y51 COLUMN 91 TILEPROP CLBLM_L_X36Y51 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y51 FIRST_SITE_ID 10287 TILEPROP CLBLM_L_X36Y51 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y51 GRID_POINT_Y 102 TILEPROP CLBLM_L_X36Y51 INDEX 11821 TILEPROP CLBLM_L_X36Y51 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y51 INT_TILE_Y 98 TILEPROP CLBLM_L_X36Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y51 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y51 NAME CLBLM_L_X36Y51 TILEPROP CLBLM_L_X36Y51 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y51 NUM_SITES 2 TILEPROP CLBLM_L_X36Y51 ROW 102 TILEPROP CLBLM_L_X36Y51 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y51 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y51 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y51 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y51 TILE_X 65202 TILEPROP CLBLM_L_X36Y51 TILE_Y -75200 TILEPROP CLBLM_L_X36Y51 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y52 CLASS tile TILEPROP CLBLM_L_X36Y52 COLUMN 91 TILEPROP CLBLM_L_X36Y52 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y52 FIRST_SITE_ID 10187 TILEPROP CLBLM_L_X36Y52 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y52 GRID_POINT_Y 101 TILEPROP CLBLM_L_X36Y52 INDEX 11706 TILEPROP CLBLM_L_X36Y52 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y52 INT_TILE_Y 97 TILEPROP CLBLM_L_X36Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y52 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y52 NAME CLBLM_L_X36Y52 TILEPROP CLBLM_L_X36Y52 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y52 NUM_SITES 2 TILEPROP CLBLM_L_X36Y52 ROW 101 TILEPROP CLBLM_L_X36Y52 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y52 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y52 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y52 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y52 TILE_X 65202 TILEPROP CLBLM_L_X36Y52 TILE_Y -72000 TILEPROP CLBLM_L_X36Y52 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y53 CLASS tile TILEPROP CLBLM_L_X36Y53 COLUMN 91 TILEPROP CLBLM_L_X36Y53 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y53 FIRST_SITE_ID 10087 TILEPROP CLBLM_L_X36Y53 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y53 GRID_POINT_Y 100 TILEPROP CLBLM_L_X36Y53 INDEX 11591 TILEPROP CLBLM_L_X36Y53 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y53 INT_TILE_Y 96 TILEPROP CLBLM_L_X36Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y53 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y53 NAME CLBLM_L_X36Y53 TILEPROP CLBLM_L_X36Y53 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y53 NUM_SITES 2 TILEPROP CLBLM_L_X36Y53 ROW 100 TILEPROP CLBLM_L_X36Y53 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y53 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y53 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y53 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y53 TILE_X 65202 TILEPROP CLBLM_L_X36Y53 TILE_Y -68800 TILEPROP CLBLM_L_X36Y53 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y54 CLASS tile TILEPROP CLBLM_L_X36Y54 COLUMN 91 TILEPROP CLBLM_L_X36Y54 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y54 FIRST_SITE_ID 9987 TILEPROP CLBLM_L_X36Y54 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y54 GRID_POINT_Y 99 TILEPROP CLBLM_L_X36Y54 INDEX 11476 TILEPROP CLBLM_L_X36Y54 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y54 INT_TILE_Y 95 TILEPROP CLBLM_L_X36Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y54 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y54 NAME CLBLM_L_X36Y54 TILEPROP CLBLM_L_X36Y54 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y54 NUM_SITES 2 TILEPROP CLBLM_L_X36Y54 ROW 99 TILEPROP CLBLM_L_X36Y54 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y54 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y54 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y54 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y54 TILE_X 65202 TILEPROP CLBLM_L_X36Y54 TILE_Y -65600 TILEPROP CLBLM_L_X36Y54 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y55 CLASS tile TILEPROP CLBLM_L_X36Y55 COLUMN 91 TILEPROP CLBLM_L_X36Y55 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y55 FIRST_SITE_ID 9884 TILEPROP CLBLM_L_X36Y55 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y55 GRID_POINT_Y 98 TILEPROP CLBLM_L_X36Y55 INDEX 11361 TILEPROP CLBLM_L_X36Y55 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y55 INT_TILE_Y 94 TILEPROP CLBLM_L_X36Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y55 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y55 NAME CLBLM_L_X36Y55 TILEPROP CLBLM_L_X36Y55 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y55 NUM_SITES 2 TILEPROP CLBLM_L_X36Y55 ROW 98 TILEPROP CLBLM_L_X36Y55 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y55 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y55 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y55 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y55 TILE_X 65202 TILEPROP CLBLM_L_X36Y55 TILE_Y -62400 TILEPROP CLBLM_L_X36Y55 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y56 CLASS tile TILEPROP CLBLM_L_X36Y56 COLUMN 91 TILEPROP CLBLM_L_X36Y56 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y56 FIRST_SITE_ID 9772 TILEPROP CLBLM_L_X36Y56 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y56 GRID_POINT_Y 97 TILEPROP CLBLM_L_X36Y56 INDEX 11246 TILEPROP CLBLM_L_X36Y56 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y56 INT_TILE_Y 93 TILEPROP CLBLM_L_X36Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y56 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y56 NAME CLBLM_L_X36Y56 TILEPROP CLBLM_L_X36Y56 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y56 NUM_SITES 2 TILEPROP CLBLM_L_X36Y56 ROW 97 TILEPROP CLBLM_L_X36Y56 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y56 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y56 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y56 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y56 TILE_X 65202 TILEPROP CLBLM_L_X36Y56 TILE_Y -59200 TILEPROP CLBLM_L_X36Y56 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y57 CLASS tile TILEPROP CLBLM_L_X36Y57 COLUMN 91 TILEPROP CLBLM_L_X36Y57 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y57 FIRST_SITE_ID 9670 TILEPROP CLBLM_L_X36Y57 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y57 GRID_POINT_Y 96 TILEPROP CLBLM_L_X36Y57 INDEX 11131 TILEPROP CLBLM_L_X36Y57 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y57 INT_TILE_Y 92 TILEPROP CLBLM_L_X36Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y57 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y57 NAME CLBLM_L_X36Y57 TILEPROP CLBLM_L_X36Y57 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y57 NUM_SITES 2 TILEPROP CLBLM_L_X36Y57 ROW 96 TILEPROP CLBLM_L_X36Y57 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y57 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y57 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y57 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y57 TILE_X 65202 TILEPROP CLBLM_L_X36Y57 TILE_Y -56000 TILEPROP CLBLM_L_X36Y57 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y58 CLASS tile TILEPROP CLBLM_L_X36Y58 COLUMN 91 TILEPROP CLBLM_L_X36Y58 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y58 FIRST_SITE_ID 9567 TILEPROP CLBLM_L_X36Y58 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y58 GRID_POINT_Y 95 TILEPROP CLBLM_L_X36Y58 INDEX 11016 TILEPROP CLBLM_L_X36Y58 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y58 INT_TILE_Y 91 TILEPROP CLBLM_L_X36Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y58 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y58 NAME CLBLM_L_X36Y58 TILEPROP CLBLM_L_X36Y58 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y58 NUM_SITES 2 TILEPROP CLBLM_L_X36Y58 ROW 95 TILEPROP CLBLM_L_X36Y58 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y58 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y58 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y58 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y58 TILE_X 65202 TILEPROP CLBLM_L_X36Y58 TILE_Y -52800 TILEPROP CLBLM_L_X36Y58 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y59 CLASS tile TILEPROP CLBLM_L_X36Y59 COLUMN 91 TILEPROP CLBLM_L_X36Y59 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y59 FIRST_SITE_ID 9466 TILEPROP CLBLM_L_X36Y59 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y59 GRID_POINT_Y 94 TILEPROP CLBLM_L_X36Y59 INDEX 10901 TILEPROP CLBLM_L_X36Y59 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y59 INT_TILE_Y 90 TILEPROP CLBLM_L_X36Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y59 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y59 NAME CLBLM_L_X36Y59 TILEPROP CLBLM_L_X36Y59 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y59 NUM_SITES 2 TILEPROP CLBLM_L_X36Y59 ROW 94 TILEPROP CLBLM_L_X36Y59 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y59 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y59 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y59 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y59 TILE_X 65202 TILEPROP CLBLM_L_X36Y59 TILE_Y -49600 TILEPROP CLBLM_L_X36Y59 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y60 CLASS tile TILEPROP CLBLM_L_X36Y60 COLUMN 91 TILEPROP CLBLM_L_X36Y60 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y60 FIRST_SITE_ID 9363 TILEPROP CLBLM_L_X36Y60 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y60 GRID_POINT_Y 93 TILEPROP CLBLM_L_X36Y60 INDEX 10786 TILEPROP CLBLM_L_X36Y60 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y60 INT_TILE_Y 89 TILEPROP CLBLM_L_X36Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y60 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y60 NAME CLBLM_L_X36Y60 TILEPROP CLBLM_L_X36Y60 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y60 NUM_SITES 2 TILEPROP CLBLM_L_X36Y60 ROW 93 TILEPROP CLBLM_L_X36Y60 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y60 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y60 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y60 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y60 TILE_X 65202 TILEPROP CLBLM_L_X36Y60 TILE_Y -46400 TILEPROP CLBLM_L_X36Y60 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y61 CLASS tile TILEPROP CLBLM_L_X36Y61 COLUMN 91 TILEPROP CLBLM_L_X36Y61 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y61 FIRST_SITE_ID 9251 TILEPROP CLBLM_L_X36Y61 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y61 GRID_POINT_Y 92 TILEPROP CLBLM_L_X36Y61 INDEX 10671 TILEPROP CLBLM_L_X36Y61 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y61 INT_TILE_Y 88 TILEPROP CLBLM_L_X36Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y61 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y61 NAME CLBLM_L_X36Y61 TILEPROP CLBLM_L_X36Y61 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y61 NUM_SITES 2 TILEPROP CLBLM_L_X36Y61 ROW 92 TILEPROP CLBLM_L_X36Y61 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y61 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y61 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y61 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y61 TILE_X 65202 TILEPROP CLBLM_L_X36Y61 TILE_Y -43200 TILEPROP CLBLM_L_X36Y61 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y62 CLASS tile TILEPROP CLBLM_L_X36Y62 COLUMN 91 TILEPROP CLBLM_L_X36Y62 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y62 FIRST_SITE_ID 9151 TILEPROP CLBLM_L_X36Y62 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y62 GRID_POINT_Y 91 TILEPROP CLBLM_L_X36Y62 INDEX 10556 TILEPROP CLBLM_L_X36Y62 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y62 INT_TILE_Y 87 TILEPROP CLBLM_L_X36Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y62 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y62 NAME CLBLM_L_X36Y62 TILEPROP CLBLM_L_X36Y62 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y62 NUM_SITES 2 TILEPROP CLBLM_L_X36Y62 ROW 91 TILEPROP CLBLM_L_X36Y62 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y62 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y62 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y62 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y62 TILE_X 65202 TILEPROP CLBLM_L_X36Y62 TILE_Y -40000 TILEPROP CLBLM_L_X36Y62 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y63 CLASS tile TILEPROP CLBLM_L_X36Y63 COLUMN 91 TILEPROP CLBLM_L_X36Y63 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y63 FIRST_SITE_ID 9019 TILEPROP CLBLM_L_X36Y63 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y63 GRID_POINT_Y 90 TILEPROP CLBLM_L_X36Y63 INDEX 10441 TILEPROP CLBLM_L_X36Y63 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y63 INT_TILE_Y 86 TILEPROP CLBLM_L_X36Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y63 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y63 NAME CLBLM_L_X36Y63 TILEPROP CLBLM_L_X36Y63 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y63 NUM_SITES 2 TILEPROP CLBLM_L_X36Y63 ROW 90 TILEPROP CLBLM_L_X36Y63 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y63 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y63 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y63 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y63 TILE_X 65202 TILEPROP CLBLM_L_X36Y63 TILE_Y -36800 TILEPROP CLBLM_L_X36Y63 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y64 CLASS tile TILEPROP CLBLM_L_X36Y64 COLUMN 91 TILEPROP CLBLM_L_X36Y64 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y64 FIRST_SITE_ID 8919 TILEPROP CLBLM_L_X36Y64 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y64 GRID_POINT_Y 89 TILEPROP CLBLM_L_X36Y64 INDEX 10326 TILEPROP CLBLM_L_X36Y64 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y64 INT_TILE_Y 85 TILEPROP CLBLM_L_X36Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y64 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y64 NAME CLBLM_L_X36Y64 TILEPROP CLBLM_L_X36Y64 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y64 NUM_SITES 2 TILEPROP CLBLM_L_X36Y64 ROW 89 TILEPROP CLBLM_L_X36Y64 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y64 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y64 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y64 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y64 TILE_X 65202 TILEPROP CLBLM_L_X36Y64 TILE_Y -33600 TILEPROP CLBLM_L_X36Y64 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y65 CLASS tile TILEPROP CLBLM_L_X36Y65 COLUMN 91 TILEPROP CLBLM_L_X36Y65 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y65 FIRST_SITE_ID 8816 TILEPROP CLBLM_L_X36Y65 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y65 GRID_POINT_Y 88 TILEPROP CLBLM_L_X36Y65 INDEX 10211 TILEPROP CLBLM_L_X36Y65 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y65 INT_TILE_Y 84 TILEPROP CLBLM_L_X36Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y65 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y65 NAME CLBLM_L_X36Y65 TILEPROP CLBLM_L_X36Y65 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y65 NUM_SITES 2 TILEPROP CLBLM_L_X36Y65 ROW 88 TILEPROP CLBLM_L_X36Y65 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y65 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y65 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y65 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y65 TILE_X 65202 TILEPROP CLBLM_L_X36Y65 TILE_Y -30400 TILEPROP CLBLM_L_X36Y65 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y66 CLASS tile TILEPROP CLBLM_L_X36Y66 COLUMN 91 TILEPROP CLBLM_L_X36Y66 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y66 FIRST_SITE_ID 8704 TILEPROP CLBLM_L_X36Y66 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y66 GRID_POINT_Y 87 TILEPROP CLBLM_L_X36Y66 INDEX 10096 TILEPROP CLBLM_L_X36Y66 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y66 INT_TILE_Y 83 TILEPROP CLBLM_L_X36Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y66 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y66 NAME CLBLM_L_X36Y66 TILEPROP CLBLM_L_X36Y66 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y66 NUM_SITES 2 TILEPROP CLBLM_L_X36Y66 ROW 87 TILEPROP CLBLM_L_X36Y66 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y66 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y66 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y66 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y66 TILE_X 65202 TILEPROP CLBLM_L_X36Y66 TILE_Y -27200 TILEPROP CLBLM_L_X36Y66 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y67 CLASS tile TILEPROP CLBLM_L_X36Y67 COLUMN 91 TILEPROP CLBLM_L_X36Y67 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y67 FIRST_SITE_ID 8600 TILEPROP CLBLM_L_X36Y67 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y67 GRID_POINT_Y 86 TILEPROP CLBLM_L_X36Y67 INDEX 9981 TILEPROP CLBLM_L_X36Y67 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y67 INT_TILE_Y 82 TILEPROP CLBLM_L_X36Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y67 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y67 NAME CLBLM_L_X36Y67 TILEPROP CLBLM_L_X36Y67 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y67 NUM_SITES 2 TILEPROP CLBLM_L_X36Y67 ROW 86 TILEPROP CLBLM_L_X36Y67 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y67 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y67 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y67 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y67 TILE_X 65202 TILEPROP CLBLM_L_X36Y67 TILE_Y -24000 TILEPROP CLBLM_L_X36Y67 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y68 CLASS tile TILEPROP CLBLM_L_X36Y68 COLUMN 91 TILEPROP CLBLM_L_X36Y68 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y68 FIRST_SITE_ID 8496 TILEPROP CLBLM_L_X36Y68 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y68 GRID_POINT_Y 85 TILEPROP CLBLM_L_X36Y68 INDEX 9866 TILEPROP CLBLM_L_X36Y68 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y68 INT_TILE_Y 81 TILEPROP CLBLM_L_X36Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y68 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y68 NAME CLBLM_L_X36Y68 TILEPROP CLBLM_L_X36Y68 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y68 NUM_SITES 2 TILEPROP CLBLM_L_X36Y68 ROW 85 TILEPROP CLBLM_L_X36Y68 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y68 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y68 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y68 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y68 TILE_X 65202 TILEPROP CLBLM_L_X36Y68 TILE_Y -20800 TILEPROP CLBLM_L_X36Y68 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y69 CLASS tile TILEPROP CLBLM_L_X36Y69 COLUMN 91 TILEPROP CLBLM_L_X36Y69 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y69 FIRST_SITE_ID 8394 TILEPROP CLBLM_L_X36Y69 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y69 GRID_POINT_Y 84 TILEPROP CLBLM_L_X36Y69 INDEX 9751 TILEPROP CLBLM_L_X36Y69 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y69 INT_TILE_Y 80 TILEPROP CLBLM_L_X36Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y69 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y69 NAME CLBLM_L_X36Y69 TILEPROP CLBLM_L_X36Y69 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y69 NUM_SITES 2 TILEPROP CLBLM_L_X36Y69 ROW 84 TILEPROP CLBLM_L_X36Y69 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y69 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y69 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y69 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y69 TILE_X 65202 TILEPROP CLBLM_L_X36Y69 TILE_Y -17600 TILEPROP CLBLM_L_X36Y69 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y70 CLASS tile TILEPROP CLBLM_L_X36Y70 COLUMN 91 TILEPROP CLBLM_L_X36Y70 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y70 FIRST_SITE_ID 8289 TILEPROP CLBLM_L_X36Y70 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y70 GRID_POINT_Y 83 TILEPROP CLBLM_L_X36Y70 INDEX 9636 TILEPROP CLBLM_L_X36Y70 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y70 INT_TILE_Y 79 TILEPROP CLBLM_L_X36Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y70 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y70 NAME CLBLM_L_X36Y70 TILEPROP CLBLM_L_X36Y70 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y70 NUM_SITES 2 TILEPROP CLBLM_L_X36Y70 ROW 83 TILEPROP CLBLM_L_X36Y70 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y70 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y70 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y70 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y70 TILE_X 65202 TILEPROP CLBLM_L_X36Y70 TILE_Y -14400 TILEPROP CLBLM_L_X36Y70 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y71 CLASS tile TILEPROP CLBLM_L_X36Y71 COLUMN 91 TILEPROP CLBLM_L_X36Y71 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y71 FIRST_SITE_ID 8177 TILEPROP CLBLM_L_X36Y71 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y71 GRID_POINT_Y 82 TILEPROP CLBLM_L_X36Y71 INDEX 9521 TILEPROP CLBLM_L_X36Y71 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y71 INT_TILE_Y 78 TILEPROP CLBLM_L_X36Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y71 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y71 NAME CLBLM_L_X36Y71 TILEPROP CLBLM_L_X36Y71 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y71 NUM_SITES 2 TILEPROP CLBLM_L_X36Y71 ROW 82 TILEPROP CLBLM_L_X36Y71 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y71 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y71 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y71 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y71 TILE_X 65202 TILEPROP CLBLM_L_X36Y71 TILE_Y -11200 TILEPROP CLBLM_L_X36Y71 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y72 CLASS tile TILEPROP CLBLM_L_X36Y72 COLUMN 91 TILEPROP CLBLM_L_X36Y72 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y72 FIRST_SITE_ID 8077 TILEPROP CLBLM_L_X36Y72 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y72 GRID_POINT_Y 81 TILEPROP CLBLM_L_X36Y72 INDEX 9406 TILEPROP CLBLM_L_X36Y72 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y72 INT_TILE_Y 77 TILEPROP CLBLM_L_X36Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y72 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y72 NAME CLBLM_L_X36Y72 TILEPROP CLBLM_L_X36Y72 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y72 NUM_SITES 2 TILEPROP CLBLM_L_X36Y72 ROW 81 TILEPROP CLBLM_L_X36Y72 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y72 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y72 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y72 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y72 TILE_X 65202 TILEPROP CLBLM_L_X36Y72 TILE_Y -8000 TILEPROP CLBLM_L_X36Y72 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y73 CLASS tile TILEPROP CLBLM_L_X36Y73 COLUMN 91 TILEPROP CLBLM_L_X36Y73 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y73 FIRST_SITE_ID 7977 TILEPROP CLBLM_L_X36Y73 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y73 GRID_POINT_Y 80 TILEPROP CLBLM_L_X36Y73 INDEX 9291 TILEPROP CLBLM_L_X36Y73 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y73 INT_TILE_Y 76 TILEPROP CLBLM_L_X36Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y73 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y73 NAME CLBLM_L_X36Y73 TILEPROP CLBLM_L_X36Y73 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y73 NUM_SITES 2 TILEPROP CLBLM_L_X36Y73 ROW 80 TILEPROP CLBLM_L_X36Y73 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y73 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y73 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y73 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y73 TILE_X 65202 TILEPROP CLBLM_L_X36Y73 TILE_Y -4800 TILEPROP CLBLM_L_X36Y73 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y74 CLASS tile TILEPROP CLBLM_L_X36Y74 COLUMN 91 TILEPROP CLBLM_L_X36Y74 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y74 FIRST_SITE_ID 7877 TILEPROP CLBLM_L_X36Y74 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y74 GRID_POINT_Y 79 TILEPROP CLBLM_L_X36Y74 INDEX 9176 TILEPROP CLBLM_L_X36Y74 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y74 INT_TILE_Y 75 TILEPROP CLBLM_L_X36Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y74 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y74 NAME CLBLM_L_X36Y74 TILEPROP CLBLM_L_X36Y74 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y74 NUM_SITES 2 TILEPROP CLBLM_L_X36Y74 ROW 79 TILEPROP CLBLM_L_X36Y74 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y74 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X36Y74 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y74 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y74 TILE_X 65202 TILEPROP CLBLM_L_X36Y74 TILE_Y -1600 TILEPROP CLBLM_L_X36Y74 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y75 CLASS tile TILEPROP CLBLM_L_X36Y75 COLUMN 91 TILEPROP CLBLM_L_X36Y75 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y75 FIRST_SITE_ID 7691 TILEPROP CLBLM_L_X36Y75 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y75 GRID_POINT_Y 77 TILEPROP CLBLM_L_X36Y75 INDEX 8946 TILEPROP CLBLM_L_X36Y75 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y75 INT_TILE_Y 74 TILEPROP CLBLM_L_X36Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y75 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y75 NAME CLBLM_L_X36Y75 TILEPROP CLBLM_L_X36Y75 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y75 NUM_SITES 2 TILEPROP CLBLM_L_X36Y75 ROW 77 TILEPROP CLBLM_L_X36Y75 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y75 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X36Y75 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y75 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y75 TILE_X 65202 TILEPROP CLBLM_L_X36Y75 TILE_Y 2624 TILEPROP CLBLM_L_X36Y75 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y76 CLASS tile TILEPROP CLBLM_L_X36Y76 COLUMN 91 TILEPROP CLBLM_L_X36Y76 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y76 FIRST_SITE_ID 7576 TILEPROP CLBLM_L_X36Y76 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y76 GRID_POINT_Y 76 TILEPROP CLBLM_L_X36Y76 INDEX 8831 TILEPROP CLBLM_L_X36Y76 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y76 INT_TILE_Y 73 TILEPROP CLBLM_L_X36Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y76 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y76 NAME CLBLM_L_X36Y76 TILEPROP CLBLM_L_X36Y76 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y76 NUM_SITES 2 TILEPROP CLBLM_L_X36Y76 ROW 76 TILEPROP CLBLM_L_X36Y76 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y76 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y76 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y76 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y76 TILE_X 65202 TILEPROP CLBLM_L_X36Y76 TILE_Y 5824 TILEPROP CLBLM_L_X36Y76 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y77 CLASS tile TILEPROP CLBLM_L_X36Y77 COLUMN 91 TILEPROP CLBLM_L_X36Y77 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y77 FIRST_SITE_ID 7476 TILEPROP CLBLM_L_X36Y77 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y77 GRID_POINT_Y 75 TILEPROP CLBLM_L_X36Y77 INDEX 8716 TILEPROP CLBLM_L_X36Y77 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y77 INT_TILE_Y 72 TILEPROP CLBLM_L_X36Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y77 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y77 NAME CLBLM_L_X36Y77 TILEPROP CLBLM_L_X36Y77 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y77 NUM_SITES 2 TILEPROP CLBLM_L_X36Y77 ROW 75 TILEPROP CLBLM_L_X36Y77 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y77 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y77 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y77 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y77 TILE_X 65202 TILEPROP CLBLM_L_X36Y77 TILE_Y 9024 TILEPROP CLBLM_L_X36Y77 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y78 CLASS tile TILEPROP CLBLM_L_X36Y78 COLUMN 91 TILEPROP CLBLM_L_X36Y78 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y78 FIRST_SITE_ID 7376 TILEPROP CLBLM_L_X36Y78 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y78 GRID_POINT_Y 74 TILEPROP CLBLM_L_X36Y78 INDEX 8601 TILEPROP CLBLM_L_X36Y78 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y78 INT_TILE_Y 71 TILEPROP CLBLM_L_X36Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y78 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y78 NAME CLBLM_L_X36Y78 TILEPROP CLBLM_L_X36Y78 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y78 NUM_SITES 2 TILEPROP CLBLM_L_X36Y78 ROW 74 TILEPROP CLBLM_L_X36Y78 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y78 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y78 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y78 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y78 TILE_X 65202 TILEPROP CLBLM_L_X36Y78 TILE_Y 12224 TILEPROP CLBLM_L_X36Y78 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y79 CLASS tile TILEPROP CLBLM_L_X36Y79 COLUMN 91 TILEPROP CLBLM_L_X36Y79 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y79 FIRST_SITE_ID 7270 TILEPROP CLBLM_L_X36Y79 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y79 GRID_POINT_Y 73 TILEPROP CLBLM_L_X36Y79 INDEX 8486 TILEPROP CLBLM_L_X36Y79 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y79 INT_TILE_Y 70 TILEPROP CLBLM_L_X36Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y79 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y79 NAME CLBLM_L_X36Y79 TILEPROP CLBLM_L_X36Y79 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y79 NUM_SITES 2 TILEPROP CLBLM_L_X36Y79 ROW 73 TILEPROP CLBLM_L_X36Y79 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y79 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y79 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y79 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y79 TILE_X 65202 TILEPROP CLBLM_L_X36Y79 TILE_Y 15424 TILEPROP CLBLM_L_X36Y79 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y80 CLASS tile TILEPROP CLBLM_L_X36Y80 COLUMN 91 TILEPROP CLBLM_L_X36Y80 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y80 FIRST_SITE_ID 7161 TILEPROP CLBLM_L_X36Y80 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y80 GRID_POINT_Y 72 TILEPROP CLBLM_L_X36Y80 INDEX 8371 TILEPROP CLBLM_L_X36Y80 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y80 INT_TILE_Y 69 TILEPROP CLBLM_L_X36Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y80 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y80 NAME CLBLM_L_X36Y80 TILEPROP CLBLM_L_X36Y80 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y80 NUM_SITES 2 TILEPROP CLBLM_L_X36Y80 ROW 72 TILEPROP CLBLM_L_X36Y80 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y80 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y80 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y80 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y80 TILE_X 65202 TILEPROP CLBLM_L_X36Y80 TILE_Y 18624 TILEPROP CLBLM_L_X36Y80 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y81 CLASS tile TILEPROP CLBLM_L_X36Y81 COLUMN 91 TILEPROP CLBLM_L_X36Y81 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y81 FIRST_SITE_ID 7047 TILEPROP CLBLM_L_X36Y81 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y81 GRID_POINT_Y 71 TILEPROP CLBLM_L_X36Y81 INDEX 8256 TILEPROP CLBLM_L_X36Y81 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y81 INT_TILE_Y 68 TILEPROP CLBLM_L_X36Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y81 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y81 NAME CLBLM_L_X36Y81 TILEPROP CLBLM_L_X36Y81 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y81 NUM_SITES 2 TILEPROP CLBLM_L_X36Y81 ROW 71 TILEPROP CLBLM_L_X36Y81 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y81 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y81 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y81 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y81 TILE_X 65202 TILEPROP CLBLM_L_X36Y81 TILE_Y 21824 TILEPROP CLBLM_L_X36Y81 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y82 CLASS tile TILEPROP CLBLM_L_X36Y82 COLUMN 91 TILEPROP CLBLM_L_X36Y82 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y82 FIRST_SITE_ID 6945 TILEPROP CLBLM_L_X36Y82 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y82 GRID_POINT_Y 70 TILEPROP CLBLM_L_X36Y82 INDEX 8141 TILEPROP CLBLM_L_X36Y82 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y82 INT_TILE_Y 67 TILEPROP CLBLM_L_X36Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y82 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y82 NAME CLBLM_L_X36Y82 TILEPROP CLBLM_L_X36Y82 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y82 NUM_SITES 2 TILEPROP CLBLM_L_X36Y82 ROW 70 TILEPROP CLBLM_L_X36Y82 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y82 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y82 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y82 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y82 TILE_X 65202 TILEPROP CLBLM_L_X36Y82 TILE_Y 25024 TILEPROP CLBLM_L_X36Y82 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y83 CLASS tile TILEPROP CLBLM_L_X36Y83 COLUMN 91 TILEPROP CLBLM_L_X36Y83 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y83 FIRST_SITE_ID 6845 TILEPROP CLBLM_L_X36Y83 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y83 GRID_POINT_Y 69 TILEPROP CLBLM_L_X36Y83 INDEX 8026 TILEPROP CLBLM_L_X36Y83 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y83 INT_TILE_Y 66 TILEPROP CLBLM_L_X36Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y83 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y83 NAME CLBLM_L_X36Y83 TILEPROP CLBLM_L_X36Y83 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y83 NUM_SITES 2 TILEPROP CLBLM_L_X36Y83 ROW 69 TILEPROP CLBLM_L_X36Y83 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y83 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y83 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y83 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y83 TILE_X 65202 TILEPROP CLBLM_L_X36Y83 TILE_Y 28224 TILEPROP CLBLM_L_X36Y83 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y84 CLASS tile TILEPROP CLBLM_L_X36Y84 COLUMN 91 TILEPROP CLBLM_L_X36Y84 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y84 FIRST_SITE_ID 6745 TILEPROP CLBLM_L_X36Y84 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y84 GRID_POINT_Y 68 TILEPROP CLBLM_L_X36Y84 INDEX 7911 TILEPROP CLBLM_L_X36Y84 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y84 INT_TILE_Y 65 TILEPROP CLBLM_L_X36Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y84 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y84 NAME CLBLM_L_X36Y84 TILEPROP CLBLM_L_X36Y84 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y84 NUM_SITES 2 TILEPROP CLBLM_L_X36Y84 ROW 68 TILEPROP CLBLM_L_X36Y84 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y84 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y84 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y84 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y84 TILE_X 65202 TILEPROP CLBLM_L_X36Y84 TILE_Y 31424 TILEPROP CLBLM_L_X36Y84 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y85 CLASS tile TILEPROP CLBLM_L_X36Y85 COLUMN 91 TILEPROP CLBLM_L_X36Y85 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y85 FIRST_SITE_ID 6642 TILEPROP CLBLM_L_X36Y85 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y85 GRID_POINT_Y 67 TILEPROP CLBLM_L_X36Y85 INDEX 7796 TILEPROP CLBLM_L_X36Y85 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y85 INT_TILE_Y 64 TILEPROP CLBLM_L_X36Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y85 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y85 NAME CLBLM_L_X36Y85 TILEPROP CLBLM_L_X36Y85 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y85 NUM_SITES 2 TILEPROP CLBLM_L_X36Y85 ROW 67 TILEPROP CLBLM_L_X36Y85 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y85 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y85 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y85 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y85 TILE_X 65202 TILEPROP CLBLM_L_X36Y85 TILE_Y 34624 TILEPROP CLBLM_L_X36Y85 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y86 CLASS tile TILEPROP CLBLM_L_X36Y86 COLUMN 91 TILEPROP CLBLM_L_X36Y86 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y86 FIRST_SITE_ID 6530 TILEPROP CLBLM_L_X36Y86 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y86 GRID_POINT_Y 66 TILEPROP CLBLM_L_X36Y86 INDEX 7681 TILEPROP CLBLM_L_X36Y86 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y86 INT_TILE_Y 63 TILEPROP CLBLM_L_X36Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y86 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y86 NAME CLBLM_L_X36Y86 TILEPROP CLBLM_L_X36Y86 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y86 NUM_SITES 2 TILEPROP CLBLM_L_X36Y86 ROW 66 TILEPROP CLBLM_L_X36Y86 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y86 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y86 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y86 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y86 TILE_X 65202 TILEPROP CLBLM_L_X36Y86 TILE_Y 37824 TILEPROP CLBLM_L_X36Y86 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y87 CLASS tile TILEPROP CLBLM_L_X36Y87 COLUMN 91 TILEPROP CLBLM_L_X36Y87 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y87 FIRST_SITE_ID 6398 TILEPROP CLBLM_L_X36Y87 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y87 GRID_POINT_Y 65 TILEPROP CLBLM_L_X36Y87 INDEX 7566 TILEPROP CLBLM_L_X36Y87 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y87 INT_TILE_Y 62 TILEPROP CLBLM_L_X36Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y87 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y87 NAME CLBLM_L_X36Y87 TILEPROP CLBLM_L_X36Y87 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y87 NUM_SITES 2 TILEPROP CLBLM_L_X36Y87 ROW 65 TILEPROP CLBLM_L_X36Y87 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y87 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y87 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y87 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y87 TILE_X 65202 TILEPROP CLBLM_L_X36Y87 TILE_Y 41024 TILEPROP CLBLM_L_X36Y87 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y88 CLASS tile TILEPROP CLBLM_L_X36Y88 COLUMN 91 TILEPROP CLBLM_L_X36Y88 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y88 FIRST_SITE_ID 6298 TILEPROP CLBLM_L_X36Y88 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y88 GRID_POINT_Y 64 TILEPROP CLBLM_L_X36Y88 INDEX 7451 TILEPROP CLBLM_L_X36Y88 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y88 INT_TILE_Y 61 TILEPROP CLBLM_L_X36Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y88 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y88 NAME CLBLM_L_X36Y88 TILEPROP CLBLM_L_X36Y88 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y88 NUM_SITES 2 TILEPROP CLBLM_L_X36Y88 ROW 64 TILEPROP CLBLM_L_X36Y88 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y88 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y88 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y88 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y88 TILE_X 65202 TILEPROP CLBLM_L_X36Y88 TILE_Y 44224 TILEPROP CLBLM_L_X36Y88 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y89 CLASS tile TILEPROP CLBLM_L_X36Y89 COLUMN 91 TILEPROP CLBLM_L_X36Y89 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y89 FIRST_SITE_ID 6198 TILEPROP CLBLM_L_X36Y89 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y89 GRID_POINT_Y 63 TILEPROP CLBLM_L_X36Y89 INDEX 7336 TILEPROP CLBLM_L_X36Y89 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y89 INT_TILE_Y 60 TILEPROP CLBLM_L_X36Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y89 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y89 NAME CLBLM_L_X36Y89 TILEPROP CLBLM_L_X36Y89 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y89 NUM_SITES 2 TILEPROP CLBLM_L_X36Y89 ROW 63 TILEPROP CLBLM_L_X36Y89 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y89 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y89 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y89 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y89 TILE_X 65202 TILEPROP CLBLM_L_X36Y89 TILE_Y 47424 TILEPROP CLBLM_L_X36Y89 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y90 CLASS tile TILEPROP CLBLM_L_X36Y90 COLUMN 91 TILEPROP CLBLM_L_X36Y90 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y90 FIRST_SITE_ID 6095 TILEPROP CLBLM_L_X36Y90 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y90 GRID_POINT_Y 62 TILEPROP CLBLM_L_X36Y90 INDEX 7221 TILEPROP CLBLM_L_X36Y90 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y90 INT_TILE_Y 59 TILEPROP CLBLM_L_X36Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y90 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y90 NAME CLBLM_L_X36Y90 TILEPROP CLBLM_L_X36Y90 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y90 NUM_SITES 2 TILEPROP CLBLM_L_X36Y90 ROW 62 TILEPROP CLBLM_L_X36Y90 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y90 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y90 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y90 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y90 TILE_X 65202 TILEPROP CLBLM_L_X36Y90 TILE_Y 50624 TILEPROP CLBLM_L_X36Y90 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y91 CLASS tile TILEPROP CLBLM_L_X36Y91 COLUMN 91 TILEPROP CLBLM_L_X36Y91 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y91 FIRST_SITE_ID 5983 TILEPROP CLBLM_L_X36Y91 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y91 GRID_POINT_Y 61 TILEPROP CLBLM_L_X36Y91 INDEX 7106 TILEPROP CLBLM_L_X36Y91 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y91 INT_TILE_Y 58 TILEPROP CLBLM_L_X36Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y91 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y91 NAME CLBLM_L_X36Y91 TILEPROP CLBLM_L_X36Y91 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y91 NUM_SITES 2 TILEPROP CLBLM_L_X36Y91 ROW 61 TILEPROP CLBLM_L_X36Y91 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y91 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y91 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y91 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y91 TILE_X 65202 TILEPROP CLBLM_L_X36Y91 TILE_Y 53824 TILEPROP CLBLM_L_X36Y91 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y92 CLASS tile TILEPROP CLBLM_L_X36Y92 COLUMN 91 TILEPROP CLBLM_L_X36Y92 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y92 FIRST_SITE_ID 5882 TILEPROP CLBLM_L_X36Y92 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y92 GRID_POINT_Y 60 TILEPROP CLBLM_L_X36Y92 INDEX 6991 TILEPROP CLBLM_L_X36Y92 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y92 INT_TILE_Y 57 TILEPROP CLBLM_L_X36Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y92 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y92 NAME CLBLM_L_X36Y92 TILEPROP CLBLM_L_X36Y92 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y92 NUM_SITES 2 TILEPROP CLBLM_L_X36Y92 ROW 60 TILEPROP CLBLM_L_X36Y92 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y92 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y92 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y92 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y92 TILE_X 65202 TILEPROP CLBLM_L_X36Y92 TILE_Y 57024 TILEPROP CLBLM_L_X36Y92 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y93 CLASS tile TILEPROP CLBLM_L_X36Y93 COLUMN 91 TILEPROP CLBLM_L_X36Y93 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y93 FIRST_SITE_ID 5779 TILEPROP CLBLM_L_X36Y93 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y93 GRID_POINT_Y 59 TILEPROP CLBLM_L_X36Y93 INDEX 6876 TILEPROP CLBLM_L_X36Y93 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y93 INT_TILE_Y 56 TILEPROP CLBLM_L_X36Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y93 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y93 NAME CLBLM_L_X36Y93 TILEPROP CLBLM_L_X36Y93 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y93 NUM_SITES 2 TILEPROP CLBLM_L_X36Y93 ROW 59 TILEPROP CLBLM_L_X36Y93 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y93 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y93 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y93 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y93 TILE_X 65202 TILEPROP CLBLM_L_X36Y93 TILE_Y 60224 TILEPROP CLBLM_L_X36Y93 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y94 CLASS tile TILEPROP CLBLM_L_X36Y94 COLUMN 91 TILEPROP CLBLM_L_X36Y94 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y94 FIRST_SITE_ID 5677 TILEPROP CLBLM_L_X36Y94 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y94 GRID_POINT_Y 58 TILEPROP CLBLM_L_X36Y94 INDEX 6761 TILEPROP CLBLM_L_X36Y94 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y94 INT_TILE_Y 55 TILEPROP CLBLM_L_X36Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y94 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y94 NAME CLBLM_L_X36Y94 TILEPROP CLBLM_L_X36Y94 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y94 NUM_SITES 2 TILEPROP CLBLM_L_X36Y94 ROW 58 TILEPROP CLBLM_L_X36Y94 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y94 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y94 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y94 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y94 TILE_X 65202 TILEPROP CLBLM_L_X36Y94 TILE_Y 63424 TILEPROP CLBLM_L_X36Y94 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y95 CLASS tile TILEPROP CLBLM_L_X36Y95 COLUMN 91 TILEPROP CLBLM_L_X36Y95 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y95 FIRST_SITE_ID 5574 TILEPROP CLBLM_L_X36Y95 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y95 GRID_POINT_Y 57 TILEPROP CLBLM_L_X36Y95 INDEX 6646 TILEPROP CLBLM_L_X36Y95 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y95 INT_TILE_Y 54 TILEPROP CLBLM_L_X36Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y95 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y95 NAME CLBLM_L_X36Y95 TILEPROP CLBLM_L_X36Y95 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y95 NUM_SITES 2 TILEPROP CLBLM_L_X36Y95 ROW 57 TILEPROP CLBLM_L_X36Y95 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y95 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y95 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y95 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y95 TILE_X 65202 TILEPROP CLBLM_L_X36Y95 TILE_Y 66624 TILEPROP CLBLM_L_X36Y95 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y96 CLASS tile TILEPROP CLBLM_L_X36Y96 COLUMN 91 TILEPROP CLBLM_L_X36Y96 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y96 FIRST_SITE_ID 5462 TILEPROP CLBLM_L_X36Y96 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y96 GRID_POINT_Y 56 TILEPROP CLBLM_L_X36Y96 INDEX 6531 TILEPROP CLBLM_L_X36Y96 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y96 INT_TILE_Y 53 TILEPROP CLBLM_L_X36Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y96 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y96 NAME CLBLM_L_X36Y96 TILEPROP CLBLM_L_X36Y96 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y96 NUM_SITES 2 TILEPROP CLBLM_L_X36Y96 ROW 56 TILEPROP CLBLM_L_X36Y96 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y96 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y96 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y96 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y96 TILE_X 65202 TILEPROP CLBLM_L_X36Y96 TILE_Y 69824 TILEPROP CLBLM_L_X36Y96 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y97 CLASS tile TILEPROP CLBLM_L_X36Y97 COLUMN 91 TILEPROP CLBLM_L_X36Y97 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y97 FIRST_SITE_ID 5362 TILEPROP CLBLM_L_X36Y97 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y97 GRID_POINT_Y 55 TILEPROP CLBLM_L_X36Y97 INDEX 6416 TILEPROP CLBLM_L_X36Y97 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y97 INT_TILE_Y 52 TILEPROP CLBLM_L_X36Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y97 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y97 NAME CLBLM_L_X36Y97 TILEPROP CLBLM_L_X36Y97 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y97 NUM_SITES 2 TILEPROP CLBLM_L_X36Y97 ROW 55 TILEPROP CLBLM_L_X36Y97 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y97 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y97 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y97 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y97 TILE_X 65202 TILEPROP CLBLM_L_X36Y97 TILE_Y 73024 TILEPROP CLBLM_L_X36Y97 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y98 CLASS tile TILEPROP CLBLM_L_X36Y98 COLUMN 91 TILEPROP CLBLM_L_X36Y98 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y98 FIRST_SITE_ID 5262 TILEPROP CLBLM_L_X36Y98 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y98 GRID_POINT_Y 54 TILEPROP CLBLM_L_X36Y98 INDEX 6301 TILEPROP CLBLM_L_X36Y98 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y98 INT_TILE_Y 51 TILEPROP CLBLM_L_X36Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y98 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y98 NAME CLBLM_L_X36Y98 TILEPROP CLBLM_L_X36Y98 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y98 NUM_SITES 2 TILEPROP CLBLM_L_X36Y98 ROW 54 TILEPROP CLBLM_L_X36Y98 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y98 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y98 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y98 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y98 TILE_X 65202 TILEPROP CLBLM_L_X36Y98 TILE_Y 76224 TILEPROP CLBLM_L_X36Y98 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y99 CLASS tile TILEPROP CLBLM_L_X36Y99 COLUMN 91 TILEPROP CLBLM_L_X36Y99 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y99 FIRST_SITE_ID 5166 TILEPROP CLBLM_L_X36Y99 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y99 GRID_POINT_Y 53 TILEPROP CLBLM_L_X36Y99 INDEX 6186 TILEPROP CLBLM_L_X36Y99 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y99 INT_TILE_Y 50 TILEPROP CLBLM_L_X36Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y99 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y99 NAME CLBLM_L_X36Y99 TILEPROP CLBLM_L_X36Y99 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y99 NUM_SITES 2 TILEPROP CLBLM_L_X36Y99 ROW 53 TILEPROP CLBLM_L_X36Y99 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y99 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X36Y99 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y99 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y99 TILE_X 65202 TILEPROP CLBLM_L_X36Y99 TILE_Y 79424 TILEPROP CLBLM_L_X36Y99 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y100 CLASS tile TILEPROP CLBLM_L_X36Y100 COLUMN 91 TILEPROP CLBLM_L_X36Y100 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y100 FIRST_SITE_ID 5084 TILEPROP CLBLM_L_X36Y100 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y100 GRID_POINT_Y 51 TILEPROP CLBLM_L_X36Y100 INDEX 5956 TILEPROP CLBLM_L_X36Y100 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y100 INT_TILE_Y 49 TILEPROP CLBLM_L_X36Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y100 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y100 NAME CLBLM_L_X36Y100 TILEPROP CLBLM_L_X36Y100 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y100 NUM_SITES 2 TILEPROP CLBLM_L_X36Y100 ROW 51 TILEPROP CLBLM_L_X36Y100 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y100 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X36Y100 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y100 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y100 TILE_X 65202 TILEPROP CLBLM_L_X36Y100 TILE_Y 82872 TILEPROP CLBLM_L_X36Y100 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y101 CLASS tile TILEPROP CLBLM_L_X36Y101 COLUMN 91 TILEPROP CLBLM_L_X36Y101 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y101 FIRST_SITE_ID 4983 TILEPROP CLBLM_L_X36Y101 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y101 GRID_POINT_Y 50 TILEPROP CLBLM_L_X36Y101 INDEX 5841 TILEPROP CLBLM_L_X36Y101 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y101 INT_TILE_Y 48 TILEPROP CLBLM_L_X36Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y101 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y101 NAME CLBLM_L_X36Y101 TILEPROP CLBLM_L_X36Y101 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y101 NUM_SITES 2 TILEPROP CLBLM_L_X36Y101 ROW 50 TILEPROP CLBLM_L_X36Y101 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y101 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y101 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y101 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y101 TILE_X 65202 TILEPROP CLBLM_L_X36Y101 TILE_Y 86072 TILEPROP CLBLM_L_X36Y101 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y102 CLASS tile TILEPROP CLBLM_L_X36Y102 COLUMN 91 TILEPROP CLBLM_L_X36Y102 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y102 FIRST_SITE_ID 4887 TILEPROP CLBLM_L_X36Y102 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y102 GRID_POINT_Y 49 TILEPROP CLBLM_L_X36Y102 INDEX 5726 TILEPROP CLBLM_L_X36Y102 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y102 INT_TILE_Y 47 TILEPROP CLBLM_L_X36Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y102 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y102 NAME CLBLM_L_X36Y102 TILEPROP CLBLM_L_X36Y102 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y102 NUM_SITES 2 TILEPROP CLBLM_L_X36Y102 ROW 49 TILEPROP CLBLM_L_X36Y102 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y102 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y102 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y102 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y102 TILE_X 65202 TILEPROP CLBLM_L_X36Y102 TILE_Y 89272 TILEPROP CLBLM_L_X36Y102 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y103 CLASS tile TILEPROP CLBLM_L_X36Y103 COLUMN 91 TILEPROP CLBLM_L_X36Y103 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y103 FIRST_SITE_ID 4799 TILEPROP CLBLM_L_X36Y103 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y103 GRID_POINT_Y 48 TILEPROP CLBLM_L_X36Y103 INDEX 5611 TILEPROP CLBLM_L_X36Y103 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y103 INT_TILE_Y 46 TILEPROP CLBLM_L_X36Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y103 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y103 NAME CLBLM_L_X36Y103 TILEPROP CLBLM_L_X36Y103 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y103 NUM_SITES 2 TILEPROP CLBLM_L_X36Y103 ROW 48 TILEPROP CLBLM_L_X36Y103 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y103 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y103 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y103 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y103 TILE_X 65202 TILEPROP CLBLM_L_X36Y103 TILE_Y 92472 TILEPROP CLBLM_L_X36Y103 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y104 CLASS tile TILEPROP CLBLM_L_X36Y104 COLUMN 91 TILEPROP CLBLM_L_X36Y104 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y104 FIRST_SITE_ID 4703 TILEPROP CLBLM_L_X36Y104 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y104 GRID_POINT_Y 47 TILEPROP CLBLM_L_X36Y104 INDEX 5496 TILEPROP CLBLM_L_X36Y104 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y104 INT_TILE_Y 45 TILEPROP CLBLM_L_X36Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y104 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y104 NAME CLBLM_L_X36Y104 TILEPROP CLBLM_L_X36Y104 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y104 NUM_SITES 2 TILEPROP CLBLM_L_X36Y104 ROW 47 TILEPROP CLBLM_L_X36Y104 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y104 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y104 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y104 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y104 TILE_X 65202 TILEPROP CLBLM_L_X36Y104 TILE_Y 95672 TILEPROP CLBLM_L_X36Y104 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y105 CLASS tile TILEPROP CLBLM_L_X36Y105 COLUMN 91 TILEPROP CLBLM_L_X36Y105 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y105 FIRST_SITE_ID 4610 TILEPROP CLBLM_L_X36Y105 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y105 GRID_POINT_Y 46 TILEPROP CLBLM_L_X36Y105 INDEX 5381 TILEPROP CLBLM_L_X36Y105 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y105 INT_TILE_Y 44 TILEPROP CLBLM_L_X36Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y105 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y105 NAME CLBLM_L_X36Y105 TILEPROP CLBLM_L_X36Y105 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y105 NUM_SITES 2 TILEPROP CLBLM_L_X36Y105 ROW 46 TILEPROP CLBLM_L_X36Y105 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y105 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y105 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y105 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y105 TILE_X 65202 TILEPROP CLBLM_L_X36Y105 TILE_Y 98872 TILEPROP CLBLM_L_X36Y105 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y106 CLASS tile TILEPROP CLBLM_L_X36Y106 COLUMN 91 TILEPROP CLBLM_L_X36Y106 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y106 FIRST_SITE_ID 4505 TILEPROP CLBLM_L_X36Y106 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y106 GRID_POINT_Y 45 TILEPROP CLBLM_L_X36Y106 INDEX 5266 TILEPROP CLBLM_L_X36Y106 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y106 INT_TILE_Y 43 TILEPROP CLBLM_L_X36Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y106 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y106 NAME CLBLM_L_X36Y106 TILEPROP CLBLM_L_X36Y106 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y106 NUM_SITES 2 TILEPROP CLBLM_L_X36Y106 ROW 45 TILEPROP CLBLM_L_X36Y106 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y106 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y106 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y106 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y106 TILE_X 65202 TILEPROP CLBLM_L_X36Y106 TILE_Y 102072 TILEPROP CLBLM_L_X36Y106 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y107 CLASS tile TILEPROP CLBLM_L_X36Y107 COLUMN 91 TILEPROP CLBLM_L_X36Y107 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y107 FIRST_SITE_ID 4417 TILEPROP CLBLM_L_X36Y107 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y107 GRID_POINT_Y 44 TILEPROP CLBLM_L_X36Y107 INDEX 5151 TILEPROP CLBLM_L_X36Y107 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y107 INT_TILE_Y 42 TILEPROP CLBLM_L_X36Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y107 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y107 NAME CLBLM_L_X36Y107 TILEPROP CLBLM_L_X36Y107 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y107 NUM_SITES 2 TILEPROP CLBLM_L_X36Y107 ROW 44 TILEPROP CLBLM_L_X36Y107 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y107 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y107 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y107 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y107 TILE_X 65202 TILEPROP CLBLM_L_X36Y107 TILE_Y 105272 TILEPROP CLBLM_L_X36Y107 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y108 CLASS tile TILEPROP CLBLM_L_X36Y108 COLUMN 91 TILEPROP CLBLM_L_X36Y108 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y108 FIRST_SITE_ID 4319 TILEPROP CLBLM_L_X36Y108 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y108 GRID_POINT_Y 43 TILEPROP CLBLM_L_X36Y108 INDEX 5036 TILEPROP CLBLM_L_X36Y108 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y108 INT_TILE_Y 41 TILEPROP CLBLM_L_X36Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y108 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y108 NAME CLBLM_L_X36Y108 TILEPROP CLBLM_L_X36Y108 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y108 NUM_SITES 2 TILEPROP CLBLM_L_X36Y108 ROW 43 TILEPROP CLBLM_L_X36Y108 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y108 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y108 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y108 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y108 TILE_X 65202 TILEPROP CLBLM_L_X36Y108 TILE_Y 108472 TILEPROP CLBLM_L_X36Y108 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y109 CLASS tile TILEPROP CLBLM_L_X36Y109 COLUMN 91 TILEPROP CLBLM_L_X36Y109 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y109 FIRST_SITE_ID 4230 TILEPROP CLBLM_L_X36Y109 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y109 GRID_POINT_Y 42 TILEPROP CLBLM_L_X36Y109 INDEX 4921 TILEPROP CLBLM_L_X36Y109 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y109 INT_TILE_Y 40 TILEPROP CLBLM_L_X36Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y109 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y109 NAME CLBLM_L_X36Y109 TILEPROP CLBLM_L_X36Y109 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y109 NUM_SITES 2 TILEPROP CLBLM_L_X36Y109 ROW 42 TILEPROP CLBLM_L_X36Y109 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y109 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y109 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y109 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y109 TILE_X 65202 TILEPROP CLBLM_L_X36Y109 TILE_Y 111672 TILEPROP CLBLM_L_X36Y109 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y110 CLASS tile TILEPROP CLBLM_L_X36Y110 COLUMN 91 TILEPROP CLBLM_L_X36Y110 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y110 FIRST_SITE_ID 4134 TILEPROP CLBLM_L_X36Y110 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y110 GRID_POINT_Y 41 TILEPROP CLBLM_L_X36Y110 INDEX 4806 TILEPROP CLBLM_L_X36Y110 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y110 INT_TILE_Y 39 TILEPROP CLBLM_L_X36Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y110 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y110 NAME CLBLM_L_X36Y110 TILEPROP CLBLM_L_X36Y110 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y110 NUM_SITES 2 TILEPROP CLBLM_L_X36Y110 ROW 41 TILEPROP CLBLM_L_X36Y110 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y110 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y110 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y110 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y110 TILE_X 65202 TILEPROP CLBLM_L_X36Y110 TILE_Y 114872 TILEPROP CLBLM_L_X36Y110 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y111 CLASS tile TILEPROP CLBLM_L_X36Y111 COLUMN 91 TILEPROP CLBLM_L_X36Y111 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y111 FIRST_SITE_ID 4036 TILEPROP CLBLM_L_X36Y111 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y111 GRID_POINT_Y 40 TILEPROP CLBLM_L_X36Y111 INDEX 4691 TILEPROP CLBLM_L_X36Y111 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y111 INT_TILE_Y 38 TILEPROP CLBLM_L_X36Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y111 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y111 NAME CLBLM_L_X36Y111 TILEPROP CLBLM_L_X36Y111 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y111 NUM_SITES 2 TILEPROP CLBLM_L_X36Y111 ROW 40 TILEPROP CLBLM_L_X36Y111 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y111 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y111 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y111 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y111 TILE_X 65202 TILEPROP CLBLM_L_X36Y111 TILE_Y 118072 TILEPROP CLBLM_L_X36Y111 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y112 CLASS tile TILEPROP CLBLM_L_X36Y112 COLUMN 91 TILEPROP CLBLM_L_X36Y112 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y112 FIRST_SITE_ID 3940 TILEPROP CLBLM_L_X36Y112 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y112 GRID_POINT_Y 39 TILEPROP CLBLM_L_X36Y112 INDEX 4576 TILEPROP CLBLM_L_X36Y112 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y112 INT_TILE_Y 37 TILEPROP CLBLM_L_X36Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y112 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y112 NAME CLBLM_L_X36Y112 TILEPROP CLBLM_L_X36Y112 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y112 NUM_SITES 2 TILEPROP CLBLM_L_X36Y112 ROW 39 TILEPROP CLBLM_L_X36Y112 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y112 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y112 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y112 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y112 TILE_X 65202 TILEPROP CLBLM_L_X36Y112 TILE_Y 121272 TILEPROP CLBLM_L_X36Y112 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y113 CLASS tile TILEPROP CLBLM_L_X36Y113 COLUMN 91 TILEPROP CLBLM_L_X36Y113 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y113 FIRST_SITE_ID 3820 TILEPROP CLBLM_L_X36Y113 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y113 GRID_POINT_Y 38 TILEPROP CLBLM_L_X36Y113 INDEX 4461 TILEPROP CLBLM_L_X36Y113 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y113 INT_TILE_Y 36 TILEPROP CLBLM_L_X36Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y113 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y113 NAME CLBLM_L_X36Y113 TILEPROP CLBLM_L_X36Y113 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y113 NUM_SITES 2 TILEPROP CLBLM_L_X36Y113 ROW 38 TILEPROP CLBLM_L_X36Y113 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y113 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y113 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y113 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y113 TILE_X 65202 TILEPROP CLBLM_L_X36Y113 TILE_Y 124472 TILEPROP CLBLM_L_X36Y113 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y114 CLASS tile TILEPROP CLBLM_L_X36Y114 COLUMN 91 TILEPROP CLBLM_L_X36Y114 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y114 FIRST_SITE_ID 3724 TILEPROP CLBLM_L_X36Y114 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y114 GRID_POINT_Y 37 TILEPROP CLBLM_L_X36Y114 INDEX 4346 TILEPROP CLBLM_L_X36Y114 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y114 INT_TILE_Y 35 TILEPROP CLBLM_L_X36Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y114 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y114 NAME CLBLM_L_X36Y114 TILEPROP CLBLM_L_X36Y114 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y114 NUM_SITES 2 TILEPROP CLBLM_L_X36Y114 ROW 37 TILEPROP CLBLM_L_X36Y114 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y114 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y114 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y114 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y114 TILE_X 65202 TILEPROP CLBLM_L_X36Y114 TILE_Y 127672 TILEPROP CLBLM_L_X36Y114 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y115 CLASS tile TILEPROP CLBLM_L_X36Y115 COLUMN 91 TILEPROP CLBLM_L_X36Y115 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y115 FIRST_SITE_ID 3636 TILEPROP CLBLM_L_X36Y115 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y115 GRID_POINT_Y 36 TILEPROP CLBLM_L_X36Y115 INDEX 4231 TILEPROP CLBLM_L_X36Y115 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y115 INT_TILE_Y 34 TILEPROP CLBLM_L_X36Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y115 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y115 NAME CLBLM_L_X36Y115 TILEPROP CLBLM_L_X36Y115 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y115 NUM_SITES 2 TILEPROP CLBLM_L_X36Y115 ROW 36 TILEPROP CLBLM_L_X36Y115 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y115 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y115 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y115 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y115 TILE_X 65202 TILEPROP CLBLM_L_X36Y115 TILE_Y 130872 TILEPROP CLBLM_L_X36Y115 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y116 CLASS tile TILEPROP CLBLM_L_X36Y116 COLUMN 91 TILEPROP CLBLM_L_X36Y116 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y116 FIRST_SITE_ID 3526 TILEPROP CLBLM_L_X36Y116 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y116 GRID_POINT_Y 35 TILEPROP CLBLM_L_X36Y116 INDEX 4116 TILEPROP CLBLM_L_X36Y116 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y116 INT_TILE_Y 33 TILEPROP CLBLM_L_X36Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y116 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y116 NAME CLBLM_L_X36Y116 TILEPROP CLBLM_L_X36Y116 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y116 NUM_SITES 2 TILEPROP CLBLM_L_X36Y116 ROW 35 TILEPROP CLBLM_L_X36Y116 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y116 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y116 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y116 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y116 TILE_X 65202 TILEPROP CLBLM_L_X36Y116 TILE_Y 134072 TILEPROP CLBLM_L_X36Y116 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y117 CLASS tile TILEPROP CLBLM_L_X36Y117 COLUMN 91 TILEPROP CLBLM_L_X36Y117 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y117 FIRST_SITE_ID 3438 TILEPROP CLBLM_L_X36Y117 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y117 GRID_POINT_Y 34 TILEPROP CLBLM_L_X36Y117 INDEX 4001 TILEPROP CLBLM_L_X36Y117 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y117 INT_TILE_Y 32 TILEPROP CLBLM_L_X36Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y117 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y117 NAME CLBLM_L_X36Y117 TILEPROP CLBLM_L_X36Y117 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y117 NUM_SITES 2 TILEPROP CLBLM_L_X36Y117 ROW 34 TILEPROP CLBLM_L_X36Y117 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y117 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y117 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y117 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y117 TILE_X 65202 TILEPROP CLBLM_L_X36Y117 TILE_Y 137272 TILEPROP CLBLM_L_X36Y117 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y118 CLASS tile TILEPROP CLBLM_L_X36Y118 COLUMN 91 TILEPROP CLBLM_L_X36Y118 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y118 FIRST_SITE_ID 3338 TILEPROP CLBLM_L_X36Y118 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y118 GRID_POINT_Y 33 TILEPROP CLBLM_L_X36Y118 INDEX 3886 TILEPROP CLBLM_L_X36Y118 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y118 INT_TILE_Y 31 TILEPROP CLBLM_L_X36Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y118 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y118 NAME CLBLM_L_X36Y118 TILEPROP CLBLM_L_X36Y118 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y118 NUM_SITES 2 TILEPROP CLBLM_L_X36Y118 ROW 33 TILEPROP CLBLM_L_X36Y118 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y118 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y118 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y118 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y118 TILE_X 65202 TILEPROP CLBLM_L_X36Y118 TILE_Y 140472 TILEPROP CLBLM_L_X36Y118 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y119 CLASS tile TILEPROP CLBLM_L_X36Y119 COLUMN 91 TILEPROP CLBLM_L_X36Y119 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y119 FIRST_SITE_ID 3250 TILEPROP CLBLM_L_X36Y119 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y119 GRID_POINT_Y 32 TILEPROP CLBLM_L_X36Y119 INDEX 3771 TILEPROP CLBLM_L_X36Y119 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y119 INT_TILE_Y 30 TILEPROP CLBLM_L_X36Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y119 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y119 NAME CLBLM_L_X36Y119 TILEPROP CLBLM_L_X36Y119 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y119 NUM_SITES 2 TILEPROP CLBLM_L_X36Y119 ROW 32 TILEPROP CLBLM_L_X36Y119 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y119 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y119 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y119 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y119 TILE_X 65202 TILEPROP CLBLM_L_X36Y119 TILE_Y 143672 TILEPROP CLBLM_L_X36Y119 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y120 CLASS tile TILEPROP CLBLM_L_X36Y120 COLUMN 91 TILEPROP CLBLM_L_X36Y120 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y120 FIRST_SITE_ID 3152 TILEPROP CLBLM_L_X36Y120 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y120 GRID_POINT_Y 31 TILEPROP CLBLM_L_X36Y120 INDEX 3656 TILEPROP CLBLM_L_X36Y120 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y120 INT_TILE_Y 29 TILEPROP CLBLM_L_X36Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y120 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y120 NAME CLBLM_L_X36Y120 TILEPROP CLBLM_L_X36Y120 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y120 NUM_SITES 2 TILEPROP CLBLM_L_X36Y120 ROW 31 TILEPROP CLBLM_L_X36Y120 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y120 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y120 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y120 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y120 TILE_X 65202 TILEPROP CLBLM_L_X36Y120 TILE_Y 146872 TILEPROP CLBLM_L_X36Y120 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y121 CLASS tile TILEPROP CLBLM_L_X36Y121 COLUMN 91 TILEPROP CLBLM_L_X36Y121 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y121 FIRST_SITE_ID 3055 TILEPROP CLBLM_L_X36Y121 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y121 GRID_POINT_Y 30 TILEPROP CLBLM_L_X36Y121 INDEX 3541 TILEPROP CLBLM_L_X36Y121 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y121 INT_TILE_Y 28 TILEPROP CLBLM_L_X36Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y121 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y121 NAME CLBLM_L_X36Y121 TILEPROP CLBLM_L_X36Y121 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y121 NUM_SITES 2 TILEPROP CLBLM_L_X36Y121 ROW 30 TILEPROP CLBLM_L_X36Y121 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y121 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y121 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y121 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y121 TILE_X 65202 TILEPROP CLBLM_L_X36Y121 TILE_Y 150072 TILEPROP CLBLM_L_X36Y121 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y122 CLASS tile TILEPROP CLBLM_L_X36Y122 COLUMN 91 TILEPROP CLBLM_L_X36Y122 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y122 FIRST_SITE_ID 2952 TILEPROP CLBLM_L_X36Y122 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y122 GRID_POINT_Y 29 TILEPROP CLBLM_L_X36Y122 INDEX 3426 TILEPROP CLBLM_L_X36Y122 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y122 INT_TILE_Y 27 TILEPROP CLBLM_L_X36Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y122 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y122 NAME CLBLM_L_X36Y122 TILEPROP CLBLM_L_X36Y122 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y122 NUM_SITES 2 TILEPROP CLBLM_L_X36Y122 ROW 29 TILEPROP CLBLM_L_X36Y122 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y122 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y122 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y122 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y122 TILE_X 65202 TILEPROP CLBLM_L_X36Y122 TILE_Y 153272 TILEPROP CLBLM_L_X36Y122 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y123 CLASS tile TILEPROP CLBLM_L_X36Y123 COLUMN 91 TILEPROP CLBLM_L_X36Y123 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y123 FIRST_SITE_ID 2864 TILEPROP CLBLM_L_X36Y123 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y123 GRID_POINT_Y 28 TILEPROP CLBLM_L_X36Y123 INDEX 3311 TILEPROP CLBLM_L_X36Y123 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y123 INT_TILE_Y 26 TILEPROP CLBLM_L_X36Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y123 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y123 NAME CLBLM_L_X36Y123 TILEPROP CLBLM_L_X36Y123 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y123 NUM_SITES 2 TILEPROP CLBLM_L_X36Y123 ROW 28 TILEPROP CLBLM_L_X36Y123 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y123 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y123 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y123 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y123 TILE_X 65202 TILEPROP CLBLM_L_X36Y123 TILE_Y 156472 TILEPROP CLBLM_L_X36Y123 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y124 CLASS tile TILEPROP CLBLM_L_X36Y124 COLUMN 91 TILEPROP CLBLM_L_X36Y124 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y124 FIRST_SITE_ID 2768 TILEPROP CLBLM_L_X36Y124 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y124 GRID_POINT_Y 27 TILEPROP CLBLM_L_X36Y124 INDEX 3196 TILEPROP CLBLM_L_X36Y124 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y124 INT_TILE_Y 25 TILEPROP CLBLM_L_X36Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y124 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y124 NAME CLBLM_L_X36Y124 TILEPROP CLBLM_L_X36Y124 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y124 NUM_SITES 2 TILEPROP CLBLM_L_X36Y124 ROW 27 TILEPROP CLBLM_L_X36Y124 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y124 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X36Y124 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y124 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y124 TILE_X 65202 TILEPROP CLBLM_L_X36Y124 TILE_Y 159672 TILEPROP CLBLM_L_X36Y124 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y125 CLASS tile TILEPROP CLBLM_L_X36Y125 COLUMN 91 TILEPROP CLBLM_L_X36Y125 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y125 FIRST_SITE_ID 2609 TILEPROP CLBLM_L_X36Y125 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y125 GRID_POINT_Y 25 TILEPROP CLBLM_L_X36Y125 INDEX 2966 TILEPROP CLBLM_L_X36Y125 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y125 INT_TILE_Y 24 TILEPROP CLBLM_L_X36Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y125 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y125 NAME CLBLM_L_X36Y125 TILEPROP CLBLM_L_X36Y125 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y125 NUM_SITES 2 TILEPROP CLBLM_L_X36Y125 ROW 25 TILEPROP CLBLM_L_X36Y125 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y125 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X36Y125 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y125 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y125 TILE_X 65202 TILEPROP CLBLM_L_X36Y125 TILE_Y 163896 TILEPROP CLBLM_L_X36Y125 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y126 CLASS tile TILEPROP CLBLM_L_X36Y126 COLUMN 91 TILEPROP CLBLM_L_X36Y126 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y126 FIRST_SITE_ID 2493 TILEPROP CLBLM_L_X36Y126 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y126 GRID_POINT_Y 24 TILEPROP CLBLM_L_X36Y126 INDEX 2851 TILEPROP CLBLM_L_X36Y126 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y126 INT_TILE_Y 23 TILEPROP CLBLM_L_X36Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y126 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y126 NAME CLBLM_L_X36Y126 TILEPROP CLBLM_L_X36Y126 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y126 NUM_SITES 2 TILEPROP CLBLM_L_X36Y126 ROW 24 TILEPROP CLBLM_L_X36Y126 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y126 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y126 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y126 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y126 TILE_X 65202 TILEPROP CLBLM_L_X36Y126 TILE_Y 167096 TILEPROP CLBLM_L_X36Y126 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y127 CLASS tile TILEPROP CLBLM_L_X36Y127 COLUMN 91 TILEPROP CLBLM_L_X36Y127 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y127 FIRST_SITE_ID 2397 TILEPROP CLBLM_L_X36Y127 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y127 GRID_POINT_Y 23 TILEPROP CLBLM_L_X36Y127 INDEX 2736 TILEPROP CLBLM_L_X36Y127 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y127 INT_TILE_Y 22 TILEPROP CLBLM_L_X36Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y127 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y127 NAME CLBLM_L_X36Y127 TILEPROP CLBLM_L_X36Y127 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y127 NUM_SITES 2 TILEPROP CLBLM_L_X36Y127 ROW 23 TILEPROP CLBLM_L_X36Y127 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y127 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y127 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y127 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y127 TILE_X 65202 TILEPROP CLBLM_L_X36Y127 TILE_Y 170296 TILEPROP CLBLM_L_X36Y127 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y128 CLASS tile TILEPROP CLBLM_L_X36Y128 COLUMN 91 TILEPROP CLBLM_L_X36Y128 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y128 FIRST_SITE_ID 2293 TILEPROP CLBLM_L_X36Y128 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y128 GRID_POINT_Y 22 TILEPROP CLBLM_L_X36Y128 INDEX 2621 TILEPROP CLBLM_L_X36Y128 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y128 INT_TILE_Y 21 TILEPROP CLBLM_L_X36Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y128 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y128 NAME CLBLM_L_X36Y128 TILEPROP CLBLM_L_X36Y128 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y128 NUM_SITES 2 TILEPROP CLBLM_L_X36Y128 ROW 22 TILEPROP CLBLM_L_X36Y128 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y128 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y128 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y128 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y128 TILE_X 65202 TILEPROP CLBLM_L_X36Y128 TILE_Y 173496 TILEPROP CLBLM_L_X36Y128 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y129 CLASS tile TILEPROP CLBLM_L_X36Y129 COLUMN 91 TILEPROP CLBLM_L_X36Y129 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y129 FIRST_SITE_ID 2197 TILEPROP CLBLM_L_X36Y129 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y129 GRID_POINT_Y 21 TILEPROP CLBLM_L_X36Y129 INDEX 2506 TILEPROP CLBLM_L_X36Y129 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y129 INT_TILE_Y 20 TILEPROP CLBLM_L_X36Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y129 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y129 NAME CLBLM_L_X36Y129 TILEPROP CLBLM_L_X36Y129 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y129 NUM_SITES 2 TILEPROP CLBLM_L_X36Y129 ROW 21 TILEPROP CLBLM_L_X36Y129 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y129 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y129 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y129 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y129 TILE_X 65202 TILEPROP CLBLM_L_X36Y129 TILE_Y 176696 TILEPROP CLBLM_L_X36Y129 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y130 CLASS tile TILEPROP CLBLM_L_X36Y130 COLUMN 91 TILEPROP CLBLM_L_X36Y130 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y130 FIRST_SITE_ID 2087 TILEPROP CLBLM_L_X36Y130 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y130 GRID_POINT_Y 20 TILEPROP CLBLM_L_X36Y130 INDEX 2391 TILEPROP CLBLM_L_X36Y130 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y130 INT_TILE_Y 19 TILEPROP CLBLM_L_X36Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y130 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y130 NAME CLBLM_L_X36Y130 TILEPROP CLBLM_L_X36Y130 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y130 NUM_SITES 2 TILEPROP CLBLM_L_X36Y130 ROW 20 TILEPROP CLBLM_L_X36Y130 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y130 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y130 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y130 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y130 TILE_X 65202 TILEPROP CLBLM_L_X36Y130 TILE_Y 179896 TILEPROP CLBLM_L_X36Y130 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y131 CLASS tile TILEPROP CLBLM_L_X36Y131 COLUMN 91 TILEPROP CLBLM_L_X36Y131 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y131 FIRST_SITE_ID 1979 TILEPROP CLBLM_L_X36Y131 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y131 GRID_POINT_Y 19 TILEPROP CLBLM_L_X36Y131 INDEX 2276 TILEPROP CLBLM_L_X36Y131 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y131 INT_TILE_Y 18 TILEPROP CLBLM_L_X36Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y131 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y131 NAME CLBLM_L_X36Y131 TILEPROP CLBLM_L_X36Y131 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y131 NUM_SITES 2 TILEPROP CLBLM_L_X36Y131 ROW 19 TILEPROP CLBLM_L_X36Y131 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y131 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y131 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y131 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y131 TILE_X 65202 TILEPROP CLBLM_L_X36Y131 TILE_Y 183096 TILEPROP CLBLM_L_X36Y131 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y132 CLASS tile TILEPROP CLBLM_L_X36Y132 COLUMN 91 TILEPROP CLBLM_L_X36Y132 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y132 FIRST_SITE_ID 1873 TILEPROP CLBLM_L_X36Y132 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y132 GRID_POINT_Y 18 TILEPROP CLBLM_L_X36Y132 INDEX 2161 TILEPROP CLBLM_L_X36Y132 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y132 INT_TILE_Y 17 TILEPROP CLBLM_L_X36Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y132 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y132 NAME CLBLM_L_X36Y132 TILEPROP CLBLM_L_X36Y132 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y132 NUM_SITES 2 TILEPROP CLBLM_L_X36Y132 ROW 18 TILEPROP CLBLM_L_X36Y132 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y132 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y132 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y132 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y132 TILE_X 65202 TILEPROP CLBLM_L_X36Y132 TILE_Y 186296 TILEPROP CLBLM_L_X36Y132 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y133 CLASS tile TILEPROP CLBLM_L_X36Y133 COLUMN 91 TILEPROP CLBLM_L_X36Y133 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y133 FIRST_SITE_ID 1772 TILEPROP CLBLM_L_X36Y133 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y133 GRID_POINT_Y 17 TILEPROP CLBLM_L_X36Y133 INDEX 2046 TILEPROP CLBLM_L_X36Y133 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y133 INT_TILE_Y 16 TILEPROP CLBLM_L_X36Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y133 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y133 NAME CLBLM_L_X36Y133 TILEPROP CLBLM_L_X36Y133 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y133 NUM_SITES 2 TILEPROP CLBLM_L_X36Y133 ROW 17 TILEPROP CLBLM_L_X36Y133 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y133 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y133 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y133 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y133 TILE_X 65202 TILEPROP CLBLM_L_X36Y133 TILE_Y 189496 TILEPROP CLBLM_L_X36Y133 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y134 CLASS tile TILEPROP CLBLM_L_X36Y134 COLUMN 91 TILEPROP CLBLM_L_X36Y134 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y134 FIRST_SITE_ID 1668 TILEPROP CLBLM_L_X36Y134 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y134 GRID_POINT_Y 16 TILEPROP CLBLM_L_X36Y134 INDEX 1931 TILEPROP CLBLM_L_X36Y134 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y134 INT_TILE_Y 15 TILEPROP CLBLM_L_X36Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y134 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y134 NAME CLBLM_L_X36Y134 TILEPROP CLBLM_L_X36Y134 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y134 NUM_SITES 2 TILEPROP CLBLM_L_X36Y134 ROW 16 TILEPROP CLBLM_L_X36Y134 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y134 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y134 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y134 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y134 TILE_X 65202 TILEPROP CLBLM_L_X36Y134 TILE_Y 192696 TILEPROP CLBLM_L_X36Y134 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y135 CLASS tile TILEPROP CLBLM_L_X36Y135 COLUMN 91 TILEPROP CLBLM_L_X36Y135 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y135 FIRST_SITE_ID 1572 TILEPROP CLBLM_L_X36Y135 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y135 GRID_POINT_Y 15 TILEPROP CLBLM_L_X36Y135 INDEX 1816 TILEPROP CLBLM_L_X36Y135 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y135 INT_TILE_Y 14 TILEPROP CLBLM_L_X36Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y135 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y135 NAME CLBLM_L_X36Y135 TILEPROP CLBLM_L_X36Y135 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y135 NUM_SITES 2 TILEPROP CLBLM_L_X36Y135 ROW 15 TILEPROP CLBLM_L_X36Y135 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y135 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y135 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y135 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y135 TILE_X 65202 TILEPROP CLBLM_L_X36Y135 TILE_Y 195896 TILEPROP CLBLM_L_X36Y135 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y136 CLASS tile TILEPROP CLBLM_L_X36Y136 COLUMN 91 TILEPROP CLBLM_L_X36Y136 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y136 FIRST_SITE_ID 1456 TILEPROP CLBLM_L_X36Y136 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y136 GRID_POINT_Y 14 TILEPROP CLBLM_L_X36Y136 INDEX 1701 TILEPROP CLBLM_L_X36Y136 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y136 INT_TILE_Y 13 TILEPROP CLBLM_L_X36Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y136 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y136 NAME CLBLM_L_X36Y136 TILEPROP CLBLM_L_X36Y136 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y136 NUM_SITES 2 TILEPROP CLBLM_L_X36Y136 ROW 14 TILEPROP CLBLM_L_X36Y136 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y136 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y136 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y136 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y136 TILE_X 65202 TILEPROP CLBLM_L_X36Y136 TILE_Y 199096 TILEPROP CLBLM_L_X36Y136 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y137 CLASS tile TILEPROP CLBLM_L_X36Y137 COLUMN 91 TILEPROP CLBLM_L_X36Y137 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y137 FIRST_SITE_ID 1328 TILEPROP CLBLM_L_X36Y137 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y137 GRID_POINT_Y 13 TILEPROP CLBLM_L_X36Y137 INDEX 1586 TILEPROP CLBLM_L_X36Y137 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y137 INT_TILE_Y 12 TILEPROP CLBLM_L_X36Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y137 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y137 NAME CLBLM_L_X36Y137 TILEPROP CLBLM_L_X36Y137 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y137 NUM_SITES 2 TILEPROP CLBLM_L_X36Y137 ROW 13 TILEPROP CLBLM_L_X36Y137 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y137 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y137 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y137 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y137 TILE_X 65202 TILEPROP CLBLM_L_X36Y137 TILE_Y 202296 TILEPROP CLBLM_L_X36Y137 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y138 CLASS tile TILEPROP CLBLM_L_X36Y138 COLUMN 91 TILEPROP CLBLM_L_X36Y138 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y138 FIRST_SITE_ID 1224 TILEPROP CLBLM_L_X36Y138 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y138 GRID_POINT_Y 12 TILEPROP CLBLM_L_X36Y138 INDEX 1471 TILEPROP CLBLM_L_X36Y138 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y138 INT_TILE_Y 11 TILEPROP CLBLM_L_X36Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y138 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y138 NAME CLBLM_L_X36Y138 TILEPROP CLBLM_L_X36Y138 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y138 NUM_SITES 2 TILEPROP CLBLM_L_X36Y138 ROW 12 TILEPROP CLBLM_L_X36Y138 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y138 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y138 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y138 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y138 TILE_X 65202 TILEPROP CLBLM_L_X36Y138 TILE_Y 205496 TILEPROP CLBLM_L_X36Y138 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y139 CLASS tile TILEPROP CLBLM_L_X36Y139 COLUMN 91 TILEPROP CLBLM_L_X36Y139 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y139 FIRST_SITE_ID 1128 TILEPROP CLBLM_L_X36Y139 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y139 GRID_POINT_Y 11 TILEPROP CLBLM_L_X36Y139 INDEX 1356 TILEPROP CLBLM_L_X36Y139 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y139 INT_TILE_Y 10 TILEPROP CLBLM_L_X36Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y139 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y139 NAME CLBLM_L_X36Y139 TILEPROP CLBLM_L_X36Y139 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y139 NUM_SITES 2 TILEPROP CLBLM_L_X36Y139 ROW 11 TILEPROP CLBLM_L_X36Y139 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y139 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y139 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y139 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y139 TILE_X 65202 TILEPROP CLBLM_L_X36Y139 TILE_Y 208696 TILEPROP CLBLM_L_X36Y139 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y140 CLASS tile TILEPROP CLBLM_L_X36Y140 COLUMN 91 TILEPROP CLBLM_L_X36Y140 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y140 FIRST_SITE_ID 1024 TILEPROP CLBLM_L_X36Y140 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y140 GRID_POINT_Y 10 TILEPROP CLBLM_L_X36Y140 INDEX 1241 TILEPROP CLBLM_L_X36Y140 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y140 INT_TILE_Y 9 TILEPROP CLBLM_L_X36Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y140 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y140 NAME CLBLM_L_X36Y140 TILEPROP CLBLM_L_X36Y140 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y140 NUM_SITES 2 TILEPROP CLBLM_L_X36Y140 ROW 10 TILEPROP CLBLM_L_X36Y140 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y140 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y140 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y140 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y140 TILE_X 65202 TILEPROP CLBLM_L_X36Y140 TILE_Y 211896 TILEPROP CLBLM_L_X36Y140 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y141 CLASS tile TILEPROP CLBLM_L_X36Y141 COLUMN 91 TILEPROP CLBLM_L_X36Y141 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y141 FIRST_SITE_ID 916 TILEPROP CLBLM_L_X36Y141 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y141 GRID_POINT_Y 9 TILEPROP CLBLM_L_X36Y141 INDEX 1126 TILEPROP CLBLM_L_X36Y141 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y141 INT_TILE_Y 8 TILEPROP CLBLM_L_X36Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y141 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y141 NAME CLBLM_L_X36Y141 TILEPROP CLBLM_L_X36Y141 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y141 NUM_SITES 2 TILEPROP CLBLM_L_X36Y141 ROW 9 TILEPROP CLBLM_L_X36Y141 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y141 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y141 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y141 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y141 TILE_X 65202 TILEPROP CLBLM_L_X36Y141 TILE_Y 215096 TILEPROP CLBLM_L_X36Y141 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y142 CLASS tile TILEPROP CLBLM_L_X36Y142 COLUMN 91 TILEPROP CLBLM_L_X36Y142 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y142 FIRST_SITE_ID 812 TILEPROP CLBLM_L_X36Y142 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y142 GRID_POINT_Y 8 TILEPROP CLBLM_L_X36Y142 INDEX 1011 TILEPROP CLBLM_L_X36Y142 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y142 INT_TILE_Y 7 TILEPROP CLBLM_L_X36Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y142 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y142 NAME CLBLM_L_X36Y142 TILEPROP CLBLM_L_X36Y142 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y142 NUM_SITES 2 TILEPROP CLBLM_L_X36Y142 ROW 8 TILEPROP CLBLM_L_X36Y142 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y142 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y142 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y142 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y142 TILE_X 65202 TILEPROP CLBLM_L_X36Y142 TILE_Y 218296 TILEPROP CLBLM_L_X36Y142 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y143 CLASS tile TILEPROP CLBLM_L_X36Y143 COLUMN 91 TILEPROP CLBLM_L_X36Y143 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y143 FIRST_SITE_ID 715 TILEPROP CLBLM_L_X36Y143 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y143 GRID_POINT_Y 7 TILEPROP CLBLM_L_X36Y143 INDEX 896 TILEPROP CLBLM_L_X36Y143 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y143 INT_TILE_Y 6 TILEPROP CLBLM_L_X36Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y143 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y143 NAME CLBLM_L_X36Y143 TILEPROP CLBLM_L_X36Y143 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y143 NUM_SITES 2 TILEPROP CLBLM_L_X36Y143 ROW 7 TILEPROP CLBLM_L_X36Y143 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y143 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y143 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y143 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y143 TILE_X 65202 TILEPROP CLBLM_L_X36Y143 TILE_Y 221496 TILEPROP CLBLM_L_X36Y143 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y144 CLASS tile TILEPROP CLBLM_L_X36Y144 COLUMN 91 TILEPROP CLBLM_L_X36Y144 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y144 FIRST_SITE_ID 604 TILEPROP CLBLM_L_X36Y144 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y144 GRID_POINT_Y 6 TILEPROP CLBLM_L_X36Y144 INDEX 781 TILEPROP CLBLM_L_X36Y144 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y144 INT_TILE_Y 5 TILEPROP CLBLM_L_X36Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y144 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y144 NAME CLBLM_L_X36Y144 TILEPROP CLBLM_L_X36Y144 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y144 NUM_SITES 2 TILEPROP CLBLM_L_X36Y144 ROW 6 TILEPROP CLBLM_L_X36Y144 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y144 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y144 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y144 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y144 TILE_X 65202 TILEPROP CLBLM_L_X36Y144 TILE_Y 224696 TILEPROP CLBLM_L_X36Y144 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y145 CLASS tile TILEPROP CLBLM_L_X36Y145 COLUMN 91 TILEPROP CLBLM_L_X36Y145 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y145 FIRST_SITE_ID 508 TILEPROP CLBLM_L_X36Y145 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y145 GRID_POINT_Y 5 TILEPROP CLBLM_L_X36Y145 INDEX 666 TILEPROP CLBLM_L_X36Y145 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y145 INT_TILE_Y 4 TILEPROP CLBLM_L_X36Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y145 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y145 NAME CLBLM_L_X36Y145 TILEPROP CLBLM_L_X36Y145 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y145 NUM_SITES 2 TILEPROP CLBLM_L_X36Y145 ROW 5 TILEPROP CLBLM_L_X36Y145 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y145 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y145 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y145 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y145 TILE_X 65202 TILEPROP CLBLM_L_X36Y145 TILE_Y 227896 TILEPROP CLBLM_L_X36Y145 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y146 CLASS tile TILEPROP CLBLM_L_X36Y146 COLUMN 91 TILEPROP CLBLM_L_X36Y146 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y146 FIRST_SITE_ID 392 TILEPROP CLBLM_L_X36Y146 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y146 GRID_POINT_Y 4 TILEPROP CLBLM_L_X36Y146 INDEX 551 TILEPROP CLBLM_L_X36Y146 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y146 INT_TILE_Y 3 TILEPROP CLBLM_L_X36Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y146 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y146 NAME CLBLM_L_X36Y146 TILEPROP CLBLM_L_X36Y146 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y146 NUM_SITES 2 TILEPROP CLBLM_L_X36Y146 ROW 4 TILEPROP CLBLM_L_X36Y146 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y146 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y146 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y146 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y146 TILE_X 65202 TILEPROP CLBLM_L_X36Y146 TILE_Y 231096 TILEPROP CLBLM_L_X36Y146 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y147 CLASS tile TILEPROP CLBLM_L_X36Y147 COLUMN 91 TILEPROP CLBLM_L_X36Y147 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y147 FIRST_SITE_ID 296 TILEPROP CLBLM_L_X36Y147 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y147 GRID_POINT_Y 3 TILEPROP CLBLM_L_X36Y147 INDEX 436 TILEPROP CLBLM_L_X36Y147 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y147 INT_TILE_Y 2 TILEPROP CLBLM_L_X36Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y147 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y147 NAME CLBLM_L_X36Y147 TILEPROP CLBLM_L_X36Y147 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y147 NUM_SITES 2 TILEPROP CLBLM_L_X36Y147 ROW 3 TILEPROP CLBLM_L_X36Y147 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y147 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y147 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y147 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y147 TILE_X 65202 TILEPROP CLBLM_L_X36Y147 TILE_Y 234296 TILEPROP CLBLM_L_X36Y147 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y148 CLASS tile TILEPROP CLBLM_L_X36Y148 COLUMN 91 TILEPROP CLBLM_L_X36Y148 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y148 FIRST_SITE_ID 192 TILEPROP CLBLM_L_X36Y148 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y148 GRID_POINT_Y 2 TILEPROP CLBLM_L_X36Y148 INDEX 321 TILEPROP CLBLM_L_X36Y148 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y148 INT_TILE_Y 1 TILEPROP CLBLM_L_X36Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y148 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y148 NAME CLBLM_L_X36Y148 TILEPROP CLBLM_L_X36Y148 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y148 NUM_SITES 2 TILEPROP CLBLM_L_X36Y148 ROW 2 TILEPROP CLBLM_L_X36Y148 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y148 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X36Y148 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y148 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y148 TILE_X 65202 TILEPROP CLBLM_L_X36Y148 TILE_Y 237496 TILEPROP CLBLM_L_X36Y148 TYPE CLBLM_L TILEPROP CLBLM_L_X36Y149 CLASS tile TILEPROP CLBLM_L_X36Y149 COLUMN 91 TILEPROP CLBLM_L_X36Y149 DEVICE_ID 0 TILEPROP CLBLM_L_X36Y149 FIRST_SITE_ID 96 TILEPROP CLBLM_L_X36Y149 GRID_POINT_X 91 TILEPROP CLBLM_L_X36Y149 GRID_POINT_Y 1 TILEPROP CLBLM_L_X36Y149 INDEX 206 TILEPROP CLBLM_L_X36Y149 INT_TILE_X 35 TILEPROP CLBLM_L_X36Y149 INT_TILE_Y 0 TILEPROP CLBLM_L_X36Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X36Y149 IS_DCM_TILE 0 TILEPROP CLBLM_L_X36Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X36Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X36Y149 NAME CLBLM_L_X36Y149 TILEPROP CLBLM_L_X36Y149 NUM_ARCS 151 TILEPROP CLBLM_L_X36Y149 NUM_SITES 2 TILEPROP CLBLM_L_X36Y149 ROW 1 TILEPROP CLBLM_L_X36Y149 SLR_REGION_ID 0 TILEPROP CLBLM_L_X36Y149 TILE_PATTERN_IDX 22 TILEPROP CLBLM_L_X36Y149 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X36Y149 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X36Y149 TILE_X 65202 TILEPROP CLBLM_L_X36Y149 TILE_Y 240696 TILEPROP CLBLM_L_X36Y149 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y0 CLASS tile TILEPROP CLBLM_L_X8Y0 COLUMN 24 TILEPROP CLBLM_L_X8Y0 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y0 FIRST_SITE_ID 15727 TILEPROP CLBLM_L_X8Y0 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y0 GRID_POINT_Y 155 TILEPROP CLBLM_L_X8Y0 INDEX 17849 TILEPROP CLBLM_L_X8Y0 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y0 INT_TILE_Y 149 TILEPROP CLBLM_L_X8Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y0 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y0 NAME CLBLM_L_X8Y0 TILEPROP CLBLM_L_X8Y0 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y0 NUM_SITES 2 TILEPROP CLBLM_L_X8Y0 ROW 155 TILEPROP CLBLM_L_X8Y0 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y0 TILE_PATTERN_IDX 3508 TILEPROP CLBLM_L_X8Y0 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y0 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y0 TILE_X -58490 TILEPROP CLBLM_L_X8Y0 TILE_Y -239672 TILEPROP CLBLM_L_X8Y0 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y1 CLASS tile TILEPROP CLBLM_L_X8Y1 COLUMN 24 TILEPROP CLBLM_L_X8Y1 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y1 FIRST_SITE_ID 15619 TILEPROP CLBLM_L_X8Y1 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y1 GRID_POINT_Y 154 TILEPROP CLBLM_L_X8Y1 INDEX 17734 TILEPROP CLBLM_L_X8Y1 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y1 INT_TILE_Y 148 TILEPROP CLBLM_L_X8Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y1 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y1 NAME CLBLM_L_X8Y1 TILEPROP CLBLM_L_X8Y1 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y1 NUM_SITES 2 TILEPROP CLBLM_L_X8Y1 ROW 154 TILEPROP CLBLM_L_X8Y1 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y1 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y1 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y1 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y1 TILE_X -58490 TILEPROP CLBLM_L_X8Y1 TILE_Y -236472 TILEPROP CLBLM_L_X8Y1 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y2 CLASS tile TILEPROP CLBLM_L_X8Y2 COLUMN 24 TILEPROP CLBLM_L_X8Y2 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y2 FIRST_SITE_ID 15519 TILEPROP CLBLM_L_X8Y2 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y2 GRID_POINT_Y 153 TILEPROP CLBLM_L_X8Y2 INDEX 17619 TILEPROP CLBLM_L_X8Y2 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y2 INT_TILE_Y 147 TILEPROP CLBLM_L_X8Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y2 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y2 NAME CLBLM_L_X8Y2 TILEPROP CLBLM_L_X8Y2 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y2 NUM_SITES 2 TILEPROP CLBLM_L_X8Y2 ROW 153 TILEPROP CLBLM_L_X8Y2 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y2 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y2 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y2 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y2 TILE_X -58490 TILEPROP CLBLM_L_X8Y2 TILE_Y -233272 TILEPROP CLBLM_L_X8Y2 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y3 CLASS tile TILEPROP CLBLM_L_X8Y3 COLUMN 24 TILEPROP CLBLM_L_X8Y3 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y3 FIRST_SITE_ID 15419 TILEPROP CLBLM_L_X8Y3 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y3 GRID_POINT_Y 152 TILEPROP CLBLM_L_X8Y3 INDEX 17504 TILEPROP CLBLM_L_X8Y3 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y3 INT_TILE_Y 146 TILEPROP CLBLM_L_X8Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y3 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y3 NAME CLBLM_L_X8Y3 TILEPROP CLBLM_L_X8Y3 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y3 NUM_SITES 2 TILEPROP CLBLM_L_X8Y3 ROW 152 TILEPROP CLBLM_L_X8Y3 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y3 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y3 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y3 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y3 TILE_X -58490 TILEPROP CLBLM_L_X8Y3 TILE_Y -230072 TILEPROP CLBLM_L_X8Y3 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y4 CLASS tile TILEPROP CLBLM_L_X8Y4 COLUMN 24 TILEPROP CLBLM_L_X8Y4 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y4 FIRST_SITE_ID 15319 TILEPROP CLBLM_L_X8Y4 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y4 GRID_POINT_Y 151 TILEPROP CLBLM_L_X8Y4 INDEX 17389 TILEPROP CLBLM_L_X8Y4 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y4 INT_TILE_Y 145 TILEPROP CLBLM_L_X8Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y4 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y4 NAME CLBLM_L_X8Y4 TILEPROP CLBLM_L_X8Y4 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y4 NUM_SITES 2 TILEPROP CLBLM_L_X8Y4 ROW 151 TILEPROP CLBLM_L_X8Y4 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y4 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y4 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y4 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y4 TILE_X -58490 TILEPROP CLBLM_L_X8Y4 TILE_Y -226872 TILEPROP CLBLM_L_X8Y4 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y5 CLASS tile TILEPROP CLBLM_L_X8Y5 COLUMN 24 TILEPROP CLBLM_L_X8Y5 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y5 FIRST_SITE_ID 15207 TILEPROP CLBLM_L_X8Y5 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y5 GRID_POINT_Y 150 TILEPROP CLBLM_L_X8Y5 INDEX 17274 TILEPROP CLBLM_L_X8Y5 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y5 INT_TILE_Y 144 TILEPROP CLBLM_L_X8Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y5 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y5 NAME CLBLM_L_X8Y5 TILEPROP CLBLM_L_X8Y5 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y5 NUM_SITES 2 TILEPROP CLBLM_L_X8Y5 ROW 150 TILEPROP CLBLM_L_X8Y5 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y5 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y5 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y5 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y5 TILE_X -58490 TILEPROP CLBLM_L_X8Y5 TILE_Y -223672 TILEPROP CLBLM_L_X8Y5 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y6 CLASS tile TILEPROP CLBLM_L_X8Y6 COLUMN 24 TILEPROP CLBLM_L_X8Y6 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y6 FIRST_SITE_ID 15104 TILEPROP CLBLM_L_X8Y6 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y6 GRID_POINT_Y 149 TILEPROP CLBLM_L_X8Y6 INDEX 17159 TILEPROP CLBLM_L_X8Y6 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y6 INT_TILE_Y 143 TILEPROP CLBLM_L_X8Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y6 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y6 NAME CLBLM_L_X8Y6 TILEPROP CLBLM_L_X8Y6 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y6 NUM_SITES 2 TILEPROP CLBLM_L_X8Y6 ROW 149 TILEPROP CLBLM_L_X8Y6 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y6 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y6 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y6 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y6 TILE_X -58490 TILEPROP CLBLM_L_X8Y6 TILE_Y -220472 TILEPROP CLBLM_L_X8Y6 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y7 CLASS tile TILEPROP CLBLM_L_X8Y7 COLUMN 24 TILEPROP CLBLM_L_X8Y7 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y7 FIRST_SITE_ID 15002 TILEPROP CLBLM_L_X8Y7 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y7 GRID_POINT_Y 148 TILEPROP CLBLM_L_X8Y7 INDEX 17044 TILEPROP CLBLM_L_X8Y7 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y7 INT_TILE_Y 142 TILEPROP CLBLM_L_X8Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y7 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y7 NAME CLBLM_L_X8Y7 TILEPROP CLBLM_L_X8Y7 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y7 NUM_SITES 2 TILEPROP CLBLM_L_X8Y7 ROW 148 TILEPROP CLBLM_L_X8Y7 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y7 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y7 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y7 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y7 TILE_X -58490 TILEPROP CLBLM_L_X8Y7 TILE_Y -217272 TILEPROP CLBLM_L_X8Y7 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y8 CLASS tile TILEPROP CLBLM_L_X8Y8 COLUMN 24 TILEPROP CLBLM_L_X8Y8 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y8 FIRST_SITE_ID 14899 TILEPROP CLBLM_L_X8Y8 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y8 GRID_POINT_Y 147 TILEPROP CLBLM_L_X8Y8 INDEX 16929 TILEPROP CLBLM_L_X8Y8 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y8 INT_TILE_Y 141 TILEPROP CLBLM_L_X8Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y8 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y8 NAME CLBLM_L_X8Y8 TILEPROP CLBLM_L_X8Y8 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y8 NUM_SITES 2 TILEPROP CLBLM_L_X8Y8 ROW 147 TILEPROP CLBLM_L_X8Y8 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y8 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y8 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y8 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y8 TILE_X -58490 TILEPROP CLBLM_L_X8Y8 TILE_Y -214072 TILEPROP CLBLM_L_X8Y8 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y9 CLASS tile TILEPROP CLBLM_L_X8Y9 COLUMN 24 TILEPROP CLBLM_L_X8Y9 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y9 FIRST_SITE_ID 14798 TILEPROP CLBLM_L_X8Y9 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y9 GRID_POINT_Y 146 TILEPROP CLBLM_L_X8Y9 INDEX 16814 TILEPROP CLBLM_L_X8Y9 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y9 INT_TILE_Y 140 TILEPROP CLBLM_L_X8Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y9 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y9 NAME CLBLM_L_X8Y9 TILEPROP CLBLM_L_X8Y9 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y9 NUM_SITES 2 TILEPROP CLBLM_L_X8Y9 ROW 146 TILEPROP CLBLM_L_X8Y9 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y9 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y9 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y9 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y9 TILE_X -58490 TILEPROP CLBLM_L_X8Y9 TILE_Y -210872 TILEPROP CLBLM_L_X8Y9 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y10 CLASS tile TILEPROP CLBLM_L_X8Y10 COLUMN 24 TILEPROP CLBLM_L_X8Y10 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y10 FIRST_SITE_ID 14686 TILEPROP CLBLM_L_X8Y10 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y10 GRID_POINT_Y 145 TILEPROP CLBLM_L_X8Y10 INDEX 16699 TILEPROP CLBLM_L_X8Y10 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y10 INT_TILE_Y 139 TILEPROP CLBLM_L_X8Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y10 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y10 NAME CLBLM_L_X8Y10 TILEPROP CLBLM_L_X8Y10 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y10 NUM_SITES 2 TILEPROP CLBLM_L_X8Y10 ROW 145 TILEPROP CLBLM_L_X8Y10 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y10 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y10 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y10 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y10 TILE_X -58490 TILEPROP CLBLM_L_X8Y10 TILE_Y -207672 TILEPROP CLBLM_L_X8Y10 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y11 CLASS tile TILEPROP CLBLM_L_X8Y11 COLUMN 24 TILEPROP CLBLM_L_X8Y11 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y11 FIRST_SITE_ID 14583 TILEPROP CLBLM_L_X8Y11 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y11 GRID_POINT_Y 144 TILEPROP CLBLM_L_X8Y11 INDEX 16584 TILEPROP CLBLM_L_X8Y11 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y11 INT_TILE_Y 138 TILEPROP CLBLM_L_X8Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y11 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y11 NAME CLBLM_L_X8Y11 TILEPROP CLBLM_L_X8Y11 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y11 NUM_SITES 2 TILEPROP CLBLM_L_X8Y11 ROW 144 TILEPROP CLBLM_L_X8Y11 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y11 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y11 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y11 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y11 TILE_X -58490 TILEPROP CLBLM_L_X8Y11 TILE_Y -204472 TILEPROP CLBLM_L_X8Y11 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y12 CLASS tile TILEPROP CLBLM_L_X8Y12 COLUMN 24 TILEPROP CLBLM_L_X8Y12 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y12 FIRST_SITE_ID 14451 TILEPROP CLBLM_L_X8Y12 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y12 GRID_POINT_Y 143 TILEPROP CLBLM_L_X8Y12 INDEX 16469 TILEPROP CLBLM_L_X8Y12 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y12 INT_TILE_Y 137 TILEPROP CLBLM_L_X8Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y12 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y12 NAME CLBLM_L_X8Y12 TILEPROP CLBLM_L_X8Y12 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y12 NUM_SITES 2 TILEPROP CLBLM_L_X8Y12 ROW 143 TILEPROP CLBLM_L_X8Y12 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y12 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y12 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y12 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y12 TILE_X -58490 TILEPROP CLBLM_L_X8Y12 TILE_Y -201272 TILEPROP CLBLM_L_X8Y12 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y13 CLASS tile TILEPROP CLBLM_L_X8Y13 COLUMN 24 TILEPROP CLBLM_L_X8Y13 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y13 FIRST_SITE_ID 14351 TILEPROP CLBLM_L_X8Y13 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y13 GRID_POINT_Y 142 TILEPROP CLBLM_L_X8Y13 INDEX 16354 TILEPROP CLBLM_L_X8Y13 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y13 INT_TILE_Y 136 TILEPROP CLBLM_L_X8Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y13 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y13 NAME CLBLM_L_X8Y13 TILEPROP CLBLM_L_X8Y13 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y13 NUM_SITES 2 TILEPROP CLBLM_L_X8Y13 ROW 142 TILEPROP CLBLM_L_X8Y13 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y13 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y13 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y13 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y13 TILE_X -58490 TILEPROP CLBLM_L_X8Y13 TILE_Y -198072 TILEPROP CLBLM_L_X8Y13 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y14 CLASS tile TILEPROP CLBLM_L_X8Y14 COLUMN 24 TILEPROP CLBLM_L_X8Y14 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y14 FIRST_SITE_ID 14251 TILEPROP CLBLM_L_X8Y14 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y14 GRID_POINT_Y 141 TILEPROP CLBLM_L_X8Y14 INDEX 16239 TILEPROP CLBLM_L_X8Y14 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y14 INT_TILE_Y 135 TILEPROP CLBLM_L_X8Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y14 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y14 NAME CLBLM_L_X8Y14 TILEPROP CLBLM_L_X8Y14 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y14 NUM_SITES 2 TILEPROP CLBLM_L_X8Y14 ROW 141 TILEPROP CLBLM_L_X8Y14 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y14 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y14 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y14 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y14 TILE_X -58490 TILEPROP CLBLM_L_X8Y14 TILE_Y -194872 TILEPROP CLBLM_L_X8Y14 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y15 CLASS tile TILEPROP CLBLM_L_X8Y15 COLUMN 24 TILEPROP CLBLM_L_X8Y15 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y15 FIRST_SITE_ID 14139 TILEPROP CLBLM_L_X8Y15 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y15 GRID_POINT_Y 140 TILEPROP CLBLM_L_X8Y15 INDEX 16124 TILEPROP CLBLM_L_X8Y15 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y15 INT_TILE_Y 134 TILEPROP CLBLM_L_X8Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y15 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y15 NAME CLBLM_L_X8Y15 TILEPROP CLBLM_L_X8Y15 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y15 NUM_SITES 2 TILEPROP CLBLM_L_X8Y15 ROW 140 TILEPROP CLBLM_L_X8Y15 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y15 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y15 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y15 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y15 TILE_X -58490 TILEPROP CLBLM_L_X8Y15 TILE_Y -191672 TILEPROP CLBLM_L_X8Y15 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y16 CLASS tile TILEPROP CLBLM_L_X8Y16 COLUMN 24 TILEPROP CLBLM_L_X8Y16 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y16 FIRST_SITE_ID 14036 TILEPROP CLBLM_L_X8Y16 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y16 GRID_POINT_Y 139 TILEPROP CLBLM_L_X8Y16 INDEX 16009 TILEPROP CLBLM_L_X8Y16 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y16 INT_TILE_Y 133 TILEPROP CLBLM_L_X8Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y16 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y16 NAME CLBLM_L_X8Y16 TILEPROP CLBLM_L_X8Y16 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y16 NUM_SITES 2 TILEPROP CLBLM_L_X8Y16 ROW 139 TILEPROP CLBLM_L_X8Y16 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y16 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y16 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y16 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y16 TILE_X -58490 TILEPROP CLBLM_L_X8Y16 TILE_Y -188472 TILEPROP CLBLM_L_X8Y16 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y17 CLASS tile TILEPROP CLBLM_L_X8Y17 COLUMN 24 TILEPROP CLBLM_L_X8Y17 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y17 FIRST_SITE_ID 13931 TILEPROP CLBLM_L_X8Y17 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y17 GRID_POINT_Y 138 TILEPROP CLBLM_L_X8Y17 INDEX 15894 TILEPROP CLBLM_L_X8Y17 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y17 INT_TILE_Y 132 TILEPROP CLBLM_L_X8Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y17 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y17 NAME CLBLM_L_X8Y17 TILEPROP CLBLM_L_X8Y17 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y17 NUM_SITES 2 TILEPROP CLBLM_L_X8Y17 ROW 138 TILEPROP CLBLM_L_X8Y17 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y17 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y17 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y17 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y17 TILE_X -58490 TILEPROP CLBLM_L_X8Y17 TILE_Y -185272 TILEPROP CLBLM_L_X8Y17 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y18 CLASS tile TILEPROP CLBLM_L_X8Y18 COLUMN 24 TILEPROP CLBLM_L_X8Y18 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y18 FIRST_SITE_ID 13827 TILEPROP CLBLM_L_X8Y18 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y18 GRID_POINT_Y 137 TILEPROP CLBLM_L_X8Y18 INDEX 15779 TILEPROP CLBLM_L_X8Y18 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y18 INT_TILE_Y 131 TILEPROP CLBLM_L_X8Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y18 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y18 NAME CLBLM_L_X8Y18 TILEPROP CLBLM_L_X8Y18 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y18 NUM_SITES 2 TILEPROP CLBLM_L_X8Y18 ROW 137 TILEPROP CLBLM_L_X8Y18 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y18 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y18 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y18 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y18 TILE_X -58490 TILEPROP CLBLM_L_X8Y18 TILE_Y -182072 TILEPROP CLBLM_L_X8Y18 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y19 CLASS tile TILEPROP CLBLM_L_X8Y19 COLUMN 24 TILEPROP CLBLM_L_X8Y19 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y19 FIRST_SITE_ID 13725 TILEPROP CLBLM_L_X8Y19 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y19 GRID_POINT_Y 136 TILEPROP CLBLM_L_X8Y19 INDEX 15664 TILEPROP CLBLM_L_X8Y19 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y19 INT_TILE_Y 130 TILEPROP CLBLM_L_X8Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y19 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y19 NAME CLBLM_L_X8Y19 TILEPROP CLBLM_L_X8Y19 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y19 NUM_SITES 2 TILEPROP CLBLM_L_X8Y19 ROW 136 TILEPROP CLBLM_L_X8Y19 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y19 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y19 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y19 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y19 TILE_X -58490 TILEPROP CLBLM_L_X8Y19 TILE_Y -178872 TILEPROP CLBLM_L_X8Y19 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y20 CLASS tile TILEPROP CLBLM_L_X8Y20 COLUMN 24 TILEPROP CLBLM_L_X8Y20 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y20 FIRST_SITE_ID 13611 TILEPROP CLBLM_L_X8Y20 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y20 GRID_POINT_Y 135 TILEPROP CLBLM_L_X8Y20 INDEX 15549 TILEPROP CLBLM_L_X8Y20 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y20 INT_TILE_Y 129 TILEPROP CLBLM_L_X8Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y20 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y20 NAME CLBLM_L_X8Y20 TILEPROP CLBLM_L_X8Y20 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y20 NUM_SITES 2 TILEPROP CLBLM_L_X8Y20 ROW 135 TILEPROP CLBLM_L_X8Y20 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y20 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y20 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y20 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y20 TILE_X -58490 TILEPROP CLBLM_L_X8Y20 TILE_Y -175672 TILEPROP CLBLM_L_X8Y20 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y21 CLASS tile TILEPROP CLBLM_L_X8Y21 COLUMN 24 TILEPROP CLBLM_L_X8Y21 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y21 FIRST_SITE_ID 13508 TILEPROP CLBLM_L_X8Y21 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y21 GRID_POINT_Y 134 TILEPROP CLBLM_L_X8Y21 INDEX 15434 TILEPROP CLBLM_L_X8Y21 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y21 INT_TILE_Y 128 TILEPROP CLBLM_L_X8Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y21 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y21 NAME CLBLM_L_X8Y21 TILEPROP CLBLM_L_X8Y21 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y21 NUM_SITES 2 TILEPROP CLBLM_L_X8Y21 ROW 134 TILEPROP CLBLM_L_X8Y21 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y21 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y21 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y21 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y21 TILE_X -58490 TILEPROP CLBLM_L_X8Y21 TILE_Y -172472 TILEPROP CLBLM_L_X8Y21 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y22 CLASS tile TILEPROP CLBLM_L_X8Y22 COLUMN 24 TILEPROP CLBLM_L_X8Y22 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y22 FIRST_SITE_ID 13408 TILEPROP CLBLM_L_X8Y22 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y22 GRID_POINT_Y 133 TILEPROP CLBLM_L_X8Y22 INDEX 15319 TILEPROP CLBLM_L_X8Y22 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y22 INT_TILE_Y 127 TILEPROP CLBLM_L_X8Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y22 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y22 NAME CLBLM_L_X8Y22 TILEPROP CLBLM_L_X8Y22 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y22 NUM_SITES 2 TILEPROP CLBLM_L_X8Y22 ROW 133 TILEPROP CLBLM_L_X8Y22 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y22 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y22 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y22 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y22 TILE_X -58490 TILEPROP CLBLM_L_X8Y22 TILE_Y -169272 TILEPROP CLBLM_L_X8Y22 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y23 CLASS tile TILEPROP CLBLM_L_X8Y23 COLUMN 24 TILEPROP CLBLM_L_X8Y23 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y23 FIRST_SITE_ID 13308 TILEPROP CLBLM_L_X8Y23 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y23 GRID_POINT_Y 132 TILEPROP CLBLM_L_X8Y23 INDEX 15204 TILEPROP CLBLM_L_X8Y23 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y23 INT_TILE_Y 126 TILEPROP CLBLM_L_X8Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y23 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y23 NAME CLBLM_L_X8Y23 TILEPROP CLBLM_L_X8Y23 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y23 NUM_SITES 2 TILEPROP CLBLM_L_X8Y23 ROW 132 TILEPROP CLBLM_L_X8Y23 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y23 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y23 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y23 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y23 TILE_X -58490 TILEPROP CLBLM_L_X8Y23 TILE_Y -166072 TILEPROP CLBLM_L_X8Y23 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y24 CLASS tile TILEPROP CLBLM_L_X8Y24 COLUMN 24 TILEPROP CLBLM_L_X8Y24 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y24 FIRST_SITE_ID 13208 TILEPROP CLBLM_L_X8Y24 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y24 GRID_POINT_Y 131 TILEPROP CLBLM_L_X8Y24 INDEX 15089 TILEPROP CLBLM_L_X8Y24 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y24 INT_TILE_Y 125 TILEPROP CLBLM_L_X8Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y24 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y24 NAME CLBLM_L_X8Y24 TILEPROP CLBLM_L_X8Y24 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y24 NUM_SITES 2 TILEPROP CLBLM_L_X8Y24 ROW 131 TILEPROP CLBLM_L_X8Y24 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y24 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X8Y24 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y24 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y24 TILE_X -58490 TILEPROP CLBLM_L_X8Y24 TILE_Y -162872 TILEPROP CLBLM_L_X8Y24 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y25 CLASS tile TILEPROP CLBLM_L_X8Y25 COLUMN 24 TILEPROP CLBLM_L_X8Y25 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y25 FIRST_SITE_ID 13013 TILEPROP CLBLM_L_X8Y25 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y25 GRID_POINT_Y 129 TILEPROP CLBLM_L_X8Y25 INDEX 14859 TILEPROP CLBLM_L_X8Y25 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y25 INT_TILE_Y 124 TILEPROP CLBLM_L_X8Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y25 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y25 NAME CLBLM_L_X8Y25 TILEPROP CLBLM_L_X8Y25 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y25 NUM_SITES 2 TILEPROP CLBLM_L_X8Y25 ROW 129 TILEPROP CLBLM_L_X8Y25 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y25 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X8Y25 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y25 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y25 TILE_X -58490 TILEPROP CLBLM_L_X8Y25 TILE_Y -158648 TILEPROP CLBLM_L_X8Y25 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y26 CLASS tile TILEPROP CLBLM_L_X8Y26 COLUMN 24 TILEPROP CLBLM_L_X8Y26 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y26 FIRST_SITE_ID 12910 TILEPROP CLBLM_L_X8Y26 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y26 GRID_POINT_Y 128 TILEPROP CLBLM_L_X8Y26 INDEX 14744 TILEPROP CLBLM_L_X8Y26 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y26 INT_TILE_Y 123 TILEPROP CLBLM_L_X8Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y26 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y26 NAME CLBLM_L_X8Y26 TILEPROP CLBLM_L_X8Y26 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y26 NUM_SITES 2 TILEPROP CLBLM_L_X8Y26 ROW 128 TILEPROP CLBLM_L_X8Y26 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y26 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y26 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y26 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y26 TILE_X -58490 TILEPROP CLBLM_L_X8Y26 TILE_Y -155448 TILEPROP CLBLM_L_X8Y26 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y27 CLASS tile TILEPROP CLBLM_L_X8Y27 COLUMN 24 TILEPROP CLBLM_L_X8Y27 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y27 FIRST_SITE_ID 12810 TILEPROP CLBLM_L_X8Y27 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y27 GRID_POINT_Y 127 TILEPROP CLBLM_L_X8Y27 INDEX 14629 TILEPROP CLBLM_L_X8Y27 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y27 INT_TILE_Y 122 TILEPROP CLBLM_L_X8Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y27 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y27 NAME CLBLM_L_X8Y27 TILEPROP CLBLM_L_X8Y27 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y27 NUM_SITES 2 TILEPROP CLBLM_L_X8Y27 ROW 127 TILEPROP CLBLM_L_X8Y27 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y27 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y27 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y27 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y27 TILE_X -58490 TILEPROP CLBLM_L_X8Y27 TILE_Y -152248 TILEPROP CLBLM_L_X8Y27 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y28 CLASS tile TILEPROP CLBLM_L_X8Y28 COLUMN 24 TILEPROP CLBLM_L_X8Y28 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y28 FIRST_SITE_ID 12710 TILEPROP CLBLM_L_X8Y28 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y28 GRID_POINT_Y 126 TILEPROP CLBLM_L_X8Y28 INDEX 14514 TILEPROP CLBLM_L_X8Y28 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y28 INT_TILE_Y 121 TILEPROP CLBLM_L_X8Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y28 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y28 NAME CLBLM_L_X8Y28 TILEPROP CLBLM_L_X8Y28 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y28 NUM_SITES 2 TILEPROP CLBLM_L_X8Y28 ROW 126 TILEPROP CLBLM_L_X8Y28 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y28 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y28 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y28 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y28 TILE_X -58490 TILEPROP CLBLM_L_X8Y28 TILE_Y -149048 TILEPROP CLBLM_L_X8Y28 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y29 CLASS tile TILEPROP CLBLM_L_X8Y29 COLUMN 24 TILEPROP CLBLM_L_X8Y29 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y29 FIRST_SITE_ID 12604 TILEPROP CLBLM_L_X8Y29 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y29 GRID_POINT_Y 125 TILEPROP CLBLM_L_X8Y29 INDEX 14399 TILEPROP CLBLM_L_X8Y29 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y29 INT_TILE_Y 120 TILEPROP CLBLM_L_X8Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y29 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y29 NAME CLBLM_L_X8Y29 TILEPROP CLBLM_L_X8Y29 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y29 NUM_SITES 2 TILEPROP CLBLM_L_X8Y29 ROW 125 TILEPROP CLBLM_L_X8Y29 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y29 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y29 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y29 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y29 TILE_X -58490 TILEPROP CLBLM_L_X8Y29 TILE_Y -145848 TILEPROP CLBLM_L_X8Y29 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y30 CLASS tile TILEPROP CLBLM_L_X8Y30 COLUMN 24 TILEPROP CLBLM_L_X8Y30 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y30 FIRST_SITE_ID 12473 TILEPROP CLBLM_L_X8Y30 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y30 GRID_POINT_Y 124 TILEPROP CLBLM_L_X8Y30 INDEX 14284 TILEPROP CLBLM_L_X8Y30 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y30 INT_TILE_Y 119 TILEPROP CLBLM_L_X8Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y30 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y30 NAME CLBLM_L_X8Y30 TILEPROP CLBLM_L_X8Y30 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y30 NUM_SITES 2 TILEPROP CLBLM_L_X8Y30 ROW 124 TILEPROP CLBLM_L_X8Y30 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y30 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y30 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y30 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y30 TILE_X -58490 TILEPROP CLBLM_L_X8Y30 TILE_Y -142648 TILEPROP CLBLM_L_X8Y30 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y31 CLASS tile TILEPROP CLBLM_L_X8Y31 COLUMN 24 TILEPROP CLBLM_L_X8Y31 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y31 FIRST_SITE_ID 12368 TILEPROP CLBLM_L_X8Y31 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y31 GRID_POINT_Y 123 TILEPROP CLBLM_L_X8Y31 INDEX 14169 TILEPROP CLBLM_L_X8Y31 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y31 INT_TILE_Y 118 TILEPROP CLBLM_L_X8Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y31 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y31 NAME CLBLM_L_X8Y31 TILEPROP CLBLM_L_X8Y31 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y31 NUM_SITES 2 TILEPROP CLBLM_L_X8Y31 ROW 123 TILEPROP CLBLM_L_X8Y31 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y31 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y31 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y31 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y31 TILE_X -58490 TILEPROP CLBLM_L_X8Y31 TILE_Y -139448 TILEPROP CLBLM_L_X8Y31 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y32 CLASS tile TILEPROP CLBLM_L_X8Y32 COLUMN 24 TILEPROP CLBLM_L_X8Y32 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y32 FIRST_SITE_ID 12265 TILEPROP CLBLM_L_X8Y32 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y32 GRID_POINT_Y 122 TILEPROP CLBLM_L_X8Y32 INDEX 14054 TILEPROP CLBLM_L_X8Y32 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y32 INT_TILE_Y 117 TILEPROP CLBLM_L_X8Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y32 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y32 NAME CLBLM_L_X8Y32 TILEPROP CLBLM_L_X8Y32 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y32 NUM_SITES 2 TILEPROP CLBLM_L_X8Y32 ROW 122 TILEPROP CLBLM_L_X8Y32 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y32 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y32 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y32 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y32 TILE_X -58490 TILEPROP CLBLM_L_X8Y32 TILE_Y -136248 TILEPROP CLBLM_L_X8Y32 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y33 CLASS tile TILEPROP CLBLM_L_X8Y33 COLUMN 24 TILEPROP CLBLM_L_X8Y33 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y33 FIRST_SITE_ID 12165 TILEPROP CLBLM_L_X8Y33 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y33 GRID_POINT_Y 121 TILEPROP CLBLM_L_X8Y33 INDEX 13939 TILEPROP CLBLM_L_X8Y33 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y33 INT_TILE_Y 116 TILEPROP CLBLM_L_X8Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y33 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y33 NAME CLBLM_L_X8Y33 TILEPROP CLBLM_L_X8Y33 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y33 NUM_SITES 2 TILEPROP CLBLM_L_X8Y33 ROW 121 TILEPROP CLBLM_L_X8Y33 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y33 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y33 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y33 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y33 TILE_X -58490 TILEPROP CLBLM_L_X8Y33 TILE_Y -133048 TILEPROP CLBLM_L_X8Y33 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y34 CLASS tile TILEPROP CLBLM_L_X8Y34 COLUMN 24 TILEPROP CLBLM_L_X8Y34 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y34 FIRST_SITE_ID 12065 TILEPROP CLBLM_L_X8Y34 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y34 GRID_POINT_Y 120 TILEPROP CLBLM_L_X8Y34 INDEX 13824 TILEPROP CLBLM_L_X8Y34 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y34 INT_TILE_Y 115 TILEPROP CLBLM_L_X8Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y34 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y34 NAME CLBLM_L_X8Y34 TILEPROP CLBLM_L_X8Y34 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y34 NUM_SITES 2 TILEPROP CLBLM_L_X8Y34 ROW 120 TILEPROP CLBLM_L_X8Y34 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y34 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y34 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y34 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y34 TILE_X -58490 TILEPROP CLBLM_L_X8Y34 TILE_Y -129848 TILEPROP CLBLM_L_X8Y34 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y35 CLASS tile TILEPROP CLBLM_L_X8Y35 COLUMN 24 TILEPROP CLBLM_L_X8Y35 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y35 FIRST_SITE_ID 11953 TILEPROP CLBLM_L_X8Y35 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y35 GRID_POINT_Y 119 TILEPROP CLBLM_L_X8Y35 INDEX 13709 TILEPROP CLBLM_L_X8Y35 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y35 INT_TILE_Y 114 TILEPROP CLBLM_L_X8Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y35 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y35 NAME CLBLM_L_X8Y35 TILEPROP CLBLM_L_X8Y35 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y35 NUM_SITES 2 TILEPROP CLBLM_L_X8Y35 ROW 119 TILEPROP CLBLM_L_X8Y35 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y35 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y35 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y35 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y35 TILE_X -58490 TILEPROP CLBLM_L_X8Y35 TILE_Y -126648 TILEPROP CLBLM_L_X8Y35 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y36 CLASS tile TILEPROP CLBLM_L_X8Y36 COLUMN 24 TILEPROP CLBLM_L_X8Y36 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y36 FIRST_SITE_ID 11818 TILEPROP CLBLM_L_X8Y36 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y36 GRID_POINT_Y 118 TILEPROP CLBLM_L_X8Y36 INDEX 13594 TILEPROP CLBLM_L_X8Y36 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y36 INT_TILE_Y 113 TILEPROP CLBLM_L_X8Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y36 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y36 NAME CLBLM_L_X8Y36 TILEPROP CLBLM_L_X8Y36 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y36 NUM_SITES 2 TILEPROP CLBLM_L_X8Y36 ROW 118 TILEPROP CLBLM_L_X8Y36 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y36 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y36 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y36 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y36 TILE_X -58490 TILEPROP CLBLM_L_X8Y36 TILE_Y -123448 TILEPROP CLBLM_L_X8Y36 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y37 CLASS tile TILEPROP CLBLM_L_X8Y37 COLUMN 24 TILEPROP CLBLM_L_X8Y37 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y37 FIRST_SITE_ID 11718 TILEPROP CLBLM_L_X8Y37 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y37 GRID_POINT_Y 117 TILEPROP CLBLM_L_X8Y37 INDEX 13479 TILEPROP CLBLM_L_X8Y37 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y37 INT_TILE_Y 112 TILEPROP CLBLM_L_X8Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y37 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y37 NAME CLBLM_L_X8Y37 TILEPROP CLBLM_L_X8Y37 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y37 NUM_SITES 2 TILEPROP CLBLM_L_X8Y37 ROW 117 TILEPROP CLBLM_L_X8Y37 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y37 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y37 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y37 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y37 TILE_X -58490 TILEPROP CLBLM_L_X8Y37 TILE_Y -120248 TILEPROP CLBLM_L_X8Y37 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y38 CLASS tile TILEPROP CLBLM_L_X8Y38 COLUMN 24 TILEPROP CLBLM_L_X8Y38 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y38 FIRST_SITE_ID 11618 TILEPROP CLBLM_L_X8Y38 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y38 GRID_POINT_Y 116 TILEPROP CLBLM_L_X8Y38 INDEX 13364 TILEPROP CLBLM_L_X8Y38 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y38 INT_TILE_Y 111 TILEPROP CLBLM_L_X8Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y38 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y38 NAME CLBLM_L_X8Y38 TILEPROP CLBLM_L_X8Y38 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y38 NUM_SITES 2 TILEPROP CLBLM_L_X8Y38 ROW 116 TILEPROP CLBLM_L_X8Y38 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y38 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y38 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y38 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y38 TILE_X -58490 TILEPROP CLBLM_L_X8Y38 TILE_Y -117048 TILEPROP CLBLM_L_X8Y38 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y39 CLASS tile TILEPROP CLBLM_L_X8Y39 COLUMN 24 TILEPROP CLBLM_L_X8Y39 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y39 FIRST_SITE_ID 11518 TILEPROP CLBLM_L_X8Y39 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y39 GRID_POINT_Y 115 TILEPROP CLBLM_L_X8Y39 INDEX 13249 TILEPROP CLBLM_L_X8Y39 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y39 INT_TILE_Y 110 TILEPROP CLBLM_L_X8Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y39 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y39 NAME CLBLM_L_X8Y39 TILEPROP CLBLM_L_X8Y39 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y39 NUM_SITES 2 TILEPROP CLBLM_L_X8Y39 ROW 115 TILEPROP CLBLM_L_X8Y39 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y39 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y39 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y39 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y39 TILE_X -58490 TILEPROP CLBLM_L_X8Y39 TILE_Y -113848 TILEPROP CLBLM_L_X8Y39 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y40 CLASS tile TILEPROP CLBLM_L_X8Y40 COLUMN 24 TILEPROP CLBLM_L_X8Y40 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y40 FIRST_SITE_ID 11404 TILEPROP CLBLM_L_X8Y40 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y40 GRID_POINT_Y 114 TILEPROP CLBLM_L_X8Y40 INDEX 13134 TILEPROP CLBLM_L_X8Y40 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y40 INT_TILE_Y 109 TILEPROP CLBLM_L_X8Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y40 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y40 NAME CLBLM_L_X8Y40 TILEPROP CLBLM_L_X8Y40 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y40 NUM_SITES 2 TILEPROP CLBLM_L_X8Y40 ROW 114 TILEPROP CLBLM_L_X8Y40 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y40 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y40 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y40 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y40 TILE_X -58490 TILEPROP CLBLM_L_X8Y40 TILE_Y -110648 TILEPROP CLBLM_L_X8Y40 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y41 CLASS tile TILEPROP CLBLM_L_X8Y41 COLUMN 24 TILEPROP CLBLM_L_X8Y41 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y41 FIRST_SITE_ID 11300 TILEPROP CLBLM_L_X8Y41 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y41 GRID_POINT_Y 113 TILEPROP CLBLM_L_X8Y41 INDEX 13019 TILEPROP CLBLM_L_X8Y41 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y41 INT_TILE_Y 108 TILEPROP CLBLM_L_X8Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y41 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y41 NAME CLBLM_L_X8Y41 TILEPROP CLBLM_L_X8Y41 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y41 NUM_SITES 2 TILEPROP CLBLM_L_X8Y41 ROW 113 TILEPROP CLBLM_L_X8Y41 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y41 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y41 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y41 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y41 TILE_X -58490 TILEPROP CLBLM_L_X8Y41 TILE_Y -107448 TILEPROP CLBLM_L_X8Y41 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y42 CLASS tile TILEPROP CLBLM_L_X8Y42 COLUMN 24 TILEPROP CLBLM_L_X8Y42 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y42 FIRST_SITE_ID 11199 TILEPROP CLBLM_L_X8Y42 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y42 GRID_POINT_Y 112 TILEPROP CLBLM_L_X8Y42 INDEX 12904 TILEPROP CLBLM_L_X8Y42 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y42 INT_TILE_Y 107 TILEPROP CLBLM_L_X8Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y42 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y42 NAME CLBLM_L_X8Y42 TILEPROP CLBLM_L_X8Y42 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y42 NUM_SITES 2 TILEPROP CLBLM_L_X8Y42 ROW 112 TILEPROP CLBLM_L_X8Y42 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y42 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y42 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y42 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y42 TILE_X -58490 TILEPROP CLBLM_L_X8Y42 TILE_Y -104248 TILEPROP CLBLM_L_X8Y42 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y43 CLASS tile TILEPROP CLBLM_L_X8Y43 COLUMN 24 TILEPROP CLBLM_L_X8Y43 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y43 FIRST_SITE_ID 11096 TILEPROP CLBLM_L_X8Y43 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y43 GRID_POINT_Y 111 TILEPROP CLBLM_L_X8Y43 INDEX 12789 TILEPROP CLBLM_L_X8Y43 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y43 INT_TILE_Y 106 TILEPROP CLBLM_L_X8Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y43 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y43 NAME CLBLM_L_X8Y43 TILEPROP CLBLM_L_X8Y43 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y43 NUM_SITES 2 TILEPROP CLBLM_L_X8Y43 ROW 111 TILEPROP CLBLM_L_X8Y43 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y43 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y43 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y43 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y43 TILE_X -58490 TILEPROP CLBLM_L_X8Y43 TILE_Y -101048 TILEPROP CLBLM_L_X8Y43 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y44 CLASS tile TILEPROP CLBLM_L_X8Y44 COLUMN 24 TILEPROP CLBLM_L_X8Y44 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y44 FIRST_SITE_ID 10994 TILEPROP CLBLM_L_X8Y44 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y44 GRID_POINT_Y 110 TILEPROP CLBLM_L_X8Y44 INDEX 12674 TILEPROP CLBLM_L_X8Y44 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y44 INT_TILE_Y 105 TILEPROP CLBLM_L_X8Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y44 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y44 NAME CLBLM_L_X8Y44 TILEPROP CLBLM_L_X8Y44 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y44 NUM_SITES 2 TILEPROP CLBLM_L_X8Y44 ROW 110 TILEPROP CLBLM_L_X8Y44 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y44 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y44 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y44 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y44 TILE_X -58490 TILEPROP CLBLM_L_X8Y44 TILE_Y -97848 TILEPROP CLBLM_L_X8Y44 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y45 CLASS tile TILEPROP CLBLM_L_X8Y45 COLUMN 24 TILEPROP CLBLM_L_X8Y45 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y45 FIRST_SITE_ID 10881 TILEPROP CLBLM_L_X8Y45 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y45 GRID_POINT_Y 109 TILEPROP CLBLM_L_X8Y45 INDEX 12559 TILEPROP CLBLM_L_X8Y45 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y45 INT_TILE_Y 104 TILEPROP CLBLM_L_X8Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y45 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y45 NAME CLBLM_L_X8Y45 TILEPROP CLBLM_L_X8Y45 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y45 NUM_SITES 2 TILEPROP CLBLM_L_X8Y45 ROW 109 TILEPROP CLBLM_L_X8Y45 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y45 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y45 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y45 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y45 TILE_X -58490 TILEPROP CLBLM_L_X8Y45 TILE_Y -94648 TILEPROP CLBLM_L_X8Y45 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y46 CLASS tile TILEPROP CLBLM_L_X8Y46 COLUMN 24 TILEPROP CLBLM_L_X8Y46 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y46 FIRST_SITE_ID 10762 TILEPROP CLBLM_L_X8Y46 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y46 GRID_POINT_Y 108 TILEPROP CLBLM_L_X8Y46 INDEX 12444 TILEPROP CLBLM_L_X8Y46 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y46 INT_TILE_Y 103 TILEPROP CLBLM_L_X8Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y46 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y46 NAME CLBLM_L_X8Y46 TILEPROP CLBLM_L_X8Y46 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y46 NUM_SITES 2 TILEPROP CLBLM_L_X8Y46 ROW 108 TILEPROP CLBLM_L_X8Y46 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y46 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y46 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y46 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y46 TILE_X -58490 TILEPROP CLBLM_L_X8Y46 TILE_Y -91448 TILEPROP CLBLM_L_X8Y46 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y47 CLASS tile TILEPROP CLBLM_L_X8Y47 COLUMN 24 TILEPROP CLBLM_L_X8Y47 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y47 FIRST_SITE_ID 10662 TILEPROP CLBLM_L_X8Y47 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y47 GRID_POINT_Y 107 TILEPROP CLBLM_L_X8Y47 INDEX 12329 TILEPROP CLBLM_L_X8Y47 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y47 INT_TILE_Y 102 TILEPROP CLBLM_L_X8Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y47 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y47 NAME CLBLM_L_X8Y47 TILEPROP CLBLM_L_X8Y47 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y47 NUM_SITES 2 TILEPROP CLBLM_L_X8Y47 ROW 107 TILEPROP CLBLM_L_X8Y47 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y47 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y47 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y47 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y47 TILE_X -58490 TILEPROP CLBLM_L_X8Y47 TILE_Y -88248 TILEPROP CLBLM_L_X8Y47 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y48 CLASS tile TILEPROP CLBLM_L_X8Y48 COLUMN 24 TILEPROP CLBLM_L_X8Y48 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y48 FIRST_SITE_ID 10562 TILEPROP CLBLM_L_X8Y48 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y48 GRID_POINT_Y 106 TILEPROP CLBLM_L_X8Y48 INDEX 12214 TILEPROP CLBLM_L_X8Y48 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y48 INT_TILE_Y 101 TILEPROP CLBLM_L_X8Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y48 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y48 NAME CLBLM_L_X8Y48 TILEPROP CLBLM_L_X8Y48 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y48 NUM_SITES 2 TILEPROP CLBLM_L_X8Y48 ROW 106 TILEPROP CLBLM_L_X8Y48 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y48 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y48 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y48 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y48 TILE_X -58490 TILEPROP CLBLM_L_X8Y48 TILE_Y -85048 TILEPROP CLBLM_L_X8Y48 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y49 CLASS tile TILEPROP CLBLM_L_X8Y49 COLUMN 24 TILEPROP CLBLM_L_X8Y49 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y49 FIRST_SITE_ID 10466 TILEPROP CLBLM_L_X8Y49 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y49 GRID_POINT_Y 105 TILEPROP CLBLM_L_X8Y49 INDEX 12099 TILEPROP CLBLM_L_X8Y49 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y49 INT_TILE_Y 100 TILEPROP CLBLM_L_X8Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y49 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y49 NAME CLBLM_L_X8Y49 TILEPROP CLBLM_L_X8Y49 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y49 NUM_SITES 2 TILEPROP CLBLM_L_X8Y49 ROW 105 TILEPROP CLBLM_L_X8Y49 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y49 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X8Y49 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y49 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y49 TILE_X -58490 TILEPROP CLBLM_L_X8Y49 TILE_Y -81848 TILEPROP CLBLM_L_X8Y49 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y50 CLASS tile TILEPROP CLBLM_L_X8Y50 COLUMN 24 TILEPROP CLBLM_L_X8Y50 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y50 FIRST_SITE_ID 10338 TILEPROP CLBLM_L_X8Y50 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y50 GRID_POINT_Y 103 TILEPROP CLBLM_L_X8Y50 INDEX 11869 TILEPROP CLBLM_L_X8Y50 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y50 INT_TILE_Y 99 TILEPROP CLBLM_L_X8Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y50 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y50 NAME CLBLM_L_X8Y50 TILEPROP CLBLM_L_X8Y50 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y50 NUM_SITES 2 TILEPROP CLBLM_L_X8Y50 ROW 103 TILEPROP CLBLM_L_X8Y50 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y50 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X8Y50 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y50 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y50 TILE_X -58490 TILEPROP CLBLM_L_X8Y50 TILE_Y -78400 TILEPROP CLBLM_L_X8Y50 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y51 CLASS tile TILEPROP CLBLM_L_X8Y51 COLUMN 24 TILEPROP CLBLM_L_X8Y51 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y51 FIRST_SITE_ID 10231 TILEPROP CLBLM_L_X8Y51 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y51 GRID_POINT_Y 102 TILEPROP CLBLM_L_X8Y51 INDEX 11754 TILEPROP CLBLM_L_X8Y51 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y51 INT_TILE_Y 98 TILEPROP CLBLM_L_X8Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y51 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y51 NAME CLBLM_L_X8Y51 TILEPROP CLBLM_L_X8Y51 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y51 NUM_SITES 2 TILEPROP CLBLM_L_X8Y51 ROW 102 TILEPROP CLBLM_L_X8Y51 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y51 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y51 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y51 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y51 TILE_X -58490 TILEPROP CLBLM_L_X8Y51 TILE_Y -75200 TILEPROP CLBLM_L_X8Y51 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y52 CLASS tile TILEPROP CLBLM_L_X8Y52 COLUMN 24 TILEPROP CLBLM_L_X8Y52 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y52 FIRST_SITE_ID 10131 TILEPROP CLBLM_L_X8Y52 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y52 GRID_POINT_Y 101 TILEPROP CLBLM_L_X8Y52 INDEX 11639 TILEPROP CLBLM_L_X8Y52 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y52 INT_TILE_Y 97 TILEPROP CLBLM_L_X8Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y52 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y52 NAME CLBLM_L_X8Y52 TILEPROP CLBLM_L_X8Y52 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y52 NUM_SITES 2 TILEPROP CLBLM_L_X8Y52 ROW 101 TILEPROP CLBLM_L_X8Y52 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y52 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y52 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y52 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y52 TILE_X -58490 TILEPROP CLBLM_L_X8Y52 TILE_Y -72000 TILEPROP CLBLM_L_X8Y52 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y53 CLASS tile TILEPROP CLBLM_L_X8Y53 COLUMN 24 TILEPROP CLBLM_L_X8Y53 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y53 FIRST_SITE_ID 10031 TILEPROP CLBLM_L_X8Y53 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y53 GRID_POINT_Y 100 TILEPROP CLBLM_L_X8Y53 INDEX 11524 TILEPROP CLBLM_L_X8Y53 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y53 INT_TILE_Y 96 TILEPROP CLBLM_L_X8Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y53 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y53 NAME CLBLM_L_X8Y53 TILEPROP CLBLM_L_X8Y53 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y53 NUM_SITES 2 TILEPROP CLBLM_L_X8Y53 ROW 100 TILEPROP CLBLM_L_X8Y53 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y53 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y53 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y53 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y53 TILE_X -58490 TILEPROP CLBLM_L_X8Y53 TILE_Y -68800 TILEPROP CLBLM_L_X8Y53 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y54 CLASS tile TILEPROP CLBLM_L_X8Y54 COLUMN 24 TILEPROP CLBLM_L_X8Y54 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y54 FIRST_SITE_ID 9931 TILEPROP CLBLM_L_X8Y54 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y54 GRID_POINT_Y 99 TILEPROP CLBLM_L_X8Y54 INDEX 11409 TILEPROP CLBLM_L_X8Y54 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y54 INT_TILE_Y 95 TILEPROP CLBLM_L_X8Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y54 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y54 NAME CLBLM_L_X8Y54 TILEPROP CLBLM_L_X8Y54 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y54 NUM_SITES 2 TILEPROP CLBLM_L_X8Y54 ROW 99 TILEPROP CLBLM_L_X8Y54 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y54 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y54 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y54 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y54 TILE_X -58490 TILEPROP CLBLM_L_X8Y54 TILE_Y -65600 TILEPROP CLBLM_L_X8Y54 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y55 CLASS tile TILEPROP CLBLM_L_X8Y55 COLUMN 24 TILEPROP CLBLM_L_X8Y55 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y55 FIRST_SITE_ID 9819 TILEPROP CLBLM_L_X8Y55 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y55 GRID_POINT_Y 98 TILEPROP CLBLM_L_X8Y55 INDEX 11294 TILEPROP CLBLM_L_X8Y55 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y55 INT_TILE_Y 94 TILEPROP CLBLM_L_X8Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y55 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y55 NAME CLBLM_L_X8Y55 TILEPROP CLBLM_L_X8Y55 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y55 NUM_SITES 2 TILEPROP CLBLM_L_X8Y55 ROW 98 TILEPROP CLBLM_L_X8Y55 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y55 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y55 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y55 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y55 TILE_X -58490 TILEPROP CLBLM_L_X8Y55 TILE_Y -62400 TILEPROP CLBLM_L_X8Y55 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y56 CLASS tile TILEPROP CLBLM_L_X8Y56 COLUMN 24 TILEPROP CLBLM_L_X8Y56 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y56 FIRST_SITE_ID 9716 TILEPROP CLBLM_L_X8Y56 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y56 GRID_POINT_Y 97 TILEPROP CLBLM_L_X8Y56 INDEX 11179 TILEPROP CLBLM_L_X8Y56 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y56 INT_TILE_Y 93 TILEPROP CLBLM_L_X8Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y56 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y56 NAME CLBLM_L_X8Y56 TILEPROP CLBLM_L_X8Y56 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y56 NUM_SITES 2 TILEPROP CLBLM_L_X8Y56 ROW 97 TILEPROP CLBLM_L_X8Y56 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y56 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y56 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y56 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y56 TILE_X -58490 TILEPROP CLBLM_L_X8Y56 TILE_Y -59200 TILEPROP CLBLM_L_X8Y56 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y57 CLASS tile TILEPROP CLBLM_L_X8Y57 COLUMN 24 TILEPROP CLBLM_L_X8Y57 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y57 FIRST_SITE_ID 9614 TILEPROP CLBLM_L_X8Y57 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y57 GRID_POINT_Y 96 TILEPROP CLBLM_L_X8Y57 INDEX 11064 TILEPROP CLBLM_L_X8Y57 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y57 INT_TILE_Y 92 TILEPROP CLBLM_L_X8Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y57 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y57 NAME CLBLM_L_X8Y57 TILEPROP CLBLM_L_X8Y57 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y57 NUM_SITES 2 TILEPROP CLBLM_L_X8Y57 ROW 96 TILEPROP CLBLM_L_X8Y57 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y57 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y57 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y57 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y57 TILE_X -58490 TILEPROP CLBLM_L_X8Y57 TILE_Y -56000 TILEPROP CLBLM_L_X8Y57 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y58 CLASS tile TILEPROP CLBLM_L_X8Y58 COLUMN 24 TILEPROP CLBLM_L_X8Y58 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y58 FIRST_SITE_ID 9511 TILEPROP CLBLM_L_X8Y58 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y58 GRID_POINT_Y 95 TILEPROP CLBLM_L_X8Y58 INDEX 10949 TILEPROP CLBLM_L_X8Y58 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y58 INT_TILE_Y 91 TILEPROP CLBLM_L_X8Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y58 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y58 NAME CLBLM_L_X8Y58 TILEPROP CLBLM_L_X8Y58 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y58 NUM_SITES 2 TILEPROP CLBLM_L_X8Y58 ROW 95 TILEPROP CLBLM_L_X8Y58 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y58 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y58 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y58 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y58 TILE_X -58490 TILEPROP CLBLM_L_X8Y58 TILE_Y -52800 TILEPROP CLBLM_L_X8Y58 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y59 CLASS tile TILEPROP CLBLM_L_X8Y59 COLUMN 24 TILEPROP CLBLM_L_X8Y59 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y59 FIRST_SITE_ID 9410 TILEPROP CLBLM_L_X8Y59 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y59 GRID_POINT_Y 94 TILEPROP CLBLM_L_X8Y59 INDEX 10834 TILEPROP CLBLM_L_X8Y59 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y59 INT_TILE_Y 90 TILEPROP CLBLM_L_X8Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y59 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y59 NAME CLBLM_L_X8Y59 TILEPROP CLBLM_L_X8Y59 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y59 NUM_SITES 2 TILEPROP CLBLM_L_X8Y59 ROW 94 TILEPROP CLBLM_L_X8Y59 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y59 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y59 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y59 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y59 TILE_X -58490 TILEPROP CLBLM_L_X8Y59 TILE_Y -49600 TILEPROP CLBLM_L_X8Y59 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y60 CLASS tile TILEPROP CLBLM_L_X8Y60 COLUMN 24 TILEPROP CLBLM_L_X8Y60 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y60 FIRST_SITE_ID 9298 TILEPROP CLBLM_L_X8Y60 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y60 GRID_POINT_Y 93 TILEPROP CLBLM_L_X8Y60 INDEX 10719 TILEPROP CLBLM_L_X8Y60 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y60 INT_TILE_Y 89 TILEPROP CLBLM_L_X8Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y60 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y60 NAME CLBLM_L_X8Y60 TILEPROP CLBLM_L_X8Y60 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y60 NUM_SITES 2 TILEPROP CLBLM_L_X8Y60 ROW 93 TILEPROP CLBLM_L_X8Y60 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y60 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y60 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y60 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y60 TILE_X -58490 TILEPROP CLBLM_L_X8Y60 TILE_Y -46400 TILEPROP CLBLM_L_X8Y60 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y61 CLASS tile TILEPROP CLBLM_L_X8Y61 COLUMN 24 TILEPROP CLBLM_L_X8Y61 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y61 FIRST_SITE_ID 9195 TILEPROP CLBLM_L_X8Y61 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y61 GRID_POINT_Y 92 TILEPROP CLBLM_L_X8Y61 INDEX 10604 TILEPROP CLBLM_L_X8Y61 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y61 INT_TILE_Y 88 TILEPROP CLBLM_L_X8Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y61 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y61 NAME CLBLM_L_X8Y61 TILEPROP CLBLM_L_X8Y61 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y61 NUM_SITES 2 TILEPROP CLBLM_L_X8Y61 ROW 92 TILEPROP CLBLM_L_X8Y61 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y61 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y61 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y61 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y61 TILE_X -58490 TILEPROP CLBLM_L_X8Y61 TILE_Y -43200 TILEPROP CLBLM_L_X8Y61 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y62 CLASS tile TILEPROP CLBLM_L_X8Y62 COLUMN 24 TILEPROP CLBLM_L_X8Y62 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y62 FIRST_SITE_ID 9063 TILEPROP CLBLM_L_X8Y62 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y62 GRID_POINT_Y 91 TILEPROP CLBLM_L_X8Y62 INDEX 10489 TILEPROP CLBLM_L_X8Y62 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y62 INT_TILE_Y 87 TILEPROP CLBLM_L_X8Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y62 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y62 NAME CLBLM_L_X8Y62 TILEPROP CLBLM_L_X8Y62 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y62 NUM_SITES 2 TILEPROP CLBLM_L_X8Y62 ROW 91 TILEPROP CLBLM_L_X8Y62 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y62 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y62 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y62 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y62 TILE_X -58490 TILEPROP CLBLM_L_X8Y62 TILE_Y -40000 TILEPROP CLBLM_L_X8Y62 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y63 CLASS tile TILEPROP CLBLM_L_X8Y63 COLUMN 24 TILEPROP CLBLM_L_X8Y63 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y63 FIRST_SITE_ID 8963 TILEPROP CLBLM_L_X8Y63 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y63 GRID_POINT_Y 90 TILEPROP CLBLM_L_X8Y63 INDEX 10374 TILEPROP CLBLM_L_X8Y63 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y63 INT_TILE_Y 86 TILEPROP CLBLM_L_X8Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y63 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y63 NAME CLBLM_L_X8Y63 TILEPROP CLBLM_L_X8Y63 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y63 NUM_SITES 2 TILEPROP CLBLM_L_X8Y63 ROW 90 TILEPROP CLBLM_L_X8Y63 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y63 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y63 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y63 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y63 TILE_X -58490 TILEPROP CLBLM_L_X8Y63 TILE_Y -36800 TILEPROP CLBLM_L_X8Y63 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y64 CLASS tile TILEPROP CLBLM_L_X8Y64 COLUMN 24 TILEPROP CLBLM_L_X8Y64 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y64 FIRST_SITE_ID 8863 TILEPROP CLBLM_L_X8Y64 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y64 GRID_POINT_Y 89 TILEPROP CLBLM_L_X8Y64 INDEX 10259 TILEPROP CLBLM_L_X8Y64 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y64 INT_TILE_Y 85 TILEPROP CLBLM_L_X8Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y64 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y64 NAME CLBLM_L_X8Y64 TILEPROP CLBLM_L_X8Y64 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y64 NUM_SITES 2 TILEPROP CLBLM_L_X8Y64 ROW 89 TILEPROP CLBLM_L_X8Y64 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y64 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y64 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y64 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y64 TILE_X -58490 TILEPROP CLBLM_L_X8Y64 TILE_Y -33600 TILEPROP CLBLM_L_X8Y64 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y65 CLASS tile TILEPROP CLBLM_L_X8Y65 COLUMN 24 TILEPROP CLBLM_L_X8Y65 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y65 FIRST_SITE_ID 8751 TILEPROP CLBLM_L_X8Y65 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y65 GRID_POINT_Y 88 TILEPROP CLBLM_L_X8Y65 INDEX 10144 TILEPROP CLBLM_L_X8Y65 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y65 INT_TILE_Y 84 TILEPROP CLBLM_L_X8Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y65 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y65 NAME CLBLM_L_X8Y65 TILEPROP CLBLM_L_X8Y65 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y65 NUM_SITES 2 TILEPROP CLBLM_L_X8Y65 ROW 88 TILEPROP CLBLM_L_X8Y65 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y65 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y65 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y65 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y65 TILE_X -58490 TILEPROP CLBLM_L_X8Y65 TILE_Y -30400 TILEPROP CLBLM_L_X8Y65 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y66 CLASS tile TILEPROP CLBLM_L_X8Y66 COLUMN 24 TILEPROP CLBLM_L_X8Y66 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y66 FIRST_SITE_ID 8648 TILEPROP CLBLM_L_X8Y66 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y66 GRID_POINT_Y 87 TILEPROP CLBLM_L_X8Y66 INDEX 10029 TILEPROP CLBLM_L_X8Y66 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y66 INT_TILE_Y 83 TILEPROP CLBLM_L_X8Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y66 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y66 NAME CLBLM_L_X8Y66 TILEPROP CLBLM_L_X8Y66 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y66 NUM_SITES 2 TILEPROP CLBLM_L_X8Y66 ROW 87 TILEPROP CLBLM_L_X8Y66 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y66 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y66 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y66 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y66 TILE_X -58490 TILEPROP CLBLM_L_X8Y66 TILE_Y -27200 TILEPROP CLBLM_L_X8Y66 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y67 CLASS tile TILEPROP CLBLM_L_X8Y67 COLUMN 24 TILEPROP CLBLM_L_X8Y67 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y67 FIRST_SITE_ID 8544 TILEPROP CLBLM_L_X8Y67 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y67 GRID_POINT_Y 86 TILEPROP CLBLM_L_X8Y67 INDEX 9914 TILEPROP CLBLM_L_X8Y67 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y67 INT_TILE_Y 82 TILEPROP CLBLM_L_X8Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y67 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y67 NAME CLBLM_L_X8Y67 TILEPROP CLBLM_L_X8Y67 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y67 NUM_SITES 2 TILEPROP CLBLM_L_X8Y67 ROW 86 TILEPROP CLBLM_L_X8Y67 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y67 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y67 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y67 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y67 TILE_X -58490 TILEPROP CLBLM_L_X8Y67 TILE_Y -24000 TILEPROP CLBLM_L_X8Y67 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y68 CLASS tile TILEPROP CLBLM_L_X8Y68 COLUMN 24 TILEPROP CLBLM_L_X8Y68 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y68 FIRST_SITE_ID 8440 TILEPROP CLBLM_L_X8Y68 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y68 GRID_POINT_Y 85 TILEPROP CLBLM_L_X8Y68 INDEX 9799 TILEPROP CLBLM_L_X8Y68 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y68 INT_TILE_Y 81 TILEPROP CLBLM_L_X8Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y68 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y68 NAME CLBLM_L_X8Y68 TILEPROP CLBLM_L_X8Y68 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y68 NUM_SITES 2 TILEPROP CLBLM_L_X8Y68 ROW 85 TILEPROP CLBLM_L_X8Y68 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y68 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y68 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y68 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y68 TILE_X -58490 TILEPROP CLBLM_L_X8Y68 TILE_Y -20800 TILEPROP CLBLM_L_X8Y68 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y69 CLASS tile TILEPROP CLBLM_L_X8Y69 COLUMN 24 TILEPROP CLBLM_L_X8Y69 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y69 FIRST_SITE_ID 8338 TILEPROP CLBLM_L_X8Y69 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y69 GRID_POINT_Y 84 TILEPROP CLBLM_L_X8Y69 INDEX 9684 TILEPROP CLBLM_L_X8Y69 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y69 INT_TILE_Y 80 TILEPROP CLBLM_L_X8Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y69 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y69 NAME CLBLM_L_X8Y69 TILEPROP CLBLM_L_X8Y69 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y69 NUM_SITES 2 TILEPROP CLBLM_L_X8Y69 ROW 84 TILEPROP CLBLM_L_X8Y69 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y69 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y69 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y69 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y69 TILE_X -58490 TILEPROP CLBLM_L_X8Y69 TILE_Y -17600 TILEPROP CLBLM_L_X8Y69 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y70 CLASS tile TILEPROP CLBLM_L_X8Y70 COLUMN 24 TILEPROP CLBLM_L_X8Y70 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y70 FIRST_SITE_ID 8224 TILEPROP CLBLM_L_X8Y70 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y70 GRID_POINT_Y 83 TILEPROP CLBLM_L_X8Y70 INDEX 9569 TILEPROP CLBLM_L_X8Y70 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y70 INT_TILE_Y 79 TILEPROP CLBLM_L_X8Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y70 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y70 NAME CLBLM_L_X8Y70 TILEPROP CLBLM_L_X8Y70 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y70 NUM_SITES 2 TILEPROP CLBLM_L_X8Y70 ROW 83 TILEPROP CLBLM_L_X8Y70 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y70 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y70 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y70 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y70 TILE_X -58490 TILEPROP CLBLM_L_X8Y70 TILE_Y -14400 TILEPROP CLBLM_L_X8Y70 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y71 CLASS tile TILEPROP CLBLM_L_X8Y71 COLUMN 24 TILEPROP CLBLM_L_X8Y71 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y71 FIRST_SITE_ID 8121 TILEPROP CLBLM_L_X8Y71 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y71 GRID_POINT_Y 82 TILEPROP CLBLM_L_X8Y71 INDEX 9454 TILEPROP CLBLM_L_X8Y71 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y71 INT_TILE_Y 78 TILEPROP CLBLM_L_X8Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y71 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y71 NAME CLBLM_L_X8Y71 TILEPROP CLBLM_L_X8Y71 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y71 NUM_SITES 2 TILEPROP CLBLM_L_X8Y71 ROW 82 TILEPROP CLBLM_L_X8Y71 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y71 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y71 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y71 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y71 TILE_X -58490 TILEPROP CLBLM_L_X8Y71 TILE_Y -11200 TILEPROP CLBLM_L_X8Y71 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y72 CLASS tile TILEPROP CLBLM_L_X8Y72 COLUMN 24 TILEPROP CLBLM_L_X8Y72 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y72 FIRST_SITE_ID 8021 TILEPROP CLBLM_L_X8Y72 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y72 GRID_POINT_Y 81 TILEPROP CLBLM_L_X8Y72 INDEX 9339 TILEPROP CLBLM_L_X8Y72 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y72 INT_TILE_Y 77 TILEPROP CLBLM_L_X8Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y72 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y72 NAME CLBLM_L_X8Y72 TILEPROP CLBLM_L_X8Y72 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y72 NUM_SITES 2 TILEPROP CLBLM_L_X8Y72 ROW 81 TILEPROP CLBLM_L_X8Y72 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y72 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y72 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y72 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y72 TILE_X -58490 TILEPROP CLBLM_L_X8Y72 TILE_Y -8000 TILEPROP CLBLM_L_X8Y72 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y73 CLASS tile TILEPROP CLBLM_L_X8Y73 COLUMN 24 TILEPROP CLBLM_L_X8Y73 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y73 FIRST_SITE_ID 7921 TILEPROP CLBLM_L_X8Y73 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y73 GRID_POINT_Y 80 TILEPROP CLBLM_L_X8Y73 INDEX 9224 TILEPROP CLBLM_L_X8Y73 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y73 INT_TILE_Y 76 TILEPROP CLBLM_L_X8Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y73 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y73 NAME CLBLM_L_X8Y73 TILEPROP CLBLM_L_X8Y73 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y73 NUM_SITES 2 TILEPROP CLBLM_L_X8Y73 ROW 80 TILEPROP CLBLM_L_X8Y73 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y73 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y73 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y73 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y73 TILE_X -58490 TILEPROP CLBLM_L_X8Y73 TILE_Y -4800 TILEPROP CLBLM_L_X8Y73 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y74 CLASS tile TILEPROP CLBLM_L_X8Y74 COLUMN 24 TILEPROP CLBLM_L_X8Y74 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y74 FIRST_SITE_ID 7821 TILEPROP CLBLM_L_X8Y74 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y74 GRID_POINT_Y 79 TILEPROP CLBLM_L_X8Y74 INDEX 9109 TILEPROP CLBLM_L_X8Y74 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y74 INT_TILE_Y 75 TILEPROP CLBLM_L_X8Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y74 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y74 NAME CLBLM_L_X8Y74 TILEPROP CLBLM_L_X8Y74 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y74 NUM_SITES 2 TILEPROP CLBLM_L_X8Y74 ROW 79 TILEPROP CLBLM_L_X8Y74 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y74 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X8Y74 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y74 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y74 TILE_X -58490 TILEPROP CLBLM_L_X8Y74 TILE_Y -1600 TILEPROP CLBLM_L_X8Y74 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y75 CLASS tile TILEPROP CLBLM_L_X8Y75 COLUMN 24 TILEPROP CLBLM_L_X8Y75 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y75 FIRST_SITE_ID 7623 TILEPROP CLBLM_L_X8Y75 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y75 GRID_POINT_Y 77 TILEPROP CLBLM_L_X8Y75 INDEX 8879 TILEPROP CLBLM_L_X8Y75 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y75 INT_TILE_Y 74 TILEPROP CLBLM_L_X8Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y75 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y75 NAME CLBLM_L_X8Y75 TILEPROP CLBLM_L_X8Y75 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y75 NUM_SITES 2 TILEPROP CLBLM_L_X8Y75 ROW 77 TILEPROP CLBLM_L_X8Y75 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y75 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X8Y75 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y75 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y75 TILE_X -58490 TILEPROP CLBLM_L_X8Y75 TILE_Y 2624 TILEPROP CLBLM_L_X8Y75 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y76 CLASS tile TILEPROP CLBLM_L_X8Y76 COLUMN 24 TILEPROP CLBLM_L_X8Y76 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y76 FIRST_SITE_ID 7520 TILEPROP CLBLM_L_X8Y76 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y76 GRID_POINT_Y 76 TILEPROP CLBLM_L_X8Y76 INDEX 8764 TILEPROP CLBLM_L_X8Y76 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y76 INT_TILE_Y 73 TILEPROP CLBLM_L_X8Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y76 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y76 NAME CLBLM_L_X8Y76 TILEPROP CLBLM_L_X8Y76 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y76 NUM_SITES 2 TILEPROP CLBLM_L_X8Y76 ROW 76 TILEPROP CLBLM_L_X8Y76 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y76 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y76 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y76 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y76 TILE_X -58490 TILEPROP CLBLM_L_X8Y76 TILE_Y 5824 TILEPROP CLBLM_L_X8Y76 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y77 CLASS tile TILEPROP CLBLM_L_X8Y77 COLUMN 24 TILEPROP CLBLM_L_X8Y77 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y77 FIRST_SITE_ID 7420 TILEPROP CLBLM_L_X8Y77 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y77 GRID_POINT_Y 75 TILEPROP CLBLM_L_X8Y77 INDEX 8649 TILEPROP CLBLM_L_X8Y77 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y77 INT_TILE_Y 72 TILEPROP CLBLM_L_X8Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y77 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y77 NAME CLBLM_L_X8Y77 TILEPROP CLBLM_L_X8Y77 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y77 NUM_SITES 2 TILEPROP CLBLM_L_X8Y77 ROW 75 TILEPROP CLBLM_L_X8Y77 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y77 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y77 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y77 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y77 TILE_X -58490 TILEPROP CLBLM_L_X8Y77 TILE_Y 9024 TILEPROP CLBLM_L_X8Y77 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y78 CLASS tile TILEPROP CLBLM_L_X8Y78 COLUMN 24 TILEPROP CLBLM_L_X8Y78 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y78 FIRST_SITE_ID 7320 TILEPROP CLBLM_L_X8Y78 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y78 GRID_POINT_Y 74 TILEPROP CLBLM_L_X8Y78 INDEX 8534 TILEPROP CLBLM_L_X8Y78 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y78 INT_TILE_Y 71 TILEPROP CLBLM_L_X8Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y78 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y78 NAME CLBLM_L_X8Y78 TILEPROP CLBLM_L_X8Y78 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y78 NUM_SITES 2 TILEPROP CLBLM_L_X8Y78 ROW 74 TILEPROP CLBLM_L_X8Y78 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y78 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y78 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y78 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y78 TILE_X -58490 TILEPROP CLBLM_L_X8Y78 TILE_Y 12224 TILEPROP CLBLM_L_X8Y78 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y79 CLASS tile TILEPROP CLBLM_L_X8Y79 COLUMN 24 TILEPROP CLBLM_L_X8Y79 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y79 FIRST_SITE_ID 7214 TILEPROP CLBLM_L_X8Y79 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y79 GRID_POINT_Y 73 TILEPROP CLBLM_L_X8Y79 INDEX 8419 TILEPROP CLBLM_L_X8Y79 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y79 INT_TILE_Y 70 TILEPROP CLBLM_L_X8Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y79 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y79 NAME CLBLM_L_X8Y79 TILEPROP CLBLM_L_X8Y79 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y79 NUM_SITES 2 TILEPROP CLBLM_L_X8Y79 ROW 73 TILEPROP CLBLM_L_X8Y79 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y79 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y79 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y79 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y79 TILE_X -58490 TILEPROP CLBLM_L_X8Y79 TILE_Y 15424 TILEPROP CLBLM_L_X8Y79 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y80 CLASS tile TILEPROP CLBLM_L_X8Y80 COLUMN 24 TILEPROP CLBLM_L_X8Y80 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y80 FIRST_SITE_ID 7096 TILEPROP CLBLM_L_X8Y80 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y80 GRID_POINT_Y 72 TILEPROP CLBLM_L_X8Y80 INDEX 8304 TILEPROP CLBLM_L_X8Y80 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y80 INT_TILE_Y 69 TILEPROP CLBLM_L_X8Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y80 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y80 NAME CLBLM_L_X8Y80 TILEPROP CLBLM_L_X8Y80 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y80 NUM_SITES 2 TILEPROP CLBLM_L_X8Y80 ROW 72 TILEPROP CLBLM_L_X8Y80 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y80 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y80 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y80 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y80 TILE_X -58490 TILEPROP CLBLM_L_X8Y80 TILE_Y 18624 TILEPROP CLBLM_L_X8Y80 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y81 CLASS tile TILEPROP CLBLM_L_X8Y81 COLUMN 24 TILEPROP CLBLM_L_X8Y81 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y81 FIRST_SITE_ID 6991 TILEPROP CLBLM_L_X8Y81 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y81 GRID_POINT_Y 71 TILEPROP CLBLM_L_X8Y81 INDEX 8189 TILEPROP CLBLM_L_X8Y81 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y81 INT_TILE_Y 68 TILEPROP CLBLM_L_X8Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y81 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y81 NAME CLBLM_L_X8Y81 TILEPROP CLBLM_L_X8Y81 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y81 NUM_SITES 2 TILEPROP CLBLM_L_X8Y81 ROW 71 TILEPROP CLBLM_L_X8Y81 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y81 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y81 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y81 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y81 TILE_X -58490 TILEPROP CLBLM_L_X8Y81 TILE_Y 21824 TILEPROP CLBLM_L_X8Y81 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y82 CLASS tile TILEPROP CLBLM_L_X8Y82 COLUMN 24 TILEPROP CLBLM_L_X8Y82 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y82 FIRST_SITE_ID 6889 TILEPROP CLBLM_L_X8Y82 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y82 GRID_POINT_Y 70 TILEPROP CLBLM_L_X8Y82 INDEX 8074 TILEPROP CLBLM_L_X8Y82 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y82 INT_TILE_Y 67 TILEPROP CLBLM_L_X8Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y82 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y82 NAME CLBLM_L_X8Y82 TILEPROP CLBLM_L_X8Y82 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y82 NUM_SITES 2 TILEPROP CLBLM_L_X8Y82 ROW 70 TILEPROP CLBLM_L_X8Y82 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y82 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y82 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y82 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y82 TILE_X -58490 TILEPROP CLBLM_L_X8Y82 TILE_Y 25024 TILEPROP CLBLM_L_X8Y82 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y83 CLASS tile TILEPROP CLBLM_L_X8Y83 COLUMN 24 TILEPROP CLBLM_L_X8Y83 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y83 FIRST_SITE_ID 6789 TILEPROP CLBLM_L_X8Y83 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y83 GRID_POINT_Y 69 TILEPROP CLBLM_L_X8Y83 INDEX 7959 TILEPROP CLBLM_L_X8Y83 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y83 INT_TILE_Y 66 TILEPROP CLBLM_L_X8Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y83 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y83 NAME CLBLM_L_X8Y83 TILEPROP CLBLM_L_X8Y83 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y83 NUM_SITES 2 TILEPROP CLBLM_L_X8Y83 ROW 69 TILEPROP CLBLM_L_X8Y83 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y83 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y83 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y83 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y83 TILE_X -58490 TILEPROP CLBLM_L_X8Y83 TILE_Y 28224 TILEPROP CLBLM_L_X8Y83 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y84 CLASS tile TILEPROP CLBLM_L_X8Y84 COLUMN 24 TILEPROP CLBLM_L_X8Y84 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y84 FIRST_SITE_ID 6689 TILEPROP CLBLM_L_X8Y84 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y84 GRID_POINT_Y 68 TILEPROP CLBLM_L_X8Y84 INDEX 7844 TILEPROP CLBLM_L_X8Y84 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y84 INT_TILE_Y 65 TILEPROP CLBLM_L_X8Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y84 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y84 NAME CLBLM_L_X8Y84 TILEPROP CLBLM_L_X8Y84 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y84 NUM_SITES 2 TILEPROP CLBLM_L_X8Y84 ROW 68 TILEPROP CLBLM_L_X8Y84 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y84 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y84 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y84 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y84 TILE_X -58490 TILEPROP CLBLM_L_X8Y84 TILE_Y 31424 TILEPROP CLBLM_L_X8Y84 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y85 CLASS tile TILEPROP CLBLM_L_X8Y85 COLUMN 24 TILEPROP CLBLM_L_X8Y85 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y85 FIRST_SITE_ID 6577 TILEPROP CLBLM_L_X8Y85 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y85 GRID_POINT_Y 67 TILEPROP CLBLM_L_X8Y85 INDEX 7729 TILEPROP CLBLM_L_X8Y85 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y85 INT_TILE_Y 64 TILEPROP CLBLM_L_X8Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y85 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y85 NAME CLBLM_L_X8Y85 TILEPROP CLBLM_L_X8Y85 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y85 NUM_SITES 2 TILEPROP CLBLM_L_X8Y85 ROW 67 TILEPROP CLBLM_L_X8Y85 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y85 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y85 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y85 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y85 TILE_X -58490 TILEPROP CLBLM_L_X8Y85 TILE_Y 34624 TILEPROP CLBLM_L_X8Y85 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y86 CLASS tile TILEPROP CLBLM_L_X8Y86 COLUMN 24 TILEPROP CLBLM_L_X8Y86 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y86 FIRST_SITE_ID 6442 TILEPROP CLBLM_L_X8Y86 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y86 GRID_POINT_Y 66 TILEPROP CLBLM_L_X8Y86 INDEX 7614 TILEPROP CLBLM_L_X8Y86 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y86 INT_TILE_Y 63 TILEPROP CLBLM_L_X8Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y86 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y86 NAME CLBLM_L_X8Y86 TILEPROP CLBLM_L_X8Y86 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y86 NUM_SITES 2 TILEPROP CLBLM_L_X8Y86 ROW 66 TILEPROP CLBLM_L_X8Y86 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y86 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y86 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y86 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y86 TILE_X -58490 TILEPROP CLBLM_L_X8Y86 TILE_Y 37824 TILEPROP CLBLM_L_X8Y86 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y87 CLASS tile TILEPROP CLBLM_L_X8Y87 COLUMN 24 TILEPROP CLBLM_L_X8Y87 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y87 FIRST_SITE_ID 6342 TILEPROP CLBLM_L_X8Y87 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y87 GRID_POINT_Y 65 TILEPROP CLBLM_L_X8Y87 INDEX 7499 TILEPROP CLBLM_L_X8Y87 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y87 INT_TILE_Y 62 TILEPROP CLBLM_L_X8Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y87 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y87 NAME CLBLM_L_X8Y87 TILEPROP CLBLM_L_X8Y87 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y87 NUM_SITES 2 TILEPROP CLBLM_L_X8Y87 ROW 65 TILEPROP CLBLM_L_X8Y87 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y87 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y87 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y87 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y87 TILE_X -58490 TILEPROP CLBLM_L_X8Y87 TILE_Y 41024 TILEPROP CLBLM_L_X8Y87 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y88 CLASS tile TILEPROP CLBLM_L_X8Y88 COLUMN 24 TILEPROP CLBLM_L_X8Y88 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y88 FIRST_SITE_ID 6242 TILEPROP CLBLM_L_X8Y88 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y88 GRID_POINT_Y 64 TILEPROP CLBLM_L_X8Y88 INDEX 7384 TILEPROP CLBLM_L_X8Y88 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y88 INT_TILE_Y 61 TILEPROP CLBLM_L_X8Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y88 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y88 NAME CLBLM_L_X8Y88 TILEPROP CLBLM_L_X8Y88 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y88 NUM_SITES 2 TILEPROP CLBLM_L_X8Y88 ROW 64 TILEPROP CLBLM_L_X8Y88 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y88 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y88 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y88 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y88 TILE_X -58490 TILEPROP CLBLM_L_X8Y88 TILE_Y 44224 TILEPROP CLBLM_L_X8Y88 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y89 CLASS tile TILEPROP CLBLM_L_X8Y89 COLUMN 24 TILEPROP CLBLM_L_X8Y89 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y89 FIRST_SITE_ID 6142 TILEPROP CLBLM_L_X8Y89 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y89 GRID_POINT_Y 63 TILEPROP CLBLM_L_X8Y89 INDEX 7269 TILEPROP CLBLM_L_X8Y89 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y89 INT_TILE_Y 60 TILEPROP CLBLM_L_X8Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y89 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y89 NAME CLBLM_L_X8Y89 TILEPROP CLBLM_L_X8Y89 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y89 NUM_SITES 2 TILEPROP CLBLM_L_X8Y89 ROW 63 TILEPROP CLBLM_L_X8Y89 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y89 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y89 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y89 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y89 TILE_X -58490 TILEPROP CLBLM_L_X8Y89 TILE_Y 47424 TILEPROP CLBLM_L_X8Y89 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y90 CLASS tile TILEPROP CLBLM_L_X8Y90 COLUMN 24 TILEPROP CLBLM_L_X8Y90 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y90 FIRST_SITE_ID 6030 TILEPROP CLBLM_L_X8Y90 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y90 GRID_POINT_Y 62 TILEPROP CLBLM_L_X8Y90 INDEX 7154 TILEPROP CLBLM_L_X8Y90 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y90 INT_TILE_Y 59 TILEPROP CLBLM_L_X8Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y90 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y90 NAME CLBLM_L_X8Y90 TILEPROP CLBLM_L_X8Y90 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y90 NUM_SITES 2 TILEPROP CLBLM_L_X8Y90 ROW 62 TILEPROP CLBLM_L_X8Y90 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y90 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y90 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y90 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y90 TILE_X -58490 TILEPROP CLBLM_L_X8Y90 TILE_Y 50624 TILEPROP CLBLM_L_X8Y90 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y91 CLASS tile TILEPROP CLBLM_L_X8Y91 COLUMN 24 TILEPROP CLBLM_L_X8Y91 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y91 FIRST_SITE_ID 5927 TILEPROP CLBLM_L_X8Y91 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y91 GRID_POINT_Y 61 TILEPROP CLBLM_L_X8Y91 INDEX 7039 TILEPROP CLBLM_L_X8Y91 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y91 INT_TILE_Y 58 TILEPROP CLBLM_L_X8Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y91 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y91 NAME CLBLM_L_X8Y91 TILEPROP CLBLM_L_X8Y91 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y91 NUM_SITES 2 TILEPROP CLBLM_L_X8Y91 ROW 61 TILEPROP CLBLM_L_X8Y91 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y91 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y91 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y91 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y91 TILE_X -58490 TILEPROP CLBLM_L_X8Y91 TILE_Y 53824 TILEPROP CLBLM_L_X8Y91 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y92 CLASS tile TILEPROP CLBLM_L_X8Y92 COLUMN 24 TILEPROP CLBLM_L_X8Y92 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y92 FIRST_SITE_ID 5826 TILEPROP CLBLM_L_X8Y92 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y92 GRID_POINT_Y 60 TILEPROP CLBLM_L_X8Y92 INDEX 6924 TILEPROP CLBLM_L_X8Y92 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y92 INT_TILE_Y 57 TILEPROP CLBLM_L_X8Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y92 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y92 NAME CLBLM_L_X8Y92 TILEPROP CLBLM_L_X8Y92 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y92 NUM_SITES 2 TILEPROP CLBLM_L_X8Y92 ROW 60 TILEPROP CLBLM_L_X8Y92 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y92 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y92 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y92 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y92 TILE_X -58490 TILEPROP CLBLM_L_X8Y92 TILE_Y 57024 TILEPROP CLBLM_L_X8Y92 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y93 CLASS tile TILEPROP CLBLM_L_X8Y93 COLUMN 24 TILEPROP CLBLM_L_X8Y93 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y93 FIRST_SITE_ID 5723 TILEPROP CLBLM_L_X8Y93 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y93 GRID_POINT_Y 59 TILEPROP CLBLM_L_X8Y93 INDEX 6809 TILEPROP CLBLM_L_X8Y93 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y93 INT_TILE_Y 56 TILEPROP CLBLM_L_X8Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y93 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y93 NAME CLBLM_L_X8Y93 TILEPROP CLBLM_L_X8Y93 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y93 NUM_SITES 2 TILEPROP CLBLM_L_X8Y93 ROW 59 TILEPROP CLBLM_L_X8Y93 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y93 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y93 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y93 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y93 TILE_X -58490 TILEPROP CLBLM_L_X8Y93 TILE_Y 60224 TILEPROP CLBLM_L_X8Y93 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y94 CLASS tile TILEPROP CLBLM_L_X8Y94 COLUMN 24 TILEPROP CLBLM_L_X8Y94 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y94 FIRST_SITE_ID 5621 TILEPROP CLBLM_L_X8Y94 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y94 GRID_POINT_Y 58 TILEPROP CLBLM_L_X8Y94 INDEX 6694 TILEPROP CLBLM_L_X8Y94 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y94 INT_TILE_Y 55 TILEPROP CLBLM_L_X8Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y94 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y94 NAME CLBLM_L_X8Y94 TILEPROP CLBLM_L_X8Y94 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y94 NUM_SITES 2 TILEPROP CLBLM_L_X8Y94 ROW 58 TILEPROP CLBLM_L_X8Y94 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y94 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y94 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y94 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y94 TILE_X -58490 TILEPROP CLBLM_L_X8Y94 TILE_Y 63424 TILEPROP CLBLM_L_X8Y94 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y95 CLASS tile TILEPROP CLBLM_L_X8Y95 COLUMN 24 TILEPROP CLBLM_L_X8Y95 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y95 FIRST_SITE_ID 5509 TILEPROP CLBLM_L_X8Y95 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y95 GRID_POINT_Y 57 TILEPROP CLBLM_L_X8Y95 INDEX 6579 TILEPROP CLBLM_L_X8Y95 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y95 INT_TILE_Y 54 TILEPROP CLBLM_L_X8Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y95 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y95 NAME CLBLM_L_X8Y95 TILEPROP CLBLM_L_X8Y95 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y95 NUM_SITES 2 TILEPROP CLBLM_L_X8Y95 ROW 57 TILEPROP CLBLM_L_X8Y95 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y95 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y95 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y95 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y95 TILE_X -58490 TILEPROP CLBLM_L_X8Y95 TILE_Y 66624 TILEPROP CLBLM_L_X8Y95 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y96 CLASS tile TILEPROP CLBLM_L_X8Y96 COLUMN 24 TILEPROP CLBLM_L_X8Y96 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y96 FIRST_SITE_ID 5406 TILEPROP CLBLM_L_X8Y96 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y96 GRID_POINT_Y 56 TILEPROP CLBLM_L_X8Y96 INDEX 6464 TILEPROP CLBLM_L_X8Y96 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y96 INT_TILE_Y 53 TILEPROP CLBLM_L_X8Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y96 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y96 NAME CLBLM_L_X8Y96 TILEPROP CLBLM_L_X8Y96 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y96 NUM_SITES 2 TILEPROP CLBLM_L_X8Y96 ROW 56 TILEPROP CLBLM_L_X8Y96 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y96 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y96 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y96 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y96 TILE_X -58490 TILEPROP CLBLM_L_X8Y96 TILE_Y 69824 TILEPROP CLBLM_L_X8Y96 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y97 CLASS tile TILEPROP CLBLM_L_X8Y97 COLUMN 24 TILEPROP CLBLM_L_X8Y97 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y97 FIRST_SITE_ID 5306 TILEPROP CLBLM_L_X8Y97 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y97 GRID_POINT_Y 55 TILEPROP CLBLM_L_X8Y97 INDEX 6349 TILEPROP CLBLM_L_X8Y97 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y97 INT_TILE_Y 52 TILEPROP CLBLM_L_X8Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y97 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y97 NAME CLBLM_L_X8Y97 TILEPROP CLBLM_L_X8Y97 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y97 NUM_SITES 2 TILEPROP CLBLM_L_X8Y97 ROW 55 TILEPROP CLBLM_L_X8Y97 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y97 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y97 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y97 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y97 TILE_X -58490 TILEPROP CLBLM_L_X8Y97 TILE_Y 73024 TILEPROP CLBLM_L_X8Y97 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y98 CLASS tile TILEPROP CLBLM_L_X8Y98 COLUMN 24 TILEPROP CLBLM_L_X8Y98 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y98 FIRST_SITE_ID 5206 TILEPROP CLBLM_L_X8Y98 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y98 GRID_POINT_Y 54 TILEPROP CLBLM_L_X8Y98 INDEX 6234 TILEPROP CLBLM_L_X8Y98 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y98 INT_TILE_Y 51 TILEPROP CLBLM_L_X8Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y98 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y98 NAME CLBLM_L_X8Y98 TILEPROP CLBLM_L_X8Y98 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y98 NUM_SITES 2 TILEPROP CLBLM_L_X8Y98 ROW 54 TILEPROP CLBLM_L_X8Y98 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y98 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y98 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y98 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y98 TILE_X -58490 TILEPROP CLBLM_L_X8Y98 TILE_Y 76224 TILEPROP CLBLM_L_X8Y98 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y99 CLASS tile TILEPROP CLBLM_L_X8Y99 COLUMN 24 TILEPROP CLBLM_L_X8Y99 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y99 FIRST_SITE_ID 5110 TILEPROP CLBLM_L_X8Y99 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y99 GRID_POINT_Y 53 TILEPROP CLBLM_L_X8Y99 INDEX 6119 TILEPROP CLBLM_L_X8Y99 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y99 INT_TILE_Y 50 TILEPROP CLBLM_L_X8Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y99 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y99 NAME CLBLM_L_X8Y99 TILEPROP CLBLM_L_X8Y99 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y99 NUM_SITES 2 TILEPROP CLBLM_L_X8Y99 ROW 53 TILEPROP CLBLM_L_X8Y99 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y99 TILE_PATTERN_IDX 1654 TILEPROP CLBLM_L_X8Y99 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y99 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y99 TILE_X -58490 TILEPROP CLBLM_L_X8Y99 TILE_Y 79424 TILEPROP CLBLM_L_X8Y99 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y100 CLASS tile TILEPROP CLBLM_L_X8Y100 COLUMN 24 TILEPROP CLBLM_L_X8Y100 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y100 FIRST_SITE_ID 5012 TILEPROP CLBLM_L_X8Y100 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y100 GRID_POINT_Y 51 TILEPROP CLBLM_L_X8Y100 INDEX 5889 TILEPROP CLBLM_L_X8Y100 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y100 INT_TILE_Y 49 TILEPROP CLBLM_L_X8Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y100 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y100 NAME CLBLM_L_X8Y100 TILEPROP CLBLM_L_X8Y100 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y100 NUM_SITES 2 TILEPROP CLBLM_L_X8Y100 ROW 51 TILEPROP CLBLM_L_X8Y100 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y100 TILE_PATTERN_IDX 1609 TILEPROP CLBLM_L_X8Y100 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y100 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y100 TILE_X -58490 TILEPROP CLBLM_L_X8Y100 TILE_Y 82872 TILEPROP CLBLM_L_X8Y100 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y101 CLASS tile TILEPROP CLBLM_L_X8Y101 COLUMN 24 TILEPROP CLBLM_L_X8Y101 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y101 FIRST_SITE_ID 4917 TILEPROP CLBLM_L_X8Y101 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y101 GRID_POINT_Y 50 TILEPROP CLBLM_L_X8Y101 INDEX 5774 TILEPROP CLBLM_L_X8Y101 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y101 INT_TILE_Y 48 TILEPROP CLBLM_L_X8Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y101 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y101 NAME CLBLM_L_X8Y101 TILEPROP CLBLM_L_X8Y101 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y101 NUM_SITES 2 TILEPROP CLBLM_L_X8Y101 ROW 50 TILEPROP CLBLM_L_X8Y101 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y101 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y101 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y101 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y101 TILE_X -58490 TILEPROP CLBLM_L_X8Y101 TILE_Y 86072 TILEPROP CLBLM_L_X8Y101 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y102 CLASS tile TILEPROP CLBLM_L_X8Y102 COLUMN 24 TILEPROP CLBLM_L_X8Y102 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y102 FIRST_SITE_ID 4821 TILEPROP CLBLM_L_X8Y102 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y102 GRID_POINT_Y 49 TILEPROP CLBLM_L_X8Y102 INDEX 5659 TILEPROP CLBLM_L_X8Y102 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y102 INT_TILE_Y 47 TILEPROP CLBLM_L_X8Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y102 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y102 NAME CLBLM_L_X8Y102 TILEPROP CLBLM_L_X8Y102 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y102 NUM_SITES 2 TILEPROP CLBLM_L_X8Y102 ROW 49 TILEPROP CLBLM_L_X8Y102 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y102 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y102 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y102 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y102 TILE_X -58490 TILEPROP CLBLM_L_X8Y102 TILE_Y 89272 TILEPROP CLBLM_L_X8Y102 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y103 CLASS tile TILEPROP CLBLM_L_X8Y103 COLUMN 24 TILEPROP CLBLM_L_X8Y103 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y103 FIRST_SITE_ID 4733 TILEPROP CLBLM_L_X8Y103 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y103 GRID_POINT_Y 48 TILEPROP CLBLM_L_X8Y103 INDEX 5544 TILEPROP CLBLM_L_X8Y103 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y103 INT_TILE_Y 46 TILEPROP CLBLM_L_X8Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y103 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y103 NAME CLBLM_L_X8Y103 TILEPROP CLBLM_L_X8Y103 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y103 NUM_SITES 2 TILEPROP CLBLM_L_X8Y103 ROW 48 TILEPROP CLBLM_L_X8Y103 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y103 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y103 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y103 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y103 TILE_X -58490 TILEPROP CLBLM_L_X8Y103 TILE_Y 92472 TILEPROP CLBLM_L_X8Y103 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y104 CLASS tile TILEPROP CLBLM_L_X8Y104 COLUMN 24 TILEPROP CLBLM_L_X8Y104 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y104 FIRST_SITE_ID 4637 TILEPROP CLBLM_L_X8Y104 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y104 GRID_POINT_Y 47 TILEPROP CLBLM_L_X8Y104 INDEX 5429 TILEPROP CLBLM_L_X8Y104 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y104 INT_TILE_Y 45 TILEPROP CLBLM_L_X8Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y104 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y104 NAME CLBLM_L_X8Y104 TILEPROP CLBLM_L_X8Y104 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y104 NUM_SITES 2 TILEPROP CLBLM_L_X8Y104 ROW 47 TILEPROP CLBLM_L_X8Y104 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y104 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y104 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y104 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y104 TILE_X -58490 TILEPROP CLBLM_L_X8Y104 TILE_Y 95672 TILEPROP CLBLM_L_X8Y104 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y105 CLASS tile TILEPROP CLBLM_L_X8Y105 COLUMN 24 TILEPROP CLBLM_L_X8Y105 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y105 FIRST_SITE_ID 4538 TILEPROP CLBLM_L_X8Y105 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y105 GRID_POINT_Y 46 TILEPROP CLBLM_L_X8Y105 INDEX 5314 TILEPROP CLBLM_L_X8Y105 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y105 INT_TILE_Y 44 TILEPROP CLBLM_L_X8Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y105 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y105 NAME CLBLM_L_X8Y105 TILEPROP CLBLM_L_X8Y105 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y105 NUM_SITES 2 TILEPROP CLBLM_L_X8Y105 ROW 46 TILEPROP CLBLM_L_X8Y105 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y105 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y105 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y105 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y105 TILE_X -58490 TILEPROP CLBLM_L_X8Y105 TILE_Y 98872 TILEPROP CLBLM_L_X8Y105 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y106 CLASS tile TILEPROP CLBLM_L_X8Y106 COLUMN 24 TILEPROP CLBLM_L_X8Y106 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y106 FIRST_SITE_ID 4439 TILEPROP CLBLM_L_X8Y106 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y106 GRID_POINT_Y 45 TILEPROP CLBLM_L_X8Y106 INDEX 5199 TILEPROP CLBLM_L_X8Y106 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y106 INT_TILE_Y 43 TILEPROP CLBLM_L_X8Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y106 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y106 NAME CLBLM_L_X8Y106 TILEPROP CLBLM_L_X8Y106 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y106 NUM_SITES 2 TILEPROP CLBLM_L_X8Y106 ROW 45 TILEPROP CLBLM_L_X8Y106 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y106 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y106 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y106 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y106 TILE_X -58490 TILEPROP CLBLM_L_X8Y106 TILE_Y 102072 TILEPROP CLBLM_L_X8Y106 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y107 CLASS tile TILEPROP CLBLM_L_X8Y107 COLUMN 24 TILEPROP CLBLM_L_X8Y107 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y107 FIRST_SITE_ID 4351 TILEPROP CLBLM_L_X8Y107 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y107 GRID_POINT_Y 44 TILEPROP CLBLM_L_X8Y107 INDEX 5084 TILEPROP CLBLM_L_X8Y107 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y107 INT_TILE_Y 42 TILEPROP CLBLM_L_X8Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y107 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y107 NAME CLBLM_L_X8Y107 TILEPROP CLBLM_L_X8Y107 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y107 NUM_SITES 2 TILEPROP CLBLM_L_X8Y107 ROW 44 TILEPROP CLBLM_L_X8Y107 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y107 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y107 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y107 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y107 TILE_X -58490 TILEPROP CLBLM_L_X8Y107 TILE_Y 105272 TILEPROP CLBLM_L_X8Y107 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y108 CLASS tile TILEPROP CLBLM_L_X8Y108 COLUMN 24 TILEPROP CLBLM_L_X8Y108 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y108 FIRST_SITE_ID 4253 TILEPROP CLBLM_L_X8Y108 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y108 GRID_POINT_Y 43 TILEPROP CLBLM_L_X8Y108 INDEX 4969 TILEPROP CLBLM_L_X8Y108 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y108 INT_TILE_Y 41 TILEPROP CLBLM_L_X8Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y108 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y108 NAME CLBLM_L_X8Y108 TILEPROP CLBLM_L_X8Y108 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y108 NUM_SITES 2 TILEPROP CLBLM_L_X8Y108 ROW 43 TILEPROP CLBLM_L_X8Y108 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y108 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y108 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y108 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y108 TILE_X -58490 TILEPROP CLBLM_L_X8Y108 TILE_Y 108472 TILEPROP CLBLM_L_X8Y108 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y109 CLASS tile TILEPROP CLBLM_L_X8Y109 COLUMN 24 TILEPROP CLBLM_L_X8Y109 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y109 FIRST_SITE_ID 4164 TILEPROP CLBLM_L_X8Y109 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y109 GRID_POINT_Y 42 TILEPROP CLBLM_L_X8Y109 INDEX 4854 TILEPROP CLBLM_L_X8Y109 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y109 INT_TILE_Y 40 TILEPROP CLBLM_L_X8Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y109 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y109 NAME CLBLM_L_X8Y109 TILEPROP CLBLM_L_X8Y109 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y109 NUM_SITES 2 TILEPROP CLBLM_L_X8Y109 ROW 42 TILEPROP CLBLM_L_X8Y109 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y109 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y109 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y109 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y109 TILE_X -58490 TILEPROP CLBLM_L_X8Y109 TILE_Y 111672 TILEPROP CLBLM_L_X8Y109 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y110 CLASS tile TILEPROP CLBLM_L_X8Y110 COLUMN 24 TILEPROP CLBLM_L_X8Y110 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y110 FIRST_SITE_ID 4061 TILEPROP CLBLM_L_X8Y110 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y110 GRID_POINT_Y 41 TILEPROP CLBLM_L_X8Y110 INDEX 4739 TILEPROP CLBLM_L_X8Y110 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y110 INT_TILE_Y 39 TILEPROP CLBLM_L_X8Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y110 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y110 NAME CLBLM_L_X8Y110 TILEPROP CLBLM_L_X8Y110 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y110 NUM_SITES 2 TILEPROP CLBLM_L_X8Y110 ROW 41 TILEPROP CLBLM_L_X8Y110 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y110 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y110 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y110 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y110 TILE_X -58490 TILEPROP CLBLM_L_X8Y110 TILE_Y 114872 TILEPROP CLBLM_L_X8Y110 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y111 CLASS tile TILEPROP CLBLM_L_X8Y111 COLUMN 24 TILEPROP CLBLM_L_X8Y111 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y111 FIRST_SITE_ID 3970 TILEPROP CLBLM_L_X8Y111 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y111 GRID_POINT_Y 40 TILEPROP CLBLM_L_X8Y111 INDEX 4624 TILEPROP CLBLM_L_X8Y111 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y111 INT_TILE_Y 38 TILEPROP CLBLM_L_X8Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y111 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y111 NAME CLBLM_L_X8Y111 TILEPROP CLBLM_L_X8Y111 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y111 NUM_SITES 2 TILEPROP CLBLM_L_X8Y111 ROW 40 TILEPROP CLBLM_L_X8Y111 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y111 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y111 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y111 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y111 TILE_X -58490 TILEPROP CLBLM_L_X8Y111 TILE_Y 118072 TILEPROP CLBLM_L_X8Y111 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y112 CLASS tile TILEPROP CLBLM_L_X8Y112 COLUMN 24 TILEPROP CLBLM_L_X8Y112 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y112 FIRST_SITE_ID 3842 TILEPROP CLBLM_L_X8Y112 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y112 GRID_POINT_Y 39 TILEPROP CLBLM_L_X8Y112 INDEX 4509 TILEPROP CLBLM_L_X8Y112 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y112 INT_TILE_Y 37 TILEPROP CLBLM_L_X8Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y112 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y112 NAME CLBLM_L_X8Y112 TILEPROP CLBLM_L_X8Y112 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y112 NUM_SITES 2 TILEPROP CLBLM_L_X8Y112 ROW 39 TILEPROP CLBLM_L_X8Y112 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y112 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y112 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y112 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y112 TILE_X -58490 TILEPROP CLBLM_L_X8Y112 TILE_Y 121272 TILEPROP CLBLM_L_X8Y112 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y113 CLASS tile TILEPROP CLBLM_L_X8Y113 COLUMN 24 TILEPROP CLBLM_L_X8Y113 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y113 FIRST_SITE_ID 3754 TILEPROP CLBLM_L_X8Y113 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y113 GRID_POINT_Y 38 TILEPROP CLBLM_L_X8Y113 INDEX 4394 TILEPROP CLBLM_L_X8Y113 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y113 INT_TILE_Y 36 TILEPROP CLBLM_L_X8Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y113 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y113 NAME CLBLM_L_X8Y113 TILEPROP CLBLM_L_X8Y113 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y113 NUM_SITES 2 TILEPROP CLBLM_L_X8Y113 ROW 38 TILEPROP CLBLM_L_X8Y113 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y113 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y113 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y113 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y113 TILE_X -58490 TILEPROP CLBLM_L_X8Y113 TILE_Y 124472 TILEPROP CLBLM_L_X8Y113 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y114 CLASS tile TILEPROP CLBLM_L_X8Y114 COLUMN 24 TILEPROP CLBLM_L_X8Y114 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y114 FIRST_SITE_ID 3658 TILEPROP CLBLM_L_X8Y114 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y114 GRID_POINT_Y 37 TILEPROP CLBLM_L_X8Y114 INDEX 4279 TILEPROP CLBLM_L_X8Y114 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y114 INT_TILE_Y 35 TILEPROP CLBLM_L_X8Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y114 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y114 NAME CLBLM_L_X8Y114 TILEPROP CLBLM_L_X8Y114 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y114 NUM_SITES 2 TILEPROP CLBLM_L_X8Y114 ROW 37 TILEPROP CLBLM_L_X8Y114 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y114 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y114 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y114 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y114 TILE_X -58490 TILEPROP CLBLM_L_X8Y114 TILE_Y 127672 TILEPROP CLBLM_L_X8Y114 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y115 CLASS tile TILEPROP CLBLM_L_X8Y115 COLUMN 24 TILEPROP CLBLM_L_X8Y115 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y115 FIRST_SITE_ID 3564 TILEPROP CLBLM_L_X8Y115 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y115 GRID_POINT_Y 36 TILEPROP CLBLM_L_X8Y115 INDEX 4164 TILEPROP CLBLM_L_X8Y115 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y115 INT_TILE_Y 34 TILEPROP CLBLM_L_X8Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y115 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y115 NAME CLBLM_L_X8Y115 TILEPROP CLBLM_L_X8Y115 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y115 NUM_SITES 2 TILEPROP CLBLM_L_X8Y115 ROW 36 TILEPROP CLBLM_L_X8Y115 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y115 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y115 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y115 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y115 TILE_X -58490 TILEPROP CLBLM_L_X8Y115 TILE_Y 130872 TILEPROP CLBLM_L_X8Y115 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y116 CLASS tile TILEPROP CLBLM_L_X8Y116 COLUMN 24 TILEPROP CLBLM_L_X8Y116 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y116 FIRST_SITE_ID 3460 TILEPROP CLBLM_L_X8Y116 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y116 GRID_POINT_Y 35 TILEPROP CLBLM_L_X8Y116 INDEX 4049 TILEPROP CLBLM_L_X8Y116 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y116 INT_TILE_Y 33 TILEPROP CLBLM_L_X8Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y116 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y116 NAME CLBLM_L_X8Y116 TILEPROP CLBLM_L_X8Y116 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y116 NUM_SITES 2 TILEPROP CLBLM_L_X8Y116 ROW 35 TILEPROP CLBLM_L_X8Y116 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y116 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y116 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y116 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y116 TILE_X -58490 TILEPROP CLBLM_L_X8Y116 TILE_Y 134072 TILEPROP CLBLM_L_X8Y116 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y117 CLASS tile TILEPROP CLBLM_L_X8Y117 COLUMN 24 TILEPROP CLBLM_L_X8Y117 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y117 FIRST_SITE_ID 3372 TILEPROP CLBLM_L_X8Y117 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y117 GRID_POINT_Y 34 TILEPROP CLBLM_L_X8Y117 INDEX 3934 TILEPROP CLBLM_L_X8Y117 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y117 INT_TILE_Y 32 TILEPROP CLBLM_L_X8Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y117 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y117 NAME CLBLM_L_X8Y117 TILEPROP CLBLM_L_X8Y117 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y117 NUM_SITES 2 TILEPROP CLBLM_L_X8Y117 ROW 34 TILEPROP CLBLM_L_X8Y117 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y117 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y117 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y117 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y117 TILE_X -58490 TILEPROP CLBLM_L_X8Y117 TILE_Y 137272 TILEPROP CLBLM_L_X8Y117 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y118 CLASS tile TILEPROP CLBLM_L_X8Y118 COLUMN 24 TILEPROP CLBLM_L_X8Y118 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y118 FIRST_SITE_ID 3272 TILEPROP CLBLM_L_X8Y118 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y118 GRID_POINT_Y 33 TILEPROP CLBLM_L_X8Y118 INDEX 3819 TILEPROP CLBLM_L_X8Y118 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y118 INT_TILE_Y 31 TILEPROP CLBLM_L_X8Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y118 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y118 NAME CLBLM_L_X8Y118 TILEPROP CLBLM_L_X8Y118 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y118 NUM_SITES 2 TILEPROP CLBLM_L_X8Y118 ROW 33 TILEPROP CLBLM_L_X8Y118 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y118 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y118 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y118 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y118 TILE_X -58490 TILEPROP CLBLM_L_X8Y118 TILE_Y 140472 TILEPROP CLBLM_L_X8Y118 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y119 CLASS tile TILEPROP CLBLM_L_X8Y119 COLUMN 24 TILEPROP CLBLM_L_X8Y119 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y119 FIRST_SITE_ID 3184 TILEPROP CLBLM_L_X8Y119 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y119 GRID_POINT_Y 32 TILEPROP CLBLM_L_X8Y119 INDEX 3704 TILEPROP CLBLM_L_X8Y119 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y119 INT_TILE_Y 30 TILEPROP CLBLM_L_X8Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y119 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y119 NAME CLBLM_L_X8Y119 TILEPROP CLBLM_L_X8Y119 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y119 NUM_SITES 2 TILEPROP CLBLM_L_X8Y119 ROW 32 TILEPROP CLBLM_L_X8Y119 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y119 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y119 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y119 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y119 TILE_X -58490 TILEPROP CLBLM_L_X8Y119 TILE_Y 143672 TILEPROP CLBLM_L_X8Y119 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y120 CLASS tile TILEPROP CLBLM_L_X8Y120 COLUMN 24 TILEPROP CLBLM_L_X8Y120 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y120 FIRST_SITE_ID 3080 TILEPROP CLBLM_L_X8Y120 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y120 GRID_POINT_Y 31 TILEPROP CLBLM_L_X8Y120 INDEX 3589 TILEPROP CLBLM_L_X8Y120 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y120 INT_TILE_Y 29 TILEPROP CLBLM_L_X8Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y120 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y120 NAME CLBLM_L_X8Y120 TILEPROP CLBLM_L_X8Y120 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y120 NUM_SITES 2 TILEPROP CLBLM_L_X8Y120 ROW 31 TILEPROP CLBLM_L_X8Y120 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y120 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y120 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y120 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y120 TILE_X -58490 TILEPROP CLBLM_L_X8Y120 TILE_Y 146872 TILEPROP CLBLM_L_X8Y120 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y121 CLASS tile TILEPROP CLBLM_L_X8Y121 COLUMN 24 TILEPROP CLBLM_L_X8Y121 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y121 FIRST_SITE_ID 2989 TILEPROP CLBLM_L_X8Y121 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y121 GRID_POINT_Y 30 TILEPROP CLBLM_L_X8Y121 INDEX 3474 TILEPROP CLBLM_L_X8Y121 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y121 INT_TILE_Y 28 TILEPROP CLBLM_L_X8Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y121 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y121 NAME CLBLM_L_X8Y121 TILEPROP CLBLM_L_X8Y121 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y121 NUM_SITES 2 TILEPROP CLBLM_L_X8Y121 ROW 30 TILEPROP CLBLM_L_X8Y121 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y121 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y121 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y121 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y121 TILE_X -58490 TILEPROP CLBLM_L_X8Y121 TILE_Y 150072 TILEPROP CLBLM_L_X8Y121 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y122 CLASS tile TILEPROP CLBLM_L_X8Y122 COLUMN 24 TILEPROP CLBLM_L_X8Y122 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y122 FIRST_SITE_ID 2886 TILEPROP CLBLM_L_X8Y122 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y122 GRID_POINT_Y 29 TILEPROP CLBLM_L_X8Y122 INDEX 3359 TILEPROP CLBLM_L_X8Y122 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y122 INT_TILE_Y 27 TILEPROP CLBLM_L_X8Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y122 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y122 NAME CLBLM_L_X8Y122 TILEPROP CLBLM_L_X8Y122 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y122 NUM_SITES 2 TILEPROP CLBLM_L_X8Y122 ROW 29 TILEPROP CLBLM_L_X8Y122 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y122 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y122 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y122 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y122 TILE_X -58490 TILEPROP CLBLM_L_X8Y122 TILE_Y 153272 TILEPROP CLBLM_L_X8Y122 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y123 CLASS tile TILEPROP CLBLM_L_X8Y123 COLUMN 24 TILEPROP CLBLM_L_X8Y123 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y123 FIRST_SITE_ID 2798 TILEPROP CLBLM_L_X8Y123 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y123 GRID_POINT_Y 28 TILEPROP CLBLM_L_X8Y123 INDEX 3244 TILEPROP CLBLM_L_X8Y123 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y123 INT_TILE_Y 26 TILEPROP CLBLM_L_X8Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y123 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y123 NAME CLBLM_L_X8Y123 TILEPROP CLBLM_L_X8Y123 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y123 NUM_SITES 2 TILEPROP CLBLM_L_X8Y123 ROW 28 TILEPROP CLBLM_L_X8Y123 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y123 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y123 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y123 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y123 TILE_X -58490 TILEPROP CLBLM_L_X8Y123 TILE_Y 156472 TILEPROP CLBLM_L_X8Y123 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y124 CLASS tile TILEPROP CLBLM_L_X8Y124 COLUMN 24 TILEPROP CLBLM_L_X8Y124 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y124 FIRST_SITE_ID 2702 TILEPROP CLBLM_L_X8Y124 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y124 GRID_POINT_Y 27 TILEPROP CLBLM_L_X8Y124 INDEX 3129 TILEPROP CLBLM_L_X8Y124 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y124 INT_TILE_Y 25 TILEPROP CLBLM_L_X8Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y124 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y124 NAME CLBLM_L_X8Y124 TILEPROP CLBLM_L_X8Y124 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y124 NUM_SITES 2 TILEPROP CLBLM_L_X8Y124 ROW 27 TILEPROP CLBLM_L_X8Y124 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y124 TILE_PATTERN_IDX 882 TILEPROP CLBLM_L_X8Y124 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y124 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y124 TILE_X -58490 TILEPROP CLBLM_L_X8Y124 TILE_Y 159672 TILEPROP CLBLM_L_X8Y124 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y125 CLASS tile TILEPROP CLBLM_L_X8Y125 COLUMN 24 TILEPROP CLBLM_L_X8Y125 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y125 FIRST_SITE_ID 2526 TILEPROP CLBLM_L_X8Y125 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y125 GRID_POINT_Y 25 TILEPROP CLBLM_L_X8Y125 INDEX 2899 TILEPROP CLBLM_L_X8Y125 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y125 INT_TILE_Y 24 TILEPROP CLBLM_L_X8Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y125 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y125 NAME CLBLM_L_X8Y125 TILEPROP CLBLM_L_X8Y125 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y125 NUM_SITES 2 TILEPROP CLBLM_L_X8Y125 ROW 25 TILEPROP CLBLM_L_X8Y125 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y125 TILE_PATTERN_IDX 799 TILEPROP CLBLM_L_X8Y125 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y125 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y125 TILE_X -58490 TILEPROP CLBLM_L_X8Y125 TILE_Y 163896 TILEPROP CLBLM_L_X8Y125 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y126 CLASS tile TILEPROP CLBLM_L_X8Y126 COLUMN 24 TILEPROP CLBLM_L_X8Y126 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y126 FIRST_SITE_ID 2419 TILEPROP CLBLM_L_X8Y126 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y126 GRID_POINT_Y 24 TILEPROP CLBLM_L_X8Y126 INDEX 2784 TILEPROP CLBLM_L_X8Y126 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y126 INT_TILE_Y 23 TILEPROP CLBLM_L_X8Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y126 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y126 NAME CLBLM_L_X8Y126 TILEPROP CLBLM_L_X8Y126 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y126 NUM_SITES 2 TILEPROP CLBLM_L_X8Y126 ROW 24 TILEPROP CLBLM_L_X8Y126 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y126 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y126 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y126 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y126 TILE_X -58490 TILEPROP CLBLM_L_X8Y126 TILE_Y 167096 TILEPROP CLBLM_L_X8Y126 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y127 CLASS tile TILEPROP CLBLM_L_X8Y127 COLUMN 24 TILEPROP CLBLM_L_X8Y127 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y127 FIRST_SITE_ID 2323 TILEPROP CLBLM_L_X8Y127 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y127 GRID_POINT_Y 23 TILEPROP CLBLM_L_X8Y127 INDEX 2669 TILEPROP CLBLM_L_X8Y127 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y127 INT_TILE_Y 22 TILEPROP CLBLM_L_X8Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y127 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y127 NAME CLBLM_L_X8Y127 TILEPROP CLBLM_L_X8Y127 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y127 NUM_SITES 2 TILEPROP CLBLM_L_X8Y127 ROW 23 TILEPROP CLBLM_L_X8Y127 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y127 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y127 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y127 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y127 TILE_X -58490 TILEPROP CLBLM_L_X8Y127 TILE_Y 170296 TILEPROP CLBLM_L_X8Y127 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y128 CLASS tile TILEPROP CLBLM_L_X8Y128 COLUMN 24 TILEPROP CLBLM_L_X8Y128 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y128 FIRST_SITE_ID 2219 TILEPROP CLBLM_L_X8Y128 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y128 GRID_POINT_Y 22 TILEPROP CLBLM_L_X8Y128 INDEX 2554 TILEPROP CLBLM_L_X8Y128 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y128 INT_TILE_Y 21 TILEPROP CLBLM_L_X8Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y128 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y128 NAME CLBLM_L_X8Y128 TILEPROP CLBLM_L_X8Y128 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y128 NUM_SITES 2 TILEPROP CLBLM_L_X8Y128 ROW 22 TILEPROP CLBLM_L_X8Y128 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y128 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y128 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y128 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y128 TILE_X -58490 TILEPROP CLBLM_L_X8Y128 TILE_Y 173496 TILEPROP CLBLM_L_X8Y128 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y129 CLASS tile TILEPROP CLBLM_L_X8Y129 COLUMN 24 TILEPROP CLBLM_L_X8Y129 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y129 FIRST_SITE_ID 2123 TILEPROP CLBLM_L_X8Y129 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y129 GRID_POINT_Y 21 TILEPROP CLBLM_L_X8Y129 INDEX 2439 TILEPROP CLBLM_L_X8Y129 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y129 INT_TILE_Y 20 TILEPROP CLBLM_L_X8Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y129 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y129 NAME CLBLM_L_X8Y129 TILEPROP CLBLM_L_X8Y129 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y129 NUM_SITES 2 TILEPROP CLBLM_L_X8Y129 ROW 21 TILEPROP CLBLM_L_X8Y129 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y129 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y129 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y129 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y129 TILE_X -58490 TILEPROP CLBLM_L_X8Y129 TILE_Y 176696 TILEPROP CLBLM_L_X8Y129 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y130 CLASS tile TILEPROP CLBLM_L_X8Y130 COLUMN 24 TILEPROP CLBLM_L_X8Y130 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y130 FIRST_SITE_ID 2004 TILEPROP CLBLM_L_X8Y130 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y130 GRID_POINT_Y 20 TILEPROP CLBLM_L_X8Y130 INDEX 2324 TILEPROP CLBLM_L_X8Y130 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y130 INT_TILE_Y 19 TILEPROP CLBLM_L_X8Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y130 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y130 NAME CLBLM_L_X8Y130 TILEPROP CLBLM_L_X8Y130 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y130 NUM_SITES 2 TILEPROP CLBLM_L_X8Y130 ROW 20 TILEPROP CLBLM_L_X8Y130 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y130 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y130 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y130 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y130 TILE_X -58490 TILEPROP CLBLM_L_X8Y130 TILE_Y 179896 TILEPROP CLBLM_L_X8Y130 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y131 CLASS tile TILEPROP CLBLM_L_X8Y131 COLUMN 24 TILEPROP CLBLM_L_X8Y131 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y131 FIRST_SITE_ID 1905 TILEPROP CLBLM_L_X8Y131 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y131 GRID_POINT_Y 19 TILEPROP CLBLM_L_X8Y131 INDEX 2209 TILEPROP CLBLM_L_X8Y131 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y131 INT_TILE_Y 18 TILEPROP CLBLM_L_X8Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y131 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y131 NAME CLBLM_L_X8Y131 TILEPROP CLBLM_L_X8Y131 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y131 NUM_SITES 2 TILEPROP CLBLM_L_X8Y131 ROW 19 TILEPROP CLBLM_L_X8Y131 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y131 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y131 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y131 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y131 TILE_X -58490 TILEPROP CLBLM_L_X8Y131 TILE_Y 183096 TILEPROP CLBLM_L_X8Y131 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y132 CLASS tile TILEPROP CLBLM_L_X8Y132 COLUMN 24 TILEPROP CLBLM_L_X8Y132 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y132 FIRST_SITE_ID 1799 TILEPROP CLBLM_L_X8Y132 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y132 GRID_POINT_Y 18 TILEPROP CLBLM_L_X8Y132 INDEX 2094 TILEPROP CLBLM_L_X8Y132 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y132 INT_TILE_Y 17 TILEPROP CLBLM_L_X8Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y132 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y132 NAME CLBLM_L_X8Y132 TILEPROP CLBLM_L_X8Y132 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y132 NUM_SITES 2 TILEPROP CLBLM_L_X8Y132 ROW 18 TILEPROP CLBLM_L_X8Y132 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y132 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y132 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y132 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y132 TILE_X -58490 TILEPROP CLBLM_L_X8Y132 TILE_Y 186296 TILEPROP CLBLM_L_X8Y132 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y133 CLASS tile TILEPROP CLBLM_L_X8Y133 COLUMN 24 TILEPROP CLBLM_L_X8Y133 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y133 FIRST_SITE_ID 1698 TILEPROP CLBLM_L_X8Y133 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y133 GRID_POINT_Y 17 TILEPROP CLBLM_L_X8Y133 INDEX 1979 TILEPROP CLBLM_L_X8Y133 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y133 INT_TILE_Y 16 TILEPROP CLBLM_L_X8Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y133 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y133 NAME CLBLM_L_X8Y133 TILEPROP CLBLM_L_X8Y133 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y133 NUM_SITES 2 TILEPROP CLBLM_L_X8Y133 ROW 17 TILEPROP CLBLM_L_X8Y133 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y133 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y133 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y133 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y133 TILE_X -58490 TILEPROP CLBLM_L_X8Y133 TILE_Y 189496 TILEPROP CLBLM_L_X8Y133 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y134 CLASS tile TILEPROP CLBLM_L_X8Y134 COLUMN 24 TILEPROP CLBLM_L_X8Y134 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y134 FIRST_SITE_ID 1594 TILEPROP CLBLM_L_X8Y134 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y134 GRID_POINT_Y 16 TILEPROP CLBLM_L_X8Y134 INDEX 1864 TILEPROP CLBLM_L_X8Y134 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y134 INT_TILE_Y 15 TILEPROP CLBLM_L_X8Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y134 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y134 NAME CLBLM_L_X8Y134 TILEPROP CLBLM_L_X8Y134 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y134 NUM_SITES 2 TILEPROP CLBLM_L_X8Y134 ROW 16 TILEPROP CLBLM_L_X8Y134 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y134 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y134 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y134 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y134 TILE_X -58490 TILEPROP CLBLM_L_X8Y134 TILE_Y 192696 TILEPROP CLBLM_L_X8Y134 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y135 CLASS tile TILEPROP CLBLM_L_X8Y135 COLUMN 24 TILEPROP CLBLM_L_X8Y135 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y135 FIRST_SITE_ID 1489 TILEPROP CLBLM_L_X8Y135 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y135 GRID_POINT_Y 15 TILEPROP CLBLM_L_X8Y135 INDEX 1749 TILEPROP CLBLM_L_X8Y135 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y135 INT_TILE_Y 14 TILEPROP CLBLM_L_X8Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y135 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y135 NAME CLBLM_L_X8Y135 TILEPROP CLBLM_L_X8Y135 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y135 NUM_SITES 2 TILEPROP CLBLM_L_X8Y135 ROW 15 TILEPROP CLBLM_L_X8Y135 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y135 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y135 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y135 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y135 TILE_X -58490 TILEPROP CLBLM_L_X8Y135 TILE_Y 195896 TILEPROP CLBLM_L_X8Y135 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y136 CLASS tile TILEPROP CLBLM_L_X8Y136 COLUMN 24 TILEPROP CLBLM_L_X8Y136 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y136 FIRST_SITE_ID 1350 TILEPROP CLBLM_L_X8Y136 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y136 GRID_POINT_Y 14 TILEPROP CLBLM_L_X8Y136 INDEX 1634 TILEPROP CLBLM_L_X8Y136 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y136 INT_TILE_Y 13 TILEPROP CLBLM_L_X8Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y136 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y136 NAME CLBLM_L_X8Y136 TILEPROP CLBLM_L_X8Y136 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y136 NUM_SITES 2 TILEPROP CLBLM_L_X8Y136 ROW 14 TILEPROP CLBLM_L_X8Y136 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y136 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y136 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y136 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y136 TILE_X -58490 TILEPROP CLBLM_L_X8Y136 TILE_Y 199096 TILEPROP CLBLM_L_X8Y136 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y137 CLASS tile TILEPROP CLBLM_L_X8Y137 COLUMN 24 TILEPROP CLBLM_L_X8Y137 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y137 FIRST_SITE_ID 1254 TILEPROP CLBLM_L_X8Y137 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y137 GRID_POINT_Y 13 TILEPROP CLBLM_L_X8Y137 INDEX 1519 TILEPROP CLBLM_L_X8Y137 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y137 INT_TILE_Y 12 TILEPROP CLBLM_L_X8Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y137 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y137 NAME CLBLM_L_X8Y137 TILEPROP CLBLM_L_X8Y137 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y137 NUM_SITES 2 TILEPROP CLBLM_L_X8Y137 ROW 13 TILEPROP CLBLM_L_X8Y137 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y137 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y137 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y137 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y137 TILE_X -58490 TILEPROP CLBLM_L_X8Y137 TILE_Y 202296 TILEPROP CLBLM_L_X8Y137 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y138 CLASS tile TILEPROP CLBLM_L_X8Y138 COLUMN 24 TILEPROP CLBLM_L_X8Y138 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y138 FIRST_SITE_ID 1150 TILEPROP CLBLM_L_X8Y138 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y138 GRID_POINT_Y 12 TILEPROP CLBLM_L_X8Y138 INDEX 1404 TILEPROP CLBLM_L_X8Y138 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y138 INT_TILE_Y 11 TILEPROP CLBLM_L_X8Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y138 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y138 NAME CLBLM_L_X8Y138 TILEPROP CLBLM_L_X8Y138 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y138 NUM_SITES 2 TILEPROP CLBLM_L_X8Y138 ROW 12 TILEPROP CLBLM_L_X8Y138 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y138 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y138 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y138 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y138 TILE_X -58490 TILEPROP CLBLM_L_X8Y138 TILE_Y 205496 TILEPROP CLBLM_L_X8Y138 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y139 CLASS tile TILEPROP CLBLM_L_X8Y139 COLUMN 24 TILEPROP CLBLM_L_X8Y139 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y139 FIRST_SITE_ID 1054 TILEPROP CLBLM_L_X8Y139 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y139 GRID_POINT_Y 11 TILEPROP CLBLM_L_X8Y139 INDEX 1289 TILEPROP CLBLM_L_X8Y139 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y139 INT_TILE_Y 10 TILEPROP CLBLM_L_X8Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y139 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y139 NAME CLBLM_L_X8Y139 TILEPROP CLBLM_L_X8Y139 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y139 NUM_SITES 2 TILEPROP CLBLM_L_X8Y139 ROW 11 TILEPROP CLBLM_L_X8Y139 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y139 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y139 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y139 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y139 TILE_X -58490 TILEPROP CLBLM_L_X8Y139 TILE_Y 208696 TILEPROP CLBLM_L_X8Y139 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y140 CLASS tile TILEPROP CLBLM_L_X8Y140 COLUMN 24 TILEPROP CLBLM_L_X8Y140 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y140 FIRST_SITE_ID 941 TILEPROP CLBLM_L_X8Y140 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y140 GRID_POINT_Y 10 TILEPROP CLBLM_L_X8Y140 INDEX 1174 TILEPROP CLBLM_L_X8Y140 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y140 INT_TILE_Y 9 TILEPROP CLBLM_L_X8Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y140 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y140 NAME CLBLM_L_X8Y140 TILEPROP CLBLM_L_X8Y140 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y140 NUM_SITES 2 TILEPROP CLBLM_L_X8Y140 ROW 10 TILEPROP CLBLM_L_X8Y140 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y140 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y140 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y140 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y140 TILE_X -58490 TILEPROP CLBLM_L_X8Y140 TILE_Y 211896 TILEPROP CLBLM_L_X8Y140 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y141 CLASS tile TILEPROP CLBLM_L_X8Y141 COLUMN 24 TILEPROP CLBLM_L_X8Y141 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y141 FIRST_SITE_ID 842 TILEPROP CLBLM_L_X8Y141 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y141 GRID_POINT_Y 9 TILEPROP CLBLM_L_X8Y141 INDEX 1059 TILEPROP CLBLM_L_X8Y141 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y141 INT_TILE_Y 8 TILEPROP CLBLM_L_X8Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y141 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y141 NAME CLBLM_L_X8Y141 TILEPROP CLBLM_L_X8Y141 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y141 NUM_SITES 2 TILEPROP CLBLM_L_X8Y141 ROW 9 TILEPROP CLBLM_L_X8Y141 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y141 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y141 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y141 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y141 TILE_X -58490 TILEPROP CLBLM_L_X8Y141 TILE_Y 215096 TILEPROP CLBLM_L_X8Y141 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y142 CLASS tile TILEPROP CLBLM_L_X8Y142 COLUMN 24 TILEPROP CLBLM_L_X8Y142 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y142 FIRST_SITE_ID 738 TILEPROP CLBLM_L_X8Y142 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y142 GRID_POINT_Y 8 TILEPROP CLBLM_L_X8Y142 INDEX 944 TILEPROP CLBLM_L_X8Y142 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y142 INT_TILE_Y 7 TILEPROP CLBLM_L_X8Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y142 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y142 NAME CLBLM_L_X8Y142 TILEPROP CLBLM_L_X8Y142 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y142 NUM_SITES 2 TILEPROP CLBLM_L_X8Y142 ROW 8 TILEPROP CLBLM_L_X8Y142 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y142 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y142 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y142 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y142 TILE_X -58490 TILEPROP CLBLM_L_X8Y142 TILE_Y 218296 TILEPROP CLBLM_L_X8Y142 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y143 CLASS tile TILEPROP CLBLM_L_X8Y143 COLUMN 24 TILEPROP CLBLM_L_X8Y143 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y143 FIRST_SITE_ID 641 TILEPROP CLBLM_L_X8Y143 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y143 GRID_POINT_Y 7 TILEPROP CLBLM_L_X8Y143 INDEX 829 TILEPROP CLBLM_L_X8Y143 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y143 INT_TILE_Y 6 TILEPROP CLBLM_L_X8Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y143 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y143 NAME CLBLM_L_X8Y143 TILEPROP CLBLM_L_X8Y143 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y143 NUM_SITES 2 TILEPROP CLBLM_L_X8Y143 ROW 7 TILEPROP CLBLM_L_X8Y143 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y143 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y143 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y143 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y143 TILE_X -58490 TILEPROP CLBLM_L_X8Y143 TILE_Y 221496 TILEPROP CLBLM_L_X8Y143 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y144 CLASS tile TILEPROP CLBLM_L_X8Y144 COLUMN 24 TILEPROP CLBLM_L_X8Y144 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y144 FIRST_SITE_ID 530 TILEPROP CLBLM_L_X8Y144 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y144 GRID_POINT_Y 6 TILEPROP CLBLM_L_X8Y144 INDEX 714 TILEPROP CLBLM_L_X8Y144 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y144 INT_TILE_Y 5 TILEPROP CLBLM_L_X8Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y144 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y144 NAME CLBLM_L_X8Y144 TILEPROP CLBLM_L_X8Y144 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y144 NUM_SITES 2 TILEPROP CLBLM_L_X8Y144 ROW 6 TILEPROP CLBLM_L_X8Y144 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y144 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y144 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y144 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y144 TILE_X -58490 TILEPROP CLBLM_L_X8Y144 TILE_Y 224696 TILEPROP CLBLM_L_X8Y144 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y145 CLASS tile TILEPROP CLBLM_L_X8Y145 COLUMN 24 TILEPROP CLBLM_L_X8Y145 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y145 FIRST_SITE_ID 425 TILEPROP CLBLM_L_X8Y145 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y145 GRID_POINT_Y 5 TILEPROP CLBLM_L_X8Y145 INDEX 599 TILEPROP CLBLM_L_X8Y145 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y145 INT_TILE_Y 4 TILEPROP CLBLM_L_X8Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y145 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y145 NAME CLBLM_L_X8Y145 TILEPROP CLBLM_L_X8Y145 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y145 NUM_SITES 2 TILEPROP CLBLM_L_X8Y145 ROW 5 TILEPROP CLBLM_L_X8Y145 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y145 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y145 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y145 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y145 TILE_X -58490 TILEPROP CLBLM_L_X8Y145 TILE_Y 227896 TILEPROP CLBLM_L_X8Y145 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y146 CLASS tile TILEPROP CLBLM_L_X8Y146 COLUMN 24 TILEPROP CLBLM_L_X8Y146 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y146 FIRST_SITE_ID 318 TILEPROP CLBLM_L_X8Y146 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y146 GRID_POINT_Y 4 TILEPROP CLBLM_L_X8Y146 INDEX 484 TILEPROP CLBLM_L_X8Y146 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y146 INT_TILE_Y 3 TILEPROP CLBLM_L_X8Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y146 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y146 NAME CLBLM_L_X8Y146 TILEPROP CLBLM_L_X8Y146 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y146 NUM_SITES 2 TILEPROP CLBLM_L_X8Y146 ROW 4 TILEPROP CLBLM_L_X8Y146 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y146 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y146 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y146 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y146 TILE_X -58490 TILEPROP CLBLM_L_X8Y146 TILE_Y 231096 TILEPROP CLBLM_L_X8Y146 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y147 CLASS tile TILEPROP CLBLM_L_X8Y147 COLUMN 24 TILEPROP CLBLM_L_X8Y147 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y147 FIRST_SITE_ID 222 TILEPROP CLBLM_L_X8Y147 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y147 GRID_POINT_Y 3 TILEPROP CLBLM_L_X8Y147 INDEX 369 TILEPROP CLBLM_L_X8Y147 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y147 INT_TILE_Y 2 TILEPROP CLBLM_L_X8Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y147 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y147 NAME CLBLM_L_X8Y147 TILEPROP CLBLM_L_X8Y147 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y147 NUM_SITES 2 TILEPROP CLBLM_L_X8Y147 ROW 3 TILEPROP CLBLM_L_X8Y147 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y147 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y147 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y147 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y147 TILE_X -58490 TILEPROP CLBLM_L_X8Y147 TILE_Y 234296 TILEPROP CLBLM_L_X8Y147 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y148 CLASS tile TILEPROP CLBLM_L_X8Y148 COLUMN 24 TILEPROP CLBLM_L_X8Y148 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y148 FIRST_SITE_ID 118 TILEPROP CLBLM_L_X8Y148 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y148 GRID_POINT_Y 2 TILEPROP CLBLM_L_X8Y148 INDEX 254 TILEPROP CLBLM_L_X8Y148 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y148 INT_TILE_Y 1 TILEPROP CLBLM_L_X8Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y148 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y148 NAME CLBLM_L_X8Y148 TILEPROP CLBLM_L_X8Y148 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y148 NUM_SITES 2 TILEPROP CLBLM_L_X8Y148 ROW 2 TILEPROP CLBLM_L_X8Y148 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y148 TILE_PATTERN_IDX 66 TILEPROP CLBLM_L_X8Y148 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y148 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y148 TILE_X -58490 TILEPROP CLBLM_L_X8Y148 TILE_Y 237496 TILEPROP CLBLM_L_X8Y148 TYPE CLBLM_L TILEPROP CLBLM_L_X8Y149 CLASS tile TILEPROP CLBLM_L_X8Y149 COLUMN 24 TILEPROP CLBLM_L_X8Y149 DEVICE_ID 0 TILEPROP CLBLM_L_X8Y149 FIRST_SITE_ID 22 TILEPROP CLBLM_L_X8Y149 GRID_POINT_X 24 TILEPROP CLBLM_L_X8Y149 GRID_POINT_Y 1 TILEPROP CLBLM_L_X8Y149 INDEX 139 TILEPROP CLBLM_L_X8Y149 INT_TILE_X 7 TILEPROP CLBLM_L_X8Y149 INT_TILE_Y 0 TILEPROP CLBLM_L_X8Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_L_X8Y149 IS_DCM_TILE 0 TILEPROP CLBLM_L_X8Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_L_X8Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_L_X8Y149 NAME CLBLM_L_X8Y149 TILEPROP CLBLM_L_X8Y149 NUM_ARCS 151 TILEPROP CLBLM_L_X8Y149 NUM_SITES 2 TILEPROP CLBLM_L_X8Y149 ROW 1 TILEPROP CLBLM_L_X8Y149 SLR_REGION_ID 0 TILEPROP CLBLM_L_X8Y149 TILE_PATTERN_IDX 22 TILEPROP CLBLM_L_X8Y149 TILE_TYPE CLBLM_L TILEPROP CLBLM_L_X8Y149 TILE_TYPE_INDEX 20 TILEPROP CLBLM_L_X8Y149 TILE_X -58490 TILEPROP CLBLM_L_X8Y149 TILE_Y 240696 TILEPROP CLBLM_L_X8Y149 TYPE CLBLM_L TILEPROP CLBLM_R_X11Y0 CLASS tile TILEPROP CLBLM_R_X11Y0 COLUMN 33 TILEPROP CLBLM_R_X11Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y0 FIRST_SITE_ID 15738 TILEPROP CLBLM_R_X11Y0 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X11Y0 INDEX 17858 TILEPROP CLBLM_R_X11Y0 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X11Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y0 NAME CLBLM_R_X11Y0 TILEPROP CLBLM_R_X11Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y0 NUM_SITES 2 TILEPROP CLBLM_R_X11Y0 ROW 155 TILEPROP CLBLM_R_X11Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X11Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y0 TILE_X -42060 TILEPROP CLBLM_R_X11Y0 TILE_Y -239672 TILEPROP CLBLM_R_X11Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y1 CLASS tile TILEPROP CLBLM_R_X11Y1 COLUMN 33 TILEPROP CLBLM_R_X11Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y1 FIRST_SITE_ID 15627 TILEPROP CLBLM_R_X11Y1 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X11Y1 INDEX 17743 TILEPROP CLBLM_R_X11Y1 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X11Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y1 NAME CLBLM_R_X11Y1 TILEPROP CLBLM_R_X11Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y1 NUM_SITES 2 TILEPROP CLBLM_R_X11Y1 ROW 154 TILEPROP CLBLM_R_X11Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y1 TILE_X -42060 TILEPROP CLBLM_R_X11Y1 TILE_Y -236472 TILEPROP CLBLM_R_X11Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y2 CLASS tile TILEPROP CLBLM_R_X11Y2 COLUMN 33 TILEPROP CLBLM_R_X11Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y2 FIRST_SITE_ID 15527 TILEPROP CLBLM_R_X11Y2 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X11Y2 INDEX 17628 TILEPROP CLBLM_R_X11Y2 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X11Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y2 NAME CLBLM_R_X11Y2 TILEPROP CLBLM_R_X11Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y2 NUM_SITES 2 TILEPROP CLBLM_R_X11Y2 ROW 153 TILEPROP CLBLM_R_X11Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y2 TILE_X -42060 TILEPROP CLBLM_R_X11Y2 TILE_Y -233272 TILEPROP CLBLM_R_X11Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y3 CLASS tile TILEPROP CLBLM_R_X11Y3 COLUMN 33 TILEPROP CLBLM_R_X11Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y3 FIRST_SITE_ID 15427 TILEPROP CLBLM_R_X11Y3 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X11Y3 INDEX 17513 TILEPROP CLBLM_R_X11Y3 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X11Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y3 NAME CLBLM_R_X11Y3 TILEPROP CLBLM_R_X11Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y3 NUM_SITES 2 TILEPROP CLBLM_R_X11Y3 ROW 152 TILEPROP CLBLM_R_X11Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y3 TILE_X -42060 TILEPROP CLBLM_R_X11Y3 TILE_Y -230072 TILEPROP CLBLM_R_X11Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y4 CLASS tile TILEPROP CLBLM_R_X11Y4 COLUMN 33 TILEPROP CLBLM_R_X11Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y4 FIRST_SITE_ID 15327 TILEPROP CLBLM_R_X11Y4 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X11Y4 INDEX 17398 TILEPROP CLBLM_R_X11Y4 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X11Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y4 NAME CLBLM_R_X11Y4 TILEPROP CLBLM_R_X11Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y4 NUM_SITES 2 TILEPROP CLBLM_R_X11Y4 ROW 151 TILEPROP CLBLM_R_X11Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y4 TILE_X -42060 TILEPROP CLBLM_R_X11Y4 TILE_Y -226872 TILEPROP CLBLM_R_X11Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y5 CLASS tile TILEPROP CLBLM_R_X11Y5 COLUMN 33 TILEPROP CLBLM_R_X11Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y5 FIRST_SITE_ID 15218 TILEPROP CLBLM_R_X11Y5 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X11Y5 INDEX 17283 TILEPROP CLBLM_R_X11Y5 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X11Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y5 NAME CLBLM_R_X11Y5 TILEPROP CLBLM_R_X11Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y5 NUM_SITES 2 TILEPROP CLBLM_R_X11Y5 ROW 150 TILEPROP CLBLM_R_X11Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y5 TILE_X -42060 TILEPROP CLBLM_R_X11Y5 TILE_Y -223672 TILEPROP CLBLM_R_X11Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y6 CLASS tile TILEPROP CLBLM_R_X11Y6 COLUMN 33 TILEPROP CLBLM_R_X11Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y6 FIRST_SITE_ID 15112 TILEPROP CLBLM_R_X11Y6 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X11Y6 INDEX 17168 TILEPROP CLBLM_R_X11Y6 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X11Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y6 NAME CLBLM_R_X11Y6 TILEPROP CLBLM_R_X11Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y6 NUM_SITES 2 TILEPROP CLBLM_R_X11Y6 ROW 149 TILEPROP CLBLM_R_X11Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y6 TILE_X -42060 TILEPROP CLBLM_R_X11Y6 TILE_Y -220472 TILEPROP CLBLM_R_X11Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y7 CLASS tile TILEPROP CLBLM_R_X11Y7 COLUMN 33 TILEPROP CLBLM_R_X11Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y7 FIRST_SITE_ID 15010 TILEPROP CLBLM_R_X11Y7 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X11Y7 INDEX 17053 TILEPROP CLBLM_R_X11Y7 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X11Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y7 NAME CLBLM_R_X11Y7 TILEPROP CLBLM_R_X11Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y7 NUM_SITES 2 TILEPROP CLBLM_R_X11Y7 ROW 148 TILEPROP CLBLM_R_X11Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y7 TILE_X -42060 TILEPROP CLBLM_R_X11Y7 TILE_Y -217272 TILEPROP CLBLM_R_X11Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y8 CLASS tile TILEPROP CLBLM_R_X11Y8 COLUMN 33 TILEPROP CLBLM_R_X11Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y8 FIRST_SITE_ID 14907 TILEPROP CLBLM_R_X11Y8 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X11Y8 INDEX 16938 TILEPROP CLBLM_R_X11Y8 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X11Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y8 NAME CLBLM_R_X11Y8 TILEPROP CLBLM_R_X11Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y8 NUM_SITES 2 TILEPROP CLBLM_R_X11Y8 ROW 147 TILEPROP CLBLM_R_X11Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y8 TILE_X -42060 TILEPROP CLBLM_R_X11Y8 TILE_Y -214072 TILEPROP CLBLM_R_X11Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y9 CLASS tile TILEPROP CLBLM_R_X11Y9 COLUMN 33 TILEPROP CLBLM_R_X11Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y9 FIRST_SITE_ID 14806 TILEPROP CLBLM_R_X11Y9 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X11Y9 INDEX 16823 TILEPROP CLBLM_R_X11Y9 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X11Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y9 NAME CLBLM_R_X11Y9 TILEPROP CLBLM_R_X11Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y9 NUM_SITES 2 TILEPROP CLBLM_R_X11Y9 ROW 146 TILEPROP CLBLM_R_X11Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y9 TILE_X -42060 TILEPROP CLBLM_R_X11Y9 TILE_Y -210872 TILEPROP CLBLM_R_X11Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y10 CLASS tile TILEPROP CLBLM_R_X11Y10 COLUMN 33 TILEPROP CLBLM_R_X11Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y10 FIRST_SITE_ID 14697 TILEPROP CLBLM_R_X11Y10 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X11Y10 INDEX 16708 TILEPROP CLBLM_R_X11Y10 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X11Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y10 NAME CLBLM_R_X11Y10 TILEPROP CLBLM_R_X11Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y10 NUM_SITES 2 TILEPROP CLBLM_R_X11Y10 ROW 145 TILEPROP CLBLM_R_X11Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y10 TILE_X -42060 TILEPROP CLBLM_R_X11Y10 TILE_Y -207672 TILEPROP CLBLM_R_X11Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y11 CLASS tile TILEPROP CLBLM_R_X11Y11 COLUMN 33 TILEPROP CLBLM_R_X11Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y11 FIRST_SITE_ID 14591 TILEPROP CLBLM_R_X11Y11 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X11Y11 INDEX 16593 TILEPROP CLBLM_R_X11Y11 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X11Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y11 NAME CLBLM_R_X11Y11 TILEPROP CLBLM_R_X11Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y11 NUM_SITES 2 TILEPROP CLBLM_R_X11Y11 ROW 144 TILEPROP CLBLM_R_X11Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y11 TILE_X -42060 TILEPROP CLBLM_R_X11Y11 TILE_Y -204472 TILEPROP CLBLM_R_X11Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y12 CLASS tile TILEPROP CLBLM_R_X11Y12 COLUMN 33 TILEPROP CLBLM_R_X11Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y12 FIRST_SITE_ID 14459 TILEPROP CLBLM_R_X11Y12 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X11Y12 INDEX 16478 TILEPROP CLBLM_R_X11Y12 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X11Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y12 NAME CLBLM_R_X11Y12 TILEPROP CLBLM_R_X11Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y12 NUM_SITES 2 TILEPROP CLBLM_R_X11Y12 ROW 143 TILEPROP CLBLM_R_X11Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y12 TILE_X -42060 TILEPROP CLBLM_R_X11Y12 TILE_Y -201272 TILEPROP CLBLM_R_X11Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y13 CLASS tile TILEPROP CLBLM_R_X11Y13 COLUMN 33 TILEPROP CLBLM_R_X11Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y13 FIRST_SITE_ID 14359 TILEPROP CLBLM_R_X11Y13 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X11Y13 INDEX 16363 TILEPROP CLBLM_R_X11Y13 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X11Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y13 NAME CLBLM_R_X11Y13 TILEPROP CLBLM_R_X11Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y13 NUM_SITES 2 TILEPROP CLBLM_R_X11Y13 ROW 142 TILEPROP CLBLM_R_X11Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y13 TILE_X -42060 TILEPROP CLBLM_R_X11Y13 TILE_Y -198072 TILEPROP CLBLM_R_X11Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y14 CLASS tile TILEPROP CLBLM_R_X11Y14 COLUMN 33 TILEPROP CLBLM_R_X11Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y14 FIRST_SITE_ID 14259 TILEPROP CLBLM_R_X11Y14 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X11Y14 INDEX 16248 TILEPROP CLBLM_R_X11Y14 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X11Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y14 NAME CLBLM_R_X11Y14 TILEPROP CLBLM_R_X11Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y14 NUM_SITES 2 TILEPROP CLBLM_R_X11Y14 ROW 141 TILEPROP CLBLM_R_X11Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y14 TILE_X -42060 TILEPROP CLBLM_R_X11Y14 TILE_Y -194872 TILEPROP CLBLM_R_X11Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y15 CLASS tile TILEPROP CLBLM_R_X11Y15 COLUMN 33 TILEPROP CLBLM_R_X11Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y15 FIRST_SITE_ID 14150 TILEPROP CLBLM_R_X11Y15 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X11Y15 INDEX 16133 TILEPROP CLBLM_R_X11Y15 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X11Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y15 NAME CLBLM_R_X11Y15 TILEPROP CLBLM_R_X11Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y15 NUM_SITES 2 TILEPROP CLBLM_R_X11Y15 ROW 140 TILEPROP CLBLM_R_X11Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y15 TILE_X -42060 TILEPROP CLBLM_R_X11Y15 TILE_Y -191672 TILEPROP CLBLM_R_X11Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y16 CLASS tile TILEPROP CLBLM_R_X11Y16 COLUMN 33 TILEPROP CLBLM_R_X11Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y16 FIRST_SITE_ID 14044 TILEPROP CLBLM_R_X11Y16 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X11Y16 INDEX 16018 TILEPROP CLBLM_R_X11Y16 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X11Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y16 NAME CLBLM_R_X11Y16 TILEPROP CLBLM_R_X11Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y16 NUM_SITES 2 TILEPROP CLBLM_R_X11Y16 ROW 139 TILEPROP CLBLM_R_X11Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y16 TILE_X -42060 TILEPROP CLBLM_R_X11Y16 TILE_Y -188472 TILEPROP CLBLM_R_X11Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y17 CLASS tile TILEPROP CLBLM_R_X11Y17 COLUMN 33 TILEPROP CLBLM_R_X11Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y17 FIRST_SITE_ID 13939 TILEPROP CLBLM_R_X11Y17 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X11Y17 INDEX 15903 TILEPROP CLBLM_R_X11Y17 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X11Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y17 NAME CLBLM_R_X11Y17 TILEPROP CLBLM_R_X11Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y17 NUM_SITES 2 TILEPROP CLBLM_R_X11Y17 ROW 138 TILEPROP CLBLM_R_X11Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y17 TILE_X -42060 TILEPROP CLBLM_R_X11Y17 TILE_Y -185272 TILEPROP CLBLM_R_X11Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y18 CLASS tile TILEPROP CLBLM_R_X11Y18 COLUMN 33 TILEPROP CLBLM_R_X11Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y18 FIRST_SITE_ID 13835 TILEPROP CLBLM_R_X11Y18 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X11Y18 INDEX 15788 TILEPROP CLBLM_R_X11Y18 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X11Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y18 NAME CLBLM_R_X11Y18 TILEPROP CLBLM_R_X11Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y18 NUM_SITES 2 TILEPROP CLBLM_R_X11Y18 ROW 137 TILEPROP CLBLM_R_X11Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y18 TILE_X -42060 TILEPROP CLBLM_R_X11Y18 TILE_Y -182072 TILEPROP CLBLM_R_X11Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y19 CLASS tile TILEPROP CLBLM_R_X11Y19 COLUMN 33 TILEPROP CLBLM_R_X11Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y19 FIRST_SITE_ID 13733 TILEPROP CLBLM_R_X11Y19 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X11Y19 INDEX 15673 TILEPROP CLBLM_R_X11Y19 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X11Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y19 NAME CLBLM_R_X11Y19 TILEPROP CLBLM_R_X11Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y19 NUM_SITES 2 TILEPROP CLBLM_R_X11Y19 ROW 136 TILEPROP CLBLM_R_X11Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y19 TILE_X -42060 TILEPROP CLBLM_R_X11Y19 TILE_Y -178872 TILEPROP CLBLM_R_X11Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y20 CLASS tile TILEPROP CLBLM_R_X11Y20 COLUMN 33 TILEPROP CLBLM_R_X11Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y20 FIRST_SITE_ID 13622 TILEPROP CLBLM_R_X11Y20 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X11Y20 INDEX 15558 TILEPROP CLBLM_R_X11Y20 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X11Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y20 NAME CLBLM_R_X11Y20 TILEPROP CLBLM_R_X11Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y20 NUM_SITES 2 TILEPROP CLBLM_R_X11Y20 ROW 135 TILEPROP CLBLM_R_X11Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y20 TILE_X -42060 TILEPROP CLBLM_R_X11Y20 TILE_Y -175672 TILEPROP CLBLM_R_X11Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y21 CLASS tile TILEPROP CLBLM_R_X11Y21 COLUMN 33 TILEPROP CLBLM_R_X11Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y21 FIRST_SITE_ID 13516 TILEPROP CLBLM_R_X11Y21 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X11Y21 INDEX 15443 TILEPROP CLBLM_R_X11Y21 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X11Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y21 NAME CLBLM_R_X11Y21 TILEPROP CLBLM_R_X11Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y21 NUM_SITES 2 TILEPROP CLBLM_R_X11Y21 ROW 134 TILEPROP CLBLM_R_X11Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y21 TILE_X -42060 TILEPROP CLBLM_R_X11Y21 TILE_Y -172472 TILEPROP CLBLM_R_X11Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y22 CLASS tile TILEPROP CLBLM_R_X11Y22 COLUMN 33 TILEPROP CLBLM_R_X11Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y22 FIRST_SITE_ID 13416 TILEPROP CLBLM_R_X11Y22 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X11Y22 INDEX 15328 TILEPROP CLBLM_R_X11Y22 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X11Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y22 NAME CLBLM_R_X11Y22 TILEPROP CLBLM_R_X11Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y22 NUM_SITES 2 TILEPROP CLBLM_R_X11Y22 ROW 133 TILEPROP CLBLM_R_X11Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y22 TILE_X -42060 TILEPROP CLBLM_R_X11Y22 TILE_Y -169272 TILEPROP CLBLM_R_X11Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y23 CLASS tile TILEPROP CLBLM_R_X11Y23 COLUMN 33 TILEPROP CLBLM_R_X11Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y23 FIRST_SITE_ID 13316 TILEPROP CLBLM_R_X11Y23 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X11Y23 INDEX 15213 TILEPROP CLBLM_R_X11Y23 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X11Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y23 NAME CLBLM_R_X11Y23 TILEPROP CLBLM_R_X11Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y23 NUM_SITES 2 TILEPROP CLBLM_R_X11Y23 ROW 132 TILEPROP CLBLM_R_X11Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y23 TILE_X -42060 TILEPROP CLBLM_R_X11Y23 TILE_Y -166072 TILEPROP CLBLM_R_X11Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y24 CLASS tile TILEPROP CLBLM_R_X11Y24 COLUMN 33 TILEPROP CLBLM_R_X11Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y24 FIRST_SITE_ID 13216 TILEPROP CLBLM_R_X11Y24 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X11Y24 INDEX 15098 TILEPROP CLBLM_R_X11Y24 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X11Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y24 NAME CLBLM_R_X11Y24 TILEPROP CLBLM_R_X11Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y24 NUM_SITES 2 TILEPROP CLBLM_R_X11Y24 ROW 131 TILEPROP CLBLM_R_X11Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X11Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y24 TILE_X -42060 TILEPROP CLBLM_R_X11Y24 TILE_Y -162872 TILEPROP CLBLM_R_X11Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y25 CLASS tile TILEPROP CLBLM_R_X11Y25 COLUMN 33 TILEPROP CLBLM_R_X11Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y25 FIRST_SITE_ID 13024 TILEPROP CLBLM_R_X11Y25 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X11Y25 INDEX 14868 TILEPROP CLBLM_R_X11Y25 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X11Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y25 NAME CLBLM_R_X11Y25 TILEPROP CLBLM_R_X11Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y25 NUM_SITES 2 TILEPROP CLBLM_R_X11Y25 ROW 129 TILEPROP CLBLM_R_X11Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X11Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y25 TILE_X -42060 TILEPROP CLBLM_R_X11Y25 TILE_Y -158648 TILEPROP CLBLM_R_X11Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y26 CLASS tile TILEPROP CLBLM_R_X11Y26 COLUMN 33 TILEPROP CLBLM_R_X11Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y26 FIRST_SITE_ID 12918 TILEPROP CLBLM_R_X11Y26 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X11Y26 INDEX 14753 TILEPROP CLBLM_R_X11Y26 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X11Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y26 NAME CLBLM_R_X11Y26 TILEPROP CLBLM_R_X11Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y26 NUM_SITES 2 TILEPROP CLBLM_R_X11Y26 ROW 128 TILEPROP CLBLM_R_X11Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y26 TILE_X -42060 TILEPROP CLBLM_R_X11Y26 TILE_Y -155448 TILEPROP CLBLM_R_X11Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y27 CLASS tile TILEPROP CLBLM_R_X11Y27 COLUMN 33 TILEPROP CLBLM_R_X11Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y27 FIRST_SITE_ID 12818 TILEPROP CLBLM_R_X11Y27 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X11Y27 INDEX 14638 TILEPROP CLBLM_R_X11Y27 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X11Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y27 NAME CLBLM_R_X11Y27 TILEPROP CLBLM_R_X11Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y27 NUM_SITES 2 TILEPROP CLBLM_R_X11Y27 ROW 127 TILEPROP CLBLM_R_X11Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y27 TILE_X -42060 TILEPROP CLBLM_R_X11Y27 TILE_Y -152248 TILEPROP CLBLM_R_X11Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y28 CLASS tile TILEPROP CLBLM_R_X11Y28 COLUMN 33 TILEPROP CLBLM_R_X11Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y28 FIRST_SITE_ID 12718 TILEPROP CLBLM_R_X11Y28 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X11Y28 INDEX 14523 TILEPROP CLBLM_R_X11Y28 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X11Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y28 NAME CLBLM_R_X11Y28 TILEPROP CLBLM_R_X11Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y28 NUM_SITES 2 TILEPROP CLBLM_R_X11Y28 ROW 126 TILEPROP CLBLM_R_X11Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y28 TILE_X -42060 TILEPROP CLBLM_R_X11Y28 TILE_Y -149048 TILEPROP CLBLM_R_X11Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y29 CLASS tile TILEPROP CLBLM_R_X11Y29 COLUMN 33 TILEPROP CLBLM_R_X11Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y29 FIRST_SITE_ID 12612 TILEPROP CLBLM_R_X11Y29 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X11Y29 INDEX 14408 TILEPROP CLBLM_R_X11Y29 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X11Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y29 NAME CLBLM_R_X11Y29 TILEPROP CLBLM_R_X11Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y29 NUM_SITES 2 TILEPROP CLBLM_R_X11Y29 ROW 125 TILEPROP CLBLM_R_X11Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y29 TILE_X -42060 TILEPROP CLBLM_R_X11Y29 TILE_Y -145848 TILEPROP CLBLM_R_X11Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y30 CLASS tile TILEPROP CLBLM_R_X11Y30 COLUMN 33 TILEPROP CLBLM_R_X11Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y30 FIRST_SITE_ID 12484 TILEPROP CLBLM_R_X11Y30 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X11Y30 INDEX 14293 TILEPROP CLBLM_R_X11Y30 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X11Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y30 NAME CLBLM_R_X11Y30 TILEPROP CLBLM_R_X11Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y30 NUM_SITES 2 TILEPROP CLBLM_R_X11Y30 ROW 124 TILEPROP CLBLM_R_X11Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y30 TILE_X -42060 TILEPROP CLBLM_R_X11Y30 TILE_Y -142648 TILEPROP CLBLM_R_X11Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y31 CLASS tile TILEPROP CLBLM_R_X11Y31 COLUMN 33 TILEPROP CLBLM_R_X11Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y31 FIRST_SITE_ID 12376 TILEPROP CLBLM_R_X11Y31 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X11Y31 INDEX 14178 TILEPROP CLBLM_R_X11Y31 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X11Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y31 NAME CLBLM_R_X11Y31 TILEPROP CLBLM_R_X11Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y31 NUM_SITES 2 TILEPROP CLBLM_R_X11Y31 ROW 123 TILEPROP CLBLM_R_X11Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y31 TILE_X -42060 TILEPROP CLBLM_R_X11Y31 TILE_Y -139448 TILEPROP CLBLM_R_X11Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y32 CLASS tile TILEPROP CLBLM_R_X11Y32 COLUMN 33 TILEPROP CLBLM_R_X11Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y32 FIRST_SITE_ID 12273 TILEPROP CLBLM_R_X11Y32 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X11Y32 INDEX 14063 TILEPROP CLBLM_R_X11Y32 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X11Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y32 NAME CLBLM_R_X11Y32 TILEPROP CLBLM_R_X11Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y32 NUM_SITES 2 TILEPROP CLBLM_R_X11Y32 ROW 122 TILEPROP CLBLM_R_X11Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y32 TILE_X -42060 TILEPROP CLBLM_R_X11Y32 TILE_Y -136248 TILEPROP CLBLM_R_X11Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y33 CLASS tile TILEPROP CLBLM_R_X11Y33 COLUMN 33 TILEPROP CLBLM_R_X11Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y33 FIRST_SITE_ID 12173 TILEPROP CLBLM_R_X11Y33 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X11Y33 INDEX 13948 TILEPROP CLBLM_R_X11Y33 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X11Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y33 NAME CLBLM_R_X11Y33 TILEPROP CLBLM_R_X11Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y33 NUM_SITES 2 TILEPROP CLBLM_R_X11Y33 ROW 121 TILEPROP CLBLM_R_X11Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y33 TILE_X -42060 TILEPROP CLBLM_R_X11Y33 TILE_Y -133048 TILEPROP CLBLM_R_X11Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y34 CLASS tile TILEPROP CLBLM_R_X11Y34 COLUMN 33 TILEPROP CLBLM_R_X11Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y34 FIRST_SITE_ID 12073 TILEPROP CLBLM_R_X11Y34 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X11Y34 INDEX 13833 TILEPROP CLBLM_R_X11Y34 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X11Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y34 NAME CLBLM_R_X11Y34 TILEPROP CLBLM_R_X11Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y34 NUM_SITES 2 TILEPROP CLBLM_R_X11Y34 ROW 120 TILEPROP CLBLM_R_X11Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y34 TILE_X -42060 TILEPROP CLBLM_R_X11Y34 TILE_Y -129848 TILEPROP CLBLM_R_X11Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y35 CLASS tile TILEPROP CLBLM_R_X11Y35 COLUMN 33 TILEPROP CLBLM_R_X11Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y35 FIRST_SITE_ID 11964 TILEPROP CLBLM_R_X11Y35 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X11Y35 INDEX 13718 TILEPROP CLBLM_R_X11Y35 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X11Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y35 NAME CLBLM_R_X11Y35 TILEPROP CLBLM_R_X11Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y35 NUM_SITES 2 TILEPROP CLBLM_R_X11Y35 ROW 119 TILEPROP CLBLM_R_X11Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y35 TILE_X -42060 TILEPROP CLBLM_R_X11Y35 TILE_Y -126648 TILEPROP CLBLM_R_X11Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y36 CLASS tile TILEPROP CLBLM_R_X11Y36 COLUMN 33 TILEPROP CLBLM_R_X11Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y36 FIRST_SITE_ID 11826 TILEPROP CLBLM_R_X11Y36 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X11Y36 INDEX 13603 TILEPROP CLBLM_R_X11Y36 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X11Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y36 NAME CLBLM_R_X11Y36 TILEPROP CLBLM_R_X11Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y36 NUM_SITES 2 TILEPROP CLBLM_R_X11Y36 ROW 118 TILEPROP CLBLM_R_X11Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y36 TILE_X -42060 TILEPROP CLBLM_R_X11Y36 TILE_Y -123448 TILEPROP CLBLM_R_X11Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y37 CLASS tile TILEPROP CLBLM_R_X11Y37 COLUMN 33 TILEPROP CLBLM_R_X11Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y37 FIRST_SITE_ID 11726 TILEPROP CLBLM_R_X11Y37 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X11Y37 INDEX 13488 TILEPROP CLBLM_R_X11Y37 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X11Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y37 NAME CLBLM_R_X11Y37 TILEPROP CLBLM_R_X11Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y37 NUM_SITES 2 TILEPROP CLBLM_R_X11Y37 ROW 117 TILEPROP CLBLM_R_X11Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y37 TILE_X -42060 TILEPROP CLBLM_R_X11Y37 TILE_Y -120248 TILEPROP CLBLM_R_X11Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y38 CLASS tile TILEPROP CLBLM_R_X11Y38 COLUMN 33 TILEPROP CLBLM_R_X11Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y38 FIRST_SITE_ID 11626 TILEPROP CLBLM_R_X11Y38 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X11Y38 INDEX 13373 TILEPROP CLBLM_R_X11Y38 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X11Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y38 NAME CLBLM_R_X11Y38 TILEPROP CLBLM_R_X11Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y38 NUM_SITES 2 TILEPROP CLBLM_R_X11Y38 ROW 116 TILEPROP CLBLM_R_X11Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y38 TILE_X -42060 TILEPROP CLBLM_R_X11Y38 TILE_Y -117048 TILEPROP CLBLM_R_X11Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y39 CLASS tile TILEPROP CLBLM_R_X11Y39 COLUMN 33 TILEPROP CLBLM_R_X11Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y39 FIRST_SITE_ID 11526 TILEPROP CLBLM_R_X11Y39 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X11Y39 INDEX 13258 TILEPROP CLBLM_R_X11Y39 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X11Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y39 NAME CLBLM_R_X11Y39 TILEPROP CLBLM_R_X11Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y39 NUM_SITES 2 TILEPROP CLBLM_R_X11Y39 ROW 115 TILEPROP CLBLM_R_X11Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y39 TILE_X -42060 TILEPROP CLBLM_R_X11Y39 TILE_Y -113848 TILEPROP CLBLM_R_X11Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y40 CLASS tile TILEPROP CLBLM_R_X11Y40 COLUMN 33 TILEPROP CLBLM_R_X11Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y40 FIRST_SITE_ID 11415 TILEPROP CLBLM_R_X11Y40 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X11Y40 INDEX 13143 TILEPROP CLBLM_R_X11Y40 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X11Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y40 NAME CLBLM_R_X11Y40 TILEPROP CLBLM_R_X11Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y40 NUM_SITES 2 TILEPROP CLBLM_R_X11Y40 ROW 114 TILEPROP CLBLM_R_X11Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y40 TILE_X -42060 TILEPROP CLBLM_R_X11Y40 TILE_Y -110648 TILEPROP CLBLM_R_X11Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y41 CLASS tile TILEPROP CLBLM_R_X11Y41 COLUMN 33 TILEPROP CLBLM_R_X11Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y41 FIRST_SITE_ID 11308 TILEPROP CLBLM_R_X11Y41 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X11Y41 INDEX 13028 TILEPROP CLBLM_R_X11Y41 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X11Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y41 NAME CLBLM_R_X11Y41 TILEPROP CLBLM_R_X11Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y41 NUM_SITES 2 TILEPROP CLBLM_R_X11Y41 ROW 113 TILEPROP CLBLM_R_X11Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y41 TILE_X -42060 TILEPROP CLBLM_R_X11Y41 TILE_Y -107448 TILEPROP CLBLM_R_X11Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y42 CLASS tile TILEPROP CLBLM_R_X11Y42 COLUMN 33 TILEPROP CLBLM_R_X11Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y42 FIRST_SITE_ID 11207 TILEPROP CLBLM_R_X11Y42 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X11Y42 INDEX 12913 TILEPROP CLBLM_R_X11Y42 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X11Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y42 NAME CLBLM_R_X11Y42 TILEPROP CLBLM_R_X11Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y42 NUM_SITES 2 TILEPROP CLBLM_R_X11Y42 ROW 112 TILEPROP CLBLM_R_X11Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y42 TILE_X -42060 TILEPROP CLBLM_R_X11Y42 TILE_Y -104248 TILEPROP CLBLM_R_X11Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y43 CLASS tile TILEPROP CLBLM_R_X11Y43 COLUMN 33 TILEPROP CLBLM_R_X11Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y43 FIRST_SITE_ID 11104 TILEPROP CLBLM_R_X11Y43 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X11Y43 INDEX 12798 TILEPROP CLBLM_R_X11Y43 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X11Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y43 NAME CLBLM_R_X11Y43 TILEPROP CLBLM_R_X11Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y43 NUM_SITES 2 TILEPROP CLBLM_R_X11Y43 ROW 111 TILEPROP CLBLM_R_X11Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y43 TILE_X -42060 TILEPROP CLBLM_R_X11Y43 TILE_Y -101048 TILEPROP CLBLM_R_X11Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y44 CLASS tile TILEPROP CLBLM_R_X11Y44 COLUMN 33 TILEPROP CLBLM_R_X11Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y44 FIRST_SITE_ID 11002 TILEPROP CLBLM_R_X11Y44 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X11Y44 INDEX 12683 TILEPROP CLBLM_R_X11Y44 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X11Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y44 NAME CLBLM_R_X11Y44 TILEPROP CLBLM_R_X11Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y44 NUM_SITES 2 TILEPROP CLBLM_R_X11Y44 ROW 110 TILEPROP CLBLM_R_X11Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y44 TILE_X -42060 TILEPROP CLBLM_R_X11Y44 TILE_Y -97848 TILEPROP CLBLM_R_X11Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y45 CLASS tile TILEPROP CLBLM_R_X11Y45 COLUMN 33 TILEPROP CLBLM_R_X11Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y45 FIRST_SITE_ID 10892 TILEPROP CLBLM_R_X11Y45 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X11Y45 INDEX 12568 TILEPROP CLBLM_R_X11Y45 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X11Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y45 NAME CLBLM_R_X11Y45 TILEPROP CLBLM_R_X11Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y45 NUM_SITES 2 TILEPROP CLBLM_R_X11Y45 ROW 109 TILEPROP CLBLM_R_X11Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y45 TILE_X -42060 TILEPROP CLBLM_R_X11Y45 TILE_Y -94648 TILEPROP CLBLM_R_X11Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y46 CLASS tile TILEPROP CLBLM_R_X11Y46 COLUMN 33 TILEPROP CLBLM_R_X11Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y46 FIRST_SITE_ID 10770 TILEPROP CLBLM_R_X11Y46 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X11Y46 INDEX 12453 TILEPROP CLBLM_R_X11Y46 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X11Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y46 NAME CLBLM_R_X11Y46 TILEPROP CLBLM_R_X11Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y46 NUM_SITES 2 TILEPROP CLBLM_R_X11Y46 ROW 108 TILEPROP CLBLM_R_X11Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y46 TILE_X -42060 TILEPROP CLBLM_R_X11Y46 TILE_Y -91448 TILEPROP CLBLM_R_X11Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y47 CLASS tile TILEPROP CLBLM_R_X11Y47 COLUMN 33 TILEPROP CLBLM_R_X11Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y47 FIRST_SITE_ID 10670 TILEPROP CLBLM_R_X11Y47 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X11Y47 INDEX 12338 TILEPROP CLBLM_R_X11Y47 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X11Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y47 NAME CLBLM_R_X11Y47 TILEPROP CLBLM_R_X11Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y47 NUM_SITES 2 TILEPROP CLBLM_R_X11Y47 ROW 107 TILEPROP CLBLM_R_X11Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y47 TILE_X -42060 TILEPROP CLBLM_R_X11Y47 TILE_Y -88248 TILEPROP CLBLM_R_X11Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y48 CLASS tile TILEPROP CLBLM_R_X11Y48 COLUMN 33 TILEPROP CLBLM_R_X11Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y48 FIRST_SITE_ID 10570 TILEPROP CLBLM_R_X11Y48 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X11Y48 INDEX 12223 TILEPROP CLBLM_R_X11Y48 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X11Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y48 NAME CLBLM_R_X11Y48 TILEPROP CLBLM_R_X11Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y48 NUM_SITES 2 TILEPROP CLBLM_R_X11Y48 ROW 106 TILEPROP CLBLM_R_X11Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y48 TILE_X -42060 TILEPROP CLBLM_R_X11Y48 TILE_Y -85048 TILEPROP CLBLM_R_X11Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y49 CLASS tile TILEPROP CLBLM_R_X11Y49 COLUMN 33 TILEPROP CLBLM_R_X11Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y49 FIRST_SITE_ID 10474 TILEPROP CLBLM_R_X11Y49 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X11Y49 INDEX 12108 TILEPROP CLBLM_R_X11Y49 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X11Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y49 NAME CLBLM_R_X11Y49 TILEPROP CLBLM_R_X11Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y49 NUM_SITES 2 TILEPROP CLBLM_R_X11Y49 ROW 105 TILEPROP CLBLM_R_X11Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X11Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y49 TILE_X -42060 TILEPROP CLBLM_R_X11Y49 TILE_Y -81848 TILEPROP CLBLM_R_X11Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y50 CLASS tile TILEPROP CLBLM_R_X11Y50 COLUMN 33 TILEPROP CLBLM_R_X11Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y50 FIRST_SITE_ID 10349 TILEPROP CLBLM_R_X11Y50 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X11Y50 INDEX 11878 TILEPROP CLBLM_R_X11Y50 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X11Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y50 NAME CLBLM_R_X11Y50 TILEPROP CLBLM_R_X11Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y50 NUM_SITES 2 TILEPROP CLBLM_R_X11Y50 ROW 103 TILEPROP CLBLM_R_X11Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X11Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y50 TILE_X -42060 TILEPROP CLBLM_R_X11Y50 TILE_Y -78400 TILEPROP CLBLM_R_X11Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y51 CLASS tile TILEPROP CLBLM_R_X11Y51 COLUMN 33 TILEPROP CLBLM_R_X11Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y51 FIRST_SITE_ID 10239 TILEPROP CLBLM_R_X11Y51 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X11Y51 INDEX 11763 TILEPROP CLBLM_R_X11Y51 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X11Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y51 NAME CLBLM_R_X11Y51 TILEPROP CLBLM_R_X11Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y51 NUM_SITES 2 TILEPROP CLBLM_R_X11Y51 ROW 102 TILEPROP CLBLM_R_X11Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y51 TILE_X -42060 TILEPROP CLBLM_R_X11Y51 TILE_Y -75200 TILEPROP CLBLM_R_X11Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y52 CLASS tile TILEPROP CLBLM_R_X11Y52 COLUMN 33 TILEPROP CLBLM_R_X11Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y52 FIRST_SITE_ID 10139 TILEPROP CLBLM_R_X11Y52 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X11Y52 INDEX 11648 TILEPROP CLBLM_R_X11Y52 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X11Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y52 NAME CLBLM_R_X11Y52 TILEPROP CLBLM_R_X11Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y52 NUM_SITES 2 TILEPROP CLBLM_R_X11Y52 ROW 101 TILEPROP CLBLM_R_X11Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y52 TILE_X -42060 TILEPROP CLBLM_R_X11Y52 TILE_Y -72000 TILEPROP CLBLM_R_X11Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y53 CLASS tile TILEPROP CLBLM_R_X11Y53 COLUMN 33 TILEPROP CLBLM_R_X11Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y53 FIRST_SITE_ID 10039 TILEPROP CLBLM_R_X11Y53 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X11Y53 INDEX 11533 TILEPROP CLBLM_R_X11Y53 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X11Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y53 NAME CLBLM_R_X11Y53 TILEPROP CLBLM_R_X11Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y53 NUM_SITES 2 TILEPROP CLBLM_R_X11Y53 ROW 100 TILEPROP CLBLM_R_X11Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y53 TILE_X -42060 TILEPROP CLBLM_R_X11Y53 TILE_Y -68800 TILEPROP CLBLM_R_X11Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y54 CLASS tile TILEPROP CLBLM_R_X11Y54 COLUMN 33 TILEPROP CLBLM_R_X11Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y54 FIRST_SITE_ID 9939 TILEPROP CLBLM_R_X11Y54 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X11Y54 INDEX 11418 TILEPROP CLBLM_R_X11Y54 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X11Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y54 NAME CLBLM_R_X11Y54 TILEPROP CLBLM_R_X11Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y54 NUM_SITES 2 TILEPROP CLBLM_R_X11Y54 ROW 99 TILEPROP CLBLM_R_X11Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y54 TILE_X -42060 TILEPROP CLBLM_R_X11Y54 TILE_Y -65600 TILEPROP CLBLM_R_X11Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y55 CLASS tile TILEPROP CLBLM_R_X11Y55 COLUMN 33 TILEPROP CLBLM_R_X11Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y55 FIRST_SITE_ID 9830 TILEPROP CLBLM_R_X11Y55 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X11Y55 INDEX 11303 TILEPROP CLBLM_R_X11Y55 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X11Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y55 NAME CLBLM_R_X11Y55 TILEPROP CLBLM_R_X11Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y55 NUM_SITES 2 TILEPROP CLBLM_R_X11Y55 ROW 98 TILEPROP CLBLM_R_X11Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y55 TILE_X -42060 TILEPROP CLBLM_R_X11Y55 TILE_Y -62400 TILEPROP CLBLM_R_X11Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y56 CLASS tile TILEPROP CLBLM_R_X11Y56 COLUMN 33 TILEPROP CLBLM_R_X11Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y56 FIRST_SITE_ID 9724 TILEPROP CLBLM_R_X11Y56 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X11Y56 INDEX 11188 TILEPROP CLBLM_R_X11Y56 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X11Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y56 NAME CLBLM_R_X11Y56 TILEPROP CLBLM_R_X11Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y56 NUM_SITES 2 TILEPROP CLBLM_R_X11Y56 ROW 97 TILEPROP CLBLM_R_X11Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y56 TILE_X -42060 TILEPROP CLBLM_R_X11Y56 TILE_Y -59200 TILEPROP CLBLM_R_X11Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y57 CLASS tile TILEPROP CLBLM_R_X11Y57 COLUMN 33 TILEPROP CLBLM_R_X11Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y57 FIRST_SITE_ID 9622 TILEPROP CLBLM_R_X11Y57 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X11Y57 INDEX 11073 TILEPROP CLBLM_R_X11Y57 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X11Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y57 NAME CLBLM_R_X11Y57 TILEPROP CLBLM_R_X11Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y57 NUM_SITES 2 TILEPROP CLBLM_R_X11Y57 ROW 96 TILEPROP CLBLM_R_X11Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y57 TILE_X -42060 TILEPROP CLBLM_R_X11Y57 TILE_Y -56000 TILEPROP CLBLM_R_X11Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y58 CLASS tile TILEPROP CLBLM_R_X11Y58 COLUMN 33 TILEPROP CLBLM_R_X11Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y58 FIRST_SITE_ID 9519 TILEPROP CLBLM_R_X11Y58 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X11Y58 INDEX 10958 TILEPROP CLBLM_R_X11Y58 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X11Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y58 NAME CLBLM_R_X11Y58 TILEPROP CLBLM_R_X11Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y58 NUM_SITES 2 TILEPROP CLBLM_R_X11Y58 ROW 95 TILEPROP CLBLM_R_X11Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y58 TILE_X -42060 TILEPROP CLBLM_R_X11Y58 TILE_Y -52800 TILEPROP CLBLM_R_X11Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y59 CLASS tile TILEPROP CLBLM_R_X11Y59 COLUMN 33 TILEPROP CLBLM_R_X11Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y59 FIRST_SITE_ID 9418 TILEPROP CLBLM_R_X11Y59 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X11Y59 INDEX 10843 TILEPROP CLBLM_R_X11Y59 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X11Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y59 NAME CLBLM_R_X11Y59 TILEPROP CLBLM_R_X11Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y59 NUM_SITES 2 TILEPROP CLBLM_R_X11Y59 ROW 94 TILEPROP CLBLM_R_X11Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y59 TILE_X -42060 TILEPROP CLBLM_R_X11Y59 TILE_Y -49600 TILEPROP CLBLM_R_X11Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y60 CLASS tile TILEPROP CLBLM_R_X11Y60 COLUMN 33 TILEPROP CLBLM_R_X11Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y60 FIRST_SITE_ID 9309 TILEPROP CLBLM_R_X11Y60 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X11Y60 INDEX 10728 TILEPROP CLBLM_R_X11Y60 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X11Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y60 NAME CLBLM_R_X11Y60 TILEPROP CLBLM_R_X11Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y60 NUM_SITES 2 TILEPROP CLBLM_R_X11Y60 ROW 93 TILEPROP CLBLM_R_X11Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y60 TILE_X -42060 TILEPROP CLBLM_R_X11Y60 TILE_Y -46400 TILEPROP CLBLM_R_X11Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y61 CLASS tile TILEPROP CLBLM_R_X11Y61 COLUMN 33 TILEPROP CLBLM_R_X11Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y61 FIRST_SITE_ID 9203 TILEPROP CLBLM_R_X11Y61 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X11Y61 INDEX 10613 TILEPROP CLBLM_R_X11Y61 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X11Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y61 NAME CLBLM_R_X11Y61 TILEPROP CLBLM_R_X11Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y61 NUM_SITES 2 TILEPROP CLBLM_R_X11Y61 ROW 92 TILEPROP CLBLM_R_X11Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y61 TILE_X -42060 TILEPROP CLBLM_R_X11Y61 TILE_Y -43200 TILEPROP CLBLM_R_X11Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y62 CLASS tile TILEPROP CLBLM_R_X11Y62 COLUMN 33 TILEPROP CLBLM_R_X11Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y62 FIRST_SITE_ID 9071 TILEPROP CLBLM_R_X11Y62 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X11Y62 INDEX 10498 TILEPROP CLBLM_R_X11Y62 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X11Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y62 NAME CLBLM_R_X11Y62 TILEPROP CLBLM_R_X11Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y62 NUM_SITES 2 TILEPROP CLBLM_R_X11Y62 ROW 91 TILEPROP CLBLM_R_X11Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y62 TILE_X -42060 TILEPROP CLBLM_R_X11Y62 TILE_Y -40000 TILEPROP CLBLM_R_X11Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y63 CLASS tile TILEPROP CLBLM_R_X11Y63 COLUMN 33 TILEPROP CLBLM_R_X11Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y63 FIRST_SITE_ID 8971 TILEPROP CLBLM_R_X11Y63 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X11Y63 INDEX 10383 TILEPROP CLBLM_R_X11Y63 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X11Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y63 NAME CLBLM_R_X11Y63 TILEPROP CLBLM_R_X11Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y63 NUM_SITES 2 TILEPROP CLBLM_R_X11Y63 ROW 90 TILEPROP CLBLM_R_X11Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y63 TILE_X -42060 TILEPROP CLBLM_R_X11Y63 TILE_Y -36800 TILEPROP CLBLM_R_X11Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y64 CLASS tile TILEPROP CLBLM_R_X11Y64 COLUMN 33 TILEPROP CLBLM_R_X11Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y64 FIRST_SITE_ID 8871 TILEPROP CLBLM_R_X11Y64 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X11Y64 INDEX 10268 TILEPROP CLBLM_R_X11Y64 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X11Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y64 NAME CLBLM_R_X11Y64 TILEPROP CLBLM_R_X11Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y64 NUM_SITES 2 TILEPROP CLBLM_R_X11Y64 ROW 89 TILEPROP CLBLM_R_X11Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y64 TILE_X -42060 TILEPROP CLBLM_R_X11Y64 TILE_Y -33600 TILEPROP CLBLM_R_X11Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y65 CLASS tile TILEPROP CLBLM_R_X11Y65 COLUMN 33 TILEPROP CLBLM_R_X11Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y65 FIRST_SITE_ID 8762 TILEPROP CLBLM_R_X11Y65 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X11Y65 INDEX 10153 TILEPROP CLBLM_R_X11Y65 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X11Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y65 NAME CLBLM_R_X11Y65 TILEPROP CLBLM_R_X11Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y65 NUM_SITES 2 TILEPROP CLBLM_R_X11Y65 ROW 88 TILEPROP CLBLM_R_X11Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y65 TILE_X -42060 TILEPROP CLBLM_R_X11Y65 TILE_Y -30400 TILEPROP CLBLM_R_X11Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y66 CLASS tile TILEPROP CLBLM_R_X11Y66 COLUMN 33 TILEPROP CLBLM_R_X11Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y66 FIRST_SITE_ID 8656 TILEPROP CLBLM_R_X11Y66 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X11Y66 INDEX 10038 TILEPROP CLBLM_R_X11Y66 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X11Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y66 NAME CLBLM_R_X11Y66 TILEPROP CLBLM_R_X11Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y66 NUM_SITES 2 TILEPROP CLBLM_R_X11Y66 ROW 87 TILEPROP CLBLM_R_X11Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y66 TILE_X -42060 TILEPROP CLBLM_R_X11Y66 TILE_Y -27200 TILEPROP CLBLM_R_X11Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y67 CLASS tile TILEPROP CLBLM_R_X11Y67 COLUMN 33 TILEPROP CLBLM_R_X11Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y67 FIRST_SITE_ID 8552 TILEPROP CLBLM_R_X11Y67 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X11Y67 INDEX 9923 TILEPROP CLBLM_R_X11Y67 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X11Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y67 NAME CLBLM_R_X11Y67 TILEPROP CLBLM_R_X11Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y67 NUM_SITES 2 TILEPROP CLBLM_R_X11Y67 ROW 86 TILEPROP CLBLM_R_X11Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y67 TILE_X -42060 TILEPROP CLBLM_R_X11Y67 TILE_Y -24000 TILEPROP CLBLM_R_X11Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y68 CLASS tile TILEPROP CLBLM_R_X11Y68 COLUMN 33 TILEPROP CLBLM_R_X11Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y68 FIRST_SITE_ID 8448 TILEPROP CLBLM_R_X11Y68 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X11Y68 INDEX 9808 TILEPROP CLBLM_R_X11Y68 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X11Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y68 NAME CLBLM_R_X11Y68 TILEPROP CLBLM_R_X11Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y68 NUM_SITES 2 TILEPROP CLBLM_R_X11Y68 ROW 85 TILEPROP CLBLM_R_X11Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y68 TILE_X -42060 TILEPROP CLBLM_R_X11Y68 TILE_Y -20800 TILEPROP CLBLM_R_X11Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y69 CLASS tile TILEPROP CLBLM_R_X11Y69 COLUMN 33 TILEPROP CLBLM_R_X11Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y69 FIRST_SITE_ID 8346 TILEPROP CLBLM_R_X11Y69 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X11Y69 INDEX 9693 TILEPROP CLBLM_R_X11Y69 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X11Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y69 NAME CLBLM_R_X11Y69 TILEPROP CLBLM_R_X11Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y69 NUM_SITES 2 TILEPROP CLBLM_R_X11Y69 ROW 84 TILEPROP CLBLM_R_X11Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y69 TILE_X -42060 TILEPROP CLBLM_R_X11Y69 TILE_Y -17600 TILEPROP CLBLM_R_X11Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y70 CLASS tile TILEPROP CLBLM_R_X11Y70 COLUMN 33 TILEPROP CLBLM_R_X11Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y70 FIRST_SITE_ID 8235 TILEPROP CLBLM_R_X11Y70 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X11Y70 INDEX 9578 TILEPROP CLBLM_R_X11Y70 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X11Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y70 NAME CLBLM_R_X11Y70 TILEPROP CLBLM_R_X11Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y70 NUM_SITES 2 TILEPROP CLBLM_R_X11Y70 ROW 83 TILEPROP CLBLM_R_X11Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y70 TILE_X -42060 TILEPROP CLBLM_R_X11Y70 TILE_Y -14400 TILEPROP CLBLM_R_X11Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y71 CLASS tile TILEPROP CLBLM_R_X11Y71 COLUMN 33 TILEPROP CLBLM_R_X11Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y71 FIRST_SITE_ID 8129 TILEPROP CLBLM_R_X11Y71 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X11Y71 INDEX 9463 TILEPROP CLBLM_R_X11Y71 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X11Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y71 NAME CLBLM_R_X11Y71 TILEPROP CLBLM_R_X11Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y71 NUM_SITES 2 TILEPROP CLBLM_R_X11Y71 ROW 82 TILEPROP CLBLM_R_X11Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y71 TILE_X -42060 TILEPROP CLBLM_R_X11Y71 TILE_Y -11200 TILEPROP CLBLM_R_X11Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y72 CLASS tile TILEPROP CLBLM_R_X11Y72 COLUMN 33 TILEPROP CLBLM_R_X11Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y72 FIRST_SITE_ID 8029 TILEPROP CLBLM_R_X11Y72 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X11Y72 INDEX 9348 TILEPROP CLBLM_R_X11Y72 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X11Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y72 NAME CLBLM_R_X11Y72 TILEPROP CLBLM_R_X11Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y72 NUM_SITES 2 TILEPROP CLBLM_R_X11Y72 ROW 81 TILEPROP CLBLM_R_X11Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y72 TILE_X -42060 TILEPROP CLBLM_R_X11Y72 TILE_Y -8000 TILEPROP CLBLM_R_X11Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y73 CLASS tile TILEPROP CLBLM_R_X11Y73 COLUMN 33 TILEPROP CLBLM_R_X11Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y73 FIRST_SITE_ID 7929 TILEPROP CLBLM_R_X11Y73 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X11Y73 INDEX 9233 TILEPROP CLBLM_R_X11Y73 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X11Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y73 NAME CLBLM_R_X11Y73 TILEPROP CLBLM_R_X11Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y73 NUM_SITES 2 TILEPROP CLBLM_R_X11Y73 ROW 80 TILEPROP CLBLM_R_X11Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y73 TILE_X -42060 TILEPROP CLBLM_R_X11Y73 TILE_Y -4800 TILEPROP CLBLM_R_X11Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y74 CLASS tile TILEPROP CLBLM_R_X11Y74 COLUMN 33 TILEPROP CLBLM_R_X11Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y74 FIRST_SITE_ID 7829 TILEPROP CLBLM_R_X11Y74 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X11Y74 INDEX 9118 TILEPROP CLBLM_R_X11Y74 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X11Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y74 NAME CLBLM_R_X11Y74 TILEPROP CLBLM_R_X11Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y74 NUM_SITES 2 TILEPROP CLBLM_R_X11Y74 ROW 79 TILEPROP CLBLM_R_X11Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X11Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y74 TILE_X -42060 TILEPROP CLBLM_R_X11Y74 TILE_Y -1600 TILEPROP CLBLM_R_X11Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y75 CLASS tile TILEPROP CLBLM_R_X11Y75 COLUMN 33 TILEPROP CLBLM_R_X11Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y75 FIRST_SITE_ID 7634 TILEPROP CLBLM_R_X11Y75 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X11Y75 INDEX 8888 TILEPROP CLBLM_R_X11Y75 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X11Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y75 NAME CLBLM_R_X11Y75 TILEPROP CLBLM_R_X11Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y75 NUM_SITES 2 TILEPROP CLBLM_R_X11Y75 ROW 77 TILEPROP CLBLM_R_X11Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X11Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y75 TILE_X -42060 TILEPROP CLBLM_R_X11Y75 TILE_Y 2624 TILEPROP CLBLM_R_X11Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y76 CLASS tile TILEPROP CLBLM_R_X11Y76 COLUMN 33 TILEPROP CLBLM_R_X11Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y76 FIRST_SITE_ID 7528 TILEPROP CLBLM_R_X11Y76 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X11Y76 INDEX 8773 TILEPROP CLBLM_R_X11Y76 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X11Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y76 NAME CLBLM_R_X11Y76 TILEPROP CLBLM_R_X11Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y76 NUM_SITES 2 TILEPROP CLBLM_R_X11Y76 ROW 76 TILEPROP CLBLM_R_X11Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y76 TILE_X -42060 TILEPROP CLBLM_R_X11Y76 TILE_Y 5824 TILEPROP CLBLM_R_X11Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y77 CLASS tile TILEPROP CLBLM_R_X11Y77 COLUMN 33 TILEPROP CLBLM_R_X11Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y77 FIRST_SITE_ID 7428 TILEPROP CLBLM_R_X11Y77 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X11Y77 INDEX 8658 TILEPROP CLBLM_R_X11Y77 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X11Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y77 NAME CLBLM_R_X11Y77 TILEPROP CLBLM_R_X11Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y77 NUM_SITES 2 TILEPROP CLBLM_R_X11Y77 ROW 75 TILEPROP CLBLM_R_X11Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y77 TILE_X -42060 TILEPROP CLBLM_R_X11Y77 TILE_Y 9024 TILEPROP CLBLM_R_X11Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y78 CLASS tile TILEPROP CLBLM_R_X11Y78 COLUMN 33 TILEPROP CLBLM_R_X11Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y78 FIRST_SITE_ID 7328 TILEPROP CLBLM_R_X11Y78 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X11Y78 INDEX 8543 TILEPROP CLBLM_R_X11Y78 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X11Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y78 NAME CLBLM_R_X11Y78 TILEPROP CLBLM_R_X11Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y78 NUM_SITES 2 TILEPROP CLBLM_R_X11Y78 ROW 74 TILEPROP CLBLM_R_X11Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y78 TILE_X -42060 TILEPROP CLBLM_R_X11Y78 TILE_Y 12224 TILEPROP CLBLM_R_X11Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y79 CLASS tile TILEPROP CLBLM_R_X11Y79 COLUMN 33 TILEPROP CLBLM_R_X11Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y79 FIRST_SITE_ID 7222 TILEPROP CLBLM_R_X11Y79 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X11Y79 INDEX 8428 TILEPROP CLBLM_R_X11Y79 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X11Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y79 NAME CLBLM_R_X11Y79 TILEPROP CLBLM_R_X11Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y79 NUM_SITES 2 TILEPROP CLBLM_R_X11Y79 ROW 73 TILEPROP CLBLM_R_X11Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y79 TILE_X -42060 TILEPROP CLBLM_R_X11Y79 TILE_Y 15424 TILEPROP CLBLM_R_X11Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y80 CLASS tile TILEPROP CLBLM_R_X11Y80 COLUMN 33 TILEPROP CLBLM_R_X11Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y80 FIRST_SITE_ID 7107 TILEPROP CLBLM_R_X11Y80 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X11Y80 INDEX 8313 TILEPROP CLBLM_R_X11Y80 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X11Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y80 NAME CLBLM_R_X11Y80 TILEPROP CLBLM_R_X11Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y80 NUM_SITES 2 TILEPROP CLBLM_R_X11Y80 ROW 72 TILEPROP CLBLM_R_X11Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y80 TILE_X -42060 TILEPROP CLBLM_R_X11Y80 TILE_Y 18624 TILEPROP CLBLM_R_X11Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y81 CLASS tile TILEPROP CLBLM_R_X11Y81 COLUMN 33 TILEPROP CLBLM_R_X11Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y81 FIRST_SITE_ID 6999 TILEPROP CLBLM_R_X11Y81 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X11Y81 INDEX 8198 TILEPROP CLBLM_R_X11Y81 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X11Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y81 NAME CLBLM_R_X11Y81 TILEPROP CLBLM_R_X11Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y81 NUM_SITES 2 TILEPROP CLBLM_R_X11Y81 ROW 71 TILEPROP CLBLM_R_X11Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y81 TILE_X -42060 TILEPROP CLBLM_R_X11Y81 TILE_Y 21824 TILEPROP CLBLM_R_X11Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y82 CLASS tile TILEPROP CLBLM_R_X11Y82 COLUMN 33 TILEPROP CLBLM_R_X11Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y82 FIRST_SITE_ID 6897 TILEPROP CLBLM_R_X11Y82 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X11Y82 INDEX 8083 TILEPROP CLBLM_R_X11Y82 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X11Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y82 NAME CLBLM_R_X11Y82 TILEPROP CLBLM_R_X11Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y82 NUM_SITES 2 TILEPROP CLBLM_R_X11Y82 ROW 70 TILEPROP CLBLM_R_X11Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y82 TILE_X -42060 TILEPROP CLBLM_R_X11Y82 TILE_Y 25024 TILEPROP CLBLM_R_X11Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y83 CLASS tile TILEPROP CLBLM_R_X11Y83 COLUMN 33 TILEPROP CLBLM_R_X11Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y83 FIRST_SITE_ID 6797 TILEPROP CLBLM_R_X11Y83 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X11Y83 INDEX 7968 TILEPROP CLBLM_R_X11Y83 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X11Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y83 NAME CLBLM_R_X11Y83 TILEPROP CLBLM_R_X11Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y83 NUM_SITES 2 TILEPROP CLBLM_R_X11Y83 ROW 69 TILEPROP CLBLM_R_X11Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y83 TILE_X -42060 TILEPROP CLBLM_R_X11Y83 TILE_Y 28224 TILEPROP CLBLM_R_X11Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y84 CLASS tile TILEPROP CLBLM_R_X11Y84 COLUMN 33 TILEPROP CLBLM_R_X11Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y84 FIRST_SITE_ID 6697 TILEPROP CLBLM_R_X11Y84 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X11Y84 INDEX 7853 TILEPROP CLBLM_R_X11Y84 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X11Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y84 NAME CLBLM_R_X11Y84 TILEPROP CLBLM_R_X11Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y84 NUM_SITES 2 TILEPROP CLBLM_R_X11Y84 ROW 68 TILEPROP CLBLM_R_X11Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y84 TILE_X -42060 TILEPROP CLBLM_R_X11Y84 TILE_Y 31424 TILEPROP CLBLM_R_X11Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y85 CLASS tile TILEPROP CLBLM_R_X11Y85 COLUMN 33 TILEPROP CLBLM_R_X11Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y85 FIRST_SITE_ID 6588 TILEPROP CLBLM_R_X11Y85 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X11Y85 INDEX 7738 TILEPROP CLBLM_R_X11Y85 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X11Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y85 NAME CLBLM_R_X11Y85 TILEPROP CLBLM_R_X11Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y85 NUM_SITES 2 TILEPROP CLBLM_R_X11Y85 ROW 67 TILEPROP CLBLM_R_X11Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y85 TILE_X -42060 TILEPROP CLBLM_R_X11Y85 TILE_Y 34624 TILEPROP CLBLM_R_X11Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y86 CLASS tile TILEPROP CLBLM_R_X11Y86 COLUMN 33 TILEPROP CLBLM_R_X11Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y86 FIRST_SITE_ID 6450 TILEPROP CLBLM_R_X11Y86 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X11Y86 INDEX 7623 TILEPROP CLBLM_R_X11Y86 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X11Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y86 NAME CLBLM_R_X11Y86 TILEPROP CLBLM_R_X11Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y86 NUM_SITES 2 TILEPROP CLBLM_R_X11Y86 ROW 66 TILEPROP CLBLM_R_X11Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y86 TILE_X -42060 TILEPROP CLBLM_R_X11Y86 TILE_Y 37824 TILEPROP CLBLM_R_X11Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y87 CLASS tile TILEPROP CLBLM_R_X11Y87 COLUMN 33 TILEPROP CLBLM_R_X11Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y87 FIRST_SITE_ID 6350 TILEPROP CLBLM_R_X11Y87 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X11Y87 INDEX 7508 TILEPROP CLBLM_R_X11Y87 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X11Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y87 NAME CLBLM_R_X11Y87 TILEPROP CLBLM_R_X11Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y87 NUM_SITES 2 TILEPROP CLBLM_R_X11Y87 ROW 65 TILEPROP CLBLM_R_X11Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y87 TILE_X -42060 TILEPROP CLBLM_R_X11Y87 TILE_Y 41024 TILEPROP CLBLM_R_X11Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y88 CLASS tile TILEPROP CLBLM_R_X11Y88 COLUMN 33 TILEPROP CLBLM_R_X11Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y88 FIRST_SITE_ID 6250 TILEPROP CLBLM_R_X11Y88 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X11Y88 INDEX 7393 TILEPROP CLBLM_R_X11Y88 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X11Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y88 NAME CLBLM_R_X11Y88 TILEPROP CLBLM_R_X11Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y88 NUM_SITES 2 TILEPROP CLBLM_R_X11Y88 ROW 64 TILEPROP CLBLM_R_X11Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y88 TILE_X -42060 TILEPROP CLBLM_R_X11Y88 TILE_Y 44224 TILEPROP CLBLM_R_X11Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y89 CLASS tile TILEPROP CLBLM_R_X11Y89 COLUMN 33 TILEPROP CLBLM_R_X11Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y89 FIRST_SITE_ID 6150 TILEPROP CLBLM_R_X11Y89 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X11Y89 INDEX 7278 TILEPROP CLBLM_R_X11Y89 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X11Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y89 NAME CLBLM_R_X11Y89 TILEPROP CLBLM_R_X11Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y89 NUM_SITES 2 TILEPROP CLBLM_R_X11Y89 ROW 63 TILEPROP CLBLM_R_X11Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y89 TILE_X -42060 TILEPROP CLBLM_R_X11Y89 TILE_Y 47424 TILEPROP CLBLM_R_X11Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y90 CLASS tile TILEPROP CLBLM_R_X11Y90 COLUMN 33 TILEPROP CLBLM_R_X11Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y90 FIRST_SITE_ID 6041 TILEPROP CLBLM_R_X11Y90 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X11Y90 INDEX 7163 TILEPROP CLBLM_R_X11Y90 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X11Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y90 NAME CLBLM_R_X11Y90 TILEPROP CLBLM_R_X11Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y90 NUM_SITES 2 TILEPROP CLBLM_R_X11Y90 ROW 62 TILEPROP CLBLM_R_X11Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y90 TILE_X -42060 TILEPROP CLBLM_R_X11Y90 TILE_Y 50624 TILEPROP CLBLM_R_X11Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y91 CLASS tile TILEPROP CLBLM_R_X11Y91 COLUMN 33 TILEPROP CLBLM_R_X11Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y91 FIRST_SITE_ID 5935 TILEPROP CLBLM_R_X11Y91 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X11Y91 INDEX 7048 TILEPROP CLBLM_R_X11Y91 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X11Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y91 NAME CLBLM_R_X11Y91 TILEPROP CLBLM_R_X11Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y91 NUM_SITES 2 TILEPROP CLBLM_R_X11Y91 ROW 61 TILEPROP CLBLM_R_X11Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y91 TILE_X -42060 TILEPROP CLBLM_R_X11Y91 TILE_Y 53824 TILEPROP CLBLM_R_X11Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y92 CLASS tile TILEPROP CLBLM_R_X11Y92 COLUMN 33 TILEPROP CLBLM_R_X11Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y92 FIRST_SITE_ID 5834 TILEPROP CLBLM_R_X11Y92 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X11Y92 INDEX 6933 TILEPROP CLBLM_R_X11Y92 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X11Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y92 NAME CLBLM_R_X11Y92 TILEPROP CLBLM_R_X11Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y92 NUM_SITES 2 TILEPROP CLBLM_R_X11Y92 ROW 60 TILEPROP CLBLM_R_X11Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y92 TILE_X -42060 TILEPROP CLBLM_R_X11Y92 TILE_Y 57024 TILEPROP CLBLM_R_X11Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y93 CLASS tile TILEPROP CLBLM_R_X11Y93 COLUMN 33 TILEPROP CLBLM_R_X11Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y93 FIRST_SITE_ID 5731 TILEPROP CLBLM_R_X11Y93 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X11Y93 INDEX 6818 TILEPROP CLBLM_R_X11Y93 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X11Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y93 NAME CLBLM_R_X11Y93 TILEPROP CLBLM_R_X11Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y93 NUM_SITES 2 TILEPROP CLBLM_R_X11Y93 ROW 59 TILEPROP CLBLM_R_X11Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y93 TILE_X -42060 TILEPROP CLBLM_R_X11Y93 TILE_Y 60224 TILEPROP CLBLM_R_X11Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y94 CLASS tile TILEPROP CLBLM_R_X11Y94 COLUMN 33 TILEPROP CLBLM_R_X11Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y94 FIRST_SITE_ID 5629 TILEPROP CLBLM_R_X11Y94 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X11Y94 INDEX 6703 TILEPROP CLBLM_R_X11Y94 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X11Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y94 NAME CLBLM_R_X11Y94 TILEPROP CLBLM_R_X11Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y94 NUM_SITES 2 TILEPROP CLBLM_R_X11Y94 ROW 58 TILEPROP CLBLM_R_X11Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y94 TILE_X -42060 TILEPROP CLBLM_R_X11Y94 TILE_Y 63424 TILEPROP CLBLM_R_X11Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y95 CLASS tile TILEPROP CLBLM_R_X11Y95 COLUMN 33 TILEPROP CLBLM_R_X11Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y95 FIRST_SITE_ID 5520 TILEPROP CLBLM_R_X11Y95 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X11Y95 INDEX 6588 TILEPROP CLBLM_R_X11Y95 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X11Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y95 NAME CLBLM_R_X11Y95 TILEPROP CLBLM_R_X11Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y95 NUM_SITES 2 TILEPROP CLBLM_R_X11Y95 ROW 57 TILEPROP CLBLM_R_X11Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y95 TILE_X -42060 TILEPROP CLBLM_R_X11Y95 TILE_Y 66624 TILEPROP CLBLM_R_X11Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y96 CLASS tile TILEPROP CLBLM_R_X11Y96 COLUMN 33 TILEPROP CLBLM_R_X11Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y96 FIRST_SITE_ID 5414 TILEPROP CLBLM_R_X11Y96 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X11Y96 INDEX 6473 TILEPROP CLBLM_R_X11Y96 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X11Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y96 NAME CLBLM_R_X11Y96 TILEPROP CLBLM_R_X11Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y96 NUM_SITES 2 TILEPROP CLBLM_R_X11Y96 ROW 56 TILEPROP CLBLM_R_X11Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y96 TILE_X -42060 TILEPROP CLBLM_R_X11Y96 TILE_Y 69824 TILEPROP CLBLM_R_X11Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y97 CLASS tile TILEPROP CLBLM_R_X11Y97 COLUMN 33 TILEPROP CLBLM_R_X11Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y97 FIRST_SITE_ID 5314 TILEPROP CLBLM_R_X11Y97 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X11Y97 INDEX 6358 TILEPROP CLBLM_R_X11Y97 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X11Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y97 NAME CLBLM_R_X11Y97 TILEPROP CLBLM_R_X11Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y97 NUM_SITES 2 TILEPROP CLBLM_R_X11Y97 ROW 55 TILEPROP CLBLM_R_X11Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y97 TILE_X -42060 TILEPROP CLBLM_R_X11Y97 TILE_Y 73024 TILEPROP CLBLM_R_X11Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y98 CLASS tile TILEPROP CLBLM_R_X11Y98 COLUMN 33 TILEPROP CLBLM_R_X11Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y98 FIRST_SITE_ID 5214 TILEPROP CLBLM_R_X11Y98 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X11Y98 INDEX 6243 TILEPROP CLBLM_R_X11Y98 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X11Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y98 NAME CLBLM_R_X11Y98 TILEPROP CLBLM_R_X11Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y98 NUM_SITES 2 TILEPROP CLBLM_R_X11Y98 ROW 54 TILEPROP CLBLM_R_X11Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y98 TILE_X -42060 TILEPROP CLBLM_R_X11Y98 TILE_Y 76224 TILEPROP CLBLM_R_X11Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y99 CLASS tile TILEPROP CLBLM_R_X11Y99 COLUMN 33 TILEPROP CLBLM_R_X11Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y99 FIRST_SITE_ID 5118 TILEPROP CLBLM_R_X11Y99 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X11Y99 INDEX 6128 TILEPROP CLBLM_R_X11Y99 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X11Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y99 NAME CLBLM_R_X11Y99 TILEPROP CLBLM_R_X11Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y99 NUM_SITES 2 TILEPROP CLBLM_R_X11Y99 ROW 53 TILEPROP CLBLM_R_X11Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y99 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X11Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y99 TILE_X -42060 TILEPROP CLBLM_R_X11Y99 TILE_Y 79424 TILEPROP CLBLM_R_X11Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y100 CLASS tile TILEPROP CLBLM_R_X11Y100 COLUMN 33 TILEPROP CLBLM_R_X11Y100 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y100 FIRST_SITE_ID 5023 TILEPROP CLBLM_R_X11Y100 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y100 GRID_POINT_Y 51 TILEPROP CLBLM_R_X11Y100 INDEX 5898 TILEPROP CLBLM_R_X11Y100 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y100 INT_TILE_Y 49 TILEPROP CLBLM_R_X11Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y100 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y100 NAME CLBLM_R_X11Y100 TILEPROP CLBLM_R_X11Y100 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y100 NUM_SITES 2 TILEPROP CLBLM_R_X11Y100 ROW 51 TILEPROP CLBLM_R_X11Y100 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y100 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X11Y100 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y100 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y100 TILE_X -42060 TILEPROP CLBLM_R_X11Y100 TILE_Y 82872 TILEPROP CLBLM_R_X11Y100 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y101 CLASS tile TILEPROP CLBLM_R_X11Y101 COLUMN 33 TILEPROP CLBLM_R_X11Y101 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y101 FIRST_SITE_ID 4925 TILEPROP CLBLM_R_X11Y101 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y101 GRID_POINT_Y 50 TILEPROP CLBLM_R_X11Y101 INDEX 5783 TILEPROP CLBLM_R_X11Y101 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y101 INT_TILE_Y 48 TILEPROP CLBLM_R_X11Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y101 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y101 NAME CLBLM_R_X11Y101 TILEPROP CLBLM_R_X11Y101 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y101 NUM_SITES 2 TILEPROP CLBLM_R_X11Y101 ROW 50 TILEPROP CLBLM_R_X11Y101 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y101 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y101 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y101 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y101 TILE_X -42060 TILEPROP CLBLM_R_X11Y101 TILE_Y 86072 TILEPROP CLBLM_R_X11Y101 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y102 CLASS tile TILEPROP CLBLM_R_X11Y102 COLUMN 33 TILEPROP CLBLM_R_X11Y102 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y102 FIRST_SITE_ID 4829 TILEPROP CLBLM_R_X11Y102 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y102 GRID_POINT_Y 49 TILEPROP CLBLM_R_X11Y102 INDEX 5668 TILEPROP CLBLM_R_X11Y102 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y102 INT_TILE_Y 47 TILEPROP CLBLM_R_X11Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y102 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y102 NAME CLBLM_R_X11Y102 TILEPROP CLBLM_R_X11Y102 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y102 NUM_SITES 2 TILEPROP CLBLM_R_X11Y102 ROW 49 TILEPROP CLBLM_R_X11Y102 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y102 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y102 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y102 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y102 TILE_X -42060 TILEPROP CLBLM_R_X11Y102 TILE_Y 89272 TILEPROP CLBLM_R_X11Y102 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y103 CLASS tile TILEPROP CLBLM_R_X11Y103 COLUMN 33 TILEPROP CLBLM_R_X11Y103 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y103 FIRST_SITE_ID 4741 TILEPROP CLBLM_R_X11Y103 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y103 GRID_POINT_Y 48 TILEPROP CLBLM_R_X11Y103 INDEX 5553 TILEPROP CLBLM_R_X11Y103 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y103 INT_TILE_Y 46 TILEPROP CLBLM_R_X11Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y103 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y103 NAME CLBLM_R_X11Y103 TILEPROP CLBLM_R_X11Y103 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y103 NUM_SITES 2 TILEPROP CLBLM_R_X11Y103 ROW 48 TILEPROP CLBLM_R_X11Y103 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y103 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y103 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y103 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y103 TILE_X -42060 TILEPROP CLBLM_R_X11Y103 TILE_Y 92472 TILEPROP CLBLM_R_X11Y103 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y104 CLASS tile TILEPROP CLBLM_R_X11Y104 COLUMN 33 TILEPROP CLBLM_R_X11Y104 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y104 FIRST_SITE_ID 4645 TILEPROP CLBLM_R_X11Y104 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y104 GRID_POINT_Y 47 TILEPROP CLBLM_R_X11Y104 INDEX 5438 TILEPROP CLBLM_R_X11Y104 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y104 INT_TILE_Y 45 TILEPROP CLBLM_R_X11Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y104 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y104 NAME CLBLM_R_X11Y104 TILEPROP CLBLM_R_X11Y104 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y104 NUM_SITES 2 TILEPROP CLBLM_R_X11Y104 ROW 47 TILEPROP CLBLM_R_X11Y104 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y104 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y104 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y104 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y104 TILE_X -42060 TILEPROP CLBLM_R_X11Y104 TILE_Y 95672 TILEPROP CLBLM_R_X11Y104 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y105 CLASS tile TILEPROP CLBLM_R_X11Y105 COLUMN 33 TILEPROP CLBLM_R_X11Y105 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y105 FIRST_SITE_ID 4549 TILEPROP CLBLM_R_X11Y105 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y105 GRID_POINT_Y 46 TILEPROP CLBLM_R_X11Y105 INDEX 5323 TILEPROP CLBLM_R_X11Y105 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y105 INT_TILE_Y 44 TILEPROP CLBLM_R_X11Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y105 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y105 NAME CLBLM_R_X11Y105 TILEPROP CLBLM_R_X11Y105 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y105 NUM_SITES 2 TILEPROP CLBLM_R_X11Y105 ROW 46 TILEPROP CLBLM_R_X11Y105 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y105 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y105 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y105 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y105 TILE_X -42060 TILEPROP CLBLM_R_X11Y105 TILE_Y 98872 TILEPROP CLBLM_R_X11Y105 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y106 CLASS tile TILEPROP CLBLM_R_X11Y106 COLUMN 33 TILEPROP CLBLM_R_X11Y106 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y106 FIRST_SITE_ID 4447 TILEPROP CLBLM_R_X11Y106 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y106 GRID_POINT_Y 45 TILEPROP CLBLM_R_X11Y106 INDEX 5208 TILEPROP CLBLM_R_X11Y106 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y106 INT_TILE_Y 43 TILEPROP CLBLM_R_X11Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y106 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y106 NAME CLBLM_R_X11Y106 TILEPROP CLBLM_R_X11Y106 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y106 NUM_SITES 2 TILEPROP CLBLM_R_X11Y106 ROW 45 TILEPROP CLBLM_R_X11Y106 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y106 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y106 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y106 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y106 TILE_X -42060 TILEPROP CLBLM_R_X11Y106 TILE_Y 102072 TILEPROP CLBLM_R_X11Y106 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y107 CLASS tile TILEPROP CLBLM_R_X11Y107 COLUMN 33 TILEPROP CLBLM_R_X11Y107 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y107 FIRST_SITE_ID 4359 TILEPROP CLBLM_R_X11Y107 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y107 GRID_POINT_Y 44 TILEPROP CLBLM_R_X11Y107 INDEX 5093 TILEPROP CLBLM_R_X11Y107 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y107 INT_TILE_Y 42 TILEPROP CLBLM_R_X11Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y107 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y107 NAME CLBLM_R_X11Y107 TILEPROP CLBLM_R_X11Y107 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y107 NUM_SITES 2 TILEPROP CLBLM_R_X11Y107 ROW 44 TILEPROP CLBLM_R_X11Y107 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y107 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y107 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y107 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y107 TILE_X -42060 TILEPROP CLBLM_R_X11Y107 TILE_Y 105272 TILEPROP CLBLM_R_X11Y107 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y108 CLASS tile TILEPROP CLBLM_R_X11Y108 COLUMN 33 TILEPROP CLBLM_R_X11Y108 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y108 FIRST_SITE_ID 4261 TILEPROP CLBLM_R_X11Y108 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y108 GRID_POINT_Y 43 TILEPROP CLBLM_R_X11Y108 INDEX 4978 TILEPROP CLBLM_R_X11Y108 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y108 INT_TILE_Y 41 TILEPROP CLBLM_R_X11Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y108 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y108 NAME CLBLM_R_X11Y108 TILEPROP CLBLM_R_X11Y108 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y108 NUM_SITES 2 TILEPROP CLBLM_R_X11Y108 ROW 43 TILEPROP CLBLM_R_X11Y108 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y108 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y108 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y108 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y108 TILE_X -42060 TILEPROP CLBLM_R_X11Y108 TILE_Y 108472 TILEPROP CLBLM_R_X11Y108 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y109 CLASS tile TILEPROP CLBLM_R_X11Y109 COLUMN 33 TILEPROP CLBLM_R_X11Y109 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y109 FIRST_SITE_ID 4172 TILEPROP CLBLM_R_X11Y109 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y109 GRID_POINT_Y 42 TILEPROP CLBLM_R_X11Y109 INDEX 4863 TILEPROP CLBLM_R_X11Y109 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y109 INT_TILE_Y 40 TILEPROP CLBLM_R_X11Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y109 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y109 NAME CLBLM_R_X11Y109 TILEPROP CLBLM_R_X11Y109 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y109 NUM_SITES 2 TILEPROP CLBLM_R_X11Y109 ROW 42 TILEPROP CLBLM_R_X11Y109 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y109 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y109 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y109 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y109 TILE_X -42060 TILEPROP CLBLM_R_X11Y109 TILE_Y 111672 TILEPROP CLBLM_R_X11Y109 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y110 CLASS tile TILEPROP CLBLM_R_X11Y110 COLUMN 33 TILEPROP CLBLM_R_X11Y110 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y110 FIRST_SITE_ID 4072 TILEPROP CLBLM_R_X11Y110 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y110 GRID_POINT_Y 41 TILEPROP CLBLM_R_X11Y110 INDEX 4748 TILEPROP CLBLM_R_X11Y110 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y110 INT_TILE_Y 39 TILEPROP CLBLM_R_X11Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y110 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y110 NAME CLBLM_R_X11Y110 TILEPROP CLBLM_R_X11Y110 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y110 NUM_SITES 2 TILEPROP CLBLM_R_X11Y110 ROW 41 TILEPROP CLBLM_R_X11Y110 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y110 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y110 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y110 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y110 TILE_X -42060 TILEPROP CLBLM_R_X11Y110 TILE_Y 114872 TILEPROP CLBLM_R_X11Y110 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y111 CLASS tile TILEPROP CLBLM_R_X11Y111 COLUMN 33 TILEPROP CLBLM_R_X11Y111 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y111 FIRST_SITE_ID 3978 TILEPROP CLBLM_R_X11Y111 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y111 GRID_POINT_Y 40 TILEPROP CLBLM_R_X11Y111 INDEX 4633 TILEPROP CLBLM_R_X11Y111 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y111 INT_TILE_Y 38 TILEPROP CLBLM_R_X11Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y111 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y111 NAME CLBLM_R_X11Y111 TILEPROP CLBLM_R_X11Y111 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y111 NUM_SITES 2 TILEPROP CLBLM_R_X11Y111 ROW 40 TILEPROP CLBLM_R_X11Y111 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y111 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y111 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y111 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y111 TILE_X -42060 TILEPROP CLBLM_R_X11Y111 TILE_Y 118072 TILEPROP CLBLM_R_X11Y111 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y112 CLASS tile TILEPROP CLBLM_R_X11Y112 COLUMN 33 TILEPROP CLBLM_R_X11Y112 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y112 FIRST_SITE_ID 3850 TILEPROP CLBLM_R_X11Y112 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y112 GRID_POINT_Y 39 TILEPROP CLBLM_R_X11Y112 INDEX 4518 TILEPROP CLBLM_R_X11Y112 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y112 INT_TILE_Y 37 TILEPROP CLBLM_R_X11Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y112 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y112 NAME CLBLM_R_X11Y112 TILEPROP CLBLM_R_X11Y112 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y112 NUM_SITES 2 TILEPROP CLBLM_R_X11Y112 ROW 39 TILEPROP CLBLM_R_X11Y112 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y112 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y112 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y112 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y112 TILE_X -42060 TILEPROP CLBLM_R_X11Y112 TILE_Y 121272 TILEPROP CLBLM_R_X11Y112 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y113 CLASS tile TILEPROP CLBLM_R_X11Y113 COLUMN 33 TILEPROP CLBLM_R_X11Y113 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y113 FIRST_SITE_ID 3762 TILEPROP CLBLM_R_X11Y113 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y113 GRID_POINT_Y 38 TILEPROP CLBLM_R_X11Y113 INDEX 4403 TILEPROP CLBLM_R_X11Y113 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y113 INT_TILE_Y 36 TILEPROP CLBLM_R_X11Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y113 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y113 NAME CLBLM_R_X11Y113 TILEPROP CLBLM_R_X11Y113 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y113 NUM_SITES 2 TILEPROP CLBLM_R_X11Y113 ROW 38 TILEPROP CLBLM_R_X11Y113 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y113 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y113 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y113 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y113 TILE_X -42060 TILEPROP CLBLM_R_X11Y113 TILE_Y 124472 TILEPROP CLBLM_R_X11Y113 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y114 CLASS tile TILEPROP CLBLM_R_X11Y114 COLUMN 33 TILEPROP CLBLM_R_X11Y114 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y114 FIRST_SITE_ID 3666 TILEPROP CLBLM_R_X11Y114 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y114 GRID_POINT_Y 37 TILEPROP CLBLM_R_X11Y114 INDEX 4288 TILEPROP CLBLM_R_X11Y114 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y114 INT_TILE_Y 35 TILEPROP CLBLM_R_X11Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y114 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y114 NAME CLBLM_R_X11Y114 TILEPROP CLBLM_R_X11Y114 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y114 NUM_SITES 2 TILEPROP CLBLM_R_X11Y114 ROW 37 TILEPROP CLBLM_R_X11Y114 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y114 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y114 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y114 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y114 TILE_X -42060 TILEPROP CLBLM_R_X11Y114 TILE_Y 127672 TILEPROP CLBLM_R_X11Y114 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y115 CLASS tile TILEPROP CLBLM_R_X11Y115 COLUMN 33 TILEPROP CLBLM_R_X11Y115 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y115 FIRST_SITE_ID 3575 TILEPROP CLBLM_R_X11Y115 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y115 GRID_POINT_Y 36 TILEPROP CLBLM_R_X11Y115 INDEX 4173 TILEPROP CLBLM_R_X11Y115 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y115 INT_TILE_Y 34 TILEPROP CLBLM_R_X11Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y115 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y115 NAME CLBLM_R_X11Y115 TILEPROP CLBLM_R_X11Y115 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y115 NUM_SITES 2 TILEPROP CLBLM_R_X11Y115 ROW 36 TILEPROP CLBLM_R_X11Y115 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y115 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y115 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y115 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y115 TILE_X -42060 TILEPROP CLBLM_R_X11Y115 TILE_Y 130872 TILEPROP CLBLM_R_X11Y115 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y116 CLASS tile TILEPROP CLBLM_R_X11Y116 COLUMN 33 TILEPROP CLBLM_R_X11Y116 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y116 FIRST_SITE_ID 3468 TILEPROP CLBLM_R_X11Y116 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y116 GRID_POINT_Y 35 TILEPROP CLBLM_R_X11Y116 INDEX 4058 TILEPROP CLBLM_R_X11Y116 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y116 INT_TILE_Y 33 TILEPROP CLBLM_R_X11Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y116 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y116 NAME CLBLM_R_X11Y116 TILEPROP CLBLM_R_X11Y116 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y116 NUM_SITES 2 TILEPROP CLBLM_R_X11Y116 ROW 35 TILEPROP CLBLM_R_X11Y116 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y116 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y116 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y116 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y116 TILE_X -42060 TILEPROP CLBLM_R_X11Y116 TILE_Y 134072 TILEPROP CLBLM_R_X11Y116 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y117 CLASS tile TILEPROP CLBLM_R_X11Y117 COLUMN 33 TILEPROP CLBLM_R_X11Y117 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y117 FIRST_SITE_ID 3380 TILEPROP CLBLM_R_X11Y117 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y117 GRID_POINT_Y 34 TILEPROP CLBLM_R_X11Y117 INDEX 3943 TILEPROP CLBLM_R_X11Y117 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y117 INT_TILE_Y 32 TILEPROP CLBLM_R_X11Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y117 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y117 NAME CLBLM_R_X11Y117 TILEPROP CLBLM_R_X11Y117 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y117 NUM_SITES 2 TILEPROP CLBLM_R_X11Y117 ROW 34 TILEPROP CLBLM_R_X11Y117 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y117 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y117 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y117 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y117 TILE_X -42060 TILEPROP CLBLM_R_X11Y117 TILE_Y 137272 TILEPROP CLBLM_R_X11Y117 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y118 CLASS tile TILEPROP CLBLM_R_X11Y118 COLUMN 33 TILEPROP CLBLM_R_X11Y118 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y118 FIRST_SITE_ID 3280 TILEPROP CLBLM_R_X11Y118 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y118 GRID_POINT_Y 33 TILEPROP CLBLM_R_X11Y118 INDEX 3828 TILEPROP CLBLM_R_X11Y118 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y118 INT_TILE_Y 31 TILEPROP CLBLM_R_X11Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y118 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y118 NAME CLBLM_R_X11Y118 TILEPROP CLBLM_R_X11Y118 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y118 NUM_SITES 2 TILEPROP CLBLM_R_X11Y118 ROW 33 TILEPROP CLBLM_R_X11Y118 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y118 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y118 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y118 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y118 TILE_X -42060 TILEPROP CLBLM_R_X11Y118 TILE_Y 140472 TILEPROP CLBLM_R_X11Y118 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y119 CLASS tile TILEPROP CLBLM_R_X11Y119 COLUMN 33 TILEPROP CLBLM_R_X11Y119 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y119 FIRST_SITE_ID 3192 TILEPROP CLBLM_R_X11Y119 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y119 GRID_POINT_Y 32 TILEPROP CLBLM_R_X11Y119 INDEX 3713 TILEPROP CLBLM_R_X11Y119 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y119 INT_TILE_Y 30 TILEPROP CLBLM_R_X11Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y119 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y119 NAME CLBLM_R_X11Y119 TILEPROP CLBLM_R_X11Y119 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y119 NUM_SITES 2 TILEPROP CLBLM_R_X11Y119 ROW 32 TILEPROP CLBLM_R_X11Y119 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y119 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y119 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y119 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y119 TILE_X -42060 TILEPROP CLBLM_R_X11Y119 TILE_Y 143672 TILEPROP CLBLM_R_X11Y119 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y120 CLASS tile TILEPROP CLBLM_R_X11Y120 COLUMN 33 TILEPROP CLBLM_R_X11Y120 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y120 FIRST_SITE_ID 3091 TILEPROP CLBLM_R_X11Y120 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y120 GRID_POINT_Y 31 TILEPROP CLBLM_R_X11Y120 INDEX 3598 TILEPROP CLBLM_R_X11Y120 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y120 INT_TILE_Y 29 TILEPROP CLBLM_R_X11Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y120 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y120 NAME CLBLM_R_X11Y120 TILEPROP CLBLM_R_X11Y120 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y120 NUM_SITES 2 TILEPROP CLBLM_R_X11Y120 ROW 31 TILEPROP CLBLM_R_X11Y120 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y120 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y120 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y120 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y120 TILE_X -42060 TILEPROP CLBLM_R_X11Y120 TILE_Y 146872 TILEPROP CLBLM_R_X11Y120 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y121 CLASS tile TILEPROP CLBLM_R_X11Y121 COLUMN 33 TILEPROP CLBLM_R_X11Y121 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y121 FIRST_SITE_ID 2997 TILEPROP CLBLM_R_X11Y121 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y121 GRID_POINT_Y 30 TILEPROP CLBLM_R_X11Y121 INDEX 3483 TILEPROP CLBLM_R_X11Y121 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y121 INT_TILE_Y 28 TILEPROP CLBLM_R_X11Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y121 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y121 NAME CLBLM_R_X11Y121 TILEPROP CLBLM_R_X11Y121 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y121 NUM_SITES 2 TILEPROP CLBLM_R_X11Y121 ROW 30 TILEPROP CLBLM_R_X11Y121 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y121 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y121 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y121 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y121 TILE_X -42060 TILEPROP CLBLM_R_X11Y121 TILE_Y 150072 TILEPROP CLBLM_R_X11Y121 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y122 CLASS tile TILEPROP CLBLM_R_X11Y122 COLUMN 33 TILEPROP CLBLM_R_X11Y122 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y122 FIRST_SITE_ID 2894 TILEPROP CLBLM_R_X11Y122 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y122 GRID_POINT_Y 29 TILEPROP CLBLM_R_X11Y122 INDEX 3368 TILEPROP CLBLM_R_X11Y122 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y122 INT_TILE_Y 27 TILEPROP CLBLM_R_X11Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y122 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y122 NAME CLBLM_R_X11Y122 TILEPROP CLBLM_R_X11Y122 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y122 NUM_SITES 2 TILEPROP CLBLM_R_X11Y122 ROW 29 TILEPROP CLBLM_R_X11Y122 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y122 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y122 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y122 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y122 TILE_X -42060 TILEPROP CLBLM_R_X11Y122 TILE_Y 153272 TILEPROP CLBLM_R_X11Y122 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y123 CLASS tile TILEPROP CLBLM_R_X11Y123 COLUMN 33 TILEPROP CLBLM_R_X11Y123 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y123 FIRST_SITE_ID 2806 TILEPROP CLBLM_R_X11Y123 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y123 GRID_POINT_Y 28 TILEPROP CLBLM_R_X11Y123 INDEX 3253 TILEPROP CLBLM_R_X11Y123 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y123 INT_TILE_Y 26 TILEPROP CLBLM_R_X11Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y123 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y123 NAME CLBLM_R_X11Y123 TILEPROP CLBLM_R_X11Y123 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y123 NUM_SITES 2 TILEPROP CLBLM_R_X11Y123 ROW 28 TILEPROP CLBLM_R_X11Y123 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y123 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y123 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y123 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y123 TILE_X -42060 TILEPROP CLBLM_R_X11Y123 TILE_Y 156472 TILEPROP CLBLM_R_X11Y123 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y124 CLASS tile TILEPROP CLBLM_R_X11Y124 COLUMN 33 TILEPROP CLBLM_R_X11Y124 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y124 FIRST_SITE_ID 2710 TILEPROP CLBLM_R_X11Y124 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y124 GRID_POINT_Y 27 TILEPROP CLBLM_R_X11Y124 INDEX 3138 TILEPROP CLBLM_R_X11Y124 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y124 INT_TILE_Y 25 TILEPROP CLBLM_R_X11Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y124 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y124 NAME CLBLM_R_X11Y124 TILEPROP CLBLM_R_X11Y124 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y124 NUM_SITES 2 TILEPROP CLBLM_R_X11Y124 ROW 27 TILEPROP CLBLM_R_X11Y124 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y124 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X11Y124 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y124 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y124 TILE_X -42060 TILEPROP CLBLM_R_X11Y124 TILE_Y 159672 TILEPROP CLBLM_R_X11Y124 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y125 CLASS tile TILEPROP CLBLM_R_X11Y125 COLUMN 33 TILEPROP CLBLM_R_X11Y125 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y125 FIRST_SITE_ID 2537 TILEPROP CLBLM_R_X11Y125 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y125 GRID_POINT_Y 25 TILEPROP CLBLM_R_X11Y125 INDEX 2908 TILEPROP CLBLM_R_X11Y125 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y125 INT_TILE_Y 24 TILEPROP CLBLM_R_X11Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y125 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y125 NAME CLBLM_R_X11Y125 TILEPROP CLBLM_R_X11Y125 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y125 NUM_SITES 2 TILEPROP CLBLM_R_X11Y125 ROW 25 TILEPROP CLBLM_R_X11Y125 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y125 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X11Y125 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y125 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y125 TILE_X -42060 TILEPROP CLBLM_R_X11Y125 TILE_Y 163896 TILEPROP CLBLM_R_X11Y125 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y126 CLASS tile TILEPROP CLBLM_R_X11Y126 COLUMN 33 TILEPROP CLBLM_R_X11Y126 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y126 FIRST_SITE_ID 2427 TILEPROP CLBLM_R_X11Y126 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y126 GRID_POINT_Y 24 TILEPROP CLBLM_R_X11Y126 INDEX 2793 TILEPROP CLBLM_R_X11Y126 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y126 INT_TILE_Y 23 TILEPROP CLBLM_R_X11Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y126 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y126 NAME CLBLM_R_X11Y126 TILEPROP CLBLM_R_X11Y126 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y126 NUM_SITES 2 TILEPROP CLBLM_R_X11Y126 ROW 24 TILEPROP CLBLM_R_X11Y126 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y126 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y126 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y126 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y126 TILE_X -42060 TILEPROP CLBLM_R_X11Y126 TILE_Y 167096 TILEPROP CLBLM_R_X11Y126 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y127 CLASS tile TILEPROP CLBLM_R_X11Y127 COLUMN 33 TILEPROP CLBLM_R_X11Y127 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y127 FIRST_SITE_ID 2331 TILEPROP CLBLM_R_X11Y127 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y127 GRID_POINT_Y 23 TILEPROP CLBLM_R_X11Y127 INDEX 2678 TILEPROP CLBLM_R_X11Y127 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y127 INT_TILE_Y 22 TILEPROP CLBLM_R_X11Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y127 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y127 NAME CLBLM_R_X11Y127 TILEPROP CLBLM_R_X11Y127 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y127 NUM_SITES 2 TILEPROP CLBLM_R_X11Y127 ROW 23 TILEPROP CLBLM_R_X11Y127 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y127 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y127 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y127 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y127 TILE_X -42060 TILEPROP CLBLM_R_X11Y127 TILE_Y 170296 TILEPROP CLBLM_R_X11Y127 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y128 CLASS tile TILEPROP CLBLM_R_X11Y128 COLUMN 33 TILEPROP CLBLM_R_X11Y128 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y128 FIRST_SITE_ID 2227 TILEPROP CLBLM_R_X11Y128 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y128 GRID_POINT_Y 22 TILEPROP CLBLM_R_X11Y128 INDEX 2563 TILEPROP CLBLM_R_X11Y128 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y128 INT_TILE_Y 21 TILEPROP CLBLM_R_X11Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y128 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y128 NAME CLBLM_R_X11Y128 TILEPROP CLBLM_R_X11Y128 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y128 NUM_SITES 2 TILEPROP CLBLM_R_X11Y128 ROW 22 TILEPROP CLBLM_R_X11Y128 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y128 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y128 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y128 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y128 TILE_X -42060 TILEPROP CLBLM_R_X11Y128 TILE_Y 173496 TILEPROP CLBLM_R_X11Y128 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y129 CLASS tile TILEPROP CLBLM_R_X11Y129 COLUMN 33 TILEPROP CLBLM_R_X11Y129 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y129 FIRST_SITE_ID 2131 TILEPROP CLBLM_R_X11Y129 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y129 GRID_POINT_Y 21 TILEPROP CLBLM_R_X11Y129 INDEX 2448 TILEPROP CLBLM_R_X11Y129 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y129 INT_TILE_Y 20 TILEPROP CLBLM_R_X11Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y129 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y129 NAME CLBLM_R_X11Y129 TILEPROP CLBLM_R_X11Y129 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y129 NUM_SITES 2 TILEPROP CLBLM_R_X11Y129 ROW 21 TILEPROP CLBLM_R_X11Y129 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y129 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y129 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y129 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y129 TILE_X -42060 TILEPROP CLBLM_R_X11Y129 TILE_Y 176696 TILEPROP CLBLM_R_X11Y129 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y130 CLASS tile TILEPROP CLBLM_R_X11Y130 COLUMN 33 TILEPROP CLBLM_R_X11Y130 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y130 FIRST_SITE_ID 2015 TILEPROP CLBLM_R_X11Y130 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y130 GRID_POINT_Y 20 TILEPROP CLBLM_R_X11Y130 INDEX 2333 TILEPROP CLBLM_R_X11Y130 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y130 INT_TILE_Y 19 TILEPROP CLBLM_R_X11Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y130 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y130 NAME CLBLM_R_X11Y130 TILEPROP CLBLM_R_X11Y130 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y130 NUM_SITES 2 TILEPROP CLBLM_R_X11Y130 ROW 20 TILEPROP CLBLM_R_X11Y130 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y130 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y130 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y130 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y130 TILE_X -42060 TILEPROP CLBLM_R_X11Y130 TILE_Y 179896 TILEPROP CLBLM_R_X11Y130 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y131 CLASS tile TILEPROP CLBLM_R_X11Y131 COLUMN 33 TILEPROP CLBLM_R_X11Y131 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y131 FIRST_SITE_ID 1913 TILEPROP CLBLM_R_X11Y131 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y131 GRID_POINT_Y 19 TILEPROP CLBLM_R_X11Y131 INDEX 2218 TILEPROP CLBLM_R_X11Y131 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y131 INT_TILE_Y 18 TILEPROP CLBLM_R_X11Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y131 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y131 NAME CLBLM_R_X11Y131 TILEPROP CLBLM_R_X11Y131 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y131 NUM_SITES 2 TILEPROP CLBLM_R_X11Y131 ROW 19 TILEPROP CLBLM_R_X11Y131 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y131 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y131 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y131 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y131 TILE_X -42060 TILEPROP CLBLM_R_X11Y131 TILE_Y 183096 TILEPROP CLBLM_R_X11Y131 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y132 CLASS tile TILEPROP CLBLM_R_X11Y132 COLUMN 33 TILEPROP CLBLM_R_X11Y132 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y132 FIRST_SITE_ID 1807 TILEPROP CLBLM_R_X11Y132 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y132 GRID_POINT_Y 18 TILEPROP CLBLM_R_X11Y132 INDEX 2103 TILEPROP CLBLM_R_X11Y132 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y132 INT_TILE_Y 17 TILEPROP CLBLM_R_X11Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y132 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y132 NAME CLBLM_R_X11Y132 TILEPROP CLBLM_R_X11Y132 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y132 NUM_SITES 2 TILEPROP CLBLM_R_X11Y132 ROW 18 TILEPROP CLBLM_R_X11Y132 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y132 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y132 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y132 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y132 TILE_X -42060 TILEPROP CLBLM_R_X11Y132 TILE_Y 186296 TILEPROP CLBLM_R_X11Y132 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y133 CLASS tile TILEPROP CLBLM_R_X11Y133 COLUMN 33 TILEPROP CLBLM_R_X11Y133 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y133 FIRST_SITE_ID 1706 TILEPROP CLBLM_R_X11Y133 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y133 GRID_POINT_Y 17 TILEPROP CLBLM_R_X11Y133 INDEX 1988 TILEPROP CLBLM_R_X11Y133 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y133 INT_TILE_Y 16 TILEPROP CLBLM_R_X11Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y133 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y133 NAME CLBLM_R_X11Y133 TILEPROP CLBLM_R_X11Y133 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y133 NUM_SITES 2 TILEPROP CLBLM_R_X11Y133 ROW 17 TILEPROP CLBLM_R_X11Y133 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y133 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y133 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y133 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y133 TILE_X -42060 TILEPROP CLBLM_R_X11Y133 TILE_Y 189496 TILEPROP CLBLM_R_X11Y133 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y134 CLASS tile TILEPROP CLBLM_R_X11Y134 COLUMN 33 TILEPROP CLBLM_R_X11Y134 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y134 FIRST_SITE_ID 1602 TILEPROP CLBLM_R_X11Y134 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y134 GRID_POINT_Y 16 TILEPROP CLBLM_R_X11Y134 INDEX 1873 TILEPROP CLBLM_R_X11Y134 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y134 INT_TILE_Y 15 TILEPROP CLBLM_R_X11Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y134 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y134 NAME CLBLM_R_X11Y134 TILEPROP CLBLM_R_X11Y134 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y134 NUM_SITES 2 TILEPROP CLBLM_R_X11Y134 ROW 16 TILEPROP CLBLM_R_X11Y134 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y134 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y134 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y134 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y134 TILE_X -42060 TILEPROP CLBLM_R_X11Y134 TILE_Y 192696 TILEPROP CLBLM_R_X11Y134 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y135 CLASS tile TILEPROP CLBLM_R_X11Y135 COLUMN 33 TILEPROP CLBLM_R_X11Y135 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y135 FIRST_SITE_ID 1500 TILEPROP CLBLM_R_X11Y135 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y135 GRID_POINT_Y 15 TILEPROP CLBLM_R_X11Y135 INDEX 1758 TILEPROP CLBLM_R_X11Y135 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y135 INT_TILE_Y 14 TILEPROP CLBLM_R_X11Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y135 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y135 NAME CLBLM_R_X11Y135 TILEPROP CLBLM_R_X11Y135 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y135 NUM_SITES 2 TILEPROP CLBLM_R_X11Y135 ROW 15 TILEPROP CLBLM_R_X11Y135 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y135 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y135 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y135 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y135 TILE_X -42060 TILEPROP CLBLM_R_X11Y135 TILE_Y 195896 TILEPROP CLBLM_R_X11Y135 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y136 CLASS tile TILEPROP CLBLM_R_X11Y136 COLUMN 33 TILEPROP CLBLM_R_X11Y136 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y136 FIRST_SITE_ID 1358 TILEPROP CLBLM_R_X11Y136 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y136 GRID_POINT_Y 14 TILEPROP CLBLM_R_X11Y136 INDEX 1643 TILEPROP CLBLM_R_X11Y136 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y136 INT_TILE_Y 13 TILEPROP CLBLM_R_X11Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y136 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y136 NAME CLBLM_R_X11Y136 TILEPROP CLBLM_R_X11Y136 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y136 NUM_SITES 2 TILEPROP CLBLM_R_X11Y136 ROW 14 TILEPROP CLBLM_R_X11Y136 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y136 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y136 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y136 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y136 TILE_X -42060 TILEPROP CLBLM_R_X11Y136 TILE_Y 199096 TILEPROP CLBLM_R_X11Y136 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y137 CLASS tile TILEPROP CLBLM_R_X11Y137 COLUMN 33 TILEPROP CLBLM_R_X11Y137 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y137 FIRST_SITE_ID 1262 TILEPROP CLBLM_R_X11Y137 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y137 GRID_POINT_Y 13 TILEPROP CLBLM_R_X11Y137 INDEX 1528 TILEPROP CLBLM_R_X11Y137 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y137 INT_TILE_Y 12 TILEPROP CLBLM_R_X11Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y137 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y137 NAME CLBLM_R_X11Y137 TILEPROP CLBLM_R_X11Y137 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y137 NUM_SITES 2 TILEPROP CLBLM_R_X11Y137 ROW 13 TILEPROP CLBLM_R_X11Y137 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y137 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y137 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y137 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y137 TILE_X -42060 TILEPROP CLBLM_R_X11Y137 TILE_Y 202296 TILEPROP CLBLM_R_X11Y137 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y138 CLASS tile TILEPROP CLBLM_R_X11Y138 COLUMN 33 TILEPROP CLBLM_R_X11Y138 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y138 FIRST_SITE_ID 1158 TILEPROP CLBLM_R_X11Y138 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y138 GRID_POINT_Y 12 TILEPROP CLBLM_R_X11Y138 INDEX 1413 TILEPROP CLBLM_R_X11Y138 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y138 INT_TILE_Y 11 TILEPROP CLBLM_R_X11Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y138 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y138 NAME CLBLM_R_X11Y138 TILEPROP CLBLM_R_X11Y138 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y138 NUM_SITES 2 TILEPROP CLBLM_R_X11Y138 ROW 12 TILEPROP CLBLM_R_X11Y138 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y138 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y138 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y138 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y138 TILE_X -42060 TILEPROP CLBLM_R_X11Y138 TILE_Y 205496 TILEPROP CLBLM_R_X11Y138 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y139 CLASS tile TILEPROP CLBLM_R_X11Y139 COLUMN 33 TILEPROP CLBLM_R_X11Y139 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y139 FIRST_SITE_ID 1062 TILEPROP CLBLM_R_X11Y139 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y139 GRID_POINT_Y 11 TILEPROP CLBLM_R_X11Y139 INDEX 1298 TILEPROP CLBLM_R_X11Y139 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y139 INT_TILE_Y 10 TILEPROP CLBLM_R_X11Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y139 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y139 NAME CLBLM_R_X11Y139 TILEPROP CLBLM_R_X11Y139 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y139 NUM_SITES 2 TILEPROP CLBLM_R_X11Y139 ROW 11 TILEPROP CLBLM_R_X11Y139 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y139 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y139 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y139 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y139 TILE_X -42060 TILEPROP CLBLM_R_X11Y139 TILE_Y 208696 TILEPROP CLBLM_R_X11Y139 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y140 CLASS tile TILEPROP CLBLM_R_X11Y140 COLUMN 33 TILEPROP CLBLM_R_X11Y140 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y140 FIRST_SITE_ID 952 TILEPROP CLBLM_R_X11Y140 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y140 GRID_POINT_Y 10 TILEPROP CLBLM_R_X11Y140 INDEX 1183 TILEPROP CLBLM_R_X11Y140 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y140 INT_TILE_Y 9 TILEPROP CLBLM_R_X11Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y140 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y140 NAME CLBLM_R_X11Y140 TILEPROP CLBLM_R_X11Y140 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y140 NUM_SITES 2 TILEPROP CLBLM_R_X11Y140 ROW 10 TILEPROP CLBLM_R_X11Y140 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y140 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y140 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y140 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y140 TILE_X -42060 TILEPROP CLBLM_R_X11Y140 TILE_Y 211896 TILEPROP CLBLM_R_X11Y140 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y141 CLASS tile TILEPROP CLBLM_R_X11Y141 COLUMN 33 TILEPROP CLBLM_R_X11Y141 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y141 FIRST_SITE_ID 850 TILEPROP CLBLM_R_X11Y141 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y141 GRID_POINT_Y 9 TILEPROP CLBLM_R_X11Y141 INDEX 1068 TILEPROP CLBLM_R_X11Y141 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y141 INT_TILE_Y 8 TILEPROP CLBLM_R_X11Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y141 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y141 NAME CLBLM_R_X11Y141 TILEPROP CLBLM_R_X11Y141 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y141 NUM_SITES 2 TILEPROP CLBLM_R_X11Y141 ROW 9 TILEPROP CLBLM_R_X11Y141 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y141 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y141 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y141 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y141 TILE_X -42060 TILEPROP CLBLM_R_X11Y141 TILE_Y 215096 TILEPROP CLBLM_R_X11Y141 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y142 CLASS tile TILEPROP CLBLM_R_X11Y142 COLUMN 33 TILEPROP CLBLM_R_X11Y142 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y142 FIRST_SITE_ID 746 TILEPROP CLBLM_R_X11Y142 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y142 GRID_POINT_Y 8 TILEPROP CLBLM_R_X11Y142 INDEX 953 TILEPROP CLBLM_R_X11Y142 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y142 INT_TILE_Y 7 TILEPROP CLBLM_R_X11Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y142 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y142 NAME CLBLM_R_X11Y142 TILEPROP CLBLM_R_X11Y142 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y142 NUM_SITES 2 TILEPROP CLBLM_R_X11Y142 ROW 8 TILEPROP CLBLM_R_X11Y142 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y142 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y142 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y142 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y142 TILE_X -42060 TILEPROP CLBLM_R_X11Y142 TILE_Y 218296 TILEPROP CLBLM_R_X11Y142 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y143 CLASS tile TILEPROP CLBLM_R_X11Y143 COLUMN 33 TILEPROP CLBLM_R_X11Y143 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y143 FIRST_SITE_ID 649 TILEPROP CLBLM_R_X11Y143 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y143 GRID_POINT_Y 7 TILEPROP CLBLM_R_X11Y143 INDEX 838 TILEPROP CLBLM_R_X11Y143 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y143 INT_TILE_Y 6 TILEPROP CLBLM_R_X11Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y143 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y143 NAME CLBLM_R_X11Y143 TILEPROP CLBLM_R_X11Y143 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y143 NUM_SITES 2 TILEPROP CLBLM_R_X11Y143 ROW 7 TILEPROP CLBLM_R_X11Y143 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y143 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y143 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y143 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y143 TILE_X -42060 TILEPROP CLBLM_R_X11Y143 TILE_Y 221496 TILEPROP CLBLM_R_X11Y143 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y144 CLASS tile TILEPROP CLBLM_R_X11Y144 COLUMN 33 TILEPROP CLBLM_R_X11Y144 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y144 FIRST_SITE_ID 538 TILEPROP CLBLM_R_X11Y144 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y144 GRID_POINT_Y 6 TILEPROP CLBLM_R_X11Y144 INDEX 723 TILEPROP CLBLM_R_X11Y144 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y144 INT_TILE_Y 5 TILEPROP CLBLM_R_X11Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y144 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y144 NAME CLBLM_R_X11Y144 TILEPROP CLBLM_R_X11Y144 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y144 NUM_SITES 2 TILEPROP CLBLM_R_X11Y144 ROW 6 TILEPROP CLBLM_R_X11Y144 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y144 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y144 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y144 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y144 TILE_X -42060 TILEPROP CLBLM_R_X11Y144 TILE_Y 224696 TILEPROP CLBLM_R_X11Y144 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y145 CLASS tile TILEPROP CLBLM_R_X11Y145 COLUMN 33 TILEPROP CLBLM_R_X11Y145 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y145 FIRST_SITE_ID 436 TILEPROP CLBLM_R_X11Y145 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y145 GRID_POINT_Y 5 TILEPROP CLBLM_R_X11Y145 INDEX 608 TILEPROP CLBLM_R_X11Y145 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y145 INT_TILE_Y 4 TILEPROP CLBLM_R_X11Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y145 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y145 NAME CLBLM_R_X11Y145 TILEPROP CLBLM_R_X11Y145 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y145 NUM_SITES 2 TILEPROP CLBLM_R_X11Y145 ROW 5 TILEPROP CLBLM_R_X11Y145 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y145 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y145 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y145 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y145 TILE_X -42060 TILEPROP CLBLM_R_X11Y145 TILE_Y 227896 TILEPROP CLBLM_R_X11Y145 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y146 CLASS tile TILEPROP CLBLM_R_X11Y146 COLUMN 33 TILEPROP CLBLM_R_X11Y146 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y146 FIRST_SITE_ID 326 TILEPROP CLBLM_R_X11Y146 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y146 GRID_POINT_Y 4 TILEPROP CLBLM_R_X11Y146 INDEX 493 TILEPROP CLBLM_R_X11Y146 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y146 INT_TILE_Y 3 TILEPROP CLBLM_R_X11Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y146 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y146 NAME CLBLM_R_X11Y146 TILEPROP CLBLM_R_X11Y146 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y146 NUM_SITES 2 TILEPROP CLBLM_R_X11Y146 ROW 4 TILEPROP CLBLM_R_X11Y146 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y146 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y146 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y146 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y146 TILE_X -42060 TILEPROP CLBLM_R_X11Y146 TILE_Y 231096 TILEPROP CLBLM_R_X11Y146 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y147 CLASS tile TILEPROP CLBLM_R_X11Y147 COLUMN 33 TILEPROP CLBLM_R_X11Y147 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y147 FIRST_SITE_ID 230 TILEPROP CLBLM_R_X11Y147 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y147 GRID_POINT_Y 3 TILEPROP CLBLM_R_X11Y147 INDEX 378 TILEPROP CLBLM_R_X11Y147 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y147 INT_TILE_Y 2 TILEPROP CLBLM_R_X11Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y147 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y147 NAME CLBLM_R_X11Y147 TILEPROP CLBLM_R_X11Y147 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y147 NUM_SITES 2 TILEPROP CLBLM_R_X11Y147 ROW 3 TILEPROP CLBLM_R_X11Y147 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y147 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y147 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y147 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y147 TILE_X -42060 TILEPROP CLBLM_R_X11Y147 TILE_Y 234296 TILEPROP CLBLM_R_X11Y147 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y148 CLASS tile TILEPROP CLBLM_R_X11Y148 COLUMN 33 TILEPROP CLBLM_R_X11Y148 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y148 FIRST_SITE_ID 126 TILEPROP CLBLM_R_X11Y148 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y148 GRID_POINT_Y 2 TILEPROP CLBLM_R_X11Y148 INDEX 263 TILEPROP CLBLM_R_X11Y148 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y148 INT_TILE_Y 1 TILEPROP CLBLM_R_X11Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y148 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y148 NAME CLBLM_R_X11Y148 TILEPROP CLBLM_R_X11Y148 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y148 NUM_SITES 2 TILEPROP CLBLM_R_X11Y148 ROW 2 TILEPROP CLBLM_R_X11Y148 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y148 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X11Y148 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y148 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y148 TILE_X -42060 TILEPROP CLBLM_R_X11Y148 TILE_Y 237496 TILEPROP CLBLM_R_X11Y148 TYPE CLBLM_R TILEPROP CLBLM_R_X11Y149 CLASS tile TILEPROP CLBLM_R_X11Y149 COLUMN 33 TILEPROP CLBLM_R_X11Y149 DEVICE_ID 0 TILEPROP CLBLM_R_X11Y149 FIRST_SITE_ID 30 TILEPROP CLBLM_R_X11Y149 GRID_POINT_X 33 TILEPROP CLBLM_R_X11Y149 GRID_POINT_Y 1 TILEPROP CLBLM_R_X11Y149 INDEX 148 TILEPROP CLBLM_R_X11Y149 INT_TILE_X 11 TILEPROP CLBLM_R_X11Y149 INT_TILE_Y 0 TILEPROP CLBLM_R_X11Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X11Y149 IS_DCM_TILE 0 TILEPROP CLBLM_R_X11Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X11Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X11Y149 NAME CLBLM_R_X11Y149 TILEPROP CLBLM_R_X11Y149 NUM_ARCS 151 TILEPROP CLBLM_R_X11Y149 NUM_SITES 2 TILEPROP CLBLM_R_X11Y149 ROW 1 TILEPROP CLBLM_R_X11Y149 SLR_REGION_ID 0 TILEPROP CLBLM_R_X11Y149 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X11Y149 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X11Y149 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X11Y149 TILE_X -42060 TILEPROP CLBLM_R_X11Y149 TILE_Y 240696 TILEPROP CLBLM_R_X11Y149 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y0 CLASS tile TILEPROP CLBLM_R_X25Y0 COLUMN 65 TILEPROP CLBLM_R_X25Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y0 FIRST_SITE_ID 15758 TILEPROP CLBLM_R_X25Y0 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X25Y0 INDEX 17890 TILEPROP CLBLM_R_X25Y0 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X25Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y0 NAME CLBLM_R_X25Y0 TILEPROP CLBLM_R_X25Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y0 NUM_SITES 2 TILEPROP CLBLM_R_X25Y0 ROW 155 TILEPROP CLBLM_R_X25Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X25Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y0 TILE_X 18596 TILEPROP CLBLM_R_X25Y0 TILE_Y -239672 TILEPROP CLBLM_R_X25Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y1 CLASS tile TILEPROP CLBLM_R_X25Y1 COLUMN 65 TILEPROP CLBLM_R_X25Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y1 FIRST_SITE_ID 15648 TILEPROP CLBLM_R_X25Y1 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X25Y1 INDEX 17775 TILEPROP CLBLM_R_X25Y1 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X25Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y1 NAME CLBLM_R_X25Y1 TILEPROP CLBLM_R_X25Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y1 NUM_SITES 2 TILEPROP CLBLM_R_X25Y1 ROW 154 TILEPROP CLBLM_R_X25Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y1 TILE_X 18596 TILEPROP CLBLM_R_X25Y1 TILE_Y -236472 TILEPROP CLBLM_R_X25Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y2 CLASS tile TILEPROP CLBLM_R_X25Y2 COLUMN 65 TILEPROP CLBLM_R_X25Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y2 FIRST_SITE_ID 15547 TILEPROP CLBLM_R_X25Y2 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X25Y2 INDEX 17660 TILEPROP CLBLM_R_X25Y2 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X25Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y2 NAME CLBLM_R_X25Y2 TILEPROP CLBLM_R_X25Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y2 NUM_SITES 2 TILEPROP CLBLM_R_X25Y2 ROW 153 TILEPROP CLBLM_R_X25Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y2 TILE_X 18596 TILEPROP CLBLM_R_X25Y2 TILE_Y -233272 TILEPROP CLBLM_R_X25Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y3 CLASS tile TILEPROP CLBLM_R_X25Y3 COLUMN 65 TILEPROP CLBLM_R_X25Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y3 FIRST_SITE_ID 15447 TILEPROP CLBLM_R_X25Y3 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X25Y3 INDEX 17545 TILEPROP CLBLM_R_X25Y3 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X25Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y3 NAME CLBLM_R_X25Y3 TILEPROP CLBLM_R_X25Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y3 NUM_SITES 2 TILEPROP CLBLM_R_X25Y3 ROW 152 TILEPROP CLBLM_R_X25Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y3 TILE_X 18596 TILEPROP CLBLM_R_X25Y3 TILE_Y -230072 TILEPROP CLBLM_R_X25Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y4 CLASS tile TILEPROP CLBLM_R_X25Y4 COLUMN 65 TILEPROP CLBLM_R_X25Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y4 FIRST_SITE_ID 15347 TILEPROP CLBLM_R_X25Y4 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X25Y4 INDEX 17430 TILEPROP CLBLM_R_X25Y4 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X25Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y4 NAME CLBLM_R_X25Y4 TILEPROP CLBLM_R_X25Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y4 NUM_SITES 2 TILEPROP CLBLM_R_X25Y4 ROW 151 TILEPROP CLBLM_R_X25Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y4 TILE_X 18596 TILEPROP CLBLM_R_X25Y4 TILE_Y -226872 TILEPROP CLBLM_R_X25Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y5 CLASS tile TILEPROP CLBLM_R_X25Y5 COLUMN 65 TILEPROP CLBLM_R_X25Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y5 FIRST_SITE_ID 15238 TILEPROP CLBLM_R_X25Y5 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X25Y5 INDEX 17315 TILEPROP CLBLM_R_X25Y5 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X25Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y5 NAME CLBLM_R_X25Y5 TILEPROP CLBLM_R_X25Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y5 NUM_SITES 2 TILEPROP CLBLM_R_X25Y5 ROW 150 TILEPROP CLBLM_R_X25Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y5 TILE_X 18596 TILEPROP CLBLM_R_X25Y5 TILE_Y -223672 TILEPROP CLBLM_R_X25Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y6 CLASS tile TILEPROP CLBLM_R_X25Y6 COLUMN 65 TILEPROP CLBLM_R_X25Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y6 FIRST_SITE_ID 15132 TILEPROP CLBLM_R_X25Y6 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X25Y6 INDEX 17200 TILEPROP CLBLM_R_X25Y6 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X25Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y6 NAME CLBLM_R_X25Y6 TILEPROP CLBLM_R_X25Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y6 NUM_SITES 2 TILEPROP CLBLM_R_X25Y6 ROW 149 TILEPROP CLBLM_R_X25Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y6 TILE_X 18596 TILEPROP CLBLM_R_X25Y6 TILE_Y -220472 TILEPROP CLBLM_R_X25Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y7 CLASS tile TILEPROP CLBLM_R_X25Y7 COLUMN 65 TILEPROP CLBLM_R_X25Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y7 FIRST_SITE_ID 15030 TILEPROP CLBLM_R_X25Y7 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X25Y7 INDEX 17085 TILEPROP CLBLM_R_X25Y7 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X25Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y7 NAME CLBLM_R_X25Y7 TILEPROP CLBLM_R_X25Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y7 NUM_SITES 2 TILEPROP CLBLM_R_X25Y7 ROW 148 TILEPROP CLBLM_R_X25Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y7 TILE_X 18596 TILEPROP CLBLM_R_X25Y7 TILE_Y -217272 TILEPROP CLBLM_R_X25Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y8 CLASS tile TILEPROP CLBLM_R_X25Y8 COLUMN 65 TILEPROP CLBLM_R_X25Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y8 FIRST_SITE_ID 14927 TILEPROP CLBLM_R_X25Y8 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X25Y8 INDEX 16970 TILEPROP CLBLM_R_X25Y8 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X25Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y8 NAME CLBLM_R_X25Y8 TILEPROP CLBLM_R_X25Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y8 NUM_SITES 2 TILEPROP CLBLM_R_X25Y8 ROW 147 TILEPROP CLBLM_R_X25Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y8 TILE_X 18596 TILEPROP CLBLM_R_X25Y8 TILE_Y -214072 TILEPROP CLBLM_R_X25Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y9 CLASS tile TILEPROP CLBLM_R_X25Y9 COLUMN 65 TILEPROP CLBLM_R_X25Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y9 FIRST_SITE_ID 14826 TILEPROP CLBLM_R_X25Y9 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X25Y9 INDEX 16855 TILEPROP CLBLM_R_X25Y9 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X25Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y9 NAME CLBLM_R_X25Y9 TILEPROP CLBLM_R_X25Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y9 NUM_SITES 2 TILEPROP CLBLM_R_X25Y9 ROW 146 TILEPROP CLBLM_R_X25Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y9 TILE_X 18596 TILEPROP CLBLM_R_X25Y9 TILE_Y -210872 TILEPROP CLBLM_R_X25Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y10 CLASS tile TILEPROP CLBLM_R_X25Y10 COLUMN 65 TILEPROP CLBLM_R_X25Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y10 FIRST_SITE_ID 14717 TILEPROP CLBLM_R_X25Y10 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X25Y10 INDEX 16740 TILEPROP CLBLM_R_X25Y10 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X25Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y10 NAME CLBLM_R_X25Y10 TILEPROP CLBLM_R_X25Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y10 NUM_SITES 2 TILEPROP CLBLM_R_X25Y10 ROW 145 TILEPROP CLBLM_R_X25Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y10 TILE_X 18596 TILEPROP CLBLM_R_X25Y10 TILE_Y -207672 TILEPROP CLBLM_R_X25Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y11 CLASS tile TILEPROP CLBLM_R_X25Y11 COLUMN 65 TILEPROP CLBLM_R_X25Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y11 FIRST_SITE_ID 14611 TILEPROP CLBLM_R_X25Y11 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X25Y11 INDEX 16625 TILEPROP CLBLM_R_X25Y11 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X25Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y11 NAME CLBLM_R_X25Y11 TILEPROP CLBLM_R_X25Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y11 NUM_SITES 2 TILEPROP CLBLM_R_X25Y11 ROW 144 TILEPROP CLBLM_R_X25Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y11 TILE_X 18596 TILEPROP CLBLM_R_X25Y11 TILE_Y -204472 TILEPROP CLBLM_R_X25Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y12 CLASS tile TILEPROP CLBLM_R_X25Y12 COLUMN 65 TILEPROP CLBLM_R_X25Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y12 FIRST_SITE_ID 14511 TILEPROP CLBLM_R_X25Y12 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X25Y12 INDEX 16510 TILEPROP CLBLM_R_X25Y12 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X25Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y12 NAME CLBLM_R_X25Y12 TILEPROP CLBLM_R_X25Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y12 NUM_SITES 2 TILEPROP CLBLM_R_X25Y12 ROW 143 TILEPROP CLBLM_R_X25Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y12 TILE_X 18596 TILEPROP CLBLM_R_X25Y12 TILE_Y -201272 TILEPROP CLBLM_R_X25Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y13 CLASS tile TILEPROP CLBLM_R_X25Y13 COLUMN 65 TILEPROP CLBLM_R_X25Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y13 FIRST_SITE_ID 14379 TILEPROP CLBLM_R_X25Y13 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X25Y13 INDEX 16395 TILEPROP CLBLM_R_X25Y13 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X25Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y13 NAME CLBLM_R_X25Y13 TILEPROP CLBLM_R_X25Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y13 NUM_SITES 2 TILEPROP CLBLM_R_X25Y13 ROW 142 TILEPROP CLBLM_R_X25Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y13 TILE_X 18596 TILEPROP CLBLM_R_X25Y13 TILE_Y -198072 TILEPROP CLBLM_R_X25Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y14 CLASS tile TILEPROP CLBLM_R_X25Y14 COLUMN 65 TILEPROP CLBLM_R_X25Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y14 FIRST_SITE_ID 14279 TILEPROP CLBLM_R_X25Y14 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X25Y14 INDEX 16280 TILEPROP CLBLM_R_X25Y14 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X25Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y14 NAME CLBLM_R_X25Y14 TILEPROP CLBLM_R_X25Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y14 NUM_SITES 2 TILEPROP CLBLM_R_X25Y14 ROW 141 TILEPROP CLBLM_R_X25Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y14 TILE_X 18596 TILEPROP CLBLM_R_X25Y14 TILE_Y -194872 TILEPROP CLBLM_R_X25Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y15 CLASS tile TILEPROP CLBLM_R_X25Y15 COLUMN 65 TILEPROP CLBLM_R_X25Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y15 FIRST_SITE_ID 14170 TILEPROP CLBLM_R_X25Y15 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X25Y15 INDEX 16165 TILEPROP CLBLM_R_X25Y15 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X25Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y15 NAME CLBLM_R_X25Y15 TILEPROP CLBLM_R_X25Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y15 NUM_SITES 2 TILEPROP CLBLM_R_X25Y15 ROW 140 TILEPROP CLBLM_R_X25Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y15 TILE_X 18596 TILEPROP CLBLM_R_X25Y15 TILE_Y -191672 TILEPROP CLBLM_R_X25Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y16 CLASS tile TILEPROP CLBLM_R_X25Y16 COLUMN 65 TILEPROP CLBLM_R_X25Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y16 FIRST_SITE_ID 14064 TILEPROP CLBLM_R_X25Y16 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X25Y16 INDEX 16050 TILEPROP CLBLM_R_X25Y16 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X25Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y16 NAME CLBLM_R_X25Y16 TILEPROP CLBLM_R_X25Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y16 NUM_SITES 2 TILEPROP CLBLM_R_X25Y16 ROW 139 TILEPROP CLBLM_R_X25Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y16 TILE_X 18596 TILEPROP CLBLM_R_X25Y16 TILE_Y -188472 TILEPROP CLBLM_R_X25Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y17 CLASS tile TILEPROP CLBLM_R_X25Y17 COLUMN 65 TILEPROP CLBLM_R_X25Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y17 FIRST_SITE_ID 13960 TILEPROP CLBLM_R_X25Y17 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X25Y17 INDEX 15935 TILEPROP CLBLM_R_X25Y17 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X25Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y17 NAME CLBLM_R_X25Y17 TILEPROP CLBLM_R_X25Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y17 NUM_SITES 2 TILEPROP CLBLM_R_X25Y17 ROW 138 TILEPROP CLBLM_R_X25Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y17 TILE_X 18596 TILEPROP CLBLM_R_X25Y17 TILE_Y -185272 TILEPROP CLBLM_R_X25Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y18 CLASS tile TILEPROP CLBLM_R_X25Y18 COLUMN 65 TILEPROP CLBLM_R_X25Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y18 FIRST_SITE_ID 13855 TILEPROP CLBLM_R_X25Y18 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X25Y18 INDEX 15820 TILEPROP CLBLM_R_X25Y18 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X25Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y18 NAME CLBLM_R_X25Y18 TILEPROP CLBLM_R_X25Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y18 NUM_SITES 2 TILEPROP CLBLM_R_X25Y18 ROW 137 TILEPROP CLBLM_R_X25Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y18 TILE_X 18596 TILEPROP CLBLM_R_X25Y18 TILE_Y -182072 TILEPROP CLBLM_R_X25Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y19 CLASS tile TILEPROP CLBLM_R_X25Y19 COLUMN 65 TILEPROP CLBLM_R_X25Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y19 FIRST_SITE_ID 13753 TILEPROP CLBLM_R_X25Y19 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X25Y19 INDEX 15705 TILEPROP CLBLM_R_X25Y19 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X25Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y19 NAME CLBLM_R_X25Y19 TILEPROP CLBLM_R_X25Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y19 NUM_SITES 2 TILEPROP CLBLM_R_X25Y19 ROW 136 TILEPROP CLBLM_R_X25Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y19 TILE_X 18596 TILEPROP CLBLM_R_X25Y19 TILE_Y -178872 TILEPROP CLBLM_R_X25Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y20 CLASS tile TILEPROP CLBLM_R_X25Y20 COLUMN 65 TILEPROP CLBLM_R_X25Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y20 FIRST_SITE_ID 13642 TILEPROP CLBLM_R_X25Y20 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X25Y20 INDEX 15590 TILEPROP CLBLM_R_X25Y20 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X25Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y20 NAME CLBLM_R_X25Y20 TILEPROP CLBLM_R_X25Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y20 NUM_SITES 2 TILEPROP CLBLM_R_X25Y20 ROW 135 TILEPROP CLBLM_R_X25Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y20 TILE_X 18596 TILEPROP CLBLM_R_X25Y20 TILE_Y -175672 TILEPROP CLBLM_R_X25Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y21 CLASS tile TILEPROP CLBLM_R_X25Y21 COLUMN 65 TILEPROP CLBLM_R_X25Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y21 FIRST_SITE_ID 13536 TILEPROP CLBLM_R_X25Y21 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X25Y21 INDEX 15475 TILEPROP CLBLM_R_X25Y21 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X25Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y21 NAME CLBLM_R_X25Y21 TILEPROP CLBLM_R_X25Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y21 NUM_SITES 2 TILEPROP CLBLM_R_X25Y21 ROW 134 TILEPROP CLBLM_R_X25Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y21 TILE_X 18596 TILEPROP CLBLM_R_X25Y21 TILE_Y -172472 TILEPROP CLBLM_R_X25Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y22 CLASS tile TILEPROP CLBLM_R_X25Y22 COLUMN 65 TILEPROP CLBLM_R_X25Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y22 FIRST_SITE_ID 13436 TILEPROP CLBLM_R_X25Y22 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X25Y22 INDEX 15360 TILEPROP CLBLM_R_X25Y22 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X25Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y22 NAME CLBLM_R_X25Y22 TILEPROP CLBLM_R_X25Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y22 NUM_SITES 2 TILEPROP CLBLM_R_X25Y22 ROW 133 TILEPROP CLBLM_R_X25Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y22 TILE_X 18596 TILEPROP CLBLM_R_X25Y22 TILE_Y -169272 TILEPROP CLBLM_R_X25Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y23 CLASS tile TILEPROP CLBLM_R_X25Y23 COLUMN 65 TILEPROP CLBLM_R_X25Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y23 FIRST_SITE_ID 13336 TILEPROP CLBLM_R_X25Y23 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X25Y23 INDEX 15245 TILEPROP CLBLM_R_X25Y23 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X25Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y23 NAME CLBLM_R_X25Y23 TILEPROP CLBLM_R_X25Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y23 NUM_SITES 2 TILEPROP CLBLM_R_X25Y23 ROW 132 TILEPROP CLBLM_R_X25Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y23 TILE_X 18596 TILEPROP CLBLM_R_X25Y23 TILE_Y -166072 TILEPROP CLBLM_R_X25Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y24 CLASS tile TILEPROP CLBLM_R_X25Y24 COLUMN 65 TILEPROP CLBLM_R_X25Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y24 FIRST_SITE_ID 13236 TILEPROP CLBLM_R_X25Y24 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X25Y24 INDEX 15130 TILEPROP CLBLM_R_X25Y24 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X25Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y24 NAME CLBLM_R_X25Y24 TILEPROP CLBLM_R_X25Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y24 NUM_SITES 2 TILEPROP CLBLM_R_X25Y24 ROW 131 TILEPROP CLBLM_R_X25Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X25Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y24 TILE_X 18596 TILEPROP CLBLM_R_X25Y24 TILE_Y -162872 TILEPROP CLBLM_R_X25Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y25 CLASS tile TILEPROP CLBLM_R_X25Y25 COLUMN 65 TILEPROP CLBLM_R_X25Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y25 FIRST_SITE_ID 13044 TILEPROP CLBLM_R_X25Y25 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X25Y25 INDEX 14900 TILEPROP CLBLM_R_X25Y25 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X25Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y25 NAME CLBLM_R_X25Y25 TILEPROP CLBLM_R_X25Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y25 NUM_SITES 2 TILEPROP CLBLM_R_X25Y25 ROW 129 TILEPROP CLBLM_R_X25Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X25Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y25 TILE_X 18596 TILEPROP CLBLM_R_X25Y25 TILE_Y -158648 TILEPROP CLBLM_R_X25Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y26 CLASS tile TILEPROP CLBLM_R_X25Y26 COLUMN 65 TILEPROP CLBLM_R_X25Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y26 FIRST_SITE_ID 12938 TILEPROP CLBLM_R_X25Y26 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X25Y26 INDEX 14785 TILEPROP CLBLM_R_X25Y26 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X25Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y26 NAME CLBLM_R_X25Y26 TILEPROP CLBLM_R_X25Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y26 NUM_SITES 2 TILEPROP CLBLM_R_X25Y26 ROW 128 TILEPROP CLBLM_R_X25Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y26 TILE_X 18596 TILEPROP CLBLM_R_X25Y26 TILE_Y -155448 TILEPROP CLBLM_R_X25Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y27 CLASS tile TILEPROP CLBLM_R_X25Y27 COLUMN 65 TILEPROP CLBLM_R_X25Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y27 FIRST_SITE_ID 12838 TILEPROP CLBLM_R_X25Y27 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X25Y27 INDEX 14670 TILEPROP CLBLM_R_X25Y27 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X25Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y27 NAME CLBLM_R_X25Y27 TILEPROP CLBLM_R_X25Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y27 NUM_SITES 2 TILEPROP CLBLM_R_X25Y27 ROW 127 TILEPROP CLBLM_R_X25Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y27 TILE_X 18596 TILEPROP CLBLM_R_X25Y27 TILE_Y -152248 TILEPROP CLBLM_R_X25Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y28 CLASS tile TILEPROP CLBLM_R_X25Y28 COLUMN 65 TILEPROP CLBLM_R_X25Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y28 FIRST_SITE_ID 12738 TILEPROP CLBLM_R_X25Y28 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X25Y28 INDEX 14555 TILEPROP CLBLM_R_X25Y28 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X25Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y28 NAME CLBLM_R_X25Y28 TILEPROP CLBLM_R_X25Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y28 NUM_SITES 2 TILEPROP CLBLM_R_X25Y28 ROW 126 TILEPROP CLBLM_R_X25Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y28 TILE_X 18596 TILEPROP CLBLM_R_X25Y28 TILE_Y -149048 TILEPROP CLBLM_R_X25Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y29 CLASS tile TILEPROP CLBLM_R_X25Y29 COLUMN 65 TILEPROP CLBLM_R_X25Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y29 FIRST_SITE_ID 12632 TILEPROP CLBLM_R_X25Y29 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X25Y29 INDEX 14440 TILEPROP CLBLM_R_X25Y29 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X25Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y29 NAME CLBLM_R_X25Y29 TILEPROP CLBLM_R_X25Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y29 NUM_SITES 2 TILEPROP CLBLM_R_X25Y29 ROW 125 TILEPROP CLBLM_R_X25Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y29 TILE_X 18596 TILEPROP CLBLM_R_X25Y29 TILE_Y -145848 TILEPROP CLBLM_R_X25Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y30 CLASS tile TILEPROP CLBLM_R_X25Y30 COLUMN 65 TILEPROP CLBLM_R_X25Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y30 FIRST_SITE_ID 12517 TILEPROP CLBLM_R_X25Y30 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X25Y30 INDEX 14325 TILEPROP CLBLM_R_X25Y30 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X25Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y30 NAME CLBLM_R_X25Y30 TILEPROP CLBLM_R_X25Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y30 NUM_SITES 2 TILEPROP CLBLM_R_X25Y30 ROW 124 TILEPROP CLBLM_R_X25Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y30 TILE_X 18596 TILEPROP CLBLM_R_X25Y30 TILE_Y -142648 TILEPROP CLBLM_R_X25Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y31 CLASS tile TILEPROP CLBLM_R_X25Y31 COLUMN 65 TILEPROP CLBLM_R_X25Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y31 FIRST_SITE_ID 12396 TILEPROP CLBLM_R_X25Y31 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X25Y31 INDEX 14210 TILEPROP CLBLM_R_X25Y31 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X25Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y31 NAME CLBLM_R_X25Y31 TILEPROP CLBLM_R_X25Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y31 NUM_SITES 2 TILEPROP CLBLM_R_X25Y31 ROW 123 TILEPROP CLBLM_R_X25Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y31 TILE_X 18596 TILEPROP CLBLM_R_X25Y31 TILE_Y -139448 TILEPROP CLBLM_R_X25Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y32 CLASS tile TILEPROP CLBLM_R_X25Y32 COLUMN 65 TILEPROP CLBLM_R_X25Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y32 FIRST_SITE_ID 12294 TILEPROP CLBLM_R_X25Y32 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X25Y32 INDEX 14095 TILEPROP CLBLM_R_X25Y32 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X25Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y32 NAME CLBLM_R_X25Y32 TILEPROP CLBLM_R_X25Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y32 NUM_SITES 2 TILEPROP CLBLM_R_X25Y32 ROW 122 TILEPROP CLBLM_R_X25Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y32 TILE_X 18596 TILEPROP CLBLM_R_X25Y32 TILE_Y -136248 TILEPROP CLBLM_R_X25Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y33 CLASS tile TILEPROP CLBLM_R_X25Y33 COLUMN 65 TILEPROP CLBLM_R_X25Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y33 FIRST_SITE_ID 12193 TILEPROP CLBLM_R_X25Y33 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X25Y33 INDEX 13980 TILEPROP CLBLM_R_X25Y33 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X25Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y33 NAME CLBLM_R_X25Y33 TILEPROP CLBLM_R_X25Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y33 NUM_SITES 2 TILEPROP CLBLM_R_X25Y33 ROW 121 TILEPROP CLBLM_R_X25Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y33 TILE_X 18596 TILEPROP CLBLM_R_X25Y33 TILE_Y -133048 TILEPROP CLBLM_R_X25Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y34 CLASS tile TILEPROP CLBLM_R_X25Y34 COLUMN 65 TILEPROP CLBLM_R_X25Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y34 FIRST_SITE_ID 12093 TILEPROP CLBLM_R_X25Y34 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X25Y34 INDEX 13865 TILEPROP CLBLM_R_X25Y34 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X25Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y34 NAME CLBLM_R_X25Y34 TILEPROP CLBLM_R_X25Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y34 NUM_SITES 2 TILEPROP CLBLM_R_X25Y34 ROW 120 TILEPROP CLBLM_R_X25Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y34 TILE_X 18596 TILEPROP CLBLM_R_X25Y34 TILE_Y -129848 TILEPROP CLBLM_R_X25Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y35 CLASS tile TILEPROP CLBLM_R_X25Y35 COLUMN 65 TILEPROP CLBLM_R_X25Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y35 FIRST_SITE_ID 11984 TILEPROP CLBLM_R_X25Y35 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X25Y35 INDEX 13750 TILEPROP CLBLM_R_X25Y35 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X25Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y35 NAME CLBLM_R_X25Y35 TILEPROP CLBLM_R_X25Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y35 NUM_SITES 2 TILEPROP CLBLM_R_X25Y35 ROW 119 TILEPROP CLBLM_R_X25Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y35 TILE_X 18596 TILEPROP CLBLM_R_X25Y35 TILE_Y -126648 TILEPROP CLBLM_R_X25Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y36 CLASS tile TILEPROP CLBLM_R_X25Y36 COLUMN 65 TILEPROP CLBLM_R_X25Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y36 FIRST_SITE_ID 11878 TILEPROP CLBLM_R_X25Y36 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X25Y36 INDEX 13635 TILEPROP CLBLM_R_X25Y36 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X25Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y36 NAME CLBLM_R_X25Y36 TILEPROP CLBLM_R_X25Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y36 NUM_SITES 2 TILEPROP CLBLM_R_X25Y36 ROW 118 TILEPROP CLBLM_R_X25Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y36 TILE_X 18596 TILEPROP CLBLM_R_X25Y36 TILE_Y -123448 TILEPROP CLBLM_R_X25Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y37 CLASS tile TILEPROP CLBLM_R_X25Y37 COLUMN 65 TILEPROP CLBLM_R_X25Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y37 FIRST_SITE_ID 11746 TILEPROP CLBLM_R_X25Y37 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X25Y37 INDEX 13520 TILEPROP CLBLM_R_X25Y37 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X25Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y37 NAME CLBLM_R_X25Y37 TILEPROP CLBLM_R_X25Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y37 NUM_SITES 2 TILEPROP CLBLM_R_X25Y37 ROW 117 TILEPROP CLBLM_R_X25Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y37 TILE_X 18596 TILEPROP CLBLM_R_X25Y37 TILE_Y -120248 TILEPROP CLBLM_R_X25Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y38 CLASS tile TILEPROP CLBLM_R_X25Y38 COLUMN 65 TILEPROP CLBLM_R_X25Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y38 FIRST_SITE_ID 11646 TILEPROP CLBLM_R_X25Y38 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X25Y38 INDEX 13405 TILEPROP CLBLM_R_X25Y38 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X25Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y38 NAME CLBLM_R_X25Y38 TILEPROP CLBLM_R_X25Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y38 NUM_SITES 2 TILEPROP CLBLM_R_X25Y38 ROW 116 TILEPROP CLBLM_R_X25Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y38 TILE_X 18596 TILEPROP CLBLM_R_X25Y38 TILE_Y -117048 TILEPROP CLBLM_R_X25Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y39 CLASS tile TILEPROP CLBLM_R_X25Y39 COLUMN 65 TILEPROP CLBLM_R_X25Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y39 FIRST_SITE_ID 11546 TILEPROP CLBLM_R_X25Y39 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X25Y39 INDEX 13290 TILEPROP CLBLM_R_X25Y39 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X25Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y39 NAME CLBLM_R_X25Y39 TILEPROP CLBLM_R_X25Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y39 NUM_SITES 2 TILEPROP CLBLM_R_X25Y39 ROW 115 TILEPROP CLBLM_R_X25Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y39 TILE_X 18596 TILEPROP CLBLM_R_X25Y39 TILE_Y -113848 TILEPROP CLBLM_R_X25Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y40 CLASS tile TILEPROP CLBLM_R_X25Y40 COLUMN 65 TILEPROP CLBLM_R_X25Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y40 FIRST_SITE_ID 11437 TILEPROP CLBLM_R_X25Y40 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X25Y40 INDEX 13175 TILEPROP CLBLM_R_X25Y40 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X25Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y40 NAME CLBLM_R_X25Y40 TILEPROP CLBLM_R_X25Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y40 NUM_SITES 2 TILEPROP CLBLM_R_X25Y40 ROW 114 TILEPROP CLBLM_R_X25Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y40 TILE_X 18596 TILEPROP CLBLM_R_X25Y40 TILE_Y -110648 TILEPROP CLBLM_R_X25Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y41 CLASS tile TILEPROP CLBLM_R_X25Y41 COLUMN 65 TILEPROP CLBLM_R_X25Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y41 FIRST_SITE_ID 11329 TILEPROP CLBLM_R_X25Y41 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X25Y41 INDEX 13060 TILEPROP CLBLM_R_X25Y41 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X25Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y41 NAME CLBLM_R_X25Y41 TILEPROP CLBLM_R_X25Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y41 NUM_SITES 2 TILEPROP CLBLM_R_X25Y41 ROW 113 TILEPROP CLBLM_R_X25Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y41 TILE_X 18596 TILEPROP CLBLM_R_X25Y41 TILE_Y -107448 TILEPROP CLBLM_R_X25Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y42 CLASS tile TILEPROP CLBLM_R_X25Y42 COLUMN 65 TILEPROP CLBLM_R_X25Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y42 FIRST_SITE_ID 11227 TILEPROP CLBLM_R_X25Y42 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X25Y42 INDEX 12945 TILEPROP CLBLM_R_X25Y42 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X25Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y42 NAME CLBLM_R_X25Y42 TILEPROP CLBLM_R_X25Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y42 NUM_SITES 2 TILEPROP CLBLM_R_X25Y42 ROW 112 TILEPROP CLBLM_R_X25Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y42 TILE_X 18596 TILEPROP CLBLM_R_X25Y42 TILE_Y -104248 TILEPROP CLBLM_R_X25Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y43 CLASS tile TILEPROP CLBLM_R_X25Y43 COLUMN 65 TILEPROP CLBLM_R_X25Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y43 FIRST_SITE_ID 11124 TILEPROP CLBLM_R_X25Y43 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X25Y43 INDEX 12830 TILEPROP CLBLM_R_X25Y43 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X25Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y43 NAME CLBLM_R_X25Y43 TILEPROP CLBLM_R_X25Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y43 NUM_SITES 2 TILEPROP CLBLM_R_X25Y43 ROW 111 TILEPROP CLBLM_R_X25Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y43 TILE_X 18596 TILEPROP CLBLM_R_X25Y43 TILE_Y -101048 TILEPROP CLBLM_R_X25Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y44 CLASS tile TILEPROP CLBLM_R_X25Y44 COLUMN 65 TILEPROP CLBLM_R_X25Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y44 FIRST_SITE_ID 11022 TILEPROP CLBLM_R_X25Y44 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X25Y44 INDEX 12715 TILEPROP CLBLM_R_X25Y44 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X25Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y44 NAME CLBLM_R_X25Y44 TILEPROP CLBLM_R_X25Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y44 NUM_SITES 2 TILEPROP CLBLM_R_X25Y44 ROW 110 TILEPROP CLBLM_R_X25Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y44 TILE_X 18596 TILEPROP CLBLM_R_X25Y44 TILE_Y -97848 TILEPROP CLBLM_R_X25Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y45 CLASS tile TILEPROP CLBLM_R_X25Y45 COLUMN 65 TILEPROP CLBLM_R_X25Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y45 FIRST_SITE_ID 10913 TILEPROP CLBLM_R_X25Y45 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X25Y45 INDEX 12600 TILEPROP CLBLM_R_X25Y45 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X25Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y45 NAME CLBLM_R_X25Y45 TILEPROP CLBLM_R_X25Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y45 NUM_SITES 2 TILEPROP CLBLM_R_X25Y45 ROW 109 TILEPROP CLBLM_R_X25Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y45 TILE_X 18596 TILEPROP CLBLM_R_X25Y45 TILE_Y -94648 TILEPROP CLBLM_R_X25Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y46 CLASS tile TILEPROP CLBLM_R_X25Y46 COLUMN 65 TILEPROP CLBLM_R_X25Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y46 FIRST_SITE_ID 10806 TILEPROP CLBLM_R_X25Y46 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X25Y46 INDEX 12485 TILEPROP CLBLM_R_X25Y46 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X25Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y46 NAME CLBLM_R_X25Y46 TILEPROP CLBLM_R_X25Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y46 NUM_SITES 2 TILEPROP CLBLM_R_X25Y46 ROW 108 TILEPROP CLBLM_R_X25Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y46 TILE_X 18596 TILEPROP CLBLM_R_X25Y46 TILE_Y -91448 TILEPROP CLBLM_R_X25Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y47 CLASS tile TILEPROP CLBLM_R_X25Y47 COLUMN 65 TILEPROP CLBLM_R_X25Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y47 FIRST_SITE_ID 10690 TILEPROP CLBLM_R_X25Y47 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X25Y47 INDEX 12370 TILEPROP CLBLM_R_X25Y47 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X25Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y47 NAME CLBLM_R_X25Y47 TILEPROP CLBLM_R_X25Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y47 NUM_SITES 2 TILEPROP CLBLM_R_X25Y47 ROW 107 TILEPROP CLBLM_R_X25Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y47 TILE_X 18596 TILEPROP CLBLM_R_X25Y47 TILE_Y -88248 TILEPROP CLBLM_R_X25Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y48 CLASS tile TILEPROP CLBLM_R_X25Y48 COLUMN 65 TILEPROP CLBLM_R_X25Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y48 FIRST_SITE_ID 10590 TILEPROP CLBLM_R_X25Y48 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X25Y48 INDEX 12255 TILEPROP CLBLM_R_X25Y48 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X25Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y48 NAME CLBLM_R_X25Y48 TILEPROP CLBLM_R_X25Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y48 NUM_SITES 2 TILEPROP CLBLM_R_X25Y48 ROW 106 TILEPROP CLBLM_R_X25Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y48 TILE_X 18596 TILEPROP CLBLM_R_X25Y48 TILE_Y -85048 TILEPROP CLBLM_R_X25Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y49 CLASS tile TILEPROP CLBLM_R_X25Y49 COLUMN 65 TILEPROP CLBLM_R_X25Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y49 FIRST_SITE_ID 10494 TILEPROP CLBLM_R_X25Y49 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X25Y49 INDEX 12140 TILEPROP CLBLM_R_X25Y49 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X25Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y49 NAME CLBLM_R_X25Y49 TILEPROP CLBLM_R_X25Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y49 NUM_SITES 2 TILEPROP CLBLM_R_X25Y49 ROW 105 TILEPROP CLBLM_R_X25Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X25Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y49 TILE_X 18596 TILEPROP CLBLM_R_X25Y49 TILE_Y -81848 TILEPROP CLBLM_R_X25Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y50 CLASS tile TILEPROP CLBLM_R_X25Y50 COLUMN 65 TILEPROP CLBLM_R_X25Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y50 FIRST_SITE_ID 10385 TILEPROP CLBLM_R_X25Y50 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X25Y50 INDEX 11910 TILEPROP CLBLM_R_X25Y50 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X25Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y50 NAME CLBLM_R_X25Y50 TILEPROP CLBLM_R_X25Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y50 NUM_SITES 2 TILEPROP CLBLM_R_X25Y50 ROW 103 TILEPROP CLBLM_R_X25Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X25Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y50 TILE_X 18596 TILEPROP CLBLM_R_X25Y50 TILE_Y -78400 TILEPROP CLBLM_R_X25Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y51 CLASS tile TILEPROP CLBLM_R_X25Y51 COLUMN 65 TILEPROP CLBLM_R_X25Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y51 FIRST_SITE_ID 10259 TILEPROP CLBLM_R_X25Y51 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X25Y51 INDEX 11795 TILEPROP CLBLM_R_X25Y51 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X25Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y51 NAME CLBLM_R_X25Y51 TILEPROP CLBLM_R_X25Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y51 NUM_SITES 2 TILEPROP CLBLM_R_X25Y51 ROW 102 TILEPROP CLBLM_R_X25Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y51 TILE_X 18596 TILEPROP CLBLM_R_X25Y51 TILE_Y -75200 TILEPROP CLBLM_R_X25Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y52 CLASS tile TILEPROP CLBLM_R_X25Y52 COLUMN 65 TILEPROP CLBLM_R_X25Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y52 FIRST_SITE_ID 10159 TILEPROP CLBLM_R_X25Y52 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X25Y52 INDEX 11680 TILEPROP CLBLM_R_X25Y52 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X25Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y52 NAME CLBLM_R_X25Y52 TILEPROP CLBLM_R_X25Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y52 NUM_SITES 2 TILEPROP CLBLM_R_X25Y52 ROW 101 TILEPROP CLBLM_R_X25Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y52 TILE_X 18596 TILEPROP CLBLM_R_X25Y52 TILE_Y -72000 TILEPROP CLBLM_R_X25Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y53 CLASS tile TILEPROP CLBLM_R_X25Y53 COLUMN 65 TILEPROP CLBLM_R_X25Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y53 FIRST_SITE_ID 10059 TILEPROP CLBLM_R_X25Y53 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X25Y53 INDEX 11565 TILEPROP CLBLM_R_X25Y53 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X25Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y53 NAME CLBLM_R_X25Y53 TILEPROP CLBLM_R_X25Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y53 NUM_SITES 2 TILEPROP CLBLM_R_X25Y53 ROW 100 TILEPROP CLBLM_R_X25Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y53 TILE_X 18596 TILEPROP CLBLM_R_X25Y53 TILE_Y -68800 TILEPROP CLBLM_R_X25Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y54 CLASS tile TILEPROP CLBLM_R_X25Y54 COLUMN 65 TILEPROP CLBLM_R_X25Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y54 FIRST_SITE_ID 9959 TILEPROP CLBLM_R_X25Y54 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X25Y54 INDEX 11450 TILEPROP CLBLM_R_X25Y54 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X25Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y54 NAME CLBLM_R_X25Y54 TILEPROP CLBLM_R_X25Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y54 NUM_SITES 2 TILEPROP CLBLM_R_X25Y54 ROW 99 TILEPROP CLBLM_R_X25Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y54 TILE_X 18596 TILEPROP CLBLM_R_X25Y54 TILE_Y -65600 TILEPROP CLBLM_R_X25Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y55 CLASS tile TILEPROP CLBLM_R_X25Y55 COLUMN 65 TILEPROP CLBLM_R_X25Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y55 FIRST_SITE_ID 9850 TILEPROP CLBLM_R_X25Y55 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X25Y55 INDEX 11335 TILEPROP CLBLM_R_X25Y55 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X25Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y55 NAME CLBLM_R_X25Y55 TILEPROP CLBLM_R_X25Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y55 NUM_SITES 2 TILEPROP CLBLM_R_X25Y55 ROW 98 TILEPROP CLBLM_R_X25Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y55 TILE_X 18596 TILEPROP CLBLM_R_X25Y55 TILE_Y -62400 TILEPROP CLBLM_R_X25Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y56 CLASS tile TILEPROP CLBLM_R_X25Y56 COLUMN 65 TILEPROP CLBLM_R_X25Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y56 FIRST_SITE_ID 9744 TILEPROP CLBLM_R_X25Y56 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X25Y56 INDEX 11220 TILEPROP CLBLM_R_X25Y56 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X25Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y56 NAME CLBLM_R_X25Y56 TILEPROP CLBLM_R_X25Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y56 NUM_SITES 2 TILEPROP CLBLM_R_X25Y56 ROW 97 TILEPROP CLBLM_R_X25Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y56 TILE_X 18596 TILEPROP CLBLM_R_X25Y56 TILE_Y -59200 TILEPROP CLBLM_R_X25Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y57 CLASS tile TILEPROP CLBLM_R_X25Y57 COLUMN 65 TILEPROP CLBLM_R_X25Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y57 FIRST_SITE_ID 9642 TILEPROP CLBLM_R_X25Y57 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X25Y57 INDEX 11105 TILEPROP CLBLM_R_X25Y57 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X25Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y57 NAME CLBLM_R_X25Y57 TILEPROP CLBLM_R_X25Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y57 NUM_SITES 2 TILEPROP CLBLM_R_X25Y57 ROW 96 TILEPROP CLBLM_R_X25Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y57 TILE_X 18596 TILEPROP CLBLM_R_X25Y57 TILE_Y -56000 TILEPROP CLBLM_R_X25Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y58 CLASS tile TILEPROP CLBLM_R_X25Y58 COLUMN 65 TILEPROP CLBLM_R_X25Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y58 FIRST_SITE_ID 9539 TILEPROP CLBLM_R_X25Y58 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X25Y58 INDEX 10990 TILEPROP CLBLM_R_X25Y58 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X25Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y58 NAME CLBLM_R_X25Y58 TILEPROP CLBLM_R_X25Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y58 NUM_SITES 2 TILEPROP CLBLM_R_X25Y58 ROW 95 TILEPROP CLBLM_R_X25Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y58 TILE_X 18596 TILEPROP CLBLM_R_X25Y58 TILE_Y -52800 TILEPROP CLBLM_R_X25Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y59 CLASS tile TILEPROP CLBLM_R_X25Y59 COLUMN 65 TILEPROP CLBLM_R_X25Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y59 FIRST_SITE_ID 9438 TILEPROP CLBLM_R_X25Y59 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X25Y59 INDEX 10875 TILEPROP CLBLM_R_X25Y59 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X25Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y59 NAME CLBLM_R_X25Y59 TILEPROP CLBLM_R_X25Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y59 NUM_SITES 2 TILEPROP CLBLM_R_X25Y59 ROW 94 TILEPROP CLBLM_R_X25Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y59 TILE_X 18596 TILEPROP CLBLM_R_X25Y59 TILE_Y -49600 TILEPROP CLBLM_R_X25Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y60 CLASS tile TILEPROP CLBLM_R_X25Y60 COLUMN 65 TILEPROP CLBLM_R_X25Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y60 FIRST_SITE_ID 9329 TILEPROP CLBLM_R_X25Y60 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X25Y60 INDEX 10760 TILEPROP CLBLM_R_X25Y60 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X25Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y60 NAME CLBLM_R_X25Y60 TILEPROP CLBLM_R_X25Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y60 NUM_SITES 2 TILEPROP CLBLM_R_X25Y60 ROW 93 TILEPROP CLBLM_R_X25Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y60 TILE_X 18596 TILEPROP CLBLM_R_X25Y60 TILE_Y -46400 TILEPROP CLBLM_R_X25Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y61 CLASS tile TILEPROP CLBLM_R_X25Y61 COLUMN 65 TILEPROP CLBLM_R_X25Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y61 FIRST_SITE_ID 9223 TILEPROP CLBLM_R_X25Y61 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X25Y61 INDEX 10645 TILEPROP CLBLM_R_X25Y61 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X25Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y61 NAME CLBLM_R_X25Y61 TILEPROP CLBLM_R_X25Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y61 NUM_SITES 2 TILEPROP CLBLM_R_X25Y61 ROW 92 TILEPROP CLBLM_R_X25Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y61 TILE_X 18596 TILEPROP CLBLM_R_X25Y61 TILE_Y -43200 TILEPROP CLBLM_R_X25Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y62 CLASS tile TILEPROP CLBLM_R_X25Y62 COLUMN 65 TILEPROP CLBLM_R_X25Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y62 FIRST_SITE_ID 9123 TILEPROP CLBLM_R_X25Y62 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X25Y62 INDEX 10530 TILEPROP CLBLM_R_X25Y62 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X25Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y62 NAME CLBLM_R_X25Y62 TILEPROP CLBLM_R_X25Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y62 NUM_SITES 2 TILEPROP CLBLM_R_X25Y62 ROW 91 TILEPROP CLBLM_R_X25Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y62 TILE_X 18596 TILEPROP CLBLM_R_X25Y62 TILE_Y -40000 TILEPROP CLBLM_R_X25Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y63 CLASS tile TILEPROP CLBLM_R_X25Y63 COLUMN 65 TILEPROP CLBLM_R_X25Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y63 FIRST_SITE_ID 8991 TILEPROP CLBLM_R_X25Y63 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X25Y63 INDEX 10415 TILEPROP CLBLM_R_X25Y63 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X25Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y63 NAME CLBLM_R_X25Y63 TILEPROP CLBLM_R_X25Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y63 NUM_SITES 2 TILEPROP CLBLM_R_X25Y63 ROW 90 TILEPROP CLBLM_R_X25Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y63 TILE_X 18596 TILEPROP CLBLM_R_X25Y63 TILE_Y -36800 TILEPROP CLBLM_R_X25Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y64 CLASS tile TILEPROP CLBLM_R_X25Y64 COLUMN 65 TILEPROP CLBLM_R_X25Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y64 FIRST_SITE_ID 8891 TILEPROP CLBLM_R_X25Y64 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X25Y64 INDEX 10300 TILEPROP CLBLM_R_X25Y64 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X25Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y64 NAME CLBLM_R_X25Y64 TILEPROP CLBLM_R_X25Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y64 NUM_SITES 2 TILEPROP CLBLM_R_X25Y64 ROW 89 TILEPROP CLBLM_R_X25Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y64 TILE_X 18596 TILEPROP CLBLM_R_X25Y64 TILE_Y -33600 TILEPROP CLBLM_R_X25Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y65 CLASS tile TILEPROP CLBLM_R_X25Y65 COLUMN 65 TILEPROP CLBLM_R_X25Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y65 FIRST_SITE_ID 8782 TILEPROP CLBLM_R_X25Y65 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X25Y65 INDEX 10185 TILEPROP CLBLM_R_X25Y65 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X25Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y65 NAME CLBLM_R_X25Y65 TILEPROP CLBLM_R_X25Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y65 NUM_SITES 2 TILEPROP CLBLM_R_X25Y65 ROW 88 TILEPROP CLBLM_R_X25Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y65 TILE_X 18596 TILEPROP CLBLM_R_X25Y65 TILE_Y -30400 TILEPROP CLBLM_R_X25Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y66 CLASS tile TILEPROP CLBLM_R_X25Y66 COLUMN 65 TILEPROP CLBLM_R_X25Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y66 FIRST_SITE_ID 8676 TILEPROP CLBLM_R_X25Y66 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X25Y66 INDEX 10070 TILEPROP CLBLM_R_X25Y66 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X25Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y66 NAME CLBLM_R_X25Y66 TILEPROP CLBLM_R_X25Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y66 NUM_SITES 2 TILEPROP CLBLM_R_X25Y66 ROW 87 TILEPROP CLBLM_R_X25Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y66 TILE_X 18596 TILEPROP CLBLM_R_X25Y66 TILE_Y -27200 TILEPROP CLBLM_R_X25Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y67 CLASS tile TILEPROP CLBLM_R_X25Y67 COLUMN 65 TILEPROP CLBLM_R_X25Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y67 FIRST_SITE_ID 8572 TILEPROP CLBLM_R_X25Y67 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X25Y67 INDEX 9955 TILEPROP CLBLM_R_X25Y67 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X25Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y67 NAME CLBLM_R_X25Y67 TILEPROP CLBLM_R_X25Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y67 NUM_SITES 2 TILEPROP CLBLM_R_X25Y67 ROW 86 TILEPROP CLBLM_R_X25Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y67 TILE_X 18596 TILEPROP CLBLM_R_X25Y67 TILE_Y -24000 TILEPROP CLBLM_R_X25Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y68 CLASS tile TILEPROP CLBLM_R_X25Y68 COLUMN 65 TILEPROP CLBLM_R_X25Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y68 FIRST_SITE_ID 8468 TILEPROP CLBLM_R_X25Y68 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X25Y68 INDEX 9840 TILEPROP CLBLM_R_X25Y68 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X25Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y68 NAME CLBLM_R_X25Y68 TILEPROP CLBLM_R_X25Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y68 NUM_SITES 2 TILEPROP CLBLM_R_X25Y68 ROW 85 TILEPROP CLBLM_R_X25Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y68 TILE_X 18596 TILEPROP CLBLM_R_X25Y68 TILE_Y -20800 TILEPROP CLBLM_R_X25Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y69 CLASS tile TILEPROP CLBLM_R_X25Y69 COLUMN 65 TILEPROP CLBLM_R_X25Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y69 FIRST_SITE_ID 8366 TILEPROP CLBLM_R_X25Y69 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X25Y69 INDEX 9725 TILEPROP CLBLM_R_X25Y69 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X25Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y69 NAME CLBLM_R_X25Y69 TILEPROP CLBLM_R_X25Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y69 NUM_SITES 2 TILEPROP CLBLM_R_X25Y69 ROW 84 TILEPROP CLBLM_R_X25Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y69 TILE_X 18596 TILEPROP CLBLM_R_X25Y69 TILE_Y -17600 TILEPROP CLBLM_R_X25Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y70 CLASS tile TILEPROP CLBLM_R_X25Y70 COLUMN 65 TILEPROP CLBLM_R_X25Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y70 FIRST_SITE_ID 8255 TILEPROP CLBLM_R_X25Y70 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X25Y70 INDEX 9610 TILEPROP CLBLM_R_X25Y70 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X25Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y70 NAME CLBLM_R_X25Y70 TILEPROP CLBLM_R_X25Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y70 NUM_SITES 2 TILEPROP CLBLM_R_X25Y70 ROW 83 TILEPROP CLBLM_R_X25Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y70 TILE_X 18596 TILEPROP CLBLM_R_X25Y70 TILE_Y -14400 TILEPROP CLBLM_R_X25Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y71 CLASS tile TILEPROP CLBLM_R_X25Y71 COLUMN 65 TILEPROP CLBLM_R_X25Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y71 FIRST_SITE_ID 8149 TILEPROP CLBLM_R_X25Y71 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X25Y71 INDEX 9495 TILEPROP CLBLM_R_X25Y71 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X25Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y71 NAME CLBLM_R_X25Y71 TILEPROP CLBLM_R_X25Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y71 NUM_SITES 2 TILEPROP CLBLM_R_X25Y71 ROW 82 TILEPROP CLBLM_R_X25Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y71 TILE_X 18596 TILEPROP CLBLM_R_X25Y71 TILE_Y -11200 TILEPROP CLBLM_R_X25Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y72 CLASS tile TILEPROP CLBLM_R_X25Y72 COLUMN 65 TILEPROP CLBLM_R_X25Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y72 FIRST_SITE_ID 8049 TILEPROP CLBLM_R_X25Y72 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X25Y72 INDEX 9380 TILEPROP CLBLM_R_X25Y72 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X25Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y72 NAME CLBLM_R_X25Y72 TILEPROP CLBLM_R_X25Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y72 NUM_SITES 2 TILEPROP CLBLM_R_X25Y72 ROW 81 TILEPROP CLBLM_R_X25Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y72 TILE_X 18596 TILEPROP CLBLM_R_X25Y72 TILE_Y -8000 TILEPROP CLBLM_R_X25Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y73 CLASS tile TILEPROP CLBLM_R_X25Y73 COLUMN 65 TILEPROP CLBLM_R_X25Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y73 FIRST_SITE_ID 7949 TILEPROP CLBLM_R_X25Y73 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X25Y73 INDEX 9265 TILEPROP CLBLM_R_X25Y73 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X25Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y73 NAME CLBLM_R_X25Y73 TILEPROP CLBLM_R_X25Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y73 NUM_SITES 2 TILEPROP CLBLM_R_X25Y73 ROW 80 TILEPROP CLBLM_R_X25Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y73 TILE_X 18596 TILEPROP CLBLM_R_X25Y73 TILE_Y -4800 TILEPROP CLBLM_R_X25Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y74 CLASS tile TILEPROP CLBLM_R_X25Y74 COLUMN 65 TILEPROP CLBLM_R_X25Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y74 FIRST_SITE_ID 7849 TILEPROP CLBLM_R_X25Y74 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X25Y74 INDEX 9150 TILEPROP CLBLM_R_X25Y74 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X25Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y74 NAME CLBLM_R_X25Y74 TILEPROP CLBLM_R_X25Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y74 NUM_SITES 2 TILEPROP CLBLM_R_X25Y74 ROW 79 TILEPROP CLBLM_R_X25Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X25Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y74 TILE_X 18596 TILEPROP CLBLM_R_X25Y74 TILE_Y -1600 TILEPROP CLBLM_R_X25Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y75 CLASS tile TILEPROP CLBLM_R_X25Y75 COLUMN 65 TILEPROP CLBLM_R_X25Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y75 FIRST_SITE_ID 7657 TILEPROP CLBLM_R_X25Y75 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X25Y75 INDEX 8920 TILEPROP CLBLM_R_X25Y75 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X25Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y75 NAME CLBLM_R_X25Y75 TILEPROP CLBLM_R_X25Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y75 NUM_SITES 2 TILEPROP CLBLM_R_X25Y75 ROW 77 TILEPROP CLBLM_R_X25Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X25Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y75 TILE_X 18596 TILEPROP CLBLM_R_X25Y75 TILE_Y 2624 TILEPROP CLBLM_R_X25Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y76 CLASS tile TILEPROP CLBLM_R_X25Y76 COLUMN 65 TILEPROP CLBLM_R_X25Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y76 FIRST_SITE_ID 7548 TILEPROP CLBLM_R_X25Y76 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X25Y76 INDEX 8805 TILEPROP CLBLM_R_X25Y76 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X25Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y76 NAME CLBLM_R_X25Y76 TILEPROP CLBLM_R_X25Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y76 NUM_SITES 2 TILEPROP CLBLM_R_X25Y76 ROW 76 TILEPROP CLBLM_R_X25Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y76 TILE_X 18596 TILEPROP CLBLM_R_X25Y76 TILE_Y 5824 TILEPROP CLBLM_R_X25Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y77 CLASS tile TILEPROP CLBLM_R_X25Y77 COLUMN 65 TILEPROP CLBLM_R_X25Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y77 FIRST_SITE_ID 7448 TILEPROP CLBLM_R_X25Y77 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X25Y77 INDEX 8690 TILEPROP CLBLM_R_X25Y77 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X25Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y77 NAME CLBLM_R_X25Y77 TILEPROP CLBLM_R_X25Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y77 NUM_SITES 2 TILEPROP CLBLM_R_X25Y77 ROW 75 TILEPROP CLBLM_R_X25Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y77 TILE_X 18596 TILEPROP CLBLM_R_X25Y77 TILE_Y 9024 TILEPROP CLBLM_R_X25Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y78 CLASS tile TILEPROP CLBLM_R_X25Y78 COLUMN 65 TILEPROP CLBLM_R_X25Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y78 FIRST_SITE_ID 7348 TILEPROP CLBLM_R_X25Y78 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X25Y78 INDEX 8575 TILEPROP CLBLM_R_X25Y78 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X25Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y78 NAME CLBLM_R_X25Y78 TILEPROP CLBLM_R_X25Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y78 NUM_SITES 2 TILEPROP CLBLM_R_X25Y78 ROW 74 TILEPROP CLBLM_R_X25Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y78 TILE_X 18596 TILEPROP CLBLM_R_X25Y78 TILE_Y 12224 TILEPROP CLBLM_R_X25Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y79 CLASS tile TILEPROP CLBLM_R_X25Y79 COLUMN 65 TILEPROP CLBLM_R_X25Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y79 FIRST_SITE_ID 7242 TILEPROP CLBLM_R_X25Y79 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X25Y79 INDEX 8460 TILEPROP CLBLM_R_X25Y79 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X25Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y79 NAME CLBLM_R_X25Y79 TILEPROP CLBLM_R_X25Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y79 NUM_SITES 2 TILEPROP CLBLM_R_X25Y79 ROW 73 TILEPROP CLBLM_R_X25Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y79 TILE_X 18596 TILEPROP CLBLM_R_X25Y79 TILE_Y 15424 TILEPROP CLBLM_R_X25Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y80 CLASS tile TILEPROP CLBLM_R_X25Y80 COLUMN 65 TILEPROP CLBLM_R_X25Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y80 FIRST_SITE_ID 7127 TILEPROP CLBLM_R_X25Y80 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X25Y80 INDEX 8345 TILEPROP CLBLM_R_X25Y80 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X25Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y80 NAME CLBLM_R_X25Y80 TILEPROP CLBLM_R_X25Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y80 NUM_SITES 2 TILEPROP CLBLM_R_X25Y80 ROW 72 TILEPROP CLBLM_R_X25Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y80 TILE_X 18596 TILEPROP CLBLM_R_X25Y80 TILE_Y 18624 TILEPROP CLBLM_R_X25Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y81 CLASS tile TILEPROP CLBLM_R_X25Y81 COLUMN 65 TILEPROP CLBLM_R_X25Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y81 FIRST_SITE_ID 7019 TILEPROP CLBLM_R_X25Y81 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X25Y81 INDEX 8230 TILEPROP CLBLM_R_X25Y81 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X25Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y81 NAME CLBLM_R_X25Y81 TILEPROP CLBLM_R_X25Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y81 NUM_SITES 2 TILEPROP CLBLM_R_X25Y81 ROW 71 TILEPROP CLBLM_R_X25Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y81 TILE_X 18596 TILEPROP CLBLM_R_X25Y81 TILE_Y 21824 TILEPROP CLBLM_R_X25Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y82 CLASS tile TILEPROP CLBLM_R_X25Y82 COLUMN 65 TILEPROP CLBLM_R_X25Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y82 FIRST_SITE_ID 6917 TILEPROP CLBLM_R_X25Y82 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X25Y82 INDEX 8115 TILEPROP CLBLM_R_X25Y82 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X25Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y82 NAME CLBLM_R_X25Y82 TILEPROP CLBLM_R_X25Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y82 NUM_SITES 2 TILEPROP CLBLM_R_X25Y82 ROW 70 TILEPROP CLBLM_R_X25Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y82 TILE_X 18596 TILEPROP CLBLM_R_X25Y82 TILE_Y 25024 TILEPROP CLBLM_R_X25Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y83 CLASS tile TILEPROP CLBLM_R_X25Y83 COLUMN 65 TILEPROP CLBLM_R_X25Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y83 FIRST_SITE_ID 6817 TILEPROP CLBLM_R_X25Y83 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X25Y83 INDEX 8000 TILEPROP CLBLM_R_X25Y83 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X25Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y83 NAME CLBLM_R_X25Y83 TILEPROP CLBLM_R_X25Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y83 NUM_SITES 2 TILEPROP CLBLM_R_X25Y83 ROW 69 TILEPROP CLBLM_R_X25Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y83 TILE_X 18596 TILEPROP CLBLM_R_X25Y83 TILE_Y 28224 TILEPROP CLBLM_R_X25Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y84 CLASS tile TILEPROP CLBLM_R_X25Y84 COLUMN 65 TILEPROP CLBLM_R_X25Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y84 FIRST_SITE_ID 6717 TILEPROP CLBLM_R_X25Y84 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X25Y84 INDEX 7885 TILEPROP CLBLM_R_X25Y84 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X25Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y84 NAME CLBLM_R_X25Y84 TILEPROP CLBLM_R_X25Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y84 NUM_SITES 2 TILEPROP CLBLM_R_X25Y84 ROW 68 TILEPROP CLBLM_R_X25Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y84 TILE_X 18596 TILEPROP CLBLM_R_X25Y84 TILE_Y 31424 TILEPROP CLBLM_R_X25Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y85 CLASS tile TILEPROP CLBLM_R_X25Y85 COLUMN 65 TILEPROP CLBLM_R_X25Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y85 FIRST_SITE_ID 6608 TILEPROP CLBLM_R_X25Y85 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X25Y85 INDEX 7770 TILEPROP CLBLM_R_X25Y85 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X25Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y85 NAME CLBLM_R_X25Y85 TILEPROP CLBLM_R_X25Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y85 NUM_SITES 2 TILEPROP CLBLM_R_X25Y85 ROW 67 TILEPROP CLBLM_R_X25Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y85 TILE_X 18596 TILEPROP CLBLM_R_X25Y85 TILE_Y 34624 TILEPROP CLBLM_R_X25Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y86 CLASS tile TILEPROP CLBLM_R_X25Y86 COLUMN 65 TILEPROP CLBLM_R_X25Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y86 FIRST_SITE_ID 6502 TILEPROP CLBLM_R_X25Y86 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X25Y86 INDEX 7655 TILEPROP CLBLM_R_X25Y86 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X25Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y86 NAME CLBLM_R_X25Y86 TILEPROP CLBLM_R_X25Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y86 NUM_SITES 2 TILEPROP CLBLM_R_X25Y86 ROW 66 TILEPROP CLBLM_R_X25Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y86 TILE_X 18596 TILEPROP CLBLM_R_X25Y86 TILE_Y 37824 TILEPROP CLBLM_R_X25Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y87 CLASS tile TILEPROP CLBLM_R_X25Y87 COLUMN 65 TILEPROP CLBLM_R_X25Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y87 FIRST_SITE_ID 6370 TILEPROP CLBLM_R_X25Y87 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X25Y87 INDEX 7540 TILEPROP CLBLM_R_X25Y87 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X25Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y87 NAME CLBLM_R_X25Y87 TILEPROP CLBLM_R_X25Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y87 NUM_SITES 2 TILEPROP CLBLM_R_X25Y87 ROW 65 TILEPROP CLBLM_R_X25Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y87 TILE_X 18596 TILEPROP CLBLM_R_X25Y87 TILE_Y 41024 TILEPROP CLBLM_R_X25Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y88 CLASS tile TILEPROP CLBLM_R_X25Y88 COLUMN 65 TILEPROP CLBLM_R_X25Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y88 FIRST_SITE_ID 6270 TILEPROP CLBLM_R_X25Y88 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X25Y88 INDEX 7425 TILEPROP CLBLM_R_X25Y88 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X25Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y88 NAME CLBLM_R_X25Y88 TILEPROP CLBLM_R_X25Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y88 NUM_SITES 2 TILEPROP CLBLM_R_X25Y88 ROW 64 TILEPROP CLBLM_R_X25Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y88 TILE_X 18596 TILEPROP CLBLM_R_X25Y88 TILE_Y 44224 TILEPROP CLBLM_R_X25Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y89 CLASS tile TILEPROP CLBLM_R_X25Y89 COLUMN 65 TILEPROP CLBLM_R_X25Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y89 FIRST_SITE_ID 6170 TILEPROP CLBLM_R_X25Y89 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X25Y89 INDEX 7310 TILEPROP CLBLM_R_X25Y89 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X25Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y89 NAME CLBLM_R_X25Y89 TILEPROP CLBLM_R_X25Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y89 NUM_SITES 2 TILEPROP CLBLM_R_X25Y89 ROW 63 TILEPROP CLBLM_R_X25Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y89 TILE_X 18596 TILEPROP CLBLM_R_X25Y89 TILE_Y 47424 TILEPROP CLBLM_R_X25Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y90 CLASS tile TILEPROP CLBLM_R_X25Y90 COLUMN 65 TILEPROP CLBLM_R_X25Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y90 FIRST_SITE_ID 6061 TILEPROP CLBLM_R_X25Y90 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X25Y90 INDEX 7195 TILEPROP CLBLM_R_X25Y90 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X25Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y90 NAME CLBLM_R_X25Y90 TILEPROP CLBLM_R_X25Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y90 NUM_SITES 2 TILEPROP CLBLM_R_X25Y90 ROW 62 TILEPROP CLBLM_R_X25Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y90 TILE_X 18596 TILEPROP CLBLM_R_X25Y90 TILE_Y 50624 TILEPROP CLBLM_R_X25Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y91 CLASS tile TILEPROP CLBLM_R_X25Y91 COLUMN 65 TILEPROP CLBLM_R_X25Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y91 FIRST_SITE_ID 5955 TILEPROP CLBLM_R_X25Y91 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X25Y91 INDEX 7080 TILEPROP CLBLM_R_X25Y91 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X25Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y91 NAME CLBLM_R_X25Y91 TILEPROP CLBLM_R_X25Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y91 NUM_SITES 2 TILEPROP CLBLM_R_X25Y91 ROW 61 TILEPROP CLBLM_R_X25Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y91 TILE_X 18596 TILEPROP CLBLM_R_X25Y91 TILE_Y 53824 TILEPROP CLBLM_R_X25Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y92 CLASS tile TILEPROP CLBLM_R_X25Y92 COLUMN 65 TILEPROP CLBLM_R_X25Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y92 FIRST_SITE_ID 5854 TILEPROP CLBLM_R_X25Y92 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X25Y92 INDEX 6965 TILEPROP CLBLM_R_X25Y92 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X25Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y92 NAME CLBLM_R_X25Y92 TILEPROP CLBLM_R_X25Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y92 NUM_SITES 2 TILEPROP CLBLM_R_X25Y92 ROW 60 TILEPROP CLBLM_R_X25Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y92 TILE_X 18596 TILEPROP CLBLM_R_X25Y92 TILE_Y 57024 TILEPROP CLBLM_R_X25Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y93 CLASS tile TILEPROP CLBLM_R_X25Y93 COLUMN 65 TILEPROP CLBLM_R_X25Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y93 FIRST_SITE_ID 5751 TILEPROP CLBLM_R_X25Y93 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X25Y93 INDEX 6850 TILEPROP CLBLM_R_X25Y93 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X25Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y93 NAME CLBLM_R_X25Y93 TILEPROP CLBLM_R_X25Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y93 NUM_SITES 2 TILEPROP CLBLM_R_X25Y93 ROW 59 TILEPROP CLBLM_R_X25Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y93 TILE_X 18596 TILEPROP CLBLM_R_X25Y93 TILE_Y 60224 TILEPROP CLBLM_R_X25Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y94 CLASS tile TILEPROP CLBLM_R_X25Y94 COLUMN 65 TILEPROP CLBLM_R_X25Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y94 FIRST_SITE_ID 5649 TILEPROP CLBLM_R_X25Y94 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X25Y94 INDEX 6735 TILEPROP CLBLM_R_X25Y94 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X25Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y94 NAME CLBLM_R_X25Y94 TILEPROP CLBLM_R_X25Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y94 NUM_SITES 2 TILEPROP CLBLM_R_X25Y94 ROW 58 TILEPROP CLBLM_R_X25Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y94 TILE_X 18596 TILEPROP CLBLM_R_X25Y94 TILE_Y 63424 TILEPROP CLBLM_R_X25Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y95 CLASS tile TILEPROP CLBLM_R_X25Y95 COLUMN 65 TILEPROP CLBLM_R_X25Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y95 FIRST_SITE_ID 5540 TILEPROP CLBLM_R_X25Y95 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X25Y95 INDEX 6620 TILEPROP CLBLM_R_X25Y95 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X25Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y95 NAME CLBLM_R_X25Y95 TILEPROP CLBLM_R_X25Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y95 NUM_SITES 2 TILEPROP CLBLM_R_X25Y95 ROW 57 TILEPROP CLBLM_R_X25Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y95 TILE_X 18596 TILEPROP CLBLM_R_X25Y95 TILE_Y 66624 TILEPROP CLBLM_R_X25Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y96 CLASS tile TILEPROP CLBLM_R_X25Y96 COLUMN 65 TILEPROP CLBLM_R_X25Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y96 FIRST_SITE_ID 5434 TILEPROP CLBLM_R_X25Y96 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X25Y96 INDEX 6505 TILEPROP CLBLM_R_X25Y96 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X25Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y96 NAME CLBLM_R_X25Y96 TILEPROP CLBLM_R_X25Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y96 NUM_SITES 2 TILEPROP CLBLM_R_X25Y96 ROW 56 TILEPROP CLBLM_R_X25Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y96 TILE_X 18596 TILEPROP CLBLM_R_X25Y96 TILE_Y 69824 TILEPROP CLBLM_R_X25Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y97 CLASS tile TILEPROP CLBLM_R_X25Y97 COLUMN 65 TILEPROP CLBLM_R_X25Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y97 FIRST_SITE_ID 5334 TILEPROP CLBLM_R_X25Y97 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X25Y97 INDEX 6390 TILEPROP CLBLM_R_X25Y97 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X25Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y97 NAME CLBLM_R_X25Y97 TILEPROP CLBLM_R_X25Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y97 NUM_SITES 2 TILEPROP CLBLM_R_X25Y97 ROW 55 TILEPROP CLBLM_R_X25Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y97 TILE_X 18596 TILEPROP CLBLM_R_X25Y97 TILE_Y 73024 TILEPROP CLBLM_R_X25Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y98 CLASS tile TILEPROP CLBLM_R_X25Y98 COLUMN 65 TILEPROP CLBLM_R_X25Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y98 FIRST_SITE_ID 5234 TILEPROP CLBLM_R_X25Y98 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X25Y98 INDEX 6275 TILEPROP CLBLM_R_X25Y98 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X25Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y98 NAME CLBLM_R_X25Y98 TILEPROP CLBLM_R_X25Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y98 NUM_SITES 2 TILEPROP CLBLM_R_X25Y98 ROW 54 TILEPROP CLBLM_R_X25Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y98 TILE_X 18596 TILEPROP CLBLM_R_X25Y98 TILE_Y 76224 TILEPROP CLBLM_R_X25Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y99 CLASS tile TILEPROP CLBLM_R_X25Y99 COLUMN 65 TILEPROP CLBLM_R_X25Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y99 FIRST_SITE_ID 5138 TILEPROP CLBLM_R_X25Y99 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X25Y99 INDEX 6160 TILEPROP CLBLM_R_X25Y99 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X25Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y99 NAME CLBLM_R_X25Y99 TILEPROP CLBLM_R_X25Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y99 NUM_SITES 2 TILEPROP CLBLM_R_X25Y99 ROW 53 TILEPROP CLBLM_R_X25Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y99 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X25Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y99 TILE_X 18596 TILEPROP CLBLM_R_X25Y99 TILE_Y 79424 TILEPROP CLBLM_R_X25Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y100 CLASS tile TILEPROP CLBLM_R_X25Y100 COLUMN 65 TILEPROP CLBLM_R_X25Y100 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y100 FIRST_SITE_ID 5061 TILEPROP CLBLM_R_X25Y100 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y100 GRID_POINT_Y 51 TILEPROP CLBLM_R_X25Y100 INDEX 5930 TILEPROP CLBLM_R_X25Y100 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y100 INT_TILE_Y 49 TILEPROP CLBLM_R_X25Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y100 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y100 NAME CLBLM_R_X25Y100 TILEPROP CLBLM_R_X25Y100 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y100 NUM_SITES 2 TILEPROP CLBLM_R_X25Y100 ROW 51 TILEPROP CLBLM_R_X25Y100 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y100 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X25Y100 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y100 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y100 TILE_X 18596 TILEPROP CLBLM_R_X25Y100 TILE_Y 82872 TILEPROP CLBLM_R_X25Y100 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y101 CLASS tile TILEPROP CLBLM_R_X25Y101 COLUMN 65 TILEPROP CLBLM_R_X25Y101 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y101 FIRST_SITE_ID 4963 TILEPROP CLBLM_R_X25Y101 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y101 GRID_POINT_Y 50 TILEPROP CLBLM_R_X25Y101 INDEX 5815 TILEPROP CLBLM_R_X25Y101 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y101 INT_TILE_Y 48 TILEPROP CLBLM_R_X25Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y101 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y101 NAME CLBLM_R_X25Y101 TILEPROP CLBLM_R_X25Y101 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y101 NUM_SITES 2 TILEPROP CLBLM_R_X25Y101 ROW 50 TILEPROP CLBLM_R_X25Y101 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y101 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y101 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y101 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y101 TILE_X 18596 TILEPROP CLBLM_R_X25Y101 TILE_Y 86072 TILEPROP CLBLM_R_X25Y101 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y102 CLASS tile TILEPROP CLBLM_R_X25Y102 COLUMN 65 TILEPROP CLBLM_R_X25Y102 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y102 FIRST_SITE_ID 4867 TILEPROP CLBLM_R_X25Y102 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y102 GRID_POINT_Y 49 TILEPROP CLBLM_R_X25Y102 INDEX 5700 TILEPROP CLBLM_R_X25Y102 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y102 INT_TILE_Y 47 TILEPROP CLBLM_R_X25Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y102 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y102 NAME CLBLM_R_X25Y102 TILEPROP CLBLM_R_X25Y102 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y102 NUM_SITES 2 TILEPROP CLBLM_R_X25Y102 ROW 49 TILEPROP CLBLM_R_X25Y102 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y102 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y102 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y102 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y102 TILE_X 18596 TILEPROP CLBLM_R_X25Y102 TILE_Y 89272 TILEPROP CLBLM_R_X25Y102 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y103 CLASS tile TILEPROP CLBLM_R_X25Y103 COLUMN 65 TILEPROP CLBLM_R_X25Y103 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y103 FIRST_SITE_ID 4779 TILEPROP CLBLM_R_X25Y103 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y103 GRID_POINT_Y 48 TILEPROP CLBLM_R_X25Y103 INDEX 5585 TILEPROP CLBLM_R_X25Y103 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y103 INT_TILE_Y 46 TILEPROP CLBLM_R_X25Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y103 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y103 NAME CLBLM_R_X25Y103 TILEPROP CLBLM_R_X25Y103 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y103 NUM_SITES 2 TILEPROP CLBLM_R_X25Y103 ROW 48 TILEPROP CLBLM_R_X25Y103 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y103 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y103 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y103 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y103 TILE_X 18596 TILEPROP CLBLM_R_X25Y103 TILE_Y 92472 TILEPROP CLBLM_R_X25Y103 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y104 CLASS tile TILEPROP CLBLM_R_X25Y104 COLUMN 65 TILEPROP CLBLM_R_X25Y104 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y104 FIRST_SITE_ID 4683 TILEPROP CLBLM_R_X25Y104 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y104 GRID_POINT_Y 47 TILEPROP CLBLM_R_X25Y104 INDEX 5470 TILEPROP CLBLM_R_X25Y104 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y104 INT_TILE_Y 45 TILEPROP CLBLM_R_X25Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y104 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y104 NAME CLBLM_R_X25Y104 TILEPROP CLBLM_R_X25Y104 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y104 NUM_SITES 2 TILEPROP CLBLM_R_X25Y104 ROW 47 TILEPROP CLBLM_R_X25Y104 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y104 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y104 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y104 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y104 TILE_X 18596 TILEPROP CLBLM_R_X25Y104 TILE_Y 95672 TILEPROP CLBLM_R_X25Y104 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y105 CLASS tile TILEPROP CLBLM_R_X25Y105 COLUMN 65 TILEPROP CLBLM_R_X25Y105 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y105 FIRST_SITE_ID 4587 TILEPROP CLBLM_R_X25Y105 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y105 GRID_POINT_Y 46 TILEPROP CLBLM_R_X25Y105 INDEX 5355 TILEPROP CLBLM_R_X25Y105 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y105 INT_TILE_Y 44 TILEPROP CLBLM_R_X25Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y105 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y105 NAME CLBLM_R_X25Y105 TILEPROP CLBLM_R_X25Y105 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y105 NUM_SITES 2 TILEPROP CLBLM_R_X25Y105 ROW 46 TILEPROP CLBLM_R_X25Y105 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y105 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y105 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y105 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y105 TILE_X 18596 TILEPROP CLBLM_R_X25Y105 TILE_Y 98872 TILEPROP CLBLM_R_X25Y105 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y106 CLASS tile TILEPROP CLBLM_R_X25Y106 COLUMN 65 TILEPROP CLBLM_R_X25Y106 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y106 FIRST_SITE_ID 4485 TILEPROP CLBLM_R_X25Y106 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y106 GRID_POINT_Y 45 TILEPROP CLBLM_R_X25Y106 INDEX 5240 TILEPROP CLBLM_R_X25Y106 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y106 INT_TILE_Y 43 TILEPROP CLBLM_R_X25Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y106 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y106 NAME CLBLM_R_X25Y106 TILEPROP CLBLM_R_X25Y106 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y106 NUM_SITES 2 TILEPROP CLBLM_R_X25Y106 ROW 45 TILEPROP CLBLM_R_X25Y106 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y106 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y106 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y106 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y106 TILE_X 18596 TILEPROP CLBLM_R_X25Y106 TILE_Y 102072 TILEPROP CLBLM_R_X25Y106 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y107 CLASS tile TILEPROP CLBLM_R_X25Y107 COLUMN 65 TILEPROP CLBLM_R_X25Y107 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y107 FIRST_SITE_ID 4397 TILEPROP CLBLM_R_X25Y107 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y107 GRID_POINT_Y 44 TILEPROP CLBLM_R_X25Y107 INDEX 5125 TILEPROP CLBLM_R_X25Y107 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y107 INT_TILE_Y 42 TILEPROP CLBLM_R_X25Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y107 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y107 NAME CLBLM_R_X25Y107 TILEPROP CLBLM_R_X25Y107 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y107 NUM_SITES 2 TILEPROP CLBLM_R_X25Y107 ROW 44 TILEPROP CLBLM_R_X25Y107 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y107 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y107 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y107 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y107 TILE_X 18596 TILEPROP CLBLM_R_X25Y107 TILE_Y 105272 TILEPROP CLBLM_R_X25Y107 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y108 CLASS tile TILEPROP CLBLM_R_X25Y108 COLUMN 65 TILEPROP CLBLM_R_X25Y108 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y108 FIRST_SITE_ID 4299 TILEPROP CLBLM_R_X25Y108 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y108 GRID_POINT_Y 43 TILEPROP CLBLM_R_X25Y108 INDEX 5010 TILEPROP CLBLM_R_X25Y108 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y108 INT_TILE_Y 41 TILEPROP CLBLM_R_X25Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y108 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y108 NAME CLBLM_R_X25Y108 TILEPROP CLBLM_R_X25Y108 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y108 NUM_SITES 2 TILEPROP CLBLM_R_X25Y108 ROW 43 TILEPROP CLBLM_R_X25Y108 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y108 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y108 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y108 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y108 TILE_X 18596 TILEPROP CLBLM_R_X25Y108 TILE_Y 108472 TILEPROP CLBLM_R_X25Y108 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y109 CLASS tile TILEPROP CLBLM_R_X25Y109 COLUMN 65 TILEPROP CLBLM_R_X25Y109 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y109 FIRST_SITE_ID 4210 TILEPROP CLBLM_R_X25Y109 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y109 GRID_POINT_Y 42 TILEPROP CLBLM_R_X25Y109 INDEX 4895 TILEPROP CLBLM_R_X25Y109 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y109 INT_TILE_Y 40 TILEPROP CLBLM_R_X25Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y109 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y109 NAME CLBLM_R_X25Y109 TILEPROP CLBLM_R_X25Y109 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y109 NUM_SITES 2 TILEPROP CLBLM_R_X25Y109 ROW 42 TILEPROP CLBLM_R_X25Y109 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y109 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y109 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y109 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y109 TILE_X 18596 TILEPROP CLBLM_R_X25Y109 TILE_Y 111672 TILEPROP CLBLM_R_X25Y109 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y110 CLASS tile TILEPROP CLBLM_R_X25Y110 COLUMN 65 TILEPROP CLBLM_R_X25Y110 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y110 FIRST_SITE_ID 4110 TILEPROP CLBLM_R_X25Y110 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y110 GRID_POINT_Y 41 TILEPROP CLBLM_R_X25Y110 INDEX 4780 TILEPROP CLBLM_R_X25Y110 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y110 INT_TILE_Y 39 TILEPROP CLBLM_R_X25Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y110 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y110 NAME CLBLM_R_X25Y110 TILEPROP CLBLM_R_X25Y110 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y110 NUM_SITES 2 TILEPROP CLBLM_R_X25Y110 ROW 41 TILEPROP CLBLM_R_X25Y110 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y110 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y110 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y110 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y110 TILE_X 18596 TILEPROP CLBLM_R_X25Y110 TILE_Y 114872 TILEPROP CLBLM_R_X25Y110 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y111 CLASS tile TILEPROP CLBLM_R_X25Y111 COLUMN 65 TILEPROP CLBLM_R_X25Y111 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y111 FIRST_SITE_ID 4016 TILEPROP CLBLM_R_X25Y111 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y111 GRID_POINT_Y 40 TILEPROP CLBLM_R_X25Y111 INDEX 4665 TILEPROP CLBLM_R_X25Y111 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y111 INT_TILE_Y 38 TILEPROP CLBLM_R_X25Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y111 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y111 NAME CLBLM_R_X25Y111 TILEPROP CLBLM_R_X25Y111 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y111 NUM_SITES 2 TILEPROP CLBLM_R_X25Y111 ROW 40 TILEPROP CLBLM_R_X25Y111 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y111 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y111 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y111 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y111 TILE_X 18596 TILEPROP CLBLM_R_X25Y111 TILE_Y 118072 TILEPROP CLBLM_R_X25Y111 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y112 CLASS tile TILEPROP CLBLM_R_X25Y112 COLUMN 65 TILEPROP CLBLM_R_X25Y112 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y112 FIRST_SITE_ID 3920 TILEPROP CLBLM_R_X25Y112 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y112 GRID_POINT_Y 39 TILEPROP CLBLM_R_X25Y112 INDEX 4550 TILEPROP CLBLM_R_X25Y112 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y112 INT_TILE_Y 37 TILEPROP CLBLM_R_X25Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y112 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y112 NAME CLBLM_R_X25Y112 TILEPROP CLBLM_R_X25Y112 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y112 NUM_SITES 2 TILEPROP CLBLM_R_X25Y112 ROW 39 TILEPROP CLBLM_R_X25Y112 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y112 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y112 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y112 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y112 TILE_X 18596 TILEPROP CLBLM_R_X25Y112 TILE_Y 121272 TILEPROP CLBLM_R_X25Y112 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y113 CLASS tile TILEPROP CLBLM_R_X25Y113 COLUMN 65 TILEPROP CLBLM_R_X25Y113 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y113 FIRST_SITE_ID 3800 TILEPROP CLBLM_R_X25Y113 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y113 GRID_POINT_Y 38 TILEPROP CLBLM_R_X25Y113 INDEX 4435 TILEPROP CLBLM_R_X25Y113 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y113 INT_TILE_Y 36 TILEPROP CLBLM_R_X25Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y113 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y113 NAME CLBLM_R_X25Y113 TILEPROP CLBLM_R_X25Y113 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y113 NUM_SITES 2 TILEPROP CLBLM_R_X25Y113 ROW 38 TILEPROP CLBLM_R_X25Y113 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y113 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y113 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y113 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y113 TILE_X 18596 TILEPROP CLBLM_R_X25Y113 TILE_Y 124472 TILEPROP CLBLM_R_X25Y113 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y114 CLASS tile TILEPROP CLBLM_R_X25Y114 COLUMN 65 TILEPROP CLBLM_R_X25Y114 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y114 FIRST_SITE_ID 3704 TILEPROP CLBLM_R_X25Y114 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y114 GRID_POINT_Y 37 TILEPROP CLBLM_R_X25Y114 INDEX 4320 TILEPROP CLBLM_R_X25Y114 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y114 INT_TILE_Y 35 TILEPROP CLBLM_R_X25Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y114 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y114 NAME CLBLM_R_X25Y114 TILEPROP CLBLM_R_X25Y114 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y114 NUM_SITES 2 TILEPROP CLBLM_R_X25Y114 ROW 37 TILEPROP CLBLM_R_X25Y114 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y114 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y114 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y114 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y114 TILE_X 18596 TILEPROP CLBLM_R_X25Y114 TILE_Y 127672 TILEPROP CLBLM_R_X25Y114 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y115 CLASS tile TILEPROP CLBLM_R_X25Y115 COLUMN 65 TILEPROP CLBLM_R_X25Y115 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y115 FIRST_SITE_ID 3613 TILEPROP CLBLM_R_X25Y115 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y115 GRID_POINT_Y 36 TILEPROP CLBLM_R_X25Y115 INDEX 4205 TILEPROP CLBLM_R_X25Y115 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y115 INT_TILE_Y 34 TILEPROP CLBLM_R_X25Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y115 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y115 NAME CLBLM_R_X25Y115 TILEPROP CLBLM_R_X25Y115 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y115 NUM_SITES 2 TILEPROP CLBLM_R_X25Y115 ROW 36 TILEPROP CLBLM_R_X25Y115 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y115 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y115 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y115 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y115 TILE_X 18596 TILEPROP CLBLM_R_X25Y115 TILE_Y 130872 TILEPROP CLBLM_R_X25Y115 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y116 CLASS tile TILEPROP CLBLM_R_X25Y116 COLUMN 65 TILEPROP CLBLM_R_X25Y116 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y116 FIRST_SITE_ID 3506 TILEPROP CLBLM_R_X25Y116 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y116 GRID_POINT_Y 35 TILEPROP CLBLM_R_X25Y116 INDEX 4090 TILEPROP CLBLM_R_X25Y116 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y116 INT_TILE_Y 33 TILEPROP CLBLM_R_X25Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y116 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y116 NAME CLBLM_R_X25Y116 TILEPROP CLBLM_R_X25Y116 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y116 NUM_SITES 2 TILEPROP CLBLM_R_X25Y116 ROW 35 TILEPROP CLBLM_R_X25Y116 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y116 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y116 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y116 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y116 TILE_X 18596 TILEPROP CLBLM_R_X25Y116 TILE_Y 134072 TILEPROP CLBLM_R_X25Y116 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y117 CLASS tile TILEPROP CLBLM_R_X25Y117 COLUMN 65 TILEPROP CLBLM_R_X25Y117 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y117 FIRST_SITE_ID 3418 TILEPROP CLBLM_R_X25Y117 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y117 GRID_POINT_Y 34 TILEPROP CLBLM_R_X25Y117 INDEX 3975 TILEPROP CLBLM_R_X25Y117 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y117 INT_TILE_Y 32 TILEPROP CLBLM_R_X25Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y117 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y117 NAME CLBLM_R_X25Y117 TILEPROP CLBLM_R_X25Y117 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y117 NUM_SITES 2 TILEPROP CLBLM_R_X25Y117 ROW 34 TILEPROP CLBLM_R_X25Y117 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y117 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y117 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y117 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y117 TILE_X 18596 TILEPROP CLBLM_R_X25Y117 TILE_Y 137272 TILEPROP CLBLM_R_X25Y117 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y118 CLASS tile TILEPROP CLBLM_R_X25Y118 COLUMN 65 TILEPROP CLBLM_R_X25Y118 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y118 FIRST_SITE_ID 3318 TILEPROP CLBLM_R_X25Y118 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y118 GRID_POINT_Y 33 TILEPROP CLBLM_R_X25Y118 INDEX 3860 TILEPROP CLBLM_R_X25Y118 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y118 INT_TILE_Y 31 TILEPROP CLBLM_R_X25Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y118 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y118 NAME CLBLM_R_X25Y118 TILEPROP CLBLM_R_X25Y118 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y118 NUM_SITES 2 TILEPROP CLBLM_R_X25Y118 ROW 33 TILEPROP CLBLM_R_X25Y118 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y118 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y118 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y118 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y118 TILE_X 18596 TILEPROP CLBLM_R_X25Y118 TILE_Y 140472 TILEPROP CLBLM_R_X25Y118 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y119 CLASS tile TILEPROP CLBLM_R_X25Y119 COLUMN 65 TILEPROP CLBLM_R_X25Y119 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y119 FIRST_SITE_ID 3230 TILEPROP CLBLM_R_X25Y119 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y119 GRID_POINT_Y 32 TILEPROP CLBLM_R_X25Y119 INDEX 3745 TILEPROP CLBLM_R_X25Y119 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y119 INT_TILE_Y 30 TILEPROP CLBLM_R_X25Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y119 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y119 NAME CLBLM_R_X25Y119 TILEPROP CLBLM_R_X25Y119 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y119 NUM_SITES 2 TILEPROP CLBLM_R_X25Y119 ROW 32 TILEPROP CLBLM_R_X25Y119 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y119 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y119 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y119 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y119 TILE_X 18596 TILEPROP CLBLM_R_X25Y119 TILE_Y 143672 TILEPROP CLBLM_R_X25Y119 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y120 CLASS tile TILEPROP CLBLM_R_X25Y120 COLUMN 65 TILEPROP CLBLM_R_X25Y120 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y120 FIRST_SITE_ID 3129 TILEPROP CLBLM_R_X25Y120 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y120 GRID_POINT_Y 31 TILEPROP CLBLM_R_X25Y120 INDEX 3630 TILEPROP CLBLM_R_X25Y120 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y120 INT_TILE_Y 29 TILEPROP CLBLM_R_X25Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y120 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y120 NAME CLBLM_R_X25Y120 TILEPROP CLBLM_R_X25Y120 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y120 NUM_SITES 2 TILEPROP CLBLM_R_X25Y120 ROW 31 TILEPROP CLBLM_R_X25Y120 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y120 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y120 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y120 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y120 TILE_X 18596 TILEPROP CLBLM_R_X25Y120 TILE_Y 146872 TILEPROP CLBLM_R_X25Y120 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y121 CLASS tile TILEPROP CLBLM_R_X25Y121 COLUMN 65 TILEPROP CLBLM_R_X25Y121 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y121 FIRST_SITE_ID 3035 TILEPROP CLBLM_R_X25Y121 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y121 GRID_POINT_Y 30 TILEPROP CLBLM_R_X25Y121 INDEX 3515 TILEPROP CLBLM_R_X25Y121 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y121 INT_TILE_Y 28 TILEPROP CLBLM_R_X25Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y121 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y121 NAME CLBLM_R_X25Y121 TILEPROP CLBLM_R_X25Y121 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y121 NUM_SITES 2 TILEPROP CLBLM_R_X25Y121 ROW 30 TILEPROP CLBLM_R_X25Y121 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y121 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y121 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y121 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y121 TILE_X 18596 TILEPROP CLBLM_R_X25Y121 TILE_Y 150072 TILEPROP CLBLM_R_X25Y121 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y122 CLASS tile TILEPROP CLBLM_R_X25Y122 COLUMN 65 TILEPROP CLBLM_R_X25Y122 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y122 FIRST_SITE_ID 2932 TILEPROP CLBLM_R_X25Y122 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y122 GRID_POINT_Y 29 TILEPROP CLBLM_R_X25Y122 INDEX 3400 TILEPROP CLBLM_R_X25Y122 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y122 INT_TILE_Y 27 TILEPROP CLBLM_R_X25Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y122 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y122 NAME CLBLM_R_X25Y122 TILEPROP CLBLM_R_X25Y122 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y122 NUM_SITES 2 TILEPROP CLBLM_R_X25Y122 ROW 29 TILEPROP CLBLM_R_X25Y122 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y122 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y122 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y122 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y122 TILE_X 18596 TILEPROP CLBLM_R_X25Y122 TILE_Y 153272 TILEPROP CLBLM_R_X25Y122 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y123 CLASS tile TILEPROP CLBLM_R_X25Y123 COLUMN 65 TILEPROP CLBLM_R_X25Y123 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y123 FIRST_SITE_ID 2844 TILEPROP CLBLM_R_X25Y123 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y123 GRID_POINT_Y 28 TILEPROP CLBLM_R_X25Y123 INDEX 3285 TILEPROP CLBLM_R_X25Y123 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y123 INT_TILE_Y 26 TILEPROP CLBLM_R_X25Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y123 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y123 NAME CLBLM_R_X25Y123 TILEPROP CLBLM_R_X25Y123 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y123 NUM_SITES 2 TILEPROP CLBLM_R_X25Y123 ROW 28 TILEPROP CLBLM_R_X25Y123 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y123 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y123 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y123 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y123 TILE_X 18596 TILEPROP CLBLM_R_X25Y123 TILE_Y 156472 TILEPROP CLBLM_R_X25Y123 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y124 CLASS tile TILEPROP CLBLM_R_X25Y124 COLUMN 65 TILEPROP CLBLM_R_X25Y124 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y124 FIRST_SITE_ID 2748 TILEPROP CLBLM_R_X25Y124 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y124 GRID_POINT_Y 27 TILEPROP CLBLM_R_X25Y124 INDEX 3170 TILEPROP CLBLM_R_X25Y124 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y124 INT_TILE_Y 25 TILEPROP CLBLM_R_X25Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y124 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y124 NAME CLBLM_R_X25Y124 TILEPROP CLBLM_R_X25Y124 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y124 NUM_SITES 2 TILEPROP CLBLM_R_X25Y124 ROW 27 TILEPROP CLBLM_R_X25Y124 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y124 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X25Y124 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y124 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y124 TILE_X 18596 TILEPROP CLBLM_R_X25Y124 TILE_Y 159672 TILEPROP CLBLM_R_X25Y124 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y125 CLASS tile TILEPROP CLBLM_R_X25Y125 COLUMN 65 TILEPROP CLBLM_R_X25Y125 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y125 FIRST_SITE_ID 2575 TILEPROP CLBLM_R_X25Y125 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y125 GRID_POINT_Y 25 TILEPROP CLBLM_R_X25Y125 INDEX 2940 TILEPROP CLBLM_R_X25Y125 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y125 INT_TILE_Y 24 TILEPROP CLBLM_R_X25Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y125 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y125 NAME CLBLM_R_X25Y125 TILEPROP CLBLM_R_X25Y125 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y125 NUM_SITES 2 TILEPROP CLBLM_R_X25Y125 ROW 25 TILEPROP CLBLM_R_X25Y125 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y125 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X25Y125 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y125 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y125 TILE_X 18596 TILEPROP CLBLM_R_X25Y125 TILE_Y 163896 TILEPROP CLBLM_R_X25Y125 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y126 CLASS tile TILEPROP CLBLM_R_X25Y126 COLUMN 65 TILEPROP CLBLM_R_X25Y126 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y126 FIRST_SITE_ID 2465 TILEPROP CLBLM_R_X25Y126 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y126 GRID_POINT_Y 24 TILEPROP CLBLM_R_X25Y126 INDEX 2825 TILEPROP CLBLM_R_X25Y126 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y126 INT_TILE_Y 23 TILEPROP CLBLM_R_X25Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y126 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y126 NAME CLBLM_R_X25Y126 TILEPROP CLBLM_R_X25Y126 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y126 NUM_SITES 2 TILEPROP CLBLM_R_X25Y126 ROW 24 TILEPROP CLBLM_R_X25Y126 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y126 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y126 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y126 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y126 TILE_X 18596 TILEPROP CLBLM_R_X25Y126 TILE_Y 167096 TILEPROP CLBLM_R_X25Y126 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y127 CLASS tile TILEPROP CLBLM_R_X25Y127 COLUMN 65 TILEPROP CLBLM_R_X25Y127 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y127 FIRST_SITE_ID 2369 TILEPROP CLBLM_R_X25Y127 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y127 GRID_POINT_Y 23 TILEPROP CLBLM_R_X25Y127 INDEX 2710 TILEPROP CLBLM_R_X25Y127 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y127 INT_TILE_Y 22 TILEPROP CLBLM_R_X25Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y127 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y127 NAME CLBLM_R_X25Y127 TILEPROP CLBLM_R_X25Y127 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y127 NUM_SITES 2 TILEPROP CLBLM_R_X25Y127 ROW 23 TILEPROP CLBLM_R_X25Y127 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y127 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y127 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y127 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y127 TILE_X 18596 TILEPROP CLBLM_R_X25Y127 TILE_Y 170296 TILEPROP CLBLM_R_X25Y127 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y128 CLASS tile TILEPROP CLBLM_R_X25Y128 COLUMN 65 TILEPROP CLBLM_R_X25Y128 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y128 FIRST_SITE_ID 2265 TILEPROP CLBLM_R_X25Y128 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y128 GRID_POINT_Y 22 TILEPROP CLBLM_R_X25Y128 INDEX 2595 TILEPROP CLBLM_R_X25Y128 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y128 INT_TILE_Y 21 TILEPROP CLBLM_R_X25Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y128 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y128 NAME CLBLM_R_X25Y128 TILEPROP CLBLM_R_X25Y128 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y128 NUM_SITES 2 TILEPROP CLBLM_R_X25Y128 ROW 22 TILEPROP CLBLM_R_X25Y128 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y128 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y128 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y128 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y128 TILE_X 18596 TILEPROP CLBLM_R_X25Y128 TILE_Y 173496 TILEPROP CLBLM_R_X25Y128 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y129 CLASS tile TILEPROP CLBLM_R_X25Y129 COLUMN 65 TILEPROP CLBLM_R_X25Y129 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y129 FIRST_SITE_ID 2169 TILEPROP CLBLM_R_X25Y129 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y129 GRID_POINT_Y 21 TILEPROP CLBLM_R_X25Y129 INDEX 2480 TILEPROP CLBLM_R_X25Y129 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y129 INT_TILE_Y 20 TILEPROP CLBLM_R_X25Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y129 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y129 NAME CLBLM_R_X25Y129 TILEPROP CLBLM_R_X25Y129 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y129 NUM_SITES 2 TILEPROP CLBLM_R_X25Y129 ROW 21 TILEPROP CLBLM_R_X25Y129 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y129 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y129 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y129 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y129 TILE_X 18596 TILEPROP CLBLM_R_X25Y129 TILE_Y 176696 TILEPROP CLBLM_R_X25Y129 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y130 CLASS tile TILEPROP CLBLM_R_X25Y130 COLUMN 65 TILEPROP CLBLM_R_X25Y130 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y130 FIRST_SITE_ID 2053 TILEPROP CLBLM_R_X25Y130 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y130 GRID_POINT_Y 20 TILEPROP CLBLM_R_X25Y130 INDEX 2365 TILEPROP CLBLM_R_X25Y130 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y130 INT_TILE_Y 19 TILEPROP CLBLM_R_X25Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y130 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y130 NAME CLBLM_R_X25Y130 TILEPROP CLBLM_R_X25Y130 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y130 NUM_SITES 2 TILEPROP CLBLM_R_X25Y130 ROW 20 TILEPROP CLBLM_R_X25Y130 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y130 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y130 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y130 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y130 TILE_X 18596 TILEPROP CLBLM_R_X25Y130 TILE_Y 179896 TILEPROP CLBLM_R_X25Y130 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y131 CLASS tile TILEPROP CLBLM_R_X25Y131 COLUMN 65 TILEPROP CLBLM_R_X25Y131 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y131 FIRST_SITE_ID 1951 TILEPROP CLBLM_R_X25Y131 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y131 GRID_POINT_Y 19 TILEPROP CLBLM_R_X25Y131 INDEX 2250 TILEPROP CLBLM_R_X25Y131 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y131 INT_TILE_Y 18 TILEPROP CLBLM_R_X25Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y131 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y131 NAME CLBLM_R_X25Y131 TILEPROP CLBLM_R_X25Y131 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y131 NUM_SITES 2 TILEPROP CLBLM_R_X25Y131 ROW 19 TILEPROP CLBLM_R_X25Y131 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y131 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y131 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y131 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y131 TILE_X 18596 TILEPROP CLBLM_R_X25Y131 TILE_Y 183096 TILEPROP CLBLM_R_X25Y131 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y132 CLASS tile TILEPROP CLBLM_R_X25Y132 COLUMN 65 TILEPROP CLBLM_R_X25Y132 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y132 FIRST_SITE_ID 1845 TILEPROP CLBLM_R_X25Y132 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y132 GRID_POINT_Y 18 TILEPROP CLBLM_R_X25Y132 INDEX 2135 TILEPROP CLBLM_R_X25Y132 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y132 INT_TILE_Y 17 TILEPROP CLBLM_R_X25Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y132 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y132 NAME CLBLM_R_X25Y132 TILEPROP CLBLM_R_X25Y132 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y132 NUM_SITES 2 TILEPROP CLBLM_R_X25Y132 ROW 18 TILEPROP CLBLM_R_X25Y132 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y132 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y132 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y132 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y132 TILE_X 18596 TILEPROP CLBLM_R_X25Y132 TILE_Y 186296 TILEPROP CLBLM_R_X25Y132 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y133 CLASS tile TILEPROP CLBLM_R_X25Y133 COLUMN 65 TILEPROP CLBLM_R_X25Y133 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y133 FIRST_SITE_ID 1744 TILEPROP CLBLM_R_X25Y133 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y133 GRID_POINT_Y 17 TILEPROP CLBLM_R_X25Y133 INDEX 2020 TILEPROP CLBLM_R_X25Y133 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y133 INT_TILE_Y 16 TILEPROP CLBLM_R_X25Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y133 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y133 NAME CLBLM_R_X25Y133 TILEPROP CLBLM_R_X25Y133 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y133 NUM_SITES 2 TILEPROP CLBLM_R_X25Y133 ROW 17 TILEPROP CLBLM_R_X25Y133 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y133 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y133 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y133 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y133 TILE_X 18596 TILEPROP CLBLM_R_X25Y133 TILE_Y 189496 TILEPROP CLBLM_R_X25Y133 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y134 CLASS tile TILEPROP CLBLM_R_X25Y134 COLUMN 65 TILEPROP CLBLM_R_X25Y134 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y134 FIRST_SITE_ID 1640 TILEPROP CLBLM_R_X25Y134 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y134 GRID_POINT_Y 16 TILEPROP CLBLM_R_X25Y134 INDEX 1905 TILEPROP CLBLM_R_X25Y134 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y134 INT_TILE_Y 15 TILEPROP CLBLM_R_X25Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y134 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y134 NAME CLBLM_R_X25Y134 TILEPROP CLBLM_R_X25Y134 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y134 NUM_SITES 2 TILEPROP CLBLM_R_X25Y134 ROW 16 TILEPROP CLBLM_R_X25Y134 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y134 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y134 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y134 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y134 TILE_X 18596 TILEPROP CLBLM_R_X25Y134 TILE_Y 192696 TILEPROP CLBLM_R_X25Y134 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y135 CLASS tile TILEPROP CLBLM_R_X25Y135 COLUMN 65 TILEPROP CLBLM_R_X25Y135 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y135 FIRST_SITE_ID 1538 TILEPROP CLBLM_R_X25Y135 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y135 GRID_POINT_Y 15 TILEPROP CLBLM_R_X25Y135 INDEX 1790 TILEPROP CLBLM_R_X25Y135 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y135 INT_TILE_Y 14 TILEPROP CLBLM_R_X25Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y135 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y135 NAME CLBLM_R_X25Y135 TILEPROP CLBLM_R_X25Y135 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y135 NUM_SITES 2 TILEPROP CLBLM_R_X25Y135 ROW 15 TILEPROP CLBLM_R_X25Y135 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y135 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y135 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y135 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y135 TILE_X 18596 TILEPROP CLBLM_R_X25Y135 TILE_Y 195896 TILEPROP CLBLM_R_X25Y135 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y136 CLASS tile TILEPROP CLBLM_R_X25Y136 COLUMN 65 TILEPROP CLBLM_R_X25Y136 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y136 FIRST_SITE_ID 1428 TILEPROP CLBLM_R_X25Y136 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y136 GRID_POINT_Y 14 TILEPROP CLBLM_R_X25Y136 INDEX 1675 TILEPROP CLBLM_R_X25Y136 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y136 INT_TILE_Y 13 TILEPROP CLBLM_R_X25Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y136 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y136 NAME CLBLM_R_X25Y136 TILEPROP CLBLM_R_X25Y136 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y136 NUM_SITES 2 TILEPROP CLBLM_R_X25Y136 ROW 14 TILEPROP CLBLM_R_X25Y136 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y136 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y136 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y136 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y136 TILE_X 18596 TILEPROP CLBLM_R_X25Y136 TILE_Y 199096 TILEPROP CLBLM_R_X25Y136 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y137 CLASS tile TILEPROP CLBLM_R_X25Y137 COLUMN 65 TILEPROP CLBLM_R_X25Y137 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y137 FIRST_SITE_ID 1300 TILEPROP CLBLM_R_X25Y137 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y137 GRID_POINT_Y 13 TILEPROP CLBLM_R_X25Y137 INDEX 1560 TILEPROP CLBLM_R_X25Y137 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y137 INT_TILE_Y 12 TILEPROP CLBLM_R_X25Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y137 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y137 NAME CLBLM_R_X25Y137 TILEPROP CLBLM_R_X25Y137 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y137 NUM_SITES 2 TILEPROP CLBLM_R_X25Y137 ROW 13 TILEPROP CLBLM_R_X25Y137 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y137 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y137 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y137 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y137 TILE_X 18596 TILEPROP CLBLM_R_X25Y137 TILE_Y 202296 TILEPROP CLBLM_R_X25Y137 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y138 CLASS tile TILEPROP CLBLM_R_X25Y138 COLUMN 65 TILEPROP CLBLM_R_X25Y138 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y138 FIRST_SITE_ID 1196 TILEPROP CLBLM_R_X25Y138 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y138 GRID_POINT_Y 12 TILEPROP CLBLM_R_X25Y138 INDEX 1445 TILEPROP CLBLM_R_X25Y138 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y138 INT_TILE_Y 11 TILEPROP CLBLM_R_X25Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y138 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y138 NAME CLBLM_R_X25Y138 TILEPROP CLBLM_R_X25Y138 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y138 NUM_SITES 2 TILEPROP CLBLM_R_X25Y138 ROW 12 TILEPROP CLBLM_R_X25Y138 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y138 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y138 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y138 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y138 TILE_X 18596 TILEPROP CLBLM_R_X25Y138 TILE_Y 205496 TILEPROP CLBLM_R_X25Y138 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y139 CLASS tile TILEPROP CLBLM_R_X25Y139 COLUMN 65 TILEPROP CLBLM_R_X25Y139 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y139 FIRST_SITE_ID 1100 TILEPROP CLBLM_R_X25Y139 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y139 GRID_POINT_Y 11 TILEPROP CLBLM_R_X25Y139 INDEX 1330 TILEPROP CLBLM_R_X25Y139 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y139 INT_TILE_Y 10 TILEPROP CLBLM_R_X25Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y139 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y139 NAME CLBLM_R_X25Y139 TILEPROP CLBLM_R_X25Y139 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y139 NUM_SITES 2 TILEPROP CLBLM_R_X25Y139 ROW 11 TILEPROP CLBLM_R_X25Y139 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y139 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y139 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y139 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y139 TILE_X 18596 TILEPROP CLBLM_R_X25Y139 TILE_Y 208696 TILEPROP CLBLM_R_X25Y139 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y140 CLASS tile TILEPROP CLBLM_R_X25Y140 COLUMN 65 TILEPROP CLBLM_R_X25Y140 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y140 FIRST_SITE_ID 990 TILEPROP CLBLM_R_X25Y140 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y140 GRID_POINT_Y 10 TILEPROP CLBLM_R_X25Y140 INDEX 1215 TILEPROP CLBLM_R_X25Y140 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y140 INT_TILE_Y 9 TILEPROP CLBLM_R_X25Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y140 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y140 NAME CLBLM_R_X25Y140 TILEPROP CLBLM_R_X25Y140 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y140 NUM_SITES 2 TILEPROP CLBLM_R_X25Y140 ROW 10 TILEPROP CLBLM_R_X25Y140 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y140 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y140 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y140 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y140 TILE_X 18596 TILEPROP CLBLM_R_X25Y140 TILE_Y 211896 TILEPROP CLBLM_R_X25Y140 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y141 CLASS tile TILEPROP CLBLM_R_X25Y141 COLUMN 65 TILEPROP CLBLM_R_X25Y141 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y141 FIRST_SITE_ID 888 TILEPROP CLBLM_R_X25Y141 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y141 GRID_POINT_Y 9 TILEPROP CLBLM_R_X25Y141 INDEX 1100 TILEPROP CLBLM_R_X25Y141 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y141 INT_TILE_Y 8 TILEPROP CLBLM_R_X25Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y141 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y141 NAME CLBLM_R_X25Y141 TILEPROP CLBLM_R_X25Y141 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y141 NUM_SITES 2 TILEPROP CLBLM_R_X25Y141 ROW 9 TILEPROP CLBLM_R_X25Y141 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y141 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y141 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y141 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y141 TILE_X 18596 TILEPROP CLBLM_R_X25Y141 TILE_Y 215096 TILEPROP CLBLM_R_X25Y141 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y142 CLASS tile TILEPROP CLBLM_R_X25Y142 COLUMN 65 TILEPROP CLBLM_R_X25Y142 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y142 FIRST_SITE_ID 784 TILEPROP CLBLM_R_X25Y142 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y142 GRID_POINT_Y 8 TILEPROP CLBLM_R_X25Y142 INDEX 985 TILEPROP CLBLM_R_X25Y142 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y142 INT_TILE_Y 7 TILEPROP CLBLM_R_X25Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y142 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y142 NAME CLBLM_R_X25Y142 TILEPROP CLBLM_R_X25Y142 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y142 NUM_SITES 2 TILEPROP CLBLM_R_X25Y142 ROW 8 TILEPROP CLBLM_R_X25Y142 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y142 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y142 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y142 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y142 TILE_X 18596 TILEPROP CLBLM_R_X25Y142 TILE_Y 218296 TILEPROP CLBLM_R_X25Y142 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y143 CLASS tile TILEPROP CLBLM_R_X25Y143 COLUMN 65 TILEPROP CLBLM_R_X25Y143 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y143 FIRST_SITE_ID 687 TILEPROP CLBLM_R_X25Y143 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y143 GRID_POINT_Y 7 TILEPROP CLBLM_R_X25Y143 INDEX 870 TILEPROP CLBLM_R_X25Y143 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y143 INT_TILE_Y 6 TILEPROP CLBLM_R_X25Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y143 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y143 NAME CLBLM_R_X25Y143 TILEPROP CLBLM_R_X25Y143 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y143 NUM_SITES 2 TILEPROP CLBLM_R_X25Y143 ROW 7 TILEPROP CLBLM_R_X25Y143 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y143 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y143 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y143 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y143 TILE_X 18596 TILEPROP CLBLM_R_X25Y143 TILE_Y 221496 TILEPROP CLBLM_R_X25Y143 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y144 CLASS tile TILEPROP CLBLM_R_X25Y144 COLUMN 65 TILEPROP CLBLM_R_X25Y144 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y144 FIRST_SITE_ID 576 TILEPROP CLBLM_R_X25Y144 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y144 GRID_POINT_Y 6 TILEPROP CLBLM_R_X25Y144 INDEX 755 TILEPROP CLBLM_R_X25Y144 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y144 INT_TILE_Y 5 TILEPROP CLBLM_R_X25Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y144 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y144 NAME CLBLM_R_X25Y144 TILEPROP CLBLM_R_X25Y144 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y144 NUM_SITES 2 TILEPROP CLBLM_R_X25Y144 ROW 6 TILEPROP CLBLM_R_X25Y144 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y144 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y144 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y144 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y144 TILE_X 18596 TILEPROP CLBLM_R_X25Y144 TILE_Y 224696 TILEPROP CLBLM_R_X25Y144 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y145 CLASS tile TILEPROP CLBLM_R_X25Y145 COLUMN 65 TILEPROP CLBLM_R_X25Y145 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y145 FIRST_SITE_ID 474 TILEPROP CLBLM_R_X25Y145 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y145 GRID_POINT_Y 5 TILEPROP CLBLM_R_X25Y145 INDEX 640 TILEPROP CLBLM_R_X25Y145 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y145 INT_TILE_Y 4 TILEPROP CLBLM_R_X25Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y145 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y145 NAME CLBLM_R_X25Y145 TILEPROP CLBLM_R_X25Y145 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y145 NUM_SITES 2 TILEPROP CLBLM_R_X25Y145 ROW 5 TILEPROP CLBLM_R_X25Y145 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y145 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y145 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y145 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y145 TILE_X 18596 TILEPROP CLBLM_R_X25Y145 TILE_Y 227896 TILEPROP CLBLM_R_X25Y145 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y146 CLASS tile TILEPROP CLBLM_R_X25Y146 COLUMN 65 TILEPROP CLBLM_R_X25Y146 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y146 FIRST_SITE_ID 364 TILEPROP CLBLM_R_X25Y146 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y146 GRID_POINT_Y 4 TILEPROP CLBLM_R_X25Y146 INDEX 525 TILEPROP CLBLM_R_X25Y146 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y146 INT_TILE_Y 3 TILEPROP CLBLM_R_X25Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y146 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y146 NAME CLBLM_R_X25Y146 TILEPROP CLBLM_R_X25Y146 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y146 NUM_SITES 2 TILEPROP CLBLM_R_X25Y146 ROW 4 TILEPROP CLBLM_R_X25Y146 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y146 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y146 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y146 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y146 TILE_X 18596 TILEPROP CLBLM_R_X25Y146 TILE_Y 231096 TILEPROP CLBLM_R_X25Y146 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y147 CLASS tile TILEPROP CLBLM_R_X25Y147 COLUMN 65 TILEPROP CLBLM_R_X25Y147 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y147 FIRST_SITE_ID 268 TILEPROP CLBLM_R_X25Y147 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y147 GRID_POINT_Y 3 TILEPROP CLBLM_R_X25Y147 INDEX 410 TILEPROP CLBLM_R_X25Y147 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y147 INT_TILE_Y 2 TILEPROP CLBLM_R_X25Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y147 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y147 NAME CLBLM_R_X25Y147 TILEPROP CLBLM_R_X25Y147 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y147 NUM_SITES 2 TILEPROP CLBLM_R_X25Y147 ROW 3 TILEPROP CLBLM_R_X25Y147 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y147 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y147 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y147 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y147 TILE_X 18596 TILEPROP CLBLM_R_X25Y147 TILE_Y 234296 TILEPROP CLBLM_R_X25Y147 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y148 CLASS tile TILEPROP CLBLM_R_X25Y148 COLUMN 65 TILEPROP CLBLM_R_X25Y148 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y148 FIRST_SITE_ID 164 TILEPROP CLBLM_R_X25Y148 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y148 GRID_POINT_Y 2 TILEPROP CLBLM_R_X25Y148 INDEX 295 TILEPROP CLBLM_R_X25Y148 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y148 INT_TILE_Y 1 TILEPROP CLBLM_R_X25Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y148 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y148 NAME CLBLM_R_X25Y148 TILEPROP CLBLM_R_X25Y148 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y148 NUM_SITES 2 TILEPROP CLBLM_R_X25Y148 ROW 2 TILEPROP CLBLM_R_X25Y148 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y148 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X25Y148 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y148 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y148 TILE_X 18596 TILEPROP CLBLM_R_X25Y148 TILE_Y 237496 TILEPROP CLBLM_R_X25Y148 TYPE CLBLM_R TILEPROP CLBLM_R_X25Y149 CLASS tile TILEPROP CLBLM_R_X25Y149 COLUMN 65 TILEPROP CLBLM_R_X25Y149 DEVICE_ID 0 TILEPROP CLBLM_R_X25Y149 FIRST_SITE_ID 68 TILEPROP CLBLM_R_X25Y149 GRID_POINT_X 65 TILEPROP CLBLM_R_X25Y149 GRID_POINT_Y 1 TILEPROP CLBLM_R_X25Y149 INDEX 180 TILEPROP CLBLM_R_X25Y149 INT_TILE_X 25 TILEPROP CLBLM_R_X25Y149 INT_TILE_Y 0 TILEPROP CLBLM_R_X25Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X25Y149 IS_DCM_TILE 0 TILEPROP CLBLM_R_X25Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X25Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X25Y149 NAME CLBLM_R_X25Y149 TILEPROP CLBLM_R_X25Y149 NUM_ARCS 151 TILEPROP CLBLM_R_X25Y149 NUM_SITES 2 TILEPROP CLBLM_R_X25Y149 ROW 1 TILEPROP CLBLM_R_X25Y149 SLR_REGION_ID 0 TILEPROP CLBLM_R_X25Y149 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X25Y149 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X25Y149 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X25Y149 TILE_X 18596 TILEPROP CLBLM_R_X25Y149 TILE_Y 240696 TILEPROP CLBLM_R_X25Y149 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y0 CLASS tile TILEPROP CLBLM_R_X27Y0 COLUMN 70 TILEPROP CLBLM_R_X27Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y0 FIRST_SITE_ID 15764 TILEPROP CLBLM_R_X27Y0 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X27Y0 INDEX 17895 TILEPROP CLBLM_R_X27Y0 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X27Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y0 NAME CLBLM_R_X27Y0 TILEPROP CLBLM_R_X27Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y0 NUM_SITES 2 TILEPROP CLBLM_R_X27Y0 ROW 155 TILEPROP CLBLM_R_X27Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X27Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y0 TILE_X 26964 TILEPROP CLBLM_R_X27Y0 TILE_Y -239672 TILEPROP CLBLM_R_X27Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y1 CLASS tile TILEPROP CLBLM_R_X27Y1 COLUMN 70 TILEPROP CLBLM_R_X27Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y1 FIRST_SITE_ID 15654 TILEPROP CLBLM_R_X27Y1 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X27Y1 INDEX 17780 TILEPROP CLBLM_R_X27Y1 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X27Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y1 NAME CLBLM_R_X27Y1 TILEPROP CLBLM_R_X27Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y1 NUM_SITES 2 TILEPROP CLBLM_R_X27Y1 ROW 154 TILEPROP CLBLM_R_X27Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y1 TILE_X 26964 TILEPROP CLBLM_R_X27Y1 TILE_Y -236472 TILEPROP CLBLM_R_X27Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y2 CLASS tile TILEPROP CLBLM_R_X27Y2 COLUMN 70 TILEPROP CLBLM_R_X27Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y2 FIRST_SITE_ID 15553 TILEPROP CLBLM_R_X27Y2 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X27Y2 INDEX 17665 TILEPROP CLBLM_R_X27Y2 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X27Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y2 NAME CLBLM_R_X27Y2 TILEPROP CLBLM_R_X27Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y2 NUM_SITES 2 TILEPROP CLBLM_R_X27Y2 ROW 153 TILEPROP CLBLM_R_X27Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y2 TILE_X 26964 TILEPROP CLBLM_R_X27Y2 TILE_Y -233272 TILEPROP CLBLM_R_X27Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y3 CLASS tile TILEPROP CLBLM_R_X27Y3 COLUMN 70 TILEPROP CLBLM_R_X27Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y3 FIRST_SITE_ID 15453 TILEPROP CLBLM_R_X27Y3 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X27Y3 INDEX 17550 TILEPROP CLBLM_R_X27Y3 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X27Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y3 NAME CLBLM_R_X27Y3 TILEPROP CLBLM_R_X27Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y3 NUM_SITES 2 TILEPROP CLBLM_R_X27Y3 ROW 152 TILEPROP CLBLM_R_X27Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y3 TILE_X 26964 TILEPROP CLBLM_R_X27Y3 TILE_Y -230072 TILEPROP CLBLM_R_X27Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y4 CLASS tile TILEPROP CLBLM_R_X27Y4 COLUMN 70 TILEPROP CLBLM_R_X27Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y4 FIRST_SITE_ID 15353 TILEPROP CLBLM_R_X27Y4 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X27Y4 INDEX 17435 TILEPROP CLBLM_R_X27Y4 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X27Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y4 NAME CLBLM_R_X27Y4 TILEPROP CLBLM_R_X27Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y4 NUM_SITES 2 TILEPROP CLBLM_R_X27Y4 ROW 151 TILEPROP CLBLM_R_X27Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y4 TILE_X 26964 TILEPROP CLBLM_R_X27Y4 TILE_Y -226872 TILEPROP CLBLM_R_X27Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y5 CLASS tile TILEPROP CLBLM_R_X27Y5 COLUMN 70 TILEPROP CLBLM_R_X27Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y5 FIRST_SITE_ID 15244 TILEPROP CLBLM_R_X27Y5 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X27Y5 INDEX 17320 TILEPROP CLBLM_R_X27Y5 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X27Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y5 NAME CLBLM_R_X27Y5 TILEPROP CLBLM_R_X27Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y5 NUM_SITES 2 TILEPROP CLBLM_R_X27Y5 ROW 150 TILEPROP CLBLM_R_X27Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y5 TILE_X 26964 TILEPROP CLBLM_R_X27Y5 TILE_Y -223672 TILEPROP CLBLM_R_X27Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y6 CLASS tile TILEPROP CLBLM_R_X27Y6 COLUMN 70 TILEPROP CLBLM_R_X27Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y6 FIRST_SITE_ID 15138 TILEPROP CLBLM_R_X27Y6 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X27Y6 INDEX 17205 TILEPROP CLBLM_R_X27Y6 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X27Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y6 NAME CLBLM_R_X27Y6 TILEPROP CLBLM_R_X27Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y6 NUM_SITES 2 TILEPROP CLBLM_R_X27Y6 ROW 149 TILEPROP CLBLM_R_X27Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y6 TILE_X 26964 TILEPROP CLBLM_R_X27Y6 TILE_Y -220472 TILEPROP CLBLM_R_X27Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y7 CLASS tile TILEPROP CLBLM_R_X27Y7 COLUMN 70 TILEPROP CLBLM_R_X27Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y7 FIRST_SITE_ID 15036 TILEPROP CLBLM_R_X27Y7 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X27Y7 INDEX 17090 TILEPROP CLBLM_R_X27Y7 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X27Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y7 NAME CLBLM_R_X27Y7 TILEPROP CLBLM_R_X27Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y7 NUM_SITES 2 TILEPROP CLBLM_R_X27Y7 ROW 148 TILEPROP CLBLM_R_X27Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y7 TILE_X 26964 TILEPROP CLBLM_R_X27Y7 TILE_Y -217272 TILEPROP CLBLM_R_X27Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y8 CLASS tile TILEPROP CLBLM_R_X27Y8 COLUMN 70 TILEPROP CLBLM_R_X27Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y8 FIRST_SITE_ID 14933 TILEPROP CLBLM_R_X27Y8 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X27Y8 INDEX 16975 TILEPROP CLBLM_R_X27Y8 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X27Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y8 NAME CLBLM_R_X27Y8 TILEPROP CLBLM_R_X27Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y8 NUM_SITES 2 TILEPROP CLBLM_R_X27Y8 ROW 147 TILEPROP CLBLM_R_X27Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y8 TILE_X 26964 TILEPROP CLBLM_R_X27Y8 TILE_Y -214072 TILEPROP CLBLM_R_X27Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y9 CLASS tile TILEPROP CLBLM_R_X27Y9 COLUMN 70 TILEPROP CLBLM_R_X27Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y9 FIRST_SITE_ID 14832 TILEPROP CLBLM_R_X27Y9 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X27Y9 INDEX 16860 TILEPROP CLBLM_R_X27Y9 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X27Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y9 NAME CLBLM_R_X27Y9 TILEPROP CLBLM_R_X27Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y9 NUM_SITES 2 TILEPROP CLBLM_R_X27Y9 ROW 146 TILEPROP CLBLM_R_X27Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y9 TILE_X 26964 TILEPROP CLBLM_R_X27Y9 TILE_Y -210872 TILEPROP CLBLM_R_X27Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y10 CLASS tile TILEPROP CLBLM_R_X27Y10 COLUMN 70 TILEPROP CLBLM_R_X27Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y10 FIRST_SITE_ID 14723 TILEPROP CLBLM_R_X27Y10 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X27Y10 INDEX 16745 TILEPROP CLBLM_R_X27Y10 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X27Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y10 NAME CLBLM_R_X27Y10 TILEPROP CLBLM_R_X27Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y10 NUM_SITES 2 TILEPROP CLBLM_R_X27Y10 ROW 145 TILEPROP CLBLM_R_X27Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y10 TILE_X 26964 TILEPROP CLBLM_R_X27Y10 TILE_Y -207672 TILEPROP CLBLM_R_X27Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y11 CLASS tile TILEPROP CLBLM_R_X27Y11 COLUMN 70 TILEPROP CLBLM_R_X27Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y11 FIRST_SITE_ID 14617 TILEPROP CLBLM_R_X27Y11 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X27Y11 INDEX 16630 TILEPROP CLBLM_R_X27Y11 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X27Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y11 NAME CLBLM_R_X27Y11 TILEPROP CLBLM_R_X27Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y11 NUM_SITES 2 TILEPROP CLBLM_R_X27Y11 ROW 144 TILEPROP CLBLM_R_X27Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y11 TILE_X 26964 TILEPROP CLBLM_R_X27Y11 TILE_Y -204472 TILEPROP CLBLM_R_X27Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y12 CLASS tile TILEPROP CLBLM_R_X27Y12 COLUMN 70 TILEPROP CLBLM_R_X27Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y12 FIRST_SITE_ID 14517 TILEPROP CLBLM_R_X27Y12 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X27Y12 INDEX 16515 TILEPROP CLBLM_R_X27Y12 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X27Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y12 NAME CLBLM_R_X27Y12 TILEPROP CLBLM_R_X27Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y12 NUM_SITES 2 TILEPROP CLBLM_R_X27Y12 ROW 143 TILEPROP CLBLM_R_X27Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y12 TILE_X 26964 TILEPROP CLBLM_R_X27Y12 TILE_Y -201272 TILEPROP CLBLM_R_X27Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y13 CLASS tile TILEPROP CLBLM_R_X27Y13 COLUMN 70 TILEPROP CLBLM_R_X27Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y13 FIRST_SITE_ID 14385 TILEPROP CLBLM_R_X27Y13 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X27Y13 INDEX 16400 TILEPROP CLBLM_R_X27Y13 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X27Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y13 NAME CLBLM_R_X27Y13 TILEPROP CLBLM_R_X27Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y13 NUM_SITES 2 TILEPROP CLBLM_R_X27Y13 ROW 142 TILEPROP CLBLM_R_X27Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y13 TILE_X 26964 TILEPROP CLBLM_R_X27Y13 TILE_Y -198072 TILEPROP CLBLM_R_X27Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y14 CLASS tile TILEPROP CLBLM_R_X27Y14 COLUMN 70 TILEPROP CLBLM_R_X27Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y14 FIRST_SITE_ID 14285 TILEPROP CLBLM_R_X27Y14 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X27Y14 INDEX 16285 TILEPROP CLBLM_R_X27Y14 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X27Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y14 NAME CLBLM_R_X27Y14 TILEPROP CLBLM_R_X27Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y14 NUM_SITES 2 TILEPROP CLBLM_R_X27Y14 ROW 141 TILEPROP CLBLM_R_X27Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y14 TILE_X 26964 TILEPROP CLBLM_R_X27Y14 TILE_Y -194872 TILEPROP CLBLM_R_X27Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y15 CLASS tile TILEPROP CLBLM_R_X27Y15 COLUMN 70 TILEPROP CLBLM_R_X27Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y15 FIRST_SITE_ID 14176 TILEPROP CLBLM_R_X27Y15 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X27Y15 INDEX 16170 TILEPROP CLBLM_R_X27Y15 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X27Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y15 NAME CLBLM_R_X27Y15 TILEPROP CLBLM_R_X27Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y15 NUM_SITES 2 TILEPROP CLBLM_R_X27Y15 ROW 140 TILEPROP CLBLM_R_X27Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y15 TILE_X 26964 TILEPROP CLBLM_R_X27Y15 TILE_Y -191672 TILEPROP CLBLM_R_X27Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y16 CLASS tile TILEPROP CLBLM_R_X27Y16 COLUMN 70 TILEPROP CLBLM_R_X27Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y16 FIRST_SITE_ID 14070 TILEPROP CLBLM_R_X27Y16 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X27Y16 INDEX 16055 TILEPROP CLBLM_R_X27Y16 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X27Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y16 NAME CLBLM_R_X27Y16 TILEPROP CLBLM_R_X27Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y16 NUM_SITES 2 TILEPROP CLBLM_R_X27Y16 ROW 139 TILEPROP CLBLM_R_X27Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y16 TILE_X 26964 TILEPROP CLBLM_R_X27Y16 TILE_Y -188472 TILEPROP CLBLM_R_X27Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y17 CLASS tile TILEPROP CLBLM_R_X27Y17 COLUMN 70 TILEPROP CLBLM_R_X27Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y17 FIRST_SITE_ID 13966 TILEPROP CLBLM_R_X27Y17 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X27Y17 INDEX 15940 TILEPROP CLBLM_R_X27Y17 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X27Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y17 NAME CLBLM_R_X27Y17 TILEPROP CLBLM_R_X27Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y17 NUM_SITES 2 TILEPROP CLBLM_R_X27Y17 ROW 138 TILEPROP CLBLM_R_X27Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y17 TILE_X 26964 TILEPROP CLBLM_R_X27Y17 TILE_Y -185272 TILEPROP CLBLM_R_X27Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y18 CLASS tile TILEPROP CLBLM_R_X27Y18 COLUMN 70 TILEPROP CLBLM_R_X27Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y18 FIRST_SITE_ID 13861 TILEPROP CLBLM_R_X27Y18 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X27Y18 INDEX 15825 TILEPROP CLBLM_R_X27Y18 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X27Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y18 NAME CLBLM_R_X27Y18 TILEPROP CLBLM_R_X27Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y18 NUM_SITES 2 TILEPROP CLBLM_R_X27Y18 ROW 137 TILEPROP CLBLM_R_X27Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y18 TILE_X 26964 TILEPROP CLBLM_R_X27Y18 TILE_Y -182072 TILEPROP CLBLM_R_X27Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y19 CLASS tile TILEPROP CLBLM_R_X27Y19 COLUMN 70 TILEPROP CLBLM_R_X27Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y19 FIRST_SITE_ID 13759 TILEPROP CLBLM_R_X27Y19 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X27Y19 INDEX 15710 TILEPROP CLBLM_R_X27Y19 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X27Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y19 NAME CLBLM_R_X27Y19 TILEPROP CLBLM_R_X27Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y19 NUM_SITES 2 TILEPROP CLBLM_R_X27Y19 ROW 136 TILEPROP CLBLM_R_X27Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y19 TILE_X 26964 TILEPROP CLBLM_R_X27Y19 TILE_Y -178872 TILEPROP CLBLM_R_X27Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y20 CLASS tile TILEPROP CLBLM_R_X27Y20 COLUMN 70 TILEPROP CLBLM_R_X27Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y20 FIRST_SITE_ID 13648 TILEPROP CLBLM_R_X27Y20 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X27Y20 INDEX 15595 TILEPROP CLBLM_R_X27Y20 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X27Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y20 NAME CLBLM_R_X27Y20 TILEPROP CLBLM_R_X27Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y20 NUM_SITES 2 TILEPROP CLBLM_R_X27Y20 ROW 135 TILEPROP CLBLM_R_X27Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y20 TILE_X 26964 TILEPROP CLBLM_R_X27Y20 TILE_Y -175672 TILEPROP CLBLM_R_X27Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y21 CLASS tile TILEPROP CLBLM_R_X27Y21 COLUMN 70 TILEPROP CLBLM_R_X27Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y21 FIRST_SITE_ID 13542 TILEPROP CLBLM_R_X27Y21 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X27Y21 INDEX 15480 TILEPROP CLBLM_R_X27Y21 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X27Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y21 NAME CLBLM_R_X27Y21 TILEPROP CLBLM_R_X27Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y21 NUM_SITES 2 TILEPROP CLBLM_R_X27Y21 ROW 134 TILEPROP CLBLM_R_X27Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y21 TILE_X 26964 TILEPROP CLBLM_R_X27Y21 TILE_Y -172472 TILEPROP CLBLM_R_X27Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y22 CLASS tile TILEPROP CLBLM_R_X27Y22 COLUMN 70 TILEPROP CLBLM_R_X27Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y22 FIRST_SITE_ID 13442 TILEPROP CLBLM_R_X27Y22 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X27Y22 INDEX 15365 TILEPROP CLBLM_R_X27Y22 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X27Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y22 NAME CLBLM_R_X27Y22 TILEPROP CLBLM_R_X27Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y22 NUM_SITES 2 TILEPROP CLBLM_R_X27Y22 ROW 133 TILEPROP CLBLM_R_X27Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y22 TILE_X 26964 TILEPROP CLBLM_R_X27Y22 TILE_Y -169272 TILEPROP CLBLM_R_X27Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y23 CLASS tile TILEPROP CLBLM_R_X27Y23 COLUMN 70 TILEPROP CLBLM_R_X27Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y23 FIRST_SITE_ID 13342 TILEPROP CLBLM_R_X27Y23 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X27Y23 INDEX 15250 TILEPROP CLBLM_R_X27Y23 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X27Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y23 NAME CLBLM_R_X27Y23 TILEPROP CLBLM_R_X27Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y23 NUM_SITES 2 TILEPROP CLBLM_R_X27Y23 ROW 132 TILEPROP CLBLM_R_X27Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y23 TILE_X 26964 TILEPROP CLBLM_R_X27Y23 TILE_Y -166072 TILEPROP CLBLM_R_X27Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y24 CLASS tile TILEPROP CLBLM_R_X27Y24 COLUMN 70 TILEPROP CLBLM_R_X27Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y24 FIRST_SITE_ID 13242 TILEPROP CLBLM_R_X27Y24 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X27Y24 INDEX 15135 TILEPROP CLBLM_R_X27Y24 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X27Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y24 NAME CLBLM_R_X27Y24 TILEPROP CLBLM_R_X27Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y24 NUM_SITES 2 TILEPROP CLBLM_R_X27Y24 ROW 131 TILEPROP CLBLM_R_X27Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X27Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y24 TILE_X 26964 TILEPROP CLBLM_R_X27Y24 TILE_Y -162872 TILEPROP CLBLM_R_X27Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y25 CLASS tile TILEPROP CLBLM_R_X27Y25 COLUMN 70 TILEPROP CLBLM_R_X27Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y25 FIRST_SITE_ID 13050 TILEPROP CLBLM_R_X27Y25 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X27Y25 INDEX 14905 TILEPROP CLBLM_R_X27Y25 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X27Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y25 NAME CLBLM_R_X27Y25 TILEPROP CLBLM_R_X27Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y25 NUM_SITES 2 TILEPROP CLBLM_R_X27Y25 ROW 129 TILEPROP CLBLM_R_X27Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X27Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y25 TILE_X 26964 TILEPROP CLBLM_R_X27Y25 TILE_Y -158648 TILEPROP CLBLM_R_X27Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y26 CLASS tile TILEPROP CLBLM_R_X27Y26 COLUMN 70 TILEPROP CLBLM_R_X27Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y26 FIRST_SITE_ID 12944 TILEPROP CLBLM_R_X27Y26 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X27Y26 INDEX 14790 TILEPROP CLBLM_R_X27Y26 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X27Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y26 NAME CLBLM_R_X27Y26 TILEPROP CLBLM_R_X27Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y26 NUM_SITES 2 TILEPROP CLBLM_R_X27Y26 ROW 128 TILEPROP CLBLM_R_X27Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y26 TILE_X 26964 TILEPROP CLBLM_R_X27Y26 TILE_Y -155448 TILEPROP CLBLM_R_X27Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y27 CLASS tile TILEPROP CLBLM_R_X27Y27 COLUMN 70 TILEPROP CLBLM_R_X27Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y27 FIRST_SITE_ID 12844 TILEPROP CLBLM_R_X27Y27 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X27Y27 INDEX 14675 TILEPROP CLBLM_R_X27Y27 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X27Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y27 NAME CLBLM_R_X27Y27 TILEPROP CLBLM_R_X27Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y27 NUM_SITES 2 TILEPROP CLBLM_R_X27Y27 ROW 127 TILEPROP CLBLM_R_X27Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y27 TILE_X 26964 TILEPROP CLBLM_R_X27Y27 TILE_Y -152248 TILEPROP CLBLM_R_X27Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y28 CLASS tile TILEPROP CLBLM_R_X27Y28 COLUMN 70 TILEPROP CLBLM_R_X27Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y28 FIRST_SITE_ID 12744 TILEPROP CLBLM_R_X27Y28 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X27Y28 INDEX 14560 TILEPROP CLBLM_R_X27Y28 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X27Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y28 NAME CLBLM_R_X27Y28 TILEPROP CLBLM_R_X27Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y28 NUM_SITES 2 TILEPROP CLBLM_R_X27Y28 ROW 126 TILEPROP CLBLM_R_X27Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y28 TILE_X 26964 TILEPROP CLBLM_R_X27Y28 TILE_Y -149048 TILEPROP CLBLM_R_X27Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y29 CLASS tile TILEPROP CLBLM_R_X27Y29 COLUMN 70 TILEPROP CLBLM_R_X27Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y29 FIRST_SITE_ID 12638 TILEPROP CLBLM_R_X27Y29 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X27Y29 INDEX 14445 TILEPROP CLBLM_R_X27Y29 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X27Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y29 NAME CLBLM_R_X27Y29 TILEPROP CLBLM_R_X27Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y29 NUM_SITES 2 TILEPROP CLBLM_R_X27Y29 ROW 125 TILEPROP CLBLM_R_X27Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y29 TILE_X 26964 TILEPROP CLBLM_R_X27Y29 TILE_Y -145848 TILEPROP CLBLM_R_X27Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y30 CLASS tile TILEPROP CLBLM_R_X27Y30 COLUMN 70 TILEPROP CLBLM_R_X27Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y30 FIRST_SITE_ID 12523 TILEPROP CLBLM_R_X27Y30 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X27Y30 INDEX 14330 TILEPROP CLBLM_R_X27Y30 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X27Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y30 NAME CLBLM_R_X27Y30 TILEPROP CLBLM_R_X27Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y30 NUM_SITES 2 TILEPROP CLBLM_R_X27Y30 ROW 124 TILEPROP CLBLM_R_X27Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y30 TILE_X 26964 TILEPROP CLBLM_R_X27Y30 TILE_Y -142648 TILEPROP CLBLM_R_X27Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y31 CLASS tile TILEPROP CLBLM_R_X27Y31 COLUMN 70 TILEPROP CLBLM_R_X27Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y31 FIRST_SITE_ID 12402 TILEPROP CLBLM_R_X27Y31 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X27Y31 INDEX 14215 TILEPROP CLBLM_R_X27Y31 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X27Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y31 NAME CLBLM_R_X27Y31 TILEPROP CLBLM_R_X27Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y31 NUM_SITES 2 TILEPROP CLBLM_R_X27Y31 ROW 123 TILEPROP CLBLM_R_X27Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y31 TILE_X 26964 TILEPROP CLBLM_R_X27Y31 TILE_Y -139448 TILEPROP CLBLM_R_X27Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y32 CLASS tile TILEPROP CLBLM_R_X27Y32 COLUMN 70 TILEPROP CLBLM_R_X27Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y32 FIRST_SITE_ID 12300 TILEPROP CLBLM_R_X27Y32 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X27Y32 INDEX 14100 TILEPROP CLBLM_R_X27Y32 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X27Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y32 NAME CLBLM_R_X27Y32 TILEPROP CLBLM_R_X27Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y32 NUM_SITES 2 TILEPROP CLBLM_R_X27Y32 ROW 122 TILEPROP CLBLM_R_X27Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y32 TILE_X 26964 TILEPROP CLBLM_R_X27Y32 TILE_Y -136248 TILEPROP CLBLM_R_X27Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y33 CLASS tile TILEPROP CLBLM_R_X27Y33 COLUMN 70 TILEPROP CLBLM_R_X27Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y33 FIRST_SITE_ID 12199 TILEPROP CLBLM_R_X27Y33 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X27Y33 INDEX 13985 TILEPROP CLBLM_R_X27Y33 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X27Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y33 NAME CLBLM_R_X27Y33 TILEPROP CLBLM_R_X27Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y33 NUM_SITES 2 TILEPROP CLBLM_R_X27Y33 ROW 121 TILEPROP CLBLM_R_X27Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y33 TILE_X 26964 TILEPROP CLBLM_R_X27Y33 TILE_Y -133048 TILEPROP CLBLM_R_X27Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y34 CLASS tile TILEPROP CLBLM_R_X27Y34 COLUMN 70 TILEPROP CLBLM_R_X27Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y34 FIRST_SITE_ID 12099 TILEPROP CLBLM_R_X27Y34 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X27Y34 INDEX 13870 TILEPROP CLBLM_R_X27Y34 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X27Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y34 NAME CLBLM_R_X27Y34 TILEPROP CLBLM_R_X27Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y34 NUM_SITES 2 TILEPROP CLBLM_R_X27Y34 ROW 120 TILEPROP CLBLM_R_X27Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y34 TILE_X 26964 TILEPROP CLBLM_R_X27Y34 TILE_Y -129848 TILEPROP CLBLM_R_X27Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y35 CLASS tile TILEPROP CLBLM_R_X27Y35 COLUMN 70 TILEPROP CLBLM_R_X27Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y35 FIRST_SITE_ID 11990 TILEPROP CLBLM_R_X27Y35 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X27Y35 INDEX 13755 TILEPROP CLBLM_R_X27Y35 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X27Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y35 NAME CLBLM_R_X27Y35 TILEPROP CLBLM_R_X27Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y35 NUM_SITES 2 TILEPROP CLBLM_R_X27Y35 ROW 119 TILEPROP CLBLM_R_X27Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y35 TILE_X 26964 TILEPROP CLBLM_R_X27Y35 TILE_Y -126648 TILEPROP CLBLM_R_X27Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y36 CLASS tile TILEPROP CLBLM_R_X27Y36 COLUMN 70 TILEPROP CLBLM_R_X27Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y36 FIRST_SITE_ID 11884 TILEPROP CLBLM_R_X27Y36 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X27Y36 INDEX 13640 TILEPROP CLBLM_R_X27Y36 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X27Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y36 NAME CLBLM_R_X27Y36 TILEPROP CLBLM_R_X27Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y36 NUM_SITES 2 TILEPROP CLBLM_R_X27Y36 ROW 118 TILEPROP CLBLM_R_X27Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y36 TILE_X 26964 TILEPROP CLBLM_R_X27Y36 TILE_Y -123448 TILEPROP CLBLM_R_X27Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y37 CLASS tile TILEPROP CLBLM_R_X27Y37 COLUMN 70 TILEPROP CLBLM_R_X27Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y37 FIRST_SITE_ID 11752 TILEPROP CLBLM_R_X27Y37 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X27Y37 INDEX 13525 TILEPROP CLBLM_R_X27Y37 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X27Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y37 NAME CLBLM_R_X27Y37 TILEPROP CLBLM_R_X27Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y37 NUM_SITES 2 TILEPROP CLBLM_R_X27Y37 ROW 117 TILEPROP CLBLM_R_X27Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y37 TILE_X 26964 TILEPROP CLBLM_R_X27Y37 TILE_Y -120248 TILEPROP CLBLM_R_X27Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y38 CLASS tile TILEPROP CLBLM_R_X27Y38 COLUMN 70 TILEPROP CLBLM_R_X27Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y38 FIRST_SITE_ID 11652 TILEPROP CLBLM_R_X27Y38 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X27Y38 INDEX 13410 TILEPROP CLBLM_R_X27Y38 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X27Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y38 NAME CLBLM_R_X27Y38 TILEPROP CLBLM_R_X27Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y38 NUM_SITES 2 TILEPROP CLBLM_R_X27Y38 ROW 116 TILEPROP CLBLM_R_X27Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y38 TILE_X 26964 TILEPROP CLBLM_R_X27Y38 TILE_Y -117048 TILEPROP CLBLM_R_X27Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y39 CLASS tile TILEPROP CLBLM_R_X27Y39 COLUMN 70 TILEPROP CLBLM_R_X27Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y39 FIRST_SITE_ID 11552 TILEPROP CLBLM_R_X27Y39 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X27Y39 INDEX 13295 TILEPROP CLBLM_R_X27Y39 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X27Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y39 NAME CLBLM_R_X27Y39 TILEPROP CLBLM_R_X27Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y39 NUM_SITES 2 TILEPROP CLBLM_R_X27Y39 ROW 115 TILEPROP CLBLM_R_X27Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y39 TILE_X 26964 TILEPROP CLBLM_R_X27Y39 TILE_Y -113848 TILEPROP CLBLM_R_X27Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y40 CLASS tile TILEPROP CLBLM_R_X27Y40 COLUMN 70 TILEPROP CLBLM_R_X27Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y40 FIRST_SITE_ID 11443 TILEPROP CLBLM_R_X27Y40 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X27Y40 INDEX 13180 TILEPROP CLBLM_R_X27Y40 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X27Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y40 NAME CLBLM_R_X27Y40 TILEPROP CLBLM_R_X27Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y40 NUM_SITES 2 TILEPROP CLBLM_R_X27Y40 ROW 114 TILEPROP CLBLM_R_X27Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y40 TILE_X 26964 TILEPROP CLBLM_R_X27Y40 TILE_Y -110648 TILEPROP CLBLM_R_X27Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y41 CLASS tile TILEPROP CLBLM_R_X27Y41 COLUMN 70 TILEPROP CLBLM_R_X27Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y41 FIRST_SITE_ID 11335 TILEPROP CLBLM_R_X27Y41 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X27Y41 INDEX 13065 TILEPROP CLBLM_R_X27Y41 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X27Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y41 NAME CLBLM_R_X27Y41 TILEPROP CLBLM_R_X27Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y41 NUM_SITES 2 TILEPROP CLBLM_R_X27Y41 ROW 113 TILEPROP CLBLM_R_X27Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y41 TILE_X 26964 TILEPROP CLBLM_R_X27Y41 TILE_Y -107448 TILEPROP CLBLM_R_X27Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y42 CLASS tile TILEPROP CLBLM_R_X27Y42 COLUMN 70 TILEPROP CLBLM_R_X27Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y42 FIRST_SITE_ID 11233 TILEPROP CLBLM_R_X27Y42 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X27Y42 INDEX 12950 TILEPROP CLBLM_R_X27Y42 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X27Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y42 NAME CLBLM_R_X27Y42 TILEPROP CLBLM_R_X27Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y42 NUM_SITES 2 TILEPROP CLBLM_R_X27Y42 ROW 112 TILEPROP CLBLM_R_X27Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y42 TILE_X 26964 TILEPROP CLBLM_R_X27Y42 TILE_Y -104248 TILEPROP CLBLM_R_X27Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y43 CLASS tile TILEPROP CLBLM_R_X27Y43 COLUMN 70 TILEPROP CLBLM_R_X27Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y43 FIRST_SITE_ID 11130 TILEPROP CLBLM_R_X27Y43 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X27Y43 INDEX 12835 TILEPROP CLBLM_R_X27Y43 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X27Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y43 NAME CLBLM_R_X27Y43 TILEPROP CLBLM_R_X27Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y43 NUM_SITES 2 TILEPROP CLBLM_R_X27Y43 ROW 111 TILEPROP CLBLM_R_X27Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y43 TILE_X 26964 TILEPROP CLBLM_R_X27Y43 TILE_Y -101048 TILEPROP CLBLM_R_X27Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y44 CLASS tile TILEPROP CLBLM_R_X27Y44 COLUMN 70 TILEPROP CLBLM_R_X27Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y44 FIRST_SITE_ID 11028 TILEPROP CLBLM_R_X27Y44 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X27Y44 INDEX 12720 TILEPROP CLBLM_R_X27Y44 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X27Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y44 NAME CLBLM_R_X27Y44 TILEPROP CLBLM_R_X27Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y44 NUM_SITES 2 TILEPROP CLBLM_R_X27Y44 ROW 110 TILEPROP CLBLM_R_X27Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y44 TILE_X 26964 TILEPROP CLBLM_R_X27Y44 TILE_Y -97848 TILEPROP CLBLM_R_X27Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y45 CLASS tile TILEPROP CLBLM_R_X27Y45 COLUMN 70 TILEPROP CLBLM_R_X27Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y45 FIRST_SITE_ID 10919 TILEPROP CLBLM_R_X27Y45 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X27Y45 INDEX 12605 TILEPROP CLBLM_R_X27Y45 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X27Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y45 NAME CLBLM_R_X27Y45 TILEPROP CLBLM_R_X27Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y45 NUM_SITES 2 TILEPROP CLBLM_R_X27Y45 ROW 109 TILEPROP CLBLM_R_X27Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y45 TILE_X 26964 TILEPROP CLBLM_R_X27Y45 TILE_Y -94648 TILEPROP CLBLM_R_X27Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y46 CLASS tile TILEPROP CLBLM_R_X27Y46 COLUMN 70 TILEPROP CLBLM_R_X27Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y46 FIRST_SITE_ID 10812 TILEPROP CLBLM_R_X27Y46 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X27Y46 INDEX 12490 TILEPROP CLBLM_R_X27Y46 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X27Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y46 NAME CLBLM_R_X27Y46 TILEPROP CLBLM_R_X27Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y46 NUM_SITES 2 TILEPROP CLBLM_R_X27Y46 ROW 108 TILEPROP CLBLM_R_X27Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y46 TILE_X 26964 TILEPROP CLBLM_R_X27Y46 TILE_Y -91448 TILEPROP CLBLM_R_X27Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y47 CLASS tile TILEPROP CLBLM_R_X27Y47 COLUMN 70 TILEPROP CLBLM_R_X27Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y47 FIRST_SITE_ID 10696 TILEPROP CLBLM_R_X27Y47 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X27Y47 INDEX 12375 TILEPROP CLBLM_R_X27Y47 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X27Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y47 NAME CLBLM_R_X27Y47 TILEPROP CLBLM_R_X27Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y47 NUM_SITES 2 TILEPROP CLBLM_R_X27Y47 ROW 107 TILEPROP CLBLM_R_X27Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y47 TILE_X 26964 TILEPROP CLBLM_R_X27Y47 TILE_Y -88248 TILEPROP CLBLM_R_X27Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y48 CLASS tile TILEPROP CLBLM_R_X27Y48 COLUMN 70 TILEPROP CLBLM_R_X27Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y48 FIRST_SITE_ID 10596 TILEPROP CLBLM_R_X27Y48 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X27Y48 INDEX 12260 TILEPROP CLBLM_R_X27Y48 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X27Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y48 NAME CLBLM_R_X27Y48 TILEPROP CLBLM_R_X27Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y48 NUM_SITES 2 TILEPROP CLBLM_R_X27Y48 ROW 106 TILEPROP CLBLM_R_X27Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y48 TILE_X 26964 TILEPROP CLBLM_R_X27Y48 TILE_Y -85048 TILEPROP CLBLM_R_X27Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y49 CLASS tile TILEPROP CLBLM_R_X27Y49 COLUMN 70 TILEPROP CLBLM_R_X27Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y49 FIRST_SITE_ID 10500 TILEPROP CLBLM_R_X27Y49 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X27Y49 INDEX 12145 TILEPROP CLBLM_R_X27Y49 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X27Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y49 NAME CLBLM_R_X27Y49 TILEPROP CLBLM_R_X27Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y49 NUM_SITES 2 TILEPROP CLBLM_R_X27Y49 ROW 105 TILEPROP CLBLM_R_X27Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X27Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y49 TILE_X 26964 TILEPROP CLBLM_R_X27Y49 TILE_Y -81848 TILEPROP CLBLM_R_X27Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y50 CLASS tile TILEPROP CLBLM_R_X27Y50 COLUMN 70 TILEPROP CLBLM_R_X27Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y50 FIRST_SITE_ID 10391 TILEPROP CLBLM_R_X27Y50 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X27Y50 INDEX 11915 TILEPROP CLBLM_R_X27Y50 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X27Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y50 NAME CLBLM_R_X27Y50 TILEPROP CLBLM_R_X27Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y50 NUM_SITES 2 TILEPROP CLBLM_R_X27Y50 ROW 103 TILEPROP CLBLM_R_X27Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X27Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y50 TILE_X 26964 TILEPROP CLBLM_R_X27Y50 TILE_Y -78400 TILEPROP CLBLM_R_X27Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y51 CLASS tile TILEPROP CLBLM_R_X27Y51 COLUMN 70 TILEPROP CLBLM_R_X27Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y51 FIRST_SITE_ID 10265 TILEPROP CLBLM_R_X27Y51 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X27Y51 INDEX 11800 TILEPROP CLBLM_R_X27Y51 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X27Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y51 NAME CLBLM_R_X27Y51 TILEPROP CLBLM_R_X27Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y51 NUM_SITES 2 TILEPROP CLBLM_R_X27Y51 ROW 102 TILEPROP CLBLM_R_X27Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y51 TILE_X 26964 TILEPROP CLBLM_R_X27Y51 TILE_Y -75200 TILEPROP CLBLM_R_X27Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y52 CLASS tile TILEPROP CLBLM_R_X27Y52 COLUMN 70 TILEPROP CLBLM_R_X27Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y52 FIRST_SITE_ID 10165 TILEPROP CLBLM_R_X27Y52 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X27Y52 INDEX 11685 TILEPROP CLBLM_R_X27Y52 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X27Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y52 NAME CLBLM_R_X27Y52 TILEPROP CLBLM_R_X27Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y52 NUM_SITES 2 TILEPROP CLBLM_R_X27Y52 ROW 101 TILEPROP CLBLM_R_X27Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y52 TILE_X 26964 TILEPROP CLBLM_R_X27Y52 TILE_Y -72000 TILEPROP CLBLM_R_X27Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y53 CLASS tile TILEPROP CLBLM_R_X27Y53 COLUMN 70 TILEPROP CLBLM_R_X27Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y53 FIRST_SITE_ID 10065 TILEPROP CLBLM_R_X27Y53 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X27Y53 INDEX 11570 TILEPROP CLBLM_R_X27Y53 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X27Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y53 NAME CLBLM_R_X27Y53 TILEPROP CLBLM_R_X27Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y53 NUM_SITES 2 TILEPROP CLBLM_R_X27Y53 ROW 100 TILEPROP CLBLM_R_X27Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y53 TILE_X 26964 TILEPROP CLBLM_R_X27Y53 TILE_Y -68800 TILEPROP CLBLM_R_X27Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y54 CLASS tile TILEPROP CLBLM_R_X27Y54 COLUMN 70 TILEPROP CLBLM_R_X27Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y54 FIRST_SITE_ID 9965 TILEPROP CLBLM_R_X27Y54 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X27Y54 INDEX 11455 TILEPROP CLBLM_R_X27Y54 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X27Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y54 NAME CLBLM_R_X27Y54 TILEPROP CLBLM_R_X27Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y54 NUM_SITES 2 TILEPROP CLBLM_R_X27Y54 ROW 99 TILEPROP CLBLM_R_X27Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y54 TILE_X 26964 TILEPROP CLBLM_R_X27Y54 TILE_Y -65600 TILEPROP CLBLM_R_X27Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y55 CLASS tile TILEPROP CLBLM_R_X27Y55 COLUMN 70 TILEPROP CLBLM_R_X27Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y55 FIRST_SITE_ID 9856 TILEPROP CLBLM_R_X27Y55 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X27Y55 INDEX 11340 TILEPROP CLBLM_R_X27Y55 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X27Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y55 NAME CLBLM_R_X27Y55 TILEPROP CLBLM_R_X27Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y55 NUM_SITES 2 TILEPROP CLBLM_R_X27Y55 ROW 98 TILEPROP CLBLM_R_X27Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y55 TILE_X 26964 TILEPROP CLBLM_R_X27Y55 TILE_Y -62400 TILEPROP CLBLM_R_X27Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y56 CLASS tile TILEPROP CLBLM_R_X27Y56 COLUMN 70 TILEPROP CLBLM_R_X27Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y56 FIRST_SITE_ID 9750 TILEPROP CLBLM_R_X27Y56 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X27Y56 INDEX 11225 TILEPROP CLBLM_R_X27Y56 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X27Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y56 NAME CLBLM_R_X27Y56 TILEPROP CLBLM_R_X27Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y56 NUM_SITES 2 TILEPROP CLBLM_R_X27Y56 ROW 97 TILEPROP CLBLM_R_X27Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y56 TILE_X 26964 TILEPROP CLBLM_R_X27Y56 TILE_Y -59200 TILEPROP CLBLM_R_X27Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y57 CLASS tile TILEPROP CLBLM_R_X27Y57 COLUMN 70 TILEPROP CLBLM_R_X27Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y57 FIRST_SITE_ID 9648 TILEPROP CLBLM_R_X27Y57 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X27Y57 INDEX 11110 TILEPROP CLBLM_R_X27Y57 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X27Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y57 NAME CLBLM_R_X27Y57 TILEPROP CLBLM_R_X27Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y57 NUM_SITES 2 TILEPROP CLBLM_R_X27Y57 ROW 96 TILEPROP CLBLM_R_X27Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y57 TILE_X 26964 TILEPROP CLBLM_R_X27Y57 TILE_Y -56000 TILEPROP CLBLM_R_X27Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y58 CLASS tile TILEPROP CLBLM_R_X27Y58 COLUMN 70 TILEPROP CLBLM_R_X27Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y58 FIRST_SITE_ID 9545 TILEPROP CLBLM_R_X27Y58 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X27Y58 INDEX 10995 TILEPROP CLBLM_R_X27Y58 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X27Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y58 NAME CLBLM_R_X27Y58 TILEPROP CLBLM_R_X27Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y58 NUM_SITES 2 TILEPROP CLBLM_R_X27Y58 ROW 95 TILEPROP CLBLM_R_X27Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y58 TILE_X 26964 TILEPROP CLBLM_R_X27Y58 TILE_Y -52800 TILEPROP CLBLM_R_X27Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y59 CLASS tile TILEPROP CLBLM_R_X27Y59 COLUMN 70 TILEPROP CLBLM_R_X27Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y59 FIRST_SITE_ID 9444 TILEPROP CLBLM_R_X27Y59 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X27Y59 INDEX 10880 TILEPROP CLBLM_R_X27Y59 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X27Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y59 NAME CLBLM_R_X27Y59 TILEPROP CLBLM_R_X27Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y59 NUM_SITES 2 TILEPROP CLBLM_R_X27Y59 ROW 94 TILEPROP CLBLM_R_X27Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y59 TILE_X 26964 TILEPROP CLBLM_R_X27Y59 TILE_Y -49600 TILEPROP CLBLM_R_X27Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y60 CLASS tile TILEPROP CLBLM_R_X27Y60 COLUMN 70 TILEPROP CLBLM_R_X27Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y60 FIRST_SITE_ID 9335 TILEPROP CLBLM_R_X27Y60 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X27Y60 INDEX 10765 TILEPROP CLBLM_R_X27Y60 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X27Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y60 NAME CLBLM_R_X27Y60 TILEPROP CLBLM_R_X27Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y60 NUM_SITES 2 TILEPROP CLBLM_R_X27Y60 ROW 93 TILEPROP CLBLM_R_X27Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y60 TILE_X 26964 TILEPROP CLBLM_R_X27Y60 TILE_Y -46400 TILEPROP CLBLM_R_X27Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y61 CLASS tile TILEPROP CLBLM_R_X27Y61 COLUMN 70 TILEPROP CLBLM_R_X27Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y61 FIRST_SITE_ID 9229 TILEPROP CLBLM_R_X27Y61 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X27Y61 INDEX 10650 TILEPROP CLBLM_R_X27Y61 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X27Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y61 NAME CLBLM_R_X27Y61 TILEPROP CLBLM_R_X27Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y61 NUM_SITES 2 TILEPROP CLBLM_R_X27Y61 ROW 92 TILEPROP CLBLM_R_X27Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y61 TILE_X 26964 TILEPROP CLBLM_R_X27Y61 TILE_Y -43200 TILEPROP CLBLM_R_X27Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y62 CLASS tile TILEPROP CLBLM_R_X27Y62 COLUMN 70 TILEPROP CLBLM_R_X27Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y62 FIRST_SITE_ID 9129 TILEPROP CLBLM_R_X27Y62 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X27Y62 INDEX 10535 TILEPROP CLBLM_R_X27Y62 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X27Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y62 NAME CLBLM_R_X27Y62 TILEPROP CLBLM_R_X27Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y62 NUM_SITES 2 TILEPROP CLBLM_R_X27Y62 ROW 91 TILEPROP CLBLM_R_X27Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y62 TILE_X 26964 TILEPROP CLBLM_R_X27Y62 TILE_Y -40000 TILEPROP CLBLM_R_X27Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y63 CLASS tile TILEPROP CLBLM_R_X27Y63 COLUMN 70 TILEPROP CLBLM_R_X27Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y63 FIRST_SITE_ID 8997 TILEPROP CLBLM_R_X27Y63 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X27Y63 INDEX 10420 TILEPROP CLBLM_R_X27Y63 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X27Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y63 NAME CLBLM_R_X27Y63 TILEPROP CLBLM_R_X27Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y63 NUM_SITES 2 TILEPROP CLBLM_R_X27Y63 ROW 90 TILEPROP CLBLM_R_X27Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y63 TILE_X 26964 TILEPROP CLBLM_R_X27Y63 TILE_Y -36800 TILEPROP CLBLM_R_X27Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y64 CLASS tile TILEPROP CLBLM_R_X27Y64 COLUMN 70 TILEPROP CLBLM_R_X27Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y64 FIRST_SITE_ID 8897 TILEPROP CLBLM_R_X27Y64 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X27Y64 INDEX 10305 TILEPROP CLBLM_R_X27Y64 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X27Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y64 NAME CLBLM_R_X27Y64 TILEPROP CLBLM_R_X27Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y64 NUM_SITES 2 TILEPROP CLBLM_R_X27Y64 ROW 89 TILEPROP CLBLM_R_X27Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y64 TILE_X 26964 TILEPROP CLBLM_R_X27Y64 TILE_Y -33600 TILEPROP CLBLM_R_X27Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y65 CLASS tile TILEPROP CLBLM_R_X27Y65 COLUMN 70 TILEPROP CLBLM_R_X27Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y65 FIRST_SITE_ID 8788 TILEPROP CLBLM_R_X27Y65 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X27Y65 INDEX 10190 TILEPROP CLBLM_R_X27Y65 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X27Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y65 NAME CLBLM_R_X27Y65 TILEPROP CLBLM_R_X27Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y65 NUM_SITES 2 TILEPROP CLBLM_R_X27Y65 ROW 88 TILEPROP CLBLM_R_X27Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y65 TILE_X 26964 TILEPROP CLBLM_R_X27Y65 TILE_Y -30400 TILEPROP CLBLM_R_X27Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y66 CLASS tile TILEPROP CLBLM_R_X27Y66 COLUMN 70 TILEPROP CLBLM_R_X27Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y66 FIRST_SITE_ID 8682 TILEPROP CLBLM_R_X27Y66 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X27Y66 INDEX 10075 TILEPROP CLBLM_R_X27Y66 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X27Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y66 NAME CLBLM_R_X27Y66 TILEPROP CLBLM_R_X27Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y66 NUM_SITES 2 TILEPROP CLBLM_R_X27Y66 ROW 87 TILEPROP CLBLM_R_X27Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y66 TILE_X 26964 TILEPROP CLBLM_R_X27Y66 TILE_Y -27200 TILEPROP CLBLM_R_X27Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y67 CLASS tile TILEPROP CLBLM_R_X27Y67 COLUMN 70 TILEPROP CLBLM_R_X27Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y67 FIRST_SITE_ID 8578 TILEPROP CLBLM_R_X27Y67 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X27Y67 INDEX 9960 TILEPROP CLBLM_R_X27Y67 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X27Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y67 NAME CLBLM_R_X27Y67 TILEPROP CLBLM_R_X27Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y67 NUM_SITES 2 TILEPROP CLBLM_R_X27Y67 ROW 86 TILEPROP CLBLM_R_X27Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y67 TILE_X 26964 TILEPROP CLBLM_R_X27Y67 TILE_Y -24000 TILEPROP CLBLM_R_X27Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y68 CLASS tile TILEPROP CLBLM_R_X27Y68 COLUMN 70 TILEPROP CLBLM_R_X27Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y68 FIRST_SITE_ID 8474 TILEPROP CLBLM_R_X27Y68 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X27Y68 INDEX 9845 TILEPROP CLBLM_R_X27Y68 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X27Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y68 NAME CLBLM_R_X27Y68 TILEPROP CLBLM_R_X27Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y68 NUM_SITES 2 TILEPROP CLBLM_R_X27Y68 ROW 85 TILEPROP CLBLM_R_X27Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y68 TILE_X 26964 TILEPROP CLBLM_R_X27Y68 TILE_Y -20800 TILEPROP CLBLM_R_X27Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y69 CLASS tile TILEPROP CLBLM_R_X27Y69 COLUMN 70 TILEPROP CLBLM_R_X27Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y69 FIRST_SITE_ID 8372 TILEPROP CLBLM_R_X27Y69 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X27Y69 INDEX 9730 TILEPROP CLBLM_R_X27Y69 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X27Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y69 NAME CLBLM_R_X27Y69 TILEPROP CLBLM_R_X27Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y69 NUM_SITES 2 TILEPROP CLBLM_R_X27Y69 ROW 84 TILEPROP CLBLM_R_X27Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y69 TILE_X 26964 TILEPROP CLBLM_R_X27Y69 TILE_Y -17600 TILEPROP CLBLM_R_X27Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y70 CLASS tile TILEPROP CLBLM_R_X27Y70 COLUMN 70 TILEPROP CLBLM_R_X27Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y70 FIRST_SITE_ID 8261 TILEPROP CLBLM_R_X27Y70 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X27Y70 INDEX 9615 TILEPROP CLBLM_R_X27Y70 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X27Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y70 NAME CLBLM_R_X27Y70 TILEPROP CLBLM_R_X27Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y70 NUM_SITES 2 TILEPROP CLBLM_R_X27Y70 ROW 83 TILEPROP CLBLM_R_X27Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y70 TILE_X 26964 TILEPROP CLBLM_R_X27Y70 TILE_Y -14400 TILEPROP CLBLM_R_X27Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y71 CLASS tile TILEPROP CLBLM_R_X27Y71 COLUMN 70 TILEPROP CLBLM_R_X27Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y71 FIRST_SITE_ID 8155 TILEPROP CLBLM_R_X27Y71 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X27Y71 INDEX 9500 TILEPROP CLBLM_R_X27Y71 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X27Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y71 NAME CLBLM_R_X27Y71 TILEPROP CLBLM_R_X27Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y71 NUM_SITES 2 TILEPROP CLBLM_R_X27Y71 ROW 82 TILEPROP CLBLM_R_X27Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y71 TILE_X 26964 TILEPROP CLBLM_R_X27Y71 TILE_Y -11200 TILEPROP CLBLM_R_X27Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y72 CLASS tile TILEPROP CLBLM_R_X27Y72 COLUMN 70 TILEPROP CLBLM_R_X27Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y72 FIRST_SITE_ID 8055 TILEPROP CLBLM_R_X27Y72 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X27Y72 INDEX 9385 TILEPROP CLBLM_R_X27Y72 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X27Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y72 NAME CLBLM_R_X27Y72 TILEPROP CLBLM_R_X27Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y72 NUM_SITES 2 TILEPROP CLBLM_R_X27Y72 ROW 81 TILEPROP CLBLM_R_X27Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y72 TILE_X 26964 TILEPROP CLBLM_R_X27Y72 TILE_Y -8000 TILEPROP CLBLM_R_X27Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y73 CLASS tile TILEPROP CLBLM_R_X27Y73 COLUMN 70 TILEPROP CLBLM_R_X27Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y73 FIRST_SITE_ID 7955 TILEPROP CLBLM_R_X27Y73 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X27Y73 INDEX 9270 TILEPROP CLBLM_R_X27Y73 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X27Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y73 NAME CLBLM_R_X27Y73 TILEPROP CLBLM_R_X27Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y73 NUM_SITES 2 TILEPROP CLBLM_R_X27Y73 ROW 80 TILEPROP CLBLM_R_X27Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y73 TILE_X 26964 TILEPROP CLBLM_R_X27Y73 TILE_Y -4800 TILEPROP CLBLM_R_X27Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y74 CLASS tile TILEPROP CLBLM_R_X27Y74 COLUMN 70 TILEPROP CLBLM_R_X27Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y74 FIRST_SITE_ID 7855 TILEPROP CLBLM_R_X27Y74 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X27Y74 INDEX 9155 TILEPROP CLBLM_R_X27Y74 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X27Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y74 NAME CLBLM_R_X27Y74 TILEPROP CLBLM_R_X27Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y74 NUM_SITES 2 TILEPROP CLBLM_R_X27Y74 ROW 79 TILEPROP CLBLM_R_X27Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X27Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y74 TILE_X 26964 TILEPROP CLBLM_R_X27Y74 TILE_Y -1600 TILEPROP CLBLM_R_X27Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y75 CLASS tile TILEPROP CLBLM_R_X27Y75 COLUMN 70 TILEPROP CLBLM_R_X27Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y75 FIRST_SITE_ID 7663 TILEPROP CLBLM_R_X27Y75 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X27Y75 INDEX 8925 TILEPROP CLBLM_R_X27Y75 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X27Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y75 NAME CLBLM_R_X27Y75 TILEPROP CLBLM_R_X27Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y75 NUM_SITES 2 TILEPROP CLBLM_R_X27Y75 ROW 77 TILEPROP CLBLM_R_X27Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X27Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y75 TILE_X 26964 TILEPROP CLBLM_R_X27Y75 TILE_Y 2624 TILEPROP CLBLM_R_X27Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y76 CLASS tile TILEPROP CLBLM_R_X27Y76 COLUMN 70 TILEPROP CLBLM_R_X27Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y76 FIRST_SITE_ID 7554 TILEPROP CLBLM_R_X27Y76 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X27Y76 INDEX 8810 TILEPROP CLBLM_R_X27Y76 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X27Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y76 NAME CLBLM_R_X27Y76 TILEPROP CLBLM_R_X27Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y76 NUM_SITES 2 TILEPROP CLBLM_R_X27Y76 ROW 76 TILEPROP CLBLM_R_X27Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y76 TILE_X 26964 TILEPROP CLBLM_R_X27Y76 TILE_Y 5824 TILEPROP CLBLM_R_X27Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y77 CLASS tile TILEPROP CLBLM_R_X27Y77 COLUMN 70 TILEPROP CLBLM_R_X27Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y77 FIRST_SITE_ID 7454 TILEPROP CLBLM_R_X27Y77 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X27Y77 INDEX 8695 TILEPROP CLBLM_R_X27Y77 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X27Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y77 NAME CLBLM_R_X27Y77 TILEPROP CLBLM_R_X27Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y77 NUM_SITES 2 TILEPROP CLBLM_R_X27Y77 ROW 75 TILEPROP CLBLM_R_X27Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y77 TILE_X 26964 TILEPROP CLBLM_R_X27Y77 TILE_Y 9024 TILEPROP CLBLM_R_X27Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y78 CLASS tile TILEPROP CLBLM_R_X27Y78 COLUMN 70 TILEPROP CLBLM_R_X27Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y78 FIRST_SITE_ID 7354 TILEPROP CLBLM_R_X27Y78 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X27Y78 INDEX 8580 TILEPROP CLBLM_R_X27Y78 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X27Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y78 NAME CLBLM_R_X27Y78 TILEPROP CLBLM_R_X27Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y78 NUM_SITES 2 TILEPROP CLBLM_R_X27Y78 ROW 74 TILEPROP CLBLM_R_X27Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y78 TILE_X 26964 TILEPROP CLBLM_R_X27Y78 TILE_Y 12224 TILEPROP CLBLM_R_X27Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y79 CLASS tile TILEPROP CLBLM_R_X27Y79 COLUMN 70 TILEPROP CLBLM_R_X27Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y79 FIRST_SITE_ID 7248 TILEPROP CLBLM_R_X27Y79 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X27Y79 INDEX 8465 TILEPROP CLBLM_R_X27Y79 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X27Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y79 NAME CLBLM_R_X27Y79 TILEPROP CLBLM_R_X27Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y79 NUM_SITES 2 TILEPROP CLBLM_R_X27Y79 ROW 73 TILEPROP CLBLM_R_X27Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y79 TILE_X 26964 TILEPROP CLBLM_R_X27Y79 TILE_Y 15424 TILEPROP CLBLM_R_X27Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y80 CLASS tile TILEPROP CLBLM_R_X27Y80 COLUMN 70 TILEPROP CLBLM_R_X27Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y80 FIRST_SITE_ID 7133 TILEPROP CLBLM_R_X27Y80 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X27Y80 INDEX 8350 TILEPROP CLBLM_R_X27Y80 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X27Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y80 NAME CLBLM_R_X27Y80 TILEPROP CLBLM_R_X27Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y80 NUM_SITES 2 TILEPROP CLBLM_R_X27Y80 ROW 72 TILEPROP CLBLM_R_X27Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y80 TILE_X 26964 TILEPROP CLBLM_R_X27Y80 TILE_Y 18624 TILEPROP CLBLM_R_X27Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y81 CLASS tile TILEPROP CLBLM_R_X27Y81 COLUMN 70 TILEPROP CLBLM_R_X27Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y81 FIRST_SITE_ID 7025 TILEPROP CLBLM_R_X27Y81 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X27Y81 INDEX 8235 TILEPROP CLBLM_R_X27Y81 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X27Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y81 NAME CLBLM_R_X27Y81 TILEPROP CLBLM_R_X27Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y81 NUM_SITES 2 TILEPROP CLBLM_R_X27Y81 ROW 71 TILEPROP CLBLM_R_X27Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y81 TILE_X 26964 TILEPROP CLBLM_R_X27Y81 TILE_Y 21824 TILEPROP CLBLM_R_X27Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y82 CLASS tile TILEPROP CLBLM_R_X27Y82 COLUMN 70 TILEPROP CLBLM_R_X27Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y82 FIRST_SITE_ID 6923 TILEPROP CLBLM_R_X27Y82 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X27Y82 INDEX 8120 TILEPROP CLBLM_R_X27Y82 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X27Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y82 NAME CLBLM_R_X27Y82 TILEPROP CLBLM_R_X27Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y82 NUM_SITES 2 TILEPROP CLBLM_R_X27Y82 ROW 70 TILEPROP CLBLM_R_X27Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y82 TILE_X 26964 TILEPROP CLBLM_R_X27Y82 TILE_Y 25024 TILEPROP CLBLM_R_X27Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y83 CLASS tile TILEPROP CLBLM_R_X27Y83 COLUMN 70 TILEPROP CLBLM_R_X27Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y83 FIRST_SITE_ID 6823 TILEPROP CLBLM_R_X27Y83 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X27Y83 INDEX 8005 TILEPROP CLBLM_R_X27Y83 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X27Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y83 NAME CLBLM_R_X27Y83 TILEPROP CLBLM_R_X27Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y83 NUM_SITES 2 TILEPROP CLBLM_R_X27Y83 ROW 69 TILEPROP CLBLM_R_X27Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y83 TILE_X 26964 TILEPROP CLBLM_R_X27Y83 TILE_Y 28224 TILEPROP CLBLM_R_X27Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y84 CLASS tile TILEPROP CLBLM_R_X27Y84 COLUMN 70 TILEPROP CLBLM_R_X27Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y84 FIRST_SITE_ID 6723 TILEPROP CLBLM_R_X27Y84 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X27Y84 INDEX 7890 TILEPROP CLBLM_R_X27Y84 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X27Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y84 NAME CLBLM_R_X27Y84 TILEPROP CLBLM_R_X27Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y84 NUM_SITES 2 TILEPROP CLBLM_R_X27Y84 ROW 68 TILEPROP CLBLM_R_X27Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y84 TILE_X 26964 TILEPROP CLBLM_R_X27Y84 TILE_Y 31424 TILEPROP CLBLM_R_X27Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y85 CLASS tile TILEPROP CLBLM_R_X27Y85 COLUMN 70 TILEPROP CLBLM_R_X27Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y85 FIRST_SITE_ID 6614 TILEPROP CLBLM_R_X27Y85 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X27Y85 INDEX 7775 TILEPROP CLBLM_R_X27Y85 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X27Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y85 NAME CLBLM_R_X27Y85 TILEPROP CLBLM_R_X27Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y85 NUM_SITES 2 TILEPROP CLBLM_R_X27Y85 ROW 67 TILEPROP CLBLM_R_X27Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y85 TILE_X 26964 TILEPROP CLBLM_R_X27Y85 TILE_Y 34624 TILEPROP CLBLM_R_X27Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y86 CLASS tile TILEPROP CLBLM_R_X27Y86 COLUMN 70 TILEPROP CLBLM_R_X27Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y86 FIRST_SITE_ID 6508 TILEPROP CLBLM_R_X27Y86 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X27Y86 INDEX 7660 TILEPROP CLBLM_R_X27Y86 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X27Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y86 NAME CLBLM_R_X27Y86 TILEPROP CLBLM_R_X27Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y86 NUM_SITES 2 TILEPROP CLBLM_R_X27Y86 ROW 66 TILEPROP CLBLM_R_X27Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y86 TILE_X 26964 TILEPROP CLBLM_R_X27Y86 TILE_Y 37824 TILEPROP CLBLM_R_X27Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y87 CLASS tile TILEPROP CLBLM_R_X27Y87 COLUMN 70 TILEPROP CLBLM_R_X27Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y87 FIRST_SITE_ID 6376 TILEPROP CLBLM_R_X27Y87 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X27Y87 INDEX 7545 TILEPROP CLBLM_R_X27Y87 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X27Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y87 NAME CLBLM_R_X27Y87 TILEPROP CLBLM_R_X27Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y87 NUM_SITES 2 TILEPROP CLBLM_R_X27Y87 ROW 65 TILEPROP CLBLM_R_X27Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y87 TILE_X 26964 TILEPROP CLBLM_R_X27Y87 TILE_Y 41024 TILEPROP CLBLM_R_X27Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y88 CLASS tile TILEPROP CLBLM_R_X27Y88 COLUMN 70 TILEPROP CLBLM_R_X27Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y88 FIRST_SITE_ID 6276 TILEPROP CLBLM_R_X27Y88 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X27Y88 INDEX 7430 TILEPROP CLBLM_R_X27Y88 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X27Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y88 NAME CLBLM_R_X27Y88 TILEPROP CLBLM_R_X27Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y88 NUM_SITES 2 TILEPROP CLBLM_R_X27Y88 ROW 64 TILEPROP CLBLM_R_X27Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y88 TILE_X 26964 TILEPROP CLBLM_R_X27Y88 TILE_Y 44224 TILEPROP CLBLM_R_X27Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y89 CLASS tile TILEPROP CLBLM_R_X27Y89 COLUMN 70 TILEPROP CLBLM_R_X27Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y89 FIRST_SITE_ID 6176 TILEPROP CLBLM_R_X27Y89 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X27Y89 INDEX 7315 TILEPROP CLBLM_R_X27Y89 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X27Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y89 NAME CLBLM_R_X27Y89 TILEPROP CLBLM_R_X27Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y89 NUM_SITES 2 TILEPROP CLBLM_R_X27Y89 ROW 63 TILEPROP CLBLM_R_X27Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y89 TILE_X 26964 TILEPROP CLBLM_R_X27Y89 TILE_Y 47424 TILEPROP CLBLM_R_X27Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y90 CLASS tile TILEPROP CLBLM_R_X27Y90 COLUMN 70 TILEPROP CLBLM_R_X27Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y90 FIRST_SITE_ID 6067 TILEPROP CLBLM_R_X27Y90 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X27Y90 INDEX 7200 TILEPROP CLBLM_R_X27Y90 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X27Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y90 NAME CLBLM_R_X27Y90 TILEPROP CLBLM_R_X27Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y90 NUM_SITES 2 TILEPROP CLBLM_R_X27Y90 ROW 62 TILEPROP CLBLM_R_X27Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y90 TILE_X 26964 TILEPROP CLBLM_R_X27Y90 TILE_Y 50624 TILEPROP CLBLM_R_X27Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y91 CLASS tile TILEPROP CLBLM_R_X27Y91 COLUMN 70 TILEPROP CLBLM_R_X27Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y91 FIRST_SITE_ID 5961 TILEPROP CLBLM_R_X27Y91 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X27Y91 INDEX 7085 TILEPROP CLBLM_R_X27Y91 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X27Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y91 NAME CLBLM_R_X27Y91 TILEPROP CLBLM_R_X27Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y91 NUM_SITES 2 TILEPROP CLBLM_R_X27Y91 ROW 61 TILEPROP CLBLM_R_X27Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y91 TILE_X 26964 TILEPROP CLBLM_R_X27Y91 TILE_Y 53824 TILEPROP CLBLM_R_X27Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y92 CLASS tile TILEPROP CLBLM_R_X27Y92 COLUMN 70 TILEPROP CLBLM_R_X27Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y92 FIRST_SITE_ID 5860 TILEPROP CLBLM_R_X27Y92 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X27Y92 INDEX 6970 TILEPROP CLBLM_R_X27Y92 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X27Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y92 NAME CLBLM_R_X27Y92 TILEPROP CLBLM_R_X27Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y92 NUM_SITES 2 TILEPROP CLBLM_R_X27Y92 ROW 60 TILEPROP CLBLM_R_X27Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y92 TILE_X 26964 TILEPROP CLBLM_R_X27Y92 TILE_Y 57024 TILEPROP CLBLM_R_X27Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y93 CLASS tile TILEPROP CLBLM_R_X27Y93 COLUMN 70 TILEPROP CLBLM_R_X27Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y93 FIRST_SITE_ID 5757 TILEPROP CLBLM_R_X27Y93 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X27Y93 INDEX 6855 TILEPROP CLBLM_R_X27Y93 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X27Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y93 NAME CLBLM_R_X27Y93 TILEPROP CLBLM_R_X27Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y93 NUM_SITES 2 TILEPROP CLBLM_R_X27Y93 ROW 59 TILEPROP CLBLM_R_X27Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y93 TILE_X 26964 TILEPROP CLBLM_R_X27Y93 TILE_Y 60224 TILEPROP CLBLM_R_X27Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y94 CLASS tile TILEPROP CLBLM_R_X27Y94 COLUMN 70 TILEPROP CLBLM_R_X27Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y94 FIRST_SITE_ID 5655 TILEPROP CLBLM_R_X27Y94 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X27Y94 INDEX 6740 TILEPROP CLBLM_R_X27Y94 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X27Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y94 NAME CLBLM_R_X27Y94 TILEPROP CLBLM_R_X27Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y94 NUM_SITES 2 TILEPROP CLBLM_R_X27Y94 ROW 58 TILEPROP CLBLM_R_X27Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y94 TILE_X 26964 TILEPROP CLBLM_R_X27Y94 TILE_Y 63424 TILEPROP CLBLM_R_X27Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y95 CLASS tile TILEPROP CLBLM_R_X27Y95 COLUMN 70 TILEPROP CLBLM_R_X27Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y95 FIRST_SITE_ID 5546 TILEPROP CLBLM_R_X27Y95 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X27Y95 INDEX 6625 TILEPROP CLBLM_R_X27Y95 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X27Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y95 NAME CLBLM_R_X27Y95 TILEPROP CLBLM_R_X27Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y95 NUM_SITES 2 TILEPROP CLBLM_R_X27Y95 ROW 57 TILEPROP CLBLM_R_X27Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y95 TILE_X 26964 TILEPROP CLBLM_R_X27Y95 TILE_Y 66624 TILEPROP CLBLM_R_X27Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y96 CLASS tile TILEPROP CLBLM_R_X27Y96 COLUMN 70 TILEPROP CLBLM_R_X27Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y96 FIRST_SITE_ID 5440 TILEPROP CLBLM_R_X27Y96 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X27Y96 INDEX 6510 TILEPROP CLBLM_R_X27Y96 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X27Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y96 NAME CLBLM_R_X27Y96 TILEPROP CLBLM_R_X27Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y96 NUM_SITES 2 TILEPROP CLBLM_R_X27Y96 ROW 56 TILEPROP CLBLM_R_X27Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y96 TILE_X 26964 TILEPROP CLBLM_R_X27Y96 TILE_Y 69824 TILEPROP CLBLM_R_X27Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y97 CLASS tile TILEPROP CLBLM_R_X27Y97 COLUMN 70 TILEPROP CLBLM_R_X27Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y97 FIRST_SITE_ID 5340 TILEPROP CLBLM_R_X27Y97 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X27Y97 INDEX 6395 TILEPROP CLBLM_R_X27Y97 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X27Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y97 NAME CLBLM_R_X27Y97 TILEPROP CLBLM_R_X27Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y97 NUM_SITES 2 TILEPROP CLBLM_R_X27Y97 ROW 55 TILEPROP CLBLM_R_X27Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y97 TILE_X 26964 TILEPROP CLBLM_R_X27Y97 TILE_Y 73024 TILEPROP CLBLM_R_X27Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y98 CLASS tile TILEPROP CLBLM_R_X27Y98 COLUMN 70 TILEPROP CLBLM_R_X27Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y98 FIRST_SITE_ID 5240 TILEPROP CLBLM_R_X27Y98 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X27Y98 INDEX 6280 TILEPROP CLBLM_R_X27Y98 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X27Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y98 NAME CLBLM_R_X27Y98 TILEPROP CLBLM_R_X27Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y98 NUM_SITES 2 TILEPROP CLBLM_R_X27Y98 ROW 54 TILEPROP CLBLM_R_X27Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y98 TILE_X 26964 TILEPROP CLBLM_R_X27Y98 TILE_Y 76224 TILEPROP CLBLM_R_X27Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y99 CLASS tile TILEPROP CLBLM_R_X27Y99 COLUMN 70 TILEPROP CLBLM_R_X27Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y99 FIRST_SITE_ID 5144 TILEPROP CLBLM_R_X27Y99 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X27Y99 INDEX 6165 TILEPROP CLBLM_R_X27Y99 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X27Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y99 NAME CLBLM_R_X27Y99 TILEPROP CLBLM_R_X27Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y99 NUM_SITES 2 TILEPROP CLBLM_R_X27Y99 ROW 53 TILEPROP CLBLM_R_X27Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y99 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X27Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y99 TILE_X 26964 TILEPROP CLBLM_R_X27Y99 TILE_Y 79424 TILEPROP CLBLM_R_X27Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y125 CLASS tile TILEPROP CLBLM_R_X27Y125 COLUMN 70 TILEPROP CLBLM_R_X27Y125 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y125 FIRST_SITE_ID 2581 TILEPROP CLBLM_R_X27Y125 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y125 GRID_POINT_Y 25 TILEPROP CLBLM_R_X27Y125 INDEX 2945 TILEPROP CLBLM_R_X27Y125 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y125 INT_TILE_Y 24 TILEPROP CLBLM_R_X27Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y125 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y125 NAME CLBLM_R_X27Y125 TILEPROP CLBLM_R_X27Y125 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y125 NUM_SITES 2 TILEPROP CLBLM_R_X27Y125 ROW 25 TILEPROP CLBLM_R_X27Y125 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y125 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X27Y125 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y125 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y125 TILE_X 26964 TILEPROP CLBLM_R_X27Y125 TILE_Y 163896 TILEPROP CLBLM_R_X27Y125 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y126 CLASS tile TILEPROP CLBLM_R_X27Y126 COLUMN 70 TILEPROP CLBLM_R_X27Y126 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y126 FIRST_SITE_ID 2471 TILEPROP CLBLM_R_X27Y126 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y126 GRID_POINT_Y 24 TILEPROP CLBLM_R_X27Y126 INDEX 2830 TILEPROP CLBLM_R_X27Y126 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y126 INT_TILE_Y 23 TILEPROP CLBLM_R_X27Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y126 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y126 NAME CLBLM_R_X27Y126 TILEPROP CLBLM_R_X27Y126 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y126 NUM_SITES 2 TILEPROP CLBLM_R_X27Y126 ROW 24 TILEPROP CLBLM_R_X27Y126 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y126 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y126 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y126 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y126 TILE_X 26964 TILEPROP CLBLM_R_X27Y126 TILE_Y 167096 TILEPROP CLBLM_R_X27Y126 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y127 CLASS tile TILEPROP CLBLM_R_X27Y127 COLUMN 70 TILEPROP CLBLM_R_X27Y127 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y127 FIRST_SITE_ID 2375 TILEPROP CLBLM_R_X27Y127 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y127 GRID_POINT_Y 23 TILEPROP CLBLM_R_X27Y127 INDEX 2715 TILEPROP CLBLM_R_X27Y127 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y127 INT_TILE_Y 22 TILEPROP CLBLM_R_X27Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y127 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y127 NAME CLBLM_R_X27Y127 TILEPROP CLBLM_R_X27Y127 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y127 NUM_SITES 2 TILEPROP CLBLM_R_X27Y127 ROW 23 TILEPROP CLBLM_R_X27Y127 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y127 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y127 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y127 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y127 TILE_X 26964 TILEPROP CLBLM_R_X27Y127 TILE_Y 170296 TILEPROP CLBLM_R_X27Y127 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y128 CLASS tile TILEPROP CLBLM_R_X27Y128 COLUMN 70 TILEPROP CLBLM_R_X27Y128 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y128 FIRST_SITE_ID 2271 TILEPROP CLBLM_R_X27Y128 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y128 GRID_POINT_Y 22 TILEPROP CLBLM_R_X27Y128 INDEX 2600 TILEPROP CLBLM_R_X27Y128 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y128 INT_TILE_Y 21 TILEPROP CLBLM_R_X27Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y128 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y128 NAME CLBLM_R_X27Y128 TILEPROP CLBLM_R_X27Y128 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y128 NUM_SITES 2 TILEPROP CLBLM_R_X27Y128 ROW 22 TILEPROP CLBLM_R_X27Y128 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y128 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y128 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y128 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y128 TILE_X 26964 TILEPROP CLBLM_R_X27Y128 TILE_Y 173496 TILEPROP CLBLM_R_X27Y128 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y129 CLASS tile TILEPROP CLBLM_R_X27Y129 COLUMN 70 TILEPROP CLBLM_R_X27Y129 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y129 FIRST_SITE_ID 2175 TILEPROP CLBLM_R_X27Y129 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y129 GRID_POINT_Y 21 TILEPROP CLBLM_R_X27Y129 INDEX 2485 TILEPROP CLBLM_R_X27Y129 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y129 INT_TILE_Y 20 TILEPROP CLBLM_R_X27Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y129 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y129 NAME CLBLM_R_X27Y129 TILEPROP CLBLM_R_X27Y129 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y129 NUM_SITES 2 TILEPROP CLBLM_R_X27Y129 ROW 21 TILEPROP CLBLM_R_X27Y129 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y129 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y129 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y129 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y129 TILE_X 26964 TILEPROP CLBLM_R_X27Y129 TILE_Y 176696 TILEPROP CLBLM_R_X27Y129 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y130 CLASS tile TILEPROP CLBLM_R_X27Y130 COLUMN 70 TILEPROP CLBLM_R_X27Y130 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y130 FIRST_SITE_ID 2059 TILEPROP CLBLM_R_X27Y130 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y130 GRID_POINT_Y 20 TILEPROP CLBLM_R_X27Y130 INDEX 2370 TILEPROP CLBLM_R_X27Y130 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y130 INT_TILE_Y 19 TILEPROP CLBLM_R_X27Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y130 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y130 NAME CLBLM_R_X27Y130 TILEPROP CLBLM_R_X27Y130 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y130 NUM_SITES 2 TILEPROP CLBLM_R_X27Y130 ROW 20 TILEPROP CLBLM_R_X27Y130 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y130 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y130 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y130 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y130 TILE_X 26964 TILEPROP CLBLM_R_X27Y130 TILE_Y 179896 TILEPROP CLBLM_R_X27Y130 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y131 CLASS tile TILEPROP CLBLM_R_X27Y131 COLUMN 70 TILEPROP CLBLM_R_X27Y131 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y131 FIRST_SITE_ID 1957 TILEPROP CLBLM_R_X27Y131 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y131 GRID_POINT_Y 19 TILEPROP CLBLM_R_X27Y131 INDEX 2255 TILEPROP CLBLM_R_X27Y131 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y131 INT_TILE_Y 18 TILEPROP CLBLM_R_X27Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y131 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y131 NAME CLBLM_R_X27Y131 TILEPROP CLBLM_R_X27Y131 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y131 NUM_SITES 2 TILEPROP CLBLM_R_X27Y131 ROW 19 TILEPROP CLBLM_R_X27Y131 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y131 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y131 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y131 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y131 TILE_X 26964 TILEPROP CLBLM_R_X27Y131 TILE_Y 183096 TILEPROP CLBLM_R_X27Y131 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y132 CLASS tile TILEPROP CLBLM_R_X27Y132 COLUMN 70 TILEPROP CLBLM_R_X27Y132 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y132 FIRST_SITE_ID 1851 TILEPROP CLBLM_R_X27Y132 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y132 GRID_POINT_Y 18 TILEPROP CLBLM_R_X27Y132 INDEX 2140 TILEPROP CLBLM_R_X27Y132 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y132 INT_TILE_Y 17 TILEPROP CLBLM_R_X27Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y132 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y132 NAME CLBLM_R_X27Y132 TILEPROP CLBLM_R_X27Y132 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y132 NUM_SITES 2 TILEPROP CLBLM_R_X27Y132 ROW 18 TILEPROP CLBLM_R_X27Y132 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y132 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y132 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y132 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y132 TILE_X 26964 TILEPROP CLBLM_R_X27Y132 TILE_Y 186296 TILEPROP CLBLM_R_X27Y132 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y133 CLASS tile TILEPROP CLBLM_R_X27Y133 COLUMN 70 TILEPROP CLBLM_R_X27Y133 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y133 FIRST_SITE_ID 1750 TILEPROP CLBLM_R_X27Y133 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y133 GRID_POINT_Y 17 TILEPROP CLBLM_R_X27Y133 INDEX 2025 TILEPROP CLBLM_R_X27Y133 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y133 INT_TILE_Y 16 TILEPROP CLBLM_R_X27Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y133 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y133 NAME CLBLM_R_X27Y133 TILEPROP CLBLM_R_X27Y133 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y133 NUM_SITES 2 TILEPROP CLBLM_R_X27Y133 ROW 17 TILEPROP CLBLM_R_X27Y133 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y133 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y133 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y133 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y133 TILE_X 26964 TILEPROP CLBLM_R_X27Y133 TILE_Y 189496 TILEPROP CLBLM_R_X27Y133 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y134 CLASS tile TILEPROP CLBLM_R_X27Y134 COLUMN 70 TILEPROP CLBLM_R_X27Y134 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y134 FIRST_SITE_ID 1646 TILEPROP CLBLM_R_X27Y134 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y134 GRID_POINT_Y 16 TILEPROP CLBLM_R_X27Y134 INDEX 1910 TILEPROP CLBLM_R_X27Y134 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y134 INT_TILE_Y 15 TILEPROP CLBLM_R_X27Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y134 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y134 NAME CLBLM_R_X27Y134 TILEPROP CLBLM_R_X27Y134 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y134 NUM_SITES 2 TILEPROP CLBLM_R_X27Y134 ROW 16 TILEPROP CLBLM_R_X27Y134 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y134 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y134 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y134 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y134 TILE_X 26964 TILEPROP CLBLM_R_X27Y134 TILE_Y 192696 TILEPROP CLBLM_R_X27Y134 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y135 CLASS tile TILEPROP CLBLM_R_X27Y135 COLUMN 70 TILEPROP CLBLM_R_X27Y135 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y135 FIRST_SITE_ID 1544 TILEPROP CLBLM_R_X27Y135 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y135 GRID_POINT_Y 15 TILEPROP CLBLM_R_X27Y135 INDEX 1795 TILEPROP CLBLM_R_X27Y135 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y135 INT_TILE_Y 14 TILEPROP CLBLM_R_X27Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y135 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y135 NAME CLBLM_R_X27Y135 TILEPROP CLBLM_R_X27Y135 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y135 NUM_SITES 2 TILEPROP CLBLM_R_X27Y135 ROW 15 TILEPROP CLBLM_R_X27Y135 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y135 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y135 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y135 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y135 TILE_X 26964 TILEPROP CLBLM_R_X27Y135 TILE_Y 195896 TILEPROP CLBLM_R_X27Y135 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y136 CLASS tile TILEPROP CLBLM_R_X27Y136 COLUMN 70 TILEPROP CLBLM_R_X27Y136 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y136 FIRST_SITE_ID 1434 TILEPROP CLBLM_R_X27Y136 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y136 GRID_POINT_Y 14 TILEPROP CLBLM_R_X27Y136 INDEX 1680 TILEPROP CLBLM_R_X27Y136 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y136 INT_TILE_Y 13 TILEPROP CLBLM_R_X27Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y136 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y136 NAME CLBLM_R_X27Y136 TILEPROP CLBLM_R_X27Y136 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y136 NUM_SITES 2 TILEPROP CLBLM_R_X27Y136 ROW 14 TILEPROP CLBLM_R_X27Y136 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y136 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y136 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y136 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y136 TILE_X 26964 TILEPROP CLBLM_R_X27Y136 TILE_Y 199096 TILEPROP CLBLM_R_X27Y136 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y137 CLASS tile TILEPROP CLBLM_R_X27Y137 COLUMN 70 TILEPROP CLBLM_R_X27Y137 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y137 FIRST_SITE_ID 1306 TILEPROP CLBLM_R_X27Y137 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y137 GRID_POINT_Y 13 TILEPROP CLBLM_R_X27Y137 INDEX 1565 TILEPROP CLBLM_R_X27Y137 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y137 INT_TILE_Y 12 TILEPROP CLBLM_R_X27Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y137 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y137 NAME CLBLM_R_X27Y137 TILEPROP CLBLM_R_X27Y137 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y137 NUM_SITES 2 TILEPROP CLBLM_R_X27Y137 ROW 13 TILEPROP CLBLM_R_X27Y137 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y137 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y137 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y137 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y137 TILE_X 26964 TILEPROP CLBLM_R_X27Y137 TILE_Y 202296 TILEPROP CLBLM_R_X27Y137 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y138 CLASS tile TILEPROP CLBLM_R_X27Y138 COLUMN 70 TILEPROP CLBLM_R_X27Y138 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y138 FIRST_SITE_ID 1202 TILEPROP CLBLM_R_X27Y138 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y138 GRID_POINT_Y 12 TILEPROP CLBLM_R_X27Y138 INDEX 1450 TILEPROP CLBLM_R_X27Y138 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y138 INT_TILE_Y 11 TILEPROP CLBLM_R_X27Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y138 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y138 NAME CLBLM_R_X27Y138 TILEPROP CLBLM_R_X27Y138 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y138 NUM_SITES 2 TILEPROP CLBLM_R_X27Y138 ROW 12 TILEPROP CLBLM_R_X27Y138 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y138 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y138 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y138 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y138 TILE_X 26964 TILEPROP CLBLM_R_X27Y138 TILE_Y 205496 TILEPROP CLBLM_R_X27Y138 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y139 CLASS tile TILEPROP CLBLM_R_X27Y139 COLUMN 70 TILEPROP CLBLM_R_X27Y139 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y139 FIRST_SITE_ID 1106 TILEPROP CLBLM_R_X27Y139 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y139 GRID_POINT_Y 11 TILEPROP CLBLM_R_X27Y139 INDEX 1335 TILEPROP CLBLM_R_X27Y139 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y139 INT_TILE_Y 10 TILEPROP CLBLM_R_X27Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y139 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y139 NAME CLBLM_R_X27Y139 TILEPROP CLBLM_R_X27Y139 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y139 NUM_SITES 2 TILEPROP CLBLM_R_X27Y139 ROW 11 TILEPROP CLBLM_R_X27Y139 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y139 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y139 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y139 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y139 TILE_X 26964 TILEPROP CLBLM_R_X27Y139 TILE_Y 208696 TILEPROP CLBLM_R_X27Y139 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y140 CLASS tile TILEPROP CLBLM_R_X27Y140 COLUMN 70 TILEPROP CLBLM_R_X27Y140 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y140 FIRST_SITE_ID 996 TILEPROP CLBLM_R_X27Y140 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y140 GRID_POINT_Y 10 TILEPROP CLBLM_R_X27Y140 INDEX 1220 TILEPROP CLBLM_R_X27Y140 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y140 INT_TILE_Y 9 TILEPROP CLBLM_R_X27Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y140 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y140 NAME CLBLM_R_X27Y140 TILEPROP CLBLM_R_X27Y140 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y140 NUM_SITES 2 TILEPROP CLBLM_R_X27Y140 ROW 10 TILEPROP CLBLM_R_X27Y140 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y140 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y140 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y140 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y140 TILE_X 26964 TILEPROP CLBLM_R_X27Y140 TILE_Y 211896 TILEPROP CLBLM_R_X27Y140 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y141 CLASS tile TILEPROP CLBLM_R_X27Y141 COLUMN 70 TILEPROP CLBLM_R_X27Y141 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y141 FIRST_SITE_ID 894 TILEPROP CLBLM_R_X27Y141 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y141 GRID_POINT_Y 9 TILEPROP CLBLM_R_X27Y141 INDEX 1105 TILEPROP CLBLM_R_X27Y141 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y141 INT_TILE_Y 8 TILEPROP CLBLM_R_X27Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y141 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y141 NAME CLBLM_R_X27Y141 TILEPROP CLBLM_R_X27Y141 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y141 NUM_SITES 2 TILEPROP CLBLM_R_X27Y141 ROW 9 TILEPROP CLBLM_R_X27Y141 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y141 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y141 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y141 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y141 TILE_X 26964 TILEPROP CLBLM_R_X27Y141 TILE_Y 215096 TILEPROP CLBLM_R_X27Y141 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y142 CLASS tile TILEPROP CLBLM_R_X27Y142 COLUMN 70 TILEPROP CLBLM_R_X27Y142 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y142 FIRST_SITE_ID 790 TILEPROP CLBLM_R_X27Y142 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y142 GRID_POINT_Y 8 TILEPROP CLBLM_R_X27Y142 INDEX 990 TILEPROP CLBLM_R_X27Y142 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y142 INT_TILE_Y 7 TILEPROP CLBLM_R_X27Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y142 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y142 NAME CLBLM_R_X27Y142 TILEPROP CLBLM_R_X27Y142 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y142 NUM_SITES 2 TILEPROP CLBLM_R_X27Y142 ROW 8 TILEPROP CLBLM_R_X27Y142 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y142 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y142 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y142 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y142 TILE_X 26964 TILEPROP CLBLM_R_X27Y142 TILE_Y 218296 TILEPROP CLBLM_R_X27Y142 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y143 CLASS tile TILEPROP CLBLM_R_X27Y143 COLUMN 70 TILEPROP CLBLM_R_X27Y143 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y143 FIRST_SITE_ID 693 TILEPROP CLBLM_R_X27Y143 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y143 GRID_POINT_Y 7 TILEPROP CLBLM_R_X27Y143 INDEX 875 TILEPROP CLBLM_R_X27Y143 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y143 INT_TILE_Y 6 TILEPROP CLBLM_R_X27Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y143 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y143 NAME CLBLM_R_X27Y143 TILEPROP CLBLM_R_X27Y143 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y143 NUM_SITES 2 TILEPROP CLBLM_R_X27Y143 ROW 7 TILEPROP CLBLM_R_X27Y143 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y143 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y143 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y143 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y143 TILE_X 26964 TILEPROP CLBLM_R_X27Y143 TILE_Y 221496 TILEPROP CLBLM_R_X27Y143 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y144 CLASS tile TILEPROP CLBLM_R_X27Y144 COLUMN 70 TILEPROP CLBLM_R_X27Y144 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y144 FIRST_SITE_ID 582 TILEPROP CLBLM_R_X27Y144 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y144 GRID_POINT_Y 6 TILEPROP CLBLM_R_X27Y144 INDEX 760 TILEPROP CLBLM_R_X27Y144 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y144 INT_TILE_Y 5 TILEPROP CLBLM_R_X27Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y144 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y144 NAME CLBLM_R_X27Y144 TILEPROP CLBLM_R_X27Y144 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y144 NUM_SITES 2 TILEPROP CLBLM_R_X27Y144 ROW 6 TILEPROP CLBLM_R_X27Y144 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y144 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y144 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y144 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y144 TILE_X 26964 TILEPROP CLBLM_R_X27Y144 TILE_Y 224696 TILEPROP CLBLM_R_X27Y144 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y145 CLASS tile TILEPROP CLBLM_R_X27Y145 COLUMN 70 TILEPROP CLBLM_R_X27Y145 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y145 FIRST_SITE_ID 480 TILEPROP CLBLM_R_X27Y145 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y145 GRID_POINT_Y 5 TILEPROP CLBLM_R_X27Y145 INDEX 645 TILEPROP CLBLM_R_X27Y145 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y145 INT_TILE_Y 4 TILEPROP CLBLM_R_X27Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y145 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y145 NAME CLBLM_R_X27Y145 TILEPROP CLBLM_R_X27Y145 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y145 NUM_SITES 2 TILEPROP CLBLM_R_X27Y145 ROW 5 TILEPROP CLBLM_R_X27Y145 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y145 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y145 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y145 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y145 TILE_X 26964 TILEPROP CLBLM_R_X27Y145 TILE_Y 227896 TILEPROP CLBLM_R_X27Y145 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y146 CLASS tile TILEPROP CLBLM_R_X27Y146 COLUMN 70 TILEPROP CLBLM_R_X27Y146 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y146 FIRST_SITE_ID 370 TILEPROP CLBLM_R_X27Y146 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y146 GRID_POINT_Y 4 TILEPROP CLBLM_R_X27Y146 INDEX 530 TILEPROP CLBLM_R_X27Y146 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y146 INT_TILE_Y 3 TILEPROP CLBLM_R_X27Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y146 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y146 NAME CLBLM_R_X27Y146 TILEPROP CLBLM_R_X27Y146 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y146 NUM_SITES 2 TILEPROP CLBLM_R_X27Y146 ROW 4 TILEPROP CLBLM_R_X27Y146 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y146 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y146 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y146 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y146 TILE_X 26964 TILEPROP CLBLM_R_X27Y146 TILE_Y 231096 TILEPROP CLBLM_R_X27Y146 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y147 CLASS tile TILEPROP CLBLM_R_X27Y147 COLUMN 70 TILEPROP CLBLM_R_X27Y147 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y147 FIRST_SITE_ID 274 TILEPROP CLBLM_R_X27Y147 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y147 GRID_POINT_Y 3 TILEPROP CLBLM_R_X27Y147 INDEX 415 TILEPROP CLBLM_R_X27Y147 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y147 INT_TILE_Y 2 TILEPROP CLBLM_R_X27Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y147 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y147 NAME CLBLM_R_X27Y147 TILEPROP CLBLM_R_X27Y147 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y147 NUM_SITES 2 TILEPROP CLBLM_R_X27Y147 ROW 3 TILEPROP CLBLM_R_X27Y147 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y147 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y147 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y147 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y147 TILE_X 26964 TILEPROP CLBLM_R_X27Y147 TILE_Y 234296 TILEPROP CLBLM_R_X27Y147 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y148 CLASS tile TILEPROP CLBLM_R_X27Y148 COLUMN 70 TILEPROP CLBLM_R_X27Y148 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y148 FIRST_SITE_ID 170 TILEPROP CLBLM_R_X27Y148 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y148 GRID_POINT_Y 2 TILEPROP CLBLM_R_X27Y148 INDEX 300 TILEPROP CLBLM_R_X27Y148 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y148 INT_TILE_Y 1 TILEPROP CLBLM_R_X27Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y148 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y148 NAME CLBLM_R_X27Y148 TILEPROP CLBLM_R_X27Y148 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y148 NUM_SITES 2 TILEPROP CLBLM_R_X27Y148 ROW 2 TILEPROP CLBLM_R_X27Y148 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y148 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X27Y148 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y148 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y148 TILE_X 26964 TILEPROP CLBLM_R_X27Y148 TILE_Y 237496 TILEPROP CLBLM_R_X27Y148 TYPE CLBLM_R TILEPROP CLBLM_R_X27Y149 CLASS tile TILEPROP CLBLM_R_X27Y149 COLUMN 70 TILEPROP CLBLM_R_X27Y149 DEVICE_ID 0 TILEPROP CLBLM_R_X27Y149 FIRST_SITE_ID 74 TILEPROP CLBLM_R_X27Y149 GRID_POINT_X 70 TILEPROP CLBLM_R_X27Y149 GRID_POINT_Y 1 TILEPROP CLBLM_R_X27Y149 INDEX 185 TILEPROP CLBLM_R_X27Y149 INT_TILE_X 27 TILEPROP CLBLM_R_X27Y149 INT_TILE_Y 0 TILEPROP CLBLM_R_X27Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X27Y149 IS_DCM_TILE 0 TILEPROP CLBLM_R_X27Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X27Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X27Y149 NAME CLBLM_R_X27Y149 TILEPROP CLBLM_R_X27Y149 NUM_ARCS 151 TILEPROP CLBLM_R_X27Y149 NUM_SITES 2 TILEPROP CLBLM_R_X27Y149 ROW 1 TILEPROP CLBLM_R_X27Y149 SLR_REGION_ID 0 TILEPROP CLBLM_R_X27Y149 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X27Y149 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X27Y149 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X27Y149 TILE_X 26964 TILEPROP CLBLM_R_X27Y149 TILE_Y 240696 TILEPROP CLBLM_R_X27Y149 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y0 CLASS tile TILEPROP CLBLM_R_X29Y0 COLUMN 74 TILEPROP CLBLM_R_X29Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y0 FIRST_SITE_ID 15770 TILEPROP CLBLM_R_X29Y0 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X29Y0 INDEX 17899 TILEPROP CLBLM_R_X29Y0 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X29Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y0 NAME CLBLM_R_X29Y0 TILEPROP CLBLM_R_X29Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y0 NUM_SITES 2 TILEPROP CLBLM_R_X29Y0 ROW 155 TILEPROP CLBLM_R_X29Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X29Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y0 TILE_X 35268 TILEPROP CLBLM_R_X29Y0 TILE_Y -239672 TILEPROP CLBLM_R_X29Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y1 CLASS tile TILEPROP CLBLM_R_X29Y1 COLUMN 74 TILEPROP CLBLM_R_X29Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y1 FIRST_SITE_ID 15660 TILEPROP CLBLM_R_X29Y1 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X29Y1 INDEX 17784 TILEPROP CLBLM_R_X29Y1 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X29Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y1 NAME CLBLM_R_X29Y1 TILEPROP CLBLM_R_X29Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y1 NUM_SITES 2 TILEPROP CLBLM_R_X29Y1 ROW 154 TILEPROP CLBLM_R_X29Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y1 TILE_X 35268 TILEPROP CLBLM_R_X29Y1 TILE_Y -236472 TILEPROP CLBLM_R_X29Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y2 CLASS tile TILEPROP CLBLM_R_X29Y2 COLUMN 74 TILEPROP CLBLM_R_X29Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y2 FIRST_SITE_ID 15559 TILEPROP CLBLM_R_X29Y2 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X29Y2 INDEX 17669 TILEPROP CLBLM_R_X29Y2 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X29Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y2 NAME CLBLM_R_X29Y2 TILEPROP CLBLM_R_X29Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y2 NUM_SITES 2 TILEPROP CLBLM_R_X29Y2 ROW 153 TILEPROP CLBLM_R_X29Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y2 TILE_X 35268 TILEPROP CLBLM_R_X29Y2 TILE_Y -233272 TILEPROP CLBLM_R_X29Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y3 CLASS tile TILEPROP CLBLM_R_X29Y3 COLUMN 74 TILEPROP CLBLM_R_X29Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y3 FIRST_SITE_ID 15459 TILEPROP CLBLM_R_X29Y3 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X29Y3 INDEX 17554 TILEPROP CLBLM_R_X29Y3 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X29Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y3 NAME CLBLM_R_X29Y3 TILEPROP CLBLM_R_X29Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y3 NUM_SITES 2 TILEPROP CLBLM_R_X29Y3 ROW 152 TILEPROP CLBLM_R_X29Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y3 TILE_X 35268 TILEPROP CLBLM_R_X29Y3 TILE_Y -230072 TILEPROP CLBLM_R_X29Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y4 CLASS tile TILEPROP CLBLM_R_X29Y4 COLUMN 74 TILEPROP CLBLM_R_X29Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y4 FIRST_SITE_ID 15359 TILEPROP CLBLM_R_X29Y4 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X29Y4 INDEX 17439 TILEPROP CLBLM_R_X29Y4 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X29Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y4 NAME CLBLM_R_X29Y4 TILEPROP CLBLM_R_X29Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y4 NUM_SITES 2 TILEPROP CLBLM_R_X29Y4 ROW 151 TILEPROP CLBLM_R_X29Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y4 TILE_X 35268 TILEPROP CLBLM_R_X29Y4 TILE_Y -226872 TILEPROP CLBLM_R_X29Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y5 CLASS tile TILEPROP CLBLM_R_X29Y5 COLUMN 74 TILEPROP CLBLM_R_X29Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y5 FIRST_SITE_ID 15250 TILEPROP CLBLM_R_X29Y5 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X29Y5 INDEX 17324 TILEPROP CLBLM_R_X29Y5 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X29Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y5 NAME CLBLM_R_X29Y5 TILEPROP CLBLM_R_X29Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y5 NUM_SITES 2 TILEPROP CLBLM_R_X29Y5 ROW 150 TILEPROP CLBLM_R_X29Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y5 TILE_X 35268 TILEPROP CLBLM_R_X29Y5 TILE_Y -223672 TILEPROP CLBLM_R_X29Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y6 CLASS tile TILEPROP CLBLM_R_X29Y6 COLUMN 74 TILEPROP CLBLM_R_X29Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y6 FIRST_SITE_ID 15144 TILEPROP CLBLM_R_X29Y6 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X29Y6 INDEX 17209 TILEPROP CLBLM_R_X29Y6 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X29Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y6 NAME CLBLM_R_X29Y6 TILEPROP CLBLM_R_X29Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y6 NUM_SITES 2 TILEPROP CLBLM_R_X29Y6 ROW 149 TILEPROP CLBLM_R_X29Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y6 TILE_X 35268 TILEPROP CLBLM_R_X29Y6 TILE_Y -220472 TILEPROP CLBLM_R_X29Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y7 CLASS tile TILEPROP CLBLM_R_X29Y7 COLUMN 74 TILEPROP CLBLM_R_X29Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y7 FIRST_SITE_ID 15042 TILEPROP CLBLM_R_X29Y7 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X29Y7 INDEX 17094 TILEPROP CLBLM_R_X29Y7 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X29Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y7 NAME CLBLM_R_X29Y7 TILEPROP CLBLM_R_X29Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y7 NUM_SITES 2 TILEPROP CLBLM_R_X29Y7 ROW 148 TILEPROP CLBLM_R_X29Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y7 TILE_X 35268 TILEPROP CLBLM_R_X29Y7 TILE_Y -217272 TILEPROP CLBLM_R_X29Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y8 CLASS tile TILEPROP CLBLM_R_X29Y8 COLUMN 74 TILEPROP CLBLM_R_X29Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y8 FIRST_SITE_ID 14939 TILEPROP CLBLM_R_X29Y8 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X29Y8 INDEX 16979 TILEPROP CLBLM_R_X29Y8 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X29Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y8 NAME CLBLM_R_X29Y8 TILEPROP CLBLM_R_X29Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y8 NUM_SITES 2 TILEPROP CLBLM_R_X29Y8 ROW 147 TILEPROP CLBLM_R_X29Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y8 TILE_X 35268 TILEPROP CLBLM_R_X29Y8 TILE_Y -214072 TILEPROP CLBLM_R_X29Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y9 CLASS tile TILEPROP CLBLM_R_X29Y9 COLUMN 74 TILEPROP CLBLM_R_X29Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y9 FIRST_SITE_ID 14838 TILEPROP CLBLM_R_X29Y9 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X29Y9 INDEX 16864 TILEPROP CLBLM_R_X29Y9 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X29Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y9 NAME CLBLM_R_X29Y9 TILEPROP CLBLM_R_X29Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y9 NUM_SITES 2 TILEPROP CLBLM_R_X29Y9 ROW 146 TILEPROP CLBLM_R_X29Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y9 TILE_X 35268 TILEPROP CLBLM_R_X29Y9 TILE_Y -210872 TILEPROP CLBLM_R_X29Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y10 CLASS tile TILEPROP CLBLM_R_X29Y10 COLUMN 74 TILEPROP CLBLM_R_X29Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y10 FIRST_SITE_ID 14729 TILEPROP CLBLM_R_X29Y10 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X29Y10 INDEX 16749 TILEPROP CLBLM_R_X29Y10 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X29Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y10 NAME CLBLM_R_X29Y10 TILEPROP CLBLM_R_X29Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y10 NUM_SITES 2 TILEPROP CLBLM_R_X29Y10 ROW 145 TILEPROP CLBLM_R_X29Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y10 TILE_X 35268 TILEPROP CLBLM_R_X29Y10 TILE_Y -207672 TILEPROP CLBLM_R_X29Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y11 CLASS tile TILEPROP CLBLM_R_X29Y11 COLUMN 74 TILEPROP CLBLM_R_X29Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y11 FIRST_SITE_ID 14623 TILEPROP CLBLM_R_X29Y11 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X29Y11 INDEX 16634 TILEPROP CLBLM_R_X29Y11 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X29Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y11 NAME CLBLM_R_X29Y11 TILEPROP CLBLM_R_X29Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y11 NUM_SITES 2 TILEPROP CLBLM_R_X29Y11 ROW 144 TILEPROP CLBLM_R_X29Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y11 TILE_X 35268 TILEPROP CLBLM_R_X29Y11 TILE_Y -204472 TILEPROP CLBLM_R_X29Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y12 CLASS tile TILEPROP CLBLM_R_X29Y12 COLUMN 74 TILEPROP CLBLM_R_X29Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y12 FIRST_SITE_ID 14523 TILEPROP CLBLM_R_X29Y12 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X29Y12 INDEX 16519 TILEPROP CLBLM_R_X29Y12 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X29Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y12 NAME CLBLM_R_X29Y12 TILEPROP CLBLM_R_X29Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y12 NUM_SITES 2 TILEPROP CLBLM_R_X29Y12 ROW 143 TILEPROP CLBLM_R_X29Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y12 TILE_X 35268 TILEPROP CLBLM_R_X29Y12 TILE_Y -201272 TILEPROP CLBLM_R_X29Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y13 CLASS tile TILEPROP CLBLM_R_X29Y13 COLUMN 74 TILEPROP CLBLM_R_X29Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y13 FIRST_SITE_ID 14391 TILEPROP CLBLM_R_X29Y13 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X29Y13 INDEX 16404 TILEPROP CLBLM_R_X29Y13 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X29Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y13 NAME CLBLM_R_X29Y13 TILEPROP CLBLM_R_X29Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y13 NUM_SITES 2 TILEPROP CLBLM_R_X29Y13 ROW 142 TILEPROP CLBLM_R_X29Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y13 TILE_X 35268 TILEPROP CLBLM_R_X29Y13 TILE_Y -198072 TILEPROP CLBLM_R_X29Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y14 CLASS tile TILEPROP CLBLM_R_X29Y14 COLUMN 74 TILEPROP CLBLM_R_X29Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y14 FIRST_SITE_ID 14291 TILEPROP CLBLM_R_X29Y14 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X29Y14 INDEX 16289 TILEPROP CLBLM_R_X29Y14 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X29Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y14 NAME CLBLM_R_X29Y14 TILEPROP CLBLM_R_X29Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y14 NUM_SITES 2 TILEPROP CLBLM_R_X29Y14 ROW 141 TILEPROP CLBLM_R_X29Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y14 TILE_X 35268 TILEPROP CLBLM_R_X29Y14 TILE_Y -194872 TILEPROP CLBLM_R_X29Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y15 CLASS tile TILEPROP CLBLM_R_X29Y15 COLUMN 74 TILEPROP CLBLM_R_X29Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y15 FIRST_SITE_ID 14182 TILEPROP CLBLM_R_X29Y15 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X29Y15 INDEX 16174 TILEPROP CLBLM_R_X29Y15 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X29Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y15 NAME CLBLM_R_X29Y15 TILEPROP CLBLM_R_X29Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y15 NUM_SITES 2 TILEPROP CLBLM_R_X29Y15 ROW 140 TILEPROP CLBLM_R_X29Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y15 TILE_X 35268 TILEPROP CLBLM_R_X29Y15 TILE_Y -191672 TILEPROP CLBLM_R_X29Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y16 CLASS tile TILEPROP CLBLM_R_X29Y16 COLUMN 74 TILEPROP CLBLM_R_X29Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y16 FIRST_SITE_ID 14076 TILEPROP CLBLM_R_X29Y16 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X29Y16 INDEX 16059 TILEPROP CLBLM_R_X29Y16 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X29Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y16 NAME CLBLM_R_X29Y16 TILEPROP CLBLM_R_X29Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y16 NUM_SITES 2 TILEPROP CLBLM_R_X29Y16 ROW 139 TILEPROP CLBLM_R_X29Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y16 TILE_X 35268 TILEPROP CLBLM_R_X29Y16 TILE_Y -188472 TILEPROP CLBLM_R_X29Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y17 CLASS tile TILEPROP CLBLM_R_X29Y17 COLUMN 74 TILEPROP CLBLM_R_X29Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y17 FIRST_SITE_ID 13972 TILEPROP CLBLM_R_X29Y17 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X29Y17 INDEX 15944 TILEPROP CLBLM_R_X29Y17 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X29Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y17 NAME CLBLM_R_X29Y17 TILEPROP CLBLM_R_X29Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y17 NUM_SITES 2 TILEPROP CLBLM_R_X29Y17 ROW 138 TILEPROP CLBLM_R_X29Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y17 TILE_X 35268 TILEPROP CLBLM_R_X29Y17 TILE_Y -185272 TILEPROP CLBLM_R_X29Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y18 CLASS tile TILEPROP CLBLM_R_X29Y18 COLUMN 74 TILEPROP CLBLM_R_X29Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y18 FIRST_SITE_ID 13867 TILEPROP CLBLM_R_X29Y18 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X29Y18 INDEX 15829 TILEPROP CLBLM_R_X29Y18 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X29Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y18 NAME CLBLM_R_X29Y18 TILEPROP CLBLM_R_X29Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y18 NUM_SITES 2 TILEPROP CLBLM_R_X29Y18 ROW 137 TILEPROP CLBLM_R_X29Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y18 TILE_X 35268 TILEPROP CLBLM_R_X29Y18 TILE_Y -182072 TILEPROP CLBLM_R_X29Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y19 CLASS tile TILEPROP CLBLM_R_X29Y19 COLUMN 74 TILEPROP CLBLM_R_X29Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y19 FIRST_SITE_ID 13765 TILEPROP CLBLM_R_X29Y19 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X29Y19 INDEX 15714 TILEPROP CLBLM_R_X29Y19 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X29Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y19 NAME CLBLM_R_X29Y19 TILEPROP CLBLM_R_X29Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y19 NUM_SITES 2 TILEPROP CLBLM_R_X29Y19 ROW 136 TILEPROP CLBLM_R_X29Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y19 TILE_X 35268 TILEPROP CLBLM_R_X29Y19 TILE_Y -178872 TILEPROP CLBLM_R_X29Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y20 CLASS tile TILEPROP CLBLM_R_X29Y20 COLUMN 74 TILEPROP CLBLM_R_X29Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y20 FIRST_SITE_ID 13654 TILEPROP CLBLM_R_X29Y20 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X29Y20 INDEX 15599 TILEPROP CLBLM_R_X29Y20 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X29Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y20 NAME CLBLM_R_X29Y20 TILEPROP CLBLM_R_X29Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y20 NUM_SITES 2 TILEPROP CLBLM_R_X29Y20 ROW 135 TILEPROP CLBLM_R_X29Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y20 TILE_X 35268 TILEPROP CLBLM_R_X29Y20 TILE_Y -175672 TILEPROP CLBLM_R_X29Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y21 CLASS tile TILEPROP CLBLM_R_X29Y21 COLUMN 74 TILEPROP CLBLM_R_X29Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y21 FIRST_SITE_ID 13548 TILEPROP CLBLM_R_X29Y21 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X29Y21 INDEX 15484 TILEPROP CLBLM_R_X29Y21 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X29Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y21 NAME CLBLM_R_X29Y21 TILEPROP CLBLM_R_X29Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y21 NUM_SITES 2 TILEPROP CLBLM_R_X29Y21 ROW 134 TILEPROP CLBLM_R_X29Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y21 TILE_X 35268 TILEPROP CLBLM_R_X29Y21 TILE_Y -172472 TILEPROP CLBLM_R_X29Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y22 CLASS tile TILEPROP CLBLM_R_X29Y22 COLUMN 74 TILEPROP CLBLM_R_X29Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y22 FIRST_SITE_ID 13448 TILEPROP CLBLM_R_X29Y22 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X29Y22 INDEX 15369 TILEPROP CLBLM_R_X29Y22 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X29Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y22 NAME CLBLM_R_X29Y22 TILEPROP CLBLM_R_X29Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y22 NUM_SITES 2 TILEPROP CLBLM_R_X29Y22 ROW 133 TILEPROP CLBLM_R_X29Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y22 TILE_X 35268 TILEPROP CLBLM_R_X29Y22 TILE_Y -169272 TILEPROP CLBLM_R_X29Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y23 CLASS tile TILEPROP CLBLM_R_X29Y23 COLUMN 74 TILEPROP CLBLM_R_X29Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y23 FIRST_SITE_ID 13348 TILEPROP CLBLM_R_X29Y23 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X29Y23 INDEX 15254 TILEPROP CLBLM_R_X29Y23 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X29Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y23 NAME CLBLM_R_X29Y23 TILEPROP CLBLM_R_X29Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y23 NUM_SITES 2 TILEPROP CLBLM_R_X29Y23 ROW 132 TILEPROP CLBLM_R_X29Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y23 TILE_X 35268 TILEPROP CLBLM_R_X29Y23 TILE_Y -166072 TILEPROP CLBLM_R_X29Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y24 CLASS tile TILEPROP CLBLM_R_X29Y24 COLUMN 74 TILEPROP CLBLM_R_X29Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y24 FIRST_SITE_ID 13248 TILEPROP CLBLM_R_X29Y24 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X29Y24 INDEX 15139 TILEPROP CLBLM_R_X29Y24 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X29Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y24 NAME CLBLM_R_X29Y24 TILEPROP CLBLM_R_X29Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y24 NUM_SITES 2 TILEPROP CLBLM_R_X29Y24 ROW 131 TILEPROP CLBLM_R_X29Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X29Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y24 TILE_X 35268 TILEPROP CLBLM_R_X29Y24 TILE_Y -162872 TILEPROP CLBLM_R_X29Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y25 CLASS tile TILEPROP CLBLM_R_X29Y25 COLUMN 74 TILEPROP CLBLM_R_X29Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y25 FIRST_SITE_ID 13056 TILEPROP CLBLM_R_X29Y25 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X29Y25 INDEX 14909 TILEPROP CLBLM_R_X29Y25 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X29Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y25 NAME CLBLM_R_X29Y25 TILEPROP CLBLM_R_X29Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y25 NUM_SITES 2 TILEPROP CLBLM_R_X29Y25 ROW 129 TILEPROP CLBLM_R_X29Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X29Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y25 TILE_X 35268 TILEPROP CLBLM_R_X29Y25 TILE_Y -158648 TILEPROP CLBLM_R_X29Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y26 CLASS tile TILEPROP CLBLM_R_X29Y26 COLUMN 74 TILEPROP CLBLM_R_X29Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y26 FIRST_SITE_ID 12950 TILEPROP CLBLM_R_X29Y26 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X29Y26 INDEX 14794 TILEPROP CLBLM_R_X29Y26 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X29Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y26 NAME CLBLM_R_X29Y26 TILEPROP CLBLM_R_X29Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y26 NUM_SITES 2 TILEPROP CLBLM_R_X29Y26 ROW 128 TILEPROP CLBLM_R_X29Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y26 TILE_X 35268 TILEPROP CLBLM_R_X29Y26 TILE_Y -155448 TILEPROP CLBLM_R_X29Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y27 CLASS tile TILEPROP CLBLM_R_X29Y27 COLUMN 74 TILEPROP CLBLM_R_X29Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y27 FIRST_SITE_ID 12850 TILEPROP CLBLM_R_X29Y27 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X29Y27 INDEX 14679 TILEPROP CLBLM_R_X29Y27 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X29Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y27 NAME CLBLM_R_X29Y27 TILEPROP CLBLM_R_X29Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y27 NUM_SITES 2 TILEPROP CLBLM_R_X29Y27 ROW 127 TILEPROP CLBLM_R_X29Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y27 TILE_X 35268 TILEPROP CLBLM_R_X29Y27 TILE_Y -152248 TILEPROP CLBLM_R_X29Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y28 CLASS tile TILEPROP CLBLM_R_X29Y28 COLUMN 74 TILEPROP CLBLM_R_X29Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y28 FIRST_SITE_ID 12750 TILEPROP CLBLM_R_X29Y28 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X29Y28 INDEX 14564 TILEPROP CLBLM_R_X29Y28 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X29Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y28 NAME CLBLM_R_X29Y28 TILEPROP CLBLM_R_X29Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y28 NUM_SITES 2 TILEPROP CLBLM_R_X29Y28 ROW 126 TILEPROP CLBLM_R_X29Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y28 TILE_X 35268 TILEPROP CLBLM_R_X29Y28 TILE_Y -149048 TILEPROP CLBLM_R_X29Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y29 CLASS tile TILEPROP CLBLM_R_X29Y29 COLUMN 74 TILEPROP CLBLM_R_X29Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y29 FIRST_SITE_ID 12644 TILEPROP CLBLM_R_X29Y29 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X29Y29 INDEX 14449 TILEPROP CLBLM_R_X29Y29 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X29Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y29 NAME CLBLM_R_X29Y29 TILEPROP CLBLM_R_X29Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y29 NUM_SITES 2 TILEPROP CLBLM_R_X29Y29 ROW 125 TILEPROP CLBLM_R_X29Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y29 TILE_X 35268 TILEPROP CLBLM_R_X29Y29 TILE_Y -145848 TILEPROP CLBLM_R_X29Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y30 CLASS tile TILEPROP CLBLM_R_X29Y30 COLUMN 74 TILEPROP CLBLM_R_X29Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y30 FIRST_SITE_ID 12529 TILEPROP CLBLM_R_X29Y30 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X29Y30 INDEX 14334 TILEPROP CLBLM_R_X29Y30 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X29Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y30 NAME CLBLM_R_X29Y30 TILEPROP CLBLM_R_X29Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y30 NUM_SITES 2 TILEPROP CLBLM_R_X29Y30 ROW 124 TILEPROP CLBLM_R_X29Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y30 TILE_X 35268 TILEPROP CLBLM_R_X29Y30 TILE_Y -142648 TILEPROP CLBLM_R_X29Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y31 CLASS tile TILEPROP CLBLM_R_X29Y31 COLUMN 74 TILEPROP CLBLM_R_X29Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y31 FIRST_SITE_ID 12408 TILEPROP CLBLM_R_X29Y31 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X29Y31 INDEX 14219 TILEPROP CLBLM_R_X29Y31 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X29Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y31 NAME CLBLM_R_X29Y31 TILEPROP CLBLM_R_X29Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y31 NUM_SITES 2 TILEPROP CLBLM_R_X29Y31 ROW 123 TILEPROP CLBLM_R_X29Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y31 TILE_X 35268 TILEPROP CLBLM_R_X29Y31 TILE_Y -139448 TILEPROP CLBLM_R_X29Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y32 CLASS tile TILEPROP CLBLM_R_X29Y32 COLUMN 74 TILEPROP CLBLM_R_X29Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y32 FIRST_SITE_ID 12306 TILEPROP CLBLM_R_X29Y32 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X29Y32 INDEX 14104 TILEPROP CLBLM_R_X29Y32 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X29Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y32 NAME CLBLM_R_X29Y32 TILEPROP CLBLM_R_X29Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y32 NUM_SITES 2 TILEPROP CLBLM_R_X29Y32 ROW 122 TILEPROP CLBLM_R_X29Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y32 TILE_X 35268 TILEPROP CLBLM_R_X29Y32 TILE_Y -136248 TILEPROP CLBLM_R_X29Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y33 CLASS tile TILEPROP CLBLM_R_X29Y33 COLUMN 74 TILEPROP CLBLM_R_X29Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y33 FIRST_SITE_ID 12205 TILEPROP CLBLM_R_X29Y33 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X29Y33 INDEX 13989 TILEPROP CLBLM_R_X29Y33 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X29Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y33 NAME CLBLM_R_X29Y33 TILEPROP CLBLM_R_X29Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y33 NUM_SITES 2 TILEPROP CLBLM_R_X29Y33 ROW 121 TILEPROP CLBLM_R_X29Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y33 TILE_X 35268 TILEPROP CLBLM_R_X29Y33 TILE_Y -133048 TILEPROP CLBLM_R_X29Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y34 CLASS tile TILEPROP CLBLM_R_X29Y34 COLUMN 74 TILEPROP CLBLM_R_X29Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y34 FIRST_SITE_ID 12105 TILEPROP CLBLM_R_X29Y34 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X29Y34 INDEX 13874 TILEPROP CLBLM_R_X29Y34 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X29Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y34 NAME CLBLM_R_X29Y34 TILEPROP CLBLM_R_X29Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y34 NUM_SITES 2 TILEPROP CLBLM_R_X29Y34 ROW 120 TILEPROP CLBLM_R_X29Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y34 TILE_X 35268 TILEPROP CLBLM_R_X29Y34 TILE_Y -129848 TILEPROP CLBLM_R_X29Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y35 CLASS tile TILEPROP CLBLM_R_X29Y35 COLUMN 74 TILEPROP CLBLM_R_X29Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y35 FIRST_SITE_ID 11996 TILEPROP CLBLM_R_X29Y35 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X29Y35 INDEX 13759 TILEPROP CLBLM_R_X29Y35 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X29Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y35 NAME CLBLM_R_X29Y35 TILEPROP CLBLM_R_X29Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y35 NUM_SITES 2 TILEPROP CLBLM_R_X29Y35 ROW 119 TILEPROP CLBLM_R_X29Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y35 TILE_X 35268 TILEPROP CLBLM_R_X29Y35 TILE_Y -126648 TILEPROP CLBLM_R_X29Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y36 CLASS tile TILEPROP CLBLM_R_X29Y36 COLUMN 74 TILEPROP CLBLM_R_X29Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y36 FIRST_SITE_ID 11890 TILEPROP CLBLM_R_X29Y36 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X29Y36 INDEX 13644 TILEPROP CLBLM_R_X29Y36 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X29Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y36 NAME CLBLM_R_X29Y36 TILEPROP CLBLM_R_X29Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y36 NUM_SITES 2 TILEPROP CLBLM_R_X29Y36 ROW 118 TILEPROP CLBLM_R_X29Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y36 TILE_X 35268 TILEPROP CLBLM_R_X29Y36 TILE_Y -123448 TILEPROP CLBLM_R_X29Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y37 CLASS tile TILEPROP CLBLM_R_X29Y37 COLUMN 74 TILEPROP CLBLM_R_X29Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y37 FIRST_SITE_ID 11758 TILEPROP CLBLM_R_X29Y37 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X29Y37 INDEX 13529 TILEPROP CLBLM_R_X29Y37 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X29Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y37 NAME CLBLM_R_X29Y37 TILEPROP CLBLM_R_X29Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y37 NUM_SITES 2 TILEPROP CLBLM_R_X29Y37 ROW 117 TILEPROP CLBLM_R_X29Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y37 TILE_X 35268 TILEPROP CLBLM_R_X29Y37 TILE_Y -120248 TILEPROP CLBLM_R_X29Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y38 CLASS tile TILEPROP CLBLM_R_X29Y38 COLUMN 74 TILEPROP CLBLM_R_X29Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y38 FIRST_SITE_ID 11658 TILEPROP CLBLM_R_X29Y38 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X29Y38 INDEX 13414 TILEPROP CLBLM_R_X29Y38 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X29Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y38 NAME CLBLM_R_X29Y38 TILEPROP CLBLM_R_X29Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y38 NUM_SITES 2 TILEPROP CLBLM_R_X29Y38 ROW 116 TILEPROP CLBLM_R_X29Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y38 TILE_X 35268 TILEPROP CLBLM_R_X29Y38 TILE_Y -117048 TILEPROP CLBLM_R_X29Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y39 CLASS tile TILEPROP CLBLM_R_X29Y39 COLUMN 74 TILEPROP CLBLM_R_X29Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y39 FIRST_SITE_ID 11558 TILEPROP CLBLM_R_X29Y39 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X29Y39 INDEX 13299 TILEPROP CLBLM_R_X29Y39 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X29Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y39 NAME CLBLM_R_X29Y39 TILEPROP CLBLM_R_X29Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y39 NUM_SITES 2 TILEPROP CLBLM_R_X29Y39 ROW 115 TILEPROP CLBLM_R_X29Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y39 TILE_X 35268 TILEPROP CLBLM_R_X29Y39 TILE_Y -113848 TILEPROP CLBLM_R_X29Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y40 CLASS tile TILEPROP CLBLM_R_X29Y40 COLUMN 74 TILEPROP CLBLM_R_X29Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y40 FIRST_SITE_ID 11449 TILEPROP CLBLM_R_X29Y40 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X29Y40 INDEX 13184 TILEPROP CLBLM_R_X29Y40 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X29Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y40 NAME CLBLM_R_X29Y40 TILEPROP CLBLM_R_X29Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y40 NUM_SITES 2 TILEPROP CLBLM_R_X29Y40 ROW 114 TILEPROP CLBLM_R_X29Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y40 TILE_X 35268 TILEPROP CLBLM_R_X29Y40 TILE_Y -110648 TILEPROP CLBLM_R_X29Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y41 CLASS tile TILEPROP CLBLM_R_X29Y41 COLUMN 74 TILEPROP CLBLM_R_X29Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y41 FIRST_SITE_ID 11341 TILEPROP CLBLM_R_X29Y41 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X29Y41 INDEX 13069 TILEPROP CLBLM_R_X29Y41 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X29Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y41 NAME CLBLM_R_X29Y41 TILEPROP CLBLM_R_X29Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y41 NUM_SITES 2 TILEPROP CLBLM_R_X29Y41 ROW 113 TILEPROP CLBLM_R_X29Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y41 TILE_X 35268 TILEPROP CLBLM_R_X29Y41 TILE_Y -107448 TILEPROP CLBLM_R_X29Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y42 CLASS tile TILEPROP CLBLM_R_X29Y42 COLUMN 74 TILEPROP CLBLM_R_X29Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y42 FIRST_SITE_ID 11239 TILEPROP CLBLM_R_X29Y42 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X29Y42 INDEX 12954 TILEPROP CLBLM_R_X29Y42 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X29Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y42 NAME CLBLM_R_X29Y42 TILEPROP CLBLM_R_X29Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y42 NUM_SITES 2 TILEPROP CLBLM_R_X29Y42 ROW 112 TILEPROP CLBLM_R_X29Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y42 TILE_X 35268 TILEPROP CLBLM_R_X29Y42 TILE_Y -104248 TILEPROP CLBLM_R_X29Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y43 CLASS tile TILEPROP CLBLM_R_X29Y43 COLUMN 74 TILEPROP CLBLM_R_X29Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y43 FIRST_SITE_ID 11136 TILEPROP CLBLM_R_X29Y43 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X29Y43 INDEX 12839 TILEPROP CLBLM_R_X29Y43 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X29Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y43 NAME CLBLM_R_X29Y43 TILEPROP CLBLM_R_X29Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y43 NUM_SITES 2 TILEPROP CLBLM_R_X29Y43 ROW 111 TILEPROP CLBLM_R_X29Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y43 TILE_X 35268 TILEPROP CLBLM_R_X29Y43 TILE_Y -101048 TILEPROP CLBLM_R_X29Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y44 CLASS tile TILEPROP CLBLM_R_X29Y44 COLUMN 74 TILEPROP CLBLM_R_X29Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y44 FIRST_SITE_ID 11034 TILEPROP CLBLM_R_X29Y44 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X29Y44 INDEX 12724 TILEPROP CLBLM_R_X29Y44 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X29Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y44 NAME CLBLM_R_X29Y44 TILEPROP CLBLM_R_X29Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y44 NUM_SITES 2 TILEPROP CLBLM_R_X29Y44 ROW 110 TILEPROP CLBLM_R_X29Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y44 TILE_X 35268 TILEPROP CLBLM_R_X29Y44 TILE_Y -97848 TILEPROP CLBLM_R_X29Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y45 CLASS tile TILEPROP CLBLM_R_X29Y45 COLUMN 74 TILEPROP CLBLM_R_X29Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y45 FIRST_SITE_ID 10925 TILEPROP CLBLM_R_X29Y45 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X29Y45 INDEX 12609 TILEPROP CLBLM_R_X29Y45 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X29Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y45 NAME CLBLM_R_X29Y45 TILEPROP CLBLM_R_X29Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y45 NUM_SITES 2 TILEPROP CLBLM_R_X29Y45 ROW 109 TILEPROP CLBLM_R_X29Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y45 TILE_X 35268 TILEPROP CLBLM_R_X29Y45 TILE_Y -94648 TILEPROP CLBLM_R_X29Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y46 CLASS tile TILEPROP CLBLM_R_X29Y46 COLUMN 74 TILEPROP CLBLM_R_X29Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y46 FIRST_SITE_ID 10818 TILEPROP CLBLM_R_X29Y46 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X29Y46 INDEX 12494 TILEPROP CLBLM_R_X29Y46 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X29Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y46 NAME CLBLM_R_X29Y46 TILEPROP CLBLM_R_X29Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y46 NUM_SITES 2 TILEPROP CLBLM_R_X29Y46 ROW 108 TILEPROP CLBLM_R_X29Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y46 TILE_X 35268 TILEPROP CLBLM_R_X29Y46 TILE_Y -91448 TILEPROP CLBLM_R_X29Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y47 CLASS tile TILEPROP CLBLM_R_X29Y47 COLUMN 74 TILEPROP CLBLM_R_X29Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y47 FIRST_SITE_ID 10702 TILEPROP CLBLM_R_X29Y47 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X29Y47 INDEX 12379 TILEPROP CLBLM_R_X29Y47 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X29Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y47 NAME CLBLM_R_X29Y47 TILEPROP CLBLM_R_X29Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y47 NUM_SITES 2 TILEPROP CLBLM_R_X29Y47 ROW 107 TILEPROP CLBLM_R_X29Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y47 TILE_X 35268 TILEPROP CLBLM_R_X29Y47 TILE_Y -88248 TILEPROP CLBLM_R_X29Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y48 CLASS tile TILEPROP CLBLM_R_X29Y48 COLUMN 74 TILEPROP CLBLM_R_X29Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y48 FIRST_SITE_ID 10602 TILEPROP CLBLM_R_X29Y48 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X29Y48 INDEX 12264 TILEPROP CLBLM_R_X29Y48 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X29Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y48 NAME CLBLM_R_X29Y48 TILEPROP CLBLM_R_X29Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y48 NUM_SITES 2 TILEPROP CLBLM_R_X29Y48 ROW 106 TILEPROP CLBLM_R_X29Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y48 TILE_X 35268 TILEPROP CLBLM_R_X29Y48 TILE_Y -85048 TILEPROP CLBLM_R_X29Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y49 CLASS tile TILEPROP CLBLM_R_X29Y49 COLUMN 74 TILEPROP CLBLM_R_X29Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y49 FIRST_SITE_ID 10506 TILEPROP CLBLM_R_X29Y49 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X29Y49 INDEX 12149 TILEPROP CLBLM_R_X29Y49 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X29Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y49 NAME CLBLM_R_X29Y49 TILEPROP CLBLM_R_X29Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y49 NUM_SITES 2 TILEPROP CLBLM_R_X29Y49 ROW 105 TILEPROP CLBLM_R_X29Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X29Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y49 TILE_X 35268 TILEPROP CLBLM_R_X29Y49 TILE_Y -81848 TILEPROP CLBLM_R_X29Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y50 CLASS tile TILEPROP CLBLM_R_X29Y50 COLUMN 74 TILEPROP CLBLM_R_X29Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y50 FIRST_SITE_ID 10397 TILEPROP CLBLM_R_X29Y50 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X29Y50 INDEX 11919 TILEPROP CLBLM_R_X29Y50 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X29Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y50 NAME CLBLM_R_X29Y50 TILEPROP CLBLM_R_X29Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y50 NUM_SITES 2 TILEPROP CLBLM_R_X29Y50 ROW 103 TILEPROP CLBLM_R_X29Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X29Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y50 TILE_X 35268 TILEPROP CLBLM_R_X29Y50 TILE_Y -78400 TILEPROP CLBLM_R_X29Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y51 CLASS tile TILEPROP CLBLM_R_X29Y51 COLUMN 74 TILEPROP CLBLM_R_X29Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y51 FIRST_SITE_ID 10271 TILEPROP CLBLM_R_X29Y51 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X29Y51 INDEX 11804 TILEPROP CLBLM_R_X29Y51 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X29Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y51 NAME CLBLM_R_X29Y51 TILEPROP CLBLM_R_X29Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y51 NUM_SITES 2 TILEPROP CLBLM_R_X29Y51 ROW 102 TILEPROP CLBLM_R_X29Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y51 TILE_X 35268 TILEPROP CLBLM_R_X29Y51 TILE_Y -75200 TILEPROP CLBLM_R_X29Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y52 CLASS tile TILEPROP CLBLM_R_X29Y52 COLUMN 74 TILEPROP CLBLM_R_X29Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y52 FIRST_SITE_ID 10171 TILEPROP CLBLM_R_X29Y52 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X29Y52 INDEX 11689 TILEPROP CLBLM_R_X29Y52 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X29Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y52 NAME CLBLM_R_X29Y52 TILEPROP CLBLM_R_X29Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y52 NUM_SITES 2 TILEPROP CLBLM_R_X29Y52 ROW 101 TILEPROP CLBLM_R_X29Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y52 TILE_X 35268 TILEPROP CLBLM_R_X29Y52 TILE_Y -72000 TILEPROP CLBLM_R_X29Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y53 CLASS tile TILEPROP CLBLM_R_X29Y53 COLUMN 74 TILEPROP CLBLM_R_X29Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y53 FIRST_SITE_ID 10071 TILEPROP CLBLM_R_X29Y53 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X29Y53 INDEX 11574 TILEPROP CLBLM_R_X29Y53 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X29Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y53 NAME CLBLM_R_X29Y53 TILEPROP CLBLM_R_X29Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y53 NUM_SITES 2 TILEPROP CLBLM_R_X29Y53 ROW 100 TILEPROP CLBLM_R_X29Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y53 TILE_X 35268 TILEPROP CLBLM_R_X29Y53 TILE_Y -68800 TILEPROP CLBLM_R_X29Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y54 CLASS tile TILEPROP CLBLM_R_X29Y54 COLUMN 74 TILEPROP CLBLM_R_X29Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y54 FIRST_SITE_ID 9971 TILEPROP CLBLM_R_X29Y54 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X29Y54 INDEX 11459 TILEPROP CLBLM_R_X29Y54 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X29Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y54 NAME CLBLM_R_X29Y54 TILEPROP CLBLM_R_X29Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y54 NUM_SITES 2 TILEPROP CLBLM_R_X29Y54 ROW 99 TILEPROP CLBLM_R_X29Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y54 TILE_X 35268 TILEPROP CLBLM_R_X29Y54 TILE_Y -65600 TILEPROP CLBLM_R_X29Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y55 CLASS tile TILEPROP CLBLM_R_X29Y55 COLUMN 74 TILEPROP CLBLM_R_X29Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y55 FIRST_SITE_ID 9862 TILEPROP CLBLM_R_X29Y55 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X29Y55 INDEX 11344 TILEPROP CLBLM_R_X29Y55 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X29Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y55 NAME CLBLM_R_X29Y55 TILEPROP CLBLM_R_X29Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y55 NUM_SITES 2 TILEPROP CLBLM_R_X29Y55 ROW 98 TILEPROP CLBLM_R_X29Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y55 TILE_X 35268 TILEPROP CLBLM_R_X29Y55 TILE_Y -62400 TILEPROP CLBLM_R_X29Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y56 CLASS tile TILEPROP CLBLM_R_X29Y56 COLUMN 74 TILEPROP CLBLM_R_X29Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y56 FIRST_SITE_ID 9756 TILEPROP CLBLM_R_X29Y56 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X29Y56 INDEX 11229 TILEPROP CLBLM_R_X29Y56 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X29Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y56 NAME CLBLM_R_X29Y56 TILEPROP CLBLM_R_X29Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y56 NUM_SITES 2 TILEPROP CLBLM_R_X29Y56 ROW 97 TILEPROP CLBLM_R_X29Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y56 TILE_X 35268 TILEPROP CLBLM_R_X29Y56 TILE_Y -59200 TILEPROP CLBLM_R_X29Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y57 CLASS tile TILEPROP CLBLM_R_X29Y57 COLUMN 74 TILEPROP CLBLM_R_X29Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y57 FIRST_SITE_ID 9654 TILEPROP CLBLM_R_X29Y57 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X29Y57 INDEX 11114 TILEPROP CLBLM_R_X29Y57 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X29Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y57 NAME CLBLM_R_X29Y57 TILEPROP CLBLM_R_X29Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y57 NUM_SITES 2 TILEPROP CLBLM_R_X29Y57 ROW 96 TILEPROP CLBLM_R_X29Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y57 TILE_X 35268 TILEPROP CLBLM_R_X29Y57 TILE_Y -56000 TILEPROP CLBLM_R_X29Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y58 CLASS tile TILEPROP CLBLM_R_X29Y58 COLUMN 74 TILEPROP CLBLM_R_X29Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y58 FIRST_SITE_ID 9551 TILEPROP CLBLM_R_X29Y58 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X29Y58 INDEX 10999 TILEPROP CLBLM_R_X29Y58 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X29Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y58 NAME CLBLM_R_X29Y58 TILEPROP CLBLM_R_X29Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y58 NUM_SITES 2 TILEPROP CLBLM_R_X29Y58 ROW 95 TILEPROP CLBLM_R_X29Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y58 TILE_X 35268 TILEPROP CLBLM_R_X29Y58 TILE_Y -52800 TILEPROP CLBLM_R_X29Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y59 CLASS tile TILEPROP CLBLM_R_X29Y59 COLUMN 74 TILEPROP CLBLM_R_X29Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y59 FIRST_SITE_ID 9450 TILEPROP CLBLM_R_X29Y59 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X29Y59 INDEX 10884 TILEPROP CLBLM_R_X29Y59 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X29Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y59 NAME CLBLM_R_X29Y59 TILEPROP CLBLM_R_X29Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y59 NUM_SITES 2 TILEPROP CLBLM_R_X29Y59 ROW 94 TILEPROP CLBLM_R_X29Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y59 TILE_X 35268 TILEPROP CLBLM_R_X29Y59 TILE_Y -49600 TILEPROP CLBLM_R_X29Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y60 CLASS tile TILEPROP CLBLM_R_X29Y60 COLUMN 74 TILEPROP CLBLM_R_X29Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y60 FIRST_SITE_ID 9341 TILEPROP CLBLM_R_X29Y60 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X29Y60 INDEX 10769 TILEPROP CLBLM_R_X29Y60 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X29Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y60 NAME CLBLM_R_X29Y60 TILEPROP CLBLM_R_X29Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y60 NUM_SITES 2 TILEPROP CLBLM_R_X29Y60 ROW 93 TILEPROP CLBLM_R_X29Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y60 TILE_X 35268 TILEPROP CLBLM_R_X29Y60 TILE_Y -46400 TILEPROP CLBLM_R_X29Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y61 CLASS tile TILEPROP CLBLM_R_X29Y61 COLUMN 74 TILEPROP CLBLM_R_X29Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y61 FIRST_SITE_ID 9235 TILEPROP CLBLM_R_X29Y61 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X29Y61 INDEX 10654 TILEPROP CLBLM_R_X29Y61 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X29Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y61 NAME CLBLM_R_X29Y61 TILEPROP CLBLM_R_X29Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y61 NUM_SITES 2 TILEPROP CLBLM_R_X29Y61 ROW 92 TILEPROP CLBLM_R_X29Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y61 TILE_X 35268 TILEPROP CLBLM_R_X29Y61 TILE_Y -43200 TILEPROP CLBLM_R_X29Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y62 CLASS tile TILEPROP CLBLM_R_X29Y62 COLUMN 74 TILEPROP CLBLM_R_X29Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y62 FIRST_SITE_ID 9135 TILEPROP CLBLM_R_X29Y62 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X29Y62 INDEX 10539 TILEPROP CLBLM_R_X29Y62 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X29Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y62 NAME CLBLM_R_X29Y62 TILEPROP CLBLM_R_X29Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y62 NUM_SITES 2 TILEPROP CLBLM_R_X29Y62 ROW 91 TILEPROP CLBLM_R_X29Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y62 TILE_X 35268 TILEPROP CLBLM_R_X29Y62 TILE_Y -40000 TILEPROP CLBLM_R_X29Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y63 CLASS tile TILEPROP CLBLM_R_X29Y63 COLUMN 74 TILEPROP CLBLM_R_X29Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y63 FIRST_SITE_ID 9003 TILEPROP CLBLM_R_X29Y63 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X29Y63 INDEX 10424 TILEPROP CLBLM_R_X29Y63 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X29Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y63 NAME CLBLM_R_X29Y63 TILEPROP CLBLM_R_X29Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y63 NUM_SITES 2 TILEPROP CLBLM_R_X29Y63 ROW 90 TILEPROP CLBLM_R_X29Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y63 TILE_X 35268 TILEPROP CLBLM_R_X29Y63 TILE_Y -36800 TILEPROP CLBLM_R_X29Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y64 CLASS tile TILEPROP CLBLM_R_X29Y64 COLUMN 74 TILEPROP CLBLM_R_X29Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y64 FIRST_SITE_ID 8903 TILEPROP CLBLM_R_X29Y64 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X29Y64 INDEX 10309 TILEPROP CLBLM_R_X29Y64 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X29Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y64 NAME CLBLM_R_X29Y64 TILEPROP CLBLM_R_X29Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y64 NUM_SITES 2 TILEPROP CLBLM_R_X29Y64 ROW 89 TILEPROP CLBLM_R_X29Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y64 TILE_X 35268 TILEPROP CLBLM_R_X29Y64 TILE_Y -33600 TILEPROP CLBLM_R_X29Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y65 CLASS tile TILEPROP CLBLM_R_X29Y65 COLUMN 74 TILEPROP CLBLM_R_X29Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y65 FIRST_SITE_ID 8794 TILEPROP CLBLM_R_X29Y65 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X29Y65 INDEX 10194 TILEPROP CLBLM_R_X29Y65 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X29Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y65 NAME CLBLM_R_X29Y65 TILEPROP CLBLM_R_X29Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y65 NUM_SITES 2 TILEPROP CLBLM_R_X29Y65 ROW 88 TILEPROP CLBLM_R_X29Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y65 TILE_X 35268 TILEPROP CLBLM_R_X29Y65 TILE_Y -30400 TILEPROP CLBLM_R_X29Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y66 CLASS tile TILEPROP CLBLM_R_X29Y66 COLUMN 74 TILEPROP CLBLM_R_X29Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y66 FIRST_SITE_ID 8688 TILEPROP CLBLM_R_X29Y66 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X29Y66 INDEX 10079 TILEPROP CLBLM_R_X29Y66 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X29Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y66 NAME CLBLM_R_X29Y66 TILEPROP CLBLM_R_X29Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y66 NUM_SITES 2 TILEPROP CLBLM_R_X29Y66 ROW 87 TILEPROP CLBLM_R_X29Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y66 TILE_X 35268 TILEPROP CLBLM_R_X29Y66 TILE_Y -27200 TILEPROP CLBLM_R_X29Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y67 CLASS tile TILEPROP CLBLM_R_X29Y67 COLUMN 74 TILEPROP CLBLM_R_X29Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y67 FIRST_SITE_ID 8584 TILEPROP CLBLM_R_X29Y67 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X29Y67 INDEX 9964 TILEPROP CLBLM_R_X29Y67 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X29Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y67 NAME CLBLM_R_X29Y67 TILEPROP CLBLM_R_X29Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y67 NUM_SITES 2 TILEPROP CLBLM_R_X29Y67 ROW 86 TILEPROP CLBLM_R_X29Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y67 TILE_X 35268 TILEPROP CLBLM_R_X29Y67 TILE_Y -24000 TILEPROP CLBLM_R_X29Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y68 CLASS tile TILEPROP CLBLM_R_X29Y68 COLUMN 74 TILEPROP CLBLM_R_X29Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y68 FIRST_SITE_ID 8480 TILEPROP CLBLM_R_X29Y68 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X29Y68 INDEX 9849 TILEPROP CLBLM_R_X29Y68 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X29Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y68 NAME CLBLM_R_X29Y68 TILEPROP CLBLM_R_X29Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y68 NUM_SITES 2 TILEPROP CLBLM_R_X29Y68 ROW 85 TILEPROP CLBLM_R_X29Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y68 TILE_X 35268 TILEPROP CLBLM_R_X29Y68 TILE_Y -20800 TILEPROP CLBLM_R_X29Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y69 CLASS tile TILEPROP CLBLM_R_X29Y69 COLUMN 74 TILEPROP CLBLM_R_X29Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y69 FIRST_SITE_ID 8378 TILEPROP CLBLM_R_X29Y69 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X29Y69 INDEX 9734 TILEPROP CLBLM_R_X29Y69 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X29Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y69 NAME CLBLM_R_X29Y69 TILEPROP CLBLM_R_X29Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y69 NUM_SITES 2 TILEPROP CLBLM_R_X29Y69 ROW 84 TILEPROP CLBLM_R_X29Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y69 TILE_X 35268 TILEPROP CLBLM_R_X29Y69 TILE_Y -17600 TILEPROP CLBLM_R_X29Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y70 CLASS tile TILEPROP CLBLM_R_X29Y70 COLUMN 74 TILEPROP CLBLM_R_X29Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y70 FIRST_SITE_ID 8267 TILEPROP CLBLM_R_X29Y70 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X29Y70 INDEX 9619 TILEPROP CLBLM_R_X29Y70 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X29Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y70 NAME CLBLM_R_X29Y70 TILEPROP CLBLM_R_X29Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y70 NUM_SITES 2 TILEPROP CLBLM_R_X29Y70 ROW 83 TILEPROP CLBLM_R_X29Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y70 TILE_X 35268 TILEPROP CLBLM_R_X29Y70 TILE_Y -14400 TILEPROP CLBLM_R_X29Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y71 CLASS tile TILEPROP CLBLM_R_X29Y71 COLUMN 74 TILEPROP CLBLM_R_X29Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y71 FIRST_SITE_ID 8161 TILEPROP CLBLM_R_X29Y71 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X29Y71 INDEX 9504 TILEPROP CLBLM_R_X29Y71 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X29Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y71 NAME CLBLM_R_X29Y71 TILEPROP CLBLM_R_X29Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y71 NUM_SITES 2 TILEPROP CLBLM_R_X29Y71 ROW 82 TILEPROP CLBLM_R_X29Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y71 TILE_X 35268 TILEPROP CLBLM_R_X29Y71 TILE_Y -11200 TILEPROP CLBLM_R_X29Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y72 CLASS tile TILEPROP CLBLM_R_X29Y72 COLUMN 74 TILEPROP CLBLM_R_X29Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y72 FIRST_SITE_ID 8061 TILEPROP CLBLM_R_X29Y72 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X29Y72 INDEX 9389 TILEPROP CLBLM_R_X29Y72 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X29Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y72 NAME CLBLM_R_X29Y72 TILEPROP CLBLM_R_X29Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y72 NUM_SITES 2 TILEPROP CLBLM_R_X29Y72 ROW 81 TILEPROP CLBLM_R_X29Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y72 TILE_X 35268 TILEPROP CLBLM_R_X29Y72 TILE_Y -8000 TILEPROP CLBLM_R_X29Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y73 CLASS tile TILEPROP CLBLM_R_X29Y73 COLUMN 74 TILEPROP CLBLM_R_X29Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y73 FIRST_SITE_ID 7961 TILEPROP CLBLM_R_X29Y73 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X29Y73 INDEX 9274 TILEPROP CLBLM_R_X29Y73 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X29Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y73 NAME CLBLM_R_X29Y73 TILEPROP CLBLM_R_X29Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y73 NUM_SITES 2 TILEPROP CLBLM_R_X29Y73 ROW 80 TILEPROP CLBLM_R_X29Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y73 TILE_X 35268 TILEPROP CLBLM_R_X29Y73 TILE_Y -4800 TILEPROP CLBLM_R_X29Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y74 CLASS tile TILEPROP CLBLM_R_X29Y74 COLUMN 74 TILEPROP CLBLM_R_X29Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y74 FIRST_SITE_ID 7861 TILEPROP CLBLM_R_X29Y74 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X29Y74 INDEX 9159 TILEPROP CLBLM_R_X29Y74 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X29Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y74 NAME CLBLM_R_X29Y74 TILEPROP CLBLM_R_X29Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y74 NUM_SITES 2 TILEPROP CLBLM_R_X29Y74 ROW 79 TILEPROP CLBLM_R_X29Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X29Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y74 TILE_X 35268 TILEPROP CLBLM_R_X29Y74 TILE_Y -1600 TILEPROP CLBLM_R_X29Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y75 CLASS tile TILEPROP CLBLM_R_X29Y75 COLUMN 74 TILEPROP CLBLM_R_X29Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y75 FIRST_SITE_ID 7669 TILEPROP CLBLM_R_X29Y75 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X29Y75 INDEX 8929 TILEPROP CLBLM_R_X29Y75 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X29Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y75 NAME CLBLM_R_X29Y75 TILEPROP CLBLM_R_X29Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y75 NUM_SITES 2 TILEPROP CLBLM_R_X29Y75 ROW 77 TILEPROP CLBLM_R_X29Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X29Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y75 TILE_X 35268 TILEPROP CLBLM_R_X29Y75 TILE_Y 2624 TILEPROP CLBLM_R_X29Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y76 CLASS tile TILEPROP CLBLM_R_X29Y76 COLUMN 74 TILEPROP CLBLM_R_X29Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y76 FIRST_SITE_ID 7560 TILEPROP CLBLM_R_X29Y76 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X29Y76 INDEX 8814 TILEPROP CLBLM_R_X29Y76 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X29Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y76 NAME CLBLM_R_X29Y76 TILEPROP CLBLM_R_X29Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y76 NUM_SITES 2 TILEPROP CLBLM_R_X29Y76 ROW 76 TILEPROP CLBLM_R_X29Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y76 TILE_X 35268 TILEPROP CLBLM_R_X29Y76 TILE_Y 5824 TILEPROP CLBLM_R_X29Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y77 CLASS tile TILEPROP CLBLM_R_X29Y77 COLUMN 74 TILEPROP CLBLM_R_X29Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y77 FIRST_SITE_ID 7460 TILEPROP CLBLM_R_X29Y77 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X29Y77 INDEX 8699 TILEPROP CLBLM_R_X29Y77 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X29Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y77 NAME CLBLM_R_X29Y77 TILEPROP CLBLM_R_X29Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y77 NUM_SITES 2 TILEPROP CLBLM_R_X29Y77 ROW 75 TILEPROP CLBLM_R_X29Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y77 TILE_X 35268 TILEPROP CLBLM_R_X29Y77 TILE_Y 9024 TILEPROP CLBLM_R_X29Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y78 CLASS tile TILEPROP CLBLM_R_X29Y78 COLUMN 74 TILEPROP CLBLM_R_X29Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y78 FIRST_SITE_ID 7360 TILEPROP CLBLM_R_X29Y78 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X29Y78 INDEX 8584 TILEPROP CLBLM_R_X29Y78 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X29Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y78 NAME CLBLM_R_X29Y78 TILEPROP CLBLM_R_X29Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y78 NUM_SITES 2 TILEPROP CLBLM_R_X29Y78 ROW 74 TILEPROP CLBLM_R_X29Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y78 TILE_X 35268 TILEPROP CLBLM_R_X29Y78 TILE_Y 12224 TILEPROP CLBLM_R_X29Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y79 CLASS tile TILEPROP CLBLM_R_X29Y79 COLUMN 74 TILEPROP CLBLM_R_X29Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y79 FIRST_SITE_ID 7254 TILEPROP CLBLM_R_X29Y79 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X29Y79 INDEX 8469 TILEPROP CLBLM_R_X29Y79 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X29Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y79 NAME CLBLM_R_X29Y79 TILEPROP CLBLM_R_X29Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y79 NUM_SITES 2 TILEPROP CLBLM_R_X29Y79 ROW 73 TILEPROP CLBLM_R_X29Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y79 TILE_X 35268 TILEPROP CLBLM_R_X29Y79 TILE_Y 15424 TILEPROP CLBLM_R_X29Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y80 CLASS tile TILEPROP CLBLM_R_X29Y80 COLUMN 74 TILEPROP CLBLM_R_X29Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y80 FIRST_SITE_ID 7139 TILEPROP CLBLM_R_X29Y80 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X29Y80 INDEX 8354 TILEPROP CLBLM_R_X29Y80 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X29Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y80 NAME CLBLM_R_X29Y80 TILEPROP CLBLM_R_X29Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y80 NUM_SITES 2 TILEPROP CLBLM_R_X29Y80 ROW 72 TILEPROP CLBLM_R_X29Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y80 TILE_X 35268 TILEPROP CLBLM_R_X29Y80 TILE_Y 18624 TILEPROP CLBLM_R_X29Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y81 CLASS tile TILEPROP CLBLM_R_X29Y81 COLUMN 74 TILEPROP CLBLM_R_X29Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y81 FIRST_SITE_ID 7031 TILEPROP CLBLM_R_X29Y81 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X29Y81 INDEX 8239 TILEPROP CLBLM_R_X29Y81 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X29Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y81 NAME CLBLM_R_X29Y81 TILEPROP CLBLM_R_X29Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y81 NUM_SITES 2 TILEPROP CLBLM_R_X29Y81 ROW 71 TILEPROP CLBLM_R_X29Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y81 TILE_X 35268 TILEPROP CLBLM_R_X29Y81 TILE_Y 21824 TILEPROP CLBLM_R_X29Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y82 CLASS tile TILEPROP CLBLM_R_X29Y82 COLUMN 74 TILEPROP CLBLM_R_X29Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y82 FIRST_SITE_ID 6929 TILEPROP CLBLM_R_X29Y82 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X29Y82 INDEX 8124 TILEPROP CLBLM_R_X29Y82 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X29Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y82 NAME CLBLM_R_X29Y82 TILEPROP CLBLM_R_X29Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y82 NUM_SITES 2 TILEPROP CLBLM_R_X29Y82 ROW 70 TILEPROP CLBLM_R_X29Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y82 TILE_X 35268 TILEPROP CLBLM_R_X29Y82 TILE_Y 25024 TILEPROP CLBLM_R_X29Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y83 CLASS tile TILEPROP CLBLM_R_X29Y83 COLUMN 74 TILEPROP CLBLM_R_X29Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y83 FIRST_SITE_ID 6829 TILEPROP CLBLM_R_X29Y83 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X29Y83 INDEX 8009 TILEPROP CLBLM_R_X29Y83 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X29Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y83 NAME CLBLM_R_X29Y83 TILEPROP CLBLM_R_X29Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y83 NUM_SITES 2 TILEPROP CLBLM_R_X29Y83 ROW 69 TILEPROP CLBLM_R_X29Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y83 TILE_X 35268 TILEPROP CLBLM_R_X29Y83 TILE_Y 28224 TILEPROP CLBLM_R_X29Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y84 CLASS tile TILEPROP CLBLM_R_X29Y84 COLUMN 74 TILEPROP CLBLM_R_X29Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y84 FIRST_SITE_ID 6729 TILEPROP CLBLM_R_X29Y84 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X29Y84 INDEX 7894 TILEPROP CLBLM_R_X29Y84 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X29Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y84 NAME CLBLM_R_X29Y84 TILEPROP CLBLM_R_X29Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y84 NUM_SITES 2 TILEPROP CLBLM_R_X29Y84 ROW 68 TILEPROP CLBLM_R_X29Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y84 TILE_X 35268 TILEPROP CLBLM_R_X29Y84 TILE_Y 31424 TILEPROP CLBLM_R_X29Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y85 CLASS tile TILEPROP CLBLM_R_X29Y85 COLUMN 74 TILEPROP CLBLM_R_X29Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y85 FIRST_SITE_ID 6620 TILEPROP CLBLM_R_X29Y85 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X29Y85 INDEX 7779 TILEPROP CLBLM_R_X29Y85 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X29Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y85 NAME CLBLM_R_X29Y85 TILEPROP CLBLM_R_X29Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y85 NUM_SITES 2 TILEPROP CLBLM_R_X29Y85 ROW 67 TILEPROP CLBLM_R_X29Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y85 TILE_X 35268 TILEPROP CLBLM_R_X29Y85 TILE_Y 34624 TILEPROP CLBLM_R_X29Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y86 CLASS tile TILEPROP CLBLM_R_X29Y86 COLUMN 74 TILEPROP CLBLM_R_X29Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y86 FIRST_SITE_ID 6514 TILEPROP CLBLM_R_X29Y86 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X29Y86 INDEX 7664 TILEPROP CLBLM_R_X29Y86 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X29Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y86 NAME CLBLM_R_X29Y86 TILEPROP CLBLM_R_X29Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y86 NUM_SITES 2 TILEPROP CLBLM_R_X29Y86 ROW 66 TILEPROP CLBLM_R_X29Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y86 TILE_X 35268 TILEPROP CLBLM_R_X29Y86 TILE_Y 37824 TILEPROP CLBLM_R_X29Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y87 CLASS tile TILEPROP CLBLM_R_X29Y87 COLUMN 74 TILEPROP CLBLM_R_X29Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y87 FIRST_SITE_ID 6382 TILEPROP CLBLM_R_X29Y87 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X29Y87 INDEX 7549 TILEPROP CLBLM_R_X29Y87 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X29Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y87 NAME CLBLM_R_X29Y87 TILEPROP CLBLM_R_X29Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y87 NUM_SITES 2 TILEPROP CLBLM_R_X29Y87 ROW 65 TILEPROP CLBLM_R_X29Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y87 TILE_X 35268 TILEPROP CLBLM_R_X29Y87 TILE_Y 41024 TILEPROP CLBLM_R_X29Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y88 CLASS tile TILEPROP CLBLM_R_X29Y88 COLUMN 74 TILEPROP CLBLM_R_X29Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y88 FIRST_SITE_ID 6282 TILEPROP CLBLM_R_X29Y88 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X29Y88 INDEX 7434 TILEPROP CLBLM_R_X29Y88 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X29Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y88 NAME CLBLM_R_X29Y88 TILEPROP CLBLM_R_X29Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y88 NUM_SITES 2 TILEPROP CLBLM_R_X29Y88 ROW 64 TILEPROP CLBLM_R_X29Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y88 TILE_X 35268 TILEPROP CLBLM_R_X29Y88 TILE_Y 44224 TILEPROP CLBLM_R_X29Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y89 CLASS tile TILEPROP CLBLM_R_X29Y89 COLUMN 74 TILEPROP CLBLM_R_X29Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y89 FIRST_SITE_ID 6182 TILEPROP CLBLM_R_X29Y89 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X29Y89 INDEX 7319 TILEPROP CLBLM_R_X29Y89 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X29Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y89 NAME CLBLM_R_X29Y89 TILEPROP CLBLM_R_X29Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y89 NUM_SITES 2 TILEPROP CLBLM_R_X29Y89 ROW 63 TILEPROP CLBLM_R_X29Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y89 TILE_X 35268 TILEPROP CLBLM_R_X29Y89 TILE_Y 47424 TILEPROP CLBLM_R_X29Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y90 CLASS tile TILEPROP CLBLM_R_X29Y90 COLUMN 74 TILEPROP CLBLM_R_X29Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y90 FIRST_SITE_ID 6073 TILEPROP CLBLM_R_X29Y90 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X29Y90 INDEX 7204 TILEPROP CLBLM_R_X29Y90 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X29Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y90 NAME CLBLM_R_X29Y90 TILEPROP CLBLM_R_X29Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y90 NUM_SITES 2 TILEPROP CLBLM_R_X29Y90 ROW 62 TILEPROP CLBLM_R_X29Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y90 TILE_X 35268 TILEPROP CLBLM_R_X29Y90 TILE_Y 50624 TILEPROP CLBLM_R_X29Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y91 CLASS tile TILEPROP CLBLM_R_X29Y91 COLUMN 74 TILEPROP CLBLM_R_X29Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y91 FIRST_SITE_ID 5967 TILEPROP CLBLM_R_X29Y91 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X29Y91 INDEX 7089 TILEPROP CLBLM_R_X29Y91 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X29Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y91 NAME CLBLM_R_X29Y91 TILEPROP CLBLM_R_X29Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y91 NUM_SITES 2 TILEPROP CLBLM_R_X29Y91 ROW 61 TILEPROP CLBLM_R_X29Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y91 TILE_X 35268 TILEPROP CLBLM_R_X29Y91 TILE_Y 53824 TILEPROP CLBLM_R_X29Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y92 CLASS tile TILEPROP CLBLM_R_X29Y92 COLUMN 74 TILEPROP CLBLM_R_X29Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y92 FIRST_SITE_ID 5866 TILEPROP CLBLM_R_X29Y92 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X29Y92 INDEX 6974 TILEPROP CLBLM_R_X29Y92 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X29Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y92 NAME CLBLM_R_X29Y92 TILEPROP CLBLM_R_X29Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y92 NUM_SITES 2 TILEPROP CLBLM_R_X29Y92 ROW 60 TILEPROP CLBLM_R_X29Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y92 TILE_X 35268 TILEPROP CLBLM_R_X29Y92 TILE_Y 57024 TILEPROP CLBLM_R_X29Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y93 CLASS tile TILEPROP CLBLM_R_X29Y93 COLUMN 74 TILEPROP CLBLM_R_X29Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y93 FIRST_SITE_ID 5763 TILEPROP CLBLM_R_X29Y93 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X29Y93 INDEX 6859 TILEPROP CLBLM_R_X29Y93 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X29Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y93 NAME CLBLM_R_X29Y93 TILEPROP CLBLM_R_X29Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y93 NUM_SITES 2 TILEPROP CLBLM_R_X29Y93 ROW 59 TILEPROP CLBLM_R_X29Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y93 TILE_X 35268 TILEPROP CLBLM_R_X29Y93 TILE_Y 60224 TILEPROP CLBLM_R_X29Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y94 CLASS tile TILEPROP CLBLM_R_X29Y94 COLUMN 74 TILEPROP CLBLM_R_X29Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y94 FIRST_SITE_ID 5661 TILEPROP CLBLM_R_X29Y94 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X29Y94 INDEX 6744 TILEPROP CLBLM_R_X29Y94 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X29Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y94 NAME CLBLM_R_X29Y94 TILEPROP CLBLM_R_X29Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y94 NUM_SITES 2 TILEPROP CLBLM_R_X29Y94 ROW 58 TILEPROP CLBLM_R_X29Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y94 TILE_X 35268 TILEPROP CLBLM_R_X29Y94 TILE_Y 63424 TILEPROP CLBLM_R_X29Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y95 CLASS tile TILEPROP CLBLM_R_X29Y95 COLUMN 74 TILEPROP CLBLM_R_X29Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y95 FIRST_SITE_ID 5552 TILEPROP CLBLM_R_X29Y95 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X29Y95 INDEX 6629 TILEPROP CLBLM_R_X29Y95 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X29Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y95 NAME CLBLM_R_X29Y95 TILEPROP CLBLM_R_X29Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y95 NUM_SITES 2 TILEPROP CLBLM_R_X29Y95 ROW 57 TILEPROP CLBLM_R_X29Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y95 TILE_X 35268 TILEPROP CLBLM_R_X29Y95 TILE_Y 66624 TILEPROP CLBLM_R_X29Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y96 CLASS tile TILEPROP CLBLM_R_X29Y96 COLUMN 74 TILEPROP CLBLM_R_X29Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y96 FIRST_SITE_ID 5446 TILEPROP CLBLM_R_X29Y96 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X29Y96 INDEX 6514 TILEPROP CLBLM_R_X29Y96 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X29Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y96 NAME CLBLM_R_X29Y96 TILEPROP CLBLM_R_X29Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y96 NUM_SITES 2 TILEPROP CLBLM_R_X29Y96 ROW 56 TILEPROP CLBLM_R_X29Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y96 TILE_X 35268 TILEPROP CLBLM_R_X29Y96 TILE_Y 69824 TILEPROP CLBLM_R_X29Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y97 CLASS tile TILEPROP CLBLM_R_X29Y97 COLUMN 74 TILEPROP CLBLM_R_X29Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y97 FIRST_SITE_ID 5346 TILEPROP CLBLM_R_X29Y97 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X29Y97 INDEX 6399 TILEPROP CLBLM_R_X29Y97 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X29Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y97 NAME CLBLM_R_X29Y97 TILEPROP CLBLM_R_X29Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y97 NUM_SITES 2 TILEPROP CLBLM_R_X29Y97 ROW 55 TILEPROP CLBLM_R_X29Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y97 TILE_X 35268 TILEPROP CLBLM_R_X29Y97 TILE_Y 73024 TILEPROP CLBLM_R_X29Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y98 CLASS tile TILEPROP CLBLM_R_X29Y98 COLUMN 74 TILEPROP CLBLM_R_X29Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y98 FIRST_SITE_ID 5246 TILEPROP CLBLM_R_X29Y98 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X29Y98 INDEX 6284 TILEPROP CLBLM_R_X29Y98 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X29Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y98 NAME CLBLM_R_X29Y98 TILEPROP CLBLM_R_X29Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y98 NUM_SITES 2 TILEPROP CLBLM_R_X29Y98 ROW 54 TILEPROP CLBLM_R_X29Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y98 TILE_X 35268 TILEPROP CLBLM_R_X29Y98 TILE_Y 76224 TILEPROP CLBLM_R_X29Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y99 CLASS tile TILEPROP CLBLM_R_X29Y99 COLUMN 74 TILEPROP CLBLM_R_X29Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y99 FIRST_SITE_ID 5150 TILEPROP CLBLM_R_X29Y99 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X29Y99 INDEX 6169 TILEPROP CLBLM_R_X29Y99 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X29Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y99 NAME CLBLM_R_X29Y99 TILEPROP CLBLM_R_X29Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y99 NUM_SITES 2 TILEPROP CLBLM_R_X29Y99 ROW 53 TILEPROP CLBLM_R_X29Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y99 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X29Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y99 TILE_X 35268 TILEPROP CLBLM_R_X29Y99 TILE_Y 79424 TILEPROP CLBLM_R_X29Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y125 CLASS tile TILEPROP CLBLM_R_X29Y125 COLUMN 74 TILEPROP CLBLM_R_X29Y125 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y125 FIRST_SITE_ID 2587 TILEPROP CLBLM_R_X29Y125 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y125 GRID_POINT_Y 25 TILEPROP CLBLM_R_X29Y125 INDEX 2949 TILEPROP CLBLM_R_X29Y125 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y125 INT_TILE_Y 24 TILEPROP CLBLM_R_X29Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y125 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y125 NAME CLBLM_R_X29Y125 TILEPROP CLBLM_R_X29Y125 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y125 NUM_SITES 2 TILEPROP CLBLM_R_X29Y125 ROW 25 TILEPROP CLBLM_R_X29Y125 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y125 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X29Y125 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y125 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y125 TILE_X 35268 TILEPROP CLBLM_R_X29Y125 TILE_Y 163896 TILEPROP CLBLM_R_X29Y125 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y126 CLASS tile TILEPROP CLBLM_R_X29Y126 COLUMN 74 TILEPROP CLBLM_R_X29Y126 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y126 FIRST_SITE_ID 2477 TILEPROP CLBLM_R_X29Y126 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y126 GRID_POINT_Y 24 TILEPROP CLBLM_R_X29Y126 INDEX 2834 TILEPROP CLBLM_R_X29Y126 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y126 INT_TILE_Y 23 TILEPROP CLBLM_R_X29Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y126 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y126 NAME CLBLM_R_X29Y126 TILEPROP CLBLM_R_X29Y126 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y126 NUM_SITES 2 TILEPROP CLBLM_R_X29Y126 ROW 24 TILEPROP CLBLM_R_X29Y126 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y126 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y126 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y126 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y126 TILE_X 35268 TILEPROP CLBLM_R_X29Y126 TILE_Y 167096 TILEPROP CLBLM_R_X29Y126 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y127 CLASS tile TILEPROP CLBLM_R_X29Y127 COLUMN 74 TILEPROP CLBLM_R_X29Y127 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y127 FIRST_SITE_ID 2381 TILEPROP CLBLM_R_X29Y127 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y127 GRID_POINT_Y 23 TILEPROP CLBLM_R_X29Y127 INDEX 2719 TILEPROP CLBLM_R_X29Y127 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y127 INT_TILE_Y 22 TILEPROP CLBLM_R_X29Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y127 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y127 NAME CLBLM_R_X29Y127 TILEPROP CLBLM_R_X29Y127 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y127 NUM_SITES 2 TILEPROP CLBLM_R_X29Y127 ROW 23 TILEPROP CLBLM_R_X29Y127 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y127 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y127 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y127 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y127 TILE_X 35268 TILEPROP CLBLM_R_X29Y127 TILE_Y 170296 TILEPROP CLBLM_R_X29Y127 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y128 CLASS tile TILEPROP CLBLM_R_X29Y128 COLUMN 74 TILEPROP CLBLM_R_X29Y128 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y128 FIRST_SITE_ID 2277 TILEPROP CLBLM_R_X29Y128 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y128 GRID_POINT_Y 22 TILEPROP CLBLM_R_X29Y128 INDEX 2604 TILEPROP CLBLM_R_X29Y128 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y128 INT_TILE_Y 21 TILEPROP CLBLM_R_X29Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y128 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y128 NAME CLBLM_R_X29Y128 TILEPROP CLBLM_R_X29Y128 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y128 NUM_SITES 2 TILEPROP CLBLM_R_X29Y128 ROW 22 TILEPROP CLBLM_R_X29Y128 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y128 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y128 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y128 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y128 TILE_X 35268 TILEPROP CLBLM_R_X29Y128 TILE_Y 173496 TILEPROP CLBLM_R_X29Y128 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y129 CLASS tile TILEPROP CLBLM_R_X29Y129 COLUMN 74 TILEPROP CLBLM_R_X29Y129 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y129 FIRST_SITE_ID 2181 TILEPROP CLBLM_R_X29Y129 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y129 GRID_POINT_Y 21 TILEPROP CLBLM_R_X29Y129 INDEX 2489 TILEPROP CLBLM_R_X29Y129 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y129 INT_TILE_Y 20 TILEPROP CLBLM_R_X29Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y129 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y129 NAME CLBLM_R_X29Y129 TILEPROP CLBLM_R_X29Y129 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y129 NUM_SITES 2 TILEPROP CLBLM_R_X29Y129 ROW 21 TILEPROP CLBLM_R_X29Y129 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y129 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y129 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y129 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y129 TILE_X 35268 TILEPROP CLBLM_R_X29Y129 TILE_Y 176696 TILEPROP CLBLM_R_X29Y129 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y130 CLASS tile TILEPROP CLBLM_R_X29Y130 COLUMN 74 TILEPROP CLBLM_R_X29Y130 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y130 FIRST_SITE_ID 2065 TILEPROP CLBLM_R_X29Y130 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y130 GRID_POINT_Y 20 TILEPROP CLBLM_R_X29Y130 INDEX 2374 TILEPROP CLBLM_R_X29Y130 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y130 INT_TILE_Y 19 TILEPROP CLBLM_R_X29Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y130 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y130 NAME CLBLM_R_X29Y130 TILEPROP CLBLM_R_X29Y130 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y130 NUM_SITES 2 TILEPROP CLBLM_R_X29Y130 ROW 20 TILEPROP CLBLM_R_X29Y130 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y130 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y130 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y130 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y130 TILE_X 35268 TILEPROP CLBLM_R_X29Y130 TILE_Y 179896 TILEPROP CLBLM_R_X29Y130 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y131 CLASS tile TILEPROP CLBLM_R_X29Y131 COLUMN 74 TILEPROP CLBLM_R_X29Y131 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y131 FIRST_SITE_ID 1963 TILEPROP CLBLM_R_X29Y131 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y131 GRID_POINT_Y 19 TILEPROP CLBLM_R_X29Y131 INDEX 2259 TILEPROP CLBLM_R_X29Y131 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y131 INT_TILE_Y 18 TILEPROP CLBLM_R_X29Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y131 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y131 NAME CLBLM_R_X29Y131 TILEPROP CLBLM_R_X29Y131 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y131 NUM_SITES 2 TILEPROP CLBLM_R_X29Y131 ROW 19 TILEPROP CLBLM_R_X29Y131 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y131 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y131 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y131 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y131 TILE_X 35268 TILEPROP CLBLM_R_X29Y131 TILE_Y 183096 TILEPROP CLBLM_R_X29Y131 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y132 CLASS tile TILEPROP CLBLM_R_X29Y132 COLUMN 74 TILEPROP CLBLM_R_X29Y132 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y132 FIRST_SITE_ID 1857 TILEPROP CLBLM_R_X29Y132 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y132 GRID_POINT_Y 18 TILEPROP CLBLM_R_X29Y132 INDEX 2144 TILEPROP CLBLM_R_X29Y132 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y132 INT_TILE_Y 17 TILEPROP CLBLM_R_X29Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y132 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y132 NAME CLBLM_R_X29Y132 TILEPROP CLBLM_R_X29Y132 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y132 NUM_SITES 2 TILEPROP CLBLM_R_X29Y132 ROW 18 TILEPROP CLBLM_R_X29Y132 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y132 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y132 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y132 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y132 TILE_X 35268 TILEPROP CLBLM_R_X29Y132 TILE_Y 186296 TILEPROP CLBLM_R_X29Y132 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y133 CLASS tile TILEPROP CLBLM_R_X29Y133 COLUMN 74 TILEPROP CLBLM_R_X29Y133 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y133 FIRST_SITE_ID 1756 TILEPROP CLBLM_R_X29Y133 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y133 GRID_POINT_Y 17 TILEPROP CLBLM_R_X29Y133 INDEX 2029 TILEPROP CLBLM_R_X29Y133 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y133 INT_TILE_Y 16 TILEPROP CLBLM_R_X29Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y133 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y133 NAME CLBLM_R_X29Y133 TILEPROP CLBLM_R_X29Y133 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y133 NUM_SITES 2 TILEPROP CLBLM_R_X29Y133 ROW 17 TILEPROP CLBLM_R_X29Y133 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y133 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y133 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y133 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y133 TILE_X 35268 TILEPROP CLBLM_R_X29Y133 TILE_Y 189496 TILEPROP CLBLM_R_X29Y133 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y134 CLASS tile TILEPROP CLBLM_R_X29Y134 COLUMN 74 TILEPROP CLBLM_R_X29Y134 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y134 FIRST_SITE_ID 1652 TILEPROP CLBLM_R_X29Y134 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y134 GRID_POINT_Y 16 TILEPROP CLBLM_R_X29Y134 INDEX 1914 TILEPROP CLBLM_R_X29Y134 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y134 INT_TILE_Y 15 TILEPROP CLBLM_R_X29Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y134 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y134 NAME CLBLM_R_X29Y134 TILEPROP CLBLM_R_X29Y134 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y134 NUM_SITES 2 TILEPROP CLBLM_R_X29Y134 ROW 16 TILEPROP CLBLM_R_X29Y134 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y134 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y134 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y134 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y134 TILE_X 35268 TILEPROP CLBLM_R_X29Y134 TILE_Y 192696 TILEPROP CLBLM_R_X29Y134 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y135 CLASS tile TILEPROP CLBLM_R_X29Y135 COLUMN 74 TILEPROP CLBLM_R_X29Y135 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y135 FIRST_SITE_ID 1550 TILEPROP CLBLM_R_X29Y135 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y135 GRID_POINT_Y 15 TILEPROP CLBLM_R_X29Y135 INDEX 1799 TILEPROP CLBLM_R_X29Y135 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y135 INT_TILE_Y 14 TILEPROP CLBLM_R_X29Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y135 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y135 NAME CLBLM_R_X29Y135 TILEPROP CLBLM_R_X29Y135 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y135 NUM_SITES 2 TILEPROP CLBLM_R_X29Y135 ROW 15 TILEPROP CLBLM_R_X29Y135 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y135 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y135 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y135 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y135 TILE_X 35268 TILEPROP CLBLM_R_X29Y135 TILE_Y 195896 TILEPROP CLBLM_R_X29Y135 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y136 CLASS tile TILEPROP CLBLM_R_X29Y136 COLUMN 74 TILEPROP CLBLM_R_X29Y136 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y136 FIRST_SITE_ID 1440 TILEPROP CLBLM_R_X29Y136 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y136 GRID_POINT_Y 14 TILEPROP CLBLM_R_X29Y136 INDEX 1684 TILEPROP CLBLM_R_X29Y136 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y136 INT_TILE_Y 13 TILEPROP CLBLM_R_X29Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y136 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y136 NAME CLBLM_R_X29Y136 TILEPROP CLBLM_R_X29Y136 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y136 NUM_SITES 2 TILEPROP CLBLM_R_X29Y136 ROW 14 TILEPROP CLBLM_R_X29Y136 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y136 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y136 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y136 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y136 TILE_X 35268 TILEPROP CLBLM_R_X29Y136 TILE_Y 199096 TILEPROP CLBLM_R_X29Y136 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y137 CLASS tile TILEPROP CLBLM_R_X29Y137 COLUMN 74 TILEPROP CLBLM_R_X29Y137 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y137 FIRST_SITE_ID 1312 TILEPROP CLBLM_R_X29Y137 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y137 GRID_POINT_Y 13 TILEPROP CLBLM_R_X29Y137 INDEX 1569 TILEPROP CLBLM_R_X29Y137 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y137 INT_TILE_Y 12 TILEPROP CLBLM_R_X29Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y137 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y137 NAME CLBLM_R_X29Y137 TILEPROP CLBLM_R_X29Y137 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y137 NUM_SITES 2 TILEPROP CLBLM_R_X29Y137 ROW 13 TILEPROP CLBLM_R_X29Y137 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y137 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y137 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y137 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y137 TILE_X 35268 TILEPROP CLBLM_R_X29Y137 TILE_Y 202296 TILEPROP CLBLM_R_X29Y137 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y138 CLASS tile TILEPROP CLBLM_R_X29Y138 COLUMN 74 TILEPROP CLBLM_R_X29Y138 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y138 FIRST_SITE_ID 1208 TILEPROP CLBLM_R_X29Y138 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y138 GRID_POINT_Y 12 TILEPROP CLBLM_R_X29Y138 INDEX 1454 TILEPROP CLBLM_R_X29Y138 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y138 INT_TILE_Y 11 TILEPROP CLBLM_R_X29Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y138 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y138 NAME CLBLM_R_X29Y138 TILEPROP CLBLM_R_X29Y138 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y138 NUM_SITES 2 TILEPROP CLBLM_R_X29Y138 ROW 12 TILEPROP CLBLM_R_X29Y138 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y138 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y138 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y138 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y138 TILE_X 35268 TILEPROP CLBLM_R_X29Y138 TILE_Y 205496 TILEPROP CLBLM_R_X29Y138 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y139 CLASS tile TILEPROP CLBLM_R_X29Y139 COLUMN 74 TILEPROP CLBLM_R_X29Y139 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y139 FIRST_SITE_ID 1112 TILEPROP CLBLM_R_X29Y139 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y139 GRID_POINT_Y 11 TILEPROP CLBLM_R_X29Y139 INDEX 1339 TILEPROP CLBLM_R_X29Y139 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y139 INT_TILE_Y 10 TILEPROP CLBLM_R_X29Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y139 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y139 NAME CLBLM_R_X29Y139 TILEPROP CLBLM_R_X29Y139 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y139 NUM_SITES 2 TILEPROP CLBLM_R_X29Y139 ROW 11 TILEPROP CLBLM_R_X29Y139 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y139 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y139 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y139 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y139 TILE_X 35268 TILEPROP CLBLM_R_X29Y139 TILE_Y 208696 TILEPROP CLBLM_R_X29Y139 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y140 CLASS tile TILEPROP CLBLM_R_X29Y140 COLUMN 74 TILEPROP CLBLM_R_X29Y140 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y140 FIRST_SITE_ID 1002 TILEPROP CLBLM_R_X29Y140 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y140 GRID_POINT_Y 10 TILEPROP CLBLM_R_X29Y140 INDEX 1224 TILEPROP CLBLM_R_X29Y140 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y140 INT_TILE_Y 9 TILEPROP CLBLM_R_X29Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y140 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y140 NAME CLBLM_R_X29Y140 TILEPROP CLBLM_R_X29Y140 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y140 NUM_SITES 2 TILEPROP CLBLM_R_X29Y140 ROW 10 TILEPROP CLBLM_R_X29Y140 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y140 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y140 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y140 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y140 TILE_X 35268 TILEPROP CLBLM_R_X29Y140 TILE_Y 211896 TILEPROP CLBLM_R_X29Y140 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y141 CLASS tile TILEPROP CLBLM_R_X29Y141 COLUMN 74 TILEPROP CLBLM_R_X29Y141 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y141 FIRST_SITE_ID 900 TILEPROP CLBLM_R_X29Y141 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y141 GRID_POINT_Y 9 TILEPROP CLBLM_R_X29Y141 INDEX 1109 TILEPROP CLBLM_R_X29Y141 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y141 INT_TILE_Y 8 TILEPROP CLBLM_R_X29Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y141 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y141 NAME CLBLM_R_X29Y141 TILEPROP CLBLM_R_X29Y141 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y141 NUM_SITES 2 TILEPROP CLBLM_R_X29Y141 ROW 9 TILEPROP CLBLM_R_X29Y141 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y141 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y141 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y141 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y141 TILE_X 35268 TILEPROP CLBLM_R_X29Y141 TILE_Y 215096 TILEPROP CLBLM_R_X29Y141 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y142 CLASS tile TILEPROP CLBLM_R_X29Y142 COLUMN 74 TILEPROP CLBLM_R_X29Y142 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y142 FIRST_SITE_ID 796 TILEPROP CLBLM_R_X29Y142 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y142 GRID_POINT_Y 8 TILEPROP CLBLM_R_X29Y142 INDEX 994 TILEPROP CLBLM_R_X29Y142 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y142 INT_TILE_Y 7 TILEPROP CLBLM_R_X29Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y142 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y142 NAME CLBLM_R_X29Y142 TILEPROP CLBLM_R_X29Y142 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y142 NUM_SITES 2 TILEPROP CLBLM_R_X29Y142 ROW 8 TILEPROP CLBLM_R_X29Y142 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y142 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y142 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y142 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y142 TILE_X 35268 TILEPROP CLBLM_R_X29Y142 TILE_Y 218296 TILEPROP CLBLM_R_X29Y142 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y143 CLASS tile TILEPROP CLBLM_R_X29Y143 COLUMN 74 TILEPROP CLBLM_R_X29Y143 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y143 FIRST_SITE_ID 699 TILEPROP CLBLM_R_X29Y143 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y143 GRID_POINT_Y 7 TILEPROP CLBLM_R_X29Y143 INDEX 879 TILEPROP CLBLM_R_X29Y143 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y143 INT_TILE_Y 6 TILEPROP CLBLM_R_X29Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y143 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y143 NAME CLBLM_R_X29Y143 TILEPROP CLBLM_R_X29Y143 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y143 NUM_SITES 2 TILEPROP CLBLM_R_X29Y143 ROW 7 TILEPROP CLBLM_R_X29Y143 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y143 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y143 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y143 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y143 TILE_X 35268 TILEPROP CLBLM_R_X29Y143 TILE_Y 221496 TILEPROP CLBLM_R_X29Y143 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y144 CLASS tile TILEPROP CLBLM_R_X29Y144 COLUMN 74 TILEPROP CLBLM_R_X29Y144 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y144 FIRST_SITE_ID 588 TILEPROP CLBLM_R_X29Y144 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y144 GRID_POINT_Y 6 TILEPROP CLBLM_R_X29Y144 INDEX 764 TILEPROP CLBLM_R_X29Y144 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y144 INT_TILE_Y 5 TILEPROP CLBLM_R_X29Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y144 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y144 NAME CLBLM_R_X29Y144 TILEPROP CLBLM_R_X29Y144 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y144 NUM_SITES 2 TILEPROP CLBLM_R_X29Y144 ROW 6 TILEPROP CLBLM_R_X29Y144 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y144 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y144 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y144 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y144 TILE_X 35268 TILEPROP CLBLM_R_X29Y144 TILE_Y 224696 TILEPROP CLBLM_R_X29Y144 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y145 CLASS tile TILEPROP CLBLM_R_X29Y145 COLUMN 74 TILEPROP CLBLM_R_X29Y145 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y145 FIRST_SITE_ID 486 TILEPROP CLBLM_R_X29Y145 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y145 GRID_POINT_Y 5 TILEPROP CLBLM_R_X29Y145 INDEX 649 TILEPROP CLBLM_R_X29Y145 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y145 INT_TILE_Y 4 TILEPROP CLBLM_R_X29Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y145 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y145 NAME CLBLM_R_X29Y145 TILEPROP CLBLM_R_X29Y145 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y145 NUM_SITES 2 TILEPROP CLBLM_R_X29Y145 ROW 5 TILEPROP CLBLM_R_X29Y145 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y145 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y145 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y145 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y145 TILE_X 35268 TILEPROP CLBLM_R_X29Y145 TILE_Y 227896 TILEPROP CLBLM_R_X29Y145 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y146 CLASS tile TILEPROP CLBLM_R_X29Y146 COLUMN 74 TILEPROP CLBLM_R_X29Y146 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y146 FIRST_SITE_ID 376 TILEPROP CLBLM_R_X29Y146 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y146 GRID_POINT_Y 4 TILEPROP CLBLM_R_X29Y146 INDEX 534 TILEPROP CLBLM_R_X29Y146 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y146 INT_TILE_Y 3 TILEPROP CLBLM_R_X29Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y146 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y146 NAME CLBLM_R_X29Y146 TILEPROP CLBLM_R_X29Y146 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y146 NUM_SITES 2 TILEPROP CLBLM_R_X29Y146 ROW 4 TILEPROP CLBLM_R_X29Y146 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y146 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y146 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y146 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y146 TILE_X 35268 TILEPROP CLBLM_R_X29Y146 TILE_Y 231096 TILEPROP CLBLM_R_X29Y146 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y147 CLASS tile TILEPROP CLBLM_R_X29Y147 COLUMN 74 TILEPROP CLBLM_R_X29Y147 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y147 FIRST_SITE_ID 280 TILEPROP CLBLM_R_X29Y147 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y147 GRID_POINT_Y 3 TILEPROP CLBLM_R_X29Y147 INDEX 419 TILEPROP CLBLM_R_X29Y147 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y147 INT_TILE_Y 2 TILEPROP CLBLM_R_X29Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y147 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y147 NAME CLBLM_R_X29Y147 TILEPROP CLBLM_R_X29Y147 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y147 NUM_SITES 2 TILEPROP CLBLM_R_X29Y147 ROW 3 TILEPROP CLBLM_R_X29Y147 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y147 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y147 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y147 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y147 TILE_X 35268 TILEPROP CLBLM_R_X29Y147 TILE_Y 234296 TILEPROP CLBLM_R_X29Y147 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y148 CLASS tile TILEPROP CLBLM_R_X29Y148 COLUMN 74 TILEPROP CLBLM_R_X29Y148 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y148 FIRST_SITE_ID 176 TILEPROP CLBLM_R_X29Y148 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y148 GRID_POINT_Y 2 TILEPROP CLBLM_R_X29Y148 INDEX 304 TILEPROP CLBLM_R_X29Y148 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y148 INT_TILE_Y 1 TILEPROP CLBLM_R_X29Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y148 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y148 NAME CLBLM_R_X29Y148 TILEPROP CLBLM_R_X29Y148 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y148 NUM_SITES 2 TILEPROP CLBLM_R_X29Y148 ROW 2 TILEPROP CLBLM_R_X29Y148 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y148 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X29Y148 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y148 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y148 TILE_X 35268 TILEPROP CLBLM_R_X29Y148 TILE_Y 237496 TILEPROP CLBLM_R_X29Y148 TYPE CLBLM_R TILEPROP CLBLM_R_X29Y149 CLASS tile TILEPROP CLBLM_R_X29Y149 COLUMN 74 TILEPROP CLBLM_R_X29Y149 DEVICE_ID 0 TILEPROP CLBLM_R_X29Y149 FIRST_SITE_ID 80 TILEPROP CLBLM_R_X29Y149 GRID_POINT_X 74 TILEPROP CLBLM_R_X29Y149 GRID_POINT_Y 1 TILEPROP CLBLM_R_X29Y149 INDEX 189 TILEPROP CLBLM_R_X29Y149 INT_TILE_X 29 TILEPROP CLBLM_R_X29Y149 INT_TILE_Y 0 TILEPROP CLBLM_R_X29Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X29Y149 IS_DCM_TILE 0 TILEPROP CLBLM_R_X29Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X29Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X29Y149 NAME CLBLM_R_X29Y149 TILEPROP CLBLM_R_X29Y149 NUM_ARCS 151 TILEPROP CLBLM_R_X29Y149 NUM_SITES 2 TILEPROP CLBLM_R_X29Y149 ROW 1 TILEPROP CLBLM_R_X29Y149 SLR_REGION_ID 0 TILEPROP CLBLM_R_X29Y149 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X29Y149 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X29Y149 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X29Y149 TILE_X 35268 TILEPROP CLBLM_R_X29Y149 TILE_Y 240696 TILEPROP CLBLM_R_X29Y149 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y0 CLASS tile TILEPROP CLBLM_R_X33Y0 COLUMN 84 TILEPROP CLBLM_R_X33Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y0 FIRST_SITE_ID 15783 TILEPROP CLBLM_R_X33Y0 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X33Y0 INDEX 17909 TILEPROP CLBLM_R_X33Y0 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X33Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y0 NAME CLBLM_R_X33Y0 TILEPROP CLBLM_R_X33Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y0 NUM_SITES 2 TILEPROP CLBLM_R_X33Y0 ROW 155 TILEPROP CLBLM_R_X33Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X33Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y0 TILE_X 55684 TILEPROP CLBLM_R_X33Y0 TILE_Y -239672 TILEPROP CLBLM_R_X33Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y1 CLASS tile TILEPROP CLBLM_R_X33Y1 COLUMN 84 TILEPROP CLBLM_R_X33Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y1 FIRST_SITE_ID 15670 TILEPROP CLBLM_R_X33Y1 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X33Y1 INDEX 17794 TILEPROP CLBLM_R_X33Y1 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X33Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y1 NAME CLBLM_R_X33Y1 TILEPROP CLBLM_R_X33Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y1 NUM_SITES 2 TILEPROP CLBLM_R_X33Y1 ROW 154 TILEPROP CLBLM_R_X33Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y1 TILE_X 55684 TILEPROP CLBLM_R_X33Y1 TILE_Y -236472 TILEPROP CLBLM_R_X33Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y2 CLASS tile TILEPROP CLBLM_R_X33Y2 COLUMN 84 TILEPROP CLBLM_R_X33Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y2 FIRST_SITE_ID 15569 TILEPROP CLBLM_R_X33Y2 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X33Y2 INDEX 17679 TILEPROP CLBLM_R_X33Y2 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X33Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y2 NAME CLBLM_R_X33Y2 TILEPROP CLBLM_R_X33Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y2 NUM_SITES 2 TILEPROP CLBLM_R_X33Y2 ROW 153 TILEPROP CLBLM_R_X33Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y2 TILE_X 55684 TILEPROP CLBLM_R_X33Y2 TILE_Y -233272 TILEPROP CLBLM_R_X33Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y3 CLASS tile TILEPROP CLBLM_R_X33Y3 COLUMN 84 TILEPROP CLBLM_R_X33Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y3 FIRST_SITE_ID 15469 TILEPROP CLBLM_R_X33Y3 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X33Y3 INDEX 17564 TILEPROP CLBLM_R_X33Y3 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X33Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y3 NAME CLBLM_R_X33Y3 TILEPROP CLBLM_R_X33Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y3 NUM_SITES 2 TILEPROP CLBLM_R_X33Y3 ROW 152 TILEPROP CLBLM_R_X33Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y3 TILE_X 55684 TILEPROP CLBLM_R_X33Y3 TILE_Y -230072 TILEPROP CLBLM_R_X33Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y4 CLASS tile TILEPROP CLBLM_R_X33Y4 COLUMN 84 TILEPROP CLBLM_R_X33Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y4 FIRST_SITE_ID 15369 TILEPROP CLBLM_R_X33Y4 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X33Y4 INDEX 17449 TILEPROP CLBLM_R_X33Y4 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X33Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y4 NAME CLBLM_R_X33Y4 TILEPROP CLBLM_R_X33Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y4 NUM_SITES 2 TILEPROP CLBLM_R_X33Y4 ROW 151 TILEPROP CLBLM_R_X33Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y4 TILE_X 55684 TILEPROP CLBLM_R_X33Y4 TILE_Y -226872 TILEPROP CLBLM_R_X33Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y5 CLASS tile TILEPROP CLBLM_R_X33Y5 COLUMN 84 TILEPROP CLBLM_R_X33Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y5 FIRST_SITE_ID 15263 TILEPROP CLBLM_R_X33Y5 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X33Y5 INDEX 17334 TILEPROP CLBLM_R_X33Y5 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X33Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y5 NAME CLBLM_R_X33Y5 TILEPROP CLBLM_R_X33Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y5 NUM_SITES 2 TILEPROP CLBLM_R_X33Y5 ROW 150 TILEPROP CLBLM_R_X33Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y5 TILE_X 55684 TILEPROP CLBLM_R_X33Y5 TILE_Y -223672 TILEPROP CLBLM_R_X33Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y6 CLASS tile TILEPROP CLBLM_R_X33Y6 COLUMN 84 TILEPROP CLBLM_R_X33Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y6 FIRST_SITE_ID 15154 TILEPROP CLBLM_R_X33Y6 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X33Y6 INDEX 17219 TILEPROP CLBLM_R_X33Y6 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X33Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y6 NAME CLBLM_R_X33Y6 TILEPROP CLBLM_R_X33Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y6 NUM_SITES 2 TILEPROP CLBLM_R_X33Y6 ROW 149 TILEPROP CLBLM_R_X33Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y6 TILE_X 55684 TILEPROP CLBLM_R_X33Y6 TILE_Y -220472 TILEPROP CLBLM_R_X33Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y7 CLASS tile TILEPROP CLBLM_R_X33Y7 COLUMN 84 TILEPROP CLBLM_R_X33Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y7 FIRST_SITE_ID 15052 TILEPROP CLBLM_R_X33Y7 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X33Y7 INDEX 17104 TILEPROP CLBLM_R_X33Y7 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X33Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y7 NAME CLBLM_R_X33Y7 TILEPROP CLBLM_R_X33Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y7 NUM_SITES 2 TILEPROP CLBLM_R_X33Y7 ROW 148 TILEPROP CLBLM_R_X33Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y7 TILE_X 55684 TILEPROP CLBLM_R_X33Y7 TILE_Y -217272 TILEPROP CLBLM_R_X33Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y8 CLASS tile TILEPROP CLBLM_R_X33Y8 COLUMN 84 TILEPROP CLBLM_R_X33Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y8 FIRST_SITE_ID 14949 TILEPROP CLBLM_R_X33Y8 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X33Y8 INDEX 16989 TILEPROP CLBLM_R_X33Y8 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X33Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y8 NAME CLBLM_R_X33Y8 TILEPROP CLBLM_R_X33Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y8 NUM_SITES 2 TILEPROP CLBLM_R_X33Y8 ROW 147 TILEPROP CLBLM_R_X33Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y8 TILE_X 55684 TILEPROP CLBLM_R_X33Y8 TILE_Y -214072 TILEPROP CLBLM_R_X33Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y9 CLASS tile TILEPROP CLBLM_R_X33Y9 COLUMN 84 TILEPROP CLBLM_R_X33Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y9 FIRST_SITE_ID 14848 TILEPROP CLBLM_R_X33Y9 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X33Y9 INDEX 16874 TILEPROP CLBLM_R_X33Y9 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X33Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y9 NAME CLBLM_R_X33Y9 TILEPROP CLBLM_R_X33Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y9 NUM_SITES 2 TILEPROP CLBLM_R_X33Y9 ROW 146 TILEPROP CLBLM_R_X33Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y9 TILE_X 55684 TILEPROP CLBLM_R_X33Y9 TILE_Y -210872 TILEPROP CLBLM_R_X33Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y10 CLASS tile TILEPROP CLBLM_R_X33Y10 COLUMN 84 TILEPROP CLBLM_R_X33Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y10 FIRST_SITE_ID 14742 TILEPROP CLBLM_R_X33Y10 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X33Y10 INDEX 16759 TILEPROP CLBLM_R_X33Y10 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X33Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y10 NAME CLBLM_R_X33Y10 TILEPROP CLBLM_R_X33Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y10 NUM_SITES 2 TILEPROP CLBLM_R_X33Y10 ROW 145 TILEPROP CLBLM_R_X33Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y10 TILE_X 55684 TILEPROP CLBLM_R_X33Y10 TILE_Y -207672 TILEPROP CLBLM_R_X33Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y11 CLASS tile TILEPROP CLBLM_R_X33Y11 COLUMN 84 TILEPROP CLBLM_R_X33Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y11 FIRST_SITE_ID 14633 TILEPROP CLBLM_R_X33Y11 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X33Y11 INDEX 16644 TILEPROP CLBLM_R_X33Y11 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X33Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y11 NAME CLBLM_R_X33Y11 TILEPROP CLBLM_R_X33Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y11 NUM_SITES 2 TILEPROP CLBLM_R_X33Y11 ROW 144 TILEPROP CLBLM_R_X33Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y11 TILE_X 55684 TILEPROP CLBLM_R_X33Y11 TILE_Y -204472 TILEPROP CLBLM_R_X33Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y12 CLASS tile TILEPROP CLBLM_R_X33Y12 COLUMN 84 TILEPROP CLBLM_R_X33Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y12 FIRST_SITE_ID 14533 TILEPROP CLBLM_R_X33Y12 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X33Y12 INDEX 16529 TILEPROP CLBLM_R_X33Y12 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X33Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y12 NAME CLBLM_R_X33Y12 TILEPROP CLBLM_R_X33Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y12 NUM_SITES 2 TILEPROP CLBLM_R_X33Y12 ROW 143 TILEPROP CLBLM_R_X33Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y12 TILE_X 55684 TILEPROP CLBLM_R_X33Y12 TILE_Y -201272 TILEPROP CLBLM_R_X33Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y13 CLASS tile TILEPROP CLBLM_R_X33Y13 COLUMN 84 TILEPROP CLBLM_R_X33Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y13 FIRST_SITE_ID 14401 TILEPROP CLBLM_R_X33Y13 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X33Y13 INDEX 16414 TILEPROP CLBLM_R_X33Y13 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X33Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y13 NAME CLBLM_R_X33Y13 TILEPROP CLBLM_R_X33Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y13 NUM_SITES 2 TILEPROP CLBLM_R_X33Y13 ROW 142 TILEPROP CLBLM_R_X33Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y13 TILE_X 55684 TILEPROP CLBLM_R_X33Y13 TILE_Y -198072 TILEPROP CLBLM_R_X33Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y14 CLASS tile TILEPROP CLBLM_R_X33Y14 COLUMN 84 TILEPROP CLBLM_R_X33Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y14 FIRST_SITE_ID 14301 TILEPROP CLBLM_R_X33Y14 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X33Y14 INDEX 16299 TILEPROP CLBLM_R_X33Y14 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X33Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y14 NAME CLBLM_R_X33Y14 TILEPROP CLBLM_R_X33Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y14 NUM_SITES 2 TILEPROP CLBLM_R_X33Y14 ROW 141 TILEPROP CLBLM_R_X33Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y14 TILE_X 55684 TILEPROP CLBLM_R_X33Y14 TILE_Y -194872 TILEPROP CLBLM_R_X33Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y15 CLASS tile TILEPROP CLBLM_R_X33Y15 COLUMN 84 TILEPROP CLBLM_R_X33Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y15 FIRST_SITE_ID 14195 TILEPROP CLBLM_R_X33Y15 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X33Y15 INDEX 16184 TILEPROP CLBLM_R_X33Y15 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X33Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y15 NAME CLBLM_R_X33Y15 TILEPROP CLBLM_R_X33Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y15 NUM_SITES 2 TILEPROP CLBLM_R_X33Y15 ROW 140 TILEPROP CLBLM_R_X33Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y15 TILE_X 55684 TILEPROP CLBLM_R_X33Y15 TILE_Y -191672 TILEPROP CLBLM_R_X33Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y16 CLASS tile TILEPROP CLBLM_R_X33Y16 COLUMN 84 TILEPROP CLBLM_R_X33Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y16 FIRST_SITE_ID 14086 TILEPROP CLBLM_R_X33Y16 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X33Y16 INDEX 16069 TILEPROP CLBLM_R_X33Y16 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X33Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y16 NAME CLBLM_R_X33Y16 TILEPROP CLBLM_R_X33Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y16 NUM_SITES 2 TILEPROP CLBLM_R_X33Y16 ROW 139 TILEPROP CLBLM_R_X33Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y16 TILE_X 55684 TILEPROP CLBLM_R_X33Y16 TILE_Y -188472 TILEPROP CLBLM_R_X33Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y17 CLASS tile TILEPROP CLBLM_R_X33Y17 COLUMN 84 TILEPROP CLBLM_R_X33Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y17 FIRST_SITE_ID 13982 TILEPROP CLBLM_R_X33Y17 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X33Y17 INDEX 15954 TILEPROP CLBLM_R_X33Y17 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X33Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y17 NAME CLBLM_R_X33Y17 TILEPROP CLBLM_R_X33Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y17 NUM_SITES 2 TILEPROP CLBLM_R_X33Y17 ROW 138 TILEPROP CLBLM_R_X33Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y17 TILE_X 55684 TILEPROP CLBLM_R_X33Y17 TILE_Y -185272 TILEPROP CLBLM_R_X33Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y18 CLASS tile TILEPROP CLBLM_R_X33Y18 COLUMN 84 TILEPROP CLBLM_R_X33Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y18 FIRST_SITE_ID 13877 TILEPROP CLBLM_R_X33Y18 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X33Y18 INDEX 15839 TILEPROP CLBLM_R_X33Y18 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X33Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y18 NAME CLBLM_R_X33Y18 TILEPROP CLBLM_R_X33Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y18 NUM_SITES 2 TILEPROP CLBLM_R_X33Y18 ROW 137 TILEPROP CLBLM_R_X33Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y18 TILE_X 55684 TILEPROP CLBLM_R_X33Y18 TILE_Y -182072 TILEPROP CLBLM_R_X33Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y19 CLASS tile TILEPROP CLBLM_R_X33Y19 COLUMN 84 TILEPROP CLBLM_R_X33Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y19 FIRST_SITE_ID 13775 TILEPROP CLBLM_R_X33Y19 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X33Y19 INDEX 15724 TILEPROP CLBLM_R_X33Y19 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X33Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y19 NAME CLBLM_R_X33Y19 TILEPROP CLBLM_R_X33Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y19 NUM_SITES 2 TILEPROP CLBLM_R_X33Y19 ROW 136 TILEPROP CLBLM_R_X33Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y19 TILE_X 55684 TILEPROP CLBLM_R_X33Y19 TILE_Y -178872 TILEPROP CLBLM_R_X33Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y20 CLASS tile TILEPROP CLBLM_R_X33Y20 COLUMN 84 TILEPROP CLBLM_R_X33Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y20 FIRST_SITE_ID 13667 TILEPROP CLBLM_R_X33Y20 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X33Y20 INDEX 15609 TILEPROP CLBLM_R_X33Y20 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X33Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y20 NAME CLBLM_R_X33Y20 TILEPROP CLBLM_R_X33Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y20 NUM_SITES 2 TILEPROP CLBLM_R_X33Y20 ROW 135 TILEPROP CLBLM_R_X33Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y20 TILE_X 55684 TILEPROP CLBLM_R_X33Y20 TILE_Y -175672 TILEPROP CLBLM_R_X33Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y21 CLASS tile TILEPROP CLBLM_R_X33Y21 COLUMN 84 TILEPROP CLBLM_R_X33Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y21 FIRST_SITE_ID 13558 TILEPROP CLBLM_R_X33Y21 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X33Y21 INDEX 15494 TILEPROP CLBLM_R_X33Y21 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X33Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y21 NAME CLBLM_R_X33Y21 TILEPROP CLBLM_R_X33Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y21 NUM_SITES 2 TILEPROP CLBLM_R_X33Y21 ROW 134 TILEPROP CLBLM_R_X33Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y21 TILE_X 55684 TILEPROP CLBLM_R_X33Y21 TILE_Y -172472 TILEPROP CLBLM_R_X33Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y22 CLASS tile TILEPROP CLBLM_R_X33Y22 COLUMN 84 TILEPROP CLBLM_R_X33Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y22 FIRST_SITE_ID 13458 TILEPROP CLBLM_R_X33Y22 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X33Y22 INDEX 15379 TILEPROP CLBLM_R_X33Y22 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X33Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y22 NAME CLBLM_R_X33Y22 TILEPROP CLBLM_R_X33Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y22 NUM_SITES 2 TILEPROP CLBLM_R_X33Y22 ROW 133 TILEPROP CLBLM_R_X33Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y22 TILE_X 55684 TILEPROP CLBLM_R_X33Y22 TILE_Y -169272 TILEPROP CLBLM_R_X33Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y23 CLASS tile TILEPROP CLBLM_R_X33Y23 COLUMN 84 TILEPROP CLBLM_R_X33Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y23 FIRST_SITE_ID 13358 TILEPROP CLBLM_R_X33Y23 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X33Y23 INDEX 15264 TILEPROP CLBLM_R_X33Y23 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X33Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y23 NAME CLBLM_R_X33Y23 TILEPROP CLBLM_R_X33Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y23 NUM_SITES 2 TILEPROP CLBLM_R_X33Y23 ROW 132 TILEPROP CLBLM_R_X33Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y23 TILE_X 55684 TILEPROP CLBLM_R_X33Y23 TILE_Y -166072 TILEPROP CLBLM_R_X33Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y24 CLASS tile TILEPROP CLBLM_R_X33Y24 COLUMN 84 TILEPROP CLBLM_R_X33Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y24 FIRST_SITE_ID 13258 TILEPROP CLBLM_R_X33Y24 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X33Y24 INDEX 15149 TILEPROP CLBLM_R_X33Y24 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X33Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y24 NAME CLBLM_R_X33Y24 TILEPROP CLBLM_R_X33Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y24 NUM_SITES 2 TILEPROP CLBLM_R_X33Y24 ROW 131 TILEPROP CLBLM_R_X33Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X33Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y24 TILE_X 55684 TILEPROP CLBLM_R_X33Y24 TILE_Y -162872 TILEPROP CLBLM_R_X33Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y25 CLASS tile TILEPROP CLBLM_R_X33Y25 COLUMN 84 TILEPROP CLBLM_R_X33Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y25 FIRST_SITE_ID 13069 TILEPROP CLBLM_R_X33Y25 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X33Y25 INDEX 14919 TILEPROP CLBLM_R_X33Y25 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X33Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y25 NAME CLBLM_R_X33Y25 TILEPROP CLBLM_R_X33Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y25 NUM_SITES 2 TILEPROP CLBLM_R_X33Y25 ROW 129 TILEPROP CLBLM_R_X33Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X33Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y25 TILE_X 55684 TILEPROP CLBLM_R_X33Y25 TILE_Y -158648 TILEPROP CLBLM_R_X33Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y26 CLASS tile TILEPROP CLBLM_R_X33Y26 COLUMN 84 TILEPROP CLBLM_R_X33Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y26 FIRST_SITE_ID 12960 TILEPROP CLBLM_R_X33Y26 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X33Y26 INDEX 14804 TILEPROP CLBLM_R_X33Y26 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X33Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y26 NAME CLBLM_R_X33Y26 TILEPROP CLBLM_R_X33Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y26 NUM_SITES 2 TILEPROP CLBLM_R_X33Y26 ROW 128 TILEPROP CLBLM_R_X33Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y26 TILE_X 55684 TILEPROP CLBLM_R_X33Y26 TILE_Y -155448 TILEPROP CLBLM_R_X33Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y27 CLASS tile TILEPROP CLBLM_R_X33Y27 COLUMN 84 TILEPROP CLBLM_R_X33Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y27 FIRST_SITE_ID 12860 TILEPROP CLBLM_R_X33Y27 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X33Y27 INDEX 14689 TILEPROP CLBLM_R_X33Y27 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X33Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y27 NAME CLBLM_R_X33Y27 TILEPROP CLBLM_R_X33Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y27 NUM_SITES 2 TILEPROP CLBLM_R_X33Y27 ROW 127 TILEPROP CLBLM_R_X33Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y27 TILE_X 55684 TILEPROP CLBLM_R_X33Y27 TILE_Y -152248 TILEPROP CLBLM_R_X33Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y28 CLASS tile TILEPROP CLBLM_R_X33Y28 COLUMN 84 TILEPROP CLBLM_R_X33Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y28 FIRST_SITE_ID 12760 TILEPROP CLBLM_R_X33Y28 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X33Y28 INDEX 14574 TILEPROP CLBLM_R_X33Y28 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X33Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y28 NAME CLBLM_R_X33Y28 TILEPROP CLBLM_R_X33Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y28 NUM_SITES 2 TILEPROP CLBLM_R_X33Y28 ROW 126 TILEPROP CLBLM_R_X33Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y28 TILE_X 55684 TILEPROP CLBLM_R_X33Y28 TILE_Y -149048 TILEPROP CLBLM_R_X33Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y29 CLASS tile TILEPROP CLBLM_R_X33Y29 COLUMN 84 TILEPROP CLBLM_R_X33Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y29 FIRST_SITE_ID 12654 TILEPROP CLBLM_R_X33Y29 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X33Y29 INDEX 14459 TILEPROP CLBLM_R_X33Y29 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X33Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y29 NAME CLBLM_R_X33Y29 TILEPROP CLBLM_R_X33Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y29 NUM_SITES 2 TILEPROP CLBLM_R_X33Y29 ROW 125 TILEPROP CLBLM_R_X33Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y29 TILE_X 55684 TILEPROP CLBLM_R_X33Y29 TILE_Y -145848 TILEPROP CLBLM_R_X33Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y30 CLASS tile TILEPROP CLBLM_R_X33Y30 COLUMN 84 TILEPROP CLBLM_R_X33Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y30 FIRST_SITE_ID 12542 TILEPROP CLBLM_R_X33Y30 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X33Y30 INDEX 14344 TILEPROP CLBLM_R_X33Y30 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X33Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y30 NAME CLBLM_R_X33Y30 TILEPROP CLBLM_R_X33Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y30 NUM_SITES 2 TILEPROP CLBLM_R_X33Y30 ROW 124 TILEPROP CLBLM_R_X33Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y30 TILE_X 55684 TILEPROP CLBLM_R_X33Y30 TILE_Y -142648 TILEPROP CLBLM_R_X33Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y31 CLASS tile TILEPROP CLBLM_R_X33Y31 COLUMN 84 TILEPROP CLBLM_R_X33Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y31 FIRST_SITE_ID 12418 TILEPROP CLBLM_R_X33Y31 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X33Y31 INDEX 14229 TILEPROP CLBLM_R_X33Y31 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X33Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y31 NAME CLBLM_R_X33Y31 TILEPROP CLBLM_R_X33Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y31 NUM_SITES 2 TILEPROP CLBLM_R_X33Y31 ROW 123 TILEPROP CLBLM_R_X33Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y31 TILE_X 55684 TILEPROP CLBLM_R_X33Y31 TILE_Y -139448 TILEPROP CLBLM_R_X33Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y32 CLASS tile TILEPROP CLBLM_R_X33Y32 COLUMN 84 TILEPROP CLBLM_R_X33Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y32 FIRST_SITE_ID 12316 TILEPROP CLBLM_R_X33Y32 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X33Y32 INDEX 14114 TILEPROP CLBLM_R_X33Y32 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X33Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y32 NAME CLBLM_R_X33Y32 TILEPROP CLBLM_R_X33Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y32 NUM_SITES 2 TILEPROP CLBLM_R_X33Y32 ROW 122 TILEPROP CLBLM_R_X33Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y32 TILE_X 55684 TILEPROP CLBLM_R_X33Y32 TILE_Y -136248 TILEPROP CLBLM_R_X33Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y33 CLASS tile TILEPROP CLBLM_R_X33Y33 COLUMN 84 TILEPROP CLBLM_R_X33Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y33 FIRST_SITE_ID 12215 TILEPROP CLBLM_R_X33Y33 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X33Y33 INDEX 13999 TILEPROP CLBLM_R_X33Y33 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X33Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y33 NAME CLBLM_R_X33Y33 TILEPROP CLBLM_R_X33Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y33 NUM_SITES 2 TILEPROP CLBLM_R_X33Y33 ROW 121 TILEPROP CLBLM_R_X33Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y33 TILE_X 55684 TILEPROP CLBLM_R_X33Y33 TILE_Y -133048 TILEPROP CLBLM_R_X33Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y34 CLASS tile TILEPROP CLBLM_R_X33Y34 COLUMN 84 TILEPROP CLBLM_R_X33Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y34 FIRST_SITE_ID 12115 TILEPROP CLBLM_R_X33Y34 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X33Y34 INDEX 13884 TILEPROP CLBLM_R_X33Y34 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X33Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y34 NAME CLBLM_R_X33Y34 TILEPROP CLBLM_R_X33Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y34 NUM_SITES 2 TILEPROP CLBLM_R_X33Y34 ROW 120 TILEPROP CLBLM_R_X33Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y34 TILE_X 55684 TILEPROP CLBLM_R_X33Y34 TILE_Y -129848 TILEPROP CLBLM_R_X33Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y35 CLASS tile TILEPROP CLBLM_R_X33Y35 COLUMN 84 TILEPROP CLBLM_R_X33Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y35 FIRST_SITE_ID 12009 TILEPROP CLBLM_R_X33Y35 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X33Y35 INDEX 13769 TILEPROP CLBLM_R_X33Y35 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X33Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y35 NAME CLBLM_R_X33Y35 TILEPROP CLBLM_R_X33Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y35 NUM_SITES 2 TILEPROP CLBLM_R_X33Y35 ROW 119 TILEPROP CLBLM_R_X33Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y35 TILE_X 55684 TILEPROP CLBLM_R_X33Y35 TILE_Y -126648 TILEPROP CLBLM_R_X33Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y36 CLASS tile TILEPROP CLBLM_R_X33Y36 COLUMN 84 TILEPROP CLBLM_R_X33Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y36 FIRST_SITE_ID 11900 TILEPROP CLBLM_R_X33Y36 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X33Y36 INDEX 13654 TILEPROP CLBLM_R_X33Y36 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X33Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y36 NAME CLBLM_R_X33Y36 TILEPROP CLBLM_R_X33Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y36 NUM_SITES 2 TILEPROP CLBLM_R_X33Y36 ROW 118 TILEPROP CLBLM_R_X33Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y36 TILE_X 55684 TILEPROP CLBLM_R_X33Y36 TILE_Y -123448 TILEPROP CLBLM_R_X33Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y37 CLASS tile TILEPROP CLBLM_R_X33Y37 COLUMN 84 TILEPROP CLBLM_R_X33Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y37 FIRST_SITE_ID 11768 TILEPROP CLBLM_R_X33Y37 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X33Y37 INDEX 13539 TILEPROP CLBLM_R_X33Y37 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X33Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y37 NAME CLBLM_R_X33Y37 TILEPROP CLBLM_R_X33Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y37 NUM_SITES 2 TILEPROP CLBLM_R_X33Y37 ROW 117 TILEPROP CLBLM_R_X33Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y37 TILE_X 55684 TILEPROP CLBLM_R_X33Y37 TILE_Y -120248 TILEPROP CLBLM_R_X33Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y38 CLASS tile TILEPROP CLBLM_R_X33Y38 COLUMN 84 TILEPROP CLBLM_R_X33Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y38 FIRST_SITE_ID 11668 TILEPROP CLBLM_R_X33Y38 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X33Y38 INDEX 13424 TILEPROP CLBLM_R_X33Y38 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X33Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y38 NAME CLBLM_R_X33Y38 TILEPROP CLBLM_R_X33Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y38 NUM_SITES 2 TILEPROP CLBLM_R_X33Y38 ROW 116 TILEPROP CLBLM_R_X33Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y38 TILE_X 55684 TILEPROP CLBLM_R_X33Y38 TILE_Y -117048 TILEPROP CLBLM_R_X33Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y39 CLASS tile TILEPROP CLBLM_R_X33Y39 COLUMN 84 TILEPROP CLBLM_R_X33Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y39 FIRST_SITE_ID 11568 TILEPROP CLBLM_R_X33Y39 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X33Y39 INDEX 13309 TILEPROP CLBLM_R_X33Y39 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X33Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y39 NAME CLBLM_R_X33Y39 TILEPROP CLBLM_R_X33Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y39 NUM_SITES 2 TILEPROP CLBLM_R_X33Y39 ROW 115 TILEPROP CLBLM_R_X33Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y39 TILE_X 55684 TILEPROP CLBLM_R_X33Y39 TILE_Y -113848 TILEPROP CLBLM_R_X33Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y40 CLASS tile TILEPROP CLBLM_R_X33Y40 COLUMN 84 TILEPROP CLBLM_R_X33Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y40 FIRST_SITE_ID 11462 TILEPROP CLBLM_R_X33Y40 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X33Y40 INDEX 13194 TILEPROP CLBLM_R_X33Y40 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X33Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y40 NAME CLBLM_R_X33Y40 TILEPROP CLBLM_R_X33Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y40 NUM_SITES 2 TILEPROP CLBLM_R_X33Y40 ROW 114 TILEPROP CLBLM_R_X33Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y40 TILE_X 55684 TILEPROP CLBLM_R_X33Y40 TILE_Y -110648 TILEPROP CLBLM_R_X33Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y41 CLASS tile TILEPROP CLBLM_R_X33Y41 COLUMN 84 TILEPROP CLBLM_R_X33Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y41 FIRST_SITE_ID 11351 TILEPROP CLBLM_R_X33Y41 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X33Y41 INDEX 13079 TILEPROP CLBLM_R_X33Y41 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X33Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y41 NAME CLBLM_R_X33Y41 TILEPROP CLBLM_R_X33Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y41 NUM_SITES 2 TILEPROP CLBLM_R_X33Y41 ROW 113 TILEPROP CLBLM_R_X33Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y41 TILE_X 55684 TILEPROP CLBLM_R_X33Y41 TILE_Y -107448 TILEPROP CLBLM_R_X33Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y42 CLASS tile TILEPROP CLBLM_R_X33Y42 COLUMN 84 TILEPROP CLBLM_R_X33Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y42 FIRST_SITE_ID 11249 TILEPROP CLBLM_R_X33Y42 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X33Y42 INDEX 12964 TILEPROP CLBLM_R_X33Y42 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X33Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y42 NAME CLBLM_R_X33Y42 TILEPROP CLBLM_R_X33Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y42 NUM_SITES 2 TILEPROP CLBLM_R_X33Y42 ROW 112 TILEPROP CLBLM_R_X33Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y42 TILE_X 55684 TILEPROP CLBLM_R_X33Y42 TILE_Y -104248 TILEPROP CLBLM_R_X33Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y43 CLASS tile TILEPROP CLBLM_R_X33Y43 COLUMN 84 TILEPROP CLBLM_R_X33Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y43 FIRST_SITE_ID 11146 TILEPROP CLBLM_R_X33Y43 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X33Y43 INDEX 12849 TILEPROP CLBLM_R_X33Y43 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X33Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y43 NAME CLBLM_R_X33Y43 TILEPROP CLBLM_R_X33Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y43 NUM_SITES 2 TILEPROP CLBLM_R_X33Y43 ROW 111 TILEPROP CLBLM_R_X33Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y43 TILE_X 55684 TILEPROP CLBLM_R_X33Y43 TILE_Y -101048 TILEPROP CLBLM_R_X33Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y44 CLASS tile TILEPROP CLBLM_R_X33Y44 COLUMN 84 TILEPROP CLBLM_R_X33Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y44 FIRST_SITE_ID 11044 TILEPROP CLBLM_R_X33Y44 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X33Y44 INDEX 12734 TILEPROP CLBLM_R_X33Y44 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X33Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y44 NAME CLBLM_R_X33Y44 TILEPROP CLBLM_R_X33Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y44 NUM_SITES 2 TILEPROP CLBLM_R_X33Y44 ROW 110 TILEPROP CLBLM_R_X33Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y44 TILE_X 55684 TILEPROP CLBLM_R_X33Y44 TILE_Y -97848 TILEPROP CLBLM_R_X33Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y45 CLASS tile TILEPROP CLBLM_R_X33Y45 COLUMN 84 TILEPROP CLBLM_R_X33Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y45 FIRST_SITE_ID 10938 TILEPROP CLBLM_R_X33Y45 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X33Y45 INDEX 12619 TILEPROP CLBLM_R_X33Y45 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X33Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y45 NAME CLBLM_R_X33Y45 TILEPROP CLBLM_R_X33Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y45 NUM_SITES 2 TILEPROP CLBLM_R_X33Y45 ROW 109 TILEPROP CLBLM_R_X33Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y45 TILE_X 55684 TILEPROP CLBLM_R_X33Y45 TILE_Y -94648 TILEPROP CLBLM_R_X33Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y46 CLASS tile TILEPROP CLBLM_R_X33Y46 COLUMN 84 TILEPROP CLBLM_R_X33Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y46 FIRST_SITE_ID 10828 TILEPROP CLBLM_R_X33Y46 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X33Y46 INDEX 12504 TILEPROP CLBLM_R_X33Y46 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X33Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y46 NAME CLBLM_R_X33Y46 TILEPROP CLBLM_R_X33Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y46 NUM_SITES 2 TILEPROP CLBLM_R_X33Y46 ROW 108 TILEPROP CLBLM_R_X33Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y46 TILE_X 55684 TILEPROP CLBLM_R_X33Y46 TILE_Y -91448 TILEPROP CLBLM_R_X33Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y47 CLASS tile TILEPROP CLBLM_R_X33Y47 COLUMN 84 TILEPROP CLBLM_R_X33Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y47 FIRST_SITE_ID 10712 TILEPROP CLBLM_R_X33Y47 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X33Y47 INDEX 12389 TILEPROP CLBLM_R_X33Y47 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X33Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y47 NAME CLBLM_R_X33Y47 TILEPROP CLBLM_R_X33Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y47 NUM_SITES 2 TILEPROP CLBLM_R_X33Y47 ROW 107 TILEPROP CLBLM_R_X33Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y47 TILE_X 55684 TILEPROP CLBLM_R_X33Y47 TILE_Y -88248 TILEPROP CLBLM_R_X33Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y48 CLASS tile TILEPROP CLBLM_R_X33Y48 COLUMN 84 TILEPROP CLBLM_R_X33Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y48 FIRST_SITE_ID 10612 TILEPROP CLBLM_R_X33Y48 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X33Y48 INDEX 12274 TILEPROP CLBLM_R_X33Y48 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X33Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y48 NAME CLBLM_R_X33Y48 TILEPROP CLBLM_R_X33Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y48 NUM_SITES 2 TILEPROP CLBLM_R_X33Y48 ROW 106 TILEPROP CLBLM_R_X33Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y48 TILE_X 55684 TILEPROP CLBLM_R_X33Y48 TILE_Y -85048 TILEPROP CLBLM_R_X33Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y49 CLASS tile TILEPROP CLBLM_R_X33Y49 COLUMN 84 TILEPROP CLBLM_R_X33Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y49 FIRST_SITE_ID 10516 TILEPROP CLBLM_R_X33Y49 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X33Y49 INDEX 12159 TILEPROP CLBLM_R_X33Y49 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X33Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y49 NAME CLBLM_R_X33Y49 TILEPROP CLBLM_R_X33Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y49 NUM_SITES 2 TILEPROP CLBLM_R_X33Y49 ROW 105 TILEPROP CLBLM_R_X33Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X33Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y49 TILE_X 55684 TILEPROP CLBLM_R_X33Y49 TILE_Y -81848 TILEPROP CLBLM_R_X33Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y50 CLASS tile TILEPROP CLBLM_R_X33Y50 COLUMN 84 TILEPROP CLBLM_R_X33Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y50 FIRST_SITE_ID 10410 TILEPROP CLBLM_R_X33Y50 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X33Y50 INDEX 11929 TILEPROP CLBLM_R_X33Y50 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X33Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y50 NAME CLBLM_R_X33Y50 TILEPROP CLBLM_R_X33Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y50 NUM_SITES 2 TILEPROP CLBLM_R_X33Y50 ROW 103 TILEPROP CLBLM_R_X33Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X33Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y50 TILE_X 55684 TILEPROP CLBLM_R_X33Y50 TILE_Y -78400 TILEPROP CLBLM_R_X33Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y51 CLASS tile TILEPROP CLBLM_R_X33Y51 COLUMN 84 TILEPROP CLBLM_R_X33Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y51 FIRST_SITE_ID 10281 TILEPROP CLBLM_R_X33Y51 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X33Y51 INDEX 11814 TILEPROP CLBLM_R_X33Y51 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X33Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y51 NAME CLBLM_R_X33Y51 TILEPROP CLBLM_R_X33Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y51 NUM_SITES 2 TILEPROP CLBLM_R_X33Y51 ROW 102 TILEPROP CLBLM_R_X33Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y51 TILE_X 55684 TILEPROP CLBLM_R_X33Y51 TILE_Y -75200 TILEPROP CLBLM_R_X33Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y52 CLASS tile TILEPROP CLBLM_R_X33Y52 COLUMN 84 TILEPROP CLBLM_R_X33Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y52 FIRST_SITE_ID 10181 TILEPROP CLBLM_R_X33Y52 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X33Y52 INDEX 11699 TILEPROP CLBLM_R_X33Y52 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X33Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y52 NAME CLBLM_R_X33Y52 TILEPROP CLBLM_R_X33Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y52 NUM_SITES 2 TILEPROP CLBLM_R_X33Y52 ROW 101 TILEPROP CLBLM_R_X33Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y52 TILE_X 55684 TILEPROP CLBLM_R_X33Y52 TILE_Y -72000 TILEPROP CLBLM_R_X33Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y53 CLASS tile TILEPROP CLBLM_R_X33Y53 COLUMN 84 TILEPROP CLBLM_R_X33Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y53 FIRST_SITE_ID 10081 TILEPROP CLBLM_R_X33Y53 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X33Y53 INDEX 11584 TILEPROP CLBLM_R_X33Y53 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X33Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y53 NAME CLBLM_R_X33Y53 TILEPROP CLBLM_R_X33Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y53 NUM_SITES 2 TILEPROP CLBLM_R_X33Y53 ROW 100 TILEPROP CLBLM_R_X33Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y53 TILE_X 55684 TILEPROP CLBLM_R_X33Y53 TILE_Y -68800 TILEPROP CLBLM_R_X33Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y54 CLASS tile TILEPROP CLBLM_R_X33Y54 COLUMN 84 TILEPROP CLBLM_R_X33Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y54 FIRST_SITE_ID 9981 TILEPROP CLBLM_R_X33Y54 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X33Y54 INDEX 11469 TILEPROP CLBLM_R_X33Y54 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X33Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y54 NAME CLBLM_R_X33Y54 TILEPROP CLBLM_R_X33Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y54 NUM_SITES 2 TILEPROP CLBLM_R_X33Y54 ROW 99 TILEPROP CLBLM_R_X33Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y54 TILE_X 55684 TILEPROP CLBLM_R_X33Y54 TILE_Y -65600 TILEPROP CLBLM_R_X33Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y55 CLASS tile TILEPROP CLBLM_R_X33Y55 COLUMN 84 TILEPROP CLBLM_R_X33Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y55 FIRST_SITE_ID 9875 TILEPROP CLBLM_R_X33Y55 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X33Y55 INDEX 11354 TILEPROP CLBLM_R_X33Y55 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X33Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y55 NAME CLBLM_R_X33Y55 TILEPROP CLBLM_R_X33Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y55 NUM_SITES 2 TILEPROP CLBLM_R_X33Y55 ROW 98 TILEPROP CLBLM_R_X33Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y55 TILE_X 55684 TILEPROP CLBLM_R_X33Y55 TILE_Y -62400 TILEPROP CLBLM_R_X33Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y56 CLASS tile TILEPROP CLBLM_R_X33Y56 COLUMN 84 TILEPROP CLBLM_R_X33Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y56 FIRST_SITE_ID 9766 TILEPROP CLBLM_R_X33Y56 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X33Y56 INDEX 11239 TILEPROP CLBLM_R_X33Y56 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X33Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y56 NAME CLBLM_R_X33Y56 TILEPROP CLBLM_R_X33Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y56 NUM_SITES 2 TILEPROP CLBLM_R_X33Y56 ROW 97 TILEPROP CLBLM_R_X33Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y56 TILE_X 55684 TILEPROP CLBLM_R_X33Y56 TILE_Y -59200 TILEPROP CLBLM_R_X33Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y57 CLASS tile TILEPROP CLBLM_R_X33Y57 COLUMN 84 TILEPROP CLBLM_R_X33Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y57 FIRST_SITE_ID 9664 TILEPROP CLBLM_R_X33Y57 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X33Y57 INDEX 11124 TILEPROP CLBLM_R_X33Y57 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X33Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y57 NAME CLBLM_R_X33Y57 TILEPROP CLBLM_R_X33Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y57 NUM_SITES 2 TILEPROP CLBLM_R_X33Y57 ROW 96 TILEPROP CLBLM_R_X33Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y57 TILE_X 55684 TILEPROP CLBLM_R_X33Y57 TILE_Y -56000 TILEPROP CLBLM_R_X33Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y58 CLASS tile TILEPROP CLBLM_R_X33Y58 COLUMN 84 TILEPROP CLBLM_R_X33Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y58 FIRST_SITE_ID 9561 TILEPROP CLBLM_R_X33Y58 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X33Y58 INDEX 11009 TILEPROP CLBLM_R_X33Y58 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X33Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y58 NAME CLBLM_R_X33Y58 TILEPROP CLBLM_R_X33Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y58 NUM_SITES 2 TILEPROP CLBLM_R_X33Y58 ROW 95 TILEPROP CLBLM_R_X33Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y58 TILE_X 55684 TILEPROP CLBLM_R_X33Y58 TILE_Y -52800 TILEPROP CLBLM_R_X33Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y59 CLASS tile TILEPROP CLBLM_R_X33Y59 COLUMN 84 TILEPROP CLBLM_R_X33Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y59 FIRST_SITE_ID 9460 TILEPROP CLBLM_R_X33Y59 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X33Y59 INDEX 10894 TILEPROP CLBLM_R_X33Y59 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X33Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y59 NAME CLBLM_R_X33Y59 TILEPROP CLBLM_R_X33Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y59 NUM_SITES 2 TILEPROP CLBLM_R_X33Y59 ROW 94 TILEPROP CLBLM_R_X33Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y59 TILE_X 55684 TILEPROP CLBLM_R_X33Y59 TILE_Y -49600 TILEPROP CLBLM_R_X33Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y60 CLASS tile TILEPROP CLBLM_R_X33Y60 COLUMN 84 TILEPROP CLBLM_R_X33Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y60 FIRST_SITE_ID 9354 TILEPROP CLBLM_R_X33Y60 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X33Y60 INDEX 10779 TILEPROP CLBLM_R_X33Y60 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X33Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y60 NAME CLBLM_R_X33Y60 TILEPROP CLBLM_R_X33Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y60 NUM_SITES 2 TILEPROP CLBLM_R_X33Y60 ROW 93 TILEPROP CLBLM_R_X33Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y60 TILE_X 55684 TILEPROP CLBLM_R_X33Y60 TILE_Y -46400 TILEPROP CLBLM_R_X33Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y61 CLASS tile TILEPROP CLBLM_R_X33Y61 COLUMN 84 TILEPROP CLBLM_R_X33Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y61 FIRST_SITE_ID 9245 TILEPROP CLBLM_R_X33Y61 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X33Y61 INDEX 10664 TILEPROP CLBLM_R_X33Y61 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X33Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y61 NAME CLBLM_R_X33Y61 TILEPROP CLBLM_R_X33Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y61 NUM_SITES 2 TILEPROP CLBLM_R_X33Y61 ROW 92 TILEPROP CLBLM_R_X33Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y61 TILE_X 55684 TILEPROP CLBLM_R_X33Y61 TILE_Y -43200 TILEPROP CLBLM_R_X33Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y62 CLASS tile TILEPROP CLBLM_R_X33Y62 COLUMN 84 TILEPROP CLBLM_R_X33Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y62 FIRST_SITE_ID 9145 TILEPROP CLBLM_R_X33Y62 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X33Y62 INDEX 10549 TILEPROP CLBLM_R_X33Y62 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X33Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y62 NAME CLBLM_R_X33Y62 TILEPROP CLBLM_R_X33Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y62 NUM_SITES 2 TILEPROP CLBLM_R_X33Y62 ROW 91 TILEPROP CLBLM_R_X33Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y62 TILE_X 55684 TILEPROP CLBLM_R_X33Y62 TILE_Y -40000 TILEPROP CLBLM_R_X33Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y63 CLASS tile TILEPROP CLBLM_R_X33Y63 COLUMN 84 TILEPROP CLBLM_R_X33Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y63 FIRST_SITE_ID 9013 TILEPROP CLBLM_R_X33Y63 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X33Y63 INDEX 10434 TILEPROP CLBLM_R_X33Y63 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X33Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y63 NAME CLBLM_R_X33Y63 TILEPROP CLBLM_R_X33Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y63 NUM_SITES 2 TILEPROP CLBLM_R_X33Y63 ROW 90 TILEPROP CLBLM_R_X33Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y63 TILE_X 55684 TILEPROP CLBLM_R_X33Y63 TILE_Y -36800 TILEPROP CLBLM_R_X33Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y64 CLASS tile TILEPROP CLBLM_R_X33Y64 COLUMN 84 TILEPROP CLBLM_R_X33Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y64 FIRST_SITE_ID 8913 TILEPROP CLBLM_R_X33Y64 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X33Y64 INDEX 10319 TILEPROP CLBLM_R_X33Y64 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X33Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y64 NAME CLBLM_R_X33Y64 TILEPROP CLBLM_R_X33Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y64 NUM_SITES 2 TILEPROP CLBLM_R_X33Y64 ROW 89 TILEPROP CLBLM_R_X33Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y64 TILE_X 55684 TILEPROP CLBLM_R_X33Y64 TILE_Y -33600 TILEPROP CLBLM_R_X33Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y65 CLASS tile TILEPROP CLBLM_R_X33Y65 COLUMN 84 TILEPROP CLBLM_R_X33Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y65 FIRST_SITE_ID 8807 TILEPROP CLBLM_R_X33Y65 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X33Y65 INDEX 10204 TILEPROP CLBLM_R_X33Y65 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X33Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y65 NAME CLBLM_R_X33Y65 TILEPROP CLBLM_R_X33Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y65 NUM_SITES 2 TILEPROP CLBLM_R_X33Y65 ROW 88 TILEPROP CLBLM_R_X33Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y65 TILE_X 55684 TILEPROP CLBLM_R_X33Y65 TILE_Y -30400 TILEPROP CLBLM_R_X33Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y66 CLASS tile TILEPROP CLBLM_R_X33Y66 COLUMN 84 TILEPROP CLBLM_R_X33Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y66 FIRST_SITE_ID 8698 TILEPROP CLBLM_R_X33Y66 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X33Y66 INDEX 10089 TILEPROP CLBLM_R_X33Y66 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X33Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y66 NAME CLBLM_R_X33Y66 TILEPROP CLBLM_R_X33Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y66 NUM_SITES 2 TILEPROP CLBLM_R_X33Y66 ROW 87 TILEPROP CLBLM_R_X33Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y66 TILE_X 55684 TILEPROP CLBLM_R_X33Y66 TILE_Y -27200 TILEPROP CLBLM_R_X33Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y67 CLASS tile TILEPROP CLBLM_R_X33Y67 COLUMN 84 TILEPROP CLBLM_R_X33Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y67 FIRST_SITE_ID 8594 TILEPROP CLBLM_R_X33Y67 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X33Y67 INDEX 9974 TILEPROP CLBLM_R_X33Y67 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X33Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y67 NAME CLBLM_R_X33Y67 TILEPROP CLBLM_R_X33Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y67 NUM_SITES 2 TILEPROP CLBLM_R_X33Y67 ROW 86 TILEPROP CLBLM_R_X33Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y67 TILE_X 55684 TILEPROP CLBLM_R_X33Y67 TILE_Y -24000 TILEPROP CLBLM_R_X33Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y68 CLASS tile TILEPROP CLBLM_R_X33Y68 COLUMN 84 TILEPROP CLBLM_R_X33Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y68 FIRST_SITE_ID 8490 TILEPROP CLBLM_R_X33Y68 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X33Y68 INDEX 9859 TILEPROP CLBLM_R_X33Y68 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X33Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y68 NAME CLBLM_R_X33Y68 TILEPROP CLBLM_R_X33Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y68 NUM_SITES 2 TILEPROP CLBLM_R_X33Y68 ROW 85 TILEPROP CLBLM_R_X33Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y68 TILE_X 55684 TILEPROP CLBLM_R_X33Y68 TILE_Y -20800 TILEPROP CLBLM_R_X33Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y69 CLASS tile TILEPROP CLBLM_R_X33Y69 COLUMN 84 TILEPROP CLBLM_R_X33Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y69 FIRST_SITE_ID 8388 TILEPROP CLBLM_R_X33Y69 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X33Y69 INDEX 9744 TILEPROP CLBLM_R_X33Y69 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X33Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y69 NAME CLBLM_R_X33Y69 TILEPROP CLBLM_R_X33Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y69 NUM_SITES 2 TILEPROP CLBLM_R_X33Y69 ROW 84 TILEPROP CLBLM_R_X33Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y69 TILE_X 55684 TILEPROP CLBLM_R_X33Y69 TILE_Y -17600 TILEPROP CLBLM_R_X33Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y70 CLASS tile TILEPROP CLBLM_R_X33Y70 COLUMN 84 TILEPROP CLBLM_R_X33Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y70 FIRST_SITE_ID 8280 TILEPROP CLBLM_R_X33Y70 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X33Y70 INDEX 9629 TILEPROP CLBLM_R_X33Y70 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X33Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y70 NAME CLBLM_R_X33Y70 TILEPROP CLBLM_R_X33Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y70 NUM_SITES 2 TILEPROP CLBLM_R_X33Y70 ROW 83 TILEPROP CLBLM_R_X33Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y70 TILE_X 55684 TILEPROP CLBLM_R_X33Y70 TILE_Y -14400 TILEPROP CLBLM_R_X33Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y71 CLASS tile TILEPROP CLBLM_R_X33Y71 COLUMN 84 TILEPROP CLBLM_R_X33Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y71 FIRST_SITE_ID 8171 TILEPROP CLBLM_R_X33Y71 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X33Y71 INDEX 9514 TILEPROP CLBLM_R_X33Y71 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X33Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y71 NAME CLBLM_R_X33Y71 TILEPROP CLBLM_R_X33Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y71 NUM_SITES 2 TILEPROP CLBLM_R_X33Y71 ROW 82 TILEPROP CLBLM_R_X33Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y71 TILE_X 55684 TILEPROP CLBLM_R_X33Y71 TILE_Y -11200 TILEPROP CLBLM_R_X33Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y72 CLASS tile TILEPROP CLBLM_R_X33Y72 COLUMN 84 TILEPROP CLBLM_R_X33Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y72 FIRST_SITE_ID 8071 TILEPROP CLBLM_R_X33Y72 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X33Y72 INDEX 9399 TILEPROP CLBLM_R_X33Y72 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X33Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y72 NAME CLBLM_R_X33Y72 TILEPROP CLBLM_R_X33Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y72 NUM_SITES 2 TILEPROP CLBLM_R_X33Y72 ROW 81 TILEPROP CLBLM_R_X33Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y72 TILE_X 55684 TILEPROP CLBLM_R_X33Y72 TILE_Y -8000 TILEPROP CLBLM_R_X33Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y73 CLASS tile TILEPROP CLBLM_R_X33Y73 COLUMN 84 TILEPROP CLBLM_R_X33Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y73 FIRST_SITE_ID 7971 TILEPROP CLBLM_R_X33Y73 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X33Y73 INDEX 9284 TILEPROP CLBLM_R_X33Y73 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X33Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y73 NAME CLBLM_R_X33Y73 TILEPROP CLBLM_R_X33Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y73 NUM_SITES 2 TILEPROP CLBLM_R_X33Y73 ROW 80 TILEPROP CLBLM_R_X33Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y73 TILE_X 55684 TILEPROP CLBLM_R_X33Y73 TILE_Y -4800 TILEPROP CLBLM_R_X33Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y74 CLASS tile TILEPROP CLBLM_R_X33Y74 COLUMN 84 TILEPROP CLBLM_R_X33Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y74 FIRST_SITE_ID 7871 TILEPROP CLBLM_R_X33Y74 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X33Y74 INDEX 9169 TILEPROP CLBLM_R_X33Y74 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X33Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y74 NAME CLBLM_R_X33Y74 TILEPROP CLBLM_R_X33Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y74 NUM_SITES 2 TILEPROP CLBLM_R_X33Y74 ROW 79 TILEPROP CLBLM_R_X33Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X33Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y74 TILE_X 55684 TILEPROP CLBLM_R_X33Y74 TILE_Y -1600 TILEPROP CLBLM_R_X33Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y75 CLASS tile TILEPROP CLBLM_R_X33Y75 COLUMN 84 TILEPROP CLBLM_R_X33Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y75 FIRST_SITE_ID 7682 TILEPROP CLBLM_R_X33Y75 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X33Y75 INDEX 8939 TILEPROP CLBLM_R_X33Y75 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X33Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y75 NAME CLBLM_R_X33Y75 TILEPROP CLBLM_R_X33Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y75 NUM_SITES 2 TILEPROP CLBLM_R_X33Y75 ROW 77 TILEPROP CLBLM_R_X33Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X33Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y75 TILE_X 55684 TILEPROP CLBLM_R_X33Y75 TILE_Y 2624 TILEPROP CLBLM_R_X33Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y76 CLASS tile TILEPROP CLBLM_R_X33Y76 COLUMN 84 TILEPROP CLBLM_R_X33Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y76 FIRST_SITE_ID 7570 TILEPROP CLBLM_R_X33Y76 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X33Y76 INDEX 8824 TILEPROP CLBLM_R_X33Y76 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X33Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y76 NAME CLBLM_R_X33Y76 TILEPROP CLBLM_R_X33Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y76 NUM_SITES 2 TILEPROP CLBLM_R_X33Y76 ROW 76 TILEPROP CLBLM_R_X33Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y76 TILE_X 55684 TILEPROP CLBLM_R_X33Y76 TILE_Y 5824 TILEPROP CLBLM_R_X33Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y77 CLASS tile TILEPROP CLBLM_R_X33Y77 COLUMN 84 TILEPROP CLBLM_R_X33Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y77 FIRST_SITE_ID 7470 TILEPROP CLBLM_R_X33Y77 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X33Y77 INDEX 8709 TILEPROP CLBLM_R_X33Y77 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X33Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y77 NAME CLBLM_R_X33Y77 TILEPROP CLBLM_R_X33Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y77 NUM_SITES 2 TILEPROP CLBLM_R_X33Y77 ROW 75 TILEPROP CLBLM_R_X33Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y77 TILE_X 55684 TILEPROP CLBLM_R_X33Y77 TILE_Y 9024 TILEPROP CLBLM_R_X33Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y78 CLASS tile TILEPROP CLBLM_R_X33Y78 COLUMN 84 TILEPROP CLBLM_R_X33Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y78 FIRST_SITE_ID 7370 TILEPROP CLBLM_R_X33Y78 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X33Y78 INDEX 8594 TILEPROP CLBLM_R_X33Y78 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X33Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y78 NAME CLBLM_R_X33Y78 TILEPROP CLBLM_R_X33Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y78 NUM_SITES 2 TILEPROP CLBLM_R_X33Y78 ROW 74 TILEPROP CLBLM_R_X33Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y78 TILE_X 55684 TILEPROP CLBLM_R_X33Y78 TILE_Y 12224 TILEPROP CLBLM_R_X33Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y79 CLASS tile TILEPROP CLBLM_R_X33Y79 COLUMN 84 TILEPROP CLBLM_R_X33Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y79 FIRST_SITE_ID 7264 TILEPROP CLBLM_R_X33Y79 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X33Y79 INDEX 8479 TILEPROP CLBLM_R_X33Y79 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X33Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y79 NAME CLBLM_R_X33Y79 TILEPROP CLBLM_R_X33Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y79 NUM_SITES 2 TILEPROP CLBLM_R_X33Y79 ROW 73 TILEPROP CLBLM_R_X33Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y79 TILE_X 55684 TILEPROP CLBLM_R_X33Y79 TILE_Y 15424 TILEPROP CLBLM_R_X33Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y80 CLASS tile TILEPROP CLBLM_R_X33Y80 COLUMN 84 TILEPROP CLBLM_R_X33Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y80 FIRST_SITE_ID 7152 TILEPROP CLBLM_R_X33Y80 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X33Y80 INDEX 8364 TILEPROP CLBLM_R_X33Y80 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X33Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y80 NAME CLBLM_R_X33Y80 TILEPROP CLBLM_R_X33Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y80 NUM_SITES 2 TILEPROP CLBLM_R_X33Y80 ROW 72 TILEPROP CLBLM_R_X33Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y80 TILE_X 55684 TILEPROP CLBLM_R_X33Y80 TILE_Y 18624 TILEPROP CLBLM_R_X33Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y81 CLASS tile TILEPROP CLBLM_R_X33Y81 COLUMN 84 TILEPROP CLBLM_R_X33Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y81 FIRST_SITE_ID 7041 TILEPROP CLBLM_R_X33Y81 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X33Y81 INDEX 8249 TILEPROP CLBLM_R_X33Y81 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X33Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y81 NAME CLBLM_R_X33Y81 TILEPROP CLBLM_R_X33Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y81 NUM_SITES 2 TILEPROP CLBLM_R_X33Y81 ROW 71 TILEPROP CLBLM_R_X33Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y81 TILE_X 55684 TILEPROP CLBLM_R_X33Y81 TILE_Y 21824 TILEPROP CLBLM_R_X33Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y82 CLASS tile TILEPROP CLBLM_R_X33Y82 COLUMN 84 TILEPROP CLBLM_R_X33Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y82 FIRST_SITE_ID 6939 TILEPROP CLBLM_R_X33Y82 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X33Y82 INDEX 8134 TILEPROP CLBLM_R_X33Y82 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X33Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y82 NAME CLBLM_R_X33Y82 TILEPROP CLBLM_R_X33Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y82 NUM_SITES 2 TILEPROP CLBLM_R_X33Y82 ROW 70 TILEPROP CLBLM_R_X33Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y82 TILE_X 55684 TILEPROP CLBLM_R_X33Y82 TILE_Y 25024 TILEPROP CLBLM_R_X33Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y83 CLASS tile TILEPROP CLBLM_R_X33Y83 COLUMN 84 TILEPROP CLBLM_R_X33Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y83 FIRST_SITE_ID 6839 TILEPROP CLBLM_R_X33Y83 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X33Y83 INDEX 8019 TILEPROP CLBLM_R_X33Y83 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X33Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y83 NAME CLBLM_R_X33Y83 TILEPROP CLBLM_R_X33Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y83 NUM_SITES 2 TILEPROP CLBLM_R_X33Y83 ROW 69 TILEPROP CLBLM_R_X33Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y83 TILE_X 55684 TILEPROP CLBLM_R_X33Y83 TILE_Y 28224 TILEPROP CLBLM_R_X33Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y84 CLASS tile TILEPROP CLBLM_R_X33Y84 COLUMN 84 TILEPROP CLBLM_R_X33Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y84 FIRST_SITE_ID 6739 TILEPROP CLBLM_R_X33Y84 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X33Y84 INDEX 7904 TILEPROP CLBLM_R_X33Y84 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X33Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y84 NAME CLBLM_R_X33Y84 TILEPROP CLBLM_R_X33Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y84 NUM_SITES 2 TILEPROP CLBLM_R_X33Y84 ROW 68 TILEPROP CLBLM_R_X33Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y84 TILE_X 55684 TILEPROP CLBLM_R_X33Y84 TILE_Y 31424 TILEPROP CLBLM_R_X33Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y85 CLASS tile TILEPROP CLBLM_R_X33Y85 COLUMN 84 TILEPROP CLBLM_R_X33Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y85 FIRST_SITE_ID 6633 TILEPROP CLBLM_R_X33Y85 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X33Y85 INDEX 7789 TILEPROP CLBLM_R_X33Y85 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X33Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y85 NAME CLBLM_R_X33Y85 TILEPROP CLBLM_R_X33Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y85 NUM_SITES 2 TILEPROP CLBLM_R_X33Y85 ROW 67 TILEPROP CLBLM_R_X33Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y85 TILE_X 55684 TILEPROP CLBLM_R_X33Y85 TILE_Y 34624 TILEPROP CLBLM_R_X33Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y86 CLASS tile TILEPROP CLBLM_R_X33Y86 COLUMN 84 TILEPROP CLBLM_R_X33Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y86 FIRST_SITE_ID 6524 TILEPROP CLBLM_R_X33Y86 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X33Y86 INDEX 7674 TILEPROP CLBLM_R_X33Y86 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X33Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y86 NAME CLBLM_R_X33Y86 TILEPROP CLBLM_R_X33Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y86 NUM_SITES 2 TILEPROP CLBLM_R_X33Y86 ROW 66 TILEPROP CLBLM_R_X33Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y86 TILE_X 55684 TILEPROP CLBLM_R_X33Y86 TILE_Y 37824 TILEPROP CLBLM_R_X33Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y87 CLASS tile TILEPROP CLBLM_R_X33Y87 COLUMN 84 TILEPROP CLBLM_R_X33Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y87 FIRST_SITE_ID 6392 TILEPROP CLBLM_R_X33Y87 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X33Y87 INDEX 7559 TILEPROP CLBLM_R_X33Y87 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X33Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y87 NAME CLBLM_R_X33Y87 TILEPROP CLBLM_R_X33Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y87 NUM_SITES 2 TILEPROP CLBLM_R_X33Y87 ROW 65 TILEPROP CLBLM_R_X33Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y87 TILE_X 55684 TILEPROP CLBLM_R_X33Y87 TILE_Y 41024 TILEPROP CLBLM_R_X33Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y88 CLASS tile TILEPROP CLBLM_R_X33Y88 COLUMN 84 TILEPROP CLBLM_R_X33Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y88 FIRST_SITE_ID 6292 TILEPROP CLBLM_R_X33Y88 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X33Y88 INDEX 7444 TILEPROP CLBLM_R_X33Y88 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X33Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y88 NAME CLBLM_R_X33Y88 TILEPROP CLBLM_R_X33Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y88 NUM_SITES 2 TILEPROP CLBLM_R_X33Y88 ROW 64 TILEPROP CLBLM_R_X33Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y88 TILE_X 55684 TILEPROP CLBLM_R_X33Y88 TILE_Y 44224 TILEPROP CLBLM_R_X33Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y89 CLASS tile TILEPROP CLBLM_R_X33Y89 COLUMN 84 TILEPROP CLBLM_R_X33Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y89 FIRST_SITE_ID 6192 TILEPROP CLBLM_R_X33Y89 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X33Y89 INDEX 7329 TILEPROP CLBLM_R_X33Y89 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X33Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y89 NAME CLBLM_R_X33Y89 TILEPROP CLBLM_R_X33Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y89 NUM_SITES 2 TILEPROP CLBLM_R_X33Y89 ROW 63 TILEPROP CLBLM_R_X33Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y89 TILE_X 55684 TILEPROP CLBLM_R_X33Y89 TILE_Y 47424 TILEPROP CLBLM_R_X33Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y90 CLASS tile TILEPROP CLBLM_R_X33Y90 COLUMN 84 TILEPROP CLBLM_R_X33Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y90 FIRST_SITE_ID 6086 TILEPROP CLBLM_R_X33Y90 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X33Y90 INDEX 7214 TILEPROP CLBLM_R_X33Y90 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X33Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y90 NAME CLBLM_R_X33Y90 TILEPROP CLBLM_R_X33Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y90 NUM_SITES 2 TILEPROP CLBLM_R_X33Y90 ROW 62 TILEPROP CLBLM_R_X33Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y90 TILE_X 55684 TILEPROP CLBLM_R_X33Y90 TILE_Y 50624 TILEPROP CLBLM_R_X33Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y91 CLASS tile TILEPROP CLBLM_R_X33Y91 COLUMN 84 TILEPROP CLBLM_R_X33Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y91 FIRST_SITE_ID 5977 TILEPROP CLBLM_R_X33Y91 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X33Y91 INDEX 7099 TILEPROP CLBLM_R_X33Y91 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X33Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y91 NAME CLBLM_R_X33Y91 TILEPROP CLBLM_R_X33Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y91 NUM_SITES 2 TILEPROP CLBLM_R_X33Y91 ROW 61 TILEPROP CLBLM_R_X33Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y91 TILE_X 55684 TILEPROP CLBLM_R_X33Y91 TILE_Y 53824 TILEPROP CLBLM_R_X33Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y92 CLASS tile TILEPROP CLBLM_R_X33Y92 COLUMN 84 TILEPROP CLBLM_R_X33Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y92 FIRST_SITE_ID 5876 TILEPROP CLBLM_R_X33Y92 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X33Y92 INDEX 6984 TILEPROP CLBLM_R_X33Y92 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X33Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y92 NAME CLBLM_R_X33Y92 TILEPROP CLBLM_R_X33Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y92 NUM_SITES 2 TILEPROP CLBLM_R_X33Y92 ROW 60 TILEPROP CLBLM_R_X33Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y92 TILE_X 55684 TILEPROP CLBLM_R_X33Y92 TILE_Y 57024 TILEPROP CLBLM_R_X33Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y93 CLASS tile TILEPROP CLBLM_R_X33Y93 COLUMN 84 TILEPROP CLBLM_R_X33Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y93 FIRST_SITE_ID 5773 TILEPROP CLBLM_R_X33Y93 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X33Y93 INDEX 6869 TILEPROP CLBLM_R_X33Y93 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X33Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y93 NAME CLBLM_R_X33Y93 TILEPROP CLBLM_R_X33Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y93 NUM_SITES 2 TILEPROP CLBLM_R_X33Y93 ROW 59 TILEPROP CLBLM_R_X33Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y93 TILE_X 55684 TILEPROP CLBLM_R_X33Y93 TILE_Y 60224 TILEPROP CLBLM_R_X33Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y94 CLASS tile TILEPROP CLBLM_R_X33Y94 COLUMN 84 TILEPROP CLBLM_R_X33Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y94 FIRST_SITE_ID 5671 TILEPROP CLBLM_R_X33Y94 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X33Y94 INDEX 6754 TILEPROP CLBLM_R_X33Y94 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X33Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y94 NAME CLBLM_R_X33Y94 TILEPROP CLBLM_R_X33Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y94 NUM_SITES 2 TILEPROP CLBLM_R_X33Y94 ROW 58 TILEPROP CLBLM_R_X33Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y94 TILE_X 55684 TILEPROP CLBLM_R_X33Y94 TILE_Y 63424 TILEPROP CLBLM_R_X33Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y95 CLASS tile TILEPROP CLBLM_R_X33Y95 COLUMN 84 TILEPROP CLBLM_R_X33Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y95 FIRST_SITE_ID 5565 TILEPROP CLBLM_R_X33Y95 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X33Y95 INDEX 6639 TILEPROP CLBLM_R_X33Y95 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X33Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y95 NAME CLBLM_R_X33Y95 TILEPROP CLBLM_R_X33Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y95 NUM_SITES 2 TILEPROP CLBLM_R_X33Y95 ROW 57 TILEPROP CLBLM_R_X33Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y95 TILE_X 55684 TILEPROP CLBLM_R_X33Y95 TILE_Y 66624 TILEPROP CLBLM_R_X33Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y96 CLASS tile TILEPROP CLBLM_R_X33Y96 COLUMN 84 TILEPROP CLBLM_R_X33Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y96 FIRST_SITE_ID 5456 TILEPROP CLBLM_R_X33Y96 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X33Y96 INDEX 6524 TILEPROP CLBLM_R_X33Y96 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X33Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y96 NAME CLBLM_R_X33Y96 TILEPROP CLBLM_R_X33Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y96 NUM_SITES 2 TILEPROP CLBLM_R_X33Y96 ROW 56 TILEPROP CLBLM_R_X33Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y96 TILE_X 55684 TILEPROP CLBLM_R_X33Y96 TILE_Y 69824 TILEPROP CLBLM_R_X33Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y97 CLASS tile TILEPROP CLBLM_R_X33Y97 COLUMN 84 TILEPROP CLBLM_R_X33Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y97 FIRST_SITE_ID 5356 TILEPROP CLBLM_R_X33Y97 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X33Y97 INDEX 6409 TILEPROP CLBLM_R_X33Y97 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X33Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y97 NAME CLBLM_R_X33Y97 TILEPROP CLBLM_R_X33Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y97 NUM_SITES 2 TILEPROP CLBLM_R_X33Y97 ROW 55 TILEPROP CLBLM_R_X33Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y97 TILE_X 55684 TILEPROP CLBLM_R_X33Y97 TILE_Y 73024 TILEPROP CLBLM_R_X33Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y98 CLASS tile TILEPROP CLBLM_R_X33Y98 COLUMN 84 TILEPROP CLBLM_R_X33Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y98 FIRST_SITE_ID 5256 TILEPROP CLBLM_R_X33Y98 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X33Y98 INDEX 6294 TILEPROP CLBLM_R_X33Y98 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X33Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y98 NAME CLBLM_R_X33Y98 TILEPROP CLBLM_R_X33Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y98 NUM_SITES 2 TILEPROP CLBLM_R_X33Y98 ROW 54 TILEPROP CLBLM_R_X33Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y98 TILE_X 55684 TILEPROP CLBLM_R_X33Y98 TILE_Y 76224 TILEPROP CLBLM_R_X33Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y99 CLASS tile TILEPROP CLBLM_R_X33Y99 COLUMN 84 TILEPROP CLBLM_R_X33Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y99 FIRST_SITE_ID 5160 TILEPROP CLBLM_R_X33Y99 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X33Y99 INDEX 6179 TILEPROP CLBLM_R_X33Y99 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X33Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y99 NAME CLBLM_R_X33Y99 TILEPROP CLBLM_R_X33Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y99 NUM_SITES 2 TILEPROP CLBLM_R_X33Y99 ROW 53 TILEPROP CLBLM_R_X33Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y99 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X33Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y99 TILE_X 55684 TILEPROP CLBLM_R_X33Y99 TILE_Y 79424 TILEPROP CLBLM_R_X33Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y100 CLASS tile TILEPROP CLBLM_R_X33Y100 COLUMN 84 TILEPROP CLBLM_R_X33Y100 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y100 FIRST_SITE_ID 5075 TILEPROP CLBLM_R_X33Y100 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y100 GRID_POINT_Y 51 TILEPROP CLBLM_R_X33Y100 INDEX 5949 TILEPROP CLBLM_R_X33Y100 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y100 INT_TILE_Y 49 TILEPROP CLBLM_R_X33Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y100 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y100 NAME CLBLM_R_X33Y100 TILEPROP CLBLM_R_X33Y100 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y100 NUM_SITES 2 TILEPROP CLBLM_R_X33Y100 ROW 51 TILEPROP CLBLM_R_X33Y100 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y100 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X33Y100 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y100 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y100 TILE_X 55684 TILEPROP CLBLM_R_X33Y100 TILE_Y 82872 TILEPROP CLBLM_R_X33Y100 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y101 CLASS tile TILEPROP CLBLM_R_X33Y101 COLUMN 84 TILEPROP CLBLM_R_X33Y101 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y101 FIRST_SITE_ID 4977 TILEPROP CLBLM_R_X33Y101 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y101 GRID_POINT_Y 50 TILEPROP CLBLM_R_X33Y101 INDEX 5834 TILEPROP CLBLM_R_X33Y101 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y101 INT_TILE_Y 48 TILEPROP CLBLM_R_X33Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y101 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y101 NAME CLBLM_R_X33Y101 TILEPROP CLBLM_R_X33Y101 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y101 NUM_SITES 2 TILEPROP CLBLM_R_X33Y101 ROW 50 TILEPROP CLBLM_R_X33Y101 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y101 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y101 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y101 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y101 TILE_X 55684 TILEPROP CLBLM_R_X33Y101 TILE_Y 86072 TILEPROP CLBLM_R_X33Y101 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y102 CLASS tile TILEPROP CLBLM_R_X33Y102 COLUMN 84 TILEPROP CLBLM_R_X33Y102 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y102 FIRST_SITE_ID 4881 TILEPROP CLBLM_R_X33Y102 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y102 GRID_POINT_Y 49 TILEPROP CLBLM_R_X33Y102 INDEX 5719 TILEPROP CLBLM_R_X33Y102 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y102 INT_TILE_Y 47 TILEPROP CLBLM_R_X33Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y102 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y102 NAME CLBLM_R_X33Y102 TILEPROP CLBLM_R_X33Y102 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y102 NUM_SITES 2 TILEPROP CLBLM_R_X33Y102 ROW 49 TILEPROP CLBLM_R_X33Y102 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y102 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y102 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y102 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y102 TILE_X 55684 TILEPROP CLBLM_R_X33Y102 TILE_Y 89272 TILEPROP CLBLM_R_X33Y102 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y103 CLASS tile TILEPROP CLBLM_R_X33Y103 COLUMN 84 TILEPROP CLBLM_R_X33Y103 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y103 FIRST_SITE_ID 4793 TILEPROP CLBLM_R_X33Y103 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y103 GRID_POINT_Y 48 TILEPROP CLBLM_R_X33Y103 INDEX 5604 TILEPROP CLBLM_R_X33Y103 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y103 INT_TILE_Y 46 TILEPROP CLBLM_R_X33Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y103 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y103 NAME CLBLM_R_X33Y103 TILEPROP CLBLM_R_X33Y103 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y103 NUM_SITES 2 TILEPROP CLBLM_R_X33Y103 ROW 48 TILEPROP CLBLM_R_X33Y103 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y103 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y103 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y103 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y103 TILE_X 55684 TILEPROP CLBLM_R_X33Y103 TILE_Y 92472 TILEPROP CLBLM_R_X33Y103 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y104 CLASS tile TILEPROP CLBLM_R_X33Y104 COLUMN 84 TILEPROP CLBLM_R_X33Y104 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y104 FIRST_SITE_ID 4697 TILEPROP CLBLM_R_X33Y104 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y104 GRID_POINT_Y 47 TILEPROP CLBLM_R_X33Y104 INDEX 5489 TILEPROP CLBLM_R_X33Y104 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y104 INT_TILE_Y 45 TILEPROP CLBLM_R_X33Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y104 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y104 NAME CLBLM_R_X33Y104 TILEPROP CLBLM_R_X33Y104 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y104 NUM_SITES 2 TILEPROP CLBLM_R_X33Y104 ROW 47 TILEPROP CLBLM_R_X33Y104 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y104 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y104 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y104 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y104 TILE_X 55684 TILEPROP CLBLM_R_X33Y104 TILE_Y 95672 TILEPROP CLBLM_R_X33Y104 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y105 CLASS tile TILEPROP CLBLM_R_X33Y105 COLUMN 84 TILEPROP CLBLM_R_X33Y105 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y105 FIRST_SITE_ID 4601 TILEPROP CLBLM_R_X33Y105 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y105 GRID_POINT_Y 46 TILEPROP CLBLM_R_X33Y105 INDEX 5374 TILEPROP CLBLM_R_X33Y105 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y105 INT_TILE_Y 44 TILEPROP CLBLM_R_X33Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y105 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y105 NAME CLBLM_R_X33Y105 TILEPROP CLBLM_R_X33Y105 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y105 NUM_SITES 2 TILEPROP CLBLM_R_X33Y105 ROW 46 TILEPROP CLBLM_R_X33Y105 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y105 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y105 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y105 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y105 TILE_X 55684 TILEPROP CLBLM_R_X33Y105 TILE_Y 98872 TILEPROP CLBLM_R_X33Y105 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y106 CLASS tile TILEPROP CLBLM_R_X33Y106 COLUMN 84 TILEPROP CLBLM_R_X33Y106 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y106 FIRST_SITE_ID 4499 TILEPROP CLBLM_R_X33Y106 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y106 GRID_POINT_Y 45 TILEPROP CLBLM_R_X33Y106 INDEX 5259 TILEPROP CLBLM_R_X33Y106 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y106 INT_TILE_Y 43 TILEPROP CLBLM_R_X33Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y106 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y106 NAME CLBLM_R_X33Y106 TILEPROP CLBLM_R_X33Y106 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y106 NUM_SITES 2 TILEPROP CLBLM_R_X33Y106 ROW 45 TILEPROP CLBLM_R_X33Y106 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y106 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y106 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y106 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y106 TILE_X 55684 TILEPROP CLBLM_R_X33Y106 TILE_Y 102072 TILEPROP CLBLM_R_X33Y106 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y107 CLASS tile TILEPROP CLBLM_R_X33Y107 COLUMN 84 TILEPROP CLBLM_R_X33Y107 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y107 FIRST_SITE_ID 4411 TILEPROP CLBLM_R_X33Y107 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y107 GRID_POINT_Y 44 TILEPROP CLBLM_R_X33Y107 INDEX 5144 TILEPROP CLBLM_R_X33Y107 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y107 INT_TILE_Y 42 TILEPROP CLBLM_R_X33Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y107 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y107 NAME CLBLM_R_X33Y107 TILEPROP CLBLM_R_X33Y107 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y107 NUM_SITES 2 TILEPROP CLBLM_R_X33Y107 ROW 44 TILEPROP CLBLM_R_X33Y107 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y107 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y107 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y107 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y107 TILE_X 55684 TILEPROP CLBLM_R_X33Y107 TILE_Y 105272 TILEPROP CLBLM_R_X33Y107 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y108 CLASS tile TILEPROP CLBLM_R_X33Y108 COLUMN 84 TILEPROP CLBLM_R_X33Y108 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y108 FIRST_SITE_ID 4313 TILEPROP CLBLM_R_X33Y108 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y108 GRID_POINT_Y 43 TILEPROP CLBLM_R_X33Y108 INDEX 5029 TILEPROP CLBLM_R_X33Y108 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y108 INT_TILE_Y 41 TILEPROP CLBLM_R_X33Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y108 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y108 NAME CLBLM_R_X33Y108 TILEPROP CLBLM_R_X33Y108 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y108 NUM_SITES 2 TILEPROP CLBLM_R_X33Y108 ROW 43 TILEPROP CLBLM_R_X33Y108 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y108 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y108 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y108 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y108 TILE_X 55684 TILEPROP CLBLM_R_X33Y108 TILE_Y 108472 TILEPROP CLBLM_R_X33Y108 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y109 CLASS tile TILEPROP CLBLM_R_X33Y109 COLUMN 84 TILEPROP CLBLM_R_X33Y109 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y109 FIRST_SITE_ID 4224 TILEPROP CLBLM_R_X33Y109 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y109 GRID_POINT_Y 42 TILEPROP CLBLM_R_X33Y109 INDEX 4914 TILEPROP CLBLM_R_X33Y109 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y109 INT_TILE_Y 40 TILEPROP CLBLM_R_X33Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y109 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y109 NAME CLBLM_R_X33Y109 TILEPROP CLBLM_R_X33Y109 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y109 NUM_SITES 2 TILEPROP CLBLM_R_X33Y109 ROW 42 TILEPROP CLBLM_R_X33Y109 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y109 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y109 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y109 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y109 TILE_X 55684 TILEPROP CLBLM_R_X33Y109 TILE_Y 111672 TILEPROP CLBLM_R_X33Y109 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y110 CLASS tile TILEPROP CLBLM_R_X33Y110 COLUMN 84 TILEPROP CLBLM_R_X33Y110 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y110 FIRST_SITE_ID 4125 TILEPROP CLBLM_R_X33Y110 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y110 GRID_POINT_Y 41 TILEPROP CLBLM_R_X33Y110 INDEX 4799 TILEPROP CLBLM_R_X33Y110 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y110 INT_TILE_Y 39 TILEPROP CLBLM_R_X33Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y110 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y110 NAME CLBLM_R_X33Y110 TILEPROP CLBLM_R_X33Y110 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y110 NUM_SITES 2 TILEPROP CLBLM_R_X33Y110 ROW 41 TILEPROP CLBLM_R_X33Y110 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y110 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y110 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y110 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y110 TILE_X 55684 TILEPROP CLBLM_R_X33Y110 TILE_Y 114872 TILEPROP CLBLM_R_X33Y110 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y111 CLASS tile TILEPROP CLBLM_R_X33Y111 COLUMN 84 TILEPROP CLBLM_R_X33Y111 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y111 FIRST_SITE_ID 4030 TILEPROP CLBLM_R_X33Y111 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y111 GRID_POINT_Y 40 TILEPROP CLBLM_R_X33Y111 INDEX 4684 TILEPROP CLBLM_R_X33Y111 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y111 INT_TILE_Y 38 TILEPROP CLBLM_R_X33Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y111 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y111 NAME CLBLM_R_X33Y111 TILEPROP CLBLM_R_X33Y111 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y111 NUM_SITES 2 TILEPROP CLBLM_R_X33Y111 ROW 40 TILEPROP CLBLM_R_X33Y111 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y111 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y111 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y111 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y111 TILE_X 55684 TILEPROP CLBLM_R_X33Y111 TILE_Y 118072 TILEPROP CLBLM_R_X33Y111 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y112 CLASS tile TILEPROP CLBLM_R_X33Y112 COLUMN 84 TILEPROP CLBLM_R_X33Y112 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y112 FIRST_SITE_ID 3934 TILEPROP CLBLM_R_X33Y112 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y112 GRID_POINT_Y 39 TILEPROP CLBLM_R_X33Y112 INDEX 4569 TILEPROP CLBLM_R_X33Y112 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y112 INT_TILE_Y 37 TILEPROP CLBLM_R_X33Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y112 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y112 NAME CLBLM_R_X33Y112 TILEPROP CLBLM_R_X33Y112 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y112 NUM_SITES 2 TILEPROP CLBLM_R_X33Y112 ROW 39 TILEPROP CLBLM_R_X33Y112 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y112 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y112 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y112 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y112 TILE_X 55684 TILEPROP CLBLM_R_X33Y112 TILE_Y 121272 TILEPROP CLBLM_R_X33Y112 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y113 CLASS tile TILEPROP CLBLM_R_X33Y113 COLUMN 84 TILEPROP CLBLM_R_X33Y113 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y113 FIRST_SITE_ID 3814 TILEPROP CLBLM_R_X33Y113 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y113 GRID_POINT_Y 38 TILEPROP CLBLM_R_X33Y113 INDEX 4454 TILEPROP CLBLM_R_X33Y113 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y113 INT_TILE_Y 36 TILEPROP CLBLM_R_X33Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y113 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y113 NAME CLBLM_R_X33Y113 TILEPROP CLBLM_R_X33Y113 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y113 NUM_SITES 2 TILEPROP CLBLM_R_X33Y113 ROW 38 TILEPROP CLBLM_R_X33Y113 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y113 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y113 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y113 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y113 TILE_X 55684 TILEPROP CLBLM_R_X33Y113 TILE_Y 124472 TILEPROP CLBLM_R_X33Y113 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y114 CLASS tile TILEPROP CLBLM_R_X33Y114 COLUMN 84 TILEPROP CLBLM_R_X33Y114 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y114 FIRST_SITE_ID 3718 TILEPROP CLBLM_R_X33Y114 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y114 GRID_POINT_Y 37 TILEPROP CLBLM_R_X33Y114 INDEX 4339 TILEPROP CLBLM_R_X33Y114 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y114 INT_TILE_Y 35 TILEPROP CLBLM_R_X33Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y114 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y114 NAME CLBLM_R_X33Y114 TILEPROP CLBLM_R_X33Y114 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y114 NUM_SITES 2 TILEPROP CLBLM_R_X33Y114 ROW 37 TILEPROP CLBLM_R_X33Y114 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y114 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y114 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y114 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y114 TILE_X 55684 TILEPROP CLBLM_R_X33Y114 TILE_Y 127672 TILEPROP CLBLM_R_X33Y114 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y115 CLASS tile TILEPROP CLBLM_R_X33Y115 COLUMN 84 TILEPROP CLBLM_R_X33Y115 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y115 FIRST_SITE_ID 3627 TILEPROP CLBLM_R_X33Y115 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y115 GRID_POINT_Y 36 TILEPROP CLBLM_R_X33Y115 INDEX 4224 TILEPROP CLBLM_R_X33Y115 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y115 INT_TILE_Y 34 TILEPROP CLBLM_R_X33Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y115 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y115 NAME CLBLM_R_X33Y115 TILEPROP CLBLM_R_X33Y115 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y115 NUM_SITES 2 TILEPROP CLBLM_R_X33Y115 ROW 36 TILEPROP CLBLM_R_X33Y115 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y115 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y115 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y115 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y115 TILE_X 55684 TILEPROP CLBLM_R_X33Y115 TILE_Y 130872 TILEPROP CLBLM_R_X33Y115 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y116 CLASS tile TILEPROP CLBLM_R_X33Y116 COLUMN 84 TILEPROP CLBLM_R_X33Y116 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y116 FIRST_SITE_ID 3520 TILEPROP CLBLM_R_X33Y116 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y116 GRID_POINT_Y 35 TILEPROP CLBLM_R_X33Y116 INDEX 4109 TILEPROP CLBLM_R_X33Y116 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y116 INT_TILE_Y 33 TILEPROP CLBLM_R_X33Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y116 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y116 NAME CLBLM_R_X33Y116 TILEPROP CLBLM_R_X33Y116 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y116 NUM_SITES 2 TILEPROP CLBLM_R_X33Y116 ROW 35 TILEPROP CLBLM_R_X33Y116 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y116 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y116 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y116 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y116 TILE_X 55684 TILEPROP CLBLM_R_X33Y116 TILE_Y 134072 TILEPROP CLBLM_R_X33Y116 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y117 CLASS tile TILEPROP CLBLM_R_X33Y117 COLUMN 84 TILEPROP CLBLM_R_X33Y117 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y117 FIRST_SITE_ID 3432 TILEPROP CLBLM_R_X33Y117 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y117 GRID_POINT_Y 34 TILEPROP CLBLM_R_X33Y117 INDEX 3994 TILEPROP CLBLM_R_X33Y117 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y117 INT_TILE_Y 32 TILEPROP CLBLM_R_X33Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y117 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y117 NAME CLBLM_R_X33Y117 TILEPROP CLBLM_R_X33Y117 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y117 NUM_SITES 2 TILEPROP CLBLM_R_X33Y117 ROW 34 TILEPROP CLBLM_R_X33Y117 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y117 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y117 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y117 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y117 TILE_X 55684 TILEPROP CLBLM_R_X33Y117 TILE_Y 137272 TILEPROP CLBLM_R_X33Y117 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y118 CLASS tile TILEPROP CLBLM_R_X33Y118 COLUMN 84 TILEPROP CLBLM_R_X33Y118 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y118 FIRST_SITE_ID 3332 TILEPROP CLBLM_R_X33Y118 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y118 GRID_POINT_Y 33 TILEPROP CLBLM_R_X33Y118 INDEX 3879 TILEPROP CLBLM_R_X33Y118 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y118 INT_TILE_Y 31 TILEPROP CLBLM_R_X33Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y118 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y118 NAME CLBLM_R_X33Y118 TILEPROP CLBLM_R_X33Y118 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y118 NUM_SITES 2 TILEPROP CLBLM_R_X33Y118 ROW 33 TILEPROP CLBLM_R_X33Y118 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y118 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y118 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y118 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y118 TILE_X 55684 TILEPROP CLBLM_R_X33Y118 TILE_Y 140472 TILEPROP CLBLM_R_X33Y118 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y119 CLASS tile TILEPROP CLBLM_R_X33Y119 COLUMN 84 TILEPROP CLBLM_R_X33Y119 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y119 FIRST_SITE_ID 3244 TILEPROP CLBLM_R_X33Y119 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y119 GRID_POINT_Y 32 TILEPROP CLBLM_R_X33Y119 INDEX 3764 TILEPROP CLBLM_R_X33Y119 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y119 INT_TILE_Y 30 TILEPROP CLBLM_R_X33Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y119 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y119 NAME CLBLM_R_X33Y119 TILEPROP CLBLM_R_X33Y119 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y119 NUM_SITES 2 TILEPROP CLBLM_R_X33Y119 ROW 32 TILEPROP CLBLM_R_X33Y119 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y119 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y119 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y119 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y119 TILE_X 55684 TILEPROP CLBLM_R_X33Y119 TILE_Y 143672 TILEPROP CLBLM_R_X33Y119 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y120 CLASS tile TILEPROP CLBLM_R_X33Y120 COLUMN 84 TILEPROP CLBLM_R_X33Y120 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y120 FIRST_SITE_ID 3143 TILEPROP CLBLM_R_X33Y120 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y120 GRID_POINT_Y 31 TILEPROP CLBLM_R_X33Y120 INDEX 3649 TILEPROP CLBLM_R_X33Y120 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y120 INT_TILE_Y 29 TILEPROP CLBLM_R_X33Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y120 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y120 NAME CLBLM_R_X33Y120 TILEPROP CLBLM_R_X33Y120 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y120 NUM_SITES 2 TILEPROP CLBLM_R_X33Y120 ROW 31 TILEPROP CLBLM_R_X33Y120 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y120 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y120 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y120 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y120 TILE_X 55684 TILEPROP CLBLM_R_X33Y120 TILE_Y 146872 TILEPROP CLBLM_R_X33Y120 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y121 CLASS tile TILEPROP CLBLM_R_X33Y121 COLUMN 84 TILEPROP CLBLM_R_X33Y121 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y121 FIRST_SITE_ID 3049 TILEPROP CLBLM_R_X33Y121 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y121 GRID_POINT_Y 30 TILEPROP CLBLM_R_X33Y121 INDEX 3534 TILEPROP CLBLM_R_X33Y121 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y121 INT_TILE_Y 28 TILEPROP CLBLM_R_X33Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y121 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y121 NAME CLBLM_R_X33Y121 TILEPROP CLBLM_R_X33Y121 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y121 NUM_SITES 2 TILEPROP CLBLM_R_X33Y121 ROW 30 TILEPROP CLBLM_R_X33Y121 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y121 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y121 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y121 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y121 TILE_X 55684 TILEPROP CLBLM_R_X33Y121 TILE_Y 150072 TILEPROP CLBLM_R_X33Y121 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y122 CLASS tile TILEPROP CLBLM_R_X33Y122 COLUMN 84 TILEPROP CLBLM_R_X33Y122 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y122 FIRST_SITE_ID 2946 TILEPROP CLBLM_R_X33Y122 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y122 GRID_POINT_Y 29 TILEPROP CLBLM_R_X33Y122 INDEX 3419 TILEPROP CLBLM_R_X33Y122 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y122 INT_TILE_Y 27 TILEPROP CLBLM_R_X33Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y122 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y122 NAME CLBLM_R_X33Y122 TILEPROP CLBLM_R_X33Y122 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y122 NUM_SITES 2 TILEPROP CLBLM_R_X33Y122 ROW 29 TILEPROP CLBLM_R_X33Y122 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y122 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y122 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y122 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y122 TILE_X 55684 TILEPROP CLBLM_R_X33Y122 TILE_Y 153272 TILEPROP CLBLM_R_X33Y122 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y123 CLASS tile TILEPROP CLBLM_R_X33Y123 COLUMN 84 TILEPROP CLBLM_R_X33Y123 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y123 FIRST_SITE_ID 2858 TILEPROP CLBLM_R_X33Y123 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y123 GRID_POINT_Y 28 TILEPROP CLBLM_R_X33Y123 INDEX 3304 TILEPROP CLBLM_R_X33Y123 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y123 INT_TILE_Y 26 TILEPROP CLBLM_R_X33Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y123 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y123 NAME CLBLM_R_X33Y123 TILEPROP CLBLM_R_X33Y123 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y123 NUM_SITES 2 TILEPROP CLBLM_R_X33Y123 ROW 28 TILEPROP CLBLM_R_X33Y123 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y123 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y123 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y123 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y123 TILE_X 55684 TILEPROP CLBLM_R_X33Y123 TILE_Y 156472 TILEPROP CLBLM_R_X33Y123 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y124 CLASS tile TILEPROP CLBLM_R_X33Y124 COLUMN 84 TILEPROP CLBLM_R_X33Y124 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y124 FIRST_SITE_ID 2762 TILEPROP CLBLM_R_X33Y124 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y124 GRID_POINT_Y 27 TILEPROP CLBLM_R_X33Y124 INDEX 3189 TILEPROP CLBLM_R_X33Y124 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y124 INT_TILE_Y 25 TILEPROP CLBLM_R_X33Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y124 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y124 NAME CLBLM_R_X33Y124 TILEPROP CLBLM_R_X33Y124 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y124 NUM_SITES 2 TILEPROP CLBLM_R_X33Y124 ROW 27 TILEPROP CLBLM_R_X33Y124 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y124 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X33Y124 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y124 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y124 TILE_X 55684 TILEPROP CLBLM_R_X33Y124 TILE_Y 159672 TILEPROP CLBLM_R_X33Y124 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y125 CLASS tile TILEPROP CLBLM_R_X33Y125 COLUMN 84 TILEPROP CLBLM_R_X33Y125 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y125 FIRST_SITE_ID 2600 TILEPROP CLBLM_R_X33Y125 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y125 GRID_POINT_Y 25 TILEPROP CLBLM_R_X33Y125 INDEX 2959 TILEPROP CLBLM_R_X33Y125 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y125 INT_TILE_Y 24 TILEPROP CLBLM_R_X33Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y125 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y125 NAME CLBLM_R_X33Y125 TILEPROP CLBLM_R_X33Y125 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y125 NUM_SITES 2 TILEPROP CLBLM_R_X33Y125 ROW 25 TILEPROP CLBLM_R_X33Y125 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y125 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X33Y125 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y125 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y125 TILE_X 55684 TILEPROP CLBLM_R_X33Y125 TILE_Y 163896 TILEPROP CLBLM_R_X33Y125 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y126 CLASS tile TILEPROP CLBLM_R_X33Y126 COLUMN 84 TILEPROP CLBLM_R_X33Y126 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y126 FIRST_SITE_ID 2487 TILEPROP CLBLM_R_X33Y126 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y126 GRID_POINT_Y 24 TILEPROP CLBLM_R_X33Y126 INDEX 2844 TILEPROP CLBLM_R_X33Y126 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y126 INT_TILE_Y 23 TILEPROP CLBLM_R_X33Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y126 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y126 NAME CLBLM_R_X33Y126 TILEPROP CLBLM_R_X33Y126 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y126 NUM_SITES 2 TILEPROP CLBLM_R_X33Y126 ROW 24 TILEPROP CLBLM_R_X33Y126 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y126 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y126 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y126 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y126 TILE_X 55684 TILEPROP CLBLM_R_X33Y126 TILE_Y 167096 TILEPROP CLBLM_R_X33Y126 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y127 CLASS tile TILEPROP CLBLM_R_X33Y127 COLUMN 84 TILEPROP CLBLM_R_X33Y127 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y127 FIRST_SITE_ID 2391 TILEPROP CLBLM_R_X33Y127 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y127 GRID_POINT_Y 23 TILEPROP CLBLM_R_X33Y127 INDEX 2729 TILEPROP CLBLM_R_X33Y127 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y127 INT_TILE_Y 22 TILEPROP CLBLM_R_X33Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y127 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y127 NAME CLBLM_R_X33Y127 TILEPROP CLBLM_R_X33Y127 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y127 NUM_SITES 2 TILEPROP CLBLM_R_X33Y127 ROW 23 TILEPROP CLBLM_R_X33Y127 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y127 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y127 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y127 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y127 TILE_X 55684 TILEPROP CLBLM_R_X33Y127 TILE_Y 170296 TILEPROP CLBLM_R_X33Y127 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y128 CLASS tile TILEPROP CLBLM_R_X33Y128 COLUMN 84 TILEPROP CLBLM_R_X33Y128 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y128 FIRST_SITE_ID 2287 TILEPROP CLBLM_R_X33Y128 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y128 GRID_POINT_Y 22 TILEPROP CLBLM_R_X33Y128 INDEX 2614 TILEPROP CLBLM_R_X33Y128 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y128 INT_TILE_Y 21 TILEPROP CLBLM_R_X33Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y128 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y128 NAME CLBLM_R_X33Y128 TILEPROP CLBLM_R_X33Y128 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y128 NUM_SITES 2 TILEPROP CLBLM_R_X33Y128 ROW 22 TILEPROP CLBLM_R_X33Y128 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y128 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y128 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y128 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y128 TILE_X 55684 TILEPROP CLBLM_R_X33Y128 TILE_Y 173496 TILEPROP CLBLM_R_X33Y128 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y129 CLASS tile TILEPROP CLBLM_R_X33Y129 COLUMN 84 TILEPROP CLBLM_R_X33Y129 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y129 FIRST_SITE_ID 2191 TILEPROP CLBLM_R_X33Y129 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y129 GRID_POINT_Y 21 TILEPROP CLBLM_R_X33Y129 INDEX 2499 TILEPROP CLBLM_R_X33Y129 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y129 INT_TILE_Y 20 TILEPROP CLBLM_R_X33Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y129 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y129 NAME CLBLM_R_X33Y129 TILEPROP CLBLM_R_X33Y129 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y129 NUM_SITES 2 TILEPROP CLBLM_R_X33Y129 ROW 21 TILEPROP CLBLM_R_X33Y129 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y129 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y129 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y129 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y129 TILE_X 55684 TILEPROP CLBLM_R_X33Y129 TILE_Y 176696 TILEPROP CLBLM_R_X33Y129 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y130 CLASS tile TILEPROP CLBLM_R_X33Y130 COLUMN 84 TILEPROP CLBLM_R_X33Y130 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y130 FIRST_SITE_ID 2078 TILEPROP CLBLM_R_X33Y130 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y130 GRID_POINT_Y 20 TILEPROP CLBLM_R_X33Y130 INDEX 2384 TILEPROP CLBLM_R_X33Y130 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y130 INT_TILE_Y 19 TILEPROP CLBLM_R_X33Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y130 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y130 NAME CLBLM_R_X33Y130 TILEPROP CLBLM_R_X33Y130 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y130 NUM_SITES 2 TILEPROP CLBLM_R_X33Y130 ROW 20 TILEPROP CLBLM_R_X33Y130 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y130 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y130 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y130 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y130 TILE_X 55684 TILEPROP CLBLM_R_X33Y130 TILE_Y 179896 TILEPROP CLBLM_R_X33Y130 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y131 CLASS tile TILEPROP CLBLM_R_X33Y131 COLUMN 84 TILEPROP CLBLM_R_X33Y131 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y131 FIRST_SITE_ID 1973 TILEPROP CLBLM_R_X33Y131 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y131 GRID_POINT_Y 19 TILEPROP CLBLM_R_X33Y131 INDEX 2269 TILEPROP CLBLM_R_X33Y131 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y131 INT_TILE_Y 18 TILEPROP CLBLM_R_X33Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y131 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y131 NAME CLBLM_R_X33Y131 TILEPROP CLBLM_R_X33Y131 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y131 NUM_SITES 2 TILEPROP CLBLM_R_X33Y131 ROW 19 TILEPROP CLBLM_R_X33Y131 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y131 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y131 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y131 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y131 TILE_X 55684 TILEPROP CLBLM_R_X33Y131 TILE_Y 183096 TILEPROP CLBLM_R_X33Y131 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y132 CLASS tile TILEPROP CLBLM_R_X33Y132 COLUMN 84 TILEPROP CLBLM_R_X33Y132 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y132 FIRST_SITE_ID 1867 TILEPROP CLBLM_R_X33Y132 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y132 GRID_POINT_Y 18 TILEPROP CLBLM_R_X33Y132 INDEX 2154 TILEPROP CLBLM_R_X33Y132 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y132 INT_TILE_Y 17 TILEPROP CLBLM_R_X33Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y132 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y132 NAME CLBLM_R_X33Y132 TILEPROP CLBLM_R_X33Y132 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y132 NUM_SITES 2 TILEPROP CLBLM_R_X33Y132 ROW 18 TILEPROP CLBLM_R_X33Y132 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y132 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y132 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y132 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y132 TILE_X 55684 TILEPROP CLBLM_R_X33Y132 TILE_Y 186296 TILEPROP CLBLM_R_X33Y132 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y133 CLASS tile TILEPROP CLBLM_R_X33Y133 COLUMN 84 TILEPROP CLBLM_R_X33Y133 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y133 FIRST_SITE_ID 1766 TILEPROP CLBLM_R_X33Y133 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y133 GRID_POINT_Y 17 TILEPROP CLBLM_R_X33Y133 INDEX 2039 TILEPROP CLBLM_R_X33Y133 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y133 INT_TILE_Y 16 TILEPROP CLBLM_R_X33Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y133 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y133 NAME CLBLM_R_X33Y133 TILEPROP CLBLM_R_X33Y133 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y133 NUM_SITES 2 TILEPROP CLBLM_R_X33Y133 ROW 17 TILEPROP CLBLM_R_X33Y133 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y133 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y133 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y133 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y133 TILE_X 55684 TILEPROP CLBLM_R_X33Y133 TILE_Y 189496 TILEPROP CLBLM_R_X33Y133 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y134 CLASS tile TILEPROP CLBLM_R_X33Y134 COLUMN 84 TILEPROP CLBLM_R_X33Y134 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y134 FIRST_SITE_ID 1662 TILEPROP CLBLM_R_X33Y134 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y134 GRID_POINT_Y 16 TILEPROP CLBLM_R_X33Y134 INDEX 1924 TILEPROP CLBLM_R_X33Y134 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y134 INT_TILE_Y 15 TILEPROP CLBLM_R_X33Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y134 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y134 NAME CLBLM_R_X33Y134 TILEPROP CLBLM_R_X33Y134 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y134 NUM_SITES 2 TILEPROP CLBLM_R_X33Y134 ROW 16 TILEPROP CLBLM_R_X33Y134 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y134 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y134 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y134 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y134 TILE_X 55684 TILEPROP CLBLM_R_X33Y134 TILE_Y 192696 TILEPROP CLBLM_R_X33Y134 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y135 CLASS tile TILEPROP CLBLM_R_X33Y135 COLUMN 84 TILEPROP CLBLM_R_X33Y135 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y135 FIRST_SITE_ID 1563 TILEPROP CLBLM_R_X33Y135 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y135 GRID_POINT_Y 15 TILEPROP CLBLM_R_X33Y135 INDEX 1809 TILEPROP CLBLM_R_X33Y135 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y135 INT_TILE_Y 14 TILEPROP CLBLM_R_X33Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y135 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y135 NAME CLBLM_R_X33Y135 TILEPROP CLBLM_R_X33Y135 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y135 NUM_SITES 2 TILEPROP CLBLM_R_X33Y135 ROW 15 TILEPROP CLBLM_R_X33Y135 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y135 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y135 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y135 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y135 TILE_X 55684 TILEPROP CLBLM_R_X33Y135 TILE_Y 195896 TILEPROP CLBLM_R_X33Y135 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y136 CLASS tile TILEPROP CLBLM_R_X33Y136 COLUMN 84 TILEPROP CLBLM_R_X33Y136 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y136 FIRST_SITE_ID 1450 TILEPROP CLBLM_R_X33Y136 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y136 GRID_POINT_Y 14 TILEPROP CLBLM_R_X33Y136 INDEX 1694 TILEPROP CLBLM_R_X33Y136 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y136 INT_TILE_Y 13 TILEPROP CLBLM_R_X33Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y136 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y136 NAME CLBLM_R_X33Y136 TILEPROP CLBLM_R_X33Y136 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y136 NUM_SITES 2 TILEPROP CLBLM_R_X33Y136 ROW 14 TILEPROP CLBLM_R_X33Y136 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y136 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y136 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y136 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y136 TILE_X 55684 TILEPROP CLBLM_R_X33Y136 TILE_Y 199096 TILEPROP CLBLM_R_X33Y136 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y137 CLASS tile TILEPROP CLBLM_R_X33Y137 COLUMN 84 TILEPROP CLBLM_R_X33Y137 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y137 FIRST_SITE_ID 1322 TILEPROP CLBLM_R_X33Y137 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y137 GRID_POINT_Y 13 TILEPROP CLBLM_R_X33Y137 INDEX 1579 TILEPROP CLBLM_R_X33Y137 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y137 INT_TILE_Y 12 TILEPROP CLBLM_R_X33Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y137 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y137 NAME CLBLM_R_X33Y137 TILEPROP CLBLM_R_X33Y137 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y137 NUM_SITES 2 TILEPROP CLBLM_R_X33Y137 ROW 13 TILEPROP CLBLM_R_X33Y137 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y137 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y137 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y137 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y137 TILE_X 55684 TILEPROP CLBLM_R_X33Y137 TILE_Y 202296 TILEPROP CLBLM_R_X33Y137 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y138 CLASS tile TILEPROP CLBLM_R_X33Y138 COLUMN 84 TILEPROP CLBLM_R_X33Y138 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y138 FIRST_SITE_ID 1218 TILEPROP CLBLM_R_X33Y138 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y138 GRID_POINT_Y 12 TILEPROP CLBLM_R_X33Y138 INDEX 1464 TILEPROP CLBLM_R_X33Y138 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y138 INT_TILE_Y 11 TILEPROP CLBLM_R_X33Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y138 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y138 NAME CLBLM_R_X33Y138 TILEPROP CLBLM_R_X33Y138 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y138 NUM_SITES 2 TILEPROP CLBLM_R_X33Y138 ROW 12 TILEPROP CLBLM_R_X33Y138 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y138 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y138 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y138 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y138 TILE_X 55684 TILEPROP CLBLM_R_X33Y138 TILE_Y 205496 TILEPROP CLBLM_R_X33Y138 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y139 CLASS tile TILEPROP CLBLM_R_X33Y139 COLUMN 84 TILEPROP CLBLM_R_X33Y139 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y139 FIRST_SITE_ID 1122 TILEPROP CLBLM_R_X33Y139 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y139 GRID_POINT_Y 11 TILEPROP CLBLM_R_X33Y139 INDEX 1349 TILEPROP CLBLM_R_X33Y139 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y139 INT_TILE_Y 10 TILEPROP CLBLM_R_X33Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y139 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y139 NAME CLBLM_R_X33Y139 TILEPROP CLBLM_R_X33Y139 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y139 NUM_SITES 2 TILEPROP CLBLM_R_X33Y139 ROW 11 TILEPROP CLBLM_R_X33Y139 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y139 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y139 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y139 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y139 TILE_X 55684 TILEPROP CLBLM_R_X33Y139 TILE_Y 208696 TILEPROP CLBLM_R_X33Y139 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y140 CLASS tile TILEPROP CLBLM_R_X33Y140 COLUMN 84 TILEPROP CLBLM_R_X33Y140 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y140 FIRST_SITE_ID 1015 TILEPROP CLBLM_R_X33Y140 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y140 GRID_POINT_Y 10 TILEPROP CLBLM_R_X33Y140 INDEX 1234 TILEPROP CLBLM_R_X33Y140 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y140 INT_TILE_Y 9 TILEPROP CLBLM_R_X33Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y140 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y140 NAME CLBLM_R_X33Y140 TILEPROP CLBLM_R_X33Y140 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y140 NUM_SITES 2 TILEPROP CLBLM_R_X33Y140 ROW 10 TILEPROP CLBLM_R_X33Y140 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y140 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y140 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y140 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y140 TILE_X 55684 TILEPROP CLBLM_R_X33Y140 TILE_Y 211896 TILEPROP CLBLM_R_X33Y140 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y141 CLASS tile TILEPROP CLBLM_R_X33Y141 COLUMN 84 TILEPROP CLBLM_R_X33Y141 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y141 FIRST_SITE_ID 910 TILEPROP CLBLM_R_X33Y141 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y141 GRID_POINT_Y 9 TILEPROP CLBLM_R_X33Y141 INDEX 1119 TILEPROP CLBLM_R_X33Y141 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y141 INT_TILE_Y 8 TILEPROP CLBLM_R_X33Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y141 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y141 NAME CLBLM_R_X33Y141 TILEPROP CLBLM_R_X33Y141 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y141 NUM_SITES 2 TILEPROP CLBLM_R_X33Y141 ROW 9 TILEPROP CLBLM_R_X33Y141 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y141 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y141 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y141 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y141 TILE_X 55684 TILEPROP CLBLM_R_X33Y141 TILE_Y 215096 TILEPROP CLBLM_R_X33Y141 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y142 CLASS tile TILEPROP CLBLM_R_X33Y142 COLUMN 84 TILEPROP CLBLM_R_X33Y142 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y142 FIRST_SITE_ID 806 TILEPROP CLBLM_R_X33Y142 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y142 GRID_POINT_Y 8 TILEPROP CLBLM_R_X33Y142 INDEX 1004 TILEPROP CLBLM_R_X33Y142 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y142 INT_TILE_Y 7 TILEPROP CLBLM_R_X33Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y142 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y142 NAME CLBLM_R_X33Y142 TILEPROP CLBLM_R_X33Y142 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y142 NUM_SITES 2 TILEPROP CLBLM_R_X33Y142 ROW 8 TILEPROP CLBLM_R_X33Y142 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y142 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y142 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y142 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y142 TILE_X 55684 TILEPROP CLBLM_R_X33Y142 TILE_Y 218296 TILEPROP CLBLM_R_X33Y142 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y143 CLASS tile TILEPROP CLBLM_R_X33Y143 COLUMN 84 TILEPROP CLBLM_R_X33Y143 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y143 FIRST_SITE_ID 709 TILEPROP CLBLM_R_X33Y143 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y143 GRID_POINT_Y 7 TILEPROP CLBLM_R_X33Y143 INDEX 889 TILEPROP CLBLM_R_X33Y143 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y143 INT_TILE_Y 6 TILEPROP CLBLM_R_X33Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y143 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y143 NAME CLBLM_R_X33Y143 TILEPROP CLBLM_R_X33Y143 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y143 NUM_SITES 2 TILEPROP CLBLM_R_X33Y143 ROW 7 TILEPROP CLBLM_R_X33Y143 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y143 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y143 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y143 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y143 TILE_X 55684 TILEPROP CLBLM_R_X33Y143 TILE_Y 221496 TILEPROP CLBLM_R_X33Y143 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y144 CLASS tile TILEPROP CLBLM_R_X33Y144 COLUMN 84 TILEPROP CLBLM_R_X33Y144 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y144 FIRST_SITE_ID 598 TILEPROP CLBLM_R_X33Y144 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y144 GRID_POINT_Y 6 TILEPROP CLBLM_R_X33Y144 INDEX 774 TILEPROP CLBLM_R_X33Y144 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y144 INT_TILE_Y 5 TILEPROP CLBLM_R_X33Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y144 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y144 NAME CLBLM_R_X33Y144 TILEPROP CLBLM_R_X33Y144 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y144 NUM_SITES 2 TILEPROP CLBLM_R_X33Y144 ROW 6 TILEPROP CLBLM_R_X33Y144 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y144 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y144 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y144 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y144 TILE_X 55684 TILEPROP CLBLM_R_X33Y144 TILE_Y 224696 TILEPROP CLBLM_R_X33Y144 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y145 CLASS tile TILEPROP CLBLM_R_X33Y145 COLUMN 84 TILEPROP CLBLM_R_X33Y145 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y145 FIRST_SITE_ID 499 TILEPROP CLBLM_R_X33Y145 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y145 GRID_POINT_Y 5 TILEPROP CLBLM_R_X33Y145 INDEX 659 TILEPROP CLBLM_R_X33Y145 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y145 INT_TILE_Y 4 TILEPROP CLBLM_R_X33Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y145 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y145 NAME CLBLM_R_X33Y145 TILEPROP CLBLM_R_X33Y145 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y145 NUM_SITES 2 TILEPROP CLBLM_R_X33Y145 ROW 5 TILEPROP CLBLM_R_X33Y145 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y145 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y145 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y145 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y145 TILE_X 55684 TILEPROP CLBLM_R_X33Y145 TILE_Y 227896 TILEPROP CLBLM_R_X33Y145 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y146 CLASS tile TILEPROP CLBLM_R_X33Y146 COLUMN 84 TILEPROP CLBLM_R_X33Y146 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y146 FIRST_SITE_ID 386 TILEPROP CLBLM_R_X33Y146 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y146 GRID_POINT_Y 4 TILEPROP CLBLM_R_X33Y146 INDEX 544 TILEPROP CLBLM_R_X33Y146 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y146 INT_TILE_Y 3 TILEPROP CLBLM_R_X33Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y146 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y146 NAME CLBLM_R_X33Y146 TILEPROP CLBLM_R_X33Y146 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y146 NUM_SITES 2 TILEPROP CLBLM_R_X33Y146 ROW 4 TILEPROP CLBLM_R_X33Y146 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y146 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y146 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y146 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y146 TILE_X 55684 TILEPROP CLBLM_R_X33Y146 TILE_Y 231096 TILEPROP CLBLM_R_X33Y146 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y147 CLASS tile TILEPROP CLBLM_R_X33Y147 COLUMN 84 TILEPROP CLBLM_R_X33Y147 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y147 FIRST_SITE_ID 290 TILEPROP CLBLM_R_X33Y147 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y147 GRID_POINT_Y 3 TILEPROP CLBLM_R_X33Y147 INDEX 429 TILEPROP CLBLM_R_X33Y147 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y147 INT_TILE_Y 2 TILEPROP CLBLM_R_X33Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y147 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y147 NAME CLBLM_R_X33Y147 TILEPROP CLBLM_R_X33Y147 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y147 NUM_SITES 2 TILEPROP CLBLM_R_X33Y147 ROW 3 TILEPROP CLBLM_R_X33Y147 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y147 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y147 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y147 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y147 TILE_X 55684 TILEPROP CLBLM_R_X33Y147 TILE_Y 234296 TILEPROP CLBLM_R_X33Y147 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y148 CLASS tile TILEPROP CLBLM_R_X33Y148 COLUMN 84 TILEPROP CLBLM_R_X33Y148 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y148 FIRST_SITE_ID 186 TILEPROP CLBLM_R_X33Y148 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y148 GRID_POINT_Y 2 TILEPROP CLBLM_R_X33Y148 INDEX 314 TILEPROP CLBLM_R_X33Y148 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y148 INT_TILE_Y 1 TILEPROP CLBLM_R_X33Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y148 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y148 NAME CLBLM_R_X33Y148 TILEPROP CLBLM_R_X33Y148 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y148 NUM_SITES 2 TILEPROP CLBLM_R_X33Y148 ROW 2 TILEPROP CLBLM_R_X33Y148 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y148 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X33Y148 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y148 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y148 TILE_X 55684 TILEPROP CLBLM_R_X33Y148 TILE_Y 237496 TILEPROP CLBLM_R_X33Y148 TYPE CLBLM_R TILEPROP CLBLM_R_X33Y149 CLASS tile TILEPROP CLBLM_R_X33Y149 COLUMN 84 TILEPROP CLBLM_R_X33Y149 DEVICE_ID 0 TILEPROP CLBLM_R_X33Y149 FIRST_SITE_ID 90 TILEPROP CLBLM_R_X33Y149 GRID_POINT_X 84 TILEPROP CLBLM_R_X33Y149 GRID_POINT_Y 1 TILEPROP CLBLM_R_X33Y149 INDEX 199 TILEPROP CLBLM_R_X33Y149 INT_TILE_X 33 TILEPROP CLBLM_R_X33Y149 INT_TILE_Y 0 TILEPROP CLBLM_R_X33Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X33Y149 IS_DCM_TILE 0 TILEPROP CLBLM_R_X33Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X33Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X33Y149 NAME CLBLM_R_X33Y149 TILEPROP CLBLM_R_X33Y149 NUM_ARCS 151 TILEPROP CLBLM_R_X33Y149 NUM_SITES 2 TILEPROP CLBLM_R_X33Y149 ROW 1 TILEPROP CLBLM_R_X33Y149 SLR_REGION_ID 0 TILEPROP CLBLM_R_X33Y149 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X33Y149 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X33Y149 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X33Y149 TILE_X 55684 TILEPROP CLBLM_R_X33Y149 TILE_Y 240696 TILEPROP CLBLM_R_X33Y149 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y0 CLASS tile TILEPROP CLBLM_R_X35Y0 COLUMN 90 TILEPROP CLBLM_R_X35Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y0 FIRST_SITE_ID 15790 TILEPROP CLBLM_R_X35Y0 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X35Y0 INDEX 17915 TILEPROP CLBLM_R_X35Y0 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X35Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y0 NAME CLBLM_R_X35Y0 TILEPROP CLBLM_R_X35Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y0 NUM_SITES 2 TILEPROP CLBLM_R_X35Y0 ROW 155 TILEPROP CLBLM_R_X35Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X35Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y0 TILE_X 64506 TILEPROP CLBLM_R_X35Y0 TILE_Y -239672 TILEPROP CLBLM_R_X35Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y1 CLASS tile TILEPROP CLBLM_R_X35Y1 COLUMN 90 TILEPROP CLBLM_R_X35Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y1 FIRST_SITE_ID 15674 TILEPROP CLBLM_R_X35Y1 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X35Y1 INDEX 17800 TILEPROP CLBLM_R_X35Y1 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X35Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y1 NAME CLBLM_R_X35Y1 TILEPROP CLBLM_R_X35Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y1 NUM_SITES 2 TILEPROP CLBLM_R_X35Y1 ROW 154 TILEPROP CLBLM_R_X35Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y1 TILE_X 64506 TILEPROP CLBLM_R_X35Y1 TILE_Y -236472 TILEPROP CLBLM_R_X35Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y2 CLASS tile TILEPROP CLBLM_R_X35Y2 COLUMN 90 TILEPROP CLBLM_R_X35Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y2 FIRST_SITE_ID 15573 TILEPROP CLBLM_R_X35Y2 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X35Y2 INDEX 17685 TILEPROP CLBLM_R_X35Y2 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X35Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y2 NAME CLBLM_R_X35Y2 TILEPROP CLBLM_R_X35Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y2 NUM_SITES 2 TILEPROP CLBLM_R_X35Y2 ROW 153 TILEPROP CLBLM_R_X35Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y2 TILE_X 64506 TILEPROP CLBLM_R_X35Y2 TILE_Y -233272 TILEPROP CLBLM_R_X35Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y3 CLASS tile TILEPROP CLBLM_R_X35Y3 COLUMN 90 TILEPROP CLBLM_R_X35Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y3 FIRST_SITE_ID 15473 TILEPROP CLBLM_R_X35Y3 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X35Y3 INDEX 17570 TILEPROP CLBLM_R_X35Y3 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X35Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y3 NAME CLBLM_R_X35Y3 TILEPROP CLBLM_R_X35Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y3 NUM_SITES 2 TILEPROP CLBLM_R_X35Y3 ROW 152 TILEPROP CLBLM_R_X35Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y3 TILE_X 64506 TILEPROP CLBLM_R_X35Y3 TILE_Y -230072 TILEPROP CLBLM_R_X35Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y4 CLASS tile TILEPROP CLBLM_R_X35Y4 COLUMN 90 TILEPROP CLBLM_R_X35Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y4 FIRST_SITE_ID 15373 TILEPROP CLBLM_R_X35Y4 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X35Y4 INDEX 17455 TILEPROP CLBLM_R_X35Y4 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X35Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y4 NAME CLBLM_R_X35Y4 TILEPROP CLBLM_R_X35Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y4 NUM_SITES 2 TILEPROP CLBLM_R_X35Y4 ROW 151 TILEPROP CLBLM_R_X35Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y4 TILE_X 64506 TILEPROP CLBLM_R_X35Y4 TILE_Y -226872 TILEPROP CLBLM_R_X35Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y5 CLASS tile TILEPROP CLBLM_R_X35Y5 COLUMN 90 TILEPROP CLBLM_R_X35Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y5 FIRST_SITE_ID 15270 TILEPROP CLBLM_R_X35Y5 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X35Y5 INDEX 17340 TILEPROP CLBLM_R_X35Y5 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X35Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y5 NAME CLBLM_R_X35Y5 TILEPROP CLBLM_R_X35Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y5 NUM_SITES 2 TILEPROP CLBLM_R_X35Y5 ROW 150 TILEPROP CLBLM_R_X35Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y5 TILE_X 64506 TILEPROP CLBLM_R_X35Y5 TILE_Y -223672 TILEPROP CLBLM_R_X35Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y6 CLASS tile TILEPROP CLBLM_R_X35Y6 COLUMN 90 TILEPROP CLBLM_R_X35Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y6 FIRST_SITE_ID 15158 TILEPROP CLBLM_R_X35Y6 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X35Y6 INDEX 17225 TILEPROP CLBLM_R_X35Y6 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X35Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y6 NAME CLBLM_R_X35Y6 TILEPROP CLBLM_R_X35Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y6 NUM_SITES 2 TILEPROP CLBLM_R_X35Y6 ROW 149 TILEPROP CLBLM_R_X35Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y6 TILE_X 64506 TILEPROP CLBLM_R_X35Y6 TILE_Y -220472 TILEPROP CLBLM_R_X35Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y7 CLASS tile TILEPROP CLBLM_R_X35Y7 COLUMN 90 TILEPROP CLBLM_R_X35Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y7 FIRST_SITE_ID 15056 TILEPROP CLBLM_R_X35Y7 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X35Y7 INDEX 17110 TILEPROP CLBLM_R_X35Y7 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X35Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y7 NAME CLBLM_R_X35Y7 TILEPROP CLBLM_R_X35Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y7 NUM_SITES 2 TILEPROP CLBLM_R_X35Y7 ROW 148 TILEPROP CLBLM_R_X35Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y7 TILE_X 64506 TILEPROP CLBLM_R_X35Y7 TILE_Y -217272 TILEPROP CLBLM_R_X35Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y8 CLASS tile TILEPROP CLBLM_R_X35Y8 COLUMN 90 TILEPROP CLBLM_R_X35Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y8 FIRST_SITE_ID 14953 TILEPROP CLBLM_R_X35Y8 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X35Y8 INDEX 16995 TILEPROP CLBLM_R_X35Y8 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X35Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y8 NAME CLBLM_R_X35Y8 TILEPROP CLBLM_R_X35Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y8 NUM_SITES 2 TILEPROP CLBLM_R_X35Y8 ROW 147 TILEPROP CLBLM_R_X35Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y8 TILE_X 64506 TILEPROP CLBLM_R_X35Y8 TILE_Y -214072 TILEPROP CLBLM_R_X35Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y9 CLASS tile TILEPROP CLBLM_R_X35Y9 COLUMN 90 TILEPROP CLBLM_R_X35Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y9 FIRST_SITE_ID 14852 TILEPROP CLBLM_R_X35Y9 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X35Y9 INDEX 16880 TILEPROP CLBLM_R_X35Y9 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X35Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y9 NAME CLBLM_R_X35Y9 TILEPROP CLBLM_R_X35Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y9 NUM_SITES 2 TILEPROP CLBLM_R_X35Y9 ROW 146 TILEPROP CLBLM_R_X35Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y9 TILE_X 64506 TILEPROP CLBLM_R_X35Y9 TILE_Y -210872 TILEPROP CLBLM_R_X35Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y10 CLASS tile TILEPROP CLBLM_R_X35Y10 COLUMN 90 TILEPROP CLBLM_R_X35Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y10 FIRST_SITE_ID 14749 TILEPROP CLBLM_R_X35Y10 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X35Y10 INDEX 16765 TILEPROP CLBLM_R_X35Y10 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X35Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y10 NAME CLBLM_R_X35Y10 TILEPROP CLBLM_R_X35Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y10 NUM_SITES 2 TILEPROP CLBLM_R_X35Y10 ROW 145 TILEPROP CLBLM_R_X35Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y10 TILE_X 64506 TILEPROP CLBLM_R_X35Y10 TILE_Y -207672 TILEPROP CLBLM_R_X35Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y11 CLASS tile TILEPROP CLBLM_R_X35Y11 COLUMN 90 TILEPROP CLBLM_R_X35Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y11 FIRST_SITE_ID 14637 TILEPROP CLBLM_R_X35Y11 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X35Y11 INDEX 16650 TILEPROP CLBLM_R_X35Y11 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X35Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y11 NAME CLBLM_R_X35Y11 TILEPROP CLBLM_R_X35Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y11 NUM_SITES 2 TILEPROP CLBLM_R_X35Y11 ROW 144 TILEPROP CLBLM_R_X35Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y11 TILE_X 64506 TILEPROP CLBLM_R_X35Y11 TILE_Y -204472 TILEPROP CLBLM_R_X35Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y12 CLASS tile TILEPROP CLBLM_R_X35Y12 COLUMN 90 TILEPROP CLBLM_R_X35Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y12 FIRST_SITE_ID 14537 TILEPROP CLBLM_R_X35Y12 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X35Y12 INDEX 16535 TILEPROP CLBLM_R_X35Y12 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X35Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y12 NAME CLBLM_R_X35Y12 TILEPROP CLBLM_R_X35Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y12 NUM_SITES 2 TILEPROP CLBLM_R_X35Y12 ROW 143 TILEPROP CLBLM_R_X35Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y12 TILE_X 64506 TILEPROP CLBLM_R_X35Y12 TILE_Y -201272 TILEPROP CLBLM_R_X35Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y13 CLASS tile TILEPROP CLBLM_R_X35Y13 COLUMN 90 TILEPROP CLBLM_R_X35Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y13 FIRST_SITE_ID 14405 TILEPROP CLBLM_R_X35Y13 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X35Y13 INDEX 16420 TILEPROP CLBLM_R_X35Y13 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X35Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y13 NAME CLBLM_R_X35Y13 TILEPROP CLBLM_R_X35Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y13 NUM_SITES 2 TILEPROP CLBLM_R_X35Y13 ROW 142 TILEPROP CLBLM_R_X35Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y13 TILE_X 64506 TILEPROP CLBLM_R_X35Y13 TILE_Y -198072 TILEPROP CLBLM_R_X35Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y14 CLASS tile TILEPROP CLBLM_R_X35Y14 COLUMN 90 TILEPROP CLBLM_R_X35Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y14 FIRST_SITE_ID 14305 TILEPROP CLBLM_R_X35Y14 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X35Y14 INDEX 16305 TILEPROP CLBLM_R_X35Y14 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X35Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y14 NAME CLBLM_R_X35Y14 TILEPROP CLBLM_R_X35Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y14 NUM_SITES 2 TILEPROP CLBLM_R_X35Y14 ROW 141 TILEPROP CLBLM_R_X35Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y14 TILE_X 64506 TILEPROP CLBLM_R_X35Y14 TILE_Y -194872 TILEPROP CLBLM_R_X35Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y15 CLASS tile TILEPROP CLBLM_R_X35Y15 COLUMN 90 TILEPROP CLBLM_R_X35Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y15 FIRST_SITE_ID 14202 TILEPROP CLBLM_R_X35Y15 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X35Y15 INDEX 16190 TILEPROP CLBLM_R_X35Y15 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X35Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y15 NAME CLBLM_R_X35Y15 TILEPROP CLBLM_R_X35Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y15 NUM_SITES 2 TILEPROP CLBLM_R_X35Y15 ROW 140 TILEPROP CLBLM_R_X35Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y15 TILE_X 64506 TILEPROP CLBLM_R_X35Y15 TILE_Y -191672 TILEPROP CLBLM_R_X35Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y16 CLASS tile TILEPROP CLBLM_R_X35Y16 COLUMN 90 TILEPROP CLBLM_R_X35Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y16 FIRST_SITE_ID 14090 TILEPROP CLBLM_R_X35Y16 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X35Y16 INDEX 16075 TILEPROP CLBLM_R_X35Y16 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X35Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y16 NAME CLBLM_R_X35Y16 TILEPROP CLBLM_R_X35Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y16 NUM_SITES 2 TILEPROP CLBLM_R_X35Y16 ROW 139 TILEPROP CLBLM_R_X35Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y16 TILE_X 64506 TILEPROP CLBLM_R_X35Y16 TILE_Y -188472 TILEPROP CLBLM_R_X35Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y17 CLASS tile TILEPROP CLBLM_R_X35Y17 COLUMN 90 TILEPROP CLBLM_R_X35Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y17 FIRST_SITE_ID 13986 TILEPROP CLBLM_R_X35Y17 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X35Y17 INDEX 15960 TILEPROP CLBLM_R_X35Y17 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X35Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y17 NAME CLBLM_R_X35Y17 TILEPROP CLBLM_R_X35Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y17 NUM_SITES 2 TILEPROP CLBLM_R_X35Y17 ROW 138 TILEPROP CLBLM_R_X35Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y17 TILE_X 64506 TILEPROP CLBLM_R_X35Y17 TILE_Y -185272 TILEPROP CLBLM_R_X35Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y18 CLASS tile TILEPROP CLBLM_R_X35Y18 COLUMN 90 TILEPROP CLBLM_R_X35Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y18 FIRST_SITE_ID 13881 TILEPROP CLBLM_R_X35Y18 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X35Y18 INDEX 15845 TILEPROP CLBLM_R_X35Y18 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X35Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y18 NAME CLBLM_R_X35Y18 TILEPROP CLBLM_R_X35Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y18 NUM_SITES 2 TILEPROP CLBLM_R_X35Y18 ROW 137 TILEPROP CLBLM_R_X35Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y18 TILE_X 64506 TILEPROP CLBLM_R_X35Y18 TILE_Y -182072 TILEPROP CLBLM_R_X35Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y19 CLASS tile TILEPROP CLBLM_R_X35Y19 COLUMN 90 TILEPROP CLBLM_R_X35Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y19 FIRST_SITE_ID 13779 TILEPROP CLBLM_R_X35Y19 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X35Y19 INDEX 15730 TILEPROP CLBLM_R_X35Y19 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X35Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y19 NAME CLBLM_R_X35Y19 TILEPROP CLBLM_R_X35Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y19 NUM_SITES 2 TILEPROP CLBLM_R_X35Y19 ROW 136 TILEPROP CLBLM_R_X35Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y19 TILE_X 64506 TILEPROP CLBLM_R_X35Y19 TILE_Y -178872 TILEPROP CLBLM_R_X35Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y20 CLASS tile TILEPROP CLBLM_R_X35Y20 COLUMN 90 TILEPROP CLBLM_R_X35Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y20 FIRST_SITE_ID 13674 TILEPROP CLBLM_R_X35Y20 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X35Y20 INDEX 15615 TILEPROP CLBLM_R_X35Y20 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X35Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y20 NAME CLBLM_R_X35Y20 TILEPROP CLBLM_R_X35Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y20 NUM_SITES 2 TILEPROP CLBLM_R_X35Y20 ROW 135 TILEPROP CLBLM_R_X35Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y20 TILE_X 64506 TILEPROP CLBLM_R_X35Y20 TILE_Y -175672 TILEPROP CLBLM_R_X35Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y21 CLASS tile TILEPROP CLBLM_R_X35Y21 COLUMN 90 TILEPROP CLBLM_R_X35Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y21 FIRST_SITE_ID 13562 TILEPROP CLBLM_R_X35Y21 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X35Y21 INDEX 15500 TILEPROP CLBLM_R_X35Y21 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X35Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y21 NAME CLBLM_R_X35Y21 TILEPROP CLBLM_R_X35Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y21 NUM_SITES 2 TILEPROP CLBLM_R_X35Y21 ROW 134 TILEPROP CLBLM_R_X35Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y21 TILE_X 64506 TILEPROP CLBLM_R_X35Y21 TILE_Y -172472 TILEPROP CLBLM_R_X35Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y22 CLASS tile TILEPROP CLBLM_R_X35Y22 COLUMN 90 TILEPROP CLBLM_R_X35Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y22 FIRST_SITE_ID 13462 TILEPROP CLBLM_R_X35Y22 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X35Y22 INDEX 15385 TILEPROP CLBLM_R_X35Y22 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X35Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y22 NAME CLBLM_R_X35Y22 TILEPROP CLBLM_R_X35Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y22 NUM_SITES 2 TILEPROP CLBLM_R_X35Y22 ROW 133 TILEPROP CLBLM_R_X35Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y22 TILE_X 64506 TILEPROP CLBLM_R_X35Y22 TILE_Y -169272 TILEPROP CLBLM_R_X35Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y23 CLASS tile TILEPROP CLBLM_R_X35Y23 COLUMN 90 TILEPROP CLBLM_R_X35Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y23 FIRST_SITE_ID 13362 TILEPROP CLBLM_R_X35Y23 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X35Y23 INDEX 15270 TILEPROP CLBLM_R_X35Y23 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X35Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y23 NAME CLBLM_R_X35Y23 TILEPROP CLBLM_R_X35Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y23 NUM_SITES 2 TILEPROP CLBLM_R_X35Y23 ROW 132 TILEPROP CLBLM_R_X35Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y23 TILE_X 64506 TILEPROP CLBLM_R_X35Y23 TILE_Y -166072 TILEPROP CLBLM_R_X35Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y24 CLASS tile TILEPROP CLBLM_R_X35Y24 COLUMN 90 TILEPROP CLBLM_R_X35Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y24 FIRST_SITE_ID 13262 TILEPROP CLBLM_R_X35Y24 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X35Y24 INDEX 15155 TILEPROP CLBLM_R_X35Y24 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X35Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y24 NAME CLBLM_R_X35Y24 TILEPROP CLBLM_R_X35Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y24 NUM_SITES 2 TILEPROP CLBLM_R_X35Y24 ROW 131 TILEPROP CLBLM_R_X35Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X35Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y24 TILE_X 64506 TILEPROP CLBLM_R_X35Y24 TILE_Y -162872 TILEPROP CLBLM_R_X35Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y25 CLASS tile TILEPROP CLBLM_R_X35Y25 COLUMN 90 TILEPROP CLBLM_R_X35Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y25 FIRST_SITE_ID 13076 TILEPROP CLBLM_R_X35Y25 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X35Y25 INDEX 14925 TILEPROP CLBLM_R_X35Y25 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X35Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y25 NAME CLBLM_R_X35Y25 TILEPROP CLBLM_R_X35Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y25 NUM_SITES 2 TILEPROP CLBLM_R_X35Y25 ROW 129 TILEPROP CLBLM_R_X35Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X35Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y25 TILE_X 64506 TILEPROP CLBLM_R_X35Y25 TILE_Y -158648 TILEPROP CLBLM_R_X35Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y26 CLASS tile TILEPROP CLBLM_R_X35Y26 COLUMN 90 TILEPROP CLBLM_R_X35Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y26 FIRST_SITE_ID 12964 TILEPROP CLBLM_R_X35Y26 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X35Y26 INDEX 14810 TILEPROP CLBLM_R_X35Y26 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X35Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y26 NAME CLBLM_R_X35Y26 TILEPROP CLBLM_R_X35Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y26 NUM_SITES 2 TILEPROP CLBLM_R_X35Y26 ROW 128 TILEPROP CLBLM_R_X35Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y26 TILE_X 64506 TILEPROP CLBLM_R_X35Y26 TILE_Y -155448 TILEPROP CLBLM_R_X35Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y27 CLASS tile TILEPROP CLBLM_R_X35Y27 COLUMN 90 TILEPROP CLBLM_R_X35Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y27 FIRST_SITE_ID 12864 TILEPROP CLBLM_R_X35Y27 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X35Y27 INDEX 14695 TILEPROP CLBLM_R_X35Y27 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X35Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y27 NAME CLBLM_R_X35Y27 TILEPROP CLBLM_R_X35Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y27 NUM_SITES 2 TILEPROP CLBLM_R_X35Y27 ROW 127 TILEPROP CLBLM_R_X35Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y27 TILE_X 64506 TILEPROP CLBLM_R_X35Y27 TILE_Y -152248 TILEPROP CLBLM_R_X35Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y28 CLASS tile TILEPROP CLBLM_R_X35Y28 COLUMN 90 TILEPROP CLBLM_R_X35Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y28 FIRST_SITE_ID 12764 TILEPROP CLBLM_R_X35Y28 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X35Y28 INDEX 14580 TILEPROP CLBLM_R_X35Y28 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X35Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y28 NAME CLBLM_R_X35Y28 TILEPROP CLBLM_R_X35Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y28 NUM_SITES 2 TILEPROP CLBLM_R_X35Y28 ROW 126 TILEPROP CLBLM_R_X35Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y28 TILE_X 64506 TILEPROP CLBLM_R_X35Y28 TILE_Y -149048 TILEPROP CLBLM_R_X35Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y29 CLASS tile TILEPROP CLBLM_R_X35Y29 COLUMN 90 TILEPROP CLBLM_R_X35Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y29 FIRST_SITE_ID 12658 TILEPROP CLBLM_R_X35Y29 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X35Y29 INDEX 14465 TILEPROP CLBLM_R_X35Y29 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X35Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y29 NAME CLBLM_R_X35Y29 TILEPROP CLBLM_R_X35Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y29 NUM_SITES 2 TILEPROP CLBLM_R_X35Y29 ROW 125 TILEPROP CLBLM_R_X35Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y29 TILE_X 64506 TILEPROP CLBLM_R_X35Y29 TILE_Y -145848 TILEPROP CLBLM_R_X35Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y30 CLASS tile TILEPROP CLBLM_R_X35Y30 COLUMN 90 TILEPROP CLBLM_R_X35Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y30 FIRST_SITE_ID 12549 TILEPROP CLBLM_R_X35Y30 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X35Y30 INDEX 14350 TILEPROP CLBLM_R_X35Y30 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X35Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y30 NAME CLBLM_R_X35Y30 TILEPROP CLBLM_R_X35Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y30 NUM_SITES 2 TILEPROP CLBLM_R_X35Y30 ROW 124 TILEPROP CLBLM_R_X35Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y30 TILE_X 64506 TILEPROP CLBLM_R_X35Y30 TILE_Y -142648 TILEPROP CLBLM_R_X35Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y31 CLASS tile TILEPROP CLBLM_R_X35Y31 COLUMN 90 TILEPROP CLBLM_R_X35Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y31 FIRST_SITE_ID 12422 TILEPROP CLBLM_R_X35Y31 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X35Y31 INDEX 14235 TILEPROP CLBLM_R_X35Y31 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X35Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y31 NAME CLBLM_R_X35Y31 TILEPROP CLBLM_R_X35Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y31 NUM_SITES 2 TILEPROP CLBLM_R_X35Y31 ROW 123 TILEPROP CLBLM_R_X35Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y31 TILE_X 64506 TILEPROP CLBLM_R_X35Y31 TILE_Y -139448 TILEPROP CLBLM_R_X35Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y32 CLASS tile TILEPROP CLBLM_R_X35Y32 COLUMN 90 TILEPROP CLBLM_R_X35Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y32 FIRST_SITE_ID 12320 TILEPROP CLBLM_R_X35Y32 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X35Y32 INDEX 14120 TILEPROP CLBLM_R_X35Y32 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X35Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y32 NAME CLBLM_R_X35Y32 TILEPROP CLBLM_R_X35Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y32 NUM_SITES 2 TILEPROP CLBLM_R_X35Y32 ROW 122 TILEPROP CLBLM_R_X35Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y32 TILE_X 64506 TILEPROP CLBLM_R_X35Y32 TILE_Y -136248 TILEPROP CLBLM_R_X35Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y33 CLASS tile TILEPROP CLBLM_R_X35Y33 COLUMN 90 TILEPROP CLBLM_R_X35Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y33 FIRST_SITE_ID 12219 TILEPROP CLBLM_R_X35Y33 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X35Y33 INDEX 14005 TILEPROP CLBLM_R_X35Y33 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X35Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y33 NAME CLBLM_R_X35Y33 TILEPROP CLBLM_R_X35Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y33 NUM_SITES 2 TILEPROP CLBLM_R_X35Y33 ROW 121 TILEPROP CLBLM_R_X35Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y33 TILE_X 64506 TILEPROP CLBLM_R_X35Y33 TILE_Y -133048 TILEPROP CLBLM_R_X35Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y34 CLASS tile TILEPROP CLBLM_R_X35Y34 COLUMN 90 TILEPROP CLBLM_R_X35Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y34 FIRST_SITE_ID 12119 TILEPROP CLBLM_R_X35Y34 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X35Y34 INDEX 13890 TILEPROP CLBLM_R_X35Y34 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X35Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y34 NAME CLBLM_R_X35Y34 TILEPROP CLBLM_R_X35Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y34 NUM_SITES 2 TILEPROP CLBLM_R_X35Y34 ROW 120 TILEPROP CLBLM_R_X35Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y34 TILE_X 64506 TILEPROP CLBLM_R_X35Y34 TILE_Y -129848 TILEPROP CLBLM_R_X35Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y35 CLASS tile TILEPROP CLBLM_R_X35Y35 COLUMN 90 TILEPROP CLBLM_R_X35Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y35 FIRST_SITE_ID 12016 TILEPROP CLBLM_R_X35Y35 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X35Y35 INDEX 13775 TILEPROP CLBLM_R_X35Y35 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X35Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y35 NAME CLBLM_R_X35Y35 TILEPROP CLBLM_R_X35Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y35 NUM_SITES 2 TILEPROP CLBLM_R_X35Y35 ROW 119 TILEPROP CLBLM_R_X35Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y35 TILE_X 64506 TILEPROP CLBLM_R_X35Y35 TILE_Y -126648 TILEPROP CLBLM_R_X35Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y36 CLASS tile TILEPROP CLBLM_R_X35Y36 COLUMN 90 TILEPROP CLBLM_R_X35Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y36 FIRST_SITE_ID 11904 TILEPROP CLBLM_R_X35Y36 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X35Y36 INDEX 13660 TILEPROP CLBLM_R_X35Y36 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X35Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y36 NAME CLBLM_R_X35Y36 TILEPROP CLBLM_R_X35Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y36 NUM_SITES 2 TILEPROP CLBLM_R_X35Y36 ROW 118 TILEPROP CLBLM_R_X35Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y36 TILE_X 64506 TILEPROP CLBLM_R_X35Y36 TILE_Y -123448 TILEPROP CLBLM_R_X35Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y37 CLASS tile TILEPROP CLBLM_R_X35Y37 COLUMN 90 TILEPROP CLBLM_R_X35Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y37 FIRST_SITE_ID 11772 TILEPROP CLBLM_R_X35Y37 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X35Y37 INDEX 13545 TILEPROP CLBLM_R_X35Y37 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X35Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y37 NAME CLBLM_R_X35Y37 TILEPROP CLBLM_R_X35Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y37 NUM_SITES 2 TILEPROP CLBLM_R_X35Y37 ROW 117 TILEPROP CLBLM_R_X35Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y37 TILE_X 64506 TILEPROP CLBLM_R_X35Y37 TILE_Y -120248 TILEPROP CLBLM_R_X35Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y38 CLASS tile TILEPROP CLBLM_R_X35Y38 COLUMN 90 TILEPROP CLBLM_R_X35Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y38 FIRST_SITE_ID 11672 TILEPROP CLBLM_R_X35Y38 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X35Y38 INDEX 13430 TILEPROP CLBLM_R_X35Y38 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X35Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y38 NAME CLBLM_R_X35Y38 TILEPROP CLBLM_R_X35Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y38 NUM_SITES 2 TILEPROP CLBLM_R_X35Y38 ROW 116 TILEPROP CLBLM_R_X35Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y38 TILE_X 64506 TILEPROP CLBLM_R_X35Y38 TILE_Y -117048 TILEPROP CLBLM_R_X35Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y39 CLASS tile TILEPROP CLBLM_R_X35Y39 COLUMN 90 TILEPROP CLBLM_R_X35Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y39 FIRST_SITE_ID 11572 TILEPROP CLBLM_R_X35Y39 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X35Y39 INDEX 13315 TILEPROP CLBLM_R_X35Y39 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X35Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y39 NAME CLBLM_R_X35Y39 TILEPROP CLBLM_R_X35Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y39 NUM_SITES 2 TILEPROP CLBLM_R_X35Y39 ROW 115 TILEPROP CLBLM_R_X35Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y39 TILE_X 64506 TILEPROP CLBLM_R_X35Y39 TILE_Y -113848 TILEPROP CLBLM_R_X35Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y40 CLASS tile TILEPROP CLBLM_R_X35Y40 COLUMN 90 TILEPROP CLBLM_R_X35Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y40 FIRST_SITE_ID 11469 TILEPROP CLBLM_R_X35Y40 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X35Y40 INDEX 13200 TILEPROP CLBLM_R_X35Y40 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X35Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y40 NAME CLBLM_R_X35Y40 TILEPROP CLBLM_R_X35Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y40 NUM_SITES 2 TILEPROP CLBLM_R_X35Y40 ROW 114 TILEPROP CLBLM_R_X35Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y40 TILE_X 64506 TILEPROP CLBLM_R_X35Y40 TILE_Y -110648 TILEPROP CLBLM_R_X35Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y41 CLASS tile TILEPROP CLBLM_R_X35Y41 COLUMN 90 TILEPROP CLBLM_R_X35Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y41 FIRST_SITE_ID 11355 TILEPROP CLBLM_R_X35Y41 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X35Y41 INDEX 13085 TILEPROP CLBLM_R_X35Y41 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X35Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y41 NAME CLBLM_R_X35Y41 TILEPROP CLBLM_R_X35Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y41 NUM_SITES 2 TILEPROP CLBLM_R_X35Y41 ROW 113 TILEPROP CLBLM_R_X35Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y41 TILE_X 64506 TILEPROP CLBLM_R_X35Y41 TILE_Y -107448 TILEPROP CLBLM_R_X35Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y42 CLASS tile TILEPROP CLBLM_R_X35Y42 COLUMN 90 TILEPROP CLBLM_R_X35Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y42 FIRST_SITE_ID 11253 TILEPROP CLBLM_R_X35Y42 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X35Y42 INDEX 12970 TILEPROP CLBLM_R_X35Y42 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X35Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y42 NAME CLBLM_R_X35Y42 TILEPROP CLBLM_R_X35Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y42 NUM_SITES 2 TILEPROP CLBLM_R_X35Y42 ROW 112 TILEPROP CLBLM_R_X35Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y42 TILE_X 64506 TILEPROP CLBLM_R_X35Y42 TILE_Y -104248 TILEPROP CLBLM_R_X35Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y43 CLASS tile TILEPROP CLBLM_R_X35Y43 COLUMN 90 TILEPROP CLBLM_R_X35Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y43 FIRST_SITE_ID 11150 TILEPROP CLBLM_R_X35Y43 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X35Y43 INDEX 12855 TILEPROP CLBLM_R_X35Y43 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X35Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y43 NAME CLBLM_R_X35Y43 TILEPROP CLBLM_R_X35Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y43 NUM_SITES 2 TILEPROP CLBLM_R_X35Y43 ROW 111 TILEPROP CLBLM_R_X35Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y43 TILE_X 64506 TILEPROP CLBLM_R_X35Y43 TILE_Y -101048 TILEPROP CLBLM_R_X35Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y44 CLASS tile TILEPROP CLBLM_R_X35Y44 COLUMN 90 TILEPROP CLBLM_R_X35Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y44 FIRST_SITE_ID 11048 TILEPROP CLBLM_R_X35Y44 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X35Y44 INDEX 12740 TILEPROP CLBLM_R_X35Y44 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X35Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y44 NAME CLBLM_R_X35Y44 TILEPROP CLBLM_R_X35Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y44 NUM_SITES 2 TILEPROP CLBLM_R_X35Y44 ROW 110 TILEPROP CLBLM_R_X35Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y44 TILE_X 64506 TILEPROP CLBLM_R_X35Y44 TILE_Y -97848 TILEPROP CLBLM_R_X35Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y45 CLASS tile TILEPROP CLBLM_R_X35Y45 COLUMN 90 TILEPROP CLBLM_R_X35Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y45 FIRST_SITE_ID 10945 TILEPROP CLBLM_R_X35Y45 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X35Y45 INDEX 12625 TILEPROP CLBLM_R_X35Y45 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X35Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y45 NAME CLBLM_R_X35Y45 TILEPROP CLBLM_R_X35Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y45 NUM_SITES 2 TILEPROP CLBLM_R_X35Y45 ROW 109 TILEPROP CLBLM_R_X35Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y45 TILE_X 64506 TILEPROP CLBLM_R_X35Y45 TILE_Y -94648 TILEPROP CLBLM_R_X35Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y46 CLASS tile TILEPROP CLBLM_R_X35Y46 COLUMN 90 TILEPROP CLBLM_R_X35Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y46 FIRST_SITE_ID 10832 TILEPROP CLBLM_R_X35Y46 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X35Y46 INDEX 12510 TILEPROP CLBLM_R_X35Y46 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X35Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y46 NAME CLBLM_R_X35Y46 TILEPROP CLBLM_R_X35Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y46 NUM_SITES 2 TILEPROP CLBLM_R_X35Y46 ROW 108 TILEPROP CLBLM_R_X35Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y46 TILE_X 64506 TILEPROP CLBLM_R_X35Y46 TILE_Y -91448 TILEPROP CLBLM_R_X35Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y47 CLASS tile TILEPROP CLBLM_R_X35Y47 COLUMN 90 TILEPROP CLBLM_R_X35Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y47 FIRST_SITE_ID 10716 TILEPROP CLBLM_R_X35Y47 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X35Y47 INDEX 12395 TILEPROP CLBLM_R_X35Y47 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X35Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y47 NAME CLBLM_R_X35Y47 TILEPROP CLBLM_R_X35Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y47 NUM_SITES 2 TILEPROP CLBLM_R_X35Y47 ROW 107 TILEPROP CLBLM_R_X35Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y47 TILE_X 64506 TILEPROP CLBLM_R_X35Y47 TILE_Y -88248 TILEPROP CLBLM_R_X35Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y48 CLASS tile TILEPROP CLBLM_R_X35Y48 COLUMN 90 TILEPROP CLBLM_R_X35Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y48 FIRST_SITE_ID 10616 TILEPROP CLBLM_R_X35Y48 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X35Y48 INDEX 12280 TILEPROP CLBLM_R_X35Y48 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X35Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y48 NAME CLBLM_R_X35Y48 TILEPROP CLBLM_R_X35Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y48 NUM_SITES 2 TILEPROP CLBLM_R_X35Y48 ROW 106 TILEPROP CLBLM_R_X35Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y48 TILE_X 64506 TILEPROP CLBLM_R_X35Y48 TILE_Y -85048 TILEPROP CLBLM_R_X35Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y49 CLASS tile TILEPROP CLBLM_R_X35Y49 COLUMN 90 TILEPROP CLBLM_R_X35Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y49 FIRST_SITE_ID 10520 TILEPROP CLBLM_R_X35Y49 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X35Y49 INDEX 12165 TILEPROP CLBLM_R_X35Y49 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X35Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y49 NAME CLBLM_R_X35Y49 TILEPROP CLBLM_R_X35Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y49 NUM_SITES 2 TILEPROP CLBLM_R_X35Y49 ROW 105 TILEPROP CLBLM_R_X35Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X35Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y49 TILE_X 64506 TILEPROP CLBLM_R_X35Y49 TILE_Y -81848 TILEPROP CLBLM_R_X35Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y50 CLASS tile TILEPROP CLBLM_R_X35Y50 COLUMN 90 TILEPROP CLBLM_R_X35Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y50 FIRST_SITE_ID 10417 TILEPROP CLBLM_R_X35Y50 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X35Y50 INDEX 11935 TILEPROP CLBLM_R_X35Y50 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X35Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y50 NAME CLBLM_R_X35Y50 TILEPROP CLBLM_R_X35Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y50 NUM_SITES 2 TILEPROP CLBLM_R_X35Y50 ROW 103 TILEPROP CLBLM_R_X35Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X35Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y50 TILE_X 64506 TILEPROP CLBLM_R_X35Y50 TILE_Y -78400 TILEPROP CLBLM_R_X35Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y51 CLASS tile TILEPROP CLBLM_R_X35Y51 COLUMN 90 TILEPROP CLBLM_R_X35Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y51 FIRST_SITE_ID 10285 TILEPROP CLBLM_R_X35Y51 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X35Y51 INDEX 11820 TILEPROP CLBLM_R_X35Y51 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X35Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y51 NAME CLBLM_R_X35Y51 TILEPROP CLBLM_R_X35Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y51 NUM_SITES 2 TILEPROP CLBLM_R_X35Y51 ROW 102 TILEPROP CLBLM_R_X35Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y51 TILE_X 64506 TILEPROP CLBLM_R_X35Y51 TILE_Y -75200 TILEPROP CLBLM_R_X35Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y52 CLASS tile TILEPROP CLBLM_R_X35Y52 COLUMN 90 TILEPROP CLBLM_R_X35Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y52 FIRST_SITE_ID 10185 TILEPROP CLBLM_R_X35Y52 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X35Y52 INDEX 11705 TILEPROP CLBLM_R_X35Y52 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X35Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y52 NAME CLBLM_R_X35Y52 TILEPROP CLBLM_R_X35Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y52 NUM_SITES 2 TILEPROP CLBLM_R_X35Y52 ROW 101 TILEPROP CLBLM_R_X35Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y52 TILE_X 64506 TILEPROP CLBLM_R_X35Y52 TILE_Y -72000 TILEPROP CLBLM_R_X35Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y53 CLASS tile TILEPROP CLBLM_R_X35Y53 COLUMN 90 TILEPROP CLBLM_R_X35Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y53 FIRST_SITE_ID 10085 TILEPROP CLBLM_R_X35Y53 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X35Y53 INDEX 11590 TILEPROP CLBLM_R_X35Y53 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X35Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y53 NAME CLBLM_R_X35Y53 TILEPROP CLBLM_R_X35Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y53 NUM_SITES 2 TILEPROP CLBLM_R_X35Y53 ROW 100 TILEPROP CLBLM_R_X35Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y53 TILE_X 64506 TILEPROP CLBLM_R_X35Y53 TILE_Y -68800 TILEPROP CLBLM_R_X35Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y54 CLASS tile TILEPROP CLBLM_R_X35Y54 COLUMN 90 TILEPROP CLBLM_R_X35Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y54 FIRST_SITE_ID 9985 TILEPROP CLBLM_R_X35Y54 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X35Y54 INDEX 11475 TILEPROP CLBLM_R_X35Y54 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X35Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y54 NAME CLBLM_R_X35Y54 TILEPROP CLBLM_R_X35Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y54 NUM_SITES 2 TILEPROP CLBLM_R_X35Y54 ROW 99 TILEPROP CLBLM_R_X35Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y54 TILE_X 64506 TILEPROP CLBLM_R_X35Y54 TILE_Y -65600 TILEPROP CLBLM_R_X35Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y55 CLASS tile TILEPROP CLBLM_R_X35Y55 COLUMN 90 TILEPROP CLBLM_R_X35Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y55 FIRST_SITE_ID 9882 TILEPROP CLBLM_R_X35Y55 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X35Y55 INDEX 11360 TILEPROP CLBLM_R_X35Y55 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X35Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y55 NAME CLBLM_R_X35Y55 TILEPROP CLBLM_R_X35Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y55 NUM_SITES 2 TILEPROP CLBLM_R_X35Y55 ROW 98 TILEPROP CLBLM_R_X35Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y55 TILE_X 64506 TILEPROP CLBLM_R_X35Y55 TILE_Y -62400 TILEPROP CLBLM_R_X35Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y56 CLASS tile TILEPROP CLBLM_R_X35Y56 COLUMN 90 TILEPROP CLBLM_R_X35Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y56 FIRST_SITE_ID 9770 TILEPROP CLBLM_R_X35Y56 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X35Y56 INDEX 11245 TILEPROP CLBLM_R_X35Y56 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X35Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y56 NAME CLBLM_R_X35Y56 TILEPROP CLBLM_R_X35Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y56 NUM_SITES 2 TILEPROP CLBLM_R_X35Y56 ROW 97 TILEPROP CLBLM_R_X35Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y56 TILE_X 64506 TILEPROP CLBLM_R_X35Y56 TILE_Y -59200 TILEPROP CLBLM_R_X35Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y57 CLASS tile TILEPROP CLBLM_R_X35Y57 COLUMN 90 TILEPROP CLBLM_R_X35Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y57 FIRST_SITE_ID 9668 TILEPROP CLBLM_R_X35Y57 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X35Y57 INDEX 11130 TILEPROP CLBLM_R_X35Y57 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X35Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y57 NAME CLBLM_R_X35Y57 TILEPROP CLBLM_R_X35Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y57 NUM_SITES 2 TILEPROP CLBLM_R_X35Y57 ROW 96 TILEPROP CLBLM_R_X35Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y57 TILE_X 64506 TILEPROP CLBLM_R_X35Y57 TILE_Y -56000 TILEPROP CLBLM_R_X35Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y58 CLASS tile TILEPROP CLBLM_R_X35Y58 COLUMN 90 TILEPROP CLBLM_R_X35Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y58 FIRST_SITE_ID 9565 TILEPROP CLBLM_R_X35Y58 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X35Y58 INDEX 11015 TILEPROP CLBLM_R_X35Y58 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X35Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y58 NAME CLBLM_R_X35Y58 TILEPROP CLBLM_R_X35Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y58 NUM_SITES 2 TILEPROP CLBLM_R_X35Y58 ROW 95 TILEPROP CLBLM_R_X35Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y58 TILE_X 64506 TILEPROP CLBLM_R_X35Y58 TILE_Y -52800 TILEPROP CLBLM_R_X35Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y59 CLASS tile TILEPROP CLBLM_R_X35Y59 COLUMN 90 TILEPROP CLBLM_R_X35Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y59 FIRST_SITE_ID 9464 TILEPROP CLBLM_R_X35Y59 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X35Y59 INDEX 10900 TILEPROP CLBLM_R_X35Y59 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X35Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y59 NAME CLBLM_R_X35Y59 TILEPROP CLBLM_R_X35Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y59 NUM_SITES 2 TILEPROP CLBLM_R_X35Y59 ROW 94 TILEPROP CLBLM_R_X35Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y59 TILE_X 64506 TILEPROP CLBLM_R_X35Y59 TILE_Y -49600 TILEPROP CLBLM_R_X35Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y60 CLASS tile TILEPROP CLBLM_R_X35Y60 COLUMN 90 TILEPROP CLBLM_R_X35Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y60 FIRST_SITE_ID 9361 TILEPROP CLBLM_R_X35Y60 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X35Y60 INDEX 10785 TILEPROP CLBLM_R_X35Y60 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X35Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y60 NAME CLBLM_R_X35Y60 TILEPROP CLBLM_R_X35Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y60 NUM_SITES 2 TILEPROP CLBLM_R_X35Y60 ROW 93 TILEPROP CLBLM_R_X35Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y60 TILE_X 64506 TILEPROP CLBLM_R_X35Y60 TILE_Y -46400 TILEPROP CLBLM_R_X35Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y61 CLASS tile TILEPROP CLBLM_R_X35Y61 COLUMN 90 TILEPROP CLBLM_R_X35Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y61 FIRST_SITE_ID 9249 TILEPROP CLBLM_R_X35Y61 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X35Y61 INDEX 10670 TILEPROP CLBLM_R_X35Y61 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X35Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y61 NAME CLBLM_R_X35Y61 TILEPROP CLBLM_R_X35Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y61 NUM_SITES 2 TILEPROP CLBLM_R_X35Y61 ROW 92 TILEPROP CLBLM_R_X35Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y61 TILE_X 64506 TILEPROP CLBLM_R_X35Y61 TILE_Y -43200 TILEPROP CLBLM_R_X35Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y62 CLASS tile TILEPROP CLBLM_R_X35Y62 COLUMN 90 TILEPROP CLBLM_R_X35Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y62 FIRST_SITE_ID 9149 TILEPROP CLBLM_R_X35Y62 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X35Y62 INDEX 10555 TILEPROP CLBLM_R_X35Y62 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X35Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y62 NAME CLBLM_R_X35Y62 TILEPROP CLBLM_R_X35Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y62 NUM_SITES 2 TILEPROP CLBLM_R_X35Y62 ROW 91 TILEPROP CLBLM_R_X35Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y62 TILE_X 64506 TILEPROP CLBLM_R_X35Y62 TILE_Y -40000 TILEPROP CLBLM_R_X35Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y63 CLASS tile TILEPROP CLBLM_R_X35Y63 COLUMN 90 TILEPROP CLBLM_R_X35Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y63 FIRST_SITE_ID 9017 TILEPROP CLBLM_R_X35Y63 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X35Y63 INDEX 10440 TILEPROP CLBLM_R_X35Y63 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X35Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y63 NAME CLBLM_R_X35Y63 TILEPROP CLBLM_R_X35Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y63 NUM_SITES 2 TILEPROP CLBLM_R_X35Y63 ROW 90 TILEPROP CLBLM_R_X35Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y63 TILE_X 64506 TILEPROP CLBLM_R_X35Y63 TILE_Y -36800 TILEPROP CLBLM_R_X35Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y64 CLASS tile TILEPROP CLBLM_R_X35Y64 COLUMN 90 TILEPROP CLBLM_R_X35Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y64 FIRST_SITE_ID 8917 TILEPROP CLBLM_R_X35Y64 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X35Y64 INDEX 10325 TILEPROP CLBLM_R_X35Y64 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X35Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y64 NAME CLBLM_R_X35Y64 TILEPROP CLBLM_R_X35Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y64 NUM_SITES 2 TILEPROP CLBLM_R_X35Y64 ROW 89 TILEPROP CLBLM_R_X35Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y64 TILE_X 64506 TILEPROP CLBLM_R_X35Y64 TILE_Y -33600 TILEPROP CLBLM_R_X35Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y65 CLASS tile TILEPROP CLBLM_R_X35Y65 COLUMN 90 TILEPROP CLBLM_R_X35Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y65 FIRST_SITE_ID 8814 TILEPROP CLBLM_R_X35Y65 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X35Y65 INDEX 10210 TILEPROP CLBLM_R_X35Y65 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X35Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y65 NAME CLBLM_R_X35Y65 TILEPROP CLBLM_R_X35Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y65 NUM_SITES 2 TILEPROP CLBLM_R_X35Y65 ROW 88 TILEPROP CLBLM_R_X35Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y65 TILE_X 64506 TILEPROP CLBLM_R_X35Y65 TILE_Y -30400 TILEPROP CLBLM_R_X35Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y66 CLASS tile TILEPROP CLBLM_R_X35Y66 COLUMN 90 TILEPROP CLBLM_R_X35Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y66 FIRST_SITE_ID 8702 TILEPROP CLBLM_R_X35Y66 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X35Y66 INDEX 10095 TILEPROP CLBLM_R_X35Y66 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X35Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y66 NAME CLBLM_R_X35Y66 TILEPROP CLBLM_R_X35Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y66 NUM_SITES 2 TILEPROP CLBLM_R_X35Y66 ROW 87 TILEPROP CLBLM_R_X35Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y66 TILE_X 64506 TILEPROP CLBLM_R_X35Y66 TILE_Y -27200 TILEPROP CLBLM_R_X35Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y67 CLASS tile TILEPROP CLBLM_R_X35Y67 COLUMN 90 TILEPROP CLBLM_R_X35Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y67 FIRST_SITE_ID 8598 TILEPROP CLBLM_R_X35Y67 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X35Y67 INDEX 9980 TILEPROP CLBLM_R_X35Y67 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X35Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y67 NAME CLBLM_R_X35Y67 TILEPROP CLBLM_R_X35Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y67 NUM_SITES 2 TILEPROP CLBLM_R_X35Y67 ROW 86 TILEPROP CLBLM_R_X35Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y67 TILE_X 64506 TILEPROP CLBLM_R_X35Y67 TILE_Y -24000 TILEPROP CLBLM_R_X35Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y68 CLASS tile TILEPROP CLBLM_R_X35Y68 COLUMN 90 TILEPROP CLBLM_R_X35Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y68 FIRST_SITE_ID 8494 TILEPROP CLBLM_R_X35Y68 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X35Y68 INDEX 9865 TILEPROP CLBLM_R_X35Y68 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X35Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y68 NAME CLBLM_R_X35Y68 TILEPROP CLBLM_R_X35Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y68 NUM_SITES 2 TILEPROP CLBLM_R_X35Y68 ROW 85 TILEPROP CLBLM_R_X35Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y68 TILE_X 64506 TILEPROP CLBLM_R_X35Y68 TILE_Y -20800 TILEPROP CLBLM_R_X35Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y69 CLASS tile TILEPROP CLBLM_R_X35Y69 COLUMN 90 TILEPROP CLBLM_R_X35Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y69 FIRST_SITE_ID 8392 TILEPROP CLBLM_R_X35Y69 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X35Y69 INDEX 9750 TILEPROP CLBLM_R_X35Y69 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X35Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y69 NAME CLBLM_R_X35Y69 TILEPROP CLBLM_R_X35Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y69 NUM_SITES 2 TILEPROP CLBLM_R_X35Y69 ROW 84 TILEPROP CLBLM_R_X35Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y69 TILE_X 64506 TILEPROP CLBLM_R_X35Y69 TILE_Y -17600 TILEPROP CLBLM_R_X35Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y70 CLASS tile TILEPROP CLBLM_R_X35Y70 COLUMN 90 TILEPROP CLBLM_R_X35Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y70 FIRST_SITE_ID 8287 TILEPROP CLBLM_R_X35Y70 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X35Y70 INDEX 9635 TILEPROP CLBLM_R_X35Y70 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X35Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y70 NAME CLBLM_R_X35Y70 TILEPROP CLBLM_R_X35Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y70 NUM_SITES 2 TILEPROP CLBLM_R_X35Y70 ROW 83 TILEPROP CLBLM_R_X35Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y70 TILE_X 64506 TILEPROP CLBLM_R_X35Y70 TILE_Y -14400 TILEPROP CLBLM_R_X35Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y71 CLASS tile TILEPROP CLBLM_R_X35Y71 COLUMN 90 TILEPROP CLBLM_R_X35Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y71 FIRST_SITE_ID 8175 TILEPROP CLBLM_R_X35Y71 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X35Y71 INDEX 9520 TILEPROP CLBLM_R_X35Y71 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X35Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y71 NAME CLBLM_R_X35Y71 TILEPROP CLBLM_R_X35Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y71 NUM_SITES 2 TILEPROP CLBLM_R_X35Y71 ROW 82 TILEPROP CLBLM_R_X35Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y71 TILE_X 64506 TILEPROP CLBLM_R_X35Y71 TILE_Y -11200 TILEPROP CLBLM_R_X35Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y72 CLASS tile TILEPROP CLBLM_R_X35Y72 COLUMN 90 TILEPROP CLBLM_R_X35Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y72 FIRST_SITE_ID 8075 TILEPROP CLBLM_R_X35Y72 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X35Y72 INDEX 9405 TILEPROP CLBLM_R_X35Y72 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X35Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y72 NAME CLBLM_R_X35Y72 TILEPROP CLBLM_R_X35Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y72 NUM_SITES 2 TILEPROP CLBLM_R_X35Y72 ROW 81 TILEPROP CLBLM_R_X35Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y72 TILE_X 64506 TILEPROP CLBLM_R_X35Y72 TILE_Y -8000 TILEPROP CLBLM_R_X35Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y73 CLASS tile TILEPROP CLBLM_R_X35Y73 COLUMN 90 TILEPROP CLBLM_R_X35Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y73 FIRST_SITE_ID 7975 TILEPROP CLBLM_R_X35Y73 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X35Y73 INDEX 9290 TILEPROP CLBLM_R_X35Y73 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X35Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y73 NAME CLBLM_R_X35Y73 TILEPROP CLBLM_R_X35Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y73 NUM_SITES 2 TILEPROP CLBLM_R_X35Y73 ROW 80 TILEPROP CLBLM_R_X35Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y73 TILE_X 64506 TILEPROP CLBLM_R_X35Y73 TILE_Y -4800 TILEPROP CLBLM_R_X35Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y74 CLASS tile TILEPROP CLBLM_R_X35Y74 COLUMN 90 TILEPROP CLBLM_R_X35Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y74 FIRST_SITE_ID 7875 TILEPROP CLBLM_R_X35Y74 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X35Y74 INDEX 9175 TILEPROP CLBLM_R_X35Y74 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X35Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y74 NAME CLBLM_R_X35Y74 TILEPROP CLBLM_R_X35Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y74 NUM_SITES 2 TILEPROP CLBLM_R_X35Y74 ROW 79 TILEPROP CLBLM_R_X35Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X35Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y74 TILE_X 64506 TILEPROP CLBLM_R_X35Y74 TILE_Y -1600 TILEPROP CLBLM_R_X35Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y75 CLASS tile TILEPROP CLBLM_R_X35Y75 COLUMN 90 TILEPROP CLBLM_R_X35Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y75 FIRST_SITE_ID 7689 TILEPROP CLBLM_R_X35Y75 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X35Y75 INDEX 8945 TILEPROP CLBLM_R_X35Y75 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X35Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y75 NAME CLBLM_R_X35Y75 TILEPROP CLBLM_R_X35Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y75 NUM_SITES 2 TILEPROP CLBLM_R_X35Y75 ROW 77 TILEPROP CLBLM_R_X35Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X35Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y75 TILE_X 64506 TILEPROP CLBLM_R_X35Y75 TILE_Y 2624 TILEPROP CLBLM_R_X35Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y76 CLASS tile TILEPROP CLBLM_R_X35Y76 COLUMN 90 TILEPROP CLBLM_R_X35Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y76 FIRST_SITE_ID 7574 TILEPROP CLBLM_R_X35Y76 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X35Y76 INDEX 8830 TILEPROP CLBLM_R_X35Y76 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X35Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y76 NAME CLBLM_R_X35Y76 TILEPROP CLBLM_R_X35Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y76 NUM_SITES 2 TILEPROP CLBLM_R_X35Y76 ROW 76 TILEPROP CLBLM_R_X35Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y76 TILE_X 64506 TILEPROP CLBLM_R_X35Y76 TILE_Y 5824 TILEPROP CLBLM_R_X35Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y77 CLASS tile TILEPROP CLBLM_R_X35Y77 COLUMN 90 TILEPROP CLBLM_R_X35Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y77 FIRST_SITE_ID 7474 TILEPROP CLBLM_R_X35Y77 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X35Y77 INDEX 8715 TILEPROP CLBLM_R_X35Y77 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X35Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y77 NAME CLBLM_R_X35Y77 TILEPROP CLBLM_R_X35Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y77 NUM_SITES 2 TILEPROP CLBLM_R_X35Y77 ROW 75 TILEPROP CLBLM_R_X35Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y77 TILE_X 64506 TILEPROP CLBLM_R_X35Y77 TILE_Y 9024 TILEPROP CLBLM_R_X35Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y78 CLASS tile TILEPROP CLBLM_R_X35Y78 COLUMN 90 TILEPROP CLBLM_R_X35Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y78 FIRST_SITE_ID 7374 TILEPROP CLBLM_R_X35Y78 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X35Y78 INDEX 8600 TILEPROP CLBLM_R_X35Y78 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X35Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y78 NAME CLBLM_R_X35Y78 TILEPROP CLBLM_R_X35Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y78 NUM_SITES 2 TILEPROP CLBLM_R_X35Y78 ROW 74 TILEPROP CLBLM_R_X35Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y78 TILE_X 64506 TILEPROP CLBLM_R_X35Y78 TILE_Y 12224 TILEPROP CLBLM_R_X35Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y79 CLASS tile TILEPROP CLBLM_R_X35Y79 COLUMN 90 TILEPROP CLBLM_R_X35Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y79 FIRST_SITE_ID 7268 TILEPROP CLBLM_R_X35Y79 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X35Y79 INDEX 8485 TILEPROP CLBLM_R_X35Y79 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X35Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y79 NAME CLBLM_R_X35Y79 TILEPROP CLBLM_R_X35Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y79 NUM_SITES 2 TILEPROP CLBLM_R_X35Y79 ROW 73 TILEPROP CLBLM_R_X35Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y79 TILE_X 64506 TILEPROP CLBLM_R_X35Y79 TILE_Y 15424 TILEPROP CLBLM_R_X35Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y80 CLASS tile TILEPROP CLBLM_R_X35Y80 COLUMN 90 TILEPROP CLBLM_R_X35Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y80 FIRST_SITE_ID 7159 TILEPROP CLBLM_R_X35Y80 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X35Y80 INDEX 8370 TILEPROP CLBLM_R_X35Y80 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X35Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y80 NAME CLBLM_R_X35Y80 TILEPROP CLBLM_R_X35Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y80 NUM_SITES 2 TILEPROP CLBLM_R_X35Y80 ROW 72 TILEPROP CLBLM_R_X35Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y80 TILE_X 64506 TILEPROP CLBLM_R_X35Y80 TILE_Y 18624 TILEPROP CLBLM_R_X35Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y81 CLASS tile TILEPROP CLBLM_R_X35Y81 COLUMN 90 TILEPROP CLBLM_R_X35Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y81 FIRST_SITE_ID 7045 TILEPROP CLBLM_R_X35Y81 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X35Y81 INDEX 8255 TILEPROP CLBLM_R_X35Y81 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X35Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y81 NAME CLBLM_R_X35Y81 TILEPROP CLBLM_R_X35Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y81 NUM_SITES 2 TILEPROP CLBLM_R_X35Y81 ROW 71 TILEPROP CLBLM_R_X35Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y81 TILE_X 64506 TILEPROP CLBLM_R_X35Y81 TILE_Y 21824 TILEPROP CLBLM_R_X35Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y82 CLASS tile TILEPROP CLBLM_R_X35Y82 COLUMN 90 TILEPROP CLBLM_R_X35Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y82 FIRST_SITE_ID 6943 TILEPROP CLBLM_R_X35Y82 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X35Y82 INDEX 8140 TILEPROP CLBLM_R_X35Y82 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X35Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y82 NAME CLBLM_R_X35Y82 TILEPROP CLBLM_R_X35Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y82 NUM_SITES 2 TILEPROP CLBLM_R_X35Y82 ROW 70 TILEPROP CLBLM_R_X35Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y82 TILE_X 64506 TILEPROP CLBLM_R_X35Y82 TILE_Y 25024 TILEPROP CLBLM_R_X35Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y83 CLASS tile TILEPROP CLBLM_R_X35Y83 COLUMN 90 TILEPROP CLBLM_R_X35Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y83 FIRST_SITE_ID 6843 TILEPROP CLBLM_R_X35Y83 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X35Y83 INDEX 8025 TILEPROP CLBLM_R_X35Y83 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X35Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y83 NAME CLBLM_R_X35Y83 TILEPROP CLBLM_R_X35Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y83 NUM_SITES 2 TILEPROP CLBLM_R_X35Y83 ROW 69 TILEPROP CLBLM_R_X35Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y83 TILE_X 64506 TILEPROP CLBLM_R_X35Y83 TILE_Y 28224 TILEPROP CLBLM_R_X35Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y84 CLASS tile TILEPROP CLBLM_R_X35Y84 COLUMN 90 TILEPROP CLBLM_R_X35Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y84 FIRST_SITE_ID 6743 TILEPROP CLBLM_R_X35Y84 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X35Y84 INDEX 7910 TILEPROP CLBLM_R_X35Y84 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X35Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y84 NAME CLBLM_R_X35Y84 TILEPROP CLBLM_R_X35Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y84 NUM_SITES 2 TILEPROP CLBLM_R_X35Y84 ROW 68 TILEPROP CLBLM_R_X35Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y84 TILE_X 64506 TILEPROP CLBLM_R_X35Y84 TILE_Y 31424 TILEPROP CLBLM_R_X35Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y85 CLASS tile TILEPROP CLBLM_R_X35Y85 COLUMN 90 TILEPROP CLBLM_R_X35Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y85 FIRST_SITE_ID 6640 TILEPROP CLBLM_R_X35Y85 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X35Y85 INDEX 7795 TILEPROP CLBLM_R_X35Y85 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X35Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y85 NAME CLBLM_R_X35Y85 TILEPROP CLBLM_R_X35Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y85 NUM_SITES 2 TILEPROP CLBLM_R_X35Y85 ROW 67 TILEPROP CLBLM_R_X35Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y85 TILE_X 64506 TILEPROP CLBLM_R_X35Y85 TILE_Y 34624 TILEPROP CLBLM_R_X35Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y86 CLASS tile TILEPROP CLBLM_R_X35Y86 COLUMN 90 TILEPROP CLBLM_R_X35Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y86 FIRST_SITE_ID 6528 TILEPROP CLBLM_R_X35Y86 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X35Y86 INDEX 7680 TILEPROP CLBLM_R_X35Y86 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X35Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y86 NAME CLBLM_R_X35Y86 TILEPROP CLBLM_R_X35Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y86 NUM_SITES 2 TILEPROP CLBLM_R_X35Y86 ROW 66 TILEPROP CLBLM_R_X35Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y86 TILE_X 64506 TILEPROP CLBLM_R_X35Y86 TILE_Y 37824 TILEPROP CLBLM_R_X35Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y87 CLASS tile TILEPROP CLBLM_R_X35Y87 COLUMN 90 TILEPROP CLBLM_R_X35Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y87 FIRST_SITE_ID 6396 TILEPROP CLBLM_R_X35Y87 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X35Y87 INDEX 7565 TILEPROP CLBLM_R_X35Y87 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X35Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y87 NAME CLBLM_R_X35Y87 TILEPROP CLBLM_R_X35Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y87 NUM_SITES 2 TILEPROP CLBLM_R_X35Y87 ROW 65 TILEPROP CLBLM_R_X35Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y87 TILE_X 64506 TILEPROP CLBLM_R_X35Y87 TILE_Y 41024 TILEPROP CLBLM_R_X35Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y88 CLASS tile TILEPROP CLBLM_R_X35Y88 COLUMN 90 TILEPROP CLBLM_R_X35Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y88 FIRST_SITE_ID 6296 TILEPROP CLBLM_R_X35Y88 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X35Y88 INDEX 7450 TILEPROP CLBLM_R_X35Y88 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X35Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y88 NAME CLBLM_R_X35Y88 TILEPROP CLBLM_R_X35Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y88 NUM_SITES 2 TILEPROP CLBLM_R_X35Y88 ROW 64 TILEPROP CLBLM_R_X35Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y88 TILE_X 64506 TILEPROP CLBLM_R_X35Y88 TILE_Y 44224 TILEPROP CLBLM_R_X35Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y89 CLASS tile TILEPROP CLBLM_R_X35Y89 COLUMN 90 TILEPROP CLBLM_R_X35Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y89 FIRST_SITE_ID 6196 TILEPROP CLBLM_R_X35Y89 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X35Y89 INDEX 7335 TILEPROP CLBLM_R_X35Y89 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X35Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y89 NAME CLBLM_R_X35Y89 TILEPROP CLBLM_R_X35Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y89 NUM_SITES 2 TILEPROP CLBLM_R_X35Y89 ROW 63 TILEPROP CLBLM_R_X35Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y89 TILE_X 64506 TILEPROP CLBLM_R_X35Y89 TILE_Y 47424 TILEPROP CLBLM_R_X35Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y90 CLASS tile TILEPROP CLBLM_R_X35Y90 COLUMN 90 TILEPROP CLBLM_R_X35Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y90 FIRST_SITE_ID 6093 TILEPROP CLBLM_R_X35Y90 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X35Y90 INDEX 7220 TILEPROP CLBLM_R_X35Y90 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X35Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y90 NAME CLBLM_R_X35Y90 TILEPROP CLBLM_R_X35Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y90 NUM_SITES 2 TILEPROP CLBLM_R_X35Y90 ROW 62 TILEPROP CLBLM_R_X35Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y90 TILE_X 64506 TILEPROP CLBLM_R_X35Y90 TILE_Y 50624 TILEPROP CLBLM_R_X35Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y91 CLASS tile TILEPROP CLBLM_R_X35Y91 COLUMN 90 TILEPROP CLBLM_R_X35Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y91 FIRST_SITE_ID 5981 TILEPROP CLBLM_R_X35Y91 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X35Y91 INDEX 7105 TILEPROP CLBLM_R_X35Y91 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X35Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y91 NAME CLBLM_R_X35Y91 TILEPROP CLBLM_R_X35Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y91 NUM_SITES 2 TILEPROP CLBLM_R_X35Y91 ROW 61 TILEPROP CLBLM_R_X35Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y91 TILE_X 64506 TILEPROP CLBLM_R_X35Y91 TILE_Y 53824 TILEPROP CLBLM_R_X35Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y92 CLASS tile TILEPROP CLBLM_R_X35Y92 COLUMN 90 TILEPROP CLBLM_R_X35Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y92 FIRST_SITE_ID 5880 TILEPROP CLBLM_R_X35Y92 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X35Y92 INDEX 6990 TILEPROP CLBLM_R_X35Y92 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X35Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y92 NAME CLBLM_R_X35Y92 TILEPROP CLBLM_R_X35Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y92 NUM_SITES 2 TILEPROP CLBLM_R_X35Y92 ROW 60 TILEPROP CLBLM_R_X35Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y92 TILE_X 64506 TILEPROP CLBLM_R_X35Y92 TILE_Y 57024 TILEPROP CLBLM_R_X35Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y93 CLASS tile TILEPROP CLBLM_R_X35Y93 COLUMN 90 TILEPROP CLBLM_R_X35Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y93 FIRST_SITE_ID 5777 TILEPROP CLBLM_R_X35Y93 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X35Y93 INDEX 6875 TILEPROP CLBLM_R_X35Y93 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X35Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y93 NAME CLBLM_R_X35Y93 TILEPROP CLBLM_R_X35Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y93 NUM_SITES 2 TILEPROP CLBLM_R_X35Y93 ROW 59 TILEPROP CLBLM_R_X35Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y93 TILE_X 64506 TILEPROP CLBLM_R_X35Y93 TILE_Y 60224 TILEPROP CLBLM_R_X35Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y94 CLASS tile TILEPROP CLBLM_R_X35Y94 COLUMN 90 TILEPROP CLBLM_R_X35Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y94 FIRST_SITE_ID 5675 TILEPROP CLBLM_R_X35Y94 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X35Y94 INDEX 6760 TILEPROP CLBLM_R_X35Y94 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X35Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y94 NAME CLBLM_R_X35Y94 TILEPROP CLBLM_R_X35Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y94 NUM_SITES 2 TILEPROP CLBLM_R_X35Y94 ROW 58 TILEPROP CLBLM_R_X35Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y94 TILE_X 64506 TILEPROP CLBLM_R_X35Y94 TILE_Y 63424 TILEPROP CLBLM_R_X35Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y95 CLASS tile TILEPROP CLBLM_R_X35Y95 COLUMN 90 TILEPROP CLBLM_R_X35Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y95 FIRST_SITE_ID 5572 TILEPROP CLBLM_R_X35Y95 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X35Y95 INDEX 6645 TILEPROP CLBLM_R_X35Y95 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X35Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y95 NAME CLBLM_R_X35Y95 TILEPROP CLBLM_R_X35Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y95 NUM_SITES 2 TILEPROP CLBLM_R_X35Y95 ROW 57 TILEPROP CLBLM_R_X35Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y95 TILE_X 64506 TILEPROP CLBLM_R_X35Y95 TILE_Y 66624 TILEPROP CLBLM_R_X35Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y96 CLASS tile TILEPROP CLBLM_R_X35Y96 COLUMN 90 TILEPROP CLBLM_R_X35Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y96 FIRST_SITE_ID 5460 TILEPROP CLBLM_R_X35Y96 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X35Y96 INDEX 6530 TILEPROP CLBLM_R_X35Y96 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X35Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y96 NAME CLBLM_R_X35Y96 TILEPROP CLBLM_R_X35Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y96 NUM_SITES 2 TILEPROP CLBLM_R_X35Y96 ROW 56 TILEPROP CLBLM_R_X35Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y96 TILE_X 64506 TILEPROP CLBLM_R_X35Y96 TILE_Y 69824 TILEPROP CLBLM_R_X35Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y97 CLASS tile TILEPROP CLBLM_R_X35Y97 COLUMN 90 TILEPROP CLBLM_R_X35Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y97 FIRST_SITE_ID 5360 TILEPROP CLBLM_R_X35Y97 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X35Y97 INDEX 6415 TILEPROP CLBLM_R_X35Y97 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X35Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y97 NAME CLBLM_R_X35Y97 TILEPROP CLBLM_R_X35Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y97 NUM_SITES 2 TILEPROP CLBLM_R_X35Y97 ROW 55 TILEPROP CLBLM_R_X35Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y97 TILE_X 64506 TILEPROP CLBLM_R_X35Y97 TILE_Y 73024 TILEPROP CLBLM_R_X35Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y98 CLASS tile TILEPROP CLBLM_R_X35Y98 COLUMN 90 TILEPROP CLBLM_R_X35Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y98 FIRST_SITE_ID 5260 TILEPROP CLBLM_R_X35Y98 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X35Y98 INDEX 6300 TILEPROP CLBLM_R_X35Y98 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X35Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y98 NAME CLBLM_R_X35Y98 TILEPROP CLBLM_R_X35Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y98 NUM_SITES 2 TILEPROP CLBLM_R_X35Y98 ROW 54 TILEPROP CLBLM_R_X35Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y98 TILE_X 64506 TILEPROP CLBLM_R_X35Y98 TILE_Y 76224 TILEPROP CLBLM_R_X35Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y99 CLASS tile TILEPROP CLBLM_R_X35Y99 COLUMN 90 TILEPROP CLBLM_R_X35Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y99 FIRST_SITE_ID 5164 TILEPROP CLBLM_R_X35Y99 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X35Y99 INDEX 6185 TILEPROP CLBLM_R_X35Y99 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X35Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y99 NAME CLBLM_R_X35Y99 TILEPROP CLBLM_R_X35Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y99 NUM_SITES 2 TILEPROP CLBLM_R_X35Y99 ROW 53 TILEPROP CLBLM_R_X35Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y99 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X35Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y99 TILE_X 64506 TILEPROP CLBLM_R_X35Y99 TILE_Y 79424 TILEPROP CLBLM_R_X35Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y100 CLASS tile TILEPROP CLBLM_R_X35Y100 COLUMN 90 TILEPROP CLBLM_R_X35Y100 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y100 FIRST_SITE_ID 5082 TILEPROP CLBLM_R_X35Y100 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y100 GRID_POINT_Y 51 TILEPROP CLBLM_R_X35Y100 INDEX 5955 TILEPROP CLBLM_R_X35Y100 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y100 INT_TILE_Y 49 TILEPROP CLBLM_R_X35Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y100 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y100 NAME CLBLM_R_X35Y100 TILEPROP CLBLM_R_X35Y100 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y100 NUM_SITES 2 TILEPROP CLBLM_R_X35Y100 ROW 51 TILEPROP CLBLM_R_X35Y100 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y100 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X35Y100 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y100 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y100 TILE_X 64506 TILEPROP CLBLM_R_X35Y100 TILE_Y 82872 TILEPROP CLBLM_R_X35Y100 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y101 CLASS tile TILEPROP CLBLM_R_X35Y101 COLUMN 90 TILEPROP CLBLM_R_X35Y101 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y101 FIRST_SITE_ID 4981 TILEPROP CLBLM_R_X35Y101 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y101 GRID_POINT_Y 50 TILEPROP CLBLM_R_X35Y101 INDEX 5840 TILEPROP CLBLM_R_X35Y101 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y101 INT_TILE_Y 48 TILEPROP CLBLM_R_X35Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y101 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y101 NAME CLBLM_R_X35Y101 TILEPROP CLBLM_R_X35Y101 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y101 NUM_SITES 2 TILEPROP CLBLM_R_X35Y101 ROW 50 TILEPROP CLBLM_R_X35Y101 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y101 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y101 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y101 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y101 TILE_X 64506 TILEPROP CLBLM_R_X35Y101 TILE_Y 86072 TILEPROP CLBLM_R_X35Y101 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y102 CLASS tile TILEPROP CLBLM_R_X35Y102 COLUMN 90 TILEPROP CLBLM_R_X35Y102 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y102 FIRST_SITE_ID 4885 TILEPROP CLBLM_R_X35Y102 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y102 GRID_POINT_Y 49 TILEPROP CLBLM_R_X35Y102 INDEX 5725 TILEPROP CLBLM_R_X35Y102 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y102 INT_TILE_Y 47 TILEPROP CLBLM_R_X35Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y102 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y102 NAME CLBLM_R_X35Y102 TILEPROP CLBLM_R_X35Y102 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y102 NUM_SITES 2 TILEPROP CLBLM_R_X35Y102 ROW 49 TILEPROP CLBLM_R_X35Y102 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y102 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y102 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y102 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y102 TILE_X 64506 TILEPROP CLBLM_R_X35Y102 TILE_Y 89272 TILEPROP CLBLM_R_X35Y102 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y103 CLASS tile TILEPROP CLBLM_R_X35Y103 COLUMN 90 TILEPROP CLBLM_R_X35Y103 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y103 FIRST_SITE_ID 4797 TILEPROP CLBLM_R_X35Y103 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y103 GRID_POINT_Y 48 TILEPROP CLBLM_R_X35Y103 INDEX 5610 TILEPROP CLBLM_R_X35Y103 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y103 INT_TILE_Y 46 TILEPROP CLBLM_R_X35Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y103 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y103 NAME CLBLM_R_X35Y103 TILEPROP CLBLM_R_X35Y103 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y103 NUM_SITES 2 TILEPROP CLBLM_R_X35Y103 ROW 48 TILEPROP CLBLM_R_X35Y103 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y103 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y103 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y103 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y103 TILE_X 64506 TILEPROP CLBLM_R_X35Y103 TILE_Y 92472 TILEPROP CLBLM_R_X35Y103 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y104 CLASS tile TILEPROP CLBLM_R_X35Y104 COLUMN 90 TILEPROP CLBLM_R_X35Y104 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y104 FIRST_SITE_ID 4701 TILEPROP CLBLM_R_X35Y104 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y104 GRID_POINT_Y 47 TILEPROP CLBLM_R_X35Y104 INDEX 5495 TILEPROP CLBLM_R_X35Y104 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y104 INT_TILE_Y 45 TILEPROP CLBLM_R_X35Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y104 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y104 NAME CLBLM_R_X35Y104 TILEPROP CLBLM_R_X35Y104 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y104 NUM_SITES 2 TILEPROP CLBLM_R_X35Y104 ROW 47 TILEPROP CLBLM_R_X35Y104 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y104 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y104 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y104 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y104 TILE_X 64506 TILEPROP CLBLM_R_X35Y104 TILE_Y 95672 TILEPROP CLBLM_R_X35Y104 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y105 CLASS tile TILEPROP CLBLM_R_X35Y105 COLUMN 90 TILEPROP CLBLM_R_X35Y105 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y105 FIRST_SITE_ID 4608 TILEPROP CLBLM_R_X35Y105 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y105 GRID_POINT_Y 46 TILEPROP CLBLM_R_X35Y105 INDEX 5380 TILEPROP CLBLM_R_X35Y105 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y105 INT_TILE_Y 44 TILEPROP CLBLM_R_X35Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y105 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y105 NAME CLBLM_R_X35Y105 TILEPROP CLBLM_R_X35Y105 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y105 NUM_SITES 2 TILEPROP CLBLM_R_X35Y105 ROW 46 TILEPROP CLBLM_R_X35Y105 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y105 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y105 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y105 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y105 TILE_X 64506 TILEPROP CLBLM_R_X35Y105 TILE_Y 98872 TILEPROP CLBLM_R_X35Y105 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y106 CLASS tile TILEPROP CLBLM_R_X35Y106 COLUMN 90 TILEPROP CLBLM_R_X35Y106 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y106 FIRST_SITE_ID 4503 TILEPROP CLBLM_R_X35Y106 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y106 GRID_POINT_Y 45 TILEPROP CLBLM_R_X35Y106 INDEX 5265 TILEPROP CLBLM_R_X35Y106 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y106 INT_TILE_Y 43 TILEPROP CLBLM_R_X35Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y106 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y106 NAME CLBLM_R_X35Y106 TILEPROP CLBLM_R_X35Y106 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y106 NUM_SITES 2 TILEPROP CLBLM_R_X35Y106 ROW 45 TILEPROP CLBLM_R_X35Y106 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y106 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y106 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y106 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y106 TILE_X 64506 TILEPROP CLBLM_R_X35Y106 TILE_Y 102072 TILEPROP CLBLM_R_X35Y106 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y107 CLASS tile TILEPROP CLBLM_R_X35Y107 COLUMN 90 TILEPROP CLBLM_R_X35Y107 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y107 FIRST_SITE_ID 4415 TILEPROP CLBLM_R_X35Y107 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y107 GRID_POINT_Y 44 TILEPROP CLBLM_R_X35Y107 INDEX 5150 TILEPROP CLBLM_R_X35Y107 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y107 INT_TILE_Y 42 TILEPROP CLBLM_R_X35Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y107 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y107 NAME CLBLM_R_X35Y107 TILEPROP CLBLM_R_X35Y107 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y107 NUM_SITES 2 TILEPROP CLBLM_R_X35Y107 ROW 44 TILEPROP CLBLM_R_X35Y107 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y107 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y107 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y107 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y107 TILE_X 64506 TILEPROP CLBLM_R_X35Y107 TILE_Y 105272 TILEPROP CLBLM_R_X35Y107 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y108 CLASS tile TILEPROP CLBLM_R_X35Y108 COLUMN 90 TILEPROP CLBLM_R_X35Y108 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y108 FIRST_SITE_ID 4317 TILEPROP CLBLM_R_X35Y108 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y108 GRID_POINT_Y 43 TILEPROP CLBLM_R_X35Y108 INDEX 5035 TILEPROP CLBLM_R_X35Y108 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y108 INT_TILE_Y 41 TILEPROP CLBLM_R_X35Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y108 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y108 NAME CLBLM_R_X35Y108 TILEPROP CLBLM_R_X35Y108 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y108 NUM_SITES 2 TILEPROP CLBLM_R_X35Y108 ROW 43 TILEPROP CLBLM_R_X35Y108 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y108 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y108 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y108 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y108 TILE_X 64506 TILEPROP CLBLM_R_X35Y108 TILE_Y 108472 TILEPROP CLBLM_R_X35Y108 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y109 CLASS tile TILEPROP CLBLM_R_X35Y109 COLUMN 90 TILEPROP CLBLM_R_X35Y109 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y109 FIRST_SITE_ID 4228 TILEPROP CLBLM_R_X35Y109 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y109 GRID_POINT_Y 42 TILEPROP CLBLM_R_X35Y109 INDEX 4920 TILEPROP CLBLM_R_X35Y109 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y109 INT_TILE_Y 40 TILEPROP CLBLM_R_X35Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y109 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y109 NAME CLBLM_R_X35Y109 TILEPROP CLBLM_R_X35Y109 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y109 NUM_SITES 2 TILEPROP CLBLM_R_X35Y109 ROW 42 TILEPROP CLBLM_R_X35Y109 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y109 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y109 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y109 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y109 TILE_X 64506 TILEPROP CLBLM_R_X35Y109 TILE_Y 111672 TILEPROP CLBLM_R_X35Y109 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y110 CLASS tile TILEPROP CLBLM_R_X35Y110 COLUMN 90 TILEPROP CLBLM_R_X35Y110 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y110 FIRST_SITE_ID 4132 TILEPROP CLBLM_R_X35Y110 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y110 GRID_POINT_Y 41 TILEPROP CLBLM_R_X35Y110 INDEX 4805 TILEPROP CLBLM_R_X35Y110 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y110 INT_TILE_Y 39 TILEPROP CLBLM_R_X35Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y110 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y110 NAME CLBLM_R_X35Y110 TILEPROP CLBLM_R_X35Y110 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y110 NUM_SITES 2 TILEPROP CLBLM_R_X35Y110 ROW 41 TILEPROP CLBLM_R_X35Y110 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y110 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y110 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y110 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y110 TILE_X 64506 TILEPROP CLBLM_R_X35Y110 TILE_Y 114872 TILEPROP CLBLM_R_X35Y110 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y111 CLASS tile TILEPROP CLBLM_R_X35Y111 COLUMN 90 TILEPROP CLBLM_R_X35Y111 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y111 FIRST_SITE_ID 4034 TILEPROP CLBLM_R_X35Y111 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y111 GRID_POINT_Y 40 TILEPROP CLBLM_R_X35Y111 INDEX 4690 TILEPROP CLBLM_R_X35Y111 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y111 INT_TILE_Y 38 TILEPROP CLBLM_R_X35Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y111 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y111 NAME CLBLM_R_X35Y111 TILEPROP CLBLM_R_X35Y111 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y111 NUM_SITES 2 TILEPROP CLBLM_R_X35Y111 ROW 40 TILEPROP CLBLM_R_X35Y111 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y111 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y111 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y111 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y111 TILE_X 64506 TILEPROP CLBLM_R_X35Y111 TILE_Y 118072 TILEPROP CLBLM_R_X35Y111 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y112 CLASS tile TILEPROP CLBLM_R_X35Y112 COLUMN 90 TILEPROP CLBLM_R_X35Y112 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y112 FIRST_SITE_ID 3938 TILEPROP CLBLM_R_X35Y112 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y112 GRID_POINT_Y 39 TILEPROP CLBLM_R_X35Y112 INDEX 4575 TILEPROP CLBLM_R_X35Y112 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y112 INT_TILE_Y 37 TILEPROP CLBLM_R_X35Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y112 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y112 NAME CLBLM_R_X35Y112 TILEPROP CLBLM_R_X35Y112 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y112 NUM_SITES 2 TILEPROP CLBLM_R_X35Y112 ROW 39 TILEPROP CLBLM_R_X35Y112 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y112 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y112 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y112 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y112 TILE_X 64506 TILEPROP CLBLM_R_X35Y112 TILE_Y 121272 TILEPROP CLBLM_R_X35Y112 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y113 CLASS tile TILEPROP CLBLM_R_X35Y113 COLUMN 90 TILEPROP CLBLM_R_X35Y113 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y113 FIRST_SITE_ID 3818 TILEPROP CLBLM_R_X35Y113 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y113 GRID_POINT_Y 38 TILEPROP CLBLM_R_X35Y113 INDEX 4460 TILEPROP CLBLM_R_X35Y113 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y113 INT_TILE_Y 36 TILEPROP CLBLM_R_X35Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y113 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y113 NAME CLBLM_R_X35Y113 TILEPROP CLBLM_R_X35Y113 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y113 NUM_SITES 2 TILEPROP CLBLM_R_X35Y113 ROW 38 TILEPROP CLBLM_R_X35Y113 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y113 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y113 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y113 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y113 TILE_X 64506 TILEPROP CLBLM_R_X35Y113 TILE_Y 124472 TILEPROP CLBLM_R_X35Y113 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y114 CLASS tile TILEPROP CLBLM_R_X35Y114 COLUMN 90 TILEPROP CLBLM_R_X35Y114 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y114 FIRST_SITE_ID 3722 TILEPROP CLBLM_R_X35Y114 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y114 GRID_POINT_Y 37 TILEPROP CLBLM_R_X35Y114 INDEX 4345 TILEPROP CLBLM_R_X35Y114 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y114 INT_TILE_Y 35 TILEPROP CLBLM_R_X35Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y114 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y114 NAME CLBLM_R_X35Y114 TILEPROP CLBLM_R_X35Y114 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y114 NUM_SITES 2 TILEPROP CLBLM_R_X35Y114 ROW 37 TILEPROP CLBLM_R_X35Y114 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y114 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y114 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y114 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y114 TILE_X 64506 TILEPROP CLBLM_R_X35Y114 TILE_Y 127672 TILEPROP CLBLM_R_X35Y114 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y115 CLASS tile TILEPROP CLBLM_R_X35Y115 COLUMN 90 TILEPROP CLBLM_R_X35Y115 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y115 FIRST_SITE_ID 3634 TILEPROP CLBLM_R_X35Y115 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y115 GRID_POINT_Y 36 TILEPROP CLBLM_R_X35Y115 INDEX 4230 TILEPROP CLBLM_R_X35Y115 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y115 INT_TILE_Y 34 TILEPROP CLBLM_R_X35Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y115 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y115 NAME CLBLM_R_X35Y115 TILEPROP CLBLM_R_X35Y115 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y115 NUM_SITES 2 TILEPROP CLBLM_R_X35Y115 ROW 36 TILEPROP CLBLM_R_X35Y115 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y115 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y115 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y115 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y115 TILE_X 64506 TILEPROP CLBLM_R_X35Y115 TILE_Y 130872 TILEPROP CLBLM_R_X35Y115 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y116 CLASS tile TILEPROP CLBLM_R_X35Y116 COLUMN 90 TILEPROP CLBLM_R_X35Y116 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y116 FIRST_SITE_ID 3524 TILEPROP CLBLM_R_X35Y116 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y116 GRID_POINT_Y 35 TILEPROP CLBLM_R_X35Y116 INDEX 4115 TILEPROP CLBLM_R_X35Y116 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y116 INT_TILE_Y 33 TILEPROP CLBLM_R_X35Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y116 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y116 NAME CLBLM_R_X35Y116 TILEPROP CLBLM_R_X35Y116 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y116 NUM_SITES 2 TILEPROP CLBLM_R_X35Y116 ROW 35 TILEPROP CLBLM_R_X35Y116 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y116 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y116 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y116 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y116 TILE_X 64506 TILEPROP CLBLM_R_X35Y116 TILE_Y 134072 TILEPROP CLBLM_R_X35Y116 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y117 CLASS tile TILEPROP CLBLM_R_X35Y117 COLUMN 90 TILEPROP CLBLM_R_X35Y117 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y117 FIRST_SITE_ID 3436 TILEPROP CLBLM_R_X35Y117 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y117 GRID_POINT_Y 34 TILEPROP CLBLM_R_X35Y117 INDEX 4000 TILEPROP CLBLM_R_X35Y117 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y117 INT_TILE_Y 32 TILEPROP CLBLM_R_X35Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y117 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y117 NAME CLBLM_R_X35Y117 TILEPROP CLBLM_R_X35Y117 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y117 NUM_SITES 2 TILEPROP CLBLM_R_X35Y117 ROW 34 TILEPROP CLBLM_R_X35Y117 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y117 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y117 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y117 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y117 TILE_X 64506 TILEPROP CLBLM_R_X35Y117 TILE_Y 137272 TILEPROP CLBLM_R_X35Y117 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y118 CLASS tile TILEPROP CLBLM_R_X35Y118 COLUMN 90 TILEPROP CLBLM_R_X35Y118 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y118 FIRST_SITE_ID 3336 TILEPROP CLBLM_R_X35Y118 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y118 GRID_POINT_Y 33 TILEPROP CLBLM_R_X35Y118 INDEX 3885 TILEPROP CLBLM_R_X35Y118 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y118 INT_TILE_Y 31 TILEPROP CLBLM_R_X35Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y118 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y118 NAME CLBLM_R_X35Y118 TILEPROP CLBLM_R_X35Y118 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y118 NUM_SITES 2 TILEPROP CLBLM_R_X35Y118 ROW 33 TILEPROP CLBLM_R_X35Y118 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y118 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y118 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y118 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y118 TILE_X 64506 TILEPROP CLBLM_R_X35Y118 TILE_Y 140472 TILEPROP CLBLM_R_X35Y118 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y119 CLASS tile TILEPROP CLBLM_R_X35Y119 COLUMN 90 TILEPROP CLBLM_R_X35Y119 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y119 FIRST_SITE_ID 3248 TILEPROP CLBLM_R_X35Y119 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y119 GRID_POINT_Y 32 TILEPROP CLBLM_R_X35Y119 INDEX 3770 TILEPROP CLBLM_R_X35Y119 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y119 INT_TILE_Y 30 TILEPROP CLBLM_R_X35Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y119 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y119 NAME CLBLM_R_X35Y119 TILEPROP CLBLM_R_X35Y119 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y119 NUM_SITES 2 TILEPROP CLBLM_R_X35Y119 ROW 32 TILEPROP CLBLM_R_X35Y119 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y119 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y119 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y119 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y119 TILE_X 64506 TILEPROP CLBLM_R_X35Y119 TILE_Y 143672 TILEPROP CLBLM_R_X35Y119 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y120 CLASS tile TILEPROP CLBLM_R_X35Y120 COLUMN 90 TILEPROP CLBLM_R_X35Y120 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y120 FIRST_SITE_ID 3150 TILEPROP CLBLM_R_X35Y120 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y120 GRID_POINT_Y 31 TILEPROP CLBLM_R_X35Y120 INDEX 3655 TILEPROP CLBLM_R_X35Y120 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y120 INT_TILE_Y 29 TILEPROP CLBLM_R_X35Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y120 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y120 NAME CLBLM_R_X35Y120 TILEPROP CLBLM_R_X35Y120 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y120 NUM_SITES 2 TILEPROP CLBLM_R_X35Y120 ROW 31 TILEPROP CLBLM_R_X35Y120 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y120 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y120 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y120 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y120 TILE_X 64506 TILEPROP CLBLM_R_X35Y120 TILE_Y 146872 TILEPROP CLBLM_R_X35Y120 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y121 CLASS tile TILEPROP CLBLM_R_X35Y121 COLUMN 90 TILEPROP CLBLM_R_X35Y121 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y121 FIRST_SITE_ID 3053 TILEPROP CLBLM_R_X35Y121 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y121 GRID_POINT_Y 30 TILEPROP CLBLM_R_X35Y121 INDEX 3540 TILEPROP CLBLM_R_X35Y121 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y121 INT_TILE_Y 28 TILEPROP CLBLM_R_X35Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y121 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y121 NAME CLBLM_R_X35Y121 TILEPROP CLBLM_R_X35Y121 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y121 NUM_SITES 2 TILEPROP CLBLM_R_X35Y121 ROW 30 TILEPROP CLBLM_R_X35Y121 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y121 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y121 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y121 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y121 TILE_X 64506 TILEPROP CLBLM_R_X35Y121 TILE_Y 150072 TILEPROP CLBLM_R_X35Y121 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y122 CLASS tile TILEPROP CLBLM_R_X35Y122 COLUMN 90 TILEPROP CLBLM_R_X35Y122 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y122 FIRST_SITE_ID 2950 TILEPROP CLBLM_R_X35Y122 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y122 GRID_POINT_Y 29 TILEPROP CLBLM_R_X35Y122 INDEX 3425 TILEPROP CLBLM_R_X35Y122 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y122 INT_TILE_Y 27 TILEPROP CLBLM_R_X35Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y122 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y122 NAME CLBLM_R_X35Y122 TILEPROP CLBLM_R_X35Y122 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y122 NUM_SITES 2 TILEPROP CLBLM_R_X35Y122 ROW 29 TILEPROP CLBLM_R_X35Y122 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y122 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y122 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y122 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y122 TILE_X 64506 TILEPROP CLBLM_R_X35Y122 TILE_Y 153272 TILEPROP CLBLM_R_X35Y122 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y123 CLASS tile TILEPROP CLBLM_R_X35Y123 COLUMN 90 TILEPROP CLBLM_R_X35Y123 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y123 FIRST_SITE_ID 2862 TILEPROP CLBLM_R_X35Y123 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y123 GRID_POINT_Y 28 TILEPROP CLBLM_R_X35Y123 INDEX 3310 TILEPROP CLBLM_R_X35Y123 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y123 INT_TILE_Y 26 TILEPROP CLBLM_R_X35Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y123 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y123 NAME CLBLM_R_X35Y123 TILEPROP CLBLM_R_X35Y123 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y123 NUM_SITES 2 TILEPROP CLBLM_R_X35Y123 ROW 28 TILEPROP CLBLM_R_X35Y123 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y123 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y123 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y123 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y123 TILE_X 64506 TILEPROP CLBLM_R_X35Y123 TILE_Y 156472 TILEPROP CLBLM_R_X35Y123 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y124 CLASS tile TILEPROP CLBLM_R_X35Y124 COLUMN 90 TILEPROP CLBLM_R_X35Y124 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y124 FIRST_SITE_ID 2766 TILEPROP CLBLM_R_X35Y124 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y124 GRID_POINT_Y 27 TILEPROP CLBLM_R_X35Y124 INDEX 3195 TILEPROP CLBLM_R_X35Y124 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y124 INT_TILE_Y 25 TILEPROP CLBLM_R_X35Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y124 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y124 NAME CLBLM_R_X35Y124 TILEPROP CLBLM_R_X35Y124 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y124 NUM_SITES 2 TILEPROP CLBLM_R_X35Y124 ROW 27 TILEPROP CLBLM_R_X35Y124 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y124 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X35Y124 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y124 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y124 TILE_X 64506 TILEPROP CLBLM_R_X35Y124 TILE_Y 159672 TILEPROP CLBLM_R_X35Y124 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y125 CLASS tile TILEPROP CLBLM_R_X35Y125 COLUMN 90 TILEPROP CLBLM_R_X35Y125 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y125 FIRST_SITE_ID 2607 TILEPROP CLBLM_R_X35Y125 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y125 GRID_POINT_Y 25 TILEPROP CLBLM_R_X35Y125 INDEX 2965 TILEPROP CLBLM_R_X35Y125 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y125 INT_TILE_Y 24 TILEPROP CLBLM_R_X35Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y125 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y125 NAME CLBLM_R_X35Y125 TILEPROP CLBLM_R_X35Y125 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y125 NUM_SITES 2 TILEPROP CLBLM_R_X35Y125 ROW 25 TILEPROP CLBLM_R_X35Y125 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y125 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X35Y125 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y125 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y125 TILE_X 64506 TILEPROP CLBLM_R_X35Y125 TILE_Y 163896 TILEPROP CLBLM_R_X35Y125 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y126 CLASS tile TILEPROP CLBLM_R_X35Y126 COLUMN 90 TILEPROP CLBLM_R_X35Y126 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y126 FIRST_SITE_ID 2491 TILEPROP CLBLM_R_X35Y126 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y126 GRID_POINT_Y 24 TILEPROP CLBLM_R_X35Y126 INDEX 2850 TILEPROP CLBLM_R_X35Y126 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y126 INT_TILE_Y 23 TILEPROP CLBLM_R_X35Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y126 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y126 NAME CLBLM_R_X35Y126 TILEPROP CLBLM_R_X35Y126 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y126 NUM_SITES 2 TILEPROP CLBLM_R_X35Y126 ROW 24 TILEPROP CLBLM_R_X35Y126 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y126 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y126 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y126 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y126 TILE_X 64506 TILEPROP CLBLM_R_X35Y126 TILE_Y 167096 TILEPROP CLBLM_R_X35Y126 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y127 CLASS tile TILEPROP CLBLM_R_X35Y127 COLUMN 90 TILEPROP CLBLM_R_X35Y127 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y127 FIRST_SITE_ID 2395 TILEPROP CLBLM_R_X35Y127 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y127 GRID_POINT_Y 23 TILEPROP CLBLM_R_X35Y127 INDEX 2735 TILEPROP CLBLM_R_X35Y127 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y127 INT_TILE_Y 22 TILEPROP CLBLM_R_X35Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y127 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y127 NAME CLBLM_R_X35Y127 TILEPROP CLBLM_R_X35Y127 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y127 NUM_SITES 2 TILEPROP CLBLM_R_X35Y127 ROW 23 TILEPROP CLBLM_R_X35Y127 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y127 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y127 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y127 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y127 TILE_X 64506 TILEPROP CLBLM_R_X35Y127 TILE_Y 170296 TILEPROP CLBLM_R_X35Y127 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y128 CLASS tile TILEPROP CLBLM_R_X35Y128 COLUMN 90 TILEPROP CLBLM_R_X35Y128 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y128 FIRST_SITE_ID 2291 TILEPROP CLBLM_R_X35Y128 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y128 GRID_POINT_Y 22 TILEPROP CLBLM_R_X35Y128 INDEX 2620 TILEPROP CLBLM_R_X35Y128 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y128 INT_TILE_Y 21 TILEPROP CLBLM_R_X35Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y128 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y128 NAME CLBLM_R_X35Y128 TILEPROP CLBLM_R_X35Y128 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y128 NUM_SITES 2 TILEPROP CLBLM_R_X35Y128 ROW 22 TILEPROP CLBLM_R_X35Y128 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y128 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y128 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y128 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y128 TILE_X 64506 TILEPROP CLBLM_R_X35Y128 TILE_Y 173496 TILEPROP CLBLM_R_X35Y128 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y129 CLASS tile TILEPROP CLBLM_R_X35Y129 COLUMN 90 TILEPROP CLBLM_R_X35Y129 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y129 FIRST_SITE_ID 2195 TILEPROP CLBLM_R_X35Y129 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y129 GRID_POINT_Y 21 TILEPROP CLBLM_R_X35Y129 INDEX 2505 TILEPROP CLBLM_R_X35Y129 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y129 INT_TILE_Y 20 TILEPROP CLBLM_R_X35Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y129 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y129 NAME CLBLM_R_X35Y129 TILEPROP CLBLM_R_X35Y129 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y129 NUM_SITES 2 TILEPROP CLBLM_R_X35Y129 ROW 21 TILEPROP CLBLM_R_X35Y129 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y129 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y129 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y129 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y129 TILE_X 64506 TILEPROP CLBLM_R_X35Y129 TILE_Y 176696 TILEPROP CLBLM_R_X35Y129 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y130 CLASS tile TILEPROP CLBLM_R_X35Y130 COLUMN 90 TILEPROP CLBLM_R_X35Y130 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y130 FIRST_SITE_ID 2085 TILEPROP CLBLM_R_X35Y130 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y130 GRID_POINT_Y 20 TILEPROP CLBLM_R_X35Y130 INDEX 2390 TILEPROP CLBLM_R_X35Y130 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y130 INT_TILE_Y 19 TILEPROP CLBLM_R_X35Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y130 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y130 NAME CLBLM_R_X35Y130 TILEPROP CLBLM_R_X35Y130 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y130 NUM_SITES 2 TILEPROP CLBLM_R_X35Y130 ROW 20 TILEPROP CLBLM_R_X35Y130 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y130 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y130 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y130 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y130 TILE_X 64506 TILEPROP CLBLM_R_X35Y130 TILE_Y 179896 TILEPROP CLBLM_R_X35Y130 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y131 CLASS tile TILEPROP CLBLM_R_X35Y131 COLUMN 90 TILEPROP CLBLM_R_X35Y131 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y131 FIRST_SITE_ID 1977 TILEPROP CLBLM_R_X35Y131 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y131 GRID_POINT_Y 19 TILEPROP CLBLM_R_X35Y131 INDEX 2275 TILEPROP CLBLM_R_X35Y131 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y131 INT_TILE_Y 18 TILEPROP CLBLM_R_X35Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y131 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y131 NAME CLBLM_R_X35Y131 TILEPROP CLBLM_R_X35Y131 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y131 NUM_SITES 2 TILEPROP CLBLM_R_X35Y131 ROW 19 TILEPROP CLBLM_R_X35Y131 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y131 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y131 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y131 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y131 TILE_X 64506 TILEPROP CLBLM_R_X35Y131 TILE_Y 183096 TILEPROP CLBLM_R_X35Y131 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y132 CLASS tile TILEPROP CLBLM_R_X35Y132 COLUMN 90 TILEPROP CLBLM_R_X35Y132 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y132 FIRST_SITE_ID 1871 TILEPROP CLBLM_R_X35Y132 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y132 GRID_POINT_Y 18 TILEPROP CLBLM_R_X35Y132 INDEX 2160 TILEPROP CLBLM_R_X35Y132 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y132 INT_TILE_Y 17 TILEPROP CLBLM_R_X35Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y132 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y132 NAME CLBLM_R_X35Y132 TILEPROP CLBLM_R_X35Y132 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y132 NUM_SITES 2 TILEPROP CLBLM_R_X35Y132 ROW 18 TILEPROP CLBLM_R_X35Y132 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y132 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y132 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y132 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y132 TILE_X 64506 TILEPROP CLBLM_R_X35Y132 TILE_Y 186296 TILEPROP CLBLM_R_X35Y132 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y133 CLASS tile TILEPROP CLBLM_R_X35Y133 COLUMN 90 TILEPROP CLBLM_R_X35Y133 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y133 FIRST_SITE_ID 1770 TILEPROP CLBLM_R_X35Y133 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y133 GRID_POINT_Y 17 TILEPROP CLBLM_R_X35Y133 INDEX 2045 TILEPROP CLBLM_R_X35Y133 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y133 INT_TILE_Y 16 TILEPROP CLBLM_R_X35Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y133 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y133 NAME CLBLM_R_X35Y133 TILEPROP CLBLM_R_X35Y133 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y133 NUM_SITES 2 TILEPROP CLBLM_R_X35Y133 ROW 17 TILEPROP CLBLM_R_X35Y133 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y133 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y133 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y133 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y133 TILE_X 64506 TILEPROP CLBLM_R_X35Y133 TILE_Y 189496 TILEPROP CLBLM_R_X35Y133 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y134 CLASS tile TILEPROP CLBLM_R_X35Y134 COLUMN 90 TILEPROP CLBLM_R_X35Y134 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y134 FIRST_SITE_ID 1666 TILEPROP CLBLM_R_X35Y134 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y134 GRID_POINT_Y 16 TILEPROP CLBLM_R_X35Y134 INDEX 1930 TILEPROP CLBLM_R_X35Y134 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y134 INT_TILE_Y 15 TILEPROP CLBLM_R_X35Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y134 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y134 NAME CLBLM_R_X35Y134 TILEPROP CLBLM_R_X35Y134 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y134 NUM_SITES 2 TILEPROP CLBLM_R_X35Y134 ROW 16 TILEPROP CLBLM_R_X35Y134 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y134 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y134 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y134 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y134 TILE_X 64506 TILEPROP CLBLM_R_X35Y134 TILE_Y 192696 TILEPROP CLBLM_R_X35Y134 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y135 CLASS tile TILEPROP CLBLM_R_X35Y135 COLUMN 90 TILEPROP CLBLM_R_X35Y135 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y135 FIRST_SITE_ID 1570 TILEPROP CLBLM_R_X35Y135 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y135 GRID_POINT_Y 15 TILEPROP CLBLM_R_X35Y135 INDEX 1815 TILEPROP CLBLM_R_X35Y135 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y135 INT_TILE_Y 14 TILEPROP CLBLM_R_X35Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y135 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y135 NAME CLBLM_R_X35Y135 TILEPROP CLBLM_R_X35Y135 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y135 NUM_SITES 2 TILEPROP CLBLM_R_X35Y135 ROW 15 TILEPROP CLBLM_R_X35Y135 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y135 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y135 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y135 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y135 TILE_X 64506 TILEPROP CLBLM_R_X35Y135 TILE_Y 195896 TILEPROP CLBLM_R_X35Y135 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y136 CLASS tile TILEPROP CLBLM_R_X35Y136 COLUMN 90 TILEPROP CLBLM_R_X35Y136 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y136 FIRST_SITE_ID 1454 TILEPROP CLBLM_R_X35Y136 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y136 GRID_POINT_Y 14 TILEPROP CLBLM_R_X35Y136 INDEX 1700 TILEPROP CLBLM_R_X35Y136 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y136 INT_TILE_Y 13 TILEPROP CLBLM_R_X35Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y136 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y136 NAME CLBLM_R_X35Y136 TILEPROP CLBLM_R_X35Y136 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y136 NUM_SITES 2 TILEPROP CLBLM_R_X35Y136 ROW 14 TILEPROP CLBLM_R_X35Y136 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y136 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y136 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y136 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y136 TILE_X 64506 TILEPROP CLBLM_R_X35Y136 TILE_Y 199096 TILEPROP CLBLM_R_X35Y136 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y137 CLASS tile TILEPROP CLBLM_R_X35Y137 COLUMN 90 TILEPROP CLBLM_R_X35Y137 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y137 FIRST_SITE_ID 1326 TILEPROP CLBLM_R_X35Y137 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y137 GRID_POINT_Y 13 TILEPROP CLBLM_R_X35Y137 INDEX 1585 TILEPROP CLBLM_R_X35Y137 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y137 INT_TILE_Y 12 TILEPROP CLBLM_R_X35Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y137 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y137 NAME CLBLM_R_X35Y137 TILEPROP CLBLM_R_X35Y137 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y137 NUM_SITES 2 TILEPROP CLBLM_R_X35Y137 ROW 13 TILEPROP CLBLM_R_X35Y137 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y137 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y137 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y137 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y137 TILE_X 64506 TILEPROP CLBLM_R_X35Y137 TILE_Y 202296 TILEPROP CLBLM_R_X35Y137 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y138 CLASS tile TILEPROP CLBLM_R_X35Y138 COLUMN 90 TILEPROP CLBLM_R_X35Y138 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y138 FIRST_SITE_ID 1222 TILEPROP CLBLM_R_X35Y138 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y138 GRID_POINT_Y 12 TILEPROP CLBLM_R_X35Y138 INDEX 1470 TILEPROP CLBLM_R_X35Y138 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y138 INT_TILE_Y 11 TILEPROP CLBLM_R_X35Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y138 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y138 NAME CLBLM_R_X35Y138 TILEPROP CLBLM_R_X35Y138 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y138 NUM_SITES 2 TILEPROP CLBLM_R_X35Y138 ROW 12 TILEPROP CLBLM_R_X35Y138 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y138 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y138 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y138 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y138 TILE_X 64506 TILEPROP CLBLM_R_X35Y138 TILE_Y 205496 TILEPROP CLBLM_R_X35Y138 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y139 CLASS tile TILEPROP CLBLM_R_X35Y139 COLUMN 90 TILEPROP CLBLM_R_X35Y139 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y139 FIRST_SITE_ID 1126 TILEPROP CLBLM_R_X35Y139 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y139 GRID_POINT_Y 11 TILEPROP CLBLM_R_X35Y139 INDEX 1355 TILEPROP CLBLM_R_X35Y139 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y139 INT_TILE_Y 10 TILEPROP CLBLM_R_X35Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y139 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y139 NAME CLBLM_R_X35Y139 TILEPROP CLBLM_R_X35Y139 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y139 NUM_SITES 2 TILEPROP CLBLM_R_X35Y139 ROW 11 TILEPROP CLBLM_R_X35Y139 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y139 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y139 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y139 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y139 TILE_X 64506 TILEPROP CLBLM_R_X35Y139 TILE_Y 208696 TILEPROP CLBLM_R_X35Y139 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y140 CLASS tile TILEPROP CLBLM_R_X35Y140 COLUMN 90 TILEPROP CLBLM_R_X35Y140 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y140 FIRST_SITE_ID 1022 TILEPROP CLBLM_R_X35Y140 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y140 GRID_POINT_Y 10 TILEPROP CLBLM_R_X35Y140 INDEX 1240 TILEPROP CLBLM_R_X35Y140 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y140 INT_TILE_Y 9 TILEPROP CLBLM_R_X35Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y140 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y140 NAME CLBLM_R_X35Y140 TILEPROP CLBLM_R_X35Y140 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y140 NUM_SITES 2 TILEPROP CLBLM_R_X35Y140 ROW 10 TILEPROP CLBLM_R_X35Y140 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y140 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y140 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y140 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y140 TILE_X 64506 TILEPROP CLBLM_R_X35Y140 TILE_Y 211896 TILEPROP CLBLM_R_X35Y140 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y141 CLASS tile TILEPROP CLBLM_R_X35Y141 COLUMN 90 TILEPROP CLBLM_R_X35Y141 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y141 FIRST_SITE_ID 914 TILEPROP CLBLM_R_X35Y141 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y141 GRID_POINT_Y 9 TILEPROP CLBLM_R_X35Y141 INDEX 1125 TILEPROP CLBLM_R_X35Y141 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y141 INT_TILE_Y 8 TILEPROP CLBLM_R_X35Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y141 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y141 NAME CLBLM_R_X35Y141 TILEPROP CLBLM_R_X35Y141 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y141 NUM_SITES 2 TILEPROP CLBLM_R_X35Y141 ROW 9 TILEPROP CLBLM_R_X35Y141 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y141 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y141 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y141 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y141 TILE_X 64506 TILEPROP CLBLM_R_X35Y141 TILE_Y 215096 TILEPROP CLBLM_R_X35Y141 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y142 CLASS tile TILEPROP CLBLM_R_X35Y142 COLUMN 90 TILEPROP CLBLM_R_X35Y142 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y142 FIRST_SITE_ID 810 TILEPROP CLBLM_R_X35Y142 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y142 GRID_POINT_Y 8 TILEPROP CLBLM_R_X35Y142 INDEX 1010 TILEPROP CLBLM_R_X35Y142 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y142 INT_TILE_Y 7 TILEPROP CLBLM_R_X35Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y142 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y142 NAME CLBLM_R_X35Y142 TILEPROP CLBLM_R_X35Y142 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y142 NUM_SITES 2 TILEPROP CLBLM_R_X35Y142 ROW 8 TILEPROP CLBLM_R_X35Y142 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y142 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y142 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y142 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y142 TILE_X 64506 TILEPROP CLBLM_R_X35Y142 TILE_Y 218296 TILEPROP CLBLM_R_X35Y142 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y143 CLASS tile TILEPROP CLBLM_R_X35Y143 COLUMN 90 TILEPROP CLBLM_R_X35Y143 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y143 FIRST_SITE_ID 713 TILEPROP CLBLM_R_X35Y143 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y143 GRID_POINT_Y 7 TILEPROP CLBLM_R_X35Y143 INDEX 895 TILEPROP CLBLM_R_X35Y143 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y143 INT_TILE_Y 6 TILEPROP CLBLM_R_X35Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y143 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y143 NAME CLBLM_R_X35Y143 TILEPROP CLBLM_R_X35Y143 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y143 NUM_SITES 2 TILEPROP CLBLM_R_X35Y143 ROW 7 TILEPROP CLBLM_R_X35Y143 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y143 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y143 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y143 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y143 TILE_X 64506 TILEPROP CLBLM_R_X35Y143 TILE_Y 221496 TILEPROP CLBLM_R_X35Y143 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y144 CLASS tile TILEPROP CLBLM_R_X35Y144 COLUMN 90 TILEPROP CLBLM_R_X35Y144 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y144 FIRST_SITE_ID 602 TILEPROP CLBLM_R_X35Y144 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y144 GRID_POINT_Y 6 TILEPROP CLBLM_R_X35Y144 INDEX 780 TILEPROP CLBLM_R_X35Y144 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y144 INT_TILE_Y 5 TILEPROP CLBLM_R_X35Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y144 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y144 NAME CLBLM_R_X35Y144 TILEPROP CLBLM_R_X35Y144 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y144 NUM_SITES 2 TILEPROP CLBLM_R_X35Y144 ROW 6 TILEPROP CLBLM_R_X35Y144 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y144 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y144 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y144 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y144 TILE_X 64506 TILEPROP CLBLM_R_X35Y144 TILE_Y 224696 TILEPROP CLBLM_R_X35Y144 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y145 CLASS tile TILEPROP CLBLM_R_X35Y145 COLUMN 90 TILEPROP CLBLM_R_X35Y145 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y145 FIRST_SITE_ID 506 TILEPROP CLBLM_R_X35Y145 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y145 GRID_POINT_Y 5 TILEPROP CLBLM_R_X35Y145 INDEX 665 TILEPROP CLBLM_R_X35Y145 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y145 INT_TILE_Y 4 TILEPROP CLBLM_R_X35Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y145 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y145 NAME CLBLM_R_X35Y145 TILEPROP CLBLM_R_X35Y145 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y145 NUM_SITES 2 TILEPROP CLBLM_R_X35Y145 ROW 5 TILEPROP CLBLM_R_X35Y145 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y145 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y145 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y145 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y145 TILE_X 64506 TILEPROP CLBLM_R_X35Y145 TILE_Y 227896 TILEPROP CLBLM_R_X35Y145 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y146 CLASS tile TILEPROP CLBLM_R_X35Y146 COLUMN 90 TILEPROP CLBLM_R_X35Y146 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y146 FIRST_SITE_ID 390 TILEPROP CLBLM_R_X35Y146 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y146 GRID_POINT_Y 4 TILEPROP CLBLM_R_X35Y146 INDEX 550 TILEPROP CLBLM_R_X35Y146 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y146 INT_TILE_Y 3 TILEPROP CLBLM_R_X35Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y146 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y146 NAME CLBLM_R_X35Y146 TILEPROP CLBLM_R_X35Y146 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y146 NUM_SITES 2 TILEPROP CLBLM_R_X35Y146 ROW 4 TILEPROP CLBLM_R_X35Y146 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y146 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y146 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y146 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y146 TILE_X 64506 TILEPROP CLBLM_R_X35Y146 TILE_Y 231096 TILEPROP CLBLM_R_X35Y146 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y147 CLASS tile TILEPROP CLBLM_R_X35Y147 COLUMN 90 TILEPROP CLBLM_R_X35Y147 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y147 FIRST_SITE_ID 294 TILEPROP CLBLM_R_X35Y147 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y147 GRID_POINT_Y 3 TILEPROP CLBLM_R_X35Y147 INDEX 435 TILEPROP CLBLM_R_X35Y147 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y147 INT_TILE_Y 2 TILEPROP CLBLM_R_X35Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y147 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y147 NAME CLBLM_R_X35Y147 TILEPROP CLBLM_R_X35Y147 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y147 NUM_SITES 2 TILEPROP CLBLM_R_X35Y147 ROW 3 TILEPROP CLBLM_R_X35Y147 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y147 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y147 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y147 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y147 TILE_X 64506 TILEPROP CLBLM_R_X35Y147 TILE_Y 234296 TILEPROP CLBLM_R_X35Y147 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y148 CLASS tile TILEPROP CLBLM_R_X35Y148 COLUMN 90 TILEPROP CLBLM_R_X35Y148 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y148 FIRST_SITE_ID 190 TILEPROP CLBLM_R_X35Y148 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y148 GRID_POINT_Y 2 TILEPROP CLBLM_R_X35Y148 INDEX 320 TILEPROP CLBLM_R_X35Y148 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y148 INT_TILE_Y 1 TILEPROP CLBLM_R_X35Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y148 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y148 NAME CLBLM_R_X35Y148 TILEPROP CLBLM_R_X35Y148 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y148 NUM_SITES 2 TILEPROP CLBLM_R_X35Y148 ROW 2 TILEPROP CLBLM_R_X35Y148 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y148 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X35Y148 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y148 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y148 TILE_X 64506 TILEPROP CLBLM_R_X35Y148 TILE_Y 237496 TILEPROP CLBLM_R_X35Y148 TYPE CLBLM_R TILEPROP CLBLM_R_X35Y149 CLASS tile TILEPROP CLBLM_R_X35Y149 COLUMN 90 TILEPROP CLBLM_R_X35Y149 DEVICE_ID 0 TILEPROP CLBLM_R_X35Y149 FIRST_SITE_ID 94 TILEPROP CLBLM_R_X35Y149 GRID_POINT_X 90 TILEPROP CLBLM_R_X35Y149 GRID_POINT_Y 1 TILEPROP CLBLM_R_X35Y149 INDEX 205 TILEPROP CLBLM_R_X35Y149 INT_TILE_X 35 TILEPROP CLBLM_R_X35Y149 INT_TILE_Y 0 TILEPROP CLBLM_R_X35Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X35Y149 IS_DCM_TILE 0 TILEPROP CLBLM_R_X35Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X35Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X35Y149 NAME CLBLM_R_X35Y149 TILEPROP CLBLM_R_X35Y149 NUM_ARCS 151 TILEPROP CLBLM_R_X35Y149 NUM_SITES 2 TILEPROP CLBLM_R_X35Y149 ROW 1 TILEPROP CLBLM_R_X35Y149 SLR_REGION_ID 0 TILEPROP CLBLM_R_X35Y149 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X35Y149 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X35Y149 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X35Y149 TILE_X 64506 TILEPROP CLBLM_R_X35Y149 TILE_Y 240696 TILEPROP CLBLM_R_X35Y149 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y0 CLASS tile TILEPROP CLBLM_R_X39Y0 COLUMN 100 TILEPROP CLBLM_R_X39Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y0 FIRST_SITE_ID 15803 TILEPROP CLBLM_R_X39Y0 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X39Y0 INDEX 17925 TILEPROP CLBLM_R_X39Y0 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X39Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y0 NAME CLBLM_R_X39Y0 TILEPROP CLBLM_R_X39Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y0 NUM_SITES 2 TILEPROP CLBLM_R_X39Y0 ROW 155 TILEPROP CLBLM_R_X39Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X39Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y0 TILE_X 84922 TILEPROP CLBLM_R_X39Y0 TILE_Y -239672 TILEPROP CLBLM_R_X39Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y1 CLASS tile TILEPROP CLBLM_R_X39Y1 COLUMN 100 TILEPROP CLBLM_R_X39Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y1 FIRST_SITE_ID 15684 TILEPROP CLBLM_R_X39Y1 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X39Y1 INDEX 17810 TILEPROP CLBLM_R_X39Y1 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X39Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y1 NAME CLBLM_R_X39Y1 TILEPROP CLBLM_R_X39Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y1 NUM_SITES 2 TILEPROP CLBLM_R_X39Y1 ROW 154 TILEPROP CLBLM_R_X39Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y1 TILE_X 84922 TILEPROP CLBLM_R_X39Y1 TILE_Y -236472 TILEPROP CLBLM_R_X39Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y2 CLASS tile TILEPROP CLBLM_R_X39Y2 COLUMN 100 TILEPROP CLBLM_R_X39Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y2 FIRST_SITE_ID 15583 TILEPROP CLBLM_R_X39Y2 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X39Y2 INDEX 17695 TILEPROP CLBLM_R_X39Y2 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X39Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y2 NAME CLBLM_R_X39Y2 TILEPROP CLBLM_R_X39Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y2 NUM_SITES 2 TILEPROP CLBLM_R_X39Y2 ROW 153 TILEPROP CLBLM_R_X39Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y2 TILE_X 84922 TILEPROP CLBLM_R_X39Y2 TILE_Y -233272 TILEPROP CLBLM_R_X39Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y3 CLASS tile TILEPROP CLBLM_R_X39Y3 COLUMN 100 TILEPROP CLBLM_R_X39Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y3 FIRST_SITE_ID 15483 TILEPROP CLBLM_R_X39Y3 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X39Y3 INDEX 17580 TILEPROP CLBLM_R_X39Y3 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X39Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y3 NAME CLBLM_R_X39Y3 TILEPROP CLBLM_R_X39Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y3 NUM_SITES 2 TILEPROP CLBLM_R_X39Y3 ROW 152 TILEPROP CLBLM_R_X39Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y3 TILE_X 84922 TILEPROP CLBLM_R_X39Y3 TILE_Y -230072 TILEPROP CLBLM_R_X39Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y4 CLASS tile TILEPROP CLBLM_R_X39Y4 COLUMN 100 TILEPROP CLBLM_R_X39Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y4 FIRST_SITE_ID 15383 TILEPROP CLBLM_R_X39Y4 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X39Y4 INDEX 17465 TILEPROP CLBLM_R_X39Y4 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X39Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y4 NAME CLBLM_R_X39Y4 TILEPROP CLBLM_R_X39Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y4 NUM_SITES 2 TILEPROP CLBLM_R_X39Y4 ROW 151 TILEPROP CLBLM_R_X39Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y4 TILE_X 84922 TILEPROP CLBLM_R_X39Y4 TILE_Y -226872 TILEPROP CLBLM_R_X39Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y5 CLASS tile TILEPROP CLBLM_R_X39Y5 COLUMN 100 TILEPROP CLBLM_R_X39Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y5 FIRST_SITE_ID 15283 TILEPROP CLBLM_R_X39Y5 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X39Y5 INDEX 17350 TILEPROP CLBLM_R_X39Y5 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X39Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y5 NAME CLBLM_R_X39Y5 TILEPROP CLBLM_R_X39Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y5 NUM_SITES 2 TILEPROP CLBLM_R_X39Y5 ROW 150 TILEPROP CLBLM_R_X39Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y5 TILE_X 84922 TILEPROP CLBLM_R_X39Y5 TILE_Y -223672 TILEPROP CLBLM_R_X39Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y6 CLASS tile TILEPROP CLBLM_R_X39Y6 COLUMN 100 TILEPROP CLBLM_R_X39Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y6 FIRST_SITE_ID 15168 TILEPROP CLBLM_R_X39Y6 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X39Y6 INDEX 17235 TILEPROP CLBLM_R_X39Y6 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X39Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y6 NAME CLBLM_R_X39Y6 TILEPROP CLBLM_R_X39Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y6 NUM_SITES 2 TILEPROP CLBLM_R_X39Y6 ROW 149 TILEPROP CLBLM_R_X39Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y6 TILE_X 84922 TILEPROP CLBLM_R_X39Y6 TILE_Y -220472 TILEPROP CLBLM_R_X39Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y7 CLASS tile TILEPROP CLBLM_R_X39Y7 COLUMN 100 TILEPROP CLBLM_R_X39Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y7 FIRST_SITE_ID 15066 TILEPROP CLBLM_R_X39Y7 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X39Y7 INDEX 17120 TILEPROP CLBLM_R_X39Y7 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X39Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y7 NAME CLBLM_R_X39Y7 TILEPROP CLBLM_R_X39Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y7 NUM_SITES 2 TILEPROP CLBLM_R_X39Y7 ROW 148 TILEPROP CLBLM_R_X39Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y7 TILE_X 84922 TILEPROP CLBLM_R_X39Y7 TILE_Y -217272 TILEPROP CLBLM_R_X39Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y8 CLASS tile TILEPROP CLBLM_R_X39Y8 COLUMN 100 TILEPROP CLBLM_R_X39Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y8 FIRST_SITE_ID 14963 TILEPROP CLBLM_R_X39Y8 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X39Y8 INDEX 17005 TILEPROP CLBLM_R_X39Y8 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X39Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y8 NAME CLBLM_R_X39Y8 TILEPROP CLBLM_R_X39Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y8 NUM_SITES 2 TILEPROP CLBLM_R_X39Y8 ROW 147 TILEPROP CLBLM_R_X39Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y8 TILE_X 84922 TILEPROP CLBLM_R_X39Y8 TILE_Y -214072 TILEPROP CLBLM_R_X39Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y9 CLASS tile TILEPROP CLBLM_R_X39Y9 COLUMN 100 TILEPROP CLBLM_R_X39Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y9 FIRST_SITE_ID 14862 TILEPROP CLBLM_R_X39Y9 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X39Y9 INDEX 16890 TILEPROP CLBLM_R_X39Y9 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X39Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y9 NAME CLBLM_R_X39Y9 TILEPROP CLBLM_R_X39Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y9 NUM_SITES 2 TILEPROP CLBLM_R_X39Y9 ROW 146 TILEPROP CLBLM_R_X39Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y9 TILE_X 84922 TILEPROP CLBLM_R_X39Y9 TILE_Y -210872 TILEPROP CLBLM_R_X39Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y10 CLASS tile TILEPROP CLBLM_R_X39Y10 COLUMN 100 TILEPROP CLBLM_R_X39Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y10 FIRST_SITE_ID 14762 TILEPROP CLBLM_R_X39Y10 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X39Y10 INDEX 16775 TILEPROP CLBLM_R_X39Y10 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X39Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y10 NAME CLBLM_R_X39Y10 TILEPROP CLBLM_R_X39Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y10 NUM_SITES 2 TILEPROP CLBLM_R_X39Y10 ROW 145 TILEPROP CLBLM_R_X39Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y10 TILE_X 84922 TILEPROP CLBLM_R_X39Y10 TILE_Y -207672 TILEPROP CLBLM_R_X39Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y11 CLASS tile TILEPROP CLBLM_R_X39Y11 COLUMN 100 TILEPROP CLBLM_R_X39Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y11 FIRST_SITE_ID 14647 TILEPROP CLBLM_R_X39Y11 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X39Y11 INDEX 16660 TILEPROP CLBLM_R_X39Y11 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X39Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y11 NAME CLBLM_R_X39Y11 TILEPROP CLBLM_R_X39Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y11 NUM_SITES 2 TILEPROP CLBLM_R_X39Y11 ROW 144 TILEPROP CLBLM_R_X39Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y11 TILE_X 84922 TILEPROP CLBLM_R_X39Y11 TILE_Y -204472 TILEPROP CLBLM_R_X39Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y12 CLASS tile TILEPROP CLBLM_R_X39Y12 COLUMN 100 TILEPROP CLBLM_R_X39Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y12 FIRST_SITE_ID 14547 TILEPROP CLBLM_R_X39Y12 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X39Y12 INDEX 16545 TILEPROP CLBLM_R_X39Y12 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X39Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y12 NAME CLBLM_R_X39Y12 TILEPROP CLBLM_R_X39Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y12 NUM_SITES 2 TILEPROP CLBLM_R_X39Y12 ROW 143 TILEPROP CLBLM_R_X39Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y12 TILE_X 84922 TILEPROP CLBLM_R_X39Y12 TILE_Y -201272 TILEPROP CLBLM_R_X39Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y13 CLASS tile TILEPROP CLBLM_R_X39Y13 COLUMN 100 TILEPROP CLBLM_R_X39Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y13 FIRST_SITE_ID 14415 TILEPROP CLBLM_R_X39Y13 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X39Y13 INDEX 16430 TILEPROP CLBLM_R_X39Y13 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X39Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y13 NAME CLBLM_R_X39Y13 TILEPROP CLBLM_R_X39Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y13 NUM_SITES 2 TILEPROP CLBLM_R_X39Y13 ROW 142 TILEPROP CLBLM_R_X39Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y13 TILE_X 84922 TILEPROP CLBLM_R_X39Y13 TILE_Y -198072 TILEPROP CLBLM_R_X39Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y14 CLASS tile TILEPROP CLBLM_R_X39Y14 COLUMN 100 TILEPROP CLBLM_R_X39Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y14 FIRST_SITE_ID 14315 TILEPROP CLBLM_R_X39Y14 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X39Y14 INDEX 16315 TILEPROP CLBLM_R_X39Y14 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X39Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y14 NAME CLBLM_R_X39Y14 TILEPROP CLBLM_R_X39Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y14 NUM_SITES 2 TILEPROP CLBLM_R_X39Y14 ROW 141 TILEPROP CLBLM_R_X39Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y14 TILE_X 84922 TILEPROP CLBLM_R_X39Y14 TILE_Y -194872 TILEPROP CLBLM_R_X39Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y15 CLASS tile TILEPROP CLBLM_R_X39Y15 COLUMN 100 TILEPROP CLBLM_R_X39Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y15 FIRST_SITE_ID 14215 TILEPROP CLBLM_R_X39Y15 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X39Y15 INDEX 16200 TILEPROP CLBLM_R_X39Y15 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X39Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y15 NAME CLBLM_R_X39Y15 TILEPROP CLBLM_R_X39Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y15 NUM_SITES 2 TILEPROP CLBLM_R_X39Y15 ROW 140 TILEPROP CLBLM_R_X39Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y15 TILE_X 84922 TILEPROP CLBLM_R_X39Y15 TILE_Y -191672 TILEPROP CLBLM_R_X39Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y16 CLASS tile TILEPROP CLBLM_R_X39Y16 COLUMN 100 TILEPROP CLBLM_R_X39Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y16 FIRST_SITE_ID 14100 TILEPROP CLBLM_R_X39Y16 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X39Y16 INDEX 16085 TILEPROP CLBLM_R_X39Y16 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X39Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y16 NAME CLBLM_R_X39Y16 TILEPROP CLBLM_R_X39Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y16 NUM_SITES 2 TILEPROP CLBLM_R_X39Y16 ROW 139 TILEPROP CLBLM_R_X39Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y16 TILE_X 84922 TILEPROP CLBLM_R_X39Y16 TILE_Y -188472 TILEPROP CLBLM_R_X39Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y17 CLASS tile TILEPROP CLBLM_R_X39Y17 COLUMN 100 TILEPROP CLBLM_R_X39Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y17 FIRST_SITE_ID 13996 TILEPROP CLBLM_R_X39Y17 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X39Y17 INDEX 15970 TILEPROP CLBLM_R_X39Y17 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X39Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y17 NAME CLBLM_R_X39Y17 TILEPROP CLBLM_R_X39Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y17 NUM_SITES 2 TILEPROP CLBLM_R_X39Y17 ROW 138 TILEPROP CLBLM_R_X39Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y17 TILE_X 84922 TILEPROP CLBLM_R_X39Y17 TILE_Y -185272 TILEPROP CLBLM_R_X39Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y18 CLASS tile TILEPROP CLBLM_R_X39Y18 COLUMN 100 TILEPROP CLBLM_R_X39Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y18 FIRST_SITE_ID 13891 TILEPROP CLBLM_R_X39Y18 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X39Y18 INDEX 15855 TILEPROP CLBLM_R_X39Y18 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X39Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y18 NAME CLBLM_R_X39Y18 TILEPROP CLBLM_R_X39Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y18 NUM_SITES 2 TILEPROP CLBLM_R_X39Y18 ROW 137 TILEPROP CLBLM_R_X39Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y18 TILE_X 84922 TILEPROP CLBLM_R_X39Y18 TILE_Y -182072 TILEPROP CLBLM_R_X39Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y19 CLASS tile TILEPROP CLBLM_R_X39Y19 COLUMN 100 TILEPROP CLBLM_R_X39Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y19 FIRST_SITE_ID 13789 TILEPROP CLBLM_R_X39Y19 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X39Y19 INDEX 15740 TILEPROP CLBLM_R_X39Y19 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X39Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y19 NAME CLBLM_R_X39Y19 TILEPROP CLBLM_R_X39Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y19 NUM_SITES 2 TILEPROP CLBLM_R_X39Y19 ROW 136 TILEPROP CLBLM_R_X39Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y19 TILE_X 84922 TILEPROP CLBLM_R_X39Y19 TILE_Y -178872 TILEPROP CLBLM_R_X39Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y20 CLASS tile TILEPROP CLBLM_R_X39Y20 COLUMN 100 TILEPROP CLBLM_R_X39Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y20 FIRST_SITE_ID 13687 TILEPROP CLBLM_R_X39Y20 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X39Y20 INDEX 15625 TILEPROP CLBLM_R_X39Y20 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X39Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y20 NAME CLBLM_R_X39Y20 TILEPROP CLBLM_R_X39Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y20 NUM_SITES 2 TILEPROP CLBLM_R_X39Y20 ROW 135 TILEPROP CLBLM_R_X39Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y20 TILE_X 84922 TILEPROP CLBLM_R_X39Y20 TILE_Y -175672 TILEPROP CLBLM_R_X39Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y21 CLASS tile TILEPROP CLBLM_R_X39Y21 COLUMN 100 TILEPROP CLBLM_R_X39Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y21 FIRST_SITE_ID 13572 TILEPROP CLBLM_R_X39Y21 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X39Y21 INDEX 15510 TILEPROP CLBLM_R_X39Y21 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X39Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y21 NAME CLBLM_R_X39Y21 TILEPROP CLBLM_R_X39Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y21 NUM_SITES 2 TILEPROP CLBLM_R_X39Y21 ROW 134 TILEPROP CLBLM_R_X39Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y21 TILE_X 84922 TILEPROP CLBLM_R_X39Y21 TILE_Y -172472 TILEPROP CLBLM_R_X39Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y22 CLASS tile TILEPROP CLBLM_R_X39Y22 COLUMN 100 TILEPROP CLBLM_R_X39Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y22 FIRST_SITE_ID 13472 TILEPROP CLBLM_R_X39Y22 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X39Y22 INDEX 15395 TILEPROP CLBLM_R_X39Y22 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X39Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y22 NAME CLBLM_R_X39Y22 TILEPROP CLBLM_R_X39Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y22 NUM_SITES 2 TILEPROP CLBLM_R_X39Y22 ROW 133 TILEPROP CLBLM_R_X39Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y22 TILE_X 84922 TILEPROP CLBLM_R_X39Y22 TILE_Y -169272 TILEPROP CLBLM_R_X39Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y23 CLASS tile TILEPROP CLBLM_R_X39Y23 COLUMN 100 TILEPROP CLBLM_R_X39Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y23 FIRST_SITE_ID 13372 TILEPROP CLBLM_R_X39Y23 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X39Y23 INDEX 15280 TILEPROP CLBLM_R_X39Y23 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X39Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y23 NAME CLBLM_R_X39Y23 TILEPROP CLBLM_R_X39Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y23 NUM_SITES 2 TILEPROP CLBLM_R_X39Y23 ROW 132 TILEPROP CLBLM_R_X39Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y23 TILE_X 84922 TILEPROP CLBLM_R_X39Y23 TILE_Y -166072 TILEPROP CLBLM_R_X39Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y24 CLASS tile TILEPROP CLBLM_R_X39Y24 COLUMN 100 TILEPROP CLBLM_R_X39Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y24 FIRST_SITE_ID 13272 TILEPROP CLBLM_R_X39Y24 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X39Y24 INDEX 15165 TILEPROP CLBLM_R_X39Y24 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X39Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y24 NAME CLBLM_R_X39Y24 TILEPROP CLBLM_R_X39Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y24 NUM_SITES 2 TILEPROP CLBLM_R_X39Y24 ROW 131 TILEPROP CLBLM_R_X39Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X39Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y24 TILE_X 84922 TILEPROP CLBLM_R_X39Y24 TILE_Y -162872 TILEPROP CLBLM_R_X39Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y25 CLASS tile TILEPROP CLBLM_R_X39Y25 COLUMN 100 TILEPROP CLBLM_R_X39Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y25 FIRST_SITE_ID 13089 TILEPROP CLBLM_R_X39Y25 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X39Y25 INDEX 14935 TILEPROP CLBLM_R_X39Y25 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X39Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y25 NAME CLBLM_R_X39Y25 TILEPROP CLBLM_R_X39Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y25 NUM_SITES 2 TILEPROP CLBLM_R_X39Y25 ROW 129 TILEPROP CLBLM_R_X39Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X39Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y25 TILE_X 84922 TILEPROP CLBLM_R_X39Y25 TILE_Y -158648 TILEPROP CLBLM_R_X39Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y26 CLASS tile TILEPROP CLBLM_R_X39Y26 COLUMN 100 TILEPROP CLBLM_R_X39Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y26 FIRST_SITE_ID 12974 TILEPROP CLBLM_R_X39Y26 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X39Y26 INDEX 14820 TILEPROP CLBLM_R_X39Y26 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X39Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y26 NAME CLBLM_R_X39Y26 TILEPROP CLBLM_R_X39Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y26 NUM_SITES 2 TILEPROP CLBLM_R_X39Y26 ROW 128 TILEPROP CLBLM_R_X39Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y26 TILE_X 84922 TILEPROP CLBLM_R_X39Y26 TILE_Y -155448 TILEPROP CLBLM_R_X39Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y27 CLASS tile TILEPROP CLBLM_R_X39Y27 COLUMN 100 TILEPROP CLBLM_R_X39Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y27 FIRST_SITE_ID 12874 TILEPROP CLBLM_R_X39Y27 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X39Y27 INDEX 14705 TILEPROP CLBLM_R_X39Y27 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X39Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y27 NAME CLBLM_R_X39Y27 TILEPROP CLBLM_R_X39Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y27 NUM_SITES 2 TILEPROP CLBLM_R_X39Y27 ROW 127 TILEPROP CLBLM_R_X39Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y27 TILE_X 84922 TILEPROP CLBLM_R_X39Y27 TILE_Y -152248 TILEPROP CLBLM_R_X39Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y28 CLASS tile TILEPROP CLBLM_R_X39Y28 COLUMN 100 TILEPROP CLBLM_R_X39Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y28 FIRST_SITE_ID 12774 TILEPROP CLBLM_R_X39Y28 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X39Y28 INDEX 14590 TILEPROP CLBLM_R_X39Y28 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X39Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y28 NAME CLBLM_R_X39Y28 TILEPROP CLBLM_R_X39Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y28 NUM_SITES 2 TILEPROP CLBLM_R_X39Y28 ROW 126 TILEPROP CLBLM_R_X39Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y28 TILE_X 84922 TILEPROP CLBLM_R_X39Y28 TILE_Y -149048 TILEPROP CLBLM_R_X39Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y29 CLASS tile TILEPROP CLBLM_R_X39Y29 COLUMN 100 TILEPROP CLBLM_R_X39Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y29 FIRST_SITE_ID 12668 TILEPROP CLBLM_R_X39Y29 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X39Y29 INDEX 14475 TILEPROP CLBLM_R_X39Y29 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X39Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y29 NAME CLBLM_R_X39Y29 TILEPROP CLBLM_R_X39Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y29 NUM_SITES 2 TILEPROP CLBLM_R_X39Y29 ROW 125 TILEPROP CLBLM_R_X39Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y29 TILE_X 84922 TILEPROP CLBLM_R_X39Y29 TILE_Y -145848 TILEPROP CLBLM_R_X39Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y30 CLASS tile TILEPROP CLBLM_R_X39Y30 COLUMN 100 TILEPROP CLBLM_R_X39Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y30 FIRST_SITE_ID 12562 TILEPROP CLBLM_R_X39Y30 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X39Y30 INDEX 14360 TILEPROP CLBLM_R_X39Y30 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X39Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y30 NAME CLBLM_R_X39Y30 TILEPROP CLBLM_R_X39Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y30 NUM_SITES 2 TILEPROP CLBLM_R_X39Y30 ROW 124 TILEPROP CLBLM_R_X39Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y30 TILE_X 84922 TILEPROP CLBLM_R_X39Y30 TILE_Y -142648 TILEPROP CLBLM_R_X39Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y31 CLASS tile TILEPROP CLBLM_R_X39Y31 COLUMN 100 TILEPROP CLBLM_R_X39Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y31 FIRST_SITE_ID 12432 TILEPROP CLBLM_R_X39Y31 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X39Y31 INDEX 14245 TILEPROP CLBLM_R_X39Y31 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X39Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y31 NAME CLBLM_R_X39Y31 TILEPROP CLBLM_R_X39Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y31 NUM_SITES 2 TILEPROP CLBLM_R_X39Y31 ROW 123 TILEPROP CLBLM_R_X39Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y31 TILE_X 84922 TILEPROP CLBLM_R_X39Y31 TILE_Y -139448 TILEPROP CLBLM_R_X39Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y32 CLASS tile TILEPROP CLBLM_R_X39Y32 COLUMN 100 TILEPROP CLBLM_R_X39Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y32 FIRST_SITE_ID 12330 TILEPROP CLBLM_R_X39Y32 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X39Y32 INDEX 14130 TILEPROP CLBLM_R_X39Y32 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X39Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y32 NAME CLBLM_R_X39Y32 TILEPROP CLBLM_R_X39Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y32 NUM_SITES 2 TILEPROP CLBLM_R_X39Y32 ROW 122 TILEPROP CLBLM_R_X39Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y32 TILE_X 84922 TILEPROP CLBLM_R_X39Y32 TILE_Y -136248 TILEPROP CLBLM_R_X39Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y33 CLASS tile TILEPROP CLBLM_R_X39Y33 COLUMN 100 TILEPROP CLBLM_R_X39Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y33 FIRST_SITE_ID 12229 TILEPROP CLBLM_R_X39Y33 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X39Y33 INDEX 14015 TILEPROP CLBLM_R_X39Y33 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X39Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y33 NAME CLBLM_R_X39Y33 TILEPROP CLBLM_R_X39Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y33 NUM_SITES 2 TILEPROP CLBLM_R_X39Y33 ROW 121 TILEPROP CLBLM_R_X39Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y33 TILE_X 84922 TILEPROP CLBLM_R_X39Y33 TILE_Y -133048 TILEPROP CLBLM_R_X39Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y34 CLASS tile TILEPROP CLBLM_R_X39Y34 COLUMN 100 TILEPROP CLBLM_R_X39Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y34 FIRST_SITE_ID 12129 TILEPROP CLBLM_R_X39Y34 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X39Y34 INDEX 13900 TILEPROP CLBLM_R_X39Y34 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X39Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y34 NAME CLBLM_R_X39Y34 TILEPROP CLBLM_R_X39Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y34 NUM_SITES 2 TILEPROP CLBLM_R_X39Y34 ROW 120 TILEPROP CLBLM_R_X39Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y34 TILE_X 84922 TILEPROP CLBLM_R_X39Y34 TILE_Y -129848 TILEPROP CLBLM_R_X39Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y35 CLASS tile TILEPROP CLBLM_R_X39Y35 COLUMN 100 TILEPROP CLBLM_R_X39Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y35 FIRST_SITE_ID 12029 TILEPROP CLBLM_R_X39Y35 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X39Y35 INDEX 13785 TILEPROP CLBLM_R_X39Y35 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X39Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y35 NAME CLBLM_R_X39Y35 TILEPROP CLBLM_R_X39Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y35 NUM_SITES 2 TILEPROP CLBLM_R_X39Y35 ROW 119 TILEPROP CLBLM_R_X39Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y35 TILE_X 84922 TILEPROP CLBLM_R_X39Y35 TILE_Y -126648 TILEPROP CLBLM_R_X39Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y36 CLASS tile TILEPROP CLBLM_R_X39Y36 COLUMN 100 TILEPROP CLBLM_R_X39Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y36 FIRST_SITE_ID 11914 TILEPROP CLBLM_R_X39Y36 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X39Y36 INDEX 13670 TILEPROP CLBLM_R_X39Y36 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X39Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y36 NAME CLBLM_R_X39Y36 TILEPROP CLBLM_R_X39Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y36 NUM_SITES 2 TILEPROP CLBLM_R_X39Y36 ROW 118 TILEPROP CLBLM_R_X39Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y36 TILE_X 84922 TILEPROP CLBLM_R_X39Y36 TILE_Y -123448 TILEPROP CLBLM_R_X39Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y37 CLASS tile TILEPROP CLBLM_R_X39Y37 COLUMN 100 TILEPROP CLBLM_R_X39Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y37 FIRST_SITE_ID 11782 TILEPROP CLBLM_R_X39Y37 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X39Y37 INDEX 13555 TILEPROP CLBLM_R_X39Y37 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X39Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y37 NAME CLBLM_R_X39Y37 TILEPROP CLBLM_R_X39Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y37 NUM_SITES 2 TILEPROP CLBLM_R_X39Y37 ROW 117 TILEPROP CLBLM_R_X39Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y37 TILE_X 84922 TILEPROP CLBLM_R_X39Y37 TILE_Y -120248 TILEPROP CLBLM_R_X39Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y38 CLASS tile TILEPROP CLBLM_R_X39Y38 COLUMN 100 TILEPROP CLBLM_R_X39Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y38 FIRST_SITE_ID 11682 TILEPROP CLBLM_R_X39Y38 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X39Y38 INDEX 13440 TILEPROP CLBLM_R_X39Y38 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X39Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y38 NAME CLBLM_R_X39Y38 TILEPROP CLBLM_R_X39Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y38 NUM_SITES 2 TILEPROP CLBLM_R_X39Y38 ROW 116 TILEPROP CLBLM_R_X39Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y38 TILE_X 84922 TILEPROP CLBLM_R_X39Y38 TILE_Y -117048 TILEPROP CLBLM_R_X39Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y39 CLASS tile TILEPROP CLBLM_R_X39Y39 COLUMN 100 TILEPROP CLBLM_R_X39Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y39 FIRST_SITE_ID 11582 TILEPROP CLBLM_R_X39Y39 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X39Y39 INDEX 13325 TILEPROP CLBLM_R_X39Y39 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X39Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y39 NAME CLBLM_R_X39Y39 TILEPROP CLBLM_R_X39Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y39 NUM_SITES 2 TILEPROP CLBLM_R_X39Y39 ROW 115 TILEPROP CLBLM_R_X39Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y39 TILE_X 84922 TILEPROP CLBLM_R_X39Y39 TILE_Y -113848 TILEPROP CLBLM_R_X39Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y40 CLASS tile TILEPROP CLBLM_R_X39Y40 COLUMN 100 TILEPROP CLBLM_R_X39Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y40 FIRST_SITE_ID 11482 TILEPROP CLBLM_R_X39Y40 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X39Y40 INDEX 13210 TILEPROP CLBLM_R_X39Y40 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X39Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y40 NAME CLBLM_R_X39Y40 TILEPROP CLBLM_R_X39Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y40 NUM_SITES 2 TILEPROP CLBLM_R_X39Y40 ROW 114 TILEPROP CLBLM_R_X39Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y40 TILE_X 84922 TILEPROP CLBLM_R_X39Y40 TILE_Y -110648 TILEPROP CLBLM_R_X39Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y41 CLASS tile TILEPROP CLBLM_R_X39Y41 COLUMN 100 TILEPROP CLBLM_R_X39Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y41 FIRST_SITE_ID 11365 TILEPROP CLBLM_R_X39Y41 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X39Y41 INDEX 13095 TILEPROP CLBLM_R_X39Y41 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X39Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y41 NAME CLBLM_R_X39Y41 TILEPROP CLBLM_R_X39Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y41 NUM_SITES 2 TILEPROP CLBLM_R_X39Y41 ROW 113 TILEPROP CLBLM_R_X39Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y41 TILE_X 84922 TILEPROP CLBLM_R_X39Y41 TILE_Y -107448 TILEPROP CLBLM_R_X39Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y42 CLASS tile TILEPROP CLBLM_R_X39Y42 COLUMN 100 TILEPROP CLBLM_R_X39Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y42 FIRST_SITE_ID 11263 TILEPROP CLBLM_R_X39Y42 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X39Y42 INDEX 12980 TILEPROP CLBLM_R_X39Y42 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X39Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y42 NAME CLBLM_R_X39Y42 TILEPROP CLBLM_R_X39Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y42 NUM_SITES 2 TILEPROP CLBLM_R_X39Y42 ROW 112 TILEPROP CLBLM_R_X39Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y42 TILE_X 84922 TILEPROP CLBLM_R_X39Y42 TILE_Y -104248 TILEPROP CLBLM_R_X39Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y43 CLASS tile TILEPROP CLBLM_R_X39Y43 COLUMN 100 TILEPROP CLBLM_R_X39Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y43 FIRST_SITE_ID 11160 TILEPROP CLBLM_R_X39Y43 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X39Y43 INDEX 12865 TILEPROP CLBLM_R_X39Y43 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X39Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y43 NAME CLBLM_R_X39Y43 TILEPROP CLBLM_R_X39Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y43 NUM_SITES 2 TILEPROP CLBLM_R_X39Y43 ROW 111 TILEPROP CLBLM_R_X39Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y43 TILE_X 84922 TILEPROP CLBLM_R_X39Y43 TILE_Y -101048 TILEPROP CLBLM_R_X39Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y44 CLASS tile TILEPROP CLBLM_R_X39Y44 COLUMN 100 TILEPROP CLBLM_R_X39Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y44 FIRST_SITE_ID 11058 TILEPROP CLBLM_R_X39Y44 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X39Y44 INDEX 12750 TILEPROP CLBLM_R_X39Y44 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X39Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y44 NAME CLBLM_R_X39Y44 TILEPROP CLBLM_R_X39Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y44 NUM_SITES 2 TILEPROP CLBLM_R_X39Y44 ROW 110 TILEPROP CLBLM_R_X39Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y44 TILE_X 84922 TILEPROP CLBLM_R_X39Y44 TILE_Y -97848 TILEPROP CLBLM_R_X39Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y45 CLASS tile TILEPROP CLBLM_R_X39Y45 COLUMN 100 TILEPROP CLBLM_R_X39Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y45 FIRST_SITE_ID 10958 TILEPROP CLBLM_R_X39Y45 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X39Y45 INDEX 12635 TILEPROP CLBLM_R_X39Y45 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X39Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y45 NAME CLBLM_R_X39Y45 TILEPROP CLBLM_R_X39Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y45 NUM_SITES 2 TILEPROP CLBLM_R_X39Y45 ROW 109 TILEPROP CLBLM_R_X39Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y45 TILE_X 84922 TILEPROP CLBLM_R_X39Y45 TILE_Y -94648 TILEPROP CLBLM_R_X39Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y46 CLASS tile TILEPROP CLBLM_R_X39Y46 COLUMN 100 TILEPROP CLBLM_R_X39Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y46 FIRST_SITE_ID 10842 TILEPROP CLBLM_R_X39Y46 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X39Y46 INDEX 12520 TILEPROP CLBLM_R_X39Y46 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X39Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y46 NAME CLBLM_R_X39Y46 TILEPROP CLBLM_R_X39Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y46 NUM_SITES 2 TILEPROP CLBLM_R_X39Y46 ROW 108 TILEPROP CLBLM_R_X39Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y46 TILE_X 84922 TILEPROP CLBLM_R_X39Y46 TILE_Y -91448 TILEPROP CLBLM_R_X39Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y47 CLASS tile TILEPROP CLBLM_R_X39Y47 COLUMN 100 TILEPROP CLBLM_R_X39Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y47 FIRST_SITE_ID 10726 TILEPROP CLBLM_R_X39Y47 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X39Y47 INDEX 12405 TILEPROP CLBLM_R_X39Y47 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X39Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y47 NAME CLBLM_R_X39Y47 TILEPROP CLBLM_R_X39Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y47 NUM_SITES 2 TILEPROP CLBLM_R_X39Y47 ROW 107 TILEPROP CLBLM_R_X39Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y47 TILE_X 84922 TILEPROP CLBLM_R_X39Y47 TILE_Y -88248 TILEPROP CLBLM_R_X39Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y48 CLASS tile TILEPROP CLBLM_R_X39Y48 COLUMN 100 TILEPROP CLBLM_R_X39Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y48 FIRST_SITE_ID 10626 TILEPROP CLBLM_R_X39Y48 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X39Y48 INDEX 12290 TILEPROP CLBLM_R_X39Y48 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X39Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y48 NAME CLBLM_R_X39Y48 TILEPROP CLBLM_R_X39Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y48 NUM_SITES 2 TILEPROP CLBLM_R_X39Y48 ROW 106 TILEPROP CLBLM_R_X39Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y48 TILE_X 84922 TILEPROP CLBLM_R_X39Y48 TILE_Y -85048 TILEPROP CLBLM_R_X39Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y49 CLASS tile TILEPROP CLBLM_R_X39Y49 COLUMN 100 TILEPROP CLBLM_R_X39Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y49 FIRST_SITE_ID 10530 TILEPROP CLBLM_R_X39Y49 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X39Y49 INDEX 12175 TILEPROP CLBLM_R_X39Y49 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X39Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y49 NAME CLBLM_R_X39Y49 TILEPROP CLBLM_R_X39Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y49 NUM_SITES 2 TILEPROP CLBLM_R_X39Y49 ROW 105 TILEPROP CLBLM_R_X39Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X39Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y49 TILE_X 84922 TILEPROP CLBLM_R_X39Y49 TILE_Y -81848 TILEPROP CLBLM_R_X39Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y50 CLASS tile TILEPROP CLBLM_R_X39Y50 COLUMN 100 TILEPROP CLBLM_R_X39Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y50 FIRST_SITE_ID 10430 TILEPROP CLBLM_R_X39Y50 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X39Y50 INDEX 11945 TILEPROP CLBLM_R_X39Y50 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X39Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y50 NAME CLBLM_R_X39Y50 TILEPROP CLBLM_R_X39Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y50 NUM_SITES 2 TILEPROP CLBLM_R_X39Y50 ROW 103 TILEPROP CLBLM_R_X39Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X39Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y50 TILE_X 84922 TILEPROP CLBLM_R_X39Y50 TILE_Y -78400 TILEPROP CLBLM_R_X39Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y51 CLASS tile TILEPROP CLBLM_R_X39Y51 COLUMN 100 TILEPROP CLBLM_R_X39Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y51 FIRST_SITE_ID 10295 TILEPROP CLBLM_R_X39Y51 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X39Y51 INDEX 11830 TILEPROP CLBLM_R_X39Y51 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X39Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y51 NAME CLBLM_R_X39Y51 TILEPROP CLBLM_R_X39Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y51 NUM_SITES 2 TILEPROP CLBLM_R_X39Y51 ROW 102 TILEPROP CLBLM_R_X39Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y51 TILE_X 84922 TILEPROP CLBLM_R_X39Y51 TILE_Y -75200 TILEPROP CLBLM_R_X39Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y52 CLASS tile TILEPROP CLBLM_R_X39Y52 COLUMN 100 TILEPROP CLBLM_R_X39Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y52 FIRST_SITE_ID 10195 TILEPROP CLBLM_R_X39Y52 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X39Y52 INDEX 11715 TILEPROP CLBLM_R_X39Y52 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X39Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y52 NAME CLBLM_R_X39Y52 TILEPROP CLBLM_R_X39Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y52 NUM_SITES 2 TILEPROP CLBLM_R_X39Y52 ROW 101 TILEPROP CLBLM_R_X39Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y52 TILE_X 84922 TILEPROP CLBLM_R_X39Y52 TILE_Y -72000 TILEPROP CLBLM_R_X39Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y53 CLASS tile TILEPROP CLBLM_R_X39Y53 COLUMN 100 TILEPROP CLBLM_R_X39Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y53 FIRST_SITE_ID 10095 TILEPROP CLBLM_R_X39Y53 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X39Y53 INDEX 11600 TILEPROP CLBLM_R_X39Y53 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X39Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y53 NAME CLBLM_R_X39Y53 TILEPROP CLBLM_R_X39Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y53 NUM_SITES 2 TILEPROP CLBLM_R_X39Y53 ROW 100 TILEPROP CLBLM_R_X39Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y53 TILE_X 84922 TILEPROP CLBLM_R_X39Y53 TILE_Y -68800 TILEPROP CLBLM_R_X39Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y54 CLASS tile TILEPROP CLBLM_R_X39Y54 COLUMN 100 TILEPROP CLBLM_R_X39Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y54 FIRST_SITE_ID 9995 TILEPROP CLBLM_R_X39Y54 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X39Y54 INDEX 11485 TILEPROP CLBLM_R_X39Y54 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X39Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y54 NAME CLBLM_R_X39Y54 TILEPROP CLBLM_R_X39Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y54 NUM_SITES 2 TILEPROP CLBLM_R_X39Y54 ROW 99 TILEPROP CLBLM_R_X39Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y54 TILE_X 84922 TILEPROP CLBLM_R_X39Y54 TILE_Y -65600 TILEPROP CLBLM_R_X39Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y55 CLASS tile TILEPROP CLBLM_R_X39Y55 COLUMN 100 TILEPROP CLBLM_R_X39Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y55 FIRST_SITE_ID 9895 TILEPROP CLBLM_R_X39Y55 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X39Y55 INDEX 11370 TILEPROP CLBLM_R_X39Y55 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X39Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y55 NAME CLBLM_R_X39Y55 TILEPROP CLBLM_R_X39Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y55 NUM_SITES 2 TILEPROP CLBLM_R_X39Y55 ROW 98 TILEPROP CLBLM_R_X39Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y55 TILE_X 84922 TILEPROP CLBLM_R_X39Y55 TILE_Y -62400 TILEPROP CLBLM_R_X39Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y56 CLASS tile TILEPROP CLBLM_R_X39Y56 COLUMN 100 TILEPROP CLBLM_R_X39Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y56 FIRST_SITE_ID 9780 TILEPROP CLBLM_R_X39Y56 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X39Y56 INDEX 11255 TILEPROP CLBLM_R_X39Y56 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X39Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y56 NAME CLBLM_R_X39Y56 TILEPROP CLBLM_R_X39Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y56 NUM_SITES 2 TILEPROP CLBLM_R_X39Y56 ROW 97 TILEPROP CLBLM_R_X39Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y56 TILE_X 84922 TILEPROP CLBLM_R_X39Y56 TILE_Y -59200 TILEPROP CLBLM_R_X39Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y57 CLASS tile TILEPROP CLBLM_R_X39Y57 COLUMN 100 TILEPROP CLBLM_R_X39Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y57 FIRST_SITE_ID 9678 TILEPROP CLBLM_R_X39Y57 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X39Y57 INDEX 11140 TILEPROP CLBLM_R_X39Y57 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X39Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y57 NAME CLBLM_R_X39Y57 TILEPROP CLBLM_R_X39Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y57 NUM_SITES 2 TILEPROP CLBLM_R_X39Y57 ROW 96 TILEPROP CLBLM_R_X39Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y57 TILE_X 84922 TILEPROP CLBLM_R_X39Y57 TILE_Y -56000 TILEPROP CLBLM_R_X39Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y58 CLASS tile TILEPROP CLBLM_R_X39Y58 COLUMN 100 TILEPROP CLBLM_R_X39Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y58 FIRST_SITE_ID 9575 TILEPROP CLBLM_R_X39Y58 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X39Y58 INDEX 11025 TILEPROP CLBLM_R_X39Y58 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X39Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y58 NAME CLBLM_R_X39Y58 TILEPROP CLBLM_R_X39Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y58 NUM_SITES 2 TILEPROP CLBLM_R_X39Y58 ROW 95 TILEPROP CLBLM_R_X39Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y58 TILE_X 84922 TILEPROP CLBLM_R_X39Y58 TILE_Y -52800 TILEPROP CLBLM_R_X39Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y59 CLASS tile TILEPROP CLBLM_R_X39Y59 COLUMN 100 TILEPROP CLBLM_R_X39Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y59 FIRST_SITE_ID 9474 TILEPROP CLBLM_R_X39Y59 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X39Y59 INDEX 10910 TILEPROP CLBLM_R_X39Y59 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X39Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y59 NAME CLBLM_R_X39Y59 TILEPROP CLBLM_R_X39Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y59 NUM_SITES 2 TILEPROP CLBLM_R_X39Y59 ROW 94 TILEPROP CLBLM_R_X39Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y59 TILE_X 84922 TILEPROP CLBLM_R_X39Y59 TILE_Y -49600 TILEPROP CLBLM_R_X39Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y60 CLASS tile TILEPROP CLBLM_R_X39Y60 COLUMN 100 TILEPROP CLBLM_R_X39Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y60 FIRST_SITE_ID 9374 TILEPROP CLBLM_R_X39Y60 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X39Y60 INDEX 10795 TILEPROP CLBLM_R_X39Y60 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X39Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y60 NAME CLBLM_R_X39Y60 TILEPROP CLBLM_R_X39Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y60 NUM_SITES 2 TILEPROP CLBLM_R_X39Y60 ROW 93 TILEPROP CLBLM_R_X39Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y60 TILE_X 84922 TILEPROP CLBLM_R_X39Y60 TILE_Y -46400 TILEPROP CLBLM_R_X39Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y61 CLASS tile TILEPROP CLBLM_R_X39Y61 COLUMN 100 TILEPROP CLBLM_R_X39Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y61 FIRST_SITE_ID 9259 TILEPROP CLBLM_R_X39Y61 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X39Y61 INDEX 10680 TILEPROP CLBLM_R_X39Y61 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X39Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y61 NAME CLBLM_R_X39Y61 TILEPROP CLBLM_R_X39Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y61 NUM_SITES 2 TILEPROP CLBLM_R_X39Y61 ROW 92 TILEPROP CLBLM_R_X39Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y61 TILE_X 84922 TILEPROP CLBLM_R_X39Y61 TILE_Y -43200 TILEPROP CLBLM_R_X39Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y62 CLASS tile TILEPROP CLBLM_R_X39Y62 COLUMN 100 TILEPROP CLBLM_R_X39Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y62 FIRST_SITE_ID 9159 TILEPROP CLBLM_R_X39Y62 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X39Y62 INDEX 10565 TILEPROP CLBLM_R_X39Y62 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X39Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y62 NAME CLBLM_R_X39Y62 TILEPROP CLBLM_R_X39Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y62 NUM_SITES 2 TILEPROP CLBLM_R_X39Y62 ROW 91 TILEPROP CLBLM_R_X39Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y62 TILE_X 84922 TILEPROP CLBLM_R_X39Y62 TILE_Y -40000 TILEPROP CLBLM_R_X39Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y63 CLASS tile TILEPROP CLBLM_R_X39Y63 COLUMN 100 TILEPROP CLBLM_R_X39Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y63 FIRST_SITE_ID 9027 TILEPROP CLBLM_R_X39Y63 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X39Y63 INDEX 10450 TILEPROP CLBLM_R_X39Y63 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X39Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y63 NAME CLBLM_R_X39Y63 TILEPROP CLBLM_R_X39Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y63 NUM_SITES 2 TILEPROP CLBLM_R_X39Y63 ROW 90 TILEPROP CLBLM_R_X39Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y63 TILE_X 84922 TILEPROP CLBLM_R_X39Y63 TILE_Y -36800 TILEPROP CLBLM_R_X39Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y64 CLASS tile TILEPROP CLBLM_R_X39Y64 COLUMN 100 TILEPROP CLBLM_R_X39Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y64 FIRST_SITE_ID 8927 TILEPROP CLBLM_R_X39Y64 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X39Y64 INDEX 10335 TILEPROP CLBLM_R_X39Y64 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X39Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y64 NAME CLBLM_R_X39Y64 TILEPROP CLBLM_R_X39Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y64 NUM_SITES 2 TILEPROP CLBLM_R_X39Y64 ROW 89 TILEPROP CLBLM_R_X39Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y64 TILE_X 84922 TILEPROP CLBLM_R_X39Y64 TILE_Y -33600 TILEPROP CLBLM_R_X39Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y65 CLASS tile TILEPROP CLBLM_R_X39Y65 COLUMN 100 TILEPROP CLBLM_R_X39Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y65 FIRST_SITE_ID 8827 TILEPROP CLBLM_R_X39Y65 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X39Y65 INDEX 10220 TILEPROP CLBLM_R_X39Y65 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X39Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y65 NAME CLBLM_R_X39Y65 TILEPROP CLBLM_R_X39Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y65 NUM_SITES 2 TILEPROP CLBLM_R_X39Y65 ROW 88 TILEPROP CLBLM_R_X39Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y65 TILE_X 84922 TILEPROP CLBLM_R_X39Y65 TILE_Y -30400 TILEPROP CLBLM_R_X39Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y66 CLASS tile TILEPROP CLBLM_R_X39Y66 COLUMN 100 TILEPROP CLBLM_R_X39Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y66 FIRST_SITE_ID 8712 TILEPROP CLBLM_R_X39Y66 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X39Y66 INDEX 10105 TILEPROP CLBLM_R_X39Y66 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X39Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y66 NAME CLBLM_R_X39Y66 TILEPROP CLBLM_R_X39Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y66 NUM_SITES 2 TILEPROP CLBLM_R_X39Y66 ROW 87 TILEPROP CLBLM_R_X39Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y66 TILE_X 84922 TILEPROP CLBLM_R_X39Y66 TILE_Y -27200 TILEPROP CLBLM_R_X39Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y67 CLASS tile TILEPROP CLBLM_R_X39Y67 COLUMN 100 TILEPROP CLBLM_R_X39Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y67 FIRST_SITE_ID 8608 TILEPROP CLBLM_R_X39Y67 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X39Y67 INDEX 9990 TILEPROP CLBLM_R_X39Y67 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X39Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y67 NAME CLBLM_R_X39Y67 TILEPROP CLBLM_R_X39Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y67 NUM_SITES 2 TILEPROP CLBLM_R_X39Y67 ROW 86 TILEPROP CLBLM_R_X39Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y67 TILE_X 84922 TILEPROP CLBLM_R_X39Y67 TILE_Y -24000 TILEPROP CLBLM_R_X39Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y68 CLASS tile TILEPROP CLBLM_R_X39Y68 COLUMN 100 TILEPROP CLBLM_R_X39Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y68 FIRST_SITE_ID 8504 TILEPROP CLBLM_R_X39Y68 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X39Y68 INDEX 9875 TILEPROP CLBLM_R_X39Y68 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X39Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y68 NAME CLBLM_R_X39Y68 TILEPROP CLBLM_R_X39Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y68 NUM_SITES 2 TILEPROP CLBLM_R_X39Y68 ROW 85 TILEPROP CLBLM_R_X39Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y68 TILE_X 84922 TILEPROP CLBLM_R_X39Y68 TILE_Y -20800 TILEPROP CLBLM_R_X39Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y69 CLASS tile TILEPROP CLBLM_R_X39Y69 COLUMN 100 TILEPROP CLBLM_R_X39Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y69 FIRST_SITE_ID 8402 TILEPROP CLBLM_R_X39Y69 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X39Y69 INDEX 9760 TILEPROP CLBLM_R_X39Y69 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X39Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y69 NAME CLBLM_R_X39Y69 TILEPROP CLBLM_R_X39Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y69 NUM_SITES 2 TILEPROP CLBLM_R_X39Y69 ROW 84 TILEPROP CLBLM_R_X39Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y69 TILE_X 84922 TILEPROP CLBLM_R_X39Y69 TILE_Y -17600 TILEPROP CLBLM_R_X39Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y70 CLASS tile TILEPROP CLBLM_R_X39Y70 COLUMN 100 TILEPROP CLBLM_R_X39Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y70 FIRST_SITE_ID 8300 TILEPROP CLBLM_R_X39Y70 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X39Y70 INDEX 9645 TILEPROP CLBLM_R_X39Y70 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X39Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y70 NAME CLBLM_R_X39Y70 TILEPROP CLBLM_R_X39Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y70 NUM_SITES 2 TILEPROP CLBLM_R_X39Y70 ROW 83 TILEPROP CLBLM_R_X39Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y70 TILE_X 84922 TILEPROP CLBLM_R_X39Y70 TILE_Y -14400 TILEPROP CLBLM_R_X39Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y71 CLASS tile TILEPROP CLBLM_R_X39Y71 COLUMN 100 TILEPROP CLBLM_R_X39Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y71 FIRST_SITE_ID 8185 TILEPROP CLBLM_R_X39Y71 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X39Y71 INDEX 9530 TILEPROP CLBLM_R_X39Y71 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X39Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y71 NAME CLBLM_R_X39Y71 TILEPROP CLBLM_R_X39Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y71 NUM_SITES 2 TILEPROP CLBLM_R_X39Y71 ROW 82 TILEPROP CLBLM_R_X39Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y71 TILE_X 84922 TILEPROP CLBLM_R_X39Y71 TILE_Y -11200 TILEPROP CLBLM_R_X39Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y72 CLASS tile TILEPROP CLBLM_R_X39Y72 COLUMN 100 TILEPROP CLBLM_R_X39Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y72 FIRST_SITE_ID 8085 TILEPROP CLBLM_R_X39Y72 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X39Y72 INDEX 9415 TILEPROP CLBLM_R_X39Y72 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X39Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y72 NAME CLBLM_R_X39Y72 TILEPROP CLBLM_R_X39Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y72 NUM_SITES 2 TILEPROP CLBLM_R_X39Y72 ROW 81 TILEPROP CLBLM_R_X39Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y72 TILE_X 84922 TILEPROP CLBLM_R_X39Y72 TILE_Y -8000 TILEPROP CLBLM_R_X39Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y73 CLASS tile TILEPROP CLBLM_R_X39Y73 COLUMN 100 TILEPROP CLBLM_R_X39Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y73 FIRST_SITE_ID 7985 TILEPROP CLBLM_R_X39Y73 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X39Y73 INDEX 9300 TILEPROP CLBLM_R_X39Y73 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X39Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y73 NAME CLBLM_R_X39Y73 TILEPROP CLBLM_R_X39Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y73 NUM_SITES 2 TILEPROP CLBLM_R_X39Y73 ROW 80 TILEPROP CLBLM_R_X39Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y73 TILE_X 84922 TILEPROP CLBLM_R_X39Y73 TILE_Y -4800 TILEPROP CLBLM_R_X39Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y74 CLASS tile TILEPROP CLBLM_R_X39Y74 COLUMN 100 TILEPROP CLBLM_R_X39Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y74 FIRST_SITE_ID 7885 TILEPROP CLBLM_R_X39Y74 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X39Y74 INDEX 9185 TILEPROP CLBLM_R_X39Y74 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X39Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y74 NAME CLBLM_R_X39Y74 TILEPROP CLBLM_R_X39Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y74 NUM_SITES 2 TILEPROP CLBLM_R_X39Y74 ROW 79 TILEPROP CLBLM_R_X39Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X39Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y74 TILE_X 84922 TILEPROP CLBLM_R_X39Y74 TILE_Y -1600 TILEPROP CLBLM_R_X39Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y75 CLASS tile TILEPROP CLBLM_R_X39Y75 COLUMN 100 TILEPROP CLBLM_R_X39Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y75 FIRST_SITE_ID 7702 TILEPROP CLBLM_R_X39Y75 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X39Y75 INDEX 8955 TILEPROP CLBLM_R_X39Y75 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X39Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y75 NAME CLBLM_R_X39Y75 TILEPROP CLBLM_R_X39Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y75 NUM_SITES 2 TILEPROP CLBLM_R_X39Y75 ROW 77 TILEPROP CLBLM_R_X39Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X39Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y75 TILE_X 84922 TILEPROP CLBLM_R_X39Y75 TILE_Y 2624 TILEPROP CLBLM_R_X39Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y76 CLASS tile TILEPROP CLBLM_R_X39Y76 COLUMN 100 TILEPROP CLBLM_R_X39Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y76 FIRST_SITE_ID 7584 TILEPROP CLBLM_R_X39Y76 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X39Y76 INDEX 8840 TILEPROP CLBLM_R_X39Y76 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X39Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y76 NAME CLBLM_R_X39Y76 TILEPROP CLBLM_R_X39Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y76 NUM_SITES 2 TILEPROP CLBLM_R_X39Y76 ROW 76 TILEPROP CLBLM_R_X39Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y76 TILE_X 84922 TILEPROP CLBLM_R_X39Y76 TILE_Y 5824 TILEPROP CLBLM_R_X39Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y77 CLASS tile TILEPROP CLBLM_R_X39Y77 COLUMN 100 TILEPROP CLBLM_R_X39Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y77 FIRST_SITE_ID 7484 TILEPROP CLBLM_R_X39Y77 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X39Y77 INDEX 8725 TILEPROP CLBLM_R_X39Y77 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X39Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y77 NAME CLBLM_R_X39Y77 TILEPROP CLBLM_R_X39Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y77 NUM_SITES 2 TILEPROP CLBLM_R_X39Y77 ROW 75 TILEPROP CLBLM_R_X39Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y77 TILE_X 84922 TILEPROP CLBLM_R_X39Y77 TILE_Y 9024 TILEPROP CLBLM_R_X39Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y78 CLASS tile TILEPROP CLBLM_R_X39Y78 COLUMN 100 TILEPROP CLBLM_R_X39Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y78 FIRST_SITE_ID 7384 TILEPROP CLBLM_R_X39Y78 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X39Y78 INDEX 8610 TILEPROP CLBLM_R_X39Y78 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X39Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y78 NAME CLBLM_R_X39Y78 TILEPROP CLBLM_R_X39Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y78 NUM_SITES 2 TILEPROP CLBLM_R_X39Y78 ROW 74 TILEPROP CLBLM_R_X39Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y78 TILE_X 84922 TILEPROP CLBLM_R_X39Y78 TILE_Y 12224 TILEPROP CLBLM_R_X39Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y79 CLASS tile TILEPROP CLBLM_R_X39Y79 COLUMN 100 TILEPROP CLBLM_R_X39Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y79 FIRST_SITE_ID 7278 TILEPROP CLBLM_R_X39Y79 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X39Y79 INDEX 8495 TILEPROP CLBLM_R_X39Y79 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X39Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y79 NAME CLBLM_R_X39Y79 TILEPROP CLBLM_R_X39Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y79 NUM_SITES 2 TILEPROP CLBLM_R_X39Y79 ROW 73 TILEPROP CLBLM_R_X39Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y79 TILE_X 84922 TILEPROP CLBLM_R_X39Y79 TILE_Y 15424 TILEPROP CLBLM_R_X39Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y80 CLASS tile TILEPROP CLBLM_R_X39Y80 COLUMN 100 TILEPROP CLBLM_R_X39Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y80 FIRST_SITE_ID 7172 TILEPROP CLBLM_R_X39Y80 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X39Y80 INDEX 8380 TILEPROP CLBLM_R_X39Y80 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X39Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y80 NAME CLBLM_R_X39Y80 TILEPROP CLBLM_R_X39Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y80 NUM_SITES 2 TILEPROP CLBLM_R_X39Y80 ROW 72 TILEPROP CLBLM_R_X39Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y80 TILE_X 84922 TILEPROP CLBLM_R_X39Y80 TILE_Y 18624 TILEPROP CLBLM_R_X39Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y81 CLASS tile TILEPROP CLBLM_R_X39Y81 COLUMN 100 TILEPROP CLBLM_R_X39Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y81 FIRST_SITE_ID 7055 TILEPROP CLBLM_R_X39Y81 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X39Y81 INDEX 8265 TILEPROP CLBLM_R_X39Y81 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X39Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y81 NAME CLBLM_R_X39Y81 TILEPROP CLBLM_R_X39Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y81 NUM_SITES 2 TILEPROP CLBLM_R_X39Y81 ROW 71 TILEPROP CLBLM_R_X39Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y81 TILE_X 84922 TILEPROP CLBLM_R_X39Y81 TILE_Y 21824 TILEPROP CLBLM_R_X39Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y82 CLASS tile TILEPROP CLBLM_R_X39Y82 COLUMN 100 TILEPROP CLBLM_R_X39Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y82 FIRST_SITE_ID 6953 TILEPROP CLBLM_R_X39Y82 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X39Y82 INDEX 8150 TILEPROP CLBLM_R_X39Y82 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X39Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y82 NAME CLBLM_R_X39Y82 TILEPROP CLBLM_R_X39Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y82 NUM_SITES 2 TILEPROP CLBLM_R_X39Y82 ROW 70 TILEPROP CLBLM_R_X39Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y82 TILE_X 84922 TILEPROP CLBLM_R_X39Y82 TILE_Y 25024 TILEPROP CLBLM_R_X39Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y83 CLASS tile TILEPROP CLBLM_R_X39Y83 COLUMN 100 TILEPROP CLBLM_R_X39Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y83 FIRST_SITE_ID 6853 TILEPROP CLBLM_R_X39Y83 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X39Y83 INDEX 8035 TILEPROP CLBLM_R_X39Y83 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X39Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y83 NAME CLBLM_R_X39Y83 TILEPROP CLBLM_R_X39Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y83 NUM_SITES 2 TILEPROP CLBLM_R_X39Y83 ROW 69 TILEPROP CLBLM_R_X39Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y83 TILE_X 84922 TILEPROP CLBLM_R_X39Y83 TILE_Y 28224 TILEPROP CLBLM_R_X39Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y84 CLASS tile TILEPROP CLBLM_R_X39Y84 COLUMN 100 TILEPROP CLBLM_R_X39Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y84 FIRST_SITE_ID 6753 TILEPROP CLBLM_R_X39Y84 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X39Y84 INDEX 7920 TILEPROP CLBLM_R_X39Y84 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X39Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y84 NAME CLBLM_R_X39Y84 TILEPROP CLBLM_R_X39Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y84 NUM_SITES 2 TILEPROP CLBLM_R_X39Y84 ROW 68 TILEPROP CLBLM_R_X39Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y84 TILE_X 84922 TILEPROP CLBLM_R_X39Y84 TILE_Y 31424 TILEPROP CLBLM_R_X39Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y85 CLASS tile TILEPROP CLBLM_R_X39Y85 COLUMN 100 TILEPROP CLBLM_R_X39Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y85 FIRST_SITE_ID 6653 TILEPROP CLBLM_R_X39Y85 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X39Y85 INDEX 7805 TILEPROP CLBLM_R_X39Y85 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X39Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y85 NAME CLBLM_R_X39Y85 TILEPROP CLBLM_R_X39Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y85 NUM_SITES 2 TILEPROP CLBLM_R_X39Y85 ROW 67 TILEPROP CLBLM_R_X39Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y85 TILE_X 84922 TILEPROP CLBLM_R_X39Y85 TILE_Y 34624 TILEPROP CLBLM_R_X39Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y86 CLASS tile TILEPROP CLBLM_R_X39Y86 COLUMN 100 TILEPROP CLBLM_R_X39Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y86 FIRST_SITE_ID 6538 TILEPROP CLBLM_R_X39Y86 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X39Y86 INDEX 7690 TILEPROP CLBLM_R_X39Y86 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X39Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y86 NAME CLBLM_R_X39Y86 TILEPROP CLBLM_R_X39Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y86 NUM_SITES 2 TILEPROP CLBLM_R_X39Y86 ROW 66 TILEPROP CLBLM_R_X39Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y86 TILE_X 84922 TILEPROP CLBLM_R_X39Y86 TILE_Y 37824 TILEPROP CLBLM_R_X39Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y87 CLASS tile TILEPROP CLBLM_R_X39Y87 COLUMN 100 TILEPROP CLBLM_R_X39Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y87 FIRST_SITE_ID 6406 TILEPROP CLBLM_R_X39Y87 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X39Y87 INDEX 7575 TILEPROP CLBLM_R_X39Y87 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X39Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y87 NAME CLBLM_R_X39Y87 TILEPROP CLBLM_R_X39Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y87 NUM_SITES 2 TILEPROP CLBLM_R_X39Y87 ROW 65 TILEPROP CLBLM_R_X39Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y87 TILE_X 84922 TILEPROP CLBLM_R_X39Y87 TILE_Y 41024 TILEPROP CLBLM_R_X39Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y88 CLASS tile TILEPROP CLBLM_R_X39Y88 COLUMN 100 TILEPROP CLBLM_R_X39Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y88 FIRST_SITE_ID 6306 TILEPROP CLBLM_R_X39Y88 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X39Y88 INDEX 7460 TILEPROP CLBLM_R_X39Y88 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X39Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y88 NAME CLBLM_R_X39Y88 TILEPROP CLBLM_R_X39Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y88 NUM_SITES 2 TILEPROP CLBLM_R_X39Y88 ROW 64 TILEPROP CLBLM_R_X39Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y88 TILE_X 84922 TILEPROP CLBLM_R_X39Y88 TILE_Y 44224 TILEPROP CLBLM_R_X39Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y89 CLASS tile TILEPROP CLBLM_R_X39Y89 COLUMN 100 TILEPROP CLBLM_R_X39Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y89 FIRST_SITE_ID 6206 TILEPROP CLBLM_R_X39Y89 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X39Y89 INDEX 7345 TILEPROP CLBLM_R_X39Y89 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X39Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y89 NAME CLBLM_R_X39Y89 TILEPROP CLBLM_R_X39Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y89 NUM_SITES 2 TILEPROP CLBLM_R_X39Y89 ROW 63 TILEPROP CLBLM_R_X39Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y89 TILE_X 84922 TILEPROP CLBLM_R_X39Y89 TILE_Y 47424 TILEPROP CLBLM_R_X39Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y90 CLASS tile TILEPROP CLBLM_R_X39Y90 COLUMN 100 TILEPROP CLBLM_R_X39Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y90 FIRST_SITE_ID 6106 TILEPROP CLBLM_R_X39Y90 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X39Y90 INDEX 7230 TILEPROP CLBLM_R_X39Y90 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X39Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y90 NAME CLBLM_R_X39Y90 TILEPROP CLBLM_R_X39Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y90 NUM_SITES 2 TILEPROP CLBLM_R_X39Y90 ROW 62 TILEPROP CLBLM_R_X39Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y90 TILE_X 84922 TILEPROP CLBLM_R_X39Y90 TILE_Y 50624 TILEPROP CLBLM_R_X39Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y91 CLASS tile TILEPROP CLBLM_R_X39Y91 COLUMN 100 TILEPROP CLBLM_R_X39Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y91 FIRST_SITE_ID 5991 TILEPROP CLBLM_R_X39Y91 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X39Y91 INDEX 7115 TILEPROP CLBLM_R_X39Y91 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X39Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y91 NAME CLBLM_R_X39Y91 TILEPROP CLBLM_R_X39Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y91 NUM_SITES 2 TILEPROP CLBLM_R_X39Y91 ROW 61 TILEPROP CLBLM_R_X39Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y91 TILE_X 84922 TILEPROP CLBLM_R_X39Y91 TILE_Y 53824 TILEPROP CLBLM_R_X39Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y92 CLASS tile TILEPROP CLBLM_R_X39Y92 COLUMN 100 TILEPROP CLBLM_R_X39Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y92 FIRST_SITE_ID 5890 TILEPROP CLBLM_R_X39Y92 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X39Y92 INDEX 7000 TILEPROP CLBLM_R_X39Y92 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X39Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y92 NAME CLBLM_R_X39Y92 TILEPROP CLBLM_R_X39Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y92 NUM_SITES 2 TILEPROP CLBLM_R_X39Y92 ROW 60 TILEPROP CLBLM_R_X39Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y92 TILE_X 84922 TILEPROP CLBLM_R_X39Y92 TILE_Y 57024 TILEPROP CLBLM_R_X39Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y93 CLASS tile TILEPROP CLBLM_R_X39Y93 COLUMN 100 TILEPROP CLBLM_R_X39Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y93 FIRST_SITE_ID 5787 TILEPROP CLBLM_R_X39Y93 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X39Y93 INDEX 6885 TILEPROP CLBLM_R_X39Y93 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X39Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y93 NAME CLBLM_R_X39Y93 TILEPROP CLBLM_R_X39Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y93 NUM_SITES 2 TILEPROP CLBLM_R_X39Y93 ROW 59 TILEPROP CLBLM_R_X39Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y93 TILE_X 84922 TILEPROP CLBLM_R_X39Y93 TILE_Y 60224 TILEPROP CLBLM_R_X39Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y94 CLASS tile TILEPROP CLBLM_R_X39Y94 COLUMN 100 TILEPROP CLBLM_R_X39Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y94 FIRST_SITE_ID 5685 TILEPROP CLBLM_R_X39Y94 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X39Y94 INDEX 6770 TILEPROP CLBLM_R_X39Y94 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X39Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y94 NAME CLBLM_R_X39Y94 TILEPROP CLBLM_R_X39Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y94 NUM_SITES 2 TILEPROP CLBLM_R_X39Y94 ROW 58 TILEPROP CLBLM_R_X39Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y94 TILE_X 84922 TILEPROP CLBLM_R_X39Y94 TILE_Y 63424 TILEPROP CLBLM_R_X39Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y95 CLASS tile TILEPROP CLBLM_R_X39Y95 COLUMN 100 TILEPROP CLBLM_R_X39Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y95 FIRST_SITE_ID 5585 TILEPROP CLBLM_R_X39Y95 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X39Y95 INDEX 6655 TILEPROP CLBLM_R_X39Y95 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X39Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y95 NAME CLBLM_R_X39Y95 TILEPROP CLBLM_R_X39Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y95 NUM_SITES 2 TILEPROP CLBLM_R_X39Y95 ROW 57 TILEPROP CLBLM_R_X39Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y95 TILE_X 84922 TILEPROP CLBLM_R_X39Y95 TILE_Y 66624 TILEPROP CLBLM_R_X39Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y96 CLASS tile TILEPROP CLBLM_R_X39Y96 COLUMN 100 TILEPROP CLBLM_R_X39Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y96 FIRST_SITE_ID 5470 TILEPROP CLBLM_R_X39Y96 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X39Y96 INDEX 6540 TILEPROP CLBLM_R_X39Y96 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X39Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y96 NAME CLBLM_R_X39Y96 TILEPROP CLBLM_R_X39Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y96 NUM_SITES 2 TILEPROP CLBLM_R_X39Y96 ROW 56 TILEPROP CLBLM_R_X39Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y96 TILE_X 84922 TILEPROP CLBLM_R_X39Y96 TILE_Y 69824 TILEPROP CLBLM_R_X39Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y97 CLASS tile TILEPROP CLBLM_R_X39Y97 COLUMN 100 TILEPROP CLBLM_R_X39Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y97 FIRST_SITE_ID 5370 TILEPROP CLBLM_R_X39Y97 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X39Y97 INDEX 6425 TILEPROP CLBLM_R_X39Y97 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X39Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y97 NAME CLBLM_R_X39Y97 TILEPROP CLBLM_R_X39Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y97 NUM_SITES 2 TILEPROP CLBLM_R_X39Y97 ROW 55 TILEPROP CLBLM_R_X39Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y97 TILE_X 84922 TILEPROP CLBLM_R_X39Y97 TILE_Y 73024 TILEPROP CLBLM_R_X39Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y98 CLASS tile TILEPROP CLBLM_R_X39Y98 COLUMN 100 TILEPROP CLBLM_R_X39Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y98 FIRST_SITE_ID 5270 TILEPROP CLBLM_R_X39Y98 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X39Y98 INDEX 6310 TILEPROP CLBLM_R_X39Y98 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X39Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y98 NAME CLBLM_R_X39Y98 TILEPROP CLBLM_R_X39Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y98 NUM_SITES 2 TILEPROP CLBLM_R_X39Y98 ROW 54 TILEPROP CLBLM_R_X39Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X39Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y98 TILE_X 84922 TILEPROP CLBLM_R_X39Y98 TILE_Y 76224 TILEPROP CLBLM_R_X39Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X39Y99 CLASS tile TILEPROP CLBLM_R_X39Y99 COLUMN 100 TILEPROP CLBLM_R_X39Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X39Y99 FIRST_SITE_ID 5174 TILEPROP CLBLM_R_X39Y99 GRID_POINT_X 100 TILEPROP CLBLM_R_X39Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X39Y99 INDEX 6195 TILEPROP CLBLM_R_X39Y99 INT_TILE_X 39 TILEPROP CLBLM_R_X39Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X39Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X39Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X39Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X39Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X39Y99 NAME CLBLM_R_X39Y99 TILEPROP CLBLM_R_X39Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X39Y99 NUM_SITES 2 TILEPROP CLBLM_R_X39Y99 ROW 53 TILEPROP CLBLM_R_X39Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X39Y99 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X39Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X39Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X39Y99 TILE_X 84922 TILEPROP CLBLM_R_X39Y99 TILE_Y 79424 TILEPROP CLBLM_R_X39Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y0 CLASS tile TILEPROP CLBLM_R_X3Y0 COLUMN 13 TILEPROP CLBLM_R_X3Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y0 FIRST_SITE_ID 15712 TILEPROP CLBLM_R_X3Y0 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X3Y0 INDEX 17838 TILEPROP CLBLM_R_X3Y0 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X3Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y0 NAME CLBLM_R_X3Y0 TILEPROP CLBLM_R_X3Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y0 NUM_SITES 2 TILEPROP CLBLM_R_X3Y0 ROW 155 TILEPROP CLBLM_R_X3Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X3Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y0 TILE_X -79602 TILEPROP CLBLM_R_X3Y0 TILE_Y -239672 TILEPROP CLBLM_R_X3Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y1 CLASS tile TILEPROP CLBLM_R_X3Y1 COLUMN 13 TILEPROP CLBLM_R_X3Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y1 FIRST_SITE_ID 15607 TILEPROP CLBLM_R_X3Y1 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X3Y1 INDEX 17723 TILEPROP CLBLM_R_X3Y1 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X3Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y1 NAME CLBLM_R_X3Y1 TILEPROP CLBLM_R_X3Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y1 NUM_SITES 2 TILEPROP CLBLM_R_X3Y1 ROW 154 TILEPROP CLBLM_R_X3Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y1 TILE_X -79602 TILEPROP CLBLM_R_X3Y1 TILE_Y -236472 TILEPROP CLBLM_R_X3Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y2 CLASS tile TILEPROP CLBLM_R_X3Y2 COLUMN 13 TILEPROP CLBLM_R_X3Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y2 FIRST_SITE_ID 15507 TILEPROP CLBLM_R_X3Y2 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X3Y2 INDEX 17608 TILEPROP CLBLM_R_X3Y2 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X3Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y2 NAME CLBLM_R_X3Y2 TILEPROP CLBLM_R_X3Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y2 NUM_SITES 2 TILEPROP CLBLM_R_X3Y2 ROW 153 TILEPROP CLBLM_R_X3Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y2 TILE_X -79602 TILEPROP CLBLM_R_X3Y2 TILE_Y -233272 TILEPROP CLBLM_R_X3Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y3 CLASS tile TILEPROP CLBLM_R_X3Y3 COLUMN 13 TILEPROP CLBLM_R_X3Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y3 FIRST_SITE_ID 15407 TILEPROP CLBLM_R_X3Y3 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X3Y3 INDEX 17493 TILEPROP CLBLM_R_X3Y3 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X3Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y3 NAME CLBLM_R_X3Y3 TILEPROP CLBLM_R_X3Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y3 NUM_SITES 2 TILEPROP CLBLM_R_X3Y3 ROW 152 TILEPROP CLBLM_R_X3Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y3 TILE_X -79602 TILEPROP CLBLM_R_X3Y3 TILE_Y -230072 TILEPROP CLBLM_R_X3Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y4 CLASS tile TILEPROP CLBLM_R_X3Y4 COLUMN 13 TILEPROP CLBLM_R_X3Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y4 FIRST_SITE_ID 15307 TILEPROP CLBLM_R_X3Y4 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X3Y4 INDEX 17378 TILEPROP CLBLM_R_X3Y4 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X3Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y4 NAME CLBLM_R_X3Y4 TILEPROP CLBLM_R_X3Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y4 NUM_SITES 2 TILEPROP CLBLM_R_X3Y4 ROW 151 TILEPROP CLBLM_R_X3Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y4 TILE_X -79602 TILEPROP CLBLM_R_X3Y4 TILE_Y -226872 TILEPROP CLBLM_R_X3Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y5 CLASS tile TILEPROP CLBLM_R_X3Y5 COLUMN 13 TILEPROP CLBLM_R_X3Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y5 FIRST_SITE_ID 15192 TILEPROP CLBLM_R_X3Y5 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X3Y5 INDEX 17263 TILEPROP CLBLM_R_X3Y5 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X3Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y5 NAME CLBLM_R_X3Y5 TILEPROP CLBLM_R_X3Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y5 NUM_SITES 2 TILEPROP CLBLM_R_X3Y5 ROW 150 TILEPROP CLBLM_R_X3Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y5 TILE_X -79602 TILEPROP CLBLM_R_X3Y5 TILE_Y -223672 TILEPROP CLBLM_R_X3Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y6 CLASS tile TILEPROP CLBLM_R_X3Y6 COLUMN 13 TILEPROP CLBLM_R_X3Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y6 FIRST_SITE_ID 15092 TILEPROP CLBLM_R_X3Y6 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X3Y6 INDEX 17148 TILEPROP CLBLM_R_X3Y6 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X3Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y6 NAME CLBLM_R_X3Y6 TILEPROP CLBLM_R_X3Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y6 NUM_SITES 2 TILEPROP CLBLM_R_X3Y6 ROW 149 TILEPROP CLBLM_R_X3Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y6 TILE_X -79602 TILEPROP CLBLM_R_X3Y6 TILE_Y -220472 TILEPROP CLBLM_R_X3Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y7 CLASS tile TILEPROP CLBLM_R_X3Y7 COLUMN 13 TILEPROP CLBLM_R_X3Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y7 FIRST_SITE_ID 14990 TILEPROP CLBLM_R_X3Y7 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X3Y7 INDEX 17033 TILEPROP CLBLM_R_X3Y7 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X3Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y7 NAME CLBLM_R_X3Y7 TILEPROP CLBLM_R_X3Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y7 NUM_SITES 2 TILEPROP CLBLM_R_X3Y7 ROW 148 TILEPROP CLBLM_R_X3Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y7 TILE_X -79602 TILEPROP CLBLM_R_X3Y7 TILE_Y -217272 TILEPROP CLBLM_R_X3Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y8 CLASS tile TILEPROP CLBLM_R_X3Y8 COLUMN 13 TILEPROP CLBLM_R_X3Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y8 FIRST_SITE_ID 14887 TILEPROP CLBLM_R_X3Y8 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X3Y8 INDEX 16918 TILEPROP CLBLM_R_X3Y8 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X3Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y8 NAME CLBLM_R_X3Y8 TILEPROP CLBLM_R_X3Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y8 NUM_SITES 2 TILEPROP CLBLM_R_X3Y8 ROW 147 TILEPROP CLBLM_R_X3Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y8 TILE_X -79602 TILEPROP CLBLM_R_X3Y8 TILE_Y -214072 TILEPROP CLBLM_R_X3Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y9 CLASS tile TILEPROP CLBLM_R_X3Y9 COLUMN 13 TILEPROP CLBLM_R_X3Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y9 FIRST_SITE_ID 14786 TILEPROP CLBLM_R_X3Y9 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X3Y9 INDEX 16803 TILEPROP CLBLM_R_X3Y9 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X3Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y9 NAME CLBLM_R_X3Y9 TILEPROP CLBLM_R_X3Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y9 NUM_SITES 2 TILEPROP CLBLM_R_X3Y9 ROW 146 TILEPROP CLBLM_R_X3Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y9 TILE_X -79602 TILEPROP CLBLM_R_X3Y9 TILE_Y -210872 TILEPROP CLBLM_R_X3Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y10 CLASS tile TILEPROP CLBLM_R_X3Y10 COLUMN 13 TILEPROP CLBLM_R_X3Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y10 FIRST_SITE_ID 14671 TILEPROP CLBLM_R_X3Y10 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X3Y10 INDEX 16688 TILEPROP CLBLM_R_X3Y10 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X3Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y10 NAME CLBLM_R_X3Y10 TILEPROP CLBLM_R_X3Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y10 NUM_SITES 2 TILEPROP CLBLM_R_X3Y10 ROW 145 TILEPROP CLBLM_R_X3Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y10 TILE_X -79602 TILEPROP CLBLM_R_X3Y10 TILE_Y -207672 TILEPROP CLBLM_R_X3Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y11 CLASS tile TILEPROP CLBLM_R_X3Y11 COLUMN 13 TILEPROP CLBLM_R_X3Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y11 FIRST_SITE_ID 14571 TILEPROP CLBLM_R_X3Y11 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X3Y11 INDEX 16573 TILEPROP CLBLM_R_X3Y11 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X3Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y11 NAME CLBLM_R_X3Y11 TILEPROP CLBLM_R_X3Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y11 NUM_SITES 2 TILEPROP CLBLM_R_X3Y11 ROW 144 TILEPROP CLBLM_R_X3Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y11 TILE_X -79602 TILEPROP CLBLM_R_X3Y11 TILE_Y -204472 TILEPROP CLBLM_R_X3Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y12 CLASS tile TILEPROP CLBLM_R_X3Y12 COLUMN 13 TILEPROP CLBLM_R_X3Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y12 FIRST_SITE_ID 14439 TILEPROP CLBLM_R_X3Y12 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X3Y12 INDEX 16458 TILEPROP CLBLM_R_X3Y12 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X3Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y12 NAME CLBLM_R_X3Y12 TILEPROP CLBLM_R_X3Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y12 NUM_SITES 2 TILEPROP CLBLM_R_X3Y12 ROW 143 TILEPROP CLBLM_R_X3Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y12 TILE_X -79602 TILEPROP CLBLM_R_X3Y12 TILE_Y -201272 TILEPROP CLBLM_R_X3Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y13 CLASS tile TILEPROP CLBLM_R_X3Y13 COLUMN 13 TILEPROP CLBLM_R_X3Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y13 FIRST_SITE_ID 14339 TILEPROP CLBLM_R_X3Y13 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X3Y13 INDEX 16343 TILEPROP CLBLM_R_X3Y13 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X3Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y13 NAME CLBLM_R_X3Y13 TILEPROP CLBLM_R_X3Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y13 NUM_SITES 2 TILEPROP CLBLM_R_X3Y13 ROW 142 TILEPROP CLBLM_R_X3Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y13 TILE_X -79602 TILEPROP CLBLM_R_X3Y13 TILE_Y -198072 TILEPROP CLBLM_R_X3Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y14 CLASS tile TILEPROP CLBLM_R_X3Y14 COLUMN 13 TILEPROP CLBLM_R_X3Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y14 FIRST_SITE_ID 14239 TILEPROP CLBLM_R_X3Y14 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X3Y14 INDEX 16228 TILEPROP CLBLM_R_X3Y14 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X3Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y14 NAME CLBLM_R_X3Y14 TILEPROP CLBLM_R_X3Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y14 NUM_SITES 2 TILEPROP CLBLM_R_X3Y14 ROW 141 TILEPROP CLBLM_R_X3Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y14 TILE_X -79602 TILEPROP CLBLM_R_X3Y14 TILE_Y -194872 TILEPROP CLBLM_R_X3Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y15 CLASS tile TILEPROP CLBLM_R_X3Y15 COLUMN 13 TILEPROP CLBLM_R_X3Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y15 FIRST_SITE_ID 14124 TILEPROP CLBLM_R_X3Y15 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X3Y15 INDEX 16113 TILEPROP CLBLM_R_X3Y15 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X3Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y15 NAME CLBLM_R_X3Y15 TILEPROP CLBLM_R_X3Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y15 NUM_SITES 2 TILEPROP CLBLM_R_X3Y15 ROW 140 TILEPROP CLBLM_R_X3Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y15 TILE_X -79602 TILEPROP CLBLM_R_X3Y15 TILE_Y -191672 TILEPROP CLBLM_R_X3Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y16 CLASS tile TILEPROP CLBLM_R_X3Y16 COLUMN 13 TILEPROP CLBLM_R_X3Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y16 FIRST_SITE_ID 14024 TILEPROP CLBLM_R_X3Y16 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X3Y16 INDEX 15998 TILEPROP CLBLM_R_X3Y16 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X3Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y16 NAME CLBLM_R_X3Y16 TILEPROP CLBLM_R_X3Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y16 NUM_SITES 2 TILEPROP CLBLM_R_X3Y16 ROW 139 TILEPROP CLBLM_R_X3Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y16 TILE_X -79602 TILEPROP CLBLM_R_X3Y16 TILE_Y -188472 TILEPROP CLBLM_R_X3Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y17 CLASS tile TILEPROP CLBLM_R_X3Y17 COLUMN 13 TILEPROP CLBLM_R_X3Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y17 FIRST_SITE_ID 13919 TILEPROP CLBLM_R_X3Y17 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X3Y17 INDEX 15883 TILEPROP CLBLM_R_X3Y17 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X3Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y17 NAME CLBLM_R_X3Y17 TILEPROP CLBLM_R_X3Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y17 NUM_SITES 2 TILEPROP CLBLM_R_X3Y17 ROW 138 TILEPROP CLBLM_R_X3Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y17 TILE_X -79602 TILEPROP CLBLM_R_X3Y17 TILE_Y -185272 TILEPROP CLBLM_R_X3Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y18 CLASS tile TILEPROP CLBLM_R_X3Y18 COLUMN 13 TILEPROP CLBLM_R_X3Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y18 FIRST_SITE_ID 13815 TILEPROP CLBLM_R_X3Y18 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X3Y18 INDEX 15768 TILEPROP CLBLM_R_X3Y18 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X3Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y18 NAME CLBLM_R_X3Y18 TILEPROP CLBLM_R_X3Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y18 NUM_SITES 2 TILEPROP CLBLM_R_X3Y18 ROW 137 TILEPROP CLBLM_R_X3Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y18 TILE_X -79602 TILEPROP CLBLM_R_X3Y18 TILE_Y -182072 TILEPROP CLBLM_R_X3Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y19 CLASS tile TILEPROP CLBLM_R_X3Y19 COLUMN 13 TILEPROP CLBLM_R_X3Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y19 FIRST_SITE_ID 13713 TILEPROP CLBLM_R_X3Y19 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X3Y19 INDEX 15653 TILEPROP CLBLM_R_X3Y19 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X3Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y19 NAME CLBLM_R_X3Y19 TILEPROP CLBLM_R_X3Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y19 NUM_SITES 2 TILEPROP CLBLM_R_X3Y19 ROW 136 TILEPROP CLBLM_R_X3Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y19 TILE_X -79602 TILEPROP CLBLM_R_X3Y19 TILE_Y -178872 TILEPROP CLBLM_R_X3Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y20 CLASS tile TILEPROP CLBLM_R_X3Y20 COLUMN 13 TILEPROP CLBLM_R_X3Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y20 FIRST_SITE_ID 13596 TILEPROP CLBLM_R_X3Y20 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X3Y20 INDEX 15538 TILEPROP CLBLM_R_X3Y20 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X3Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y20 NAME CLBLM_R_X3Y20 TILEPROP CLBLM_R_X3Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y20 NUM_SITES 2 TILEPROP CLBLM_R_X3Y20 ROW 135 TILEPROP CLBLM_R_X3Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y20 TILE_X -79602 TILEPROP CLBLM_R_X3Y20 TILE_Y -175672 TILEPROP CLBLM_R_X3Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y21 CLASS tile TILEPROP CLBLM_R_X3Y21 COLUMN 13 TILEPROP CLBLM_R_X3Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y21 FIRST_SITE_ID 13496 TILEPROP CLBLM_R_X3Y21 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X3Y21 INDEX 15423 TILEPROP CLBLM_R_X3Y21 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X3Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y21 NAME CLBLM_R_X3Y21 TILEPROP CLBLM_R_X3Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y21 NUM_SITES 2 TILEPROP CLBLM_R_X3Y21 ROW 134 TILEPROP CLBLM_R_X3Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y21 TILE_X -79602 TILEPROP CLBLM_R_X3Y21 TILE_Y -172472 TILEPROP CLBLM_R_X3Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y22 CLASS tile TILEPROP CLBLM_R_X3Y22 COLUMN 13 TILEPROP CLBLM_R_X3Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y22 FIRST_SITE_ID 13396 TILEPROP CLBLM_R_X3Y22 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X3Y22 INDEX 15308 TILEPROP CLBLM_R_X3Y22 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X3Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y22 NAME CLBLM_R_X3Y22 TILEPROP CLBLM_R_X3Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y22 NUM_SITES 2 TILEPROP CLBLM_R_X3Y22 ROW 133 TILEPROP CLBLM_R_X3Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y22 TILE_X -79602 TILEPROP CLBLM_R_X3Y22 TILE_Y -169272 TILEPROP CLBLM_R_X3Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y23 CLASS tile TILEPROP CLBLM_R_X3Y23 COLUMN 13 TILEPROP CLBLM_R_X3Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y23 FIRST_SITE_ID 13296 TILEPROP CLBLM_R_X3Y23 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X3Y23 INDEX 15193 TILEPROP CLBLM_R_X3Y23 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X3Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y23 NAME CLBLM_R_X3Y23 TILEPROP CLBLM_R_X3Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y23 NUM_SITES 2 TILEPROP CLBLM_R_X3Y23 ROW 132 TILEPROP CLBLM_R_X3Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y23 TILE_X -79602 TILEPROP CLBLM_R_X3Y23 TILE_Y -166072 TILEPROP CLBLM_R_X3Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y24 CLASS tile TILEPROP CLBLM_R_X3Y24 COLUMN 13 TILEPROP CLBLM_R_X3Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y24 FIRST_SITE_ID 13196 TILEPROP CLBLM_R_X3Y24 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X3Y24 INDEX 15078 TILEPROP CLBLM_R_X3Y24 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X3Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y24 NAME CLBLM_R_X3Y24 TILEPROP CLBLM_R_X3Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y24 NUM_SITES 2 TILEPROP CLBLM_R_X3Y24 ROW 131 TILEPROP CLBLM_R_X3Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X3Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y24 TILE_X -79602 TILEPROP CLBLM_R_X3Y24 TILE_Y -162872 TILEPROP CLBLM_R_X3Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y25 CLASS tile TILEPROP CLBLM_R_X3Y25 COLUMN 13 TILEPROP CLBLM_R_X3Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y25 FIRST_SITE_ID 12998 TILEPROP CLBLM_R_X3Y25 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X3Y25 INDEX 14848 TILEPROP CLBLM_R_X3Y25 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X3Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y25 NAME CLBLM_R_X3Y25 TILEPROP CLBLM_R_X3Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y25 NUM_SITES 2 TILEPROP CLBLM_R_X3Y25 ROW 129 TILEPROP CLBLM_R_X3Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X3Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y25 TILE_X -79602 TILEPROP CLBLM_R_X3Y25 TILE_Y -158648 TILEPROP CLBLM_R_X3Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y26 CLASS tile TILEPROP CLBLM_R_X3Y26 COLUMN 13 TILEPROP CLBLM_R_X3Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y26 FIRST_SITE_ID 12898 TILEPROP CLBLM_R_X3Y26 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X3Y26 INDEX 14733 TILEPROP CLBLM_R_X3Y26 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X3Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y26 NAME CLBLM_R_X3Y26 TILEPROP CLBLM_R_X3Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y26 NUM_SITES 2 TILEPROP CLBLM_R_X3Y26 ROW 128 TILEPROP CLBLM_R_X3Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y26 TILE_X -79602 TILEPROP CLBLM_R_X3Y26 TILE_Y -155448 TILEPROP CLBLM_R_X3Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y27 CLASS tile TILEPROP CLBLM_R_X3Y27 COLUMN 13 TILEPROP CLBLM_R_X3Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y27 FIRST_SITE_ID 12798 TILEPROP CLBLM_R_X3Y27 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X3Y27 INDEX 14618 TILEPROP CLBLM_R_X3Y27 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X3Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y27 NAME CLBLM_R_X3Y27 TILEPROP CLBLM_R_X3Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y27 NUM_SITES 2 TILEPROP CLBLM_R_X3Y27 ROW 127 TILEPROP CLBLM_R_X3Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y27 TILE_X -79602 TILEPROP CLBLM_R_X3Y27 TILE_Y -152248 TILEPROP CLBLM_R_X3Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y28 CLASS tile TILEPROP CLBLM_R_X3Y28 COLUMN 13 TILEPROP CLBLM_R_X3Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y28 FIRST_SITE_ID 12698 TILEPROP CLBLM_R_X3Y28 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X3Y28 INDEX 14503 TILEPROP CLBLM_R_X3Y28 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X3Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y28 NAME CLBLM_R_X3Y28 TILEPROP CLBLM_R_X3Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y28 NUM_SITES 2 TILEPROP CLBLM_R_X3Y28 ROW 126 TILEPROP CLBLM_R_X3Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y28 TILE_X -79602 TILEPROP CLBLM_R_X3Y28 TILE_Y -149048 TILEPROP CLBLM_R_X3Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y29 CLASS tile TILEPROP CLBLM_R_X3Y29 COLUMN 13 TILEPROP CLBLM_R_X3Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y29 FIRST_SITE_ID 12592 TILEPROP CLBLM_R_X3Y29 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X3Y29 INDEX 14388 TILEPROP CLBLM_R_X3Y29 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X3Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y29 NAME CLBLM_R_X3Y29 TILEPROP CLBLM_R_X3Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y29 NUM_SITES 2 TILEPROP CLBLM_R_X3Y29 ROW 125 TILEPROP CLBLM_R_X3Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y29 TILE_X -79602 TILEPROP CLBLM_R_X3Y29 TILE_Y -145848 TILEPROP CLBLM_R_X3Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y30 CLASS tile TILEPROP CLBLM_R_X3Y30 COLUMN 13 TILEPROP CLBLM_R_X3Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y30 FIRST_SITE_ID 12458 TILEPROP CLBLM_R_X3Y30 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X3Y30 INDEX 14273 TILEPROP CLBLM_R_X3Y30 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X3Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y30 NAME CLBLM_R_X3Y30 TILEPROP CLBLM_R_X3Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y30 NUM_SITES 2 TILEPROP CLBLM_R_X3Y30 ROW 124 TILEPROP CLBLM_R_X3Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y30 TILE_X -79602 TILEPROP CLBLM_R_X3Y30 TILE_Y -142648 TILEPROP CLBLM_R_X3Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y31 CLASS tile TILEPROP CLBLM_R_X3Y31 COLUMN 13 TILEPROP CLBLM_R_X3Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y31 FIRST_SITE_ID 12356 TILEPROP CLBLM_R_X3Y31 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X3Y31 INDEX 14158 TILEPROP CLBLM_R_X3Y31 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X3Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y31 NAME CLBLM_R_X3Y31 TILEPROP CLBLM_R_X3Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y31 NUM_SITES 2 TILEPROP CLBLM_R_X3Y31 ROW 123 TILEPROP CLBLM_R_X3Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y31 TILE_X -79602 TILEPROP CLBLM_R_X3Y31 TILE_Y -139448 TILEPROP CLBLM_R_X3Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y32 CLASS tile TILEPROP CLBLM_R_X3Y32 COLUMN 13 TILEPROP CLBLM_R_X3Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y32 FIRST_SITE_ID 12253 TILEPROP CLBLM_R_X3Y32 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X3Y32 INDEX 14043 TILEPROP CLBLM_R_X3Y32 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X3Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y32 NAME CLBLM_R_X3Y32 TILEPROP CLBLM_R_X3Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y32 NUM_SITES 2 TILEPROP CLBLM_R_X3Y32 ROW 122 TILEPROP CLBLM_R_X3Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y32 TILE_X -79602 TILEPROP CLBLM_R_X3Y32 TILE_Y -136248 TILEPROP CLBLM_R_X3Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y33 CLASS tile TILEPROP CLBLM_R_X3Y33 COLUMN 13 TILEPROP CLBLM_R_X3Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y33 FIRST_SITE_ID 12153 TILEPROP CLBLM_R_X3Y33 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X3Y33 INDEX 13928 TILEPROP CLBLM_R_X3Y33 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X3Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y33 NAME CLBLM_R_X3Y33 TILEPROP CLBLM_R_X3Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y33 NUM_SITES 2 TILEPROP CLBLM_R_X3Y33 ROW 121 TILEPROP CLBLM_R_X3Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y33 TILE_X -79602 TILEPROP CLBLM_R_X3Y33 TILE_Y -133048 TILEPROP CLBLM_R_X3Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y34 CLASS tile TILEPROP CLBLM_R_X3Y34 COLUMN 13 TILEPROP CLBLM_R_X3Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y34 FIRST_SITE_ID 12053 TILEPROP CLBLM_R_X3Y34 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X3Y34 INDEX 13813 TILEPROP CLBLM_R_X3Y34 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X3Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y34 NAME CLBLM_R_X3Y34 TILEPROP CLBLM_R_X3Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y34 NUM_SITES 2 TILEPROP CLBLM_R_X3Y34 ROW 120 TILEPROP CLBLM_R_X3Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y34 TILE_X -79602 TILEPROP CLBLM_R_X3Y34 TILE_Y -129848 TILEPROP CLBLM_R_X3Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y35 CLASS tile TILEPROP CLBLM_R_X3Y35 COLUMN 13 TILEPROP CLBLM_R_X3Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y35 FIRST_SITE_ID 11938 TILEPROP CLBLM_R_X3Y35 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X3Y35 INDEX 13698 TILEPROP CLBLM_R_X3Y35 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X3Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y35 NAME CLBLM_R_X3Y35 TILEPROP CLBLM_R_X3Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y35 NUM_SITES 2 TILEPROP CLBLM_R_X3Y35 ROW 119 TILEPROP CLBLM_R_X3Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y35 TILE_X -79602 TILEPROP CLBLM_R_X3Y35 TILE_Y -126648 TILEPROP CLBLM_R_X3Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y36 CLASS tile TILEPROP CLBLM_R_X3Y36 COLUMN 13 TILEPROP CLBLM_R_X3Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y36 FIRST_SITE_ID 11806 TILEPROP CLBLM_R_X3Y36 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X3Y36 INDEX 13583 TILEPROP CLBLM_R_X3Y36 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X3Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y36 NAME CLBLM_R_X3Y36 TILEPROP CLBLM_R_X3Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y36 NUM_SITES 2 TILEPROP CLBLM_R_X3Y36 ROW 118 TILEPROP CLBLM_R_X3Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y36 TILE_X -79602 TILEPROP CLBLM_R_X3Y36 TILE_Y -123448 TILEPROP CLBLM_R_X3Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y37 CLASS tile TILEPROP CLBLM_R_X3Y37 COLUMN 13 TILEPROP CLBLM_R_X3Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y37 FIRST_SITE_ID 11706 TILEPROP CLBLM_R_X3Y37 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X3Y37 INDEX 13468 TILEPROP CLBLM_R_X3Y37 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X3Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y37 NAME CLBLM_R_X3Y37 TILEPROP CLBLM_R_X3Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y37 NUM_SITES 2 TILEPROP CLBLM_R_X3Y37 ROW 117 TILEPROP CLBLM_R_X3Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y37 TILE_X -79602 TILEPROP CLBLM_R_X3Y37 TILE_Y -120248 TILEPROP CLBLM_R_X3Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y38 CLASS tile TILEPROP CLBLM_R_X3Y38 COLUMN 13 TILEPROP CLBLM_R_X3Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y38 FIRST_SITE_ID 11606 TILEPROP CLBLM_R_X3Y38 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X3Y38 INDEX 13353 TILEPROP CLBLM_R_X3Y38 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X3Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y38 NAME CLBLM_R_X3Y38 TILEPROP CLBLM_R_X3Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y38 NUM_SITES 2 TILEPROP CLBLM_R_X3Y38 ROW 116 TILEPROP CLBLM_R_X3Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y38 TILE_X -79602 TILEPROP CLBLM_R_X3Y38 TILE_Y -117048 TILEPROP CLBLM_R_X3Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y39 CLASS tile TILEPROP CLBLM_R_X3Y39 COLUMN 13 TILEPROP CLBLM_R_X3Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y39 FIRST_SITE_ID 11506 TILEPROP CLBLM_R_X3Y39 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X3Y39 INDEX 13238 TILEPROP CLBLM_R_X3Y39 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X3Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y39 NAME CLBLM_R_X3Y39 TILEPROP CLBLM_R_X3Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y39 NUM_SITES 2 TILEPROP CLBLM_R_X3Y39 ROW 115 TILEPROP CLBLM_R_X3Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y39 TILE_X -79602 TILEPROP CLBLM_R_X3Y39 TILE_Y -113848 TILEPROP CLBLM_R_X3Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y40 CLASS tile TILEPROP CLBLM_R_X3Y40 COLUMN 13 TILEPROP CLBLM_R_X3Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y40 FIRST_SITE_ID 11389 TILEPROP CLBLM_R_X3Y40 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X3Y40 INDEX 13123 TILEPROP CLBLM_R_X3Y40 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X3Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y40 NAME CLBLM_R_X3Y40 TILEPROP CLBLM_R_X3Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y40 NUM_SITES 2 TILEPROP CLBLM_R_X3Y40 ROW 114 TILEPROP CLBLM_R_X3Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y40 TILE_X -79602 TILEPROP CLBLM_R_X3Y40 TILE_Y -110648 TILEPROP CLBLM_R_X3Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y41 CLASS tile TILEPROP CLBLM_R_X3Y41 COLUMN 13 TILEPROP CLBLM_R_X3Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y41 FIRST_SITE_ID 11288 TILEPROP CLBLM_R_X3Y41 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X3Y41 INDEX 13008 TILEPROP CLBLM_R_X3Y41 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X3Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y41 NAME CLBLM_R_X3Y41 TILEPROP CLBLM_R_X3Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y41 NUM_SITES 2 TILEPROP CLBLM_R_X3Y41 ROW 113 TILEPROP CLBLM_R_X3Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y41 TILE_X -79602 TILEPROP CLBLM_R_X3Y41 TILE_Y -107448 TILEPROP CLBLM_R_X3Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y42 CLASS tile TILEPROP CLBLM_R_X3Y42 COLUMN 13 TILEPROP CLBLM_R_X3Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y42 FIRST_SITE_ID 11187 TILEPROP CLBLM_R_X3Y42 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X3Y42 INDEX 12893 TILEPROP CLBLM_R_X3Y42 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X3Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y42 NAME CLBLM_R_X3Y42 TILEPROP CLBLM_R_X3Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y42 NUM_SITES 2 TILEPROP CLBLM_R_X3Y42 ROW 112 TILEPROP CLBLM_R_X3Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y42 TILE_X -79602 TILEPROP CLBLM_R_X3Y42 TILE_Y -104248 TILEPROP CLBLM_R_X3Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y43 CLASS tile TILEPROP CLBLM_R_X3Y43 COLUMN 13 TILEPROP CLBLM_R_X3Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y43 FIRST_SITE_ID 11084 TILEPROP CLBLM_R_X3Y43 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X3Y43 INDEX 12778 TILEPROP CLBLM_R_X3Y43 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X3Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y43 NAME CLBLM_R_X3Y43 TILEPROP CLBLM_R_X3Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y43 NUM_SITES 2 TILEPROP CLBLM_R_X3Y43 ROW 111 TILEPROP CLBLM_R_X3Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y43 TILE_X -79602 TILEPROP CLBLM_R_X3Y43 TILE_Y -101048 TILEPROP CLBLM_R_X3Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y44 CLASS tile TILEPROP CLBLM_R_X3Y44 COLUMN 13 TILEPROP CLBLM_R_X3Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y44 FIRST_SITE_ID 10982 TILEPROP CLBLM_R_X3Y44 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X3Y44 INDEX 12663 TILEPROP CLBLM_R_X3Y44 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X3Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y44 NAME CLBLM_R_X3Y44 TILEPROP CLBLM_R_X3Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y44 NUM_SITES 2 TILEPROP CLBLM_R_X3Y44 ROW 110 TILEPROP CLBLM_R_X3Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y44 TILE_X -79602 TILEPROP CLBLM_R_X3Y44 TILE_Y -97848 TILEPROP CLBLM_R_X3Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y45 CLASS tile TILEPROP CLBLM_R_X3Y45 COLUMN 13 TILEPROP CLBLM_R_X3Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y45 FIRST_SITE_ID 10866 TILEPROP CLBLM_R_X3Y45 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X3Y45 INDEX 12548 TILEPROP CLBLM_R_X3Y45 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X3Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y45 NAME CLBLM_R_X3Y45 TILEPROP CLBLM_R_X3Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y45 NUM_SITES 2 TILEPROP CLBLM_R_X3Y45 ROW 109 TILEPROP CLBLM_R_X3Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y45 TILE_X -79602 TILEPROP CLBLM_R_X3Y45 TILE_Y -94648 TILEPROP CLBLM_R_X3Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y46 CLASS tile TILEPROP CLBLM_R_X3Y46 COLUMN 13 TILEPROP CLBLM_R_X3Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y46 FIRST_SITE_ID 10750 TILEPROP CLBLM_R_X3Y46 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X3Y46 INDEX 12433 TILEPROP CLBLM_R_X3Y46 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X3Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y46 NAME CLBLM_R_X3Y46 TILEPROP CLBLM_R_X3Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y46 NUM_SITES 2 TILEPROP CLBLM_R_X3Y46 ROW 108 TILEPROP CLBLM_R_X3Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y46 TILE_X -79602 TILEPROP CLBLM_R_X3Y46 TILE_Y -91448 TILEPROP CLBLM_R_X3Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y47 CLASS tile TILEPROP CLBLM_R_X3Y47 COLUMN 13 TILEPROP CLBLM_R_X3Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y47 FIRST_SITE_ID 10650 TILEPROP CLBLM_R_X3Y47 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X3Y47 INDEX 12318 TILEPROP CLBLM_R_X3Y47 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X3Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y47 NAME CLBLM_R_X3Y47 TILEPROP CLBLM_R_X3Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y47 NUM_SITES 2 TILEPROP CLBLM_R_X3Y47 ROW 107 TILEPROP CLBLM_R_X3Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y47 TILE_X -79602 TILEPROP CLBLM_R_X3Y47 TILE_Y -88248 TILEPROP CLBLM_R_X3Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y48 CLASS tile TILEPROP CLBLM_R_X3Y48 COLUMN 13 TILEPROP CLBLM_R_X3Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y48 FIRST_SITE_ID 10550 TILEPROP CLBLM_R_X3Y48 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X3Y48 INDEX 12203 TILEPROP CLBLM_R_X3Y48 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X3Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y48 NAME CLBLM_R_X3Y48 TILEPROP CLBLM_R_X3Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y48 NUM_SITES 2 TILEPROP CLBLM_R_X3Y48 ROW 106 TILEPROP CLBLM_R_X3Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y48 TILE_X -79602 TILEPROP CLBLM_R_X3Y48 TILE_Y -85048 TILEPROP CLBLM_R_X3Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y49 CLASS tile TILEPROP CLBLM_R_X3Y49 COLUMN 13 TILEPROP CLBLM_R_X3Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y49 FIRST_SITE_ID 10454 TILEPROP CLBLM_R_X3Y49 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X3Y49 INDEX 12088 TILEPROP CLBLM_R_X3Y49 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X3Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y49 NAME CLBLM_R_X3Y49 TILEPROP CLBLM_R_X3Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y49 NUM_SITES 2 TILEPROP CLBLM_R_X3Y49 ROW 105 TILEPROP CLBLM_R_X3Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X3Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y49 TILE_X -79602 TILEPROP CLBLM_R_X3Y49 TILE_Y -81848 TILEPROP CLBLM_R_X3Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y50 CLASS tile TILEPROP CLBLM_R_X3Y50 COLUMN 13 TILEPROP CLBLM_R_X3Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y50 FIRST_SITE_ID 10323 TILEPROP CLBLM_R_X3Y50 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X3Y50 INDEX 11858 TILEPROP CLBLM_R_X3Y50 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X3Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y50 NAME CLBLM_R_X3Y50 TILEPROP CLBLM_R_X3Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y50 NUM_SITES 2 TILEPROP CLBLM_R_X3Y50 ROW 103 TILEPROP CLBLM_R_X3Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X3Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y50 TILE_X -79602 TILEPROP CLBLM_R_X3Y50 TILE_Y -78400 TILEPROP CLBLM_R_X3Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y51 CLASS tile TILEPROP CLBLM_R_X3Y51 COLUMN 13 TILEPROP CLBLM_R_X3Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y51 FIRST_SITE_ID 10219 TILEPROP CLBLM_R_X3Y51 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X3Y51 INDEX 11743 TILEPROP CLBLM_R_X3Y51 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X3Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y51 NAME CLBLM_R_X3Y51 TILEPROP CLBLM_R_X3Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y51 NUM_SITES 2 TILEPROP CLBLM_R_X3Y51 ROW 102 TILEPROP CLBLM_R_X3Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y51 TILE_X -79602 TILEPROP CLBLM_R_X3Y51 TILE_Y -75200 TILEPROP CLBLM_R_X3Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y52 CLASS tile TILEPROP CLBLM_R_X3Y52 COLUMN 13 TILEPROP CLBLM_R_X3Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y52 FIRST_SITE_ID 10119 TILEPROP CLBLM_R_X3Y52 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X3Y52 INDEX 11628 TILEPROP CLBLM_R_X3Y52 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X3Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y52 NAME CLBLM_R_X3Y52 TILEPROP CLBLM_R_X3Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y52 NUM_SITES 2 TILEPROP CLBLM_R_X3Y52 ROW 101 TILEPROP CLBLM_R_X3Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y52 TILE_X -79602 TILEPROP CLBLM_R_X3Y52 TILE_Y -72000 TILEPROP CLBLM_R_X3Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y53 CLASS tile TILEPROP CLBLM_R_X3Y53 COLUMN 13 TILEPROP CLBLM_R_X3Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y53 FIRST_SITE_ID 10019 TILEPROP CLBLM_R_X3Y53 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X3Y53 INDEX 11513 TILEPROP CLBLM_R_X3Y53 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X3Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y53 NAME CLBLM_R_X3Y53 TILEPROP CLBLM_R_X3Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y53 NUM_SITES 2 TILEPROP CLBLM_R_X3Y53 ROW 100 TILEPROP CLBLM_R_X3Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y53 TILE_X -79602 TILEPROP CLBLM_R_X3Y53 TILE_Y -68800 TILEPROP CLBLM_R_X3Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y54 CLASS tile TILEPROP CLBLM_R_X3Y54 COLUMN 13 TILEPROP CLBLM_R_X3Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y54 FIRST_SITE_ID 9919 TILEPROP CLBLM_R_X3Y54 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X3Y54 INDEX 11398 TILEPROP CLBLM_R_X3Y54 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X3Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y54 NAME CLBLM_R_X3Y54 TILEPROP CLBLM_R_X3Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y54 NUM_SITES 2 TILEPROP CLBLM_R_X3Y54 ROW 99 TILEPROP CLBLM_R_X3Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y54 TILE_X -79602 TILEPROP CLBLM_R_X3Y54 TILE_Y -65600 TILEPROP CLBLM_R_X3Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y55 CLASS tile TILEPROP CLBLM_R_X3Y55 COLUMN 13 TILEPROP CLBLM_R_X3Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y55 FIRST_SITE_ID 9804 TILEPROP CLBLM_R_X3Y55 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X3Y55 INDEX 11283 TILEPROP CLBLM_R_X3Y55 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X3Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y55 NAME CLBLM_R_X3Y55 TILEPROP CLBLM_R_X3Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y55 NUM_SITES 2 TILEPROP CLBLM_R_X3Y55 ROW 98 TILEPROP CLBLM_R_X3Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y55 TILE_X -79602 TILEPROP CLBLM_R_X3Y55 TILE_Y -62400 TILEPROP CLBLM_R_X3Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y56 CLASS tile TILEPROP CLBLM_R_X3Y56 COLUMN 13 TILEPROP CLBLM_R_X3Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y56 FIRST_SITE_ID 9704 TILEPROP CLBLM_R_X3Y56 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X3Y56 INDEX 11168 TILEPROP CLBLM_R_X3Y56 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X3Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y56 NAME CLBLM_R_X3Y56 TILEPROP CLBLM_R_X3Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y56 NUM_SITES 2 TILEPROP CLBLM_R_X3Y56 ROW 97 TILEPROP CLBLM_R_X3Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y56 TILE_X -79602 TILEPROP CLBLM_R_X3Y56 TILE_Y -59200 TILEPROP CLBLM_R_X3Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y57 CLASS tile TILEPROP CLBLM_R_X3Y57 COLUMN 13 TILEPROP CLBLM_R_X3Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y57 FIRST_SITE_ID 9602 TILEPROP CLBLM_R_X3Y57 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X3Y57 INDEX 11053 TILEPROP CLBLM_R_X3Y57 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X3Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y57 NAME CLBLM_R_X3Y57 TILEPROP CLBLM_R_X3Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y57 NUM_SITES 2 TILEPROP CLBLM_R_X3Y57 ROW 96 TILEPROP CLBLM_R_X3Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y57 TILE_X -79602 TILEPROP CLBLM_R_X3Y57 TILE_Y -56000 TILEPROP CLBLM_R_X3Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y58 CLASS tile TILEPROP CLBLM_R_X3Y58 COLUMN 13 TILEPROP CLBLM_R_X3Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y58 FIRST_SITE_ID 9499 TILEPROP CLBLM_R_X3Y58 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X3Y58 INDEX 10938 TILEPROP CLBLM_R_X3Y58 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X3Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y58 NAME CLBLM_R_X3Y58 TILEPROP CLBLM_R_X3Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y58 NUM_SITES 2 TILEPROP CLBLM_R_X3Y58 ROW 95 TILEPROP CLBLM_R_X3Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y58 TILE_X -79602 TILEPROP CLBLM_R_X3Y58 TILE_Y -52800 TILEPROP CLBLM_R_X3Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y59 CLASS tile TILEPROP CLBLM_R_X3Y59 COLUMN 13 TILEPROP CLBLM_R_X3Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y59 FIRST_SITE_ID 9398 TILEPROP CLBLM_R_X3Y59 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X3Y59 INDEX 10823 TILEPROP CLBLM_R_X3Y59 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X3Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y59 NAME CLBLM_R_X3Y59 TILEPROP CLBLM_R_X3Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y59 NUM_SITES 2 TILEPROP CLBLM_R_X3Y59 ROW 94 TILEPROP CLBLM_R_X3Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y59 TILE_X -79602 TILEPROP CLBLM_R_X3Y59 TILE_Y -49600 TILEPROP CLBLM_R_X3Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y60 CLASS tile TILEPROP CLBLM_R_X3Y60 COLUMN 13 TILEPROP CLBLM_R_X3Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y60 FIRST_SITE_ID 9283 TILEPROP CLBLM_R_X3Y60 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X3Y60 INDEX 10708 TILEPROP CLBLM_R_X3Y60 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X3Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y60 NAME CLBLM_R_X3Y60 TILEPROP CLBLM_R_X3Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y60 NUM_SITES 2 TILEPROP CLBLM_R_X3Y60 ROW 93 TILEPROP CLBLM_R_X3Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y60 TILE_X -79602 TILEPROP CLBLM_R_X3Y60 TILE_Y -46400 TILEPROP CLBLM_R_X3Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y61 CLASS tile TILEPROP CLBLM_R_X3Y61 COLUMN 13 TILEPROP CLBLM_R_X3Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y61 FIRST_SITE_ID 9183 TILEPROP CLBLM_R_X3Y61 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X3Y61 INDEX 10593 TILEPROP CLBLM_R_X3Y61 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X3Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y61 NAME CLBLM_R_X3Y61 TILEPROP CLBLM_R_X3Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y61 NUM_SITES 2 TILEPROP CLBLM_R_X3Y61 ROW 92 TILEPROP CLBLM_R_X3Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y61 TILE_X -79602 TILEPROP CLBLM_R_X3Y61 TILE_Y -43200 TILEPROP CLBLM_R_X3Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y62 CLASS tile TILEPROP CLBLM_R_X3Y62 COLUMN 13 TILEPROP CLBLM_R_X3Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y62 FIRST_SITE_ID 9051 TILEPROP CLBLM_R_X3Y62 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X3Y62 INDEX 10478 TILEPROP CLBLM_R_X3Y62 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X3Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y62 NAME CLBLM_R_X3Y62 TILEPROP CLBLM_R_X3Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y62 NUM_SITES 2 TILEPROP CLBLM_R_X3Y62 ROW 91 TILEPROP CLBLM_R_X3Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y62 TILE_X -79602 TILEPROP CLBLM_R_X3Y62 TILE_Y -40000 TILEPROP CLBLM_R_X3Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y63 CLASS tile TILEPROP CLBLM_R_X3Y63 COLUMN 13 TILEPROP CLBLM_R_X3Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y63 FIRST_SITE_ID 8951 TILEPROP CLBLM_R_X3Y63 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X3Y63 INDEX 10363 TILEPROP CLBLM_R_X3Y63 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X3Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y63 NAME CLBLM_R_X3Y63 TILEPROP CLBLM_R_X3Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y63 NUM_SITES 2 TILEPROP CLBLM_R_X3Y63 ROW 90 TILEPROP CLBLM_R_X3Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y63 TILE_X -79602 TILEPROP CLBLM_R_X3Y63 TILE_Y -36800 TILEPROP CLBLM_R_X3Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y64 CLASS tile TILEPROP CLBLM_R_X3Y64 COLUMN 13 TILEPROP CLBLM_R_X3Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y64 FIRST_SITE_ID 8851 TILEPROP CLBLM_R_X3Y64 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X3Y64 INDEX 10248 TILEPROP CLBLM_R_X3Y64 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X3Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y64 NAME CLBLM_R_X3Y64 TILEPROP CLBLM_R_X3Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y64 NUM_SITES 2 TILEPROP CLBLM_R_X3Y64 ROW 89 TILEPROP CLBLM_R_X3Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y64 TILE_X -79602 TILEPROP CLBLM_R_X3Y64 TILE_Y -33600 TILEPROP CLBLM_R_X3Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y65 CLASS tile TILEPROP CLBLM_R_X3Y65 COLUMN 13 TILEPROP CLBLM_R_X3Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y65 FIRST_SITE_ID 8736 TILEPROP CLBLM_R_X3Y65 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X3Y65 INDEX 10133 TILEPROP CLBLM_R_X3Y65 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X3Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y65 NAME CLBLM_R_X3Y65 TILEPROP CLBLM_R_X3Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y65 NUM_SITES 2 TILEPROP CLBLM_R_X3Y65 ROW 88 TILEPROP CLBLM_R_X3Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y65 TILE_X -79602 TILEPROP CLBLM_R_X3Y65 TILE_Y -30400 TILEPROP CLBLM_R_X3Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y66 CLASS tile TILEPROP CLBLM_R_X3Y66 COLUMN 13 TILEPROP CLBLM_R_X3Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y66 FIRST_SITE_ID 8636 TILEPROP CLBLM_R_X3Y66 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X3Y66 INDEX 10018 TILEPROP CLBLM_R_X3Y66 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X3Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y66 NAME CLBLM_R_X3Y66 TILEPROP CLBLM_R_X3Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y66 NUM_SITES 2 TILEPROP CLBLM_R_X3Y66 ROW 87 TILEPROP CLBLM_R_X3Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y66 TILE_X -79602 TILEPROP CLBLM_R_X3Y66 TILE_Y -27200 TILEPROP CLBLM_R_X3Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y67 CLASS tile TILEPROP CLBLM_R_X3Y67 COLUMN 13 TILEPROP CLBLM_R_X3Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y67 FIRST_SITE_ID 8532 TILEPROP CLBLM_R_X3Y67 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X3Y67 INDEX 9903 TILEPROP CLBLM_R_X3Y67 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X3Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y67 NAME CLBLM_R_X3Y67 TILEPROP CLBLM_R_X3Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y67 NUM_SITES 2 TILEPROP CLBLM_R_X3Y67 ROW 86 TILEPROP CLBLM_R_X3Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y67 TILE_X -79602 TILEPROP CLBLM_R_X3Y67 TILE_Y -24000 TILEPROP CLBLM_R_X3Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y68 CLASS tile TILEPROP CLBLM_R_X3Y68 COLUMN 13 TILEPROP CLBLM_R_X3Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y68 FIRST_SITE_ID 8428 TILEPROP CLBLM_R_X3Y68 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X3Y68 INDEX 9788 TILEPROP CLBLM_R_X3Y68 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X3Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y68 NAME CLBLM_R_X3Y68 TILEPROP CLBLM_R_X3Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y68 NUM_SITES 2 TILEPROP CLBLM_R_X3Y68 ROW 85 TILEPROP CLBLM_R_X3Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y68 TILE_X -79602 TILEPROP CLBLM_R_X3Y68 TILE_Y -20800 TILEPROP CLBLM_R_X3Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y69 CLASS tile TILEPROP CLBLM_R_X3Y69 COLUMN 13 TILEPROP CLBLM_R_X3Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y69 FIRST_SITE_ID 8326 TILEPROP CLBLM_R_X3Y69 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X3Y69 INDEX 9673 TILEPROP CLBLM_R_X3Y69 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X3Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y69 NAME CLBLM_R_X3Y69 TILEPROP CLBLM_R_X3Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y69 NUM_SITES 2 TILEPROP CLBLM_R_X3Y69 ROW 84 TILEPROP CLBLM_R_X3Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y69 TILE_X -79602 TILEPROP CLBLM_R_X3Y69 TILE_Y -17600 TILEPROP CLBLM_R_X3Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y70 CLASS tile TILEPROP CLBLM_R_X3Y70 COLUMN 13 TILEPROP CLBLM_R_X3Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y70 FIRST_SITE_ID 8209 TILEPROP CLBLM_R_X3Y70 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X3Y70 INDEX 9558 TILEPROP CLBLM_R_X3Y70 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X3Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y70 NAME CLBLM_R_X3Y70 TILEPROP CLBLM_R_X3Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y70 NUM_SITES 2 TILEPROP CLBLM_R_X3Y70 ROW 83 TILEPROP CLBLM_R_X3Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y70 TILE_X -79602 TILEPROP CLBLM_R_X3Y70 TILE_Y -14400 TILEPROP CLBLM_R_X3Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y71 CLASS tile TILEPROP CLBLM_R_X3Y71 COLUMN 13 TILEPROP CLBLM_R_X3Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y71 FIRST_SITE_ID 8109 TILEPROP CLBLM_R_X3Y71 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X3Y71 INDEX 9443 TILEPROP CLBLM_R_X3Y71 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X3Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y71 NAME CLBLM_R_X3Y71 TILEPROP CLBLM_R_X3Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y71 NUM_SITES 2 TILEPROP CLBLM_R_X3Y71 ROW 82 TILEPROP CLBLM_R_X3Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y71 TILE_X -79602 TILEPROP CLBLM_R_X3Y71 TILE_Y -11200 TILEPROP CLBLM_R_X3Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y72 CLASS tile TILEPROP CLBLM_R_X3Y72 COLUMN 13 TILEPROP CLBLM_R_X3Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y72 FIRST_SITE_ID 8009 TILEPROP CLBLM_R_X3Y72 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X3Y72 INDEX 9328 TILEPROP CLBLM_R_X3Y72 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X3Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y72 NAME CLBLM_R_X3Y72 TILEPROP CLBLM_R_X3Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y72 NUM_SITES 2 TILEPROP CLBLM_R_X3Y72 ROW 81 TILEPROP CLBLM_R_X3Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y72 TILE_X -79602 TILEPROP CLBLM_R_X3Y72 TILE_Y -8000 TILEPROP CLBLM_R_X3Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y73 CLASS tile TILEPROP CLBLM_R_X3Y73 COLUMN 13 TILEPROP CLBLM_R_X3Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y73 FIRST_SITE_ID 7909 TILEPROP CLBLM_R_X3Y73 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X3Y73 INDEX 9213 TILEPROP CLBLM_R_X3Y73 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X3Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y73 NAME CLBLM_R_X3Y73 TILEPROP CLBLM_R_X3Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y73 NUM_SITES 2 TILEPROP CLBLM_R_X3Y73 ROW 80 TILEPROP CLBLM_R_X3Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y73 TILE_X -79602 TILEPROP CLBLM_R_X3Y73 TILE_Y -4800 TILEPROP CLBLM_R_X3Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y74 CLASS tile TILEPROP CLBLM_R_X3Y74 COLUMN 13 TILEPROP CLBLM_R_X3Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y74 FIRST_SITE_ID 7809 TILEPROP CLBLM_R_X3Y74 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X3Y74 INDEX 9098 TILEPROP CLBLM_R_X3Y74 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X3Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y74 NAME CLBLM_R_X3Y74 TILEPROP CLBLM_R_X3Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y74 NUM_SITES 2 TILEPROP CLBLM_R_X3Y74 ROW 79 TILEPROP CLBLM_R_X3Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X3Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y74 TILE_X -79602 TILEPROP CLBLM_R_X3Y74 TILE_Y -1600 TILEPROP CLBLM_R_X3Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y75 CLASS tile TILEPROP CLBLM_R_X3Y75 COLUMN 13 TILEPROP CLBLM_R_X3Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y75 FIRST_SITE_ID 7608 TILEPROP CLBLM_R_X3Y75 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X3Y75 INDEX 8868 TILEPROP CLBLM_R_X3Y75 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X3Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y75 NAME CLBLM_R_X3Y75 TILEPROP CLBLM_R_X3Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y75 NUM_SITES 2 TILEPROP CLBLM_R_X3Y75 ROW 77 TILEPROP CLBLM_R_X3Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X3Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y75 TILE_X -79602 TILEPROP CLBLM_R_X3Y75 TILE_Y 2624 TILEPROP CLBLM_R_X3Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y76 CLASS tile TILEPROP CLBLM_R_X3Y76 COLUMN 13 TILEPROP CLBLM_R_X3Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y76 FIRST_SITE_ID 7508 TILEPROP CLBLM_R_X3Y76 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X3Y76 INDEX 8753 TILEPROP CLBLM_R_X3Y76 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X3Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y76 NAME CLBLM_R_X3Y76 TILEPROP CLBLM_R_X3Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y76 NUM_SITES 2 TILEPROP CLBLM_R_X3Y76 ROW 76 TILEPROP CLBLM_R_X3Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y76 TILE_X -79602 TILEPROP CLBLM_R_X3Y76 TILE_Y 5824 TILEPROP CLBLM_R_X3Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y77 CLASS tile TILEPROP CLBLM_R_X3Y77 COLUMN 13 TILEPROP CLBLM_R_X3Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y77 FIRST_SITE_ID 7408 TILEPROP CLBLM_R_X3Y77 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X3Y77 INDEX 8638 TILEPROP CLBLM_R_X3Y77 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X3Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y77 NAME CLBLM_R_X3Y77 TILEPROP CLBLM_R_X3Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y77 NUM_SITES 2 TILEPROP CLBLM_R_X3Y77 ROW 75 TILEPROP CLBLM_R_X3Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y77 TILE_X -79602 TILEPROP CLBLM_R_X3Y77 TILE_Y 9024 TILEPROP CLBLM_R_X3Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y78 CLASS tile TILEPROP CLBLM_R_X3Y78 COLUMN 13 TILEPROP CLBLM_R_X3Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y78 FIRST_SITE_ID 7308 TILEPROP CLBLM_R_X3Y78 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X3Y78 INDEX 8523 TILEPROP CLBLM_R_X3Y78 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X3Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y78 NAME CLBLM_R_X3Y78 TILEPROP CLBLM_R_X3Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y78 NUM_SITES 2 TILEPROP CLBLM_R_X3Y78 ROW 74 TILEPROP CLBLM_R_X3Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y78 TILE_X -79602 TILEPROP CLBLM_R_X3Y78 TILE_Y 12224 TILEPROP CLBLM_R_X3Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y79 CLASS tile TILEPROP CLBLM_R_X3Y79 COLUMN 13 TILEPROP CLBLM_R_X3Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y79 FIRST_SITE_ID 7202 TILEPROP CLBLM_R_X3Y79 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X3Y79 INDEX 8408 TILEPROP CLBLM_R_X3Y79 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X3Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y79 NAME CLBLM_R_X3Y79 TILEPROP CLBLM_R_X3Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y79 NUM_SITES 2 TILEPROP CLBLM_R_X3Y79 ROW 73 TILEPROP CLBLM_R_X3Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y79 TILE_X -79602 TILEPROP CLBLM_R_X3Y79 TILE_Y 15424 TILEPROP CLBLM_R_X3Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y80 CLASS tile TILEPROP CLBLM_R_X3Y80 COLUMN 13 TILEPROP CLBLM_R_X3Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y80 FIRST_SITE_ID 7081 TILEPROP CLBLM_R_X3Y80 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X3Y80 INDEX 8293 TILEPROP CLBLM_R_X3Y80 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X3Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y80 NAME CLBLM_R_X3Y80 TILEPROP CLBLM_R_X3Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y80 NUM_SITES 2 TILEPROP CLBLM_R_X3Y80 ROW 72 TILEPROP CLBLM_R_X3Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y80 TILE_X -79602 TILEPROP CLBLM_R_X3Y80 TILE_Y 18624 TILEPROP CLBLM_R_X3Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y81 CLASS tile TILEPROP CLBLM_R_X3Y81 COLUMN 13 TILEPROP CLBLM_R_X3Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y81 FIRST_SITE_ID 6979 TILEPROP CLBLM_R_X3Y81 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X3Y81 INDEX 8178 TILEPROP CLBLM_R_X3Y81 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X3Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y81 NAME CLBLM_R_X3Y81 TILEPROP CLBLM_R_X3Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y81 NUM_SITES 2 TILEPROP CLBLM_R_X3Y81 ROW 71 TILEPROP CLBLM_R_X3Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y81 TILE_X -79602 TILEPROP CLBLM_R_X3Y81 TILE_Y 21824 TILEPROP CLBLM_R_X3Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y82 CLASS tile TILEPROP CLBLM_R_X3Y82 COLUMN 13 TILEPROP CLBLM_R_X3Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y82 FIRST_SITE_ID 6877 TILEPROP CLBLM_R_X3Y82 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X3Y82 INDEX 8063 TILEPROP CLBLM_R_X3Y82 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X3Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y82 NAME CLBLM_R_X3Y82 TILEPROP CLBLM_R_X3Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y82 NUM_SITES 2 TILEPROP CLBLM_R_X3Y82 ROW 70 TILEPROP CLBLM_R_X3Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y82 TILE_X -79602 TILEPROP CLBLM_R_X3Y82 TILE_Y 25024 TILEPROP CLBLM_R_X3Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y83 CLASS tile TILEPROP CLBLM_R_X3Y83 COLUMN 13 TILEPROP CLBLM_R_X3Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y83 FIRST_SITE_ID 6777 TILEPROP CLBLM_R_X3Y83 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X3Y83 INDEX 7948 TILEPROP CLBLM_R_X3Y83 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X3Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y83 NAME CLBLM_R_X3Y83 TILEPROP CLBLM_R_X3Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y83 NUM_SITES 2 TILEPROP CLBLM_R_X3Y83 ROW 69 TILEPROP CLBLM_R_X3Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y83 TILE_X -79602 TILEPROP CLBLM_R_X3Y83 TILE_Y 28224 TILEPROP CLBLM_R_X3Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y84 CLASS tile TILEPROP CLBLM_R_X3Y84 COLUMN 13 TILEPROP CLBLM_R_X3Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y84 FIRST_SITE_ID 6677 TILEPROP CLBLM_R_X3Y84 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X3Y84 INDEX 7833 TILEPROP CLBLM_R_X3Y84 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X3Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y84 NAME CLBLM_R_X3Y84 TILEPROP CLBLM_R_X3Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y84 NUM_SITES 2 TILEPROP CLBLM_R_X3Y84 ROW 68 TILEPROP CLBLM_R_X3Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y84 TILE_X -79602 TILEPROP CLBLM_R_X3Y84 TILE_Y 31424 TILEPROP CLBLM_R_X3Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y85 CLASS tile TILEPROP CLBLM_R_X3Y85 COLUMN 13 TILEPROP CLBLM_R_X3Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y85 FIRST_SITE_ID 6562 TILEPROP CLBLM_R_X3Y85 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X3Y85 INDEX 7718 TILEPROP CLBLM_R_X3Y85 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X3Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y85 NAME CLBLM_R_X3Y85 TILEPROP CLBLM_R_X3Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y85 NUM_SITES 2 TILEPROP CLBLM_R_X3Y85 ROW 67 TILEPROP CLBLM_R_X3Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y85 TILE_X -79602 TILEPROP CLBLM_R_X3Y85 TILE_Y 34624 TILEPROP CLBLM_R_X3Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y86 CLASS tile TILEPROP CLBLM_R_X3Y86 COLUMN 13 TILEPROP CLBLM_R_X3Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y86 FIRST_SITE_ID 6430 TILEPROP CLBLM_R_X3Y86 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X3Y86 INDEX 7603 TILEPROP CLBLM_R_X3Y86 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X3Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y86 NAME CLBLM_R_X3Y86 TILEPROP CLBLM_R_X3Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y86 NUM_SITES 2 TILEPROP CLBLM_R_X3Y86 ROW 66 TILEPROP CLBLM_R_X3Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y86 TILE_X -79602 TILEPROP CLBLM_R_X3Y86 TILE_Y 37824 TILEPROP CLBLM_R_X3Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y87 CLASS tile TILEPROP CLBLM_R_X3Y87 COLUMN 13 TILEPROP CLBLM_R_X3Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y87 FIRST_SITE_ID 6330 TILEPROP CLBLM_R_X3Y87 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X3Y87 INDEX 7488 TILEPROP CLBLM_R_X3Y87 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X3Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y87 NAME CLBLM_R_X3Y87 TILEPROP CLBLM_R_X3Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y87 NUM_SITES 2 TILEPROP CLBLM_R_X3Y87 ROW 65 TILEPROP CLBLM_R_X3Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y87 TILE_X -79602 TILEPROP CLBLM_R_X3Y87 TILE_Y 41024 TILEPROP CLBLM_R_X3Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y88 CLASS tile TILEPROP CLBLM_R_X3Y88 COLUMN 13 TILEPROP CLBLM_R_X3Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y88 FIRST_SITE_ID 6230 TILEPROP CLBLM_R_X3Y88 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X3Y88 INDEX 7373 TILEPROP CLBLM_R_X3Y88 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X3Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y88 NAME CLBLM_R_X3Y88 TILEPROP CLBLM_R_X3Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y88 NUM_SITES 2 TILEPROP CLBLM_R_X3Y88 ROW 64 TILEPROP CLBLM_R_X3Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y88 TILE_X -79602 TILEPROP CLBLM_R_X3Y88 TILE_Y 44224 TILEPROP CLBLM_R_X3Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y89 CLASS tile TILEPROP CLBLM_R_X3Y89 COLUMN 13 TILEPROP CLBLM_R_X3Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y89 FIRST_SITE_ID 6130 TILEPROP CLBLM_R_X3Y89 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X3Y89 INDEX 7258 TILEPROP CLBLM_R_X3Y89 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X3Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y89 NAME CLBLM_R_X3Y89 TILEPROP CLBLM_R_X3Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y89 NUM_SITES 2 TILEPROP CLBLM_R_X3Y89 ROW 63 TILEPROP CLBLM_R_X3Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y89 TILE_X -79602 TILEPROP CLBLM_R_X3Y89 TILE_Y 47424 TILEPROP CLBLM_R_X3Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y90 CLASS tile TILEPROP CLBLM_R_X3Y90 COLUMN 13 TILEPROP CLBLM_R_X3Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y90 FIRST_SITE_ID 6015 TILEPROP CLBLM_R_X3Y90 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X3Y90 INDEX 7143 TILEPROP CLBLM_R_X3Y90 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X3Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y90 NAME CLBLM_R_X3Y90 TILEPROP CLBLM_R_X3Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y90 NUM_SITES 2 TILEPROP CLBLM_R_X3Y90 ROW 62 TILEPROP CLBLM_R_X3Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y90 TILE_X -79602 TILEPROP CLBLM_R_X3Y90 TILE_Y 50624 TILEPROP CLBLM_R_X3Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y91 CLASS tile TILEPROP CLBLM_R_X3Y91 COLUMN 13 TILEPROP CLBLM_R_X3Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y91 FIRST_SITE_ID 5915 TILEPROP CLBLM_R_X3Y91 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X3Y91 INDEX 7028 TILEPROP CLBLM_R_X3Y91 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X3Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y91 NAME CLBLM_R_X3Y91 TILEPROP CLBLM_R_X3Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y91 NUM_SITES 2 TILEPROP CLBLM_R_X3Y91 ROW 61 TILEPROP CLBLM_R_X3Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y91 TILE_X -79602 TILEPROP CLBLM_R_X3Y91 TILE_Y 53824 TILEPROP CLBLM_R_X3Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y92 CLASS tile TILEPROP CLBLM_R_X3Y92 COLUMN 13 TILEPROP CLBLM_R_X3Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y92 FIRST_SITE_ID 5814 TILEPROP CLBLM_R_X3Y92 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X3Y92 INDEX 6913 TILEPROP CLBLM_R_X3Y92 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X3Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y92 NAME CLBLM_R_X3Y92 TILEPROP CLBLM_R_X3Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y92 NUM_SITES 2 TILEPROP CLBLM_R_X3Y92 ROW 60 TILEPROP CLBLM_R_X3Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y92 TILE_X -79602 TILEPROP CLBLM_R_X3Y92 TILE_Y 57024 TILEPROP CLBLM_R_X3Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y93 CLASS tile TILEPROP CLBLM_R_X3Y93 COLUMN 13 TILEPROP CLBLM_R_X3Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y93 FIRST_SITE_ID 5711 TILEPROP CLBLM_R_X3Y93 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X3Y93 INDEX 6798 TILEPROP CLBLM_R_X3Y93 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X3Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y93 NAME CLBLM_R_X3Y93 TILEPROP CLBLM_R_X3Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y93 NUM_SITES 2 TILEPROP CLBLM_R_X3Y93 ROW 59 TILEPROP CLBLM_R_X3Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y93 TILE_X -79602 TILEPROP CLBLM_R_X3Y93 TILE_Y 60224 TILEPROP CLBLM_R_X3Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y94 CLASS tile TILEPROP CLBLM_R_X3Y94 COLUMN 13 TILEPROP CLBLM_R_X3Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y94 FIRST_SITE_ID 5609 TILEPROP CLBLM_R_X3Y94 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X3Y94 INDEX 6683 TILEPROP CLBLM_R_X3Y94 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X3Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y94 NAME CLBLM_R_X3Y94 TILEPROP CLBLM_R_X3Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y94 NUM_SITES 2 TILEPROP CLBLM_R_X3Y94 ROW 58 TILEPROP CLBLM_R_X3Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y94 TILE_X -79602 TILEPROP CLBLM_R_X3Y94 TILE_Y 63424 TILEPROP CLBLM_R_X3Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y95 CLASS tile TILEPROP CLBLM_R_X3Y95 COLUMN 13 TILEPROP CLBLM_R_X3Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y95 FIRST_SITE_ID 5494 TILEPROP CLBLM_R_X3Y95 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X3Y95 INDEX 6568 TILEPROP CLBLM_R_X3Y95 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X3Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y95 NAME CLBLM_R_X3Y95 TILEPROP CLBLM_R_X3Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y95 NUM_SITES 2 TILEPROP CLBLM_R_X3Y95 ROW 57 TILEPROP CLBLM_R_X3Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y95 TILE_X -79602 TILEPROP CLBLM_R_X3Y95 TILE_Y 66624 TILEPROP CLBLM_R_X3Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y96 CLASS tile TILEPROP CLBLM_R_X3Y96 COLUMN 13 TILEPROP CLBLM_R_X3Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y96 FIRST_SITE_ID 5394 TILEPROP CLBLM_R_X3Y96 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X3Y96 INDEX 6453 TILEPROP CLBLM_R_X3Y96 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X3Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y96 NAME CLBLM_R_X3Y96 TILEPROP CLBLM_R_X3Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y96 NUM_SITES 2 TILEPROP CLBLM_R_X3Y96 ROW 56 TILEPROP CLBLM_R_X3Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y96 TILE_X -79602 TILEPROP CLBLM_R_X3Y96 TILE_Y 69824 TILEPROP CLBLM_R_X3Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y97 CLASS tile TILEPROP CLBLM_R_X3Y97 COLUMN 13 TILEPROP CLBLM_R_X3Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y97 FIRST_SITE_ID 5294 TILEPROP CLBLM_R_X3Y97 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X3Y97 INDEX 6338 TILEPROP CLBLM_R_X3Y97 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X3Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y97 NAME CLBLM_R_X3Y97 TILEPROP CLBLM_R_X3Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y97 NUM_SITES 2 TILEPROP CLBLM_R_X3Y97 ROW 55 TILEPROP CLBLM_R_X3Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y97 TILE_X -79602 TILEPROP CLBLM_R_X3Y97 TILE_Y 73024 TILEPROP CLBLM_R_X3Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y98 CLASS tile TILEPROP CLBLM_R_X3Y98 COLUMN 13 TILEPROP CLBLM_R_X3Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y98 FIRST_SITE_ID 5194 TILEPROP CLBLM_R_X3Y98 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X3Y98 INDEX 6223 TILEPROP CLBLM_R_X3Y98 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X3Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y98 NAME CLBLM_R_X3Y98 TILEPROP CLBLM_R_X3Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y98 NUM_SITES 2 TILEPROP CLBLM_R_X3Y98 ROW 54 TILEPROP CLBLM_R_X3Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y98 TILE_X -79602 TILEPROP CLBLM_R_X3Y98 TILE_Y 76224 TILEPROP CLBLM_R_X3Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y99 CLASS tile TILEPROP CLBLM_R_X3Y99 COLUMN 13 TILEPROP CLBLM_R_X3Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y99 FIRST_SITE_ID 5098 TILEPROP CLBLM_R_X3Y99 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X3Y99 INDEX 6108 TILEPROP CLBLM_R_X3Y99 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X3Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y99 NAME CLBLM_R_X3Y99 TILEPROP CLBLM_R_X3Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y99 NUM_SITES 2 TILEPROP CLBLM_R_X3Y99 ROW 53 TILEPROP CLBLM_R_X3Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y99 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X3Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y99 TILE_X -79602 TILEPROP CLBLM_R_X3Y99 TILE_Y 79424 TILEPROP CLBLM_R_X3Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y100 CLASS tile TILEPROP CLBLM_R_X3Y100 COLUMN 13 TILEPROP CLBLM_R_X3Y100 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y100 FIRST_SITE_ID 4997 TILEPROP CLBLM_R_X3Y100 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y100 GRID_POINT_Y 51 TILEPROP CLBLM_R_X3Y100 INDEX 5878 TILEPROP CLBLM_R_X3Y100 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y100 INT_TILE_Y 49 TILEPROP CLBLM_R_X3Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y100 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y100 NAME CLBLM_R_X3Y100 TILEPROP CLBLM_R_X3Y100 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y100 NUM_SITES 2 TILEPROP CLBLM_R_X3Y100 ROW 51 TILEPROP CLBLM_R_X3Y100 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y100 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X3Y100 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y100 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y100 TILE_X -79602 TILEPROP CLBLM_R_X3Y100 TILE_Y 82872 TILEPROP CLBLM_R_X3Y100 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y101 CLASS tile TILEPROP CLBLM_R_X3Y101 COLUMN 13 TILEPROP CLBLM_R_X3Y101 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y101 FIRST_SITE_ID 4905 TILEPROP CLBLM_R_X3Y101 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y101 GRID_POINT_Y 50 TILEPROP CLBLM_R_X3Y101 INDEX 5763 TILEPROP CLBLM_R_X3Y101 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y101 INT_TILE_Y 48 TILEPROP CLBLM_R_X3Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y101 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y101 NAME CLBLM_R_X3Y101 TILEPROP CLBLM_R_X3Y101 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y101 NUM_SITES 2 TILEPROP CLBLM_R_X3Y101 ROW 50 TILEPROP CLBLM_R_X3Y101 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y101 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y101 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y101 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y101 TILE_X -79602 TILEPROP CLBLM_R_X3Y101 TILE_Y 86072 TILEPROP CLBLM_R_X3Y101 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y102 CLASS tile TILEPROP CLBLM_R_X3Y102 COLUMN 13 TILEPROP CLBLM_R_X3Y102 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y102 FIRST_SITE_ID 4809 TILEPROP CLBLM_R_X3Y102 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y102 GRID_POINT_Y 49 TILEPROP CLBLM_R_X3Y102 INDEX 5648 TILEPROP CLBLM_R_X3Y102 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y102 INT_TILE_Y 47 TILEPROP CLBLM_R_X3Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y102 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y102 NAME CLBLM_R_X3Y102 TILEPROP CLBLM_R_X3Y102 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y102 NUM_SITES 2 TILEPROP CLBLM_R_X3Y102 ROW 49 TILEPROP CLBLM_R_X3Y102 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y102 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y102 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y102 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y102 TILE_X -79602 TILEPROP CLBLM_R_X3Y102 TILE_Y 89272 TILEPROP CLBLM_R_X3Y102 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y103 CLASS tile TILEPROP CLBLM_R_X3Y103 COLUMN 13 TILEPROP CLBLM_R_X3Y103 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y103 FIRST_SITE_ID 4721 TILEPROP CLBLM_R_X3Y103 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y103 GRID_POINT_Y 48 TILEPROP CLBLM_R_X3Y103 INDEX 5533 TILEPROP CLBLM_R_X3Y103 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y103 INT_TILE_Y 46 TILEPROP CLBLM_R_X3Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y103 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y103 NAME CLBLM_R_X3Y103 TILEPROP CLBLM_R_X3Y103 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y103 NUM_SITES 2 TILEPROP CLBLM_R_X3Y103 ROW 48 TILEPROP CLBLM_R_X3Y103 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y103 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y103 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y103 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y103 TILE_X -79602 TILEPROP CLBLM_R_X3Y103 TILE_Y 92472 TILEPROP CLBLM_R_X3Y103 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y104 CLASS tile TILEPROP CLBLM_R_X3Y104 COLUMN 13 TILEPROP CLBLM_R_X3Y104 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y104 FIRST_SITE_ID 4625 TILEPROP CLBLM_R_X3Y104 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y104 GRID_POINT_Y 47 TILEPROP CLBLM_R_X3Y104 INDEX 5418 TILEPROP CLBLM_R_X3Y104 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y104 INT_TILE_Y 45 TILEPROP CLBLM_R_X3Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y104 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y104 NAME CLBLM_R_X3Y104 TILEPROP CLBLM_R_X3Y104 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y104 NUM_SITES 2 TILEPROP CLBLM_R_X3Y104 ROW 47 TILEPROP CLBLM_R_X3Y104 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y104 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y104 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y104 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y104 TILE_X -79602 TILEPROP CLBLM_R_X3Y104 TILE_Y 95672 TILEPROP CLBLM_R_X3Y104 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y105 CLASS tile TILEPROP CLBLM_R_X3Y105 COLUMN 13 TILEPROP CLBLM_R_X3Y105 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y105 FIRST_SITE_ID 4523 TILEPROP CLBLM_R_X3Y105 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y105 GRID_POINT_Y 46 TILEPROP CLBLM_R_X3Y105 INDEX 5303 TILEPROP CLBLM_R_X3Y105 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y105 INT_TILE_Y 44 TILEPROP CLBLM_R_X3Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y105 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y105 NAME CLBLM_R_X3Y105 TILEPROP CLBLM_R_X3Y105 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y105 NUM_SITES 2 TILEPROP CLBLM_R_X3Y105 ROW 46 TILEPROP CLBLM_R_X3Y105 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y105 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y105 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y105 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y105 TILE_X -79602 TILEPROP CLBLM_R_X3Y105 TILE_Y 98872 TILEPROP CLBLM_R_X3Y105 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y106 CLASS tile TILEPROP CLBLM_R_X3Y106 COLUMN 13 TILEPROP CLBLM_R_X3Y106 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y106 FIRST_SITE_ID 4427 TILEPROP CLBLM_R_X3Y106 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y106 GRID_POINT_Y 45 TILEPROP CLBLM_R_X3Y106 INDEX 5188 TILEPROP CLBLM_R_X3Y106 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y106 INT_TILE_Y 43 TILEPROP CLBLM_R_X3Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y106 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y106 NAME CLBLM_R_X3Y106 TILEPROP CLBLM_R_X3Y106 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y106 NUM_SITES 2 TILEPROP CLBLM_R_X3Y106 ROW 45 TILEPROP CLBLM_R_X3Y106 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y106 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y106 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y106 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y106 TILE_X -79602 TILEPROP CLBLM_R_X3Y106 TILE_Y 102072 TILEPROP CLBLM_R_X3Y106 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y107 CLASS tile TILEPROP CLBLM_R_X3Y107 COLUMN 13 TILEPROP CLBLM_R_X3Y107 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y107 FIRST_SITE_ID 4339 TILEPROP CLBLM_R_X3Y107 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y107 GRID_POINT_Y 44 TILEPROP CLBLM_R_X3Y107 INDEX 5073 TILEPROP CLBLM_R_X3Y107 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y107 INT_TILE_Y 42 TILEPROP CLBLM_R_X3Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y107 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y107 NAME CLBLM_R_X3Y107 TILEPROP CLBLM_R_X3Y107 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y107 NUM_SITES 2 TILEPROP CLBLM_R_X3Y107 ROW 44 TILEPROP CLBLM_R_X3Y107 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y107 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y107 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y107 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y107 TILE_X -79602 TILEPROP CLBLM_R_X3Y107 TILE_Y 105272 TILEPROP CLBLM_R_X3Y107 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y108 CLASS tile TILEPROP CLBLM_R_X3Y108 COLUMN 13 TILEPROP CLBLM_R_X3Y108 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y108 FIRST_SITE_ID 4241 TILEPROP CLBLM_R_X3Y108 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y108 GRID_POINT_Y 43 TILEPROP CLBLM_R_X3Y108 INDEX 4958 TILEPROP CLBLM_R_X3Y108 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y108 INT_TILE_Y 41 TILEPROP CLBLM_R_X3Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y108 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y108 NAME CLBLM_R_X3Y108 TILEPROP CLBLM_R_X3Y108 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y108 NUM_SITES 2 TILEPROP CLBLM_R_X3Y108 ROW 43 TILEPROP CLBLM_R_X3Y108 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y108 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y108 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y108 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y108 TILE_X -79602 TILEPROP CLBLM_R_X3Y108 TILE_Y 108472 TILEPROP CLBLM_R_X3Y108 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y109 CLASS tile TILEPROP CLBLM_R_X3Y109 COLUMN 13 TILEPROP CLBLM_R_X3Y109 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y109 FIRST_SITE_ID 4152 TILEPROP CLBLM_R_X3Y109 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y109 GRID_POINT_Y 42 TILEPROP CLBLM_R_X3Y109 INDEX 4843 TILEPROP CLBLM_R_X3Y109 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y109 INT_TILE_Y 40 TILEPROP CLBLM_R_X3Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y109 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y109 NAME CLBLM_R_X3Y109 TILEPROP CLBLM_R_X3Y109 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y109 NUM_SITES 2 TILEPROP CLBLM_R_X3Y109 ROW 42 TILEPROP CLBLM_R_X3Y109 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y109 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y109 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y109 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y109 TILE_X -79602 TILEPROP CLBLM_R_X3Y109 TILE_Y 111672 TILEPROP CLBLM_R_X3Y109 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y110 CLASS tile TILEPROP CLBLM_R_X3Y110 COLUMN 13 TILEPROP CLBLM_R_X3Y110 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y110 FIRST_SITE_ID 4046 TILEPROP CLBLM_R_X3Y110 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y110 GRID_POINT_Y 41 TILEPROP CLBLM_R_X3Y110 INDEX 4728 TILEPROP CLBLM_R_X3Y110 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y110 INT_TILE_Y 39 TILEPROP CLBLM_R_X3Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y110 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y110 NAME CLBLM_R_X3Y110 TILEPROP CLBLM_R_X3Y110 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y110 NUM_SITES 2 TILEPROP CLBLM_R_X3Y110 ROW 41 TILEPROP CLBLM_R_X3Y110 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y110 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y110 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y110 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y110 TILE_X -79602 TILEPROP CLBLM_R_X3Y110 TILE_Y 114872 TILEPROP CLBLM_R_X3Y110 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y111 CLASS tile TILEPROP CLBLM_R_X3Y111 COLUMN 13 TILEPROP CLBLM_R_X3Y111 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y111 FIRST_SITE_ID 3958 TILEPROP CLBLM_R_X3Y111 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y111 GRID_POINT_Y 40 TILEPROP CLBLM_R_X3Y111 INDEX 4613 TILEPROP CLBLM_R_X3Y111 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y111 INT_TILE_Y 38 TILEPROP CLBLM_R_X3Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y111 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y111 NAME CLBLM_R_X3Y111 TILEPROP CLBLM_R_X3Y111 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y111 NUM_SITES 2 TILEPROP CLBLM_R_X3Y111 ROW 40 TILEPROP CLBLM_R_X3Y111 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y111 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y111 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y111 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y111 TILE_X -79602 TILEPROP CLBLM_R_X3Y111 TILE_Y 118072 TILEPROP CLBLM_R_X3Y111 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y112 CLASS tile TILEPROP CLBLM_R_X3Y112 COLUMN 13 TILEPROP CLBLM_R_X3Y112 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y112 FIRST_SITE_ID 3830 TILEPROP CLBLM_R_X3Y112 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y112 GRID_POINT_Y 39 TILEPROP CLBLM_R_X3Y112 INDEX 4498 TILEPROP CLBLM_R_X3Y112 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y112 INT_TILE_Y 37 TILEPROP CLBLM_R_X3Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y112 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y112 NAME CLBLM_R_X3Y112 TILEPROP CLBLM_R_X3Y112 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y112 NUM_SITES 2 TILEPROP CLBLM_R_X3Y112 ROW 39 TILEPROP CLBLM_R_X3Y112 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y112 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y112 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y112 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y112 TILE_X -79602 TILEPROP CLBLM_R_X3Y112 TILE_Y 121272 TILEPROP CLBLM_R_X3Y112 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y113 CLASS tile TILEPROP CLBLM_R_X3Y113 COLUMN 13 TILEPROP CLBLM_R_X3Y113 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y113 FIRST_SITE_ID 3742 TILEPROP CLBLM_R_X3Y113 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y113 GRID_POINT_Y 38 TILEPROP CLBLM_R_X3Y113 INDEX 4383 TILEPROP CLBLM_R_X3Y113 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y113 INT_TILE_Y 36 TILEPROP CLBLM_R_X3Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y113 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y113 NAME CLBLM_R_X3Y113 TILEPROP CLBLM_R_X3Y113 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y113 NUM_SITES 2 TILEPROP CLBLM_R_X3Y113 ROW 38 TILEPROP CLBLM_R_X3Y113 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y113 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y113 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y113 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y113 TILE_X -79602 TILEPROP CLBLM_R_X3Y113 TILE_Y 124472 TILEPROP CLBLM_R_X3Y113 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y114 CLASS tile TILEPROP CLBLM_R_X3Y114 COLUMN 13 TILEPROP CLBLM_R_X3Y114 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y114 FIRST_SITE_ID 3646 TILEPROP CLBLM_R_X3Y114 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y114 GRID_POINT_Y 37 TILEPROP CLBLM_R_X3Y114 INDEX 4268 TILEPROP CLBLM_R_X3Y114 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y114 INT_TILE_Y 35 TILEPROP CLBLM_R_X3Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y114 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y114 NAME CLBLM_R_X3Y114 TILEPROP CLBLM_R_X3Y114 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y114 NUM_SITES 2 TILEPROP CLBLM_R_X3Y114 ROW 37 TILEPROP CLBLM_R_X3Y114 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y114 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y114 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y114 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y114 TILE_X -79602 TILEPROP CLBLM_R_X3Y114 TILE_Y 127672 TILEPROP CLBLM_R_X3Y114 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y115 CLASS tile TILEPROP CLBLM_R_X3Y115 COLUMN 13 TILEPROP CLBLM_R_X3Y115 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y115 FIRST_SITE_ID 3549 TILEPROP CLBLM_R_X3Y115 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y115 GRID_POINT_Y 36 TILEPROP CLBLM_R_X3Y115 INDEX 4153 TILEPROP CLBLM_R_X3Y115 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y115 INT_TILE_Y 34 TILEPROP CLBLM_R_X3Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y115 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y115 NAME CLBLM_R_X3Y115 TILEPROP CLBLM_R_X3Y115 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y115 NUM_SITES 2 TILEPROP CLBLM_R_X3Y115 ROW 36 TILEPROP CLBLM_R_X3Y115 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y115 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y115 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y115 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y115 TILE_X -79602 TILEPROP CLBLM_R_X3Y115 TILE_Y 130872 TILEPROP CLBLM_R_X3Y115 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y116 CLASS tile TILEPROP CLBLM_R_X3Y116 COLUMN 13 TILEPROP CLBLM_R_X3Y116 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y116 FIRST_SITE_ID 3448 TILEPROP CLBLM_R_X3Y116 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y116 GRID_POINT_Y 35 TILEPROP CLBLM_R_X3Y116 INDEX 4038 TILEPROP CLBLM_R_X3Y116 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y116 INT_TILE_Y 33 TILEPROP CLBLM_R_X3Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y116 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y116 NAME CLBLM_R_X3Y116 TILEPROP CLBLM_R_X3Y116 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y116 NUM_SITES 2 TILEPROP CLBLM_R_X3Y116 ROW 35 TILEPROP CLBLM_R_X3Y116 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y116 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y116 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y116 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y116 TILE_X -79602 TILEPROP CLBLM_R_X3Y116 TILE_Y 134072 TILEPROP CLBLM_R_X3Y116 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y117 CLASS tile TILEPROP CLBLM_R_X3Y117 COLUMN 13 TILEPROP CLBLM_R_X3Y117 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y117 FIRST_SITE_ID 3360 TILEPROP CLBLM_R_X3Y117 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y117 GRID_POINT_Y 34 TILEPROP CLBLM_R_X3Y117 INDEX 3923 TILEPROP CLBLM_R_X3Y117 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y117 INT_TILE_Y 32 TILEPROP CLBLM_R_X3Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y117 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y117 NAME CLBLM_R_X3Y117 TILEPROP CLBLM_R_X3Y117 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y117 NUM_SITES 2 TILEPROP CLBLM_R_X3Y117 ROW 34 TILEPROP CLBLM_R_X3Y117 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y117 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y117 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y117 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y117 TILE_X -79602 TILEPROP CLBLM_R_X3Y117 TILE_Y 137272 TILEPROP CLBLM_R_X3Y117 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y118 CLASS tile TILEPROP CLBLM_R_X3Y118 COLUMN 13 TILEPROP CLBLM_R_X3Y118 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y118 FIRST_SITE_ID 3260 TILEPROP CLBLM_R_X3Y118 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y118 GRID_POINT_Y 33 TILEPROP CLBLM_R_X3Y118 INDEX 3808 TILEPROP CLBLM_R_X3Y118 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y118 INT_TILE_Y 31 TILEPROP CLBLM_R_X3Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y118 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y118 NAME CLBLM_R_X3Y118 TILEPROP CLBLM_R_X3Y118 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y118 NUM_SITES 2 TILEPROP CLBLM_R_X3Y118 ROW 33 TILEPROP CLBLM_R_X3Y118 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y118 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y118 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y118 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y118 TILE_X -79602 TILEPROP CLBLM_R_X3Y118 TILE_Y 140472 TILEPROP CLBLM_R_X3Y118 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y119 CLASS tile TILEPROP CLBLM_R_X3Y119 COLUMN 13 TILEPROP CLBLM_R_X3Y119 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y119 FIRST_SITE_ID 3172 TILEPROP CLBLM_R_X3Y119 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y119 GRID_POINT_Y 32 TILEPROP CLBLM_R_X3Y119 INDEX 3693 TILEPROP CLBLM_R_X3Y119 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y119 INT_TILE_Y 30 TILEPROP CLBLM_R_X3Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y119 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y119 NAME CLBLM_R_X3Y119 TILEPROP CLBLM_R_X3Y119 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y119 NUM_SITES 2 TILEPROP CLBLM_R_X3Y119 ROW 32 TILEPROP CLBLM_R_X3Y119 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y119 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y119 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y119 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y119 TILE_X -79602 TILEPROP CLBLM_R_X3Y119 TILE_Y 143672 TILEPROP CLBLM_R_X3Y119 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y120 CLASS tile TILEPROP CLBLM_R_X3Y120 COLUMN 13 TILEPROP CLBLM_R_X3Y120 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y120 FIRST_SITE_ID 3065 TILEPROP CLBLM_R_X3Y120 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y120 GRID_POINT_Y 31 TILEPROP CLBLM_R_X3Y120 INDEX 3578 TILEPROP CLBLM_R_X3Y120 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y120 INT_TILE_Y 29 TILEPROP CLBLM_R_X3Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y120 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y120 NAME CLBLM_R_X3Y120 TILEPROP CLBLM_R_X3Y120 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y120 NUM_SITES 2 TILEPROP CLBLM_R_X3Y120 ROW 31 TILEPROP CLBLM_R_X3Y120 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y120 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y120 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y120 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y120 TILE_X -79602 TILEPROP CLBLM_R_X3Y120 TILE_Y 146872 TILEPROP CLBLM_R_X3Y120 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y121 CLASS tile TILEPROP CLBLM_R_X3Y121 COLUMN 13 TILEPROP CLBLM_R_X3Y121 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y121 FIRST_SITE_ID 2977 TILEPROP CLBLM_R_X3Y121 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y121 GRID_POINT_Y 30 TILEPROP CLBLM_R_X3Y121 INDEX 3463 TILEPROP CLBLM_R_X3Y121 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y121 INT_TILE_Y 28 TILEPROP CLBLM_R_X3Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y121 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y121 NAME CLBLM_R_X3Y121 TILEPROP CLBLM_R_X3Y121 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y121 NUM_SITES 2 TILEPROP CLBLM_R_X3Y121 ROW 30 TILEPROP CLBLM_R_X3Y121 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y121 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y121 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y121 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y121 TILE_X -79602 TILEPROP CLBLM_R_X3Y121 TILE_Y 150072 TILEPROP CLBLM_R_X3Y121 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y122 CLASS tile TILEPROP CLBLM_R_X3Y122 COLUMN 13 TILEPROP CLBLM_R_X3Y122 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y122 FIRST_SITE_ID 2874 TILEPROP CLBLM_R_X3Y122 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y122 GRID_POINT_Y 29 TILEPROP CLBLM_R_X3Y122 INDEX 3348 TILEPROP CLBLM_R_X3Y122 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y122 INT_TILE_Y 27 TILEPROP CLBLM_R_X3Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y122 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y122 NAME CLBLM_R_X3Y122 TILEPROP CLBLM_R_X3Y122 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y122 NUM_SITES 2 TILEPROP CLBLM_R_X3Y122 ROW 29 TILEPROP CLBLM_R_X3Y122 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y122 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y122 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y122 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y122 TILE_X -79602 TILEPROP CLBLM_R_X3Y122 TILE_Y 153272 TILEPROP CLBLM_R_X3Y122 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y123 CLASS tile TILEPROP CLBLM_R_X3Y123 COLUMN 13 TILEPROP CLBLM_R_X3Y123 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y123 FIRST_SITE_ID 2786 TILEPROP CLBLM_R_X3Y123 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y123 GRID_POINT_Y 28 TILEPROP CLBLM_R_X3Y123 INDEX 3233 TILEPROP CLBLM_R_X3Y123 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y123 INT_TILE_Y 26 TILEPROP CLBLM_R_X3Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y123 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y123 NAME CLBLM_R_X3Y123 TILEPROP CLBLM_R_X3Y123 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y123 NUM_SITES 2 TILEPROP CLBLM_R_X3Y123 ROW 28 TILEPROP CLBLM_R_X3Y123 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y123 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y123 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y123 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y123 TILE_X -79602 TILEPROP CLBLM_R_X3Y123 TILE_Y 156472 TILEPROP CLBLM_R_X3Y123 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y124 CLASS tile TILEPROP CLBLM_R_X3Y124 COLUMN 13 TILEPROP CLBLM_R_X3Y124 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y124 FIRST_SITE_ID 2690 TILEPROP CLBLM_R_X3Y124 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y124 GRID_POINT_Y 27 TILEPROP CLBLM_R_X3Y124 INDEX 3118 TILEPROP CLBLM_R_X3Y124 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y124 INT_TILE_Y 25 TILEPROP CLBLM_R_X3Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y124 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y124 NAME CLBLM_R_X3Y124 TILEPROP CLBLM_R_X3Y124 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y124 NUM_SITES 2 TILEPROP CLBLM_R_X3Y124 ROW 27 TILEPROP CLBLM_R_X3Y124 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y124 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X3Y124 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y124 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y124 TILE_X -79602 TILEPROP CLBLM_R_X3Y124 TILE_Y 159672 TILEPROP CLBLM_R_X3Y124 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y125 CLASS tile TILEPROP CLBLM_R_X3Y125 COLUMN 13 TILEPROP CLBLM_R_X3Y125 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y125 FIRST_SITE_ID 2511 TILEPROP CLBLM_R_X3Y125 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y125 GRID_POINT_Y 25 TILEPROP CLBLM_R_X3Y125 INDEX 2888 TILEPROP CLBLM_R_X3Y125 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y125 INT_TILE_Y 24 TILEPROP CLBLM_R_X3Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y125 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y125 NAME CLBLM_R_X3Y125 TILEPROP CLBLM_R_X3Y125 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y125 NUM_SITES 2 TILEPROP CLBLM_R_X3Y125 ROW 25 TILEPROP CLBLM_R_X3Y125 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y125 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X3Y125 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y125 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y125 TILE_X -79602 TILEPROP CLBLM_R_X3Y125 TILE_Y 163896 TILEPROP CLBLM_R_X3Y125 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y126 CLASS tile TILEPROP CLBLM_R_X3Y126 COLUMN 13 TILEPROP CLBLM_R_X3Y126 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y126 FIRST_SITE_ID 2407 TILEPROP CLBLM_R_X3Y126 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y126 GRID_POINT_Y 24 TILEPROP CLBLM_R_X3Y126 INDEX 2773 TILEPROP CLBLM_R_X3Y126 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y126 INT_TILE_Y 23 TILEPROP CLBLM_R_X3Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y126 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y126 NAME CLBLM_R_X3Y126 TILEPROP CLBLM_R_X3Y126 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y126 NUM_SITES 2 TILEPROP CLBLM_R_X3Y126 ROW 24 TILEPROP CLBLM_R_X3Y126 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y126 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y126 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y126 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y126 TILE_X -79602 TILEPROP CLBLM_R_X3Y126 TILE_Y 167096 TILEPROP CLBLM_R_X3Y126 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y127 CLASS tile TILEPROP CLBLM_R_X3Y127 COLUMN 13 TILEPROP CLBLM_R_X3Y127 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y127 FIRST_SITE_ID 2311 TILEPROP CLBLM_R_X3Y127 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y127 GRID_POINT_Y 23 TILEPROP CLBLM_R_X3Y127 INDEX 2658 TILEPROP CLBLM_R_X3Y127 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y127 INT_TILE_Y 22 TILEPROP CLBLM_R_X3Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y127 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y127 NAME CLBLM_R_X3Y127 TILEPROP CLBLM_R_X3Y127 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y127 NUM_SITES 2 TILEPROP CLBLM_R_X3Y127 ROW 23 TILEPROP CLBLM_R_X3Y127 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y127 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y127 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y127 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y127 TILE_X -79602 TILEPROP CLBLM_R_X3Y127 TILE_Y 170296 TILEPROP CLBLM_R_X3Y127 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y128 CLASS tile TILEPROP CLBLM_R_X3Y128 COLUMN 13 TILEPROP CLBLM_R_X3Y128 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y128 FIRST_SITE_ID 2207 TILEPROP CLBLM_R_X3Y128 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y128 GRID_POINT_Y 22 TILEPROP CLBLM_R_X3Y128 INDEX 2543 TILEPROP CLBLM_R_X3Y128 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y128 INT_TILE_Y 21 TILEPROP CLBLM_R_X3Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y128 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y128 NAME CLBLM_R_X3Y128 TILEPROP CLBLM_R_X3Y128 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y128 NUM_SITES 2 TILEPROP CLBLM_R_X3Y128 ROW 22 TILEPROP CLBLM_R_X3Y128 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y128 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y128 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y128 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y128 TILE_X -79602 TILEPROP CLBLM_R_X3Y128 TILE_Y 173496 TILEPROP CLBLM_R_X3Y128 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y129 CLASS tile TILEPROP CLBLM_R_X3Y129 COLUMN 13 TILEPROP CLBLM_R_X3Y129 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y129 FIRST_SITE_ID 2111 TILEPROP CLBLM_R_X3Y129 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y129 GRID_POINT_Y 21 TILEPROP CLBLM_R_X3Y129 INDEX 2428 TILEPROP CLBLM_R_X3Y129 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y129 INT_TILE_Y 20 TILEPROP CLBLM_R_X3Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y129 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y129 NAME CLBLM_R_X3Y129 TILEPROP CLBLM_R_X3Y129 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y129 NUM_SITES 2 TILEPROP CLBLM_R_X3Y129 ROW 21 TILEPROP CLBLM_R_X3Y129 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y129 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y129 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y129 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y129 TILE_X -79602 TILEPROP CLBLM_R_X3Y129 TILE_Y 176696 TILEPROP CLBLM_R_X3Y129 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y130 CLASS tile TILEPROP CLBLM_R_X3Y130 COLUMN 13 TILEPROP CLBLM_R_X3Y130 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y130 FIRST_SITE_ID 1989 TILEPROP CLBLM_R_X3Y130 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y130 GRID_POINT_Y 20 TILEPROP CLBLM_R_X3Y130 INDEX 2313 TILEPROP CLBLM_R_X3Y130 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y130 INT_TILE_Y 19 TILEPROP CLBLM_R_X3Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y130 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y130 NAME CLBLM_R_X3Y130 TILEPROP CLBLM_R_X3Y130 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y130 NUM_SITES 2 TILEPROP CLBLM_R_X3Y130 ROW 20 TILEPROP CLBLM_R_X3Y130 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y130 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y130 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y130 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y130 TILE_X -79602 TILEPROP CLBLM_R_X3Y130 TILE_Y 179896 TILEPROP CLBLM_R_X3Y130 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y131 CLASS tile TILEPROP CLBLM_R_X3Y131 COLUMN 13 TILEPROP CLBLM_R_X3Y131 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y131 FIRST_SITE_ID 1893 TILEPROP CLBLM_R_X3Y131 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y131 GRID_POINT_Y 19 TILEPROP CLBLM_R_X3Y131 INDEX 2198 TILEPROP CLBLM_R_X3Y131 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y131 INT_TILE_Y 18 TILEPROP CLBLM_R_X3Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y131 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y131 NAME CLBLM_R_X3Y131 TILEPROP CLBLM_R_X3Y131 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y131 NUM_SITES 2 TILEPROP CLBLM_R_X3Y131 ROW 19 TILEPROP CLBLM_R_X3Y131 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y131 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y131 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y131 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y131 TILE_X -79602 TILEPROP CLBLM_R_X3Y131 TILE_Y 183096 TILEPROP CLBLM_R_X3Y131 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y132 CLASS tile TILEPROP CLBLM_R_X3Y132 COLUMN 13 TILEPROP CLBLM_R_X3Y132 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y132 FIRST_SITE_ID 1787 TILEPROP CLBLM_R_X3Y132 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y132 GRID_POINT_Y 18 TILEPROP CLBLM_R_X3Y132 INDEX 2083 TILEPROP CLBLM_R_X3Y132 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y132 INT_TILE_Y 17 TILEPROP CLBLM_R_X3Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y132 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y132 NAME CLBLM_R_X3Y132 TILEPROP CLBLM_R_X3Y132 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y132 NUM_SITES 2 TILEPROP CLBLM_R_X3Y132 ROW 18 TILEPROP CLBLM_R_X3Y132 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y132 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y132 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y132 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y132 TILE_X -79602 TILEPROP CLBLM_R_X3Y132 TILE_Y 186296 TILEPROP CLBLM_R_X3Y132 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y133 CLASS tile TILEPROP CLBLM_R_X3Y133 COLUMN 13 TILEPROP CLBLM_R_X3Y133 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y133 FIRST_SITE_ID 1686 TILEPROP CLBLM_R_X3Y133 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y133 GRID_POINT_Y 17 TILEPROP CLBLM_R_X3Y133 INDEX 1968 TILEPROP CLBLM_R_X3Y133 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y133 INT_TILE_Y 16 TILEPROP CLBLM_R_X3Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y133 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y133 NAME CLBLM_R_X3Y133 TILEPROP CLBLM_R_X3Y133 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y133 NUM_SITES 2 TILEPROP CLBLM_R_X3Y133 ROW 17 TILEPROP CLBLM_R_X3Y133 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y133 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y133 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y133 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y133 TILE_X -79602 TILEPROP CLBLM_R_X3Y133 TILE_Y 189496 TILEPROP CLBLM_R_X3Y133 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y134 CLASS tile TILEPROP CLBLM_R_X3Y134 COLUMN 13 TILEPROP CLBLM_R_X3Y134 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y134 FIRST_SITE_ID 1582 TILEPROP CLBLM_R_X3Y134 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y134 GRID_POINT_Y 16 TILEPROP CLBLM_R_X3Y134 INDEX 1853 TILEPROP CLBLM_R_X3Y134 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y134 INT_TILE_Y 15 TILEPROP CLBLM_R_X3Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y134 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y134 NAME CLBLM_R_X3Y134 TILEPROP CLBLM_R_X3Y134 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y134 NUM_SITES 2 TILEPROP CLBLM_R_X3Y134 ROW 16 TILEPROP CLBLM_R_X3Y134 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y134 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y134 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y134 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y134 TILE_X -79602 TILEPROP CLBLM_R_X3Y134 TILE_Y 192696 TILEPROP CLBLM_R_X3Y134 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y135 CLASS tile TILEPROP CLBLM_R_X3Y135 COLUMN 13 TILEPROP CLBLM_R_X3Y135 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y135 FIRST_SITE_ID 1474 TILEPROP CLBLM_R_X3Y135 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y135 GRID_POINT_Y 15 TILEPROP CLBLM_R_X3Y135 INDEX 1738 TILEPROP CLBLM_R_X3Y135 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y135 INT_TILE_Y 14 TILEPROP CLBLM_R_X3Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y135 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y135 NAME CLBLM_R_X3Y135 TILEPROP CLBLM_R_X3Y135 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y135 NUM_SITES 2 TILEPROP CLBLM_R_X3Y135 ROW 15 TILEPROP CLBLM_R_X3Y135 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y135 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y135 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y135 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y135 TILE_X -79602 TILEPROP CLBLM_R_X3Y135 TILE_Y 195896 TILEPROP CLBLM_R_X3Y135 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y136 CLASS tile TILEPROP CLBLM_R_X3Y136 COLUMN 13 TILEPROP CLBLM_R_X3Y136 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y136 FIRST_SITE_ID 1338 TILEPROP CLBLM_R_X3Y136 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y136 GRID_POINT_Y 14 TILEPROP CLBLM_R_X3Y136 INDEX 1623 TILEPROP CLBLM_R_X3Y136 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y136 INT_TILE_Y 13 TILEPROP CLBLM_R_X3Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y136 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y136 NAME CLBLM_R_X3Y136 TILEPROP CLBLM_R_X3Y136 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y136 NUM_SITES 2 TILEPROP CLBLM_R_X3Y136 ROW 14 TILEPROP CLBLM_R_X3Y136 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y136 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y136 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y136 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y136 TILE_X -79602 TILEPROP CLBLM_R_X3Y136 TILE_Y 199096 TILEPROP CLBLM_R_X3Y136 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y137 CLASS tile TILEPROP CLBLM_R_X3Y137 COLUMN 13 TILEPROP CLBLM_R_X3Y137 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y137 FIRST_SITE_ID 1242 TILEPROP CLBLM_R_X3Y137 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y137 GRID_POINT_Y 13 TILEPROP CLBLM_R_X3Y137 INDEX 1508 TILEPROP CLBLM_R_X3Y137 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y137 INT_TILE_Y 12 TILEPROP CLBLM_R_X3Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y137 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y137 NAME CLBLM_R_X3Y137 TILEPROP CLBLM_R_X3Y137 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y137 NUM_SITES 2 TILEPROP CLBLM_R_X3Y137 ROW 13 TILEPROP CLBLM_R_X3Y137 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y137 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y137 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y137 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y137 TILE_X -79602 TILEPROP CLBLM_R_X3Y137 TILE_Y 202296 TILEPROP CLBLM_R_X3Y137 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y138 CLASS tile TILEPROP CLBLM_R_X3Y138 COLUMN 13 TILEPROP CLBLM_R_X3Y138 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y138 FIRST_SITE_ID 1138 TILEPROP CLBLM_R_X3Y138 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y138 GRID_POINT_Y 12 TILEPROP CLBLM_R_X3Y138 INDEX 1393 TILEPROP CLBLM_R_X3Y138 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y138 INT_TILE_Y 11 TILEPROP CLBLM_R_X3Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y138 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y138 NAME CLBLM_R_X3Y138 TILEPROP CLBLM_R_X3Y138 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y138 NUM_SITES 2 TILEPROP CLBLM_R_X3Y138 ROW 12 TILEPROP CLBLM_R_X3Y138 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y138 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y138 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y138 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y138 TILE_X -79602 TILEPROP CLBLM_R_X3Y138 TILE_Y 205496 TILEPROP CLBLM_R_X3Y138 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y139 CLASS tile TILEPROP CLBLM_R_X3Y139 COLUMN 13 TILEPROP CLBLM_R_X3Y139 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y139 FIRST_SITE_ID 1042 TILEPROP CLBLM_R_X3Y139 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y139 GRID_POINT_Y 11 TILEPROP CLBLM_R_X3Y139 INDEX 1278 TILEPROP CLBLM_R_X3Y139 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y139 INT_TILE_Y 10 TILEPROP CLBLM_R_X3Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y139 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y139 NAME CLBLM_R_X3Y139 TILEPROP CLBLM_R_X3Y139 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y139 NUM_SITES 2 TILEPROP CLBLM_R_X3Y139 ROW 11 TILEPROP CLBLM_R_X3Y139 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y139 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y139 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y139 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y139 TILE_X -79602 TILEPROP CLBLM_R_X3Y139 TILE_Y 208696 TILEPROP CLBLM_R_X3Y139 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y140 CLASS tile TILEPROP CLBLM_R_X3Y140 COLUMN 13 TILEPROP CLBLM_R_X3Y140 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y140 FIRST_SITE_ID 926 TILEPROP CLBLM_R_X3Y140 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y140 GRID_POINT_Y 10 TILEPROP CLBLM_R_X3Y140 INDEX 1163 TILEPROP CLBLM_R_X3Y140 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y140 INT_TILE_Y 9 TILEPROP CLBLM_R_X3Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y140 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y140 NAME CLBLM_R_X3Y140 TILEPROP CLBLM_R_X3Y140 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y140 NUM_SITES 2 TILEPROP CLBLM_R_X3Y140 ROW 10 TILEPROP CLBLM_R_X3Y140 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y140 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y140 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y140 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y140 TILE_X -79602 TILEPROP CLBLM_R_X3Y140 TILE_Y 211896 TILEPROP CLBLM_R_X3Y140 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y141 CLASS tile TILEPROP CLBLM_R_X3Y141 COLUMN 13 TILEPROP CLBLM_R_X3Y141 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y141 FIRST_SITE_ID 830 TILEPROP CLBLM_R_X3Y141 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y141 GRID_POINT_Y 9 TILEPROP CLBLM_R_X3Y141 INDEX 1048 TILEPROP CLBLM_R_X3Y141 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y141 INT_TILE_Y 8 TILEPROP CLBLM_R_X3Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y141 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y141 NAME CLBLM_R_X3Y141 TILEPROP CLBLM_R_X3Y141 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y141 NUM_SITES 2 TILEPROP CLBLM_R_X3Y141 ROW 9 TILEPROP CLBLM_R_X3Y141 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y141 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y141 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y141 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y141 TILE_X -79602 TILEPROP CLBLM_R_X3Y141 TILE_Y 215096 TILEPROP CLBLM_R_X3Y141 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y142 CLASS tile TILEPROP CLBLM_R_X3Y142 COLUMN 13 TILEPROP CLBLM_R_X3Y142 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y142 FIRST_SITE_ID 726 TILEPROP CLBLM_R_X3Y142 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y142 GRID_POINT_Y 8 TILEPROP CLBLM_R_X3Y142 INDEX 933 TILEPROP CLBLM_R_X3Y142 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y142 INT_TILE_Y 7 TILEPROP CLBLM_R_X3Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y142 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y142 NAME CLBLM_R_X3Y142 TILEPROP CLBLM_R_X3Y142 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y142 NUM_SITES 2 TILEPROP CLBLM_R_X3Y142 ROW 8 TILEPROP CLBLM_R_X3Y142 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y142 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y142 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y142 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y142 TILE_X -79602 TILEPROP CLBLM_R_X3Y142 TILE_Y 218296 TILEPROP CLBLM_R_X3Y142 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y143 CLASS tile TILEPROP CLBLM_R_X3Y143 COLUMN 13 TILEPROP CLBLM_R_X3Y143 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y143 FIRST_SITE_ID 629 TILEPROP CLBLM_R_X3Y143 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y143 GRID_POINT_Y 7 TILEPROP CLBLM_R_X3Y143 INDEX 818 TILEPROP CLBLM_R_X3Y143 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y143 INT_TILE_Y 6 TILEPROP CLBLM_R_X3Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y143 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y143 NAME CLBLM_R_X3Y143 TILEPROP CLBLM_R_X3Y143 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y143 NUM_SITES 2 TILEPROP CLBLM_R_X3Y143 ROW 7 TILEPROP CLBLM_R_X3Y143 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y143 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y143 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y143 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y143 TILE_X -79602 TILEPROP CLBLM_R_X3Y143 TILE_Y 221496 TILEPROP CLBLM_R_X3Y143 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y144 CLASS tile TILEPROP CLBLM_R_X3Y144 COLUMN 13 TILEPROP CLBLM_R_X3Y144 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y144 FIRST_SITE_ID 518 TILEPROP CLBLM_R_X3Y144 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y144 GRID_POINT_Y 6 TILEPROP CLBLM_R_X3Y144 INDEX 703 TILEPROP CLBLM_R_X3Y144 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y144 INT_TILE_Y 5 TILEPROP CLBLM_R_X3Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y144 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y144 NAME CLBLM_R_X3Y144 TILEPROP CLBLM_R_X3Y144 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y144 NUM_SITES 2 TILEPROP CLBLM_R_X3Y144 ROW 6 TILEPROP CLBLM_R_X3Y144 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y144 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y144 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y144 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y144 TILE_X -79602 TILEPROP CLBLM_R_X3Y144 TILE_Y 224696 TILEPROP CLBLM_R_X3Y144 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y145 CLASS tile TILEPROP CLBLM_R_X3Y145 COLUMN 13 TILEPROP CLBLM_R_X3Y145 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y145 FIRST_SITE_ID 410 TILEPROP CLBLM_R_X3Y145 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y145 GRID_POINT_Y 5 TILEPROP CLBLM_R_X3Y145 INDEX 588 TILEPROP CLBLM_R_X3Y145 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y145 INT_TILE_Y 4 TILEPROP CLBLM_R_X3Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y145 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y145 NAME CLBLM_R_X3Y145 TILEPROP CLBLM_R_X3Y145 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y145 NUM_SITES 2 TILEPROP CLBLM_R_X3Y145 ROW 5 TILEPROP CLBLM_R_X3Y145 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y145 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y145 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y145 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y145 TILE_X -79602 TILEPROP CLBLM_R_X3Y145 TILE_Y 227896 TILEPROP CLBLM_R_X3Y145 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y146 CLASS tile TILEPROP CLBLM_R_X3Y146 COLUMN 13 TILEPROP CLBLM_R_X3Y146 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y146 FIRST_SITE_ID 306 TILEPROP CLBLM_R_X3Y146 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y146 GRID_POINT_Y 4 TILEPROP CLBLM_R_X3Y146 INDEX 473 TILEPROP CLBLM_R_X3Y146 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y146 INT_TILE_Y 3 TILEPROP CLBLM_R_X3Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y146 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y146 NAME CLBLM_R_X3Y146 TILEPROP CLBLM_R_X3Y146 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y146 NUM_SITES 2 TILEPROP CLBLM_R_X3Y146 ROW 4 TILEPROP CLBLM_R_X3Y146 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y146 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y146 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y146 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y146 TILE_X -79602 TILEPROP CLBLM_R_X3Y146 TILE_Y 231096 TILEPROP CLBLM_R_X3Y146 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y147 CLASS tile TILEPROP CLBLM_R_X3Y147 COLUMN 13 TILEPROP CLBLM_R_X3Y147 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y147 FIRST_SITE_ID 210 TILEPROP CLBLM_R_X3Y147 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y147 GRID_POINT_Y 3 TILEPROP CLBLM_R_X3Y147 INDEX 358 TILEPROP CLBLM_R_X3Y147 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y147 INT_TILE_Y 2 TILEPROP CLBLM_R_X3Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y147 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y147 NAME CLBLM_R_X3Y147 TILEPROP CLBLM_R_X3Y147 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y147 NUM_SITES 2 TILEPROP CLBLM_R_X3Y147 ROW 3 TILEPROP CLBLM_R_X3Y147 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y147 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y147 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y147 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y147 TILE_X -79602 TILEPROP CLBLM_R_X3Y147 TILE_Y 234296 TILEPROP CLBLM_R_X3Y147 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y148 CLASS tile TILEPROP CLBLM_R_X3Y148 COLUMN 13 TILEPROP CLBLM_R_X3Y148 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y148 FIRST_SITE_ID 106 TILEPROP CLBLM_R_X3Y148 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y148 GRID_POINT_Y 2 TILEPROP CLBLM_R_X3Y148 INDEX 243 TILEPROP CLBLM_R_X3Y148 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y148 INT_TILE_Y 1 TILEPROP CLBLM_R_X3Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y148 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y148 NAME CLBLM_R_X3Y148 TILEPROP CLBLM_R_X3Y148 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y148 NUM_SITES 2 TILEPROP CLBLM_R_X3Y148 ROW 2 TILEPROP CLBLM_R_X3Y148 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y148 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X3Y148 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y148 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y148 TILE_X -79602 TILEPROP CLBLM_R_X3Y148 TILE_Y 237496 TILEPROP CLBLM_R_X3Y148 TYPE CLBLM_R TILEPROP CLBLM_R_X3Y149 CLASS tile TILEPROP CLBLM_R_X3Y149 COLUMN 13 TILEPROP CLBLM_R_X3Y149 DEVICE_ID 0 TILEPROP CLBLM_R_X3Y149 FIRST_SITE_ID 10 TILEPROP CLBLM_R_X3Y149 GRID_POINT_X 13 TILEPROP CLBLM_R_X3Y149 GRID_POINT_Y 1 TILEPROP CLBLM_R_X3Y149 INDEX 128 TILEPROP CLBLM_R_X3Y149 INT_TILE_X 3 TILEPROP CLBLM_R_X3Y149 INT_TILE_Y 0 TILEPROP CLBLM_R_X3Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X3Y149 IS_DCM_TILE 0 TILEPROP CLBLM_R_X3Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X3Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X3Y149 NAME CLBLM_R_X3Y149 TILEPROP CLBLM_R_X3Y149 NUM_ARCS 151 TILEPROP CLBLM_R_X3Y149 NUM_SITES 2 TILEPROP CLBLM_R_X3Y149 ROW 1 TILEPROP CLBLM_R_X3Y149 SLR_REGION_ID 0 TILEPROP CLBLM_R_X3Y149 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X3Y149 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X3Y149 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X3Y149 TILE_X -79602 TILEPROP CLBLM_R_X3Y149 TILE_Y 240696 TILEPROP CLBLM_R_X3Y149 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y0 CLASS tile TILEPROP CLBLM_R_X41Y0 COLUMN 104 TILEPROP CLBLM_R_X41Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y0 FIRST_SITE_ID 15809 TILEPROP CLBLM_R_X41Y0 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X41Y0 INDEX 17929 TILEPROP CLBLM_R_X41Y0 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X41Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y0 NAME CLBLM_R_X41Y0 TILEPROP CLBLM_R_X41Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y0 NUM_SITES 2 TILEPROP CLBLM_R_X41Y0 ROW 155 TILEPROP CLBLM_R_X41Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X41Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y0 TILE_X 93226 TILEPROP CLBLM_R_X41Y0 TILE_Y -239672 TILEPROP CLBLM_R_X41Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y1 CLASS tile TILEPROP CLBLM_R_X41Y1 COLUMN 104 TILEPROP CLBLM_R_X41Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y1 FIRST_SITE_ID 15690 TILEPROP CLBLM_R_X41Y1 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X41Y1 INDEX 17814 TILEPROP CLBLM_R_X41Y1 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X41Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y1 NAME CLBLM_R_X41Y1 TILEPROP CLBLM_R_X41Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y1 NUM_SITES 2 TILEPROP CLBLM_R_X41Y1 ROW 154 TILEPROP CLBLM_R_X41Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y1 TILE_X 93226 TILEPROP CLBLM_R_X41Y1 TILE_Y -236472 TILEPROP CLBLM_R_X41Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y2 CLASS tile TILEPROP CLBLM_R_X41Y2 COLUMN 104 TILEPROP CLBLM_R_X41Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y2 FIRST_SITE_ID 15589 TILEPROP CLBLM_R_X41Y2 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X41Y2 INDEX 17699 TILEPROP CLBLM_R_X41Y2 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X41Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y2 NAME CLBLM_R_X41Y2 TILEPROP CLBLM_R_X41Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y2 NUM_SITES 2 TILEPROP CLBLM_R_X41Y2 ROW 153 TILEPROP CLBLM_R_X41Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y2 TILE_X 93226 TILEPROP CLBLM_R_X41Y2 TILE_Y -233272 TILEPROP CLBLM_R_X41Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y3 CLASS tile TILEPROP CLBLM_R_X41Y3 COLUMN 104 TILEPROP CLBLM_R_X41Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y3 FIRST_SITE_ID 15489 TILEPROP CLBLM_R_X41Y3 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X41Y3 INDEX 17584 TILEPROP CLBLM_R_X41Y3 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X41Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y3 NAME CLBLM_R_X41Y3 TILEPROP CLBLM_R_X41Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y3 NUM_SITES 2 TILEPROP CLBLM_R_X41Y3 ROW 152 TILEPROP CLBLM_R_X41Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y3 TILE_X 93226 TILEPROP CLBLM_R_X41Y3 TILE_Y -230072 TILEPROP CLBLM_R_X41Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y4 CLASS tile TILEPROP CLBLM_R_X41Y4 COLUMN 104 TILEPROP CLBLM_R_X41Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y4 FIRST_SITE_ID 15389 TILEPROP CLBLM_R_X41Y4 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X41Y4 INDEX 17469 TILEPROP CLBLM_R_X41Y4 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X41Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y4 NAME CLBLM_R_X41Y4 TILEPROP CLBLM_R_X41Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y4 NUM_SITES 2 TILEPROP CLBLM_R_X41Y4 ROW 151 TILEPROP CLBLM_R_X41Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y4 TILE_X 93226 TILEPROP CLBLM_R_X41Y4 TILE_Y -226872 TILEPROP CLBLM_R_X41Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y5 CLASS tile TILEPROP CLBLM_R_X41Y5 COLUMN 104 TILEPROP CLBLM_R_X41Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y5 FIRST_SITE_ID 15289 TILEPROP CLBLM_R_X41Y5 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X41Y5 INDEX 17354 TILEPROP CLBLM_R_X41Y5 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X41Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y5 NAME CLBLM_R_X41Y5 TILEPROP CLBLM_R_X41Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y5 NUM_SITES 2 TILEPROP CLBLM_R_X41Y5 ROW 150 TILEPROP CLBLM_R_X41Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y5 TILE_X 93226 TILEPROP CLBLM_R_X41Y5 TILE_Y -223672 TILEPROP CLBLM_R_X41Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y6 CLASS tile TILEPROP CLBLM_R_X41Y6 COLUMN 104 TILEPROP CLBLM_R_X41Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y6 FIRST_SITE_ID 15174 TILEPROP CLBLM_R_X41Y6 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X41Y6 INDEX 17239 TILEPROP CLBLM_R_X41Y6 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X41Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y6 NAME CLBLM_R_X41Y6 TILEPROP CLBLM_R_X41Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y6 NUM_SITES 2 TILEPROP CLBLM_R_X41Y6 ROW 149 TILEPROP CLBLM_R_X41Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y6 TILE_X 93226 TILEPROP CLBLM_R_X41Y6 TILE_Y -220472 TILEPROP CLBLM_R_X41Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y7 CLASS tile TILEPROP CLBLM_R_X41Y7 COLUMN 104 TILEPROP CLBLM_R_X41Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y7 FIRST_SITE_ID 15072 TILEPROP CLBLM_R_X41Y7 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X41Y7 INDEX 17124 TILEPROP CLBLM_R_X41Y7 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X41Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y7 NAME CLBLM_R_X41Y7 TILEPROP CLBLM_R_X41Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y7 NUM_SITES 2 TILEPROP CLBLM_R_X41Y7 ROW 148 TILEPROP CLBLM_R_X41Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y7 TILE_X 93226 TILEPROP CLBLM_R_X41Y7 TILE_Y -217272 TILEPROP CLBLM_R_X41Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y8 CLASS tile TILEPROP CLBLM_R_X41Y8 COLUMN 104 TILEPROP CLBLM_R_X41Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y8 FIRST_SITE_ID 14969 TILEPROP CLBLM_R_X41Y8 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X41Y8 INDEX 17009 TILEPROP CLBLM_R_X41Y8 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X41Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y8 NAME CLBLM_R_X41Y8 TILEPROP CLBLM_R_X41Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y8 NUM_SITES 2 TILEPROP CLBLM_R_X41Y8 ROW 147 TILEPROP CLBLM_R_X41Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y8 TILE_X 93226 TILEPROP CLBLM_R_X41Y8 TILE_Y -214072 TILEPROP CLBLM_R_X41Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y9 CLASS tile TILEPROP CLBLM_R_X41Y9 COLUMN 104 TILEPROP CLBLM_R_X41Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y9 FIRST_SITE_ID 14868 TILEPROP CLBLM_R_X41Y9 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X41Y9 INDEX 16894 TILEPROP CLBLM_R_X41Y9 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X41Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y9 NAME CLBLM_R_X41Y9 TILEPROP CLBLM_R_X41Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y9 NUM_SITES 2 TILEPROP CLBLM_R_X41Y9 ROW 146 TILEPROP CLBLM_R_X41Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y9 TILE_X 93226 TILEPROP CLBLM_R_X41Y9 TILE_Y -210872 TILEPROP CLBLM_R_X41Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y10 CLASS tile TILEPROP CLBLM_R_X41Y10 COLUMN 104 TILEPROP CLBLM_R_X41Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y10 FIRST_SITE_ID 14768 TILEPROP CLBLM_R_X41Y10 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X41Y10 INDEX 16779 TILEPROP CLBLM_R_X41Y10 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X41Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y10 NAME CLBLM_R_X41Y10 TILEPROP CLBLM_R_X41Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y10 NUM_SITES 2 TILEPROP CLBLM_R_X41Y10 ROW 145 TILEPROP CLBLM_R_X41Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y10 TILE_X 93226 TILEPROP CLBLM_R_X41Y10 TILE_Y -207672 TILEPROP CLBLM_R_X41Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y11 CLASS tile TILEPROP CLBLM_R_X41Y11 COLUMN 104 TILEPROP CLBLM_R_X41Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y11 FIRST_SITE_ID 14653 TILEPROP CLBLM_R_X41Y11 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X41Y11 INDEX 16664 TILEPROP CLBLM_R_X41Y11 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X41Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y11 NAME CLBLM_R_X41Y11 TILEPROP CLBLM_R_X41Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y11 NUM_SITES 2 TILEPROP CLBLM_R_X41Y11 ROW 144 TILEPROP CLBLM_R_X41Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y11 TILE_X 93226 TILEPROP CLBLM_R_X41Y11 TILE_Y -204472 TILEPROP CLBLM_R_X41Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y12 CLASS tile TILEPROP CLBLM_R_X41Y12 COLUMN 104 TILEPROP CLBLM_R_X41Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y12 FIRST_SITE_ID 14553 TILEPROP CLBLM_R_X41Y12 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X41Y12 INDEX 16549 TILEPROP CLBLM_R_X41Y12 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X41Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y12 NAME CLBLM_R_X41Y12 TILEPROP CLBLM_R_X41Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y12 NUM_SITES 2 TILEPROP CLBLM_R_X41Y12 ROW 143 TILEPROP CLBLM_R_X41Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y12 TILE_X 93226 TILEPROP CLBLM_R_X41Y12 TILE_Y -201272 TILEPROP CLBLM_R_X41Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y13 CLASS tile TILEPROP CLBLM_R_X41Y13 COLUMN 104 TILEPROP CLBLM_R_X41Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y13 FIRST_SITE_ID 14421 TILEPROP CLBLM_R_X41Y13 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X41Y13 INDEX 16434 TILEPROP CLBLM_R_X41Y13 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X41Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y13 NAME CLBLM_R_X41Y13 TILEPROP CLBLM_R_X41Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y13 NUM_SITES 2 TILEPROP CLBLM_R_X41Y13 ROW 142 TILEPROP CLBLM_R_X41Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y13 TILE_X 93226 TILEPROP CLBLM_R_X41Y13 TILE_Y -198072 TILEPROP CLBLM_R_X41Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y14 CLASS tile TILEPROP CLBLM_R_X41Y14 COLUMN 104 TILEPROP CLBLM_R_X41Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y14 FIRST_SITE_ID 14321 TILEPROP CLBLM_R_X41Y14 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X41Y14 INDEX 16319 TILEPROP CLBLM_R_X41Y14 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X41Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y14 NAME CLBLM_R_X41Y14 TILEPROP CLBLM_R_X41Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y14 NUM_SITES 2 TILEPROP CLBLM_R_X41Y14 ROW 141 TILEPROP CLBLM_R_X41Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y14 TILE_X 93226 TILEPROP CLBLM_R_X41Y14 TILE_Y -194872 TILEPROP CLBLM_R_X41Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y15 CLASS tile TILEPROP CLBLM_R_X41Y15 COLUMN 104 TILEPROP CLBLM_R_X41Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y15 FIRST_SITE_ID 14221 TILEPROP CLBLM_R_X41Y15 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X41Y15 INDEX 16204 TILEPROP CLBLM_R_X41Y15 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X41Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y15 NAME CLBLM_R_X41Y15 TILEPROP CLBLM_R_X41Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y15 NUM_SITES 2 TILEPROP CLBLM_R_X41Y15 ROW 140 TILEPROP CLBLM_R_X41Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y15 TILE_X 93226 TILEPROP CLBLM_R_X41Y15 TILE_Y -191672 TILEPROP CLBLM_R_X41Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y16 CLASS tile TILEPROP CLBLM_R_X41Y16 COLUMN 104 TILEPROP CLBLM_R_X41Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y16 FIRST_SITE_ID 14106 TILEPROP CLBLM_R_X41Y16 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X41Y16 INDEX 16089 TILEPROP CLBLM_R_X41Y16 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X41Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y16 NAME CLBLM_R_X41Y16 TILEPROP CLBLM_R_X41Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y16 NUM_SITES 2 TILEPROP CLBLM_R_X41Y16 ROW 139 TILEPROP CLBLM_R_X41Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y16 TILE_X 93226 TILEPROP CLBLM_R_X41Y16 TILE_Y -188472 TILEPROP CLBLM_R_X41Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y17 CLASS tile TILEPROP CLBLM_R_X41Y17 COLUMN 104 TILEPROP CLBLM_R_X41Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y17 FIRST_SITE_ID 14002 TILEPROP CLBLM_R_X41Y17 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X41Y17 INDEX 15974 TILEPROP CLBLM_R_X41Y17 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X41Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y17 NAME CLBLM_R_X41Y17 TILEPROP CLBLM_R_X41Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y17 NUM_SITES 2 TILEPROP CLBLM_R_X41Y17 ROW 138 TILEPROP CLBLM_R_X41Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y17 TILE_X 93226 TILEPROP CLBLM_R_X41Y17 TILE_Y -185272 TILEPROP CLBLM_R_X41Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y18 CLASS tile TILEPROP CLBLM_R_X41Y18 COLUMN 104 TILEPROP CLBLM_R_X41Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y18 FIRST_SITE_ID 13897 TILEPROP CLBLM_R_X41Y18 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X41Y18 INDEX 15859 TILEPROP CLBLM_R_X41Y18 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X41Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y18 NAME CLBLM_R_X41Y18 TILEPROP CLBLM_R_X41Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y18 NUM_SITES 2 TILEPROP CLBLM_R_X41Y18 ROW 137 TILEPROP CLBLM_R_X41Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y18 TILE_X 93226 TILEPROP CLBLM_R_X41Y18 TILE_Y -182072 TILEPROP CLBLM_R_X41Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y19 CLASS tile TILEPROP CLBLM_R_X41Y19 COLUMN 104 TILEPROP CLBLM_R_X41Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y19 FIRST_SITE_ID 13795 TILEPROP CLBLM_R_X41Y19 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X41Y19 INDEX 15744 TILEPROP CLBLM_R_X41Y19 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X41Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y19 NAME CLBLM_R_X41Y19 TILEPROP CLBLM_R_X41Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y19 NUM_SITES 2 TILEPROP CLBLM_R_X41Y19 ROW 136 TILEPROP CLBLM_R_X41Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y19 TILE_X 93226 TILEPROP CLBLM_R_X41Y19 TILE_Y -178872 TILEPROP CLBLM_R_X41Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y20 CLASS tile TILEPROP CLBLM_R_X41Y20 COLUMN 104 TILEPROP CLBLM_R_X41Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y20 FIRST_SITE_ID 13693 TILEPROP CLBLM_R_X41Y20 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X41Y20 INDEX 15629 TILEPROP CLBLM_R_X41Y20 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X41Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y20 NAME CLBLM_R_X41Y20 TILEPROP CLBLM_R_X41Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y20 NUM_SITES 2 TILEPROP CLBLM_R_X41Y20 ROW 135 TILEPROP CLBLM_R_X41Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y20 TILE_X 93226 TILEPROP CLBLM_R_X41Y20 TILE_Y -175672 TILEPROP CLBLM_R_X41Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y21 CLASS tile TILEPROP CLBLM_R_X41Y21 COLUMN 104 TILEPROP CLBLM_R_X41Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y21 FIRST_SITE_ID 13578 TILEPROP CLBLM_R_X41Y21 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X41Y21 INDEX 15514 TILEPROP CLBLM_R_X41Y21 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X41Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y21 NAME CLBLM_R_X41Y21 TILEPROP CLBLM_R_X41Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y21 NUM_SITES 2 TILEPROP CLBLM_R_X41Y21 ROW 134 TILEPROP CLBLM_R_X41Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y21 TILE_X 93226 TILEPROP CLBLM_R_X41Y21 TILE_Y -172472 TILEPROP CLBLM_R_X41Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y22 CLASS tile TILEPROP CLBLM_R_X41Y22 COLUMN 104 TILEPROP CLBLM_R_X41Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y22 FIRST_SITE_ID 13478 TILEPROP CLBLM_R_X41Y22 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X41Y22 INDEX 15399 TILEPROP CLBLM_R_X41Y22 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X41Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y22 NAME CLBLM_R_X41Y22 TILEPROP CLBLM_R_X41Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y22 NUM_SITES 2 TILEPROP CLBLM_R_X41Y22 ROW 133 TILEPROP CLBLM_R_X41Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y22 TILE_X 93226 TILEPROP CLBLM_R_X41Y22 TILE_Y -169272 TILEPROP CLBLM_R_X41Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y23 CLASS tile TILEPROP CLBLM_R_X41Y23 COLUMN 104 TILEPROP CLBLM_R_X41Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y23 FIRST_SITE_ID 13378 TILEPROP CLBLM_R_X41Y23 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X41Y23 INDEX 15284 TILEPROP CLBLM_R_X41Y23 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X41Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y23 NAME CLBLM_R_X41Y23 TILEPROP CLBLM_R_X41Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y23 NUM_SITES 2 TILEPROP CLBLM_R_X41Y23 ROW 132 TILEPROP CLBLM_R_X41Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y23 TILE_X 93226 TILEPROP CLBLM_R_X41Y23 TILE_Y -166072 TILEPROP CLBLM_R_X41Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y24 CLASS tile TILEPROP CLBLM_R_X41Y24 COLUMN 104 TILEPROP CLBLM_R_X41Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y24 FIRST_SITE_ID 13278 TILEPROP CLBLM_R_X41Y24 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X41Y24 INDEX 15169 TILEPROP CLBLM_R_X41Y24 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X41Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y24 NAME CLBLM_R_X41Y24 TILEPROP CLBLM_R_X41Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y24 NUM_SITES 2 TILEPROP CLBLM_R_X41Y24 ROW 131 TILEPROP CLBLM_R_X41Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X41Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y24 TILE_X 93226 TILEPROP CLBLM_R_X41Y24 TILE_Y -162872 TILEPROP CLBLM_R_X41Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y25 CLASS tile TILEPROP CLBLM_R_X41Y25 COLUMN 104 TILEPROP CLBLM_R_X41Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y25 FIRST_SITE_ID 13095 TILEPROP CLBLM_R_X41Y25 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X41Y25 INDEX 14939 TILEPROP CLBLM_R_X41Y25 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X41Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y25 NAME CLBLM_R_X41Y25 TILEPROP CLBLM_R_X41Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y25 NUM_SITES 2 TILEPROP CLBLM_R_X41Y25 ROW 129 TILEPROP CLBLM_R_X41Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X41Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y25 TILE_X 93226 TILEPROP CLBLM_R_X41Y25 TILE_Y -158648 TILEPROP CLBLM_R_X41Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y26 CLASS tile TILEPROP CLBLM_R_X41Y26 COLUMN 104 TILEPROP CLBLM_R_X41Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y26 FIRST_SITE_ID 12980 TILEPROP CLBLM_R_X41Y26 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X41Y26 INDEX 14824 TILEPROP CLBLM_R_X41Y26 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X41Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y26 NAME CLBLM_R_X41Y26 TILEPROP CLBLM_R_X41Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y26 NUM_SITES 2 TILEPROP CLBLM_R_X41Y26 ROW 128 TILEPROP CLBLM_R_X41Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y26 TILE_X 93226 TILEPROP CLBLM_R_X41Y26 TILE_Y -155448 TILEPROP CLBLM_R_X41Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y27 CLASS tile TILEPROP CLBLM_R_X41Y27 COLUMN 104 TILEPROP CLBLM_R_X41Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y27 FIRST_SITE_ID 12880 TILEPROP CLBLM_R_X41Y27 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X41Y27 INDEX 14709 TILEPROP CLBLM_R_X41Y27 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X41Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y27 NAME CLBLM_R_X41Y27 TILEPROP CLBLM_R_X41Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y27 NUM_SITES 2 TILEPROP CLBLM_R_X41Y27 ROW 127 TILEPROP CLBLM_R_X41Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y27 TILE_X 93226 TILEPROP CLBLM_R_X41Y27 TILE_Y -152248 TILEPROP CLBLM_R_X41Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y28 CLASS tile TILEPROP CLBLM_R_X41Y28 COLUMN 104 TILEPROP CLBLM_R_X41Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y28 FIRST_SITE_ID 12780 TILEPROP CLBLM_R_X41Y28 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X41Y28 INDEX 14594 TILEPROP CLBLM_R_X41Y28 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X41Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y28 NAME CLBLM_R_X41Y28 TILEPROP CLBLM_R_X41Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y28 NUM_SITES 2 TILEPROP CLBLM_R_X41Y28 ROW 126 TILEPROP CLBLM_R_X41Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y28 TILE_X 93226 TILEPROP CLBLM_R_X41Y28 TILE_Y -149048 TILEPROP CLBLM_R_X41Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y29 CLASS tile TILEPROP CLBLM_R_X41Y29 COLUMN 104 TILEPROP CLBLM_R_X41Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y29 FIRST_SITE_ID 12674 TILEPROP CLBLM_R_X41Y29 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X41Y29 INDEX 14479 TILEPROP CLBLM_R_X41Y29 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X41Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y29 NAME CLBLM_R_X41Y29 TILEPROP CLBLM_R_X41Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y29 NUM_SITES 2 TILEPROP CLBLM_R_X41Y29 ROW 125 TILEPROP CLBLM_R_X41Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y29 TILE_X 93226 TILEPROP CLBLM_R_X41Y29 TILE_Y -145848 TILEPROP CLBLM_R_X41Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y30 CLASS tile TILEPROP CLBLM_R_X41Y30 COLUMN 104 TILEPROP CLBLM_R_X41Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y30 FIRST_SITE_ID 12568 TILEPROP CLBLM_R_X41Y30 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X41Y30 INDEX 14364 TILEPROP CLBLM_R_X41Y30 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X41Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y30 NAME CLBLM_R_X41Y30 TILEPROP CLBLM_R_X41Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y30 NUM_SITES 2 TILEPROP CLBLM_R_X41Y30 ROW 124 TILEPROP CLBLM_R_X41Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y30 TILE_X 93226 TILEPROP CLBLM_R_X41Y30 TILE_Y -142648 TILEPROP CLBLM_R_X41Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y31 CLASS tile TILEPROP CLBLM_R_X41Y31 COLUMN 104 TILEPROP CLBLM_R_X41Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y31 FIRST_SITE_ID 12438 TILEPROP CLBLM_R_X41Y31 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X41Y31 INDEX 14249 TILEPROP CLBLM_R_X41Y31 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X41Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y31 NAME CLBLM_R_X41Y31 TILEPROP CLBLM_R_X41Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y31 NUM_SITES 2 TILEPROP CLBLM_R_X41Y31 ROW 123 TILEPROP CLBLM_R_X41Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y31 TILE_X 93226 TILEPROP CLBLM_R_X41Y31 TILE_Y -139448 TILEPROP CLBLM_R_X41Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y32 CLASS tile TILEPROP CLBLM_R_X41Y32 COLUMN 104 TILEPROP CLBLM_R_X41Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y32 FIRST_SITE_ID 12336 TILEPROP CLBLM_R_X41Y32 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X41Y32 INDEX 14134 TILEPROP CLBLM_R_X41Y32 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X41Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y32 NAME CLBLM_R_X41Y32 TILEPROP CLBLM_R_X41Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y32 NUM_SITES 2 TILEPROP CLBLM_R_X41Y32 ROW 122 TILEPROP CLBLM_R_X41Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y32 TILE_X 93226 TILEPROP CLBLM_R_X41Y32 TILE_Y -136248 TILEPROP CLBLM_R_X41Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y33 CLASS tile TILEPROP CLBLM_R_X41Y33 COLUMN 104 TILEPROP CLBLM_R_X41Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y33 FIRST_SITE_ID 12235 TILEPROP CLBLM_R_X41Y33 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X41Y33 INDEX 14019 TILEPROP CLBLM_R_X41Y33 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X41Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y33 NAME CLBLM_R_X41Y33 TILEPROP CLBLM_R_X41Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y33 NUM_SITES 2 TILEPROP CLBLM_R_X41Y33 ROW 121 TILEPROP CLBLM_R_X41Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y33 TILE_X 93226 TILEPROP CLBLM_R_X41Y33 TILE_Y -133048 TILEPROP CLBLM_R_X41Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y34 CLASS tile TILEPROP CLBLM_R_X41Y34 COLUMN 104 TILEPROP CLBLM_R_X41Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y34 FIRST_SITE_ID 12135 TILEPROP CLBLM_R_X41Y34 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X41Y34 INDEX 13904 TILEPROP CLBLM_R_X41Y34 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X41Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y34 NAME CLBLM_R_X41Y34 TILEPROP CLBLM_R_X41Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y34 NUM_SITES 2 TILEPROP CLBLM_R_X41Y34 ROW 120 TILEPROP CLBLM_R_X41Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y34 TILE_X 93226 TILEPROP CLBLM_R_X41Y34 TILE_Y -129848 TILEPROP CLBLM_R_X41Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y35 CLASS tile TILEPROP CLBLM_R_X41Y35 COLUMN 104 TILEPROP CLBLM_R_X41Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y35 FIRST_SITE_ID 12035 TILEPROP CLBLM_R_X41Y35 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X41Y35 INDEX 13789 TILEPROP CLBLM_R_X41Y35 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X41Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y35 NAME CLBLM_R_X41Y35 TILEPROP CLBLM_R_X41Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y35 NUM_SITES 2 TILEPROP CLBLM_R_X41Y35 ROW 119 TILEPROP CLBLM_R_X41Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y35 TILE_X 93226 TILEPROP CLBLM_R_X41Y35 TILE_Y -126648 TILEPROP CLBLM_R_X41Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y36 CLASS tile TILEPROP CLBLM_R_X41Y36 COLUMN 104 TILEPROP CLBLM_R_X41Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y36 FIRST_SITE_ID 11920 TILEPROP CLBLM_R_X41Y36 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X41Y36 INDEX 13674 TILEPROP CLBLM_R_X41Y36 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X41Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y36 NAME CLBLM_R_X41Y36 TILEPROP CLBLM_R_X41Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y36 NUM_SITES 2 TILEPROP CLBLM_R_X41Y36 ROW 118 TILEPROP CLBLM_R_X41Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y36 TILE_X 93226 TILEPROP CLBLM_R_X41Y36 TILE_Y -123448 TILEPROP CLBLM_R_X41Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y37 CLASS tile TILEPROP CLBLM_R_X41Y37 COLUMN 104 TILEPROP CLBLM_R_X41Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y37 FIRST_SITE_ID 11788 TILEPROP CLBLM_R_X41Y37 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X41Y37 INDEX 13559 TILEPROP CLBLM_R_X41Y37 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X41Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y37 NAME CLBLM_R_X41Y37 TILEPROP CLBLM_R_X41Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y37 NUM_SITES 2 TILEPROP CLBLM_R_X41Y37 ROW 117 TILEPROP CLBLM_R_X41Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y37 TILE_X 93226 TILEPROP CLBLM_R_X41Y37 TILE_Y -120248 TILEPROP CLBLM_R_X41Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y38 CLASS tile TILEPROP CLBLM_R_X41Y38 COLUMN 104 TILEPROP CLBLM_R_X41Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y38 FIRST_SITE_ID 11688 TILEPROP CLBLM_R_X41Y38 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X41Y38 INDEX 13444 TILEPROP CLBLM_R_X41Y38 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X41Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y38 NAME CLBLM_R_X41Y38 TILEPROP CLBLM_R_X41Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y38 NUM_SITES 2 TILEPROP CLBLM_R_X41Y38 ROW 116 TILEPROP CLBLM_R_X41Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y38 TILE_X 93226 TILEPROP CLBLM_R_X41Y38 TILE_Y -117048 TILEPROP CLBLM_R_X41Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y39 CLASS tile TILEPROP CLBLM_R_X41Y39 COLUMN 104 TILEPROP CLBLM_R_X41Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y39 FIRST_SITE_ID 11588 TILEPROP CLBLM_R_X41Y39 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X41Y39 INDEX 13329 TILEPROP CLBLM_R_X41Y39 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X41Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y39 NAME CLBLM_R_X41Y39 TILEPROP CLBLM_R_X41Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y39 NUM_SITES 2 TILEPROP CLBLM_R_X41Y39 ROW 115 TILEPROP CLBLM_R_X41Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y39 TILE_X 93226 TILEPROP CLBLM_R_X41Y39 TILE_Y -113848 TILEPROP CLBLM_R_X41Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y40 CLASS tile TILEPROP CLBLM_R_X41Y40 COLUMN 104 TILEPROP CLBLM_R_X41Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y40 FIRST_SITE_ID 11488 TILEPROP CLBLM_R_X41Y40 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X41Y40 INDEX 13214 TILEPROP CLBLM_R_X41Y40 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X41Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y40 NAME CLBLM_R_X41Y40 TILEPROP CLBLM_R_X41Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y40 NUM_SITES 2 TILEPROP CLBLM_R_X41Y40 ROW 114 TILEPROP CLBLM_R_X41Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y40 TILE_X 93226 TILEPROP CLBLM_R_X41Y40 TILE_Y -110648 TILEPROP CLBLM_R_X41Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y41 CLASS tile TILEPROP CLBLM_R_X41Y41 COLUMN 104 TILEPROP CLBLM_R_X41Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y41 FIRST_SITE_ID 11371 TILEPROP CLBLM_R_X41Y41 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X41Y41 INDEX 13099 TILEPROP CLBLM_R_X41Y41 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X41Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y41 NAME CLBLM_R_X41Y41 TILEPROP CLBLM_R_X41Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y41 NUM_SITES 2 TILEPROP CLBLM_R_X41Y41 ROW 113 TILEPROP CLBLM_R_X41Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y41 TILE_X 93226 TILEPROP CLBLM_R_X41Y41 TILE_Y -107448 TILEPROP CLBLM_R_X41Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y42 CLASS tile TILEPROP CLBLM_R_X41Y42 COLUMN 104 TILEPROP CLBLM_R_X41Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y42 FIRST_SITE_ID 11269 TILEPROP CLBLM_R_X41Y42 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X41Y42 INDEX 12984 TILEPROP CLBLM_R_X41Y42 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X41Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y42 NAME CLBLM_R_X41Y42 TILEPROP CLBLM_R_X41Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y42 NUM_SITES 2 TILEPROP CLBLM_R_X41Y42 ROW 112 TILEPROP CLBLM_R_X41Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y42 TILE_X 93226 TILEPROP CLBLM_R_X41Y42 TILE_Y -104248 TILEPROP CLBLM_R_X41Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y43 CLASS tile TILEPROP CLBLM_R_X41Y43 COLUMN 104 TILEPROP CLBLM_R_X41Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y43 FIRST_SITE_ID 11166 TILEPROP CLBLM_R_X41Y43 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X41Y43 INDEX 12869 TILEPROP CLBLM_R_X41Y43 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X41Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y43 NAME CLBLM_R_X41Y43 TILEPROP CLBLM_R_X41Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y43 NUM_SITES 2 TILEPROP CLBLM_R_X41Y43 ROW 111 TILEPROP CLBLM_R_X41Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y43 TILE_X 93226 TILEPROP CLBLM_R_X41Y43 TILE_Y -101048 TILEPROP CLBLM_R_X41Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y44 CLASS tile TILEPROP CLBLM_R_X41Y44 COLUMN 104 TILEPROP CLBLM_R_X41Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y44 FIRST_SITE_ID 11064 TILEPROP CLBLM_R_X41Y44 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X41Y44 INDEX 12754 TILEPROP CLBLM_R_X41Y44 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X41Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y44 NAME CLBLM_R_X41Y44 TILEPROP CLBLM_R_X41Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y44 NUM_SITES 2 TILEPROP CLBLM_R_X41Y44 ROW 110 TILEPROP CLBLM_R_X41Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y44 TILE_X 93226 TILEPROP CLBLM_R_X41Y44 TILE_Y -97848 TILEPROP CLBLM_R_X41Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y45 CLASS tile TILEPROP CLBLM_R_X41Y45 COLUMN 104 TILEPROP CLBLM_R_X41Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y45 FIRST_SITE_ID 10964 TILEPROP CLBLM_R_X41Y45 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X41Y45 INDEX 12639 TILEPROP CLBLM_R_X41Y45 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X41Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y45 NAME CLBLM_R_X41Y45 TILEPROP CLBLM_R_X41Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y45 NUM_SITES 2 TILEPROP CLBLM_R_X41Y45 ROW 109 TILEPROP CLBLM_R_X41Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y45 TILE_X 93226 TILEPROP CLBLM_R_X41Y45 TILE_Y -94648 TILEPROP CLBLM_R_X41Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y46 CLASS tile TILEPROP CLBLM_R_X41Y46 COLUMN 104 TILEPROP CLBLM_R_X41Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y46 FIRST_SITE_ID 10848 TILEPROP CLBLM_R_X41Y46 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X41Y46 INDEX 12524 TILEPROP CLBLM_R_X41Y46 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X41Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y46 NAME CLBLM_R_X41Y46 TILEPROP CLBLM_R_X41Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y46 NUM_SITES 2 TILEPROP CLBLM_R_X41Y46 ROW 108 TILEPROP CLBLM_R_X41Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y46 TILE_X 93226 TILEPROP CLBLM_R_X41Y46 TILE_Y -91448 TILEPROP CLBLM_R_X41Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y47 CLASS tile TILEPROP CLBLM_R_X41Y47 COLUMN 104 TILEPROP CLBLM_R_X41Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y47 FIRST_SITE_ID 10732 TILEPROP CLBLM_R_X41Y47 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X41Y47 INDEX 12409 TILEPROP CLBLM_R_X41Y47 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X41Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y47 NAME CLBLM_R_X41Y47 TILEPROP CLBLM_R_X41Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y47 NUM_SITES 2 TILEPROP CLBLM_R_X41Y47 ROW 107 TILEPROP CLBLM_R_X41Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y47 TILE_X 93226 TILEPROP CLBLM_R_X41Y47 TILE_Y -88248 TILEPROP CLBLM_R_X41Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y48 CLASS tile TILEPROP CLBLM_R_X41Y48 COLUMN 104 TILEPROP CLBLM_R_X41Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y48 FIRST_SITE_ID 10632 TILEPROP CLBLM_R_X41Y48 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X41Y48 INDEX 12294 TILEPROP CLBLM_R_X41Y48 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X41Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y48 NAME CLBLM_R_X41Y48 TILEPROP CLBLM_R_X41Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y48 NUM_SITES 2 TILEPROP CLBLM_R_X41Y48 ROW 106 TILEPROP CLBLM_R_X41Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y48 TILE_X 93226 TILEPROP CLBLM_R_X41Y48 TILE_Y -85048 TILEPROP CLBLM_R_X41Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y49 CLASS tile TILEPROP CLBLM_R_X41Y49 COLUMN 104 TILEPROP CLBLM_R_X41Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y49 FIRST_SITE_ID 10536 TILEPROP CLBLM_R_X41Y49 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X41Y49 INDEX 12179 TILEPROP CLBLM_R_X41Y49 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X41Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y49 NAME CLBLM_R_X41Y49 TILEPROP CLBLM_R_X41Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y49 NUM_SITES 2 TILEPROP CLBLM_R_X41Y49 ROW 105 TILEPROP CLBLM_R_X41Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X41Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y49 TILE_X 93226 TILEPROP CLBLM_R_X41Y49 TILE_Y -81848 TILEPROP CLBLM_R_X41Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y50 CLASS tile TILEPROP CLBLM_R_X41Y50 COLUMN 104 TILEPROP CLBLM_R_X41Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y50 FIRST_SITE_ID 10436 TILEPROP CLBLM_R_X41Y50 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X41Y50 INDEX 11949 TILEPROP CLBLM_R_X41Y50 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X41Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y50 NAME CLBLM_R_X41Y50 TILEPROP CLBLM_R_X41Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y50 NUM_SITES 2 TILEPROP CLBLM_R_X41Y50 ROW 103 TILEPROP CLBLM_R_X41Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X41Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y50 TILE_X 93226 TILEPROP CLBLM_R_X41Y50 TILE_Y -78400 TILEPROP CLBLM_R_X41Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y51 CLASS tile TILEPROP CLBLM_R_X41Y51 COLUMN 104 TILEPROP CLBLM_R_X41Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y51 FIRST_SITE_ID 10301 TILEPROP CLBLM_R_X41Y51 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X41Y51 INDEX 11834 TILEPROP CLBLM_R_X41Y51 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X41Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y51 NAME CLBLM_R_X41Y51 TILEPROP CLBLM_R_X41Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y51 NUM_SITES 2 TILEPROP CLBLM_R_X41Y51 ROW 102 TILEPROP CLBLM_R_X41Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y51 TILE_X 93226 TILEPROP CLBLM_R_X41Y51 TILE_Y -75200 TILEPROP CLBLM_R_X41Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y52 CLASS tile TILEPROP CLBLM_R_X41Y52 COLUMN 104 TILEPROP CLBLM_R_X41Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y52 FIRST_SITE_ID 10201 TILEPROP CLBLM_R_X41Y52 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X41Y52 INDEX 11719 TILEPROP CLBLM_R_X41Y52 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X41Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y52 NAME CLBLM_R_X41Y52 TILEPROP CLBLM_R_X41Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y52 NUM_SITES 2 TILEPROP CLBLM_R_X41Y52 ROW 101 TILEPROP CLBLM_R_X41Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y52 TILE_X 93226 TILEPROP CLBLM_R_X41Y52 TILE_Y -72000 TILEPROP CLBLM_R_X41Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y53 CLASS tile TILEPROP CLBLM_R_X41Y53 COLUMN 104 TILEPROP CLBLM_R_X41Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y53 FIRST_SITE_ID 10101 TILEPROP CLBLM_R_X41Y53 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X41Y53 INDEX 11604 TILEPROP CLBLM_R_X41Y53 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X41Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y53 NAME CLBLM_R_X41Y53 TILEPROP CLBLM_R_X41Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y53 NUM_SITES 2 TILEPROP CLBLM_R_X41Y53 ROW 100 TILEPROP CLBLM_R_X41Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y53 TILE_X 93226 TILEPROP CLBLM_R_X41Y53 TILE_Y -68800 TILEPROP CLBLM_R_X41Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y54 CLASS tile TILEPROP CLBLM_R_X41Y54 COLUMN 104 TILEPROP CLBLM_R_X41Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y54 FIRST_SITE_ID 10001 TILEPROP CLBLM_R_X41Y54 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X41Y54 INDEX 11489 TILEPROP CLBLM_R_X41Y54 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X41Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y54 NAME CLBLM_R_X41Y54 TILEPROP CLBLM_R_X41Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y54 NUM_SITES 2 TILEPROP CLBLM_R_X41Y54 ROW 99 TILEPROP CLBLM_R_X41Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y54 TILE_X 93226 TILEPROP CLBLM_R_X41Y54 TILE_Y -65600 TILEPROP CLBLM_R_X41Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y55 CLASS tile TILEPROP CLBLM_R_X41Y55 COLUMN 104 TILEPROP CLBLM_R_X41Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y55 FIRST_SITE_ID 9901 TILEPROP CLBLM_R_X41Y55 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X41Y55 INDEX 11374 TILEPROP CLBLM_R_X41Y55 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X41Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y55 NAME CLBLM_R_X41Y55 TILEPROP CLBLM_R_X41Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y55 NUM_SITES 2 TILEPROP CLBLM_R_X41Y55 ROW 98 TILEPROP CLBLM_R_X41Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y55 TILE_X 93226 TILEPROP CLBLM_R_X41Y55 TILE_Y -62400 TILEPROP CLBLM_R_X41Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y56 CLASS tile TILEPROP CLBLM_R_X41Y56 COLUMN 104 TILEPROP CLBLM_R_X41Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y56 FIRST_SITE_ID 9786 TILEPROP CLBLM_R_X41Y56 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X41Y56 INDEX 11259 TILEPROP CLBLM_R_X41Y56 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X41Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y56 NAME CLBLM_R_X41Y56 TILEPROP CLBLM_R_X41Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y56 NUM_SITES 2 TILEPROP CLBLM_R_X41Y56 ROW 97 TILEPROP CLBLM_R_X41Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y56 TILE_X 93226 TILEPROP CLBLM_R_X41Y56 TILE_Y -59200 TILEPROP CLBLM_R_X41Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y57 CLASS tile TILEPROP CLBLM_R_X41Y57 COLUMN 104 TILEPROP CLBLM_R_X41Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y57 FIRST_SITE_ID 9684 TILEPROP CLBLM_R_X41Y57 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X41Y57 INDEX 11144 TILEPROP CLBLM_R_X41Y57 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X41Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y57 NAME CLBLM_R_X41Y57 TILEPROP CLBLM_R_X41Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y57 NUM_SITES 2 TILEPROP CLBLM_R_X41Y57 ROW 96 TILEPROP CLBLM_R_X41Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y57 TILE_X 93226 TILEPROP CLBLM_R_X41Y57 TILE_Y -56000 TILEPROP CLBLM_R_X41Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y58 CLASS tile TILEPROP CLBLM_R_X41Y58 COLUMN 104 TILEPROP CLBLM_R_X41Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y58 FIRST_SITE_ID 9581 TILEPROP CLBLM_R_X41Y58 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X41Y58 INDEX 11029 TILEPROP CLBLM_R_X41Y58 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X41Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y58 NAME CLBLM_R_X41Y58 TILEPROP CLBLM_R_X41Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y58 NUM_SITES 2 TILEPROP CLBLM_R_X41Y58 ROW 95 TILEPROP CLBLM_R_X41Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y58 TILE_X 93226 TILEPROP CLBLM_R_X41Y58 TILE_Y -52800 TILEPROP CLBLM_R_X41Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y59 CLASS tile TILEPROP CLBLM_R_X41Y59 COLUMN 104 TILEPROP CLBLM_R_X41Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y59 FIRST_SITE_ID 9480 TILEPROP CLBLM_R_X41Y59 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X41Y59 INDEX 10914 TILEPROP CLBLM_R_X41Y59 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X41Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y59 NAME CLBLM_R_X41Y59 TILEPROP CLBLM_R_X41Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y59 NUM_SITES 2 TILEPROP CLBLM_R_X41Y59 ROW 94 TILEPROP CLBLM_R_X41Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y59 TILE_X 93226 TILEPROP CLBLM_R_X41Y59 TILE_Y -49600 TILEPROP CLBLM_R_X41Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y60 CLASS tile TILEPROP CLBLM_R_X41Y60 COLUMN 104 TILEPROP CLBLM_R_X41Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y60 FIRST_SITE_ID 9380 TILEPROP CLBLM_R_X41Y60 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X41Y60 INDEX 10799 TILEPROP CLBLM_R_X41Y60 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X41Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y60 NAME CLBLM_R_X41Y60 TILEPROP CLBLM_R_X41Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y60 NUM_SITES 2 TILEPROP CLBLM_R_X41Y60 ROW 93 TILEPROP CLBLM_R_X41Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y60 TILE_X 93226 TILEPROP CLBLM_R_X41Y60 TILE_Y -46400 TILEPROP CLBLM_R_X41Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y61 CLASS tile TILEPROP CLBLM_R_X41Y61 COLUMN 104 TILEPROP CLBLM_R_X41Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y61 FIRST_SITE_ID 9265 TILEPROP CLBLM_R_X41Y61 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X41Y61 INDEX 10684 TILEPROP CLBLM_R_X41Y61 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X41Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y61 NAME CLBLM_R_X41Y61 TILEPROP CLBLM_R_X41Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y61 NUM_SITES 2 TILEPROP CLBLM_R_X41Y61 ROW 92 TILEPROP CLBLM_R_X41Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y61 TILE_X 93226 TILEPROP CLBLM_R_X41Y61 TILE_Y -43200 TILEPROP CLBLM_R_X41Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y62 CLASS tile TILEPROP CLBLM_R_X41Y62 COLUMN 104 TILEPROP CLBLM_R_X41Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y62 FIRST_SITE_ID 9165 TILEPROP CLBLM_R_X41Y62 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X41Y62 INDEX 10569 TILEPROP CLBLM_R_X41Y62 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X41Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y62 NAME CLBLM_R_X41Y62 TILEPROP CLBLM_R_X41Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y62 NUM_SITES 2 TILEPROP CLBLM_R_X41Y62 ROW 91 TILEPROP CLBLM_R_X41Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y62 TILE_X 93226 TILEPROP CLBLM_R_X41Y62 TILE_Y -40000 TILEPROP CLBLM_R_X41Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y63 CLASS tile TILEPROP CLBLM_R_X41Y63 COLUMN 104 TILEPROP CLBLM_R_X41Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y63 FIRST_SITE_ID 9033 TILEPROP CLBLM_R_X41Y63 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X41Y63 INDEX 10454 TILEPROP CLBLM_R_X41Y63 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X41Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y63 NAME CLBLM_R_X41Y63 TILEPROP CLBLM_R_X41Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y63 NUM_SITES 2 TILEPROP CLBLM_R_X41Y63 ROW 90 TILEPROP CLBLM_R_X41Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y63 TILE_X 93226 TILEPROP CLBLM_R_X41Y63 TILE_Y -36800 TILEPROP CLBLM_R_X41Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y64 CLASS tile TILEPROP CLBLM_R_X41Y64 COLUMN 104 TILEPROP CLBLM_R_X41Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y64 FIRST_SITE_ID 8933 TILEPROP CLBLM_R_X41Y64 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X41Y64 INDEX 10339 TILEPROP CLBLM_R_X41Y64 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X41Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y64 NAME CLBLM_R_X41Y64 TILEPROP CLBLM_R_X41Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y64 NUM_SITES 2 TILEPROP CLBLM_R_X41Y64 ROW 89 TILEPROP CLBLM_R_X41Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y64 TILE_X 93226 TILEPROP CLBLM_R_X41Y64 TILE_Y -33600 TILEPROP CLBLM_R_X41Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y65 CLASS tile TILEPROP CLBLM_R_X41Y65 COLUMN 104 TILEPROP CLBLM_R_X41Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y65 FIRST_SITE_ID 8833 TILEPROP CLBLM_R_X41Y65 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X41Y65 INDEX 10224 TILEPROP CLBLM_R_X41Y65 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X41Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y65 NAME CLBLM_R_X41Y65 TILEPROP CLBLM_R_X41Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y65 NUM_SITES 2 TILEPROP CLBLM_R_X41Y65 ROW 88 TILEPROP CLBLM_R_X41Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y65 TILE_X 93226 TILEPROP CLBLM_R_X41Y65 TILE_Y -30400 TILEPROP CLBLM_R_X41Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y66 CLASS tile TILEPROP CLBLM_R_X41Y66 COLUMN 104 TILEPROP CLBLM_R_X41Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y66 FIRST_SITE_ID 8718 TILEPROP CLBLM_R_X41Y66 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X41Y66 INDEX 10109 TILEPROP CLBLM_R_X41Y66 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X41Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y66 NAME CLBLM_R_X41Y66 TILEPROP CLBLM_R_X41Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y66 NUM_SITES 2 TILEPROP CLBLM_R_X41Y66 ROW 87 TILEPROP CLBLM_R_X41Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y66 TILE_X 93226 TILEPROP CLBLM_R_X41Y66 TILE_Y -27200 TILEPROP CLBLM_R_X41Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y67 CLASS tile TILEPROP CLBLM_R_X41Y67 COLUMN 104 TILEPROP CLBLM_R_X41Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y67 FIRST_SITE_ID 8614 TILEPROP CLBLM_R_X41Y67 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X41Y67 INDEX 9994 TILEPROP CLBLM_R_X41Y67 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X41Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y67 NAME CLBLM_R_X41Y67 TILEPROP CLBLM_R_X41Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y67 NUM_SITES 2 TILEPROP CLBLM_R_X41Y67 ROW 86 TILEPROP CLBLM_R_X41Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y67 TILE_X 93226 TILEPROP CLBLM_R_X41Y67 TILE_Y -24000 TILEPROP CLBLM_R_X41Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y68 CLASS tile TILEPROP CLBLM_R_X41Y68 COLUMN 104 TILEPROP CLBLM_R_X41Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y68 FIRST_SITE_ID 8510 TILEPROP CLBLM_R_X41Y68 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X41Y68 INDEX 9879 TILEPROP CLBLM_R_X41Y68 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X41Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y68 NAME CLBLM_R_X41Y68 TILEPROP CLBLM_R_X41Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y68 NUM_SITES 2 TILEPROP CLBLM_R_X41Y68 ROW 85 TILEPROP CLBLM_R_X41Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y68 TILE_X 93226 TILEPROP CLBLM_R_X41Y68 TILE_Y -20800 TILEPROP CLBLM_R_X41Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y69 CLASS tile TILEPROP CLBLM_R_X41Y69 COLUMN 104 TILEPROP CLBLM_R_X41Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y69 FIRST_SITE_ID 8408 TILEPROP CLBLM_R_X41Y69 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X41Y69 INDEX 9764 TILEPROP CLBLM_R_X41Y69 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X41Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y69 NAME CLBLM_R_X41Y69 TILEPROP CLBLM_R_X41Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y69 NUM_SITES 2 TILEPROP CLBLM_R_X41Y69 ROW 84 TILEPROP CLBLM_R_X41Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y69 TILE_X 93226 TILEPROP CLBLM_R_X41Y69 TILE_Y -17600 TILEPROP CLBLM_R_X41Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y70 CLASS tile TILEPROP CLBLM_R_X41Y70 COLUMN 104 TILEPROP CLBLM_R_X41Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y70 FIRST_SITE_ID 8306 TILEPROP CLBLM_R_X41Y70 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X41Y70 INDEX 9649 TILEPROP CLBLM_R_X41Y70 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X41Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y70 NAME CLBLM_R_X41Y70 TILEPROP CLBLM_R_X41Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y70 NUM_SITES 2 TILEPROP CLBLM_R_X41Y70 ROW 83 TILEPROP CLBLM_R_X41Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y70 TILE_X 93226 TILEPROP CLBLM_R_X41Y70 TILE_Y -14400 TILEPROP CLBLM_R_X41Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y71 CLASS tile TILEPROP CLBLM_R_X41Y71 COLUMN 104 TILEPROP CLBLM_R_X41Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y71 FIRST_SITE_ID 8191 TILEPROP CLBLM_R_X41Y71 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X41Y71 INDEX 9534 TILEPROP CLBLM_R_X41Y71 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X41Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y71 NAME CLBLM_R_X41Y71 TILEPROP CLBLM_R_X41Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y71 NUM_SITES 2 TILEPROP CLBLM_R_X41Y71 ROW 82 TILEPROP CLBLM_R_X41Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y71 TILE_X 93226 TILEPROP CLBLM_R_X41Y71 TILE_Y -11200 TILEPROP CLBLM_R_X41Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y72 CLASS tile TILEPROP CLBLM_R_X41Y72 COLUMN 104 TILEPROP CLBLM_R_X41Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y72 FIRST_SITE_ID 8091 TILEPROP CLBLM_R_X41Y72 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X41Y72 INDEX 9419 TILEPROP CLBLM_R_X41Y72 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X41Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y72 NAME CLBLM_R_X41Y72 TILEPROP CLBLM_R_X41Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y72 NUM_SITES 2 TILEPROP CLBLM_R_X41Y72 ROW 81 TILEPROP CLBLM_R_X41Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y72 TILE_X 93226 TILEPROP CLBLM_R_X41Y72 TILE_Y -8000 TILEPROP CLBLM_R_X41Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y73 CLASS tile TILEPROP CLBLM_R_X41Y73 COLUMN 104 TILEPROP CLBLM_R_X41Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y73 FIRST_SITE_ID 7991 TILEPROP CLBLM_R_X41Y73 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X41Y73 INDEX 9304 TILEPROP CLBLM_R_X41Y73 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X41Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y73 NAME CLBLM_R_X41Y73 TILEPROP CLBLM_R_X41Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y73 NUM_SITES 2 TILEPROP CLBLM_R_X41Y73 ROW 80 TILEPROP CLBLM_R_X41Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y73 TILE_X 93226 TILEPROP CLBLM_R_X41Y73 TILE_Y -4800 TILEPROP CLBLM_R_X41Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y74 CLASS tile TILEPROP CLBLM_R_X41Y74 COLUMN 104 TILEPROP CLBLM_R_X41Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y74 FIRST_SITE_ID 7891 TILEPROP CLBLM_R_X41Y74 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X41Y74 INDEX 9189 TILEPROP CLBLM_R_X41Y74 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X41Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y74 NAME CLBLM_R_X41Y74 TILEPROP CLBLM_R_X41Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y74 NUM_SITES 2 TILEPROP CLBLM_R_X41Y74 ROW 79 TILEPROP CLBLM_R_X41Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X41Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y74 TILE_X 93226 TILEPROP CLBLM_R_X41Y74 TILE_Y -1600 TILEPROP CLBLM_R_X41Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y75 CLASS tile TILEPROP CLBLM_R_X41Y75 COLUMN 104 TILEPROP CLBLM_R_X41Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y75 FIRST_SITE_ID 7708 TILEPROP CLBLM_R_X41Y75 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X41Y75 INDEX 8959 TILEPROP CLBLM_R_X41Y75 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X41Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y75 NAME CLBLM_R_X41Y75 TILEPROP CLBLM_R_X41Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y75 NUM_SITES 2 TILEPROP CLBLM_R_X41Y75 ROW 77 TILEPROP CLBLM_R_X41Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X41Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y75 TILE_X 93226 TILEPROP CLBLM_R_X41Y75 TILE_Y 2624 TILEPROP CLBLM_R_X41Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y76 CLASS tile TILEPROP CLBLM_R_X41Y76 COLUMN 104 TILEPROP CLBLM_R_X41Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y76 FIRST_SITE_ID 7590 TILEPROP CLBLM_R_X41Y76 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X41Y76 INDEX 8844 TILEPROP CLBLM_R_X41Y76 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X41Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y76 NAME CLBLM_R_X41Y76 TILEPROP CLBLM_R_X41Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y76 NUM_SITES 2 TILEPROP CLBLM_R_X41Y76 ROW 76 TILEPROP CLBLM_R_X41Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y76 TILE_X 93226 TILEPROP CLBLM_R_X41Y76 TILE_Y 5824 TILEPROP CLBLM_R_X41Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y77 CLASS tile TILEPROP CLBLM_R_X41Y77 COLUMN 104 TILEPROP CLBLM_R_X41Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y77 FIRST_SITE_ID 7490 TILEPROP CLBLM_R_X41Y77 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X41Y77 INDEX 8729 TILEPROP CLBLM_R_X41Y77 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X41Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y77 NAME CLBLM_R_X41Y77 TILEPROP CLBLM_R_X41Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y77 NUM_SITES 2 TILEPROP CLBLM_R_X41Y77 ROW 75 TILEPROP CLBLM_R_X41Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y77 TILE_X 93226 TILEPROP CLBLM_R_X41Y77 TILE_Y 9024 TILEPROP CLBLM_R_X41Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y78 CLASS tile TILEPROP CLBLM_R_X41Y78 COLUMN 104 TILEPROP CLBLM_R_X41Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y78 FIRST_SITE_ID 7390 TILEPROP CLBLM_R_X41Y78 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X41Y78 INDEX 8614 TILEPROP CLBLM_R_X41Y78 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X41Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y78 NAME CLBLM_R_X41Y78 TILEPROP CLBLM_R_X41Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y78 NUM_SITES 2 TILEPROP CLBLM_R_X41Y78 ROW 74 TILEPROP CLBLM_R_X41Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y78 TILE_X 93226 TILEPROP CLBLM_R_X41Y78 TILE_Y 12224 TILEPROP CLBLM_R_X41Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y79 CLASS tile TILEPROP CLBLM_R_X41Y79 COLUMN 104 TILEPROP CLBLM_R_X41Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y79 FIRST_SITE_ID 7284 TILEPROP CLBLM_R_X41Y79 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X41Y79 INDEX 8499 TILEPROP CLBLM_R_X41Y79 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X41Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y79 NAME CLBLM_R_X41Y79 TILEPROP CLBLM_R_X41Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y79 NUM_SITES 2 TILEPROP CLBLM_R_X41Y79 ROW 73 TILEPROP CLBLM_R_X41Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y79 TILE_X 93226 TILEPROP CLBLM_R_X41Y79 TILE_Y 15424 TILEPROP CLBLM_R_X41Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y80 CLASS tile TILEPROP CLBLM_R_X41Y80 COLUMN 104 TILEPROP CLBLM_R_X41Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y80 FIRST_SITE_ID 7178 TILEPROP CLBLM_R_X41Y80 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X41Y80 INDEX 8384 TILEPROP CLBLM_R_X41Y80 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X41Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y80 NAME CLBLM_R_X41Y80 TILEPROP CLBLM_R_X41Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y80 NUM_SITES 2 TILEPROP CLBLM_R_X41Y80 ROW 72 TILEPROP CLBLM_R_X41Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y80 TILE_X 93226 TILEPROP CLBLM_R_X41Y80 TILE_Y 18624 TILEPROP CLBLM_R_X41Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y81 CLASS tile TILEPROP CLBLM_R_X41Y81 COLUMN 104 TILEPROP CLBLM_R_X41Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y81 FIRST_SITE_ID 7061 TILEPROP CLBLM_R_X41Y81 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X41Y81 INDEX 8269 TILEPROP CLBLM_R_X41Y81 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X41Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y81 NAME CLBLM_R_X41Y81 TILEPROP CLBLM_R_X41Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y81 NUM_SITES 2 TILEPROP CLBLM_R_X41Y81 ROW 71 TILEPROP CLBLM_R_X41Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y81 TILE_X 93226 TILEPROP CLBLM_R_X41Y81 TILE_Y 21824 TILEPROP CLBLM_R_X41Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y82 CLASS tile TILEPROP CLBLM_R_X41Y82 COLUMN 104 TILEPROP CLBLM_R_X41Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y82 FIRST_SITE_ID 6959 TILEPROP CLBLM_R_X41Y82 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X41Y82 INDEX 8154 TILEPROP CLBLM_R_X41Y82 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X41Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y82 NAME CLBLM_R_X41Y82 TILEPROP CLBLM_R_X41Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y82 NUM_SITES 2 TILEPROP CLBLM_R_X41Y82 ROW 70 TILEPROP CLBLM_R_X41Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y82 TILE_X 93226 TILEPROP CLBLM_R_X41Y82 TILE_Y 25024 TILEPROP CLBLM_R_X41Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y83 CLASS tile TILEPROP CLBLM_R_X41Y83 COLUMN 104 TILEPROP CLBLM_R_X41Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y83 FIRST_SITE_ID 6859 TILEPROP CLBLM_R_X41Y83 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X41Y83 INDEX 8039 TILEPROP CLBLM_R_X41Y83 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X41Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y83 NAME CLBLM_R_X41Y83 TILEPROP CLBLM_R_X41Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y83 NUM_SITES 2 TILEPROP CLBLM_R_X41Y83 ROW 69 TILEPROP CLBLM_R_X41Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y83 TILE_X 93226 TILEPROP CLBLM_R_X41Y83 TILE_Y 28224 TILEPROP CLBLM_R_X41Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y84 CLASS tile TILEPROP CLBLM_R_X41Y84 COLUMN 104 TILEPROP CLBLM_R_X41Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y84 FIRST_SITE_ID 6759 TILEPROP CLBLM_R_X41Y84 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X41Y84 INDEX 7924 TILEPROP CLBLM_R_X41Y84 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X41Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y84 NAME CLBLM_R_X41Y84 TILEPROP CLBLM_R_X41Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y84 NUM_SITES 2 TILEPROP CLBLM_R_X41Y84 ROW 68 TILEPROP CLBLM_R_X41Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y84 TILE_X 93226 TILEPROP CLBLM_R_X41Y84 TILE_Y 31424 TILEPROP CLBLM_R_X41Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y85 CLASS tile TILEPROP CLBLM_R_X41Y85 COLUMN 104 TILEPROP CLBLM_R_X41Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y85 FIRST_SITE_ID 6659 TILEPROP CLBLM_R_X41Y85 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X41Y85 INDEX 7809 TILEPROP CLBLM_R_X41Y85 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X41Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y85 NAME CLBLM_R_X41Y85 TILEPROP CLBLM_R_X41Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y85 NUM_SITES 2 TILEPROP CLBLM_R_X41Y85 ROW 67 TILEPROP CLBLM_R_X41Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y85 TILE_X 93226 TILEPROP CLBLM_R_X41Y85 TILE_Y 34624 TILEPROP CLBLM_R_X41Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y86 CLASS tile TILEPROP CLBLM_R_X41Y86 COLUMN 104 TILEPROP CLBLM_R_X41Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y86 FIRST_SITE_ID 6544 TILEPROP CLBLM_R_X41Y86 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X41Y86 INDEX 7694 TILEPROP CLBLM_R_X41Y86 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X41Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y86 NAME CLBLM_R_X41Y86 TILEPROP CLBLM_R_X41Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y86 NUM_SITES 2 TILEPROP CLBLM_R_X41Y86 ROW 66 TILEPROP CLBLM_R_X41Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y86 TILE_X 93226 TILEPROP CLBLM_R_X41Y86 TILE_Y 37824 TILEPROP CLBLM_R_X41Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y87 CLASS tile TILEPROP CLBLM_R_X41Y87 COLUMN 104 TILEPROP CLBLM_R_X41Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y87 FIRST_SITE_ID 6412 TILEPROP CLBLM_R_X41Y87 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X41Y87 INDEX 7579 TILEPROP CLBLM_R_X41Y87 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X41Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y87 NAME CLBLM_R_X41Y87 TILEPROP CLBLM_R_X41Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y87 NUM_SITES 2 TILEPROP CLBLM_R_X41Y87 ROW 65 TILEPROP CLBLM_R_X41Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y87 TILE_X 93226 TILEPROP CLBLM_R_X41Y87 TILE_Y 41024 TILEPROP CLBLM_R_X41Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y88 CLASS tile TILEPROP CLBLM_R_X41Y88 COLUMN 104 TILEPROP CLBLM_R_X41Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y88 FIRST_SITE_ID 6312 TILEPROP CLBLM_R_X41Y88 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X41Y88 INDEX 7464 TILEPROP CLBLM_R_X41Y88 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X41Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y88 NAME CLBLM_R_X41Y88 TILEPROP CLBLM_R_X41Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y88 NUM_SITES 2 TILEPROP CLBLM_R_X41Y88 ROW 64 TILEPROP CLBLM_R_X41Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y88 TILE_X 93226 TILEPROP CLBLM_R_X41Y88 TILE_Y 44224 TILEPROP CLBLM_R_X41Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y89 CLASS tile TILEPROP CLBLM_R_X41Y89 COLUMN 104 TILEPROP CLBLM_R_X41Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y89 FIRST_SITE_ID 6212 TILEPROP CLBLM_R_X41Y89 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X41Y89 INDEX 7349 TILEPROP CLBLM_R_X41Y89 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X41Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y89 NAME CLBLM_R_X41Y89 TILEPROP CLBLM_R_X41Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y89 NUM_SITES 2 TILEPROP CLBLM_R_X41Y89 ROW 63 TILEPROP CLBLM_R_X41Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y89 TILE_X 93226 TILEPROP CLBLM_R_X41Y89 TILE_Y 47424 TILEPROP CLBLM_R_X41Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y90 CLASS tile TILEPROP CLBLM_R_X41Y90 COLUMN 104 TILEPROP CLBLM_R_X41Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y90 FIRST_SITE_ID 6112 TILEPROP CLBLM_R_X41Y90 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X41Y90 INDEX 7234 TILEPROP CLBLM_R_X41Y90 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X41Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y90 NAME CLBLM_R_X41Y90 TILEPROP CLBLM_R_X41Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y90 NUM_SITES 2 TILEPROP CLBLM_R_X41Y90 ROW 62 TILEPROP CLBLM_R_X41Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y90 TILE_X 93226 TILEPROP CLBLM_R_X41Y90 TILE_Y 50624 TILEPROP CLBLM_R_X41Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y91 CLASS tile TILEPROP CLBLM_R_X41Y91 COLUMN 104 TILEPROP CLBLM_R_X41Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y91 FIRST_SITE_ID 5997 TILEPROP CLBLM_R_X41Y91 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X41Y91 INDEX 7119 TILEPROP CLBLM_R_X41Y91 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X41Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y91 NAME CLBLM_R_X41Y91 TILEPROP CLBLM_R_X41Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y91 NUM_SITES 2 TILEPROP CLBLM_R_X41Y91 ROW 61 TILEPROP CLBLM_R_X41Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y91 TILE_X 93226 TILEPROP CLBLM_R_X41Y91 TILE_Y 53824 TILEPROP CLBLM_R_X41Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y92 CLASS tile TILEPROP CLBLM_R_X41Y92 COLUMN 104 TILEPROP CLBLM_R_X41Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y92 FIRST_SITE_ID 5896 TILEPROP CLBLM_R_X41Y92 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X41Y92 INDEX 7004 TILEPROP CLBLM_R_X41Y92 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X41Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y92 NAME CLBLM_R_X41Y92 TILEPROP CLBLM_R_X41Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y92 NUM_SITES 2 TILEPROP CLBLM_R_X41Y92 ROW 60 TILEPROP CLBLM_R_X41Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y92 TILE_X 93226 TILEPROP CLBLM_R_X41Y92 TILE_Y 57024 TILEPROP CLBLM_R_X41Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y93 CLASS tile TILEPROP CLBLM_R_X41Y93 COLUMN 104 TILEPROP CLBLM_R_X41Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y93 FIRST_SITE_ID 5793 TILEPROP CLBLM_R_X41Y93 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X41Y93 INDEX 6889 TILEPROP CLBLM_R_X41Y93 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X41Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y93 NAME CLBLM_R_X41Y93 TILEPROP CLBLM_R_X41Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y93 NUM_SITES 2 TILEPROP CLBLM_R_X41Y93 ROW 59 TILEPROP CLBLM_R_X41Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y93 TILE_X 93226 TILEPROP CLBLM_R_X41Y93 TILE_Y 60224 TILEPROP CLBLM_R_X41Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y94 CLASS tile TILEPROP CLBLM_R_X41Y94 COLUMN 104 TILEPROP CLBLM_R_X41Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y94 FIRST_SITE_ID 5691 TILEPROP CLBLM_R_X41Y94 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X41Y94 INDEX 6774 TILEPROP CLBLM_R_X41Y94 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X41Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y94 NAME CLBLM_R_X41Y94 TILEPROP CLBLM_R_X41Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y94 NUM_SITES 2 TILEPROP CLBLM_R_X41Y94 ROW 58 TILEPROP CLBLM_R_X41Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y94 TILE_X 93226 TILEPROP CLBLM_R_X41Y94 TILE_Y 63424 TILEPROP CLBLM_R_X41Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y95 CLASS tile TILEPROP CLBLM_R_X41Y95 COLUMN 104 TILEPROP CLBLM_R_X41Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y95 FIRST_SITE_ID 5591 TILEPROP CLBLM_R_X41Y95 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X41Y95 INDEX 6659 TILEPROP CLBLM_R_X41Y95 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X41Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y95 NAME CLBLM_R_X41Y95 TILEPROP CLBLM_R_X41Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y95 NUM_SITES 2 TILEPROP CLBLM_R_X41Y95 ROW 57 TILEPROP CLBLM_R_X41Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y95 TILE_X 93226 TILEPROP CLBLM_R_X41Y95 TILE_Y 66624 TILEPROP CLBLM_R_X41Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y96 CLASS tile TILEPROP CLBLM_R_X41Y96 COLUMN 104 TILEPROP CLBLM_R_X41Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y96 FIRST_SITE_ID 5476 TILEPROP CLBLM_R_X41Y96 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X41Y96 INDEX 6544 TILEPROP CLBLM_R_X41Y96 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X41Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y96 NAME CLBLM_R_X41Y96 TILEPROP CLBLM_R_X41Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y96 NUM_SITES 2 TILEPROP CLBLM_R_X41Y96 ROW 56 TILEPROP CLBLM_R_X41Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y96 TILE_X 93226 TILEPROP CLBLM_R_X41Y96 TILE_Y 69824 TILEPROP CLBLM_R_X41Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y97 CLASS tile TILEPROP CLBLM_R_X41Y97 COLUMN 104 TILEPROP CLBLM_R_X41Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y97 FIRST_SITE_ID 5376 TILEPROP CLBLM_R_X41Y97 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X41Y97 INDEX 6429 TILEPROP CLBLM_R_X41Y97 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X41Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y97 NAME CLBLM_R_X41Y97 TILEPROP CLBLM_R_X41Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y97 NUM_SITES 2 TILEPROP CLBLM_R_X41Y97 ROW 55 TILEPROP CLBLM_R_X41Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y97 TILE_X 93226 TILEPROP CLBLM_R_X41Y97 TILE_Y 73024 TILEPROP CLBLM_R_X41Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y98 CLASS tile TILEPROP CLBLM_R_X41Y98 COLUMN 104 TILEPROP CLBLM_R_X41Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y98 FIRST_SITE_ID 5276 TILEPROP CLBLM_R_X41Y98 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X41Y98 INDEX 6314 TILEPROP CLBLM_R_X41Y98 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X41Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y98 NAME CLBLM_R_X41Y98 TILEPROP CLBLM_R_X41Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y98 NUM_SITES 2 TILEPROP CLBLM_R_X41Y98 ROW 54 TILEPROP CLBLM_R_X41Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X41Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y98 TILE_X 93226 TILEPROP CLBLM_R_X41Y98 TILE_Y 76224 TILEPROP CLBLM_R_X41Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X41Y99 CLASS tile TILEPROP CLBLM_R_X41Y99 COLUMN 104 TILEPROP CLBLM_R_X41Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X41Y99 FIRST_SITE_ID 5180 TILEPROP CLBLM_R_X41Y99 GRID_POINT_X 104 TILEPROP CLBLM_R_X41Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X41Y99 INDEX 6199 TILEPROP CLBLM_R_X41Y99 INT_TILE_X 41 TILEPROP CLBLM_R_X41Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X41Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X41Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X41Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X41Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X41Y99 NAME CLBLM_R_X41Y99 TILEPROP CLBLM_R_X41Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X41Y99 NUM_SITES 2 TILEPROP CLBLM_R_X41Y99 ROW 53 TILEPROP CLBLM_R_X41Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X41Y99 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X41Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X41Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X41Y99 TILE_X 93226 TILEPROP CLBLM_R_X41Y99 TILE_Y 79424 TILEPROP CLBLM_R_X41Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y0 CLASS tile TILEPROP CLBLM_R_X5Y0 COLUMN 17 TILEPROP CLBLM_R_X5Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y0 FIRST_SITE_ID 15718 TILEPROP CLBLM_R_X5Y0 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X5Y0 INDEX 17842 TILEPROP CLBLM_R_X5Y0 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X5Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y0 NAME CLBLM_R_X5Y0 TILEPROP CLBLM_R_X5Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y0 NUM_SITES 2 TILEPROP CLBLM_R_X5Y0 ROW 155 TILEPROP CLBLM_R_X5Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X5Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y0 TILE_X -71298 TILEPROP CLBLM_R_X5Y0 TILE_Y -239672 TILEPROP CLBLM_R_X5Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y1 CLASS tile TILEPROP CLBLM_R_X5Y1 COLUMN 17 TILEPROP CLBLM_R_X5Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y1 FIRST_SITE_ID 15613 TILEPROP CLBLM_R_X5Y1 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X5Y1 INDEX 17727 TILEPROP CLBLM_R_X5Y1 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X5Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y1 NAME CLBLM_R_X5Y1 TILEPROP CLBLM_R_X5Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y1 NUM_SITES 2 TILEPROP CLBLM_R_X5Y1 ROW 154 TILEPROP CLBLM_R_X5Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y1 TILE_X -71298 TILEPROP CLBLM_R_X5Y1 TILE_Y -236472 TILEPROP CLBLM_R_X5Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y2 CLASS tile TILEPROP CLBLM_R_X5Y2 COLUMN 17 TILEPROP CLBLM_R_X5Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y2 FIRST_SITE_ID 15513 TILEPROP CLBLM_R_X5Y2 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X5Y2 INDEX 17612 TILEPROP CLBLM_R_X5Y2 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X5Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y2 NAME CLBLM_R_X5Y2 TILEPROP CLBLM_R_X5Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y2 NUM_SITES 2 TILEPROP CLBLM_R_X5Y2 ROW 153 TILEPROP CLBLM_R_X5Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y2 TILE_X -71298 TILEPROP CLBLM_R_X5Y2 TILE_Y -233272 TILEPROP CLBLM_R_X5Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y3 CLASS tile TILEPROP CLBLM_R_X5Y3 COLUMN 17 TILEPROP CLBLM_R_X5Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y3 FIRST_SITE_ID 15413 TILEPROP CLBLM_R_X5Y3 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X5Y3 INDEX 17497 TILEPROP CLBLM_R_X5Y3 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X5Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y3 NAME CLBLM_R_X5Y3 TILEPROP CLBLM_R_X5Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y3 NUM_SITES 2 TILEPROP CLBLM_R_X5Y3 ROW 152 TILEPROP CLBLM_R_X5Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y3 TILE_X -71298 TILEPROP CLBLM_R_X5Y3 TILE_Y -230072 TILEPROP CLBLM_R_X5Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y4 CLASS tile TILEPROP CLBLM_R_X5Y4 COLUMN 17 TILEPROP CLBLM_R_X5Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y4 FIRST_SITE_ID 15313 TILEPROP CLBLM_R_X5Y4 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X5Y4 INDEX 17382 TILEPROP CLBLM_R_X5Y4 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X5Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y4 NAME CLBLM_R_X5Y4 TILEPROP CLBLM_R_X5Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y4 NUM_SITES 2 TILEPROP CLBLM_R_X5Y4 ROW 151 TILEPROP CLBLM_R_X5Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y4 TILE_X -71298 TILEPROP CLBLM_R_X5Y4 TILE_Y -226872 TILEPROP CLBLM_R_X5Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y5 CLASS tile TILEPROP CLBLM_R_X5Y5 COLUMN 17 TILEPROP CLBLM_R_X5Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y5 FIRST_SITE_ID 15198 TILEPROP CLBLM_R_X5Y5 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X5Y5 INDEX 17267 TILEPROP CLBLM_R_X5Y5 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X5Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y5 NAME CLBLM_R_X5Y5 TILEPROP CLBLM_R_X5Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y5 NUM_SITES 2 TILEPROP CLBLM_R_X5Y5 ROW 150 TILEPROP CLBLM_R_X5Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y5 TILE_X -71298 TILEPROP CLBLM_R_X5Y5 TILE_Y -223672 TILEPROP CLBLM_R_X5Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y6 CLASS tile TILEPROP CLBLM_R_X5Y6 COLUMN 17 TILEPROP CLBLM_R_X5Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y6 FIRST_SITE_ID 15098 TILEPROP CLBLM_R_X5Y6 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X5Y6 INDEX 17152 TILEPROP CLBLM_R_X5Y6 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X5Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y6 NAME CLBLM_R_X5Y6 TILEPROP CLBLM_R_X5Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y6 NUM_SITES 2 TILEPROP CLBLM_R_X5Y6 ROW 149 TILEPROP CLBLM_R_X5Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y6 TILE_X -71298 TILEPROP CLBLM_R_X5Y6 TILE_Y -220472 TILEPROP CLBLM_R_X5Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y7 CLASS tile TILEPROP CLBLM_R_X5Y7 COLUMN 17 TILEPROP CLBLM_R_X5Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y7 FIRST_SITE_ID 14996 TILEPROP CLBLM_R_X5Y7 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X5Y7 INDEX 17037 TILEPROP CLBLM_R_X5Y7 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X5Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y7 NAME CLBLM_R_X5Y7 TILEPROP CLBLM_R_X5Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y7 NUM_SITES 2 TILEPROP CLBLM_R_X5Y7 ROW 148 TILEPROP CLBLM_R_X5Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y7 TILE_X -71298 TILEPROP CLBLM_R_X5Y7 TILE_Y -217272 TILEPROP CLBLM_R_X5Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y8 CLASS tile TILEPROP CLBLM_R_X5Y8 COLUMN 17 TILEPROP CLBLM_R_X5Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y8 FIRST_SITE_ID 14893 TILEPROP CLBLM_R_X5Y8 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X5Y8 INDEX 16922 TILEPROP CLBLM_R_X5Y8 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X5Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y8 NAME CLBLM_R_X5Y8 TILEPROP CLBLM_R_X5Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y8 NUM_SITES 2 TILEPROP CLBLM_R_X5Y8 ROW 147 TILEPROP CLBLM_R_X5Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y8 TILE_X -71298 TILEPROP CLBLM_R_X5Y8 TILE_Y -214072 TILEPROP CLBLM_R_X5Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y9 CLASS tile TILEPROP CLBLM_R_X5Y9 COLUMN 17 TILEPROP CLBLM_R_X5Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y9 FIRST_SITE_ID 14792 TILEPROP CLBLM_R_X5Y9 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X5Y9 INDEX 16807 TILEPROP CLBLM_R_X5Y9 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X5Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y9 NAME CLBLM_R_X5Y9 TILEPROP CLBLM_R_X5Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y9 NUM_SITES 2 TILEPROP CLBLM_R_X5Y9 ROW 146 TILEPROP CLBLM_R_X5Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y9 TILE_X -71298 TILEPROP CLBLM_R_X5Y9 TILE_Y -210872 TILEPROP CLBLM_R_X5Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y10 CLASS tile TILEPROP CLBLM_R_X5Y10 COLUMN 17 TILEPROP CLBLM_R_X5Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y10 FIRST_SITE_ID 14677 TILEPROP CLBLM_R_X5Y10 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X5Y10 INDEX 16692 TILEPROP CLBLM_R_X5Y10 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X5Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y10 NAME CLBLM_R_X5Y10 TILEPROP CLBLM_R_X5Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y10 NUM_SITES 2 TILEPROP CLBLM_R_X5Y10 ROW 145 TILEPROP CLBLM_R_X5Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y10 TILE_X -71298 TILEPROP CLBLM_R_X5Y10 TILE_Y -207672 TILEPROP CLBLM_R_X5Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y11 CLASS tile TILEPROP CLBLM_R_X5Y11 COLUMN 17 TILEPROP CLBLM_R_X5Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y11 FIRST_SITE_ID 14577 TILEPROP CLBLM_R_X5Y11 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X5Y11 INDEX 16577 TILEPROP CLBLM_R_X5Y11 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X5Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y11 NAME CLBLM_R_X5Y11 TILEPROP CLBLM_R_X5Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y11 NUM_SITES 2 TILEPROP CLBLM_R_X5Y11 ROW 144 TILEPROP CLBLM_R_X5Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y11 TILE_X -71298 TILEPROP CLBLM_R_X5Y11 TILE_Y -204472 TILEPROP CLBLM_R_X5Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y12 CLASS tile TILEPROP CLBLM_R_X5Y12 COLUMN 17 TILEPROP CLBLM_R_X5Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y12 FIRST_SITE_ID 14445 TILEPROP CLBLM_R_X5Y12 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X5Y12 INDEX 16462 TILEPROP CLBLM_R_X5Y12 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X5Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y12 NAME CLBLM_R_X5Y12 TILEPROP CLBLM_R_X5Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y12 NUM_SITES 2 TILEPROP CLBLM_R_X5Y12 ROW 143 TILEPROP CLBLM_R_X5Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y12 TILE_X -71298 TILEPROP CLBLM_R_X5Y12 TILE_Y -201272 TILEPROP CLBLM_R_X5Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y13 CLASS tile TILEPROP CLBLM_R_X5Y13 COLUMN 17 TILEPROP CLBLM_R_X5Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y13 FIRST_SITE_ID 14345 TILEPROP CLBLM_R_X5Y13 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X5Y13 INDEX 16347 TILEPROP CLBLM_R_X5Y13 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X5Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y13 NAME CLBLM_R_X5Y13 TILEPROP CLBLM_R_X5Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y13 NUM_SITES 2 TILEPROP CLBLM_R_X5Y13 ROW 142 TILEPROP CLBLM_R_X5Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y13 TILE_X -71298 TILEPROP CLBLM_R_X5Y13 TILE_Y -198072 TILEPROP CLBLM_R_X5Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y14 CLASS tile TILEPROP CLBLM_R_X5Y14 COLUMN 17 TILEPROP CLBLM_R_X5Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y14 FIRST_SITE_ID 14245 TILEPROP CLBLM_R_X5Y14 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X5Y14 INDEX 16232 TILEPROP CLBLM_R_X5Y14 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X5Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y14 NAME CLBLM_R_X5Y14 TILEPROP CLBLM_R_X5Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y14 NUM_SITES 2 TILEPROP CLBLM_R_X5Y14 ROW 141 TILEPROP CLBLM_R_X5Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y14 TILE_X -71298 TILEPROP CLBLM_R_X5Y14 TILE_Y -194872 TILEPROP CLBLM_R_X5Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y15 CLASS tile TILEPROP CLBLM_R_X5Y15 COLUMN 17 TILEPROP CLBLM_R_X5Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y15 FIRST_SITE_ID 14130 TILEPROP CLBLM_R_X5Y15 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X5Y15 INDEX 16117 TILEPROP CLBLM_R_X5Y15 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X5Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y15 NAME CLBLM_R_X5Y15 TILEPROP CLBLM_R_X5Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y15 NUM_SITES 2 TILEPROP CLBLM_R_X5Y15 ROW 140 TILEPROP CLBLM_R_X5Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y15 TILE_X -71298 TILEPROP CLBLM_R_X5Y15 TILE_Y -191672 TILEPROP CLBLM_R_X5Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y16 CLASS tile TILEPROP CLBLM_R_X5Y16 COLUMN 17 TILEPROP CLBLM_R_X5Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y16 FIRST_SITE_ID 14030 TILEPROP CLBLM_R_X5Y16 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X5Y16 INDEX 16002 TILEPROP CLBLM_R_X5Y16 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X5Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y16 NAME CLBLM_R_X5Y16 TILEPROP CLBLM_R_X5Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y16 NUM_SITES 2 TILEPROP CLBLM_R_X5Y16 ROW 139 TILEPROP CLBLM_R_X5Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y16 TILE_X -71298 TILEPROP CLBLM_R_X5Y16 TILE_Y -188472 TILEPROP CLBLM_R_X5Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y17 CLASS tile TILEPROP CLBLM_R_X5Y17 COLUMN 17 TILEPROP CLBLM_R_X5Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y17 FIRST_SITE_ID 13925 TILEPROP CLBLM_R_X5Y17 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X5Y17 INDEX 15887 TILEPROP CLBLM_R_X5Y17 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X5Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y17 NAME CLBLM_R_X5Y17 TILEPROP CLBLM_R_X5Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y17 NUM_SITES 2 TILEPROP CLBLM_R_X5Y17 ROW 138 TILEPROP CLBLM_R_X5Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y17 TILE_X -71298 TILEPROP CLBLM_R_X5Y17 TILE_Y -185272 TILEPROP CLBLM_R_X5Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y18 CLASS tile TILEPROP CLBLM_R_X5Y18 COLUMN 17 TILEPROP CLBLM_R_X5Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y18 FIRST_SITE_ID 13821 TILEPROP CLBLM_R_X5Y18 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X5Y18 INDEX 15772 TILEPROP CLBLM_R_X5Y18 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X5Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y18 NAME CLBLM_R_X5Y18 TILEPROP CLBLM_R_X5Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y18 NUM_SITES 2 TILEPROP CLBLM_R_X5Y18 ROW 137 TILEPROP CLBLM_R_X5Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y18 TILE_X -71298 TILEPROP CLBLM_R_X5Y18 TILE_Y -182072 TILEPROP CLBLM_R_X5Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y19 CLASS tile TILEPROP CLBLM_R_X5Y19 COLUMN 17 TILEPROP CLBLM_R_X5Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y19 FIRST_SITE_ID 13719 TILEPROP CLBLM_R_X5Y19 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X5Y19 INDEX 15657 TILEPROP CLBLM_R_X5Y19 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X5Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y19 NAME CLBLM_R_X5Y19 TILEPROP CLBLM_R_X5Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y19 NUM_SITES 2 TILEPROP CLBLM_R_X5Y19 ROW 136 TILEPROP CLBLM_R_X5Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y19 TILE_X -71298 TILEPROP CLBLM_R_X5Y19 TILE_Y -178872 TILEPROP CLBLM_R_X5Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y20 CLASS tile TILEPROP CLBLM_R_X5Y20 COLUMN 17 TILEPROP CLBLM_R_X5Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y20 FIRST_SITE_ID 13602 TILEPROP CLBLM_R_X5Y20 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X5Y20 INDEX 15542 TILEPROP CLBLM_R_X5Y20 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X5Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y20 NAME CLBLM_R_X5Y20 TILEPROP CLBLM_R_X5Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y20 NUM_SITES 2 TILEPROP CLBLM_R_X5Y20 ROW 135 TILEPROP CLBLM_R_X5Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y20 TILE_X -71298 TILEPROP CLBLM_R_X5Y20 TILE_Y -175672 TILEPROP CLBLM_R_X5Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y21 CLASS tile TILEPROP CLBLM_R_X5Y21 COLUMN 17 TILEPROP CLBLM_R_X5Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y21 FIRST_SITE_ID 13502 TILEPROP CLBLM_R_X5Y21 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X5Y21 INDEX 15427 TILEPROP CLBLM_R_X5Y21 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X5Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y21 NAME CLBLM_R_X5Y21 TILEPROP CLBLM_R_X5Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y21 NUM_SITES 2 TILEPROP CLBLM_R_X5Y21 ROW 134 TILEPROP CLBLM_R_X5Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y21 TILE_X -71298 TILEPROP CLBLM_R_X5Y21 TILE_Y -172472 TILEPROP CLBLM_R_X5Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y22 CLASS tile TILEPROP CLBLM_R_X5Y22 COLUMN 17 TILEPROP CLBLM_R_X5Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y22 FIRST_SITE_ID 13402 TILEPROP CLBLM_R_X5Y22 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X5Y22 INDEX 15312 TILEPROP CLBLM_R_X5Y22 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X5Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y22 NAME CLBLM_R_X5Y22 TILEPROP CLBLM_R_X5Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y22 NUM_SITES 2 TILEPROP CLBLM_R_X5Y22 ROW 133 TILEPROP CLBLM_R_X5Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y22 TILE_X -71298 TILEPROP CLBLM_R_X5Y22 TILE_Y -169272 TILEPROP CLBLM_R_X5Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y23 CLASS tile TILEPROP CLBLM_R_X5Y23 COLUMN 17 TILEPROP CLBLM_R_X5Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y23 FIRST_SITE_ID 13302 TILEPROP CLBLM_R_X5Y23 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X5Y23 INDEX 15197 TILEPROP CLBLM_R_X5Y23 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X5Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y23 NAME CLBLM_R_X5Y23 TILEPROP CLBLM_R_X5Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y23 NUM_SITES 2 TILEPROP CLBLM_R_X5Y23 ROW 132 TILEPROP CLBLM_R_X5Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y23 TILE_X -71298 TILEPROP CLBLM_R_X5Y23 TILE_Y -166072 TILEPROP CLBLM_R_X5Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y24 CLASS tile TILEPROP CLBLM_R_X5Y24 COLUMN 17 TILEPROP CLBLM_R_X5Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y24 FIRST_SITE_ID 13202 TILEPROP CLBLM_R_X5Y24 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X5Y24 INDEX 15082 TILEPROP CLBLM_R_X5Y24 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X5Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y24 NAME CLBLM_R_X5Y24 TILEPROP CLBLM_R_X5Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y24 NUM_SITES 2 TILEPROP CLBLM_R_X5Y24 ROW 131 TILEPROP CLBLM_R_X5Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X5Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y24 TILE_X -71298 TILEPROP CLBLM_R_X5Y24 TILE_Y -162872 TILEPROP CLBLM_R_X5Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y25 CLASS tile TILEPROP CLBLM_R_X5Y25 COLUMN 17 TILEPROP CLBLM_R_X5Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y25 FIRST_SITE_ID 13004 TILEPROP CLBLM_R_X5Y25 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X5Y25 INDEX 14852 TILEPROP CLBLM_R_X5Y25 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X5Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y25 NAME CLBLM_R_X5Y25 TILEPROP CLBLM_R_X5Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y25 NUM_SITES 2 TILEPROP CLBLM_R_X5Y25 ROW 129 TILEPROP CLBLM_R_X5Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X5Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y25 TILE_X -71298 TILEPROP CLBLM_R_X5Y25 TILE_Y -158648 TILEPROP CLBLM_R_X5Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y26 CLASS tile TILEPROP CLBLM_R_X5Y26 COLUMN 17 TILEPROP CLBLM_R_X5Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y26 FIRST_SITE_ID 12904 TILEPROP CLBLM_R_X5Y26 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X5Y26 INDEX 14737 TILEPROP CLBLM_R_X5Y26 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X5Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y26 NAME CLBLM_R_X5Y26 TILEPROP CLBLM_R_X5Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y26 NUM_SITES 2 TILEPROP CLBLM_R_X5Y26 ROW 128 TILEPROP CLBLM_R_X5Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y26 TILE_X -71298 TILEPROP CLBLM_R_X5Y26 TILE_Y -155448 TILEPROP CLBLM_R_X5Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y27 CLASS tile TILEPROP CLBLM_R_X5Y27 COLUMN 17 TILEPROP CLBLM_R_X5Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y27 FIRST_SITE_ID 12804 TILEPROP CLBLM_R_X5Y27 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X5Y27 INDEX 14622 TILEPROP CLBLM_R_X5Y27 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X5Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y27 NAME CLBLM_R_X5Y27 TILEPROP CLBLM_R_X5Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y27 NUM_SITES 2 TILEPROP CLBLM_R_X5Y27 ROW 127 TILEPROP CLBLM_R_X5Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y27 TILE_X -71298 TILEPROP CLBLM_R_X5Y27 TILE_Y -152248 TILEPROP CLBLM_R_X5Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y28 CLASS tile TILEPROP CLBLM_R_X5Y28 COLUMN 17 TILEPROP CLBLM_R_X5Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y28 FIRST_SITE_ID 12704 TILEPROP CLBLM_R_X5Y28 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X5Y28 INDEX 14507 TILEPROP CLBLM_R_X5Y28 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X5Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y28 NAME CLBLM_R_X5Y28 TILEPROP CLBLM_R_X5Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y28 NUM_SITES 2 TILEPROP CLBLM_R_X5Y28 ROW 126 TILEPROP CLBLM_R_X5Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y28 TILE_X -71298 TILEPROP CLBLM_R_X5Y28 TILE_Y -149048 TILEPROP CLBLM_R_X5Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y29 CLASS tile TILEPROP CLBLM_R_X5Y29 COLUMN 17 TILEPROP CLBLM_R_X5Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y29 FIRST_SITE_ID 12598 TILEPROP CLBLM_R_X5Y29 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X5Y29 INDEX 14392 TILEPROP CLBLM_R_X5Y29 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X5Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y29 NAME CLBLM_R_X5Y29 TILEPROP CLBLM_R_X5Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y29 NUM_SITES 2 TILEPROP CLBLM_R_X5Y29 ROW 125 TILEPROP CLBLM_R_X5Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y29 TILE_X -71298 TILEPROP CLBLM_R_X5Y29 TILE_Y -145848 TILEPROP CLBLM_R_X5Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y30 CLASS tile TILEPROP CLBLM_R_X5Y30 COLUMN 17 TILEPROP CLBLM_R_X5Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y30 FIRST_SITE_ID 12464 TILEPROP CLBLM_R_X5Y30 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X5Y30 INDEX 14277 TILEPROP CLBLM_R_X5Y30 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X5Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y30 NAME CLBLM_R_X5Y30 TILEPROP CLBLM_R_X5Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y30 NUM_SITES 2 TILEPROP CLBLM_R_X5Y30 ROW 124 TILEPROP CLBLM_R_X5Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y30 TILE_X -71298 TILEPROP CLBLM_R_X5Y30 TILE_Y -142648 TILEPROP CLBLM_R_X5Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y31 CLASS tile TILEPROP CLBLM_R_X5Y31 COLUMN 17 TILEPROP CLBLM_R_X5Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y31 FIRST_SITE_ID 12362 TILEPROP CLBLM_R_X5Y31 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X5Y31 INDEX 14162 TILEPROP CLBLM_R_X5Y31 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X5Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y31 NAME CLBLM_R_X5Y31 TILEPROP CLBLM_R_X5Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y31 NUM_SITES 2 TILEPROP CLBLM_R_X5Y31 ROW 123 TILEPROP CLBLM_R_X5Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y31 TILE_X -71298 TILEPROP CLBLM_R_X5Y31 TILE_Y -139448 TILEPROP CLBLM_R_X5Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y32 CLASS tile TILEPROP CLBLM_R_X5Y32 COLUMN 17 TILEPROP CLBLM_R_X5Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y32 FIRST_SITE_ID 12259 TILEPROP CLBLM_R_X5Y32 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X5Y32 INDEX 14047 TILEPROP CLBLM_R_X5Y32 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X5Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y32 NAME CLBLM_R_X5Y32 TILEPROP CLBLM_R_X5Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y32 NUM_SITES 2 TILEPROP CLBLM_R_X5Y32 ROW 122 TILEPROP CLBLM_R_X5Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y32 TILE_X -71298 TILEPROP CLBLM_R_X5Y32 TILE_Y -136248 TILEPROP CLBLM_R_X5Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y33 CLASS tile TILEPROP CLBLM_R_X5Y33 COLUMN 17 TILEPROP CLBLM_R_X5Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y33 FIRST_SITE_ID 12159 TILEPROP CLBLM_R_X5Y33 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X5Y33 INDEX 13932 TILEPROP CLBLM_R_X5Y33 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X5Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y33 NAME CLBLM_R_X5Y33 TILEPROP CLBLM_R_X5Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y33 NUM_SITES 2 TILEPROP CLBLM_R_X5Y33 ROW 121 TILEPROP CLBLM_R_X5Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y33 TILE_X -71298 TILEPROP CLBLM_R_X5Y33 TILE_Y -133048 TILEPROP CLBLM_R_X5Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y34 CLASS tile TILEPROP CLBLM_R_X5Y34 COLUMN 17 TILEPROP CLBLM_R_X5Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y34 FIRST_SITE_ID 12059 TILEPROP CLBLM_R_X5Y34 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X5Y34 INDEX 13817 TILEPROP CLBLM_R_X5Y34 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X5Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y34 NAME CLBLM_R_X5Y34 TILEPROP CLBLM_R_X5Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y34 NUM_SITES 2 TILEPROP CLBLM_R_X5Y34 ROW 120 TILEPROP CLBLM_R_X5Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y34 TILE_X -71298 TILEPROP CLBLM_R_X5Y34 TILE_Y -129848 TILEPROP CLBLM_R_X5Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y35 CLASS tile TILEPROP CLBLM_R_X5Y35 COLUMN 17 TILEPROP CLBLM_R_X5Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y35 FIRST_SITE_ID 11944 TILEPROP CLBLM_R_X5Y35 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X5Y35 INDEX 13702 TILEPROP CLBLM_R_X5Y35 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X5Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y35 NAME CLBLM_R_X5Y35 TILEPROP CLBLM_R_X5Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y35 NUM_SITES 2 TILEPROP CLBLM_R_X5Y35 ROW 119 TILEPROP CLBLM_R_X5Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y35 TILE_X -71298 TILEPROP CLBLM_R_X5Y35 TILE_Y -126648 TILEPROP CLBLM_R_X5Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y36 CLASS tile TILEPROP CLBLM_R_X5Y36 COLUMN 17 TILEPROP CLBLM_R_X5Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y36 FIRST_SITE_ID 11812 TILEPROP CLBLM_R_X5Y36 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X5Y36 INDEX 13587 TILEPROP CLBLM_R_X5Y36 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X5Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y36 NAME CLBLM_R_X5Y36 TILEPROP CLBLM_R_X5Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y36 NUM_SITES 2 TILEPROP CLBLM_R_X5Y36 ROW 118 TILEPROP CLBLM_R_X5Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y36 TILE_X -71298 TILEPROP CLBLM_R_X5Y36 TILE_Y -123448 TILEPROP CLBLM_R_X5Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y37 CLASS tile TILEPROP CLBLM_R_X5Y37 COLUMN 17 TILEPROP CLBLM_R_X5Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y37 FIRST_SITE_ID 11712 TILEPROP CLBLM_R_X5Y37 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X5Y37 INDEX 13472 TILEPROP CLBLM_R_X5Y37 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X5Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y37 NAME CLBLM_R_X5Y37 TILEPROP CLBLM_R_X5Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y37 NUM_SITES 2 TILEPROP CLBLM_R_X5Y37 ROW 117 TILEPROP CLBLM_R_X5Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y37 TILE_X -71298 TILEPROP CLBLM_R_X5Y37 TILE_Y -120248 TILEPROP CLBLM_R_X5Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y38 CLASS tile TILEPROP CLBLM_R_X5Y38 COLUMN 17 TILEPROP CLBLM_R_X5Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y38 FIRST_SITE_ID 11612 TILEPROP CLBLM_R_X5Y38 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X5Y38 INDEX 13357 TILEPROP CLBLM_R_X5Y38 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X5Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y38 NAME CLBLM_R_X5Y38 TILEPROP CLBLM_R_X5Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y38 NUM_SITES 2 TILEPROP CLBLM_R_X5Y38 ROW 116 TILEPROP CLBLM_R_X5Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y38 TILE_X -71298 TILEPROP CLBLM_R_X5Y38 TILE_Y -117048 TILEPROP CLBLM_R_X5Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y39 CLASS tile TILEPROP CLBLM_R_X5Y39 COLUMN 17 TILEPROP CLBLM_R_X5Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y39 FIRST_SITE_ID 11512 TILEPROP CLBLM_R_X5Y39 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X5Y39 INDEX 13242 TILEPROP CLBLM_R_X5Y39 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X5Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y39 NAME CLBLM_R_X5Y39 TILEPROP CLBLM_R_X5Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y39 NUM_SITES 2 TILEPROP CLBLM_R_X5Y39 ROW 115 TILEPROP CLBLM_R_X5Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y39 TILE_X -71298 TILEPROP CLBLM_R_X5Y39 TILE_Y -113848 TILEPROP CLBLM_R_X5Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y40 CLASS tile TILEPROP CLBLM_R_X5Y40 COLUMN 17 TILEPROP CLBLM_R_X5Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y40 FIRST_SITE_ID 11395 TILEPROP CLBLM_R_X5Y40 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X5Y40 INDEX 13127 TILEPROP CLBLM_R_X5Y40 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X5Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y40 NAME CLBLM_R_X5Y40 TILEPROP CLBLM_R_X5Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y40 NUM_SITES 2 TILEPROP CLBLM_R_X5Y40 ROW 114 TILEPROP CLBLM_R_X5Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y40 TILE_X -71298 TILEPROP CLBLM_R_X5Y40 TILE_Y -110648 TILEPROP CLBLM_R_X5Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y41 CLASS tile TILEPROP CLBLM_R_X5Y41 COLUMN 17 TILEPROP CLBLM_R_X5Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y41 FIRST_SITE_ID 11294 TILEPROP CLBLM_R_X5Y41 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X5Y41 INDEX 13012 TILEPROP CLBLM_R_X5Y41 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X5Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y41 NAME CLBLM_R_X5Y41 TILEPROP CLBLM_R_X5Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y41 NUM_SITES 2 TILEPROP CLBLM_R_X5Y41 ROW 113 TILEPROP CLBLM_R_X5Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y41 TILE_X -71298 TILEPROP CLBLM_R_X5Y41 TILE_Y -107448 TILEPROP CLBLM_R_X5Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y42 CLASS tile TILEPROP CLBLM_R_X5Y42 COLUMN 17 TILEPROP CLBLM_R_X5Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y42 FIRST_SITE_ID 11193 TILEPROP CLBLM_R_X5Y42 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X5Y42 INDEX 12897 TILEPROP CLBLM_R_X5Y42 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X5Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y42 NAME CLBLM_R_X5Y42 TILEPROP CLBLM_R_X5Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y42 NUM_SITES 2 TILEPROP CLBLM_R_X5Y42 ROW 112 TILEPROP CLBLM_R_X5Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y42 TILE_X -71298 TILEPROP CLBLM_R_X5Y42 TILE_Y -104248 TILEPROP CLBLM_R_X5Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y43 CLASS tile TILEPROP CLBLM_R_X5Y43 COLUMN 17 TILEPROP CLBLM_R_X5Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y43 FIRST_SITE_ID 11090 TILEPROP CLBLM_R_X5Y43 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X5Y43 INDEX 12782 TILEPROP CLBLM_R_X5Y43 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X5Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y43 NAME CLBLM_R_X5Y43 TILEPROP CLBLM_R_X5Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y43 NUM_SITES 2 TILEPROP CLBLM_R_X5Y43 ROW 111 TILEPROP CLBLM_R_X5Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y43 TILE_X -71298 TILEPROP CLBLM_R_X5Y43 TILE_Y -101048 TILEPROP CLBLM_R_X5Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y44 CLASS tile TILEPROP CLBLM_R_X5Y44 COLUMN 17 TILEPROP CLBLM_R_X5Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y44 FIRST_SITE_ID 10988 TILEPROP CLBLM_R_X5Y44 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X5Y44 INDEX 12667 TILEPROP CLBLM_R_X5Y44 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X5Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y44 NAME CLBLM_R_X5Y44 TILEPROP CLBLM_R_X5Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y44 NUM_SITES 2 TILEPROP CLBLM_R_X5Y44 ROW 110 TILEPROP CLBLM_R_X5Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y44 TILE_X -71298 TILEPROP CLBLM_R_X5Y44 TILE_Y -97848 TILEPROP CLBLM_R_X5Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y45 CLASS tile TILEPROP CLBLM_R_X5Y45 COLUMN 17 TILEPROP CLBLM_R_X5Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y45 FIRST_SITE_ID 10872 TILEPROP CLBLM_R_X5Y45 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X5Y45 INDEX 12552 TILEPROP CLBLM_R_X5Y45 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X5Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y45 NAME CLBLM_R_X5Y45 TILEPROP CLBLM_R_X5Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y45 NUM_SITES 2 TILEPROP CLBLM_R_X5Y45 ROW 109 TILEPROP CLBLM_R_X5Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y45 TILE_X -71298 TILEPROP CLBLM_R_X5Y45 TILE_Y -94648 TILEPROP CLBLM_R_X5Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y46 CLASS tile TILEPROP CLBLM_R_X5Y46 COLUMN 17 TILEPROP CLBLM_R_X5Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y46 FIRST_SITE_ID 10756 TILEPROP CLBLM_R_X5Y46 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X5Y46 INDEX 12437 TILEPROP CLBLM_R_X5Y46 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X5Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y46 NAME CLBLM_R_X5Y46 TILEPROP CLBLM_R_X5Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y46 NUM_SITES 2 TILEPROP CLBLM_R_X5Y46 ROW 108 TILEPROP CLBLM_R_X5Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y46 TILE_X -71298 TILEPROP CLBLM_R_X5Y46 TILE_Y -91448 TILEPROP CLBLM_R_X5Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y47 CLASS tile TILEPROP CLBLM_R_X5Y47 COLUMN 17 TILEPROP CLBLM_R_X5Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y47 FIRST_SITE_ID 10656 TILEPROP CLBLM_R_X5Y47 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X5Y47 INDEX 12322 TILEPROP CLBLM_R_X5Y47 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X5Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y47 NAME CLBLM_R_X5Y47 TILEPROP CLBLM_R_X5Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y47 NUM_SITES 2 TILEPROP CLBLM_R_X5Y47 ROW 107 TILEPROP CLBLM_R_X5Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y47 TILE_X -71298 TILEPROP CLBLM_R_X5Y47 TILE_Y -88248 TILEPROP CLBLM_R_X5Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y48 CLASS tile TILEPROP CLBLM_R_X5Y48 COLUMN 17 TILEPROP CLBLM_R_X5Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y48 FIRST_SITE_ID 10556 TILEPROP CLBLM_R_X5Y48 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X5Y48 INDEX 12207 TILEPROP CLBLM_R_X5Y48 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X5Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y48 NAME CLBLM_R_X5Y48 TILEPROP CLBLM_R_X5Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y48 NUM_SITES 2 TILEPROP CLBLM_R_X5Y48 ROW 106 TILEPROP CLBLM_R_X5Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y48 TILE_X -71298 TILEPROP CLBLM_R_X5Y48 TILE_Y -85048 TILEPROP CLBLM_R_X5Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y49 CLASS tile TILEPROP CLBLM_R_X5Y49 COLUMN 17 TILEPROP CLBLM_R_X5Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y49 FIRST_SITE_ID 10460 TILEPROP CLBLM_R_X5Y49 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X5Y49 INDEX 12092 TILEPROP CLBLM_R_X5Y49 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X5Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y49 NAME CLBLM_R_X5Y49 TILEPROP CLBLM_R_X5Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y49 NUM_SITES 2 TILEPROP CLBLM_R_X5Y49 ROW 105 TILEPROP CLBLM_R_X5Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X5Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y49 TILE_X -71298 TILEPROP CLBLM_R_X5Y49 TILE_Y -81848 TILEPROP CLBLM_R_X5Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y50 CLASS tile TILEPROP CLBLM_R_X5Y50 COLUMN 17 TILEPROP CLBLM_R_X5Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y50 FIRST_SITE_ID 10329 TILEPROP CLBLM_R_X5Y50 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X5Y50 INDEX 11862 TILEPROP CLBLM_R_X5Y50 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X5Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y50 NAME CLBLM_R_X5Y50 TILEPROP CLBLM_R_X5Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y50 NUM_SITES 2 TILEPROP CLBLM_R_X5Y50 ROW 103 TILEPROP CLBLM_R_X5Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X5Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y50 TILE_X -71298 TILEPROP CLBLM_R_X5Y50 TILE_Y -78400 TILEPROP CLBLM_R_X5Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y51 CLASS tile TILEPROP CLBLM_R_X5Y51 COLUMN 17 TILEPROP CLBLM_R_X5Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y51 FIRST_SITE_ID 10225 TILEPROP CLBLM_R_X5Y51 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X5Y51 INDEX 11747 TILEPROP CLBLM_R_X5Y51 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X5Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y51 NAME CLBLM_R_X5Y51 TILEPROP CLBLM_R_X5Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y51 NUM_SITES 2 TILEPROP CLBLM_R_X5Y51 ROW 102 TILEPROP CLBLM_R_X5Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y51 TILE_X -71298 TILEPROP CLBLM_R_X5Y51 TILE_Y -75200 TILEPROP CLBLM_R_X5Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y52 CLASS tile TILEPROP CLBLM_R_X5Y52 COLUMN 17 TILEPROP CLBLM_R_X5Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y52 FIRST_SITE_ID 10125 TILEPROP CLBLM_R_X5Y52 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X5Y52 INDEX 11632 TILEPROP CLBLM_R_X5Y52 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X5Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y52 NAME CLBLM_R_X5Y52 TILEPROP CLBLM_R_X5Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y52 NUM_SITES 2 TILEPROP CLBLM_R_X5Y52 ROW 101 TILEPROP CLBLM_R_X5Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y52 TILE_X -71298 TILEPROP CLBLM_R_X5Y52 TILE_Y -72000 TILEPROP CLBLM_R_X5Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y53 CLASS tile TILEPROP CLBLM_R_X5Y53 COLUMN 17 TILEPROP CLBLM_R_X5Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y53 FIRST_SITE_ID 10025 TILEPROP CLBLM_R_X5Y53 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X5Y53 INDEX 11517 TILEPROP CLBLM_R_X5Y53 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X5Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y53 NAME CLBLM_R_X5Y53 TILEPROP CLBLM_R_X5Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y53 NUM_SITES 2 TILEPROP CLBLM_R_X5Y53 ROW 100 TILEPROP CLBLM_R_X5Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y53 TILE_X -71298 TILEPROP CLBLM_R_X5Y53 TILE_Y -68800 TILEPROP CLBLM_R_X5Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y54 CLASS tile TILEPROP CLBLM_R_X5Y54 COLUMN 17 TILEPROP CLBLM_R_X5Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y54 FIRST_SITE_ID 9925 TILEPROP CLBLM_R_X5Y54 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X5Y54 INDEX 11402 TILEPROP CLBLM_R_X5Y54 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X5Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y54 NAME CLBLM_R_X5Y54 TILEPROP CLBLM_R_X5Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y54 NUM_SITES 2 TILEPROP CLBLM_R_X5Y54 ROW 99 TILEPROP CLBLM_R_X5Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y54 TILE_X -71298 TILEPROP CLBLM_R_X5Y54 TILE_Y -65600 TILEPROP CLBLM_R_X5Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y55 CLASS tile TILEPROP CLBLM_R_X5Y55 COLUMN 17 TILEPROP CLBLM_R_X5Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y55 FIRST_SITE_ID 9810 TILEPROP CLBLM_R_X5Y55 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X5Y55 INDEX 11287 TILEPROP CLBLM_R_X5Y55 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X5Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y55 NAME CLBLM_R_X5Y55 TILEPROP CLBLM_R_X5Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y55 NUM_SITES 2 TILEPROP CLBLM_R_X5Y55 ROW 98 TILEPROP CLBLM_R_X5Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y55 TILE_X -71298 TILEPROP CLBLM_R_X5Y55 TILE_Y -62400 TILEPROP CLBLM_R_X5Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y56 CLASS tile TILEPROP CLBLM_R_X5Y56 COLUMN 17 TILEPROP CLBLM_R_X5Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y56 FIRST_SITE_ID 9710 TILEPROP CLBLM_R_X5Y56 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X5Y56 INDEX 11172 TILEPROP CLBLM_R_X5Y56 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X5Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y56 NAME CLBLM_R_X5Y56 TILEPROP CLBLM_R_X5Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y56 NUM_SITES 2 TILEPROP CLBLM_R_X5Y56 ROW 97 TILEPROP CLBLM_R_X5Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y56 TILE_X -71298 TILEPROP CLBLM_R_X5Y56 TILE_Y -59200 TILEPROP CLBLM_R_X5Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y57 CLASS tile TILEPROP CLBLM_R_X5Y57 COLUMN 17 TILEPROP CLBLM_R_X5Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y57 FIRST_SITE_ID 9608 TILEPROP CLBLM_R_X5Y57 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X5Y57 INDEX 11057 TILEPROP CLBLM_R_X5Y57 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X5Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y57 NAME CLBLM_R_X5Y57 TILEPROP CLBLM_R_X5Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y57 NUM_SITES 2 TILEPROP CLBLM_R_X5Y57 ROW 96 TILEPROP CLBLM_R_X5Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y57 TILE_X -71298 TILEPROP CLBLM_R_X5Y57 TILE_Y -56000 TILEPROP CLBLM_R_X5Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y58 CLASS tile TILEPROP CLBLM_R_X5Y58 COLUMN 17 TILEPROP CLBLM_R_X5Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y58 FIRST_SITE_ID 9505 TILEPROP CLBLM_R_X5Y58 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X5Y58 INDEX 10942 TILEPROP CLBLM_R_X5Y58 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X5Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y58 NAME CLBLM_R_X5Y58 TILEPROP CLBLM_R_X5Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y58 NUM_SITES 2 TILEPROP CLBLM_R_X5Y58 ROW 95 TILEPROP CLBLM_R_X5Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y58 TILE_X -71298 TILEPROP CLBLM_R_X5Y58 TILE_Y -52800 TILEPROP CLBLM_R_X5Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y59 CLASS tile TILEPROP CLBLM_R_X5Y59 COLUMN 17 TILEPROP CLBLM_R_X5Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y59 FIRST_SITE_ID 9404 TILEPROP CLBLM_R_X5Y59 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X5Y59 INDEX 10827 TILEPROP CLBLM_R_X5Y59 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X5Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y59 NAME CLBLM_R_X5Y59 TILEPROP CLBLM_R_X5Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y59 NUM_SITES 2 TILEPROP CLBLM_R_X5Y59 ROW 94 TILEPROP CLBLM_R_X5Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y59 TILE_X -71298 TILEPROP CLBLM_R_X5Y59 TILE_Y -49600 TILEPROP CLBLM_R_X5Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y60 CLASS tile TILEPROP CLBLM_R_X5Y60 COLUMN 17 TILEPROP CLBLM_R_X5Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y60 FIRST_SITE_ID 9289 TILEPROP CLBLM_R_X5Y60 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X5Y60 INDEX 10712 TILEPROP CLBLM_R_X5Y60 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X5Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y60 NAME CLBLM_R_X5Y60 TILEPROP CLBLM_R_X5Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y60 NUM_SITES 2 TILEPROP CLBLM_R_X5Y60 ROW 93 TILEPROP CLBLM_R_X5Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y60 TILE_X -71298 TILEPROP CLBLM_R_X5Y60 TILE_Y -46400 TILEPROP CLBLM_R_X5Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y61 CLASS tile TILEPROP CLBLM_R_X5Y61 COLUMN 17 TILEPROP CLBLM_R_X5Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y61 FIRST_SITE_ID 9189 TILEPROP CLBLM_R_X5Y61 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X5Y61 INDEX 10597 TILEPROP CLBLM_R_X5Y61 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X5Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y61 NAME CLBLM_R_X5Y61 TILEPROP CLBLM_R_X5Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y61 NUM_SITES 2 TILEPROP CLBLM_R_X5Y61 ROW 92 TILEPROP CLBLM_R_X5Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y61 TILE_X -71298 TILEPROP CLBLM_R_X5Y61 TILE_Y -43200 TILEPROP CLBLM_R_X5Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y62 CLASS tile TILEPROP CLBLM_R_X5Y62 COLUMN 17 TILEPROP CLBLM_R_X5Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y62 FIRST_SITE_ID 9057 TILEPROP CLBLM_R_X5Y62 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X5Y62 INDEX 10482 TILEPROP CLBLM_R_X5Y62 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X5Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y62 NAME CLBLM_R_X5Y62 TILEPROP CLBLM_R_X5Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y62 NUM_SITES 2 TILEPROP CLBLM_R_X5Y62 ROW 91 TILEPROP CLBLM_R_X5Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y62 TILE_X -71298 TILEPROP CLBLM_R_X5Y62 TILE_Y -40000 TILEPROP CLBLM_R_X5Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y63 CLASS tile TILEPROP CLBLM_R_X5Y63 COLUMN 17 TILEPROP CLBLM_R_X5Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y63 FIRST_SITE_ID 8957 TILEPROP CLBLM_R_X5Y63 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X5Y63 INDEX 10367 TILEPROP CLBLM_R_X5Y63 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X5Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y63 NAME CLBLM_R_X5Y63 TILEPROP CLBLM_R_X5Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y63 NUM_SITES 2 TILEPROP CLBLM_R_X5Y63 ROW 90 TILEPROP CLBLM_R_X5Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y63 TILE_X -71298 TILEPROP CLBLM_R_X5Y63 TILE_Y -36800 TILEPROP CLBLM_R_X5Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y64 CLASS tile TILEPROP CLBLM_R_X5Y64 COLUMN 17 TILEPROP CLBLM_R_X5Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y64 FIRST_SITE_ID 8857 TILEPROP CLBLM_R_X5Y64 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X5Y64 INDEX 10252 TILEPROP CLBLM_R_X5Y64 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X5Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y64 NAME CLBLM_R_X5Y64 TILEPROP CLBLM_R_X5Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y64 NUM_SITES 2 TILEPROP CLBLM_R_X5Y64 ROW 89 TILEPROP CLBLM_R_X5Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y64 TILE_X -71298 TILEPROP CLBLM_R_X5Y64 TILE_Y -33600 TILEPROP CLBLM_R_X5Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y65 CLASS tile TILEPROP CLBLM_R_X5Y65 COLUMN 17 TILEPROP CLBLM_R_X5Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y65 FIRST_SITE_ID 8742 TILEPROP CLBLM_R_X5Y65 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X5Y65 INDEX 10137 TILEPROP CLBLM_R_X5Y65 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X5Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y65 NAME CLBLM_R_X5Y65 TILEPROP CLBLM_R_X5Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y65 NUM_SITES 2 TILEPROP CLBLM_R_X5Y65 ROW 88 TILEPROP CLBLM_R_X5Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y65 TILE_X -71298 TILEPROP CLBLM_R_X5Y65 TILE_Y -30400 TILEPROP CLBLM_R_X5Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y66 CLASS tile TILEPROP CLBLM_R_X5Y66 COLUMN 17 TILEPROP CLBLM_R_X5Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y66 FIRST_SITE_ID 8642 TILEPROP CLBLM_R_X5Y66 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X5Y66 INDEX 10022 TILEPROP CLBLM_R_X5Y66 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X5Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y66 NAME CLBLM_R_X5Y66 TILEPROP CLBLM_R_X5Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y66 NUM_SITES 2 TILEPROP CLBLM_R_X5Y66 ROW 87 TILEPROP CLBLM_R_X5Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y66 TILE_X -71298 TILEPROP CLBLM_R_X5Y66 TILE_Y -27200 TILEPROP CLBLM_R_X5Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y67 CLASS tile TILEPROP CLBLM_R_X5Y67 COLUMN 17 TILEPROP CLBLM_R_X5Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y67 FIRST_SITE_ID 8538 TILEPROP CLBLM_R_X5Y67 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X5Y67 INDEX 9907 TILEPROP CLBLM_R_X5Y67 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X5Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y67 NAME CLBLM_R_X5Y67 TILEPROP CLBLM_R_X5Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y67 NUM_SITES 2 TILEPROP CLBLM_R_X5Y67 ROW 86 TILEPROP CLBLM_R_X5Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y67 TILE_X -71298 TILEPROP CLBLM_R_X5Y67 TILE_Y -24000 TILEPROP CLBLM_R_X5Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y68 CLASS tile TILEPROP CLBLM_R_X5Y68 COLUMN 17 TILEPROP CLBLM_R_X5Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y68 FIRST_SITE_ID 8434 TILEPROP CLBLM_R_X5Y68 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X5Y68 INDEX 9792 TILEPROP CLBLM_R_X5Y68 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X5Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y68 NAME CLBLM_R_X5Y68 TILEPROP CLBLM_R_X5Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y68 NUM_SITES 2 TILEPROP CLBLM_R_X5Y68 ROW 85 TILEPROP CLBLM_R_X5Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y68 TILE_X -71298 TILEPROP CLBLM_R_X5Y68 TILE_Y -20800 TILEPROP CLBLM_R_X5Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y69 CLASS tile TILEPROP CLBLM_R_X5Y69 COLUMN 17 TILEPROP CLBLM_R_X5Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y69 FIRST_SITE_ID 8332 TILEPROP CLBLM_R_X5Y69 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X5Y69 INDEX 9677 TILEPROP CLBLM_R_X5Y69 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X5Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y69 NAME CLBLM_R_X5Y69 TILEPROP CLBLM_R_X5Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y69 NUM_SITES 2 TILEPROP CLBLM_R_X5Y69 ROW 84 TILEPROP CLBLM_R_X5Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y69 TILE_X -71298 TILEPROP CLBLM_R_X5Y69 TILE_Y -17600 TILEPROP CLBLM_R_X5Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y70 CLASS tile TILEPROP CLBLM_R_X5Y70 COLUMN 17 TILEPROP CLBLM_R_X5Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y70 FIRST_SITE_ID 8215 TILEPROP CLBLM_R_X5Y70 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X5Y70 INDEX 9562 TILEPROP CLBLM_R_X5Y70 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X5Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y70 NAME CLBLM_R_X5Y70 TILEPROP CLBLM_R_X5Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y70 NUM_SITES 2 TILEPROP CLBLM_R_X5Y70 ROW 83 TILEPROP CLBLM_R_X5Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y70 TILE_X -71298 TILEPROP CLBLM_R_X5Y70 TILE_Y -14400 TILEPROP CLBLM_R_X5Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y71 CLASS tile TILEPROP CLBLM_R_X5Y71 COLUMN 17 TILEPROP CLBLM_R_X5Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y71 FIRST_SITE_ID 8115 TILEPROP CLBLM_R_X5Y71 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X5Y71 INDEX 9447 TILEPROP CLBLM_R_X5Y71 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X5Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y71 NAME CLBLM_R_X5Y71 TILEPROP CLBLM_R_X5Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y71 NUM_SITES 2 TILEPROP CLBLM_R_X5Y71 ROW 82 TILEPROP CLBLM_R_X5Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y71 TILE_X -71298 TILEPROP CLBLM_R_X5Y71 TILE_Y -11200 TILEPROP CLBLM_R_X5Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y72 CLASS tile TILEPROP CLBLM_R_X5Y72 COLUMN 17 TILEPROP CLBLM_R_X5Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y72 FIRST_SITE_ID 8015 TILEPROP CLBLM_R_X5Y72 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X5Y72 INDEX 9332 TILEPROP CLBLM_R_X5Y72 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X5Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y72 NAME CLBLM_R_X5Y72 TILEPROP CLBLM_R_X5Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y72 NUM_SITES 2 TILEPROP CLBLM_R_X5Y72 ROW 81 TILEPROP CLBLM_R_X5Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y72 TILE_X -71298 TILEPROP CLBLM_R_X5Y72 TILE_Y -8000 TILEPROP CLBLM_R_X5Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y73 CLASS tile TILEPROP CLBLM_R_X5Y73 COLUMN 17 TILEPROP CLBLM_R_X5Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y73 FIRST_SITE_ID 7915 TILEPROP CLBLM_R_X5Y73 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X5Y73 INDEX 9217 TILEPROP CLBLM_R_X5Y73 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X5Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y73 NAME CLBLM_R_X5Y73 TILEPROP CLBLM_R_X5Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y73 NUM_SITES 2 TILEPROP CLBLM_R_X5Y73 ROW 80 TILEPROP CLBLM_R_X5Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y73 TILE_X -71298 TILEPROP CLBLM_R_X5Y73 TILE_Y -4800 TILEPROP CLBLM_R_X5Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y74 CLASS tile TILEPROP CLBLM_R_X5Y74 COLUMN 17 TILEPROP CLBLM_R_X5Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y74 FIRST_SITE_ID 7815 TILEPROP CLBLM_R_X5Y74 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X5Y74 INDEX 9102 TILEPROP CLBLM_R_X5Y74 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X5Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y74 NAME CLBLM_R_X5Y74 TILEPROP CLBLM_R_X5Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y74 NUM_SITES 2 TILEPROP CLBLM_R_X5Y74 ROW 79 TILEPROP CLBLM_R_X5Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X5Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y74 TILE_X -71298 TILEPROP CLBLM_R_X5Y74 TILE_Y -1600 TILEPROP CLBLM_R_X5Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y75 CLASS tile TILEPROP CLBLM_R_X5Y75 COLUMN 17 TILEPROP CLBLM_R_X5Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y75 FIRST_SITE_ID 7614 TILEPROP CLBLM_R_X5Y75 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X5Y75 INDEX 8872 TILEPROP CLBLM_R_X5Y75 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X5Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y75 NAME CLBLM_R_X5Y75 TILEPROP CLBLM_R_X5Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y75 NUM_SITES 2 TILEPROP CLBLM_R_X5Y75 ROW 77 TILEPROP CLBLM_R_X5Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X5Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y75 TILE_X -71298 TILEPROP CLBLM_R_X5Y75 TILE_Y 2624 TILEPROP CLBLM_R_X5Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y76 CLASS tile TILEPROP CLBLM_R_X5Y76 COLUMN 17 TILEPROP CLBLM_R_X5Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y76 FIRST_SITE_ID 7514 TILEPROP CLBLM_R_X5Y76 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X5Y76 INDEX 8757 TILEPROP CLBLM_R_X5Y76 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X5Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y76 NAME CLBLM_R_X5Y76 TILEPROP CLBLM_R_X5Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y76 NUM_SITES 2 TILEPROP CLBLM_R_X5Y76 ROW 76 TILEPROP CLBLM_R_X5Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y76 TILE_X -71298 TILEPROP CLBLM_R_X5Y76 TILE_Y 5824 TILEPROP CLBLM_R_X5Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y77 CLASS tile TILEPROP CLBLM_R_X5Y77 COLUMN 17 TILEPROP CLBLM_R_X5Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y77 FIRST_SITE_ID 7414 TILEPROP CLBLM_R_X5Y77 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X5Y77 INDEX 8642 TILEPROP CLBLM_R_X5Y77 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X5Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y77 NAME CLBLM_R_X5Y77 TILEPROP CLBLM_R_X5Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y77 NUM_SITES 2 TILEPROP CLBLM_R_X5Y77 ROW 75 TILEPROP CLBLM_R_X5Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y77 TILE_X -71298 TILEPROP CLBLM_R_X5Y77 TILE_Y 9024 TILEPROP CLBLM_R_X5Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y78 CLASS tile TILEPROP CLBLM_R_X5Y78 COLUMN 17 TILEPROP CLBLM_R_X5Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y78 FIRST_SITE_ID 7314 TILEPROP CLBLM_R_X5Y78 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X5Y78 INDEX 8527 TILEPROP CLBLM_R_X5Y78 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X5Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y78 NAME CLBLM_R_X5Y78 TILEPROP CLBLM_R_X5Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y78 NUM_SITES 2 TILEPROP CLBLM_R_X5Y78 ROW 74 TILEPROP CLBLM_R_X5Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y78 TILE_X -71298 TILEPROP CLBLM_R_X5Y78 TILE_Y 12224 TILEPROP CLBLM_R_X5Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y79 CLASS tile TILEPROP CLBLM_R_X5Y79 COLUMN 17 TILEPROP CLBLM_R_X5Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y79 FIRST_SITE_ID 7208 TILEPROP CLBLM_R_X5Y79 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X5Y79 INDEX 8412 TILEPROP CLBLM_R_X5Y79 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X5Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y79 NAME CLBLM_R_X5Y79 TILEPROP CLBLM_R_X5Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y79 NUM_SITES 2 TILEPROP CLBLM_R_X5Y79 ROW 73 TILEPROP CLBLM_R_X5Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y79 TILE_X -71298 TILEPROP CLBLM_R_X5Y79 TILE_Y 15424 TILEPROP CLBLM_R_X5Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y80 CLASS tile TILEPROP CLBLM_R_X5Y80 COLUMN 17 TILEPROP CLBLM_R_X5Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y80 FIRST_SITE_ID 7087 TILEPROP CLBLM_R_X5Y80 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X5Y80 INDEX 8297 TILEPROP CLBLM_R_X5Y80 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X5Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y80 NAME CLBLM_R_X5Y80 TILEPROP CLBLM_R_X5Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y80 NUM_SITES 2 TILEPROP CLBLM_R_X5Y80 ROW 72 TILEPROP CLBLM_R_X5Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y80 TILE_X -71298 TILEPROP CLBLM_R_X5Y80 TILE_Y 18624 TILEPROP CLBLM_R_X5Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y81 CLASS tile TILEPROP CLBLM_R_X5Y81 COLUMN 17 TILEPROP CLBLM_R_X5Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y81 FIRST_SITE_ID 6985 TILEPROP CLBLM_R_X5Y81 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X5Y81 INDEX 8182 TILEPROP CLBLM_R_X5Y81 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X5Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y81 NAME CLBLM_R_X5Y81 TILEPROP CLBLM_R_X5Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y81 NUM_SITES 2 TILEPROP CLBLM_R_X5Y81 ROW 71 TILEPROP CLBLM_R_X5Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y81 TILE_X -71298 TILEPROP CLBLM_R_X5Y81 TILE_Y 21824 TILEPROP CLBLM_R_X5Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y82 CLASS tile TILEPROP CLBLM_R_X5Y82 COLUMN 17 TILEPROP CLBLM_R_X5Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y82 FIRST_SITE_ID 6883 TILEPROP CLBLM_R_X5Y82 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X5Y82 INDEX 8067 TILEPROP CLBLM_R_X5Y82 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X5Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y82 NAME CLBLM_R_X5Y82 TILEPROP CLBLM_R_X5Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y82 NUM_SITES 2 TILEPROP CLBLM_R_X5Y82 ROW 70 TILEPROP CLBLM_R_X5Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y82 TILE_X -71298 TILEPROP CLBLM_R_X5Y82 TILE_Y 25024 TILEPROP CLBLM_R_X5Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y83 CLASS tile TILEPROP CLBLM_R_X5Y83 COLUMN 17 TILEPROP CLBLM_R_X5Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y83 FIRST_SITE_ID 6783 TILEPROP CLBLM_R_X5Y83 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X5Y83 INDEX 7952 TILEPROP CLBLM_R_X5Y83 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X5Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y83 NAME CLBLM_R_X5Y83 TILEPROP CLBLM_R_X5Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y83 NUM_SITES 2 TILEPROP CLBLM_R_X5Y83 ROW 69 TILEPROP CLBLM_R_X5Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y83 TILE_X -71298 TILEPROP CLBLM_R_X5Y83 TILE_Y 28224 TILEPROP CLBLM_R_X5Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y84 CLASS tile TILEPROP CLBLM_R_X5Y84 COLUMN 17 TILEPROP CLBLM_R_X5Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y84 FIRST_SITE_ID 6683 TILEPROP CLBLM_R_X5Y84 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X5Y84 INDEX 7837 TILEPROP CLBLM_R_X5Y84 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X5Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y84 NAME CLBLM_R_X5Y84 TILEPROP CLBLM_R_X5Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y84 NUM_SITES 2 TILEPROP CLBLM_R_X5Y84 ROW 68 TILEPROP CLBLM_R_X5Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y84 TILE_X -71298 TILEPROP CLBLM_R_X5Y84 TILE_Y 31424 TILEPROP CLBLM_R_X5Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y85 CLASS tile TILEPROP CLBLM_R_X5Y85 COLUMN 17 TILEPROP CLBLM_R_X5Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y85 FIRST_SITE_ID 6568 TILEPROP CLBLM_R_X5Y85 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X5Y85 INDEX 7722 TILEPROP CLBLM_R_X5Y85 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X5Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y85 NAME CLBLM_R_X5Y85 TILEPROP CLBLM_R_X5Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y85 NUM_SITES 2 TILEPROP CLBLM_R_X5Y85 ROW 67 TILEPROP CLBLM_R_X5Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y85 TILE_X -71298 TILEPROP CLBLM_R_X5Y85 TILE_Y 34624 TILEPROP CLBLM_R_X5Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y86 CLASS tile TILEPROP CLBLM_R_X5Y86 COLUMN 17 TILEPROP CLBLM_R_X5Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y86 FIRST_SITE_ID 6436 TILEPROP CLBLM_R_X5Y86 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X5Y86 INDEX 7607 TILEPROP CLBLM_R_X5Y86 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X5Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y86 NAME CLBLM_R_X5Y86 TILEPROP CLBLM_R_X5Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y86 NUM_SITES 2 TILEPROP CLBLM_R_X5Y86 ROW 66 TILEPROP CLBLM_R_X5Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y86 TILE_X -71298 TILEPROP CLBLM_R_X5Y86 TILE_Y 37824 TILEPROP CLBLM_R_X5Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y87 CLASS tile TILEPROP CLBLM_R_X5Y87 COLUMN 17 TILEPROP CLBLM_R_X5Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y87 FIRST_SITE_ID 6336 TILEPROP CLBLM_R_X5Y87 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X5Y87 INDEX 7492 TILEPROP CLBLM_R_X5Y87 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X5Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y87 NAME CLBLM_R_X5Y87 TILEPROP CLBLM_R_X5Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y87 NUM_SITES 2 TILEPROP CLBLM_R_X5Y87 ROW 65 TILEPROP CLBLM_R_X5Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y87 TILE_X -71298 TILEPROP CLBLM_R_X5Y87 TILE_Y 41024 TILEPROP CLBLM_R_X5Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y88 CLASS tile TILEPROP CLBLM_R_X5Y88 COLUMN 17 TILEPROP CLBLM_R_X5Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y88 FIRST_SITE_ID 6236 TILEPROP CLBLM_R_X5Y88 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X5Y88 INDEX 7377 TILEPROP CLBLM_R_X5Y88 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X5Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y88 NAME CLBLM_R_X5Y88 TILEPROP CLBLM_R_X5Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y88 NUM_SITES 2 TILEPROP CLBLM_R_X5Y88 ROW 64 TILEPROP CLBLM_R_X5Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y88 TILE_X -71298 TILEPROP CLBLM_R_X5Y88 TILE_Y 44224 TILEPROP CLBLM_R_X5Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y89 CLASS tile TILEPROP CLBLM_R_X5Y89 COLUMN 17 TILEPROP CLBLM_R_X5Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y89 FIRST_SITE_ID 6136 TILEPROP CLBLM_R_X5Y89 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X5Y89 INDEX 7262 TILEPROP CLBLM_R_X5Y89 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X5Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y89 NAME CLBLM_R_X5Y89 TILEPROP CLBLM_R_X5Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y89 NUM_SITES 2 TILEPROP CLBLM_R_X5Y89 ROW 63 TILEPROP CLBLM_R_X5Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y89 TILE_X -71298 TILEPROP CLBLM_R_X5Y89 TILE_Y 47424 TILEPROP CLBLM_R_X5Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y90 CLASS tile TILEPROP CLBLM_R_X5Y90 COLUMN 17 TILEPROP CLBLM_R_X5Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y90 FIRST_SITE_ID 6021 TILEPROP CLBLM_R_X5Y90 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X5Y90 INDEX 7147 TILEPROP CLBLM_R_X5Y90 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X5Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y90 NAME CLBLM_R_X5Y90 TILEPROP CLBLM_R_X5Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y90 NUM_SITES 2 TILEPROP CLBLM_R_X5Y90 ROW 62 TILEPROP CLBLM_R_X5Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y90 TILE_X -71298 TILEPROP CLBLM_R_X5Y90 TILE_Y 50624 TILEPROP CLBLM_R_X5Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y91 CLASS tile TILEPROP CLBLM_R_X5Y91 COLUMN 17 TILEPROP CLBLM_R_X5Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y91 FIRST_SITE_ID 5921 TILEPROP CLBLM_R_X5Y91 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X5Y91 INDEX 7032 TILEPROP CLBLM_R_X5Y91 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X5Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y91 NAME CLBLM_R_X5Y91 TILEPROP CLBLM_R_X5Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y91 NUM_SITES 2 TILEPROP CLBLM_R_X5Y91 ROW 61 TILEPROP CLBLM_R_X5Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y91 TILE_X -71298 TILEPROP CLBLM_R_X5Y91 TILE_Y 53824 TILEPROP CLBLM_R_X5Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y92 CLASS tile TILEPROP CLBLM_R_X5Y92 COLUMN 17 TILEPROP CLBLM_R_X5Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y92 FIRST_SITE_ID 5820 TILEPROP CLBLM_R_X5Y92 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X5Y92 INDEX 6917 TILEPROP CLBLM_R_X5Y92 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X5Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y92 NAME CLBLM_R_X5Y92 TILEPROP CLBLM_R_X5Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y92 NUM_SITES 2 TILEPROP CLBLM_R_X5Y92 ROW 60 TILEPROP CLBLM_R_X5Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y92 TILE_X -71298 TILEPROP CLBLM_R_X5Y92 TILE_Y 57024 TILEPROP CLBLM_R_X5Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y93 CLASS tile TILEPROP CLBLM_R_X5Y93 COLUMN 17 TILEPROP CLBLM_R_X5Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y93 FIRST_SITE_ID 5717 TILEPROP CLBLM_R_X5Y93 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X5Y93 INDEX 6802 TILEPROP CLBLM_R_X5Y93 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X5Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y93 NAME CLBLM_R_X5Y93 TILEPROP CLBLM_R_X5Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y93 NUM_SITES 2 TILEPROP CLBLM_R_X5Y93 ROW 59 TILEPROP CLBLM_R_X5Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y93 TILE_X -71298 TILEPROP CLBLM_R_X5Y93 TILE_Y 60224 TILEPROP CLBLM_R_X5Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y94 CLASS tile TILEPROP CLBLM_R_X5Y94 COLUMN 17 TILEPROP CLBLM_R_X5Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y94 FIRST_SITE_ID 5615 TILEPROP CLBLM_R_X5Y94 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X5Y94 INDEX 6687 TILEPROP CLBLM_R_X5Y94 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X5Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y94 NAME CLBLM_R_X5Y94 TILEPROP CLBLM_R_X5Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y94 NUM_SITES 2 TILEPROP CLBLM_R_X5Y94 ROW 58 TILEPROP CLBLM_R_X5Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y94 TILE_X -71298 TILEPROP CLBLM_R_X5Y94 TILE_Y 63424 TILEPROP CLBLM_R_X5Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y95 CLASS tile TILEPROP CLBLM_R_X5Y95 COLUMN 17 TILEPROP CLBLM_R_X5Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y95 FIRST_SITE_ID 5500 TILEPROP CLBLM_R_X5Y95 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X5Y95 INDEX 6572 TILEPROP CLBLM_R_X5Y95 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X5Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y95 NAME CLBLM_R_X5Y95 TILEPROP CLBLM_R_X5Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y95 NUM_SITES 2 TILEPROP CLBLM_R_X5Y95 ROW 57 TILEPROP CLBLM_R_X5Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y95 TILE_X -71298 TILEPROP CLBLM_R_X5Y95 TILE_Y 66624 TILEPROP CLBLM_R_X5Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y96 CLASS tile TILEPROP CLBLM_R_X5Y96 COLUMN 17 TILEPROP CLBLM_R_X5Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y96 FIRST_SITE_ID 5400 TILEPROP CLBLM_R_X5Y96 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X5Y96 INDEX 6457 TILEPROP CLBLM_R_X5Y96 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X5Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y96 NAME CLBLM_R_X5Y96 TILEPROP CLBLM_R_X5Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y96 NUM_SITES 2 TILEPROP CLBLM_R_X5Y96 ROW 56 TILEPROP CLBLM_R_X5Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y96 TILE_X -71298 TILEPROP CLBLM_R_X5Y96 TILE_Y 69824 TILEPROP CLBLM_R_X5Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y97 CLASS tile TILEPROP CLBLM_R_X5Y97 COLUMN 17 TILEPROP CLBLM_R_X5Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y97 FIRST_SITE_ID 5300 TILEPROP CLBLM_R_X5Y97 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X5Y97 INDEX 6342 TILEPROP CLBLM_R_X5Y97 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X5Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y97 NAME CLBLM_R_X5Y97 TILEPROP CLBLM_R_X5Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y97 NUM_SITES 2 TILEPROP CLBLM_R_X5Y97 ROW 55 TILEPROP CLBLM_R_X5Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y97 TILE_X -71298 TILEPROP CLBLM_R_X5Y97 TILE_Y 73024 TILEPROP CLBLM_R_X5Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y98 CLASS tile TILEPROP CLBLM_R_X5Y98 COLUMN 17 TILEPROP CLBLM_R_X5Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y98 FIRST_SITE_ID 5200 TILEPROP CLBLM_R_X5Y98 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X5Y98 INDEX 6227 TILEPROP CLBLM_R_X5Y98 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X5Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y98 NAME CLBLM_R_X5Y98 TILEPROP CLBLM_R_X5Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y98 NUM_SITES 2 TILEPROP CLBLM_R_X5Y98 ROW 54 TILEPROP CLBLM_R_X5Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y98 TILE_X -71298 TILEPROP CLBLM_R_X5Y98 TILE_Y 76224 TILEPROP CLBLM_R_X5Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y99 CLASS tile TILEPROP CLBLM_R_X5Y99 COLUMN 17 TILEPROP CLBLM_R_X5Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y99 FIRST_SITE_ID 5104 TILEPROP CLBLM_R_X5Y99 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X5Y99 INDEX 6112 TILEPROP CLBLM_R_X5Y99 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X5Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y99 NAME CLBLM_R_X5Y99 TILEPROP CLBLM_R_X5Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y99 NUM_SITES 2 TILEPROP CLBLM_R_X5Y99 ROW 53 TILEPROP CLBLM_R_X5Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y99 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X5Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y99 TILE_X -71298 TILEPROP CLBLM_R_X5Y99 TILE_Y 79424 TILEPROP CLBLM_R_X5Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y100 CLASS tile TILEPROP CLBLM_R_X5Y100 COLUMN 17 TILEPROP CLBLM_R_X5Y100 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y100 FIRST_SITE_ID 5003 TILEPROP CLBLM_R_X5Y100 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y100 GRID_POINT_Y 51 TILEPROP CLBLM_R_X5Y100 INDEX 5882 TILEPROP CLBLM_R_X5Y100 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y100 INT_TILE_Y 49 TILEPROP CLBLM_R_X5Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y100 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y100 NAME CLBLM_R_X5Y100 TILEPROP CLBLM_R_X5Y100 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y100 NUM_SITES 2 TILEPROP CLBLM_R_X5Y100 ROW 51 TILEPROP CLBLM_R_X5Y100 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y100 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X5Y100 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y100 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y100 TILE_X -71298 TILEPROP CLBLM_R_X5Y100 TILE_Y 82872 TILEPROP CLBLM_R_X5Y100 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y101 CLASS tile TILEPROP CLBLM_R_X5Y101 COLUMN 17 TILEPROP CLBLM_R_X5Y101 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y101 FIRST_SITE_ID 4911 TILEPROP CLBLM_R_X5Y101 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y101 GRID_POINT_Y 50 TILEPROP CLBLM_R_X5Y101 INDEX 5767 TILEPROP CLBLM_R_X5Y101 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y101 INT_TILE_Y 48 TILEPROP CLBLM_R_X5Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y101 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y101 NAME CLBLM_R_X5Y101 TILEPROP CLBLM_R_X5Y101 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y101 NUM_SITES 2 TILEPROP CLBLM_R_X5Y101 ROW 50 TILEPROP CLBLM_R_X5Y101 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y101 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y101 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y101 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y101 TILE_X -71298 TILEPROP CLBLM_R_X5Y101 TILE_Y 86072 TILEPROP CLBLM_R_X5Y101 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y102 CLASS tile TILEPROP CLBLM_R_X5Y102 COLUMN 17 TILEPROP CLBLM_R_X5Y102 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y102 FIRST_SITE_ID 4815 TILEPROP CLBLM_R_X5Y102 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y102 GRID_POINT_Y 49 TILEPROP CLBLM_R_X5Y102 INDEX 5652 TILEPROP CLBLM_R_X5Y102 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y102 INT_TILE_Y 47 TILEPROP CLBLM_R_X5Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y102 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y102 NAME CLBLM_R_X5Y102 TILEPROP CLBLM_R_X5Y102 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y102 NUM_SITES 2 TILEPROP CLBLM_R_X5Y102 ROW 49 TILEPROP CLBLM_R_X5Y102 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y102 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y102 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y102 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y102 TILE_X -71298 TILEPROP CLBLM_R_X5Y102 TILE_Y 89272 TILEPROP CLBLM_R_X5Y102 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y103 CLASS tile TILEPROP CLBLM_R_X5Y103 COLUMN 17 TILEPROP CLBLM_R_X5Y103 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y103 FIRST_SITE_ID 4727 TILEPROP CLBLM_R_X5Y103 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y103 GRID_POINT_Y 48 TILEPROP CLBLM_R_X5Y103 INDEX 5537 TILEPROP CLBLM_R_X5Y103 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y103 INT_TILE_Y 46 TILEPROP CLBLM_R_X5Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y103 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y103 NAME CLBLM_R_X5Y103 TILEPROP CLBLM_R_X5Y103 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y103 NUM_SITES 2 TILEPROP CLBLM_R_X5Y103 ROW 48 TILEPROP CLBLM_R_X5Y103 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y103 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y103 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y103 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y103 TILE_X -71298 TILEPROP CLBLM_R_X5Y103 TILE_Y 92472 TILEPROP CLBLM_R_X5Y103 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y104 CLASS tile TILEPROP CLBLM_R_X5Y104 COLUMN 17 TILEPROP CLBLM_R_X5Y104 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y104 FIRST_SITE_ID 4631 TILEPROP CLBLM_R_X5Y104 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y104 GRID_POINT_Y 47 TILEPROP CLBLM_R_X5Y104 INDEX 5422 TILEPROP CLBLM_R_X5Y104 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y104 INT_TILE_Y 45 TILEPROP CLBLM_R_X5Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y104 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y104 NAME CLBLM_R_X5Y104 TILEPROP CLBLM_R_X5Y104 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y104 NUM_SITES 2 TILEPROP CLBLM_R_X5Y104 ROW 47 TILEPROP CLBLM_R_X5Y104 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y104 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y104 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y104 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y104 TILE_X -71298 TILEPROP CLBLM_R_X5Y104 TILE_Y 95672 TILEPROP CLBLM_R_X5Y104 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y105 CLASS tile TILEPROP CLBLM_R_X5Y105 COLUMN 17 TILEPROP CLBLM_R_X5Y105 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y105 FIRST_SITE_ID 4529 TILEPROP CLBLM_R_X5Y105 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y105 GRID_POINT_Y 46 TILEPROP CLBLM_R_X5Y105 INDEX 5307 TILEPROP CLBLM_R_X5Y105 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y105 INT_TILE_Y 44 TILEPROP CLBLM_R_X5Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y105 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y105 NAME CLBLM_R_X5Y105 TILEPROP CLBLM_R_X5Y105 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y105 NUM_SITES 2 TILEPROP CLBLM_R_X5Y105 ROW 46 TILEPROP CLBLM_R_X5Y105 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y105 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y105 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y105 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y105 TILE_X -71298 TILEPROP CLBLM_R_X5Y105 TILE_Y 98872 TILEPROP CLBLM_R_X5Y105 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y106 CLASS tile TILEPROP CLBLM_R_X5Y106 COLUMN 17 TILEPROP CLBLM_R_X5Y106 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y106 FIRST_SITE_ID 4433 TILEPROP CLBLM_R_X5Y106 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y106 GRID_POINT_Y 45 TILEPROP CLBLM_R_X5Y106 INDEX 5192 TILEPROP CLBLM_R_X5Y106 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y106 INT_TILE_Y 43 TILEPROP CLBLM_R_X5Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y106 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y106 NAME CLBLM_R_X5Y106 TILEPROP CLBLM_R_X5Y106 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y106 NUM_SITES 2 TILEPROP CLBLM_R_X5Y106 ROW 45 TILEPROP CLBLM_R_X5Y106 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y106 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y106 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y106 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y106 TILE_X -71298 TILEPROP CLBLM_R_X5Y106 TILE_Y 102072 TILEPROP CLBLM_R_X5Y106 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y107 CLASS tile TILEPROP CLBLM_R_X5Y107 COLUMN 17 TILEPROP CLBLM_R_X5Y107 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y107 FIRST_SITE_ID 4345 TILEPROP CLBLM_R_X5Y107 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y107 GRID_POINT_Y 44 TILEPROP CLBLM_R_X5Y107 INDEX 5077 TILEPROP CLBLM_R_X5Y107 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y107 INT_TILE_Y 42 TILEPROP CLBLM_R_X5Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y107 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y107 NAME CLBLM_R_X5Y107 TILEPROP CLBLM_R_X5Y107 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y107 NUM_SITES 2 TILEPROP CLBLM_R_X5Y107 ROW 44 TILEPROP CLBLM_R_X5Y107 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y107 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y107 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y107 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y107 TILE_X -71298 TILEPROP CLBLM_R_X5Y107 TILE_Y 105272 TILEPROP CLBLM_R_X5Y107 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y108 CLASS tile TILEPROP CLBLM_R_X5Y108 COLUMN 17 TILEPROP CLBLM_R_X5Y108 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y108 FIRST_SITE_ID 4247 TILEPROP CLBLM_R_X5Y108 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y108 GRID_POINT_Y 43 TILEPROP CLBLM_R_X5Y108 INDEX 4962 TILEPROP CLBLM_R_X5Y108 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y108 INT_TILE_Y 41 TILEPROP CLBLM_R_X5Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y108 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y108 NAME CLBLM_R_X5Y108 TILEPROP CLBLM_R_X5Y108 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y108 NUM_SITES 2 TILEPROP CLBLM_R_X5Y108 ROW 43 TILEPROP CLBLM_R_X5Y108 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y108 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y108 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y108 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y108 TILE_X -71298 TILEPROP CLBLM_R_X5Y108 TILE_Y 108472 TILEPROP CLBLM_R_X5Y108 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y109 CLASS tile TILEPROP CLBLM_R_X5Y109 COLUMN 17 TILEPROP CLBLM_R_X5Y109 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y109 FIRST_SITE_ID 4158 TILEPROP CLBLM_R_X5Y109 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y109 GRID_POINT_Y 42 TILEPROP CLBLM_R_X5Y109 INDEX 4847 TILEPROP CLBLM_R_X5Y109 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y109 INT_TILE_Y 40 TILEPROP CLBLM_R_X5Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y109 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y109 NAME CLBLM_R_X5Y109 TILEPROP CLBLM_R_X5Y109 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y109 NUM_SITES 2 TILEPROP CLBLM_R_X5Y109 ROW 42 TILEPROP CLBLM_R_X5Y109 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y109 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y109 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y109 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y109 TILE_X -71298 TILEPROP CLBLM_R_X5Y109 TILE_Y 111672 TILEPROP CLBLM_R_X5Y109 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y110 CLASS tile TILEPROP CLBLM_R_X5Y110 COLUMN 17 TILEPROP CLBLM_R_X5Y110 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y110 FIRST_SITE_ID 4052 TILEPROP CLBLM_R_X5Y110 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y110 GRID_POINT_Y 41 TILEPROP CLBLM_R_X5Y110 INDEX 4732 TILEPROP CLBLM_R_X5Y110 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y110 INT_TILE_Y 39 TILEPROP CLBLM_R_X5Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y110 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y110 NAME CLBLM_R_X5Y110 TILEPROP CLBLM_R_X5Y110 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y110 NUM_SITES 2 TILEPROP CLBLM_R_X5Y110 ROW 41 TILEPROP CLBLM_R_X5Y110 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y110 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y110 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y110 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y110 TILE_X -71298 TILEPROP CLBLM_R_X5Y110 TILE_Y 114872 TILEPROP CLBLM_R_X5Y110 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y111 CLASS tile TILEPROP CLBLM_R_X5Y111 COLUMN 17 TILEPROP CLBLM_R_X5Y111 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y111 FIRST_SITE_ID 3964 TILEPROP CLBLM_R_X5Y111 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y111 GRID_POINT_Y 40 TILEPROP CLBLM_R_X5Y111 INDEX 4617 TILEPROP CLBLM_R_X5Y111 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y111 INT_TILE_Y 38 TILEPROP CLBLM_R_X5Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y111 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y111 NAME CLBLM_R_X5Y111 TILEPROP CLBLM_R_X5Y111 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y111 NUM_SITES 2 TILEPROP CLBLM_R_X5Y111 ROW 40 TILEPROP CLBLM_R_X5Y111 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y111 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y111 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y111 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y111 TILE_X -71298 TILEPROP CLBLM_R_X5Y111 TILE_Y 118072 TILEPROP CLBLM_R_X5Y111 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y112 CLASS tile TILEPROP CLBLM_R_X5Y112 COLUMN 17 TILEPROP CLBLM_R_X5Y112 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y112 FIRST_SITE_ID 3836 TILEPROP CLBLM_R_X5Y112 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y112 GRID_POINT_Y 39 TILEPROP CLBLM_R_X5Y112 INDEX 4502 TILEPROP CLBLM_R_X5Y112 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y112 INT_TILE_Y 37 TILEPROP CLBLM_R_X5Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y112 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y112 NAME CLBLM_R_X5Y112 TILEPROP CLBLM_R_X5Y112 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y112 NUM_SITES 2 TILEPROP CLBLM_R_X5Y112 ROW 39 TILEPROP CLBLM_R_X5Y112 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y112 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y112 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y112 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y112 TILE_X -71298 TILEPROP CLBLM_R_X5Y112 TILE_Y 121272 TILEPROP CLBLM_R_X5Y112 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y113 CLASS tile TILEPROP CLBLM_R_X5Y113 COLUMN 17 TILEPROP CLBLM_R_X5Y113 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y113 FIRST_SITE_ID 3748 TILEPROP CLBLM_R_X5Y113 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y113 GRID_POINT_Y 38 TILEPROP CLBLM_R_X5Y113 INDEX 4387 TILEPROP CLBLM_R_X5Y113 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y113 INT_TILE_Y 36 TILEPROP CLBLM_R_X5Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y113 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y113 NAME CLBLM_R_X5Y113 TILEPROP CLBLM_R_X5Y113 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y113 NUM_SITES 2 TILEPROP CLBLM_R_X5Y113 ROW 38 TILEPROP CLBLM_R_X5Y113 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y113 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y113 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y113 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y113 TILE_X -71298 TILEPROP CLBLM_R_X5Y113 TILE_Y 124472 TILEPROP CLBLM_R_X5Y113 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y114 CLASS tile TILEPROP CLBLM_R_X5Y114 COLUMN 17 TILEPROP CLBLM_R_X5Y114 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y114 FIRST_SITE_ID 3652 TILEPROP CLBLM_R_X5Y114 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y114 GRID_POINT_Y 37 TILEPROP CLBLM_R_X5Y114 INDEX 4272 TILEPROP CLBLM_R_X5Y114 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y114 INT_TILE_Y 35 TILEPROP CLBLM_R_X5Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y114 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y114 NAME CLBLM_R_X5Y114 TILEPROP CLBLM_R_X5Y114 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y114 NUM_SITES 2 TILEPROP CLBLM_R_X5Y114 ROW 37 TILEPROP CLBLM_R_X5Y114 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y114 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y114 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y114 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y114 TILE_X -71298 TILEPROP CLBLM_R_X5Y114 TILE_Y 127672 TILEPROP CLBLM_R_X5Y114 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y115 CLASS tile TILEPROP CLBLM_R_X5Y115 COLUMN 17 TILEPROP CLBLM_R_X5Y115 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y115 FIRST_SITE_ID 3555 TILEPROP CLBLM_R_X5Y115 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y115 GRID_POINT_Y 36 TILEPROP CLBLM_R_X5Y115 INDEX 4157 TILEPROP CLBLM_R_X5Y115 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y115 INT_TILE_Y 34 TILEPROP CLBLM_R_X5Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y115 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y115 NAME CLBLM_R_X5Y115 TILEPROP CLBLM_R_X5Y115 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y115 NUM_SITES 2 TILEPROP CLBLM_R_X5Y115 ROW 36 TILEPROP CLBLM_R_X5Y115 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y115 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y115 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y115 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y115 TILE_X -71298 TILEPROP CLBLM_R_X5Y115 TILE_Y 130872 TILEPROP CLBLM_R_X5Y115 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y116 CLASS tile TILEPROP CLBLM_R_X5Y116 COLUMN 17 TILEPROP CLBLM_R_X5Y116 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y116 FIRST_SITE_ID 3454 TILEPROP CLBLM_R_X5Y116 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y116 GRID_POINT_Y 35 TILEPROP CLBLM_R_X5Y116 INDEX 4042 TILEPROP CLBLM_R_X5Y116 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y116 INT_TILE_Y 33 TILEPROP CLBLM_R_X5Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y116 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y116 NAME CLBLM_R_X5Y116 TILEPROP CLBLM_R_X5Y116 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y116 NUM_SITES 2 TILEPROP CLBLM_R_X5Y116 ROW 35 TILEPROP CLBLM_R_X5Y116 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y116 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y116 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y116 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y116 TILE_X -71298 TILEPROP CLBLM_R_X5Y116 TILE_Y 134072 TILEPROP CLBLM_R_X5Y116 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y117 CLASS tile TILEPROP CLBLM_R_X5Y117 COLUMN 17 TILEPROP CLBLM_R_X5Y117 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y117 FIRST_SITE_ID 3366 TILEPROP CLBLM_R_X5Y117 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y117 GRID_POINT_Y 34 TILEPROP CLBLM_R_X5Y117 INDEX 3927 TILEPROP CLBLM_R_X5Y117 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y117 INT_TILE_Y 32 TILEPROP CLBLM_R_X5Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y117 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y117 NAME CLBLM_R_X5Y117 TILEPROP CLBLM_R_X5Y117 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y117 NUM_SITES 2 TILEPROP CLBLM_R_X5Y117 ROW 34 TILEPROP CLBLM_R_X5Y117 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y117 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y117 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y117 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y117 TILE_X -71298 TILEPROP CLBLM_R_X5Y117 TILE_Y 137272 TILEPROP CLBLM_R_X5Y117 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y118 CLASS tile TILEPROP CLBLM_R_X5Y118 COLUMN 17 TILEPROP CLBLM_R_X5Y118 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y118 FIRST_SITE_ID 3266 TILEPROP CLBLM_R_X5Y118 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y118 GRID_POINT_Y 33 TILEPROP CLBLM_R_X5Y118 INDEX 3812 TILEPROP CLBLM_R_X5Y118 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y118 INT_TILE_Y 31 TILEPROP CLBLM_R_X5Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y118 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y118 NAME CLBLM_R_X5Y118 TILEPROP CLBLM_R_X5Y118 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y118 NUM_SITES 2 TILEPROP CLBLM_R_X5Y118 ROW 33 TILEPROP CLBLM_R_X5Y118 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y118 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y118 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y118 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y118 TILE_X -71298 TILEPROP CLBLM_R_X5Y118 TILE_Y 140472 TILEPROP CLBLM_R_X5Y118 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y119 CLASS tile TILEPROP CLBLM_R_X5Y119 COLUMN 17 TILEPROP CLBLM_R_X5Y119 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y119 FIRST_SITE_ID 3178 TILEPROP CLBLM_R_X5Y119 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y119 GRID_POINT_Y 32 TILEPROP CLBLM_R_X5Y119 INDEX 3697 TILEPROP CLBLM_R_X5Y119 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y119 INT_TILE_Y 30 TILEPROP CLBLM_R_X5Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y119 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y119 NAME CLBLM_R_X5Y119 TILEPROP CLBLM_R_X5Y119 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y119 NUM_SITES 2 TILEPROP CLBLM_R_X5Y119 ROW 32 TILEPROP CLBLM_R_X5Y119 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y119 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y119 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y119 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y119 TILE_X -71298 TILEPROP CLBLM_R_X5Y119 TILE_Y 143672 TILEPROP CLBLM_R_X5Y119 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y120 CLASS tile TILEPROP CLBLM_R_X5Y120 COLUMN 17 TILEPROP CLBLM_R_X5Y120 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y120 FIRST_SITE_ID 3071 TILEPROP CLBLM_R_X5Y120 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y120 GRID_POINT_Y 31 TILEPROP CLBLM_R_X5Y120 INDEX 3582 TILEPROP CLBLM_R_X5Y120 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y120 INT_TILE_Y 29 TILEPROP CLBLM_R_X5Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y120 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y120 NAME CLBLM_R_X5Y120 TILEPROP CLBLM_R_X5Y120 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y120 NUM_SITES 2 TILEPROP CLBLM_R_X5Y120 ROW 31 TILEPROP CLBLM_R_X5Y120 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y120 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y120 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y120 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y120 TILE_X -71298 TILEPROP CLBLM_R_X5Y120 TILE_Y 146872 TILEPROP CLBLM_R_X5Y120 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y121 CLASS tile TILEPROP CLBLM_R_X5Y121 COLUMN 17 TILEPROP CLBLM_R_X5Y121 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y121 FIRST_SITE_ID 2983 TILEPROP CLBLM_R_X5Y121 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y121 GRID_POINT_Y 30 TILEPROP CLBLM_R_X5Y121 INDEX 3467 TILEPROP CLBLM_R_X5Y121 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y121 INT_TILE_Y 28 TILEPROP CLBLM_R_X5Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y121 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y121 NAME CLBLM_R_X5Y121 TILEPROP CLBLM_R_X5Y121 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y121 NUM_SITES 2 TILEPROP CLBLM_R_X5Y121 ROW 30 TILEPROP CLBLM_R_X5Y121 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y121 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y121 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y121 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y121 TILE_X -71298 TILEPROP CLBLM_R_X5Y121 TILE_Y 150072 TILEPROP CLBLM_R_X5Y121 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y122 CLASS tile TILEPROP CLBLM_R_X5Y122 COLUMN 17 TILEPROP CLBLM_R_X5Y122 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y122 FIRST_SITE_ID 2880 TILEPROP CLBLM_R_X5Y122 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y122 GRID_POINT_Y 29 TILEPROP CLBLM_R_X5Y122 INDEX 3352 TILEPROP CLBLM_R_X5Y122 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y122 INT_TILE_Y 27 TILEPROP CLBLM_R_X5Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y122 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y122 NAME CLBLM_R_X5Y122 TILEPROP CLBLM_R_X5Y122 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y122 NUM_SITES 2 TILEPROP CLBLM_R_X5Y122 ROW 29 TILEPROP CLBLM_R_X5Y122 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y122 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y122 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y122 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y122 TILE_X -71298 TILEPROP CLBLM_R_X5Y122 TILE_Y 153272 TILEPROP CLBLM_R_X5Y122 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y123 CLASS tile TILEPROP CLBLM_R_X5Y123 COLUMN 17 TILEPROP CLBLM_R_X5Y123 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y123 FIRST_SITE_ID 2792 TILEPROP CLBLM_R_X5Y123 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y123 GRID_POINT_Y 28 TILEPROP CLBLM_R_X5Y123 INDEX 3237 TILEPROP CLBLM_R_X5Y123 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y123 INT_TILE_Y 26 TILEPROP CLBLM_R_X5Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y123 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y123 NAME CLBLM_R_X5Y123 TILEPROP CLBLM_R_X5Y123 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y123 NUM_SITES 2 TILEPROP CLBLM_R_X5Y123 ROW 28 TILEPROP CLBLM_R_X5Y123 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y123 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y123 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y123 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y123 TILE_X -71298 TILEPROP CLBLM_R_X5Y123 TILE_Y 156472 TILEPROP CLBLM_R_X5Y123 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y124 CLASS tile TILEPROP CLBLM_R_X5Y124 COLUMN 17 TILEPROP CLBLM_R_X5Y124 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y124 FIRST_SITE_ID 2696 TILEPROP CLBLM_R_X5Y124 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y124 GRID_POINT_Y 27 TILEPROP CLBLM_R_X5Y124 INDEX 3122 TILEPROP CLBLM_R_X5Y124 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y124 INT_TILE_Y 25 TILEPROP CLBLM_R_X5Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y124 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y124 NAME CLBLM_R_X5Y124 TILEPROP CLBLM_R_X5Y124 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y124 NUM_SITES 2 TILEPROP CLBLM_R_X5Y124 ROW 27 TILEPROP CLBLM_R_X5Y124 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y124 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X5Y124 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y124 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y124 TILE_X -71298 TILEPROP CLBLM_R_X5Y124 TILE_Y 159672 TILEPROP CLBLM_R_X5Y124 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y125 CLASS tile TILEPROP CLBLM_R_X5Y125 COLUMN 17 TILEPROP CLBLM_R_X5Y125 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y125 FIRST_SITE_ID 2517 TILEPROP CLBLM_R_X5Y125 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y125 GRID_POINT_Y 25 TILEPROP CLBLM_R_X5Y125 INDEX 2892 TILEPROP CLBLM_R_X5Y125 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y125 INT_TILE_Y 24 TILEPROP CLBLM_R_X5Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y125 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y125 NAME CLBLM_R_X5Y125 TILEPROP CLBLM_R_X5Y125 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y125 NUM_SITES 2 TILEPROP CLBLM_R_X5Y125 ROW 25 TILEPROP CLBLM_R_X5Y125 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y125 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X5Y125 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y125 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y125 TILE_X -71298 TILEPROP CLBLM_R_X5Y125 TILE_Y 163896 TILEPROP CLBLM_R_X5Y125 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y126 CLASS tile TILEPROP CLBLM_R_X5Y126 COLUMN 17 TILEPROP CLBLM_R_X5Y126 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y126 FIRST_SITE_ID 2413 TILEPROP CLBLM_R_X5Y126 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y126 GRID_POINT_Y 24 TILEPROP CLBLM_R_X5Y126 INDEX 2777 TILEPROP CLBLM_R_X5Y126 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y126 INT_TILE_Y 23 TILEPROP CLBLM_R_X5Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y126 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y126 NAME CLBLM_R_X5Y126 TILEPROP CLBLM_R_X5Y126 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y126 NUM_SITES 2 TILEPROP CLBLM_R_X5Y126 ROW 24 TILEPROP CLBLM_R_X5Y126 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y126 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y126 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y126 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y126 TILE_X -71298 TILEPROP CLBLM_R_X5Y126 TILE_Y 167096 TILEPROP CLBLM_R_X5Y126 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y127 CLASS tile TILEPROP CLBLM_R_X5Y127 COLUMN 17 TILEPROP CLBLM_R_X5Y127 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y127 FIRST_SITE_ID 2317 TILEPROP CLBLM_R_X5Y127 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y127 GRID_POINT_Y 23 TILEPROP CLBLM_R_X5Y127 INDEX 2662 TILEPROP CLBLM_R_X5Y127 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y127 INT_TILE_Y 22 TILEPROP CLBLM_R_X5Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y127 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y127 NAME CLBLM_R_X5Y127 TILEPROP CLBLM_R_X5Y127 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y127 NUM_SITES 2 TILEPROP CLBLM_R_X5Y127 ROW 23 TILEPROP CLBLM_R_X5Y127 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y127 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y127 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y127 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y127 TILE_X -71298 TILEPROP CLBLM_R_X5Y127 TILE_Y 170296 TILEPROP CLBLM_R_X5Y127 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y128 CLASS tile TILEPROP CLBLM_R_X5Y128 COLUMN 17 TILEPROP CLBLM_R_X5Y128 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y128 FIRST_SITE_ID 2213 TILEPROP CLBLM_R_X5Y128 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y128 GRID_POINT_Y 22 TILEPROP CLBLM_R_X5Y128 INDEX 2547 TILEPROP CLBLM_R_X5Y128 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y128 INT_TILE_Y 21 TILEPROP CLBLM_R_X5Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y128 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y128 NAME CLBLM_R_X5Y128 TILEPROP CLBLM_R_X5Y128 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y128 NUM_SITES 2 TILEPROP CLBLM_R_X5Y128 ROW 22 TILEPROP CLBLM_R_X5Y128 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y128 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y128 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y128 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y128 TILE_X -71298 TILEPROP CLBLM_R_X5Y128 TILE_Y 173496 TILEPROP CLBLM_R_X5Y128 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y129 CLASS tile TILEPROP CLBLM_R_X5Y129 COLUMN 17 TILEPROP CLBLM_R_X5Y129 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y129 FIRST_SITE_ID 2117 TILEPROP CLBLM_R_X5Y129 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y129 GRID_POINT_Y 21 TILEPROP CLBLM_R_X5Y129 INDEX 2432 TILEPROP CLBLM_R_X5Y129 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y129 INT_TILE_Y 20 TILEPROP CLBLM_R_X5Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y129 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y129 NAME CLBLM_R_X5Y129 TILEPROP CLBLM_R_X5Y129 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y129 NUM_SITES 2 TILEPROP CLBLM_R_X5Y129 ROW 21 TILEPROP CLBLM_R_X5Y129 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y129 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y129 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y129 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y129 TILE_X -71298 TILEPROP CLBLM_R_X5Y129 TILE_Y 176696 TILEPROP CLBLM_R_X5Y129 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y130 CLASS tile TILEPROP CLBLM_R_X5Y130 COLUMN 17 TILEPROP CLBLM_R_X5Y130 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y130 FIRST_SITE_ID 1995 TILEPROP CLBLM_R_X5Y130 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y130 GRID_POINT_Y 20 TILEPROP CLBLM_R_X5Y130 INDEX 2317 TILEPROP CLBLM_R_X5Y130 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y130 INT_TILE_Y 19 TILEPROP CLBLM_R_X5Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y130 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y130 NAME CLBLM_R_X5Y130 TILEPROP CLBLM_R_X5Y130 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y130 NUM_SITES 2 TILEPROP CLBLM_R_X5Y130 ROW 20 TILEPROP CLBLM_R_X5Y130 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y130 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y130 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y130 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y130 TILE_X -71298 TILEPROP CLBLM_R_X5Y130 TILE_Y 179896 TILEPROP CLBLM_R_X5Y130 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y131 CLASS tile TILEPROP CLBLM_R_X5Y131 COLUMN 17 TILEPROP CLBLM_R_X5Y131 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y131 FIRST_SITE_ID 1899 TILEPROP CLBLM_R_X5Y131 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y131 GRID_POINT_Y 19 TILEPROP CLBLM_R_X5Y131 INDEX 2202 TILEPROP CLBLM_R_X5Y131 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y131 INT_TILE_Y 18 TILEPROP CLBLM_R_X5Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y131 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y131 NAME CLBLM_R_X5Y131 TILEPROP CLBLM_R_X5Y131 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y131 NUM_SITES 2 TILEPROP CLBLM_R_X5Y131 ROW 19 TILEPROP CLBLM_R_X5Y131 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y131 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y131 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y131 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y131 TILE_X -71298 TILEPROP CLBLM_R_X5Y131 TILE_Y 183096 TILEPROP CLBLM_R_X5Y131 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y132 CLASS tile TILEPROP CLBLM_R_X5Y132 COLUMN 17 TILEPROP CLBLM_R_X5Y132 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y132 FIRST_SITE_ID 1793 TILEPROP CLBLM_R_X5Y132 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y132 GRID_POINT_Y 18 TILEPROP CLBLM_R_X5Y132 INDEX 2087 TILEPROP CLBLM_R_X5Y132 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y132 INT_TILE_Y 17 TILEPROP CLBLM_R_X5Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y132 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y132 NAME CLBLM_R_X5Y132 TILEPROP CLBLM_R_X5Y132 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y132 NUM_SITES 2 TILEPROP CLBLM_R_X5Y132 ROW 18 TILEPROP CLBLM_R_X5Y132 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y132 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y132 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y132 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y132 TILE_X -71298 TILEPROP CLBLM_R_X5Y132 TILE_Y 186296 TILEPROP CLBLM_R_X5Y132 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y133 CLASS tile TILEPROP CLBLM_R_X5Y133 COLUMN 17 TILEPROP CLBLM_R_X5Y133 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y133 FIRST_SITE_ID 1692 TILEPROP CLBLM_R_X5Y133 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y133 GRID_POINT_Y 17 TILEPROP CLBLM_R_X5Y133 INDEX 1972 TILEPROP CLBLM_R_X5Y133 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y133 INT_TILE_Y 16 TILEPROP CLBLM_R_X5Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y133 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y133 NAME CLBLM_R_X5Y133 TILEPROP CLBLM_R_X5Y133 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y133 NUM_SITES 2 TILEPROP CLBLM_R_X5Y133 ROW 17 TILEPROP CLBLM_R_X5Y133 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y133 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y133 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y133 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y133 TILE_X -71298 TILEPROP CLBLM_R_X5Y133 TILE_Y 189496 TILEPROP CLBLM_R_X5Y133 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y134 CLASS tile TILEPROP CLBLM_R_X5Y134 COLUMN 17 TILEPROP CLBLM_R_X5Y134 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y134 FIRST_SITE_ID 1588 TILEPROP CLBLM_R_X5Y134 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y134 GRID_POINT_Y 16 TILEPROP CLBLM_R_X5Y134 INDEX 1857 TILEPROP CLBLM_R_X5Y134 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y134 INT_TILE_Y 15 TILEPROP CLBLM_R_X5Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y134 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y134 NAME CLBLM_R_X5Y134 TILEPROP CLBLM_R_X5Y134 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y134 NUM_SITES 2 TILEPROP CLBLM_R_X5Y134 ROW 16 TILEPROP CLBLM_R_X5Y134 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y134 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y134 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y134 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y134 TILE_X -71298 TILEPROP CLBLM_R_X5Y134 TILE_Y 192696 TILEPROP CLBLM_R_X5Y134 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y135 CLASS tile TILEPROP CLBLM_R_X5Y135 COLUMN 17 TILEPROP CLBLM_R_X5Y135 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y135 FIRST_SITE_ID 1480 TILEPROP CLBLM_R_X5Y135 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y135 GRID_POINT_Y 15 TILEPROP CLBLM_R_X5Y135 INDEX 1742 TILEPROP CLBLM_R_X5Y135 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y135 INT_TILE_Y 14 TILEPROP CLBLM_R_X5Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y135 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y135 NAME CLBLM_R_X5Y135 TILEPROP CLBLM_R_X5Y135 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y135 NUM_SITES 2 TILEPROP CLBLM_R_X5Y135 ROW 15 TILEPROP CLBLM_R_X5Y135 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y135 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y135 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y135 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y135 TILE_X -71298 TILEPROP CLBLM_R_X5Y135 TILE_Y 195896 TILEPROP CLBLM_R_X5Y135 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y136 CLASS tile TILEPROP CLBLM_R_X5Y136 COLUMN 17 TILEPROP CLBLM_R_X5Y136 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y136 FIRST_SITE_ID 1344 TILEPROP CLBLM_R_X5Y136 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y136 GRID_POINT_Y 14 TILEPROP CLBLM_R_X5Y136 INDEX 1627 TILEPROP CLBLM_R_X5Y136 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y136 INT_TILE_Y 13 TILEPROP CLBLM_R_X5Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y136 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y136 NAME CLBLM_R_X5Y136 TILEPROP CLBLM_R_X5Y136 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y136 NUM_SITES 2 TILEPROP CLBLM_R_X5Y136 ROW 14 TILEPROP CLBLM_R_X5Y136 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y136 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y136 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y136 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y136 TILE_X -71298 TILEPROP CLBLM_R_X5Y136 TILE_Y 199096 TILEPROP CLBLM_R_X5Y136 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y137 CLASS tile TILEPROP CLBLM_R_X5Y137 COLUMN 17 TILEPROP CLBLM_R_X5Y137 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y137 FIRST_SITE_ID 1248 TILEPROP CLBLM_R_X5Y137 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y137 GRID_POINT_Y 13 TILEPROP CLBLM_R_X5Y137 INDEX 1512 TILEPROP CLBLM_R_X5Y137 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y137 INT_TILE_Y 12 TILEPROP CLBLM_R_X5Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y137 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y137 NAME CLBLM_R_X5Y137 TILEPROP CLBLM_R_X5Y137 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y137 NUM_SITES 2 TILEPROP CLBLM_R_X5Y137 ROW 13 TILEPROP CLBLM_R_X5Y137 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y137 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y137 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y137 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y137 TILE_X -71298 TILEPROP CLBLM_R_X5Y137 TILE_Y 202296 TILEPROP CLBLM_R_X5Y137 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y138 CLASS tile TILEPROP CLBLM_R_X5Y138 COLUMN 17 TILEPROP CLBLM_R_X5Y138 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y138 FIRST_SITE_ID 1144 TILEPROP CLBLM_R_X5Y138 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y138 GRID_POINT_Y 12 TILEPROP CLBLM_R_X5Y138 INDEX 1397 TILEPROP CLBLM_R_X5Y138 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y138 INT_TILE_Y 11 TILEPROP CLBLM_R_X5Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y138 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y138 NAME CLBLM_R_X5Y138 TILEPROP CLBLM_R_X5Y138 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y138 NUM_SITES 2 TILEPROP CLBLM_R_X5Y138 ROW 12 TILEPROP CLBLM_R_X5Y138 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y138 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y138 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y138 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y138 TILE_X -71298 TILEPROP CLBLM_R_X5Y138 TILE_Y 205496 TILEPROP CLBLM_R_X5Y138 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y139 CLASS tile TILEPROP CLBLM_R_X5Y139 COLUMN 17 TILEPROP CLBLM_R_X5Y139 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y139 FIRST_SITE_ID 1048 TILEPROP CLBLM_R_X5Y139 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y139 GRID_POINT_Y 11 TILEPROP CLBLM_R_X5Y139 INDEX 1282 TILEPROP CLBLM_R_X5Y139 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y139 INT_TILE_Y 10 TILEPROP CLBLM_R_X5Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y139 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y139 NAME CLBLM_R_X5Y139 TILEPROP CLBLM_R_X5Y139 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y139 NUM_SITES 2 TILEPROP CLBLM_R_X5Y139 ROW 11 TILEPROP CLBLM_R_X5Y139 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y139 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y139 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y139 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y139 TILE_X -71298 TILEPROP CLBLM_R_X5Y139 TILE_Y 208696 TILEPROP CLBLM_R_X5Y139 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y140 CLASS tile TILEPROP CLBLM_R_X5Y140 COLUMN 17 TILEPROP CLBLM_R_X5Y140 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y140 FIRST_SITE_ID 932 TILEPROP CLBLM_R_X5Y140 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y140 GRID_POINT_Y 10 TILEPROP CLBLM_R_X5Y140 INDEX 1167 TILEPROP CLBLM_R_X5Y140 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y140 INT_TILE_Y 9 TILEPROP CLBLM_R_X5Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y140 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y140 NAME CLBLM_R_X5Y140 TILEPROP CLBLM_R_X5Y140 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y140 NUM_SITES 2 TILEPROP CLBLM_R_X5Y140 ROW 10 TILEPROP CLBLM_R_X5Y140 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y140 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y140 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y140 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y140 TILE_X -71298 TILEPROP CLBLM_R_X5Y140 TILE_Y 211896 TILEPROP CLBLM_R_X5Y140 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y141 CLASS tile TILEPROP CLBLM_R_X5Y141 COLUMN 17 TILEPROP CLBLM_R_X5Y141 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y141 FIRST_SITE_ID 836 TILEPROP CLBLM_R_X5Y141 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y141 GRID_POINT_Y 9 TILEPROP CLBLM_R_X5Y141 INDEX 1052 TILEPROP CLBLM_R_X5Y141 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y141 INT_TILE_Y 8 TILEPROP CLBLM_R_X5Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y141 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y141 NAME CLBLM_R_X5Y141 TILEPROP CLBLM_R_X5Y141 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y141 NUM_SITES 2 TILEPROP CLBLM_R_X5Y141 ROW 9 TILEPROP CLBLM_R_X5Y141 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y141 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y141 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y141 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y141 TILE_X -71298 TILEPROP CLBLM_R_X5Y141 TILE_Y 215096 TILEPROP CLBLM_R_X5Y141 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y142 CLASS tile TILEPROP CLBLM_R_X5Y142 COLUMN 17 TILEPROP CLBLM_R_X5Y142 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y142 FIRST_SITE_ID 732 TILEPROP CLBLM_R_X5Y142 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y142 GRID_POINT_Y 8 TILEPROP CLBLM_R_X5Y142 INDEX 937 TILEPROP CLBLM_R_X5Y142 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y142 INT_TILE_Y 7 TILEPROP CLBLM_R_X5Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y142 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y142 NAME CLBLM_R_X5Y142 TILEPROP CLBLM_R_X5Y142 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y142 NUM_SITES 2 TILEPROP CLBLM_R_X5Y142 ROW 8 TILEPROP CLBLM_R_X5Y142 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y142 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y142 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y142 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y142 TILE_X -71298 TILEPROP CLBLM_R_X5Y142 TILE_Y 218296 TILEPROP CLBLM_R_X5Y142 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y143 CLASS tile TILEPROP CLBLM_R_X5Y143 COLUMN 17 TILEPROP CLBLM_R_X5Y143 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y143 FIRST_SITE_ID 635 TILEPROP CLBLM_R_X5Y143 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y143 GRID_POINT_Y 7 TILEPROP CLBLM_R_X5Y143 INDEX 822 TILEPROP CLBLM_R_X5Y143 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y143 INT_TILE_Y 6 TILEPROP CLBLM_R_X5Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y143 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y143 NAME CLBLM_R_X5Y143 TILEPROP CLBLM_R_X5Y143 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y143 NUM_SITES 2 TILEPROP CLBLM_R_X5Y143 ROW 7 TILEPROP CLBLM_R_X5Y143 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y143 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y143 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y143 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y143 TILE_X -71298 TILEPROP CLBLM_R_X5Y143 TILE_Y 221496 TILEPROP CLBLM_R_X5Y143 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y144 CLASS tile TILEPROP CLBLM_R_X5Y144 COLUMN 17 TILEPROP CLBLM_R_X5Y144 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y144 FIRST_SITE_ID 524 TILEPROP CLBLM_R_X5Y144 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y144 GRID_POINT_Y 6 TILEPROP CLBLM_R_X5Y144 INDEX 707 TILEPROP CLBLM_R_X5Y144 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y144 INT_TILE_Y 5 TILEPROP CLBLM_R_X5Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y144 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y144 NAME CLBLM_R_X5Y144 TILEPROP CLBLM_R_X5Y144 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y144 NUM_SITES 2 TILEPROP CLBLM_R_X5Y144 ROW 6 TILEPROP CLBLM_R_X5Y144 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y144 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y144 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y144 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y144 TILE_X -71298 TILEPROP CLBLM_R_X5Y144 TILE_Y 224696 TILEPROP CLBLM_R_X5Y144 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y145 CLASS tile TILEPROP CLBLM_R_X5Y145 COLUMN 17 TILEPROP CLBLM_R_X5Y145 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y145 FIRST_SITE_ID 416 TILEPROP CLBLM_R_X5Y145 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y145 GRID_POINT_Y 5 TILEPROP CLBLM_R_X5Y145 INDEX 592 TILEPROP CLBLM_R_X5Y145 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y145 INT_TILE_Y 4 TILEPROP CLBLM_R_X5Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y145 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y145 NAME CLBLM_R_X5Y145 TILEPROP CLBLM_R_X5Y145 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y145 NUM_SITES 2 TILEPROP CLBLM_R_X5Y145 ROW 5 TILEPROP CLBLM_R_X5Y145 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y145 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y145 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y145 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y145 TILE_X -71298 TILEPROP CLBLM_R_X5Y145 TILE_Y 227896 TILEPROP CLBLM_R_X5Y145 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y146 CLASS tile TILEPROP CLBLM_R_X5Y146 COLUMN 17 TILEPROP CLBLM_R_X5Y146 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y146 FIRST_SITE_ID 312 TILEPROP CLBLM_R_X5Y146 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y146 GRID_POINT_Y 4 TILEPROP CLBLM_R_X5Y146 INDEX 477 TILEPROP CLBLM_R_X5Y146 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y146 INT_TILE_Y 3 TILEPROP CLBLM_R_X5Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y146 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y146 NAME CLBLM_R_X5Y146 TILEPROP CLBLM_R_X5Y146 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y146 NUM_SITES 2 TILEPROP CLBLM_R_X5Y146 ROW 4 TILEPROP CLBLM_R_X5Y146 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y146 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y146 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y146 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y146 TILE_X -71298 TILEPROP CLBLM_R_X5Y146 TILE_Y 231096 TILEPROP CLBLM_R_X5Y146 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y147 CLASS tile TILEPROP CLBLM_R_X5Y147 COLUMN 17 TILEPROP CLBLM_R_X5Y147 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y147 FIRST_SITE_ID 216 TILEPROP CLBLM_R_X5Y147 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y147 GRID_POINT_Y 3 TILEPROP CLBLM_R_X5Y147 INDEX 362 TILEPROP CLBLM_R_X5Y147 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y147 INT_TILE_Y 2 TILEPROP CLBLM_R_X5Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y147 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y147 NAME CLBLM_R_X5Y147 TILEPROP CLBLM_R_X5Y147 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y147 NUM_SITES 2 TILEPROP CLBLM_R_X5Y147 ROW 3 TILEPROP CLBLM_R_X5Y147 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y147 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y147 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y147 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y147 TILE_X -71298 TILEPROP CLBLM_R_X5Y147 TILE_Y 234296 TILEPROP CLBLM_R_X5Y147 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y148 CLASS tile TILEPROP CLBLM_R_X5Y148 COLUMN 17 TILEPROP CLBLM_R_X5Y148 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y148 FIRST_SITE_ID 112 TILEPROP CLBLM_R_X5Y148 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y148 GRID_POINT_Y 2 TILEPROP CLBLM_R_X5Y148 INDEX 247 TILEPROP CLBLM_R_X5Y148 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y148 INT_TILE_Y 1 TILEPROP CLBLM_R_X5Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y148 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y148 NAME CLBLM_R_X5Y148 TILEPROP CLBLM_R_X5Y148 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y148 NUM_SITES 2 TILEPROP CLBLM_R_X5Y148 ROW 2 TILEPROP CLBLM_R_X5Y148 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y148 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X5Y148 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y148 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y148 TILE_X -71298 TILEPROP CLBLM_R_X5Y148 TILE_Y 237496 TILEPROP CLBLM_R_X5Y148 TYPE CLBLM_R TILEPROP CLBLM_R_X5Y149 CLASS tile TILEPROP CLBLM_R_X5Y149 COLUMN 17 TILEPROP CLBLM_R_X5Y149 DEVICE_ID 0 TILEPROP CLBLM_R_X5Y149 FIRST_SITE_ID 16 TILEPROP CLBLM_R_X5Y149 GRID_POINT_X 17 TILEPROP CLBLM_R_X5Y149 GRID_POINT_Y 1 TILEPROP CLBLM_R_X5Y149 INDEX 132 TILEPROP CLBLM_R_X5Y149 INT_TILE_X 5 TILEPROP CLBLM_R_X5Y149 INT_TILE_Y 0 TILEPROP CLBLM_R_X5Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X5Y149 IS_DCM_TILE 0 TILEPROP CLBLM_R_X5Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X5Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X5Y149 NAME CLBLM_R_X5Y149 TILEPROP CLBLM_R_X5Y149 NUM_ARCS 151 TILEPROP CLBLM_R_X5Y149 NUM_SITES 2 TILEPROP CLBLM_R_X5Y149 ROW 1 TILEPROP CLBLM_R_X5Y149 SLR_REGION_ID 0 TILEPROP CLBLM_R_X5Y149 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X5Y149 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X5Y149 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X5Y149 TILE_X -71298 TILEPROP CLBLM_R_X5Y149 TILE_Y 240696 TILEPROP CLBLM_R_X5Y149 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y0 CLASS tile TILEPROP CLBLM_R_X7Y0 COLUMN 23 TILEPROP CLBLM_R_X7Y0 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y0 FIRST_SITE_ID 15725 TILEPROP CLBLM_R_X7Y0 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y0 GRID_POINT_Y 155 TILEPROP CLBLM_R_X7Y0 INDEX 17848 TILEPROP CLBLM_R_X7Y0 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y0 INT_TILE_Y 149 TILEPROP CLBLM_R_X7Y0 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y0 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y0 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y0 NAME CLBLM_R_X7Y0 TILEPROP CLBLM_R_X7Y0 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y0 NUM_SITES 2 TILEPROP CLBLM_R_X7Y0 ROW 155 TILEPROP CLBLM_R_X7Y0 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y0 TILE_PATTERN_IDX 812 TILEPROP CLBLM_R_X7Y0 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y0 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y0 TILE_X -59186 TILEPROP CLBLM_R_X7Y0 TILE_Y -239672 TILEPROP CLBLM_R_X7Y0 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y1 CLASS tile TILEPROP CLBLM_R_X7Y1 COLUMN 23 TILEPROP CLBLM_R_X7Y1 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y1 FIRST_SITE_ID 15617 TILEPROP CLBLM_R_X7Y1 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y1 GRID_POINT_Y 154 TILEPROP CLBLM_R_X7Y1 INDEX 17733 TILEPROP CLBLM_R_X7Y1 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y1 INT_TILE_Y 148 TILEPROP CLBLM_R_X7Y1 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y1 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y1 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y1 NAME CLBLM_R_X7Y1 TILEPROP CLBLM_R_X7Y1 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y1 NUM_SITES 2 TILEPROP CLBLM_R_X7Y1 ROW 154 TILEPROP CLBLM_R_X7Y1 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y1 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y1 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y1 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y1 TILE_X -59186 TILEPROP CLBLM_R_X7Y1 TILE_Y -236472 TILEPROP CLBLM_R_X7Y1 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y2 CLASS tile TILEPROP CLBLM_R_X7Y2 COLUMN 23 TILEPROP CLBLM_R_X7Y2 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y2 FIRST_SITE_ID 15517 TILEPROP CLBLM_R_X7Y2 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y2 GRID_POINT_Y 153 TILEPROP CLBLM_R_X7Y2 INDEX 17618 TILEPROP CLBLM_R_X7Y2 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y2 INT_TILE_Y 147 TILEPROP CLBLM_R_X7Y2 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y2 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y2 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y2 NAME CLBLM_R_X7Y2 TILEPROP CLBLM_R_X7Y2 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y2 NUM_SITES 2 TILEPROP CLBLM_R_X7Y2 ROW 153 TILEPROP CLBLM_R_X7Y2 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y2 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y2 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y2 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y2 TILE_X -59186 TILEPROP CLBLM_R_X7Y2 TILE_Y -233272 TILEPROP CLBLM_R_X7Y2 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y3 CLASS tile TILEPROP CLBLM_R_X7Y3 COLUMN 23 TILEPROP CLBLM_R_X7Y3 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y3 FIRST_SITE_ID 15417 TILEPROP CLBLM_R_X7Y3 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y3 GRID_POINT_Y 152 TILEPROP CLBLM_R_X7Y3 INDEX 17503 TILEPROP CLBLM_R_X7Y3 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y3 INT_TILE_Y 146 TILEPROP CLBLM_R_X7Y3 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y3 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y3 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y3 NAME CLBLM_R_X7Y3 TILEPROP CLBLM_R_X7Y3 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y3 NUM_SITES 2 TILEPROP CLBLM_R_X7Y3 ROW 152 TILEPROP CLBLM_R_X7Y3 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y3 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y3 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y3 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y3 TILE_X -59186 TILEPROP CLBLM_R_X7Y3 TILE_Y -230072 TILEPROP CLBLM_R_X7Y3 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y4 CLASS tile TILEPROP CLBLM_R_X7Y4 COLUMN 23 TILEPROP CLBLM_R_X7Y4 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y4 FIRST_SITE_ID 15317 TILEPROP CLBLM_R_X7Y4 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y4 GRID_POINT_Y 151 TILEPROP CLBLM_R_X7Y4 INDEX 17388 TILEPROP CLBLM_R_X7Y4 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y4 INT_TILE_Y 145 TILEPROP CLBLM_R_X7Y4 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y4 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y4 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y4 NAME CLBLM_R_X7Y4 TILEPROP CLBLM_R_X7Y4 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y4 NUM_SITES 2 TILEPROP CLBLM_R_X7Y4 ROW 151 TILEPROP CLBLM_R_X7Y4 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y4 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y4 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y4 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y4 TILE_X -59186 TILEPROP CLBLM_R_X7Y4 TILE_Y -226872 TILEPROP CLBLM_R_X7Y4 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y5 CLASS tile TILEPROP CLBLM_R_X7Y5 COLUMN 23 TILEPROP CLBLM_R_X7Y5 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y5 FIRST_SITE_ID 15205 TILEPROP CLBLM_R_X7Y5 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y5 GRID_POINT_Y 150 TILEPROP CLBLM_R_X7Y5 INDEX 17273 TILEPROP CLBLM_R_X7Y5 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y5 INT_TILE_Y 144 TILEPROP CLBLM_R_X7Y5 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y5 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y5 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y5 NAME CLBLM_R_X7Y5 TILEPROP CLBLM_R_X7Y5 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y5 NUM_SITES 2 TILEPROP CLBLM_R_X7Y5 ROW 150 TILEPROP CLBLM_R_X7Y5 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y5 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y5 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y5 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y5 TILE_X -59186 TILEPROP CLBLM_R_X7Y5 TILE_Y -223672 TILEPROP CLBLM_R_X7Y5 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y6 CLASS tile TILEPROP CLBLM_R_X7Y6 COLUMN 23 TILEPROP CLBLM_R_X7Y6 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y6 FIRST_SITE_ID 15102 TILEPROP CLBLM_R_X7Y6 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y6 GRID_POINT_Y 149 TILEPROP CLBLM_R_X7Y6 INDEX 17158 TILEPROP CLBLM_R_X7Y6 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y6 INT_TILE_Y 143 TILEPROP CLBLM_R_X7Y6 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y6 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y6 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y6 NAME CLBLM_R_X7Y6 TILEPROP CLBLM_R_X7Y6 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y6 NUM_SITES 2 TILEPROP CLBLM_R_X7Y6 ROW 149 TILEPROP CLBLM_R_X7Y6 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y6 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y6 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y6 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y6 TILE_X -59186 TILEPROP CLBLM_R_X7Y6 TILE_Y -220472 TILEPROP CLBLM_R_X7Y6 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y7 CLASS tile TILEPROP CLBLM_R_X7Y7 COLUMN 23 TILEPROP CLBLM_R_X7Y7 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y7 FIRST_SITE_ID 15000 TILEPROP CLBLM_R_X7Y7 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y7 GRID_POINT_Y 148 TILEPROP CLBLM_R_X7Y7 INDEX 17043 TILEPROP CLBLM_R_X7Y7 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y7 INT_TILE_Y 142 TILEPROP CLBLM_R_X7Y7 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y7 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y7 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y7 NAME CLBLM_R_X7Y7 TILEPROP CLBLM_R_X7Y7 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y7 NUM_SITES 2 TILEPROP CLBLM_R_X7Y7 ROW 148 TILEPROP CLBLM_R_X7Y7 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y7 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y7 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y7 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y7 TILE_X -59186 TILEPROP CLBLM_R_X7Y7 TILE_Y -217272 TILEPROP CLBLM_R_X7Y7 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y8 CLASS tile TILEPROP CLBLM_R_X7Y8 COLUMN 23 TILEPROP CLBLM_R_X7Y8 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y8 FIRST_SITE_ID 14897 TILEPROP CLBLM_R_X7Y8 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y8 GRID_POINT_Y 147 TILEPROP CLBLM_R_X7Y8 INDEX 16928 TILEPROP CLBLM_R_X7Y8 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y8 INT_TILE_Y 141 TILEPROP CLBLM_R_X7Y8 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y8 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y8 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y8 NAME CLBLM_R_X7Y8 TILEPROP CLBLM_R_X7Y8 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y8 NUM_SITES 2 TILEPROP CLBLM_R_X7Y8 ROW 147 TILEPROP CLBLM_R_X7Y8 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y8 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y8 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y8 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y8 TILE_X -59186 TILEPROP CLBLM_R_X7Y8 TILE_Y -214072 TILEPROP CLBLM_R_X7Y8 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y9 CLASS tile TILEPROP CLBLM_R_X7Y9 COLUMN 23 TILEPROP CLBLM_R_X7Y9 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y9 FIRST_SITE_ID 14796 TILEPROP CLBLM_R_X7Y9 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y9 GRID_POINT_Y 146 TILEPROP CLBLM_R_X7Y9 INDEX 16813 TILEPROP CLBLM_R_X7Y9 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y9 INT_TILE_Y 140 TILEPROP CLBLM_R_X7Y9 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y9 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y9 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y9 NAME CLBLM_R_X7Y9 TILEPROP CLBLM_R_X7Y9 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y9 NUM_SITES 2 TILEPROP CLBLM_R_X7Y9 ROW 146 TILEPROP CLBLM_R_X7Y9 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y9 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y9 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y9 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y9 TILE_X -59186 TILEPROP CLBLM_R_X7Y9 TILE_Y -210872 TILEPROP CLBLM_R_X7Y9 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y10 CLASS tile TILEPROP CLBLM_R_X7Y10 COLUMN 23 TILEPROP CLBLM_R_X7Y10 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y10 FIRST_SITE_ID 14684 TILEPROP CLBLM_R_X7Y10 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y10 GRID_POINT_Y 145 TILEPROP CLBLM_R_X7Y10 INDEX 16698 TILEPROP CLBLM_R_X7Y10 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y10 INT_TILE_Y 139 TILEPROP CLBLM_R_X7Y10 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y10 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y10 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y10 NAME CLBLM_R_X7Y10 TILEPROP CLBLM_R_X7Y10 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y10 NUM_SITES 2 TILEPROP CLBLM_R_X7Y10 ROW 145 TILEPROP CLBLM_R_X7Y10 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y10 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y10 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y10 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y10 TILE_X -59186 TILEPROP CLBLM_R_X7Y10 TILE_Y -207672 TILEPROP CLBLM_R_X7Y10 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y11 CLASS tile TILEPROP CLBLM_R_X7Y11 COLUMN 23 TILEPROP CLBLM_R_X7Y11 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y11 FIRST_SITE_ID 14581 TILEPROP CLBLM_R_X7Y11 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y11 GRID_POINT_Y 144 TILEPROP CLBLM_R_X7Y11 INDEX 16583 TILEPROP CLBLM_R_X7Y11 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y11 INT_TILE_Y 138 TILEPROP CLBLM_R_X7Y11 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y11 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y11 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y11 NAME CLBLM_R_X7Y11 TILEPROP CLBLM_R_X7Y11 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y11 NUM_SITES 2 TILEPROP CLBLM_R_X7Y11 ROW 144 TILEPROP CLBLM_R_X7Y11 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y11 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y11 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y11 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y11 TILE_X -59186 TILEPROP CLBLM_R_X7Y11 TILE_Y -204472 TILEPROP CLBLM_R_X7Y11 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y12 CLASS tile TILEPROP CLBLM_R_X7Y12 COLUMN 23 TILEPROP CLBLM_R_X7Y12 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y12 FIRST_SITE_ID 14449 TILEPROP CLBLM_R_X7Y12 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y12 GRID_POINT_Y 143 TILEPROP CLBLM_R_X7Y12 INDEX 16468 TILEPROP CLBLM_R_X7Y12 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y12 INT_TILE_Y 137 TILEPROP CLBLM_R_X7Y12 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y12 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y12 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y12 NAME CLBLM_R_X7Y12 TILEPROP CLBLM_R_X7Y12 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y12 NUM_SITES 2 TILEPROP CLBLM_R_X7Y12 ROW 143 TILEPROP CLBLM_R_X7Y12 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y12 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y12 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y12 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y12 TILE_X -59186 TILEPROP CLBLM_R_X7Y12 TILE_Y -201272 TILEPROP CLBLM_R_X7Y12 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y13 CLASS tile TILEPROP CLBLM_R_X7Y13 COLUMN 23 TILEPROP CLBLM_R_X7Y13 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y13 FIRST_SITE_ID 14349 TILEPROP CLBLM_R_X7Y13 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y13 GRID_POINT_Y 142 TILEPROP CLBLM_R_X7Y13 INDEX 16353 TILEPROP CLBLM_R_X7Y13 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y13 INT_TILE_Y 136 TILEPROP CLBLM_R_X7Y13 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y13 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y13 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y13 NAME CLBLM_R_X7Y13 TILEPROP CLBLM_R_X7Y13 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y13 NUM_SITES 2 TILEPROP CLBLM_R_X7Y13 ROW 142 TILEPROP CLBLM_R_X7Y13 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y13 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y13 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y13 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y13 TILE_X -59186 TILEPROP CLBLM_R_X7Y13 TILE_Y -198072 TILEPROP CLBLM_R_X7Y13 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y14 CLASS tile TILEPROP CLBLM_R_X7Y14 COLUMN 23 TILEPROP CLBLM_R_X7Y14 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y14 FIRST_SITE_ID 14249 TILEPROP CLBLM_R_X7Y14 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y14 GRID_POINT_Y 141 TILEPROP CLBLM_R_X7Y14 INDEX 16238 TILEPROP CLBLM_R_X7Y14 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y14 INT_TILE_Y 135 TILEPROP CLBLM_R_X7Y14 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y14 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y14 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y14 NAME CLBLM_R_X7Y14 TILEPROP CLBLM_R_X7Y14 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y14 NUM_SITES 2 TILEPROP CLBLM_R_X7Y14 ROW 141 TILEPROP CLBLM_R_X7Y14 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y14 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y14 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y14 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y14 TILE_X -59186 TILEPROP CLBLM_R_X7Y14 TILE_Y -194872 TILEPROP CLBLM_R_X7Y14 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y15 CLASS tile TILEPROP CLBLM_R_X7Y15 COLUMN 23 TILEPROP CLBLM_R_X7Y15 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y15 FIRST_SITE_ID 14137 TILEPROP CLBLM_R_X7Y15 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y15 GRID_POINT_Y 140 TILEPROP CLBLM_R_X7Y15 INDEX 16123 TILEPROP CLBLM_R_X7Y15 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y15 INT_TILE_Y 134 TILEPROP CLBLM_R_X7Y15 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y15 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y15 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y15 NAME CLBLM_R_X7Y15 TILEPROP CLBLM_R_X7Y15 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y15 NUM_SITES 2 TILEPROP CLBLM_R_X7Y15 ROW 140 TILEPROP CLBLM_R_X7Y15 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y15 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y15 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y15 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y15 TILE_X -59186 TILEPROP CLBLM_R_X7Y15 TILE_Y -191672 TILEPROP CLBLM_R_X7Y15 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y16 CLASS tile TILEPROP CLBLM_R_X7Y16 COLUMN 23 TILEPROP CLBLM_R_X7Y16 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y16 FIRST_SITE_ID 14034 TILEPROP CLBLM_R_X7Y16 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y16 GRID_POINT_Y 139 TILEPROP CLBLM_R_X7Y16 INDEX 16008 TILEPROP CLBLM_R_X7Y16 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y16 INT_TILE_Y 133 TILEPROP CLBLM_R_X7Y16 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y16 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y16 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y16 NAME CLBLM_R_X7Y16 TILEPROP CLBLM_R_X7Y16 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y16 NUM_SITES 2 TILEPROP CLBLM_R_X7Y16 ROW 139 TILEPROP CLBLM_R_X7Y16 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y16 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y16 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y16 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y16 TILE_X -59186 TILEPROP CLBLM_R_X7Y16 TILE_Y -188472 TILEPROP CLBLM_R_X7Y16 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y17 CLASS tile TILEPROP CLBLM_R_X7Y17 COLUMN 23 TILEPROP CLBLM_R_X7Y17 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y17 FIRST_SITE_ID 13929 TILEPROP CLBLM_R_X7Y17 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y17 GRID_POINT_Y 138 TILEPROP CLBLM_R_X7Y17 INDEX 15893 TILEPROP CLBLM_R_X7Y17 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y17 INT_TILE_Y 132 TILEPROP CLBLM_R_X7Y17 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y17 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y17 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y17 NAME CLBLM_R_X7Y17 TILEPROP CLBLM_R_X7Y17 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y17 NUM_SITES 2 TILEPROP CLBLM_R_X7Y17 ROW 138 TILEPROP CLBLM_R_X7Y17 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y17 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y17 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y17 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y17 TILE_X -59186 TILEPROP CLBLM_R_X7Y17 TILE_Y -185272 TILEPROP CLBLM_R_X7Y17 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y18 CLASS tile TILEPROP CLBLM_R_X7Y18 COLUMN 23 TILEPROP CLBLM_R_X7Y18 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y18 FIRST_SITE_ID 13825 TILEPROP CLBLM_R_X7Y18 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y18 GRID_POINT_Y 137 TILEPROP CLBLM_R_X7Y18 INDEX 15778 TILEPROP CLBLM_R_X7Y18 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y18 INT_TILE_Y 131 TILEPROP CLBLM_R_X7Y18 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y18 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y18 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y18 NAME CLBLM_R_X7Y18 TILEPROP CLBLM_R_X7Y18 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y18 NUM_SITES 2 TILEPROP CLBLM_R_X7Y18 ROW 137 TILEPROP CLBLM_R_X7Y18 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y18 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y18 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y18 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y18 TILE_X -59186 TILEPROP CLBLM_R_X7Y18 TILE_Y -182072 TILEPROP CLBLM_R_X7Y18 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y19 CLASS tile TILEPROP CLBLM_R_X7Y19 COLUMN 23 TILEPROP CLBLM_R_X7Y19 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y19 FIRST_SITE_ID 13723 TILEPROP CLBLM_R_X7Y19 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y19 GRID_POINT_Y 136 TILEPROP CLBLM_R_X7Y19 INDEX 15663 TILEPROP CLBLM_R_X7Y19 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y19 INT_TILE_Y 130 TILEPROP CLBLM_R_X7Y19 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y19 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y19 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y19 NAME CLBLM_R_X7Y19 TILEPROP CLBLM_R_X7Y19 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y19 NUM_SITES 2 TILEPROP CLBLM_R_X7Y19 ROW 136 TILEPROP CLBLM_R_X7Y19 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y19 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y19 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y19 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y19 TILE_X -59186 TILEPROP CLBLM_R_X7Y19 TILE_Y -178872 TILEPROP CLBLM_R_X7Y19 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y20 CLASS tile TILEPROP CLBLM_R_X7Y20 COLUMN 23 TILEPROP CLBLM_R_X7Y20 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y20 FIRST_SITE_ID 13609 TILEPROP CLBLM_R_X7Y20 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y20 GRID_POINT_Y 135 TILEPROP CLBLM_R_X7Y20 INDEX 15548 TILEPROP CLBLM_R_X7Y20 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y20 INT_TILE_Y 129 TILEPROP CLBLM_R_X7Y20 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y20 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y20 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y20 NAME CLBLM_R_X7Y20 TILEPROP CLBLM_R_X7Y20 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y20 NUM_SITES 2 TILEPROP CLBLM_R_X7Y20 ROW 135 TILEPROP CLBLM_R_X7Y20 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y20 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y20 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y20 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y20 TILE_X -59186 TILEPROP CLBLM_R_X7Y20 TILE_Y -175672 TILEPROP CLBLM_R_X7Y20 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y21 CLASS tile TILEPROP CLBLM_R_X7Y21 COLUMN 23 TILEPROP CLBLM_R_X7Y21 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y21 FIRST_SITE_ID 13506 TILEPROP CLBLM_R_X7Y21 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y21 GRID_POINT_Y 134 TILEPROP CLBLM_R_X7Y21 INDEX 15433 TILEPROP CLBLM_R_X7Y21 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y21 INT_TILE_Y 128 TILEPROP CLBLM_R_X7Y21 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y21 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y21 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y21 NAME CLBLM_R_X7Y21 TILEPROP CLBLM_R_X7Y21 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y21 NUM_SITES 2 TILEPROP CLBLM_R_X7Y21 ROW 134 TILEPROP CLBLM_R_X7Y21 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y21 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y21 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y21 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y21 TILE_X -59186 TILEPROP CLBLM_R_X7Y21 TILE_Y -172472 TILEPROP CLBLM_R_X7Y21 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y22 CLASS tile TILEPROP CLBLM_R_X7Y22 COLUMN 23 TILEPROP CLBLM_R_X7Y22 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y22 FIRST_SITE_ID 13406 TILEPROP CLBLM_R_X7Y22 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y22 GRID_POINT_Y 133 TILEPROP CLBLM_R_X7Y22 INDEX 15318 TILEPROP CLBLM_R_X7Y22 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y22 INT_TILE_Y 127 TILEPROP CLBLM_R_X7Y22 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y22 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y22 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y22 NAME CLBLM_R_X7Y22 TILEPROP CLBLM_R_X7Y22 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y22 NUM_SITES 2 TILEPROP CLBLM_R_X7Y22 ROW 133 TILEPROP CLBLM_R_X7Y22 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y22 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y22 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y22 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y22 TILE_X -59186 TILEPROP CLBLM_R_X7Y22 TILE_Y -169272 TILEPROP CLBLM_R_X7Y22 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y23 CLASS tile TILEPROP CLBLM_R_X7Y23 COLUMN 23 TILEPROP CLBLM_R_X7Y23 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y23 FIRST_SITE_ID 13306 TILEPROP CLBLM_R_X7Y23 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y23 GRID_POINT_Y 132 TILEPROP CLBLM_R_X7Y23 INDEX 15203 TILEPROP CLBLM_R_X7Y23 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y23 INT_TILE_Y 126 TILEPROP CLBLM_R_X7Y23 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y23 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y23 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y23 NAME CLBLM_R_X7Y23 TILEPROP CLBLM_R_X7Y23 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y23 NUM_SITES 2 TILEPROP CLBLM_R_X7Y23 ROW 132 TILEPROP CLBLM_R_X7Y23 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y23 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y23 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y23 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y23 TILE_X -59186 TILEPROP CLBLM_R_X7Y23 TILE_Y -166072 TILEPROP CLBLM_R_X7Y23 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y24 CLASS tile TILEPROP CLBLM_R_X7Y24 COLUMN 23 TILEPROP CLBLM_R_X7Y24 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y24 FIRST_SITE_ID 13206 TILEPROP CLBLM_R_X7Y24 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y24 GRID_POINT_Y 131 TILEPROP CLBLM_R_X7Y24 INDEX 15088 TILEPROP CLBLM_R_X7Y24 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y24 INT_TILE_Y 125 TILEPROP CLBLM_R_X7Y24 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y24 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y24 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y24 NAME CLBLM_R_X7Y24 TILEPROP CLBLM_R_X7Y24 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y24 NUM_SITES 2 TILEPROP CLBLM_R_X7Y24 ROW 131 TILEPROP CLBLM_R_X7Y24 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y24 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X7Y24 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y24 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y24 TILE_X -59186 TILEPROP CLBLM_R_X7Y24 TILE_Y -162872 TILEPROP CLBLM_R_X7Y24 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y25 CLASS tile TILEPROP CLBLM_R_X7Y25 COLUMN 23 TILEPROP CLBLM_R_X7Y25 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y25 FIRST_SITE_ID 13011 TILEPROP CLBLM_R_X7Y25 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y25 GRID_POINT_Y 129 TILEPROP CLBLM_R_X7Y25 INDEX 14858 TILEPROP CLBLM_R_X7Y25 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y25 INT_TILE_Y 124 TILEPROP CLBLM_R_X7Y25 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y25 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y25 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y25 NAME CLBLM_R_X7Y25 TILEPROP CLBLM_R_X7Y25 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y25 NUM_SITES 2 TILEPROP CLBLM_R_X7Y25 ROW 129 TILEPROP CLBLM_R_X7Y25 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y25 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X7Y25 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y25 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y25 TILE_X -59186 TILEPROP CLBLM_R_X7Y25 TILE_Y -158648 TILEPROP CLBLM_R_X7Y25 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y26 CLASS tile TILEPROP CLBLM_R_X7Y26 COLUMN 23 TILEPROP CLBLM_R_X7Y26 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y26 FIRST_SITE_ID 12908 TILEPROP CLBLM_R_X7Y26 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y26 GRID_POINT_Y 128 TILEPROP CLBLM_R_X7Y26 INDEX 14743 TILEPROP CLBLM_R_X7Y26 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y26 INT_TILE_Y 123 TILEPROP CLBLM_R_X7Y26 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y26 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y26 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y26 NAME CLBLM_R_X7Y26 TILEPROP CLBLM_R_X7Y26 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y26 NUM_SITES 2 TILEPROP CLBLM_R_X7Y26 ROW 128 TILEPROP CLBLM_R_X7Y26 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y26 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y26 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y26 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y26 TILE_X -59186 TILEPROP CLBLM_R_X7Y26 TILE_Y -155448 TILEPROP CLBLM_R_X7Y26 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y27 CLASS tile TILEPROP CLBLM_R_X7Y27 COLUMN 23 TILEPROP CLBLM_R_X7Y27 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y27 FIRST_SITE_ID 12808 TILEPROP CLBLM_R_X7Y27 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y27 GRID_POINT_Y 127 TILEPROP CLBLM_R_X7Y27 INDEX 14628 TILEPROP CLBLM_R_X7Y27 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y27 INT_TILE_Y 122 TILEPROP CLBLM_R_X7Y27 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y27 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y27 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y27 NAME CLBLM_R_X7Y27 TILEPROP CLBLM_R_X7Y27 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y27 NUM_SITES 2 TILEPROP CLBLM_R_X7Y27 ROW 127 TILEPROP CLBLM_R_X7Y27 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y27 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y27 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y27 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y27 TILE_X -59186 TILEPROP CLBLM_R_X7Y27 TILE_Y -152248 TILEPROP CLBLM_R_X7Y27 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y28 CLASS tile TILEPROP CLBLM_R_X7Y28 COLUMN 23 TILEPROP CLBLM_R_X7Y28 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y28 FIRST_SITE_ID 12708 TILEPROP CLBLM_R_X7Y28 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y28 GRID_POINT_Y 126 TILEPROP CLBLM_R_X7Y28 INDEX 14513 TILEPROP CLBLM_R_X7Y28 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y28 INT_TILE_Y 121 TILEPROP CLBLM_R_X7Y28 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y28 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y28 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y28 NAME CLBLM_R_X7Y28 TILEPROP CLBLM_R_X7Y28 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y28 NUM_SITES 2 TILEPROP CLBLM_R_X7Y28 ROW 126 TILEPROP CLBLM_R_X7Y28 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y28 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y28 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y28 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y28 TILE_X -59186 TILEPROP CLBLM_R_X7Y28 TILE_Y -149048 TILEPROP CLBLM_R_X7Y28 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y29 CLASS tile TILEPROP CLBLM_R_X7Y29 COLUMN 23 TILEPROP CLBLM_R_X7Y29 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y29 FIRST_SITE_ID 12602 TILEPROP CLBLM_R_X7Y29 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y29 GRID_POINT_Y 125 TILEPROP CLBLM_R_X7Y29 INDEX 14398 TILEPROP CLBLM_R_X7Y29 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y29 INT_TILE_Y 120 TILEPROP CLBLM_R_X7Y29 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y29 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y29 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y29 NAME CLBLM_R_X7Y29 TILEPROP CLBLM_R_X7Y29 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y29 NUM_SITES 2 TILEPROP CLBLM_R_X7Y29 ROW 125 TILEPROP CLBLM_R_X7Y29 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y29 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y29 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y29 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y29 TILE_X -59186 TILEPROP CLBLM_R_X7Y29 TILE_Y -145848 TILEPROP CLBLM_R_X7Y29 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y30 CLASS tile TILEPROP CLBLM_R_X7Y30 COLUMN 23 TILEPROP CLBLM_R_X7Y30 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y30 FIRST_SITE_ID 12471 TILEPROP CLBLM_R_X7Y30 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y30 GRID_POINT_Y 124 TILEPROP CLBLM_R_X7Y30 INDEX 14283 TILEPROP CLBLM_R_X7Y30 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y30 INT_TILE_Y 119 TILEPROP CLBLM_R_X7Y30 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y30 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y30 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y30 NAME CLBLM_R_X7Y30 TILEPROP CLBLM_R_X7Y30 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y30 NUM_SITES 2 TILEPROP CLBLM_R_X7Y30 ROW 124 TILEPROP CLBLM_R_X7Y30 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y30 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y30 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y30 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y30 TILE_X -59186 TILEPROP CLBLM_R_X7Y30 TILE_Y -142648 TILEPROP CLBLM_R_X7Y30 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y31 CLASS tile TILEPROP CLBLM_R_X7Y31 COLUMN 23 TILEPROP CLBLM_R_X7Y31 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y31 FIRST_SITE_ID 12366 TILEPROP CLBLM_R_X7Y31 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y31 GRID_POINT_Y 123 TILEPROP CLBLM_R_X7Y31 INDEX 14168 TILEPROP CLBLM_R_X7Y31 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y31 INT_TILE_Y 118 TILEPROP CLBLM_R_X7Y31 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y31 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y31 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y31 NAME CLBLM_R_X7Y31 TILEPROP CLBLM_R_X7Y31 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y31 NUM_SITES 2 TILEPROP CLBLM_R_X7Y31 ROW 123 TILEPROP CLBLM_R_X7Y31 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y31 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y31 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y31 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y31 TILE_X -59186 TILEPROP CLBLM_R_X7Y31 TILE_Y -139448 TILEPROP CLBLM_R_X7Y31 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y32 CLASS tile TILEPROP CLBLM_R_X7Y32 COLUMN 23 TILEPROP CLBLM_R_X7Y32 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y32 FIRST_SITE_ID 12263 TILEPROP CLBLM_R_X7Y32 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y32 GRID_POINT_Y 122 TILEPROP CLBLM_R_X7Y32 INDEX 14053 TILEPROP CLBLM_R_X7Y32 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y32 INT_TILE_Y 117 TILEPROP CLBLM_R_X7Y32 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y32 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y32 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y32 NAME CLBLM_R_X7Y32 TILEPROP CLBLM_R_X7Y32 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y32 NUM_SITES 2 TILEPROP CLBLM_R_X7Y32 ROW 122 TILEPROP CLBLM_R_X7Y32 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y32 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y32 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y32 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y32 TILE_X -59186 TILEPROP CLBLM_R_X7Y32 TILE_Y -136248 TILEPROP CLBLM_R_X7Y32 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y33 CLASS tile TILEPROP CLBLM_R_X7Y33 COLUMN 23 TILEPROP CLBLM_R_X7Y33 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y33 FIRST_SITE_ID 12163 TILEPROP CLBLM_R_X7Y33 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y33 GRID_POINT_Y 121 TILEPROP CLBLM_R_X7Y33 INDEX 13938 TILEPROP CLBLM_R_X7Y33 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y33 INT_TILE_Y 116 TILEPROP CLBLM_R_X7Y33 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y33 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y33 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y33 NAME CLBLM_R_X7Y33 TILEPROP CLBLM_R_X7Y33 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y33 NUM_SITES 2 TILEPROP CLBLM_R_X7Y33 ROW 121 TILEPROP CLBLM_R_X7Y33 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y33 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y33 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y33 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y33 TILE_X -59186 TILEPROP CLBLM_R_X7Y33 TILE_Y -133048 TILEPROP CLBLM_R_X7Y33 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y34 CLASS tile TILEPROP CLBLM_R_X7Y34 COLUMN 23 TILEPROP CLBLM_R_X7Y34 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y34 FIRST_SITE_ID 12063 TILEPROP CLBLM_R_X7Y34 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y34 GRID_POINT_Y 120 TILEPROP CLBLM_R_X7Y34 INDEX 13823 TILEPROP CLBLM_R_X7Y34 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y34 INT_TILE_Y 115 TILEPROP CLBLM_R_X7Y34 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y34 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y34 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y34 NAME CLBLM_R_X7Y34 TILEPROP CLBLM_R_X7Y34 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y34 NUM_SITES 2 TILEPROP CLBLM_R_X7Y34 ROW 120 TILEPROP CLBLM_R_X7Y34 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y34 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y34 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y34 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y34 TILE_X -59186 TILEPROP CLBLM_R_X7Y34 TILE_Y -129848 TILEPROP CLBLM_R_X7Y34 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y35 CLASS tile TILEPROP CLBLM_R_X7Y35 COLUMN 23 TILEPROP CLBLM_R_X7Y35 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y35 FIRST_SITE_ID 11951 TILEPROP CLBLM_R_X7Y35 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y35 GRID_POINT_Y 119 TILEPROP CLBLM_R_X7Y35 INDEX 13708 TILEPROP CLBLM_R_X7Y35 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y35 INT_TILE_Y 114 TILEPROP CLBLM_R_X7Y35 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y35 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y35 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y35 NAME CLBLM_R_X7Y35 TILEPROP CLBLM_R_X7Y35 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y35 NUM_SITES 2 TILEPROP CLBLM_R_X7Y35 ROW 119 TILEPROP CLBLM_R_X7Y35 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y35 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y35 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y35 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y35 TILE_X -59186 TILEPROP CLBLM_R_X7Y35 TILE_Y -126648 TILEPROP CLBLM_R_X7Y35 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y36 CLASS tile TILEPROP CLBLM_R_X7Y36 COLUMN 23 TILEPROP CLBLM_R_X7Y36 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y36 FIRST_SITE_ID 11816 TILEPROP CLBLM_R_X7Y36 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y36 GRID_POINT_Y 118 TILEPROP CLBLM_R_X7Y36 INDEX 13593 TILEPROP CLBLM_R_X7Y36 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y36 INT_TILE_Y 113 TILEPROP CLBLM_R_X7Y36 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y36 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y36 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y36 NAME CLBLM_R_X7Y36 TILEPROP CLBLM_R_X7Y36 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y36 NUM_SITES 2 TILEPROP CLBLM_R_X7Y36 ROW 118 TILEPROP CLBLM_R_X7Y36 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y36 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y36 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y36 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y36 TILE_X -59186 TILEPROP CLBLM_R_X7Y36 TILE_Y -123448 TILEPROP CLBLM_R_X7Y36 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y37 CLASS tile TILEPROP CLBLM_R_X7Y37 COLUMN 23 TILEPROP CLBLM_R_X7Y37 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y37 FIRST_SITE_ID 11716 TILEPROP CLBLM_R_X7Y37 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y37 GRID_POINT_Y 117 TILEPROP CLBLM_R_X7Y37 INDEX 13478 TILEPROP CLBLM_R_X7Y37 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y37 INT_TILE_Y 112 TILEPROP CLBLM_R_X7Y37 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y37 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y37 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y37 NAME CLBLM_R_X7Y37 TILEPROP CLBLM_R_X7Y37 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y37 NUM_SITES 2 TILEPROP CLBLM_R_X7Y37 ROW 117 TILEPROP CLBLM_R_X7Y37 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y37 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y37 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y37 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y37 TILE_X -59186 TILEPROP CLBLM_R_X7Y37 TILE_Y -120248 TILEPROP CLBLM_R_X7Y37 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y38 CLASS tile TILEPROP CLBLM_R_X7Y38 COLUMN 23 TILEPROP CLBLM_R_X7Y38 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y38 FIRST_SITE_ID 11616 TILEPROP CLBLM_R_X7Y38 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y38 GRID_POINT_Y 116 TILEPROP CLBLM_R_X7Y38 INDEX 13363 TILEPROP CLBLM_R_X7Y38 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y38 INT_TILE_Y 111 TILEPROP CLBLM_R_X7Y38 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y38 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y38 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y38 NAME CLBLM_R_X7Y38 TILEPROP CLBLM_R_X7Y38 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y38 NUM_SITES 2 TILEPROP CLBLM_R_X7Y38 ROW 116 TILEPROP CLBLM_R_X7Y38 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y38 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y38 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y38 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y38 TILE_X -59186 TILEPROP CLBLM_R_X7Y38 TILE_Y -117048 TILEPROP CLBLM_R_X7Y38 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y39 CLASS tile TILEPROP CLBLM_R_X7Y39 COLUMN 23 TILEPROP CLBLM_R_X7Y39 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y39 FIRST_SITE_ID 11516 TILEPROP CLBLM_R_X7Y39 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y39 GRID_POINT_Y 115 TILEPROP CLBLM_R_X7Y39 INDEX 13248 TILEPROP CLBLM_R_X7Y39 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y39 INT_TILE_Y 110 TILEPROP CLBLM_R_X7Y39 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y39 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y39 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y39 NAME CLBLM_R_X7Y39 TILEPROP CLBLM_R_X7Y39 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y39 NUM_SITES 2 TILEPROP CLBLM_R_X7Y39 ROW 115 TILEPROP CLBLM_R_X7Y39 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y39 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y39 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y39 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y39 TILE_X -59186 TILEPROP CLBLM_R_X7Y39 TILE_Y -113848 TILEPROP CLBLM_R_X7Y39 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y40 CLASS tile TILEPROP CLBLM_R_X7Y40 COLUMN 23 TILEPROP CLBLM_R_X7Y40 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y40 FIRST_SITE_ID 11402 TILEPROP CLBLM_R_X7Y40 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y40 GRID_POINT_Y 114 TILEPROP CLBLM_R_X7Y40 INDEX 13133 TILEPROP CLBLM_R_X7Y40 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y40 INT_TILE_Y 109 TILEPROP CLBLM_R_X7Y40 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y40 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y40 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y40 NAME CLBLM_R_X7Y40 TILEPROP CLBLM_R_X7Y40 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y40 NUM_SITES 2 TILEPROP CLBLM_R_X7Y40 ROW 114 TILEPROP CLBLM_R_X7Y40 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y40 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y40 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y40 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y40 TILE_X -59186 TILEPROP CLBLM_R_X7Y40 TILE_Y -110648 TILEPROP CLBLM_R_X7Y40 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y41 CLASS tile TILEPROP CLBLM_R_X7Y41 COLUMN 23 TILEPROP CLBLM_R_X7Y41 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y41 FIRST_SITE_ID 11298 TILEPROP CLBLM_R_X7Y41 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y41 GRID_POINT_Y 113 TILEPROP CLBLM_R_X7Y41 INDEX 13018 TILEPROP CLBLM_R_X7Y41 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y41 INT_TILE_Y 108 TILEPROP CLBLM_R_X7Y41 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y41 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y41 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y41 NAME CLBLM_R_X7Y41 TILEPROP CLBLM_R_X7Y41 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y41 NUM_SITES 2 TILEPROP CLBLM_R_X7Y41 ROW 113 TILEPROP CLBLM_R_X7Y41 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y41 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y41 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y41 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y41 TILE_X -59186 TILEPROP CLBLM_R_X7Y41 TILE_Y -107448 TILEPROP CLBLM_R_X7Y41 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y42 CLASS tile TILEPROP CLBLM_R_X7Y42 COLUMN 23 TILEPROP CLBLM_R_X7Y42 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y42 FIRST_SITE_ID 11197 TILEPROP CLBLM_R_X7Y42 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y42 GRID_POINT_Y 112 TILEPROP CLBLM_R_X7Y42 INDEX 12903 TILEPROP CLBLM_R_X7Y42 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y42 INT_TILE_Y 107 TILEPROP CLBLM_R_X7Y42 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y42 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y42 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y42 NAME CLBLM_R_X7Y42 TILEPROP CLBLM_R_X7Y42 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y42 NUM_SITES 2 TILEPROP CLBLM_R_X7Y42 ROW 112 TILEPROP CLBLM_R_X7Y42 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y42 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y42 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y42 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y42 TILE_X -59186 TILEPROP CLBLM_R_X7Y42 TILE_Y -104248 TILEPROP CLBLM_R_X7Y42 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y43 CLASS tile TILEPROP CLBLM_R_X7Y43 COLUMN 23 TILEPROP CLBLM_R_X7Y43 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y43 FIRST_SITE_ID 11094 TILEPROP CLBLM_R_X7Y43 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y43 GRID_POINT_Y 111 TILEPROP CLBLM_R_X7Y43 INDEX 12788 TILEPROP CLBLM_R_X7Y43 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y43 INT_TILE_Y 106 TILEPROP CLBLM_R_X7Y43 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y43 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y43 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y43 NAME CLBLM_R_X7Y43 TILEPROP CLBLM_R_X7Y43 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y43 NUM_SITES 2 TILEPROP CLBLM_R_X7Y43 ROW 111 TILEPROP CLBLM_R_X7Y43 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y43 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y43 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y43 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y43 TILE_X -59186 TILEPROP CLBLM_R_X7Y43 TILE_Y -101048 TILEPROP CLBLM_R_X7Y43 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y44 CLASS tile TILEPROP CLBLM_R_X7Y44 COLUMN 23 TILEPROP CLBLM_R_X7Y44 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y44 FIRST_SITE_ID 10992 TILEPROP CLBLM_R_X7Y44 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y44 GRID_POINT_Y 110 TILEPROP CLBLM_R_X7Y44 INDEX 12673 TILEPROP CLBLM_R_X7Y44 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y44 INT_TILE_Y 105 TILEPROP CLBLM_R_X7Y44 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y44 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y44 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y44 NAME CLBLM_R_X7Y44 TILEPROP CLBLM_R_X7Y44 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y44 NUM_SITES 2 TILEPROP CLBLM_R_X7Y44 ROW 110 TILEPROP CLBLM_R_X7Y44 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y44 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y44 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y44 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y44 TILE_X -59186 TILEPROP CLBLM_R_X7Y44 TILE_Y -97848 TILEPROP CLBLM_R_X7Y44 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y45 CLASS tile TILEPROP CLBLM_R_X7Y45 COLUMN 23 TILEPROP CLBLM_R_X7Y45 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y45 FIRST_SITE_ID 10879 TILEPROP CLBLM_R_X7Y45 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y45 GRID_POINT_Y 109 TILEPROP CLBLM_R_X7Y45 INDEX 12558 TILEPROP CLBLM_R_X7Y45 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y45 INT_TILE_Y 104 TILEPROP CLBLM_R_X7Y45 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y45 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y45 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y45 NAME CLBLM_R_X7Y45 TILEPROP CLBLM_R_X7Y45 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y45 NUM_SITES 2 TILEPROP CLBLM_R_X7Y45 ROW 109 TILEPROP CLBLM_R_X7Y45 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y45 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y45 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y45 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y45 TILE_X -59186 TILEPROP CLBLM_R_X7Y45 TILE_Y -94648 TILEPROP CLBLM_R_X7Y45 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y46 CLASS tile TILEPROP CLBLM_R_X7Y46 COLUMN 23 TILEPROP CLBLM_R_X7Y46 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y46 FIRST_SITE_ID 10760 TILEPROP CLBLM_R_X7Y46 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y46 GRID_POINT_Y 108 TILEPROP CLBLM_R_X7Y46 INDEX 12443 TILEPROP CLBLM_R_X7Y46 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y46 INT_TILE_Y 103 TILEPROP CLBLM_R_X7Y46 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y46 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y46 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y46 NAME CLBLM_R_X7Y46 TILEPROP CLBLM_R_X7Y46 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y46 NUM_SITES 2 TILEPROP CLBLM_R_X7Y46 ROW 108 TILEPROP CLBLM_R_X7Y46 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y46 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y46 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y46 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y46 TILE_X -59186 TILEPROP CLBLM_R_X7Y46 TILE_Y -91448 TILEPROP CLBLM_R_X7Y46 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y47 CLASS tile TILEPROP CLBLM_R_X7Y47 COLUMN 23 TILEPROP CLBLM_R_X7Y47 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y47 FIRST_SITE_ID 10660 TILEPROP CLBLM_R_X7Y47 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y47 GRID_POINT_Y 107 TILEPROP CLBLM_R_X7Y47 INDEX 12328 TILEPROP CLBLM_R_X7Y47 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y47 INT_TILE_Y 102 TILEPROP CLBLM_R_X7Y47 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y47 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y47 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y47 NAME CLBLM_R_X7Y47 TILEPROP CLBLM_R_X7Y47 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y47 NUM_SITES 2 TILEPROP CLBLM_R_X7Y47 ROW 107 TILEPROP CLBLM_R_X7Y47 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y47 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y47 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y47 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y47 TILE_X -59186 TILEPROP CLBLM_R_X7Y47 TILE_Y -88248 TILEPROP CLBLM_R_X7Y47 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y48 CLASS tile TILEPROP CLBLM_R_X7Y48 COLUMN 23 TILEPROP CLBLM_R_X7Y48 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y48 FIRST_SITE_ID 10560 TILEPROP CLBLM_R_X7Y48 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y48 GRID_POINT_Y 106 TILEPROP CLBLM_R_X7Y48 INDEX 12213 TILEPROP CLBLM_R_X7Y48 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y48 INT_TILE_Y 101 TILEPROP CLBLM_R_X7Y48 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y48 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y48 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y48 NAME CLBLM_R_X7Y48 TILEPROP CLBLM_R_X7Y48 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y48 NUM_SITES 2 TILEPROP CLBLM_R_X7Y48 ROW 106 TILEPROP CLBLM_R_X7Y48 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y48 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y48 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y48 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y48 TILE_X -59186 TILEPROP CLBLM_R_X7Y48 TILE_Y -85048 TILEPROP CLBLM_R_X7Y48 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y49 CLASS tile TILEPROP CLBLM_R_X7Y49 COLUMN 23 TILEPROP CLBLM_R_X7Y49 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y49 FIRST_SITE_ID 10464 TILEPROP CLBLM_R_X7Y49 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y49 GRID_POINT_Y 105 TILEPROP CLBLM_R_X7Y49 INDEX 12098 TILEPROP CLBLM_R_X7Y49 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y49 INT_TILE_Y 100 TILEPROP CLBLM_R_X7Y49 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y49 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y49 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y49 NAME CLBLM_R_X7Y49 TILEPROP CLBLM_R_X7Y49 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y49 NUM_SITES 2 TILEPROP CLBLM_R_X7Y49 ROW 105 TILEPROP CLBLM_R_X7Y49 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y49 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X7Y49 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y49 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y49 TILE_X -59186 TILEPROP CLBLM_R_X7Y49 TILE_Y -81848 TILEPROP CLBLM_R_X7Y49 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y50 CLASS tile TILEPROP CLBLM_R_X7Y50 COLUMN 23 TILEPROP CLBLM_R_X7Y50 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y50 FIRST_SITE_ID 10336 TILEPROP CLBLM_R_X7Y50 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y50 GRID_POINT_Y 103 TILEPROP CLBLM_R_X7Y50 INDEX 11868 TILEPROP CLBLM_R_X7Y50 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y50 INT_TILE_Y 99 TILEPROP CLBLM_R_X7Y50 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y50 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y50 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y50 NAME CLBLM_R_X7Y50 TILEPROP CLBLM_R_X7Y50 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y50 NUM_SITES 2 TILEPROP CLBLM_R_X7Y50 ROW 103 TILEPROP CLBLM_R_X7Y50 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y50 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X7Y50 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y50 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y50 TILE_X -59186 TILEPROP CLBLM_R_X7Y50 TILE_Y -78400 TILEPROP CLBLM_R_X7Y50 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y51 CLASS tile TILEPROP CLBLM_R_X7Y51 COLUMN 23 TILEPROP CLBLM_R_X7Y51 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y51 FIRST_SITE_ID 10229 TILEPROP CLBLM_R_X7Y51 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y51 GRID_POINT_Y 102 TILEPROP CLBLM_R_X7Y51 INDEX 11753 TILEPROP CLBLM_R_X7Y51 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y51 INT_TILE_Y 98 TILEPROP CLBLM_R_X7Y51 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y51 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y51 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y51 NAME CLBLM_R_X7Y51 TILEPROP CLBLM_R_X7Y51 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y51 NUM_SITES 2 TILEPROP CLBLM_R_X7Y51 ROW 102 TILEPROP CLBLM_R_X7Y51 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y51 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y51 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y51 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y51 TILE_X -59186 TILEPROP CLBLM_R_X7Y51 TILE_Y -75200 TILEPROP CLBLM_R_X7Y51 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y52 CLASS tile TILEPROP CLBLM_R_X7Y52 COLUMN 23 TILEPROP CLBLM_R_X7Y52 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y52 FIRST_SITE_ID 10129 TILEPROP CLBLM_R_X7Y52 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y52 GRID_POINT_Y 101 TILEPROP CLBLM_R_X7Y52 INDEX 11638 TILEPROP CLBLM_R_X7Y52 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y52 INT_TILE_Y 97 TILEPROP CLBLM_R_X7Y52 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y52 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y52 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y52 NAME CLBLM_R_X7Y52 TILEPROP CLBLM_R_X7Y52 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y52 NUM_SITES 2 TILEPROP CLBLM_R_X7Y52 ROW 101 TILEPROP CLBLM_R_X7Y52 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y52 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y52 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y52 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y52 TILE_X -59186 TILEPROP CLBLM_R_X7Y52 TILE_Y -72000 TILEPROP CLBLM_R_X7Y52 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y53 CLASS tile TILEPROP CLBLM_R_X7Y53 COLUMN 23 TILEPROP CLBLM_R_X7Y53 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y53 FIRST_SITE_ID 10029 TILEPROP CLBLM_R_X7Y53 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y53 GRID_POINT_Y 100 TILEPROP CLBLM_R_X7Y53 INDEX 11523 TILEPROP CLBLM_R_X7Y53 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y53 INT_TILE_Y 96 TILEPROP CLBLM_R_X7Y53 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y53 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y53 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y53 NAME CLBLM_R_X7Y53 TILEPROP CLBLM_R_X7Y53 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y53 NUM_SITES 2 TILEPROP CLBLM_R_X7Y53 ROW 100 TILEPROP CLBLM_R_X7Y53 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y53 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y53 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y53 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y53 TILE_X -59186 TILEPROP CLBLM_R_X7Y53 TILE_Y -68800 TILEPROP CLBLM_R_X7Y53 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y54 CLASS tile TILEPROP CLBLM_R_X7Y54 COLUMN 23 TILEPROP CLBLM_R_X7Y54 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y54 FIRST_SITE_ID 9929 TILEPROP CLBLM_R_X7Y54 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y54 GRID_POINT_Y 99 TILEPROP CLBLM_R_X7Y54 INDEX 11408 TILEPROP CLBLM_R_X7Y54 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y54 INT_TILE_Y 95 TILEPROP CLBLM_R_X7Y54 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y54 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y54 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y54 NAME CLBLM_R_X7Y54 TILEPROP CLBLM_R_X7Y54 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y54 NUM_SITES 2 TILEPROP CLBLM_R_X7Y54 ROW 99 TILEPROP CLBLM_R_X7Y54 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y54 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y54 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y54 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y54 TILE_X -59186 TILEPROP CLBLM_R_X7Y54 TILE_Y -65600 TILEPROP CLBLM_R_X7Y54 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y55 CLASS tile TILEPROP CLBLM_R_X7Y55 COLUMN 23 TILEPROP CLBLM_R_X7Y55 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y55 FIRST_SITE_ID 9817 TILEPROP CLBLM_R_X7Y55 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y55 GRID_POINT_Y 98 TILEPROP CLBLM_R_X7Y55 INDEX 11293 TILEPROP CLBLM_R_X7Y55 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y55 INT_TILE_Y 94 TILEPROP CLBLM_R_X7Y55 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y55 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y55 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y55 NAME CLBLM_R_X7Y55 TILEPROP CLBLM_R_X7Y55 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y55 NUM_SITES 2 TILEPROP CLBLM_R_X7Y55 ROW 98 TILEPROP CLBLM_R_X7Y55 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y55 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y55 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y55 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y55 TILE_X -59186 TILEPROP CLBLM_R_X7Y55 TILE_Y -62400 TILEPROP CLBLM_R_X7Y55 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y56 CLASS tile TILEPROP CLBLM_R_X7Y56 COLUMN 23 TILEPROP CLBLM_R_X7Y56 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y56 FIRST_SITE_ID 9714 TILEPROP CLBLM_R_X7Y56 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y56 GRID_POINT_Y 97 TILEPROP CLBLM_R_X7Y56 INDEX 11178 TILEPROP CLBLM_R_X7Y56 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y56 INT_TILE_Y 93 TILEPROP CLBLM_R_X7Y56 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y56 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y56 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y56 NAME CLBLM_R_X7Y56 TILEPROP CLBLM_R_X7Y56 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y56 NUM_SITES 2 TILEPROP CLBLM_R_X7Y56 ROW 97 TILEPROP CLBLM_R_X7Y56 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y56 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y56 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y56 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y56 TILE_X -59186 TILEPROP CLBLM_R_X7Y56 TILE_Y -59200 TILEPROP CLBLM_R_X7Y56 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y57 CLASS tile TILEPROP CLBLM_R_X7Y57 COLUMN 23 TILEPROP CLBLM_R_X7Y57 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y57 FIRST_SITE_ID 9612 TILEPROP CLBLM_R_X7Y57 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y57 GRID_POINT_Y 96 TILEPROP CLBLM_R_X7Y57 INDEX 11063 TILEPROP CLBLM_R_X7Y57 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y57 INT_TILE_Y 92 TILEPROP CLBLM_R_X7Y57 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y57 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y57 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y57 NAME CLBLM_R_X7Y57 TILEPROP CLBLM_R_X7Y57 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y57 NUM_SITES 2 TILEPROP CLBLM_R_X7Y57 ROW 96 TILEPROP CLBLM_R_X7Y57 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y57 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y57 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y57 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y57 TILE_X -59186 TILEPROP CLBLM_R_X7Y57 TILE_Y -56000 TILEPROP CLBLM_R_X7Y57 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y58 CLASS tile TILEPROP CLBLM_R_X7Y58 COLUMN 23 TILEPROP CLBLM_R_X7Y58 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y58 FIRST_SITE_ID 9509 TILEPROP CLBLM_R_X7Y58 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y58 GRID_POINT_Y 95 TILEPROP CLBLM_R_X7Y58 INDEX 10948 TILEPROP CLBLM_R_X7Y58 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y58 INT_TILE_Y 91 TILEPROP CLBLM_R_X7Y58 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y58 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y58 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y58 NAME CLBLM_R_X7Y58 TILEPROP CLBLM_R_X7Y58 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y58 NUM_SITES 2 TILEPROP CLBLM_R_X7Y58 ROW 95 TILEPROP CLBLM_R_X7Y58 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y58 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y58 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y58 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y58 TILE_X -59186 TILEPROP CLBLM_R_X7Y58 TILE_Y -52800 TILEPROP CLBLM_R_X7Y58 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y59 CLASS tile TILEPROP CLBLM_R_X7Y59 COLUMN 23 TILEPROP CLBLM_R_X7Y59 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y59 FIRST_SITE_ID 9408 TILEPROP CLBLM_R_X7Y59 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y59 GRID_POINT_Y 94 TILEPROP CLBLM_R_X7Y59 INDEX 10833 TILEPROP CLBLM_R_X7Y59 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y59 INT_TILE_Y 90 TILEPROP CLBLM_R_X7Y59 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y59 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y59 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y59 NAME CLBLM_R_X7Y59 TILEPROP CLBLM_R_X7Y59 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y59 NUM_SITES 2 TILEPROP CLBLM_R_X7Y59 ROW 94 TILEPROP CLBLM_R_X7Y59 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y59 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y59 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y59 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y59 TILE_X -59186 TILEPROP CLBLM_R_X7Y59 TILE_Y -49600 TILEPROP CLBLM_R_X7Y59 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y60 CLASS tile TILEPROP CLBLM_R_X7Y60 COLUMN 23 TILEPROP CLBLM_R_X7Y60 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y60 FIRST_SITE_ID 9296 TILEPROP CLBLM_R_X7Y60 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y60 GRID_POINT_Y 93 TILEPROP CLBLM_R_X7Y60 INDEX 10718 TILEPROP CLBLM_R_X7Y60 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y60 INT_TILE_Y 89 TILEPROP CLBLM_R_X7Y60 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y60 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y60 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y60 NAME CLBLM_R_X7Y60 TILEPROP CLBLM_R_X7Y60 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y60 NUM_SITES 2 TILEPROP CLBLM_R_X7Y60 ROW 93 TILEPROP CLBLM_R_X7Y60 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y60 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y60 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y60 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y60 TILE_X -59186 TILEPROP CLBLM_R_X7Y60 TILE_Y -46400 TILEPROP CLBLM_R_X7Y60 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y61 CLASS tile TILEPROP CLBLM_R_X7Y61 COLUMN 23 TILEPROP CLBLM_R_X7Y61 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y61 FIRST_SITE_ID 9193 TILEPROP CLBLM_R_X7Y61 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y61 GRID_POINT_Y 92 TILEPROP CLBLM_R_X7Y61 INDEX 10603 TILEPROP CLBLM_R_X7Y61 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y61 INT_TILE_Y 88 TILEPROP CLBLM_R_X7Y61 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y61 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y61 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y61 NAME CLBLM_R_X7Y61 TILEPROP CLBLM_R_X7Y61 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y61 NUM_SITES 2 TILEPROP CLBLM_R_X7Y61 ROW 92 TILEPROP CLBLM_R_X7Y61 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y61 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y61 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y61 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y61 TILE_X -59186 TILEPROP CLBLM_R_X7Y61 TILE_Y -43200 TILEPROP CLBLM_R_X7Y61 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y62 CLASS tile TILEPROP CLBLM_R_X7Y62 COLUMN 23 TILEPROP CLBLM_R_X7Y62 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y62 FIRST_SITE_ID 9061 TILEPROP CLBLM_R_X7Y62 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y62 GRID_POINT_Y 91 TILEPROP CLBLM_R_X7Y62 INDEX 10488 TILEPROP CLBLM_R_X7Y62 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y62 INT_TILE_Y 87 TILEPROP CLBLM_R_X7Y62 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y62 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y62 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y62 NAME CLBLM_R_X7Y62 TILEPROP CLBLM_R_X7Y62 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y62 NUM_SITES 2 TILEPROP CLBLM_R_X7Y62 ROW 91 TILEPROP CLBLM_R_X7Y62 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y62 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y62 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y62 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y62 TILE_X -59186 TILEPROP CLBLM_R_X7Y62 TILE_Y -40000 TILEPROP CLBLM_R_X7Y62 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y63 CLASS tile TILEPROP CLBLM_R_X7Y63 COLUMN 23 TILEPROP CLBLM_R_X7Y63 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y63 FIRST_SITE_ID 8961 TILEPROP CLBLM_R_X7Y63 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y63 GRID_POINT_Y 90 TILEPROP CLBLM_R_X7Y63 INDEX 10373 TILEPROP CLBLM_R_X7Y63 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y63 INT_TILE_Y 86 TILEPROP CLBLM_R_X7Y63 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y63 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y63 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y63 NAME CLBLM_R_X7Y63 TILEPROP CLBLM_R_X7Y63 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y63 NUM_SITES 2 TILEPROP CLBLM_R_X7Y63 ROW 90 TILEPROP CLBLM_R_X7Y63 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y63 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y63 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y63 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y63 TILE_X -59186 TILEPROP CLBLM_R_X7Y63 TILE_Y -36800 TILEPROP CLBLM_R_X7Y63 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y64 CLASS tile TILEPROP CLBLM_R_X7Y64 COLUMN 23 TILEPROP CLBLM_R_X7Y64 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y64 FIRST_SITE_ID 8861 TILEPROP CLBLM_R_X7Y64 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y64 GRID_POINT_Y 89 TILEPROP CLBLM_R_X7Y64 INDEX 10258 TILEPROP CLBLM_R_X7Y64 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y64 INT_TILE_Y 85 TILEPROP CLBLM_R_X7Y64 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y64 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y64 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y64 NAME CLBLM_R_X7Y64 TILEPROP CLBLM_R_X7Y64 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y64 NUM_SITES 2 TILEPROP CLBLM_R_X7Y64 ROW 89 TILEPROP CLBLM_R_X7Y64 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y64 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y64 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y64 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y64 TILE_X -59186 TILEPROP CLBLM_R_X7Y64 TILE_Y -33600 TILEPROP CLBLM_R_X7Y64 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y65 CLASS tile TILEPROP CLBLM_R_X7Y65 COLUMN 23 TILEPROP CLBLM_R_X7Y65 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y65 FIRST_SITE_ID 8749 TILEPROP CLBLM_R_X7Y65 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y65 GRID_POINT_Y 88 TILEPROP CLBLM_R_X7Y65 INDEX 10143 TILEPROP CLBLM_R_X7Y65 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y65 INT_TILE_Y 84 TILEPROP CLBLM_R_X7Y65 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y65 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y65 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y65 NAME CLBLM_R_X7Y65 TILEPROP CLBLM_R_X7Y65 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y65 NUM_SITES 2 TILEPROP CLBLM_R_X7Y65 ROW 88 TILEPROP CLBLM_R_X7Y65 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y65 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y65 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y65 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y65 TILE_X -59186 TILEPROP CLBLM_R_X7Y65 TILE_Y -30400 TILEPROP CLBLM_R_X7Y65 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y66 CLASS tile TILEPROP CLBLM_R_X7Y66 COLUMN 23 TILEPROP CLBLM_R_X7Y66 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y66 FIRST_SITE_ID 8646 TILEPROP CLBLM_R_X7Y66 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y66 GRID_POINT_Y 87 TILEPROP CLBLM_R_X7Y66 INDEX 10028 TILEPROP CLBLM_R_X7Y66 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y66 INT_TILE_Y 83 TILEPROP CLBLM_R_X7Y66 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y66 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y66 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y66 NAME CLBLM_R_X7Y66 TILEPROP CLBLM_R_X7Y66 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y66 NUM_SITES 2 TILEPROP CLBLM_R_X7Y66 ROW 87 TILEPROP CLBLM_R_X7Y66 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y66 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y66 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y66 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y66 TILE_X -59186 TILEPROP CLBLM_R_X7Y66 TILE_Y -27200 TILEPROP CLBLM_R_X7Y66 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y67 CLASS tile TILEPROP CLBLM_R_X7Y67 COLUMN 23 TILEPROP CLBLM_R_X7Y67 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y67 FIRST_SITE_ID 8542 TILEPROP CLBLM_R_X7Y67 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y67 GRID_POINT_Y 86 TILEPROP CLBLM_R_X7Y67 INDEX 9913 TILEPROP CLBLM_R_X7Y67 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y67 INT_TILE_Y 82 TILEPROP CLBLM_R_X7Y67 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y67 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y67 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y67 NAME CLBLM_R_X7Y67 TILEPROP CLBLM_R_X7Y67 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y67 NUM_SITES 2 TILEPROP CLBLM_R_X7Y67 ROW 86 TILEPROP CLBLM_R_X7Y67 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y67 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y67 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y67 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y67 TILE_X -59186 TILEPROP CLBLM_R_X7Y67 TILE_Y -24000 TILEPROP CLBLM_R_X7Y67 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y68 CLASS tile TILEPROP CLBLM_R_X7Y68 COLUMN 23 TILEPROP CLBLM_R_X7Y68 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y68 FIRST_SITE_ID 8438 TILEPROP CLBLM_R_X7Y68 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y68 GRID_POINT_Y 85 TILEPROP CLBLM_R_X7Y68 INDEX 9798 TILEPROP CLBLM_R_X7Y68 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y68 INT_TILE_Y 81 TILEPROP CLBLM_R_X7Y68 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y68 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y68 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y68 NAME CLBLM_R_X7Y68 TILEPROP CLBLM_R_X7Y68 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y68 NUM_SITES 2 TILEPROP CLBLM_R_X7Y68 ROW 85 TILEPROP CLBLM_R_X7Y68 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y68 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y68 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y68 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y68 TILE_X -59186 TILEPROP CLBLM_R_X7Y68 TILE_Y -20800 TILEPROP CLBLM_R_X7Y68 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y69 CLASS tile TILEPROP CLBLM_R_X7Y69 COLUMN 23 TILEPROP CLBLM_R_X7Y69 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y69 FIRST_SITE_ID 8336 TILEPROP CLBLM_R_X7Y69 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y69 GRID_POINT_Y 84 TILEPROP CLBLM_R_X7Y69 INDEX 9683 TILEPROP CLBLM_R_X7Y69 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y69 INT_TILE_Y 80 TILEPROP CLBLM_R_X7Y69 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y69 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y69 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y69 NAME CLBLM_R_X7Y69 TILEPROP CLBLM_R_X7Y69 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y69 NUM_SITES 2 TILEPROP CLBLM_R_X7Y69 ROW 84 TILEPROP CLBLM_R_X7Y69 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y69 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y69 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y69 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y69 TILE_X -59186 TILEPROP CLBLM_R_X7Y69 TILE_Y -17600 TILEPROP CLBLM_R_X7Y69 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y70 CLASS tile TILEPROP CLBLM_R_X7Y70 COLUMN 23 TILEPROP CLBLM_R_X7Y70 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y70 FIRST_SITE_ID 8222 TILEPROP CLBLM_R_X7Y70 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y70 GRID_POINT_Y 83 TILEPROP CLBLM_R_X7Y70 INDEX 9568 TILEPROP CLBLM_R_X7Y70 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y70 INT_TILE_Y 79 TILEPROP CLBLM_R_X7Y70 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y70 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y70 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y70 NAME CLBLM_R_X7Y70 TILEPROP CLBLM_R_X7Y70 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y70 NUM_SITES 2 TILEPROP CLBLM_R_X7Y70 ROW 83 TILEPROP CLBLM_R_X7Y70 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y70 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y70 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y70 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y70 TILE_X -59186 TILEPROP CLBLM_R_X7Y70 TILE_Y -14400 TILEPROP CLBLM_R_X7Y70 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y71 CLASS tile TILEPROP CLBLM_R_X7Y71 COLUMN 23 TILEPROP CLBLM_R_X7Y71 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y71 FIRST_SITE_ID 8119 TILEPROP CLBLM_R_X7Y71 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y71 GRID_POINT_Y 82 TILEPROP CLBLM_R_X7Y71 INDEX 9453 TILEPROP CLBLM_R_X7Y71 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y71 INT_TILE_Y 78 TILEPROP CLBLM_R_X7Y71 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y71 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y71 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y71 NAME CLBLM_R_X7Y71 TILEPROP CLBLM_R_X7Y71 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y71 NUM_SITES 2 TILEPROP CLBLM_R_X7Y71 ROW 82 TILEPROP CLBLM_R_X7Y71 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y71 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y71 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y71 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y71 TILE_X -59186 TILEPROP CLBLM_R_X7Y71 TILE_Y -11200 TILEPROP CLBLM_R_X7Y71 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y72 CLASS tile TILEPROP CLBLM_R_X7Y72 COLUMN 23 TILEPROP CLBLM_R_X7Y72 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y72 FIRST_SITE_ID 8019 TILEPROP CLBLM_R_X7Y72 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y72 GRID_POINT_Y 81 TILEPROP CLBLM_R_X7Y72 INDEX 9338 TILEPROP CLBLM_R_X7Y72 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y72 INT_TILE_Y 77 TILEPROP CLBLM_R_X7Y72 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y72 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y72 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y72 NAME CLBLM_R_X7Y72 TILEPROP CLBLM_R_X7Y72 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y72 NUM_SITES 2 TILEPROP CLBLM_R_X7Y72 ROW 81 TILEPROP CLBLM_R_X7Y72 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y72 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y72 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y72 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y72 TILE_X -59186 TILEPROP CLBLM_R_X7Y72 TILE_Y -8000 TILEPROP CLBLM_R_X7Y72 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y73 CLASS tile TILEPROP CLBLM_R_X7Y73 COLUMN 23 TILEPROP CLBLM_R_X7Y73 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y73 FIRST_SITE_ID 7919 TILEPROP CLBLM_R_X7Y73 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y73 GRID_POINT_Y 80 TILEPROP CLBLM_R_X7Y73 INDEX 9223 TILEPROP CLBLM_R_X7Y73 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y73 INT_TILE_Y 76 TILEPROP CLBLM_R_X7Y73 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y73 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y73 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y73 NAME CLBLM_R_X7Y73 TILEPROP CLBLM_R_X7Y73 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y73 NUM_SITES 2 TILEPROP CLBLM_R_X7Y73 ROW 80 TILEPROP CLBLM_R_X7Y73 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y73 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y73 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y73 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y73 TILE_X -59186 TILEPROP CLBLM_R_X7Y73 TILE_Y -4800 TILEPROP CLBLM_R_X7Y73 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y74 CLASS tile TILEPROP CLBLM_R_X7Y74 COLUMN 23 TILEPROP CLBLM_R_X7Y74 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y74 FIRST_SITE_ID 7819 TILEPROP CLBLM_R_X7Y74 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y74 GRID_POINT_Y 79 TILEPROP CLBLM_R_X7Y74 INDEX 9108 TILEPROP CLBLM_R_X7Y74 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y74 INT_TILE_Y 75 TILEPROP CLBLM_R_X7Y74 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y74 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y74 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y74 NAME CLBLM_R_X7Y74 TILEPROP CLBLM_R_X7Y74 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y74 NUM_SITES 2 TILEPROP CLBLM_R_X7Y74 ROW 79 TILEPROP CLBLM_R_X7Y74 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y74 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X7Y74 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y74 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y74 TILE_X -59186 TILEPROP CLBLM_R_X7Y74 TILE_Y -1600 TILEPROP CLBLM_R_X7Y74 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y75 CLASS tile TILEPROP CLBLM_R_X7Y75 COLUMN 23 TILEPROP CLBLM_R_X7Y75 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y75 FIRST_SITE_ID 7621 TILEPROP CLBLM_R_X7Y75 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y75 GRID_POINT_Y 77 TILEPROP CLBLM_R_X7Y75 INDEX 8878 TILEPROP CLBLM_R_X7Y75 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y75 INT_TILE_Y 74 TILEPROP CLBLM_R_X7Y75 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y75 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y75 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y75 NAME CLBLM_R_X7Y75 TILEPROP CLBLM_R_X7Y75 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y75 NUM_SITES 2 TILEPROP CLBLM_R_X7Y75 ROW 77 TILEPROP CLBLM_R_X7Y75 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y75 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X7Y75 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y75 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y75 TILE_X -59186 TILEPROP CLBLM_R_X7Y75 TILE_Y 2624 TILEPROP CLBLM_R_X7Y75 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y76 CLASS tile TILEPROP CLBLM_R_X7Y76 COLUMN 23 TILEPROP CLBLM_R_X7Y76 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y76 FIRST_SITE_ID 7518 TILEPROP CLBLM_R_X7Y76 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y76 GRID_POINT_Y 76 TILEPROP CLBLM_R_X7Y76 INDEX 8763 TILEPROP CLBLM_R_X7Y76 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y76 INT_TILE_Y 73 TILEPROP CLBLM_R_X7Y76 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y76 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y76 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y76 NAME CLBLM_R_X7Y76 TILEPROP CLBLM_R_X7Y76 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y76 NUM_SITES 2 TILEPROP CLBLM_R_X7Y76 ROW 76 TILEPROP CLBLM_R_X7Y76 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y76 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y76 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y76 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y76 TILE_X -59186 TILEPROP CLBLM_R_X7Y76 TILE_Y 5824 TILEPROP CLBLM_R_X7Y76 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y77 CLASS tile TILEPROP CLBLM_R_X7Y77 COLUMN 23 TILEPROP CLBLM_R_X7Y77 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y77 FIRST_SITE_ID 7418 TILEPROP CLBLM_R_X7Y77 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y77 GRID_POINT_Y 75 TILEPROP CLBLM_R_X7Y77 INDEX 8648 TILEPROP CLBLM_R_X7Y77 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y77 INT_TILE_Y 72 TILEPROP CLBLM_R_X7Y77 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y77 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y77 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y77 NAME CLBLM_R_X7Y77 TILEPROP CLBLM_R_X7Y77 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y77 NUM_SITES 2 TILEPROP CLBLM_R_X7Y77 ROW 75 TILEPROP CLBLM_R_X7Y77 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y77 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y77 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y77 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y77 TILE_X -59186 TILEPROP CLBLM_R_X7Y77 TILE_Y 9024 TILEPROP CLBLM_R_X7Y77 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y78 CLASS tile TILEPROP CLBLM_R_X7Y78 COLUMN 23 TILEPROP CLBLM_R_X7Y78 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y78 FIRST_SITE_ID 7318 TILEPROP CLBLM_R_X7Y78 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y78 GRID_POINT_Y 74 TILEPROP CLBLM_R_X7Y78 INDEX 8533 TILEPROP CLBLM_R_X7Y78 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y78 INT_TILE_Y 71 TILEPROP CLBLM_R_X7Y78 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y78 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y78 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y78 NAME CLBLM_R_X7Y78 TILEPROP CLBLM_R_X7Y78 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y78 NUM_SITES 2 TILEPROP CLBLM_R_X7Y78 ROW 74 TILEPROP CLBLM_R_X7Y78 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y78 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y78 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y78 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y78 TILE_X -59186 TILEPROP CLBLM_R_X7Y78 TILE_Y 12224 TILEPROP CLBLM_R_X7Y78 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y79 CLASS tile TILEPROP CLBLM_R_X7Y79 COLUMN 23 TILEPROP CLBLM_R_X7Y79 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y79 FIRST_SITE_ID 7212 TILEPROP CLBLM_R_X7Y79 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y79 GRID_POINT_Y 73 TILEPROP CLBLM_R_X7Y79 INDEX 8418 TILEPROP CLBLM_R_X7Y79 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y79 INT_TILE_Y 70 TILEPROP CLBLM_R_X7Y79 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y79 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y79 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y79 NAME CLBLM_R_X7Y79 TILEPROP CLBLM_R_X7Y79 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y79 NUM_SITES 2 TILEPROP CLBLM_R_X7Y79 ROW 73 TILEPROP CLBLM_R_X7Y79 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y79 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y79 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y79 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y79 TILE_X -59186 TILEPROP CLBLM_R_X7Y79 TILE_Y 15424 TILEPROP CLBLM_R_X7Y79 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y80 CLASS tile TILEPROP CLBLM_R_X7Y80 COLUMN 23 TILEPROP CLBLM_R_X7Y80 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y80 FIRST_SITE_ID 7094 TILEPROP CLBLM_R_X7Y80 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y80 GRID_POINT_Y 72 TILEPROP CLBLM_R_X7Y80 INDEX 8303 TILEPROP CLBLM_R_X7Y80 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y80 INT_TILE_Y 69 TILEPROP CLBLM_R_X7Y80 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y80 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y80 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y80 NAME CLBLM_R_X7Y80 TILEPROP CLBLM_R_X7Y80 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y80 NUM_SITES 2 TILEPROP CLBLM_R_X7Y80 ROW 72 TILEPROP CLBLM_R_X7Y80 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y80 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y80 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y80 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y80 TILE_X -59186 TILEPROP CLBLM_R_X7Y80 TILE_Y 18624 TILEPROP CLBLM_R_X7Y80 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y81 CLASS tile TILEPROP CLBLM_R_X7Y81 COLUMN 23 TILEPROP CLBLM_R_X7Y81 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y81 FIRST_SITE_ID 6989 TILEPROP CLBLM_R_X7Y81 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y81 GRID_POINT_Y 71 TILEPROP CLBLM_R_X7Y81 INDEX 8188 TILEPROP CLBLM_R_X7Y81 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y81 INT_TILE_Y 68 TILEPROP CLBLM_R_X7Y81 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y81 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y81 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y81 NAME CLBLM_R_X7Y81 TILEPROP CLBLM_R_X7Y81 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y81 NUM_SITES 2 TILEPROP CLBLM_R_X7Y81 ROW 71 TILEPROP CLBLM_R_X7Y81 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y81 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y81 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y81 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y81 TILE_X -59186 TILEPROP CLBLM_R_X7Y81 TILE_Y 21824 TILEPROP CLBLM_R_X7Y81 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y82 CLASS tile TILEPROP CLBLM_R_X7Y82 COLUMN 23 TILEPROP CLBLM_R_X7Y82 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y82 FIRST_SITE_ID 6887 TILEPROP CLBLM_R_X7Y82 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y82 GRID_POINT_Y 70 TILEPROP CLBLM_R_X7Y82 INDEX 8073 TILEPROP CLBLM_R_X7Y82 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y82 INT_TILE_Y 67 TILEPROP CLBLM_R_X7Y82 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y82 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y82 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y82 NAME CLBLM_R_X7Y82 TILEPROP CLBLM_R_X7Y82 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y82 NUM_SITES 2 TILEPROP CLBLM_R_X7Y82 ROW 70 TILEPROP CLBLM_R_X7Y82 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y82 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y82 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y82 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y82 TILE_X -59186 TILEPROP CLBLM_R_X7Y82 TILE_Y 25024 TILEPROP CLBLM_R_X7Y82 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y83 CLASS tile TILEPROP CLBLM_R_X7Y83 COLUMN 23 TILEPROP CLBLM_R_X7Y83 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y83 FIRST_SITE_ID 6787 TILEPROP CLBLM_R_X7Y83 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y83 GRID_POINT_Y 69 TILEPROP CLBLM_R_X7Y83 INDEX 7958 TILEPROP CLBLM_R_X7Y83 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y83 INT_TILE_Y 66 TILEPROP CLBLM_R_X7Y83 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y83 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y83 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y83 NAME CLBLM_R_X7Y83 TILEPROP CLBLM_R_X7Y83 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y83 NUM_SITES 2 TILEPROP CLBLM_R_X7Y83 ROW 69 TILEPROP CLBLM_R_X7Y83 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y83 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y83 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y83 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y83 TILE_X -59186 TILEPROP CLBLM_R_X7Y83 TILE_Y 28224 TILEPROP CLBLM_R_X7Y83 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y84 CLASS tile TILEPROP CLBLM_R_X7Y84 COLUMN 23 TILEPROP CLBLM_R_X7Y84 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y84 FIRST_SITE_ID 6687 TILEPROP CLBLM_R_X7Y84 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y84 GRID_POINT_Y 68 TILEPROP CLBLM_R_X7Y84 INDEX 7843 TILEPROP CLBLM_R_X7Y84 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y84 INT_TILE_Y 65 TILEPROP CLBLM_R_X7Y84 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y84 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y84 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y84 NAME CLBLM_R_X7Y84 TILEPROP CLBLM_R_X7Y84 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y84 NUM_SITES 2 TILEPROP CLBLM_R_X7Y84 ROW 68 TILEPROP CLBLM_R_X7Y84 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y84 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y84 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y84 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y84 TILE_X -59186 TILEPROP CLBLM_R_X7Y84 TILE_Y 31424 TILEPROP CLBLM_R_X7Y84 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y85 CLASS tile TILEPROP CLBLM_R_X7Y85 COLUMN 23 TILEPROP CLBLM_R_X7Y85 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y85 FIRST_SITE_ID 6575 TILEPROP CLBLM_R_X7Y85 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y85 GRID_POINT_Y 67 TILEPROP CLBLM_R_X7Y85 INDEX 7728 TILEPROP CLBLM_R_X7Y85 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y85 INT_TILE_Y 64 TILEPROP CLBLM_R_X7Y85 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y85 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y85 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y85 NAME CLBLM_R_X7Y85 TILEPROP CLBLM_R_X7Y85 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y85 NUM_SITES 2 TILEPROP CLBLM_R_X7Y85 ROW 67 TILEPROP CLBLM_R_X7Y85 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y85 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y85 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y85 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y85 TILE_X -59186 TILEPROP CLBLM_R_X7Y85 TILE_Y 34624 TILEPROP CLBLM_R_X7Y85 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y86 CLASS tile TILEPROP CLBLM_R_X7Y86 COLUMN 23 TILEPROP CLBLM_R_X7Y86 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y86 FIRST_SITE_ID 6440 TILEPROP CLBLM_R_X7Y86 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y86 GRID_POINT_Y 66 TILEPROP CLBLM_R_X7Y86 INDEX 7613 TILEPROP CLBLM_R_X7Y86 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y86 INT_TILE_Y 63 TILEPROP CLBLM_R_X7Y86 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y86 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y86 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y86 NAME CLBLM_R_X7Y86 TILEPROP CLBLM_R_X7Y86 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y86 NUM_SITES 2 TILEPROP CLBLM_R_X7Y86 ROW 66 TILEPROP CLBLM_R_X7Y86 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y86 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y86 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y86 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y86 TILE_X -59186 TILEPROP CLBLM_R_X7Y86 TILE_Y 37824 TILEPROP CLBLM_R_X7Y86 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y87 CLASS tile TILEPROP CLBLM_R_X7Y87 COLUMN 23 TILEPROP CLBLM_R_X7Y87 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y87 FIRST_SITE_ID 6340 TILEPROP CLBLM_R_X7Y87 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y87 GRID_POINT_Y 65 TILEPROP CLBLM_R_X7Y87 INDEX 7498 TILEPROP CLBLM_R_X7Y87 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y87 INT_TILE_Y 62 TILEPROP CLBLM_R_X7Y87 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y87 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y87 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y87 NAME CLBLM_R_X7Y87 TILEPROP CLBLM_R_X7Y87 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y87 NUM_SITES 2 TILEPROP CLBLM_R_X7Y87 ROW 65 TILEPROP CLBLM_R_X7Y87 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y87 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y87 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y87 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y87 TILE_X -59186 TILEPROP CLBLM_R_X7Y87 TILE_Y 41024 TILEPROP CLBLM_R_X7Y87 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y88 CLASS tile TILEPROP CLBLM_R_X7Y88 COLUMN 23 TILEPROP CLBLM_R_X7Y88 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y88 FIRST_SITE_ID 6240 TILEPROP CLBLM_R_X7Y88 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y88 GRID_POINT_Y 64 TILEPROP CLBLM_R_X7Y88 INDEX 7383 TILEPROP CLBLM_R_X7Y88 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y88 INT_TILE_Y 61 TILEPROP CLBLM_R_X7Y88 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y88 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y88 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y88 NAME CLBLM_R_X7Y88 TILEPROP CLBLM_R_X7Y88 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y88 NUM_SITES 2 TILEPROP CLBLM_R_X7Y88 ROW 64 TILEPROP CLBLM_R_X7Y88 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y88 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y88 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y88 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y88 TILE_X -59186 TILEPROP CLBLM_R_X7Y88 TILE_Y 44224 TILEPROP CLBLM_R_X7Y88 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y89 CLASS tile TILEPROP CLBLM_R_X7Y89 COLUMN 23 TILEPROP CLBLM_R_X7Y89 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y89 FIRST_SITE_ID 6140 TILEPROP CLBLM_R_X7Y89 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y89 GRID_POINT_Y 63 TILEPROP CLBLM_R_X7Y89 INDEX 7268 TILEPROP CLBLM_R_X7Y89 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y89 INT_TILE_Y 60 TILEPROP CLBLM_R_X7Y89 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y89 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y89 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y89 NAME CLBLM_R_X7Y89 TILEPROP CLBLM_R_X7Y89 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y89 NUM_SITES 2 TILEPROP CLBLM_R_X7Y89 ROW 63 TILEPROP CLBLM_R_X7Y89 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y89 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y89 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y89 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y89 TILE_X -59186 TILEPROP CLBLM_R_X7Y89 TILE_Y 47424 TILEPROP CLBLM_R_X7Y89 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y90 CLASS tile TILEPROP CLBLM_R_X7Y90 COLUMN 23 TILEPROP CLBLM_R_X7Y90 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y90 FIRST_SITE_ID 6028 TILEPROP CLBLM_R_X7Y90 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y90 GRID_POINT_Y 62 TILEPROP CLBLM_R_X7Y90 INDEX 7153 TILEPROP CLBLM_R_X7Y90 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y90 INT_TILE_Y 59 TILEPROP CLBLM_R_X7Y90 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y90 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y90 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y90 NAME CLBLM_R_X7Y90 TILEPROP CLBLM_R_X7Y90 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y90 NUM_SITES 2 TILEPROP CLBLM_R_X7Y90 ROW 62 TILEPROP CLBLM_R_X7Y90 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y90 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y90 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y90 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y90 TILE_X -59186 TILEPROP CLBLM_R_X7Y90 TILE_Y 50624 TILEPROP CLBLM_R_X7Y90 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y91 CLASS tile TILEPROP CLBLM_R_X7Y91 COLUMN 23 TILEPROP CLBLM_R_X7Y91 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y91 FIRST_SITE_ID 5925 TILEPROP CLBLM_R_X7Y91 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y91 GRID_POINT_Y 61 TILEPROP CLBLM_R_X7Y91 INDEX 7038 TILEPROP CLBLM_R_X7Y91 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y91 INT_TILE_Y 58 TILEPROP CLBLM_R_X7Y91 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y91 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y91 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y91 NAME CLBLM_R_X7Y91 TILEPROP CLBLM_R_X7Y91 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y91 NUM_SITES 2 TILEPROP CLBLM_R_X7Y91 ROW 61 TILEPROP CLBLM_R_X7Y91 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y91 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y91 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y91 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y91 TILE_X -59186 TILEPROP CLBLM_R_X7Y91 TILE_Y 53824 TILEPROP CLBLM_R_X7Y91 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y92 CLASS tile TILEPROP CLBLM_R_X7Y92 COLUMN 23 TILEPROP CLBLM_R_X7Y92 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y92 FIRST_SITE_ID 5824 TILEPROP CLBLM_R_X7Y92 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y92 GRID_POINT_Y 60 TILEPROP CLBLM_R_X7Y92 INDEX 6923 TILEPROP CLBLM_R_X7Y92 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y92 INT_TILE_Y 57 TILEPROP CLBLM_R_X7Y92 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y92 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y92 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y92 NAME CLBLM_R_X7Y92 TILEPROP CLBLM_R_X7Y92 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y92 NUM_SITES 2 TILEPROP CLBLM_R_X7Y92 ROW 60 TILEPROP CLBLM_R_X7Y92 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y92 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y92 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y92 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y92 TILE_X -59186 TILEPROP CLBLM_R_X7Y92 TILE_Y 57024 TILEPROP CLBLM_R_X7Y92 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y93 CLASS tile TILEPROP CLBLM_R_X7Y93 COLUMN 23 TILEPROP CLBLM_R_X7Y93 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y93 FIRST_SITE_ID 5721 TILEPROP CLBLM_R_X7Y93 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y93 GRID_POINT_Y 59 TILEPROP CLBLM_R_X7Y93 INDEX 6808 TILEPROP CLBLM_R_X7Y93 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y93 INT_TILE_Y 56 TILEPROP CLBLM_R_X7Y93 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y93 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y93 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y93 NAME CLBLM_R_X7Y93 TILEPROP CLBLM_R_X7Y93 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y93 NUM_SITES 2 TILEPROP CLBLM_R_X7Y93 ROW 59 TILEPROP CLBLM_R_X7Y93 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y93 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y93 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y93 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y93 TILE_X -59186 TILEPROP CLBLM_R_X7Y93 TILE_Y 60224 TILEPROP CLBLM_R_X7Y93 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y94 CLASS tile TILEPROP CLBLM_R_X7Y94 COLUMN 23 TILEPROP CLBLM_R_X7Y94 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y94 FIRST_SITE_ID 5619 TILEPROP CLBLM_R_X7Y94 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y94 GRID_POINT_Y 58 TILEPROP CLBLM_R_X7Y94 INDEX 6693 TILEPROP CLBLM_R_X7Y94 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y94 INT_TILE_Y 55 TILEPROP CLBLM_R_X7Y94 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y94 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y94 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y94 NAME CLBLM_R_X7Y94 TILEPROP CLBLM_R_X7Y94 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y94 NUM_SITES 2 TILEPROP CLBLM_R_X7Y94 ROW 58 TILEPROP CLBLM_R_X7Y94 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y94 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y94 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y94 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y94 TILE_X -59186 TILEPROP CLBLM_R_X7Y94 TILE_Y 63424 TILEPROP CLBLM_R_X7Y94 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y95 CLASS tile TILEPROP CLBLM_R_X7Y95 COLUMN 23 TILEPROP CLBLM_R_X7Y95 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y95 FIRST_SITE_ID 5507 TILEPROP CLBLM_R_X7Y95 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y95 GRID_POINT_Y 57 TILEPROP CLBLM_R_X7Y95 INDEX 6578 TILEPROP CLBLM_R_X7Y95 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y95 INT_TILE_Y 54 TILEPROP CLBLM_R_X7Y95 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y95 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y95 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y95 NAME CLBLM_R_X7Y95 TILEPROP CLBLM_R_X7Y95 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y95 NUM_SITES 2 TILEPROP CLBLM_R_X7Y95 ROW 57 TILEPROP CLBLM_R_X7Y95 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y95 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y95 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y95 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y95 TILE_X -59186 TILEPROP CLBLM_R_X7Y95 TILE_Y 66624 TILEPROP CLBLM_R_X7Y95 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y96 CLASS tile TILEPROP CLBLM_R_X7Y96 COLUMN 23 TILEPROP CLBLM_R_X7Y96 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y96 FIRST_SITE_ID 5404 TILEPROP CLBLM_R_X7Y96 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y96 GRID_POINT_Y 56 TILEPROP CLBLM_R_X7Y96 INDEX 6463 TILEPROP CLBLM_R_X7Y96 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y96 INT_TILE_Y 53 TILEPROP CLBLM_R_X7Y96 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y96 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y96 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y96 NAME CLBLM_R_X7Y96 TILEPROP CLBLM_R_X7Y96 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y96 NUM_SITES 2 TILEPROP CLBLM_R_X7Y96 ROW 56 TILEPROP CLBLM_R_X7Y96 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y96 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y96 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y96 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y96 TILE_X -59186 TILEPROP CLBLM_R_X7Y96 TILE_Y 69824 TILEPROP CLBLM_R_X7Y96 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y97 CLASS tile TILEPROP CLBLM_R_X7Y97 COLUMN 23 TILEPROP CLBLM_R_X7Y97 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y97 FIRST_SITE_ID 5304 TILEPROP CLBLM_R_X7Y97 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y97 GRID_POINT_Y 55 TILEPROP CLBLM_R_X7Y97 INDEX 6348 TILEPROP CLBLM_R_X7Y97 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y97 INT_TILE_Y 52 TILEPROP CLBLM_R_X7Y97 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y97 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y97 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y97 NAME CLBLM_R_X7Y97 TILEPROP CLBLM_R_X7Y97 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y97 NUM_SITES 2 TILEPROP CLBLM_R_X7Y97 ROW 55 TILEPROP CLBLM_R_X7Y97 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y97 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y97 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y97 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y97 TILE_X -59186 TILEPROP CLBLM_R_X7Y97 TILE_Y 73024 TILEPROP CLBLM_R_X7Y97 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y98 CLASS tile TILEPROP CLBLM_R_X7Y98 COLUMN 23 TILEPROP CLBLM_R_X7Y98 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y98 FIRST_SITE_ID 5204 TILEPROP CLBLM_R_X7Y98 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y98 GRID_POINT_Y 54 TILEPROP CLBLM_R_X7Y98 INDEX 6233 TILEPROP CLBLM_R_X7Y98 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y98 INT_TILE_Y 51 TILEPROP CLBLM_R_X7Y98 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y98 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y98 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y98 NAME CLBLM_R_X7Y98 TILEPROP CLBLM_R_X7Y98 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y98 NUM_SITES 2 TILEPROP CLBLM_R_X7Y98 ROW 54 TILEPROP CLBLM_R_X7Y98 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y98 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y98 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y98 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y98 TILE_X -59186 TILEPROP CLBLM_R_X7Y98 TILE_Y 76224 TILEPROP CLBLM_R_X7Y98 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y99 CLASS tile TILEPROP CLBLM_R_X7Y99 COLUMN 23 TILEPROP CLBLM_R_X7Y99 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y99 FIRST_SITE_ID 5108 TILEPROP CLBLM_R_X7Y99 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y99 GRID_POINT_Y 53 TILEPROP CLBLM_R_X7Y99 INDEX 6118 TILEPROP CLBLM_R_X7Y99 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y99 INT_TILE_Y 50 TILEPROP CLBLM_R_X7Y99 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y99 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y99 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y99 NAME CLBLM_R_X7Y99 TILEPROP CLBLM_R_X7Y99 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y99 NUM_SITES 2 TILEPROP CLBLM_R_X7Y99 ROW 53 TILEPROP CLBLM_R_X7Y99 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y99 TILE_PATTERN_IDX 1649 TILEPROP CLBLM_R_X7Y99 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y99 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y99 TILE_X -59186 TILEPROP CLBLM_R_X7Y99 TILE_Y 79424 TILEPROP CLBLM_R_X7Y99 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y100 CLASS tile TILEPROP CLBLM_R_X7Y100 COLUMN 23 TILEPROP CLBLM_R_X7Y100 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y100 FIRST_SITE_ID 5010 TILEPROP CLBLM_R_X7Y100 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y100 GRID_POINT_Y 51 TILEPROP CLBLM_R_X7Y100 INDEX 5888 TILEPROP CLBLM_R_X7Y100 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y100 INT_TILE_Y 49 TILEPROP CLBLM_R_X7Y100 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y100 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y100 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y100 NAME CLBLM_R_X7Y100 TILEPROP CLBLM_R_X7Y100 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y100 NUM_SITES 2 TILEPROP CLBLM_R_X7Y100 ROW 51 TILEPROP CLBLM_R_X7Y100 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y100 TILE_PATTERN_IDX 1603 TILEPROP CLBLM_R_X7Y100 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y100 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y100 TILE_X -59186 TILEPROP CLBLM_R_X7Y100 TILE_Y 82872 TILEPROP CLBLM_R_X7Y100 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y101 CLASS tile TILEPROP CLBLM_R_X7Y101 COLUMN 23 TILEPROP CLBLM_R_X7Y101 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y101 FIRST_SITE_ID 4915 TILEPROP CLBLM_R_X7Y101 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y101 GRID_POINT_Y 50 TILEPROP CLBLM_R_X7Y101 INDEX 5773 TILEPROP CLBLM_R_X7Y101 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y101 INT_TILE_Y 48 TILEPROP CLBLM_R_X7Y101 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y101 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y101 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y101 NAME CLBLM_R_X7Y101 TILEPROP CLBLM_R_X7Y101 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y101 NUM_SITES 2 TILEPROP CLBLM_R_X7Y101 ROW 50 TILEPROP CLBLM_R_X7Y101 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y101 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y101 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y101 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y101 TILE_X -59186 TILEPROP CLBLM_R_X7Y101 TILE_Y 86072 TILEPROP CLBLM_R_X7Y101 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y102 CLASS tile TILEPROP CLBLM_R_X7Y102 COLUMN 23 TILEPROP CLBLM_R_X7Y102 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y102 FIRST_SITE_ID 4819 TILEPROP CLBLM_R_X7Y102 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y102 GRID_POINT_Y 49 TILEPROP CLBLM_R_X7Y102 INDEX 5658 TILEPROP CLBLM_R_X7Y102 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y102 INT_TILE_Y 47 TILEPROP CLBLM_R_X7Y102 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y102 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y102 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y102 NAME CLBLM_R_X7Y102 TILEPROP CLBLM_R_X7Y102 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y102 NUM_SITES 2 TILEPROP CLBLM_R_X7Y102 ROW 49 TILEPROP CLBLM_R_X7Y102 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y102 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y102 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y102 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y102 TILE_X -59186 TILEPROP CLBLM_R_X7Y102 TILE_Y 89272 TILEPROP CLBLM_R_X7Y102 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y103 CLASS tile TILEPROP CLBLM_R_X7Y103 COLUMN 23 TILEPROP CLBLM_R_X7Y103 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y103 FIRST_SITE_ID 4731 TILEPROP CLBLM_R_X7Y103 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y103 GRID_POINT_Y 48 TILEPROP CLBLM_R_X7Y103 INDEX 5543 TILEPROP CLBLM_R_X7Y103 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y103 INT_TILE_Y 46 TILEPROP CLBLM_R_X7Y103 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y103 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y103 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y103 NAME CLBLM_R_X7Y103 TILEPROP CLBLM_R_X7Y103 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y103 NUM_SITES 2 TILEPROP CLBLM_R_X7Y103 ROW 48 TILEPROP CLBLM_R_X7Y103 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y103 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y103 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y103 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y103 TILE_X -59186 TILEPROP CLBLM_R_X7Y103 TILE_Y 92472 TILEPROP CLBLM_R_X7Y103 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y104 CLASS tile TILEPROP CLBLM_R_X7Y104 COLUMN 23 TILEPROP CLBLM_R_X7Y104 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y104 FIRST_SITE_ID 4635 TILEPROP CLBLM_R_X7Y104 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y104 GRID_POINT_Y 47 TILEPROP CLBLM_R_X7Y104 INDEX 5428 TILEPROP CLBLM_R_X7Y104 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y104 INT_TILE_Y 45 TILEPROP CLBLM_R_X7Y104 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y104 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y104 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y104 NAME CLBLM_R_X7Y104 TILEPROP CLBLM_R_X7Y104 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y104 NUM_SITES 2 TILEPROP CLBLM_R_X7Y104 ROW 47 TILEPROP CLBLM_R_X7Y104 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y104 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y104 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y104 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y104 TILE_X -59186 TILEPROP CLBLM_R_X7Y104 TILE_Y 95672 TILEPROP CLBLM_R_X7Y104 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y105 CLASS tile TILEPROP CLBLM_R_X7Y105 COLUMN 23 TILEPROP CLBLM_R_X7Y105 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y105 FIRST_SITE_ID 4536 TILEPROP CLBLM_R_X7Y105 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y105 GRID_POINT_Y 46 TILEPROP CLBLM_R_X7Y105 INDEX 5313 TILEPROP CLBLM_R_X7Y105 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y105 INT_TILE_Y 44 TILEPROP CLBLM_R_X7Y105 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y105 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y105 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y105 NAME CLBLM_R_X7Y105 TILEPROP CLBLM_R_X7Y105 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y105 NUM_SITES 2 TILEPROP CLBLM_R_X7Y105 ROW 46 TILEPROP CLBLM_R_X7Y105 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y105 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y105 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y105 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y105 TILE_X -59186 TILEPROP CLBLM_R_X7Y105 TILE_Y 98872 TILEPROP CLBLM_R_X7Y105 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y106 CLASS tile TILEPROP CLBLM_R_X7Y106 COLUMN 23 TILEPROP CLBLM_R_X7Y106 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y106 FIRST_SITE_ID 4437 TILEPROP CLBLM_R_X7Y106 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y106 GRID_POINT_Y 45 TILEPROP CLBLM_R_X7Y106 INDEX 5198 TILEPROP CLBLM_R_X7Y106 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y106 INT_TILE_Y 43 TILEPROP CLBLM_R_X7Y106 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y106 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y106 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y106 NAME CLBLM_R_X7Y106 TILEPROP CLBLM_R_X7Y106 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y106 NUM_SITES 2 TILEPROP CLBLM_R_X7Y106 ROW 45 TILEPROP CLBLM_R_X7Y106 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y106 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y106 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y106 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y106 TILE_X -59186 TILEPROP CLBLM_R_X7Y106 TILE_Y 102072 TILEPROP CLBLM_R_X7Y106 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y107 CLASS tile TILEPROP CLBLM_R_X7Y107 COLUMN 23 TILEPROP CLBLM_R_X7Y107 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y107 FIRST_SITE_ID 4349 TILEPROP CLBLM_R_X7Y107 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y107 GRID_POINT_Y 44 TILEPROP CLBLM_R_X7Y107 INDEX 5083 TILEPROP CLBLM_R_X7Y107 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y107 INT_TILE_Y 42 TILEPROP CLBLM_R_X7Y107 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y107 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y107 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y107 NAME CLBLM_R_X7Y107 TILEPROP CLBLM_R_X7Y107 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y107 NUM_SITES 2 TILEPROP CLBLM_R_X7Y107 ROW 44 TILEPROP CLBLM_R_X7Y107 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y107 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y107 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y107 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y107 TILE_X -59186 TILEPROP CLBLM_R_X7Y107 TILE_Y 105272 TILEPROP CLBLM_R_X7Y107 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y108 CLASS tile TILEPROP CLBLM_R_X7Y108 COLUMN 23 TILEPROP CLBLM_R_X7Y108 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y108 FIRST_SITE_ID 4251 TILEPROP CLBLM_R_X7Y108 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y108 GRID_POINT_Y 43 TILEPROP CLBLM_R_X7Y108 INDEX 4968 TILEPROP CLBLM_R_X7Y108 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y108 INT_TILE_Y 41 TILEPROP CLBLM_R_X7Y108 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y108 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y108 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y108 NAME CLBLM_R_X7Y108 TILEPROP CLBLM_R_X7Y108 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y108 NUM_SITES 2 TILEPROP CLBLM_R_X7Y108 ROW 43 TILEPROP CLBLM_R_X7Y108 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y108 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y108 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y108 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y108 TILE_X -59186 TILEPROP CLBLM_R_X7Y108 TILE_Y 108472 TILEPROP CLBLM_R_X7Y108 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y109 CLASS tile TILEPROP CLBLM_R_X7Y109 COLUMN 23 TILEPROP CLBLM_R_X7Y109 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y109 FIRST_SITE_ID 4162 TILEPROP CLBLM_R_X7Y109 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y109 GRID_POINT_Y 42 TILEPROP CLBLM_R_X7Y109 INDEX 4853 TILEPROP CLBLM_R_X7Y109 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y109 INT_TILE_Y 40 TILEPROP CLBLM_R_X7Y109 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y109 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y109 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y109 NAME CLBLM_R_X7Y109 TILEPROP CLBLM_R_X7Y109 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y109 NUM_SITES 2 TILEPROP CLBLM_R_X7Y109 ROW 42 TILEPROP CLBLM_R_X7Y109 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y109 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y109 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y109 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y109 TILE_X -59186 TILEPROP CLBLM_R_X7Y109 TILE_Y 111672 TILEPROP CLBLM_R_X7Y109 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y110 CLASS tile TILEPROP CLBLM_R_X7Y110 COLUMN 23 TILEPROP CLBLM_R_X7Y110 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y110 FIRST_SITE_ID 4059 TILEPROP CLBLM_R_X7Y110 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y110 GRID_POINT_Y 41 TILEPROP CLBLM_R_X7Y110 INDEX 4738 TILEPROP CLBLM_R_X7Y110 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y110 INT_TILE_Y 39 TILEPROP CLBLM_R_X7Y110 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y110 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y110 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y110 NAME CLBLM_R_X7Y110 TILEPROP CLBLM_R_X7Y110 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y110 NUM_SITES 2 TILEPROP CLBLM_R_X7Y110 ROW 41 TILEPROP CLBLM_R_X7Y110 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y110 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y110 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y110 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y110 TILE_X -59186 TILEPROP CLBLM_R_X7Y110 TILE_Y 114872 TILEPROP CLBLM_R_X7Y110 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y111 CLASS tile TILEPROP CLBLM_R_X7Y111 COLUMN 23 TILEPROP CLBLM_R_X7Y111 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y111 FIRST_SITE_ID 3968 TILEPROP CLBLM_R_X7Y111 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y111 GRID_POINT_Y 40 TILEPROP CLBLM_R_X7Y111 INDEX 4623 TILEPROP CLBLM_R_X7Y111 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y111 INT_TILE_Y 38 TILEPROP CLBLM_R_X7Y111 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y111 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y111 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y111 NAME CLBLM_R_X7Y111 TILEPROP CLBLM_R_X7Y111 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y111 NUM_SITES 2 TILEPROP CLBLM_R_X7Y111 ROW 40 TILEPROP CLBLM_R_X7Y111 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y111 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y111 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y111 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y111 TILE_X -59186 TILEPROP CLBLM_R_X7Y111 TILE_Y 118072 TILEPROP CLBLM_R_X7Y111 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y112 CLASS tile TILEPROP CLBLM_R_X7Y112 COLUMN 23 TILEPROP CLBLM_R_X7Y112 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y112 FIRST_SITE_ID 3840 TILEPROP CLBLM_R_X7Y112 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y112 GRID_POINT_Y 39 TILEPROP CLBLM_R_X7Y112 INDEX 4508 TILEPROP CLBLM_R_X7Y112 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y112 INT_TILE_Y 37 TILEPROP CLBLM_R_X7Y112 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y112 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y112 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y112 NAME CLBLM_R_X7Y112 TILEPROP CLBLM_R_X7Y112 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y112 NUM_SITES 2 TILEPROP CLBLM_R_X7Y112 ROW 39 TILEPROP CLBLM_R_X7Y112 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y112 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y112 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y112 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y112 TILE_X -59186 TILEPROP CLBLM_R_X7Y112 TILE_Y 121272 TILEPROP CLBLM_R_X7Y112 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y113 CLASS tile TILEPROP CLBLM_R_X7Y113 COLUMN 23 TILEPROP CLBLM_R_X7Y113 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y113 FIRST_SITE_ID 3752 TILEPROP CLBLM_R_X7Y113 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y113 GRID_POINT_Y 38 TILEPROP CLBLM_R_X7Y113 INDEX 4393 TILEPROP CLBLM_R_X7Y113 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y113 INT_TILE_Y 36 TILEPROP CLBLM_R_X7Y113 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y113 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y113 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y113 NAME CLBLM_R_X7Y113 TILEPROP CLBLM_R_X7Y113 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y113 NUM_SITES 2 TILEPROP CLBLM_R_X7Y113 ROW 38 TILEPROP CLBLM_R_X7Y113 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y113 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y113 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y113 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y113 TILE_X -59186 TILEPROP CLBLM_R_X7Y113 TILE_Y 124472 TILEPROP CLBLM_R_X7Y113 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y114 CLASS tile TILEPROP CLBLM_R_X7Y114 COLUMN 23 TILEPROP CLBLM_R_X7Y114 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y114 FIRST_SITE_ID 3656 TILEPROP CLBLM_R_X7Y114 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y114 GRID_POINT_Y 37 TILEPROP CLBLM_R_X7Y114 INDEX 4278 TILEPROP CLBLM_R_X7Y114 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y114 INT_TILE_Y 35 TILEPROP CLBLM_R_X7Y114 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y114 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y114 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y114 NAME CLBLM_R_X7Y114 TILEPROP CLBLM_R_X7Y114 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y114 NUM_SITES 2 TILEPROP CLBLM_R_X7Y114 ROW 37 TILEPROP CLBLM_R_X7Y114 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y114 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y114 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y114 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y114 TILE_X -59186 TILEPROP CLBLM_R_X7Y114 TILE_Y 127672 TILEPROP CLBLM_R_X7Y114 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y115 CLASS tile TILEPROP CLBLM_R_X7Y115 COLUMN 23 TILEPROP CLBLM_R_X7Y115 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y115 FIRST_SITE_ID 3562 TILEPROP CLBLM_R_X7Y115 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y115 GRID_POINT_Y 36 TILEPROP CLBLM_R_X7Y115 INDEX 4163 TILEPROP CLBLM_R_X7Y115 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y115 INT_TILE_Y 34 TILEPROP CLBLM_R_X7Y115 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y115 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y115 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y115 NAME CLBLM_R_X7Y115 TILEPROP CLBLM_R_X7Y115 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y115 NUM_SITES 2 TILEPROP CLBLM_R_X7Y115 ROW 36 TILEPROP CLBLM_R_X7Y115 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y115 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y115 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y115 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y115 TILE_X -59186 TILEPROP CLBLM_R_X7Y115 TILE_Y 130872 TILEPROP CLBLM_R_X7Y115 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y116 CLASS tile TILEPROP CLBLM_R_X7Y116 COLUMN 23 TILEPROP CLBLM_R_X7Y116 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y116 FIRST_SITE_ID 3458 TILEPROP CLBLM_R_X7Y116 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y116 GRID_POINT_Y 35 TILEPROP CLBLM_R_X7Y116 INDEX 4048 TILEPROP CLBLM_R_X7Y116 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y116 INT_TILE_Y 33 TILEPROP CLBLM_R_X7Y116 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y116 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y116 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y116 NAME CLBLM_R_X7Y116 TILEPROP CLBLM_R_X7Y116 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y116 NUM_SITES 2 TILEPROP CLBLM_R_X7Y116 ROW 35 TILEPROP CLBLM_R_X7Y116 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y116 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y116 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y116 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y116 TILE_X -59186 TILEPROP CLBLM_R_X7Y116 TILE_Y 134072 TILEPROP CLBLM_R_X7Y116 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y117 CLASS tile TILEPROP CLBLM_R_X7Y117 COLUMN 23 TILEPROP CLBLM_R_X7Y117 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y117 FIRST_SITE_ID 3370 TILEPROP CLBLM_R_X7Y117 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y117 GRID_POINT_Y 34 TILEPROP CLBLM_R_X7Y117 INDEX 3933 TILEPROP CLBLM_R_X7Y117 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y117 INT_TILE_Y 32 TILEPROP CLBLM_R_X7Y117 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y117 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y117 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y117 NAME CLBLM_R_X7Y117 TILEPROP CLBLM_R_X7Y117 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y117 NUM_SITES 2 TILEPROP CLBLM_R_X7Y117 ROW 34 TILEPROP CLBLM_R_X7Y117 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y117 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y117 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y117 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y117 TILE_X -59186 TILEPROP CLBLM_R_X7Y117 TILE_Y 137272 TILEPROP CLBLM_R_X7Y117 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y118 CLASS tile TILEPROP CLBLM_R_X7Y118 COLUMN 23 TILEPROP CLBLM_R_X7Y118 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y118 FIRST_SITE_ID 3270 TILEPROP CLBLM_R_X7Y118 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y118 GRID_POINT_Y 33 TILEPROP CLBLM_R_X7Y118 INDEX 3818 TILEPROP CLBLM_R_X7Y118 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y118 INT_TILE_Y 31 TILEPROP CLBLM_R_X7Y118 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y118 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y118 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y118 NAME CLBLM_R_X7Y118 TILEPROP CLBLM_R_X7Y118 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y118 NUM_SITES 2 TILEPROP CLBLM_R_X7Y118 ROW 33 TILEPROP CLBLM_R_X7Y118 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y118 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y118 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y118 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y118 TILE_X -59186 TILEPROP CLBLM_R_X7Y118 TILE_Y 140472 TILEPROP CLBLM_R_X7Y118 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y119 CLASS tile TILEPROP CLBLM_R_X7Y119 COLUMN 23 TILEPROP CLBLM_R_X7Y119 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y119 FIRST_SITE_ID 3182 TILEPROP CLBLM_R_X7Y119 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y119 GRID_POINT_Y 32 TILEPROP CLBLM_R_X7Y119 INDEX 3703 TILEPROP CLBLM_R_X7Y119 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y119 INT_TILE_Y 30 TILEPROP CLBLM_R_X7Y119 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y119 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y119 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y119 NAME CLBLM_R_X7Y119 TILEPROP CLBLM_R_X7Y119 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y119 NUM_SITES 2 TILEPROP CLBLM_R_X7Y119 ROW 32 TILEPROP CLBLM_R_X7Y119 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y119 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y119 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y119 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y119 TILE_X -59186 TILEPROP CLBLM_R_X7Y119 TILE_Y 143672 TILEPROP CLBLM_R_X7Y119 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y120 CLASS tile TILEPROP CLBLM_R_X7Y120 COLUMN 23 TILEPROP CLBLM_R_X7Y120 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y120 FIRST_SITE_ID 3078 TILEPROP CLBLM_R_X7Y120 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y120 GRID_POINT_Y 31 TILEPROP CLBLM_R_X7Y120 INDEX 3588 TILEPROP CLBLM_R_X7Y120 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y120 INT_TILE_Y 29 TILEPROP CLBLM_R_X7Y120 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y120 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y120 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y120 NAME CLBLM_R_X7Y120 TILEPROP CLBLM_R_X7Y120 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y120 NUM_SITES 2 TILEPROP CLBLM_R_X7Y120 ROW 31 TILEPROP CLBLM_R_X7Y120 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y120 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y120 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y120 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y120 TILE_X -59186 TILEPROP CLBLM_R_X7Y120 TILE_Y 146872 TILEPROP CLBLM_R_X7Y120 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y121 CLASS tile TILEPROP CLBLM_R_X7Y121 COLUMN 23 TILEPROP CLBLM_R_X7Y121 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y121 FIRST_SITE_ID 2987 TILEPROP CLBLM_R_X7Y121 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y121 GRID_POINT_Y 30 TILEPROP CLBLM_R_X7Y121 INDEX 3473 TILEPROP CLBLM_R_X7Y121 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y121 INT_TILE_Y 28 TILEPROP CLBLM_R_X7Y121 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y121 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y121 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y121 NAME CLBLM_R_X7Y121 TILEPROP CLBLM_R_X7Y121 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y121 NUM_SITES 2 TILEPROP CLBLM_R_X7Y121 ROW 30 TILEPROP CLBLM_R_X7Y121 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y121 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y121 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y121 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y121 TILE_X -59186 TILEPROP CLBLM_R_X7Y121 TILE_Y 150072 TILEPROP CLBLM_R_X7Y121 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y122 CLASS tile TILEPROP CLBLM_R_X7Y122 COLUMN 23 TILEPROP CLBLM_R_X7Y122 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y122 FIRST_SITE_ID 2884 TILEPROP CLBLM_R_X7Y122 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y122 GRID_POINT_Y 29 TILEPROP CLBLM_R_X7Y122 INDEX 3358 TILEPROP CLBLM_R_X7Y122 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y122 INT_TILE_Y 27 TILEPROP CLBLM_R_X7Y122 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y122 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y122 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y122 NAME CLBLM_R_X7Y122 TILEPROP CLBLM_R_X7Y122 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y122 NUM_SITES 2 TILEPROP CLBLM_R_X7Y122 ROW 29 TILEPROP CLBLM_R_X7Y122 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y122 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y122 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y122 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y122 TILE_X -59186 TILEPROP CLBLM_R_X7Y122 TILE_Y 153272 TILEPROP CLBLM_R_X7Y122 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y123 CLASS tile TILEPROP CLBLM_R_X7Y123 COLUMN 23 TILEPROP CLBLM_R_X7Y123 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y123 FIRST_SITE_ID 2796 TILEPROP CLBLM_R_X7Y123 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y123 GRID_POINT_Y 28 TILEPROP CLBLM_R_X7Y123 INDEX 3243 TILEPROP CLBLM_R_X7Y123 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y123 INT_TILE_Y 26 TILEPROP CLBLM_R_X7Y123 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y123 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y123 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y123 NAME CLBLM_R_X7Y123 TILEPROP CLBLM_R_X7Y123 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y123 NUM_SITES 2 TILEPROP CLBLM_R_X7Y123 ROW 28 TILEPROP CLBLM_R_X7Y123 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y123 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y123 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y123 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y123 TILE_X -59186 TILEPROP CLBLM_R_X7Y123 TILE_Y 156472 TILEPROP CLBLM_R_X7Y123 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y124 CLASS tile TILEPROP CLBLM_R_X7Y124 COLUMN 23 TILEPROP CLBLM_R_X7Y124 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y124 FIRST_SITE_ID 2700 TILEPROP CLBLM_R_X7Y124 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y124 GRID_POINT_Y 27 TILEPROP CLBLM_R_X7Y124 INDEX 3128 TILEPROP CLBLM_R_X7Y124 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y124 INT_TILE_Y 25 TILEPROP CLBLM_R_X7Y124 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y124 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y124 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y124 NAME CLBLM_R_X7Y124 TILEPROP CLBLM_R_X7Y124 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y124 NUM_SITES 2 TILEPROP CLBLM_R_X7Y124 ROW 27 TILEPROP CLBLM_R_X7Y124 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y124 TILE_PATTERN_IDX 877 TILEPROP CLBLM_R_X7Y124 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y124 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y124 TILE_X -59186 TILEPROP CLBLM_R_X7Y124 TILE_Y 159672 TILEPROP CLBLM_R_X7Y124 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y125 CLASS tile TILEPROP CLBLM_R_X7Y125 COLUMN 23 TILEPROP CLBLM_R_X7Y125 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y125 FIRST_SITE_ID 2524 TILEPROP CLBLM_R_X7Y125 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y125 GRID_POINT_Y 25 TILEPROP CLBLM_R_X7Y125 INDEX 2898 TILEPROP CLBLM_R_X7Y125 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y125 INT_TILE_Y 24 TILEPROP CLBLM_R_X7Y125 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y125 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y125 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y125 NAME CLBLM_R_X7Y125 TILEPROP CLBLM_R_X7Y125 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y125 NUM_SITES 2 TILEPROP CLBLM_R_X7Y125 ROW 25 TILEPROP CLBLM_R_X7Y125 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y125 TILE_PATTERN_IDX 793 TILEPROP CLBLM_R_X7Y125 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y125 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y125 TILE_X -59186 TILEPROP CLBLM_R_X7Y125 TILE_Y 163896 TILEPROP CLBLM_R_X7Y125 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y126 CLASS tile TILEPROP CLBLM_R_X7Y126 COLUMN 23 TILEPROP CLBLM_R_X7Y126 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y126 FIRST_SITE_ID 2417 TILEPROP CLBLM_R_X7Y126 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y126 GRID_POINT_Y 24 TILEPROP CLBLM_R_X7Y126 INDEX 2783 TILEPROP CLBLM_R_X7Y126 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y126 INT_TILE_Y 23 TILEPROP CLBLM_R_X7Y126 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y126 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y126 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y126 NAME CLBLM_R_X7Y126 TILEPROP CLBLM_R_X7Y126 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y126 NUM_SITES 2 TILEPROP CLBLM_R_X7Y126 ROW 24 TILEPROP CLBLM_R_X7Y126 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y126 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y126 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y126 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y126 TILE_X -59186 TILEPROP CLBLM_R_X7Y126 TILE_Y 167096 TILEPROP CLBLM_R_X7Y126 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y127 CLASS tile TILEPROP CLBLM_R_X7Y127 COLUMN 23 TILEPROP CLBLM_R_X7Y127 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y127 FIRST_SITE_ID 2321 TILEPROP CLBLM_R_X7Y127 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y127 GRID_POINT_Y 23 TILEPROP CLBLM_R_X7Y127 INDEX 2668 TILEPROP CLBLM_R_X7Y127 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y127 INT_TILE_Y 22 TILEPROP CLBLM_R_X7Y127 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y127 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y127 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y127 NAME CLBLM_R_X7Y127 TILEPROP CLBLM_R_X7Y127 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y127 NUM_SITES 2 TILEPROP CLBLM_R_X7Y127 ROW 23 TILEPROP CLBLM_R_X7Y127 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y127 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y127 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y127 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y127 TILE_X -59186 TILEPROP CLBLM_R_X7Y127 TILE_Y 170296 TILEPROP CLBLM_R_X7Y127 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y128 CLASS tile TILEPROP CLBLM_R_X7Y128 COLUMN 23 TILEPROP CLBLM_R_X7Y128 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y128 FIRST_SITE_ID 2217 TILEPROP CLBLM_R_X7Y128 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y128 GRID_POINT_Y 22 TILEPROP CLBLM_R_X7Y128 INDEX 2553 TILEPROP CLBLM_R_X7Y128 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y128 INT_TILE_Y 21 TILEPROP CLBLM_R_X7Y128 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y128 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y128 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y128 NAME CLBLM_R_X7Y128 TILEPROP CLBLM_R_X7Y128 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y128 NUM_SITES 2 TILEPROP CLBLM_R_X7Y128 ROW 22 TILEPROP CLBLM_R_X7Y128 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y128 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y128 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y128 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y128 TILE_X -59186 TILEPROP CLBLM_R_X7Y128 TILE_Y 173496 TILEPROP CLBLM_R_X7Y128 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y129 CLASS tile TILEPROP CLBLM_R_X7Y129 COLUMN 23 TILEPROP CLBLM_R_X7Y129 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y129 FIRST_SITE_ID 2121 TILEPROP CLBLM_R_X7Y129 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y129 GRID_POINT_Y 21 TILEPROP CLBLM_R_X7Y129 INDEX 2438 TILEPROP CLBLM_R_X7Y129 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y129 INT_TILE_Y 20 TILEPROP CLBLM_R_X7Y129 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y129 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y129 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y129 NAME CLBLM_R_X7Y129 TILEPROP CLBLM_R_X7Y129 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y129 NUM_SITES 2 TILEPROP CLBLM_R_X7Y129 ROW 21 TILEPROP CLBLM_R_X7Y129 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y129 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y129 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y129 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y129 TILE_X -59186 TILEPROP CLBLM_R_X7Y129 TILE_Y 176696 TILEPROP CLBLM_R_X7Y129 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y130 CLASS tile TILEPROP CLBLM_R_X7Y130 COLUMN 23 TILEPROP CLBLM_R_X7Y130 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y130 FIRST_SITE_ID 2002 TILEPROP CLBLM_R_X7Y130 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y130 GRID_POINT_Y 20 TILEPROP CLBLM_R_X7Y130 INDEX 2323 TILEPROP CLBLM_R_X7Y130 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y130 INT_TILE_Y 19 TILEPROP CLBLM_R_X7Y130 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y130 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y130 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y130 NAME CLBLM_R_X7Y130 TILEPROP CLBLM_R_X7Y130 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y130 NUM_SITES 2 TILEPROP CLBLM_R_X7Y130 ROW 20 TILEPROP CLBLM_R_X7Y130 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y130 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y130 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y130 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y130 TILE_X -59186 TILEPROP CLBLM_R_X7Y130 TILE_Y 179896 TILEPROP CLBLM_R_X7Y130 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y131 CLASS tile TILEPROP CLBLM_R_X7Y131 COLUMN 23 TILEPROP CLBLM_R_X7Y131 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y131 FIRST_SITE_ID 1903 TILEPROP CLBLM_R_X7Y131 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y131 GRID_POINT_Y 19 TILEPROP CLBLM_R_X7Y131 INDEX 2208 TILEPROP CLBLM_R_X7Y131 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y131 INT_TILE_Y 18 TILEPROP CLBLM_R_X7Y131 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y131 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y131 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y131 NAME CLBLM_R_X7Y131 TILEPROP CLBLM_R_X7Y131 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y131 NUM_SITES 2 TILEPROP CLBLM_R_X7Y131 ROW 19 TILEPROP CLBLM_R_X7Y131 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y131 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y131 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y131 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y131 TILE_X -59186 TILEPROP CLBLM_R_X7Y131 TILE_Y 183096 TILEPROP CLBLM_R_X7Y131 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y132 CLASS tile TILEPROP CLBLM_R_X7Y132 COLUMN 23 TILEPROP CLBLM_R_X7Y132 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y132 FIRST_SITE_ID 1797 TILEPROP CLBLM_R_X7Y132 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y132 GRID_POINT_Y 18 TILEPROP CLBLM_R_X7Y132 INDEX 2093 TILEPROP CLBLM_R_X7Y132 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y132 INT_TILE_Y 17 TILEPROP CLBLM_R_X7Y132 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y132 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y132 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y132 NAME CLBLM_R_X7Y132 TILEPROP CLBLM_R_X7Y132 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y132 NUM_SITES 2 TILEPROP CLBLM_R_X7Y132 ROW 18 TILEPROP CLBLM_R_X7Y132 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y132 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y132 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y132 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y132 TILE_X -59186 TILEPROP CLBLM_R_X7Y132 TILE_Y 186296 TILEPROP CLBLM_R_X7Y132 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y133 CLASS tile TILEPROP CLBLM_R_X7Y133 COLUMN 23 TILEPROP CLBLM_R_X7Y133 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y133 FIRST_SITE_ID 1696 TILEPROP CLBLM_R_X7Y133 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y133 GRID_POINT_Y 17 TILEPROP CLBLM_R_X7Y133 INDEX 1978 TILEPROP CLBLM_R_X7Y133 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y133 INT_TILE_Y 16 TILEPROP CLBLM_R_X7Y133 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y133 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y133 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y133 NAME CLBLM_R_X7Y133 TILEPROP CLBLM_R_X7Y133 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y133 NUM_SITES 2 TILEPROP CLBLM_R_X7Y133 ROW 17 TILEPROP CLBLM_R_X7Y133 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y133 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y133 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y133 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y133 TILE_X -59186 TILEPROP CLBLM_R_X7Y133 TILE_Y 189496 TILEPROP CLBLM_R_X7Y133 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y134 CLASS tile TILEPROP CLBLM_R_X7Y134 COLUMN 23 TILEPROP CLBLM_R_X7Y134 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y134 FIRST_SITE_ID 1592 TILEPROP CLBLM_R_X7Y134 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y134 GRID_POINT_Y 16 TILEPROP CLBLM_R_X7Y134 INDEX 1863 TILEPROP CLBLM_R_X7Y134 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y134 INT_TILE_Y 15 TILEPROP CLBLM_R_X7Y134 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y134 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y134 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y134 NAME CLBLM_R_X7Y134 TILEPROP CLBLM_R_X7Y134 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y134 NUM_SITES 2 TILEPROP CLBLM_R_X7Y134 ROW 16 TILEPROP CLBLM_R_X7Y134 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y134 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y134 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y134 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y134 TILE_X -59186 TILEPROP CLBLM_R_X7Y134 TILE_Y 192696 TILEPROP CLBLM_R_X7Y134 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y135 CLASS tile TILEPROP CLBLM_R_X7Y135 COLUMN 23 TILEPROP CLBLM_R_X7Y135 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y135 FIRST_SITE_ID 1487 TILEPROP CLBLM_R_X7Y135 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y135 GRID_POINT_Y 15 TILEPROP CLBLM_R_X7Y135 INDEX 1748 TILEPROP CLBLM_R_X7Y135 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y135 INT_TILE_Y 14 TILEPROP CLBLM_R_X7Y135 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y135 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y135 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y135 NAME CLBLM_R_X7Y135 TILEPROP CLBLM_R_X7Y135 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y135 NUM_SITES 2 TILEPROP CLBLM_R_X7Y135 ROW 15 TILEPROP CLBLM_R_X7Y135 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y135 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y135 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y135 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y135 TILE_X -59186 TILEPROP CLBLM_R_X7Y135 TILE_Y 195896 TILEPROP CLBLM_R_X7Y135 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y136 CLASS tile TILEPROP CLBLM_R_X7Y136 COLUMN 23 TILEPROP CLBLM_R_X7Y136 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y136 FIRST_SITE_ID 1348 TILEPROP CLBLM_R_X7Y136 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y136 GRID_POINT_Y 14 TILEPROP CLBLM_R_X7Y136 INDEX 1633 TILEPROP CLBLM_R_X7Y136 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y136 INT_TILE_Y 13 TILEPROP CLBLM_R_X7Y136 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y136 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y136 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y136 NAME CLBLM_R_X7Y136 TILEPROP CLBLM_R_X7Y136 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y136 NUM_SITES 2 TILEPROP CLBLM_R_X7Y136 ROW 14 TILEPROP CLBLM_R_X7Y136 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y136 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y136 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y136 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y136 TILE_X -59186 TILEPROP CLBLM_R_X7Y136 TILE_Y 199096 TILEPROP CLBLM_R_X7Y136 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y137 CLASS tile TILEPROP CLBLM_R_X7Y137 COLUMN 23 TILEPROP CLBLM_R_X7Y137 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y137 FIRST_SITE_ID 1252 TILEPROP CLBLM_R_X7Y137 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y137 GRID_POINT_Y 13 TILEPROP CLBLM_R_X7Y137 INDEX 1518 TILEPROP CLBLM_R_X7Y137 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y137 INT_TILE_Y 12 TILEPROP CLBLM_R_X7Y137 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y137 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y137 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y137 NAME CLBLM_R_X7Y137 TILEPROP CLBLM_R_X7Y137 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y137 NUM_SITES 2 TILEPROP CLBLM_R_X7Y137 ROW 13 TILEPROP CLBLM_R_X7Y137 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y137 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y137 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y137 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y137 TILE_X -59186 TILEPROP CLBLM_R_X7Y137 TILE_Y 202296 TILEPROP CLBLM_R_X7Y137 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y138 CLASS tile TILEPROP CLBLM_R_X7Y138 COLUMN 23 TILEPROP CLBLM_R_X7Y138 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y138 FIRST_SITE_ID 1148 TILEPROP CLBLM_R_X7Y138 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y138 GRID_POINT_Y 12 TILEPROP CLBLM_R_X7Y138 INDEX 1403 TILEPROP CLBLM_R_X7Y138 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y138 INT_TILE_Y 11 TILEPROP CLBLM_R_X7Y138 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y138 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y138 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y138 NAME CLBLM_R_X7Y138 TILEPROP CLBLM_R_X7Y138 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y138 NUM_SITES 2 TILEPROP CLBLM_R_X7Y138 ROW 12 TILEPROP CLBLM_R_X7Y138 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y138 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y138 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y138 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y138 TILE_X -59186 TILEPROP CLBLM_R_X7Y138 TILE_Y 205496 TILEPROP CLBLM_R_X7Y138 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y139 CLASS tile TILEPROP CLBLM_R_X7Y139 COLUMN 23 TILEPROP CLBLM_R_X7Y139 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y139 FIRST_SITE_ID 1052 TILEPROP CLBLM_R_X7Y139 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y139 GRID_POINT_Y 11 TILEPROP CLBLM_R_X7Y139 INDEX 1288 TILEPROP CLBLM_R_X7Y139 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y139 INT_TILE_Y 10 TILEPROP CLBLM_R_X7Y139 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y139 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y139 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y139 NAME CLBLM_R_X7Y139 TILEPROP CLBLM_R_X7Y139 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y139 NUM_SITES 2 TILEPROP CLBLM_R_X7Y139 ROW 11 TILEPROP CLBLM_R_X7Y139 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y139 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y139 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y139 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y139 TILE_X -59186 TILEPROP CLBLM_R_X7Y139 TILE_Y 208696 TILEPROP CLBLM_R_X7Y139 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y140 CLASS tile TILEPROP CLBLM_R_X7Y140 COLUMN 23 TILEPROP CLBLM_R_X7Y140 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y140 FIRST_SITE_ID 939 TILEPROP CLBLM_R_X7Y140 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y140 GRID_POINT_Y 10 TILEPROP CLBLM_R_X7Y140 INDEX 1173 TILEPROP CLBLM_R_X7Y140 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y140 INT_TILE_Y 9 TILEPROP CLBLM_R_X7Y140 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y140 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y140 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y140 NAME CLBLM_R_X7Y140 TILEPROP CLBLM_R_X7Y140 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y140 NUM_SITES 2 TILEPROP CLBLM_R_X7Y140 ROW 10 TILEPROP CLBLM_R_X7Y140 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y140 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y140 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y140 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y140 TILE_X -59186 TILEPROP CLBLM_R_X7Y140 TILE_Y 211896 TILEPROP CLBLM_R_X7Y140 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y141 CLASS tile TILEPROP CLBLM_R_X7Y141 COLUMN 23 TILEPROP CLBLM_R_X7Y141 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y141 FIRST_SITE_ID 840 TILEPROP CLBLM_R_X7Y141 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y141 GRID_POINT_Y 9 TILEPROP CLBLM_R_X7Y141 INDEX 1058 TILEPROP CLBLM_R_X7Y141 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y141 INT_TILE_Y 8 TILEPROP CLBLM_R_X7Y141 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y141 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y141 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y141 NAME CLBLM_R_X7Y141 TILEPROP CLBLM_R_X7Y141 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y141 NUM_SITES 2 TILEPROP CLBLM_R_X7Y141 ROW 9 TILEPROP CLBLM_R_X7Y141 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y141 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y141 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y141 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y141 TILE_X -59186 TILEPROP CLBLM_R_X7Y141 TILE_Y 215096 TILEPROP CLBLM_R_X7Y141 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y142 CLASS tile TILEPROP CLBLM_R_X7Y142 COLUMN 23 TILEPROP CLBLM_R_X7Y142 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y142 FIRST_SITE_ID 736 TILEPROP CLBLM_R_X7Y142 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y142 GRID_POINT_Y 8 TILEPROP CLBLM_R_X7Y142 INDEX 943 TILEPROP CLBLM_R_X7Y142 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y142 INT_TILE_Y 7 TILEPROP CLBLM_R_X7Y142 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y142 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y142 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y142 NAME CLBLM_R_X7Y142 TILEPROP CLBLM_R_X7Y142 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y142 NUM_SITES 2 TILEPROP CLBLM_R_X7Y142 ROW 8 TILEPROP CLBLM_R_X7Y142 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y142 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y142 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y142 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y142 TILE_X -59186 TILEPROP CLBLM_R_X7Y142 TILE_Y 218296 TILEPROP CLBLM_R_X7Y142 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y143 CLASS tile TILEPROP CLBLM_R_X7Y143 COLUMN 23 TILEPROP CLBLM_R_X7Y143 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y143 FIRST_SITE_ID 639 TILEPROP CLBLM_R_X7Y143 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y143 GRID_POINT_Y 7 TILEPROP CLBLM_R_X7Y143 INDEX 828 TILEPROP CLBLM_R_X7Y143 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y143 INT_TILE_Y 6 TILEPROP CLBLM_R_X7Y143 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y143 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y143 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y143 NAME CLBLM_R_X7Y143 TILEPROP CLBLM_R_X7Y143 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y143 NUM_SITES 2 TILEPROP CLBLM_R_X7Y143 ROW 7 TILEPROP CLBLM_R_X7Y143 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y143 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y143 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y143 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y143 TILE_X -59186 TILEPROP CLBLM_R_X7Y143 TILE_Y 221496 TILEPROP CLBLM_R_X7Y143 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y144 CLASS tile TILEPROP CLBLM_R_X7Y144 COLUMN 23 TILEPROP CLBLM_R_X7Y144 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y144 FIRST_SITE_ID 528 TILEPROP CLBLM_R_X7Y144 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y144 GRID_POINT_Y 6 TILEPROP CLBLM_R_X7Y144 INDEX 713 TILEPROP CLBLM_R_X7Y144 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y144 INT_TILE_Y 5 TILEPROP CLBLM_R_X7Y144 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y144 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y144 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y144 NAME CLBLM_R_X7Y144 TILEPROP CLBLM_R_X7Y144 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y144 NUM_SITES 2 TILEPROP CLBLM_R_X7Y144 ROW 6 TILEPROP CLBLM_R_X7Y144 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y144 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y144 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y144 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y144 TILE_X -59186 TILEPROP CLBLM_R_X7Y144 TILE_Y 224696 TILEPROP CLBLM_R_X7Y144 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y145 CLASS tile TILEPROP CLBLM_R_X7Y145 COLUMN 23 TILEPROP CLBLM_R_X7Y145 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y145 FIRST_SITE_ID 423 TILEPROP CLBLM_R_X7Y145 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y145 GRID_POINT_Y 5 TILEPROP CLBLM_R_X7Y145 INDEX 598 TILEPROP CLBLM_R_X7Y145 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y145 INT_TILE_Y 4 TILEPROP CLBLM_R_X7Y145 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y145 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y145 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y145 NAME CLBLM_R_X7Y145 TILEPROP CLBLM_R_X7Y145 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y145 NUM_SITES 2 TILEPROP CLBLM_R_X7Y145 ROW 5 TILEPROP CLBLM_R_X7Y145 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y145 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y145 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y145 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y145 TILE_X -59186 TILEPROP CLBLM_R_X7Y145 TILE_Y 227896 TILEPROP CLBLM_R_X7Y145 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y146 CLASS tile TILEPROP CLBLM_R_X7Y146 COLUMN 23 TILEPROP CLBLM_R_X7Y146 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y146 FIRST_SITE_ID 316 TILEPROP CLBLM_R_X7Y146 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y146 GRID_POINT_Y 4 TILEPROP CLBLM_R_X7Y146 INDEX 483 TILEPROP CLBLM_R_X7Y146 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y146 INT_TILE_Y 3 TILEPROP CLBLM_R_X7Y146 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y146 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y146 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y146 NAME CLBLM_R_X7Y146 TILEPROP CLBLM_R_X7Y146 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y146 NUM_SITES 2 TILEPROP CLBLM_R_X7Y146 ROW 4 TILEPROP CLBLM_R_X7Y146 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y146 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y146 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y146 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y146 TILE_X -59186 TILEPROP CLBLM_R_X7Y146 TILE_Y 231096 TILEPROP CLBLM_R_X7Y146 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y147 CLASS tile TILEPROP CLBLM_R_X7Y147 COLUMN 23 TILEPROP CLBLM_R_X7Y147 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y147 FIRST_SITE_ID 220 TILEPROP CLBLM_R_X7Y147 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y147 GRID_POINT_Y 3 TILEPROP CLBLM_R_X7Y147 INDEX 368 TILEPROP CLBLM_R_X7Y147 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y147 INT_TILE_Y 2 TILEPROP CLBLM_R_X7Y147 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y147 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y147 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y147 NAME CLBLM_R_X7Y147 TILEPROP CLBLM_R_X7Y147 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y147 NUM_SITES 2 TILEPROP CLBLM_R_X7Y147 ROW 3 TILEPROP CLBLM_R_X7Y147 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y147 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y147 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y147 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y147 TILE_X -59186 TILEPROP CLBLM_R_X7Y147 TILE_Y 234296 TILEPROP CLBLM_R_X7Y147 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y148 CLASS tile TILEPROP CLBLM_R_X7Y148 COLUMN 23 TILEPROP CLBLM_R_X7Y148 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y148 FIRST_SITE_ID 116 TILEPROP CLBLM_R_X7Y148 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y148 GRID_POINT_Y 2 TILEPROP CLBLM_R_X7Y148 INDEX 253 TILEPROP CLBLM_R_X7Y148 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y148 INT_TILE_Y 1 TILEPROP CLBLM_R_X7Y148 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y148 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y148 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y148 NAME CLBLM_R_X7Y148 TILEPROP CLBLM_R_X7Y148 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y148 NUM_SITES 2 TILEPROP CLBLM_R_X7Y148 ROW 2 TILEPROP CLBLM_R_X7Y148 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y148 TILE_PATTERN_IDX 60 TILEPROP CLBLM_R_X7Y148 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y148 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y148 TILE_X -59186 TILEPROP CLBLM_R_X7Y148 TILE_Y 237496 TILEPROP CLBLM_R_X7Y148 TYPE CLBLM_R TILEPROP CLBLM_R_X7Y149 CLASS tile TILEPROP CLBLM_R_X7Y149 COLUMN 23 TILEPROP CLBLM_R_X7Y149 DEVICE_ID 0 TILEPROP CLBLM_R_X7Y149 FIRST_SITE_ID 20 TILEPROP CLBLM_R_X7Y149 GRID_POINT_X 23 TILEPROP CLBLM_R_X7Y149 GRID_POINT_Y 1 TILEPROP CLBLM_R_X7Y149 INDEX 138 TILEPROP CLBLM_R_X7Y149 INT_TILE_X 7 TILEPROP CLBLM_R_X7Y149 INT_TILE_Y 0 TILEPROP CLBLM_R_X7Y149 IS_CENTER_TILE 1 TILEPROP CLBLM_R_X7Y149 IS_DCM_TILE 0 TILEPROP CLBLM_R_X7Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLBLM_R_X7Y149 IS_GT_SITE_TILE 0 TILEPROP CLBLM_R_X7Y149 NAME CLBLM_R_X7Y149 TILEPROP CLBLM_R_X7Y149 NUM_ARCS 151 TILEPROP CLBLM_R_X7Y149 NUM_SITES 2 TILEPROP CLBLM_R_X7Y149 ROW 1 TILEPROP CLBLM_R_X7Y149 SLR_REGION_ID 0 TILEPROP CLBLM_R_X7Y149 TILE_PATTERN_IDX 16 TILEPROP CLBLM_R_X7Y149 TILE_TYPE CLBLM_R TILEPROP CLBLM_R_X7Y149 TILE_TYPE_INDEX 21 TILEPROP CLBLM_R_X7Y149 TILE_X -59186 TILEPROP CLBLM_R_X7Y149 TILE_Y 240696 TILEPROP CLBLM_R_X7Y149 TYPE CLBLM_R TILEPROP CLK_BUFG_BOT_R_X60Y48 CLASS tile TILEPROP CLK_BUFG_BOT_R_X60Y48 COLUMN 60 TILEPROP CLK_BUFG_BOT_R_X60Y48 DEVICE_ID 0 TILEPROP CLK_BUFG_BOT_R_X60Y48 FIRST_SITE_ID 10786 TILEPROP CLK_BUFG_BOT_R_X60Y48 GRID_POINT_X 60 TILEPROP CLK_BUFG_BOT_R_X60Y48 GRID_POINT_Y 108 TILEPROP CLK_BUFG_BOT_R_X60Y48 INDEX 12480 TILEPROP CLK_BUFG_BOT_R_X60Y48 INT_TILE_X 23 TILEPROP CLK_BUFG_BOT_R_X60Y48 INT_TILE_Y 103 TILEPROP CLK_BUFG_BOT_R_X60Y48 IS_CENTER_TILE 0 TILEPROP CLK_BUFG_BOT_R_X60Y48 IS_DCM_TILE 0 TILEPROP CLK_BUFG_BOT_R_X60Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_BUFG_BOT_R_X60Y48 IS_GT_SITE_TILE 0 TILEPROP CLK_BUFG_BOT_R_X60Y48 NAME CLK_BUFG_BOT_R_X60Y48 TILEPROP CLK_BUFG_BOT_R_X60Y48 NUM_ARCS 368 TILEPROP CLK_BUFG_BOT_R_X60Y48 NUM_SITES 16 TILEPROP CLK_BUFG_BOT_R_X60Y48 ROW 108 TILEPROP CLK_BUFG_BOT_R_X60Y48 SLR_REGION_ID 0 TILEPROP CLK_BUFG_BOT_R_X60Y48 TILE_PATTERN_IDX 1782 TILEPROP CLK_BUFG_BOT_R_X60Y48 TILE_TYPE CLK_BUFG_BOT_R TILEPROP CLK_BUFG_BOT_R_X60Y48 TILE_TYPE_INDEX 22 TILEPROP CLK_BUFG_BOT_R_X60Y48 TILE_X 8776 TILEPROP CLK_BUFG_BOT_R_X60Y48 TILE_Y -86648 TILEPROP CLK_BUFG_BOT_R_X60Y48 TYPE CLK_BUFG_BOT_R TILEPROP CLK_BUFG_REBUF_X60Y13 CLASS tile TILEPROP CLK_BUFG_REBUF_X60Y13 COLUMN 60 TILEPROP CLK_BUFG_REBUF_X60Y13 DEVICE_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y13 FIRST_SITE_ID 14475 TILEPROP CLK_BUFG_REBUF_X60Y13 GRID_POINT_X 60 TILEPROP CLK_BUFG_REBUF_X60Y13 GRID_POINT_Y 143 TILEPROP CLK_BUFG_REBUF_X60Y13 INDEX 16505 TILEPROP CLK_BUFG_REBUF_X60Y13 INT_TILE_X 23 TILEPROP CLK_BUFG_REBUF_X60Y13 INT_TILE_Y 137 TILEPROP CLK_BUFG_REBUF_X60Y13 IS_CENTER_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y13 IS_DCM_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y13 IS_GT_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y13 NAME CLK_BUFG_REBUF_X60Y13 TILEPROP CLK_BUFG_REBUF_X60Y13 NUM_ARCS 96 TILEPROP CLK_BUFG_REBUF_X60Y13 NUM_SITES 32 TILEPROP CLK_BUFG_REBUF_X60Y13 ROW 143 TILEPROP CLK_BUFG_REBUF_X60Y13 SLR_REGION_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y13 TILE_PATTERN_IDX 3072 TILEPROP CLK_BUFG_REBUF_X60Y13 TILE_TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y13 TILE_TYPE_INDEX 23 TILEPROP CLK_BUFG_REBUF_X60Y13 TILE_X 8776 TILEPROP CLK_BUFG_REBUF_X60Y13 TILE_Y -199672 TILEPROP CLK_BUFG_REBUF_X60Y13 TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y38 CLASS tile TILEPROP CLK_BUFG_REBUF_X60Y38 COLUMN 60 TILEPROP CLK_BUFG_REBUF_X60Y38 DEVICE_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y38 FIRST_SITE_ID 11842 TILEPROP CLK_BUFG_REBUF_X60Y38 GRID_POINT_X 60 TILEPROP CLK_BUFG_REBUF_X60Y38 GRID_POINT_Y 118 TILEPROP CLK_BUFG_REBUF_X60Y38 INDEX 13630 TILEPROP CLK_BUFG_REBUF_X60Y38 INT_TILE_X 23 TILEPROP CLK_BUFG_REBUF_X60Y38 INT_TILE_Y 113 TILEPROP CLK_BUFG_REBUF_X60Y38 IS_CENTER_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y38 IS_DCM_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y38 IS_GT_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y38 NAME CLK_BUFG_REBUF_X60Y38 TILEPROP CLK_BUFG_REBUF_X60Y38 NUM_ARCS 96 TILEPROP CLK_BUFG_REBUF_X60Y38 NUM_SITES 32 TILEPROP CLK_BUFG_REBUF_X60Y38 ROW 118 TILEPROP CLK_BUFG_REBUF_X60Y38 SLR_REGION_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y38 TILE_PATTERN_IDX 2157 TILEPROP CLK_BUFG_REBUF_X60Y38 TILE_TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y38 TILE_TYPE_INDEX 23 TILEPROP CLK_BUFG_REBUF_X60Y38 TILE_X 8776 TILEPROP CLK_BUFG_REBUF_X60Y38 TILE_Y -121848 TILEPROP CLK_BUFG_REBUF_X60Y38 TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y65 CLASS tile TILEPROP CLK_BUFG_REBUF_X60Y65 COLUMN 60 TILEPROP CLK_BUFG_REBUF_X60Y65 DEVICE_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y65 FIRST_SITE_ID 9087 TILEPROP CLK_BUFG_REBUF_X60Y65 GRID_POINT_X 60 TILEPROP CLK_BUFG_REBUF_X60Y65 GRID_POINT_Y 91 TILEPROP CLK_BUFG_REBUF_X60Y65 INDEX 10525 TILEPROP CLK_BUFG_REBUF_X60Y65 INT_TILE_X 23 TILEPROP CLK_BUFG_REBUF_X60Y65 INT_TILE_Y 87 TILEPROP CLK_BUFG_REBUF_X60Y65 IS_CENTER_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y65 IS_DCM_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y65 IS_GT_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y65 NAME CLK_BUFG_REBUF_X60Y65 TILEPROP CLK_BUFG_REBUF_X60Y65 NUM_ARCS 96 TILEPROP CLK_BUFG_REBUF_X60Y65 NUM_SITES 32 TILEPROP CLK_BUFG_REBUF_X60Y65 ROW 91 TILEPROP CLK_BUFG_REBUF_X60Y65 SLR_REGION_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y65 TILE_PATTERN_IDX 1253 TILEPROP CLK_BUFG_REBUF_X60Y65 TILE_TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y65 TILE_TYPE_INDEX 23 TILEPROP CLK_BUFG_REBUF_X60Y65 TILE_X 8776 TILEPROP CLK_BUFG_REBUF_X60Y65 TILE_Y -38400 TILEPROP CLK_BUFG_REBUF_X60Y65 TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y90 CLASS tile TILEPROP CLK_BUFG_REBUF_X60Y90 COLUMN 60 TILEPROP CLK_BUFG_REBUF_X60Y90 DEVICE_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y90 FIRST_SITE_ID 6466 TILEPROP CLK_BUFG_REBUF_X60Y90 GRID_POINT_X 60 TILEPROP CLK_BUFG_REBUF_X60Y90 GRID_POINT_Y 66 TILEPROP CLK_BUFG_REBUF_X60Y90 INDEX 7650 TILEPROP CLK_BUFG_REBUF_X60Y90 INT_TILE_X 23 TILEPROP CLK_BUFG_REBUF_X60Y90 INT_TILE_Y 63 TILEPROP CLK_BUFG_REBUF_X60Y90 IS_CENTER_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y90 IS_DCM_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y90 IS_GT_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y90 NAME CLK_BUFG_REBUF_X60Y90 TILEPROP CLK_BUFG_REBUF_X60Y90 NUM_ARCS 96 TILEPROP CLK_BUFG_REBUF_X60Y90 NUM_SITES 32 TILEPROP CLK_BUFG_REBUF_X60Y90 ROW 66 TILEPROP CLK_BUFG_REBUF_X60Y90 SLR_REGION_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y90 TILE_PATTERN_IDX 5920 TILEPROP CLK_BUFG_REBUF_X60Y90 TILE_TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y90 TILE_TYPE_INDEX 23 TILEPROP CLK_BUFG_REBUF_X60Y90 TILE_X 8776 TILEPROP CLK_BUFG_REBUF_X60Y90 TILE_Y 39424 TILEPROP CLK_BUFG_REBUF_X60Y90 TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y117 CLASS tile TILEPROP CLK_BUFG_REBUF_X60Y117 COLUMN 60 TILEPROP CLK_BUFG_REBUF_X60Y117 DEVICE_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y117 FIRST_SITE_ID 3884 TILEPROP CLK_BUFG_REBUF_X60Y117 GRID_POINT_X 60 TILEPROP CLK_BUFG_REBUF_X60Y117 GRID_POINT_Y 39 TILEPROP CLK_BUFG_REBUF_X60Y117 INDEX 4545 TILEPROP CLK_BUFG_REBUF_X60Y117 INT_TILE_X 23 TILEPROP CLK_BUFG_REBUF_X60Y117 INT_TILE_Y 37 TILEPROP CLK_BUFG_REBUF_X60Y117 IS_CENTER_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y117 IS_DCM_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y117 IS_GT_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y117 NAME CLK_BUFG_REBUF_X60Y117 TILEPROP CLK_BUFG_REBUF_X60Y117 NUM_ARCS 96 TILEPROP CLK_BUFG_REBUF_X60Y117 NUM_SITES 32 TILEPROP CLK_BUFG_REBUF_X60Y117 ROW 39 TILEPROP CLK_BUFG_REBUF_X60Y117 SLR_REGION_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y117 TILE_PATTERN_IDX 5009 TILEPROP CLK_BUFG_REBUF_X60Y117 TILE_TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y117 TILE_TYPE_INDEX 23 TILEPROP CLK_BUFG_REBUF_X60Y117 TILE_X 8776 TILEPROP CLK_BUFG_REBUF_X60Y117 TILE_Y 122872 TILEPROP CLK_BUFG_REBUF_X60Y117 TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y142 CLASS tile TILEPROP CLK_BUFG_REBUF_X60Y142 COLUMN 60 TILEPROP CLK_BUFG_REBUF_X60Y142 DEVICE_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y142 FIRST_SITE_ID 1392 TILEPROP CLK_BUFG_REBUF_X60Y142 GRID_POINT_X 60 TILEPROP CLK_BUFG_REBUF_X60Y142 GRID_POINT_Y 14 TILEPROP CLK_BUFG_REBUF_X60Y142 INDEX 1670 TILEPROP CLK_BUFG_REBUF_X60Y142 INT_TILE_X 23 TILEPROP CLK_BUFG_REBUF_X60Y142 INT_TILE_Y 13 TILEPROP CLK_BUFG_REBUF_X60Y142 IS_CENTER_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y142 IS_DCM_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y142 IS_GT_SITE_TILE 0 TILEPROP CLK_BUFG_REBUF_X60Y142 NAME CLK_BUFG_REBUF_X60Y142 TILEPROP CLK_BUFG_REBUF_X60Y142 NUM_ARCS 96 TILEPROP CLK_BUFG_REBUF_X60Y142 NUM_SITES 32 TILEPROP CLK_BUFG_REBUF_X60Y142 ROW 14 TILEPROP CLK_BUFG_REBUF_X60Y142 SLR_REGION_ID 0 TILEPROP CLK_BUFG_REBUF_X60Y142 TILE_PATTERN_IDX 461 TILEPROP CLK_BUFG_REBUF_X60Y142 TILE_TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_REBUF_X60Y142 TILE_TYPE_INDEX 23 TILEPROP CLK_BUFG_REBUF_X60Y142 TILE_X 8776 TILEPROP CLK_BUFG_REBUF_X60Y142 TILE_Y 200696 TILEPROP CLK_BUFG_REBUF_X60Y142 TYPE CLK_BUFG_REBUF TILEPROP CLK_BUFG_TOP_R_X60Y53 CLASS tile TILEPROP CLK_BUFG_TOP_R_X60Y53 COLUMN 60 TILEPROP CLK_BUFG_TOP_R_X60Y53 DEVICE_ID 0 TILEPROP CLK_BUFG_TOP_R_X60Y53 FIRST_SITE_ID 10365 TILEPROP CLK_BUFG_TOP_R_X60Y53 GRID_POINT_X 60 TILEPROP CLK_BUFG_TOP_R_X60Y53 GRID_POINT_Y 103 TILEPROP CLK_BUFG_TOP_R_X60Y53 INDEX 11905 TILEPROP CLK_BUFG_TOP_R_X60Y53 INT_TILE_X 23 TILEPROP CLK_BUFG_TOP_R_X60Y53 INT_TILE_Y 99 TILEPROP CLK_BUFG_TOP_R_X60Y53 IS_CENTER_TILE 0 TILEPROP CLK_BUFG_TOP_R_X60Y53 IS_DCM_TILE 0 TILEPROP CLK_BUFG_TOP_R_X60Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_BUFG_TOP_R_X60Y53 IS_GT_SITE_TILE 0 TILEPROP CLK_BUFG_TOP_R_X60Y53 NAME CLK_BUFG_TOP_R_X60Y53 TILEPROP CLK_BUFG_TOP_R_X60Y53 NUM_ARCS 368 TILEPROP CLK_BUFG_TOP_R_X60Y53 NUM_SITES 16 TILEPROP CLK_BUFG_TOP_R_X60Y53 ROW 103 TILEPROP CLK_BUFG_TOP_R_X60Y53 SLR_REGION_ID 0 TILEPROP CLK_BUFG_TOP_R_X60Y53 TILE_PATTERN_IDX 1618 TILEPROP CLK_BUFG_TOP_R_X60Y53 TILE_TYPE CLK_BUFG_TOP_R TILEPROP CLK_BUFG_TOP_R_X60Y53 TILE_TYPE_INDEX 24 TILEPROP CLK_BUFG_TOP_R_X60Y53 TILE_X 8776 TILEPROP CLK_BUFG_TOP_R_X60Y53 TILE_Y -73600 TILEPROP CLK_BUFG_TOP_R_X60Y53 TYPE CLK_BUFG_TOP_R TILEPROP CLK_FEED_X60Y1 CLASS tile TILEPROP CLK_FEED_X60Y1 COLUMN 60 TILEPROP CLK_FEED_X60Y1 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y1 FIRST_SITE_ID 15754 TILEPROP CLK_FEED_X60Y1 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y1 GRID_POINT_Y 155 TILEPROP CLK_FEED_X60Y1 INDEX 17885 TILEPROP CLK_FEED_X60Y1 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y1 INT_TILE_Y 149 TILEPROP CLK_FEED_X60Y1 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y1 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y1 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y1 NAME CLK_FEED_X60Y1 TILEPROP CLK_FEED_X60Y1 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y1 NUM_SITES 0 TILEPROP CLK_FEED_X60Y1 ROW 155 TILEPROP CLK_FEED_X60Y1 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y1 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y1 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y1 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y1 TILE_X 8776 TILEPROP CLK_FEED_X60Y1 TILE_Y -239672 TILEPROP CLK_FEED_X60Y1 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y9 CLASS tile TILEPROP CLK_FEED_X60Y9 COLUMN 60 TILEPROP CLK_FEED_X60Y9 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y9 FIRST_SITE_ID 14923 TILEPROP CLK_FEED_X60Y9 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y9 GRID_POINT_Y 147 TILEPROP CLK_FEED_X60Y9 INDEX 16965 TILEPROP CLK_FEED_X60Y9 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y9 INT_TILE_Y 141 TILEPROP CLK_FEED_X60Y9 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y9 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y9 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y9 NAME CLK_FEED_X60Y9 TILEPROP CLK_FEED_X60Y9 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y9 NUM_SITES 0 TILEPROP CLK_FEED_X60Y9 ROW 147 TILEPROP CLK_FEED_X60Y9 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y9 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y9 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y9 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y9 TILE_X 8776 TILEPROP CLK_FEED_X60Y9 TILE_Y -214072 TILEPROP CLK_FEED_X60Y9 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y10 CLASS tile TILEPROP CLK_FEED_X60Y10 COLUMN 60 TILEPROP CLK_FEED_X60Y10 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y10 FIRST_SITE_ID 14822 TILEPROP CLK_FEED_X60Y10 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y10 GRID_POINT_Y 146 TILEPROP CLK_FEED_X60Y10 INDEX 16850 TILEPROP CLK_FEED_X60Y10 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y10 INT_TILE_Y 140 TILEPROP CLK_FEED_X60Y10 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y10 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y10 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y10 NAME CLK_FEED_X60Y10 TILEPROP CLK_FEED_X60Y10 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y10 NUM_SITES 0 TILEPROP CLK_FEED_X60Y10 ROW 146 TILEPROP CLK_FEED_X60Y10 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y10 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y10 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y10 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y10 TILE_X 8776 TILEPROP CLK_FEED_X60Y10 TILE_Y -210872 TILEPROP CLK_FEED_X60Y10 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y11 CLASS tile TILEPROP CLK_FEED_X60Y11 COLUMN 60 TILEPROP CLK_FEED_X60Y11 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y11 FIRST_SITE_ID 14713 TILEPROP CLK_FEED_X60Y11 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y11 GRID_POINT_Y 145 TILEPROP CLK_FEED_X60Y11 INDEX 16735 TILEPROP CLK_FEED_X60Y11 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y11 INT_TILE_Y 139 TILEPROP CLK_FEED_X60Y11 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y11 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y11 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y11 NAME CLK_FEED_X60Y11 TILEPROP CLK_FEED_X60Y11 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y11 NUM_SITES 0 TILEPROP CLK_FEED_X60Y11 ROW 145 TILEPROP CLK_FEED_X60Y11 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y11 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y11 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y11 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y11 TILE_X 8776 TILEPROP CLK_FEED_X60Y11 TILE_Y -207672 TILEPROP CLK_FEED_X60Y11 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y12 CLASS tile TILEPROP CLK_FEED_X60Y12 COLUMN 60 TILEPROP CLK_FEED_X60Y12 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y12 FIRST_SITE_ID 14607 TILEPROP CLK_FEED_X60Y12 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y12 GRID_POINT_Y 144 TILEPROP CLK_FEED_X60Y12 INDEX 16620 TILEPROP CLK_FEED_X60Y12 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y12 INT_TILE_Y 138 TILEPROP CLK_FEED_X60Y12 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y12 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y12 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y12 NAME CLK_FEED_X60Y12 TILEPROP CLK_FEED_X60Y12 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y12 NUM_SITES 0 TILEPROP CLK_FEED_X60Y12 ROW 144 TILEPROP CLK_FEED_X60Y12 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y12 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y12 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y12 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y12 TILE_X 8776 TILEPROP CLK_FEED_X60Y12 TILE_Y -204472 TILEPROP CLK_FEED_X60Y12 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y15 CLASS tile TILEPROP CLK_FEED_X60Y15 COLUMN 60 TILEPROP CLK_FEED_X60Y15 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y15 FIRST_SITE_ID 14275 TILEPROP CLK_FEED_X60Y15 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y15 GRID_POINT_Y 141 TILEPROP CLK_FEED_X60Y15 INDEX 16275 TILEPROP CLK_FEED_X60Y15 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y15 INT_TILE_Y 135 TILEPROP CLK_FEED_X60Y15 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y15 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y15 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y15 NAME CLK_FEED_X60Y15 TILEPROP CLK_FEED_X60Y15 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y15 NUM_SITES 0 TILEPROP CLK_FEED_X60Y15 ROW 141 TILEPROP CLK_FEED_X60Y15 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y15 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y15 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y15 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y15 TILE_X 8776 TILEPROP CLK_FEED_X60Y15 TILE_Y -194872 TILEPROP CLK_FEED_X60Y15 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y16 CLASS tile TILEPROP CLK_FEED_X60Y16 COLUMN 60 TILEPROP CLK_FEED_X60Y16 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y16 FIRST_SITE_ID 14166 TILEPROP CLK_FEED_X60Y16 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y16 GRID_POINT_Y 140 TILEPROP CLK_FEED_X60Y16 INDEX 16160 TILEPROP CLK_FEED_X60Y16 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y16 INT_TILE_Y 134 TILEPROP CLK_FEED_X60Y16 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y16 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y16 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y16 NAME CLK_FEED_X60Y16 TILEPROP CLK_FEED_X60Y16 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y16 NUM_SITES 0 TILEPROP CLK_FEED_X60Y16 ROW 140 TILEPROP CLK_FEED_X60Y16 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y16 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y16 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y16 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y16 TILE_X 8776 TILEPROP CLK_FEED_X60Y16 TILE_Y -191672 TILEPROP CLK_FEED_X60Y16 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y17 CLASS tile TILEPROP CLK_FEED_X60Y17 COLUMN 60 TILEPROP CLK_FEED_X60Y17 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y17 FIRST_SITE_ID 14060 TILEPROP CLK_FEED_X60Y17 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y17 GRID_POINT_Y 139 TILEPROP CLK_FEED_X60Y17 INDEX 16045 TILEPROP CLK_FEED_X60Y17 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y17 INT_TILE_Y 133 TILEPROP CLK_FEED_X60Y17 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y17 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y17 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y17 NAME CLK_FEED_X60Y17 TILEPROP CLK_FEED_X60Y17 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y17 NUM_SITES 0 TILEPROP CLK_FEED_X60Y17 ROW 139 TILEPROP CLK_FEED_X60Y17 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y17 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y17 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y17 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y17 TILE_X 8776 TILEPROP CLK_FEED_X60Y17 TILE_Y -188472 TILEPROP CLK_FEED_X60Y17 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y19 CLASS tile TILEPROP CLK_FEED_X60Y19 COLUMN 60 TILEPROP CLK_FEED_X60Y19 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y19 FIRST_SITE_ID 13851 TILEPROP CLK_FEED_X60Y19 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y19 GRID_POINT_Y 137 TILEPROP CLK_FEED_X60Y19 INDEX 15815 TILEPROP CLK_FEED_X60Y19 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y19 INT_TILE_Y 131 TILEPROP CLK_FEED_X60Y19 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y19 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y19 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y19 NAME CLK_FEED_X60Y19 TILEPROP CLK_FEED_X60Y19 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y19 NUM_SITES 0 TILEPROP CLK_FEED_X60Y19 ROW 137 TILEPROP CLK_FEED_X60Y19 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y19 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y19 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y19 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y19 TILE_X 8776 TILEPROP CLK_FEED_X60Y19 TILE_Y -182072 TILEPROP CLK_FEED_X60Y19 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y20 CLASS tile TILEPROP CLK_FEED_X60Y20 COLUMN 60 TILEPROP CLK_FEED_X60Y20 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y20 FIRST_SITE_ID 13749 TILEPROP CLK_FEED_X60Y20 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y20 GRID_POINT_Y 136 TILEPROP CLK_FEED_X60Y20 INDEX 15700 TILEPROP CLK_FEED_X60Y20 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y20 INT_TILE_Y 130 TILEPROP CLK_FEED_X60Y20 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y20 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y20 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y20 NAME CLK_FEED_X60Y20 TILEPROP CLK_FEED_X60Y20 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y20 NUM_SITES 0 TILEPROP CLK_FEED_X60Y20 ROW 136 TILEPROP CLK_FEED_X60Y20 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y20 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y20 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y20 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y20 TILE_X 8776 TILEPROP CLK_FEED_X60Y20 TILE_Y -178872 TILEPROP CLK_FEED_X60Y20 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y21 CLASS tile TILEPROP CLK_FEED_X60Y21 COLUMN 60 TILEPROP CLK_FEED_X60Y21 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y21 FIRST_SITE_ID 13638 TILEPROP CLK_FEED_X60Y21 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y21 GRID_POINT_Y 135 TILEPROP CLK_FEED_X60Y21 INDEX 15585 TILEPROP CLK_FEED_X60Y21 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y21 INT_TILE_Y 129 TILEPROP CLK_FEED_X60Y21 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y21 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y21 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y21 NAME CLK_FEED_X60Y21 TILEPROP CLK_FEED_X60Y21 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y21 NUM_SITES 0 TILEPROP CLK_FEED_X60Y21 ROW 135 TILEPROP CLK_FEED_X60Y21 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y21 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y21 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y21 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y21 TILE_X 8776 TILEPROP CLK_FEED_X60Y21 TILE_Y -175672 TILEPROP CLK_FEED_X60Y21 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y31 CLASS tile TILEPROP CLK_FEED_X60Y31 COLUMN 60 TILEPROP CLK_FEED_X60Y31 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y31 FIRST_SITE_ID 12628 TILEPROP CLK_FEED_X60Y31 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y31 GRID_POINT_Y 125 TILEPROP CLK_FEED_X60Y31 INDEX 14435 TILEPROP CLK_FEED_X60Y31 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y31 INT_TILE_Y 120 TILEPROP CLK_FEED_X60Y31 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y31 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y31 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y31 NAME CLK_FEED_X60Y31 TILEPROP CLK_FEED_X60Y31 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y31 NUM_SITES 0 TILEPROP CLK_FEED_X60Y31 ROW 125 TILEPROP CLK_FEED_X60Y31 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y31 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y31 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y31 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y31 TILE_X 8776 TILEPROP CLK_FEED_X60Y31 TILE_Y -145848 TILEPROP CLK_FEED_X60Y31 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y32 CLASS tile TILEPROP CLK_FEED_X60Y32 COLUMN 60 TILEPROP CLK_FEED_X60Y32 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y32 FIRST_SITE_ID 12513 TILEPROP CLK_FEED_X60Y32 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y32 GRID_POINT_Y 124 TILEPROP CLK_FEED_X60Y32 INDEX 14320 TILEPROP CLK_FEED_X60Y32 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y32 INT_TILE_Y 119 TILEPROP CLK_FEED_X60Y32 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y32 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y32 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y32 NAME CLK_FEED_X60Y32 TILEPROP CLK_FEED_X60Y32 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y32 NUM_SITES 0 TILEPROP CLK_FEED_X60Y32 ROW 124 TILEPROP CLK_FEED_X60Y32 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y32 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y32 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y32 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y32 TILE_X 8776 TILEPROP CLK_FEED_X60Y32 TILE_Y -142648 TILEPROP CLK_FEED_X60Y32 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y33 CLASS tile TILEPROP CLK_FEED_X60Y33 COLUMN 60 TILEPROP CLK_FEED_X60Y33 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y33 FIRST_SITE_ID 12392 TILEPROP CLK_FEED_X60Y33 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y33 GRID_POINT_Y 123 TILEPROP CLK_FEED_X60Y33 INDEX 14205 TILEPROP CLK_FEED_X60Y33 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y33 INT_TILE_Y 118 TILEPROP CLK_FEED_X60Y33 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y33 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y33 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y33 NAME CLK_FEED_X60Y33 TILEPROP CLK_FEED_X60Y33 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y33 NUM_SITES 0 TILEPROP CLK_FEED_X60Y33 ROW 123 TILEPROP CLK_FEED_X60Y33 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y33 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y33 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y33 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y33 TILE_X 8776 TILEPROP CLK_FEED_X60Y33 TILE_Y -139448 TILEPROP CLK_FEED_X60Y33 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y35 CLASS tile TILEPROP CLK_FEED_X60Y35 COLUMN 60 TILEPROP CLK_FEED_X60Y35 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y35 FIRST_SITE_ID 12189 TILEPROP CLK_FEED_X60Y35 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y35 GRID_POINT_Y 121 TILEPROP CLK_FEED_X60Y35 INDEX 13975 TILEPROP CLK_FEED_X60Y35 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y35 INT_TILE_Y 116 TILEPROP CLK_FEED_X60Y35 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y35 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y35 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y35 NAME CLK_FEED_X60Y35 TILEPROP CLK_FEED_X60Y35 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y35 NUM_SITES 0 TILEPROP CLK_FEED_X60Y35 ROW 121 TILEPROP CLK_FEED_X60Y35 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y35 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y35 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y35 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y35 TILE_X 8776 TILEPROP CLK_FEED_X60Y35 TILE_Y -133048 TILEPROP CLK_FEED_X60Y35 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y36 CLASS tile TILEPROP CLK_FEED_X60Y36 COLUMN 60 TILEPROP CLK_FEED_X60Y36 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y36 FIRST_SITE_ID 12089 TILEPROP CLK_FEED_X60Y36 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y36 GRID_POINT_Y 120 TILEPROP CLK_FEED_X60Y36 INDEX 13860 TILEPROP CLK_FEED_X60Y36 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y36 INT_TILE_Y 115 TILEPROP CLK_FEED_X60Y36 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y36 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y36 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y36 NAME CLK_FEED_X60Y36 TILEPROP CLK_FEED_X60Y36 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y36 NUM_SITES 0 TILEPROP CLK_FEED_X60Y36 ROW 120 TILEPROP CLK_FEED_X60Y36 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y36 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y36 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y36 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y36 TILE_X 8776 TILEPROP CLK_FEED_X60Y36 TILE_Y -129848 TILEPROP CLK_FEED_X60Y36 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y37 CLASS tile TILEPROP CLK_FEED_X60Y37 COLUMN 60 TILEPROP CLK_FEED_X60Y37 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y37 FIRST_SITE_ID 11980 TILEPROP CLK_FEED_X60Y37 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y37 GRID_POINT_Y 119 TILEPROP CLK_FEED_X60Y37 INDEX 13745 TILEPROP CLK_FEED_X60Y37 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y37 INT_TILE_Y 114 TILEPROP CLK_FEED_X60Y37 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y37 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y37 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y37 NAME CLK_FEED_X60Y37 TILEPROP CLK_FEED_X60Y37 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y37 NUM_SITES 0 TILEPROP CLK_FEED_X60Y37 ROW 119 TILEPROP CLK_FEED_X60Y37 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y37 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y37 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y37 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y37 TILE_X 8776 TILEPROP CLK_FEED_X60Y37 TILE_Y -126648 TILEPROP CLK_FEED_X60Y37 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y40 CLASS tile TILEPROP CLK_FEED_X60Y40 COLUMN 60 TILEPROP CLK_FEED_X60Y40 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y40 FIRST_SITE_ID 11642 TILEPROP CLK_FEED_X60Y40 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y40 GRID_POINT_Y 116 TILEPROP CLK_FEED_X60Y40 INDEX 13400 TILEPROP CLK_FEED_X60Y40 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y40 INT_TILE_Y 111 TILEPROP CLK_FEED_X60Y40 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y40 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y40 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y40 NAME CLK_FEED_X60Y40 TILEPROP CLK_FEED_X60Y40 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y40 NUM_SITES 0 TILEPROP CLK_FEED_X60Y40 ROW 116 TILEPROP CLK_FEED_X60Y40 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y40 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y40 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y40 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y40 TILE_X 8776 TILEPROP CLK_FEED_X60Y40 TILE_Y -117048 TILEPROP CLK_FEED_X60Y40 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y41 CLASS tile TILEPROP CLK_FEED_X60Y41 COLUMN 60 TILEPROP CLK_FEED_X60Y41 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y41 FIRST_SITE_ID 11542 TILEPROP CLK_FEED_X60Y41 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y41 GRID_POINT_Y 115 TILEPROP CLK_FEED_X60Y41 INDEX 13285 TILEPROP CLK_FEED_X60Y41 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y41 INT_TILE_Y 110 TILEPROP CLK_FEED_X60Y41 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y41 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y41 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y41 NAME CLK_FEED_X60Y41 TILEPROP CLK_FEED_X60Y41 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y41 NUM_SITES 0 TILEPROP CLK_FEED_X60Y41 ROW 115 TILEPROP CLK_FEED_X60Y41 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y41 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y41 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y41 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y41 TILE_X 8776 TILEPROP CLK_FEED_X60Y41 TILE_Y -113848 TILEPROP CLK_FEED_X60Y41 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y42 CLASS tile TILEPROP CLK_FEED_X60Y42 COLUMN 60 TILEPROP CLK_FEED_X60Y42 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y42 FIRST_SITE_ID 11433 TILEPROP CLK_FEED_X60Y42 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y42 GRID_POINT_Y 114 TILEPROP CLK_FEED_X60Y42 INDEX 13170 TILEPROP CLK_FEED_X60Y42 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y42 INT_TILE_Y 109 TILEPROP CLK_FEED_X60Y42 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y42 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y42 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y42 NAME CLK_FEED_X60Y42 TILEPROP CLK_FEED_X60Y42 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y42 NUM_SITES 0 TILEPROP CLK_FEED_X60Y42 ROW 114 TILEPROP CLK_FEED_X60Y42 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y42 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y42 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y42 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y42 TILE_X 8776 TILEPROP CLK_FEED_X60Y42 TILE_Y -110648 TILEPROP CLK_FEED_X60Y42 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y44 CLASS tile TILEPROP CLK_FEED_X60Y44 COLUMN 60 TILEPROP CLK_FEED_X60Y44 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y44 FIRST_SITE_ID 11223 TILEPROP CLK_FEED_X60Y44 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y44 GRID_POINT_Y 112 TILEPROP CLK_FEED_X60Y44 INDEX 12940 TILEPROP CLK_FEED_X60Y44 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y44 INT_TILE_Y 107 TILEPROP CLK_FEED_X60Y44 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y44 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y44 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y44 NAME CLK_FEED_X60Y44 TILEPROP CLK_FEED_X60Y44 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y44 NUM_SITES 0 TILEPROP CLK_FEED_X60Y44 ROW 112 TILEPROP CLK_FEED_X60Y44 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y44 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y44 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y44 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y44 TILE_X 8776 TILEPROP CLK_FEED_X60Y44 TILE_Y -104248 TILEPROP CLK_FEED_X60Y44 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y45 CLASS tile TILEPROP CLK_FEED_X60Y45 COLUMN 60 TILEPROP CLK_FEED_X60Y45 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y45 FIRST_SITE_ID 11120 TILEPROP CLK_FEED_X60Y45 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y45 GRID_POINT_Y 111 TILEPROP CLK_FEED_X60Y45 INDEX 12825 TILEPROP CLK_FEED_X60Y45 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y45 INT_TILE_Y 106 TILEPROP CLK_FEED_X60Y45 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y45 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y45 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y45 NAME CLK_FEED_X60Y45 TILEPROP CLK_FEED_X60Y45 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y45 NUM_SITES 0 TILEPROP CLK_FEED_X60Y45 ROW 111 TILEPROP CLK_FEED_X60Y45 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y45 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y45 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y45 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y45 TILE_X 8776 TILEPROP CLK_FEED_X60Y45 TILE_Y -101048 TILEPROP CLK_FEED_X60Y45 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y46 CLASS tile TILEPROP CLK_FEED_X60Y46 COLUMN 60 TILEPROP CLK_FEED_X60Y46 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y46 FIRST_SITE_ID 11018 TILEPROP CLK_FEED_X60Y46 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y46 GRID_POINT_Y 110 TILEPROP CLK_FEED_X60Y46 INDEX 12710 TILEPROP CLK_FEED_X60Y46 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y46 INT_TILE_Y 105 TILEPROP CLK_FEED_X60Y46 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y46 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y46 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y46 NAME CLK_FEED_X60Y46 TILEPROP CLK_FEED_X60Y46 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y46 NUM_SITES 0 TILEPROP CLK_FEED_X60Y46 ROW 110 TILEPROP CLK_FEED_X60Y46 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y46 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y46 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y46 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y46 TILE_X 8776 TILEPROP CLK_FEED_X60Y46 TILE_Y -97848 TILEPROP CLK_FEED_X60Y46 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y57 CLASS tile TILEPROP CLK_FEED_X60Y57 COLUMN 60 TILEPROP CLK_FEED_X60Y57 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y57 FIRST_SITE_ID 9955 TILEPROP CLK_FEED_X60Y57 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y57 GRID_POINT_Y 99 TILEPROP CLK_FEED_X60Y57 INDEX 11445 TILEPROP CLK_FEED_X60Y57 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y57 INT_TILE_Y 95 TILEPROP CLK_FEED_X60Y57 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y57 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y57 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y57 NAME CLK_FEED_X60Y57 TILEPROP CLK_FEED_X60Y57 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y57 NUM_SITES 0 TILEPROP CLK_FEED_X60Y57 ROW 99 TILEPROP CLK_FEED_X60Y57 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y57 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y57 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y57 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y57 TILE_X 8776 TILEPROP CLK_FEED_X60Y57 TILE_Y -65600 TILEPROP CLK_FEED_X60Y57 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y58 CLASS tile TILEPROP CLK_FEED_X60Y58 COLUMN 60 TILEPROP CLK_FEED_X60Y58 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y58 FIRST_SITE_ID 9846 TILEPROP CLK_FEED_X60Y58 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y58 GRID_POINT_Y 98 TILEPROP CLK_FEED_X60Y58 INDEX 11330 TILEPROP CLK_FEED_X60Y58 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y58 INT_TILE_Y 94 TILEPROP CLK_FEED_X60Y58 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y58 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y58 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y58 NAME CLK_FEED_X60Y58 TILEPROP CLK_FEED_X60Y58 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y58 NUM_SITES 0 TILEPROP CLK_FEED_X60Y58 ROW 98 TILEPROP CLK_FEED_X60Y58 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y58 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y58 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y58 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y58 TILE_X 8776 TILEPROP CLK_FEED_X60Y58 TILE_Y -62400 TILEPROP CLK_FEED_X60Y58 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y59 CLASS tile TILEPROP CLK_FEED_X60Y59 COLUMN 60 TILEPROP CLK_FEED_X60Y59 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y59 FIRST_SITE_ID 9740 TILEPROP CLK_FEED_X60Y59 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y59 GRID_POINT_Y 97 TILEPROP CLK_FEED_X60Y59 INDEX 11215 TILEPROP CLK_FEED_X60Y59 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y59 INT_TILE_Y 93 TILEPROP CLK_FEED_X60Y59 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y59 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y59 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y59 NAME CLK_FEED_X60Y59 TILEPROP CLK_FEED_X60Y59 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y59 NUM_SITES 0 TILEPROP CLK_FEED_X60Y59 ROW 97 TILEPROP CLK_FEED_X60Y59 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y59 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y59 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y59 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y59 TILE_X 8776 TILEPROP CLK_FEED_X60Y59 TILE_Y -59200 TILEPROP CLK_FEED_X60Y59 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y60 CLASS tile TILEPROP CLK_FEED_X60Y60 COLUMN 60 TILEPROP CLK_FEED_X60Y60 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y60 FIRST_SITE_ID 9638 TILEPROP CLK_FEED_X60Y60 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y60 GRID_POINT_Y 96 TILEPROP CLK_FEED_X60Y60 INDEX 11100 TILEPROP CLK_FEED_X60Y60 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y60 INT_TILE_Y 92 TILEPROP CLK_FEED_X60Y60 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y60 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y60 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y60 NAME CLK_FEED_X60Y60 TILEPROP CLK_FEED_X60Y60 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y60 NUM_SITES 0 TILEPROP CLK_FEED_X60Y60 ROW 96 TILEPROP CLK_FEED_X60Y60 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y60 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y60 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y60 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y60 TILE_X 8776 TILEPROP CLK_FEED_X60Y60 TILE_Y -56000 TILEPROP CLK_FEED_X60Y60 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y61 CLASS tile TILEPROP CLK_FEED_X60Y61 COLUMN 60 TILEPROP CLK_FEED_X60Y61 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y61 FIRST_SITE_ID 9535 TILEPROP CLK_FEED_X60Y61 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y61 GRID_POINT_Y 95 TILEPROP CLK_FEED_X60Y61 INDEX 10985 TILEPROP CLK_FEED_X60Y61 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y61 INT_TILE_Y 91 TILEPROP CLK_FEED_X60Y61 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y61 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y61 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y61 NAME CLK_FEED_X60Y61 TILEPROP CLK_FEED_X60Y61 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y61 NUM_SITES 0 TILEPROP CLK_FEED_X60Y61 ROW 95 TILEPROP CLK_FEED_X60Y61 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y61 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y61 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y61 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y61 TILE_X 8776 TILEPROP CLK_FEED_X60Y61 TILE_Y -52800 TILEPROP CLK_FEED_X60Y61 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y62 CLASS tile TILEPROP CLK_FEED_X60Y62 COLUMN 60 TILEPROP CLK_FEED_X60Y62 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y62 FIRST_SITE_ID 9434 TILEPROP CLK_FEED_X60Y62 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y62 GRID_POINT_Y 94 TILEPROP CLK_FEED_X60Y62 INDEX 10870 TILEPROP CLK_FEED_X60Y62 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y62 INT_TILE_Y 90 TILEPROP CLK_FEED_X60Y62 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y62 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y62 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y62 NAME CLK_FEED_X60Y62 TILEPROP CLK_FEED_X60Y62 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y62 NUM_SITES 0 TILEPROP CLK_FEED_X60Y62 ROW 94 TILEPROP CLK_FEED_X60Y62 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y62 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y62 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y62 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y62 TILE_X 8776 TILEPROP CLK_FEED_X60Y62 TILE_Y -49600 TILEPROP CLK_FEED_X60Y62 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y63 CLASS tile TILEPROP CLK_FEED_X60Y63 COLUMN 60 TILEPROP CLK_FEED_X60Y63 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y63 FIRST_SITE_ID 9325 TILEPROP CLK_FEED_X60Y63 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y63 GRID_POINT_Y 93 TILEPROP CLK_FEED_X60Y63 INDEX 10755 TILEPROP CLK_FEED_X60Y63 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y63 INT_TILE_Y 89 TILEPROP CLK_FEED_X60Y63 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y63 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y63 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y63 NAME CLK_FEED_X60Y63 TILEPROP CLK_FEED_X60Y63 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y63 NUM_SITES 0 TILEPROP CLK_FEED_X60Y63 ROW 93 TILEPROP CLK_FEED_X60Y63 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y63 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y63 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y63 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y63 TILE_X 8776 TILEPROP CLK_FEED_X60Y63 TILE_Y -46400 TILEPROP CLK_FEED_X60Y63 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y64 CLASS tile TILEPROP CLK_FEED_X60Y64 COLUMN 60 TILEPROP CLK_FEED_X60Y64 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y64 FIRST_SITE_ID 9219 TILEPROP CLK_FEED_X60Y64 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y64 GRID_POINT_Y 92 TILEPROP CLK_FEED_X60Y64 INDEX 10640 TILEPROP CLK_FEED_X60Y64 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y64 INT_TILE_Y 88 TILEPROP CLK_FEED_X60Y64 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y64 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y64 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y64 NAME CLK_FEED_X60Y64 TILEPROP CLK_FEED_X60Y64 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y64 NUM_SITES 0 TILEPROP CLK_FEED_X60Y64 ROW 92 TILEPROP CLK_FEED_X60Y64 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y64 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y64 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y64 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y64 TILE_X 8776 TILEPROP CLK_FEED_X60Y64 TILE_Y -43200 TILEPROP CLK_FEED_X60Y64 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y67 CLASS tile TILEPROP CLK_FEED_X60Y67 COLUMN 60 TILEPROP CLK_FEED_X60Y67 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y67 FIRST_SITE_ID 8887 TILEPROP CLK_FEED_X60Y67 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y67 GRID_POINT_Y 89 TILEPROP CLK_FEED_X60Y67 INDEX 10295 TILEPROP CLK_FEED_X60Y67 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y67 INT_TILE_Y 85 TILEPROP CLK_FEED_X60Y67 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y67 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y67 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y67 NAME CLK_FEED_X60Y67 TILEPROP CLK_FEED_X60Y67 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y67 NUM_SITES 0 TILEPROP CLK_FEED_X60Y67 ROW 89 TILEPROP CLK_FEED_X60Y67 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y67 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y67 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y67 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y67 TILE_X 8776 TILEPROP CLK_FEED_X60Y67 TILE_Y -33600 TILEPROP CLK_FEED_X60Y67 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y68 CLASS tile TILEPROP CLK_FEED_X60Y68 COLUMN 60 TILEPROP CLK_FEED_X60Y68 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y68 FIRST_SITE_ID 8778 TILEPROP CLK_FEED_X60Y68 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y68 GRID_POINT_Y 88 TILEPROP CLK_FEED_X60Y68 INDEX 10180 TILEPROP CLK_FEED_X60Y68 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y68 INT_TILE_Y 84 TILEPROP CLK_FEED_X60Y68 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y68 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y68 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y68 NAME CLK_FEED_X60Y68 TILEPROP CLK_FEED_X60Y68 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y68 NUM_SITES 0 TILEPROP CLK_FEED_X60Y68 ROW 88 TILEPROP CLK_FEED_X60Y68 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y68 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y68 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y68 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y68 TILE_X 8776 TILEPROP CLK_FEED_X60Y68 TILE_Y -30400 TILEPROP CLK_FEED_X60Y68 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y69 CLASS tile TILEPROP CLK_FEED_X60Y69 COLUMN 60 TILEPROP CLK_FEED_X60Y69 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y69 FIRST_SITE_ID 8672 TILEPROP CLK_FEED_X60Y69 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y69 GRID_POINT_Y 87 TILEPROP CLK_FEED_X60Y69 INDEX 10065 TILEPROP CLK_FEED_X60Y69 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y69 INT_TILE_Y 83 TILEPROP CLK_FEED_X60Y69 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y69 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y69 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y69 NAME CLK_FEED_X60Y69 TILEPROP CLK_FEED_X60Y69 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y69 NUM_SITES 0 TILEPROP CLK_FEED_X60Y69 ROW 87 TILEPROP CLK_FEED_X60Y69 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y69 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y69 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y69 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y69 TILE_X 8776 TILEPROP CLK_FEED_X60Y69 TILE_Y -27200 TILEPROP CLK_FEED_X60Y69 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y70 CLASS tile TILEPROP CLK_FEED_X60Y70 COLUMN 60 TILEPROP CLK_FEED_X60Y70 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y70 FIRST_SITE_ID 8568 TILEPROP CLK_FEED_X60Y70 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y70 GRID_POINT_Y 86 TILEPROP CLK_FEED_X60Y70 INDEX 9950 TILEPROP CLK_FEED_X60Y70 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y70 INT_TILE_Y 82 TILEPROP CLK_FEED_X60Y70 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y70 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y70 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y70 NAME CLK_FEED_X60Y70 TILEPROP CLK_FEED_X60Y70 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y70 NUM_SITES 0 TILEPROP CLK_FEED_X60Y70 ROW 86 TILEPROP CLK_FEED_X60Y70 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y70 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y70 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y70 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y70 TILE_X 8776 TILEPROP CLK_FEED_X60Y70 TILE_Y -24000 TILEPROP CLK_FEED_X60Y70 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y71 CLASS tile TILEPROP CLK_FEED_X60Y71 COLUMN 60 TILEPROP CLK_FEED_X60Y71 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y71 FIRST_SITE_ID 8464 TILEPROP CLK_FEED_X60Y71 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y71 GRID_POINT_Y 85 TILEPROP CLK_FEED_X60Y71 INDEX 9835 TILEPROP CLK_FEED_X60Y71 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y71 INT_TILE_Y 81 TILEPROP CLK_FEED_X60Y71 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y71 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y71 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y71 NAME CLK_FEED_X60Y71 TILEPROP CLK_FEED_X60Y71 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y71 NUM_SITES 0 TILEPROP CLK_FEED_X60Y71 ROW 85 TILEPROP CLK_FEED_X60Y71 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y71 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y71 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y71 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y71 TILE_X 8776 TILEPROP CLK_FEED_X60Y71 TILE_Y -20800 TILEPROP CLK_FEED_X60Y71 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y72 CLASS tile TILEPROP CLK_FEED_X60Y72 COLUMN 60 TILEPROP CLK_FEED_X60Y72 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y72 FIRST_SITE_ID 8362 TILEPROP CLK_FEED_X60Y72 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y72 GRID_POINT_Y 84 TILEPROP CLK_FEED_X60Y72 INDEX 9720 TILEPROP CLK_FEED_X60Y72 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y72 INT_TILE_Y 80 TILEPROP CLK_FEED_X60Y72 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y72 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y72 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y72 NAME CLK_FEED_X60Y72 TILEPROP CLK_FEED_X60Y72 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y72 NUM_SITES 0 TILEPROP CLK_FEED_X60Y72 ROW 84 TILEPROP CLK_FEED_X60Y72 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y72 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y72 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y72 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y72 TILE_X 8776 TILEPROP CLK_FEED_X60Y72 TILE_Y -17600 TILEPROP CLK_FEED_X60Y72 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y73 CLASS tile TILEPROP CLK_FEED_X60Y73 COLUMN 60 TILEPROP CLK_FEED_X60Y73 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y73 FIRST_SITE_ID 8251 TILEPROP CLK_FEED_X60Y73 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y73 GRID_POINT_Y 83 TILEPROP CLK_FEED_X60Y73 INDEX 9605 TILEPROP CLK_FEED_X60Y73 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y73 INT_TILE_Y 79 TILEPROP CLK_FEED_X60Y73 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y73 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y73 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y73 NAME CLK_FEED_X60Y73 TILEPROP CLK_FEED_X60Y73 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y73 NUM_SITES 0 TILEPROP CLK_FEED_X60Y73 ROW 83 TILEPROP CLK_FEED_X60Y73 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y73 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y73 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y73 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y73 TILE_X 8776 TILEPROP CLK_FEED_X60Y73 TILE_Y -14400 TILEPROP CLK_FEED_X60Y73 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y83 CLASS tile TILEPROP CLK_FEED_X60Y83 COLUMN 60 TILEPROP CLK_FEED_X60Y83 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y83 FIRST_SITE_ID 7238 TILEPROP CLK_FEED_X60Y83 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y83 GRID_POINT_Y 73 TILEPROP CLK_FEED_X60Y83 INDEX 8455 TILEPROP CLK_FEED_X60Y83 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y83 INT_TILE_Y 70 TILEPROP CLK_FEED_X60Y83 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y83 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y83 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y83 NAME CLK_FEED_X60Y83 TILEPROP CLK_FEED_X60Y83 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y83 NUM_SITES 0 TILEPROP CLK_FEED_X60Y83 ROW 73 TILEPROP CLK_FEED_X60Y83 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y83 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y83 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y83 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y83 TILE_X 8776 TILEPROP CLK_FEED_X60Y83 TILE_Y 15424 TILEPROP CLK_FEED_X60Y83 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y84 CLASS tile TILEPROP CLK_FEED_X60Y84 COLUMN 60 TILEPROP CLK_FEED_X60Y84 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y84 FIRST_SITE_ID 7123 TILEPROP CLK_FEED_X60Y84 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y84 GRID_POINT_Y 72 TILEPROP CLK_FEED_X60Y84 INDEX 8340 TILEPROP CLK_FEED_X60Y84 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y84 INT_TILE_Y 69 TILEPROP CLK_FEED_X60Y84 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y84 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y84 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y84 NAME CLK_FEED_X60Y84 TILEPROP CLK_FEED_X60Y84 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y84 NUM_SITES 0 TILEPROP CLK_FEED_X60Y84 ROW 72 TILEPROP CLK_FEED_X60Y84 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y84 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y84 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y84 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y84 TILE_X 8776 TILEPROP CLK_FEED_X60Y84 TILE_Y 18624 TILEPROP CLK_FEED_X60Y84 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y85 CLASS tile TILEPROP CLK_FEED_X60Y85 COLUMN 60 TILEPROP CLK_FEED_X60Y85 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y85 FIRST_SITE_ID 7015 TILEPROP CLK_FEED_X60Y85 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y85 GRID_POINT_Y 71 TILEPROP CLK_FEED_X60Y85 INDEX 8225 TILEPROP CLK_FEED_X60Y85 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y85 INT_TILE_Y 68 TILEPROP CLK_FEED_X60Y85 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y85 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y85 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y85 NAME CLK_FEED_X60Y85 TILEPROP CLK_FEED_X60Y85 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y85 NUM_SITES 0 TILEPROP CLK_FEED_X60Y85 ROW 71 TILEPROP CLK_FEED_X60Y85 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y85 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y85 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y85 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y85 TILE_X 8776 TILEPROP CLK_FEED_X60Y85 TILE_Y 21824 TILEPROP CLK_FEED_X60Y85 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y86 CLASS tile TILEPROP CLK_FEED_X60Y86 COLUMN 60 TILEPROP CLK_FEED_X60Y86 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y86 FIRST_SITE_ID 6913 TILEPROP CLK_FEED_X60Y86 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y86 GRID_POINT_Y 70 TILEPROP CLK_FEED_X60Y86 INDEX 8110 TILEPROP CLK_FEED_X60Y86 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y86 INT_TILE_Y 67 TILEPROP CLK_FEED_X60Y86 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y86 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y86 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y86 NAME CLK_FEED_X60Y86 TILEPROP CLK_FEED_X60Y86 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y86 NUM_SITES 0 TILEPROP CLK_FEED_X60Y86 ROW 70 TILEPROP CLK_FEED_X60Y86 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y86 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y86 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y86 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y86 TILE_X 8776 TILEPROP CLK_FEED_X60Y86 TILE_Y 25024 TILEPROP CLK_FEED_X60Y86 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y87 CLASS tile TILEPROP CLK_FEED_X60Y87 COLUMN 60 TILEPROP CLK_FEED_X60Y87 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y87 FIRST_SITE_ID 6813 TILEPROP CLK_FEED_X60Y87 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y87 GRID_POINT_Y 69 TILEPROP CLK_FEED_X60Y87 INDEX 7995 TILEPROP CLK_FEED_X60Y87 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y87 INT_TILE_Y 66 TILEPROP CLK_FEED_X60Y87 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y87 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y87 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y87 NAME CLK_FEED_X60Y87 TILEPROP CLK_FEED_X60Y87 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y87 NUM_SITES 0 TILEPROP CLK_FEED_X60Y87 ROW 69 TILEPROP CLK_FEED_X60Y87 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y87 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y87 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y87 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y87 TILE_X 8776 TILEPROP CLK_FEED_X60Y87 TILE_Y 28224 TILEPROP CLK_FEED_X60Y87 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y88 CLASS tile TILEPROP CLK_FEED_X60Y88 COLUMN 60 TILEPROP CLK_FEED_X60Y88 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y88 FIRST_SITE_ID 6713 TILEPROP CLK_FEED_X60Y88 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y88 GRID_POINT_Y 68 TILEPROP CLK_FEED_X60Y88 INDEX 7880 TILEPROP CLK_FEED_X60Y88 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y88 INT_TILE_Y 65 TILEPROP CLK_FEED_X60Y88 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y88 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y88 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y88 NAME CLK_FEED_X60Y88 TILEPROP CLK_FEED_X60Y88 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y88 NUM_SITES 0 TILEPROP CLK_FEED_X60Y88 ROW 68 TILEPROP CLK_FEED_X60Y88 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y88 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y88 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y88 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y88 TILE_X 8776 TILEPROP CLK_FEED_X60Y88 TILE_Y 31424 TILEPROP CLK_FEED_X60Y88 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y89 CLASS tile TILEPROP CLK_FEED_X60Y89 COLUMN 60 TILEPROP CLK_FEED_X60Y89 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y89 FIRST_SITE_ID 6604 TILEPROP CLK_FEED_X60Y89 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y89 GRID_POINT_Y 67 TILEPROP CLK_FEED_X60Y89 INDEX 7765 TILEPROP CLK_FEED_X60Y89 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y89 INT_TILE_Y 64 TILEPROP CLK_FEED_X60Y89 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y89 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y89 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y89 NAME CLK_FEED_X60Y89 TILEPROP CLK_FEED_X60Y89 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y89 NUM_SITES 0 TILEPROP CLK_FEED_X60Y89 ROW 67 TILEPROP CLK_FEED_X60Y89 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y89 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y89 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y89 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y89 TILE_X 8776 TILEPROP CLK_FEED_X60Y89 TILE_Y 34624 TILEPROP CLK_FEED_X60Y89 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y92 CLASS tile TILEPROP CLK_FEED_X60Y92 COLUMN 60 TILEPROP CLK_FEED_X60Y92 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y92 FIRST_SITE_ID 6266 TILEPROP CLK_FEED_X60Y92 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y92 GRID_POINT_Y 64 TILEPROP CLK_FEED_X60Y92 INDEX 7420 TILEPROP CLK_FEED_X60Y92 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y92 INT_TILE_Y 61 TILEPROP CLK_FEED_X60Y92 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y92 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y92 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y92 NAME CLK_FEED_X60Y92 TILEPROP CLK_FEED_X60Y92 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y92 NUM_SITES 0 TILEPROP CLK_FEED_X60Y92 ROW 64 TILEPROP CLK_FEED_X60Y92 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y92 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y92 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y92 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y92 TILE_X 8776 TILEPROP CLK_FEED_X60Y92 TILE_Y 44224 TILEPROP CLK_FEED_X60Y92 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y93 CLASS tile TILEPROP CLK_FEED_X60Y93 COLUMN 60 TILEPROP CLK_FEED_X60Y93 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y93 FIRST_SITE_ID 6166 TILEPROP CLK_FEED_X60Y93 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y93 GRID_POINT_Y 63 TILEPROP CLK_FEED_X60Y93 INDEX 7305 TILEPROP CLK_FEED_X60Y93 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y93 INT_TILE_Y 60 TILEPROP CLK_FEED_X60Y93 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y93 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y93 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y93 NAME CLK_FEED_X60Y93 TILEPROP CLK_FEED_X60Y93 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y93 NUM_SITES 0 TILEPROP CLK_FEED_X60Y93 ROW 63 TILEPROP CLK_FEED_X60Y93 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y93 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y93 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y93 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y93 TILE_X 8776 TILEPROP CLK_FEED_X60Y93 TILE_Y 47424 TILEPROP CLK_FEED_X60Y93 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y94 CLASS tile TILEPROP CLK_FEED_X60Y94 COLUMN 60 TILEPROP CLK_FEED_X60Y94 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y94 FIRST_SITE_ID 6057 TILEPROP CLK_FEED_X60Y94 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y94 GRID_POINT_Y 62 TILEPROP CLK_FEED_X60Y94 INDEX 7190 TILEPROP CLK_FEED_X60Y94 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y94 INT_TILE_Y 59 TILEPROP CLK_FEED_X60Y94 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y94 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y94 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y94 NAME CLK_FEED_X60Y94 TILEPROP CLK_FEED_X60Y94 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y94 NUM_SITES 0 TILEPROP CLK_FEED_X60Y94 ROW 62 TILEPROP CLK_FEED_X60Y94 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y94 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y94 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y94 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y94 TILE_X 8776 TILEPROP CLK_FEED_X60Y94 TILE_Y 50624 TILEPROP CLK_FEED_X60Y94 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y95 CLASS tile TILEPROP CLK_FEED_X60Y95 COLUMN 60 TILEPROP CLK_FEED_X60Y95 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y95 FIRST_SITE_ID 5951 TILEPROP CLK_FEED_X60Y95 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y95 GRID_POINT_Y 61 TILEPROP CLK_FEED_X60Y95 INDEX 7075 TILEPROP CLK_FEED_X60Y95 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y95 INT_TILE_Y 58 TILEPROP CLK_FEED_X60Y95 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y95 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y95 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y95 NAME CLK_FEED_X60Y95 TILEPROP CLK_FEED_X60Y95 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y95 NUM_SITES 0 TILEPROP CLK_FEED_X60Y95 ROW 61 TILEPROP CLK_FEED_X60Y95 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y95 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y95 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y95 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y95 TILE_X 8776 TILEPROP CLK_FEED_X60Y95 TILE_Y 53824 TILEPROP CLK_FEED_X60Y95 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y96 CLASS tile TILEPROP CLK_FEED_X60Y96 COLUMN 60 TILEPROP CLK_FEED_X60Y96 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y96 FIRST_SITE_ID 5850 TILEPROP CLK_FEED_X60Y96 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y96 GRID_POINT_Y 60 TILEPROP CLK_FEED_X60Y96 INDEX 6960 TILEPROP CLK_FEED_X60Y96 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y96 INT_TILE_Y 57 TILEPROP CLK_FEED_X60Y96 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y96 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y96 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y96 NAME CLK_FEED_X60Y96 TILEPROP CLK_FEED_X60Y96 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y96 NUM_SITES 0 TILEPROP CLK_FEED_X60Y96 ROW 60 TILEPROP CLK_FEED_X60Y96 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y96 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y96 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y96 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y96 TILE_X 8776 TILEPROP CLK_FEED_X60Y96 TILE_Y 57024 TILEPROP CLK_FEED_X60Y96 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y97 CLASS tile TILEPROP CLK_FEED_X60Y97 COLUMN 60 TILEPROP CLK_FEED_X60Y97 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y97 FIRST_SITE_ID 5747 TILEPROP CLK_FEED_X60Y97 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y97 GRID_POINT_Y 59 TILEPROP CLK_FEED_X60Y97 INDEX 6845 TILEPROP CLK_FEED_X60Y97 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y97 INT_TILE_Y 56 TILEPROP CLK_FEED_X60Y97 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y97 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y97 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y97 NAME CLK_FEED_X60Y97 TILEPROP CLK_FEED_X60Y97 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y97 NUM_SITES 0 TILEPROP CLK_FEED_X60Y97 ROW 59 TILEPROP CLK_FEED_X60Y97 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y97 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y97 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y97 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y97 TILE_X 8776 TILEPROP CLK_FEED_X60Y97 TILE_Y 60224 TILEPROP CLK_FEED_X60Y97 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y98 CLASS tile TILEPROP CLK_FEED_X60Y98 COLUMN 60 TILEPROP CLK_FEED_X60Y98 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y98 FIRST_SITE_ID 5645 TILEPROP CLK_FEED_X60Y98 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y98 GRID_POINT_Y 58 TILEPROP CLK_FEED_X60Y98 INDEX 6730 TILEPROP CLK_FEED_X60Y98 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y98 INT_TILE_Y 55 TILEPROP CLK_FEED_X60Y98 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y98 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y98 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y98 NAME CLK_FEED_X60Y98 TILEPROP CLK_FEED_X60Y98 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y98 NUM_SITES 0 TILEPROP CLK_FEED_X60Y98 ROW 58 TILEPROP CLK_FEED_X60Y98 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y98 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y98 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y98 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y98 TILE_X 8776 TILEPROP CLK_FEED_X60Y98 TILE_Y 63424 TILEPROP CLK_FEED_X60Y98 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y99 CLASS tile TILEPROP CLK_FEED_X60Y99 COLUMN 60 TILEPROP CLK_FEED_X60Y99 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y99 FIRST_SITE_ID 5536 TILEPROP CLK_FEED_X60Y99 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y99 GRID_POINT_Y 57 TILEPROP CLK_FEED_X60Y99 INDEX 6615 TILEPROP CLK_FEED_X60Y99 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y99 INT_TILE_Y 54 TILEPROP CLK_FEED_X60Y99 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y99 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y99 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y99 NAME CLK_FEED_X60Y99 TILEPROP CLK_FEED_X60Y99 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y99 NUM_SITES 0 TILEPROP CLK_FEED_X60Y99 ROW 57 TILEPROP CLK_FEED_X60Y99 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y99 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y99 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y99 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y99 TILE_X 8776 TILEPROP CLK_FEED_X60Y99 TILE_Y 66624 TILEPROP CLK_FEED_X60Y99 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y100 CLASS tile TILEPROP CLK_FEED_X60Y100 COLUMN 60 TILEPROP CLK_FEED_X60Y100 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y100 FIRST_SITE_ID 5430 TILEPROP CLK_FEED_X60Y100 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y100 GRID_POINT_Y 56 TILEPROP CLK_FEED_X60Y100 INDEX 6500 TILEPROP CLK_FEED_X60Y100 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y100 INT_TILE_Y 53 TILEPROP CLK_FEED_X60Y100 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y100 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y100 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y100 NAME CLK_FEED_X60Y100 TILEPROP CLK_FEED_X60Y100 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y100 NUM_SITES 0 TILEPROP CLK_FEED_X60Y100 ROW 56 TILEPROP CLK_FEED_X60Y100 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y100 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y100 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y100 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y100 TILE_X 8776 TILEPROP CLK_FEED_X60Y100 TILE_Y 69824 TILEPROP CLK_FEED_X60Y100 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y101 CLASS tile TILEPROP CLK_FEED_X60Y101 COLUMN 60 TILEPROP CLK_FEED_X60Y101 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y101 FIRST_SITE_ID 5330 TILEPROP CLK_FEED_X60Y101 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y101 GRID_POINT_Y 55 TILEPROP CLK_FEED_X60Y101 INDEX 6385 TILEPROP CLK_FEED_X60Y101 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y101 INT_TILE_Y 52 TILEPROP CLK_FEED_X60Y101 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y101 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y101 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y101 NAME CLK_FEED_X60Y101 TILEPROP CLK_FEED_X60Y101 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y101 NUM_SITES 0 TILEPROP CLK_FEED_X60Y101 ROW 55 TILEPROP CLK_FEED_X60Y101 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y101 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y101 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y101 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y101 TILE_X 8776 TILEPROP CLK_FEED_X60Y101 TILE_Y 73024 TILEPROP CLK_FEED_X60Y101 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y102 CLASS tile TILEPROP CLK_FEED_X60Y102 COLUMN 60 TILEPROP CLK_FEED_X60Y102 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y102 FIRST_SITE_ID 5230 TILEPROP CLK_FEED_X60Y102 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y102 GRID_POINT_Y 54 TILEPROP CLK_FEED_X60Y102 INDEX 6270 TILEPROP CLK_FEED_X60Y102 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y102 INT_TILE_Y 51 TILEPROP CLK_FEED_X60Y102 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y102 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y102 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y102 NAME CLK_FEED_X60Y102 TILEPROP CLK_FEED_X60Y102 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y102 NUM_SITES 0 TILEPROP CLK_FEED_X60Y102 ROW 54 TILEPROP CLK_FEED_X60Y102 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y102 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y102 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y102 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y102 TILE_X 8776 TILEPROP CLK_FEED_X60Y102 TILE_Y 76224 TILEPROP CLK_FEED_X60Y102 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y103 CLASS tile TILEPROP CLK_FEED_X60Y103 COLUMN 60 TILEPROP CLK_FEED_X60Y103 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y103 FIRST_SITE_ID 5134 TILEPROP CLK_FEED_X60Y103 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y103 GRID_POINT_Y 53 TILEPROP CLK_FEED_X60Y103 INDEX 6155 TILEPROP CLK_FEED_X60Y103 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y103 INT_TILE_Y 50 TILEPROP CLK_FEED_X60Y103 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y103 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y103 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y103 NAME CLK_FEED_X60Y103 TILEPROP CLK_FEED_X60Y103 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y103 NUM_SITES 0 TILEPROP CLK_FEED_X60Y103 ROW 53 TILEPROP CLK_FEED_X60Y103 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y103 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y103 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y103 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y103 TILE_X 8776 TILEPROP CLK_FEED_X60Y103 TILE_Y 79424 TILEPROP CLK_FEED_X60Y103 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y105 CLASS tile TILEPROP CLK_FEED_X60Y105 COLUMN 60 TILEPROP CLK_FEED_X60Y105 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y105 FIRST_SITE_ID 5057 TILEPROP CLK_FEED_X60Y105 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y105 GRID_POINT_Y 51 TILEPROP CLK_FEED_X60Y105 INDEX 5925 TILEPROP CLK_FEED_X60Y105 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y105 INT_TILE_Y 49 TILEPROP CLK_FEED_X60Y105 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y105 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y105 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y105 NAME CLK_FEED_X60Y105 TILEPROP CLK_FEED_X60Y105 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y105 NUM_SITES 0 TILEPROP CLK_FEED_X60Y105 ROW 51 TILEPROP CLK_FEED_X60Y105 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y105 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y105 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y105 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y105 TILE_X 8776 TILEPROP CLK_FEED_X60Y105 TILE_Y 82872 TILEPROP CLK_FEED_X60Y105 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y106 CLASS tile TILEPROP CLK_FEED_X60Y106 COLUMN 60 TILEPROP CLK_FEED_X60Y106 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y106 FIRST_SITE_ID 4959 TILEPROP CLK_FEED_X60Y106 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y106 GRID_POINT_Y 50 TILEPROP CLK_FEED_X60Y106 INDEX 5810 TILEPROP CLK_FEED_X60Y106 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y106 INT_TILE_Y 48 TILEPROP CLK_FEED_X60Y106 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y106 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y106 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y106 NAME CLK_FEED_X60Y106 TILEPROP CLK_FEED_X60Y106 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y106 NUM_SITES 0 TILEPROP CLK_FEED_X60Y106 ROW 50 TILEPROP CLK_FEED_X60Y106 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y106 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y106 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y106 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y106 TILE_X 8776 TILEPROP CLK_FEED_X60Y106 TILE_Y 86072 TILEPROP CLK_FEED_X60Y106 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y107 CLASS tile TILEPROP CLK_FEED_X60Y107 COLUMN 60 TILEPROP CLK_FEED_X60Y107 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y107 FIRST_SITE_ID 4863 TILEPROP CLK_FEED_X60Y107 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y107 GRID_POINT_Y 49 TILEPROP CLK_FEED_X60Y107 INDEX 5695 TILEPROP CLK_FEED_X60Y107 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y107 INT_TILE_Y 47 TILEPROP CLK_FEED_X60Y107 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y107 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y107 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y107 NAME CLK_FEED_X60Y107 TILEPROP CLK_FEED_X60Y107 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y107 NUM_SITES 0 TILEPROP CLK_FEED_X60Y107 ROW 49 TILEPROP CLK_FEED_X60Y107 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y107 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y107 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y107 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y107 TILE_X 8776 TILEPROP CLK_FEED_X60Y107 TILE_Y 89272 TILEPROP CLK_FEED_X60Y107 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y108 CLASS tile TILEPROP CLK_FEED_X60Y108 COLUMN 60 TILEPROP CLK_FEED_X60Y108 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y108 FIRST_SITE_ID 4775 TILEPROP CLK_FEED_X60Y108 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y108 GRID_POINT_Y 48 TILEPROP CLK_FEED_X60Y108 INDEX 5580 TILEPROP CLK_FEED_X60Y108 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y108 INT_TILE_Y 46 TILEPROP CLK_FEED_X60Y108 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y108 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y108 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y108 NAME CLK_FEED_X60Y108 TILEPROP CLK_FEED_X60Y108 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y108 NUM_SITES 0 TILEPROP CLK_FEED_X60Y108 ROW 48 TILEPROP CLK_FEED_X60Y108 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y108 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y108 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y108 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y108 TILE_X 8776 TILEPROP CLK_FEED_X60Y108 TILE_Y 92472 TILEPROP CLK_FEED_X60Y108 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y109 CLASS tile TILEPROP CLK_FEED_X60Y109 COLUMN 60 TILEPROP CLK_FEED_X60Y109 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y109 FIRST_SITE_ID 4679 TILEPROP CLK_FEED_X60Y109 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y109 GRID_POINT_Y 47 TILEPROP CLK_FEED_X60Y109 INDEX 5465 TILEPROP CLK_FEED_X60Y109 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y109 INT_TILE_Y 45 TILEPROP CLK_FEED_X60Y109 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y109 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y109 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y109 NAME CLK_FEED_X60Y109 TILEPROP CLK_FEED_X60Y109 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y109 NUM_SITES 0 TILEPROP CLK_FEED_X60Y109 ROW 47 TILEPROP CLK_FEED_X60Y109 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y109 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y109 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y109 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y109 TILE_X 8776 TILEPROP CLK_FEED_X60Y109 TILE_Y 95672 TILEPROP CLK_FEED_X60Y109 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y110 CLASS tile TILEPROP CLK_FEED_X60Y110 COLUMN 60 TILEPROP CLK_FEED_X60Y110 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y110 FIRST_SITE_ID 4583 TILEPROP CLK_FEED_X60Y110 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y110 GRID_POINT_Y 46 TILEPROP CLK_FEED_X60Y110 INDEX 5350 TILEPROP CLK_FEED_X60Y110 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y110 INT_TILE_Y 44 TILEPROP CLK_FEED_X60Y110 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y110 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y110 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y110 NAME CLK_FEED_X60Y110 TILEPROP CLK_FEED_X60Y110 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y110 NUM_SITES 0 TILEPROP CLK_FEED_X60Y110 ROW 46 TILEPROP CLK_FEED_X60Y110 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y110 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y110 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y110 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y110 TILE_X 8776 TILEPROP CLK_FEED_X60Y110 TILE_Y 98872 TILEPROP CLK_FEED_X60Y110 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y111 CLASS tile TILEPROP CLK_FEED_X60Y111 COLUMN 60 TILEPROP CLK_FEED_X60Y111 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y111 FIRST_SITE_ID 4481 TILEPROP CLK_FEED_X60Y111 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y111 GRID_POINT_Y 45 TILEPROP CLK_FEED_X60Y111 INDEX 5235 TILEPROP CLK_FEED_X60Y111 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y111 INT_TILE_Y 43 TILEPROP CLK_FEED_X60Y111 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y111 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y111 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y111 NAME CLK_FEED_X60Y111 TILEPROP CLK_FEED_X60Y111 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y111 NUM_SITES 0 TILEPROP CLK_FEED_X60Y111 ROW 45 TILEPROP CLK_FEED_X60Y111 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y111 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y111 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y111 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y111 TILE_X 8776 TILEPROP CLK_FEED_X60Y111 TILE_Y 102072 TILEPROP CLK_FEED_X60Y111 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y112 CLASS tile TILEPROP CLK_FEED_X60Y112 COLUMN 60 TILEPROP CLK_FEED_X60Y112 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y112 FIRST_SITE_ID 4393 TILEPROP CLK_FEED_X60Y112 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y112 GRID_POINT_Y 44 TILEPROP CLK_FEED_X60Y112 INDEX 5120 TILEPROP CLK_FEED_X60Y112 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y112 INT_TILE_Y 42 TILEPROP CLK_FEED_X60Y112 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y112 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y112 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y112 NAME CLK_FEED_X60Y112 TILEPROP CLK_FEED_X60Y112 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y112 NUM_SITES 0 TILEPROP CLK_FEED_X60Y112 ROW 44 TILEPROP CLK_FEED_X60Y112 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y112 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y112 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y112 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y112 TILE_X 8776 TILEPROP CLK_FEED_X60Y112 TILE_Y 105272 TILEPROP CLK_FEED_X60Y112 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y113 CLASS tile TILEPROP CLK_FEED_X60Y113 COLUMN 60 TILEPROP CLK_FEED_X60Y113 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y113 FIRST_SITE_ID 4295 TILEPROP CLK_FEED_X60Y113 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y113 GRID_POINT_Y 43 TILEPROP CLK_FEED_X60Y113 INDEX 5005 TILEPROP CLK_FEED_X60Y113 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y113 INT_TILE_Y 41 TILEPROP CLK_FEED_X60Y113 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y113 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y113 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y113 NAME CLK_FEED_X60Y113 TILEPROP CLK_FEED_X60Y113 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y113 NUM_SITES 0 TILEPROP CLK_FEED_X60Y113 ROW 43 TILEPROP CLK_FEED_X60Y113 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y113 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y113 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y113 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y113 TILE_X 8776 TILEPROP CLK_FEED_X60Y113 TILE_Y 108472 TILEPROP CLK_FEED_X60Y113 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y114 CLASS tile TILEPROP CLK_FEED_X60Y114 COLUMN 60 TILEPROP CLK_FEED_X60Y114 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y114 FIRST_SITE_ID 4206 TILEPROP CLK_FEED_X60Y114 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y114 GRID_POINT_Y 42 TILEPROP CLK_FEED_X60Y114 INDEX 4890 TILEPROP CLK_FEED_X60Y114 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y114 INT_TILE_Y 40 TILEPROP CLK_FEED_X60Y114 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y114 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y114 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y114 NAME CLK_FEED_X60Y114 TILEPROP CLK_FEED_X60Y114 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y114 NUM_SITES 0 TILEPROP CLK_FEED_X60Y114 ROW 42 TILEPROP CLK_FEED_X60Y114 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y114 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y114 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y114 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y114 TILE_X 8776 TILEPROP CLK_FEED_X60Y114 TILE_Y 111672 TILEPROP CLK_FEED_X60Y114 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y115 CLASS tile TILEPROP CLK_FEED_X60Y115 COLUMN 60 TILEPROP CLK_FEED_X60Y115 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y115 FIRST_SITE_ID 4106 TILEPROP CLK_FEED_X60Y115 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y115 GRID_POINT_Y 41 TILEPROP CLK_FEED_X60Y115 INDEX 4775 TILEPROP CLK_FEED_X60Y115 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y115 INT_TILE_Y 39 TILEPROP CLK_FEED_X60Y115 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y115 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y115 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y115 NAME CLK_FEED_X60Y115 TILEPROP CLK_FEED_X60Y115 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y115 NUM_SITES 0 TILEPROP CLK_FEED_X60Y115 ROW 41 TILEPROP CLK_FEED_X60Y115 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y115 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y115 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y115 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y115 TILE_X 8776 TILEPROP CLK_FEED_X60Y115 TILE_Y 114872 TILEPROP CLK_FEED_X60Y115 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y116 CLASS tile TILEPROP CLK_FEED_X60Y116 COLUMN 60 TILEPROP CLK_FEED_X60Y116 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y116 FIRST_SITE_ID 4012 TILEPROP CLK_FEED_X60Y116 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y116 GRID_POINT_Y 40 TILEPROP CLK_FEED_X60Y116 INDEX 4660 TILEPROP CLK_FEED_X60Y116 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y116 INT_TILE_Y 38 TILEPROP CLK_FEED_X60Y116 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y116 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y116 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y116 NAME CLK_FEED_X60Y116 TILEPROP CLK_FEED_X60Y116 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y116 NUM_SITES 0 TILEPROP CLK_FEED_X60Y116 ROW 40 TILEPROP CLK_FEED_X60Y116 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y116 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y116 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y116 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y116 TILE_X 8776 TILEPROP CLK_FEED_X60Y116 TILE_Y 118072 TILEPROP CLK_FEED_X60Y116 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y119 CLASS tile TILEPROP CLK_FEED_X60Y119 COLUMN 60 TILEPROP CLK_FEED_X60Y119 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y119 FIRST_SITE_ID 3700 TILEPROP CLK_FEED_X60Y119 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y119 GRID_POINT_Y 37 TILEPROP CLK_FEED_X60Y119 INDEX 4315 TILEPROP CLK_FEED_X60Y119 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y119 INT_TILE_Y 35 TILEPROP CLK_FEED_X60Y119 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y119 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y119 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y119 NAME CLK_FEED_X60Y119 TILEPROP CLK_FEED_X60Y119 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y119 NUM_SITES 0 TILEPROP CLK_FEED_X60Y119 ROW 37 TILEPROP CLK_FEED_X60Y119 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y119 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y119 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y119 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y119 TILE_X 8776 TILEPROP CLK_FEED_X60Y119 TILE_Y 127672 TILEPROP CLK_FEED_X60Y119 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y120 CLASS tile TILEPROP CLK_FEED_X60Y120 COLUMN 60 TILEPROP CLK_FEED_X60Y120 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y120 FIRST_SITE_ID 3609 TILEPROP CLK_FEED_X60Y120 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y120 GRID_POINT_Y 36 TILEPROP CLK_FEED_X60Y120 INDEX 4200 TILEPROP CLK_FEED_X60Y120 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y120 INT_TILE_Y 34 TILEPROP CLK_FEED_X60Y120 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y120 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y120 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y120 NAME CLK_FEED_X60Y120 TILEPROP CLK_FEED_X60Y120 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y120 NUM_SITES 0 TILEPROP CLK_FEED_X60Y120 ROW 36 TILEPROP CLK_FEED_X60Y120 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y120 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y120 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y120 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y120 TILE_X 8776 TILEPROP CLK_FEED_X60Y120 TILE_Y 130872 TILEPROP CLK_FEED_X60Y120 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y121 CLASS tile TILEPROP CLK_FEED_X60Y121 COLUMN 60 TILEPROP CLK_FEED_X60Y121 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y121 FIRST_SITE_ID 3502 TILEPROP CLK_FEED_X60Y121 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y121 GRID_POINT_Y 35 TILEPROP CLK_FEED_X60Y121 INDEX 4085 TILEPROP CLK_FEED_X60Y121 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y121 INT_TILE_Y 33 TILEPROP CLK_FEED_X60Y121 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y121 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y121 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y121 NAME CLK_FEED_X60Y121 TILEPROP CLK_FEED_X60Y121 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y121 NUM_SITES 0 TILEPROP CLK_FEED_X60Y121 ROW 35 TILEPROP CLK_FEED_X60Y121 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y121 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y121 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y121 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y121 TILE_X 8776 TILEPROP CLK_FEED_X60Y121 TILE_Y 134072 TILEPROP CLK_FEED_X60Y121 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y122 CLASS tile TILEPROP CLK_FEED_X60Y122 COLUMN 60 TILEPROP CLK_FEED_X60Y122 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y122 FIRST_SITE_ID 3414 TILEPROP CLK_FEED_X60Y122 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y122 GRID_POINT_Y 34 TILEPROP CLK_FEED_X60Y122 INDEX 3970 TILEPROP CLK_FEED_X60Y122 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y122 INT_TILE_Y 32 TILEPROP CLK_FEED_X60Y122 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y122 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y122 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y122 NAME CLK_FEED_X60Y122 TILEPROP CLK_FEED_X60Y122 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y122 NUM_SITES 0 TILEPROP CLK_FEED_X60Y122 ROW 34 TILEPROP CLK_FEED_X60Y122 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y122 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y122 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y122 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y122 TILE_X 8776 TILEPROP CLK_FEED_X60Y122 TILE_Y 137272 TILEPROP CLK_FEED_X60Y122 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y123 CLASS tile TILEPROP CLK_FEED_X60Y123 COLUMN 60 TILEPROP CLK_FEED_X60Y123 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y123 FIRST_SITE_ID 3314 TILEPROP CLK_FEED_X60Y123 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y123 GRID_POINT_Y 33 TILEPROP CLK_FEED_X60Y123 INDEX 3855 TILEPROP CLK_FEED_X60Y123 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y123 INT_TILE_Y 31 TILEPROP CLK_FEED_X60Y123 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y123 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y123 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y123 NAME CLK_FEED_X60Y123 TILEPROP CLK_FEED_X60Y123 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y123 NUM_SITES 0 TILEPROP CLK_FEED_X60Y123 ROW 33 TILEPROP CLK_FEED_X60Y123 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y123 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y123 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y123 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y123 TILE_X 8776 TILEPROP CLK_FEED_X60Y123 TILE_Y 140472 TILEPROP CLK_FEED_X60Y123 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y124 CLASS tile TILEPROP CLK_FEED_X60Y124 COLUMN 60 TILEPROP CLK_FEED_X60Y124 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y124 FIRST_SITE_ID 3226 TILEPROP CLK_FEED_X60Y124 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y124 GRID_POINT_Y 32 TILEPROP CLK_FEED_X60Y124 INDEX 3740 TILEPROP CLK_FEED_X60Y124 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y124 INT_TILE_Y 30 TILEPROP CLK_FEED_X60Y124 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y124 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y124 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y124 NAME CLK_FEED_X60Y124 TILEPROP CLK_FEED_X60Y124 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y124 NUM_SITES 0 TILEPROP CLK_FEED_X60Y124 ROW 32 TILEPROP CLK_FEED_X60Y124 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y124 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y124 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y124 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y124 TILE_X 8776 TILEPROP CLK_FEED_X60Y124 TILE_Y 143672 TILEPROP CLK_FEED_X60Y124 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y125 CLASS tile TILEPROP CLK_FEED_X60Y125 COLUMN 60 TILEPROP CLK_FEED_X60Y125 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y125 FIRST_SITE_ID 3125 TILEPROP CLK_FEED_X60Y125 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y125 GRID_POINT_Y 31 TILEPROP CLK_FEED_X60Y125 INDEX 3625 TILEPROP CLK_FEED_X60Y125 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y125 INT_TILE_Y 29 TILEPROP CLK_FEED_X60Y125 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y125 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y125 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y125 NAME CLK_FEED_X60Y125 TILEPROP CLK_FEED_X60Y125 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y125 NUM_SITES 0 TILEPROP CLK_FEED_X60Y125 ROW 31 TILEPROP CLK_FEED_X60Y125 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y125 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y125 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y125 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y125 TILE_X 8776 TILEPROP CLK_FEED_X60Y125 TILE_Y 146872 TILEPROP CLK_FEED_X60Y125 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y135 CLASS tile TILEPROP CLK_FEED_X60Y135 COLUMN 60 TILEPROP CLK_FEED_X60Y135 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y135 FIRST_SITE_ID 2165 TILEPROP CLK_FEED_X60Y135 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y135 GRID_POINT_Y 21 TILEPROP CLK_FEED_X60Y135 INDEX 2475 TILEPROP CLK_FEED_X60Y135 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y135 INT_TILE_Y 20 TILEPROP CLK_FEED_X60Y135 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y135 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y135 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y135 NAME CLK_FEED_X60Y135 TILEPROP CLK_FEED_X60Y135 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y135 NUM_SITES 0 TILEPROP CLK_FEED_X60Y135 ROW 21 TILEPROP CLK_FEED_X60Y135 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y135 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y135 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y135 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y135 TILE_X 8776 TILEPROP CLK_FEED_X60Y135 TILE_Y 176696 TILEPROP CLK_FEED_X60Y135 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y136 CLASS tile TILEPROP CLK_FEED_X60Y136 COLUMN 60 TILEPROP CLK_FEED_X60Y136 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y136 FIRST_SITE_ID 2049 TILEPROP CLK_FEED_X60Y136 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y136 GRID_POINT_Y 20 TILEPROP CLK_FEED_X60Y136 INDEX 2360 TILEPROP CLK_FEED_X60Y136 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y136 INT_TILE_Y 19 TILEPROP CLK_FEED_X60Y136 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y136 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y136 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y136 NAME CLK_FEED_X60Y136 TILEPROP CLK_FEED_X60Y136 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y136 NUM_SITES 0 TILEPROP CLK_FEED_X60Y136 ROW 20 TILEPROP CLK_FEED_X60Y136 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y136 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y136 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y136 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y136 TILE_X 8776 TILEPROP CLK_FEED_X60Y136 TILE_Y 179896 TILEPROP CLK_FEED_X60Y136 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y137 CLASS tile TILEPROP CLK_FEED_X60Y137 COLUMN 60 TILEPROP CLK_FEED_X60Y137 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y137 FIRST_SITE_ID 1947 TILEPROP CLK_FEED_X60Y137 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y137 GRID_POINT_Y 19 TILEPROP CLK_FEED_X60Y137 INDEX 2245 TILEPROP CLK_FEED_X60Y137 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y137 INT_TILE_Y 18 TILEPROP CLK_FEED_X60Y137 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y137 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y137 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y137 NAME CLK_FEED_X60Y137 TILEPROP CLK_FEED_X60Y137 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y137 NUM_SITES 0 TILEPROP CLK_FEED_X60Y137 ROW 19 TILEPROP CLK_FEED_X60Y137 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y137 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y137 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y137 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y137 TILE_X 8776 TILEPROP CLK_FEED_X60Y137 TILE_Y 183096 TILEPROP CLK_FEED_X60Y137 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y138 CLASS tile TILEPROP CLK_FEED_X60Y138 COLUMN 60 TILEPROP CLK_FEED_X60Y138 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y138 FIRST_SITE_ID 1841 TILEPROP CLK_FEED_X60Y138 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y138 GRID_POINT_Y 18 TILEPROP CLK_FEED_X60Y138 INDEX 2130 TILEPROP CLK_FEED_X60Y138 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y138 INT_TILE_Y 17 TILEPROP CLK_FEED_X60Y138 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y138 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y138 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y138 NAME CLK_FEED_X60Y138 TILEPROP CLK_FEED_X60Y138 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y138 NUM_SITES 0 TILEPROP CLK_FEED_X60Y138 ROW 18 TILEPROP CLK_FEED_X60Y138 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y138 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y138 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y138 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y138 TILE_X 8776 TILEPROP CLK_FEED_X60Y138 TILE_Y 186296 TILEPROP CLK_FEED_X60Y138 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y139 CLASS tile TILEPROP CLK_FEED_X60Y139 COLUMN 60 TILEPROP CLK_FEED_X60Y139 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y139 FIRST_SITE_ID 1740 TILEPROP CLK_FEED_X60Y139 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y139 GRID_POINT_Y 17 TILEPROP CLK_FEED_X60Y139 INDEX 2015 TILEPROP CLK_FEED_X60Y139 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y139 INT_TILE_Y 16 TILEPROP CLK_FEED_X60Y139 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y139 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y139 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y139 NAME CLK_FEED_X60Y139 TILEPROP CLK_FEED_X60Y139 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y139 NUM_SITES 0 TILEPROP CLK_FEED_X60Y139 ROW 17 TILEPROP CLK_FEED_X60Y139 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y139 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y139 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y139 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y139 TILE_X 8776 TILEPROP CLK_FEED_X60Y139 TILE_Y 189496 TILEPROP CLK_FEED_X60Y139 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y140 CLASS tile TILEPROP CLK_FEED_X60Y140 COLUMN 60 TILEPROP CLK_FEED_X60Y140 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y140 FIRST_SITE_ID 1636 TILEPROP CLK_FEED_X60Y140 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y140 GRID_POINT_Y 16 TILEPROP CLK_FEED_X60Y140 INDEX 1900 TILEPROP CLK_FEED_X60Y140 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y140 INT_TILE_Y 15 TILEPROP CLK_FEED_X60Y140 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y140 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y140 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y140 NAME CLK_FEED_X60Y140 TILEPROP CLK_FEED_X60Y140 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y140 NUM_SITES 0 TILEPROP CLK_FEED_X60Y140 ROW 16 TILEPROP CLK_FEED_X60Y140 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y140 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y140 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y140 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y140 TILE_X 8776 TILEPROP CLK_FEED_X60Y140 TILE_Y 192696 TILEPROP CLK_FEED_X60Y140 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y141 CLASS tile TILEPROP CLK_FEED_X60Y141 COLUMN 60 TILEPROP CLK_FEED_X60Y141 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y141 FIRST_SITE_ID 1534 TILEPROP CLK_FEED_X60Y141 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y141 GRID_POINT_Y 15 TILEPROP CLK_FEED_X60Y141 INDEX 1785 TILEPROP CLK_FEED_X60Y141 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y141 INT_TILE_Y 14 TILEPROP CLK_FEED_X60Y141 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y141 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y141 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y141 NAME CLK_FEED_X60Y141 TILEPROP CLK_FEED_X60Y141 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y141 NUM_SITES 0 TILEPROP CLK_FEED_X60Y141 ROW 15 TILEPROP CLK_FEED_X60Y141 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y141 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y141 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y141 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y141 TILE_X 8776 TILEPROP CLK_FEED_X60Y141 TILE_Y 195896 TILEPROP CLK_FEED_X60Y141 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y144 CLASS tile TILEPROP CLK_FEED_X60Y144 COLUMN 60 TILEPROP CLK_FEED_X60Y144 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y144 FIRST_SITE_ID 1192 TILEPROP CLK_FEED_X60Y144 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y144 GRID_POINT_Y 12 TILEPROP CLK_FEED_X60Y144 INDEX 1440 TILEPROP CLK_FEED_X60Y144 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y144 INT_TILE_Y 11 TILEPROP CLK_FEED_X60Y144 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y144 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y144 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y144 NAME CLK_FEED_X60Y144 TILEPROP CLK_FEED_X60Y144 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y144 NUM_SITES 0 TILEPROP CLK_FEED_X60Y144 ROW 12 TILEPROP CLK_FEED_X60Y144 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y144 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y144 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y144 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y144 TILE_X 8776 TILEPROP CLK_FEED_X60Y144 TILE_Y 205496 TILEPROP CLK_FEED_X60Y144 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y145 CLASS tile TILEPROP CLK_FEED_X60Y145 COLUMN 60 TILEPROP CLK_FEED_X60Y145 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y145 FIRST_SITE_ID 1096 TILEPROP CLK_FEED_X60Y145 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y145 GRID_POINT_Y 11 TILEPROP CLK_FEED_X60Y145 INDEX 1325 TILEPROP CLK_FEED_X60Y145 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y145 INT_TILE_Y 10 TILEPROP CLK_FEED_X60Y145 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y145 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y145 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y145 NAME CLK_FEED_X60Y145 TILEPROP CLK_FEED_X60Y145 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y145 NUM_SITES 0 TILEPROP CLK_FEED_X60Y145 ROW 11 TILEPROP CLK_FEED_X60Y145 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y145 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y145 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y145 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y145 TILE_X 8776 TILEPROP CLK_FEED_X60Y145 TILE_Y 208696 TILEPROP CLK_FEED_X60Y145 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y146 CLASS tile TILEPROP CLK_FEED_X60Y146 COLUMN 60 TILEPROP CLK_FEED_X60Y146 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y146 FIRST_SITE_ID 986 TILEPROP CLK_FEED_X60Y146 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y146 GRID_POINT_Y 10 TILEPROP CLK_FEED_X60Y146 INDEX 1210 TILEPROP CLK_FEED_X60Y146 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y146 INT_TILE_Y 9 TILEPROP CLK_FEED_X60Y146 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y146 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y146 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y146 NAME CLK_FEED_X60Y146 TILEPROP CLK_FEED_X60Y146 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y146 NUM_SITES 0 TILEPROP CLK_FEED_X60Y146 ROW 10 TILEPROP CLK_FEED_X60Y146 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y146 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y146 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y146 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y146 TILE_X 8776 TILEPROP CLK_FEED_X60Y146 TILE_Y 211896 TILEPROP CLK_FEED_X60Y146 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y147 CLASS tile TILEPROP CLK_FEED_X60Y147 COLUMN 60 TILEPROP CLK_FEED_X60Y147 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y147 FIRST_SITE_ID 884 TILEPROP CLK_FEED_X60Y147 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y147 GRID_POINT_Y 9 TILEPROP CLK_FEED_X60Y147 INDEX 1095 TILEPROP CLK_FEED_X60Y147 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y147 INT_TILE_Y 8 TILEPROP CLK_FEED_X60Y147 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y147 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y147 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y147 NAME CLK_FEED_X60Y147 TILEPROP CLK_FEED_X60Y147 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y147 NUM_SITES 0 TILEPROP CLK_FEED_X60Y147 ROW 9 TILEPROP CLK_FEED_X60Y147 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y147 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y147 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y147 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y147 TILE_X 8776 TILEPROP CLK_FEED_X60Y147 TILE_Y 215096 TILEPROP CLK_FEED_X60Y147 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y148 CLASS tile TILEPROP CLK_FEED_X60Y148 COLUMN 60 TILEPROP CLK_FEED_X60Y148 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y148 FIRST_SITE_ID 780 TILEPROP CLK_FEED_X60Y148 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y148 GRID_POINT_Y 8 TILEPROP CLK_FEED_X60Y148 INDEX 980 TILEPROP CLK_FEED_X60Y148 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y148 INT_TILE_Y 7 TILEPROP CLK_FEED_X60Y148 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y148 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y148 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y148 NAME CLK_FEED_X60Y148 TILEPROP CLK_FEED_X60Y148 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y148 NUM_SITES 0 TILEPROP CLK_FEED_X60Y148 ROW 8 TILEPROP CLK_FEED_X60Y148 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y148 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y148 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y148 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y148 TILE_X 8776 TILEPROP CLK_FEED_X60Y148 TILE_Y 218296 TILEPROP CLK_FEED_X60Y148 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y149 CLASS tile TILEPROP CLK_FEED_X60Y149 COLUMN 60 TILEPROP CLK_FEED_X60Y149 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y149 FIRST_SITE_ID 683 TILEPROP CLK_FEED_X60Y149 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y149 GRID_POINT_Y 7 TILEPROP CLK_FEED_X60Y149 INDEX 865 TILEPROP CLK_FEED_X60Y149 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y149 INT_TILE_Y 6 TILEPROP CLK_FEED_X60Y149 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y149 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y149 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y149 NAME CLK_FEED_X60Y149 TILEPROP CLK_FEED_X60Y149 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y149 NUM_SITES 0 TILEPROP CLK_FEED_X60Y149 ROW 7 TILEPROP CLK_FEED_X60Y149 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y149 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y149 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y149 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y149 TILE_X 8776 TILEPROP CLK_FEED_X60Y149 TILE_Y 221496 TILEPROP CLK_FEED_X60Y149 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y150 CLASS tile TILEPROP CLK_FEED_X60Y150 COLUMN 60 TILEPROP CLK_FEED_X60Y150 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y150 FIRST_SITE_ID 572 TILEPROP CLK_FEED_X60Y150 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y150 GRID_POINT_Y 6 TILEPROP CLK_FEED_X60Y150 INDEX 750 TILEPROP CLK_FEED_X60Y150 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y150 INT_TILE_Y 5 TILEPROP CLK_FEED_X60Y150 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y150 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y150 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y150 NAME CLK_FEED_X60Y150 TILEPROP CLK_FEED_X60Y150 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y150 NUM_SITES 0 TILEPROP CLK_FEED_X60Y150 ROW 6 TILEPROP CLK_FEED_X60Y150 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y150 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y150 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y150 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y150 TILE_X 8776 TILEPROP CLK_FEED_X60Y150 TILE_Y 224696 TILEPROP CLK_FEED_X60Y150 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y151 CLASS tile TILEPROP CLK_FEED_X60Y151 COLUMN 60 TILEPROP CLK_FEED_X60Y151 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y151 FIRST_SITE_ID 470 TILEPROP CLK_FEED_X60Y151 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y151 GRID_POINT_Y 5 TILEPROP CLK_FEED_X60Y151 INDEX 635 TILEPROP CLK_FEED_X60Y151 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y151 INT_TILE_Y 4 TILEPROP CLK_FEED_X60Y151 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y151 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y151 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y151 NAME CLK_FEED_X60Y151 TILEPROP CLK_FEED_X60Y151 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y151 NUM_SITES 0 TILEPROP CLK_FEED_X60Y151 ROW 5 TILEPROP CLK_FEED_X60Y151 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y151 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y151 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y151 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y151 TILE_X 8776 TILEPROP CLK_FEED_X60Y151 TILE_Y 227896 TILEPROP CLK_FEED_X60Y151 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y152 CLASS tile TILEPROP CLK_FEED_X60Y152 COLUMN 60 TILEPROP CLK_FEED_X60Y152 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y152 FIRST_SITE_ID 360 TILEPROP CLK_FEED_X60Y152 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y152 GRID_POINT_Y 4 TILEPROP CLK_FEED_X60Y152 INDEX 520 TILEPROP CLK_FEED_X60Y152 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y152 INT_TILE_Y 3 TILEPROP CLK_FEED_X60Y152 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y152 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y152 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y152 NAME CLK_FEED_X60Y152 TILEPROP CLK_FEED_X60Y152 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y152 NUM_SITES 0 TILEPROP CLK_FEED_X60Y152 ROW 4 TILEPROP CLK_FEED_X60Y152 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y152 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y152 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y152 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y152 TILE_X 8776 TILEPROP CLK_FEED_X60Y152 TILE_Y 231096 TILEPROP CLK_FEED_X60Y152 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y153 CLASS tile TILEPROP CLK_FEED_X60Y153 COLUMN 60 TILEPROP CLK_FEED_X60Y153 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y153 FIRST_SITE_ID 264 TILEPROP CLK_FEED_X60Y153 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y153 GRID_POINT_Y 3 TILEPROP CLK_FEED_X60Y153 INDEX 405 TILEPROP CLK_FEED_X60Y153 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y153 INT_TILE_Y 2 TILEPROP CLK_FEED_X60Y153 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y153 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y153 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y153 NAME CLK_FEED_X60Y153 TILEPROP CLK_FEED_X60Y153 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y153 NUM_SITES 0 TILEPROP CLK_FEED_X60Y153 ROW 3 TILEPROP CLK_FEED_X60Y153 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y153 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y153 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y153 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y153 TILE_X 8776 TILEPROP CLK_FEED_X60Y153 TILE_Y 234296 TILEPROP CLK_FEED_X60Y153 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y154 CLASS tile TILEPROP CLK_FEED_X60Y154 COLUMN 60 TILEPROP CLK_FEED_X60Y154 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y154 FIRST_SITE_ID 160 TILEPROP CLK_FEED_X60Y154 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y154 GRID_POINT_Y 2 TILEPROP CLK_FEED_X60Y154 INDEX 290 TILEPROP CLK_FEED_X60Y154 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y154 INT_TILE_Y 1 TILEPROP CLK_FEED_X60Y154 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y154 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y154 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y154 NAME CLK_FEED_X60Y154 TILEPROP CLK_FEED_X60Y154 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y154 NUM_SITES 0 TILEPROP CLK_FEED_X60Y154 ROW 2 TILEPROP CLK_FEED_X60Y154 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y154 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y154 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y154 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y154 TILE_X 8776 TILEPROP CLK_FEED_X60Y154 TILE_Y 237496 TILEPROP CLK_FEED_X60Y154 TYPE CLK_FEED TILEPROP CLK_FEED_X60Y155 CLASS tile TILEPROP CLK_FEED_X60Y155 COLUMN 60 TILEPROP CLK_FEED_X60Y155 DEVICE_ID 0 TILEPROP CLK_FEED_X60Y155 FIRST_SITE_ID 64 TILEPROP CLK_FEED_X60Y155 GRID_POINT_X 60 TILEPROP CLK_FEED_X60Y155 GRID_POINT_Y 1 TILEPROP CLK_FEED_X60Y155 INDEX 175 TILEPROP CLK_FEED_X60Y155 INT_TILE_X 23 TILEPROP CLK_FEED_X60Y155 INT_TILE_Y 0 TILEPROP CLK_FEED_X60Y155 IS_CENTER_TILE 0 TILEPROP CLK_FEED_X60Y155 IS_DCM_TILE 0 TILEPROP CLK_FEED_X60Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_FEED_X60Y155 IS_GT_SITE_TILE 0 TILEPROP CLK_FEED_X60Y155 NAME CLK_FEED_X60Y155 TILEPROP CLK_FEED_X60Y155 NUM_ARCS 0 TILEPROP CLK_FEED_X60Y155 NUM_SITES 0 TILEPROP CLK_FEED_X60Y155 ROW 1 TILEPROP CLK_FEED_X60Y155 SLR_REGION_ID 0 TILEPROP CLK_FEED_X60Y155 TILE_PATTERN_IDX 35 TILEPROP CLK_FEED_X60Y155 TILE_TYPE CLK_FEED TILEPROP CLK_FEED_X60Y155 TILE_TYPE_INDEX 25 TILEPROP CLK_FEED_X60Y155 TILE_X 8776 TILEPROP CLK_FEED_X60Y155 TILE_Y 240696 TILEPROP CLK_FEED_X60Y155 TYPE CLK_FEED TILEPROP CLK_HROW_BOT_R_X60Y26 CLASS tile TILEPROP CLK_HROW_BOT_R_X60Y26 COLUMN 60 TILEPROP CLK_HROW_BOT_R_X60Y26 DEVICE_ID 0 TILEPROP CLK_HROW_BOT_R_X60Y26 FIRST_SITE_ID 13119 TILEPROP CLK_HROW_BOT_R_X60Y26 GRID_POINT_X 60 TILEPROP CLK_HROW_BOT_R_X60Y26 GRID_POINT_Y 130 TILEPROP CLK_HROW_BOT_R_X60Y26 INDEX 15010 TILEPROP CLK_HROW_BOT_R_X60Y26 INT_TILE_X 23 TILEPROP CLK_HROW_BOT_R_X60Y26 INT_TILE_Y 124 TILEPROP CLK_HROW_BOT_R_X60Y26 IS_CENTER_TILE 0 TILEPROP CLK_HROW_BOT_R_X60Y26 IS_DCM_TILE 0 TILEPROP CLK_HROW_BOT_R_X60Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_HROW_BOT_R_X60Y26 IS_GT_SITE_TILE 0 TILEPROP CLK_HROW_BOT_R_X60Y26 NAME CLK_HROW_BOT_R_X60Y26 TILEPROP CLK_HROW_BOT_R_X60Y26 NUM_ARCS 3108 TILEPROP CLK_HROW_BOT_R_X60Y26 NUM_SITES 58 TILEPROP CLK_HROW_BOT_R_X60Y26 ROW 130 TILEPROP CLK_HROW_BOT_R_X60Y26 SLR_REGION_ID 0 TILEPROP CLK_HROW_BOT_R_X60Y26 TILE_PATTERN_IDX 8010 TILEPROP CLK_HROW_BOT_R_X60Y26 TILE_TYPE CLK_HROW_BOT_R TILEPROP CLK_HROW_BOT_R_X60Y26 TILE_TYPE_INDEX 26 TILEPROP CLK_HROW_BOT_R_X60Y26 TILE_X 8776 TILEPROP CLK_HROW_BOT_R_X60Y26 TILE_Y -160760 TILEPROP CLK_HROW_BOT_R_X60Y26 TYPE CLK_HROW_BOT_R TILEPROP CLK_HROW_TOP_R_X60Y78 CLASS tile TILEPROP CLK_HROW_TOP_R_X60Y78 COLUMN 60 TILEPROP CLK_HROW_TOP_R_X60Y78 DEVICE_ID 0 TILEPROP CLK_HROW_TOP_R_X60Y78 FIRST_SITE_ID 7732 TILEPROP CLK_HROW_TOP_R_X60Y78 GRID_POINT_X 60 TILEPROP CLK_HROW_TOP_R_X60Y78 GRID_POINT_Y 78 TILEPROP CLK_HROW_TOP_R_X60Y78 INDEX 9030 TILEPROP CLK_HROW_TOP_R_X60Y78 INT_TILE_X 23 TILEPROP CLK_HROW_TOP_R_X60Y78 INT_TILE_Y 74 TILEPROP CLK_HROW_TOP_R_X60Y78 IS_CENTER_TILE 0 TILEPROP CLK_HROW_TOP_R_X60Y78 IS_DCM_TILE 0 TILEPROP CLK_HROW_TOP_R_X60Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_HROW_TOP_R_X60Y78 IS_GT_SITE_TILE 0 TILEPROP CLK_HROW_TOP_R_X60Y78 NAME CLK_HROW_TOP_R_X60Y78 TILEPROP CLK_HROW_TOP_R_X60Y78 NUM_ARCS 3108 TILEPROP CLK_HROW_TOP_R_X60Y78 NUM_SITES 58 TILEPROP CLK_HROW_TOP_R_X60Y78 ROW 78 TILEPROP CLK_HROW_TOP_R_X60Y78 SLR_REGION_ID 0 TILEPROP CLK_HROW_TOP_R_X60Y78 TILE_PATTERN_IDX 6332 TILEPROP CLK_HROW_TOP_R_X60Y78 TILE_TYPE CLK_HROW_TOP_R TILEPROP CLK_HROW_TOP_R_X60Y78 TILE_TYPE_INDEX 27 TILEPROP CLK_HROW_TOP_R_X60Y78 TILE_X 8776 TILEPROP CLK_HROW_TOP_R_X60Y78 TILE_Y 512 TILEPROP CLK_HROW_TOP_R_X60Y78 TYPE CLK_HROW_TOP_R TILEPROP CLK_HROW_TOP_R_X60Y130 CLASS tile TILEPROP CLK_HROW_TOP_R_X60Y130 COLUMN 60 TILEPROP CLK_HROW_TOP_R_X60Y130 DEVICE_ID 0 TILEPROP CLK_HROW_TOP_R_X60Y130 FIRST_SITE_ID 2625 TILEPROP CLK_HROW_TOP_R_X60Y130 GRID_POINT_X 60 TILEPROP CLK_HROW_TOP_R_X60Y130 GRID_POINT_Y 26 TILEPROP CLK_HROW_TOP_R_X60Y130 INDEX 3050 TILEPROP CLK_HROW_TOP_R_X60Y130 INT_TILE_X 23 TILEPROP CLK_HROW_TOP_R_X60Y130 INT_TILE_Y 24 TILEPROP CLK_HROW_TOP_R_X60Y130 IS_CENTER_TILE 0 TILEPROP CLK_HROW_TOP_R_X60Y130 IS_DCM_TILE 0 TILEPROP CLK_HROW_TOP_R_X60Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_HROW_TOP_R_X60Y130 IS_GT_SITE_TILE 0 TILEPROP CLK_HROW_TOP_R_X60Y130 NAME CLK_HROW_TOP_R_X60Y130 TILEPROP CLK_HROW_TOP_R_X60Y130 NUM_ARCS 3108 TILEPROP CLK_HROW_TOP_R_X60Y130 NUM_SITES 58 TILEPROP CLK_HROW_TOP_R_X60Y130 ROW 26 TILEPROP CLK_HROW_TOP_R_X60Y130 SLR_REGION_ID 0 TILEPROP CLK_HROW_TOP_R_X60Y130 TILE_PATTERN_IDX 4530 TILEPROP CLK_HROW_TOP_R_X60Y130 TILE_TYPE CLK_HROW_TOP_R TILEPROP CLK_HROW_TOP_R_X60Y130 TILE_TYPE_INDEX 27 TILEPROP CLK_HROW_TOP_R_X60Y130 TILE_X 8776 TILEPROP CLK_HROW_TOP_R_X60Y130 TILE_Y 161784 TILEPROP CLK_HROW_TOP_R_X60Y130 TYPE CLK_HROW_TOP_R TILEPROP CLK_MTBF2_X60Y47 CLASS tile TILEPROP CLK_MTBF2_X60Y47 COLUMN 60 TILEPROP CLK_MTBF2_X60Y47 DEVICE_ID 0 TILEPROP CLK_MTBF2_X60Y47 FIRST_SITE_ID 10908 TILEPROP CLK_MTBF2_X60Y47 GRID_POINT_X 60 TILEPROP CLK_MTBF2_X60Y47 GRID_POINT_Y 109 TILEPROP CLK_MTBF2_X60Y47 INDEX 12595 TILEPROP CLK_MTBF2_X60Y47 INT_TILE_X 23 TILEPROP CLK_MTBF2_X60Y47 INT_TILE_Y 104 TILEPROP CLK_MTBF2_X60Y47 IS_CENTER_TILE 0 TILEPROP CLK_MTBF2_X60Y47 IS_DCM_TILE 0 TILEPROP CLK_MTBF2_X60Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_MTBF2_X60Y47 IS_GT_SITE_TILE 0 TILEPROP CLK_MTBF2_X60Y47 NAME CLK_MTBF2_X60Y47 TILEPROP CLK_MTBF2_X60Y47 NUM_ARCS 12 TILEPROP CLK_MTBF2_X60Y47 NUM_SITES 1 TILEPROP CLK_MTBF2_X60Y47 ROW 109 TILEPROP CLK_MTBF2_X60Y47 SLR_REGION_ID 0 TILEPROP CLK_MTBF2_X60Y47 TILE_PATTERN_IDX 1822 TILEPROP CLK_MTBF2_X60Y47 TILE_TYPE CLK_MTBF2 TILEPROP CLK_MTBF2_X60Y47 TILE_TYPE_INDEX 28 TILEPROP CLK_MTBF2_X60Y47 TILE_X 8776 TILEPROP CLK_MTBF2_X60Y47 TILE_Y -94648 TILEPROP CLK_MTBF2_X60Y47 TYPE CLK_MTBF2 TILEPROP CLK_PMV2_SVT_X60Y34 CLASS tile TILEPROP CLK_PMV2_SVT_X60Y34 COLUMN 60 TILEPROP CLK_PMV2_SVT_X60Y34 DEVICE_ID 0 TILEPROP CLK_PMV2_SVT_X60Y34 FIRST_SITE_ID 12289 TILEPROP CLK_PMV2_SVT_X60Y34 GRID_POINT_X 60 TILEPROP CLK_PMV2_SVT_X60Y34 GRID_POINT_Y 122 TILEPROP CLK_PMV2_SVT_X60Y34 INDEX 14090 TILEPROP CLK_PMV2_SVT_X60Y34 INT_TILE_X 23 TILEPROP CLK_PMV2_SVT_X60Y34 INT_TILE_Y 117 TILEPROP CLK_PMV2_SVT_X60Y34 IS_CENTER_TILE 0 TILEPROP CLK_PMV2_SVT_X60Y34 IS_DCM_TILE 0 TILEPROP CLK_PMV2_SVT_X60Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_PMV2_SVT_X60Y34 IS_GT_SITE_TILE 0 TILEPROP CLK_PMV2_SVT_X60Y34 NAME CLK_PMV2_SVT_X60Y34 TILEPROP CLK_PMV2_SVT_X60Y34 NUM_ARCS 7 TILEPROP CLK_PMV2_SVT_X60Y34 NUM_SITES 1 TILEPROP CLK_PMV2_SVT_X60Y34 ROW 122 TILEPROP CLK_PMV2_SVT_X60Y34 SLR_REGION_ID 0 TILEPROP CLK_PMV2_SVT_X60Y34 TILE_PATTERN_IDX 2302 TILEPROP CLK_PMV2_SVT_X60Y34 TILE_TYPE CLK_PMV2_SVT TILEPROP CLK_PMV2_SVT_X60Y34 TILE_TYPE_INDEX 31 TILEPROP CLK_PMV2_SVT_X60Y34 TILE_X 8776 TILEPROP CLK_PMV2_SVT_X60Y34 TILE_Y -136248 TILEPROP CLK_PMV2_SVT_X60Y34 TYPE CLK_PMV2_SVT TILEPROP CLK_PMV2_X60Y43 CLASS tile TILEPROP CLK_PMV2_X60Y43 COLUMN 60 TILEPROP CLK_PMV2_X60Y43 DEVICE_ID 0 TILEPROP CLK_PMV2_X60Y43 FIRST_SITE_ID 11324 TILEPROP CLK_PMV2_X60Y43 GRID_POINT_X 60 TILEPROP CLK_PMV2_X60Y43 GRID_POINT_Y 113 TILEPROP CLK_PMV2_X60Y43 INDEX 13055 TILEPROP CLK_PMV2_X60Y43 INT_TILE_X 23 TILEPROP CLK_PMV2_X60Y43 INT_TILE_Y 108 TILEPROP CLK_PMV2_X60Y43 IS_CENTER_TILE 0 TILEPROP CLK_PMV2_X60Y43 IS_DCM_TILE 0 TILEPROP CLK_PMV2_X60Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_PMV2_X60Y43 IS_GT_SITE_TILE 0 TILEPROP CLK_PMV2_X60Y43 NAME CLK_PMV2_X60Y43 TILEPROP CLK_PMV2_X60Y43 NUM_ARCS 7 TILEPROP CLK_PMV2_X60Y43 NUM_SITES 1 TILEPROP CLK_PMV2_X60Y43 ROW 113 TILEPROP CLK_PMV2_X60Y43 SLR_REGION_ID 0 TILEPROP CLK_PMV2_X60Y43 TILE_PATTERN_IDX 1974 TILEPROP CLK_PMV2_X60Y43 TILE_TYPE CLK_PMV2 TILEPROP CLK_PMV2_X60Y43 TILE_TYPE_INDEX 30 TILEPROP CLK_PMV2_X60Y43 TILE_X 8776 TILEPROP CLK_PMV2_X60Y43 TILE_Y -107448 TILEPROP CLK_PMV2_X60Y43 TYPE CLK_PMV2 TILEPROP CLK_PMVIOB_X60Y18 CLASS tile TILEPROP CLK_PMVIOB_X60Y18 COLUMN 60 TILEPROP CLK_PMVIOB_X60Y18 DEVICE_ID 0 TILEPROP CLK_PMVIOB_X60Y18 FIRST_SITE_ID 13955 TILEPROP CLK_PMVIOB_X60Y18 GRID_POINT_X 60 TILEPROP CLK_PMVIOB_X60Y18 GRID_POINT_Y 138 TILEPROP CLK_PMVIOB_X60Y18 INDEX 15930 TILEPROP CLK_PMVIOB_X60Y18 INT_TILE_X 23 TILEPROP CLK_PMVIOB_X60Y18 INT_TILE_Y 132 TILEPROP CLK_PMVIOB_X60Y18 IS_CENTER_TILE 0 TILEPROP CLK_PMVIOB_X60Y18 IS_DCM_TILE 0 TILEPROP CLK_PMVIOB_X60Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_PMVIOB_X60Y18 IS_GT_SITE_TILE 0 TILEPROP CLK_PMVIOB_X60Y18 NAME CLK_PMVIOB_X60Y18 TILEPROP CLK_PMVIOB_X60Y18 NUM_ARCS 6 TILEPROP CLK_PMVIOB_X60Y18 NUM_SITES 1 TILEPROP CLK_PMVIOB_X60Y18 ROW 138 TILEPROP CLK_PMVIOB_X60Y18 SLR_REGION_ID 0 TILEPROP CLK_PMVIOB_X60Y18 TILE_PATTERN_IDX 2891 TILEPROP CLK_PMVIOB_X60Y18 TILE_TYPE CLK_PMVIOB TILEPROP CLK_PMVIOB_X60Y18 TILE_TYPE_INDEX 32 TILEPROP CLK_PMVIOB_X60Y18 TILE_X 8776 TILEPROP CLK_PMVIOB_X60Y18 TILE_Y -185272 TILEPROP CLK_PMVIOB_X60Y18 TYPE CLK_PMVIOB TILEPROP CLK_PMV_X60Y2 CLASS tile TILEPROP CLK_PMV_X60Y2 COLUMN 60 TILEPROP CLK_PMV_X60Y2 DEVICE_ID 0 TILEPROP CLK_PMV_X60Y2 FIRST_SITE_ID 15643 TILEPROP CLK_PMV_X60Y2 GRID_POINT_X 60 TILEPROP CLK_PMV_X60Y2 GRID_POINT_Y 154 TILEPROP CLK_PMV_X60Y2 INDEX 17770 TILEPROP CLK_PMV_X60Y2 INT_TILE_X 23 TILEPROP CLK_PMV_X60Y2 INT_TILE_Y 148 TILEPROP CLK_PMV_X60Y2 IS_CENTER_TILE 0 TILEPROP CLK_PMV_X60Y2 IS_DCM_TILE 0 TILEPROP CLK_PMV_X60Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_PMV_X60Y2 IS_GT_SITE_TILE 0 TILEPROP CLK_PMV_X60Y2 NAME CLK_PMV_X60Y2 TILEPROP CLK_PMV_X60Y2 NUM_ARCS 10 TILEPROP CLK_PMV_X60Y2 NUM_SITES 1 TILEPROP CLK_PMV_X60Y2 ROW 154 TILEPROP CLK_PMV_X60Y2 SLR_REGION_ID 0 TILEPROP CLK_PMV_X60Y2 TILE_PATTERN_IDX 3477 TILEPROP CLK_PMV_X60Y2 TILE_TYPE CLK_PMV TILEPROP CLK_PMV_X60Y2 TILE_TYPE_INDEX 29 TILEPROP CLK_PMV_X60Y2 TILE_X 8776 TILEPROP CLK_PMV_X60Y2 TILE_Y -226872 TILEPROP CLK_PMV_X60Y2 TYPE CLK_PMV TILEPROP CLK_TERM_X60Y0 CLASS tile TILEPROP CLK_TERM_X60Y0 COLUMN 60 TILEPROP CLK_TERM_X60Y0 DEVICE_ID 0 TILEPROP CLK_TERM_X60Y0 FIRST_SITE_ID 15817 TILEPROP CLK_TERM_X60Y0 GRID_POINT_X 60 TILEPROP CLK_TERM_X60Y0 GRID_POINT_Y 156 TILEPROP CLK_TERM_X60Y0 INDEX 18000 TILEPROP CLK_TERM_X60Y0 INT_TILE_X 23 TILEPROP CLK_TERM_X60Y0 INT_TILE_Y 149 TILEPROP CLK_TERM_X60Y0 IS_CENTER_TILE 0 TILEPROP CLK_TERM_X60Y0 IS_DCM_TILE 0 TILEPROP CLK_TERM_X60Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_TERM_X60Y0 IS_GT_SITE_TILE 0 TILEPROP CLK_TERM_X60Y0 NAME CLK_TERM_X60Y0 TILEPROP CLK_TERM_X60Y0 NUM_ARCS 0 TILEPROP CLK_TERM_X60Y0 NUM_SITES 0 TILEPROP CLK_TERM_X60Y0 ROW 156 TILEPROP CLK_TERM_X60Y0 SLR_REGION_ID 0 TILEPROP CLK_TERM_X60Y0 TILE_PATTERN_IDX 3 TILEPROP CLK_TERM_X60Y0 TILE_TYPE CLK_TERM TILEPROP CLK_TERM_X60Y0 TILE_TYPE_INDEX 33 TILEPROP CLK_TERM_X60Y0 TILE_X 8776 TILEPROP CLK_TERM_X60Y0 TILE_Y -242872 TILEPROP CLK_TERM_X60Y0 TYPE CLK_TERM TILEPROP CLK_TERM_X60Y156 CLASS tile TILEPROP CLK_TERM_X60Y156 COLUMN 60 TILEPROP CLK_TERM_X60Y156 DEVICE_ID 0 TILEPROP CLK_TERM_X60Y156 FIRST_SITE_ID 0 TILEPROP CLK_TERM_X60Y156 GRID_POINT_X 60 TILEPROP CLK_TERM_X60Y156 GRID_POINT_Y 0 TILEPROP CLK_TERM_X60Y156 INDEX 60 TILEPROP CLK_TERM_X60Y156 INT_TILE_X -1 TILEPROP CLK_TERM_X60Y156 INT_TILE_Y -1 TILEPROP CLK_TERM_X60Y156 IS_CENTER_TILE 0 TILEPROP CLK_TERM_X60Y156 IS_DCM_TILE 0 TILEPROP CLK_TERM_X60Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CLK_TERM_X60Y156 IS_GT_SITE_TILE 0 TILEPROP CLK_TERM_X60Y156 NAME CLK_TERM_X60Y156 TILEPROP CLK_TERM_X60Y156 NUM_ARCS 0 TILEPROP CLK_TERM_X60Y156 NUM_SITES 0 TILEPROP CLK_TERM_X60Y156 ROW 0 TILEPROP CLK_TERM_X60Y156 SLR_REGION_ID 0 TILEPROP CLK_TERM_X60Y156 TILE_PATTERN_IDX 3 TILEPROP CLK_TERM_X60Y156 TILE_TYPE CLK_TERM TILEPROP CLK_TERM_X60Y156 TILE_TYPE_INDEX 33 TILEPROP CLK_TERM_X60Y156 TILE_X 8776 TILEPROP CLK_TERM_X60Y156 TILE_Y 243896 TILEPROP CLK_TERM_X60Y156 TYPE CLK_TERM TILEPROP CMT_FIFO_L_X107Y8 CLASS tile TILEPROP CMT_FIFO_L_X107Y8 COLUMN 107 TILEPROP CMT_FIFO_L_X107Y8 DEVICE_ID 0 TILEPROP CMT_FIFO_L_X107Y8 FIRST_SITE_ID 15074 TILEPROP CMT_FIFO_L_X107Y8 GRID_POINT_X 107 TILEPROP CMT_FIFO_L_X107Y8 GRID_POINT_Y 148 TILEPROP CMT_FIFO_L_X107Y8 INDEX 17127 TILEPROP CMT_FIFO_L_X107Y8 INT_TILE_X 41 TILEPROP CMT_FIFO_L_X107Y8 INT_TILE_Y 142 TILEPROP CMT_FIFO_L_X107Y8 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_L_X107Y8 IS_DCM_TILE 0 TILEPROP CMT_FIFO_L_X107Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y8 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y8 NAME CMT_FIFO_L_X107Y8 TILEPROP CMT_FIFO_L_X107Y8 NUM_ARCS 302 TILEPROP CMT_FIFO_L_X107Y8 NUM_SITES 2 TILEPROP CMT_FIFO_L_X107Y8 ROW 148 TILEPROP CMT_FIFO_L_X107Y8 SLR_REGION_ID 0 TILEPROP CMT_FIFO_L_X107Y8 TILE_PATTERN_IDX 3271 TILEPROP CMT_FIFO_L_X107Y8 TILE_TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y8 TILE_TYPE_INDEX 34 TILEPROP CMT_FIFO_L_X107Y8 TILE_X 97586 TILEPROP CMT_FIFO_L_X107Y8 TILE_Y -218872 TILEPROP CMT_FIFO_L_X107Y8 TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y20 CLASS tile TILEPROP CMT_FIFO_L_X107Y20 COLUMN 107 TILEPROP CMT_FIFO_L_X107Y20 DEVICE_ID 0 TILEPROP CMT_FIFO_L_X107Y20 FIRST_SITE_ID 13797 TILEPROP CMT_FIFO_L_X107Y20 GRID_POINT_X 107 TILEPROP CMT_FIFO_L_X107Y20 GRID_POINT_Y 136 TILEPROP CMT_FIFO_L_X107Y20 INDEX 15747 TILEPROP CMT_FIFO_L_X107Y20 INT_TILE_X 41 TILEPROP CMT_FIFO_L_X107Y20 INT_TILE_Y 130 TILEPROP CMT_FIFO_L_X107Y20 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_L_X107Y20 IS_DCM_TILE 0 TILEPROP CMT_FIFO_L_X107Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y20 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y20 NAME CMT_FIFO_L_X107Y20 TILEPROP CMT_FIFO_L_X107Y20 NUM_ARCS 302 TILEPROP CMT_FIFO_L_X107Y20 NUM_SITES 2 TILEPROP CMT_FIFO_L_X107Y20 ROW 136 TILEPROP CMT_FIFO_L_X107Y20 SLR_REGION_ID 0 TILEPROP CMT_FIFO_L_X107Y20 TILE_PATTERN_IDX 2833 TILEPROP CMT_FIFO_L_X107Y20 TILE_TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y20 TILE_TYPE_INDEX 34 TILEPROP CMT_FIFO_L_X107Y20 TILE_X 97586 TILEPROP CMT_FIFO_L_X107Y20 TILE_Y -180472 TILEPROP CMT_FIFO_L_X107Y20 TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y33 CLASS tile TILEPROP CMT_FIFO_L_X107Y33 COLUMN 107 TILEPROP CMT_FIFO_L_X107Y33 DEVICE_ID 0 TILEPROP CMT_FIFO_L_X107Y33 FIRST_SITE_ID 12440 TILEPROP CMT_FIFO_L_X107Y33 GRID_POINT_X 107 TILEPROP CMT_FIFO_L_X107Y33 GRID_POINT_Y 123 TILEPROP CMT_FIFO_L_X107Y33 INDEX 14252 TILEPROP CMT_FIFO_L_X107Y33 INT_TILE_X 41 TILEPROP CMT_FIFO_L_X107Y33 INT_TILE_Y 118 TILEPROP CMT_FIFO_L_X107Y33 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_L_X107Y33 IS_DCM_TILE 0 TILEPROP CMT_FIFO_L_X107Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y33 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y33 NAME CMT_FIFO_L_X107Y33 TILEPROP CMT_FIFO_L_X107Y33 NUM_ARCS 302 TILEPROP CMT_FIFO_L_X107Y33 NUM_SITES 2 TILEPROP CMT_FIFO_L_X107Y33 ROW 123 TILEPROP CMT_FIFO_L_X107Y33 SLR_REGION_ID 0 TILEPROP CMT_FIFO_L_X107Y33 TILE_PATTERN_IDX 2354 TILEPROP CMT_FIFO_L_X107Y33 TILE_TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y33 TILE_TYPE_INDEX 34 TILEPROP CMT_FIFO_L_X107Y33 TILE_X 97586 TILEPROP CMT_FIFO_L_X107Y33 TILE_Y -141048 TILEPROP CMT_FIFO_L_X107Y33 TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y45 CLASS tile TILEPROP CMT_FIFO_L_X107Y45 COLUMN 107 TILEPROP CMT_FIFO_L_X107Y45 DEVICE_ID 0 TILEPROP CMT_FIFO_L_X107Y45 FIRST_SITE_ID 11168 TILEPROP CMT_FIFO_L_X107Y45 GRID_POINT_X 107 TILEPROP CMT_FIFO_L_X107Y45 GRID_POINT_Y 111 TILEPROP CMT_FIFO_L_X107Y45 INDEX 12872 TILEPROP CMT_FIFO_L_X107Y45 INT_TILE_X 41 TILEPROP CMT_FIFO_L_X107Y45 INT_TILE_Y 106 TILEPROP CMT_FIFO_L_X107Y45 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_L_X107Y45 IS_DCM_TILE 0 TILEPROP CMT_FIFO_L_X107Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y45 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y45 NAME CMT_FIFO_L_X107Y45 TILEPROP CMT_FIFO_L_X107Y45 NUM_ARCS 302 TILEPROP CMT_FIFO_L_X107Y45 NUM_SITES 2 TILEPROP CMT_FIFO_L_X107Y45 ROW 111 TILEPROP CMT_FIFO_L_X107Y45 SLR_REGION_ID 0 TILEPROP CMT_FIFO_L_X107Y45 TILE_PATTERN_IDX 1914 TILEPROP CMT_FIFO_L_X107Y45 TILE_TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y45 TILE_TYPE_INDEX 34 TILEPROP CMT_FIFO_L_X107Y45 TILE_X 97586 TILEPROP CMT_FIFO_L_X107Y45 TILE_Y -102648 TILEPROP CMT_FIFO_L_X107Y45 TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y60 CLASS tile TILEPROP CMT_FIFO_L_X107Y60 COLUMN 107 TILEPROP CMT_FIFO_L_X107Y60 DEVICE_ID 0 TILEPROP CMT_FIFO_L_X107Y60 FIRST_SITE_ID 9686 TILEPROP CMT_FIFO_L_X107Y60 GRID_POINT_X 107 TILEPROP CMT_FIFO_L_X107Y60 GRID_POINT_Y 96 TILEPROP CMT_FIFO_L_X107Y60 INDEX 11147 TILEPROP CMT_FIFO_L_X107Y60 INT_TILE_X 41 TILEPROP CMT_FIFO_L_X107Y60 INT_TILE_Y 92 TILEPROP CMT_FIFO_L_X107Y60 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_L_X107Y60 IS_DCM_TILE 0 TILEPROP CMT_FIFO_L_X107Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y60 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y60 NAME CMT_FIFO_L_X107Y60 TILEPROP CMT_FIFO_L_X107Y60 NUM_ARCS 302 TILEPROP CMT_FIFO_L_X107Y60 NUM_SITES 2 TILEPROP CMT_FIFO_L_X107Y60 ROW 96 TILEPROP CMT_FIFO_L_X107Y60 SLR_REGION_ID 0 TILEPROP CMT_FIFO_L_X107Y60 TILE_PATTERN_IDX 3271 TILEPROP CMT_FIFO_L_X107Y60 TILE_TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y60 TILE_TYPE_INDEX 34 TILEPROP CMT_FIFO_L_X107Y60 TILE_X 97586 TILEPROP CMT_FIFO_L_X107Y60 TILE_Y -57600 TILEPROP CMT_FIFO_L_X107Y60 TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y72 CLASS tile TILEPROP CMT_FIFO_L_X107Y72 COLUMN 107 TILEPROP CMT_FIFO_L_X107Y72 DEVICE_ID 0 TILEPROP CMT_FIFO_L_X107Y72 FIRST_SITE_ID 8410 TILEPROP CMT_FIFO_L_X107Y72 GRID_POINT_X 107 TILEPROP CMT_FIFO_L_X107Y72 GRID_POINT_Y 84 TILEPROP CMT_FIFO_L_X107Y72 INDEX 9767 TILEPROP CMT_FIFO_L_X107Y72 INT_TILE_X 41 TILEPROP CMT_FIFO_L_X107Y72 INT_TILE_Y 80 TILEPROP CMT_FIFO_L_X107Y72 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_L_X107Y72 IS_DCM_TILE 0 TILEPROP CMT_FIFO_L_X107Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y72 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y72 NAME CMT_FIFO_L_X107Y72 TILEPROP CMT_FIFO_L_X107Y72 NUM_ARCS 302 TILEPROP CMT_FIFO_L_X107Y72 NUM_SITES 2 TILEPROP CMT_FIFO_L_X107Y72 ROW 84 TILEPROP CMT_FIFO_L_X107Y72 SLR_REGION_ID 0 TILEPROP CMT_FIFO_L_X107Y72 TILE_PATTERN_IDX 2833 TILEPROP CMT_FIFO_L_X107Y72 TILE_TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y72 TILE_TYPE_INDEX 34 TILEPROP CMT_FIFO_L_X107Y72 TILE_X 97586 TILEPROP CMT_FIFO_L_X107Y72 TILE_Y -19200 TILEPROP CMT_FIFO_L_X107Y72 TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y85 CLASS tile TILEPROP CMT_FIFO_L_X107Y85 COLUMN 107 TILEPROP CMT_FIFO_L_X107Y85 DEVICE_ID 0 TILEPROP CMT_FIFO_L_X107Y85 FIRST_SITE_ID 7063 TILEPROP CMT_FIFO_L_X107Y85 GRID_POINT_X 107 TILEPROP CMT_FIFO_L_X107Y85 GRID_POINT_Y 71 TILEPROP CMT_FIFO_L_X107Y85 INDEX 8272 TILEPROP CMT_FIFO_L_X107Y85 INT_TILE_X 41 TILEPROP CMT_FIFO_L_X107Y85 INT_TILE_Y 68 TILEPROP CMT_FIFO_L_X107Y85 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_L_X107Y85 IS_DCM_TILE 0 TILEPROP CMT_FIFO_L_X107Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y85 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y85 NAME CMT_FIFO_L_X107Y85 TILEPROP CMT_FIFO_L_X107Y85 NUM_ARCS 302 TILEPROP CMT_FIFO_L_X107Y85 NUM_SITES 2 TILEPROP CMT_FIFO_L_X107Y85 ROW 71 TILEPROP CMT_FIFO_L_X107Y85 SLR_REGION_ID 0 TILEPROP CMT_FIFO_L_X107Y85 TILE_PATTERN_IDX 2354 TILEPROP CMT_FIFO_L_X107Y85 TILE_TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y85 TILE_TYPE_INDEX 34 TILEPROP CMT_FIFO_L_X107Y85 TILE_X 97586 TILEPROP CMT_FIFO_L_X107Y85 TILE_Y 20224 TILEPROP CMT_FIFO_L_X107Y85 TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y97 CLASS tile TILEPROP CMT_FIFO_L_X107Y97 COLUMN 107 TILEPROP CMT_FIFO_L_X107Y97 DEVICE_ID 0 TILEPROP CMT_FIFO_L_X107Y97 FIRST_SITE_ID 5795 TILEPROP CMT_FIFO_L_X107Y97 GRID_POINT_X 107 TILEPROP CMT_FIFO_L_X107Y97 GRID_POINT_Y 59 TILEPROP CMT_FIFO_L_X107Y97 INDEX 6892 TILEPROP CMT_FIFO_L_X107Y97 INT_TILE_X 41 TILEPROP CMT_FIFO_L_X107Y97 INT_TILE_Y 56 TILEPROP CMT_FIFO_L_X107Y97 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_L_X107Y97 IS_DCM_TILE 0 TILEPROP CMT_FIFO_L_X107Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y97 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_L_X107Y97 NAME CMT_FIFO_L_X107Y97 TILEPROP CMT_FIFO_L_X107Y97 NUM_ARCS 302 TILEPROP CMT_FIFO_L_X107Y97 NUM_SITES 2 TILEPROP CMT_FIFO_L_X107Y97 ROW 59 TILEPROP CMT_FIFO_L_X107Y97 SLR_REGION_ID 0 TILEPROP CMT_FIFO_L_X107Y97 TILE_PATTERN_IDX 1914 TILEPROP CMT_FIFO_L_X107Y97 TILE_TYPE CMT_FIFO_L TILEPROP CMT_FIFO_L_X107Y97 TILE_TYPE_INDEX 34 TILEPROP CMT_FIFO_L_X107Y97 TILE_X 97586 TILEPROP CMT_FIFO_L_X107Y97 TILE_Y 58624 TILEPROP CMT_FIFO_L_X107Y97 TYPE CMT_FIFO_L TILEPROP CMT_FIFO_R_X7Y8 CLASS tile TILEPROP CMT_FIFO_R_X7Y8 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y8 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y8 FIRST_SITE_ID 14984 TILEPROP CMT_FIFO_R_X7Y8 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y8 GRID_POINT_Y 148 TILEPROP CMT_FIFO_R_X7Y8 INDEX 17027 TILEPROP CMT_FIFO_R_X7Y8 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y8 INT_TILE_Y 142 TILEPROP CMT_FIFO_R_X7Y8 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y8 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y8 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y8 NAME CMT_FIFO_R_X7Y8 TILEPROP CMT_FIFO_R_X7Y8 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y8 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y8 ROW 148 TILEPROP CMT_FIFO_R_X7Y8 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y8 TILE_PATTERN_IDX 1391 TILEPROP CMT_FIFO_R_X7Y8 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y8 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y8 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y8 TILE_Y -218872 TILEPROP CMT_FIFO_R_X7Y8 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y20 CLASS tile TILEPROP CMT_FIFO_R_X7Y20 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y20 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y20 FIRST_SITE_ID 13707 TILEPROP CMT_FIFO_R_X7Y20 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y20 GRID_POINT_Y 136 TILEPROP CMT_FIFO_R_X7Y20 INDEX 15647 TILEPROP CMT_FIFO_R_X7Y20 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y20 INT_TILE_Y 130 TILEPROP CMT_FIFO_R_X7Y20 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y20 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y20 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y20 NAME CMT_FIFO_R_X7Y20 TILEPROP CMT_FIFO_R_X7Y20 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y20 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y20 ROW 136 TILEPROP CMT_FIFO_R_X7Y20 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y20 TILE_PATTERN_IDX 1035 TILEPROP CMT_FIFO_R_X7Y20 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y20 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y20 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y20 TILE_Y -180472 TILEPROP CMT_FIFO_R_X7Y20 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y33 CLASS tile TILEPROP CMT_FIFO_R_X7Y33 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y33 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y33 FIRST_SITE_ID 12350 TILEPROP CMT_FIFO_R_X7Y33 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y33 GRID_POINT_Y 123 TILEPROP CMT_FIFO_R_X7Y33 INDEX 14152 TILEPROP CMT_FIFO_R_X7Y33 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y33 INT_TILE_Y 118 TILEPROP CMT_FIFO_R_X7Y33 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y33 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y33 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y33 NAME CMT_FIFO_R_X7Y33 TILEPROP CMT_FIFO_R_X7Y33 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y33 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y33 ROW 123 TILEPROP CMT_FIFO_R_X7Y33 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y33 TILE_PATTERN_IDX 603 TILEPROP CMT_FIFO_R_X7Y33 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y33 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y33 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y33 TILE_Y -141048 TILEPROP CMT_FIFO_R_X7Y33 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y45 CLASS tile TILEPROP CMT_FIFO_R_X7Y45 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y45 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y45 FIRST_SITE_ID 11078 TILEPROP CMT_FIFO_R_X7Y45 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y45 GRID_POINT_Y 111 TILEPROP CMT_FIFO_R_X7Y45 INDEX 12772 TILEPROP CMT_FIFO_R_X7Y45 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y45 INT_TILE_Y 106 TILEPROP CMT_FIFO_R_X7Y45 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y45 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y45 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y45 NAME CMT_FIFO_R_X7Y45 TILEPROP CMT_FIFO_R_X7Y45 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y45 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y45 ROW 111 TILEPROP CMT_FIFO_R_X7Y45 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y45 TILE_PATTERN_IDX 232 TILEPROP CMT_FIFO_R_X7Y45 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y45 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y45 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y45 TILE_Y -102648 TILEPROP CMT_FIFO_R_X7Y45 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y60 CLASS tile TILEPROP CMT_FIFO_R_X7Y60 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y60 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y60 FIRST_SITE_ID 9596 TILEPROP CMT_FIFO_R_X7Y60 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y60 GRID_POINT_Y 96 TILEPROP CMT_FIFO_R_X7Y60 INDEX 11047 TILEPROP CMT_FIFO_R_X7Y60 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y60 INT_TILE_Y 92 TILEPROP CMT_FIFO_R_X7Y60 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y60 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y60 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y60 NAME CMT_FIFO_R_X7Y60 TILEPROP CMT_FIFO_R_X7Y60 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y60 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y60 ROW 96 TILEPROP CMT_FIFO_R_X7Y60 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y60 TILE_PATTERN_IDX 1391 TILEPROP CMT_FIFO_R_X7Y60 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y60 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y60 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y60 TILE_Y -57600 TILEPROP CMT_FIFO_R_X7Y60 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y72 CLASS tile TILEPROP CMT_FIFO_R_X7Y72 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y72 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y72 FIRST_SITE_ID 8320 TILEPROP CMT_FIFO_R_X7Y72 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y72 GRID_POINT_Y 84 TILEPROP CMT_FIFO_R_X7Y72 INDEX 9667 TILEPROP CMT_FIFO_R_X7Y72 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y72 INT_TILE_Y 80 TILEPROP CMT_FIFO_R_X7Y72 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y72 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y72 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y72 NAME CMT_FIFO_R_X7Y72 TILEPROP CMT_FIFO_R_X7Y72 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y72 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y72 ROW 84 TILEPROP CMT_FIFO_R_X7Y72 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y72 TILE_PATTERN_IDX 1035 TILEPROP CMT_FIFO_R_X7Y72 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y72 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y72 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y72 TILE_Y -19200 TILEPROP CMT_FIFO_R_X7Y72 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y85 CLASS tile TILEPROP CMT_FIFO_R_X7Y85 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y85 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y85 FIRST_SITE_ID 6973 TILEPROP CMT_FIFO_R_X7Y85 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y85 GRID_POINT_Y 71 TILEPROP CMT_FIFO_R_X7Y85 INDEX 8172 TILEPROP CMT_FIFO_R_X7Y85 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y85 INT_TILE_Y 68 TILEPROP CMT_FIFO_R_X7Y85 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y85 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y85 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y85 NAME CMT_FIFO_R_X7Y85 TILEPROP CMT_FIFO_R_X7Y85 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y85 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y85 ROW 71 TILEPROP CMT_FIFO_R_X7Y85 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y85 TILE_PATTERN_IDX 603 TILEPROP CMT_FIFO_R_X7Y85 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y85 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y85 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y85 TILE_Y 20224 TILEPROP CMT_FIFO_R_X7Y85 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y97 CLASS tile TILEPROP CMT_FIFO_R_X7Y97 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y97 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y97 FIRST_SITE_ID 5705 TILEPROP CMT_FIFO_R_X7Y97 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y97 GRID_POINT_Y 59 TILEPROP CMT_FIFO_R_X7Y97 INDEX 6792 TILEPROP CMT_FIFO_R_X7Y97 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y97 INT_TILE_Y 56 TILEPROP CMT_FIFO_R_X7Y97 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y97 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y97 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y97 NAME CMT_FIFO_R_X7Y97 TILEPROP CMT_FIFO_R_X7Y97 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y97 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y97 ROW 59 TILEPROP CMT_FIFO_R_X7Y97 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y97 TILE_PATTERN_IDX 232 TILEPROP CMT_FIFO_R_X7Y97 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y97 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y97 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y97 TILE_Y 58624 TILEPROP CMT_FIFO_R_X7Y97 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y112 CLASS tile TILEPROP CMT_FIFO_R_X7Y112 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y112 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y112 FIRST_SITE_ID 4333 TILEPROP CMT_FIFO_R_X7Y112 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y112 GRID_POINT_Y 44 TILEPROP CMT_FIFO_R_X7Y112 INDEX 5067 TILEPROP CMT_FIFO_R_X7Y112 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y112 INT_TILE_Y 42 TILEPROP CMT_FIFO_R_X7Y112 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y112 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y112 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y112 NAME CMT_FIFO_R_X7Y112 TILEPROP CMT_FIFO_R_X7Y112 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y112 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y112 ROW 44 TILEPROP CMT_FIFO_R_X7Y112 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y112 TILE_PATTERN_IDX 1391 TILEPROP CMT_FIFO_R_X7Y112 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y112 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y112 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y112 TILE_Y 103672 TILEPROP CMT_FIFO_R_X7Y112 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y124 CLASS tile TILEPROP CMT_FIFO_R_X7Y124 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y124 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y124 FIRST_SITE_ID 3166 TILEPROP CMT_FIFO_R_X7Y124 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y124 GRID_POINT_Y 32 TILEPROP CMT_FIFO_R_X7Y124 INDEX 3687 TILEPROP CMT_FIFO_R_X7Y124 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y124 INT_TILE_Y 30 TILEPROP CMT_FIFO_R_X7Y124 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y124 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y124 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y124 NAME CMT_FIFO_R_X7Y124 TILEPROP CMT_FIFO_R_X7Y124 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y124 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y124 ROW 32 TILEPROP CMT_FIFO_R_X7Y124 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y124 TILE_PATTERN_IDX 1035 TILEPROP CMT_FIFO_R_X7Y124 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y124 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y124 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y124 TILE_Y 142072 TILEPROP CMT_FIFO_R_X7Y124 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y137 CLASS tile TILEPROP CMT_FIFO_R_X7Y137 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y137 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y137 FIRST_SITE_ID 1887 TILEPROP CMT_FIFO_R_X7Y137 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y137 GRID_POINT_Y 19 TILEPROP CMT_FIFO_R_X7Y137 INDEX 2192 TILEPROP CMT_FIFO_R_X7Y137 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y137 INT_TILE_Y 18 TILEPROP CMT_FIFO_R_X7Y137 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y137 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y137 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y137 NAME CMT_FIFO_R_X7Y137 TILEPROP CMT_FIFO_R_X7Y137 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y137 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y137 ROW 19 TILEPROP CMT_FIFO_R_X7Y137 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y137 TILE_PATTERN_IDX 603 TILEPROP CMT_FIFO_R_X7Y137 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y137 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y137 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y137 TILE_Y 181496 TILEPROP CMT_FIFO_R_X7Y137 TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y149 CLASS tile TILEPROP CMT_FIFO_R_X7Y149 COLUMN 7 TILEPROP CMT_FIFO_R_X7Y149 DEVICE_ID 0 TILEPROP CMT_FIFO_R_X7Y149 FIRST_SITE_ID 623 TILEPROP CMT_FIFO_R_X7Y149 GRID_POINT_X 7 TILEPROP CMT_FIFO_R_X7Y149 GRID_POINT_Y 7 TILEPROP CMT_FIFO_R_X7Y149 INDEX 812 TILEPROP CMT_FIFO_R_X7Y149 INT_TILE_X 1 TILEPROP CMT_FIFO_R_X7Y149 INT_TILE_Y 6 TILEPROP CMT_FIFO_R_X7Y149 IS_CENTER_TILE 0 TILEPROP CMT_FIFO_R_X7Y149 IS_DCM_TILE 0 TILEPROP CMT_FIFO_R_X7Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y149 IS_GT_SITE_TILE 0 TILEPROP CMT_FIFO_R_X7Y149 NAME CMT_FIFO_R_X7Y149 TILEPROP CMT_FIFO_R_X7Y149 NUM_ARCS 302 TILEPROP CMT_FIFO_R_X7Y149 NUM_SITES 2 TILEPROP CMT_FIFO_R_X7Y149 ROW 7 TILEPROP CMT_FIFO_R_X7Y149 SLR_REGION_ID 0 TILEPROP CMT_FIFO_R_X7Y149 TILE_PATTERN_IDX 232 TILEPROP CMT_FIFO_R_X7Y149 TILE_TYPE CMT_FIFO_R TILEPROP CMT_FIFO_R_X7Y149 TILE_TYPE_INDEX 35 TILEPROP CMT_FIFO_R_X7Y149 TILE_X -91570 TILEPROP CMT_FIFO_R_X7Y149 TILE_Y 219896 TILEPROP CMT_FIFO_R_X7Y149 TYPE CMT_FIFO_R TILEPROP CMT_PMV_L_X107Y1 CLASS tile TILEPROP CMT_PMV_L_X107Y1 COLUMN 107 TILEPROP CMT_PMV_L_X107Y1 DEVICE_ID 0 TILEPROP CMT_PMV_L_X107Y1 FIRST_SITE_ID 15811 TILEPROP CMT_PMV_L_X107Y1 GRID_POINT_X 107 TILEPROP CMT_PMV_L_X107Y1 GRID_POINT_Y 155 TILEPROP CMT_PMV_L_X107Y1 INDEX 17932 TILEPROP CMT_PMV_L_X107Y1 INT_TILE_X 41 TILEPROP CMT_PMV_L_X107Y1 INT_TILE_Y 149 TILEPROP CMT_PMV_L_X107Y1 IS_CENTER_TILE 0 TILEPROP CMT_PMV_L_X107Y1 IS_DCM_TILE 0 TILEPROP CMT_PMV_L_X107Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_L_X107Y1 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_L_X107Y1 NAME CMT_PMV_L_X107Y1 TILEPROP CMT_PMV_L_X107Y1 NUM_ARCS 0 TILEPROP CMT_PMV_L_X107Y1 NUM_SITES 0 TILEPROP CMT_PMV_L_X107Y1 ROW 155 TILEPROP CMT_PMV_L_X107Y1 SLR_REGION_ID 0 TILEPROP CMT_PMV_L_X107Y1 TILE_PATTERN_IDX 1681 TILEPROP CMT_PMV_L_X107Y1 TILE_TYPE CMT_PMV_L TILEPROP CMT_PMV_L_X107Y1 TILE_TYPE_INDEX 37 TILEPROP CMT_PMV_L_X107Y1 TILE_X 97586 TILEPROP CMT_PMV_L_X107Y1 TILE_Y -239672 TILEPROP CMT_PMV_L_X107Y1 TYPE CMT_PMV_L TILEPROP CMT_PMV_L_X107Y51 CLASS tile TILEPROP CMT_PMV_L_X107Y51 COLUMN 107 TILEPROP CMT_PMV_L_X107Y51 DEVICE_ID 0 TILEPROP CMT_PMV_L_X107Y51 FIRST_SITE_ID 10538 TILEPROP CMT_PMV_L_X107Y51 GRID_POINT_X 107 TILEPROP CMT_PMV_L_X107Y51 GRID_POINT_Y 105 TILEPROP CMT_PMV_L_X107Y51 INDEX 12182 TILEPROP CMT_PMV_L_X107Y51 INT_TILE_X 41 TILEPROP CMT_PMV_L_X107Y51 INT_TILE_Y 100 TILEPROP CMT_PMV_L_X107Y51 IS_CENTER_TILE 0 TILEPROP CMT_PMV_L_X107Y51 IS_DCM_TILE 0 TILEPROP CMT_PMV_L_X107Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_L_X107Y51 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_L_X107Y51 NAME CMT_PMV_L_X107Y51 TILEPROP CMT_PMV_L_X107Y51 NUM_ARCS 0 TILEPROP CMT_PMV_L_X107Y51 NUM_SITES 0 TILEPROP CMT_PMV_L_X107Y51 ROW 105 TILEPROP CMT_PMV_L_X107Y51 SLR_REGION_ID 0 TILEPROP CMT_PMV_L_X107Y51 TILE_PATTERN_IDX 1681 TILEPROP CMT_PMV_L_X107Y51 TILE_TYPE CMT_PMV_L TILEPROP CMT_PMV_L_X107Y51 TILE_TYPE_INDEX 37 TILEPROP CMT_PMV_L_X107Y51 TILE_X 97586 TILEPROP CMT_PMV_L_X107Y51 TILE_Y -81848 TILEPROP CMT_PMV_L_X107Y51 TYPE CMT_PMV_L TILEPROP CMT_PMV_L_X107Y53 CLASS tile TILEPROP CMT_PMV_L_X107Y53 COLUMN 107 TILEPROP CMT_PMV_L_X107Y53 DEVICE_ID 0 TILEPROP CMT_PMV_L_X107Y53 FIRST_SITE_ID 10438 TILEPROP CMT_PMV_L_X107Y53 GRID_POINT_X 107 TILEPROP CMT_PMV_L_X107Y53 GRID_POINT_Y 103 TILEPROP CMT_PMV_L_X107Y53 INDEX 11952 TILEPROP CMT_PMV_L_X107Y53 INT_TILE_X 41 TILEPROP CMT_PMV_L_X107Y53 INT_TILE_Y 99 TILEPROP CMT_PMV_L_X107Y53 IS_CENTER_TILE 0 TILEPROP CMT_PMV_L_X107Y53 IS_DCM_TILE 0 TILEPROP CMT_PMV_L_X107Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_L_X107Y53 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_L_X107Y53 NAME CMT_PMV_L_X107Y53 TILEPROP CMT_PMV_L_X107Y53 NUM_ARCS 0 TILEPROP CMT_PMV_L_X107Y53 NUM_SITES 0 TILEPROP CMT_PMV_L_X107Y53 ROW 103 TILEPROP CMT_PMV_L_X107Y53 SLR_REGION_ID 0 TILEPROP CMT_PMV_L_X107Y53 TILE_PATTERN_IDX 1681 TILEPROP CMT_PMV_L_X107Y53 TILE_TYPE CMT_PMV_L TILEPROP CMT_PMV_L_X107Y53 TILE_TYPE_INDEX 37 TILEPROP CMT_PMV_L_X107Y53 TILE_X 97586 TILEPROP CMT_PMV_L_X107Y53 TILE_Y -78400 TILEPROP CMT_PMV_L_X107Y53 TYPE CMT_PMV_L TILEPROP CMT_PMV_L_X107Y103 CLASS tile TILEPROP CMT_PMV_L_X107Y103 COLUMN 107 TILEPROP CMT_PMV_L_X107Y103 DEVICE_ID 0 TILEPROP CMT_PMV_L_X107Y103 FIRST_SITE_ID 5182 TILEPROP CMT_PMV_L_X107Y103 GRID_POINT_X 107 TILEPROP CMT_PMV_L_X107Y103 GRID_POINT_Y 53 TILEPROP CMT_PMV_L_X107Y103 INDEX 6202 TILEPROP CMT_PMV_L_X107Y103 INT_TILE_X 41 TILEPROP CMT_PMV_L_X107Y103 INT_TILE_Y 50 TILEPROP CMT_PMV_L_X107Y103 IS_CENTER_TILE 0 TILEPROP CMT_PMV_L_X107Y103 IS_DCM_TILE 0 TILEPROP CMT_PMV_L_X107Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_L_X107Y103 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_L_X107Y103 NAME CMT_PMV_L_X107Y103 TILEPROP CMT_PMV_L_X107Y103 NUM_ARCS 0 TILEPROP CMT_PMV_L_X107Y103 NUM_SITES 0 TILEPROP CMT_PMV_L_X107Y103 ROW 53 TILEPROP CMT_PMV_L_X107Y103 SLR_REGION_ID 0 TILEPROP CMT_PMV_L_X107Y103 TILE_PATTERN_IDX 1681 TILEPROP CMT_PMV_L_X107Y103 TILE_TYPE CMT_PMV_L TILEPROP CMT_PMV_L_X107Y103 TILE_TYPE_INDEX 37 TILEPROP CMT_PMV_L_X107Y103 TILE_X 97586 TILEPROP CMT_PMV_L_X107Y103 TILE_Y 79424 TILEPROP CMT_PMV_L_X107Y103 TYPE CMT_PMV_L TILEPROP CMT_PMV_X7Y1 CLASS tile TILEPROP CMT_PMV_X7Y1 COLUMN 7 TILEPROP CMT_PMV_X7Y1 DEVICE_ID 0 TILEPROP CMT_PMV_X7Y1 FIRST_SITE_ID 15708 TILEPROP CMT_PMV_X7Y1 GRID_POINT_X 7 TILEPROP CMT_PMV_X7Y1 GRID_POINT_Y 155 TILEPROP CMT_PMV_X7Y1 INDEX 17832 TILEPROP CMT_PMV_X7Y1 INT_TILE_X 1 TILEPROP CMT_PMV_X7Y1 INT_TILE_Y 149 TILEPROP CMT_PMV_X7Y1 IS_CENTER_TILE 0 TILEPROP CMT_PMV_X7Y1 IS_DCM_TILE 0 TILEPROP CMT_PMV_X7Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_X7Y1 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_X7Y1 NAME CMT_PMV_X7Y1 TILEPROP CMT_PMV_X7Y1 NUM_ARCS 0 TILEPROP CMT_PMV_X7Y1 NUM_SITES 0 TILEPROP CMT_PMV_X7Y1 ROW 155 TILEPROP CMT_PMV_X7Y1 SLR_REGION_ID 0 TILEPROP CMT_PMV_X7Y1 TILE_PATTERN_IDX 11 TILEPROP CMT_PMV_X7Y1 TILE_TYPE CMT_PMV TILEPROP CMT_PMV_X7Y1 TILE_TYPE_INDEX 36 TILEPROP CMT_PMV_X7Y1 TILE_X -91570 TILEPROP CMT_PMV_X7Y1 TILE_Y -239672 TILEPROP CMT_PMV_X7Y1 TYPE CMT_PMV TILEPROP CMT_PMV_X7Y51 CLASS tile TILEPROP CMT_PMV_X7Y51 COLUMN 7 TILEPROP CMT_PMV_X7Y51 DEVICE_ID 0 TILEPROP CMT_PMV_X7Y51 FIRST_SITE_ID 10450 TILEPROP CMT_PMV_X7Y51 GRID_POINT_X 7 TILEPROP CMT_PMV_X7Y51 GRID_POINT_Y 105 TILEPROP CMT_PMV_X7Y51 INDEX 12082 TILEPROP CMT_PMV_X7Y51 INT_TILE_X 1 TILEPROP CMT_PMV_X7Y51 INT_TILE_Y 100 TILEPROP CMT_PMV_X7Y51 IS_CENTER_TILE 0 TILEPROP CMT_PMV_X7Y51 IS_DCM_TILE 0 TILEPROP CMT_PMV_X7Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_X7Y51 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_X7Y51 NAME CMT_PMV_X7Y51 TILEPROP CMT_PMV_X7Y51 NUM_ARCS 0 TILEPROP CMT_PMV_X7Y51 NUM_SITES 0 TILEPROP CMT_PMV_X7Y51 ROW 105 TILEPROP CMT_PMV_X7Y51 SLR_REGION_ID 0 TILEPROP CMT_PMV_X7Y51 TILE_PATTERN_IDX 11 TILEPROP CMT_PMV_X7Y51 TILE_TYPE CMT_PMV TILEPROP CMT_PMV_X7Y51 TILE_TYPE_INDEX 36 TILEPROP CMT_PMV_X7Y51 TILE_X -91570 TILEPROP CMT_PMV_X7Y51 TILE_Y -81848 TILEPROP CMT_PMV_X7Y51 TYPE CMT_PMV TILEPROP CMT_PMV_X7Y53 CLASS tile TILEPROP CMT_PMV_X7Y53 COLUMN 7 TILEPROP CMT_PMV_X7Y53 DEVICE_ID 0 TILEPROP CMT_PMV_X7Y53 FIRST_SITE_ID 10319 TILEPROP CMT_PMV_X7Y53 GRID_POINT_X 7 TILEPROP CMT_PMV_X7Y53 GRID_POINT_Y 103 TILEPROP CMT_PMV_X7Y53 INDEX 11852 TILEPROP CMT_PMV_X7Y53 INT_TILE_X 1 TILEPROP CMT_PMV_X7Y53 INT_TILE_Y 99 TILEPROP CMT_PMV_X7Y53 IS_CENTER_TILE 0 TILEPROP CMT_PMV_X7Y53 IS_DCM_TILE 0 TILEPROP CMT_PMV_X7Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_X7Y53 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_X7Y53 NAME CMT_PMV_X7Y53 TILEPROP CMT_PMV_X7Y53 NUM_ARCS 0 TILEPROP CMT_PMV_X7Y53 NUM_SITES 0 TILEPROP CMT_PMV_X7Y53 ROW 103 TILEPROP CMT_PMV_X7Y53 SLR_REGION_ID 0 TILEPROP CMT_PMV_X7Y53 TILE_PATTERN_IDX 11 TILEPROP CMT_PMV_X7Y53 TILE_TYPE CMT_PMV TILEPROP CMT_PMV_X7Y53 TILE_TYPE_INDEX 36 TILEPROP CMT_PMV_X7Y53 TILE_X -91570 TILEPROP CMT_PMV_X7Y53 TILE_Y -78400 TILEPROP CMT_PMV_X7Y53 TYPE CMT_PMV TILEPROP CMT_PMV_X7Y103 CLASS tile TILEPROP CMT_PMV_X7Y103 COLUMN 7 TILEPROP CMT_PMV_X7Y103 DEVICE_ID 0 TILEPROP CMT_PMV_X7Y103 FIRST_SITE_ID 5094 TILEPROP CMT_PMV_X7Y103 GRID_POINT_X 7 TILEPROP CMT_PMV_X7Y103 GRID_POINT_Y 53 TILEPROP CMT_PMV_X7Y103 INDEX 6102 TILEPROP CMT_PMV_X7Y103 INT_TILE_X 1 TILEPROP CMT_PMV_X7Y103 INT_TILE_Y 50 TILEPROP CMT_PMV_X7Y103 IS_CENTER_TILE 0 TILEPROP CMT_PMV_X7Y103 IS_DCM_TILE 0 TILEPROP CMT_PMV_X7Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_X7Y103 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_X7Y103 NAME CMT_PMV_X7Y103 TILEPROP CMT_PMV_X7Y103 NUM_ARCS 0 TILEPROP CMT_PMV_X7Y103 NUM_SITES 0 TILEPROP CMT_PMV_X7Y103 ROW 53 TILEPROP CMT_PMV_X7Y103 SLR_REGION_ID 0 TILEPROP CMT_PMV_X7Y103 TILE_PATTERN_IDX 11 TILEPROP CMT_PMV_X7Y103 TILE_TYPE CMT_PMV TILEPROP CMT_PMV_X7Y103 TILE_TYPE_INDEX 36 TILEPROP CMT_PMV_X7Y103 TILE_X -91570 TILEPROP CMT_PMV_X7Y103 TILE_Y 79424 TILEPROP CMT_PMV_X7Y103 TYPE CMT_PMV TILEPROP CMT_PMV_X7Y105 CLASS tile TILEPROP CMT_PMV_X7Y105 COLUMN 7 TILEPROP CMT_PMV_X7Y105 DEVICE_ID 0 TILEPROP CMT_PMV_X7Y105 FIRST_SITE_ID 4993 TILEPROP CMT_PMV_X7Y105 GRID_POINT_X 7 TILEPROP CMT_PMV_X7Y105 GRID_POINT_Y 51 TILEPROP CMT_PMV_X7Y105 INDEX 5872 TILEPROP CMT_PMV_X7Y105 INT_TILE_X 1 TILEPROP CMT_PMV_X7Y105 INT_TILE_Y 49 TILEPROP CMT_PMV_X7Y105 IS_CENTER_TILE 0 TILEPROP CMT_PMV_X7Y105 IS_DCM_TILE 0 TILEPROP CMT_PMV_X7Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_X7Y105 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_X7Y105 NAME CMT_PMV_X7Y105 TILEPROP CMT_PMV_X7Y105 NUM_ARCS 0 TILEPROP CMT_PMV_X7Y105 NUM_SITES 0 TILEPROP CMT_PMV_X7Y105 ROW 51 TILEPROP CMT_PMV_X7Y105 SLR_REGION_ID 0 TILEPROP CMT_PMV_X7Y105 TILE_PATTERN_IDX 11 TILEPROP CMT_PMV_X7Y105 TILE_TYPE CMT_PMV TILEPROP CMT_PMV_X7Y105 TILE_TYPE_INDEX 36 TILEPROP CMT_PMV_X7Y105 TILE_X -91570 TILEPROP CMT_PMV_X7Y105 TILE_Y 82872 TILEPROP CMT_PMV_X7Y105 TYPE CMT_PMV TILEPROP CMT_PMV_X7Y155 CLASS tile TILEPROP CMT_PMV_X7Y155 COLUMN 7 TILEPROP CMT_PMV_X7Y155 DEVICE_ID 0 TILEPROP CMT_PMV_X7Y155 FIRST_SITE_ID 6 TILEPROP CMT_PMV_X7Y155 GRID_POINT_X 7 TILEPROP CMT_PMV_X7Y155 GRID_POINT_Y 1 TILEPROP CMT_PMV_X7Y155 INDEX 122 TILEPROP CMT_PMV_X7Y155 INT_TILE_X 1 TILEPROP CMT_PMV_X7Y155 INT_TILE_Y 0 TILEPROP CMT_PMV_X7Y155 IS_CENTER_TILE 0 TILEPROP CMT_PMV_X7Y155 IS_DCM_TILE 0 TILEPROP CMT_PMV_X7Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_PMV_X7Y155 IS_GT_SITE_TILE 0 TILEPROP CMT_PMV_X7Y155 NAME CMT_PMV_X7Y155 TILEPROP CMT_PMV_X7Y155 NUM_ARCS 0 TILEPROP CMT_PMV_X7Y155 NUM_SITES 0 TILEPROP CMT_PMV_X7Y155 ROW 1 TILEPROP CMT_PMV_X7Y155 SLR_REGION_ID 0 TILEPROP CMT_PMV_X7Y155 TILE_PATTERN_IDX 11 TILEPROP CMT_PMV_X7Y155 TILE_TYPE CMT_PMV TILEPROP CMT_PMV_X7Y155 TILE_TYPE_INDEX 36 TILEPROP CMT_PMV_X7Y155 TILE_X -91570 TILEPROP CMT_PMV_X7Y155 TILE_Y 240696 TILEPROP CMT_PMV_X7Y155 TYPE CMT_PMV TILEPROP CMT_TOP_L_LOWER_B_X106Y9 CLASS tile TILEPROP CMT_TOP_L_LOWER_B_X106Y9 COLUMN 106 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 DEVICE_ID 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 FIRST_SITE_ID 14971 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 GRID_POINT_X 106 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 GRID_POINT_Y 147 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 INDEX 17011 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 INT_TILE_X 41 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 INT_TILE_Y 141 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 IS_CENTER_TILE 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 IS_DCM_TILE 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 NAME CMT_TOP_L_LOWER_B_X106Y9 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 NUM_ARCS 295 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 NUM_SITES 1 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 ROW 147 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 SLR_REGION_ID 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 TILE_PATTERN_IDX 3234 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 TILE_TYPE CMT_TOP_L_LOWER_B TILEPROP CMT_TOP_L_LOWER_B_X106Y9 TILE_TYPE_INDEX 38 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 TILE_X 95438 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 TILE_Y -215672 TILEPROP CMT_TOP_L_LOWER_B_X106Y9 TYPE CMT_TOP_L_LOWER_B TILEPROP CMT_TOP_L_LOWER_B_X106Y61 CLASS tile TILEPROP CMT_TOP_L_LOWER_B_X106Y61 COLUMN 106 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 DEVICE_ID 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 FIRST_SITE_ID 9583 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 GRID_POINT_X 106 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 GRID_POINT_Y 95 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 INDEX 11031 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 INT_TILE_X 41 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 INT_TILE_Y 91 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 IS_CENTER_TILE 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 IS_DCM_TILE 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 NAME CMT_TOP_L_LOWER_B_X106Y61 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 NUM_ARCS 295 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 NUM_SITES 1 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 ROW 95 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 SLR_REGION_ID 0 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 TILE_PATTERN_IDX 6941 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 TILE_TYPE CMT_TOP_L_LOWER_B TILEPROP CMT_TOP_L_LOWER_B_X106Y61 TILE_TYPE_INDEX 38 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 TILE_X 95438 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 TILE_Y -54400 TILEPROP CMT_TOP_L_LOWER_B_X106Y61 TYPE CMT_TOP_L_LOWER_B TILEPROP CMT_TOP_L_LOWER_T_X106Y18 CLASS tile TILEPROP CMT_TOP_L_LOWER_T_X106Y18 COLUMN 106 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 DEVICE_ID 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 FIRST_SITE_ID 14004 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 GRID_POINT_X 106 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 GRID_POINT_Y 138 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 INDEX 15976 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 INT_TILE_X 41 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 INT_TILE_Y 132 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 IS_CENTER_TILE 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 IS_DCM_TILE 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 NAME CMT_TOP_L_LOWER_T_X106Y18 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 NUM_ARCS 438 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 NUM_SITES 4 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 ROW 138 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 SLR_REGION_ID 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 TILE_PATTERN_IDX 8245 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 TILE_TYPE CMT_TOP_L_LOWER_T TILEPROP CMT_TOP_L_LOWER_T_X106Y18 TILE_TYPE_INDEX 39 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 TILE_X 95438 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 TILE_Y -175672 TILEPROP CMT_TOP_L_LOWER_T_X106Y18 TYPE CMT_TOP_L_LOWER_T TILEPROP CMT_TOP_L_LOWER_T_X106Y70 CLASS tile TILEPROP CMT_TOP_L_LOWER_T_X106Y70 COLUMN 106 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 DEVICE_ID 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 FIRST_SITE_ID 8616 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 GRID_POINT_X 106 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 GRID_POINT_Y 86 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 INDEX 9996 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 INT_TILE_X 41 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 INT_TILE_Y 82 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 IS_CENTER_TILE 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 IS_DCM_TILE 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 NAME CMT_TOP_L_LOWER_T_X106Y70 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 NUM_ARCS 438 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 NUM_SITES 4 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 ROW 86 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 SLR_REGION_ID 0 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 TILE_PATTERN_IDX 6607 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 TILE_TYPE CMT_TOP_L_LOWER_T TILEPROP CMT_TOP_L_LOWER_T_X106Y70 TILE_TYPE_INDEX 39 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 TILE_X 95438 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 TILE_Y -14400 TILEPROP CMT_TOP_L_LOWER_T_X106Y70 TYPE CMT_TOP_L_LOWER_T TILEPROP CMT_TOP_L_UPPER_B_X106Y31 CLASS tile TILEPROP CMT_TOP_L_UPPER_B_X106Y31 COLUMN 106 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 DEVICE_ID 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 FIRST_SITE_ID 12676 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 GRID_POINT_X 106 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 GRID_POINT_Y 125 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 INDEX 14481 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 INT_TILE_X 41 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 INT_TILE_Y 120 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 IS_CENTER_TILE 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 IS_DCM_TILE 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 NAME CMT_TOP_L_UPPER_B_X106Y31 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 NUM_ARCS 595 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 NUM_SITES 6 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 ROW 125 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 SLR_REGION_ID 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 TILE_PATTERN_IDX 7874 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 TILE_TYPE CMT_TOP_L_UPPER_B TILEPROP CMT_TOP_L_UPPER_B_X106Y31 TILE_TYPE_INDEX 40 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 TILE_X 95438 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 TILE_Y -141048 TILEPROP CMT_TOP_L_UPPER_B_X106Y31 TYPE CMT_TOP_L_UPPER_B TILEPROP CMT_TOP_L_UPPER_B_X106Y83 CLASS tile TILEPROP CMT_TOP_L_UPPER_B_X106Y83 COLUMN 106 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 DEVICE_ID 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 FIRST_SITE_ID 7286 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 GRID_POINT_X 106 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 GRID_POINT_Y 73 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 INDEX 8501 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 INT_TILE_X 41 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 INT_TILE_Y 70 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 IS_CENTER_TILE 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 IS_DCM_TILE 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 NAME CMT_TOP_L_UPPER_B_X106Y83 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 NUM_ARCS 595 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 NUM_SITES 6 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 ROW 73 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 SLR_REGION_ID 0 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 TILE_PATTERN_IDX 6175 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 TILE_TYPE CMT_TOP_L_UPPER_B TILEPROP CMT_TOP_L_UPPER_B_X106Y83 TILE_TYPE_INDEX 40 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 TILE_X 95438 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 TILE_Y 20224 TILEPROP CMT_TOP_L_UPPER_B_X106Y83 TYPE CMT_TOP_L_UPPER_B TILEPROP CMT_TOP_L_UPPER_T_X106Y44 CLASS tile TILEPROP CMT_TOP_L_UPPER_T_X106Y44 COLUMN 106 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 DEVICE_ID 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 FIRST_SITE_ID 11271 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 GRID_POINT_X 106 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 GRID_POINT_Y 112 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 INDEX 12986 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 INT_TILE_X 41 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 INT_TILE_Y 107 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 IS_CENTER_TILE 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 IS_DCM_TILE 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 NAME CMT_TOP_L_UPPER_T_X106Y44 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 NUM_ARCS 251 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 NUM_SITES 1 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 ROW 112 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 SLR_REGION_ID 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 TILE_PATTERN_IDX 7506 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 TILE_TYPE CMT_TOP_L_UPPER_T TILEPROP CMT_TOP_L_UPPER_T_X106Y44 TILE_TYPE_INDEX 41 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 TILE_X 95438 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 TILE_Y -101048 TILEPROP CMT_TOP_L_UPPER_T_X106Y44 TYPE CMT_TOP_L_UPPER_T TILEPROP CMT_TOP_L_UPPER_T_X106Y96 CLASS tile TILEPROP CMT_TOP_L_UPPER_T_X106Y96 COLUMN 106 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 DEVICE_ID 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 FIRST_SITE_ID 5898 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 GRID_POINT_X 106 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 GRID_POINT_Y 60 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 INDEX 7006 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 INT_TILE_X 41 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 INT_TILE_Y 57 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 IS_CENTER_TILE 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 IS_DCM_TILE 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 NAME CMT_TOP_L_UPPER_T_X106Y96 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 NUM_ARCS 251 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 NUM_SITES 1 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 ROW 60 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 SLR_REGION_ID 0 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 TILE_PATTERN_IDX 1953 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 TILE_TYPE CMT_TOP_L_UPPER_T TILEPROP CMT_TOP_L_UPPER_T_X106Y96 TILE_TYPE_INDEX 41 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 TILE_X 95438 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 TILE_Y 60224 TILEPROP CMT_TOP_L_UPPER_T_X106Y96 TYPE CMT_TOP_L_UPPER_T TILEPROP CMT_TOP_R_LOWER_B_X8Y9 CLASS tile TILEPROP CMT_TOP_R_LOWER_B_X8Y9 COLUMN 8 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 DEVICE_ID 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 FIRST_SITE_ID 14882 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 GRID_POINT_X 8 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 GRID_POINT_Y 147 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 INDEX 16913 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 INT_TILE_X 1 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 INT_TILE_Y 141 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 NAME CMT_TOP_R_LOWER_B_X8Y9 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 NUM_ARCS 295 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 NUM_SITES 1 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 ROW 147 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 TILE_PATTERN_IDX 3204 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 TILE_TYPE CMT_TOP_R_LOWER_B TILEPROP CMT_TOP_R_LOWER_B_X8Y9 TILE_TYPE_INDEX 42 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 TILE_X -89422 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 TILE_Y -215672 TILEPROP CMT_TOP_R_LOWER_B_X8Y9 TYPE CMT_TOP_R_LOWER_B TILEPROP CMT_TOP_R_LOWER_B_X8Y61 CLASS tile TILEPROP CMT_TOP_R_LOWER_B_X8Y61 COLUMN 8 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 DEVICE_ID 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 FIRST_SITE_ID 9494 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 GRID_POINT_X 8 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 GRID_POINT_Y 95 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 INDEX 10933 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 INT_TILE_X 1 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 INT_TILE_Y 91 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 NAME CMT_TOP_R_LOWER_B_X8Y61 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 NUM_ARCS 295 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 NUM_SITES 1 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 ROW 95 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 TILE_PATTERN_IDX 1360 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 TILE_TYPE CMT_TOP_R_LOWER_B TILEPROP CMT_TOP_R_LOWER_B_X8Y61 TILE_TYPE_INDEX 42 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 TILE_X -89422 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 TILE_Y -54400 TILEPROP CMT_TOP_R_LOWER_B_X8Y61 TYPE CMT_TOP_R_LOWER_B TILEPROP CMT_TOP_R_LOWER_B_X8Y113 CLASS tile TILEPROP CMT_TOP_R_LOWER_B_X8Y113 COLUMN 8 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 DEVICE_ID 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 FIRST_SITE_ID 4236 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 GRID_POINT_X 8 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 GRID_POINT_Y 43 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 INDEX 4953 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 INT_TILE_X 1 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 INT_TILE_Y 41 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 NAME CMT_TOP_R_LOWER_B_X8Y113 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 NUM_ARCS 295 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 NUM_SITES 1 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 ROW 43 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 TILE_PATTERN_IDX 1360 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 TILE_TYPE CMT_TOP_R_LOWER_B TILEPROP CMT_TOP_R_LOWER_B_X8Y113 TILE_TYPE_INDEX 42 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 TILE_X -89422 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 TILE_Y 106872 TILEPROP CMT_TOP_R_LOWER_B_X8Y113 TYPE CMT_TOP_R_LOWER_B TILEPROP CMT_TOP_R_LOWER_T_X8Y18 CLASS tile TILEPROP CMT_TOP_R_LOWER_T_X8Y18 COLUMN 8 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 DEVICE_ID 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 FIRST_SITE_ID 13911 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 GRID_POINT_X 8 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 GRID_POINT_Y 138 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 INDEX 15878 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 INT_TILE_X 1 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 INT_TILE_Y 132 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 NAME CMT_TOP_R_LOWER_T_X8Y18 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 NUM_ARCS 438 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 NUM_SITES 4 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 ROW 138 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 TILE_PATTERN_IDX 8214 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 TILE_TYPE CMT_TOP_R_LOWER_T TILEPROP CMT_TOP_R_LOWER_T_X8Y18 TILE_TYPE_INDEX 43 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 TILE_X -89422 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 TILE_Y -175672 TILEPROP CMT_TOP_R_LOWER_T_X8Y18 TYPE CMT_TOP_R_LOWER_T TILEPROP CMT_TOP_R_LOWER_T_X8Y70 CLASS tile TILEPROP CMT_TOP_R_LOWER_T_X8Y70 COLUMN 8 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 DEVICE_ID 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 FIRST_SITE_ID 8524 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 GRID_POINT_X 8 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 GRID_POINT_Y 86 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 INDEX 9898 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 INT_TILE_X 1 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 INT_TILE_Y 82 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 NAME CMT_TOP_R_LOWER_T_X8Y70 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 NUM_ARCS 438 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 NUM_SITES 4 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 ROW 86 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 TILE_PATTERN_IDX 6572 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 TILE_TYPE CMT_TOP_R_LOWER_T TILEPROP CMT_TOP_R_LOWER_T_X8Y70 TILE_TYPE_INDEX 43 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 TILE_X -89422 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 TILE_Y -14400 TILEPROP CMT_TOP_R_LOWER_T_X8Y70 TYPE CMT_TOP_R_LOWER_T TILEPROP CMT_TOP_R_LOWER_T_X8Y122 CLASS tile TILEPROP CMT_TOP_R_LOWER_T_X8Y122 COLUMN 8 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 DEVICE_ID 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 FIRST_SITE_ID 3352 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 GRID_POINT_X 8 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 GRID_POINT_Y 34 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 INDEX 3918 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 INT_TILE_X 1 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 INT_TILE_Y 32 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 NAME CMT_TOP_R_LOWER_T_X8Y122 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 NUM_ARCS 438 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 NUM_SITES 4 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 ROW 34 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 TILE_PATTERN_IDX 4804 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 TILE_TYPE CMT_TOP_R_LOWER_T TILEPROP CMT_TOP_R_LOWER_T_X8Y122 TILE_TYPE_INDEX 43 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 TILE_X -89422 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 TILE_Y 146872 TILEPROP CMT_TOP_R_LOWER_T_X8Y122 TYPE CMT_TOP_R_LOWER_T TILEPROP CMT_TOP_R_UPPER_B_X8Y31 CLASS tile TILEPROP CMT_TOP_R_UPPER_B_X8Y31 COLUMN 8 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 DEVICE_ID 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 FIRST_SITE_ID 12582 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 GRID_POINT_X 8 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 GRID_POINT_Y 125 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 INDEX 14383 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 INT_TILE_X 1 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 INT_TILE_Y 120 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 NAME CMT_TOP_R_UPPER_B_X8Y31 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 NUM_ARCS 595 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 NUM_SITES 6 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 ROW 125 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 TILE_PATTERN_IDX 7851 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 TILE_TYPE CMT_TOP_R_UPPER_B TILEPROP CMT_TOP_R_UPPER_B_X8Y31 TILE_TYPE_INDEX 44 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 TILE_X -89422 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 TILE_Y -141048 TILEPROP CMT_TOP_R_UPPER_B_X8Y31 TYPE CMT_TOP_R_UPPER_B TILEPROP CMT_TOP_R_UPPER_B_X8Y83 CLASS tile TILEPROP CMT_TOP_R_UPPER_B_X8Y83 COLUMN 8 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 DEVICE_ID 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 FIRST_SITE_ID 7192 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 GRID_POINT_X 8 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 GRID_POINT_Y 73 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 INDEX 8403 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 INT_TILE_X 1 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 INT_TILE_Y 70 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 NAME CMT_TOP_R_UPPER_B_X8Y83 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 NUM_ARCS 595 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 NUM_SITES 6 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 ROW 73 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 TILE_PATTERN_IDX 6143 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 TILE_TYPE CMT_TOP_R_UPPER_B TILEPROP CMT_TOP_R_UPPER_B_X8Y83 TILE_TYPE_INDEX 44 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 TILE_X -89422 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 TILE_Y 20224 TILEPROP CMT_TOP_R_UPPER_B_X8Y83 TYPE CMT_TOP_R_UPPER_B TILEPROP CMT_TOP_R_UPPER_B_X8Y135 CLASS tile TILEPROP CMT_TOP_R_UPPER_B_X8Y135 COLUMN 8 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 DEVICE_ID 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 FIRST_SITE_ID 2101 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 GRID_POINT_X 8 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 GRID_POINT_Y 21 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 INDEX 2423 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 INT_TILE_X 1 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 INT_TILE_Y 20 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 NAME CMT_TOP_R_UPPER_B_X8Y135 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 NUM_ARCS 595 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 NUM_SITES 6 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 ROW 21 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 TILE_PATTERN_IDX 4313 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 TILE_TYPE CMT_TOP_R_UPPER_B TILEPROP CMT_TOP_R_UPPER_B_X8Y135 TILE_TYPE_INDEX 44 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 TILE_X -89422 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 TILE_Y 181496 TILEPROP CMT_TOP_R_UPPER_B_X8Y135 TYPE CMT_TOP_R_UPPER_B TILEPROP CMT_TOP_R_UPPER_T_X8Y44 CLASS tile TILEPROP CMT_TOP_R_UPPER_T_X8Y44 COLUMN 8 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 DEVICE_ID 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 FIRST_SITE_ID 11182 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 GRID_POINT_X 8 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 GRID_POINT_Y 112 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 INDEX 12888 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 INT_TILE_X 1 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 INT_TILE_Y 107 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 NAME CMT_TOP_R_UPPER_T_X8Y44 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 NUM_ARCS 251 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 NUM_SITES 1 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 ROW 112 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 TILE_PATTERN_IDX 1923 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 TILE_TYPE CMT_TOP_R_UPPER_T TILEPROP CMT_TOP_R_UPPER_T_X8Y44 TILE_TYPE_INDEX 45 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 TILE_X -89422 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 TILE_Y -101048 TILEPROP CMT_TOP_R_UPPER_T_X8Y44 TYPE CMT_TOP_R_UPPER_T TILEPROP CMT_TOP_R_UPPER_T_X8Y96 CLASS tile TILEPROP CMT_TOP_R_UPPER_T_X8Y96 COLUMN 8 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 DEVICE_ID 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 FIRST_SITE_ID 5809 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 GRID_POINT_X 8 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 GRID_POINT_Y 60 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 INDEX 6908 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 INT_TILE_X 1 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 INT_TILE_Y 57 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 NAME CMT_TOP_R_UPPER_T_X8Y96 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 NUM_ARCS 251 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 NUM_SITES 1 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 ROW 60 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 TILE_PATTERN_IDX 1923 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 TILE_TYPE CMT_TOP_R_UPPER_T TILEPROP CMT_TOP_R_UPPER_T_X8Y96 TILE_TYPE_INDEX 45 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 TILE_X -89422 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 TILE_Y 60224 TILEPROP CMT_TOP_R_UPPER_T_X8Y96 TYPE CMT_TOP_R_UPPER_T TILEPROP CMT_TOP_R_UPPER_T_X8Y148 CLASS tile TILEPROP CMT_TOP_R_UPPER_T_X8Y148 COLUMN 8 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 DEVICE_ID 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 FIRST_SITE_ID 721 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 GRID_POINT_X 8 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 GRID_POINT_Y 8 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 INDEX 928 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 INT_TILE_X 1 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 INT_TILE_Y 7 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 IS_CENTER_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 IS_DCM_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 IS_GT_SITE_TILE 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 NAME CMT_TOP_R_UPPER_T_X8Y148 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 NUM_ARCS 251 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 NUM_SITES 1 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 ROW 8 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 SLR_REGION_ID 0 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 TILE_PATTERN_IDX 262 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 TILE_TYPE CMT_TOP_R_UPPER_T TILEPROP CMT_TOP_R_UPPER_T_X8Y148 TILE_TYPE_INDEX 45 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 TILE_X -89422 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 TILE_Y 221496 TILEPROP CMT_TOP_R_UPPER_T_X8Y148 TYPE CMT_TOP_R_UPPER_T TILEPROP DSP_L_X34Y0 CLASS tile TILEPROP DSP_L_X34Y0 COLUMN 86 TILEPROP DSP_L_X34Y0 DEVICE_ID 0 TILEPROP DSP_L_X34Y0 FIRST_SITE_ID 15785 TILEPROP DSP_L_X34Y0 GRID_POINT_X 86 TILEPROP DSP_L_X34Y0 GRID_POINT_Y 155 TILEPROP DSP_L_X34Y0 INDEX 17911 TILEPROP DSP_L_X34Y0 INT_TILE_X 33 TILEPROP DSP_L_X34Y0 INT_TILE_Y 149 TILEPROP DSP_L_X34Y0 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y0 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y0 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y0 NAME DSP_L_X34Y0 TILEPROP DSP_L_X34Y0 NUM_ARCS 794 TILEPROP DSP_L_X34Y0 NUM_SITES 3 TILEPROP DSP_L_X34Y0 ROW 155 TILEPROP DSP_L_X34Y0 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y0 TILE_PATTERN_IDX 3526 TILEPROP DSP_L_X34Y0 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y0 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y0 TILE_X 56639 TILEPROP DSP_L_X34Y0 TILE_Y -233272 TILEPROP DSP_L_X34Y0 TYPE DSP_L TILEPROP DSP_L_X34Y5 CLASS tile TILEPROP DSP_L_X34Y5 COLUMN 86 TILEPROP DSP_L_X34Y5 DEVICE_ID 0 TILEPROP DSP_L_X34Y5 FIRST_SITE_ID 15265 TILEPROP DSP_L_X34Y5 GRID_POINT_X 86 TILEPROP DSP_L_X34Y5 GRID_POINT_Y 150 TILEPROP DSP_L_X34Y5 INDEX 17336 TILEPROP DSP_L_X34Y5 INT_TILE_X 33 TILEPROP DSP_L_X34Y5 INT_TILE_Y 144 TILEPROP DSP_L_X34Y5 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y5 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y5 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y5 NAME DSP_L_X34Y5 TILEPROP DSP_L_X34Y5 NUM_ARCS 794 TILEPROP DSP_L_X34Y5 NUM_SITES 3 TILEPROP DSP_L_X34Y5 ROW 150 TILEPROP DSP_L_X34Y5 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y5 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y5 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y5 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y5 TILE_X 56639 TILEPROP DSP_L_X34Y5 TILE_Y -217272 TILEPROP DSP_L_X34Y5 TYPE DSP_L TILEPROP DSP_L_X34Y10 CLASS tile TILEPROP DSP_L_X34Y10 COLUMN 86 TILEPROP DSP_L_X34Y10 DEVICE_ID 0 TILEPROP DSP_L_X34Y10 FIRST_SITE_ID 14744 TILEPROP DSP_L_X34Y10 GRID_POINT_X 86 TILEPROP DSP_L_X34Y10 GRID_POINT_Y 145 TILEPROP DSP_L_X34Y10 INDEX 16761 TILEPROP DSP_L_X34Y10 INT_TILE_X 33 TILEPROP DSP_L_X34Y10 INT_TILE_Y 139 TILEPROP DSP_L_X34Y10 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y10 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y10 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y10 NAME DSP_L_X34Y10 TILEPROP DSP_L_X34Y10 NUM_ARCS 794 TILEPROP DSP_L_X34Y10 NUM_SITES 3 TILEPROP DSP_L_X34Y10 ROW 145 TILEPROP DSP_L_X34Y10 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y10 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y10 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y10 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y10 TILE_X 56639 TILEPROP DSP_L_X34Y10 TILE_Y -201272 TILEPROP DSP_L_X34Y10 TYPE DSP_L TILEPROP DSP_L_X34Y15 CLASS tile TILEPROP DSP_L_X34Y15 COLUMN 86 TILEPROP DSP_L_X34Y15 DEVICE_ID 0 TILEPROP DSP_L_X34Y15 FIRST_SITE_ID 14197 TILEPROP DSP_L_X34Y15 GRID_POINT_X 86 TILEPROP DSP_L_X34Y15 GRID_POINT_Y 140 TILEPROP DSP_L_X34Y15 INDEX 16186 TILEPROP DSP_L_X34Y15 INT_TILE_X 33 TILEPROP DSP_L_X34Y15 INT_TILE_Y 134 TILEPROP DSP_L_X34Y15 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y15 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y15 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y15 NAME DSP_L_X34Y15 TILEPROP DSP_L_X34Y15 NUM_ARCS 794 TILEPROP DSP_L_X34Y15 NUM_SITES 3 TILEPROP DSP_L_X34Y15 ROW 140 TILEPROP DSP_L_X34Y15 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y15 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y15 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y15 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y15 TILE_X 56639 TILEPROP DSP_L_X34Y15 TILE_Y -185272 TILEPROP DSP_L_X34Y15 TYPE DSP_L TILEPROP DSP_L_X34Y20 CLASS tile TILEPROP DSP_L_X34Y20 COLUMN 86 TILEPROP DSP_L_X34Y20 DEVICE_ID 0 TILEPROP DSP_L_X34Y20 FIRST_SITE_ID 13669 TILEPROP DSP_L_X34Y20 GRID_POINT_X 86 TILEPROP DSP_L_X34Y20 GRID_POINT_Y 135 TILEPROP DSP_L_X34Y20 INDEX 15611 TILEPROP DSP_L_X34Y20 INT_TILE_X 33 TILEPROP DSP_L_X34Y20 INT_TILE_Y 129 TILEPROP DSP_L_X34Y20 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y20 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y20 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y20 NAME DSP_L_X34Y20 TILEPROP DSP_L_X34Y20 NUM_ARCS 794 TILEPROP DSP_L_X34Y20 NUM_SITES 3 TILEPROP DSP_L_X34Y20 ROW 135 TILEPROP DSP_L_X34Y20 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y20 TILE_PATTERN_IDX 1024 TILEPROP DSP_L_X34Y20 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y20 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y20 TILE_X 56639 TILEPROP DSP_L_X34Y20 TILE_Y -169272 TILEPROP DSP_L_X34Y20 TYPE DSP_L TILEPROP DSP_L_X34Y25 CLASS tile TILEPROP DSP_L_X34Y25 COLUMN 86 TILEPROP DSP_L_X34Y25 DEVICE_ID 0 TILEPROP DSP_L_X34Y25 FIRST_SITE_ID 13071 TILEPROP DSP_L_X34Y25 GRID_POINT_X 86 TILEPROP DSP_L_X34Y25 GRID_POINT_Y 129 TILEPROP DSP_L_X34Y25 INDEX 14921 TILEPROP DSP_L_X34Y25 INT_TILE_X 33 TILEPROP DSP_L_X34Y25 INT_TILE_Y 124 TILEPROP DSP_L_X34Y25 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y25 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y25 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y25 NAME DSP_L_X34Y25 TILEPROP DSP_L_X34Y25 NUM_ARCS 794 TILEPROP DSP_L_X34Y25 NUM_SITES 3 TILEPROP DSP_L_X34Y25 ROW 129 TILEPROP DSP_L_X34Y25 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y25 TILE_PATTERN_IDX 822 TILEPROP DSP_L_X34Y25 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y25 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y25 TILE_X 56639 TILEPROP DSP_L_X34Y25 TILE_Y -152248 TILEPROP DSP_L_X34Y25 TYPE DSP_L TILEPROP DSP_L_X34Y30 CLASS tile TILEPROP DSP_L_X34Y30 COLUMN 86 TILEPROP DSP_L_X34Y30 DEVICE_ID 0 TILEPROP DSP_L_X34Y30 FIRST_SITE_ID 12544 TILEPROP DSP_L_X34Y30 GRID_POINT_X 86 TILEPROP DSP_L_X34Y30 GRID_POINT_Y 124 TILEPROP DSP_L_X34Y30 INDEX 14346 TILEPROP DSP_L_X34Y30 INT_TILE_X 33 TILEPROP DSP_L_X34Y30 INT_TILE_Y 119 TILEPROP DSP_L_X34Y30 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y30 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y30 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y30 NAME DSP_L_X34Y30 TILEPROP DSP_L_X34Y30 NUM_ARCS 794 TILEPROP DSP_L_X34Y30 NUM_SITES 3 TILEPROP DSP_L_X34Y30 ROW 124 TILEPROP DSP_L_X34Y30 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y30 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y30 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y30 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y30 TILE_X 56639 TILEPROP DSP_L_X34Y30 TILE_Y -136248 TILEPROP DSP_L_X34Y30 TYPE DSP_L TILEPROP DSP_L_X34Y35 CLASS tile TILEPROP DSP_L_X34Y35 COLUMN 86 TILEPROP DSP_L_X34Y35 DEVICE_ID 0 TILEPROP DSP_L_X34Y35 FIRST_SITE_ID 12011 TILEPROP DSP_L_X34Y35 GRID_POINT_X 86 TILEPROP DSP_L_X34Y35 GRID_POINT_Y 119 TILEPROP DSP_L_X34Y35 INDEX 13771 TILEPROP DSP_L_X34Y35 INT_TILE_X 33 TILEPROP DSP_L_X34Y35 INT_TILE_Y 114 TILEPROP DSP_L_X34Y35 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y35 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y35 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y35 NAME DSP_L_X34Y35 TILEPROP DSP_L_X34Y35 NUM_ARCS 794 TILEPROP DSP_L_X34Y35 NUM_SITES 3 TILEPROP DSP_L_X34Y35 ROW 119 TILEPROP DSP_L_X34Y35 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y35 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y35 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y35 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y35 TILE_X 56639 TILEPROP DSP_L_X34Y35 TILE_Y -120248 TILEPROP DSP_L_X34Y35 TYPE DSP_L TILEPROP DSP_L_X34Y40 CLASS tile TILEPROP DSP_L_X34Y40 COLUMN 86 TILEPROP DSP_L_X34Y40 DEVICE_ID 0 TILEPROP DSP_L_X34Y40 FIRST_SITE_ID 11464 TILEPROP DSP_L_X34Y40 GRID_POINT_X 86 TILEPROP DSP_L_X34Y40 GRID_POINT_Y 114 TILEPROP DSP_L_X34Y40 INDEX 13196 TILEPROP DSP_L_X34Y40 INT_TILE_X 33 TILEPROP DSP_L_X34Y40 INT_TILE_Y 109 TILEPROP DSP_L_X34Y40 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y40 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y40 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y40 NAME DSP_L_X34Y40 TILEPROP DSP_L_X34Y40 NUM_ARCS 794 TILEPROP DSP_L_X34Y40 NUM_SITES 3 TILEPROP DSP_L_X34Y40 ROW 114 TILEPROP DSP_L_X34Y40 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y40 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y40 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y40 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y40 TILE_X 56639 TILEPROP DSP_L_X34Y40 TILE_Y -104248 TILEPROP DSP_L_X34Y40 TYPE DSP_L TILEPROP DSP_L_X34Y45 CLASS tile TILEPROP DSP_L_X34Y45 COLUMN 86 TILEPROP DSP_L_X34Y45 DEVICE_ID 0 TILEPROP DSP_L_X34Y45 FIRST_SITE_ID 10940 TILEPROP DSP_L_X34Y45 GRID_POINT_X 86 TILEPROP DSP_L_X34Y45 GRID_POINT_Y 109 TILEPROP DSP_L_X34Y45 INDEX 12621 TILEPROP DSP_L_X34Y45 INT_TILE_X 33 TILEPROP DSP_L_X34Y45 INT_TILE_Y 104 TILEPROP DSP_L_X34Y45 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y45 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y45 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y45 NAME DSP_L_X34Y45 TILEPROP DSP_L_X34Y45 NUM_ARCS 794 TILEPROP DSP_L_X34Y45 NUM_SITES 3 TILEPROP DSP_L_X34Y45 ROW 109 TILEPROP DSP_L_X34Y45 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y45 TILE_PATTERN_IDX 1831 TILEPROP DSP_L_X34Y45 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y45 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y45 TILE_X 56639 TILEPROP DSP_L_X34Y45 TILE_Y -88248 TILEPROP DSP_L_X34Y45 TYPE DSP_L TILEPROP DSP_L_X34Y50 CLASS tile TILEPROP DSP_L_X34Y50 COLUMN 86 TILEPROP DSP_L_X34Y50 DEVICE_ID 0 TILEPROP DSP_L_X34Y50 FIRST_SITE_ID 10412 TILEPROP DSP_L_X34Y50 GRID_POINT_X 86 TILEPROP DSP_L_X34Y50 GRID_POINT_Y 103 TILEPROP DSP_L_X34Y50 INDEX 11931 TILEPROP DSP_L_X34Y50 INT_TILE_X 33 TILEPROP DSP_L_X34Y50 INT_TILE_Y 99 TILEPROP DSP_L_X34Y50 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y50 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y50 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y50 NAME DSP_L_X34Y50 TILEPROP DSP_L_X34Y50 NUM_ARCS 794 TILEPROP DSP_L_X34Y50 NUM_SITES 3 TILEPROP DSP_L_X34Y50 ROW 103 TILEPROP DSP_L_X34Y50 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y50 TILE_PATTERN_IDX 1628 TILEPROP DSP_L_X34Y50 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y50 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y50 TILE_X 56639 TILEPROP DSP_L_X34Y50 TILE_Y -72000 TILEPROP DSP_L_X34Y50 TYPE DSP_L TILEPROP DSP_L_X34Y55 CLASS tile TILEPROP DSP_L_X34Y55 COLUMN 86 TILEPROP DSP_L_X34Y55 DEVICE_ID 0 TILEPROP DSP_L_X34Y55 FIRST_SITE_ID 9877 TILEPROP DSP_L_X34Y55 GRID_POINT_X 86 TILEPROP DSP_L_X34Y55 GRID_POINT_Y 98 TILEPROP DSP_L_X34Y55 INDEX 11356 TILEPROP DSP_L_X34Y55 INT_TILE_X 33 TILEPROP DSP_L_X34Y55 INT_TILE_Y 94 TILEPROP DSP_L_X34Y55 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y55 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y55 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y55 NAME DSP_L_X34Y55 TILEPROP DSP_L_X34Y55 NUM_ARCS 794 TILEPROP DSP_L_X34Y55 NUM_SITES 3 TILEPROP DSP_L_X34Y55 ROW 98 TILEPROP DSP_L_X34Y55 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y55 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y55 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y55 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y55 TILE_X 56639 TILEPROP DSP_L_X34Y55 TILE_Y -56000 TILEPROP DSP_L_X34Y55 TYPE DSP_L TILEPROP DSP_L_X34Y60 CLASS tile TILEPROP DSP_L_X34Y60 COLUMN 86 TILEPROP DSP_L_X34Y60 DEVICE_ID 0 TILEPROP DSP_L_X34Y60 FIRST_SITE_ID 9356 TILEPROP DSP_L_X34Y60 GRID_POINT_X 86 TILEPROP DSP_L_X34Y60 GRID_POINT_Y 93 TILEPROP DSP_L_X34Y60 INDEX 10781 TILEPROP DSP_L_X34Y60 INT_TILE_X 33 TILEPROP DSP_L_X34Y60 INT_TILE_Y 89 TILEPROP DSP_L_X34Y60 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y60 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y60 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y60 NAME DSP_L_X34Y60 TILEPROP DSP_L_X34Y60 NUM_ARCS 794 TILEPROP DSP_L_X34Y60 NUM_SITES 3 TILEPROP DSP_L_X34Y60 ROW 93 TILEPROP DSP_L_X34Y60 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y60 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y60 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y60 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y60 TILE_X 56639 TILEPROP DSP_L_X34Y60 TILE_Y -40000 TILEPROP DSP_L_X34Y60 TYPE DSP_L TILEPROP DSP_L_X34Y65 CLASS tile TILEPROP DSP_L_X34Y65 COLUMN 86 TILEPROP DSP_L_X34Y65 DEVICE_ID 0 TILEPROP DSP_L_X34Y65 FIRST_SITE_ID 8809 TILEPROP DSP_L_X34Y65 GRID_POINT_X 86 TILEPROP DSP_L_X34Y65 GRID_POINT_Y 88 TILEPROP DSP_L_X34Y65 INDEX 10206 TILEPROP DSP_L_X34Y65 INT_TILE_X 33 TILEPROP DSP_L_X34Y65 INT_TILE_Y 84 TILEPROP DSP_L_X34Y65 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y65 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y65 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y65 NAME DSP_L_X34Y65 TILEPROP DSP_L_X34Y65 NUM_ARCS 794 TILEPROP DSP_L_X34Y65 NUM_SITES 3 TILEPROP DSP_L_X34Y65 ROW 88 TILEPROP DSP_L_X34Y65 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y65 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y65 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y65 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y65 TILE_X 56639 TILEPROP DSP_L_X34Y65 TILE_Y -24000 TILEPROP DSP_L_X34Y65 TYPE DSP_L TILEPROP DSP_L_X34Y70 CLASS tile TILEPROP DSP_L_X34Y70 COLUMN 86 TILEPROP DSP_L_X34Y70 DEVICE_ID 0 TILEPROP DSP_L_X34Y70 FIRST_SITE_ID 8282 TILEPROP DSP_L_X34Y70 GRID_POINT_X 86 TILEPROP DSP_L_X34Y70 GRID_POINT_Y 83 TILEPROP DSP_L_X34Y70 INDEX 9631 TILEPROP DSP_L_X34Y70 INT_TILE_X 33 TILEPROP DSP_L_X34Y70 INT_TILE_Y 79 TILEPROP DSP_L_X34Y70 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y70 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y70 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y70 NAME DSP_L_X34Y70 TILEPROP DSP_L_X34Y70 NUM_ARCS 794 TILEPROP DSP_L_X34Y70 NUM_SITES 3 TILEPROP DSP_L_X34Y70 ROW 83 TILEPROP DSP_L_X34Y70 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y70 TILE_PATTERN_IDX 1024 TILEPROP DSP_L_X34Y70 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y70 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y70 TILE_X 56639 TILEPROP DSP_L_X34Y70 TILE_Y -8000 TILEPROP DSP_L_X34Y70 TYPE DSP_L TILEPROP DSP_L_X34Y75 CLASS tile TILEPROP DSP_L_X34Y75 COLUMN 86 TILEPROP DSP_L_X34Y75 DEVICE_ID 0 TILEPROP DSP_L_X34Y75 FIRST_SITE_ID 7684 TILEPROP DSP_L_X34Y75 GRID_POINT_X 86 TILEPROP DSP_L_X34Y75 GRID_POINT_Y 77 TILEPROP DSP_L_X34Y75 INDEX 8941 TILEPROP DSP_L_X34Y75 INT_TILE_X 33 TILEPROP DSP_L_X34Y75 INT_TILE_Y 74 TILEPROP DSP_L_X34Y75 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y75 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y75 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y75 NAME DSP_L_X34Y75 TILEPROP DSP_L_X34Y75 NUM_ARCS 794 TILEPROP DSP_L_X34Y75 NUM_SITES 3 TILEPROP DSP_L_X34Y75 ROW 77 TILEPROP DSP_L_X34Y75 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y75 TILE_PATTERN_IDX 822 TILEPROP DSP_L_X34Y75 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y75 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y75 TILE_X 56639 TILEPROP DSP_L_X34Y75 TILE_Y 9024 TILEPROP DSP_L_X34Y75 TYPE DSP_L TILEPROP DSP_L_X34Y80 CLASS tile TILEPROP DSP_L_X34Y80 COLUMN 86 TILEPROP DSP_L_X34Y80 DEVICE_ID 0 TILEPROP DSP_L_X34Y80 FIRST_SITE_ID 7154 TILEPROP DSP_L_X34Y80 GRID_POINT_X 86 TILEPROP DSP_L_X34Y80 GRID_POINT_Y 72 TILEPROP DSP_L_X34Y80 INDEX 8366 TILEPROP DSP_L_X34Y80 INT_TILE_X 33 TILEPROP DSP_L_X34Y80 INT_TILE_Y 69 TILEPROP DSP_L_X34Y80 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y80 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y80 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y80 NAME DSP_L_X34Y80 TILEPROP DSP_L_X34Y80 NUM_ARCS 794 TILEPROP DSP_L_X34Y80 NUM_SITES 3 TILEPROP DSP_L_X34Y80 ROW 72 TILEPROP DSP_L_X34Y80 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y80 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y80 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y80 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y80 TILE_X 56639 TILEPROP DSP_L_X34Y80 TILE_Y 25024 TILEPROP DSP_L_X34Y80 TYPE DSP_L TILEPROP DSP_L_X34Y85 CLASS tile TILEPROP DSP_L_X34Y85 COLUMN 86 TILEPROP DSP_L_X34Y85 DEVICE_ID 0 TILEPROP DSP_L_X34Y85 FIRST_SITE_ID 6635 TILEPROP DSP_L_X34Y85 GRID_POINT_X 86 TILEPROP DSP_L_X34Y85 GRID_POINT_Y 67 TILEPROP DSP_L_X34Y85 INDEX 7791 TILEPROP DSP_L_X34Y85 INT_TILE_X 33 TILEPROP DSP_L_X34Y85 INT_TILE_Y 64 TILEPROP DSP_L_X34Y85 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y85 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y85 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y85 NAME DSP_L_X34Y85 TILEPROP DSP_L_X34Y85 NUM_ARCS 794 TILEPROP DSP_L_X34Y85 NUM_SITES 3 TILEPROP DSP_L_X34Y85 ROW 67 TILEPROP DSP_L_X34Y85 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y85 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y85 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y85 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y85 TILE_X 56639 TILEPROP DSP_L_X34Y85 TILE_Y 41024 TILEPROP DSP_L_X34Y85 TYPE DSP_L TILEPROP DSP_L_X34Y90 CLASS tile TILEPROP DSP_L_X34Y90 COLUMN 86 TILEPROP DSP_L_X34Y90 DEVICE_ID 0 TILEPROP DSP_L_X34Y90 FIRST_SITE_ID 6088 TILEPROP DSP_L_X34Y90 GRID_POINT_X 86 TILEPROP DSP_L_X34Y90 GRID_POINT_Y 62 TILEPROP DSP_L_X34Y90 INDEX 7216 TILEPROP DSP_L_X34Y90 INT_TILE_X 33 TILEPROP DSP_L_X34Y90 INT_TILE_Y 59 TILEPROP DSP_L_X34Y90 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y90 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y90 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y90 NAME DSP_L_X34Y90 TILEPROP DSP_L_X34Y90 NUM_ARCS 794 TILEPROP DSP_L_X34Y90 NUM_SITES 3 TILEPROP DSP_L_X34Y90 ROW 62 TILEPROP DSP_L_X34Y90 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y90 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y90 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y90 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y90 TILE_X 56639 TILEPROP DSP_L_X34Y90 TILE_Y 57024 TILEPROP DSP_L_X34Y90 TYPE DSP_L TILEPROP DSP_L_X34Y95 CLASS tile TILEPROP DSP_L_X34Y95 COLUMN 86 TILEPROP DSP_L_X34Y95 DEVICE_ID 0 TILEPROP DSP_L_X34Y95 FIRST_SITE_ID 5567 TILEPROP DSP_L_X34Y95 GRID_POINT_X 86 TILEPROP DSP_L_X34Y95 GRID_POINT_Y 57 TILEPROP DSP_L_X34Y95 INDEX 6641 TILEPROP DSP_L_X34Y95 INT_TILE_X 33 TILEPROP DSP_L_X34Y95 INT_TILE_Y 54 TILEPROP DSP_L_X34Y95 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y95 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y95 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y95 NAME DSP_L_X34Y95 TILEPROP DSP_L_X34Y95 NUM_ARCS 794 TILEPROP DSP_L_X34Y95 NUM_SITES 3 TILEPROP DSP_L_X34Y95 ROW 57 TILEPROP DSP_L_X34Y95 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y95 TILE_PATTERN_IDX 1831 TILEPROP DSP_L_X34Y95 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y95 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y95 TILE_X 56639 TILEPROP DSP_L_X34Y95 TILE_Y 73024 TILEPROP DSP_L_X34Y95 TYPE DSP_L TILEPROP DSP_L_X34Y100 CLASS tile TILEPROP DSP_L_X34Y100 COLUMN 86 TILEPROP DSP_L_X34Y100 DEVICE_ID 0 TILEPROP DSP_L_X34Y100 FIRST_SITE_ID 5077 TILEPROP DSP_L_X34Y100 GRID_POINT_X 86 TILEPROP DSP_L_X34Y100 GRID_POINT_Y 51 TILEPROP DSP_L_X34Y100 INDEX 5951 TILEPROP DSP_L_X34Y100 INT_TILE_X 33 TILEPROP DSP_L_X34Y100 INT_TILE_Y 49 TILEPROP DSP_L_X34Y100 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y100 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y100 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y100 NAME DSP_L_X34Y100 TILEPROP DSP_L_X34Y100 NUM_ARCS 794 TILEPROP DSP_L_X34Y100 NUM_SITES 3 TILEPROP DSP_L_X34Y100 ROW 51 TILEPROP DSP_L_X34Y100 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y100 TILE_PATTERN_IDX 1628 TILEPROP DSP_L_X34Y100 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y100 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y100 TILE_X 56639 TILEPROP DSP_L_X34Y100 TILE_Y 89272 TILEPROP DSP_L_X34Y100 TYPE DSP_L TILEPROP DSP_L_X34Y105 CLASS tile TILEPROP DSP_L_X34Y105 COLUMN 86 TILEPROP DSP_L_X34Y105 DEVICE_ID 0 TILEPROP DSP_L_X34Y105 FIRST_SITE_ID 4603 TILEPROP DSP_L_X34Y105 GRID_POINT_X 86 TILEPROP DSP_L_X34Y105 GRID_POINT_Y 46 TILEPROP DSP_L_X34Y105 INDEX 5376 TILEPROP DSP_L_X34Y105 INT_TILE_X 33 TILEPROP DSP_L_X34Y105 INT_TILE_Y 44 TILEPROP DSP_L_X34Y105 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y105 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y105 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y105 NAME DSP_L_X34Y105 TILEPROP DSP_L_X34Y105 NUM_ARCS 794 TILEPROP DSP_L_X34Y105 NUM_SITES 3 TILEPROP DSP_L_X34Y105 ROW 46 TILEPROP DSP_L_X34Y105 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y105 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y105 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y105 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y105 TILE_X 56639 TILEPROP DSP_L_X34Y105 TILE_Y 105272 TILEPROP DSP_L_X34Y105 TYPE DSP_L TILEPROP DSP_L_X34Y110 CLASS tile TILEPROP DSP_L_X34Y110 COLUMN 86 TILEPROP DSP_L_X34Y110 DEVICE_ID 0 TILEPROP DSP_L_X34Y110 FIRST_SITE_ID 4127 TILEPROP DSP_L_X34Y110 GRID_POINT_X 86 TILEPROP DSP_L_X34Y110 GRID_POINT_Y 41 TILEPROP DSP_L_X34Y110 INDEX 4801 TILEPROP DSP_L_X34Y110 INT_TILE_X 33 TILEPROP DSP_L_X34Y110 INT_TILE_Y 39 TILEPROP DSP_L_X34Y110 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y110 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y110 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y110 NAME DSP_L_X34Y110 TILEPROP DSP_L_X34Y110 NUM_ARCS 794 TILEPROP DSP_L_X34Y110 NUM_SITES 3 TILEPROP DSP_L_X34Y110 ROW 41 TILEPROP DSP_L_X34Y110 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y110 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y110 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y110 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y110 TILE_X 56639 TILEPROP DSP_L_X34Y110 TILE_Y 121272 TILEPROP DSP_L_X34Y110 TYPE DSP_L TILEPROP DSP_L_X34Y115 CLASS tile TILEPROP DSP_L_X34Y115 COLUMN 86 TILEPROP DSP_L_X34Y115 DEVICE_ID 0 TILEPROP DSP_L_X34Y115 FIRST_SITE_ID 3629 TILEPROP DSP_L_X34Y115 GRID_POINT_X 86 TILEPROP DSP_L_X34Y115 GRID_POINT_Y 36 TILEPROP DSP_L_X34Y115 INDEX 4226 TILEPROP DSP_L_X34Y115 INT_TILE_X 33 TILEPROP DSP_L_X34Y115 INT_TILE_Y 34 TILEPROP DSP_L_X34Y115 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y115 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y115 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y115 NAME DSP_L_X34Y115 TILEPROP DSP_L_X34Y115 NUM_ARCS 794 TILEPROP DSP_L_X34Y115 NUM_SITES 3 TILEPROP DSP_L_X34Y115 ROW 36 TILEPROP DSP_L_X34Y115 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y115 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y115 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y115 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y115 TILE_X 56639 TILEPROP DSP_L_X34Y115 TILE_Y 137272 TILEPROP DSP_L_X34Y115 TYPE DSP_L TILEPROP DSP_L_X34Y120 CLASS tile TILEPROP DSP_L_X34Y120 COLUMN 86 TILEPROP DSP_L_X34Y120 DEVICE_ID 0 TILEPROP DSP_L_X34Y120 FIRST_SITE_ID 3145 TILEPROP DSP_L_X34Y120 GRID_POINT_X 86 TILEPROP DSP_L_X34Y120 GRID_POINT_Y 31 TILEPROP DSP_L_X34Y120 INDEX 3651 TILEPROP DSP_L_X34Y120 INT_TILE_X 33 TILEPROP DSP_L_X34Y120 INT_TILE_Y 29 TILEPROP DSP_L_X34Y120 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y120 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y120 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y120 NAME DSP_L_X34Y120 TILEPROP DSP_L_X34Y120 NUM_ARCS 794 TILEPROP DSP_L_X34Y120 NUM_SITES 3 TILEPROP DSP_L_X34Y120 ROW 31 TILEPROP DSP_L_X34Y120 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y120 TILE_PATTERN_IDX 1024 TILEPROP DSP_L_X34Y120 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y120 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y120 TILE_X 56639 TILEPROP DSP_L_X34Y120 TILE_Y 153272 TILEPROP DSP_L_X34Y120 TYPE DSP_L TILEPROP DSP_L_X34Y125 CLASS tile TILEPROP DSP_L_X34Y125 COLUMN 86 TILEPROP DSP_L_X34Y125 DEVICE_ID 0 TILEPROP DSP_L_X34Y125 FIRST_SITE_ID 2602 TILEPROP DSP_L_X34Y125 GRID_POINT_X 86 TILEPROP DSP_L_X34Y125 GRID_POINT_Y 25 TILEPROP DSP_L_X34Y125 INDEX 2961 TILEPROP DSP_L_X34Y125 INT_TILE_X 33 TILEPROP DSP_L_X34Y125 INT_TILE_Y 24 TILEPROP DSP_L_X34Y125 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y125 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y125 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y125 NAME DSP_L_X34Y125 TILEPROP DSP_L_X34Y125 NUM_ARCS 794 TILEPROP DSP_L_X34Y125 NUM_SITES 3 TILEPROP DSP_L_X34Y125 ROW 25 TILEPROP DSP_L_X34Y125 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y125 TILE_PATTERN_IDX 822 TILEPROP DSP_L_X34Y125 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y125 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y125 TILE_X 56639 TILEPROP DSP_L_X34Y125 TILE_Y 170296 TILEPROP DSP_L_X34Y125 TYPE DSP_L TILEPROP DSP_L_X34Y130 CLASS tile TILEPROP DSP_L_X34Y130 COLUMN 86 TILEPROP DSP_L_X34Y130 DEVICE_ID 0 TILEPROP DSP_L_X34Y130 FIRST_SITE_ID 2080 TILEPROP DSP_L_X34Y130 GRID_POINT_X 86 TILEPROP DSP_L_X34Y130 GRID_POINT_Y 20 TILEPROP DSP_L_X34Y130 INDEX 2386 TILEPROP DSP_L_X34Y130 INT_TILE_X 33 TILEPROP DSP_L_X34Y130 INT_TILE_Y 19 TILEPROP DSP_L_X34Y130 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y130 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y130 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y130 NAME DSP_L_X34Y130 TILEPROP DSP_L_X34Y130 NUM_ARCS 794 TILEPROP DSP_L_X34Y130 NUM_SITES 3 TILEPROP DSP_L_X34Y130 ROW 20 TILEPROP DSP_L_X34Y130 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y130 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y130 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y130 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y130 TILE_X 56639 TILEPROP DSP_L_X34Y130 TILE_Y 186296 TILEPROP DSP_L_X34Y130 TYPE DSP_L TILEPROP DSP_L_X34Y135 CLASS tile TILEPROP DSP_L_X34Y135 COLUMN 86 TILEPROP DSP_L_X34Y135 DEVICE_ID 0 TILEPROP DSP_L_X34Y135 FIRST_SITE_ID 1565 TILEPROP DSP_L_X34Y135 GRID_POINT_X 86 TILEPROP DSP_L_X34Y135 GRID_POINT_Y 15 TILEPROP DSP_L_X34Y135 INDEX 1811 TILEPROP DSP_L_X34Y135 INT_TILE_X 33 TILEPROP DSP_L_X34Y135 INT_TILE_Y 14 TILEPROP DSP_L_X34Y135 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y135 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y135 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y135 NAME DSP_L_X34Y135 TILEPROP DSP_L_X34Y135 NUM_ARCS 794 TILEPROP DSP_L_X34Y135 NUM_SITES 3 TILEPROP DSP_L_X34Y135 ROW 15 TILEPROP DSP_L_X34Y135 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y135 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y135 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y135 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y135 TILE_X 56639 TILEPROP DSP_L_X34Y135 TILE_Y 202296 TILEPROP DSP_L_X34Y135 TYPE DSP_L TILEPROP DSP_L_X34Y140 CLASS tile TILEPROP DSP_L_X34Y140 COLUMN 86 TILEPROP DSP_L_X34Y140 DEVICE_ID 0 TILEPROP DSP_L_X34Y140 FIRST_SITE_ID 1017 TILEPROP DSP_L_X34Y140 GRID_POINT_X 86 TILEPROP DSP_L_X34Y140 GRID_POINT_Y 10 TILEPROP DSP_L_X34Y140 INDEX 1236 TILEPROP DSP_L_X34Y140 INT_TILE_X 33 TILEPROP DSP_L_X34Y140 INT_TILE_Y 9 TILEPROP DSP_L_X34Y140 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y140 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y140 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y140 NAME DSP_L_X34Y140 TILEPROP DSP_L_X34Y140 NUM_ARCS 794 TILEPROP DSP_L_X34Y140 NUM_SITES 3 TILEPROP DSP_L_X34Y140 ROW 10 TILEPROP DSP_L_X34Y140 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y140 TILE_PATTERN_IDX 346 TILEPROP DSP_L_X34Y140 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y140 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y140 TILE_X 56639 TILEPROP DSP_L_X34Y140 TILE_Y 218296 TILEPROP DSP_L_X34Y140 TYPE DSP_L TILEPROP DSP_L_X34Y145 CLASS tile TILEPROP DSP_L_X34Y145 COLUMN 86 TILEPROP DSP_L_X34Y145 DEVICE_ID 0 TILEPROP DSP_L_X34Y145 FIRST_SITE_ID 501 TILEPROP DSP_L_X34Y145 GRID_POINT_X 86 TILEPROP DSP_L_X34Y145 GRID_POINT_Y 5 TILEPROP DSP_L_X34Y145 INDEX 661 TILEPROP DSP_L_X34Y145 INT_TILE_X 33 TILEPROP DSP_L_X34Y145 INT_TILE_Y 4 TILEPROP DSP_L_X34Y145 IS_CENTER_TILE 0 TILEPROP DSP_L_X34Y145 IS_DCM_TILE 0 TILEPROP DSP_L_X34Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_L_X34Y145 IS_GT_SITE_TILE 0 TILEPROP DSP_L_X34Y145 NAME DSP_L_X34Y145 TILEPROP DSP_L_X34Y145 NUM_ARCS 794 TILEPROP DSP_L_X34Y145 NUM_SITES 3 TILEPROP DSP_L_X34Y145 ROW 5 TILEPROP DSP_L_X34Y145 SLR_REGION_ID 0 TILEPROP DSP_L_X34Y145 TILE_PATTERN_IDX 188 TILEPROP DSP_L_X34Y145 TILE_TYPE DSP_L TILEPROP DSP_L_X34Y145 TILE_TYPE_INDEX 46 TILEPROP DSP_L_X34Y145 TILE_X 56639 TILEPROP DSP_L_X34Y145 TILE_Y 234296 TILEPROP DSP_L_X34Y145 TYPE DSP_L TILEPROP DSP_R_X9Y0 CLASS tile TILEPROP DSP_R_X9Y0 COLUMN 28 TILEPROP DSP_R_X9Y0 DEVICE_ID 0 TILEPROP DSP_R_X9Y0 FIRST_SITE_ID 15731 TILEPROP DSP_R_X9Y0 GRID_POINT_X 28 TILEPROP DSP_R_X9Y0 GRID_POINT_Y 155 TILEPROP DSP_R_X9Y0 INDEX 17853 TILEPROP DSP_R_X9Y0 INT_TILE_X 9 TILEPROP DSP_R_X9Y0 INT_TILE_Y 149 TILEPROP DSP_R_X9Y0 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y0 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y0 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y0 NAME DSP_R_X9Y0 TILEPROP DSP_R_X9Y0 NUM_ARCS 794 TILEPROP DSP_R_X9Y0 NUM_SITES 3 TILEPROP DSP_R_X9Y0 ROW 155 TILEPROP DSP_R_X9Y0 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y0 TILE_PATTERN_IDX 3511 TILEPROP DSP_R_X9Y0 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y0 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y0 TILE_X -50623 TILEPROP DSP_R_X9Y0 TILE_Y -233272 TILEPROP DSP_R_X9Y0 TYPE DSP_R TILEPROP DSP_R_X9Y5 CLASS tile TILEPROP DSP_R_X9Y5 COLUMN 28 TILEPROP DSP_R_X9Y5 DEVICE_ID 0 TILEPROP DSP_R_X9Y5 FIRST_SITE_ID 15211 TILEPROP DSP_R_X9Y5 GRID_POINT_X 28 TILEPROP DSP_R_X9Y5 GRID_POINT_Y 150 TILEPROP DSP_R_X9Y5 INDEX 17278 TILEPROP DSP_R_X9Y5 INT_TILE_X 9 TILEPROP DSP_R_X9Y5 INT_TILE_Y 144 TILEPROP DSP_R_X9Y5 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y5 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y5 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y5 NAME DSP_R_X9Y5 TILEPROP DSP_R_X9Y5 NUM_ARCS 794 TILEPROP DSP_R_X9Y5 NUM_SITES 3 TILEPROP DSP_R_X9Y5 ROW 150 TILEPROP DSP_R_X9Y5 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y5 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y5 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y5 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y5 TILE_X -50623 TILEPROP DSP_R_X9Y5 TILE_Y -217272 TILEPROP DSP_R_X9Y5 TYPE DSP_R TILEPROP DSP_R_X9Y10 CLASS tile TILEPROP DSP_R_X9Y10 COLUMN 28 TILEPROP DSP_R_X9Y10 DEVICE_ID 0 TILEPROP DSP_R_X9Y10 FIRST_SITE_ID 14690 TILEPROP DSP_R_X9Y10 GRID_POINT_X 28 TILEPROP DSP_R_X9Y10 GRID_POINT_Y 145 TILEPROP DSP_R_X9Y10 INDEX 16703 TILEPROP DSP_R_X9Y10 INT_TILE_X 9 TILEPROP DSP_R_X9Y10 INT_TILE_Y 139 TILEPROP DSP_R_X9Y10 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y10 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y10 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y10 NAME DSP_R_X9Y10 TILEPROP DSP_R_X9Y10 NUM_ARCS 794 TILEPROP DSP_R_X9Y10 NUM_SITES 3 TILEPROP DSP_R_X9Y10 ROW 145 TILEPROP DSP_R_X9Y10 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y10 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y10 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y10 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y10 TILE_X -50623 TILEPROP DSP_R_X9Y10 TILE_Y -201272 TILEPROP DSP_R_X9Y10 TYPE DSP_R TILEPROP DSP_R_X9Y15 CLASS tile TILEPROP DSP_R_X9Y15 COLUMN 28 TILEPROP DSP_R_X9Y15 DEVICE_ID 0 TILEPROP DSP_R_X9Y15 FIRST_SITE_ID 14143 TILEPROP DSP_R_X9Y15 GRID_POINT_X 28 TILEPROP DSP_R_X9Y15 GRID_POINT_Y 140 TILEPROP DSP_R_X9Y15 INDEX 16128 TILEPROP DSP_R_X9Y15 INT_TILE_X 9 TILEPROP DSP_R_X9Y15 INT_TILE_Y 134 TILEPROP DSP_R_X9Y15 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y15 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y15 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y15 NAME DSP_R_X9Y15 TILEPROP DSP_R_X9Y15 NUM_ARCS 794 TILEPROP DSP_R_X9Y15 NUM_SITES 3 TILEPROP DSP_R_X9Y15 ROW 140 TILEPROP DSP_R_X9Y15 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y15 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y15 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y15 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y15 TILE_X -50623 TILEPROP DSP_R_X9Y15 TILE_Y -185272 TILEPROP DSP_R_X9Y15 TYPE DSP_R TILEPROP DSP_R_X9Y20 CLASS tile TILEPROP DSP_R_X9Y20 COLUMN 28 TILEPROP DSP_R_X9Y20 DEVICE_ID 0 TILEPROP DSP_R_X9Y20 FIRST_SITE_ID 13615 TILEPROP DSP_R_X9Y20 GRID_POINT_X 28 TILEPROP DSP_R_X9Y20 GRID_POINT_Y 135 TILEPROP DSP_R_X9Y20 INDEX 15553 TILEPROP DSP_R_X9Y20 INT_TILE_X 9 TILEPROP DSP_R_X9Y20 INT_TILE_Y 129 TILEPROP DSP_R_X9Y20 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y20 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y20 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y20 NAME DSP_R_X9Y20 TILEPROP DSP_R_X9Y20 NUM_ARCS 794 TILEPROP DSP_R_X9Y20 NUM_SITES 3 TILEPROP DSP_R_X9Y20 ROW 135 TILEPROP DSP_R_X9Y20 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y20 TILE_PATTERN_IDX 1010 TILEPROP DSP_R_X9Y20 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y20 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y20 TILE_X -50623 TILEPROP DSP_R_X9Y20 TILE_Y -169272 TILEPROP DSP_R_X9Y20 TYPE DSP_R TILEPROP DSP_R_X9Y25 CLASS tile TILEPROP DSP_R_X9Y25 COLUMN 28 TILEPROP DSP_R_X9Y25 DEVICE_ID 0 TILEPROP DSP_R_X9Y25 FIRST_SITE_ID 13017 TILEPROP DSP_R_X9Y25 GRID_POINT_X 28 TILEPROP DSP_R_X9Y25 GRID_POINT_Y 129 TILEPROP DSP_R_X9Y25 INDEX 14863 TILEPROP DSP_R_X9Y25 INT_TILE_X 9 TILEPROP DSP_R_X9Y25 INT_TILE_Y 124 TILEPROP DSP_R_X9Y25 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y25 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y25 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y25 NAME DSP_R_X9Y25 TILEPROP DSP_R_X9Y25 NUM_ARCS 794 TILEPROP DSP_R_X9Y25 NUM_SITES 3 TILEPROP DSP_R_X9Y25 ROW 129 TILEPROP DSP_R_X9Y25 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y25 TILE_PATTERN_IDX 802 TILEPROP DSP_R_X9Y25 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y25 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y25 TILE_X -50623 TILEPROP DSP_R_X9Y25 TILE_Y -152248 TILEPROP DSP_R_X9Y25 TYPE DSP_R TILEPROP DSP_R_X9Y30 CLASS tile TILEPROP DSP_R_X9Y30 COLUMN 28 TILEPROP DSP_R_X9Y30 DEVICE_ID 0 TILEPROP DSP_R_X9Y30 FIRST_SITE_ID 12477 TILEPROP DSP_R_X9Y30 GRID_POINT_X 28 TILEPROP DSP_R_X9Y30 GRID_POINT_Y 124 TILEPROP DSP_R_X9Y30 INDEX 14288 TILEPROP DSP_R_X9Y30 INT_TILE_X 9 TILEPROP DSP_R_X9Y30 INT_TILE_Y 119 TILEPROP DSP_R_X9Y30 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y30 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y30 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y30 NAME DSP_R_X9Y30 TILEPROP DSP_R_X9Y30 NUM_ARCS 794 TILEPROP DSP_R_X9Y30 NUM_SITES 3 TILEPROP DSP_R_X9Y30 ROW 124 TILEPROP DSP_R_X9Y30 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y30 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y30 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y30 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y30 TILE_X -50623 TILEPROP DSP_R_X9Y30 TILE_Y -136248 TILEPROP DSP_R_X9Y30 TYPE DSP_R TILEPROP DSP_R_X9Y35 CLASS tile TILEPROP DSP_R_X9Y35 COLUMN 28 TILEPROP DSP_R_X9Y35 DEVICE_ID 0 TILEPROP DSP_R_X9Y35 FIRST_SITE_ID 11957 TILEPROP DSP_R_X9Y35 GRID_POINT_X 28 TILEPROP DSP_R_X9Y35 GRID_POINT_Y 119 TILEPROP DSP_R_X9Y35 INDEX 13713 TILEPROP DSP_R_X9Y35 INT_TILE_X 9 TILEPROP DSP_R_X9Y35 INT_TILE_Y 114 TILEPROP DSP_R_X9Y35 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y35 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y35 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y35 NAME DSP_R_X9Y35 TILEPROP DSP_R_X9Y35 NUM_ARCS 794 TILEPROP DSP_R_X9Y35 NUM_SITES 3 TILEPROP DSP_R_X9Y35 ROW 119 TILEPROP DSP_R_X9Y35 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y35 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y35 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y35 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y35 TILE_X -50623 TILEPROP DSP_R_X9Y35 TILE_Y -120248 TILEPROP DSP_R_X9Y35 TYPE DSP_R TILEPROP DSP_R_X9Y40 CLASS tile TILEPROP DSP_R_X9Y40 COLUMN 28 TILEPROP DSP_R_X9Y40 DEVICE_ID 0 TILEPROP DSP_R_X9Y40 FIRST_SITE_ID 11408 TILEPROP DSP_R_X9Y40 GRID_POINT_X 28 TILEPROP DSP_R_X9Y40 GRID_POINT_Y 114 TILEPROP DSP_R_X9Y40 INDEX 13138 TILEPROP DSP_R_X9Y40 INT_TILE_X 9 TILEPROP DSP_R_X9Y40 INT_TILE_Y 109 TILEPROP DSP_R_X9Y40 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y40 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y40 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y40 NAME DSP_R_X9Y40 TILEPROP DSP_R_X9Y40 NUM_ARCS 794 TILEPROP DSP_R_X9Y40 NUM_SITES 3 TILEPROP DSP_R_X9Y40 ROW 114 TILEPROP DSP_R_X9Y40 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y40 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y40 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y40 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y40 TILE_X -50623 TILEPROP DSP_R_X9Y40 TILE_Y -104248 TILEPROP DSP_R_X9Y40 TYPE DSP_R TILEPROP DSP_R_X9Y45 CLASS tile TILEPROP DSP_R_X9Y45 COLUMN 28 TILEPROP DSP_R_X9Y45 DEVICE_ID 0 TILEPROP DSP_R_X9Y45 FIRST_SITE_ID 10885 TILEPROP DSP_R_X9Y45 GRID_POINT_X 28 TILEPROP DSP_R_X9Y45 GRID_POINT_Y 109 TILEPROP DSP_R_X9Y45 INDEX 12563 TILEPROP DSP_R_X9Y45 INT_TILE_X 9 TILEPROP DSP_R_X9Y45 INT_TILE_Y 104 TILEPROP DSP_R_X9Y45 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y45 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y45 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y45 NAME DSP_R_X9Y45 TILEPROP DSP_R_X9Y45 NUM_ARCS 794 TILEPROP DSP_R_X9Y45 NUM_SITES 3 TILEPROP DSP_R_X9Y45 ROW 109 TILEPROP DSP_R_X9Y45 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y45 TILE_PATTERN_IDX 1815 TILEPROP DSP_R_X9Y45 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y45 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y45 TILE_X -50623 TILEPROP DSP_R_X9Y45 TILE_Y -88248 TILEPROP DSP_R_X9Y45 TYPE DSP_R TILEPROP DSP_R_X9Y50 CLASS tile TILEPROP DSP_R_X9Y50 COLUMN 28 TILEPROP DSP_R_X9Y50 DEVICE_ID 0 TILEPROP DSP_R_X9Y50 FIRST_SITE_ID 10342 TILEPROP DSP_R_X9Y50 GRID_POINT_X 28 TILEPROP DSP_R_X9Y50 GRID_POINT_Y 103 TILEPROP DSP_R_X9Y50 INDEX 11873 TILEPROP DSP_R_X9Y50 INT_TILE_X 9 TILEPROP DSP_R_X9Y50 INT_TILE_Y 99 TILEPROP DSP_R_X9Y50 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y50 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y50 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y50 NAME DSP_R_X9Y50 TILEPROP DSP_R_X9Y50 NUM_ARCS 794 TILEPROP DSP_R_X9Y50 NUM_SITES 3 TILEPROP DSP_R_X9Y50 ROW 103 TILEPROP DSP_R_X9Y50 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y50 TILE_PATTERN_IDX 1612 TILEPROP DSP_R_X9Y50 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y50 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y50 TILE_X -50623 TILEPROP DSP_R_X9Y50 TILE_Y -72000 TILEPROP DSP_R_X9Y50 TYPE DSP_R TILEPROP DSP_R_X9Y55 CLASS tile TILEPROP DSP_R_X9Y55 COLUMN 28 TILEPROP DSP_R_X9Y55 DEVICE_ID 0 TILEPROP DSP_R_X9Y55 FIRST_SITE_ID 9823 TILEPROP DSP_R_X9Y55 GRID_POINT_X 28 TILEPROP DSP_R_X9Y55 GRID_POINT_Y 98 TILEPROP DSP_R_X9Y55 INDEX 11298 TILEPROP DSP_R_X9Y55 INT_TILE_X 9 TILEPROP DSP_R_X9Y55 INT_TILE_Y 94 TILEPROP DSP_R_X9Y55 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y55 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y55 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y55 NAME DSP_R_X9Y55 TILEPROP DSP_R_X9Y55 NUM_ARCS 794 TILEPROP DSP_R_X9Y55 NUM_SITES 3 TILEPROP DSP_R_X9Y55 ROW 98 TILEPROP DSP_R_X9Y55 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y55 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y55 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y55 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y55 TILE_X -50623 TILEPROP DSP_R_X9Y55 TILE_Y -56000 TILEPROP DSP_R_X9Y55 TYPE DSP_R TILEPROP DSP_R_X9Y60 CLASS tile TILEPROP DSP_R_X9Y60 COLUMN 28 TILEPROP DSP_R_X9Y60 DEVICE_ID 0 TILEPROP DSP_R_X9Y60 FIRST_SITE_ID 9302 TILEPROP DSP_R_X9Y60 GRID_POINT_X 28 TILEPROP DSP_R_X9Y60 GRID_POINT_Y 93 TILEPROP DSP_R_X9Y60 INDEX 10723 TILEPROP DSP_R_X9Y60 INT_TILE_X 9 TILEPROP DSP_R_X9Y60 INT_TILE_Y 89 TILEPROP DSP_R_X9Y60 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y60 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y60 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y60 NAME DSP_R_X9Y60 TILEPROP DSP_R_X9Y60 NUM_ARCS 794 TILEPROP DSP_R_X9Y60 NUM_SITES 3 TILEPROP DSP_R_X9Y60 ROW 93 TILEPROP DSP_R_X9Y60 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y60 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y60 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y60 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y60 TILE_X -50623 TILEPROP DSP_R_X9Y60 TILE_Y -40000 TILEPROP DSP_R_X9Y60 TYPE DSP_R TILEPROP DSP_R_X9Y65 CLASS tile TILEPROP DSP_R_X9Y65 COLUMN 28 TILEPROP DSP_R_X9Y65 DEVICE_ID 0 TILEPROP DSP_R_X9Y65 FIRST_SITE_ID 8755 TILEPROP DSP_R_X9Y65 GRID_POINT_X 28 TILEPROP DSP_R_X9Y65 GRID_POINT_Y 88 TILEPROP DSP_R_X9Y65 INDEX 10148 TILEPROP DSP_R_X9Y65 INT_TILE_X 9 TILEPROP DSP_R_X9Y65 INT_TILE_Y 84 TILEPROP DSP_R_X9Y65 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y65 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y65 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y65 NAME DSP_R_X9Y65 TILEPROP DSP_R_X9Y65 NUM_ARCS 794 TILEPROP DSP_R_X9Y65 NUM_SITES 3 TILEPROP DSP_R_X9Y65 ROW 88 TILEPROP DSP_R_X9Y65 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y65 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y65 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y65 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y65 TILE_X -50623 TILEPROP DSP_R_X9Y65 TILE_Y -24000 TILEPROP DSP_R_X9Y65 TYPE DSP_R TILEPROP DSP_R_X9Y70 CLASS tile TILEPROP DSP_R_X9Y70 COLUMN 28 TILEPROP DSP_R_X9Y70 DEVICE_ID 0 TILEPROP DSP_R_X9Y70 FIRST_SITE_ID 8228 TILEPROP DSP_R_X9Y70 GRID_POINT_X 28 TILEPROP DSP_R_X9Y70 GRID_POINT_Y 83 TILEPROP DSP_R_X9Y70 INDEX 9573 TILEPROP DSP_R_X9Y70 INT_TILE_X 9 TILEPROP DSP_R_X9Y70 INT_TILE_Y 79 TILEPROP DSP_R_X9Y70 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y70 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y70 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y70 NAME DSP_R_X9Y70 TILEPROP DSP_R_X9Y70 NUM_ARCS 794 TILEPROP DSP_R_X9Y70 NUM_SITES 3 TILEPROP DSP_R_X9Y70 ROW 83 TILEPROP DSP_R_X9Y70 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y70 TILE_PATTERN_IDX 1010 TILEPROP DSP_R_X9Y70 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y70 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y70 TILE_X -50623 TILEPROP DSP_R_X9Y70 TILE_Y -8000 TILEPROP DSP_R_X9Y70 TYPE DSP_R TILEPROP DSP_R_X9Y75 CLASS tile TILEPROP DSP_R_X9Y75 COLUMN 28 TILEPROP DSP_R_X9Y75 DEVICE_ID 0 TILEPROP DSP_R_X9Y75 FIRST_SITE_ID 7627 TILEPROP DSP_R_X9Y75 GRID_POINT_X 28 TILEPROP DSP_R_X9Y75 GRID_POINT_Y 77 TILEPROP DSP_R_X9Y75 INDEX 8883 TILEPROP DSP_R_X9Y75 INT_TILE_X 9 TILEPROP DSP_R_X9Y75 INT_TILE_Y 74 TILEPROP DSP_R_X9Y75 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y75 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y75 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y75 NAME DSP_R_X9Y75 TILEPROP DSP_R_X9Y75 NUM_ARCS 794 TILEPROP DSP_R_X9Y75 NUM_SITES 3 TILEPROP DSP_R_X9Y75 ROW 77 TILEPROP DSP_R_X9Y75 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y75 TILE_PATTERN_IDX 802 TILEPROP DSP_R_X9Y75 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y75 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y75 TILE_X -50623 TILEPROP DSP_R_X9Y75 TILE_Y 9024 TILEPROP DSP_R_X9Y75 TYPE DSP_R TILEPROP DSP_R_X9Y80 CLASS tile TILEPROP DSP_R_X9Y80 COLUMN 28 TILEPROP DSP_R_X9Y80 DEVICE_ID 0 TILEPROP DSP_R_X9Y80 FIRST_SITE_ID 7100 TILEPROP DSP_R_X9Y80 GRID_POINT_X 28 TILEPROP DSP_R_X9Y80 GRID_POINT_Y 72 TILEPROP DSP_R_X9Y80 INDEX 8308 TILEPROP DSP_R_X9Y80 INT_TILE_X 9 TILEPROP DSP_R_X9Y80 INT_TILE_Y 69 TILEPROP DSP_R_X9Y80 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y80 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y80 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y80 NAME DSP_R_X9Y80 TILEPROP DSP_R_X9Y80 NUM_ARCS 794 TILEPROP DSP_R_X9Y80 NUM_SITES 3 TILEPROP DSP_R_X9Y80 ROW 72 TILEPROP DSP_R_X9Y80 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y80 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y80 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y80 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y80 TILE_X -50623 TILEPROP DSP_R_X9Y80 TILE_Y 25024 TILEPROP DSP_R_X9Y80 TYPE DSP_R TILEPROP DSP_R_X9Y85 CLASS tile TILEPROP DSP_R_X9Y85 COLUMN 28 TILEPROP DSP_R_X9Y85 DEVICE_ID 0 TILEPROP DSP_R_X9Y85 FIRST_SITE_ID 6581 TILEPROP DSP_R_X9Y85 GRID_POINT_X 28 TILEPROP DSP_R_X9Y85 GRID_POINT_Y 67 TILEPROP DSP_R_X9Y85 INDEX 7733 TILEPROP DSP_R_X9Y85 INT_TILE_X 9 TILEPROP DSP_R_X9Y85 INT_TILE_Y 64 TILEPROP DSP_R_X9Y85 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y85 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y85 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y85 NAME DSP_R_X9Y85 TILEPROP DSP_R_X9Y85 NUM_ARCS 794 TILEPROP DSP_R_X9Y85 NUM_SITES 3 TILEPROP DSP_R_X9Y85 ROW 67 TILEPROP DSP_R_X9Y85 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y85 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y85 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y85 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y85 TILE_X -50623 TILEPROP DSP_R_X9Y85 TILE_Y 41024 TILEPROP DSP_R_X9Y85 TYPE DSP_R TILEPROP DSP_R_X9Y90 CLASS tile TILEPROP DSP_R_X9Y90 COLUMN 28 TILEPROP DSP_R_X9Y90 DEVICE_ID 0 TILEPROP DSP_R_X9Y90 FIRST_SITE_ID 6034 TILEPROP DSP_R_X9Y90 GRID_POINT_X 28 TILEPROP DSP_R_X9Y90 GRID_POINT_Y 62 TILEPROP DSP_R_X9Y90 INDEX 7158 TILEPROP DSP_R_X9Y90 INT_TILE_X 9 TILEPROP DSP_R_X9Y90 INT_TILE_Y 59 TILEPROP DSP_R_X9Y90 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y90 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y90 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y90 NAME DSP_R_X9Y90 TILEPROP DSP_R_X9Y90 NUM_ARCS 794 TILEPROP DSP_R_X9Y90 NUM_SITES 3 TILEPROP DSP_R_X9Y90 ROW 62 TILEPROP DSP_R_X9Y90 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y90 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y90 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y90 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y90 TILE_X -50623 TILEPROP DSP_R_X9Y90 TILE_Y 57024 TILEPROP DSP_R_X9Y90 TYPE DSP_R TILEPROP DSP_R_X9Y95 CLASS tile TILEPROP DSP_R_X9Y95 COLUMN 28 TILEPROP DSP_R_X9Y95 DEVICE_ID 0 TILEPROP DSP_R_X9Y95 FIRST_SITE_ID 5513 TILEPROP DSP_R_X9Y95 GRID_POINT_X 28 TILEPROP DSP_R_X9Y95 GRID_POINT_Y 57 TILEPROP DSP_R_X9Y95 INDEX 6583 TILEPROP DSP_R_X9Y95 INT_TILE_X 9 TILEPROP DSP_R_X9Y95 INT_TILE_Y 54 TILEPROP DSP_R_X9Y95 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y95 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y95 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y95 NAME DSP_R_X9Y95 TILEPROP DSP_R_X9Y95 NUM_ARCS 794 TILEPROP DSP_R_X9Y95 NUM_SITES 3 TILEPROP DSP_R_X9Y95 ROW 57 TILEPROP DSP_R_X9Y95 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y95 TILE_PATTERN_IDX 1815 TILEPROP DSP_R_X9Y95 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y95 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y95 TILE_X -50623 TILEPROP DSP_R_X9Y95 TILE_Y 73024 TILEPROP DSP_R_X9Y95 TYPE DSP_R TILEPROP DSP_R_X9Y100 CLASS tile TILEPROP DSP_R_X9Y100 COLUMN 28 TILEPROP DSP_R_X9Y100 DEVICE_ID 0 TILEPROP DSP_R_X9Y100 FIRST_SITE_ID 5016 TILEPROP DSP_R_X9Y100 GRID_POINT_X 28 TILEPROP DSP_R_X9Y100 GRID_POINT_Y 51 TILEPROP DSP_R_X9Y100 INDEX 5893 TILEPROP DSP_R_X9Y100 INT_TILE_X 9 TILEPROP DSP_R_X9Y100 INT_TILE_Y 49 TILEPROP DSP_R_X9Y100 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y100 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y100 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y100 NAME DSP_R_X9Y100 TILEPROP DSP_R_X9Y100 NUM_ARCS 794 TILEPROP DSP_R_X9Y100 NUM_SITES 3 TILEPROP DSP_R_X9Y100 ROW 51 TILEPROP DSP_R_X9Y100 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y100 TILE_PATTERN_IDX 1612 TILEPROP DSP_R_X9Y100 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y100 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y100 TILE_X -50623 TILEPROP DSP_R_X9Y100 TILE_Y 89272 TILEPROP DSP_R_X9Y100 TYPE DSP_R TILEPROP DSP_R_X9Y105 CLASS tile TILEPROP DSP_R_X9Y105 COLUMN 28 TILEPROP DSP_R_X9Y105 DEVICE_ID 0 TILEPROP DSP_R_X9Y105 FIRST_SITE_ID 4542 TILEPROP DSP_R_X9Y105 GRID_POINT_X 28 TILEPROP DSP_R_X9Y105 GRID_POINT_Y 46 TILEPROP DSP_R_X9Y105 INDEX 5318 TILEPROP DSP_R_X9Y105 INT_TILE_X 9 TILEPROP DSP_R_X9Y105 INT_TILE_Y 44 TILEPROP DSP_R_X9Y105 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y105 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y105 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y105 NAME DSP_R_X9Y105 TILEPROP DSP_R_X9Y105 NUM_ARCS 794 TILEPROP DSP_R_X9Y105 NUM_SITES 3 TILEPROP DSP_R_X9Y105 ROW 46 TILEPROP DSP_R_X9Y105 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y105 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y105 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y105 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y105 TILE_X -50623 TILEPROP DSP_R_X9Y105 TILE_Y 105272 TILEPROP DSP_R_X9Y105 TYPE DSP_R TILEPROP DSP_R_X9Y110 CLASS tile TILEPROP DSP_R_X9Y110 COLUMN 28 TILEPROP DSP_R_X9Y110 DEVICE_ID 0 TILEPROP DSP_R_X9Y110 FIRST_SITE_ID 4065 TILEPROP DSP_R_X9Y110 GRID_POINT_X 28 TILEPROP DSP_R_X9Y110 GRID_POINT_Y 41 TILEPROP DSP_R_X9Y110 INDEX 4743 TILEPROP DSP_R_X9Y110 INT_TILE_X 9 TILEPROP DSP_R_X9Y110 INT_TILE_Y 39 TILEPROP DSP_R_X9Y110 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y110 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y110 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y110 NAME DSP_R_X9Y110 TILEPROP DSP_R_X9Y110 NUM_ARCS 794 TILEPROP DSP_R_X9Y110 NUM_SITES 3 TILEPROP DSP_R_X9Y110 ROW 41 TILEPROP DSP_R_X9Y110 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y110 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y110 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y110 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y110 TILE_X -50623 TILEPROP DSP_R_X9Y110 TILE_Y 121272 TILEPROP DSP_R_X9Y110 TYPE DSP_R TILEPROP DSP_R_X9Y115 CLASS tile TILEPROP DSP_R_X9Y115 COLUMN 28 TILEPROP DSP_R_X9Y115 DEVICE_ID 0 TILEPROP DSP_R_X9Y115 FIRST_SITE_ID 3568 TILEPROP DSP_R_X9Y115 GRID_POINT_X 28 TILEPROP DSP_R_X9Y115 GRID_POINT_Y 36 TILEPROP DSP_R_X9Y115 INDEX 4168 TILEPROP DSP_R_X9Y115 INT_TILE_X 9 TILEPROP DSP_R_X9Y115 INT_TILE_Y 34 TILEPROP DSP_R_X9Y115 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y115 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y115 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y115 NAME DSP_R_X9Y115 TILEPROP DSP_R_X9Y115 NUM_ARCS 794 TILEPROP DSP_R_X9Y115 NUM_SITES 3 TILEPROP DSP_R_X9Y115 ROW 36 TILEPROP DSP_R_X9Y115 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y115 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y115 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y115 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y115 TILE_X -50623 TILEPROP DSP_R_X9Y115 TILE_Y 137272 TILEPROP DSP_R_X9Y115 TYPE DSP_R TILEPROP DSP_R_X9Y120 CLASS tile TILEPROP DSP_R_X9Y120 COLUMN 28 TILEPROP DSP_R_X9Y120 DEVICE_ID 0 TILEPROP DSP_R_X9Y120 FIRST_SITE_ID 3084 TILEPROP DSP_R_X9Y120 GRID_POINT_X 28 TILEPROP DSP_R_X9Y120 GRID_POINT_Y 31 TILEPROP DSP_R_X9Y120 INDEX 3593 TILEPROP DSP_R_X9Y120 INT_TILE_X 9 TILEPROP DSP_R_X9Y120 INT_TILE_Y 29 TILEPROP DSP_R_X9Y120 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y120 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y120 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y120 NAME DSP_R_X9Y120 TILEPROP DSP_R_X9Y120 NUM_ARCS 794 TILEPROP DSP_R_X9Y120 NUM_SITES 3 TILEPROP DSP_R_X9Y120 ROW 31 TILEPROP DSP_R_X9Y120 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y120 TILE_PATTERN_IDX 1010 TILEPROP DSP_R_X9Y120 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y120 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y120 TILE_X -50623 TILEPROP DSP_R_X9Y120 TILE_Y 153272 TILEPROP DSP_R_X9Y120 TYPE DSP_R TILEPROP DSP_R_X9Y125 CLASS tile TILEPROP DSP_R_X9Y125 COLUMN 28 TILEPROP DSP_R_X9Y125 DEVICE_ID 0 TILEPROP DSP_R_X9Y125 FIRST_SITE_ID 2530 TILEPROP DSP_R_X9Y125 GRID_POINT_X 28 TILEPROP DSP_R_X9Y125 GRID_POINT_Y 25 TILEPROP DSP_R_X9Y125 INDEX 2903 TILEPROP DSP_R_X9Y125 INT_TILE_X 9 TILEPROP DSP_R_X9Y125 INT_TILE_Y 24 TILEPROP DSP_R_X9Y125 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y125 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y125 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y125 NAME DSP_R_X9Y125 TILEPROP DSP_R_X9Y125 NUM_ARCS 794 TILEPROP DSP_R_X9Y125 NUM_SITES 3 TILEPROP DSP_R_X9Y125 ROW 25 TILEPROP DSP_R_X9Y125 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y125 TILE_PATTERN_IDX 802 TILEPROP DSP_R_X9Y125 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y125 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y125 TILE_X -50623 TILEPROP DSP_R_X9Y125 TILE_Y 170296 TILEPROP DSP_R_X9Y125 TYPE DSP_R TILEPROP DSP_R_X9Y130 CLASS tile TILEPROP DSP_R_X9Y130 COLUMN 28 TILEPROP DSP_R_X9Y130 DEVICE_ID 0 TILEPROP DSP_R_X9Y130 FIRST_SITE_ID 2008 TILEPROP DSP_R_X9Y130 GRID_POINT_X 28 TILEPROP DSP_R_X9Y130 GRID_POINT_Y 20 TILEPROP DSP_R_X9Y130 INDEX 2328 TILEPROP DSP_R_X9Y130 INT_TILE_X 9 TILEPROP DSP_R_X9Y130 INT_TILE_Y 19 TILEPROP DSP_R_X9Y130 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y130 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y130 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y130 NAME DSP_R_X9Y130 TILEPROP DSP_R_X9Y130 NUM_ARCS 794 TILEPROP DSP_R_X9Y130 NUM_SITES 3 TILEPROP DSP_R_X9Y130 ROW 20 TILEPROP DSP_R_X9Y130 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y130 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y130 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y130 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y130 TILE_X -50623 TILEPROP DSP_R_X9Y130 TILE_Y 186296 TILEPROP DSP_R_X9Y130 TYPE DSP_R TILEPROP DSP_R_X9Y135 CLASS tile TILEPROP DSP_R_X9Y135 COLUMN 28 TILEPROP DSP_R_X9Y135 DEVICE_ID 0 TILEPROP DSP_R_X9Y135 FIRST_SITE_ID 1493 TILEPROP DSP_R_X9Y135 GRID_POINT_X 28 TILEPROP DSP_R_X9Y135 GRID_POINT_Y 15 TILEPROP DSP_R_X9Y135 INDEX 1753 TILEPROP DSP_R_X9Y135 INT_TILE_X 9 TILEPROP DSP_R_X9Y135 INT_TILE_Y 14 TILEPROP DSP_R_X9Y135 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y135 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y135 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y135 NAME DSP_R_X9Y135 TILEPROP DSP_R_X9Y135 NUM_ARCS 794 TILEPROP DSP_R_X9Y135 NUM_SITES 3 TILEPROP DSP_R_X9Y135 ROW 15 TILEPROP DSP_R_X9Y135 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y135 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y135 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y135 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y135 TILE_X -50623 TILEPROP DSP_R_X9Y135 TILE_Y 202296 TILEPROP DSP_R_X9Y135 TYPE DSP_R TILEPROP DSP_R_X9Y140 CLASS tile TILEPROP DSP_R_X9Y140 COLUMN 28 TILEPROP DSP_R_X9Y140 DEVICE_ID 0 TILEPROP DSP_R_X9Y140 FIRST_SITE_ID 945 TILEPROP DSP_R_X9Y140 GRID_POINT_X 28 TILEPROP DSP_R_X9Y140 GRID_POINT_Y 10 TILEPROP DSP_R_X9Y140 INDEX 1178 TILEPROP DSP_R_X9Y140 INT_TILE_X 9 TILEPROP DSP_R_X9Y140 INT_TILE_Y 9 TILEPROP DSP_R_X9Y140 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y140 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y140 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y140 NAME DSP_R_X9Y140 TILEPROP DSP_R_X9Y140 NUM_ARCS 794 TILEPROP DSP_R_X9Y140 NUM_SITES 3 TILEPROP DSP_R_X9Y140 ROW 10 TILEPROP DSP_R_X9Y140 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y140 TILE_PATTERN_IDX 332 TILEPROP DSP_R_X9Y140 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y140 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y140 TILE_X -50623 TILEPROP DSP_R_X9Y140 TILE_Y 218296 TILEPROP DSP_R_X9Y140 TYPE DSP_R TILEPROP DSP_R_X9Y145 CLASS tile TILEPROP DSP_R_X9Y145 COLUMN 28 TILEPROP DSP_R_X9Y145 DEVICE_ID 0 TILEPROP DSP_R_X9Y145 FIRST_SITE_ID 429 TILEPROP DSP_R_X9Y145 GRID_POINT_X 28 TILEPROP DSP_R_X9Y145 GRID_POINT_Y 5 TILEPROP DSP_R_X9Y145 INDEX 603 TILEPROP DSP_R_X9Y145 INT_TILE_X 9 TILEPROP DSP_R_X9Y145 INT_TILE_Y 4 TILEPROP DSP_R_X9Y145 IS_CENTER_TILE 0 TILEPROP DSP_R_X9Y145 IS_DCM_TILE 0 TILEPROP DSP_R_X9Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP DSP_R_X9Y145 IS_GT_SITE_TILE 0 TILEPROP DSP_R_X9Y145 NAME DSP_R_X9Y145 TILEPROP DSP_R_X9Y145 NUM_ARCS 794 TILEPROP DSP_R_X9Y145 NUM_SITES 3 TILEPROP DSP_R_X9Y145 ROW 5 TILEPROP DSP_R_X9Y145 SLR_REGION_ID 0 TILEPROP DSP_R_X9Y145 TILE_PATTERN_IDX 173 TILEPROP DSP_R_X9Y145 TILE_TYPE DSP_R TILEPROP DSP_R_X9Y145 TILE_TYPE_INDEX 47 TILEPROP DSP_R_X9Y145 TILE_X -50623 TILEPROP DSP_R_X9Y145 TILE_Y 234296 TILEPROP DSP_R_X9Y145 TYPE DSP_R TILEPROP GTP_CHANNEL_0_X97Y110 CLASS tile TILEPROP GTP_CHANNEL_0_X97Y110 COLUMN 97 TILEPROP GTP_CHANNEL_0_X97Y110 DEVICE_ID 0 TILEPROP GTP_CHANNEL_0_X97Y110 FIRST_SITE_ID 4614 TILEPROP GTP_CHANNEL_0_X97Y110 GRID_POINT_X 97 TILEPROP GTP_CHANNEL_0_X97Y110 GRID_POINT_Y 46 TILEPROP GTP_CHANNEL_0_X97Y110 INDEX 5387 TILEPROP GTP_CHANNEL_0_X97Y110 INT_TILE_X 37 TILEPROP GTP_CHANNEL_0_X97Y110 INT_TILE_Y 44 TILEPROP GTP_CHANNEL_0_X97Y110 IS_CENTER_TILE 0 TILEPROP GTP_CHANNEL_0_X97Y110 IS_DCM_TILE 0 TILEPROP GTP_CHANNEL_0_X97Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_CHANNEL_0_X97Y110 IS_GT_SITE_TILE 1 TILEPROP GTP_CHANNEL_0_X97Y110 NAME GTP_CHANNEL_0_X97Y110 TILEPROP GTP_CHANNEL_0_X97Y110 NUM_ARCS 577 TILEPROP GTP_CHANNEL_0_X97Y110 NUM_SITES 5 TILEPROP GTP_CHANNEL_0_X97Y110 ROW 46 TILEPROP GTP_CHANNEL_0_X97Y110 SLR_REGION_ID 0 TILEPROP GTP_CHANNEL_0_X97Y110 TILE_PATTERN_IDX 1476 TILEPROP GTP_CHANNEL_0_X97Y110 TILE_TYPE GTP_CHANNEL_0 TILEPROP GTP_CHANNEL_0_X97Y110 TILE_TYPE_INDEX 48 TILEPROP GTP_CHANNEL_0_X97Y110 TILE_X 77314 TILEPROP GTP_CHANNEL_0_X97Y110 TILE_Y 98872 TILEPROP GTP_CHANNEL_0_X97Y110 TYPE GTP_CHANNEL_0 TILEPROP GTP_CHANNEL_1_X97Y121 CLASS tile TILEPROP GTP_CHANNEL_1_X97Y121 COLUMN 97 TILEPROP GTP_CHANNEL_1_X97Y121 DEVICE_ID 0 TILEPROP GTP_CHANNEL_1_X97Y121 FIRST_SITE_ID 3530 TILEPROP GTP_CHANNEL_1_X97Y121 GRID_POINT_X 97 TILEPROP GTP_CHANNEL_1_X97Y121 GRID_POINT_Y 35 TILEPROP GTP_CHANNEL_1_X97Y121 INDEX 4122 TILEPROP GTP_CHANNEL_1_X97Y121 INT_TILE_X 37 TILEPROP GTP_CHANNEL_1_X97Y121 INT_TILE_Y 33 TILEPROP GTP_CHANNEL_1_X97Y121 IS_CENTER_TILE 0 TILEPROP GTP_CHANNEL_1_X97Y121 IS_DCM_TILE 0 TILEPROP GTP_CHANNEL_1_X97Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_CHANNEL_1_X97Y121 IS_GT_SITE_TILE 1 TILEPROP GTP_CHANNEL_1_X97Y121 NAME GTP_CHANNEL_1_X97Y121 TILEPROP GTP_CHANNEL_1_X97Y121 NUM_ARCS 577 TILEPROP GTP_CHANNEL_1_X97Y121 NUM_SITES 5 TILEPROP GTP_CHANNEL_1_X97Y121 ROW 35 TILEPROP GTP_CHANNEL_1_X97Y121 SLR_REGION_ID 0 TILEPROP GTP_CHANNEL_1_X97Y121 TILE_PATTERN_IDX 1148 TILEPROP GTP_CHANNEL_1_X97Y121 TILE_TYPE GTP_CHANNEL_1 TILEPROP GTP_CHANNEL_1_X97Y121 TILE_TYPE_INDEX 51 TILEPROP GTP_CHANNEL_1_X97Y121 TILE_X 77314 TILEPROP GTP_CHANNEL_1_X97Y121 TILE_Y 134072 TILEPROP GTP_CHANNEL_1_X97Y121 TYPE GTP_CHANNEL_1 TILEPROP GTP_CHANNEL_2_X97Y139 CLASS tile TILEPROP GTP_CHANNEL_2_X97Y139 COLUMN 97 TILEPROP GTP_CHANNEL_2_X97Y139 DEVICE_ID 0 TILEPROP GTP_CHANNEL_2_X97Y139 FIRST_SITE_ID 1776 TILEPROP GTP_CHANNEL_2_X97Y139 GRID_POINT_X 97 TILEPROP GTP_CHANNEL_2_X97Y139 GRID_POINT_Y 17 TILEPROP GTP_CHANNEL_2_X97Y139 INDEX 2052 TILEPROP GTP_CHANNEL_2_X97Y139 INT_TILE_X 37 TILEPROP GTP_CHANNEL_2_X97Y139 INT_TILE_Y 16 TILEPROP GTP_CHANNEL_2_X97Y139 IS_CENTER_TILE 0 TILEPROP GTP_CHANNEL_2_X97Y139 IS_DCM_TILE 0 TILEPROP GTP_CHANNEL_2_X97Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_CHANNEL_2_X97Y139 IS_GT_SITE_TILE 1 TILEPROP GTP_CHANNEL_2_X97Y139 NAME GTP_CHANNEL_2_X97Y139 TILEPROP GTP_CHANNEL_2_X97Y139 NUM_ARCS 577 TILEPROP GTP_CHANNEL_2_X97Y139 NUM_SITES 5 TILEPROP GTP_CHANNEL_2_X97Y139 ROW 17 TILEPROP GTP_CHANNEL_2_X97Y139 SLR_REGION_ID 0 TILEPROP GTP_CHANNEL_2_X97Y139 TILE_PATTERN_IDX 568 TILEPROP GTP_CHANNEL_2_X97Y139 TILE_TYPE GTP_CHANNEL_2 TILEPROP GTP_CHANNEL_2_X97Y139 TILE_TYPE_INDEX 54 TILEPROP GTP_CHANNEL_2_X97Y139 TILE_X 77314 TILEPROP GTP_CHANNEL_2_X97Y139 TILE_Y 189496 TILEPROP GTP_CHANNEL_2_X97Y139 TYPE GTP_CHANNEL_2 TILEPROP GTP_CHANNEL_3_X97Y150 CLASS tile TILEPROP GTP_CHANNEL_3_X97Y150 COLUMN 97 TILEPROP GTP_CHANNEL_3_X97Y150 DEVICE_ID 0 TILEPROP GTP_CHANNEL_3_X97Y150 FIRST_SITE_ID 608 TILEPROP GTP_CHANNEL_3_X97Y150 GRID_POINT_X 97 TILEPROP GTP_CHANNEL_3_X97Y150 GRID_POINT_Y 6 TILEPROP GTP_CHANNEL_3_X97Y150 INDEX 787 TILEPROP GTP_CHANNEL_3_X97Y150 INT_TILE_X 37 TILEPROP GTP_CHANNEL_3_X97Y150 INT_TILE_Y 5 TILEPROP GTP_CHANNEL_3_X97Y150 IS_CENTER_TILE 0 TILEPROP GTP_CHANNEL_3_X97Y150 IS_DCM_TILE 0 TILEPROP GTP_CHANNEL_3_X97Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_CHANNEL_3_X97Y150 IS_GT_SITE_TILE 1 TILEPROP GTP_CHANNEL_3_X97Y150 NAME GTP_CHANNEL_3_X97Y150 TILEPROP GTP_CHANNEL_3_X97Y150 NUM_ARCS 577 TILEPROP GTP_CHANNEL_3_X97Y150 NUM_SITES 5 TILEPROP GTP_CHANNEL_3_X97Y150 ROW 6 TILEPROP GTP_CHANNEL_3_X97Y150 SLR_REGION_ID 0 TILEPROP GTP_CHANNEL_3_X97Y150 TILE_PATTERN_IDX 225 TILEPROP GTP_CHANNEL_3_X97Y150 TILE_TYPE GTP_CHANNEL_3 TILEPROP GTP_CHANNEL_3_X97Y150 TILE_TYPE_INDEX 57 TILEPROP GTP_CHANNEL_3_X97Y150 TILE_X 77314 TILEPROP GTP_CHANNEL_3_X97Y150 TILE_Y 224696 TILEPROP GTP_CHANNEL_3_X97Y150 TYPE GTP_CHANNEL_3 TILEPROP GTP_COMMON_X97Y127 CLASS tile TILEPROP GTP_COMMON_X97Y127 COLUMN 97 TILEPROP GTP_COMMON_X97Y127 DEVICE_ID 0 TILEPROP GTP_COMMON_X97Y127 FIRST_SITE_ID 2956 TILEPROP GTP_COMMON_X97Y127 GRID_POINT_X 97 TILEPROP GTP_COMMON_X97Y127 GRID_POINT_Y 29 TILEPROP GTP_COMMON_X97Y127 INDEX 3432 TILEPROP GTP_COMMON_X97Y127 INT_TILE_X 37 TILEPROP GTP_COMMON_X97Y127 INT_TILE_Y 27 TILEPROP GTP_COMMON_X97Y127 IS_CENTER_TILE 0 TILEPROP GTP_COMMON_X97Y127 IS_DCM_TILE 0 TILEPROP GTP_COMMON_X97Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_COMMON_X97Y127 IS_GT_SITE_TILE 1 TILEPROP GTP_COMMON_X97Y127 NAME GTP_COMMON_X97Y127 TILEPROP GTP_COMMON_X97Y127 NUM_ARCS 179 TILEPROP GTP_COMMON_X97Y127 NUM_SITES 7 TILEPROP GTP_COMMON_X97Y127 ROW 29 TILEPROP GTP_COMMON_X97Y127 SLR_REGION_ID 0 TILEPROP GTP_COMMON_X97Y127 TILE_PATTERN_IDX 4654 TILEPROP GTP_COMMON_X97Y127 TILE_TYPE GTP_COMMON TILEPROP GTP_COMMON_X97Y127 TILE_TYPE_INDEX 60 TILEPROP GTP_COMMON_X97Y127 TILE_X 77314 TILEPROP GTP_COMMON_X97Y127 TILE_Y 161784 TILEPROP GTP_COMMON_X97Y127 TYPE GTP_COMMON TILEPROP GTP_INT_INTERFACE_X37Y100 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y100 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y100 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y100 FIRST_SITE_ID 5088 TILEPROP GTP_INT_INTERFACE_X37Y100 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y100 GRID_POINT_Y 51 TILEPROP GTP_INT_INTERFACE_X37Y100 INDEX 5959 TILEPROP GTP_INT_INTERFACE_X37Y100 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y100 INT_TILE_Y 49 TILEPROP GTP_INT_INTERFACE_X37Y100 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y100 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y100 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y100 NAME GTP_INT_INTERFACE_X37Y100 TILEPROP GTP_INT_INTERFACE_X37Y100 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y100 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y100 ROW 51 TILEPROP GTP_INT_INTERFACE_X37Y100 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y100 TILE_PATTERN_IDX 1633 TILEPROP GTP_INT_INTERFACE_X37Y100 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y100 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y100 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y100 TILE_Y 82872 TILEPROP GTP_INT_INTERFACE_X37Y100 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y101 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y101 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y101 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y101 FIRST_SITE_ID 4987 TILEPROP GTP_INT_INTERFACE_X37Y101 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y101 GRID_POINT_Y 50 TILEPROP GTP_INT_INTERFACE_X37Y101 INDEX 5844 TILEPROP GTP_INT_INTERFACE_X37Y101 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y101 INT_TILE_Y 48 TILEPROP GTP_INT_INTERFACE_X37Y101 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y101 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y101 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y101 NAME GTP_INT_INTERFACE_X37Y101 TILEPROP GTP_INT_INTERFACE_X37Y101 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y101 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y101 ROW 50 TILEPROP GTP_INT_INTERFACE_X37Y101 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y101 TILE_PATTERN_IDX 1595 TILEPROP GTP_INT_INTERFACE_X37Y101 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y101 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y101 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y101 TILE_Y 86072 TILEPROP GTP_INT_INTERFACE_X37Y101 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y102 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y102 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y102 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y102 FIRST_SITE_ID 4891 TILEPROP GTP_INT_INTERFACE_X37Y102 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y102 GRID_POINT_Y 49 TILEPROP GTP_INT_INTERFACE_X37Y102 INDEX 5729 TILEPROP GTP_INT_INTERFACE_X37Y102 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y102 INT_TILE_Y 47 TILEPROP GTP_INT_INTERFACE_X37Y102 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y102 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y102 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y102 NAME GTP_INT_INTERFACE_X37Y102 TILEPROP GTP_INT_INTERFACE_X37Y102 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y102 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y102 ROW 49 TILEPROP GTP_INT_INTERFACE_X37Y102 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y102 TILE_PATTERN_IDX 1564 TILEPROP GTP_INT_INTERFACE_X37Y102 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y102 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y102 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y102 TILE_Y 89272 TILEPROP GTP_INT_INTERFACE_X37Y102 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y103 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y103 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y103 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y103 FIRST_SITE_ID 4803 TILEPROP GTP_INT_INTERFACE_X37Y103 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y103 GRID_POINT_Y 48 TILEPROP GTP_INT_INTERFACE_X37Y103 INDEX 5614 TILEPROP GTP_INT_INTERFACE_X37Y103 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y103 INT_TILE_Y 46 TILEPROP GTP_INT_INTERFACE_X37Y103 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y103 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y103 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y103 NAME GTP_INT_INTERFACE_X37Y103 TILEPROP GTP_INT_INTERFACE_X37Y103 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y103 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y103 ROW 48 TILEPROP GTP_INT_INTERFACE_X37Y103 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y103 TILE_PATTERN_IDX 1535 TILEPROP GTP_INT_INTERFACE_X37Y103 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y103 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y103 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y103 TILE_Y 92472 TILEPROP GTP_INT_INTERFACE_X37Y103 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y104 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y104 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y104 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y104 FIRST_SITE_ID 4707 TILEPROP GTP_INT_INTERFACE_X37Y104 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y104 GRID_POINT_Y 47 TILEPROP GTP_INT_INTERFACE_X37Y104 INDEX 5499 TILEPROP GTP_INT_INTERFACE_X37Y104 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y104 INT_TILE_Y 45 TILEPROP GTP_INT_INTERFACE_X37Y104 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y104 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y104 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y104 NAME GTP_INT_INTERFACE_X37Y104 TILEPROP GTP_INT_INTERFACE_X37Y104 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y104 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y104 ROW 47 TILEPROP GTP_INT_INTERFACE_X37Y104 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y104 TILE_PATTERN_IDX 1504 TILEPROP GTP_INT_INTERFACE_X37Y104 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y104 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y104 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y104 TILE_Y 95672 TILEPROP GTP_INT_INTERFACE_X37Y104 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y105 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y105 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y105 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y105 FIRST_SITE_ID 4614 TILEPROP GTP_INT_INTERFACE_X37Y105 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y105 GRID_POINT_Y 46 TILEPROP GTP_INT_INTERFACE_X37Y105 INDEX 5384 TILEPROP GTP_INT_INTERFACE_X37Y105 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y105 INT_TILE_Y 44 TILEPROP GTP_INT_INTERFACE_X37Y105 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y105 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y105 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y105 NAME GTP_INT_INTERFACE_X37Y105 TILEPROP GTP_INT_INTERFACE_X37Y105 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y105 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y105 ROW 46 TILEPROP GTP_INT_INTERFACE_X37Y105 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y105 TILE_PATTERN_IDX 1475 TILEPROP GTP_INT_INTERFACE_X37Y105 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y105 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y105 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y105 TILE_Y 98872 TILEPROP GTP_INT_INTERFACE_X37Y105 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y106 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y106 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y106 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y106 FIRST_SITE_ID 4509 TILEPROP GTP_INT_INTERFACE_X37Y106 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y106 GRID_POINT_Y 45 TILEPROP GTP_INT_INTERFACE_X37Y106 INDEX 5269 TILEPROP GTP_INT_INTERFACE_X37Y106 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y106 INT_TILE_Y 43 TILEPROP GTP_INT_INTERFACE_X37Y106 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y106 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y106 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y106 NAME GTP_INT_INTERFACE_X37Y106 TILEPROP GTP_INT_INTERFACE_X37Y106 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y106 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y106 ROW 45 TILEPROP GTP_INT_INTERFACE_X37Y106 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y106 TILE_PATTERN_IDX 1444 TILEPROP GTP_INT_INTERFACE_X37Y106 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y106 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y106 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y106 TILE_Y 102072 TILEPROP GTP_INT_INTERFACE_X37Y106 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y107 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y107 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y107 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y107 FIRST_SITE_ID 4421 TILEPROP GTP_INT_INTERFACE_X37Y107 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y107 GRID_POINT_Y 44 TILEPROP GTP_INT_INTERFACE_X37Y107 INDEX 5154 TILEPROP GTP_INT_INTERFACE_X37Y107 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y107 INT_TILE_Y 42 TILEPROP GTP_INT_INTERFACE_X37Y107 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y107 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y107 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y107 NAME GTP_INT_INTERFACE_X37Y107 TILEPROP GTP_INT_INTERFACE_X37Y107 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y107 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y107 ROW 44 TILEPROP GTP_INT_INTERFACE_X37Y107 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y107 TILE_PATTERN_IDX 1416 TILEPROP GTP_INT_INTERFACE_X37Y107 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y107 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y107 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y107 TILE_Y 105272 TILEPROP GTP_INT_INTERFACE_X37Y107 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y108 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y108 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y108 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y108 FIRST_SITE_ID 4323 TILEPROP GTP_INT_INTERFACE_X37Y108 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y108 GRID_POINT_Y 43 TILEPROP GTP_INT_INTERFACE_X37Y108 INDEX 5039 TILEPROP GTP_INT_INTERFACE_X37Y108 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y108 INT_TILE_Y 41 TILEPROP GTP_INT_INTERFACE_X37Y108 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y108 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y108 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y108 NAME GTP_INT_INTERFACE_X37Y108 TILEPROP GTP_INT_INTERFACE_X37Y108 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y108 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y108 ROW 43 TILEPROP GTP_INT_INTERFACE_X37Y108 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y108 TILE_PATTERN_IDX 1385 TILEPROP GTP_INT_INTERFACE_X37Y108 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y108 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y108 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y108 TILE_Y 108472 TILEPROP GTP_INT_INTERFACE_X37Y108 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y109 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y109 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y109 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y109 FIRST_SITE_ID 4234 TILEPROP GTP_INT_INTERFACE_X37Y109 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y109 GRID_POINT_Y 42 TILEPROP GTP_INT_INTERFACE_X37Y109 INDEX 4924 TILEPROP GTP_INT_INTERFACE_X37Y109 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y109 INT_TILE_Y 40 TILEPROP GTP_INT_INTERFACE_X37Y109 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y109 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y109 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y109 NAME GTP_INT_INTERFACE_X37Y109 TILEPROP GTP_INT_INTERFACE_X37Y109 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y109 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y109 ROW 42 TILEPROP GTP_INT_INTERFACE_X37Y109 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y109 TILE_PATTERN_IDX 1356 TILEPROP GTP_INT_INTERFACE_X37Y109 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y109 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y109 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y109 TILE_Y 111672 TILEPROP GTP_INT_INTERFACE_X37Y109 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y110 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y110 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y110 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y110 FIRST_SITE_ID 4138 TILEPROP GTP_INT_INTERFACE_X37Y110 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y110 GRID_POINT_Y 41 TILEPROP GTP_INT_INTERFACE_X37Y110 INDEX 4809 TILEPROP GTP_INT_INTERFACE_X37Y110 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y110 INT_TILE_Y 39 TILEPROP GTP_INT_INTERFACE_X37Y110 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y110 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y110 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y110 NAME GTP_INT_INTERFACE_X37Y110 TILEPROP GTP_INT_INTERFACE_X37Y110 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y110 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y110 ROW 41 TILEPROP GTP_INT_INTERFACE_X37Y110 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y110 TILE_PATTERN_IDX 1326 TILEPROP GTP_INT_INTERFACE_X37Y110 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y110 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y110 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y110 TILE_Y 114872 TILEPROP GTP_INT_INTERFACE_X37Y110 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y111 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y111 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y111 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y111 FIRST_SITE_ID 4040 TILEPROP GTP_INT_INTERFACE_X37Y111 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y111 GRID_POINT_Y 40 TILEPROP GTP_INT_INTERFACE_X37Y111 INDEX 4694 TILEPROP GTP_INT_INTERFACE_X37Y111 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y111 INT_TILE_Y 38 TILEPROP GTP_INT_INTERFACE_X37Y111 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y111 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y111 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y111 NAME GTP_INT_INTERFACE_X37Y111 TILEPROP GTP_INT_INTERFACE_X37Y111 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y111 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y111 ROW 40 TILEPROP GTP_INT_INTERFACE_X37Y111 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y111 TILE_PATTERN_IDX 1296 TILEPROP GTP_INT_INTERFACE_X37Y111 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y111 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y111 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y111 TILE_Y 118072 TILEPROP GTP_INT_INTERFACE_X37Y111 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y112 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y112 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y112 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y112 FIRST_SITE_ID 3944 TILEPROP GTP_INT_INTERFACE_X37Y112 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y112 GRID_POINT_Y 39 TILEPROP GTP_INT_INTERFACE_X37Y112 INDEX 4579 TILEPROP GTP_INT_INTERFACE_X37Y112 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y112 INT_TILE_Y 37 TILEPROP GTP_INT_INTERFACE_X37Y112 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y112 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y112 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y112 NAME GTP_INT_INTERFACE_X37Y112 TILEPROP GTP_INT_INTERFACE_X37Y112 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y112 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y112 ROW 39 TILEPROP GTP_INT_INTERFACE_X37Y112 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y112 TILE_PATTERN_IDX 1266 TILEPROP GTP_INT_INTERFACE_X37Y112 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y112 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y112 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y112 TILE_Y 121272 TILEPROP GTP_INT_INTERFACE_X37Y112 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y113 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y113 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y113 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y113 FIRST_SITE_ID 3824 TILEPROP GTP_INT_INTERFACE_X37Y113 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y113 GRID_POINT_Y 38 TILEPROP GTP_INT_INTERFACE_X37Y113 INDEX 4464 TILEPROP GTP_INT_INTERFACE_X37Y113 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y113 INT_TILE_Y 36 TILEPROP GTP_INT_INTERFACE_X37Y113 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y113 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y113 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y113 NAME GTP_INT_INTERFACE_X37Y113 TILEPROP GTP_INT_INTERFACE_X37Y113 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y113 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y113 ROW 38 TILEPROP GTP_INT_INTERFACE_X37Y113 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y113 TILE_PATTERN_IDX 1237 TILEPROP GTP_INT_INTERFACE_X37Y113 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y113 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y113 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y113 TILE_Y 124472 TILEPROP GTP_INT_INTERFACE_X37Y113 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y114 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y114 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y114 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y114 FIRST_SITE_ID 3728 TILEPROP GTP_INT_INTERFACE_X37Y114 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y114 GRID_POINT_Y 37 TILEPROP GTP_INT_INTERFACE_X37Y114 INDEX 4349 TILEPROP GTP_INT_INTERFACE_X37Y114 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y114 INT_TILE_Y 35 TILEPROP GTP_INT_INTERFACE_X37Y114 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y114 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y114 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y114 NAME GTP_INT_INTERFACE_X37Y114 TILEPROP GTP_INT_INTERFACE_X37Y114 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y114 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y114 ROW 37 TILEPROP GTP_INT_INTERFACE_X37Y114 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y114 TILE_PATTERN_IDX 1207 TILEPROP GTP_INT_INTERFACE_X37Y114 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y114 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y114 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y114 TILE_Y 127672 TILEPROP GTP_INT_INTERFACE_X37Y114 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y115 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y115 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y115 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y115 FIRST_SITE_ID 3640 TILEPROP GTP_INT_INTERFACE_X37Y115 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y115 GRID_POINT_Y 36 TILEPROP GTP_INT_INTERFACE_X37Y115 INDEX 4234 TILEPROP GTP_INT_INTERFACE_X37Y115 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y115 INT_TILE_Y 34 TILEPROP GTP_INT_INTERFACE_X37Y115 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y115 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y115 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y115 NAME GTP_INT_INTERFACE_X37Y115 TILEPROP GTP_INT_INTERFACE_X37Y115 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y115 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y115 ROW 36 TILEPROP GTP_INT_INTERFACE_X37Y115 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y115 TILE_PATTERN_IDX 1179 TILEPROP GTP_INT_INTERFACE_X37Y115 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y115 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y115 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y115 TILE_Y 130872 TILEPROP GTP_INT_INTERFACE_X37Y115 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y116 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y116 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y116 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y116 FIRST_SITE_ID 3530 TILEPROP GTP_INT_INTERFACE_X37Y116 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y116 GRID_POINT_Y 35 TILEPROP GTP_INT_INTERFACE_X37Y116 INDEX 4119 TILEPROP GTP_INT_INTERFACE_X37Y116 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y116 INT_TILE_Y 33 TILEPROP GTP_INT_INTERFACE_X37Y116 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y116 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y116 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y116 NAME GTP_INT_INTERFACE_X37Y116 TILEPROP GTP_INT_INTERFACE_X37Y116 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y116 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y116 ROW 35 TILEPROP GTP_INT_INTERFACE_X37Y116 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y116 TILE_PATTERN_IDX 1147 TILEPROP GTP_INT_INTERFACE_X37Y116 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y116 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y116 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y116 TILE_Y 134072 TILEPROP GTP_INT_INTERFACE_X37Y116 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y117 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y117 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y117 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y117 FIRST_SITE_ID 3442 TILEPROP GTP_INT_INTERFACE_X37Y117 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y117 GRID_POINT_Y 34 TILEPROP GTP_INT_INTERFACE_X37Y117 INDEX 4004 TILEPROP GTP_INT_INTERFACE_X37Y117 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y117 INT_TILE_Y 32 TILEPROP GTP_INT_INTERFACE_X37Y117 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y117 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y117 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y117 NAME GTP_INT_INTERFACE_X37Y117 TILEPROP GTP_INT_INTERFACE_X37Y117 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y117 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y117 ROW 34 TILEPROP GTP_INT_INTERFACE_X37Y117 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y117 TILE_PATTERN_IDX 1119 TILEPROP GTP_INT_INTERFACE_X37Y117 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y117 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y117 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y117 TILE_Y 137272 TILEPROP GTP_INT_INTERFACE_X37Y117 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y118 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y118 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y118 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y118 FIRST_SITE_ID 3342 TILEPROP GTP_INT_INTERFACE_X37Y118 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y118 GRID_POINT_Y 33 TILEPROP GTP_INT_INTERFACE_X37Y118 INDEX 3889 TILEPROP GTP_INT_INTERFACE_X37Y118 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y118 INT_TILE_Y 31 TILEPROP GTP_INT_INTERFACE_X37Y118 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y118 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y118 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y118 NAME GTP_INT_INTERFACE_X37Y118 TILEPROP GTP_INT_INTERFACE_X37Y118 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y118 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y118 ROW 33 TILEPROP GTP_INT_INTERFACE_X37Y118 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y118 TILE_PATTERN_IDX 1088 TILEPROP GTP_INT_INTERFACE_X37Y118 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y118 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y118 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y118 TILE_Y 140472 TILEPROP GTP_INT_INTERFACE_X37Y118 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y119 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y119 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y119 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y119 FIRST_SITE_ID 3254 TILEPROP GTP_INT_INTERFACE_X37Y119 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y119 GRID_POINT_Y 32 TILEPROP GTP_INT_INTERFACE_X37Y119 INDEX 3774 TILEPROP GTP_INT_INTERFACE_X37Y119 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y119 INT_TILE_Y 30 TILEPROP GTP_INT_INTERFACE_X37Y119 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y119 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y119 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y119 NAME GTP_INT_INTERFACE_X37Y119 TILEPROP GTP_INT_INTERFACE_X37Y119 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y119 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y119 ROW 32 TILEPROP GTP_INT_INTERFACE_X37Y119 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y119 TILE_PATTERN_IDX 1060 TILEPROP GTP_INT_INTERFACE_X37Y119 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y119 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y119 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y119 TILE_Y 143672 TILEPROP GTP_INT_INTERFACE_X37Y119 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y120 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y120 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y120 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y120 FIRST_SITE_ID 3156 TILEPROP GTP_INT_INTERFACE_X37Y120 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y120 GRID_POINT_Y 31 TILEPROP GTP_INT_INTERFACE_X37Y120 INDEX 3659 TILEPROP GTP_INT_INTERFACE_X37Y120 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y120 INT_TILE_Y 29 TILEPROP GTP_INT_INTERFACE_X37Y120 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y120 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y120 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y120 NAME GTP_INT_INTERFACE_X37Y120 TILEPROP GTP_INT_INTERFACE_X37Y120 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y120 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y120 ROW 31 TILEPROP GTP_INT_INTERFACE_X37Y120 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y120 TILE_PATTERN_IDX 1029 TILEPROP GTP_INT_INTERFACE_X37Y120 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y120 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y120 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y120 TILE_Y 146872 TILEPROP GTP_INT_INTERFACE_X37Y120 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y121 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y121 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y121 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y121 FIRST_SITE_ID 3059 TILEPROP GTP_INT_INTERFACE_X37Y121 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y121 GRID_POINT_Y 30 TILEPROP GTP_INT_INTERFACE_X37Y121 INDEX 3544 TILEPROP GTP_INT_INTERFACE_X37Y121 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y121 INT_TILE_Y 28 TILEPROP GTP_INT_INTERFACE_X37Y121 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y121 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y121 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y121 NAME GTP_INT_INTERFACE_X37Y121 TILEPROP GTP_INT_INTERFACE_X37Y121 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y121 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y121 ROW 30 TILEPROP GTP_INT_INTERFACE_X37Y121 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y121 TILE_PATTERN_IDX 997 TILEPROP GTP_INT_INTERFACE_X37Y121 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y121 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y121 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y121 TILE_Y 150072 TILEPROP GTP_INT_INTERFACE_X37Y121 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y122 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y122 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y122 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y122 FIRST_SITE_ID 2956 TILEPROP GTP_INT_INTERFACE_X37Y122 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y122 GRID_POINT_Y 29 TILEPROP GTP_INT_INTERFACE_X37Y122 INDEX 3429 TILEPROP GTP_INT_INTERFACE_X37Y122 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y122 INT_TILE_Y 27 TILEPROP GTP_INT_INTERFACE_X37Y122 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y122 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y122 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y122 NAME GTP_INT_INTERFACE_X37Y122 TILEPROP GTP_INT_INTERFACE_X37Y122 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y122 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y122 ROW 29 TILEPROP GTP_INT_INTERFACE_X37Y122 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y122 TILE_PATTERN_IDX 965 TILEPROP GTP_INT_INTERFACE_X37Y122 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y122 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y122 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y122 TILE_Y 153272 TILEPROP GTP_INT_INTERFACE_X37Y122 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y123 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y123 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y123 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y123 FIRST_SITE_ID 2868 TILEPROP GTP_INT_INTERFACE_X37Y123 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y123 GRID_POINT_Y 28 TILEPROP GTP_INT_INTERFACE_X37Y123 INDEX 3314 TILEPROP GTP_INT_INTERFACE_X37Y123 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y123 INT_TILE_Y 26 TILEPROP GTP_INT_INTERFACE_X37Y123 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y123 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y123 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y123 NAME GTP_INT_INTERFACE_X37Y123 TILEPROP GTP_INT_INTERFACE_X37Y123 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y123 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y123 ROW 28 TILEPROP GTP_INT_INTERFACE_X37Y123 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y123 TILE_PATTERN_IDX 936 TILEPROP GTP_INT_INTERFACE_X37Y123 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y123 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y123 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y123 TILE_Y 156472 TILEPROP GTP_INT_INTERFACE_X37Y123 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y124 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y124 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y124 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y124 FIRST_SITE_ID 2772 TILEPROP GTP_INT_INTERFACE_X37Y124 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y124 GRID_POINT_Y 27 TILEPROP GTP_INT_INTERFACE_X37Y124 INDEX 3199 TILEPROP GTP_INT_INTERFACE_X37Y124 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y124 INT_TILE_Y 25 TILEPROP GTP_INT_INTERFACE_X37Y124 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y124 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y124 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y124 NAME GTP_INT_INTERFACE_X37Y124 TILEPROP GTP_INT_INTERFACE_X37Y124 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y124 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y124 ROW 27 TILEPROP GTP_INT_INTERFACE_X37Y124 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y124 TILE_PATTERN_IDX 905 TILEPROP GTP_INT_INTERFACE_X37Y124 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y124 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y124 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y124 TILE_Y 159672 TILEPROP GTP_INT_INTERFACE_X37Y124 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y125 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y125 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y125 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y125 FIRST_SITE_ID 2613 TILEPROP GTP_INT_INTERFACE_X37Y125 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y125 GRID_POINT_Y 25 TILEPROP GTP_INT_INTERFACE_X37Y125 INDEX 2969 TILEPROP GTP_INT_INTERFACE_X37Y125 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y125 INT_TILE_Y 24 TILEPROP GTP_INT_INTERFACE_X37Y125 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y125 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y125 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y125 NAME GTP_INT_INTERFACE_X37Y125 TILEPROP GTP_INT_INTERFACE_X37Y125 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y125 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y125 ROW 25 TILEPROP GTP_INT_INTERFACE_X37Y125 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y125 TILE_PATTERN_IDX 827 TILEPROP GTP_INT_INTERFACE_X37Y125 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y125 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y125 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y125 TILE_Y 163896 TILEPROP GTP_INT_INTERFACE_X37Y125 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y126 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y126 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y126 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y126 FIRST_SITE_ID 2497 TILEPROP GTP_INT_INTERFACE_X37Y126 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y126 GRID_POINT_Y 24 TILEPROP GTP_INT_INTERFACE_X37Y126 INDEX 2854 TILEPROP GTP_INT_INTERFACE_X37Y126 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y126 INT_TILE_Y 23 TILEPROP GTP_INT_INTERFACE_X37Y126 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y126 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y126 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y126 NAME GTP_INT_INTERFACE_X37Y126 TILEPROP GTP_INT_INTERFACE_X37Y126 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y126 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y126 ROW 24 TILEPROP GTP_INT_INTERFACE_X37Y126 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y126 TILE_PATTERN_IDX 784 TILEPROP GTP_INT_INTERFACE_X37Y126 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y126 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y126 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y126 TILE_Y 167096 TILEPROP GTP_INT_INTERFACE_X37Y126 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y127 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y127 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y127 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y127 FIRST_SITE_ID 2401 TILEPROP GTP_INT_INTERFACE_X37Y127 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y127 GRID_POINT_Y 23 TILEPROP GTP_INT_INTERFACE_X37Y127 INDEX 2739 TILEPROP GTP_INT_INTERFACE_X37Y127 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y127 INT_TILE_Y 22 TILEPROP GTP_INT_INTERFACE_X37Y127 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y127 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y127 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y127 NAME GTP_INT_INTERFACE_X37Y127 TILEPROP GTP_INT_INTERFACE_X37Y127 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y127 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y127 ROW 23 TILEPROP GTP_INT_INTERFACE_X37Y127 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y127 TILE_PATTERN_IDX 754 TILEPROP GTP_INT_INTERFACE_X37Y127 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y127 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y127 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y127 TILE_Y 170296 TILEPROP GTP_INT_INTERFACE_X37Y127 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y128 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y128 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y128 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y128 FIRST_SITE_ID 2297 TILEPROP GTP_INT_INTERFACE_X37Y128 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y128 GRID_POINT_Y 22 TILEPROP GTP_INT_INTERFACE_X37Y128 INDEX 2624 TILEPROP GTP_INT_INTERFACE_X37Y128 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y128 INT_TILE_Y 21 TILEPROP GTP_INT_INTERFACE_X37Y128 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y128 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y128 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y128 NAME GTP_INT_INTERFACE_X37Y128 TILEPROP GTP_INT_INTERFACE_X37Y128 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y128 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y128 ROW 22 TILEPROP GTP_INT_INTERFACE_X37Y128 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y128 TILE_PATTERN_IDX 722 TILEPROP GTP_INT_INTERFACE_X37Y128 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y128 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y128 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y128 TILE_Y 173496 TILEPROP GTP_INT_INTERFACE_X37Y128 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y129 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y129 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y129 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y129 FIRST_SITE_ID 2201 TILEPROP GTP_INT_INTERFACE_X37Y129 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y129 GRID_POINT_Y 21 TILEPROP GTP_INT_INTERFACE_X37Y129 INDEX 2509 TILEPROP GTP_INT_INTERFACE_X37Y129 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y129 INT_TILE_Y 20 TILEPROP GTP_INT_INTERFACE_X37Y129 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y129 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y129 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y129 NAME GTP_INT_INTERFACE_X37Y129 TILEPROP GTP_INT_INTERFACE_X37Y129 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y129 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y129 ROW 21 TILEPROP GTP_INT_INTERFACE_X37Y129 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y129 TILE_PATTERN_IDX 692 TILEPROP GTP_INT_INTERFACE_X37Y129 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y129 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y129 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y129 TILE_Y 176696 TILEPROP GTP_INT_INTERFACE_X37Y129 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y130 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y130 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y130 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y130 FIRST_SITE_ID 2091 TILEPROP GTP_INT_INTERFACE_X37Y130 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y130 GRID_POINT_Y 20 TILEPROP GTP_INT_INTERFACE_X37Y130 INDEX 2394 TILEPROP GTP_INT_INTERFACE_X37Y130 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y130 INT_TILE_Y 19 TILEPROP GTP_INT_INTERFACE_X37Y130 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y130 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y130 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y130 NAME GTP_INT_INTERFACE_X37Y130 TILEPROP GTP_INT_INTERFACE_X37Y130 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y130 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y130 ROW 20 TILEPROP GTP_INT_INTERFACE_X37Y130 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y130 TILE_PATTERN_IDX 660 TILEPROP GTP_INT_INTERFACE_X37Y130 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y130 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y130 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y130 TILE_Y 179896 TILEPROP GTP_INT_INTERFACE_X37Y130 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y131 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y131 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y131 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y131 FIRST_SITE_ID 1983 TILEPROP GTP_INT_INTERFACE_X37Y131 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y131 GRID_POINT_Y 19 TILEPROP GTP_INT_INTERFACE_X37Y131 INDEX 2279 TILEPROP GTP_INT_INTERFACE_X37Y131 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y131 INT_TILE_Y 18 TILEPROP GTP_INT_INTERFACE_X37Y131 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y131 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y131 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y131 NAME GTP_INT_INTERFACE_X37Y131 TILEPROP GTP_INT_INTERFACE_X37Y131 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y131 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y131 ROW 19 TILEPROP GTP_INT_INTERFACE_X37Y131 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y131 TILE_PATTERN_IDX 629 TILEPROP GTP_INT_INTERFACE_X37Y131 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y131 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y131 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y131 TILE_Y 183096 TILEPROP GTP_INT_INTERFACE_X37Y131 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y132 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y132 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y132 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y132 FIRST_SITE_ID 1877 TILEPROP GTP_INT_INTERFACE_X37Y132 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y132 GRID_POINT_Y 18 TILEPROP GTP_INT_INTERFACE_X37Y132 INDEX 2164 TILEPROP GTP_INT_INTERFACE_X37Y132 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y132 INT_TILE_Y 17 TILEPROP GTP_INT_INTERFACE_X37Y132 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y132 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y132 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y132 NAME GTP_INT_INTERFACE_X37Y132 TILEPROP GTP_INT_INTERFACE_X37Y132 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y132 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y132 ROW 18 TILEPROP GTP_INT_INTERFACE_X37Y132 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y132 TILE_PATTERN_IDX 597 TILEPROP GTP_INT_INTERFACE_X37Y132 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y132 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y132 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y132 TILE_Y 186296 TILEPROP GTP_INT_INTERFACE_X37Y132 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y133 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y133 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y133 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y133 FIRST_SITE_ID 1776 TILEPROP GTP_INT_INTERFACE_X37Y133 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y133 GRID_POINT_Y 17 TILEPROP GTP_INT_INTERFACE_X37Y133 INDEX 2049 TILEPROP GTP_INT_INTERFACE_X37Y133 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y133 INT_TILE_Y 16 TILEPROP GTP_INT_INTERFACE_X37Y133 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y133 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y133 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y133 NAME GTP_INT_INTERFACE_X37Y133 TILEPROP GTP_INT_INTERFACE_X37Y133 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y133 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y133 ROW 17 TILEPROP GTP_INT_INTERFACE_X37Y133 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y133 TILE_PATTERN_IDX 567 TILEPROP GTP_INT_INTERFACE_X37Y133 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y133 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y133 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y133 TILE_Y 189496 TILEPROP GTP_INT_INTERFACE_X37Y133 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y134 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y134 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y134 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y134 FIRST_SITE_ID 1672 TILEPROP GTP_INT_INTERFACE_X37Y134 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y134 GRID_POINT_Y 16 TILEPROP GTP_INT_INTERFACE_X37Y134 INDEX 1934 TILEPROP GTP_INT_INTERFACE_X37Y134 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y134 INT_TILE_Y 15 TILEPROP GTP_INT_INTERFACE_X37Y134 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y134 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y134 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y134 NAME GTP_INT_INTERFACE_X37Y134 TILEPROP GTP_INT_INTERFACE_X37Y134 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y134 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y134 ROW 16 TILEPROP GTP_INT_INTERFACE_X37Y134 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y134 TILE_PATTERN_IDX 536 TILEPROP GTP_INT_INTERFACE_X37Y134 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y134 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y134 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y134 TILE_Y 192696 TILEPROP GTP_INT_INTERFACE_X37Y134 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y135 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y135 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y135 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y135 FIRST_SITE_ID 1576 TILEPROP GTP_INT_INTERFACE_X37Y135 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y135 GRID_POINT_Y 15 TILEPROP GTP_INT_INTERFACE_X37Y135 INDEX 1819 TILEPROP GTP_INT_INTERFACE_X37Y135 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y135 INT_TILE_Y 14 TILEPROP GTP_INT_INTERFACE_X37Y135 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y135 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y135 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y135 NAME GTP_INT_INTERFACE_X37Y135 TILEPROP GTP_INT_INTERFACE_X37Y135 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y135 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y135 ROW 15 TILEPROP GTP_INT_INTERFACE_X37Y135 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y135 TILE_PATTERN_IDX 507 TILEPROP GTP_INT_INTERFACE_X37Y135 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y135 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y135 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y135 TILE_Y 195896 TILEPROP GTP_INT_INTERFACE_X37Y135 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y136 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y136 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y136 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y136 FIRST_SITE_ID 1460 TILEPROP GTP_INT_INTERFACE_X37Y136 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y136 GRID_POINT_Y 14 TILEPROP GTP_INT_INTERFACE_X37Y136 INDEX 1704 TILEPROP GTP_INT_INTERFACE_X37Y136 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y136 INT_TILE_Y 13 TILEPROP GTP_INT_INTERFACE_X37Y136 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y136 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y136 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y136 NAME GTP_INT_INTERFACE_X37Y136 TILEPROP GTP_INT_INTERFACE_X37Y136 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y136 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y136 ROW 14 TILEPROP GTP_INT_INTERFACE_X37Y136 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y136 TILE_PATTERN_IDX 474 TILEPROP GTP_INT_INTERFACE_X37Y136 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y136 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y136 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y136 TILE_Y 199096 TILEPROP GTP_INT_INTERFACE_X37Y136 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y137 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y137 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y137 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y137 FIRST_SITE_ID 1332 TILEPROP GTP_INT_INTERFACE_X37Y137 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y137 GRID_POINT_Y 13 TILEPROP GTP_INT_INTERFACE_X37Y137 INDEX 1589 TILEPROP GTP_INT_INTERFACE_X37Y137 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y137 INT_TILE_Y 12 TILEPROP GTP_INT_INTERFACE_X37Y137 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y137 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y137 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y137 NAME GTP_INT_INTERFACE_X37Y137 TILEPROP GTP_INT_INTERFACE_X37Y137 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y137 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y137 ROW 13 TILEPROP GTP_INT_INTERFACE_X37Y137 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y137 TILE_PATTERN_IDX 444 TILEPROP GTP_INT_INTERFACE_X37Y137 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y137 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y137 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y137 TILE_Y 202296 TILEPROP GTP_INT_INTERFACE_X37Y137 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y138 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y138 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y138 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y138 FIRST_SITE_ID 1228 TILEPROP GTP_INT_INTERFACE_X37Y138 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y138 GRID_POINT_Y 12 TILEPROP GTP_INT_INTERFACE_X37Y138 INDEX 1474 TILEPROP GTP_INT_INTERFACE_X37Y138 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y138 INT_TILE_Y 11 TILEPROP GTP_INT_INTERFACE_X37Y138 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y138 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y138 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y138 NAME GTP_INT_INTERFACE_X37Y138 TILEPROP GTP_INT_INTERFACE_X37Y138 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y138 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y138 ROW 12 TILEPROP GTP_INT_INTERFACE_X37Y138 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y138 TILE_PATTERN_IDX 412 TILEPROP GTP_INT_INTERFACE_X37Y138 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y138 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y138 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y138 TILE_Y 205496 TILEPROP GTP_INT_INTERFACE_X37Y138 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y139 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y139 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y139 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y139 FIRST_SITE_ID 1132 TILEPROP GTP_INT_INTERFACE_X37Y139 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y139 GRID_POINT_Y 11 TILEPROP GTP_INT_INTERFACE_X37Y139 INDEX 1359 TILEPROP GTP_INT_INTERFACE_X37Y139 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y139 INT_TILE_Y 10 TILEPROP GTP_INT_INTERFACE_X37Y139 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y139 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y139 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y139 NAME GTP_INT_INTERFACE_X37Y139 TILEPROP GTP_INT_INTERFACE_X37Y139 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y139 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y139 ROW 11 TILEPROP GTP_INT_INTERFACE_X37Y139 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y139 TILE_PATTERN_IDX 382 TILEPROP GTP_INT_INTERFACE_X37Y139 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y139 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y139 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y139 TILE_Y 208696 TILEPROP GTP_INT_INTERFACE_X37Y139 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y140 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y140 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y140 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y140 FIRST_SITE_ID 1028 TILEPROP GTP_INT_INTERFACE_X37Y140 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y140 GRID_POINT_Y 10 TILEPROP GTP_INT_INTERFACE_X37Y140 INDEX 1244 TILEPROP GTP_INT_INTERFACE_X37Y140 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y140 INT_TILE_Y 9 TILEPROP GTP_INT_INTERFACE_X37Y140 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y140 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y140 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y140 NAME GTP_INT_INTERFACE_X37Y140 TILEPROP GTP_INT_INTERFACE_X37Y140 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y140 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y140 ROW 10 TILEPROP GTP_INT_INTERFACE_X37Y140 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y140 TILE_PATTERN_IDX 351 TILEPROP GTP_INT_INTERFACE_X37Y140 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y140 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y140 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y140 TILE_Y 211896 TILEPROP GTP_INT_INTERFACE_X37Y140 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y141 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y141 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y141 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y141 FIRST_SITE_ID 920 TILEPROP GTP_INT_INTERFACE_X37Y141 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y141 GRID_POINT_Y 9 TILEPROP GTP_INT_INTERFACE_X37Y141 INDEX 1129 TILEPROP GTP_INT_INTERFACE_X37Y141 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y141 INT_TILE_Y 8 TILEPROP GTP_INT_INTERFACE_X37Y141 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y141 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y141 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y141 NAME GTP_INT_INTERFACE_X37Y141 TILEPROP GTP_INT_INTERFACE_X37Y141 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y141 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y141 ROW 9 TILEPROP GTP_INT_INTERFACE_X37Y141 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y141 TILE_PATTERN_IDX 319 TILEPROP GTP_INT_INTERFACE_X37Y141 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y141 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y141 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y141 TILE_Y 215096 TILEPROP GTP_INT_INTERFACE_X37Y141 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y142 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y142 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y142 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y142 FIRST_SITE_ID 816 TILEPROP GTP_INT_INTERFACE_X37Y142 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y142 GRID_POINT_Y 8 TILEPROP GTP_INT_INTERFACE_X37Y142 INDEX 1014 TILEPROP GTP_INT_INTERFACE_X37Y142 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y142 INT_TILE_Y 7 TILEPROP GTP_INT_INTERFACE_X37Y142 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y142 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y142 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y142 NAME GTP_INT_INTERFACE_X37Y142 TILEPROP GTP_INT_INTERFACE_X37Y142 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y142 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y142 ROW 8 TILEPROP GTP_INT_INTERFACE_X37Y142 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y142 TILE_PATTERN_IDX 288 TILEPROP GTP_INT_INTERFACE_X37Y142 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y142 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y142 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y142 TILE_Y 218296 TILEPROP GTP_INT_INTERFACE_X37Y142 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y143 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y143 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y143 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y143 FIRST_SITE_ID 719 TILEPROP GTP_INT_INTERFACE_X37Y143 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y143 GRID_POINT_Y 7 TILEPROP GTP_INT_INTERFACE_X37Y143 INDEX 899 TILEPROP GTP_INT_INTERFACE_X37Y143 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y143 INT_TILE_Y 6 TILEPROP GTP_INT_INTERFACE_X37Y143 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y143 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y143 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y143 NAME GTP_INT_INTERFACE_X37Y143 TILEPROP GTP_INT_INTERFACE_X37Y143 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y143 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y143 ROW 7 TILEPROP GTP_INT_INTERFACE_X37Y143 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y143 TILE_PATTERN_IDX 258 TILEPROP GTP_INT_INTERFACE_X37Y143 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y143 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y143 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y143 TILE_Y 221496 TILEPROP GTP_INT_INTERFACE_X37Y143 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y144 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y144 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y144 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y144 FIRST_SITE_ID 608 TILEPROP GTP_INT_INTERFACE_X37Y144 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y144 GRID_POINT_Y 6 TILEPROP GTP_INT_INTERFACE_X37Y144 INDEX 784 TILEPROP GTP_INT_INTERFACE_X37Y144 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y144 INT_TILE_Y 5 TILEPROP GTP_INT_INTERFACE_X37Y144 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y144 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y144 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y144 NAME GTP_INT_INTERFACE_X37Y144 TILEPROP GTP_INT_INTERFACE_X37Y144 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y144 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y144 ROW 6 TILEPROP GTP_INT_INTERFACE_X37Y144 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y144 TILE_PATTERN_IDX 224 TILEPROP GTP_INT_INTERFACE_X37Y144 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y144 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y144 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y144 TILE_Y 224696 TILEPROP GTP_INT_INTERFACE_X37Y144 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y145 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y145 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y145 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y145 FIRST_SITE_ID 512 TILEPROP GTP_INT_INTERFACE_X37Y145 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y145 GRID_POINT_Y 5 TILEPROP GTP_INT_INTERFACE_X37Y145 INDEX 669 TILEPROP GTP_INT_INTERFACE_X37Y145 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y145 INT_TILE_Y 4 TILEPROP GTP_INT_INTERFACE_X37Y145 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y145 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y145 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y145 NAME GTP_INT_INTERFACE_X37Y145 TILEPROP GTP_INT_INTERFACE_X37Y145 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y145 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y145 ROW 5 TILEPROP GTP_INT_INTERFACE_X37Y145 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y145 TILE_PATTERN_IDX 194 TILEPROP GTP_INT_INTERFACE_X37Y145 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y145 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y145 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y145 TILE_Y 227896 TILEPROP GTP_INT_INTERFACE_X37Y145 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y146 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y146 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y146 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y146 FIRST_SITE_ID 396 TILEPROP GTP_INT_INTERFACE_X37Y146 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y146 GRID_POINT_Y 4 TILEPROP GTP_INT_INTERFACE_X37Y146 INDEX 554 TILEPROP GTP_INT_INTERFACE_X37Y146 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y146 INT_TILE_Y 3 TILEPROP GTP_INT_INTERFACE_X37Y146 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y146 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y146 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y146 NAME GTP_INT_INTERFACE_X37Y146 TILEPROP GTP_INT_INTERFACE_X37Y146 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y146 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y146 ROW 4 TILEPROP GTP_INT_INTERFACE_X37Y146 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y146 TILE_PATTERN_IDX 156 TILEPROP GTP_INT_INTERFACE_X37Y146 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y146 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y146 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y146 TILE_Y 231096 TILEPROP GTP_INT_INTERFACE_X37Y146 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y147 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y147 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y147 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y147 FIRST_SITE_ID 300 TILEPROP GTP_INT_INTERFACE_X37Y147 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y147 GRID_POINT_Y 3 TILEPROP GTP_INT_INTERFACE_X37Y147 INDEX 439 TILEPROP GTP_INT_INTERFACE_X37Y147 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y147 INT_TILE_Y 2 TILEPROP GTP_INT_INTERFACE_X37Y147 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y147 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y147 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y147 NAME GTP_INT_INTERFACE_X37Y147 TILEPROP GTP_INT_INTERFACE_X37Y147 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y147 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y147 ROW 3 TILEPROP GTP_INT_INTERFACE_X37Y147 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y147 TILE_PATTERN_IDX 124 TILEPROP GTP_INT_INTERFACE_X37Y147 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y147 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y147 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y147 TILE_Y 234296 TILEPROP GTP_INT_INTERFACE_X37Y147 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y148 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y148 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y148 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y148 FIRST_SITE_ID 196 TILEPROP GTP_INT_INTERFACE_X37Y148 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y148 GRID_POINT_Y 2 TILEPROP GTP_INT_INTERFACE_X37Y148 INDEX 324 TILEPROP GTP_INT_INTERFACE_X37Y148 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y148 INT_TILE_Y 1 TILEPROP GTP_INT_INTERFACE_X37Y148 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y148 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y148 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y148 NAME GTP_INT_INTERFACE_X37Y148 TILEPROP GTP_INT_INTERFACE_X37Y148 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y148 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y148 ROW 2 TILEPROP GTP_INT_INTERFACE_X37Y148 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y148 TILE_PATTERN_IDX 89 TILEPROP GTP_INT_INTERFACE_X37Y148 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y148 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y148 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y148 TILE_Y 237496 TILEPROP GTP_INT_INTERFACE_X37Y148 TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y149 CLASS tile TILEPROP GTP_INT_INTERFACE_X37Y149 COLUMN 94 TILEPROP GTP_INT_INTERFACE_X37Y149 DEVICE_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y149 FIRST_SITE_ID 100 TILEPROP GTP_INT_INTERFACE_X37Y149 GRID_POINT_X 94 TILEPROP GTP_INT_INTERFACE_X37Y149 GRID_POINT_Y 1 TILEPROP GTP_INT_INTERFACE_X37Y149 INDEX 209 TILEPROP GTP_INT_INTERFACE_X37Y149 INT_TILE_X 37 TILEPROP GTP_INT_INTERFACE_X37Y149 INT_TILE_Y 0 TILEPROP GTP_INT_INTERFACE_X37Y149 IS_CENTER_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y149 IS_DCM_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y149 IS_GT_SITE_TILE 0 TILEPROP GTP_INT_INTERFACE_X37Y149 NAME GTP_INT_INTERFACE_X37Y149 TILEPROP GTP_INT_INTERFACE_X37Y149 NUM_ARCS 228 TILEPROP GTP_INT_INTERFACE_X37Y149 NUM_SITES 0 TILEPROP GTP_INT_INTERFACE_X37Y149 ROW 1 TILEPROP GTP_INT_INTERFACE_X37Y149 SLR_REGION_ID 0 TILEPROP GTP_INT_INTERFACE_X37Y149 TILE_PATTERN_IDX 50 TILEPROP GTP_INT_INTERFACE_X37Y149 TILE_TYPE GTP_INT_INTERFACE TILEPROP GTP_INT_INTERFACE_X37Y149 TILE_TYPE_INDEX 63 TILEPROP GTP_INT_INTERFACE_X37Y149 TILE_X 72494 TILEPROP GTP_INT_INTERFACE_X37Y149 TILE_Y 240696 TILEPROP GTP_INT_INTERFACE_X37Y149 TYPE GTP_INT_INTERFACE TILEPROP HCLK_BRAM_X19Y26 CLASS tile TILEPROP HCLK_BRAM_X19Y26 COLUMN 19 TILEPROP HCLK_BRAM_X19Y26 DEVICE_ID 0 TILEPROP HCLK_BRAM_X19Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_BRAM_X19Y26 GRID_POINT_X 19 TILEPROP HCLK_BRAM_X19Y26 GRID_POINT_Y 130 TILEPROP HCLK_BRAM_X19Y26 INDEX 14969 TILEPROP HCLK_BRAM_X19Y26 INT_TILE_X 5 TILEPROP HCLK_BRAM_X19Y26 INT_TILE_Y 124 TILEPROP HCLK_BRAM_X19Y26 IS_CENTER_TILE 0 TILEPROP HCLK_BRAM_X19Y26 IS_DCM_TILE 0 TILEPROP HCLK_BRAM_X19Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_BRAM_X19Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_BRAM_X19Y26 NAME HCLK_BRAM_X19Y26 TILEPROP HCLK_BRAM_X19Y26 NUM_ARCS 0 TILEPROP HCLK_BRAM_X19Y26 NUM_SITES 1 TILEPROP HCLK_BRAM_X19Y26 ROW 130 TILEPROP HCLK_BRAM_X19Y26 SLR_REGION_ID 0 TILEPROP HCLK_BRAM_X19Y26 TILE_PATTERN_IDX 842 TILEPROP HCLK_BRAM_X19Y26 TILE_TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X19Y26 TILE_TYPE_INDEX 70 TILEPROP HCLK_BRAM_X19Y26 TILE_X -68698 TILEPROP HCLK_BRAM_X19Y26 TILE_Y -160760 TILEPROP HCLK_BRAM_X19Y26 TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X19Y78 CLASS tile TILEPROP HCLK_BRAM_X19Y78 COLUMN 19 TILEPROP HCLK_BRAM_X19Y78 DEVICE_ID 0 TILEPROP HCLK_BRAM_X19Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_BRAM_X19Y78 GRID_POINT_X 19 TILEPROP HCLK_BRAM_X19Y78 GRID_POINT_Y 78 TILEPROP HCLK_BRAM_X19Y78 INDEX 8989 TILEPROP HCLK_BRAM_X19Y78 INT_TILE_X 5 TILEPROP HCLK_BRAM_X19Y78 INT_TILE_Y 74 TILEPROP HCLK_BRAM_X19Y78 IS_CENTER_TILE 0 TILEPROP HCLK_BRAM_X19Y78 IS_DCM_TILE 0 TILEPROP HCLK_BRAM_X19Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_BRAM_X19Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_BRAM_X19Y78 NAME HCLK_BRAM_X19Y78 TILEPROP HCLK_BRAM_X19Y78 NUM_ARCS 0 TILEPROP HCLK_BRAM_X19Y78 NUM_SITES 1 TILEPROP HCLK_BRAM_X19Y78 ROW 78 TILEPROP HCLK_BRAM_X19Y78 SLR_REGION_ID 0 TILEPROP HCLK_BRAM_X19Y78 TILE_PATTERN_IDX 842 TILEPROP HCLK_BRAM_X19Y78 TILE_TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X19Y78 TILE_TYPE_INDEX 70 TILEPROP HCLK_BRAM_X19Y78 TILE_X -68698 TILEPROP HCLK_BRAM_X19Y78 TILE_Y 512 TILEPROP HCLK_BRAM_X19Y78 TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X19Y130 CLASS tile TILEPROP HCLK_BRAM_X19Y130 COLUMN 19 TILEPROP HCLK_BRAM_X19Y130 DEVICE_ID 0 TILEPROP HCLK_BRAM_X19Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_BRAM_X19Y130 GRID_POINT_X 19 TILEPROP HCLK_BRAM_X19Y130 GRID_POINT_Y 26 TILEPROP HCLK_BRAM_X19Y130 INDEX 3009 TILEPROP HCLK_BRAM_X19Y130 INT_TILE_X 5 TILEPROP HCLK_BRAM_X19Y130 INT_TILE_Y 24 TILEPROP HCLK_BRAM_X19Y130 IS_CENTER_TILE 0 TILEPROP HCLK_BRAM_X19Y130 IS_DCM_TILE 0 TILEPROP HCLK_BRAM_X19Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_BRAM_X19Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_BRAM_X19Y130 NAME HCLK_BRAM_X19Y130 TILEPROP HCLK_BRAM_X19Y130 NUM_ARCS 0 TILEPROP HCLK_BRAM_X19Y130 NUM_SITES 1 TILEPROP HCLK_BRAM_X19Y130 ROW 26 TILEPROP HCLK_BRAM_X19Y130 SLR_REGION_ID 0 TILEPROP HCLK_BRAM_X19Y130 TILE_PATTERN_IDX 842 TILEPROP HCLK_BRAM_X19Y130 TILE_TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X19Y130 TILE_TYPE_INDEX 70 TILEPROP HCLK_BRAM_X19Y130 TILE_X -68698 TILEPROP HCLK_BRAM_X19Y130 TILE_Y 161784 TILEPROP HCLK_BRAM_X19Y130 TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X75Y26 CLASS tile TILEPROP HCLK_BRAM_X75Y26 COLUMN 75 TILEPROP HCLK_BRAM_X75Y26 DEVICE_ID 0 TILEPROP HCLK_BRAM_X75Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_BRAM_X75Y26 GRID_POINT_X 75 TILEPROP HCLK_BRAM_X75Y26 GRID_POINT_Y 130 TILEPROP HCLK_BRAM_X75Y26 INDEX 15025 TILEPROP HCLK_BRAM_X75Y26 INT_TILE_X 29 TILEPROP HCLK_BRAM_X75Y26 INT_TILE_Y 124 TILEPROP HCLK_BRAM_X75Y26 IS_CENTER_TILE 0 TILEPROP HCLK_BRAM_X75Y26 IS_DCM_TILE 0 TILEPROP HCLK_BRAM_X75Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_BRAM_X75Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_BRAM_X75Y26 NAME HCLK_BRAM_X75Y26 TILEPROP HCLK_BRAM_X75Y26 NUM_ARCS 0 TILEPROP HCLK_BRAM_X75Y26 NUM_SITES 1 TILEPROP HCLK_BRAM_X75Y26 ROW 130 TILEPROP HCLK_BRAM_X75Y26 SLR_REGION_ID 0 TILEPROP HCLK_BRAM_X75Y26 TILE_PATTERN_IDX 842 TILEPROP HCLK_BRAM_X75Y26 TILE_TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X75Y26 TILE_TYPE_INDEX 70 TILEPROP HCLK_BRAM_X75Y26 TILE_X 37804 TILEPROP HCLK_BRAM_X75Y26 TILE_Y -160760 TILEPROP HCLK_BRAM_X75Y26 TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X75Y78 CLASS tile TILEPROP HCLK_BRAM_X75Y78 COLUMN 75 TILEPROP HCLK_BRAM_X75Y78 DEVICE_ID 0 TILEPROP HCLK_BRAM_X75Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_BRAM_X75Y78 GRID_POINT_X 75 TILEPROP HCLK_BRAM_X75Y78 GRID_POINT_Y 78 TILEPROP HCLK_BRAM_X75Y78 INDEX 9045 TILEPROP HCLK_BRAM_X75Y78 INT_TILE_X 29 TILEPROP HCLK_BRAM_X75Y78 INT_TILE_Y 74 TILEPROP HCLK_BRAM_X75Y78 IS_CENTER_TILE 0 TILEPROP HCLK_BRAM_X75Y78 IS_DCM_TILE 0 TILEPROP HCLK_BRAM_X75Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_BRAM_X75Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_BRAM_X75Y78 NAME HCLK_BRAM_X75Y78 TILEPROP HCLK_BRAM_X75Y78 NUM_ARCS 0 TILEPROP HCLK_BRAM_X75Y78 NUM_SITES 1 TILEPROP HCLK_BRAM_X75Y78 ROW 78 TILEPROP HCLK_BRAM_X75Y78 SLR_REGION_ID 0 TILEPROP HCLK_BRAM_X75Y78 TILE_PATTERN_IDX 842 TILEPROP HCLK_BRAM_X75Y78 TILE_TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X75Y78 TILE_TYPE_INDEX 70 TILEPROP HCLK_BRAM_X75Y78 TILE_X 37804 TILEPROP HCLK_BRAM_X75Y78 TILE_Y 512 TILEPROP HCLK_BRAM_X75Y78 TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X75Y130 CLASS tile TILEPROP HCLK_BRAM_X75Y130 COLUMN 75 TILEPROP HCLK_BRAM_X75Y130 DEVICE_ID 0 TILEPROP HCLK_BRAM_X75Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_BRAM_X75Y130 GRID_POINT_X 75 TILEPROP HCLK_BRAM_X75Y130 GRID_POINT_Y 26 TILEPROP HCLK_BRAM_X75Y130 INDEX 3065 TILEPROP HCLK_BRAM_X75Y130 INT_TILE_X 29 TILEPROP HCLK_BRAM_X75Y130 INT_TILE_Y 24 TILEPROP HCLK_BRAM_X75Y130 IS_CENTER_TILE 0 TILEPROP HCLK_BRAM_X75Y130 IS_DCM_TILE 0 TILEPROP HCLK_BRAM_X75Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_BRAM_X75Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_BRAM_X75Y130 NAME HCLK_BRAM_X75Y130 TILEPROP HCLK_BRAM_X75Y130 NUM_ARCS 0 TILEPROP HCLK_BRAM_X75Y130 NUM_SITES 1 TILEPROP HCLK_BRAM_X75Y130 ROW 26 TILEPROP HCLK_BRAM_X75Y130 SLR_REGION_ID 0 TILEPROP HCLK_BRAM_X75Y130 TILE_PATTERN_IDX 842 TILEPROP HCLK_BRAM_X75Y130 TILE_TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X75Y130 TILE_TYPE_INDEX 70 TILEPROP HCLK_BRAM_X75Y130 TILE_X 37804 TILEPROP HCLK_BRAM_X75Y130 TILE_Y 161784 TILEPROP HCLK_BRAM_X75Y130 TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X95Y26 CLASS tile TILEPROP HCLK_BRAM_X95Y26 COLUMN 95 TILEPROP HCLK_BRAM_X95Y26 DEVICE_ID 0 TILEPROP HCLK_BRAM_X95Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_BRAM_X95Y26 GRID_POINT_X 95 TILEPROP HCLK_BRAM_X95Y26 GRID_POINT_Y 130 TILEPROP HCLK_BRAM_X95Y26 INDEX 15045 TILEPROP HCLK_BRAM_X95Y26 INT_TILE_X 37 TILEPROP HCLK_BRAM_X95Y26 INT_TILE_Y 124 TILEPROP HCLK_BRAM_X95Y26 IS_CENTER_TILE 0 TILEPROP HCLK_BRAM_X95Y26 IS_DCM_TILE 0 TILEPROP HCLK_BRAM_X95Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_BRAM_X95Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_BRAM_X95Y26 NAME HCLK_BRAM_X95Y26 TILEPROP HCLK_BRAM_X95Y26 NUM_ARCS 0 TILEPROP HCLK_BRAM_X95Y26 NUM_SITES 1 TILEPROP HCLK_BRAM_X95Y26 ROW 130 TILEPROP HCLK_BRAM_X95Y26 SLR_REGION_ID 0 TILEPROP HCLK_BRAM_X95Y26 TILE_PATTERN_IDX 2611 TILEPROP HCLK_BRAM_X95Y26 TILE_TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X95Y26 TILE_TYPE_INDEX 70 TILEPROP HCLK_BRAM_X95Y26 TILE_X 74714 TILEPROP HCLK_BRAM_X95Y26 TILE_Y -160760 TILEPROP HCLK_BRAM_X95Y26 TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X95Y78 CLASS tile TILEPROP HCLK_BRAM_X95Y78 COLUMN 95 TILEPROP HCLK_BRAM_X95Y78 DEVICE_ID 0 TILEPROP HCLK_BRAM_X95Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_BRAM_X95Y78 GRID_POINT_X 95 TILEPROP HCLK_BRAM_X95Y78 GRID_POINT_Y 78 TILEPROP HCLK_BRAM_X95Y78 INDEX 9065 TILEPROP HCLK_BRAM_X95Y78 INT_TILE_X 37 TILEPROP HCLK_BRAM_X95Y78 INT_TILE_Y 74 TILEPROP HCLK_BRAM_X95Y78 IS_CENTER_TILE 0 TILEPROP HCLK_BRAM_X95Y78 IS_DCM_TILE 0 TILEPROP HCLK_BRAM_X95Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_BRAM_X95Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_BRAM_X95Y78 NAME HCLK_BRAM_X95Y78 TILEPROP HCLK_BRAM_X95Y78 NUM_ARCS 0 TILEPROP HCLK_BRAM_X95Y78 NUM_SITES 1 TILEPROP HCLK_BRAM_X95Y78 ROW 78 TILEPROP HCLK_BRAM_X95Y78 SLR_REGION_ID 0 TILEPROP HCLK_BRAM_X95Y78 TILE_PATTERN_IDX 2611 TILEPROP HCLK_BRAM_X95Y78 TILE_TYPE HCLK_BRAM TILEPROP HCLK_BRAM_X95Y78 TILE_TYPE_INDEX 70 TILEPROP HCLK_BRAM_X95Y78 TILE_X 74714 TILEPROP HCLK_BRAM_X95Y78 TILE_Y 512 TILEPROP HCLK_BRAM_X95Y78 TYPE HCLK_BRAM TILEPROP HCLK_CLB_X100Y26 CLASS tile TILEPROP HCLK_CLB_X100Y26 COLUMN 100 TILEPROP HCLK_CLB_X100Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X100Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_CLB_X100Y26 GRID_POINT_X 100 TILEPROP HCLK_CLB_X100Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X100Y26 INDEX 15050 TILEPROP HCLK_CLB_X100Y26 INT_TILE_X 39 TILEPROP HCLK_CLB_X100Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X100Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X100Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X100Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X100Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X100Y26 NAME HCLK_CLB_X100Y26 TILEPROP HCLK_CLB_X100Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X100Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X100Y26 ROW 130 TILEPROP HCLK_CLB_X100Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X100Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X100Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X100Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X100Y26 TILE_X 84922 TILEPROP HCLK_CLB_X100Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X100Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X100Y78 CLASS tile TILEPROP HCLK_CLB_X100Y78 COLUMN 100 TILEPROP HCLK_CLB_X100Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X100Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_CLB_X100Y78 GRID_POINT_X 100 TILEPROP HCLK_CLB_X100Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X100Y78 INDEX 9070 TILEPROP HCLK_CLB_X100Y78 INT_TILE_X 39 TILEPROP HCLK_CLB_X100Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X100Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X100Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X100Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X100Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X100Y78 NAME HCLK_CLB_X100Y78 TILEPROP HCLK_CLB_X100Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X100Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X100Y78 ROW 78 TILEPROP HCLK_CLB_X100Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X100Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X100Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X100Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X100Y78 TILE_X 84922 TILEPROP HCLK_CLB_X100Y78 TILE_Y 512 TILEPROP HCLK_CLB_X100Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X101Y26 CLASS tile TILEPROP HCLK_CLB_X101Y26 COLUMN 101 TILEPROP HCLK_CLB_X101Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X101Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_CLB_X101Y26 GRID_POINT_X 101 TILEPROP HCLK_CLB_X101Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X101Y26 INDEX 15051 TILEPROP HCLK_CLB_X101Y26 INT_TILE_X 39 TILEPROP HCLK_CLB_X101Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X101Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X101Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X101Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X101Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X101Y26 NAME HCLK_CLB_X101Y26 TILEPROP HCLK_CLB_X101Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X101Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X101Y26 ROW 130 TILEPROP HCLK_CLB_X101Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X101Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X101Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X101Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X101Y26 TILE_X 85618 TILEPROP HCLK_CLB_X101Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X101Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X101Y78 CLASS tile TILEPROP HCLK_CLB_X101Y78 COLUMN 101 TILEPROP HCLK_CLB_X101Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X101Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_CLB_X101Y78 GRID_POINT_X 101 TILEPROP HCLK_CLB_X101Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X101Y78 INDEX 9071 TILEPROP HCLK_CLB_X101Y78 INT_TILE_X 39 TILEPROP HCLK_CLB_X101Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X101Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X101Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X101Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X101Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X101Y78 NAME HCLK_CLB_X101Y78 TILEPROP HCLK_CLB_X101Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X101Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X101Y78 ROW 78 TILEPROP HCLK_CLB_X101Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X101Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X101Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X101Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X101Y78 TILE_X 85618 TILEPROP HCLK_CLB_X101Y78 TILE_Y 512 TILEPROP HCLK_CLB_X101Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X104Y26 CLASS tile TILEPROP HCLK_CLB_X104Y26 COLUMN 104 TILEPROP HCLK_CLB_X104Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X104Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_CLB_X104Y26 GRID_POINT_X 104 TILEPROP HCLK_CLB_X104Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X104Y26 INDEX 15054 TILEPROP HCLK_CLB_X104Y26 INT_TILE_X 41 TILEPROP HCLK_CLB_X104Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X104Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X104Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X104Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X104Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X104Y26 NAME HCLK_CLB_X104Y26 TILEPROP HCLK_CLB_X104Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X104Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X104Y26 ROW 130 TILEPROP HCLK_CLB_X104Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X104Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X104Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X104Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X104Y26 TILE_X 93226 TILEPROP HCLK_CLB_X104Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X104Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X104Y78 CLASS tile TILEPROP HCLK_CLB_X104Y78 COLUMN 104 TILEPROP HCLK_CLB_X104Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X104Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_CLB_X104Y78 GRID_POINT_X 104 TILEPROP HCLK_CLB_X104Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X104Y78 INDEX 9074 TILEPROP HCLK_CLB_X104Y78 INT_TILE_X 41 TILEPROP HCLK_CLB_X104Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X104Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X104Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X104Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X104Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X104Y78 NAME HCLK_CLB_X104Y78 TILEPROP HCLK_CLB_X104Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X104Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X104Y78 ROW 78 TILEPROP HCLK_CLB_X104Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X104Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X104Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X104Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X104Y78 TILE_X 93226 TILEPROP HCLK_CLB_X104Y78 TILE_Y 512 TILEPROP HCLK_CLB_X104Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X10Y26 CLASS tile TILEPROP HCLK_CLB_X10Y26 COLUMN 10 TILEPROP HCLK_CLB_X10Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X10Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_CLB_X10Y26 GRID_POINT_X 10 TILEPROP HCLK_CLB_X10Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X10Y26 INDEX 14960 TILEPROP HCLK_CLB_X10Y26 INT_TILE_X 1 TILEPROP HCLK_CLB_X10Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X10Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X10Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X10Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X10Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X10Y26 NAME HCLK_CLB_X10Y26 TILEPROP HCLK_CLB_X10Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X10Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X10Y26 ROW 130 TILEPROP HCLK_CLB_X10Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X10Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X10Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X10Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X10Y26 TILE_X -87210 TILEPROP HCLK_CLB_X10Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X10Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X10Y78 CLASS tile TILEPROP HCLK_CLB_X10Y78 COLUMN 10 TILEPROP HCLK_CLB_X10Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X10Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_CLB_X10Y78 GRID_POINT_X 10 TILEPROP HCLK_CLB_X10Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X10Y78 INDEX 8980 TILEPROP HCLK_CLB_X10Y78 INT_TILE_X 1 TILEPROP HCLK_CLB_X10Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X10Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X10Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X10Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X10Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X10Y78 NAME HCLK_CLB_X10Y78 TILEPROP HCLK_CLB_X10Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X10Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X10Y78 ROW 78 TILEPROP HCLK_CLB_X10Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X10Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X10Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X10Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X10Y78 TILE_X -87210 TILEPROP HCLK_CLB_X10Y78 TILE_Y 512 TILEPROP HCLK_CLB_X10Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X10Y130 CLASS tile TILEPROP HCLK_CLB_X10Y130 COLUMN 10 TILEPROP HCLK_CLB_X10Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X10Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_CLB_X10Y130 GRID_POINT_X 10 TILEPROP HCLK_CLB_X10Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X10Y130 INDEX 3000 TILEPROP HCLK_CLB_X10Y130 INT_TILE_X 1 TILEPROP HCLK_CLB_X10Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X10Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X10Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X10Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X10Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X10Y130 NAME HCLK_CLB_X10Y130 TILEPROP HCLK_CLB_X10Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X10Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X10Y130 ROW 26 TILEPROP HCLK_CLB_X10Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X10Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X10Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X10Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X10Y130 TILE_X -87210 TILEPROP HCLK_CLB_X10Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X10Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X13Y26 CLASS tile TILEPROP HCLK_CLB_X13Y26 COLUMN 13 TILEPROP HCLK_CLB_X13Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X13Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_CLB_X13Y26 GRID_POINT_X 13 TILEPROP HCLK_CLB_X13Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X13Y26 INDEX 14963 TILEPROP HCLK_CLB_X13Y26 INT_TILE_X 3 TILEPROP HCLK_CLB_X13Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X13Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X13Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X13Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X13Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X13Y26 NAME HCLK_CLB_X13Y26 TILEPROP HCLK_CLB_X13Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X13Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X13Y26 ROW 130 TILEPROP HCLK_CLB_X13Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X13Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X13Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X13Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X13Y26 TILE_X -79602 TILEPROP HCLK_CLB_X13Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X13Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X13Y78 CLASS tile TILEPROP HCLK_CLB_X13Y78 COLUMN 13 TILEPROP HCLK_CLB_X13Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X13Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_CLB_X13Y78 GRID_POINT_X 13 TILEPROP HCLK_CLB_X13Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X13Y78 INDEX 8983 TILEPROP HCLK_CLB_X13Y78 INT_TILE_X 3 TILEPROP HCLK_CLB_X13Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X13Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X13Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X13Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X13Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X13Y78 NAME HCLK_CLB_X13Y78 TILEPROP HCLK_CLB_X13Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X13Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X13Y78 ROW 78 TILEPROP HCLK_CLB_X13Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X13Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X13Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X13Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X13Y78 TILE_X -79602 TILEPROP HCLK_CLB_X13Y78 TILE_Y 512 TILEPROP HCLK_CLB_X13Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X13Y130 CLASS tile TILEPROP HCLK_CLB_X13Y130 COLUMN 13 TILEPROP HCLK_CLB_X13Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X13Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_CLB_X13Y130 GRID_POINT_X 13 TILEPROP HCLK_CLB_X13Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X13Y130 INDEX 3003 TILEPROP HCLK_CLB_X13Y130 INT_TILE_X 3 TILEPROP HCLK_CLB_X13Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X13Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X13Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X13Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X13Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X13Y130 NAME HCLK_CLB_X13Y130 TILEPROP HCLK_CLB_X13Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X13Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X13Y130 ROW 26 TILEPROP HCLK_CLB_X13Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X13Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X13Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X13Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X13Y130 TILE_X -79602 TILEPROP HCLK_CLB_X13Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X13Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X14Y26 CLASS tile TILEPROP HCLK_CLB_X14Y26 COLUMN 14 TILEPROP HCLK_CLB_X14Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X14Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_CLB_X14Y26 GRID_POINT_X 14 TILEPROP HCLK_CLB_X14Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X14Y26 INDEX 14964 TILEPROP HCLK_CLB_X14Y26 INT_TILE_X 3 TILEPROP HCLK_CLB_X14Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X14Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X14Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X14Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X14Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X14Y26 NAME HCLK_CLB_X14Y26 TILEPROP HCLK_CLB_X14Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X14Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X14Y26 ROW 130 TILEPROP HCLK_CLB_X14Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X14Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X14Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X14Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X14Y26 TILE_X -78906 TILEPROP HCLK_CLB_X14Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X14Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X14Y78 CLASS tile TILEPROP HCLK_CLB_X14Y78 COLUMN 14 TILEPROP HCLK_CLB_X14Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X14Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_CLB_X14Y78 GRID_POINT_X 14 TILEPROP HCLK_CLB_X14Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X14Y78 INDEX 8984 TILEPROP HCLK_CLB_X14Y78 INT_TILE_X 3 TILEPROP HCLK_CLB_X14Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X14Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X14Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X14Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X14Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X14Y78 NAME HCLK_CLB_X14Y78 TILEPROP HCLK_CLB_X14Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X14Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X14Y78 ROW 78 TILEPROP HCLK_CLB_X14Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X14Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X14Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X14Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X14Y78 TILE_X -78906 TILEPROP HCLK_CLB_X14Y78 TILE_Y 512 TILEPROP HCLK_CLB_X14Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X14Y130 CLASS tile TILEPROP HCLK_CLB_X14Y130 COLUMN 14 TILEPROP HCLK_CLB_X14Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X14Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_CLB_X14Y130 GRID_POINT_X 14 TILEPROP HCLK_CLB_X14Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X14Y130 INDEX 3004 TILEPROP HCLK_CLB_X14Y130 INT_TILE_X 3 TILEPROP HCLK_CLB_X14Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X14Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X14Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X14Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X14Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X14Y130 NAME HCLK_CLB_X14Y130 TILEPROP HCLK_CLB_X14Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X14Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X14Y130 ROW 26 TILEPROP HCLK_CLB_X14Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X14Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X14Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X14Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X14Y130 TILE_X -78906 TILEPROP HCLK_CLB_X14Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X14Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X17Y26 CLASS tile TILEPROP HCLK_CLB_X17Y26 COLUMN 17 TILEPROP HCLK_CLB_X17Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X17Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_CLB_X17Y26 GRID_POINT_X 17 TILEPROP HCLK_CLB_X17Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X17Y26 INDEX 14967 TILEPROP HCLK_CLB_X17Y26 INT_TILE_X 5 TILEPROP HCLK_CLB_X17Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X17Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X17Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X17Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X17Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X17Y26 NAME HCLK_CLB_X17Y26 TILEPROP HCLK_CLB_X17Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X17Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X17Y26 ROW 130 TILEPROP HCLK_CLB_X17Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X17Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X17Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X17Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X17Y26 TILE_X -71298 TILEPROP HCLK_CLB_X17Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X17Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X17Y78 CLASS tile TILEPROP HCLK_CLB_X17Y78 COLUMN 17 TILEPROP HCLK_CLB_X17Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X17Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_CLB_X17Y78 GRID_POINT_X 17 TILEPROP HCLK_CLB_X17Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X17Y78 INDEX 8987 TILEPROP HCLK_CLB_X17Y78 INT_TILE_X 5 TILEPROP HCLK_CLB_X17Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X17Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X17Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X17Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X17Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X17Y78 NAME HCLK_CLB_X17Y78 TILEPROP HCLK_CLB_X17Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X17Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X17Y78 ROW 78 TILEPROP HCLK_CLB_X17Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X17Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X17Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X17Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X17Y78 TILE_X -71298 TILEPROP HCLK_CLB_X17Y78 TILE_Y 512 TILEPROP HCLK_CLB_X17Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X17Y130 CLASS tile TILEPROP HCLK_CLB_X17Y130 COLUMN 17 TILEPROP HCLK_CLB_X17Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X17Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_CLB_X17Y130 GRID_POINT_X 17 TILEPROP HCLK_CLB_X17Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X17Y130 INDEX 3007 TILEPROP HCLK_CLB_X17Y130 INT_TILE_X 5 TILEPROP HCLK_CLB_X17Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X17Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X17Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X17Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X17Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X17Y130 NAME HCLK_CLB_X17Y130 TILEPROP HCLK_CLB_X17Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X17Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X17Y130 ROW 26 TILEPROP HCLK_CLB_X17Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X17Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X17Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X17Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X17Y130 TILE_X -71298 TILEPROP HCLK_CLB_X17Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X17Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X23Y26 CLASS tile TILEPROP HCLK_CLB_X23Y26 COLUMN 23 TILEPROP HCLK_CLB_X23Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X23Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_CLB_X23Y26 GRID_POINT_X 23 TILEPROP HCLK_CLB_X23Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X23Y26 INDEX 14973 TILEPROP HCLK_CLB_X23Y26 INT_TILE_X 7 TILEPROP HCLK_CLB_X23Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X23Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X23Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X23Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X23Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X23Y26 NAME HCLK_CLB_X23Y26 TILEPROP HCLK_CLB_X23Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X23Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X23Y26 ROW 130 TILEPROP HCLK_CLB_X23Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X23Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X23Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X23Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X23Y26 TILE_X -59186 TILEPROP HCLK_CLB_X23Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X23Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X23Y78 CLASS tile TILEPROP HCLK_CLB_X23Y78 COLUMN 23 TILEPROP HCLK_CLB_X23Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X23Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_CLB_X23Y78 GRID_POINT_X 23 TILEPROP HCLK_CLB_X23Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X23Y78 INDEX 8993 TILEPROP HCLK_CLB_X23Y78 INT_TILE_X 7 TILEPROP HCLK_CLB_X23Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X23Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X23Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X23Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X23Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X23Y78 NAME HCLK_CLB_X23Y78 TILEPROP HCLK_CLB_X23Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X23Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X23Y78 ROW 78 TILEPROP HCLK_CLB_X23Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X23Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X23Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X23Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X23Y78 TILE_X -59186 TILEPROP HCLK_CLB_X23Y78 TILE_Y 512 TILEPROP HCLK_CLB_X23Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X23Y130 CLASS tile TILEPROP HCLK_CLB_X23Y130 COLUMN 23 TILEPROP HCLK_CLB_X23Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X23Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X23Y130 GRID_POINT_X 23 TILEPROP HCLK_CLB_X23Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X23Y130 INDEX 3013 TILEPROP HCLK_CLB_X23Y130 INT_TILE_X 7 TILEPROP HCLK_CLB_X23Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X23Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X23Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X23Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X23Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X23Y130 NAME HCLK_CLB_X23Y130 TILEPROP HCLK_CLB_X23Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X23Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X23Y130 ROW 26 TILEPROP HCLK_CLB_X23Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X23Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X23Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X23Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X23Y130 TILE_X -59186 TILEPROP HCLK_CLB_X23Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X23Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X24Y26 CLASS tile TILEPROP HCLK_CLB_X24Y26 COLUMN 24 TILEPROP HCLK_CLB_X24Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X24Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_CLB_X24Y26 GRID_POINT_X 24 TILEPROP HCLK_CLB_X24Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X24Y26 INDEX 14974 TILEPROP HCLK_CLB_X24Y26 INT_TILE_X 7 TILEPROP HCLK_CLB_X24Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X24Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X24Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X24Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X24Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X24Y26 NAME HCLK_CLB_X24Y26 TILEPROP HCLK_CLB_X24Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X24Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X24Y26 ROW 130 TILEPROP HCLK_CLB_X24Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X24Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X24Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X24Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X24Y26 TILE_X -58490 TILEPROP HCLK_CLB_X24Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X24Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X24Y78 CLASS tile TILEPROP HCLK_CLB_X24Y78 COLUMN 24 TILEPROP HCLK_CLB_X24Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X24Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_CLB_X24Y78 GRID_POINT_X 24 TILEPROP HCLK_CLB_X24Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X24Y78 INDEX 8994 TILEPROP HCLK_CLB_X24Y78 INT_TILE_X 7 TILEPROP HCLK_CLB_X24Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X24Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X24Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X24Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X24Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X24Y78 NAME HCLK_CLB_X24Y78 TILEPROP HCLK_CLB_X24Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X24Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X24Y78 ROW 78 TILEPROP HCLK_CLB_X24Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X24Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X24Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X24Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X24Y78 TILE_X -58490 TILEPROP HCLK_CLB_X24Y78 TILE_Y 512 TILEPROP HCLK_CLB_X24Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X24Y130 CLASS tile TILEPROP HCLK_CLB_X24Y130 COLUMN 24 TILEPROP HCLK_CLB_X24Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X24Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X24Y130 GRID_POINT_X 24 TILEPROP HCLK_CLB_X24Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X24Y130 INDEX 3014 TILEPROP HCLK_CLB_X24Y130 INT_TILE_X 7 TILEPROP HCLK_CLB_X24Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X24Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X24Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X24Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X24Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X24Y130 NAME HCLK_CLB_X24Y130 TILEPROP HCLK_CLB_X24Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X24Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X24Y130 ROW 26 TILEPROP HCLK_CLB_X24Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X24Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X24Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X24Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X24Y130 TILE_X -58490 TILEPROP HCLK_CLB_X24Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X24Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X30Y26 CLASS tile TILEPROP HCLK_CLB_X30Y26 COLUMN 30 TILEPROP HCLK_CLB_X30Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X30Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_CLB_X30Y26 GRID_POINT_X 30 TILEPROP HCLK_CLB_X30Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X30Y26 INDEX 14980 TILEPROP HCLK_CLB_X30Y26 INT_TILE_X 9 TILEPROP HCLK_CLB_X30Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X30Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X30Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X30Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X30Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X30Y26 NAME HCLK_CLB_X30Y26 TILEPROP HCLK_CLB_X30Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X30Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X30Y26 ROW 130 TILEPROP HCLK_CLB_X30Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X30Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X30Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X30Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X30Y26 TILE_X -49668 TILEPROP HCLK_CLB_X30Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X30Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X30Y78 CLASS tile TILEPROP HCLK_CLB_X30Y78 COLUMN 30 TILEPROP HCLK_CLB_X30Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X30Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_CLB_X30Y78 GRID_POINT_X 30 TILEPROP HCLK_CLB_X30Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X30Y78 INDEX 9000 TILEPROP HCLK_CLB_X30Y78 INT_TILE_X 9 TILEPROP HCLK_CLB_X30Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X30Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X30Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X30Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X30Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X30Y78 NAME HCLK_CLB_X30Y78 TILEPROP HCLK_CLB_X30Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X30Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X30Y78 ROW 78 TILEPROP HCLK_CLB_X30Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X30Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X30Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X30Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X30Y78 TILE_X -49668 TILEPROP HCLK_CLB_X30Y78 TILE_Y 512 TILEPROP HCLK_CLB_X30Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X30Y130 CLASS tile TILEPROP HCLK_CLB_X30Y130 COLUMN 30 TILEPROP HCLK_CLB_X30Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X30Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X30Y130 GRID_POINT_X 30 TILEPROP HCLK_CLB_X30Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X30Y130 INDEX 3020 TILEPROP HCLK_CLB_X30Y130 INT_TILE_X 9 TILEPROP HCLK_CLB_X30Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X30Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X30Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X30Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X30Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X30Y130 NAME HCLK_CLB_X30Y130 TILEPROP HCLK_CLB_X30Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X30Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X30Y130 ROW 26 TILEPROP HCLK_CLB_X30Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X30Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X30Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X30Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X30Y130 TILE_X -49668 TILEPROP HCLK_CLB_X30Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X30Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X33Y26 CLASS tile TILEPROP HCLK_CLB_X33Y26 COLUMN 33 TILEPROP HCLK_CLB_X33Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X33Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_CLB_X33Y26 GRID_POINT_X 33 TILEPROP HCLK_CLB_X33Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X33Y26 INDEX 14983 TILEPROP HCLK_CLB_X33Y26 INT_TILE_X 11 TILEPROP HCLK_CLB_X33Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X33Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X33Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X33Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X33Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X33Y26 NAME HCLK_CLB_X33Y26 TILEPROP HCLK_CLB_X33Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X33Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X33Y26 ROW 130 TILEPROP HCLK_CLB_X33Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X33Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X33Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X33Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X33Y26 TILE_X -42060 TILEPROP HCLK_CLB_X33Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X33Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X33Y78 CLASS tile TILEPROP HCLK_CLB_X33Y78 COLUMN 33 TILEPROP HCLK_CLB_X33Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X33Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_CLB_X33Y78 GRID_POINT_X 33 TILEPROP HCLK_CLB_X33Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X33Y78 INDEX 9003 TILEPROP HCLK_CLB_X33Y78 INT_TILE_X 11 TILEPROP HCLK_CLB_X33Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X33Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X33Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X33Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X33Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X33Y78 NAME HCLK_CLB_X33Y78 TILEPROP HCLK_CLB_X33Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X33Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X33Y78 ROW 78 TILEPROP HCLK_CLB_X33Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X33Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X33Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X33Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X33Y78 TILE_X -42060 TILEPROP HCLK_CLB_X33Y78 TILE_Y 512 TILEPROP HCLK_CLB_X33Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X33Y130 CLASS tile TILEPROP HCLK_CLB_X33Y130 COLUMN 33 TILEPROP HCLK_CLB_X33Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X33Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X33Y130 GRID_POINT_X 33 TILEPROP HCLK_CLB_X33Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X33Y130 INDEX 3023 TILEPROP HCLK_CLB_X33Y130 INT_TILE_X 11 TILEPROP HCLK_CLB_X33Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X33Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X33Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X33Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X33Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X33Y130 NAME HCLK_CLB_X33Y130 TILEPROP HCLK_CLB_X33Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X33Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X33Y130 ROW 26 TILEPROP HCLK_CLB_X33Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X33Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X33Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X33Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X33Y130 TILE_X -42060 TILEPROP HCLK_CLB_X33Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X33Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X35Y130 CLASS tile TILEPROP HCLK_CLB_X35Y130 COLUMN 35 TILEPROP HCLK_CLB_X35Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X35Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X35Y130 GRID_POINT_X 35 TILEPROP HCLK_CLB_X35Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X35Y130 INDEX 3025 TILEPROP HCLK_CLB_X35Y130 INT_TILE_X 11 TILEPROP HCLK_CLB_X35Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X35Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X35Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X35Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X35Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X35Y130 NAME HCLK_CLB_X35Y130 TILEPROP HCLK_CLB_X35Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X35Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X35Y130 ROW 26 TILEPROP HCLK_CLB_X35Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X35Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X35Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X35Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X35Y130 TILE_X -41300 TILEPROP HCLK_CLB_X35Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X35Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X38Y130 CLASS tile TILEPROP HCLK_CLB_X38Y130 COLUMN 38 TILEPROP HCLK_CLB_X38Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X38Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X38Y130 GRID_POINT_X 38 TILEPROP HCLK_CLB_X38Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X38Y130 INDEX 3028 TILEPROP HCLK_CLB_X38Y130 INT_TILE_X 13 TILEPROP HCLK_CLB_X38Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X38Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X38Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X38Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X38Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X38Y130 NAME HCLK_CLB_X38Y130 TILEPROP HCLK_CLB_X38Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X38Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X38Y130 ROW 26 TILEPROP HCLK_CLB_X38Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X38Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X38Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X38Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X38Y130 TILE_X -33692 TILEPROP HCLK_CLB_X38Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X38Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X39Y130 CLASS tile TILEPROP HCLK_CLB_X39Y130 COLUMN 39 TILEPROP HCLK_CLB_X39Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X39Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X39Y130 GRID_POINT_X 39 TILEPROP HCLK_CLB_X39Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X39Y130 INDEX 3029 TILEPROP HCLK_CLB_X39Y130 INT_TILE_X 13 TILEPROP HCLK_CLB_X39Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X39Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X39Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X39Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X39Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X39Y130 NAME HCLK_CLB_X39Y130 TILEPROP HCLK_CLB_X39Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X39Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X39Y130 ROW 26 TILEPROP HCLK_CLB_X39Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X39Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X39Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X39Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X39Y130 TILE_X -32996 TILEPROP HCLK_CLB_X39Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X39Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X42Y130 CLASS tile TILEPROP HCLK_CLB_X42Y130 COLUMN 42 TILEPROP HCLK_CLB_X42Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X42Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X42Y130 GRID_POINT_X 42 TILEPROP HCLK_CLB_X42Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X42Y130 INDEX 3032 TILEPROP HCLK_CLB_X42Y130 INT_TILE_X 15 TILEPROP HCLK_CLB_X42Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X42Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X42Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X42Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X42Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X42Y130 NAME HCLK_CLB_X42Y130 TILEPROP HCLK_CLB_X42Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X42Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X42Y130 ROW 26 TILEPROP HCLK_CLB_X42Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X42Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X42Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X42Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X42Y130 TILE_X -25388 TILEPROP HCLK_CLB_X42Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X42Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X43Y130 CLASS tile TILEPROP HCLK_CLB_X43Y130 COLUMN 43 TILEPROP HCLK_CLB_X43Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X43Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X43Y130 GRID_POINT_X 43 TILEPROP HCLK_CLB_X43Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X43Y130 INDEX 3033 TILEPROP HCLK_CLB_X43Y130 INT_TILE_X 15 TILEPROP HCLK_CLB_X43Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X43Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X43Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X43Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X43Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X43Y130 NAME HCLK_CLB_X43Y130 TILEPROP HCLK_CLB_X43Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X43Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X43Y130 ROW 26 TILEPROP HCLK_CLB_X43Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X43Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X43Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X43Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X43Y130 TILE_X -24692 TILEPROP HCLK_CLB_X43Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X43Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X46Y130 CLASS tile TILEPROP HCLK_CLB_X46Y130 COLUMN 46 TILEPROP HCLK_CLB_X46Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X46Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X46Y130 GRID_POINT_X 46 TILEPROP HCLK_CLB_X46Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X46Y130 INDEX 3036 TILEPROP HCLK_CLB_X46Y130 INT_TILE_X 17 TILEPROP HCLK_CLB_X46Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X46Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X46Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X46Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X46Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X46Y130 NAME HCLK_CLB_X46Y130 TILEPROP HCLK_CLB_X46Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X46Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X46Y130 ROW 26 TILEPROP HCLK_CLB_X46Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X46Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X46Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X46Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X46Y130 TILE_X -17084 TILEPROP HCLK_CLB_X46Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X46Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X51Y26 CLASS tile TILEPROP HCLK_CLB_X51Y26 COLUMN 51 TILEPROP HCLK_CLB_X51Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X51Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_CLB_X51Y26 GRID_POINT_X 51 TILEPROP HCLK_CLB_X51Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X51Y26 INDEX 15001 TILEPROP HCLK_CLB_X51Y26 INT_TILE_X 19 TILEPROP HCLK_CLB_X51Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X51Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X51Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X51Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X51Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X51Y26 NAME HCLK_CLB_X51Y26 TILEPROP HCLK_CLB_X51Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X51Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X51Y26 ROW 130 TILEPROP HCLK_CLB_X51Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X51Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X51Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X51Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X51Y26 TILE_X -9348 TILEPROP HCLK_CLB_X51Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X51Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X51Y78 CLASS tile TILEPROP HCLK_CLB_X51Y78 COLUMN 51 TILEPROP HCLK_CLB_X51Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X51Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_CLB_X51Y78 GRID_POINT_X 51 TILEPROP HCLK_CLB_X51Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X51Y78 INDEX 9021 TILEPROP HCLK_CLB_X51Y78 INT_TILE_X 19 TILEPROP HCLK_CLB_X51Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X51Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X51Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X51Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X51Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X51Y78 NAME HCLK_CLB_X51Y78 TILEPROP HCLK_CLB_X51Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X51Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X51Y78 ROW 78 TILEPROP HCLK_CLB_X51Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X51Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X51Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X51Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X51Y78 TILE_X -9348 TILEPROP HCLK_CLB_X51Y78 TILE_Y 512 TILEPROP HCLK_CLB_X51Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X51Y130 CLASS tile TILEPROP HCLK_CLB_X51Y130 COLUMN 51 TILEPROP HCLK_CLB_X51Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X51Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X51Y130 GRID_POINT_X 51 TILEPROP HCLK_CLB_X51Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X51Y130 INDEX 3041 TILEPROP HCLK_CLB_X51Y130 INT_TILE_X 19 TILEPROP HCLK_CLB_X51Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X51Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X51Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X51Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X51Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X51Y130 NAME HCLK_CLB_X51Y130 TILEPROP HCLK_CLB_X51Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X51Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X51Y130 ROW 26 TILEPROP HCLK_CLB_X51Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X51Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X51Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X51Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X51Y130 TILE_X -9348 TILEPROP HCLK_CLB_X51Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X51Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X52Y26 CLASS tile TILEPROP HCLK_CLB_X52Y26 COLUMN 52 TILEPROP HCLK_CLB_X52Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X52Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_CLB_X52Y26 GRID_POINT_X 52 TILEPROP HCLK_CLB_X52Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X52Y26 INDEX 15002 TILEPROP HCLK_CLB_X52Y26 INT_TILE_X 19 TILEPROP HCLK_CLB_X52Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X52Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X52Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X52Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X52Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X52Y26 NAME HCLK_CLB_X52Y26 TILEPROP HCLK_CLB_X52Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X52Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X52Y26 ROW 130 TILEPROP HCLK_CLB_X52Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X52Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X52Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X52Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X52Y26 TILE_X -8652 TILEPROP HCLK_CLB_X52Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X52Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X52Y78 CLASS tile TILEPROP HCLK_CLB_X52Y78 COLUMN 52 TILEPROP HCLK_CLB_X52Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X52Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_CLB_X52Y78 GRID_POINT_X 52 TILEPROP HCLK_CLB_X52Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X52Y78 INDEX 9022 TILEPROP HCLK_CLB_X52Y78 INT_TILE_X 19 TILEPROP HCLK_CLB_X52Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X52Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X52Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X52Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X52Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X52Y78 NAME HCLK_CLB_X52Y78 TILEPROP HCLK_CLB_X52Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X52Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X52Y78 ROW 78 TILEPROP HCLK_CLB_X52Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X52Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X52Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X52Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X52Y78 TILE_X -8652 TILEPROP HCLK_CLB_X52Y78 TILE_Y 512 TILEPROP HCLK_CLB_X52Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X52Y130 CLASS tile TILEPROP HCLK_CLB_X52Y130 COLUMN 52 TILEPROP HCLK_CLB_X52Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X52Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X52Y130 GRID_POINT_X 52 TILEPROP HCLK_CLB_X52Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X52Y130 INDEX 3042 TILEPROP HCLK_CLB_X52Y130 INT_TILE_X 19 TILEPROP HCLK_CLB_X52Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X52Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X52Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X52Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X52Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X52Y130 NAME HCLK_CLB_X52Y130 TILEPROP HCLK_CLB_X52Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X52Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X52Y130 ROW 26 TILEPROP HCLK_CLB_X52Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X52Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X52Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X52Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X52Y130 TILE_X -8652 TILEPROP HCLK_CLB_X52Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X52Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X55Y26 CLASS tile TILEPROP HCLK_CLB_X55Y26 COLUMN 55 TILEPROP HCLK_CLB_X55Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X55Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_CLB_X55Y26 GRID_POINT_X 55 TILEPROP HCLK_CLB_X55Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X55Y26 INDEX 15005 TILEPROP HCLK_CLB_X55Y26 INT_TILE_X 21 TILEPROP HCLK_CLB_X55Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X55Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X55Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X55Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X55Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X55Y26 NAME HCLK_CLB_X55Y26 TILEPROP HCLK_CLB_X55Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X55Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X55Y26 ROW 130 TILEPROP HCLK_CLB_X55Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X55Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X55Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X55Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X55Y26 TILE_X -1044 TILEPROP HCLK_CLB_X55Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X55Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X55Y78 CLASS tile TILEPROP HCLK_CLB_X55Y78 COLUMN 55 TILEPROP HCLK_CLB_X55Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X55Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_CLB_X55Y78 GRID_POINT_X 55 TILEPROP HCLK_CLB_X55Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X55Y78 INDEX 9025 TILEPROP HCLK_CLB_X55Y78 INT_TILE_X 21 TILEPROP HCLK_CLB_X55Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X55Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X55Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X55Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X55Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X55Y78 NAME HCLK_CLB_X55Y78 TILEPROP HCLK_CLB_X55Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X55Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X55Y78 ROW 78 TILEPROP HCLK_CLB_X55Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X55Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X55Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X55Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X55Y78 TILE_X -1044 TILEPROP HCLK_CLB_X55Y78 TILE_Y 512 TILEPROP HCLK_CLB_X55Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X55Y130 CLASS tile TILEPROP HCLK_CLB_X55Y130 COLUMN 55 TILEPROP HCLK_CLB_X55Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X55Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X55Y130 GRID_POINT_X 55 TILEPROP HCLK_CLB_X55Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X55Y130 INDEX 3045 TILEPROP HCLK_CLB_X55Y130 INT_TILE_X 21 TILEPROP HCLK_CLB_X55Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X55Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X55Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X55Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X55Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X55Y130 NAME HCLK_CLB_X55Y130 TILEPROP HCLK_CLB_X55Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X55Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X55Y130 ROW 26 TILEPROP HCLK_CLB_X55Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X55Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X55Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X55Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X55Y130 TILE_X -1044 TILEPROP HCLK_CLB_X55Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X55Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X56Y26 CLASS tile TILEPROP HCLK_CLB_X56Y26 COLUMN 56 TILEPROP HCLK_CLB_X56Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X56Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_CLB_X56Y26 GRID_POINT_X 56 TILEPROP HCLK_CLB_X56Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X56Y26 INDEX 15006 TILEPROP HCLK_CLB_X56Y26 INT_TILE_X 21 TILEPROP HCLK_CLB_X56Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X56Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X56Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X56Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X56Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X56Y26 NAME HCLK_CLB_X56Y26 TILEPROP HCLK_CLB_X56Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X56Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X56Y26 ROW 130 TILEPROP HCLK_CLB_X56Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X56Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X56Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X56Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X56Y26 TILE_X -348 TILEPROP HCLK_CLB_X56Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X56Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X56Y78 CLASS tile TILEPROP HCLK_CLB_X56Y78 COLUMN 56 TILEPROP HCLK_CLB_X56Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X56Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_CLB_X56Y78 GRID_POINT_X 56 TILEPROP HCLK_CLB_X56Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X56Y78 INDEX 9026 TILEPROP HCLK_CLB_X56Y78 INT_TILE_X 21 TILEPROP HCLK_CLB_X56Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X56Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X56Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X56Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X56Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X56Y78 NAME HCLK_CLB_X56Y78 TILEPROP HCLK_CLB_X56Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X56Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X56Y78 ROW 78 TILEPROP HCLK_CLB_X56Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X56Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X56Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X56Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X56Y78 TILE_X -348 TILEPROP HCLK_CLB_X56Y78 TILE_Y 512 TILEPROP HCLK_CLB_X56Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X56Y130 CLASS tile TILEPROP HCLK_CLB_X56Y130 COLUMN 56 TILEPROP HCLK_CLB_X56Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X56Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_CLB_X56Y130 GRID_POINT_X 56 TILEPROP HCLK_CLB_X56Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X56Y130 INDEX 3046 TILEPROP HCLK_CLB_X56Y130 INT_TILE_X 21 TILEPROP HCLK_CLB_X56Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X56Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X56Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X56Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X56Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X56Y130 NAME HCLK_CLB_X56Y130 TILEPROP HCLK_CLB_X56Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X56Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X56Y130 ROW 26 TILEPROP HCLK_CLB_X56Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X56Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X56Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X56Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X56Y130 TILE_X -348 TILEPROP HCLK_CLB_X56Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X56Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X62Y26 CLASS tile TILEPROP HCLK_CLB_X62Y26 COLUMN 62 TILEPROP HCLK_CLB_X62Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X62Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_CLB_X62Y26 GRID_POINT_X 62 TILEPROP HCLK_CLB_X62Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X62Y26 INDEX 15012 TILEPROP HCLK_CLB_X62Y26 INT_TILE_X 23 TILEPROP HCLK_CLB_X62Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X62Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X62Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X62Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X62Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X62Y26 NAME HCLK_CLB_X62Y26 TILEPROP HCLK_CLB_X62Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X62Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X62Y26 ROW 130 TILEPROP HCLK_CLB_X62Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X62Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X62Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X62Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X62Y26 TILE_X 10988 TILEPROP HCLK_CLB_X62Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X62Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X62Y78 CLASS tile TILEPROP HCLK_CLB_X62Y78 COLUMN 62 TILEPROP HCLK_CLB_X62Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X62Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_CLB_X62Y78 GRID_POINT_X 62 TILEPROP HCLK_CLB_X62Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X62Y78 INDEX 9032 TILEPROP HCLK_CLB_X62Y78 INT_TILE_X 23 TILEPROP HCLK_CLB_X62Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X62Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X62Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X62Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X62Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X62Y78 NAME HCLK_CLB_X62Y78 TILEPROP HCLK_CLB_X62Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X62Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X62Y78 ROW 78 TILEPROP HCLK_CLB_X62Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X62Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X62Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X62Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X62Y78 TILE_X 10988 TILEPROP HCLK_CLB_X62Y78 TILE_Y 512 TILEPROP HCLK_CLB_X62Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X62Y130 CLASS tile TILEPROP HCLK_CLB_X62Y130 COLUMN 62 TILEPROP HCLK_CLB_X62Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X62Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_CLB_X62Y130 GRID_POINT_X 62 TILEPROP HCLK_CLB_X62Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X62Y130 INDEX 3052 TILEPROP HCLK_CLB_X62Y130 INT_TILE_X 23 TILEPROP HCLK_CLB_X62Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X62Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X62Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X62Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X62Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X62Y130 NAME HCLK_CLB_X62Y130 TILEPROP HCLK_CLB_X62Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X62Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X62Y130 ROW 26 TILEPROP HCLK_CLB_X62Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X62Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X62Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X62Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X62Y130 TILE_X 10988 TILEPROP HCLK_CLB_X62Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X62Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X65Y26 CLASS tile TILEPROP HCLK_CLB_X65Y26 COLUMN 65 TILEPROP HCLK_CLB_X65Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X65Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_CLB_X65Y26 GRID_POINT_X 65 TILEPROP HCLK_CLB_X65Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X65Y26 INDEX 15015 TILEPROP HCLK_CLB_X65Y26 INT_TILE_X 25 TILEPROP HCLK_CLB_X65Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X65Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X65Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X65Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X65Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X65Y26 NAME HCLK_CLB_X65Y26 TILEPROP HCLK_CLB_X65Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X65Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X65Y26 ROW 130 TILEPROP HCLK_CLB_X65Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X65Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X65Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X65Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X65Y26 TILE_X 18596 TILEPROP HCLK_CLB_X65Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X65Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X65Y78 CLASS tile TILEPROP HCLK_CLB_X65Y78 COLUMN 65 TILEPROP HCLK_CLB_X65Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X65Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_CLB_X65Y78 GRID_POINT_X 65 TILEPROP HCLK_CLB_X65Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X65Y78 INDEX 9035 TILEPROP HCLK_CLB_X65Y78 INT_TILE_X 25 TILEPROP HCLK_CLB_X65Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X65Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X65Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X65Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X65Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X65Y78 NAME HCLK_CLB_X65Y78 TILEPROP HCLK_CLB_X65Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X65Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X65Y78 ROW 78 TILEPROP HCLK_CLB_X65Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X65Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X65Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X65Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X65Y78 TILE_X 18596 TILEPROP HCLK_CLB_X65Y78 TILE_Y 512 TILEPROP HCLK_CLB_X65Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X65Y130 CLASS tile TILEPROP HCLK_CLB_X65Y130 COLUMN 65 TILEPROP HCLK_CLB_X65Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X65Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_CLB_X65Y130 GRID_POINT_X 65 TILEPROP HCLK_CLB_X65Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X65Y130 INDEX 3055 TILEPROP HCLK_CLB_X65Y130 INT_TILE_X 25 TILEPROP HCLK_CLB_X65Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X65Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X65Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X65Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X65Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X65Y130 NAME HCLK_CLB_X65Y130 TILEPROP HCLK_CLB_X65Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X65Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X65Y130 ROW 26 TILEPROP HCLK_CLB_X65Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X65Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X65Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X65Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X65Y130 TILE_X 18596 TILEPROP HCLK_CLB_X65Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X65Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X67Y26 CLASS tile TILEPROP HCLK_CLB_X67Y26 COLUMN 67 TILEPROP HCLK_CLB_X67Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X67Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_CLB_X67Y26 GRID_POINT_X 67 TILEPROP HCLK_CLB_X67Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X67Y26 INDEX 15017 TILEPROP HCLK_CLB_X67Y26 INT_TILE_X 25 TILEPROP HCLK_CLB_X67Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X67Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X67Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X67Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X67Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X67Y26 NAME HCLK_CLB_X67Y26 TILEPROP HCLK_CLB_X67Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X67Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X67Y26 ROW 130 TILEPROP HCLK_CLB_X67Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X67Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X67Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X67Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X67Y26 TILE_X 19356 TILEPROP HCLK_CLB_X67Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X67Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X67Y78 CLASS tile TILEPROP HCLK_CLB_X67Y78 COLUMN 67 TILEPROP HCLK_CLB_X67Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X67Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_CLB_X67Y78 GRID_POINT_X 67 TILEPROP HCLK_CLB_X67Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X67Y78 INDEX 9037 TILEPROP HCLK_CLB_X67Y78 INT_TILE_X 25 TILEPROP HCLK_CLB_X67Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X67Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X67Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X67Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X67Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X67Y78 NAME HCLK_CLB_X67Y78 TILEPROP HCLK_CLB_X67Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X67Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X67Y78 ROW 78 TILEPROP HCLK_CLB_X67Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X67Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X67Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X67Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X67Y78 TILE_X 19356 TILEPROP HCLK_CLB_X67Y78 TILE_Y 512 TILEPROP HCLK_CLB_X67Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X67Y130 CLASS tile TILEPROP HCLK_CLB_X67Y130 COLUMN 67 TILEPROP HCLK_CLB_X67Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X67Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_CLB_X67Y130 GRID_POINT_X 67 TILEPROP HCLK_CLB_X67Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X67Y130 INDEX 3057 TILEPROP HCLK_CLB_X67Y130 INT_TILE_X 25 TILEPROP HCLK_CLB_X67Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X67Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X67Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X67Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X67Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X67Y130 NAME HCLK_CLB_X67Y130 TILEPROP HCLK_CLB_X67Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X67Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X67Y130 ROW 26 TILEPROP HCLK_CLB_X67Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X67Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X67Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X67Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X67Y130 TILE_X 19356 TILEPROP HCLK_CLB_X67Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X67Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X70Y26 CLASS tile TILEPROP HCLK_CLB_X70Y26 COLUMN 70 TILEPROP HCLK_CLB_X70Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X70Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_CLB_X70Y26 GRID_POINT_X 70 TILEPROP HCLK_CLB_X70Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X70Y26 INDEX 15020 TILEPROP HCLK_CLB_X70Y26 INT_TILE_X 27 TILEPROP HCLK_CLB_X70Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X70Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X70Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X70Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X70Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X70Y26 NAME HCLK_CLB_X70Y26 TILEPROP HCLK_CLB_X70Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X70Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X70Y26 ROW 130 TILEPROP HCLK_CLB_X70Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X70Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X70Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X70Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X70Y26 TILE_X 26964 TILEPROP HCLK_CLB_X70Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X70Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X70Y78 CLASS tile TILEPROP HCLK_CLB_X70Y78 COLUMN 70 TILEPROP HCLK_CLB_X70Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X70Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_CLB_X70Y78 GRID_POINT_X 70 TILEPROP HCLK_CLB_X70Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X70Y78 INDEX 9040 TILEPROP HCLK_CLB_X70Y78 INT_TILE_X 27 TILEPROP HCLK_CLB_X70Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X70Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X70Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X70Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X70Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X70Y78 NAME HCLK_CLB_X70Y78 TILEPROP HCLK_CLB_X70Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X70Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X70Y78 ROW 78 TILEPROP HCLK_CLB_X70Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X70Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X70Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X70Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X70Y78 TILE_X 26964 TILEPROP HCLK_CLB_X70Y78 TILE_Y 512 TILEPROP HCLK_CLB_X70Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X70Y130 CLASS tile TILEPROP HCLK_CLB_X70Y130 COLUMN 70 TILEPROP HCLK_CLB_X70Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X70Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_CLB_X70Y130 GRID_POINT_X 70 TILEPROP HCLK_CLB_X70Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X70Y130 INDEX 3060 TILEPROP HCLK_CLB_X70Y130 INT_TILE_X 27 TILEPROP HCLK_CLB_X70Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X70Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X70Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X70Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X70Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X70Y130 NAME HCLK_CLB_X70Y130 TILEPROP HCLK_CLB_X70Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X70Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X70Y130 ROW 26 TILEPROP HCLK_CLB_X70Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X70Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X70Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X70Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X70Y130 TILE_X 26964 TILEPROP HCLK_CLB_X70Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X70Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X71Y26 CLASS tile TILEPROP HCLK_CLB_X71Y26 COLUMN 71 TILEPROP HCLK_CLB_X71Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X71Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_CLB_X71Y26 GRID_POINT_X 71 TILEPROP HCLK_CLB_X71Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X71Y26 INDEX 15021 TILEPROP HCLK_CLB_X71Y26 INT_TILE_X 27 TILEPROP HCLK_CLB_X71Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X71Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X71Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X71Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X71Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X71Y26 NAME HCLK_CLB_X71Y26 TILEPROP HCLK_CLB_X71Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X71Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X71Y26 ROW 130 TILEPROP HCLK_CLB_X71Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X71Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X71Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X71Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X71Y26 TILE_X 27660 TILEPROP HCLK_CLB_X71Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X71Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X71Y78 CLASS tile TILEPROP HCLK_CLB_X71Y78 COLUMN 71 TILEPROP HCLK_CLB_X71Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X71Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_CLB_X71Y78 GRID_POINT_X 71 TILEPROP HCLK_CLB_X71Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X71Y78 INDEX 9041 TILEPROP HCLK_CLB_X71Y78 INT_TILE_X 27 TILEPROP HCLK_CLB_X71Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X71Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X71Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X71Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X71Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X71Y78 NAME HCLK_CLB_X71Y78 TILEPROP HCLK_CLB_X71Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X71Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X71Y78 ROW 78 TILEPROP HCLK_CLB_X71Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X71Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X71Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X71Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X71Y78 TILE_X 27660 TILEPROP HCLK_CLB_X71Y78 TILE_Y 512 TILEPROP HCLK_CLB_X71Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X71Y130 CLASS tile TILEPROP HCLK_CLB_X71Y130 COLUMN 71 TILEPROP HCLK_CLB_X71Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X71Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_CLB_X71Y130 GRID_POINT_X 71 TILEPROP HCLK_CLB_X71Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X71Y130 INDEX 3061 TILEPROP HCLK_CLB_X71Y130 INT_TILE_X 27 TILEPROP HCLK_CLB_X71Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X71Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X71Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X71Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X71Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X71Y130 NAME HCLK_CLB_X71Y130 TILEPROP HCLK_CLB_X71Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X71Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X71Y130 ROW 26 TILEPROP HCLK_CLB_X71Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X71Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X71Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X71Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X71Y130 TILE_X 27660 TILEPROP HCLK_CLB_X71Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X71Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X74Y26 CLASS tile TILEPROP HCLK_CLB_X74Y26 COLUMN 74 TILEPROP HCLK_CLB_X74Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X74Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_CLB_X74Y26 GRID_POINT_X 74 TILEPROP HCLK_CLB_X74Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X74Y26 INDEX 15024 TILEPROP HCLK_CLB_X74Y26 INT_TILE_X 29 TILEPROP HCLK_CLB_X74Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X74Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X74Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X74Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X74Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X74Y26 NAME HCLK_CLB_X74Y26 TILEPROP HCLK_CLB_X74Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X74Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X74Y26 ROW 130 TILEPROP HCLK_CLB_X74Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X74Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X74Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X74Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X74Y26 TILE_X 35268 TILEPROP HCLK_CLB_X74Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X74Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X74Y78 CLASS tile TILEPROP HCLK_CLB_X74Y78 COLUMN 74 TILEPROP HCLK_CLB_X74Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X74Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_CLB_X74Y78 GRID_POINT_X 74 TILEPROP HCLK_CLB_X74Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X74Y78 INDEX 9044 TILEPROP HCLK_CLB_X74Y78 INT_TILE_X 29 TILEPROP HCLK_CLB_X74Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X74Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X74Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X74Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X74Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X74Y78 NAME HCLK_CLB_X74Y78 TILEPROP HCLK_CLB_X74Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X74Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X74Y78 ROW 78 TILEPROP HCLK_CLB_X74Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X74Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X74Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X74Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X74Y78 TILE_X 35268 TILEPROP HCLK_CLB_X74Y78 TILE_Y 512 TILEPROP HCLK_CLB_X74Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X74Y130 CLASS tile TILEPROP HCLK_CLB_X74Y130 COLUMN 74 TILEPROP HCLK_CLB_X74Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X74Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_CLB_X74Y130 GRID_POINT_X 74 TILEPROP HCLK_CLB_X74Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X74Y130 INDEX 3064 TILEPROP HCLK_CLB_X74Y130 INT_TILE_X 29 TILEPROP HCLK_CLB_X74Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X74Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X74Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X74Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X74Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X74Y130 NAME HCLK_CLB_X74Y130 TILEPROP HCLK_CLB_X74Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X74Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X74Y130 ROW 26 TILEPROP HCLK_CLB_X74Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X74Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X74Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X74Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X74Y130 TILE_X 35268 TILEPROP HCLK_CLB_X74Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X74Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X79Y26 CLASS tile TILEPROP HCLK_CLB_X79Y26 COLUMN 79 TILEPROP HCLK_CLB_X79Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X79Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_CLB_X79Y26 GRID_POINT_X 79 TILEPROP HCLK_CLB_X79Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X79Y26 INDEX 15029 TILEPROP HCLK_CLB_X79Y26 INT_TILE_X 31 TILEPROP HCLK_CLB_X79Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X79Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X79Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X79Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X79Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X79Y26 NAME HCLK_CLB_X79Y26 TILEPROP HCLK_CLB_X79Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X79Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X79Y26 ROW 130 TILEPROP HCLK_CLB_X79Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X79Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X79Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X79Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X79Y26 TILE_X 47316 TILEPROP HCLK_CLB_X79Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X79Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X79Y78 CLASS tile TILEPROP HCLK_CLB_X79Y78 COLUMN 79 TILEPROP HCLK_CLB_X79Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X79Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_CLB_X79Y78 GRID_POINT_X 79 TILEPROP HCLK_CLB_X79Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X79Y78 INDEX 9049 TILEPROP HCLK_CLB_X79Y78 INT_TILE_X 31 TILEPROP HCLK_CLB_X79Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X79Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X79Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X79Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X79Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X79Y78 NAME HCLK_CLB_X79Y78 TILEPROP HCLK_CLB_X79Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X79Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X79Y78 ROW 78 TILEPROP HCLK_CLB_X79Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X79Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X79Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X79Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X79Y78 TILE_X 47316 TILEPROP HCLK_CLB_X79Y78 TILE_Y 512 TILEPROP HCLK_CLB_X79Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X79Y130 CLASS tile TILEPROP HCLK_CLB_X79Y130 COLUMN 79 TILEPROP HCLK_CLB_X79Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X79Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_CLB_X79Y130 GRID_POINT_X 79 TILEPROP HCLK_CLB_X79Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X79Y130 INDEX 3069 TILEPROP HCLK_CLB_X79Y130 INT_TILE_X 31 TILEPROP HCLK_CLB_X79Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X79Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X79Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X79Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X79Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X79Y130 NAME HCLK_CLB_X79Y130 TILEPROP HCLK_CLB_X79Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X79Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X79Y130 ROW 26 TILEPROP HCLK_CLB_X79Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X79Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X79Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X79Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X79Y130 TILE_X 47316 TILEPROP HCLK_CLB_X79Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X79Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X81Y26 CLASS tile TILEPROP HCLK_CLB_X81Y26 COLUMN 81 TILEPROP HCLK_CLB_X81Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X81Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_CLB_X81Y26 GRID_POINT_X 81 TILEPROP HCLK_CLB_X81Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X81Y26 INDEX 15031 TILEPROP HCLK_CLB_X81Y26 INT_TILE_X 31 TILEPROP HCLK_CLB_X81Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X81Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X81Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X81Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X81Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X81Y26 NAME HCLK_CLB_X81Y26 TILEPROP HCLK_CLB_X81Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X81Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X81Y26 ROW 130 TILEPROP HCLK_CLB_X81Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X81Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X81Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X81Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X81Y26 TILE_X 48076 TILEPROP HCLK_CLB_X81Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X81Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X81Y78 CLASS tile TILEPROP HCLK_CLB_X81Y78 COLUMN 81 TILEPROP HCLK_CLB_X81Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X81Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_CLB_X81Y78 GRID_POINT_X 81 TILEPROP HCLK_CLB_X81Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X81Y78 INDEX 9051 TILEPROP HCLK_CLB_X81Y78 INT_TILE_X 31 TILEPROP HCLK_CLB_X81Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X81Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X81Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X81Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X81Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X81Y78 NAME HCLK_CLB_X81Y78 TILEPROP HCLK_CLB_X81Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X81Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X81Y78 ROW 78 TILEPROP HCLK_CLB_X81Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X81Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X81Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X81Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X81Y78 TILE_X 48076 TILEPROP HCLK_CLB_X81Y78 TILE_Y 512 TILEPROP HCLK_CLB_X81Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X81Y130 CLASS tile TILEPROP HCLK_CLB_X81Y130 COLUMN 81 TILEPROP HCLK_CLB_X81Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X81Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_CLB_X81Y130 GRID_POINT_X 81 TILEPROP HCLK_CLB_X81Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X81Y130 INDEX 3071 TILEPROP HCLK_CLB_X81Y130 INT_TILE_X 31 TILEPROP HCLK_CLB_X81Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X81Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X81Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X81Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X81Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X81Y130 NAME HCLK_CLB_X81Y130 TILEPROP HCLK_CLB_X81Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X81Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X81Y130 ROW 26 TILEPROP HCLK_CLB_X81Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X81Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X81Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X81Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X81Y130 TILE_X 48076 TILEPROP HCLK_CLB_X81Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X81Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X84Y26 CLASS tile TILEPROP HCLK_CLB_X84Y26 COLUMN 84 TILEPROP HCLK_CLB_X84Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X84Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_CLB_X84Y26 GRID_POINT_X 84 TILEPROP HCLK_CLB_X84Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X84Y26 INDEX 15034 TILEPROP HCLK_CLB_X84Y26 INT_TILE_X 33 TILEPROP HCLK_CLB_X84Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X84Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X84Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X84Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X84Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X84Y26 NAME HCLK_CLB_X84Y26 TILEPROP HCLK_CLB_X84Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X84Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X84Y26 ROW 130 TILEPROP HCLK_CLB_X84Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X84Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X84Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X84Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X84Y26 TILE_X 55684 TILEPROP HCLK_CLB_X84Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X84Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X84Y78 CLASS tile TILEPROP HCLK_CLB_X84Y78 COLUMN 84 TILEPROP HCLK_CLB_X84Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X84Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_CLB_X84Y78 GRID_POINT_X 84 TILEPROP HCLK_CLB_X84Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X84Y78 INDEX 9054 TILEPROP HCLK_CLB_X84Y78 INT_TILE_X 33 TILEPROP HCLK_CLB_X84Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X84Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X84Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X84Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X84Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X84Y78 NAME HCLK_CLB_X84Y78 TILEPROP HCLK_CLB_X84Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X84Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X84Y78 ROW 78 TILEPROP HCLK_CLB_X84Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X84Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X84Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X84Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X84Y78 TILE_X 55684 TILEPROP HCLK_CLB_X84Y78 TILE_Y 512 TILEPROP HCLK_CLB_X84Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X84Y130 CLASS tile TILEPROP HCLK_CLB_X84Y130 COLUMN 84 TILEPROP HCLK_CLB_X84Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X84Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_CLB_X84Y130 GRID_POINT_X 84 TILEPROP HCLK_CLB_X84Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X84Y130 INDEX 3074 TILEPROP HCLK_CLB_X84Y130 INT_TILE_X 33 TILEPROP HCLK_CLB_X84Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X84Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X84Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X84Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X84Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X84Y130 NAME HCLK_CLB_X84Y130 TILEPROP HCLK_CLB_X84Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X84Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X84Y130 ROW 26 TILEPROP HCLK_CLB_X84Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X84Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X84Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X84Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X84Y130 TILE_X 55684 TILEPROP HCLK_CLB_X84Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X84Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X90Y26 CLASS tile TILEPROP HCLK_CLB_X90Y26 COLUMN 90 TILEPROP HCLK_CLB_X90Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X90Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_CLB_X90Y26 GRID_POINT_X 90 TILEPROP HCLK_CLB_X90Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X90Y26 INDEX 15040 TILEPROP HCLK_CLB_X90Y26 INT_TILE_X 35 TILEPROP HCLK_CLB_X90Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X90Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X90Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X90Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X90Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X90Y26 NAME HCLK_CLB_X90Y26 TILEPROP HCLK_CLB_X90Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X90Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X90Y26 ROW 130 TILEPROP HCLK_CLB_X90Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X90Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X90Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X90Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X90Y26 TILE_X 64506 TILEPROP HCLK_CLB_X90Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X90Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X90Y78 CLASS tile TILEPROP HCLK_CLB_X90Y78 COLUMN 90 TILEPROP HCLK_CLB_X90Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X90Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_CLB_X90Y78 GRID_POINT_X 90 TILEPROP HCLK_CLB_X90Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X90Y78 INDEX 9060 TILEPROP HCLK_CLB_X90Y78 INT_TILE_X 35 TILEPROP HCLK_CLB_X90Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X90Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X90Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X90Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X90Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X90Y78 NAME HCLK_CLB_X90Y78 TILEPROP HCLK_CLB_X90Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X90Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X90Y78 ROW 78 TILEPROP HCLK_CLB_X90Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X90Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X90Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X90Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X90Y78 TILE_X 64506 TILEPROP HCLK_CLB_X90Y78 TILE_Y 512 TILEPROP HCLK_CLB_X90Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X90Y130 CLASS tile TILEPROP HCLK_CLB_X90Y130 COLUMN 90 TILEPROP HCLK_CLB_X90Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X90Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_CLB_X90Y130 GRID_POINT_X 90 TILEPROP HCLK_CLB_X90Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X90Y130 INDEX 3080 TILEPROP HCLK_CLB_X90Y130 INT_TILE_X 35 TILEPROP HCLK_CLB_X90Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X90Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X90Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X90Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X90Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X90Y130 NAME HCLK_CLB_X90Y130 TILEPROP HCLK_CLB_X90Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X90Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X90Y130 ROW 26 TILEPROP HCLK_CLB_X90Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X90Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X90Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X90Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X90Y130 TILE_X 64506 TILEPROP HCLK_CLB_X90Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X90Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X91Y26 CLASS tile TILEPROP HCLK_CLB_X91Y26 COLUMN 91 TILEPROP HCLK_CLB_X91Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X91Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_CLB_X91Y26 GRID_POINT_X 91 TILEPROP HCLK_CLB_X91Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X91Y26 INDEX 15041 TILEPROP HCLK_CLB_X91Y26 INT_TILE_X 35 TILEPROP HCLK_CLB_X91Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X91Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X91Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X91Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X91Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X91Y26 NAME HCLK_CLB_X91Y26 TILEPROP HCLK_CLB_X91Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X91Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X91Y26 ROW 130 TILEPROP HCLK_CLB_X91Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X91Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X91Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X91Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X91Y26 TILE_X 65202 TILEPROP HCLK_CLB_X91Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X91Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X91Y78 CLASS tile TILEPROP HCLK_CLB_X91Y78 COLUMN 91 TILEPROP HCLK_CLB_X91Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X91Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_CLB_X91Y78 GRID_POINT_X 91 TILEPROP HCLK_CLB_X91Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X91Y78 INDEX 9061 TILEPROP HCLK_CLB_X91Y78 INT_TILE_X 35 TILEPROP HCLK_CLB_X91Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X91Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X91Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X91Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X91Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X91Y78 NAME HCLK_CLB_X91Y78 TILEPROP HCLK_CLB_X91Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X91Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X91Y78 ROW 78 TILEPROP HCLK_CLB_X91Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X91Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X91Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X91Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X91Y78 TILE_X 65202 TILEPROP HCLK_CLB_X91Y78 TILE_Y 512 TILEPROP HCLK_CLB_X91Y78 TYPE HCLK_CLB TILEPROP HCLK_CLB_X91Y130 CLASS tile TILEPROP HCLK_CLB_X91Y130 COLUMN 91 TILEPROP HCLK_CLB_X91Y130 DEVICE_ID 0 TILEPROP HCLK_CLB_X91Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_CLB_X91Y130 GRID_POINT_X 91 TILEPROP HCLK_CLB_X91Y130 GRID_POINT_Y 26 TILEPROP HCLK_CLB_X91Y130 INDEX 3081 TILEPROP HCLK_CLB_X91Y130 INT_TILE_X 35 TILEPROP HCLK_CLB_X91Y130 INT_TILE_Y 24 TILEPROP HCLK_CLB_X91Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X91Y130 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X91Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X91Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X91Y130 NAME HCLK_CLB_X91Y130 TILEPROP HCLK_CLB_X91Y130 NUM_ARCS 0 TILEPROP HCLK_CLB_X91Y130 NUM_SITES 0 TILEPROP HCLK_CLB_X91Y130 ROW 26 TILEPROP HCLK_CLB_X91Y130 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X91Y130 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X91Y130 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X91Y130 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X91Y130 TILE_X 65202 TILEPROP HCLK_CLB_X91Y130 TILE_Y 161784 TILEPROP HCLK_CLB_X91Y130 TYPE HCLK_CLB TILEPROP HCLK_CLB_X97Y26 CLASS tile TILEPROP HCLK_CLB_X97Y26 COLUMN 97 TILEPROP HCLK_CLB_X97Y26 DEVICE_ID 0 TILEPROP HCLK_CLB_X97Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_CLB_X97Y26 GRID_POINT_X 97 TILEPROP HCLK_CLB_X97Y26 GRID_POINT_Y 130 TILEPROP HCLK_CLB_X97Y26 INDEX 15047 TILEPROP HCLK_CLB_X97Y26 INT_TILE_X 37 TILEPROP HCLK_CLB_X97Y26 INT_TILE_Y 124 TILEPROP HCLK_CLB_X97Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X97Y26 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X97Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X97Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X97Y26 NAME HCLK_CLB_X97Y26 TILEPROP HCLK_CLB_X97Y26 NUM_ARCS 0 TILEPROP HCLK_CLB_X97Y26 NUM_SITES 0 TILEPROP HCLK_CLB_X97Y26 ROW 130 TILEPROP HCLK_CLB_X97Y26 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X97Y26 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X97Y26 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X97Y26 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X97Y26 TILE_X 77314 TILEPROP HCLK_CLB_X97Y26 TILE_Y -160760 TILEPROP HCLK_CLB_X97Y26 TYPE HCLK_CLB TILEPROP HCLK_CLB_X97Y78 CLASS tile TILEPROP HCLK_CLB_X97Y78 COLUMN 97 TILEPROP HCLK_CLB_X97Y78 DEVICE_ID 0 TILEPROP HCLK_CLB_X97Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_CLB_X97Y78 GRID_POINT_X 97 TILEPROP HCLK_CLB_X97Y78 GRID_POINT_Y 78 TILEPROP HCLK_CLB_X97Y78 INDEX 9067 TILEPROP HCLK_CLB_X97Y78 INT_TILE_X 37 TILEPROP HCLK_CLB_X97Y78 INT_TILE_Y 74 TILEPROP HCLK_CLB_X97Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CLB_X97Y78 IS_DCM_TILE 0 TILEPROP HCLK_CLB_X97Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CLB_X97Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CLB_X97Y78 NAME HCLK_CLB_X97Y78 TILEPROP HCLK_CLB_X97Y78 NUM_ARCS 0 TILEPROP HCLK_CLB_X97Y78 NUM_SITES 0 TILEPROP HCLK_CLB_X97Y78 ROW 78 TILEPROP HCLK_CLB_X97Y78 SLR_REGION_ID 0 TILEPROP HCLK_CLB_X97Y78 TILE_PATTERN_IDX 837 TILEPROP HCLK_CLB_X97Y78 TILE_TYPE HCLK_CLB TILEPROP HCLK_CLB_X97Y78 TILE_TYPE_INDEX 71 TILEPROP HCLK_CLB_X97Y78 TILE_X 77314 TILEPROP HCLK_CLB_X97Y78 TILE_Y 512 TILEPROP HCLK_CLB_X97Y78 TYPE HCLK_CLB TILEPROP HCLK_CMT_L_X106Y26 CLASS tile TILEPROP HCLK_CMT_L_X106Y26 COLUMN 106 TILEPROP HCLK_CMT_L_X106Y26 DEVICE_ID 0 TILEPROP HCLK_CMT_L_X106Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_CMT_L_X106Y26 GRID_POINT_X 106 TILEPROP HCLK_CMT_L_X106Y26 GRID_POINT_Y 130 TILEPROP HCLK_CMT_L_X106Y26 INDEX 15056 TILEPROP HCLK_CMT_L_X106Y26 INT_TILE_X 41 TILEPROP HCLK_CMT_L_X106Y26 INT_TILE_Y 124 TILEPROP HCLK_CMT_L_X106Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CMT_L_X106Y26 IS_DCM_TILE 0 TILEPROP HCLK_CMT_L_X106Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CMT_L_X106Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CMT_L_X106Y26 NAME HCLK_CMT_L_X106Y26 TILEPROP HCLK_CMT_L_X106Y26 NUM_ARCS 1070 TILEPROP HCLK_CMT_L_X106Y26 NUM_SITES 2 TILEPROP HCLK_CMT_L_X106Y26 ROW 130 TILEPROP HCLK_CMT_L_X106Y26 SLR_REGION_ID 0 TILEPROP HCLK_CMT_L_X106Y26 TILE_PATTERN_IDX 8029 TILEPROP HCLK_CMT_L_X106Y26 TILE_TYPE HCLK_CMT_L TILEPROP HCLK_CMT_L_X106Y26 TILE_TYPE_INDEX 73 TILEPROP HCLK_CMT_L_X106Y26 TILE_X 95438 TILEPROP HCLK_CMT_L_X106Y26 TILE_Y -160760 TILEPROP HCLK_CMT_L_X106Y26 TYPE HCLK_CMT_L TILEPROP HCLK_CMT_L_X106Y78 CLASS tile TILEPROP HCLK_CMT_L_X106Y78 COLUMN 106 TILEPROP HCLK_CMT_L_X106Y78 DEVICE_ID 0 TILEPROP HCLK_CMT_L_X106Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_CMT_L_X106Y78 GRID_POINT_X 106 TILEPROP HCLK_CMT_L_X106Y78 GRID_POINT_Y 78 TILEPROP HCLK_CMT_L_X106Y78 INDEX 9076 TILEPROP HCLK_CMT_L_X106Y78 INT_TILE_X 41 TILEPROP HCLK_CMT_L_X106Y78 INT_TILE_Y 74 TILEPROP HCLK_CMT_L_X106Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CMT_L_X106Y78 IS_DCM_TILE 0 TILEPROP HCLK_CMT_L_X106Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CMT_L_X106Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CMT_L_X106Y78 NAME HCLK_CMT_L_X106Y78 TILEPROP HCLK_CMT_L_X106Y78 NUM_ARCS 1070 TILEPROP HCLK_CMT_L_X106Y78 NUM_SITES 2 TILEPROP HCLK_CMT_L_X106Y78 ROW 78 TILEPROP HCLK_CMT_L_X106Y78 SLR_REGION_ID 0 TILEPROP HCLK_CMT_L_X106Y78 TILE_PATTERN_IDX 6351 TILEPROP HCLK_CMT_L_X106Y78 TILE_TYPE HCLK_CMT_L TILEPROP HCLK_CMT_L_X106Y78 TILE_TYPE_INDEX 73 TILEPROP HCLK_CMT_L_X106Y78 TILE_X 95438 TILEPROP HCLK_CMT_L_X106Y78 TILE_Y 512 TILEPROP HCLK_CMT_L_X106Y78 TYPE HCLK_CMT_L TILEPROP HCLK_CMT_X8Y26 CLASS tile TILEPROP HCLK_CMT_X8Y26 COLUMN 8 TILEPROP HCLK_CMT_X8Y26 DEVICE_ID 0 TILEPROP HCLK_CMT_X8Y26 FIRST_SITE_ID 13116 TILEPROP HCLK_CMT_X8Y26 GRID_POINT_X 8 TILEPROP HCLK_CMT_X8Y26 GRID_POINT_Y 130 TILEPROP HCLK_CMT_X8Y26 INDEX 14958 TILEPROP HCLK_CMT_X8Y26 INT_TILE_X 1 TILEPROP HCLK_CMT_X8Y26 INT_TILE_Y 124 TILEPROP HCLK_CMT_X8Y26 IS_CENTER_TILE 0 TILEPROP HCLK_CMT_X8Y26 IS_DCM_TILE 0 TILEPROP HCLK_CMT_X8Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CMT_X8Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_CMT_X8Y26 NAME HCLK_CMT_X8Y26 TILEPROP HCLK_CMT_X8Y26 NUM_ARCS 1070 TILEPROP HCLK_CMT_X8Y26 NUM_SITES 2 TILEPROP HCLK_CMT_X8Y26 ROW 130 TILEPROP HCLK_CMT_X8Y26 SLR_REGION_ID 0 TILEPROP HCLK_CMT_X8Y26 TILE_PATTERN_IDX 7993 TILEPROP HCLK_CMT_X8Y26 TILE_TYPE HCLK_CMT TILEPROP HCLK_CMT_X8Y26 TILE_TYPE_INDEX 72 TILEPROP HCLK_CMT_X8Y26 TILE_X -89422 TILEPROP HCLK_CMT_X8Y26 TILE_Y -160760 TILEPROP HCLK_CMT_X8Y26 TYPE HCLK_CMT TILEPROP HCLK_CMT_X8Y78 CLASS tile TILEPROP HCLK_CMT_X8Y78 COLUMN 8 TILEPROP HCLK_CMT_X8Y78 DEVICE_ID 0 TILEPROP HCLK_CMT_X8Y78 FIRST_SITE_ID 7729 TILEPROP HCLK_CMT_X8Y78 GRID_POINT_X 8 TILEPROP HCLK_CMT_X8Y78 GRID_POINT_Y 78 TILEPROP HCLK_CMT_X8Y78 INDEX 8978 TILEPROP HCLK_CMT_X8Y78 INT_TILE_X 1 TILEPROP HCLK_CMT_X8Y78 INT_TILE_Y 74 TILEPROP HCLK_CMT_X8Y78 IS_CENTER_TILE 0 TILEPROP HCLK_CMT_X8Y78 IS_DCM_TILE 0 TILEPROP HCLK_CMT_X8Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CMT_X8Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_CMT_X8Y78 NAME HCLK_CMT_X8Y78 TILEPROP HCLK_CMT_X8Y78 NUM_ARCS 1070 TILEPROP HCLK_CMT_X8Y78 NUM_SITES 2 TILEPROP HCLK_CMT_X8Y78 ROW 78 TILEPROP HCLK_CMT_X8Y78 SLR_REGION_ID 0 TILEPROP HCLK_CMT_X8Y78 TILE_PATTERN_IDX 6315 TILEPROP HCLK_CMT_X8Y78 TILE_TYPE HCLK_CMT TILEPROP HCLK_CMT_X8Y78 TILE_TYPE_INDEX 72 TILEPROP HCLK_CMT_X8Y78 TILE_X -89422 TILEPROP HCLK_CMT_X8Y78 TILE_Y 512 TILEPROP HCLK_CMT_X8Y78 TYPE HCLK_CMT TILEPROP HCLK_CMT_X8Y130 CLASS tile TILEPROP HCLK_CMT_X8Y130 COLUMN 8 TILEPROP HCLK_CMT_X8Y130 DEVICE_ID 0 TILEPROP HCLK_CMT_X8Y130 FIRST_SITE_ID 2622 TILEPROP HCLK_CMT_X8Y130 GRID_POINT_X 8 TILEPROP HCLK_CMT_X8Y130 GRID_POINT_Y 26 TILEPROP HCLK_CMT_X8Y130 INDEX 2998 TILEPROP HCLK_CMT_X8Y130 INT_TILE_X 1 TILEPROP HCLK_CMT_X8Y130 INT_TILE_Y 24 TILEPROP HCLK_CMT_X8Y130 IS_CENTER_TILE 0 TILEPROP HCLK_CMT_X8Y130 IS_DCM_TILE 0 TILEPROP HCLK_CMT_X8Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_CMT_X8Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_CMT_X8Y130 NAME HCLK_CMT_X8Y130 TILEPROP HCLK_CMT_X8Y130 NUM_ARCS 1070 TILEPROP HCLK_CMT_X8Y130 NUM_SITES 2 TILEPROP HCLK_CMT_X8Y130 ROW 26 TILEPROP HCLK_CMT_X8Y130 SLR_REGION_ID 0 TILEPROP HCLK_CMT_X8Y130 TILE_PATTERN_IDX 4507 TILEPROP HCLK_CMT_X8Y130 TILE_TYPE HCLK_CMT TILEPROP HCLK_CMT_X8Y130 TILE_TYPE_INDEX 72 TILEPROP HCLK_CMT_X8Y130 TILE_X -89422 TILEPROP HCLK_CMT_X8Y130 TILE_Y 161784 TILEPROP HCLK_CMT_X8Y130 TYPE HCLK_CMT TILEPROP HCLK_DSP_L_X86Y26 CLASS tile TILEPROP HCLK_DSP_L_X86Y26 COLUMN 86 TILEPROP HCLK_DSP_L_X86Y26 DEVICE_ID 0 TILEPROP HCLK_DSP_L_X86Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_DSP_L_X86Y26 GRID_POINT_X 86 TILEPROP HCLK_DSP_L_X86Y26 GRID_POINT_Y 130 TILEPROP HCLK_DSP_L_X86Y26 INDEX 15036 TILEPROP HCLK_DSP_L_X86Y26 INT_TILE_X 33 TILEPROP HCLK_DSP_L_X86Y26 INT_TILE_Y 124 TILEPROP HCLK_DSP_L_X86Y26 IS_CENTER_TILE 0 TILEPROP HCLK_DSP_L_X86Y26 IS_DCM_TILE 0 TILEPROP HCLK_DSP_L_X86Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_DSP_L_X86Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_DSP_L_X86Y26 NAME HCLK_DSP_L_X86Y26 TILEPROP HCLK_DSP_L_X86Y26 NUM_ARCS 0 TILEPROP HCLK_DSP_L_X86Y26 NUM_SITES 0 TILEPROP HCLK_DSP_L_X86Y26 ROW 130 TILEPROP HCLK_DSP_L_X86Y26 SLR_REGION_ID 0 TILEPROP HCLK_DSP_L_X86Y26 TILE_PATTERN_IDX 864 TILEPROP HCLK_DSP_L_X86Y26 TILE_TYPE HCLK_DSP_L TILEPROP HCLK_DSP_L_X86Y26 TILE_TYPE_INDEX 74 TILEPROP HCLK_DSP_L_X86Y26 TILE_X 56639 TILEPROP HCLK_DSP_L_X86Y26 TILE_Y -160760 TILEPROP HCLK_DSP_L_X86Y26 TYPE HCLK_DSP_L TILEPROP HCLK_DSP_L_X86Y78 CLASS tile TILEPROP HCLK_DSP_L_X86Y78 COLUMN 86 TILEPROP HCLK_DSP_L_X86Y78 DEVICE_ID 0 TILEPROP HCLK_DSP_L_X86Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_DSP_L_X86Y78 GRID_POINT_X 86 TILEPROP HCLK_DSP_L_X86Y78 GRID_POINT_Y 78 TILEPROP HCLK_DSP_L_X86Y78 INDEX 9056 TILEPROP HCLK_DSP_L_X86Y78 INT_TILE_X 33 TILEPROP HCLK_DSP_L_X86Y78 INT_TILE_Y 74 TILEPROP HCLK_DSP_L_X86Y78 IS_CENTER_TILE 0 TILEPROP HCLK_DSP_L_X86Y78 IS_DCM_TILE 0 TILEPROP HCLK_DSP_L_X86Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_DSP_L_X86Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_DSP_L_X86Y78 NAME HCLK_DSP_L_X86Y78 TILEPROP HCLK_DSP_L_X86Y78 NUM_ARCS 0 TILEPROP HCLK_DSP_L_X86Y78 NUM_SITES 0 TILEPROP HCLK_DSP_L_X86Y78 ROW 78 TILEPROP HCLK_DSP_L_X86Y78 SLR_REGION_ID 0 TILEPROP HCLK_DSP_L_X86Y78 TILE_PATTERN_IDX 864 TILEPROP HCLK_DSP_L_X86Y78 TILE_TYPE HCLK_DSP_L TILEPROP HCLK_DSP_L_X86Y78 TILE_TYPE_INDEX 74 TILEPROP HCLK_DSP_L_X86Y78 TILE_X 56639 TILEPROP HCLK_DSP_L_X86Y78 TILE_Y 512 TILEPROP HCLK_DSP_L_X86Y78 TYPE HCLK_DSP_L TILEPROP HCLK_DSP_L_X86Y130 CLASS tile TILEPROP HCLK_DSP_L_X86Y130 COLUMN 86 TILEPROP HCLK_DSP_L_X86Y130 DEVICE_ID 0 TILEPROP HCLK_DSP_L_X86Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_DSP_L_X86Y130 GRID_POINT_X 86 TILEPROP HCLK_DSP_L_X86Y130 GRID_POINT_Y 26 TILEPROP HCLK_DSP_L_X86Y130 INDEX 3076 TILEPROP HCLK_DSP_L_X86Y130 INT_TILE_X 33 TILEPROP HCLK_DSP_L_X86Y130 INT_TILE_Y 24 TILEPROP HCLK_DSP_L_X86Y130 IS_CENTER_TILE 0 TILEPROP HCLK_DSP_L_X86Y130 IS_DCM_TILE 0 TILEPROP HCLK_DSP_L_X86Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_DSP_L_X86Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_DSP_L_X86Y130 NAME HCLK_DSP_L_X86Y130 TILEPROP HCLK_DSP_L_X86Y130 NUM_ARCS 0 TILEPROP HCLK_DSP_L_X86Y130 NUM_SITES 0 TILEPROP HCLK_DSP_L_X86Y130 ROW 26 TILEPROP HCLK_DSP_L_X86Y130 SLR_REGION_ID 0 TILEPROP HCLK_DSP_L_X86Y130 TILE_PATTERN_IDX 864 TILEPROP HCLK_DSP_L_X86Y130 TILE_TYPE HCLK_DSP_L TILEPROP HCLK_DSP_L_X86Y130 TILE_TYPE_INDEX 74 TILEPROP HCLK_DSP_L_X86Y130 TILE_X 56639 TILEPROP HCLK_DSP_L_X86Y130 TILE_Y 161784 TILEPROP HCLK_DSP_L_X86Y130 TYPE HCLK_DSP_L TILEPROP HCLK_DSP_R_X28Y26 CLASS tile TILEPROP HCLK_DSP_R_X28Y26 COLUMN 28 TILEPROP HCLK_DSP_R_X28Y26 DEVICE_ID 0 TILEPROP HCLK_DSP_R_X28Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_DSP_R_X28Y26 GRID_POINT_X 28 TILEPROP HCLK_DSP_R_X28Y26 GRID_POINT_Y 130 TILEPROP HCLK_DSP_R_X28Y26 INDEX 14978 TILEPROP HCLK_DSP_R_X28Y26 INT_TILE_X 9 TILEPROP HCLK_DSP_R_X28Y26 INT_TILE_Y 124 TILEPROP HCLK_DSP_R_X28Y26 IS_CENTER_TILE 0 TILEPROP HCLK_DSP_R_X28Y26 IS_DCM_TILE 0 TILEPROP HCLK_DSP_R_X28Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_DSP_R_X28Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_DSP_R_X28Y26 NAME HCLK_DSP_R_X28Y26 TILEPROP HCLK_DSP_R_X28Y26 NUM_ARCS 0 TILEPROP HCLK_DSP_R_X28Y26 NUM_SITES 0 TILEPROP HCLK_DSP_R_X28Y26 ROW 130 TILEPROP HCLK_DSP_R_X28Y26 SLR_REGION_ID 0 TILEPROP HCLK_DSP_R_X28Y26 TILE_PATTERN_IDX 847 TILEPROP HCLK_DSP_R_X28Y26 TILE_TYPE HCLK_DSP_R TILEPROP HCLK_DSP_R_X28Y26 TILE_TYPE_INDEX 75 TILEPROP HCLK_DSP_R_X28Y26 TILE_X -50623 TILEPROP HCLK_DSP_R_X28Y26 TILE_Y -160760 TILEPROP HCLK_DSP_R_X28Y26 TYPE HCLK_DSP_R TILEPROP HCLK_DSP_R_X28Y78 CLASS tile TILEPROP HCLK_DSP_R_X28Y78 COLUMN 28 TILEPROP HCLK_DSP_R_X28Y78 DEVICE_ID 0 TILEPROP HCLK_DSP_R_X28Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_DSP_R_X28Y78 GRID_POINT_X 28 TILEPROP HCLK_DSP_R_X28Y78 GRID_POINT_Y 78 TILEPROP HCLK_DSP_R_X28Y78 INDEX 8998 TILEPROP HCLK_DSP_R_X28Y78 INT_TILE_X 9 TILEPROP HCLK_DSP_R_X28Y78 INT_TILE_Y 74 TILEPROP HCLK_DSP_R_X28Y78 IS_CENTER_TILE 0 TILEPROP HCLK_DSP_R_X28Y78 IS_DCM_TILE 0 TILEPROP HCLK_DSP_R_X28Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_DSP_R_X28Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_DSP_R_X28Y78 NAME HCLK_DSP_R_X28Y78 TILEPROP HCLK_DSP_R_X28Y78 NUM_ARCS 0 TILEPROP HCLK_DSP_R_X28Y78 NUM_SITES 0 TILEPROP HCLK_DSP_R_X28Y78 ROW 78 TILEPROP HCLK_DSP_R_X28Y78 SLR_REGION_ID 0 TILEPROP HCLK_DSP_R_X28Y78 TILE_PATTERN_IDX 847 TILEPROP HCLK_DSP_R_X28Y78 TILE_TYPE HCLK_DSP_R TILEPROP HCLK_DSP_R_X28Y78 TILE_TYPE_INDEX 75 TILEPROP HCLK_DSP_R_X28Y78 TILE_X -50623 TILEPROP HCLK_DSP_R_X28Y78 TILE_Y 512 TILEPROP HCLK_DSP_R_X28Y78 TYPE HCLK_DSP_R TILEPROP HCLK_DSP_R_X28Y130 CLASS tile TILEPROP HCLK_DSP_R_X28Y130 COLUMN 28 TILEPROP HCLK_DSP_R_X28Y130 DEVICE_ID 0 TILEPROP HCLK_DSP_R_X28Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_DSP_R_X28Y130 GRID_POINT_X 28 TILEPROP HCLK_DSP_R_X28Y130 GRID_POINT_Y 26 TILEPROP HCLK_DSP_R_X28Y130 INDEX 3018 TILEPROP HCLK_DSP_R_X28Y130 INT_TILE_X 9 TILEPROP HCLK_DSP_R_X28Y130 INT_TILE_Y 24 TILEPROP HCLK_DSP_R_X28Y130 IS_CENTER_TILE 0 TILEPROP HCLK_DSP_R_X28Y130 IS_DCM_TILE 0 TILEPROP HCLK_DSP_R_X28Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_DSP_R_X28Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_DSP_R_X28Y130 NAME HCLK_DSP_R_X28Y130 TILEPROP HCLK_DSP_R_X28Y130 NUM_ARCS 0 TILEPROP HCLK_DSP_R_X28Y130 NUM_SITES 0 TILEPROP HCLK_DSP_R_X28Y130 ROW 26 TILEPROP HCLK_DSP_R_X28Y130 SLR_REGION_ID 0 TILEPROP HCLK_DSP_R_X28Y130 TILE_PATTERN_IDX 847 TILEPROP HCLK_DSP_R_X28Y130 TILE_TYPE HCLK_DSP_R TILEPROP HCLK_DSP_R_X28Y130 TILE_TYPE_INDEX 75 TILEPROP HCLK_DSP_R_X28Y130 TILE_X -50623 TILEPROP HCLK_DSP_R_X28Y130 TILE_Y 161784 TILEPROP HCLK_DSP_R_X28Y130 TYPE HCLK_DSP_R TILEPROP HCLK_FEEDTHRU_1_X35Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X35Y26 COLUMN 35 TILEPROP HCLK_FEEDTHRU_1_X35Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X35Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_1_X35Y26 GRID_POINT_X 35 TILEPROP HCLK_FEEDTHRU_1_X35Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_1_X35Y26 INDEX 14985 TILEPROP HCLK_FEEDTHRU_1_X35Y26 INT_TILE_X 11 TILEPROP HCLK_FEEDTHRU_1_X35Y26 INT_TILE_Y 124 TILEPROP HCLK_FEEDTHRU_1_X35Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X35Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X35Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X35Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X35Y26 NAME HCLK_FEEDTHRU_1_X35Y26 TILEPROP HCLK_FEEDTHRU_1_X35Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X35Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X35Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_1_X35Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X35Y26 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X35Y26 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X35Y26 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X35Y26 TILE_X -41300 TILEPROP HCLK_FEEDTHRU_1_X35Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_1_X35Y26 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X35Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X35Y78 COLUMN 35 TILEPROP HCLK_FEEDTHRU_1_X35Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X35Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_1_X35Y78 GRID_POINT_X 35 TILEPROP HCLK_FEEDTHRU_1_X35Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_1_X35Y78 INDEX 9005 TILEPROP HCLK_FEEDTHRU_1_X35Y78 INT_TILE_X 11 TILEPROP HCLK_FEEDTHRU_1_X35Y78 INT_TILE_Y 74 TILEPROP HCLK_FEEDTHRU_1_X35Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X35Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X35Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X35Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X35Y78 NAME HCLK_FEEDTHRU_1_X35Y78 TILEPROP HCLK_FEEDTHRU_1_X35Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X35Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X35Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_1_X35Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X35Y78 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X35Y78 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X35Y78 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X35Y78 TILE_X -41300 TILEPROP HCLK_FEEDTHRU_1_X35Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_1_X35Y78 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X38Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X38Y26 COLUMN 38 TILEPROP HCLK_FEEDTHRU_1_X38Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X38Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_1_X38Y26 GRID_POINT_X 38 TILEPROP HCLK_FEEDTHRU_1_X38Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_1_X38Y26 INDEX 14988 TILEPROP HCLK_FEEDTHRU_1_X38Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_1_X38Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_1_X38Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X38Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X38Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X38Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X38Y26 NAME HCLK_FEEDTHRU_1_X38Y26 TILEPROP HCLK_FEEDTHRU_1_X38Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X38Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X38Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_1_X38Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X38Y26 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X38Y26 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X38Y26 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X38Y26 TILE_X -33692 TILEPROP HCLK_FEEDTHRU_1_X38Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_1_X38Y26 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X38Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X38Y78 COLUMN 38 TILEPROP HCLK_FEEDTHRU_1_X38Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X38Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_1_X38Y78 GRID_POINT_X 38 TILEPROP HCLK_FEEDTHRU_1_X38Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_1_X38Y78 INDEX 9008 TILEPROP HCLK_FEEDTHRU_1_X38Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_1_X38Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_1_X38Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X38Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X38Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X38Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X38Y78 NAME HCLK_FEEDTHRU_1_X38Y78 TILEPROP HCLK_FEEDTHRU_1_X38Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X38Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X38Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_1_X38Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X38Y78 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X38Y78 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X38Y78 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X38Y78 TILE_X -33692 TILEPROP HCLK_FEEDTHRU_1_X38Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_1_X38Y78 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X39Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X39Y26 COLUMN 39 TILEPROP HCLK_FEEDTHRU_1_X39Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X39Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_1_X39Y26 GRID_POINT_X 39 TILEPROP HCLK_FEEDTHRU_1_X39Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_1_X39Y26 INDEX 14989 TILEPROP HCLK_FEEDTHRU_1_X39Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_1_X39Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_1_X39Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X39Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X39Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X39Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X39Y26 NAME HCLK_FEEDTHRU_1_X39Y26 TILEPROP HCLK_FEEDTHRU_1_X39Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X39Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X39Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_1_X39Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X39Y26 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X39Y26 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X39Y26 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X39Y26 TILE_X -32996 TILEPROP HCLK_FEEDTHRU_1_X39Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_1_X39Y26 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X39Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X39Y78 COLUMN 39 TILEPROP HCLK_FEEDTHRU_1_X39Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X39Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_1_X39Y78 GRID_POINT_X 39 TILEPROP HCLK_FEEDTHRU_1_X39Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_1_X39Y78 INDEX 9009 TILEPROP HCLK_FEEDTHRU_1_X39Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_1_X39Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_1_X39Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X39Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X39Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X39Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X39Y78 NAME HCLK_FEEDTHRU_1_X39Y78 TILEPROP HCLK_FEEDTHRU_1_X39Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X39Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X39Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_1_X39Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X39Y78 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X39Y78 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X39Y78 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X39Y78 TILE_X -32996 TILEPROP HCLK_FEEDTHRU_1_X39Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_1_X39Y78 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X42Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X42Y26 COLUMN 42 TILEPROP HCLK_FEEDTHRU_1_X42Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X42Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_1_X42Y26 GRID_POINT_X 42 TILEPROP HCLK_FEEDTHRU_1_X42Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_1_X42Y26 INDEX 14992 TILEPROP HCLK_FEEDTHRU_1_X42Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_1_X42Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_1_X42Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X42Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X42Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X42Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X42Y26 NAME HCLK_FEEDTHRU_1_X42Y26 TILEPROP HCLK_FEEDTHRU_1_X42Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X42Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X42Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_1_X42Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X42Y26 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X42Y26 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X42Y26 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X42Y26 TILE_X -25388 TILEPROP HCLK_FEEDTHRU_1_X42Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_1_X42Y26 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X42Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X42Y78 COLUMN 42 TILEPROP HCLK_FEEDTHRU_1_X42Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X42Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_1_X42Y78 GRID_POINT_X 42 TILEPROP HCLK_FEEDTHRU_1_X42Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_1_X42Y78 INDEX 9012 TILEPROP HCLK_FEEDTHRU_1_X42Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_1_X42Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_1_X42Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X42Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X42Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X42Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X42Y78 NAME HCLK_FEEDTHRU_1_X42Y78 TILEPROP HCLK_FEEDTHRU_1_X42Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X42Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X42Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_1_X42Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X42Y78 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X42Y78 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X42Y78 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X42Y78 TILE_X -25388 TILEPROP HCLK_FEEDTHRU_1_X42Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_1_X42Y78 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X43Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X43Y26 COLUMN 43 TILEPROP HCLK_FEEDTHRU_1_X43Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X43Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_1_X43Y26 GRID_POINT_X 43 TILEPROP HCLK_FEEDTHRU_1_X43Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_1_X43Y26 INDEX 14993 TILEPROP HCLK_FEEDTHRU_1_X43Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_1_X43Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_1_X43Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X43Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X43Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X43Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X43Y26 NAME HCLK_FEEDTHRU_1_X43Y26 TILEPROP HCLK_FEEDTHRU_1_X43Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X43Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X43Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_1_X43Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X43Y26 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X43Y26 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X43Y26 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X43Y26 TILE_X -24692 TILEPROP HCLK_FEEDTHRU_1_X43Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_1_X43Y26 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X43Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X43Y78 COLUMN 43 TILEPROP HCLK_FEEDTHRU_1_X43Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X43Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_1_X43Y78 GRID_POINT_X 43 TILEPROP HCLK_FEEDTHRU_1_X43Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_1_X43Y78 INDEX 9013 TILEPROP HCLK_FEEDTHRU_1_X43Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_1_X43Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_1_X43Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X43Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X43Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X43Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X43Y78 NAME HCLK_FEEDTHRU_1_X43Y78 TILEPROP HCLK_FEEDTHRU_1_X43Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X43Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X43Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_1_X43Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X43Y78 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X43Y78 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X43Y78 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X43Y78 TILE_X -24692 TILEPROP HCLK_FEEDTHRU_1_X43Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_1_X43Y78 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X46Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_1_X46Y78 COLUMN 46 TILEPROP HCLK_FEEDTHRU_1_X46Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_1_X46Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_1_X46Y78 GRID_POINT_X 46 TILEPROP HCLK_FEEDTHRU_1_X46Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_1_X46Y78 INDEX 9016 TILEPROP HCLK_FEEDTHRU_1_X46Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_1_X46Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_1_X46Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X46Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X46Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X46Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_1_X46Y78 NAME HCLK_FEEDTHRU_1_X46Y78 TILEPROP HCLK_FEEDTHRU_1_X46Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_1_X46Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_1_X46Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_1_X46Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_1_X46Y78 TILE_PATTERN_IDX 850 TILEPROP HCLK_FEEDTHRU_1_X46Y78 TILE_TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_1_X46Y78 TILE_TYPE_INDEX 76 TILEPROP HCLK_FEEDTHRU_1_X46Y78 TILE_X -17084 TILEPROP HCLK_FEEDTHRU_1_X46Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_1_X46Y78 TYPE HCLK_FEEDTHRU_1 TILEPROP HCLK_FEEDTHRU_2_X36Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X36Y26 COLUMN 36 TILEPROP HCLK_FEEDTHRU_2_X36Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X36Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_2_X36Y26 GRID_POINT_X 36 TILEPROP HCLK_FEEDTHRU_2_X36Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_2_X36Y26 INDEX 14986 TILEPROP HCLK_FEEDTHRU_2_X36Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X36Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X36Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X36Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X36Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X36Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X36Y26 NAME HCLK_FEEDTHRU_2_X36Y26 TILEPROP HCLK_FEEDTHRU_2_X36Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X36Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X36Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_2_X36Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X36Y26 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X36Y26 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X36Y26 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X36Y26 TILE_X -39224 TILEPROP HCLK_FEEDTHRU_2_X36Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_2_X36Y26 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X36Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X36Y78 COLUMN 36 TILEPROP HCLK_FEEDTHRU_2_X36Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X36Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_2_X36Y78 GRID_POINT_X 36 TILEPROP HCLK_FEEDTHRU_2_X36Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_2_X36Y78 INDEX 9006 TILEPROP HCLK_FEEDTHRU_2_X36Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X36Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X36Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X36Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X36Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X36Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X36Y78 NAME HCLK_FEEDTHRU_2_X36Y78 TILEPROP HCLK_FEEDTHRU_2_X36Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X36Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X36Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_2_X36Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X36Y78 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X36Y78 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X36Y78 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X36Y78 TILE_X -39224 TILEPROP HCLK_FEEDTHRU_2_X36Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_2_X36Y78 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X37Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X37Y26 COLUMN 37 TILEPROP HCLK_FEEDTHRU_2_X37Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X37Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_2_X37Y26 GRID_POINT_X 37 TILEPROP HCLK_FEEDTHRU_2_X37Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_2_X37Y26 INDEX 14987 TILEPROP HCLK_FEEDTHRU_2_X37Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X37Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X37Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X37Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X37Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X37Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X37Y26 NAME HCLK_FEEDTHRU_2_X37Y26 TILEPROP HCLK_FEEDTHRU_2_X37Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X37Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X37Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_2_X37Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X37Y26 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X37Y26 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X37Y26 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X37Y26 TILE_X -35768 TILEPROP HCLK_FEEDTHRU_2_X37Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_2_X37Y26 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X37Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X37Y78 COLUMN 37 TILEPROP HCLK_FEEDTHRU_2_X37Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X37Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_2_X37Y78 GRID_POINT_X 37 TILEPROP HCLK_FEEDTHRU_2_X37Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_2_X37Y78 INDEX 9007 TILEPROP HCLK_FEEDTHRU_2_X37Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X37Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X37Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X37Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X37Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X37Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X37Y78 NAME HCLK_FEEDTHRU_2_X37Y78 TILEPROP HCLK_FEEDTHRU_2_X37Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X37Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X37Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_2_X37Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X37Y78 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X37Y78 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X37Y78 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X37Y78 TILE_X -35768 TILEPROP HCLK_FEEDTHRU_2_X37Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_2_X37Y78 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X40Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X40Y26 COLUMN 40 TILEPROP HCLK_FEEDTHRU_2_X40Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X40Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_2_X40Y26 GRID_POINT_X 40 TILEPROP HCLK_FEEDTHRU_2_X40Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_2_X40Y26 INDEX 14990 TILEPROP HCLK_FEEDTHRU_2_X40Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X40Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X40Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X40Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X40Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X40Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X40Y26 NAME HCLK_FEEDTHRU_2_X40Y26 TILEPROP HCLK_FEEDTHRU_2_X40Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X40Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X40Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_2_X40Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X40Y26 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X40Y26 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X40Y26 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X40Y26 TILE_X -30920 TILEPROP HCLK_FEEDTHRU_2_X40Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_2_X40Y26 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X40Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X40Y78 COLUMN 40 TILEPROP HCLK_FEEDTHRU_2_X40Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X40Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_2_X40Y78 GRID_POINT_X 40 TILEPROP HCLK_FEEDTHRU_2_X40Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_2_X40Y78 INDEX 9010 TILEPROP HCLK_FEEDTHRU_2_X40Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X40Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X40Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X40Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X40Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X40Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X40Y78 NAME HCLK_FEEDTHRU_2_X40Y78 TILEPROP HCLK_FEEDTHRU_2_X40Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X40Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X40Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_2_X40Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X40Y78 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X40Y78 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X40Y78 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X40Y78 TILE_X -30920 TILEPROP HCLK_FEEDTHRU_2_X40Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_2_X40Y78 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X41Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X41Y26 COLUMN 41 TILEPROP HCLK_FEEDTHRU_2_X41Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X41Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_2_X41Y26 GRID_POINT_X 41 TILEPROP HCLK_FEEDTHRU_2_X41Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_2_X41Y26 INDEX 14991 TILEPROP HCLK_FEEDTHRU_2_X41Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X41Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X41Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X41Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X41Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X41Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X41Y26 NAME HCLK_FEEDTHRU_2_X41Y26 TILEPROP HCLK_FEEDTHRU_2_X41Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X41Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X41Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_2_X41Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X41Y26 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X41Y26 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X41Y26 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X41Y26 TILE_X -27464 TILEPROP HCLK_FEEDTHRU_2_X41Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_2_X41Y26 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X41Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X41Y78 COLUMN 41 TILEPROP HCLK_FEEDTHRU_2_X41Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X41Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_2_X41Y78 GRID_POINT_X 41 TILEPROP HCLK_FEEDTHRU_2_X41Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_2_X41Y78 INDEX 9011 TILEPROP HCLK_FEEDTHRU_2_X41Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X41Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X41Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X41Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X41Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X41Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X41Y78 NAME HCLK_FEEDTHRU_2_X41Y78 TILEPROP HCLK_FEEDTHRU_2_X41Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X41Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X41Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_2_X41Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X41Y78 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X41Y78 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X41Y78 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X41Y78 TILE_X -27464 TILEPROP HCLK_FEEDTHRU_2_X41Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_2_X41Y78 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X44Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X44Y26 COLUMN 44 TILEPROP HCLK_FEEDTHRU_2_X44Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X44Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_2_X44Y26 GRID_POINT_X 44 TILEPROP HCLK_FEEDTHRU_2_X44Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_2_X44Y26 INDEX 14994 TILEPROP HCLK_FEEDTHRU_2_X44Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X44Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X44Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X44Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X44Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X44Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X44Y26 NAME HCLK_FEEDTHRU_2_X44Y26 TILEPROP HCLK_FEEDTHRU_2_X44Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X44Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X44Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_2_X44Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X44Y26 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X44Y26 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X44Y26 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X44Y26 TILE_X -22616 TILEPROP HCLK_FEEDTHRU_2_X44Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_2_X44Y26 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X44Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X44Y78 COLUMN 44 TILEPROP HCLK_FEEDTHRU_2_X44Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X44Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_2_X44Y78 GRID_POINT_X 44 TILEPROP HCLK_FEEDTHRU_2_X44Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_2_X44Y78 INDEX 9014 TILEPROP HCLK_FEEDTHRU_2_X44Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X44Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X44Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X44Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X44Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X44Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X44Y78 NAME HCLK_FEEDTHRU_2_X44Y78 TILEPROP HCLK_FEEDTHRU_2_X44Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X44Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X44Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_2_X44Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X44Y78 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X44Y78 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X44Y78 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X44Y78 TILE_X -22616 TILEPROP HCLK_FEEDTHRU_2_X44Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_2_X44Y78 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X45Y26 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X45Y26 COLUMN 45 TILEPROP HCLK_FEEDTHRU_2_X45Y26 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X45Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_FEEDTHRU_2_X45Y26 GRID_POINT_X 45 TILEPROP HCLK_FEEDTHRU_2_X45Y26 GRID_POINT_Y 130 TILEPROP HCLK_FEEDTHRU_2_X45Y26 INDEX 14995 TILEPROP HCLK_FEEDTHRU_2_X45Y26 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X45Y26 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X45Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X45Y26 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X45Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X45Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X45Y26 NAME HCLK_FEEDTHRU_2_X45Y26 TILEPROP HCLK_FEEDTHRU_2_X45Y26 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X45Y26 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X45Y26 ROW 130 TILEPROP HCLK_FEEDTHRU_2_X45Y26 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X45Y26 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X45Y26 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X45Y26 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X45Y26 TILE_X -19160 TILEPROP HCLK_FEEDTHRU_2_X45Y26 TILE_Y -160760 TILEPROP HCLK_FEEDTHRU_2_X45Y26 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X45Y78 CLASS tile TILEPROP HCLK_FEEDTHRU_2_X45Y78 COLUMN 45 TILEPROP HCLK_FEEDTHRU_2_X45Y78 DEVICE_ID 0 TILEPROP HCLK_FEEDTHRU_2_X45Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_FEEDTHRU_2_X45Y78 GRID_POINT_X 45 TILEPROP HCLK_FEEDTHRU_2_X45Y78 GRID_POINT_Y 78 TILEPROP HCLK_FEEDTHRU_2_X45Y78 INDEX 9015 TILEPROP HCLK_FEEDTHRU_2_X45Y78 INT_TILE_X -1 TILEPROP HCLK_FEEDTHRU_2_X45Y78 INT_TILE_Y -1 TILEPROP HCLK_FEEDTHRU_2_X45Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X45Y78 IS_DCM_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X45Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X45Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FEEDTHRU_2_X45Y78 NAME HCLK_FEEDTHRU_2_X45Y78 TILEPROP HCLK_FEEDTHRU_2_X45Y78 NUM_ARCS 0 TILEPROP HCLK_FEEDTHRU_2_X45Y78 NUM_SITES 0 TILEPROP HCLK_FEEDTHRU_2_X45Y78 ROW 78 TILEPROP HCLK_FEEDTHRU_2_X45Y78 SLR_REGION_ID 0 TILEPROP HCLK_FEEDTHRU_2_X45Y78 TILE_PATTERN_IDX 851 TILEPROP HCLK_FEEDTHRU_2_X45Y78 TILE_TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FEEDTHRU_2_X45Y78 TILE_TYPE_INDEX 77 TILEPROP HCLK_FEEDTHRU_2_X45Y78 TILE_X -19160 TILEPROP HCLK_FEEDTHRU_2_X45Y78 TILE_Y 512 TILEPROP HCLK_FEEDTHRU_2_X45Y78 TYPE HCLK_FEEDTHRU_2 TILEPROP HCLK_FIFO_L_X107Y26 CLASS tile TILEPROP HCLK_FIFO_L_X107Y26 COLUMN 107 TILEPROP HCLK_FIFO_L_X107Y26 DEVICE_ID 0 TILEPROP HCLK_FIFO_L_X107Y26 FIRST_SITE_ID 13181 TILEPROP HCLK_FIFO_L_X107Y26 GRID_POINT_X 107 TILEPROP HCLK_FIFO_L_X107Y26 GRID_POINT_Y 130 TILEPROP HCLK_FIFO_L_X107Y26 INDEX 15057 TILEPROP HCLK_FIFO_L_X107Y26 INT_TILE_X 41 TILEPROP HCLK_FIFO_L_X107Y26 INT_TILE_Y 124 TILEPROP HCLK_FIFO_L_X107Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FIFO_L_X107Y26 IS_DCM_TILE 0 TILEPROP HCLK_FIFO_L_X107Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X107Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X107Y26 NAME HCLK_FIFO_L_X107Y26 TILEPROP HCLK_FIFO_L_X107Y26 NUM_ARCS 0 TILEPROP HCLK_FIFO_L_X107Y26 NUM_SITES 0 TILEPROP HCLK_FIFO_L_X107Y26 ROW 130 TILEPROP HCLK_FIFO_L_X107Y26 SLR_REGION_ID 0 TILEPROP HCLK_FIFO_L_X107Y26 TILE_PATTERN_IDX 834 TILEPROP HCLK_FIFO_L_X107Y26 TILE_TYPE HCLK_FIFO_L TILEPROP HCLK_FIFO_L_X107Y26 TILE_TYPE_INDEX 78 TILEPROP HCLK_FIFO_L_X107Y26 TILE_X 97586 TILEPROP HCLK_FIFO_L_X107Y26 TILE_Y -160760 TILEPROP HCLK_FIFO_L_X107Y26 TYPE HCLK_FIFO_L TILEPROP HCLK_FIFO_L_X107Y78 CLASS tile TILEPROP HCLK_FIFO_L_X107Y78 COLUMN 107 TILEPROP HCLK_FIFO_L_X107Y78 DEVICE_ID 0 TILEPROP HCLK_FIFO_L_X107Y78 FIRST_SITE_ID 7794 TILEPROP HCLK_FIFO_L_X107Y78 GRID_POINT_X 107 TILEPROP HCLK_FIFO_L_X107Y78 GRID_POINT_Y 78 TILEPROP HCLK_FIFO_L_X107Y78 INDEX 9077 TILEPROP HCLK_FIFO_L_X107Y78 INT_TILE_X 41 TILEPROP HCLK_FIFO_L_X107Y78 INT_TILE_Y 74 TILEPROP HCLK_FIFO_L_X107Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FIFO_L_X107Y78 IS_DCM_TILE 0 TILEPROP HCLK_FIFO_L_X107Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X107Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X107Y78 NAME HCLK_FIFO_L_X107Y78 TILEPROP HCLK_FIFO_L_X107Y78 NUM_ARCS 0 TILEPROP HCLK_FIFO_L_X107Y78 NUM_SITES 0 TILEPROP HCLK_FIFO_L_X107Y78 ROW 78 TILEPROP HCLK_FIFO_L_X107Y78 SLR_REGION_ID 0 TILEPROP HCLK_FIFO_L_X107Y78 TILE_PATTERN_IDX 834 TILEPROP HCLK_FIFO_L_X107Y78 TILE_TYPE HCLK_FIFO_L TILEPROP HCLK_FIFO_L_X107Y78 TILE_TYPE_INDEX 78 TILEPROP HCLK_FIFO_L_X107Y78 TILE_X 97586 TILEPROP HCLK_FIFO_L_X107Y78 TILE_Y 512 TILEPROP HCLK_FIFO_L_X107Y78 TYPE HCLK_FIFO_L TILEPROP HCLK_FIFO_L_X7Y26 CLASS tile TILEPROP HCLK_FIFO_L_X7Y26 COLUMN 7 TILEPROP HCLK_FIFO_L_X7Y26 DEVICE_ID 0 TILEPROP HCLK_FIFO_L_X7Y26 FIRST_SITE_ID 13116 TILEPROP HCLK_FIFO_L_X7Y26 GRID_POINT_X 7 TILEPROP HCLK_FIFO_L_X7Y26 GRID_POINT_Y 130 TILEPROP HCLK_FIFO_L_X7Y26 INDEX 14957 TILEPROP HCLK_FIFO_L_X7Y26 INT_TILE_X 1 TILEPROP HCLK_FIFO_L_X7Y26 INT_TILE_Y 124 TILEPROP HCLK_FIFO_L_X7Y26 IS_CENTER_TILE 0 TILEPROP HCLK_FIFO_L_X7Y26 IS_DCM_TILE 0 TILEPROP HCLK_FIFO_L_X7Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X7Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X7Y26 NAME HCLK_FIFO_L_X7Y26 TILEPROP HCLK_FIFO_L_X7Y26 NUM_ARCS 0 TILEPROP HCLK_FIFO_L_X7Y26 NUM_SITES 0 TILEPROP HCLK_FIFO_L_X7Y26 ROW 130 TILEPROP HCLK_FIFO_L_X7Y26 SLR_REGION_ID 0 TILEPROP HCLK_FIFO_L_X7Y26 TILE_PATTERN_IDX 834 TILEPROP HCLK_FIFO_L_X7Y26 TILE_TYPE HCLK_FIFO_L TILEPROP HCLK_FIFO_L_X7Y26 TILE_TYPE_INDEX 78 TILEPROP HCLK_FIFO_L_X7Y26 TILE_X -91570 TILEPROP HCLK_FIFO_L_X7Y26 TILE_Y -160760 TILEPROP HCLK_FIFO_L_X7Y26 TYPE HCLK_FIFO_L TILEPROP HCLK_FIFO_L_X7Y78 CLASS tile TILEPROP HCLK_FIFO_L_X7Y78 COLUMN 7 TILEPROP HCLK_FIFO_L_X7Y78 DEVICE_ID 0 TILEPROP HCLK_FIFO_L_X7Y78 FIRST_SITE_ID 7729 TILEPROP HCLK_FIFO_L_X7Y78 GRID_POINT_X 7 TILEPROP HCLK_FIFO_L_X7Y78 GRID_POINT_Y 78 TILEPROP HCLK_FIFO_L_X7Y78 INDEX 8977 TILEPROP HCLK_FIFO_L_X7Y78 INT_TILE_X 1 TILEPROP HCLK_FIFO_L_X7Y78 INT_TILE_Y 74 TILEPROP HCLK_FIFO_L_X7Y78 IS_CENTER_TILE 0 TILEPROP HCLK_FIFO_L_X7Y78 IS_DCM_TILE 0 TILEPROP HCLK_FIFO_L_X7Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X7Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X7Y78 NAME HCLK_FIFO_L_X7Y78 TILEPROP HCLK_FIFO_L_X7Y78 NUM_ARCS 0 TILEPROP HCLK_FIFO_L_X7Y78 NUM_SITES 0 TILEPROP HCLK_FIFO_L_X7Y78 ROW 78 TILEPROP HCLK_FIFO_L_X7Y78 SLR_REGION_ID 0 TILEPROP HCLK_FIFO_L_X7Y78 TILE_PATTERN_IDX 834 TILEPROP HCLK_FIFO_L_X7Y78 TILE_TYPE HCLK_FIFO_L TILEPROP HCLK_FIFO_L_X7Y78 TILE_TYPE_INDEX 78 TILEPROP HCLK_FIFO_L_X7Y78 TILE_X -91570 TILEPROP HCLK_FIFO_L_X7Y78 TILE_Y 512 TILEPROP HCLK_FIFO_L_X7Y78 TYPE HCLK_FIFO_L TILEPROP HCLK_FIFO_L_X7Y130 CLASS tile TILEPROP HCLK_FIFO_L_X7Y130 COLUMN 7 TILEPROP HCLK_FIFO_L_X7Y130 DEVICE_ID 0 TILEPROP HCLK_FIFO_L_X7Y130 FIRST_SITE_ID 2622 TILEPROP HCLK_FIFO_L_X7Y130 GRID_POINT_X 7 TILEPROP HCLK_FIFO_L_X7Y130 GRID_POINT_Y 26 TILEPROP HCLK_FIFO_L_X7Y130 INDEX 2997 TILEPROP HCLK_FIFO_L_X7Y130 INT_TILE_X 1 TILEPROP HCLK_FIFO_L_X7Y130 INT_TILE_Y 24 TILEPROP HCLK_FIFO_L_X7Y130 IS_CENTER_TILE 0 TILEPROP HCLK_FIFO_L_X7Y130 IS_DCM_TILE 0 TILEPROP HCLK_FIFO_L_X7Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X7Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_FIFO_L_X7Y130 NAME HCLK_FIFO_L_X7Y130 TILEPROP HCLK_FIFO_L_X7Y130 NUM_ARCS 0 TILEPROP HCLK_FIFO_L_X7Y130 NUM_SITES 0 TILEPROP HCLK_FIFO_L_X7Y130 ROW 26 TILEPROP HCLK_FIFO_L_X7Y130 SLR_REGION_ID 0 TILEPROP HCLK_FIFO_L_X7Y130 TILE_PATTERN_IDX 834 TILEPROP HCLK_FIFO_L_X7Y130 TILE_TYPE HCLK_FIFO_L TILEPROP HCLK_FIFO_L_X7Y130 TILE_TYPE_INDEX 78 TILEPROP HCLK_FIFO_L_X7Y130 TILE_X -91570 TILEPROP HCLK_FIFO_L_X7Y130 TILE_Y 161784 TILEPROP HCLK_FIFO_L_X7Y130 TYPE HCLK_FIFO_L TILEPROP HCLK_GTX_X95Y130 CLASS tile TILEPROP HCLK_GTX_X95Y130 COLUMN 95 TILEPROP HCLK_GTX_X95Y130 DEVICE_ID 0 TILEPROP HCLK_GTX_X95Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_GTX_X95Y130 GRID_POINT_X 95 TILEPROP HCLK_GTX_X95Y130 GRID_POINT_Y 26 TILEPROP HCLK_GTX_X95Y130 INDEX 3085 TILEPROP HCLK_GTX_X95Y130 INT_TILE_X 37 TILEPROP HCLK_GTX_X95Y130 INT_TILE_Y 24 TILEPROP HCLK_GTX_X95Y130 IS_CENTER_TILE 0 TILEPROP HCLK_GTX_X95Y130 IS_DCM_TILE 0 TILEPROP HCLK_GTX_X95Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_GTX_X95Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_GTX_X95Y130 NAME HCLK_GTX_X95Y130 TILEPROP HCLK_GTX_X95Y130 NUM_ARCS 0 TILEPROP HCLK_GTX_X95Y130 NUM_SITES 0 TILEPROP HCLK_GTX_X95Y130 ROW 26 TILEPROP HCLK_GTX_X95Y130 SLR_REGION_ID 0 TILEPROP HCLK_GTX_X95Y130 TILE_PATTERN_IDX 869 TILEPROP HCLK_GTX_X95Y130 TILE_TYPE HCLK_GTX TILEPROP HCLK_GTX_X95Y130 TILE_TYPE_INDEX 79 TILEPROP HCLK_GTX_X95Y130 TILE_X 74714 TILEPROP HCLK_GTX_X95Y130 TILE_Y 161784 TILEPROP HCLK_GTX_X95Y130 TYPE HCLK_GTX TILEPROP HCLK_INT_INTERFACE_X108Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X108Y26 COLUMN 108 TILEPROP HCLK_INT_INTERFACE_X108Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X108Y26 FIRST_SITE_ID 13181 TILEPROP HCLK_INT_INTERFACE_X108Y26 GRID_POINT_X 108 TILEPROP HCLK_INT_INTERFACE_X108Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X108Y26 INDEX 15058 TILEPROP HCLK_INT_INTERFACE_X108Y26 INT_TILE_X 41 TILEPROP HCLK_INT_INTERFACE_X108Y26 INT_TILE_Y 124 TILEPROP HCLK_INT_INTERFACE_X108Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X108Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X108Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X108Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X108Y26 NAME HCLK_INT_INTERFACE_X108Y26 TILEPROP HCLK_INT_INTERFACE_X108Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X108Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X108Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X108Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X108Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X108Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X108Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X108Y26 TILE_X 97966 TILEPROP HCLK_INT_INTERFACE_X108Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X108Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X108Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X108Y78 COLUMN 108 TILEPROP HCLK_INT_INTERFACE_X108Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X108Y78 FIRST_SITE_ID 7794 TILEPROP HCLK_INT_INTERFACE_X108Y78 GRID_POINT_X 108 TILEPROP HCLK_INT_INTERFACE_X108Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X108Y78 INDEX 9078 TILEPROP HCLK_INT_INTERFACE_X108Y78 INT_TILE_X 41 TILEPROP HCLK_INT_INTERFACE_X108Y78 INT_TILE_Y 74 TILEPROP HCLK_INT_INTERFACE_X108Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X108Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X108Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X108Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X108Y78 NAME HCLK_INT_INTERFACE_X108Y78 TILEPROP HCLK_INT_INTERFACE_X108Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X108Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X108Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X108Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X108Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X108Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X108Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X108Y78 TILE_X 97966 TILEPROP HCLK_INT_INTERFACE_X108Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X108Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X111Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X111Y26 COLUMN 111 TILEPROP HCLK_INT_INTERFACE_X111Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X111Y26 FIRST_SITE_ID 13181 TILEPROP HCLK_INT_INTERFACE_X111Y26 GRID_POINT_X 111 TILEPROP HCLK_INT_INTERFACE_X111Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X111Y26 INDEX 15061 TILEPROP HCLK_INT_INTERFACE_X111Y26 INT_TILE_X 43 TILEPROP HCLK_INT_INTERFACE_X111Y26 INT_TILE_Y 124 TILEPROP HCLK_INT_INTERFACE_X111Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X111Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X111Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X111Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X111Y26 NAME HCLK_INT_INTERFACE_X111Y26 TILEPROP HCLK_INT_INTERFACE_X111Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X111Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X111Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X111Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X111Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X111Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X111Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X111Y26 TILE_X 104942 TILEPROP HCLK_INT_INTERFACE_X111Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X111Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X111Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X111Y78 COLUMN 111 TILEPROP HCLK_INT_INTERFACE_X111Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X111Y78 FIRST_SITE_ID 7794 TILEPROP HCLK_INT_INTERFACE_X111Y78 GRID_POINT_X 111 TILEPROP HCLK_INT_INTERFACE_X111Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X111Y78 INDEX 9081 TILEPROP HCLK_INT_INTERFACE_X111Y78 INT_TILE_X 43 TILEPROP HCLK_INT_INTERFACE_X111Y78 INT_TILE_Y 74 TILEPROP HCLK_INT_INTERFACE_X111Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X111Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X111Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X111Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X111Y78 NAME HCLK_INT_INTERFACE_X111Y78 TILEPROP HCLK_INT_INTERFACE_X111Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X111Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X111Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X111Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X111Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X111Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X111Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X111Y78 TILE_X 104942 TILEPROP HCLK_INT_INTERFACE_X111Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X111Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X20Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X20Y26 COLUMN 20 TILEPROP HCLK_INT_INTERFACE_X20Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X20Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_INT_INTERFACE_X20Y26 GRID_POINT_X 20 TILEPROP HCLK_INT_INTERFACE_X20Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X20Y26 INDEX 14970 TILEPROP HCLK_INT_INTERFACE_X20Y26 INT_TILE_X 5 TILEPROP HCLK_INT_INTERFACE_X20Y26 INT_TILE_Y 124 TILEPROP HCLK_INT_INTERFACE_X20Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y26 NAME HCLK_INT_INTERFACE_X20Y26 TILEPROP HCLK_INT_INTERFACE_X20Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X20Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X20Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X20Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X20Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X20Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X20Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X20Y26 TILE_X -66478 TILEPROP HCLK_INT_INTERFACE_X20Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X20Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X20Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X20Y78 COLUMN 20 TILEPROP HCLK_INT_INTERFACE_X20Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X20Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_INT_INTERFACE_X20Y78 GRID_POINT_X 20 TILEPROP HCLK_INT_INTERFACE_X20Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X20Y78 INDEX 8990 TILEPROP HCLK_INT_INTERFACE_X20Y78 INT_TILE_X 5 TILEPROP HCLK_INT_INTERFACE_X20Y78 INT_TILE_Y 74 TILEPROP HCLK_INT_INTERFACE_X20Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y78 NAME HCLK_INT_INTERFACE_X20Y78 TILEPROP HCLK_INT_INTERFACE_X20Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X20Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X20Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X20Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X20Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X20Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X20Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X20Y78 TILE_X -66478 TILEPROP HCLK_INT_INTERFACE_X20Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X20Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X20Y130 CLASS tile TILEPROP HCLK_INT_INTERFACE_X20Y130 COLUMN 20 TILEPROP HCLK_INT_INTERFACE_X20Y130 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X20Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_INT_INTERFACE_X20Y130 GRID_POINT_X 20 TILEPROP HCLK_INT_INTERFACE_X20Y130 GRID_POINT_Y 26 TILEPROP HCLK_INT_INTERFACE_X20Y130 INDEX 3010 TILEPROP HCLK_INT_INTERFACE_X20Y130 INT_TILE_X 5 TILEPROP HCLK_INT_INTERFACE_X20Y130 INT_TILE_Y 24 TILEPROP HCLK_INT_INTERFACE_X20Y130 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y130 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X20Y130 NAME HCLK_INT_INTERFACE_X20Y130 TILEPROP HCLK_INT_INTERFACE_X20Y130 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X20Y130 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X20Y130 ROW 26 TILEPROP HCLK_INT_INTERFACE_X20Y130 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X20Y130 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X20Y130 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X20Y130 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X20Y130 TILE_X -66478 TILEPROP HCLK_INT_INTERFACE_X20Y130 TILE_Y 161784 TILEPROP HCLK_INT_INTERFACE_X20Y130 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X27Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X27Y26 COLUMN 27 TILEPROP HCLK_INT_INTERFACE_X27Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X27Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_INT_INTERFACE_X27Y26 GRID_POINT_X 27 TILEPROP HCLK_INT_INTERFACE_X27Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X27Y26 INDEX 14977 TILEPROP HCLK_INT_INTERFACE_X27Y26 INT_TILE_X 9 TILEPROP HCLK_INT_INTERFACE_X27Y26 INT_TILE_Y 124 TILEPROP HCLK_INT_INTERFACE_X27Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y26 NAME HCLK_INT_INTERFACE_X27Y26 TILEPROP HCLK_INT_INTERFACE_X27Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X27Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X27Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X27Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X27Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X27Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X27Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X27Y26 TILE_X -51198 TILEPROP HCLK_INT_INTERFACE_X27Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X27Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X27Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X27Y78 COLUMN 27 TILEPROP HCLK_INT_INTERFACE_X27Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X27Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_INT_INTERFACE_X27Y78 GRID_POINT_X 27 TILEPROP HCLK_INT_INTERFACE_X27Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X27Y78 INDEX 8997 TILEPROP HCLK_INT_INTERFACE_X27Y78 INT_TILE_X 9 TILEPROP HCLK_INT_INTERFACE_X27Y78 INT_TILE_Y 74 TILEPROP HCLK_INT_INTERFACE_X27Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y78 NAME HCLK_INT_INTERFACE_X27Y78 TILEPROP HCLK_INT_INTERFACE_X27Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X27Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X27Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X27Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X27Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X27Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X27Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X27Y78 TILE_X -51198 TILEPROP HCLK_INT_INTERFACE_X27Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X27Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X27Y130 CLASS tile TILEPROP HCLK_INT_INTERFACE_X27Y130 COLUMN 27 TILEPROP HCLK_INT_INTERFACE_X27Y130 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X27Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_INT_INTERFACE_X27Y130 GRID_POINT_X 27 TILEPROP HCLK_INT_INTERFACE_X27Y130 GRID_POINT_Y 26 TILEPROP HCLK_INT_INTERFACE_X27Y130 INDEX 3017 TILEPROP HCLK_INT_INTERFACE_X27Y130 INT_TILE_X 9 TILEPROP HCLK_INT_INTERFACE_X27Y130 INT_TILE_Y 24 TILEPROP HCLK_INT_INTERFACE_X27Y130 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y130 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X27Y130 NAME HCLK_INT_INTERFACE_X27Y130 TILEPROP HCLK_INT_INTERFACE_X27Y130 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X27Y130 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X27Y130 ROW 26 TILEPROP HCLK_INT_INTERFACE_X27Y130 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X27Y130 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X27Y130 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X27Y130 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X27Y130 TILE_X -51198 TILEPROP HCLK_INT_INTERFACE_X27Y130 TILE_Y 161784 TILEPROP HCLK_INT_INTERFACE_X27Y130 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X3Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X3Y26 COLUMN 3 TILEPROP HCLK_INT_INTERFACE_X3Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X3Y26 FIRST_SITE_ID 13116 TILEPROP HCLK_INT_INTERFACE_X3Y26 GRID_POINT_X 3 TILEPROP HCLK_INT_INTERFACE_X3Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X3Y26 INDEX 14953 TILEPROP HCLK_INT_INTERFACE_X3Y26 INT_TILE_X -1 TILEPROP HCLK_INT_INTERFACE_X3Y26 INT_TILE_Y -1 TILEPROP HCLK_INT_INTERFACE_X3Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y26 NAME HCLK_INT_INTERFACE_X3Y26 TILEPROP HCLK_INT_INTERFACE_X3Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X3Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X3Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X3Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X3Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X3Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X3Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X3Y26 TILE_X -98926 TILEPROP HCLK_INT_INTERFACE_X3Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X3Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X3Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X3Y78 COLUMN 3 TILEPROP HCLK_INT_INTERFACE_X3Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X3Y78 FIRST_SITE_ID 7729 TILEPROP HCLK_INT_INTERFACE_X3Y78 GRID_POINT_X 3 TILEPROP HCLK_INT_INTERFACE_X3Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X3Y78 INDEX 8973 TILEPROP HCLK_INT_INTERFACE_X3Y78 INT_TILE_X -1 TILEPROP HCLK_INT_INTERFACE_X3Y78 INT_TILE_Y -1 TILEPROP HCLK_INT_INTERFACE_X3Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y78 NAME HCLK_INT_INTERFACE_X3Y78 TILEPROP HCLK_INT_INTERFACE_X3Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X3Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X3Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X3Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X3Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X3Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X3Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X3Y78 TILE_X -98926 TILEPROP HCLK_INT_INTERFACE_X3Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X3Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X3Y130 CLASS tile TILEPROP HCLK_INT_INTERFACE_X3Y130 COLUMN 3 TILEPROP HCLK_INT_INTERFACE_X3Y130 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X3Y130 FIRST_SITE_ID 2622 TILEPROP HCLK_INT_INTERFACE_X3Y130 GRID_POINT_X 3 TILEPROP HCLK_INT_INTERFACE_X3Y130 GRID_POINT_Y 26 TILEPROP HCLK_INT_INTERFACE_X3Y130 INDEX 2993 TILEPROP HCLK_INT_INTERFACE_X3Y130 INT_TILE_X -1 TILEPROP HCLK_INT_INTERFACE_X3Y130 INT_TILE_Y -1 TILEPROP HCLK_INT_INTERFACE_X3Y130 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y130 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X3Y130 NAME HCLK_INT_INTERFACE_X3Y130 TILEPROP HCLK_INT_INTERFACE_X3Y130 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X3Y130 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X3Y130 ROW 26 TILEPROP HCLK_INT_INTERFACE_X3Y130 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X3Y130 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X3Y130 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X3Y130 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X3Y130 TILE_X -98926 TILEPROP HCLK_INT_INTERFACE_X3Y130 TILE_Y 161784 TILEPROP HCLK_INT_INTERFACE_X3Y130 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X48Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X48Y26 COLUMN 48 TILEPROP HCLK_INT_INTERFACE_X48Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X48Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_INT_INTERFACE_X48Y26 GRID_POINT_X 48 TILEPROP HCLK_INT_INTERFACE_X48Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X48Y26 INDEX 14998 TILEPROP HCLK_INT_INTERFACE_X48Y26 INT_TILE_X -1 TILEPROP HCLK_INT_INTERFACE_X48Y26 INT_TILE_Y -1 TILEPROP HCLK_INT_INTERFACE_X48Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y26 NAME HCLK_INT_INTERFACE_X48Y26 TILEPROP HCLK_INT_INTERFACE_X48Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X48Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X48Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X48Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X48Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X48Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X48Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X48Y26 TILE_X -16640 TILEPROP HCLK_INT_INTERFACE_X48Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X48Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X48Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X48Y78 COLUMN 48 TILEPROP HCLK_INT_INTERFACE_X48Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X48Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_INT_INTERFACE_X48Y78 GRID_POINT_X 48 TILEPROP HCLK_INT_INTERFACE_X48Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X48Y78 INDEX 9018 TILEPROP HCLK_INT_INTERFACE_X48Y78 INT_TILE_X -1 TILEPROP HCLK_INT_INTERFACE_X48Y78 INT_TILE_Y -1 TILEPROP HCLK_INT_INTERFACE_X48Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y78 NAME HCLK_INT_INTERFACE_X48Y78 TILEPROP HCLK_INT_INTERFACE_X48Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X48Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X48Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X48Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X48Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X48Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X48Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X48Y78 TILE_X -16640 TILEPROP HCLK_INT_INTERFACE_X48Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X48Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X48Y130 CLASS tile TILEPROP HCLK_INT_INTERFACE_X48Y130 COLUMN 48 TILEPROP HCLK_INT_INTERFACE_X48Y130 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X48Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_INT_INTERFACE_X48Y130 GRID_POINT_X 48 TILEPROP HCLK_INT_INTERFACE_X48Y130 GRID_POINT_Y 26 TILEPROP HCLK_INT_INTERFACE_X48Y130 INDEX 3038 TILEPROP HCLK_INT_INTERFACE_X48Y130 INT_TILE_X 17 TILEPROP HCLK_INT_INTERFACE_X48Y130 INT_TILE_Y 24 TILEPROP HCLK_INT_INTERFACE_X48Y130 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y130 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X48Y130 NAME HCLK_INT_INTERFACE_X48Y130 TILEPROP HCLK_INT_INTERFACE_X48Y130 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X48Y130 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X48Y130 ROW 26 TILEPROP HCLK_INT_INTERFACE_X48Y130 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X48Y130 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X48Y130 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X48Y130 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X48Y130 TILE_X -16640 TILEPROP HCLK_INT_INTERFACE_X48Y130 TILE_Y 161784 TILEPROP HCLK_INT_INTERFACE_X48Y130 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X59Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X59Y26 COLUMN 59 TILEPROP HCLK_INT_INTERFACE_X59Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X59Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_INT_INTERFACE_X59Y26 GRID_POINT_X 59 TILEPROP HCLK_INT_INTERFACE_X59Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X59Y26 INDEX 15009 TILEPROP HCLK_INT_INTERFACE_X59Y26 INT_TILE_X 23 TILEPROP HCLK_INT_INTERFACE_X59Y26 INT_TILE_Y 124 TILEPROP HCLK_INT_INTERFACE_X59Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y26 NAME HCLK_INT_INTERFACE_X59Y26 TILEPROP HCLK_INT_INTERFACE_X59Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X59Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X59Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X59Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X59Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X59Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X59Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X59Y26 TILE_X 6944 TILEPROP HCLK_INT_INTERFACE_X59Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X59Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X59Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X59Y78 COLUMN 59 TILEPROP HCLK_INT_INTERFACE_X59Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X59Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_INT_INTERFACE_X59Y78 GRID_POINT_X 59 TILEPROP HCLK_INT_INTERFACE_X59Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X59Y78 INDEX 9029 TILEPROP HCLK_INT_INTERFACE_X59Y78 INT_TILE_X 23 TILEPROP HCLK_INT_INTERFACE_X59Y78 INT_TILE_Y 74 TILEPROP HCLK_INT_INTERFACE_X59Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y78 NAME HCLK_INT_INTERFACE_X59Y78 TILEPROP HCLK_INT_INTERFACE_X59Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X59Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X59Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X59Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X59Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X59Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X59Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X59Y78 TILE_X 6944 TILEPROP HCLK_INT_INTERFACE_X59Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X59Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X59Y130 CLASS tile TILEPROP HCLK_INT_INTERFACE_X59Y130 COLUMN 59 TILEPROP HCLK_INT_INTERFACE_X59Y130 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X59Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_INT_INTERFACE_X59Y130 GRID_POINT_X 59 TILEPROP HCLK_INT_INTERFACE_X59Y130 GRID_POINT_Y 26 TILEPROP HCLK_INT_INTERFACE_X59Y130 INDEX 3049 TILEPROP HCLK_INT_INTERFACE_X59Y130 INT_TILE_X 23 TILEPROP HCLK_INT_INTERFACE_X59Y130 INT_TILE_Y 24 TILEPROP HCLK_INT_INTERFACE_X59Y130 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y130 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X59Y130 NAME HCLK_INT_INTERFACE_X59Y130 TILEPROP HCLK_INT_INTERFACE_X59Y130 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X59Y130 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X59Y130 ROW 26 TILEPROP HCLK_INT_INTERFACE_X59Y130 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X59Y130 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X59Y130 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X59Y130 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X59Y130 TILE_X 6944 TILEPROP HCLK_INT_INTERFACE_X59Y130 TILE_Y 161784 TILEPROP HCLK_INT_INTERFACE_X59Y130 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X6Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X6Y26 COLUMN 6 TILEPROP HCLK_INT_INTERFACE_X6Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X6Y26 FIRST_SITE_ID 13116 TILEPROP HCLK_INT_INTERFACE_X6Y26 GRID_POINT_X 6 TILEPROP HCLK_INT_INTERFACE_X6Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X6Y26 INDEX 14956 TILEPROP HCLK_INT_INTERFACE_X6Y26 INT_TILE_X 1 TILEPROP HCLK_INT_INTERFACE_X6Y26 INT_TILE_Y 124 TILEPROP HCLK_INT_INTERFACE_X6Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y26 NAME HCLK_INT_INTERFACE_X6Y26 TILEPROP HCLK_INT_INTERFACE_X6Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X6Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X6Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X6Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X6Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X6Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X6Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X6Y26 TILE_X -91950 TILEPROP HCLK_INT_INTERFACE_X6Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X6Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X6Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X6Y78 COLUMN 6 TILEPROP HCLK_INT_INTERFACE_X6Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X6Y78 FIRST_SITE_ID 7729 TILEPROP HCLK_INT_INTERFACE_X6Y78 GRID_POINT_X 6 TILEPROP HCLK_INT_INTERFACE_X6Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X6Y78 INDEX 8976 TILEPROP HCLK_INT_INTERFACE_X6Y78 INT_TILE_X 1 TILEPROP HCLK_INT_INTERFACE_X6Y78 INT_TILE_Y 74 TILEPROP HCLK_INT_INTERFACE_X6Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y78 NAME HCLK_INT_INTERFACE_X6Y78 TILEPROP HCLK_INT_INTERFACE_X6Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X6Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X6Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X6Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X6Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X6Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X6Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X6Y78 TILE_X -91950 TILEPROP HCLK_INT_INTERFACE_X6Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X6Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X6Y130 CLASS tile TILEPROP HCLK_INT_INTERFACE_X6Y130 COLUMN 6 TILEPROP HCLK_INT_INTERFACE_X6Y130 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X6Y130 FIRST_SITE_ID 2622 TILEPROP HCLK_INT_INTERFACE_X6Y130 GRID_POINT_X 6 TILEPROP HCLK_INT_INTERFACE_X6Y130 GRID_POINT_Y 26 TILEPROP HCLK_INT_INTERFACE_X6Y130 INDEX 2996 TILEPROP HCLK_INT_INTERFACE_X6Y130 INT_TILE_X 1 TILEPROP HCLK_INT_INTERFACE_X6Y130 INT_TILE_Y 24 TILEPROP HCLK_INT_INTERFACE_X6Y130 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y130 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X6Y130 NAME HCLK_INT_INTERFACE_X6Y130 TILEPROP HCLK_INT_INTERFACE_X6Y130 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X6Y130 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X6Y130 ROW 26 TILEPROP HCLK_INT_INTERFACE_X6Y130 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X6Y130 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X6Y130 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X6Y130 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X6Y130 TILE_X -91950 TILEPROP HCLK_INT_INTERFACE_X6Y130 TILE_Y 161784 TILEPROP HCLK_INT_INTERFACE_X6Y130 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X76Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X76Y26 COLUMN 76 TILEPROP HCLK_INT_INTERFACE_X76Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X76Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_INT_INTERFACE_X76Y26 GRID_POINT_X 76 TILEPROP HCLK_INT_INTERFACE_X76Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X76Y26 INDEX 15026 TILEPROP HCLK_INT_INTERFACE_X76Y26 INT_TILE_X 29 TILEPROP HCLK_INT_INTERFACE_X76Y26 INT_TILE_Y 124 TILEPROP HCLK_INT_INTERFACE_X76Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y26 NAME HCLK_INT_INTERFACE_X76Y26 TILEPROP HCLK_INT_INTERFACE_X76Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X76Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X76Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X76Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X76Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X76Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X76Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X76Y26 TILE_X 40024 TILEPROP HCLK_INT_INTERFACE_X76Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X76Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X76Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X76Y78 COLUMN 76 TILEPROP HCLK_INT_INTERFACE_X76Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X76Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_INT_INTERFACE_X76Y78 GRID_POINT_X 76 TILEPROP HCLK_INT_INTERFACE_X76Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X76Y78 INDEX 9046 TILEPROP HCLK_INT_INTERFACE_X76Y78 INT_TILE_X 29 TILEPROP HCLK_INT_INTERFACE_X76Y78 INT_TILE_Y 74 TILEPROP HCLK_INT_INTERFACE_X76Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y78 NAME HCLK_INT_INTERFACE_X76Y78 TILEPROP HCLK_INT_INTERFACE_X76Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X76Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X76Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X76Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X76Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X76Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X76Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X76Y78 TILE_X 40024 TILEPROP HCLK_INT_INTERFACE_X76Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X76Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X76Y130 CLASS tile TILEPROP HCLK_INT_INTERFACE_X76Y130 COLUMN 76 TILEPROP HCLK_INT_INTERFACE_X76Y130 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X76Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_INT_INTERFACE_X76Y130 GRID_POINT_X 76 TILEPROP HCLK_INT_INTERFACE_X76Y130 GRID_POINT_Y 26 TILEPROP HCLK_INT_INTERFACE_X76Y130 INDEX 3066 TILEPROP HCLK_INT_INTERFACE_X76Y130 INT_TILE_X 29 TILEPROP HCLK_INT_INTERFACE_X76Y130 INT_TILE_Y 24 TILEPROP HCLK_INT_INTERFACE_X76Y130 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y130 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X76Y130 NAME HCLK_INT_INTERFACE_X76Y130 TILEPROP HCLK_INT_INTERFACE_X76Y130 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X76Y130 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X76Y130 ROW 26 TILEPROP HCLK_INT_INTERFACE_X76Y130 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X76Y130 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X76Y130 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X76Y130 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X76Y130 TILE_X 40024 TILEPROP HCLK_INT_INTERFACE_X76Y130 TILE_Y 161784 TILEPROP HCLK_INT_INTERFACE_X76Y130 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X87Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X87Y26 COLUMN 87 TILEPROP HCLK_INT_INTERFACE_X87Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X87Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_INT_INTERFACE_X87Y26 GRID_POINT_X 87 TILEPROP HCLK_INT_INTERFACE_X87Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X87Y26 INDEX 15037 TILEPROP HCLK_INT_INTERFACE_X87Y26 INT_TILE_X 33 TILEPROP HCLK_INT_INTERFACE_X87Y26 INT_TILE_Y 124 TILEPROP HCLK_INT_INTERFACE_X87Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y26 NAME HCLK_INT_INTERFACE_X87Y26 TILEPROP HCLK_INT_INTERFACE_X87Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X87Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X87Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X87Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X87Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X87Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X87Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X87Y26 TILE_X 57214 TILEPROP HCLK_INT_INTERFACE_X87Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X87Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X87Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X87Y78 COLUMN 87 TILEPROP HCLK_INT_INTERFACE_X87Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X87Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_INT_INTERFACE_X87Y78 GRID_POINT_X 87 TILEPROP HCLK_INT_INTERFACE_X87Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X87Y78 INDEX 9057 TILEPROP HCLK_INT_INTERFACE_X87Y78 INT_TILE_X 33 TILEPROP HCLK_INT_INTERFACE_X87Y78 INT_TILE_Y 74 TILEPROP HCLK_INT_INTERFACE_X87Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y78 NAME HCLK_INT_INTERFACE_X87Y78 TILEPROP HCLK_INT_INTERFACE_X87Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X87Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X87Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X87Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X87Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X87Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X87Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X87Y78 TILE_X 57214 TILEPROP HCLK_INT_INTERFACE_X87Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X87Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X87Y130 CLASS tile TILEPROP HCLK_INT_INTERFACE_X87Y130 COLUMN 87 TILEPROP HCLK_INT_INTERFACE_X87Y130 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X87Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_INT_INTERFACE_X87Y130 GRID_POINT_X 87 TILEPROP HCLK_INT_INTERFACE_X87Y130 GRID_POINT_Y 26 TILEPROP HCLK_INT_INTERFACE_X87Y130 INDEX 3077 TILEPROP HCLK_INT_INTERFACE_X87Y130 INT_TILE_X 33 TILEPROP HCLK_INT_INTERFACE_X87Y130 INT_TILE_Y 24 TILEPROP HCLK_INT_INTERFACE_X87Y130 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y130 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X87Y130 NAME HCLK_INT_INTERFACE_X87Y130 TILEPROP HCLK_INT_INTERFACE_X87Y130 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X87Y130 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X87Y130 ROW 26 TILEPROP HCLK_INT_INTERFACE_X87Y130 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X87Y130 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X87Y130 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X87Y130 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X87Y130 TILE_X 57214 TILEPROP HCLK_INT_INTERFACE_X87Y130 TILE_Y 161784 TILEPROP HCLK_INT_INTERFACE_X87Y130 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X94Y26 CLASS tile TILEPROP HCLK_INT_INTERFACE_X94Y26 COLUMN 94 TILEPROP HCLK_INT_INTERFACE_X94Y26 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X94Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_INT_INTERFACE_X94Y26 GRID_POINT_X 94 TILEPROP HCLK_INT_INTERFACE_X94Y26 GRID_POINT_Y 130 TILEPROP HCLK_INT_INTERFACE_X94Y26 INDEX 15044 TILEPROP HCLK_INT_INTERFACE_X94Y26 INT_TILE_X 37 TILEPROP HCLK_INT_INTERFACE_X94Y26 INT_TILE_Y 124 TILEPROP HCLK_INT_INTERFACE_X94Y26 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y26 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y26 NAME HCLK_INT_INTERFACE_X94Y26 TILEPROP HCLK_INT_INTERFACE_X94Y26 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X94Y26 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X94Y26 ROW 130 TILEPROP HCLK_INT_INTERFACE_X94Y26 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X94Y26 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X94Y26 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X94Y26 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X94Y26 TILE_X 72494 TILEPROP HCLK_INT_INTERFACE_X94Y26 TILE_Y -160760 TILEPROP HCLK_INT_INTERFACE_X94Y26 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X94Y78 CLASS tile TILEPROP HCLK_INT_INTERFACE_X94Y78 COLUMN 94 TILEPROP HCLK_INT_INTERFACE_X94Y78 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X94Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_INT_INTERFACE_X94Y78 GRID_POINT_X 94 TILEPROP HCLK_INT_INTERFACE_X94Y78 GRID_POINT_Y 78 TILEPROP HCLK_INT_INTERFACE_X94Y78 INDEX 9064 TILEPROP HCLK_INT_INTERFACE_X94Y78 INT_TILE_X 37 TILEPROP HCLK_INT_INTERFACE_X94Y78 INT_TILE_Y 74 TILEPROP HCLK_INT_INTERFACE_X94Y78 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y78 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y78 NAME HCLK_INT_INTERFACE_X94Y78 TILEPROP HCLK_INT_INTERFACE_X94Y78 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X94Y78 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X94Y78 ROW 78 TILEPROP HCLK_INT_INTERFACE_X94Y78 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X94Y78 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X94Y78 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X94Y78 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X94Y78 TILE_X 72494 TILEPROP HCLK_INT_INTERFACE_X94Y78 TILE_Y 512 TILEPROP HCLK_INT_INTERFACE_X94Y78 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X94Y130 CLASS tile TILEPROP HCLK_INT_INTERFACE_X94Y130 COLUMN 94 TILEPROP HCLK_INT_INTERFACE_X94Y130 DEVICE_ID 0 TILEPROP HCLK_INT_INTERFACE_X94Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_INT_INTERFACE_X94Y130 GRID_POINT_X 94 TILEPROP HCLK_INT_INTERFACE_X94Y130 GRID_POINT_Y 26 TILEPROP HCLK_INT_INTERFACE_X94Y130 INDEX 3084 TILEPROP HCLK_INT_INTERFACE_X94Y130 INT_TILE_X 37 TILEPROP HCLK_INT_INTERFACE_X94Y130 INT_TILE_Y 24 TILEPROP HCLK_INT_INTERFACE_X94Y130 IS_CENTER_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y130 IS_DCM_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_INT_INTERFACE_X94Y130 NAME HCLK_INT_INTERFACE_X94Y130 TILEPROP HCLK_INT_INTERFACE_X94Y130 NUM_ARCS 0 TILEPROP HCLK_INT_INTERFACE_X94Y130 NUM_SITES 0 TILEPROP HCLK_INT_INTERFACE_X94Y130 ROW 26 TILEPROP HCLK_INT_INTERFACE_X94Y130 SLR_REGION_ID 0 TILEPROP HCLK_INT_INTERFACE_X94Y130 TILE_PATTERN_IDX 831 TILEPROP HCLK_INT_INTERFACE_X94Y130 TILE_TYPE HCLK_INT_INTERFACE TILEPROP HCLK_INT_INTERFACE_X94Y130 TILE_TYPE_INDEX 80 TILEPROP HCLK_INT_INTERFACE_X94Y130 TILE_X 72494 TILEPROP HCLK_INT_INTERFACE_X94Y130 TILE_Y 161784 TILEPROP HCLK_INT_INTERFACE_X94Y130 TYPE HCLK_INT_INTERFACE TILEPROP HCLK_IOB_X0Y26 CLASS tile TILEPROP HCLK_IOB_X0Y26 COLUMN 0 TILEPROP HCLK_IOB_X0Y26 DEVICE_ID 0 TILEPROP HCLK_IOB_X0Y26 FIRST_SITE_ID 13107 TILEPROP HCLK_IOB_X0Y26 GRID_POINT_X 0 TILEPROP HCLK_IOB_X0Y26 GRID_POINT_Y 130 TILEPROP HCLK_IOB_X0Y26 INDEX 14950 TILEPROP HCLK_IOB_X0Y26 INT_TILE_X -1 TILEPROP HCLK_IOB_X0Y26 INT_TILE_Y -1 TILEPROP HCLK_IOB_X0Y26 IS_CENTER_TILE 0 TILEPROP HCLK_IOB_X0Y26 IS_DCM_TILE 0 TILEPROP HCLK_IOB_X0Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOB_X0Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOB_X0Y26 NAME HCLK_IOB_X0Y26 TILEPROP HCLK_IOB_X0Y26 NUM_ARCS 0 TILEPROP HCLK_IOB_X0Y26 NUM_SITES 0 TILEPROP HCLK_IOB_X0Y26 ROW 130 TILEPROP HCLK_IOB_X0Y26 SLR_REGION_ID 0 TILEPROP HCLK_IOB_X0Y26 TILE_PATTERN_IDX 828 TILEPROP HCLK_IOB_X0Y26 TILE_TYPE HCLK_IOB TILEPROP HCLK_IOB_X0Y26 TILE_TYPE_INDEX 81 TILEPROP HCLK_IOB_X0Y26 TILE_X -101394 TILEPROP HCLK_IOB_X0Y26 TILE_Y -160760 TILEPROP HCLK_IOB_X0Y26 TYPE HCLK_IOB TILEPROP HCLK_IOB_X0Y78 CLASS tile TILEPROP HCLK_IOB_X0Y78 COLUMN 0 TILEPROP HCLK_IOB_X0Y78 DEVICE_ID 0 TILEPROP HCLK_IOB_X0Y78 FIRST_SITE_ID 7720 TILEPROP HCLK_IOB_X0Y78 GRID_POINT_X 0 TILEPROP HCLK_IOB_X0Y78 GRID_POINT_Y 78 TILEPROP HCLK_IOB_X0Y78 INDEX 8970 TILEPROP HCLK_IOB_X0Y78 INT_TILE_X -1 TILEPROP HCLK_IOB_X0Y78 INT_TILE_Y -1 TILEPROP HCLK_IOB_X0Y78 IS_CENTER_TILE 0 TILEPROP HCLK_IOB_X0Y78 IS_DCM_TILE 0 TILEPROP HCLK_IOB_X0Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOB_X0Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOB_X0Y78 NAME HCLK_IOB_X0Y78 TILEPROP HCLK_IOB_X0Y78 NUM_ARCS 0 TILEPROP HCLK_IOB_X0Y78 NUM_SITES 0 TILEPROP HCLK_IOB_X0Y78 ROW 78 TILEPROP HCLK_IOB_X0Y78 SLR_REGION_ID 0 TILEPROP HCLK_IOB_X0Y78 TILE_PATTERN_IDX 828 TILEPROP HCLK_IOB_X0Y78 TILE_TYPE HCLK_IOB TILEPROP HCLK_IOB_X0Y78 TILE_TYPE_INDEX 81 TILEPROP HCLK_IOB_X0Y78 TILE_X -101394 TILEPROP HCLK_IOB_X0Y78 TILE_Y 512 TILEPROP HCLK_IOB_X0Y78 TYPE HCLK_IOB TILEPROP HCLK_IOB_X0Y130 CLASS tile TILEPROP HCLK_IOB_X0Y130 COLUMN 0 TILEPROP HCLK_IOB_X0Y130 DEVICE_ID 0 TILEPROP HCLK_IOB_X0Y130 FIRST_SITE_ID 2613 TILEPROP HCLK_IOB_X0Y130 GRID_POINT_X 0 TILEPROP HCLK_IOB_X0Y130 GRID_POINT_Y 26 TILEPROP HCLK_IOB_X0Y130 INDEX 2990 TILEPROP HCLK_IOB_X0Y130 INT_TILE_X -1 TILEPROP HCLK_IOB_X0Y130 INT_TILE_Y -1 TILEPROP HCLK_IOB_X0Y130 IS_CENTER_TILE 0 TILEPROP HCLK_IOB_X0Y130 IS_DCM_TILE 0 TILEPROP HCLK_IOB_X0Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOB_X0Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOB_X0Y130 NAME HCLK_IOB_X0Y130 TILEPROP HCLK_IOB_X0Y130 NUM_ARCS 0 TILEPROP HCLK_IOB_X0Y130 NUM_SITES 0 TILEPROP HCLK_IOB_X0Y130 ROW 26 TILEPROP HCLK_IOB_X0Y130 SLR_REGION_ID 0 TILEPROP HCLK_IOB_X0Y130 TILE_PATTERN_IDX 828 TILEPROP HCLK_IOB_X0Y130 TILE_TYPE HCLK_IOB TILEPROP HCLK_IOB_X0Y130 TILE_TYPE_INDEX 81 TILEPROP HCLK_IOB_X0Y130 TILE_X -101394 TILEPROP HCLK_IOB_X0Y130 TILE_Y 161784 TILEPROP HCLK_IOB_X0Y130 TYPE HCLK_IOB TILEPROP HCLK_IOB_X114Y26 CLASS tile TILEPROP HCLK_IOB_X114Y26 COLUMN 114 TILEPROP HCLK_IOB_X114Y26 DEVICE_ID 0 TILEPROP HCLK_IOB_X114Y26 FIRST_SITE_ID 13190 TILEPROP HCLK_IOB_X114Y26 GRID_POINT_X 114 TILEPROP HCLK_IOB_X114Y26 GRID_POINT_Y 130 TILEPROP HCLK_IOB_X114Y26 INDEX 15064 TILEPROP HCLK_IOB_X114Y26 INT_TILE_X 43 TILEPROP HCLK_IOB_X114Y26 INT_TILE_Y 124 TILEPROP HCLK_IOB_X114Y26 IS_CENTER_TILE 0 TILEPROP HCLK_IOB_X114Y26 IS_DCM_TILE 0 TILEPROP HCLK_IOB_X114Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOB_X114Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOB_X114Y26 NAME HCLK_IOB_X114Y26 TILEPROP HCLK_IOB_X114Y26 NUM_ARCS 0 TILEPROP HCLK_IOB_X114Y26 NUM_SITES 0 TILEPROP HCLK_IOB_X114Y26 ROW 130 TILEPROP HCLK_IOB_X114Y26 SLR_REGION_ID 0 TILEPROP HCLK_IOB_X114Y26 TILE_PATTERN_IDX 828 TILEPROP HCLK_IOB_X114Y26 TILE_TYPE HCLK_IOB TILEPROP HCLK_IOB_X114Y26 TILE_TYPE_INDEX 81 TILEPROP HCLK_IOB_X114Y26 TILE_X 107410 TILEPROP HCLK_IOB_X114Y26 TILE_Y -160760 TILEPROP HCLK_IOB_X114Y26 TYPE HCLK_IOB TILEPROP HCLK_IOB_X114Y78 CLASS tile TILEPROP HCLK_IOB_X114Y78 COLUMN 114 TILEPROP HCLK_IOB_X114Y78 DEVICE_ID 0 TILEPROP HCLK_IOB_X114Y78 FIRST_SITE_ID 7803 TILEPROP HCLK_IOB_X114Y78 GRID_POINT_X 114 TILEPROP HCLK_IOB_X114Y78 GRID_POINT_Y 78 TILEPROP HCLK_IOB_X114Y78 INDEX 9084 TILEPROP HCLK_IOB_X114Y78 INT_TILE_X 43 TILEPROP HCLK_IOB_X114Y78 INT_TILE_Y 74 TILEPROP HCLK_IOB_X114Y78 IS_CENTER_TILE 0 TILEPROP HCLK_IOB_X114Y78 IS_DCM_TILE 0 TILEPROP HCLK_IOB_X114Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOB_X114Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOB_X114Y78 NAME HCLK_IOB_X114Y78 TILEPROP HCLK_IOB_X114Y78 NUM_ARCS 0 TILEPROP HCLK_IOB_X114Y78 NUM_SITES 0 TILEPROP HCLK_IOB_X114Y78 ROW 78 TILEPROP HCLK_IOB_X114Y78 SLR_REGION_ID 0 TILEPROP HCLK_IOB_X114Y78 TILE_PATTERN_IDX 828 TILEPROP HCLK_IOB_X114Y78 TILE_TYPE HCLK_IOB TILEPROP HCLK_IOB_X114Y78 TILE_TYPE_INDEX 81 TILEPROP HCLK_IOB_X114Y78 TILE_X 107410 TILEPROP HCLK_IOB_X114Y78 TILE_Y 512 TILEPROP HCLK_IOB_X114Y78 TYPE HCLK_IOB TILEPROP HCLK_IOI3_X113Y26 CLASS tile TILEPROP HCLK_IOI3_X113Y26 COLUMN 113 TILEPROP HCLK_IOI3_X113Y26 DEVICE_ID 0 TILEPROP HCLK_IOI3_X113Y26 FIRST_SITE_ID 13181 TILEPROP HCLK_IOI3_X113Y26 GRID_POINT_X 113 TILEPROP HCLK_IOI3_X113Y26 GRID_POINT_Y 130 TILEPROP HCLK_IOI3_X113Y26 INDEX 15063 TILEPROP HCLK_IOI3_X113Y26 INT_TILE_X 43 TILEPROP HCLK_IOI3_X113Y26 INT_TILE_Y 124 TILEPROP HCLK_IOI3_X113Y26 IS_CENTER_TILE 0 TILEPROP HCLK_IOI3_X113Y26 IS_DCM_TILE 0 TILEPROP HCLK_IOI3_X113Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOI3_X113Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOI3_X113Y26 NAME HCLK_IOI3_X113Y26 TILEPROP HCLK_IOI3_X113Y26 NUM_ARCS 244 TILEPROP HCLK_IOI3_X113Y26 NUM_SITES 9 TILEPROP HCLK_IOI3_X113Y26 ROW 130 TILEPROP HCLK_IOI3_X113Y26 SLR_REGION_ID 0 TILEPROP HCLK_IOI3_X113Y26 TILE_PATTERN_IDX 8032 TILEPROP HCLK_IOI3_X113Y26 TILE_TYPE HCLK_IOI3 TILEPROP HCLK_IOI3_X113Y26 TILE_TYPE_INDEX 82 TILEPROP HCLK_IOI3_X113Y26 TILE_X 106366 TILEPROP HCLK_IOI3_X113Y26 TILE_Y -160760 TILEPROP HCLK_IOI3_X113Y26 TYPE HCLK_IOI3 TILEPROP HCLK_IOI3_X113Y78 CLASS tile TILEPROP HCLK_IOI3_X113Y78 COLUMN 113 TILEPROP HCLK_IOI3_X113Y78 DEVICE_ID 0 TILEPROP HCLK_IOI3_X113Y78 FIRST_SITE_ID 7794 TILEPROP HCLK_IOI3_X113Y78 GRID_POINT_X 113 TILEPROP HCLK_IOI3_X113Y78 GRID_POINT_Y 78 TILEPROP HCLK_IOI3_X113Y78 INDEX 9083 TILEPROP HCLK_IOI3_X113Y78 INT_TILE_X 43 TILEPROP HCLK_IOI3_X113Y78 INT_TILE_Y 74 TILEPROP HCLK_IOI3_X113Y78 IS_CENTER_TILE 0 TILEPROP HCLK_IOI3_X113Y78 IS_DCM_TILE 0 TILEPROP HCLK_IOI3_X113Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOI3_X113Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOI3_X113Y78 NAME HCLK_IOI3_X113Y78 TILEPROP HCLK_IOI3_X113Y78 NUM_ARCS 244 TILEPROP HCLK_IOI3_X113Y78 NUM_SITES 9 TILEPROP HCLK_IOI3_X113Y78 ROW 78 TILEPROP HCLK_IOI3_X113Y78 SLR_REGION_ID 0 TILEPROP HCLK_IOI3_X113Y78 TILE_PATTERN_IDX 6354 TILEPROP HCLK_IOI3_X113Y78 TILE_TYPE HCLK_IOI3 TILEPROP HCLK_IOI3_X113Y78 TILE_TYPE_INDEX 82 TILEPROP HCLK_IOI3_X113Y78 TILE_X 106366 TILEPROP HCLK_IOI3_X113Y78 TILE_Y 512 TILEPROP HCLK_IOI3_X113Y78 TYPE HCLK_IOI3 TILEPROP HCLK_IOI3_X1Y26 CLASS tile TILEPROP HCLK_IOI3_X1Y26 COLUMN 1 TILEPROP HCLK_IOI3_X1Y26 DEVICE_ID 0 TILEPROP HCLK_IOI3_X1Y26 FIRST_SITE_ID 13107 TILEPROP HCLK_IOI3_X1Y26 GRID_POINT_X 1 TILEPROP HCLK_IOI3_X1Y26 GRID_POINT_Y 130 TILEPROP HCLK_IOI3_X1Y26 INDEX 14951 TILEPROP HCLK_IOI3_X1Y26 INT_TILE_X -1 TILEPROP HCLK_IOI3_X1Y26 INT_TILE_Y -1 TILEPROP HCLK_IOI3_X1Y26 IS_CENTER_TILE 0 TILEPROP HCLK_IOI3_X1Y26 IS_DCM_TILE 0 TILEPROP HCLK_IOI3_X1Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOI3_X1Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOI3_X1Y26 NAME HCLK_IOI3_X1Y26 TILEPROP HCLK_IOI3_X1Y26 NUM_ARCS 244 TILEPROP HCLK_IOI3_X1Y26 NUM_SITES 9 TILEPROP HCLK_IOI3_X1Y26 ROW 130 TILEPROP HCLK_IOI3_X1Y26 SLR_REGION_ID 0 TILEPROP HCLK_IOI3_X1Y26 TILE_PATTERN_IDX 7990 TILEPROP HCLK_IOI3_X1Y26 TILE_TYPE HCLK_IOI3 TILEPROP HCLK_IOI3_X1Y26 TILE_TYPE_INDEX 82 TILEPROP HCLK_IOI3_X1Y26 TILE_X -100350 TILEPROP HCLK_IOI3_X1Y26 TILE_Y -160760 TILEPROP HCLK_IOI3_X1Y26 TYPE HCLK_IOI3 TILEPROP HCLK_IOI3_X1Y78 CLASS tile TILEPROP HCLK_IOI3_X1Y78 COLUMN 1 TILEPROP HCLK_IOI3_X1Y78 DEVICE_ID 0 TILEPROP HCLK_IOI3_X1Y78 FIRST_SITE_ID 7720 TILEPROP HCLK_IOI3_X1Y78 GRID_POINT_X 1 TILEPROP HCLK_IOI3_X1Y78 GRID_POINT_Y 78 TILEPROP HCLK_IOI3_X1Y78 INDEX 8971 TILEPROP HCLK_IOI3_X1Y78 INT_TILE_X -1 TILEPROP HCLK_IOI3_X1Y78 INT_TILE_Y -1 TILEPROP HCLK_IOI3_X1Y78 IS_CENTER_TILE 0 TILEPROP HCLK_IOI3_X1Y78 IS_DCM_TILE 0 TILEPROP HCLK_IOI3_X1Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOI3_X1Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOI3_X1Y78 NAME HCLK_IOI3_X1Y78 TILEPROP HCLK_IOI3_X1Y78 NUM_ARCS 244 TILEPROP HCLK_IOI3_X1Y78 NUM_SITES 9 TILEPROP HCLK_IOI3_X1Y78 ROW 78 TILEPROP HCLK_IOI3_X1Y78 SLR_REGION_ID 0 TILEPROP HCLK_IOI3_X1Y78 TILE_PATTERN_IDX 6312 TILEPROP HCLK_IOI3_X1Y78 TILE_TYPE HCLK_IOI3 TILEPROP HCLK_IOI3_X1Y78 TILE_TYPE_INDEX 82 TILEPROP HCLK_IOI3_X1Y78 TILE_X -100350 TILEPROP HCLK_IOI3_X1Y78 TILE_Y 512 TILEPROP HCLK_IOI3_X1Y78 TYPE HCLK_IOI3 TILEPROP HCLK_IOI3_X1Y130 CLASS tile TILEPROP HCLK_IOI3_X1Y130 COLUMN 1 TILEPROP HCLK_IOI3_X1Y130 DEVICE_ID 0 TILEPROP HCLK_IOI3_X1Y130 FIRST_SITE_ID 2613 TILEPROP HCLK_IOI3_X1Y130 GRID_POINT_X 1 TILEPROP HCLK_IOI3_X1Y130 GRID_POINT_Y 26 TILEPROP HCLK_IOI3_X1Y130 INDEX 2991 TILEPROP HCLK_IOI3_X1Y130 INT_TILE_X -1 TILEPROP HCLK_IOI3_X1Y130 INT_TILE_Y -1 TILEPROP HCLK_IOI3_X1Y130 IS_CENTER_TILE 0 TILEPROP HCLK_IOI3_X1Y130 IS_DCM_TILE 0 TILEPROP HCLK_IOI3_X1Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_IOI3_X1Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_IOI3_X1Y130 NAME HCLK_IOI3_X1Y130 TILEPROP HCLK_IOI3_X1Y130 NUM_ARCS 244 TILEPROP HCLK_IOI3_X1Y130 NUM_SITES 9 TILEPROP HCLK_IOI3_X1Y130 ROW 26 TILEPROP HCLK_IOI3_X1Y130 SLR_REGION_ID 0 TILEPROP HCLK_IOI3_X1Y130 TILE_PATTERN_IDX 4504 TILEPROP HCLK_IOI3_X1Y130 TILE_TYPE HCLK_IOI3 TILEPROP HCLK_IOI3_X1Y130 TILE_TYPE_INDEX 82 TILEPROP HCLK_IOI3_X1Y130 TILE_X -100350 TILEPROP HCLK_IOI3_X1Y130 TILE_Y 161784 TILEPROP HCLK_IOI3_X1Y130 TYPE HCLK_IOI3 TILEPROP HCLK_L_BOT_UTURN_X72Y130 CLASS tile TILEPROP HCLK_L_BOT_UTURN_X72Y130 COLUMN 72 TILEPROP HCLK_L_BOT_UTURN_X72Y130 DEVICE_ID 0 TILEPROP HCLK_L_BOT_UTURN_X72Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_L_BOT_UTURN_X72Y130 GRID_POINT_X 72 TILEPROP HCLK_L_BOT_UTURN_X72Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_BOT_UTURN_X72Y130 INDEX 3062 TILEPROP HCLK_L_BOT_UTURN_X72Y130 INT_TILE_X 28 TILEPROP HCLK_L_BOT_UTURN_X72Y130 INT_TILE_Y 24 TILEPROP HCLK_L_BOT_UTURN_X72Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_BOT_UTURN_X72Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_BOT_UTURN_X72Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_BOT_UTURN_X72Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_BOT_UTURN_X72Y130 NAME HCLK_L_BOT_UTURN_X72Y130 TILEPROP HCLK_L_BOT_UTURN_X72Y130 NUM_ARCS 104 TILEPROP HCLK_L_BOT_UTURN_X72Y130 NUM_SITES 0 TILEPROP HCLK_L_BOT_UTURN_X72Y130 ROW 26 TILEPROP HCLK_L_BOT_UTURN_X72Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_BOT_UTURN_X72Y130 TILE_PATTERN_IDX 4535 TILEPROP HCLK_L_BOT_UTURN_X72Y130 TILE_TYPE HCLK_L_BOT_UTURN TILEPROP HCLK_L_BOT_UTURN_X72Y130 TILE_TYPE_INDEX 84 TILEPROP HCLK_L_BOT_UTURN_X72Y130 TILE_X 29736 TILEPROP HCLK_L_BOT_UTURN_X72Y130 TILE_Y 161784 TILEPROP HCLK_L_BOT_UTURN_X72Y130 TYPE HCLK_L_BOT_UTURN TILEPROP HCLK_L_X102Y26 CLASS tile TILEPROP HCLK_L_X102Y26 COLUMN 102 TILEPROP HCLK_L_X102Y26 DEVICE_ID 0 TILEPROP HCLK_L_X102Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_L_X102Y26 GRID_POINT_X 102 TILEPROP HCLK_L_X102Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X102Y26 INDEX 15052 TILEPROP HCLK_L_X102Y26 INT_TILE_X 40 TILEPROP HCLK_L_X102Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X102Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X102Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X102Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X102Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X102Y26 NAME HCLK_L_X102Y26 TILEPROP HCLK_L_X102Y26 NUM_ARCS 200 TILEPROP HCLK_L_X102Y26 NUM_SITES 0 TILEPROP HCLK_L_X102Y26 ROW 130 TILEPROP HCLK_L_X102Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X102Y26 TILE_PATTERN_IDX 8027 TILEPROP HCLK_L_X102Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X102Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X102Y26 TILE_X 87694 TILEPROP HCLK_L_X102Y26 TILE_Y -160760 TILEPROP HCLK_L_X102Y26 TYPE HCLK_L TILEPROP HCLK_L_X102Y78 CLASS tile TILEPROP HCLK_L_X102Y78 COLUMN 102 TILEPROP HCLK_L_X102Y78 DEVICE_ID 0 TILEPROP HCLK_L_X102Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_L_X102Y78 GRID_POINT_X 102 TILEPROP HCLK_L_X102Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X102Y78 INDEX 9072 TILEPROP HCLK_L_X102Y78 INT_TILE_X 40 TILEPROP HCLK_L_X102Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X102Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X102Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X102Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X102Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X102Y78 NAME HCLK_L_X102Y78 TILEPROP HCLK_L_X102Y78 NUM_ARCS 200 TILEPROP HCLK_L_X102Y78 NUM_SITES 0 TILEPROP HCLK_L_X102Y78 ROW 78 TILEPROP HCLK_L_X102Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X102Y78 TILE_PATTERN_IDX 6349 TILEPROP HCLK_L_X102Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X102Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X102Y78 TILE_X 87694 TILEPROP HCLK_L_X102Y78 TILE_Y 512 TILEPROP HCLK_L_X102Y78 TYPE HCLK_L TILEPROP HCLK_L_X109Y26 CLASS tile TILEPROP HCLK_L_X109Y26 COLUMN 109 TILEPROP HCLK_L_X109Y26 DEVICE_ID 0 TILEPROP HCLK_L_X109Y26 FIRST_SITE_ID 13181 TILEPROP HCLK_L_X109Y26 GRID_POINT_X 109 TILEPROP HCLK_L_X109Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X109Y26 INDEX 15059 TILEPROP HCLK_L_X109Y26 INT_TILE_X 42 TILEPROP HCLK_L_X109Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X109Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X109Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X109Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X109Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X109Y26 NAME HCLK_L_X109Y26 TILEPROP HCLK_L_X109Y26 NUM_ARCS 200 TILEPROP HCLK_L_X109Y26 NUM_SITES 0 TILEPROP HCLK_L_X109Y26 ROW 130 TILEPROP HCLK_L_X109Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X109Y26 TILE_PATTERN_IDX 8030 TILEPROP HCLK_L_X109Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X109Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X109Y26 TILE_X 99726 TILEPROP HCLK_L_X109Y26 TILE_Y -160760 TILEPROP HCLK_L_X109Y26 TYPE HCLK_L TILEPROP HCLK_L_X109Y78 CLASS tile TILEPROP HCLK_L_X109Y78 COLUMN 109 TILEPROP HCLK_L_X109Y78 DEVICE_ID 0 TILEPROP HCLK_L_X109Y78 FIRST_SITE_ID 7794 TILEPROP HCLK_L_X109Y78 GRID_POINT_X 109 TILEPROP HCLK_L_X109Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X109Y78 INDEX 9079 TILEPROP HCLK_L_X109Y78 INT_TILE_X 42 TILEPROP HCLK_L_X109Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X109Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X109Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X109Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X109Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X109Y78 NAME HCLK_L_X109Y78 TILEPROP HCLK_L_X109Y78 NUM_ARCS 200 TILEPROP HCLK_L_X109Y78 NUM_SITES 0 TILEPROP HCLK_L_X109Y78 ROW 78 TILEPROP HCLK_L_X109Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X109Y78 TILE_PATTERN_IDX 6352 TILEPROP HCLK_L_X109Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X109Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X109Y78 TILE_X 99726 TILEPROP HCLK_L_X109Y78 TILE_Y 512 TILEPROP HCLK_L_X109Y78 TYPE HCLK_L TILEPROP HCLK_L_X11Y26 CLASS tile TILEPROP HCLK_L_X11Y26 COLUMN 11 TILEPROP HCLK_L_X11Y26 DEVICE_ID 0 TILEPROP HCLK_L_X11Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_L_X11Y26 GRID_POINT_X 11 TILEPROP HCLK_L_X11Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X11Y26 INDEX 14961 TILEPROP HCLK_L_X11Y26 INT_TILE_X 2 TILEPROP HCLK_L_X11Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X11Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X11Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X11Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X11Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X11Y26 NAME HCLK_L_X11Y26 TILEPROP HCLK_L_X11Y26 NUM_ARCS 200 TILEPROP HCLK_L_X11Y26 NUM_SITES 0 TILEPROP HCLK_L_X11Y26 ROW 130 TILEPROP HCLK_L_X11Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X11Y26 TILE_PATTERN_IDX 7994 TILEPROP HCLK_L_X11Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X11Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X11Y26 TILE_X -85134 TILEPROP HCLK_L_X11Y26 TILE_Y -160760 TILEPROP HCLK_L_X11Y26 TYPE HCLK_L TILEPROP HCLK_L_X11Y78 CLASS tile TILEPROP HCLK_L_X11Y78 COLUMN 11 TILEPROP HCLK_L_X11Y78 DEVICE_ID 0 TILEPROP HCLK_L_X11Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_L_X11Y78 GRID_POINT_X 11 TILEPROP HCLK_L_X11Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X11Y78 INDEX 8981 TILEPROP HCLK_L_X11Y78 INT_TILE_X 2 TILEPROP HCLK_L_X11Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X11Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X11Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X11Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X11Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X11Y78 NAME HCLK_L_X11Y78 TILEPROP HCLK_L_X11Y78 NUM_ARCS 200 TILEPROP HCLK_L_X11Y78 NUM_SITES 0 TILEPROP HCLK_L_X11Y78 ROW 78 TILEPROP HCLK_L_X11Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X11Y78 TILE_PATTERN_IDX 6316 TILEPROP HCLK_L_X11Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X11Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X11Y78 TILE_X -85134 TILEPROP HCLK_L_X11Y78 TILE_Y 512 TILEPROP HCLK_L_X11Y78 TYPE HCLK_L TILEPROP HCLK_L_X11Y130 CLASS tile TILEPROP HCLK_L_X11Y130 COLUMN 11 TILEPROP HCLK_L_X11Y130 DEVICE_ID 0 TILEPROP HCLK_L_X11Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_L_X11Y130 GRID_POINT_X 11 TILEPROP HCLK_L_X11Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X11Y130 INDEX 3001 TILEPROP HCLK_L_X11Y130 INT_TILE_X 2 TILEPROP HCLK_L_X11Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X11Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X11Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X11Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X11Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X11Y130 NAME HCLK_L_X11Y130 TILEPROP HCLK_L_X11Y130 NUM_ARCS 200 TILEPROP HCLK_L_X11Y130 NUM_SITES 0 TILEPROP HCLK_L_X11Y130 ROW 26 TILEPROP HCLK_L_X11Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X11Y130 TILE_PATTERN_IDX 4508 TILEPROP HCLK_L_X11Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X11Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X11Y130 TILE_X -85134 TILEPROP HCLK_L_X11Y130 TILE_Y 161784 TILEPROP HCLK_L_X11Y130 TYPE HCLK_L TILEPROP HCLK_L_X15Y26 CLASS tile TILEPROP HCLK_L_X15Y26 COLUMN 15 TILEPROP HCLK_L_X15Y26 DEVICE_ID 0 TILEPROP HCLK_L_X15Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_L_X15Y26 GRID_POINT_X 15 TILEPROP HCLK_L_X15Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X15Y26 INDEX 14965 TILEPROP HCLK_L_X15Y26 INT_TILE_X 4 TILEPROP HCLK_L_X15Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X15Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X15Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X15Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X15Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X15Y26 NAME HCLK_L_X15Y26 TILEPROP HCLK_L_X15Y26 NUM_ARCS 200 TILEPROP HCLK_L_X15Y26 NUM_SITES 0 TILEPROP HCLK_L_X15Y26 ROW 130 TILEPROP HCLK_L_X15Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X15Y26 TILE_PATTERN_IDX 7996 TILEPROP HCLK_L_X15Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X15Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X15Y26 TILE_X -76830 TILEPROP HCLK_L_X15Y26 TILE_Y -160760 TILEPROP HCLK_L_X15Y26 TYPE HCLK_L TILEPROP HCLK_L_X15Y78 CLASS tile TILEPROP HCLK_L_X15Y78 COLUMN 15 TILEPROP HCLK_L_X15Y78 DEVICE_ID 0 TILEPROP HCLK_L_X15Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_L_X15Y78 GRID_POINT_X 15 TILEPROP HCLK_L_X15Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X15Y78 INDEX 8985 TILEPROP HCLK_L_X15Y78 INT_TILE_X 4 TILEPROP HCLK_L_X15Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X15Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X15Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X15Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X15Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X15Y78 NAME HCLK_L_X15Y78 TILEPROP HCLK_L_X15Y78 NUM_ARCS 200 TILEPROP HCLK_L_X15Y78 NUM_SITES 0 TILEPROP HCLK_L_X15Y78 ROW 78 TILEPROP HCLK_L_X15Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X15Y78 TILE_PATTERN_IDX 6318 TILEPROP HCLK_L_X15Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X15Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X15Y78 TILE_X -76830 TILEPROP HCLK_L_X15Y78 TILE_Y 512 TILEPROP HCLK_L_X15Y78 TYPE HCLK_L TILEPROP HCLK_L_X15Y130 CLASS tile TILEPROP HCLK_L_X15Y130 COLUMN 15 TILEPROP HCLK_L_X15Y130 DEVICE_ID 0 TILEPROP HCLK_L_X15Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_L_X15Y130 GRID_POINT_X 15 TILEPROP HCLK_L_X15Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X15Y130 INDEX 3005 TILEPROP HCLK_L_X15Y130 INT_TILE_X 4 TILEPROP HCLK_L_X15Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X15Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X15Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X15Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X15Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X15Y130 NAME HCLK_L_X15Y130 TILEPROP HCLK_L_X15Y130 NUM_ARCS 200 TILEPROP HCLK_L_X15Y130 NUM_SITES 0 TILEPROP HCLK_L_X15Y130 ROW 26 TILEPROP HCLK_L_X15Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X15Y130 TILE_PATTERN_IDX 4510 TILEPROP HCLK_L_X15Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X15Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X15Y130 TILE_X -76830 TILEPROP HCLK_L_X15Y130 TILE_Y 161784 TILEPROP HCLK_L_X15Y130 TYPE HCLK_L TILEPROP HCLK_L_X21Y26 CLASS tile TILEPROP HCLK_L_X21Y26 COLUMN 21 TILEPROP HCLK_L_X21Y26 DEVICE_ID 0 TILEPROP HCLK_L_X21Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_L_X21Y26 GRID_POINT_X 21 TILEPROP HCLK_L_X21Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X21Y26 INDEX 14971 TILEPROP HCLK_L_X21Y26 INT_TILE_X 6 TILEPROP HCLK_L_X21Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X21Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X21Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X21Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X21Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X21Y26 NAME HCLK_L_X21Y26 TILEPROP HCLK_L_X21Y26 NUM_ARCS 200 TILEPROP HCLK_L_X21Y26 NUM_SITES 0 TILEPROP HCLK_L_X21Y26 ROW 130 TILEPROP HCLK_L_X21Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X21Y26 TILE_PATTERN_IDX 7998 TILEPROP HCLK_L_X21Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X21Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X21Y26 TILE_X -64718 TILEPROP HCLK_L_X21Y26 TILE_Y -160760 TILEPROP HCLK_L_X21Y26 TYPE HCLK_L TILEPROP HCLK_L_X21Y78 CLASS tile TILEPROP HCLK_L_X21Y78 COLUMN 21 TILEPROP HCLK_L_X21Y78 DEVICE_ID 0 TILEPROP HCLK_L_X21Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_L_X21Y78 GRID_POINT_X 21 TILEPROP HCLK_L_X21Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X21Y78 INDEX 8991 TILEPROP HCLK_L_X21Y78 INT_TILE_X 6 TILEPROP HCLK_L_X21Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X21Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X21Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X21Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X21Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X21Y78 NAME HCLK_L_X21Y78 TILEPROP HCLK_L_X21Y78 NUM_ARCS 200 TILEPROP HCLK_L_X21Y78 NUM_SITES 0 TILEPROP HCLK_L_X21Y78 ROW 78 TILEPROP HCLK_L_X21Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X21Y78 TILE_PATTERN_IDX 6320 TILEPROP HCLK_L_X21Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X21Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X21Y78 TILE_X -64718 TILEPROP HCLK_L_X21Y78 TILE_Y 512 TILEPROP HCLK_L_X21Y78 TYPE HCLK_L TILEPROP HCLK_L_X21Y130 CLASS tile TILEPROP HCLK_L_X21Y130 COLUMN 21 TILEPROP HCLK_L_X21Y130 DEVICE_ID 0 TILEPROP HCLK_L_X21Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_L_X21Y130 GRID_POINT_X 21 TILEPROP HCLK_L_X21Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X21Y130 INDEX 3011 TILEPROP HCLK_L_X21Y130 INT_TILE_X 6 TILEPROP HCLK_L_X21Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X21Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X21Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X21Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X21Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X21Y130 NAME HCLK_L_X21Y130 TILEPROP HCLK_L_X21Y130 NUM_ARCS 200 TILEPROP HCLK_L_X21Y130 NUM_SITES 0 TILEPROP HCLK_L_X21Y130 ROW 26 TILEPROP HCLK_L_X21Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X21Y130 TILE_PATTERN_IDX 4512 TILEPROP HCLK_L_X21Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X21Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X21Y130 TILE_X -64718 TILEPROP HCLK_L_X21Y130 TILE_Y 161784 TILEPROP HCLK_L_X21Y130 TYPE HCLK_L TILEPROP HCLK_L_X25Y26 CLASS tile TILEPROP HCLK_L_X25Y26 COLUMN 25 TILEPROP HCLK_L_X25Y26 DEVICE_ID 0 TILEPROP HCLK_L_X25Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_L_X25Y26 GRID_POINT_X 25 TILEPROP HCLK_L_X25Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X25Y26 INDEX 14975 TILEPROP HCLK_L_X25Y26 INT_TILE_X 8 TILEPROP HCLK_L_X25Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X25Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X25Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X25Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X25Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X25Y26 NAME HCLK_L_X25Y26 TILEPROP HCLK_L_X25Y26 NUM_ARCS 200 TILEPROP HCLK_L_X25Y26 NUM_SITES 0 TILEPROP HCLK_L_X25Y26 ROW 130 TILEPROP HCLK_L_X25Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X25Y26 TILE_PATTERN_IDX 8000 TILEPROP HCLK_L_X25Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X25Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X25Y26 TILE_X -56414 TILEPROP HCLK_L_X25Y26 TILE_Y -160760 TILEPROP HCLK_L_X25Y26 TYPE HCLK_L TILEPROP HCLK_L_X25Y78 CLASS tile TILEPROP HCLK_L_X25Y78 COLUMN 25 TILEPROP HCLK_L_X25Y78 DEVICE_ID 0 TILEPROP HCLK_L_X25Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_L_X25Y78 GRID_POINT_X 25 TILEPROP HCLK_L_X25Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X25Y78 INDEX 8995 TILEPROP HCLK_L_X25Y78 INT_TILE_X 8 TILEPROP HCLK_L_X25Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X25Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X25Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X25Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X25Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X25Y78 NAME HCLK_L_X25Y78 TILEPROP HCLK_L_X25Y78 NUM_ARCS 200 TILEPROP HCLK_L_X25Y78 NUM_SITES 0 TILEPROP HCLK_L_X25Y78 ROW 78 TILEPROP HCLK_L_X25Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X25Y78 TILE_PATTERN_IDX 6322 TILEPROP HCLK_L_X25Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X25Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X25Y78 TILE_X -56414 TILEPROP HCLK_L_X25Y78 TILE_Y 512 TILEPROP HCLK_L_X25Y78 TYPE HCLK_L TILEPROP HCLK_L_X25Y130 CLASS tile TILEPROP HCLK_L_X25Y130 COLUMN 25 TILEPROP HCLK_L_X25Y130 DEVICE_ID 0 TILEPROP HCLK_L_X25Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_L_X25Y130 GRID_POINT_X 25 TILEPROP HCLK_L_X25Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X25Y130 INDEX 3015 TILEPROP HCLK_L_X25Y130 INT_TILE_X 8 TILEPROP HCLK_L_X25Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X25Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X25Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X25Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X25Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X25Y130 NAME HCLK_L_X25Y130 TILEPROP HCLK_L_X25Y130 NUM_ARCS 200 TILEPROP HCLK_L_X25Y130 NUM_SITES 0 TILEPROP HCLK_L_X25Y130 ROW 26 TILEPROP HCLK_L_X25Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X25Y130 TILE_PATTERN_IDX 4514 TILEPROP HCLK_L_X25Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X25Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X25Y130 TILE_X -56414 TILEPROP HCLK_L_X25Y130 TILE_Y 161784 TILEPROP HCLK_L_X25Y130 TYPE HCLK_L TILEPROP HCLK_L_X31Y26 CLASS tile TILEPROP HCLK_L_X31Y26 COLUMN 31 TILEPROP HCLK_L_X31Y26 DEVICE_ID 0 TILEPROP HCLK_L_X31Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_L_X31Y26 GRID_POINT_X 31 TILEPROP HCLK_L_X31Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X31Y26 INDEX 14981 TILEPROP HCLK_L_X31Y26 INT_TILE_X 10 TILEPROP HCLK_L_X31Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X31Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X31Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X31Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X31Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X31Y26 NAME HCLK_L_X31Y26 TILEPROP HCLK_L_X31Y26 NUM_ARCS 200 TILEPROP HCLK_L_X31Y26 NUM_SITES 0 TILEPROP HCLK_L_X31Y26 ROW 130 TILEPROP HCLK_L_X31Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X31Y26 TILE_PATTERN_IDX 8002 TILEPROP HCLK_L_X31Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X31Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X31Y26 TILE_X -47592 TILEPROP HCLK_L_X31Y26 TILE_Y -160760 TILEPROP HCLK_L_X31Y26 TYPE HCLK_L TILEPROP HCLK_L_X31Y78 CLASS tile TILEPROP HCLK_L_X31Y78 COLUMN 31 TILEPROP HCLK_L_X31Y78 DEVICE_ID 0 TILEPROP HCLK_L_X31Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_L_X31Y78 GRID_POINT_X 31 TILEPROP HCLK_L_X31Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X31Y78 INDEX 9001 TILEPROP HCLK_L_X31Y78 INT_TILE_X 10 TILEPROP HCLK_L_X31Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X31Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X31Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X31Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X31Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X31Y78 NAME HCLK_L_X31Y78 TILEPROP HCLK_L_X31Y78 NUM_ARCS 200 TILEPROP HCLK_L_X31Y78 NUM_SITES 0 TILEPROP HCLK_L_X31Y78 ROW 78 TILEPROP HCLK_L_X31Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X31Y78 TILE_PATTERN_IDX 6324 TILEPROP HCLK_L_X31Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X31Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X31Y78 TILE_X -47592 TILEPROP HCLK_L_X31Y78 TILE_Y 512 TILEPROP HCLK_L_X31Y78 TYPE HCLK_L TILEPROP HCLK_L_X31Y130 CLASS tile TILEPROP HCLK_L_X31Y130 COLUMN 31 TILEPROP HCLK_L_X31Y130 DEVICE_ID 0 TILEPROP HCLK_L_X31Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_L_X31Y130 GRID_POINT_X 31 TILEPROP HCLK_L_X31Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X31Y130 INDEX 3021 TILEPROP HCLK_L_X31Y130 INT_TILE_X 10 TILEPROP HCLK_L_X31Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X31Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X31Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X31Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X31Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X31Y130 NAME HCLK_L_X31Y130 TILEPROP HCLK_L_X31Y130 NUM_ARCS 200 TILEPROP HCLK_L_X31Y130 NUM_SITES 0 TILEPROP HCLK_L_X31Y130 ROW 26 TILEPROP HCLK_L_X31Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X31Y130 TILE_PATTERN_IDX 4516 TILEPROP HCLK_L_X31Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X31Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X31Y130 TILE_X -47592 TILEPROP HCLK_L_X31Y130 TILE_Y 161784 TILEPROP HCLK_L_X31Y130 TYPE HCLK_L TILEPROP HCLK_L_X36Y130 CLASS tile TILEPROP HCLK_L_X36Y130 COLUMN 36 TILEPROP HCLK_L_X36Y130 DEVICE_ID 0 TILEPROP HCLK_L_X36Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_L_X36Y130 GRID_POINT_X 36 TILEPROP HCLK_L_X36Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X36Y130 INDEX 3026 TILEPROP HCLK_L_X36Y130 INT_TILE_X 12 TILEPROP HCLK_L_X36Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X36Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X36Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X36Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X36Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X36Y130 NAME HCLK_L_X36Y130 TILEPROP HCLK_L_X36Y130 NUM_ARCS 200 TILEPROP HCLK_L_X36Y130 NUM_SITES 0 TILEPROP HCLK_L_X36Y130 ROW 26 TILEPROP HCLK_L_X36Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X36Y130 TILE_PATTERN_IDX 4518 TILEPROP HCLK_L_X36Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X36Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X36Y130 TILE_X -39224 TILEPROP HCLK_L_X36Y130 TILE_Y 161784 TILEPROP HCLK_L_X36Y130 TYPE HCLK_L TILEPROP HCLK_L_X40Y130 CLASS tile TILEPROP HCLK_L_X40Y130 COLUMN 40 TILEPROP HCLK_L_X40Y130 DEVICE_ID 0 TILEPROP HCLK_L_X40Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_L_X40Y130 GRID_POINT_X 40 TILEPROP HCLK_L_X40Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X40Y130 INDEX 3030 TILEPROP HCLK_L_X40Y130 INT_TILE_X 14 TILEPROP HCLK_L_X40Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X40Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X40Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X40Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X40Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X40Y130 NAME HCLK_L_X40Y130 TILEPROP HCLK_L_X40Y130 NUM_ARCS 200 TILEPROP HCLK_L_X40Y130 NUM_SITES 0 TILEPROP HCLK_L_X40Y130 ROW 26 TILEPROP HCLK_L_X40Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X40Y130 TILE_PATTERN_IDX 4520 TILEPROP HCLK_L_X40Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X40Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X40Y130 TILE_X -30920 TILEPROP HCLK_L_X40Y130 TILE_Y 161784 TILEPROP HCLK_L_X40Y130 TYPE HCLK_L TILEPROP HCLK_L_X44Y130 CLASS tile TILEPROP HCLK_L_X44Y130 COLUMN 44 TILEPROP HCLK_L_X44Y130 DEVICE_ID 0 TILEPROP HCLK_L_X44Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_L_X44Y130 GRID_POINT_X 44 TILEPROP HCLK_L_X44Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X44Y130 INDEX 3034 TILEPROP HCLK_L_X44Y130 INT_TILE_X 16 TILEPROP HCLK_L_X44Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X44Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X44Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X44Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X44Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X44Y130 NAME HCLK_L_X44Y130 TILEPROP HCLK_L_X44Y130 NUM_ARCS 200 TILEPROP HCLK_L_X44Y130 NUM_SITES 0 TILEPROP HCLK_L_X44Y130 ROW 26 TILEPROP HCLK_L_X44Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X44Y130 TILE_PATTERN_IDX 4522 TILEPROP HCLK_L_X44Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X44Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X44Y130 TILE_X -22616 TILEPROP HCLK_L_X44Y130 TILE_Y 161784 TILEPROP HCLK_L_X44Y130 TYPE HCLK_L TILEPROP HCLK_L_X49Y26 CLASS tile TILEPROP HCLK_L_X49Y26 COLUMN 49 TILEPROP HCLK_L_X49Y26 DEVICE_ID 0 TILEPROP HCLK_L_X49Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_L_X49Y26 GRID_POINT_X 49 TILEPROP HCLK_L_X49Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X49Y26 INDEX 14999 TILEPROP HCLK_L_X49Y26 INT_TILE_X 18 TILEPROP HCLK_L_X49Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X49Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X49Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X49Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X49Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X49Y26 NAME HCLK_L_X49Y26 TILEPROP HCLK_L_X49Y26 NUM_ARCS 200 TILEPROP HCLK_L_X49Y26 NUM_SITES 0 TILEPROP HCLK_L_X49Y26 ROW 130 TILEPROP HCLK_L_X49Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X49Y26 TILE_PATTERN_IDX 8004 TILEPROP HCLK_L_X49Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X49Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X49Y26 TILE_X -14880 TILEPROP HCLK_L_X49Y26 TILE_Y -160760 TILEPROP HCLK_L_X49Y26 TYPE HCLK_L TILEPROP HCLK_L_X49Y78 CLASS tile TILEPROP HCLK_L_X49Y78 COLUMN 49 TILEPROP HCLK_L_X49Y78 DEVICE_ID 0 TILEPROP HCLK_L_X49Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_L_X49Y78 GRID_POINT_X 49 TILEPROP HCLK_L_X49Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X49Y78 INDEX 9019 TILEPROP HCLK_L_X49Y78 INT_TILE_X 18 TILEPROP HCLK_L_X49Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X49Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X49Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X49Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X49Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X49Y78 NAME HCLK_L_X49Y78 TILEPROP HCLK_L_X49Y78 NUM_ARCS 200 TILEPROP HCLK_L_X49Y78 NUM_SITES 0 TILEPROP HCLK_L_X49Y78 ROW 78 TILEPROP HCLK_L_X49Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X49Y78 TILE_PATTERN_IDX 6326 TILEPROP HCLK_L_X49Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X49Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X49Y78 TILE_X -14880 TILEPROP HCLK_L_X49Y78 TILE_Y 512 TILEPROP HCLK_L_X49Y78 TYPE HCLK_L TILEPROP HCLK_L_X49Y130 CLASS tile TILEPROP HCLK_L_X49Y130 COLUMN 49 TILEPROP HCLK_L_X49Y130 DEVICE_ID 0 TILEPROP HCLK_L_X49Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_L_X49Y130 GRID_POINT_X 49 TILEPROP HCLK_L_X49Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X49Y130 INDEX 3039 TILEPROP HCLK_L_X49Y130 INT_TILE_X 18 TILEPROP HCLK_L_X49Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X49Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X49Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X49Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X49Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X49Y130 NAME HCLK_L_X49Y130 TILEPROP HCLK_L_X49Y130 NUM_ARCS 200 TILEPROP HCLK_L_X49Y130 NUM_SITES 0 TILEPROP HCLK_L_X49Y130 ROW 26 TILEPROP HCLK_L_X49Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X49Y130 TILE_PATTERN_IDX 4524 TILEPROP HCLK_L_X49Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X49Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X49Y130 TILE_X -14880 TILEPROP HCLK_L_X49Y130 TILE_Y 161784 TILEPROP HCLK_L_X49Y130 TYPE HCLK_L TILEPROP HCLK_L_X4Y26 CLASS tile TILEPROP HCLK_L_X4Y26 COLUMN 4 TILEPROP HCLK_L_X4Y26 DEVICE_ID 0 TILEPROP HCLK_L_X4Y26 FIRST_SITE_ID 13116 TILEPROP HCLK_L_X4Y26 GRID_POINT_X 4 TILEPROP HCLK_L_X4Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X4Y26 INDEX 14954 TILEPROP HCLK_L_X4Y26 INT_TILE_X 0 TILEPROP HCLK_L_X4Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X4Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X4Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X4Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X4Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X4Y26 NAME HCLK_L_X4Y26 TILEPROP HCLK_L_X4Y26 NUM_ARCS 200 TILEPROP HCLK_L_X4Y26 NUM_SITES 0 TILEPROP HCLK_L_X4Y26 ROW 130 TILEPROP HCLK_L_X4Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X4Y26 TILE_PATTERN_IDX 7991 TILEPROP HCLK_L_X4Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X4Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X4Y26 TILE_X -97166 TILEPROP HCLK_L_X4Y26 TILE_Y -160760 TILEPROP HCLK_L_X4Y26 TYPE HCLK_L TILEPROP HCLK_L_X4Y78 CLASS tile TILEPROP HCLK_L_X4Y78 COLUMN 4 TILEPROP HCLK_L_X4Y78 DEVICE_ID 0 TILEPROP HCLK_L_X4Y78 FIRST_SITE_ID 7729 TILEPROP HCLK_L_X4Y78 GRID_POINT_X 4 TILEPROP HCLK_L_X4Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X4Y78 INDEX 8974 TILEPROP HCLK_L_X4Y78 INT_TILE_X 0 TILEPROP HCLK_L_X4Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X4Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X4Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X4Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X4Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X4Y78 NAME HCLK_L_X4Y78 TILEPROP HCLK_L_X4Y78 NUM_ARCS 200 TILEPROP HCLK_L_X4Y78 NUM_SITES 0 TILEPROP HCLK_L_X4Y78 ROW 78 TILEPROP HCLK_L_X4Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X4Y78 TILE_PATTERN_IDX 6313 TILEPROP HCLK_L_X4Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X4Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X4Y78 TILE_X -97166 TILEPROP HCLK_L_X4Y78 TILE_Y 512 TILEPROP HCLK_L_X4Y78 TYPE HCLK_L TILEPROP HCLK_L_X4Y130 CLASS tile TILEPROP HCLK_L_X4Y130 COLUMN 4 TILEPROP HCLK_L_X4Y130 DEVICE_ID 0 TILEPROP HCLK_L_X4Y130 FIRST_SITE_ID 2622 TILEPROP HCLK_L_X4Y130 GRID_POINT_X 4 TILEPROP HCLK_L_X4Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X4Y130 INDEX 2994 TILEPROP HCLK_L_X4Y130 INT_TILE_X 0 TILEPROP HCLK_L_X4Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X4Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X4Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X4Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X4Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X4Y130 NAME HCLK_L_X4Y130 TILEPROP HCLK_L_X4Y130 NUM_ARCS 200 TILEPROP HCLK_L_X4Y130 NUM_SITES 0 TILEPROP HCLK_L_X4Y130 ROW 26 TILEPROP HCLK_L_X4Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X4Y130 TILE_PATTERN_IDX 4505 TILEPROP HCLK_L_X4Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X4Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X4Y130 TILE_X -97166 TILEPROP HCLK_L_X4Y130 TILE_Y 161784 TILEPROP HCLK_L_X4Y130 TYPE HCLK_L TILEPROP HCLK_L_X53Y26 CLASS tile TILEPROP HCLK_L_X53Y26 COLUMN 53 TILEPROP HCLK_L_X53Y26 DEVICE_ID 0 TILEPROP HCLK_L_X53Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_L_X53Y26 GRID_POINT_X 53 TILEPROP HCLK_L_X53Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X53Y26 INDEX 15003 TILEPROP HCLK_L_X53Y26 INT_TILE_X 20 TILEPROP HCLK_L_X53Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X53Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X53Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X53Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X53Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X53Y26 NAME HCLK_L_X53Y26 TILEPROP HCLK_L_X53Y26 NUM_ARCS 200 TILEPROP HCLK_L_X53Y26 NUM_SITES 0 TILEPROP HCLK_L_X53Y26 ROW 130 TILEPROP HCLK_L_X53Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X53Y26 TILE_PATTERN_IDX 8006 TILEPROP HCLK_L_X53Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X53Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X53Y26 TILE_X -6576 TILEPROP HCLK_L_X53Y26 TILE_Y -160760 TILEPROP HCLK_L_X53Y26 TYPE HCLK_L TILEPROP HCLK_L_X53Y78 CLASS tile TILEPROP HCLK_L_X53Y78 COLUMN 53 TILEPROP HCLK_L_X53Y78 DEVICE_ID 0 TILEPROP HCLK_L_X53Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_L_X53Y78 GRID_POINT_X 53 TILEPROP HCLK_L_X53Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X53Y78 INDEX 9023 TILEPROP HCLK_L_X53Y78 INT_TILE_X 20 TILEPROP HCLK_L_X53Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X53Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X53Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X53Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X53Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X53Y78 NAME HCLK_L_X53Y78 TILEPROP HCLK_L_X53Y78 NUM_ARCS 200 TILEPROP HCLK_L_X53Y78 NUM_SITES 0 TILEPROP HCLK_L_X53Y78 ROW 78 TILEPROP HCLK_L_X53Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X53Y78 TILE_PATTERN_IDX 6328 TILEPROP HCLK_L_X53Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X53Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X53Y78 TILE_X -6576 TILEPROP HCLK_L_X53Y78 TILE_Y 512 TILEPROP HCLK_L_X53Y78 TYPE HCLK_L TILEPROP HCLK_L_X53Y130 CLASS tile TILEPROP HCLK_L_X53Y130 COLUMN 53 TILEPROP HCLK_L_X53Y130 DEVICE_ID 0 TILEPROP HCLK_L_X53Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_L_X53Y130 GRID_POINT_X 53 TILEPROP HCLK_L_X53Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X53Y130 INDEX 3043 TILEPROP HCLK_L_X53Y130 INT_TILE_X 20 TILEPROP HCLK_L_X53Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X53Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X53Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X53Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X53Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X53Y130 NAME HCLK_L_X53Y130 TILEPROP HCLK_L_X53Y130 NUM_ARCS 200 TILEPROP HCLK_L_X53Y130 NUM_SITES 0 TILEPROP HCLK_L_X53Y130 ROW 26 TILEPROP HCLK_L_X53Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X53Y130 TILE_PATTERN_IDX 4526 TILEPROP HCLK_L_X53Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X53Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X53Y130 TILE_X -6576 TILEPROP HCLK_L_X53Y130 TILE_Y 161784 TILEPROP HCLK_L_X53Y130 TYPE HCLK_L TILEPROP HCLK_L_X57Y26 CLASS tile TILEPROP HCLK_L_X57Y26 COLUMN 57 TILEPROP HCLK_L_X57Y26 DEVICE_ID 0 TILEPROP HCLK_L_X57Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_L_X57Y26 GRID_POINT_X 57 TILEPROP HCLK_L_X57Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X57Y26 INDEX 15007 TILEPROP HCLK_L_X57Y26 INT_TILE_X 22 TILEPROP HCLK_L_X57Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X57Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X57Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X57Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X57Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X57Y26 NAME HCLK_L_X57Y26 TILEPROP HCLK_L_X57Y26 NUM_ARCS 200 TILEPROP HCLK_L_X57Y26 NUM_SITES 0 TILEPROP HCLK_L_X57Y26 ROW 130 TILEPROP HCLK_L_X57Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X57Y26 TILE_PATTERN_IDX 8008 TILEPROP HCLK_L_X57Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X57Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X57Y26 TILE_X 1728 TILEPROP HCLK_L_X57Y26 TILE_Y -160760 TILEPROP HCLK_L_X57Y26 TYPE HCLK_L TILEPROP HCLK_L_X57Y78 CLASS tile TILEPROP HCLK_L_X57Y78 COLUMN 57 TILEPROP HCLK_L_X57Y78 DEVICE_ID 0 TILEPROP HCLK_L_X57Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_L_X57Y78 GRID_POINT_X 57 TILEPROP HCLK_L_X57Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X57Y78 INDEX 9027 TILEPROP HCLK_L_X57Y78 INT_TILE_X 22 TILEPROP HCLK_L_X57Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X57Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X57Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X57Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X57Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X57Y78 NAME HCLK_L_X57Y78 TILEPROP HCLK_L_X57Y78 NUM_ARCS 200 TILEPROP HCLK_L_X57Y78 NUM_SITES 0 TILEPROP HCLK_L_X57Y78 ROW 78 TILEPROP HCLK_L_X57Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X57Y78 TILE_PATTERN_IDX 6330 TILEPROP HCLK_L_X57Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X57Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X57Y78 TILE_X 1728 TILEPROP HCLK_L_X57Y78 TILE_Y 512 TILEPROP HCLK_L_X57Y78 TYPE HCLK_L TILEPROP HCLK_L_X57Y130 CLASS tile TILEPROP HCLK_L_X57Y130 COLUMN 57 TILEPROP HCLK_L_X57Y130 DEVICE_ID 0 TILEPROP HCLK_L_X57Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_L_X57Y130 GRID_POINT_X 57 TILEPROP HCLK_L_X57Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X57Y130 INDEX 3047 TILEPROP HCLK_L_X57Y130 INT_TILE_X 22 TILEPROP HCLK_L_X57Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X57Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X57Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X57Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X57Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X57Y130 NAME HCLK_L_X57Y130 TILEPROP HCLK_L_X57Y130 NUM_ARCS 200 TILEPROP HCLK_L_X57Y130 NUM_SITES 0 TILEPROP HCLK_L_X57Y130 ROW 26 TILEPROP HCLK_L_X57Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X57Y130 TILE_PATTERN_IDX 4528 TILEPROP HCLK_L_X57Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X57Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X57Y130 TILE_X 1728 TILEPROP HCLK_L_X57Y130 TILE_Y 161784 TILEPROP HCLK_L_X57Y130 TYPE HCLK_L TILEPROP HCLK_L_X63Y26 CLASS tile TILEPROP HCLK_L_X63Y26 COLUMN 63 TILEPROP HCLK_L_X63Y26 DEVICE_ID 0 TILEPROP HCLK_L_X63Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_L_X63Y26 GRID_POINT_X 63 TILEPROP HCLK_L_X63Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X63Y26 INDEX 15013 TILEPROP HCLK_L_X63Y26 INT_TILE_X 24 TILEPROP HCLK_L_X63Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X63Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X63Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X63Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X63Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X63Y26 NAME HCLK_L_X63Y26 TILEPROP HCLK_L_X63Y26 NUM_ARCS 200 TILEPROP HCLK_L_X63Y26 NUM_SITES 0 TILEPROP HCLK_L_X63Y26 ROW 130 TILEPROP HCLK_L_X63Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X63Y26 TILE_PATTERN_IDX 8011 TILEPROP HCLK_L_X63Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X63Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X63Y26 TILE_X 13064 TILEPROP HCLK_L_X63Y26 TILE_Y -160760 TILEPROP HCLK_L_X63Y26 TYPE HCLK_L TILEPROP HCLK_L_X63Y78 CLASS tile TILEPROP HCLK_L_X63Y78 COLUMN 63 TILEPROP HCLK_L_X63Y78 DEVICE_ID 0 TILEPROP HCLK_L_X63Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_L_X63Y78 GRID_POINT_X 63 TILEPROP HCLK_L_X63Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X63Y78 INDEX 9033 TILEPROP HCLK_L_X63Y78 INT_TILE_X 24 TILEPROP HCLK_L_X63Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X63Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X63Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X63Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X63Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X63Y78 NAME HCLK_L_X63Y78 TILEPROP HCLK_L_X63Y78 NUM_ARCS 200 TILEPROP HCLK_L_X63Y78 NUM_SITES 0 TILEPROP HCLK_L_X63Y78 ROW 78 TILEPROP HCLK_L_X63Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X63Y78 TILE_PATTERN_IDX 6333 TILEPROP HCLK_L_X63Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X63Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X63Y78 TILE_X 13064 TILEPROP HCLK_L_X63Y78 TILE_Y 512 TILEPROP HCLK_L_X63Y78 TYPE HCLK_L TILEPROP HCLK_L_X63Y130 CLASS tile TILEPROP HCLK_L_X63Y130 COLUMN 63 TILEPROP HCLK_L_X63Y130 DEVICE_ID 0 TILEPROP HCLK_L_X63Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_L_X63Y130 GRID_POINT_X 63 TILEPROP HCLK_L_X63Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X63Y130 INDEX 3053 TILEPROP HCLK_L_X63Y130 INT_TILE_X 24 TILEPROP HCLK_L_X63Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X63Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X63Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X63Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X63Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X63Y130 NAME HCLK_L_X63Y130 TILEPROP HCLK_L_X63Y130 NUM_ARCS 200 TILEPROP HCLK_L_X63Y130 NUM_SITES 0 TILEPROP HCLK_L_X63Y130 ROW 26 TILEPROP HCLK_L_X63Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X63Y130 TILE_PATTERN_IDX 4531 TILEPROP HCLK_L_X63Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X63Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X63Y130 TILE_X 13064 TILEPROP HCLK_L_X63Y130 TILE_Y 161784 TILEPROP HCLK_L_X63Y130 TYPE HCLK_L TILEPROP HCLK_L_X68Y26 CLASS tile TILEPROP HCLK_L_X68Y26 COLUMN 68 TILEPROP HCLK_L_X68Y26 DEVICE_ID 0 TILEPROP HCLK_L_X68Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_L_X68Y26 GRID_POINT_X 68 TILEPROP HCLK_L_X68Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X68Y26 INDEX 15018 TILEPROP HCLK_L_X68Y26 INT_TILE_X 26 TILEPROP HCLK_L_X68Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X68Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X68Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X68Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X68Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X68Y26 NAME HCLK_L_X68Y26 TILEPROP HCLK_L_X68Y26 NUM_ARCS 200 TILEPROP HCLK_L_X68Y26 NUM_SITES 0 TILEPROP HCLK_L_X68Y26 ROW 130 TILEPROP HCLK_L_X68Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X68Y26 TILE_PATTERN_IDX 8013 TILEPROP HCLK_L_X68Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X68Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X68Y26 TILE_X 21432 TILEPROP HCLK_L_X68Y26 TILE_Y -160760 TILEPROP HCLK_L_X68Y26 TYPE HCLK_L TILEPROP HCLK_L_X68Y78 CLASS tile TILEPROP HCLK_L_X68Y78 COLUMN 68 TILEPROP HCLK_L_X68Y78 DEVICE_ID 0 TILEPROP HCLK_L_X68Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_L_X68Y78 GRID_POINT_X 68 TILEPROP HCLK_L_X68Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X68Y78 INDEX 9038 TILEPROP HCLK_L_X68Y78 INT_TILE_X 26 TILEPROP HCLK_L_X68Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X68Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X68Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X68Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X68Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X68Y78 NAME HCLK_L_X68Y78 TILEPROP HCLK_L_X68Y78 NUM_ARCS 200 TILEPROP HCLK_L_X68Y78 NUM_SITES 0 TILEPROP HCLK_L_X68Y78 ROW 78 TILEPROP HCLK_L_X68Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X68Y78 TILE_PATTERN_IDX 6335 TILEPROP HCLK_L_X68Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X68Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X68Y78 TILE_X 21432 TILEPROP HCLK_L_X68Y78 TILE_Y 512 TILEPROP HCLK_L_X68Y78 TYPE HCLK_L TILEPROP HCLK_L_X68Y130 CLASS tile TILEPROP HCLK_L_X68Y130 COLUMN 68 TILEPROP HCLK_L_X68Y130 DEVICE_ID 0 TILEPROP HCLK_L_X68Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_L_X68Y130 GRID_POINT_X 68 TILEPROP HCLK_L_X68Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X68Y130 INDEX 3058 TILEPROP HCLK_L_X68Y130 INT_TILE_X 26 TILEPROP HCLK_L_X68Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X68Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X68Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X68Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X68Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X68Y130 NAME HCLK_L_X68Y130 TILEPROP HCLK_L_X68Y130 NUM_ARCS 200 TILEPROP HCLK_L_X68Y130 NUM_SITES 0 TILEPROP HCLK_L_X68Y130 ROW 26 TILEPROP HCLK_L_X68Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X68Y130 TILE_PATTERN_IDX 4533 TILEPROP HCLK_L_X68Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X68Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X68Y130 TILE_X 21432 TILEPROP HCLK_L_X68Y130 TILE_Y 161784 TILEPROP HCLK_L_X68Y130 TYPE HCLK_L TILEPROP HCLK_L_X72Y26 CLASS tile TILEPROP HCLK_L_X72Y26 COLUMN 72 TILEPROP HCLK_L_X72Y26 DEVICE_ID 0 TILEPROP HCLK_L_X72Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_L_X72Y26 GRID_POINT_X 72 TILEPROP HCLK_L_X72Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X72Y26 INDEX 15022 TILEPROP HCLK_L_X72Y26 INT_TILE_X 28 TILEPROP HCLK_L_X72Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X72Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X72Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X72Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X72Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X72Y26 NAME HCLK_L_X72Y26 TILEPROP HCLK_L_X72Y26 NUM_ARCS 200 TILEPROP HCLK_L_X72Y26 NUM_SITES 0 TILEPROP HCLK_L_X72Y26 ROW 130 TILEPROP HCLK_L_X72Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X72Y26 TILE_PATTERN_IDX 8015 TILEPROP HCLK_L_X72Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X72Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X72Y26 TILE_X 29736 TILEPROP HCLK_L_X72Y26 TILE_Y -160760 TILEPROP HCLK_L_X72Y26 TYPE HCLK_L TILEPROP HCLK_L_X72Y78 CLASS tile TILEPROP HCLK_L_X72Y78 COLUMN 72 TILEPROP HCLK_L_X72Y78 DEVICE_ID 0 TILEPROP HCLK_L_X72Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_L_X72Y78 GRID_POINT_X 72 TILEPROP HCLK_L_X72Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X72Y78 INDEX 9042 TILEPROP HCLK_L_X72Y78 INT_TILE_X 28 TILEPROP HCLK_L_X72Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X72Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X72Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X72Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X72Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X72Y78 NAME HCLK_L_X72Y78 TILEPROP HCLK_L_X72Y78 NUM_ARCS 200 TILEPROP HCLK_L_X72Y78 NUM_SITES 0 TILEPROP HCLK_L_X72Y78 ROW 78 TILEPROP HCLK_L_X72Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X72Y78 TILE_PATTERN_IDX 6337 TILEPROP HCLK_L_X72Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X72Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X72Y78 TILE_X 29736 TILEPROP HCLK_L_X72Y78 TILE_Y 512 TILEPROP HCLK_L_X72Y78 TYPE HCLK_L TILEPROP HCLK_L_X77Y26 CLASS tile TILEPROP HCLK_L_X77Y26 COLUMN 77 TILEPROP HCLK_L_X77Y26 DEVICE_ID 0 TILEPROP HCLK_L_X77Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_L_X77Y26 GRID_POINT_X 77 TILEPROP HCLK_L_X77Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X77Y26 INDEX 15027 TILEPROP HCLK_L_X77Y26 INT_TILE_X 30 TILEPROP HCLK_L_X77Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X77Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X77Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X77Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X77Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X77Y26 NAME HCLK_L_X77Y26 TILEPROP HCLK_L_X77Y26 NUM_ARCS 200 TILEPROP HCLK_L_X77Y26 NUM_SITES 0 TILEPROP HCLK_L_X77Y26 ROW 130 TILEPROP HCLK_L_X77Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X77Y26 TILE_PATTERN_IDX 8017 TILEPROP HCLK_L_X77Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X77Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X77Y26 TILE_X 41784 TILEPROP HCLK_L_X77Y26 TILE_Y -160760 TILEPROP HCLK_L_X77Y26 TYPE HCLK_L TILEPROP HCLK_L_X77Y78 CLASS tile TILEPROP HCLK_L_X77Y78 COLUMN 77 TILEPROP HCLK_L_X77Y78 DEVICE_ID 0 TILEPROP HCLK_L_X77Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_L_X77Y78 GRID_POINT_X 77 TILEPROP HCLK_L_X77Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X77Y78 INDEX 9047 TILEPROP HCLK_L_X77Y78 INT_TILE_X 30 TILEPROP HCLK_L_X77Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X77Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X77Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X77Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X77Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X77Y78 NAME HCLK_L_X77Y78 TILEPROP HCLK_L_X77Y78 NUM_ARCS 200 TILEPROP HCLK_L_X77Y78 NUM_SITES 0 TILEPROP HCLK_L_X77Y78 ROW 78 TILEPROP HCLK_L_X77Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X77Y78 TILE_PATTERN_IDX 6339 TILEPROP HCLK_L_X77Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X77Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X77Y78 TILE_X 41784 TILEPROP HCLK_L_X77Y78 TILE_Y 512 TILEPROP HCLK_L_X77Y78 TYPE HCLK_L TILEPROP HCLK_L_X77Y130 CLASS tile TILEPROP HCLK_L_X77Y130 COLUMN 77 TILEPROP HCLK_L_X77Y130 DEVICE_ID 0 TILEPROP HCLK_L_X77Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_L_X77Y130 GRID_POINT_X 77 TILEPROP HCLK_L_X77Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X77Y130 INDEX 3067 TILEPROP HCLK_L_X77Y130 INT_TILE_X 30 TILEPROP HCLK_L_X77Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X77Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X77Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X77Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X77Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X77Y130 NAME HCLK_L_X77Y130 TILEPROP HCLK_L_X77Y130 NUM_ARCS 200 TILEPROP HCLK_L_X77Y130 NUM_SITES 0 TILEPROP HCLK_L_X77Y130 ROW 26 TILEPROP HCLK_L_X77Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X77Y130 TILE_PATTERN_IDX 4537 TILEPROP HCLK_L_X77Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X77Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X77Y130 TILE_X 41784 TILEPROP HCLK_L_X77Y130 TILE_Y 161784 TILEPROP HCLK_L_X77Y130 TYPE HCLK_L TILEPROP HCLK_L_X82Y26 CLASS tile TILEPROP HCLK_L_X82Y26 COLUMN 82 TILEPROP HCLK_L_X82Y26 DEVICE_ID 0 TILEPROP HCLK_L_X82Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_L_X82Y26 GRID_POINT_X 82 TILEPROP HCLK_L_X82Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X82Y26 INDEX 15032 TILEPROP HCLK_L_X82Y26 INT_TILE_X 32 TILEPROP HCLK_L_X82Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X82Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X82Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X82Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X82Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X82Y26 NAME HCLK_L_X82Y26 TILEPROP HCLK_L_X82Y26 NUM_ARCS 200 TILEPROP HCLK_L_X82Y26 NUM_SITES 0 TILEPROP HCLK_L_X82Y26 ROW 130 TILEPROP HCLK_L_X82Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X82Y26 TILE_PATTERN_IDX 8019 TILEPROP HCLK_L_X82Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X82Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X82Y26 TILE_X 50152 TILEPROP HCLK_L_X82Y26 TILE_Y -160760 TILEPROP HCLK_L_X82Y26 TYPE HCLK_L TILEPROP HCLK_L_X82Y78 CLASS tile TILEPROP HCLK_L_X82Y78 COLUMN 82 TILEPROP HCLK_L_X82Y78 DEVICE_ID 0 TILEPROP HCLK_L_X82Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_L_X82Y78 GRID_POINT_X 82 TILEPROP HCLK_L_X82Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X82Y78 INDEX 9052 TILEPROP HCLK_L_X82Y78 INT_TILE_X 32 TILEPROP HCLK_L_X82Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X82Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X82Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X82Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X82Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X82Y78 NAME HCLK_L_X82Y78 TILEPROP HCLK_L_X82Y78 NUM_ARCS 200 TILEPROP HCLK_L_X82Y78 NUM_SITES 0 TILEPROP HCLK_L_X82Y78 ROW 78 TILEPROP HCLK_L_X82Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X82Y78 TILE_PATTERN_IDX 6341 TILEPROP HCLK_L_X82Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X82Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X82Y78 TILE_X 50152 TILEPROP HCLK_L_X82Y78 TILE_Y 512 TILEPROP HCLK_L_X82Y78 TYPE HCLK_L TILEPROP HCLK_L_X82Y130 CLASS tile TILEPROP HCLK_L_X82Y130 COLUMN 82 TILEPROP HCLK_L_X82Y130 DEVICE_ID 0 TILEPROP HCLK_L_X82Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_L_X82Y130 GRID_POINT_X 82 TILEPROP HCLK_L_X82Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X82Y130 INDEX 3072 TILEPROP HCLK_L_X82Y130 INT_TILE_X 32 TILEPROP HCLK_L_X82Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X82Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X82Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X82Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X82Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X82Y130 NAME HCLK_L_X82Y130 TILEPROP HCLK_L_X82Y130 NUM_ARCS 200 TILEPROP HCLK_L_X82Y130 NUM_SITES 0 TILEPROP HCLK_L_X82Y130 ROW 26 TILEPROP HCLK_L_X82Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X82Y130 TILE_PATTERN_IDX 4539 TILEPROP HCLK_L_X82Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X82Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X82Y130 TILE_X 50152 TILEPROP HCLK_L_X82Y130 TILE_Y 161784 TILEPROP HCLK_L_X82Y130 TYPE HCLK_L TILEPROP HCLK_L_X88Y26 CLASS tile TILEPROP HCLK_L_X88Y26 COLUMN 88 TILEPROP HCLK_L_X88Y26 DEVICE_ID 0 TILEPROP HCLK_L_X88Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_L_X88Y26 GRID_POINT_X 88 TILEPROP HCLK_L_X88Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X88Y26 INDEX 15038 TILEPROP HCLK_L_X88Y26 INT_TILE_X 34 TILEPROP HCLK_L_X88Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X88Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X88Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X88Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X88Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X88Y26 NAME HCLK_L_X88Y26 TILEPROP HCLK_L_X88Y26 NUM_ARCS 200 TILEPROP HCLK_L_X88Y26 NUM_SITES 0 TILEPROP HCLK_L_X88Y26 ROW 130 TILEPROP HCLK_L_X88Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X88Y26 TILE_PATTERN_IDX 8021 TILEPROP HCLK_L_X88Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X88Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X88Y26 TILE_X 58974 TILEPROP HCLK_L_X88Y26 TILE_Y -160760 TILEPROP HCLK_L_X88Y26 TYPE HCLK_L TILEPROP HCLK_L_X88Y78 CLASS tile TILEPROP HCLK_L_X88Y78 COLUMN 88 TILEPROP HCLK_L_X88Y78 DEVICE_ID 0 TILEPROP HCLK_L_X88Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_L_X88Y78 GRID_POINT_X 88 TILEPROP HCLK_L_X88Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X88Y78 INDEX 9058 TILEPROP HCLK_L_X88Y78 INT_TILE_X 34 TILEPROP HCLK_L_X88Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X88Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X88Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X88Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X88Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X88Y78 NAME HCLK_L_X88Y78 TILEPROP HCLK_L_X88Y78 NUM_ARCS 200 TILEPROP HCLK_L_X88Y78 NUM_SITES 0 TILEPROP HCLK_L_X88Y78 ROW 78 TILEPROP HCLK_L_X88Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X88Y78 TILE_PATTERN_IDX 6343 TILEPROP HCLK_L_X88Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X88Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X88Y78 TILE_X 58974 TILEPROP HCLK_L_X88Y78 TILE_Y 512 TILEPROP HCLK_L_X88Y78 TYPE HCLK_L TILEPROP HCLK_L_X88Y130 CLASS tile TILEPROP HCLK_L_X88Y130 COLUMN 88 TILEPROP HCLK_L_X88Y130 DEVICE_ID 0 TILEPROP HCLK_L_X88Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_L_X88Y130 GRID_POINT_X 88 TILEPROP HCLK_L_X88Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X88Y130 INDEX 3078 TILEPROP HCLK_L_X88Y130 INT_TILE_X 34 TILEPROP HCLK_L_X88Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X88Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X88Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X88Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X88Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X88Y130 NAME HCLK_L_X88Y130 TILEPROP HCLK_L_X88Y130 NUM_ARCS 200 TILEPROP HCLK_L_X88Y130 NUM_SITES 0 TILEPROP HCLK_L_X88Y130 ROW 26 TILEPROP HCLK_L_X88Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X88Y130 TILE_PATTERN_IDX 4541 TILEPROP HCLK_L_X88Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X88Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X88Y130 TILE_X 58974 TILEPROP HCLK_L_X88Y130 TILE_Y 161784 TILEPROP HCLK_L_X88Y130 TYPE HCLK_L TILEPROP HCLK_L_X92Y26 CLASS tile TILEPROP HCLK_L_X92Y26 COLUMN 92 TILEPROP HCLK_L_X92Y26 DEVICE_ID 0 TILEPROP HCLK_L_X92Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_L_X92Y26 GRID_POINT_X 92 TILEPROP HCLK_L_X92Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X92Y26 INDEX 15042 TILEPROP HCLK_L_X92Y26 INT_TILE_X 36 TILEPROP HCLK_L_X92Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X92Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X92Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X92Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X92Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X92Y26 NAME HCLK_L_X92Y26 TILEPROP HCLK_L_X92Y26 NUM_ARCS 200 TILEPROP HCLK_L_X92Y26 NUM_SITES 0 TILEPROP HCLK_L_X92Y26 ROW 130 TILEPROP HCLK_L_X92Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X92Y26 TILE_PATTERN_IDX 8023 TILEPROP HCLK_L_X92Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X92Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X92Y26 TILE_X 67278 TILEPROP HCLK_L_X92Y26 TILE_Y -160760 TILEPROP HCLK_L_X92Y26 TYPE HCLK_L TILEPROP HCLK_L_X92Y78 CLASS tile TILEPROP HCLK_L_X92Y78 COLUMN 92 TILEPROP HCLK_L_X92Y78 DEVICE_ID 0 TILEPROP HCLK_L_X92Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_L_X92Y78 GRID_POINT_X 92 TILEPROP HCLK_L_X92Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X92Y78 INDEX 9062 TILEPROP HCLK_L_X92Y78 INT_TILE_X 36 TILEPROP HCLK_L_X92Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X92Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X92Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X92Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X92Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X92Y78 NAME HCLK_L_X92Y78 TILEPROP HCLK_L_X92Y78 NUM_ARCS 200 TILEPROP HCLK_L_X92Y78 NUM_SITES 0 TILEPROP HCLK_L_X92Y78 ROW 78 TILEPROP HCLK_L_X92Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X92Y78 TILE_PATTERN_IDX 6345 TILEPROP HCLK_L_X92Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X92Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X92Y78 TILE_X 67278 TILEPROP HCLK_L_X92Y78 TILE_Y 512 TILEPROP HCLK_L_X92Y78 TYPE HCLK_L TILEPROP HCLK_L_X92Y130 CLASS tile TILEPROP HCLK_L_X92Y130 COLUMN 92 TILEPROP HCLK_L_X92Y130 DEVICE_ID 0 TILEPROP HCLK_L_X92Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_L_X92Y130 GRID_POINT_X 92 TILEPROP HCLK_L_X92Y130 GRID_POINT_Y 26 TILEPROP HCLK_L_X92Y130 INDEX 3082 TILEPROP HCLK_L_X92Y130 INT_TILE_X 36 TILEPROP HCLK_L_X92Y130 INT_TILE_Y 24 TILEPROP HCLK_L_X92Y130 IS_CENTER_TILE 0 TILEPROP HCLK_L_X92Y130 IS_DCM_TILE 0 TILEPROP HCLK_L_X92Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X92Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X92Y130 NAME HCLK_L_X92Y130 TILEPROP HCLK_L_X92Y130 NUM_ARCS 200 TILEPROP HCLK_L_X92Y130 NUM_SITES 0 TILEPROP HCLK_L_X92Y130 ROW 26 TILEPROP HCLK_L_X92Y130 SLR_REGION_ID 0 TILEPROP HCLK_L_X92Y130 TILE_PATTERN_IDX 4543 TILEPROP HCLK_L_X92Y130 TILE_TYPE HCLK_L TILEPROP HCLK_L_X92Y130 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X92Y130 TILE_X 67278 TILEPROP HCLK_L_X92Y130 TILE_Y 161784 TILEPROP HCLK_L_X92Y130 TYPE HCLK_L TILEPROP HCLK_L_X98Y26 CLASS tile TILEPROP HCLK_L_X98Y26 COLUMN 98 TILEPROP HCLK_L_X98Y26 DEVICE_ID 0 TILEPROP HCLK_L_X98Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_L_X98Y26 GRID_POINT_X 98 TILEPROP HCLK_L_X98Y26 GRID_POINT_Y 130 TILEPROP HCLK_L_X98Y26 INDEX 15048 TILEPROP HCLK_L_X98Y26 INT_TILE_X 38 TILEPROP HCLK_L_X98Y26 INT_TILE_Y 124 TILEPROP HCLK_L_X98Y26 IS_CENTER_TILE 0 TILEPROP HCLK_L_X98Y26 IS_DCM_TILE 0 TILEPROP HCLK_L_X98Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X98Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X98Y26 NAME HCLK_L_X98Y26 TILEPROP HCLK_L_X98Y26 NUM_ARCS 200 TILEPROP HCLK_L_X98Y26 NUM_SITES 0 TILEPROP HCLK_L_X98Y26 ROW 130 TILEPROP HCLK_L_X98Y26 SLR_REGION_ID 0 TILEPROP HCLK_L_X98Y26 TILE_PATTERN_IDX 8025 TILEPROP HCLK_L_X98Y26 TILE_TYPE HCLK_L TILEPROP HCLK_L_X98Y26 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X98Y26 TILE_X 79390 TILEPROP HCLK_L_X98Y26 TILE_Y -160760 TILEPROP HCLK_L_X98Y26 TYPE HCLK_L TILEPROP HCLK_L_X98Y78 CLASS tile TILEPROP HCLK_L_X98Y78 COLUMN 98 TILEPROP HCLK_L_X98Y78 DEVICE_ID 0 TILEPROP HCLK_L_X98Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_L_X98Y78 GRID_POINT_X 98 TILEPROP HCLK_L_X98Y78 GRID_POINT_Y 78 TILEPROP HCLK_L_X98Y78 INDEX 9068 TILEPROP HCLK_L_X98Y78 INT_TILE_X 38 TILEPROP HCLK_L_X98Y78 INT_TILE_Y 74 TILEPROP HCLK_L_X98Y78 IS_CENTER_TILE 0 TILEPROP HCLK_L_X98Y78 IS_DCM_TILE 0 TILEPROP HCLK_L_X98Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_L_X98Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_L_X98Y78 NAME HCLK_L_X98Y78 TILEPROP HCLK_L_X98Y78 NUM_ARCS 200 TILEPROP HCLK_L_X98Y78 NUM_SITES 0 TILEPROP HCLK_L_X98Y78 ROW 78 TILEPROP HCLK_L_X98Y78 SLR_REGION_ID 0 TILEPROP HCLK_L_X98Y78 TILE_PATTERN_IDX 6347 TILEPROP HCLK_L_X98Y78 TILE_TYPE HCLK_L TILEPROP HCLK_L_X98Y78 TILE_TYPE_INDEX 83 TILEPROP HCLK_L_X98Y78 TILE_X 79390 TILEPROP HCLK_L_X98Y78 TILE_Y 512 TILEPROP HCLK_L_X98Y78 TYPE HCLK_L TILEPROP HCLK_R_BOT_UTURN_X73Y130 CLASS tile TILEPROP HCLK_R_BOT_UTURN_X73Y130 COLUMN 73 TILEPROP HCLK_R_BOT_UTURN_X73Y130 DEVICE_ID 0 TILEPROP HCLK_R_BOT_UTURN_X73Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_R_BOT_UTURN_X73Y130 GRID_POINT_X 73 TILEPROP HCLK_R_BOT_UTURN_X73Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_BOT_UTURN_X73Y130 INDEX 3063 TILEPROP HCLK_R_BOT_UTURN_X73Y130 INT_TILE_X 29 TILEPROP HCLK_R_BOT_UTURN_X73Y130 INT_TILE_Y 24 TILEPROP HCLK_R_BOT_UTURN_X73Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_BOT_UTURN_X73Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_BOT_UTURN_X73Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_BOT_UTURN_X73Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_BOT_UTURN_X73Y130 NAME HCLK_R_BOT_UTURN_X73Y130 TILEPROP HCLK_R_BOT_UTURN_X73Y130 NUM_ARCS 104 TILEPROP HCLK_R_BOT_UTURN_X73Y130 NUM_SITES 0 TILEPROP HCLK_R_BOT_UTURN_X73Y130 ROW 26 TILEPROP HCLK_R_BOT_UTURN_X73Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_BOT_UTURN_X73Y130 TILE_PATTERN_IDX 4536 TILEPROP HCLK_R_BOT_UTURN_X73Y130 TILE_TYPE HCLK_R_BOT_UTURN TILEPROP HCLK_R_BOT_UTURN_X73Y130 TILE_TYPE_INDEX 86 TILEPROP HCLK_R_BOT_UTURN_X73Y130 TILE_X 33192 TILEPROP HCLK_R_BOT_UTURN_X73Y130 TILE_Y 161784 TILEPROP HCLK_R_BOT_UTURN_X73Y130 TYPE HCLK_R_BOT_UTURN TILEPROP HCLK_R_X103Y26 CLASS tile TILEPROP HCLK_R_X103Y26 COLUMN 103 TILEPROP HCLK_R_X103Y26 DEVICE_ID 0 TILEPROP HCLK_R_X103Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_R_X103Y26 GRID_POINT_X 103 TILEPROP HCLK_R_X103Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X103Y26 INDEX 15053 TILEPROP HCLK_R_X103Y26 INT_TILE_X 41 TILEPROP HCLK_R_X103Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X103Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X103Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X103Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X103Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X103Y26 NAME HCLK_R_X103Y26 TILEPROP HCLK_R_X103Y26 NUM_ARCS 200 TILEPROP HCLK_R_X103Y26 NUM_SITES 0 TILEPROP HCLK_R_X103Y26 ROW 130 TILEPROP HCLK_R_X103Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X103Y26 TILE_PATTERN_IDX 8028 TILEPROP HCLK_R_X103Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X103Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X103Y26 TILE_X 91150 TILEPROP HCLK_R_X103Y26 TILE_Y -160760 TILEPROP HCLK_R_X103Y26 TYPE HCLK_R TILEPROP HCLK_R_X103Y78 CLASS tile TILEPROP HCLK_R_X103Y78 COLUMN 103 TILEPROP HCLK_R_X103Y78 DEVICE_ID 0 TILEPROP HCLK_R_X103Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_R_X103Y78 GRID_POINT_X 103 TILEPROP HCLK_R_X103Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X103Y78 INDEX 9073 TILEPROP HCLK_R_X103Y78 INT_TILE_X 41 TILEPROP HCLK_R_X103Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X103Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X103Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X103Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X103Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X103Y78 NAME HCLK_R_X103Y78 TILEPROP HCLK_R_X103Y78 NUM_ARCS 200 TILEPROP HCLK_R_X103Y78 NUM_SITES 0 TILEPROP HCLK_R_X103Y78 ROW 78 TILEPROP HCLK_R_X103Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X103Y78 TILE_PATTERN_IDX 6350 TILEPROP HCLK_R_X103Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X103Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X103Y78 TILE_X 91150 TILEPROP HCLK_R_X103Y78 TILE_Y 512 TILEPROP HCLK_R_X103Y78 TYPE HCLK_R TILEPROP HCLK_R_X110Y26 CLASS tile TILEPROP HCLK_R_X110Y26 COLUMN 110 TILEPROP HCLK_R_X110Y26 DEVICE_ID 0 TILEPROP HCLK_R_X110Y26 FIRST_SITE_ID 13181 TILEPROP HCLK_R_X110Y26 GRID_POINT_X 110 TILEPROP HCLK_R_X110Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X110Y26 INDEX 15060 TILEPROP HCLK_R_X110Y26 INT_TILE_X 43 TILEPROP HCLK_R_X110Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X110Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X110Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X110Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X110Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X110Y26 NAME HCLK_R_X110Y26 TILEPROP HCLK_R_X110Y26 NUM_ARCS 200 TILEPROP HCLK_R_X110Y26 NUM_SITES 0 TILEPROP HCLK_R_X110Y26 ROW 130 TILEPROP HCLK_R_X110Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X110Y26 TILE_PATTERN_IDX 8031 TILEPROP HCLK_R_X110Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X110Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X110Y26 TILE_X 103182 TILEPROP HCLK_R_X110Y26 TILE_Y -160760 TILEPROP HCLK_R_X110Y26 TYPE HCLK_R TILEPROP HCLK_R_X110Y78 CLASS tile TILEPROP HCLK_R_X110Y78 COLUMN 110 TILEPROP HCLK_R_X110Y78 DEVICE_ID 0 TILEPROP HCLK_R_X110Y78 FIRST_SITE_ID 7794 TILEPROP HCLK_R_X110Y78 GRID_POINT_X 110 TILEPROP HCLK_R_X110Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X110Y78 INDEX 9080 TILEPROP HCLK_R_X110Y78 INT_TILE_X 43 TILEPROP HCLK_R_X110Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X110Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X110Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X110Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X110Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X110Y78 NAME HCLK_R_X110Y78 TILEPROP HCLK_R_X110Y78 NUM_ARCS 200 TILEPROP HCLK_R_X110Y78 NUM_SITES 0 TILEPROP HCLK_R_X110Y78 ROW 78 TILEPROP HCLK_R_X110Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X110Y78 TILE_PATTERN_IDX 6353 TILEPROP HCLK_R_X110Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X110Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X110Y78 TILE_X 103182 TILEPROP HCLK_R_X110Y78 TILE_Y 512 TILEPROP HCLK_R_X110Y78 TYPE HCLK_R TILEPROP HCLK_R_X12Y26 CLASS tile TILEPROP HCLK_R_X12Y26 COLUMN 12 TILEPROP HCLK_R_X12Y26 DEVICE_ID 0 TILEPROP HCLK_R_X12Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_R_X12Y26 GRID_POINT_X 12 TILEPROP HCLK_R_X12Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X12Y26 INDEX 14962 TILEPROP HCLK_R_X12Y26 INT_TILE_X 3 TILEPROP HCLK_R_X12Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X12Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X12Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X12Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X12Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X12Y26 NAME HCLK_R_X12Y26 TILEPROP HCLK_R_X12Y26 NUM_ARCS 200 TILEPROP HCLK_R_X12Y26 NUM_SITES 0 TILEPROP HCLK_R_X12Y26 ROW 130 TILEPROP HCLK_R_X12Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X12Y26 TILE_PATTERN_IDX 7995 TILEPROP HCLK_R_X12Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X12Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X12Y26 TILE_X -81678 TILEPROP HCLK_R_X12Y26 TILE_Y -160760 TILEPROP HCLK_R_X12Y26 TYPE HCLK_R TILEPROP HCLK_R_X12Y78 CLASS tile TILEPROP HCLK_R_X12Y78 COLUMN 12 TILEPROP HCLK_R_X12Y78 DEVICE_ID 0 TILEPROP HCLK_R_X12Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_R_X12Y78 GRID_POINT_X 12 TILEPROP HCLK_R_X12Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X12Y78 INDEX 8982 TILEPROP HCLK_R_X12Y78 INT_TILE_X 3 TILEPROP HCLK_R_X12Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X12Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X12Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X12Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X12Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X12Y78 NAME HCLK_R_X12Y78 TILEPROP HCLK_R_X12Y78 NUM_ARCS 200 TILEPROP HCLK_R_X12Y78 NUM_SITES 0 TILEPROP HCLK_R_X12Y78 ROW 78 TILEPROP HCLK_R_X12Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X12Y78 TILE_PATTERN_IDX 6317 TILEPROP HCLK_R_X12Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X12Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X12Y78 TILE_X -81678 TILEPROP HCLK_R_X12Y78 TILE_Y 512 TILEPROP HCLK_R_X12Y78 TYPE HCLK_R TILEPROP HCLK_R_X12Y130 CLASS tile TILEPROP HCLK_R_X12Y130 COLUMN 12 TILEPROP HCLK_R_X12Y130 DEVICE_ID 0 TILEPROP HCLK_R_X12Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_R_X12Y130 GRID_POINT_X 12 TILEPROP HCLK_R_X12Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X12Y130 INDEX 3002 TILEPROP HCLK_R_X12Y130 INT_TILE_X 3 TILEPROP HCLK_R_X12Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X12Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X12Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X12Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X12Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X12Y130 NAME HCLK_R_X12Y130 TILEPROP HCLK_R_X12Y130 NUM_ARCS 200 TILEPROP HCLK_R_X12Y130 NUM_SITES 0 TILEPROP HCLK_R_X12Y130 ROW 26 TILEPROP HCLK_R_X12Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X12Y130 TILE_PATTERN_IDX 4509 TILEPROP HCLK_R_X12Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X12Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X12Y130 TILE_X -81678 TILEPROP HCLK_R_X12Y130 TILE_Y 161784 TILEPROP HCLK_R_X12Y130 TYPE HCLK_R TILEPROP HCLK_R_X16Y26 CLASS tile TILEPROP HCLK_R_X16Y26 COLUMN 16 TILEPROP HCLK_R_X16Y26 DEVICE_ID 0 TILEPROP HCLK_R_X16Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_R_X16Y26 GRID_POINT_X 16 TILEPROP HCLK_R_X16Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X16Y26 INDEX 14966 TILEPROP HCLK_R_X16Y26 INT_TILE_X 5 TILEPROP HCLK_R_X16Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X16Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X16Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X16Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X16Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X16Y26 NAME HCLK_R_X16Y26 TILEPROP HCLK_R_X16Y26 NUM_ARCS 200 TILEPROP HCLK_R_X16Y26 NUM_SITES 0 TILEPROP HCLK_R_X16Y26 ROW 130 TILEPROP HCLK_R_X16Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X16Y26 TILE_PATTERN_IDX 7997 TILEPROP HCLK_R_X16Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X16Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X16Y26 TILE_X -73374 TILEPROP HCLK_R_X16Y26 TILE_Y -160760 TILEPROP HCLK_R_X16Y26 TYPE HCLK_R TILEPROP HCLK_R_X16Y78 CLASS tile TILEPROP HCLK_R_X16Y78 COLUMN 16 TILEPROP HCLK_R_X16Y78 DEVICE_ID 0 TILEPROP HCLK_R_X16Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_R_X16Y78 GRID_POINT_X 16 TILEPROP HCLK_R_X16Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X16Y78 INDEX 8986 TILEPROP HCLK_R_X16Y78 INT_TILE_X 5 TILEPROP HCLK_R_X16Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X16Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X16Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X16Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X16Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X16Y78 NAME HCLK_R_X16Y78 TILEPROP HCLK_R_X16Y78 NUM_ARCS 200 TILEPROP HCLK_R_X16Y78 NUM_SITES 0 TILEPROP HCLK_R_X16Y78 ROW 78 TILEPROP HCLK_R_X16Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X16Y78 TILE_PATTERN_IDX 6319 TILEPROP HCLK_R_X16Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X16Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X16Y78 TILE_X -73374 TILEPROP HCLK_R_X16Y78 TILE_Y 512 TILEPROP HCLK_R_X16Y78 TYPE HCLK_R TILEPROP HCLK_R_X16Y130 CLASS tile TILEPROP HCLK_R_X16Y130 COLUMN 16 TILEPROP HCLK_R_X16Y130 DEVICE_ID 0 TILEPROP HCLK_R_X16Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_R_X16Y130 GRID_POINT_X 16 TILEPROP HCLK_R_X16Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X16Y130 INDEX 3006 TILEPROP HCLK_R_X16Y130 INT_TILE_X 5 TILEPROP HCLK_R_X16Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X16Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X16Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X16Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X16Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X16Y130 NAME HCLK_R_X16Y130 TILEPROP HCLK_R_X16Y130 NUM_ARCS 200 TILEPROP HCLK_R_X16Y130 NUM_SITES 0 TILEPROP HCLK_R_X16Y130 ROW 26 TILEPROP HCLK_R_X16Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X16Y130 TILE_PATTERN_IDX 4511 TILEPROP HCLK_R_X16Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X16Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X16Y130 TILE_X -73374 TILEPROP HCLK_R_X16Y130 TILE_Y 161784 TILEPROP HCLK_R_X16Y130 TYPE HCLK_R TILEPROP HCLK_R_X22Y26 CLASS tile TILEPROP HCLK_R_X22Y26 COLUMN 22 TILEPROP HCLK_R_X22Y26 DEVICE_ID 0 TILEPROP HCLK_R_X22Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_R_X22Y26 GRID_POINT_X 22 TILEPROP HCLK_R_X22Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X22Y26 INDEX 14972 TILEPROP HCLK_R_X22Y26 INT_TILE_X 7 TILEPROP HCLK_R_X22Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X22Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X22Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X22Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X22Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X22Y26 NAME HCLK_R_X22Y26 TILEPROP HCLK_R_X22Y26 NUM_ARCS 200 TILEPROP HCLK_R_X22Y26 NUM_SITES 0 TILEPROP HCLK_R_X22Y26 ROW 130 TILEPROP HCLK_R_X22Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X22Y26 TILE_PATTERN_IDX 7999 TILEPROP HCLK_R_X22Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X22Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X22Y26 TILE_X -61262 TILEPROP HCLK_R_X22Y26 TILE_Y -160760 TILEPROP HCLK_R_X22Y26 TYPE HCLK_R TILEPROP HCLK_R_X22Y78 CLASS tile TILEPROP HCLK_R_X22Y78 COLUMN 22 TILEPROP HCLK_R_X22Y78 DEVICE_ID 0 TILEPROP HCLK_R_X22Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_R_X22Y78 GRID_POINT_X 22 TILEPROP HCLK_R_X22Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X22Y78 INDEX 8992 TILEPROP HCLK_R_X22Y78 INT_TILE_X 7 TILEPROP HCLK_R_X22Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X22Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X22Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X22Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X22Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X22Y78 NAME HCLK_R_X22Y78 TILEPROP HCLK_R_X22Y78 NUM_ARCS 200 TILEPROP HCLK_R_X22Y78 NUM_SITES 0 TILEPROP HCLK_R_X22Y78 ROW 78 TILEPROP HCLK_R_X22Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X22Y78 TILE_PATTERN_IDX 6321 TILEPROP HCLK_R_X22Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X22Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X22Y78 TILE_X -61262 TILEPROP HCLK_R_X22Y78 TILE_Y 512 TILEPROP HCLK_R_X22Y78 TYPE HCLK_R TILEPROP HCLK_R_X22Y130 CLASS tile TILEPROP HCLK_R_X22Y130 COLUMN 22 TILEPROP HCLK_R_X22Y130 DEVICE_ID 0 TILEPROP HCLK_R_X22Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_R_X22Y130 GRID_POINT_X 22 TILEPROP HCLK_R_X22Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X22Y130 INDEX 3012 TILEPROP HCLK_R_X22Y130 INT_TILE_X 7 TILEPROP HCLK_R_X22Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X22Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X22Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X22Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X22Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X22Y130 NAME HCLK_R_X22Y130 TILEPROP HCLK_R_X22Y130 NUM_ARCS 200 TILEPROP HCLK_R_X22Y130 NUM_SITES 0 TILEPROP HCLK_R_X22Y130 ROW 26 TILEPROP HCLK_R_X22Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X22Y130 TILE_PATTERN_IDX 4513 TILEPROP HCLK_R_X22Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X22Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X22Y130 TILE_X -61262 TILEPROP HCLK_R_X22Y130 TILE_Y 161784 TILEPROP HCLK_R_X22Y130 TYPE HCLK_R TILEPROP HCLK_R_X26Y26 CLASS tile TILEPROP HCLK_R_X26Y26 COLUMN 26 TILEPROP HCLK_R_X26Y26 DEVICE_ID 0 TILEPROP HCLK_R_X26Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_R_X26Y26 GRID_POINT_X 26 TILEPROP HCLK_R_X26Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X26Y26 INDEX 14976 TILEPROP HCLK_R_X26Y26 INT_TILE_X 9 TILEPROP HCLK_R_X26Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X26Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X26Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X26Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X26Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X26Y26 NAME HCLK_R_X26Y26 TILEPROP HCLK_R_X26Y26 NUM_ARCS 200 TILEPROP HCLK_R_X26Y26 NUM_SITES 0 TILEPROP HCLK_R_X26Y26 ROW 130 TILEPROP HCLK_R_X26Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X26Y26 TILE_PATTERN_IDX 8001 TILEPROP HCLK_R_X26Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X26Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X26Y26 TILE_X -52958 TILEPROP HCLK_R_X26Y26 TILE_Y -160760 TILEPROP HCLK_R_X26Y26 TYPE HCLK_R TILEPROP HCLK_R_X26Y78 CLASS tile TILEPROP HCLK_R_X26Y78 COLUMN 26 TILEPROP HCLK_R_X26Y78 DEVICE_ID 0 TILEPROP HCLK_R_X26Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_R_X26Y78 GRID_POINT_X 26 TILEPROP HCLK_R_X26Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X26Y78 INDEX 8996 TILEPROP HCLK_R_X26Y78 INT_TILE_X 9 TILEPROP HCLK_R_X26Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X26Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X26Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X26Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X26Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X26Y78 NAME HCLK_R_X26Y78 TILEPROP HCLK_R_X26Y78 NUM_ARCS 200 TILEPROP HCLK_R_X26Y78 NUM_SITES 0 TILEPROP HCLK_R_X26Y78 ROW 78 TILEPROP HCLK_R_X26Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X26Y78 TILE_PATTERN_IDX 6323 TILEPROP HCLK_R_X26Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X26Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X26Y78 TILE_X -52958 TILEPROP HCLK_R_X26Y78 TILE_Y 512 TILEPROP HCLK_R_X26Y78 TYPE HCLK_R TILEPROP HCLK_R_X26Y130 CLASS tile TILEPROP HCLK_R_X26Y130 COLUMN 26 TILEPROP HCLK_R_X26Y130 DEVICE_ID 0 TILEPROP HCLK_R_X26Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_R_X26Y130 GRID_POINT_X 26 TILEPROP HCLK_R_X26Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X26Y130 INDEX 3016 TILEPROP HCLK_R_X26Y130 INT_TILE_X 9 TILEPROP HCLK_R_X26Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X26Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X26Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X26Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X26Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X26Y130 NAME HCLK_R_X26Y130 TILEPROP HCLK_R_X26Y130 NUM_ARCS 200 TILEPROP HCLK_R_X26Y130 NUM_SITES 0 TILEPROP HCLK_R_X26Y130 ROW 26 TILEPROP HCLK_R_X26Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X26Y130 TILE_PATTERN_IDX 4515 TILEPROP HCLK_R_X26Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X26Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X26Y130 TILE_X -52958 TILEPROP HCLK_R_X26Y130 TILE_Y 161784 TILEPROP HCLK_R_X26Y130 TYPE HCLK_R TILEPROP HCLK_R_X32Y26 CLASS tile TILEPROP HCLK_R_X32Y26 COLUMN 32 TILEPROP HCLK_R_X32Y26 DEVICE_ID 0 TILEPROP HCLK_R_X32Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_R_X32Y26 GRID_POINT_X 32 TILEPROP HCLK_R_X32Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X32Y26 INDEX 14982 TILEPROP HCLK_R_X32Y26 INT_TILE_X 11 TILEPROP HCLK_R_X32Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X32Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X32Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X32Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X32Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X32Y26 NAME HCLK_R_X32Y26 TILEPROP HCLK_R_X32Y26 NUM_ARCS 200 TILEPROP HCLK_R_X32Y26 NUM_SITES 0 TILEPROP HCLK_R_X32Y26 ROW 130 TILEPROP HCLK_R_X32Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X32Y26 TILE_PATTERN_IDX 8003 TILEPROP HCLK_R_X32Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X32Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X32Y26 TILE_X -44136 TILEPROP HCLK_R_X32Y26 TILE_Y -160760 TILEPROP HCLK_R_X32Y26 TYPE HCLK_R TILEPROP HCLK_R_X32Y78 CLASS tile TILEPROP HCLK_R_X32Y78 COLUMN 32 TILEPROP HCLK_R_X32Y78 DEVICE_ID 0 TILEPROP HCLK_R_X32Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_R_X32Y78 GRID_POINT_X 32 TILEPROP HCLK_R_X32Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X32Y78 INDEX 9002 TILEPROP HCLK_R_X32Y78 INT_TILE_X 11 TILEPROP HCLK_R_X32Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X32Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X32Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X32Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X32Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X32Y78 NAME HCLK_R_X32Y78 TILEPROP HCLK_R_X32Y78 NUM_ARCS 200 TILEPROP HCLK_R_X32Y78 NUM_SITES 0 TILEPROP HCLK_R_X32Y78 ROW 78 TILEPROP HCLK_R_X32Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X32Y78 TILE_PATTERN_IDX 6325 TILEPROP HCLK_R_X32Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X32Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X32Y78 TILE_X -44136 TILEPROP HCLK_R_X32Y78 TILE_Y 512 TILEPROP HCLK_R_X32Y78 TYPE HCLK_R TILEPROP HCLK_R_X32Y130 CLASS tile TILEPROP HCLK_R_X32Y130 COLUMN 32 TILEPROP HCLK_R_X32Y130 DEVICE_ID 0 TILEPROP HCLK_R_X32Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_R_X32Y130 GRID_POINT_X 32 TILEPROP HCLK_R_X32Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X32Y130 INDEX 3022 TILEPROP HCLK_R_X32Y130 INT_TILE_X 11 TILEPROP HCLK_R_X32Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X32Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X32Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X32Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X32Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X32Y130 NAME HCLK_R_X32Y130 TILEPROP HCLK_R_X32Y130 NUM_ARCS 200 TILEPROP HCLK_R_X32Y130 NUM_SITES 0 TILEPROP HCLK_R_X32Y130 ROW 26 TILEPROP HCLK_R_X32Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X32Y130 TILE_PATTERN_IDX 4517 TILEPROP HCLK_R_X32Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X32Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X32Y130 TILE_X -44136 TILEPROP HCLK_R_X32Y130 TILE_Y 161784 TILEPROP HCLK_R_X32Y130 TYPE HCLK_R TILEPROP HCLK_R_X37Y130 CLASS tile TILEPROP HCLK_R_X37Y130 COLUMN 37 TILEPROP HCLK_R_X37Y130 DEVICE_ID 0 TILEPROP HCLK_R_X37Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_R_X37Y130 GRID_POINT_X 37 TILEPROP HCLK_R_X37Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X37Y130 INDEX 3027 TILEPROP HCLK_R_X37Y130 INT_TILE_X 13 TILEPROP HCLK_R_X37Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X37Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X37Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X37Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X37Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X37Y130 NAME HCLK_R_X37Y130 TILEPROP HCLK_R_X37Y130 NUM_ARCS 200 TILEPROP HCLK_R_X37Y130 NUM_SITES 0 TILEPROP HCLK_R_X37Y130 ROW 26 TILEPROP HCLK_R_X37Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X37Y130 TILE_PATTERN_IDX 4519 TILEPROP HCLK_R_X37Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X37Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X37Y130 TILE_X -35768 TILEPROP HCLK_R_X37Y130 TILE_Y 161784 TILEPROP HCLK_R_X37Y130 TYPE HCLK_R TILEPROP HCLK_R_X41Y130 CLASS tile TILEPROP HCLK_R_X41Y130 COLUMN 41 TILEPROP HCLK_R_X41Y130 DEVICE_ID 0 TILEPROP HCLK_R_X41Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_R_X41Y130 GRID_POINT_X 41 TILEPROP HCLK_R_X41Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X41Y130 INDEX 3031 TILEPROP HCLK_R_X41Y130 INT_TILE_X 15 TILEPROP HCLK_R_X41Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X41Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X41Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X41Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X41Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X41Y130 NAME HCLK_R_X41Y130 TILEPROP HCLK_R_X41Y130 NUM_ARCS 200 TILEPROP HCLK_R_X41Y130 NUM_SITES 0 TILEPROP HCLK_R_X41Y130 ROW 26 TILEPROP HCLK_R_X41Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X41Y130 TILE_PATTERN_IDX 4521 TILEPROP HCLK_R_X41Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X41Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X41Y130 TILE_X -27464 TILEPROP HCLK_R_X41Y130 TILE_Y 161784 TILEPROP HCLK_R_X41Y130 TYPE HCLK_R TILEPROP HCLK_R_X45Y130 CLASS tile TILEPROP HCLK_R_X45Y130 COLUMN 45 TILEPROP HCLK_R_X45Y130 DEVICE_ID 0 TILEPROP HCLK_R_X45Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_R_X45Y130 GRID_POINT_X 45 TILEPROP HCLK_R_X45Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X45Y130 INDEX 3035 TILEPROP HCLK_R_X45Y130 INT_TILE_X 17 TILEPROP HCLK_R_X45Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X45Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X45Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X45Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X45Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X45Y130 NAME HCLK_R_X45Y130 TILEPROP HCLK_R_X45Y130 NUM_ARCS 200 TILEPROP HCLK_R_X45Y130 NUM_SITES 0 TILEPROP HCLK_R_X45Y130 ROW 26 TILEPROP HCLK_R_X45Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X45Y130 TILE_PATTERN_IDX 4523 TILEPROP HCLK_R_X45Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X45Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X45Y130 TILE_X -19160 TILEPROP HCLK_R_X45Y130 TILE_Y 161784 TILEPROP HCLK_R_X45Y130 TYPE HCLK_R TILEPROP HCLK_R_X50Y26 CLASS tile TILEPROP HCLK_R_X50Y26 COLUMN 50 TILEPROP HCLK_R_X50Y26 DEVICE_ID 0 TILEPROP HCLK_R_X50Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_R_X50Y26 GRID_POINT_X 50 TILEPROP HCLK_R_X50Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X50Y26 INDEX 15000 TILEPROP HCLK_R_X50Y26 INT_TILE_X 19 TILEPROP HCLK_R_X50Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X50Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X50Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X50Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X50Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X50Y26 NAME HCLK_R_X50Y26 TILEPROP HCLK_R_X50Y26 NUM_ARCS 200 TILEPROP HCLK_R_X50Y26 NUM_SITES 0 TILEPROP HCLK_R_X50Y26 ROW 130 TILEPROP HCLK_R_X50Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X50Y26 TILE_PATTERN_IDX 8005 TILEPROP HCLK_R_X50Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X50Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X50Y26 TILE_X -11424 TILEPROP HCLK_R_X50Y26 TILE_Y -160760 TILEPROP HCLK_R_X50Y26 TYPE HCLK_R TILEPROP HCLK_R_X50Y78 CLASS tile TILEPROP HCLK_R_X50Y78 COLUMN 50 TILEPROP HCLK_R_X50Y78 DEVICE_ID 0 TILEPROP HCLK_R_X50Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_R_X50Y78 GRID_POINT_X 50 TILEPROP HCLK_R_X50Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X50Y78 INDEX 9020 TILEPROP HCLK_R_X50Y78 INT_TILE_X 19 TILEPROP HCLK_R_X50Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X50Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X50Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X50Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X50Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X50Y78 NAME HCLK_R_X50Y78 TILEPROP HCLK_R_X50Y78 NUM_ARCS 200 TILEPROP HCLK_R_X50Y78 NUM_SITES 0 TILEPROP HCLK_R_X50Y78 ROW 78 TILEPROP HCLK_R_X50Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X50Y78 TILE_PATTERN_IDX 6327 TILEPROP HCLK_R_X50Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X50Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X50Y78 TILE_X -11424 TILEPROP HCLK_R_X50Y78 TILE_Y 512 TILEPROP HCLK_R_X50Y78 TYPE HCLK_R TILEPROP HCLK_R_X50Y130 CLASS tile TILEPROP HCLK_R_X50Y130 COLUMN 50 TILEPROP HCLK_R_X50Y130 DEVICE_ID 0 TILEPROP HCLK_R_X50Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_R_X50Y130 GRID_POINT_X 50 TILEPROP HCLK_R_X50Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X50Y130 INDEX 3040 TILEPROP HCLK_R_X50Y130 INT_TILE_X 19 TILEPROP HCLK_R_X50Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X50Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X50Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X50Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X50Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X50Y130 NAME HCLK_R_X50Y130 TILEPROP HCLK_R_X50Y130 NUM_ARCS 200 TILEPROP HCLK_R_X50Y130 NUM_SITES 0 TILEPROP HCLK_R_X50Y130 ROW 26 TILEPROP HCLK_R_X50Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X50Y130 TILE_PATTERN_IDX 4525 TILEPROP HCLK_R_X50Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X50Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X50Y130 TILE_X -11424 TILEPROP HCLK_R_X50Y130 TILE_Y 161784 TILEPROP HCLK_R_X50Y130 TYPE HCLK_R TILEPROP HCLK_R_X54Y26 CLASS tile TILEPROP HCLK_R_X54Y26 COLUMN 54 TILEPROP HCLK_R_X54Y26 DEVICE_ID 0 TILEPROP HCLK_R_X54Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_R_X54Y26 GRID_POINT_X 54 TILEPROP HCLK_R_X54Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X54Y26 INDEX 15004 TILEPROP HCLK_R_X54Y26 INT_TILE_X 21 TILEPROP HCLK_R_X54Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X54Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X54Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X54Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X54Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X54Y26 NAME HCLK_R_X54Y26 TILEPROP HCLK_R_X54Y26 NUM_ARCS 200 TILEPROP HCLK_R_X54Y26 NUM_SITES 0 TILEPROP HCLK_R_X54Y26 ROW 130 TILEPROP HCLK_R_X54Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X54Y26 TILE_PATTERN_IDX 8007 TILEPROP HCLK_R_X54Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X54Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X54Y26 TILE_X -3120 TILEPROP HCLK_R_X54Y26 TILE_Y -160760 TILEPROP HCLK_R_X54Y26 TYPE HCLK_R TILEPROP HCLK_R_X54Y78 CLASS tile TILEPROP HCLK_R_X54Y78 COLUMN 54 TILEPROP HCLK_R_X54Y78 DEVICE_ID 0 TILEPROP HCLK_R_X54Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_R_X54Y78 GRID_POINT_X 54 TILEPROP HCLK_R_X54Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X54Y78 INDEX 9024 TILEPROP HCLK_R_X54Y78 INT_TILE_X 21 TILEPROP HCLK_R_X54Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X54Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X54Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X54Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X54Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X54Y78 NAME HCLK_R_X54Y78 TILEPROP HCLK_R_X54Y78 NUM_ARCS 200 TILEPROP HCLK_R_X54Y78 NUM_SITES 0 TILEPROP HCLK_R_X54Y78 ROW 78 TILEPROP HCLK_R_X54Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X54Y78 TILE_PATTERN_IDX 6329 TILEPROP HCLK_R_X54Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X54Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X54Y78 TILE_X -3120 TILEPROP HCLK_R_X54Y78 TILE_Y 512 TILEPROP HCLK_R_X54Y78 TYPE HCLK_R TILEPROP HCLK_R_X54Y130 CLASS tile TILEPROP HCLK_R_X54Y130 COLUMN 54 TILEPROP HCLK_R_X54Y130 DEVICE_ID 0 TILEPROP HCLK_R_X54Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_R_X54Y130 GRID_POINT_X 54 TILEPROP HCLK_R_X54Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X54Y130 INDEX 3044 TILEPROP HCLK_R_X54Y130 INT_TILE_X 21 TILEPROP HCLK_R_X54Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X54Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X54Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X54Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X54Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X54Y130 NAME HCLK_R_X54Y130 TILEPROP HCLK_R_X54Y130 NUM_ARCS 200 TILEPROP HCLK_R_X54Y130 NUM_SITES 0 TILEPROP HCLK_R_X54Y130 ROW 26 TILEPROP HCLK_R_X54Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X54Y130 TILE_PATTERN_IDX 4527 TILEPROP HCLK_R_X54Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X54Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X54Y130 TILE_X -3120 TILEPROP HCLK_R_X54Y130 TILE_Y 161784 TILEPROP HCLK_R_X54Y130 TYPE HCLK_R TILEPROP HCLK_R_X58Y26 CLASS tile TILEPROP HCLK_R_X58Y26 COLUMN 58 TILEPROP HCLK_R_X58Y26 DEVICE_ID 0 TILEPROP HCLK_R_X58Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_R_X58Y26 GRID_POINT_X 58 TILEPROP HCLK_R_X58Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X58Y26 INDEX 15008 TILEPROP HCLK_R_X58Y26 INT_TILE_X 23 TILEPROP HCLK_R_X58Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X58Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X58Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X58Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X58Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X58Y26 NAME HCLK_R_X58Y26 TILEPROP HCLK_R_X58Y26 NUM_ARCS 200 TILEPROP HCLK_R_X58Y26 NUM_SITES 0 TILEPROP HCLK_R_X58Y26 ROW 130 TILEPROP HCLK_R_X58Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X58Y26 TILE_PATTERN_IDX 8009 TILEPROP HCLK_R_X58Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X58Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X58Y26 TILE_X 5184 TILEPROP HCLK_R_X58Y26 TILE_Y -160760 TILEPROP HCLK_R_X58Y26 TYPE HCLK_R TILEPROP HCLK_R_X58Y78 CLASS tile TILEPROP HCLK_R_X58Y78 COLUMN 58 TILEPROP HCLK_R_X58Y78 DEVICE_ID 0 TILEPROP HCLK_R_X58Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_R_X58Y78 GRID_POINT_X 58 TILEPROP HCLK_R_X58Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X58Y78 INDEX 9028 TILEPROP HCLK_R_X58Y78 INT_TILE_X 23 TILEPROP HCLK_R_X58Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X58Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X58Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X58Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X58Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X58Y78 NAME HCLK_R_X58Y78 TILEPROP HCLK_R_X58Y78 NUM_ARCS 200 TILEPROP HCLK_R_X58Y78 NUM_SITES 0 TILEPROP HCLK_R_X58Y78 ROW 78 TILEPROP HCLK_R_X58Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X58Y78 TILE_PATTERN_IDX 6331 TILEPROP HCLK_R_X58Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X58Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X58Y78 TILE_X 5184 TILEPROP HCLK_R_X58Y78 TILE_Y 512 TILEPROP HCLK_R_X58Y78 TYPE HCLK_R TILEPROP HCLK_R_X58Y130 CLASS tile TILEPROP HCLK_R_X58Y130 COLUMN 58 TILEPROP HCLK_R_X58Y130 DEVICE_ID 0 TILEPROP HCLK_R_X58Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_R_X58Y130 GRID_POINT_X 58 TILEPROP HCLK_R_X58Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X58Y130 INDEX 3048 TILEPROP HCLK_R_X58Y130 INT_TILE_X 23 TILEPROP HCLK_R_X58Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X58Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X58Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X58Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X58Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X58Y130 NAME HCLK_R_X58Y130 TILEPROP HCLK_R_X58Y130 NUM_ARCS 200 TILEPROP HCLK_R_X58Y130 NUM_SITES 0 TILEPROP HCLK_R_X58Y130 ROW 26 TILEPROP HCLK_R_X58Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X58Y130 TILE_PATTERN_IDX 4529 TILEPROP HCLK_R_X58Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X58Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X58Y130 TILE_X 5184 TILEPROP HCLK_R_X58Y130 TILE_Y 161784 TILEPROP HCLK_R_X58Y130 TYPE HCLK_R TILEPROP HCLK_R_X5Y26 CLASS tile TILEPROP HCLK_R_X5Y26 COLUMN 5 TILEPROP HCLK_R_X5Y26 DEVICE_ID 0 TILEPROP HCLK_R_X5Y26 FIRST_SITE_ID 13116 TILEPROP HCLK_R_X5Y26 GRID_POINT_X 5 TILEPROP HCLK_R_X5Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X5Y26 INDEX 14955 TILEPROP HCLK_R_X5Y26 INT_TILE_X 1 TILEPROP HCLK_R_X5Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X5Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X5Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X5Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X5Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X5Y26 NAME HCLK_R_X5Y26 TILEPROP HCLK_R_X5Y26 NUM_ARCS 200 TILEPROP HCLK_R_X5Y26 NUM_SITES 0 TILEPROP HCLK_R_X5Y26 ROW 130 TILEPROP HCLK_R_X5Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X5Y26 TILE_PATTERN_IDX 7992 TILEPROP HCLK_R_X5Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X5Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X5Y26 TILE_X -93710 TILEPROP HCLK_R_X5Y26 TILE_Y -160760 TILEPROP HCLK_R_X5Y26 TYPE HCLK_R TILEPROP HCLK_R_X5Y78 CLASS tile TILEPROP HCLK_R_X5Y78 COLUMN 5 TILEPROP HCLK_R_X5Y78 DEVICE_ID 0 TILEPROP HCLK_R_X5Y78 FIRST_SITE_ID 7729 TILEPROP HCLK_R_X5Y78 GRID_POINT_X 5 TILEPROP HCLK_R_X5Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X5Y78 INDEX 8975 TILEPROP HCLK_R_X5Y78 INT_TILE_X 1 TILEPROP HCLK_R_X5Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X5Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X5Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X5Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X5Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X5Y78 NAME HCLK_R_X5Y78 TILEPROP HCLK_R_X5Y78 NUM_ARCS 200 TILEPROP HCLK_R_X5Y78 NUM_SITES 0 TILEPROP HCLK_R_X5Y78 ROW 78 TILEPROP HCLK_R_X5Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X5Y78 TILE_PATTERN_IDX 6314 TILEPROP HCLK_R_X5Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X5Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X5Y78 TILE_X -93710 TILEPROP HCLK_R_X5Y78 TILE_Y 512 TILEPROP HCLK_R_X5Y78 TYPE HCLK_R TILEPROP HCLK_R_X5Y130 CLASS tile TILEPROP HCLK_R_X5Y130 COLUMN 5 TILEPROP HCLK_R_X5Y130 DEVICE_ID 0 TILEPROP HCLK_R_X5Y130 FIRST_SITE_ID 2622 TILEPROP HCLK_R_X5Y130 GRID_POINT_X 5 TILEPROP HCLK_R_X5Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X5Y130 INDEX 2995 TILEPROP HCLK_R_X5Y130 INT_TILE_X 1 TILEPROP HCLK_R_X5Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X5Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X5Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X5Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X5Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X5Y130 NAME HCLK_R_X5Y130 TILEPROP HCLK_R_X5Y130 NUM_ARCS 200 TILEPROP HCLK_R_X5Y130 NUM_SITES 0 TILEPROP HCLK_R_X5Y130 ROW 26 TILEPROP HCLK_R_X5Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X5Y130 TILE_PATTERN_IDX 4506 TILEPROP HCLK_R_X5Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X5Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X5Y130 TILE_X -93710 TILEPROP HCLK_R_X5Y130 TILE_Y 161784 TILEPROP HCLK_R_X5Y130 TYPE HCLK_R TILEPROP HCLK_R_X64Y26 CLASS tile TILEPROP HCLK_R_X64Y26 COLUMN 64 TILEPROP HCLK_R_X64Y26 DEVICE_ID 0 TILEPROP HCLK_R_X64Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_R_X64Y26 GRID_POINT_X 64 TILEPROP HCLK_R_X64Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X64Y26 INDEX 15014 TILEPROP HCLK_R_X64Y26 INT_TILE_X 25 TILEPROP HCLK_R_X64Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X64Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X64Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X64Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X64Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X64Y26 NAME HCLK_R_X64Y26 TILEPROP HCLK_R_X64Y26 NUM_ARCS 200 TILEPROP HCLK_R_X64Y26 NUM_SITES 0 TILEPROP HCLK_R_X64Y26 ROW 130 TILEPROP HCLK_R_X64Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X64Y26 TILE_PATTERN_IDX 8012 TILEPROP HCLK_R_X64Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X64Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X64Y26 TILE_X 16520 TILEPROP HCLK_R_X64Y26 TILE_Y -160760 TILEPROP HCLK_R_X64Y26 TYPE HCLK_R TILEPROP HCLK_R_X64Y78 CLASS tile TILEPROP HCLK_R_X64Y78 COLUMN 64 TILEPROP HCLK_R_X64Y78 DEVICE_ID 0 TILEPROP HCLK_R_X64Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_R_X64Y78 GRID_POINT_X 64 TILEPROP HCLK_R_X64Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X64Y78 INDEX 9034 TILEPROP HCLK_R_X64Y78 INT_TILE_X 25 TILEPROP HCLK_R_X64Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X64Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X64Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X64Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X64Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X64Y78 NAME HCLK_R_X64Y78 TILEPROP HCLK_R_X64Y78 NUM_ARCS 200 TILEPROP HCLK_R_X64Y78 NUM_SITES 0 TILEPROP HCLK_R_X64Y78 ROW 78 TILEPROP HCLK_R_X64Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X64Y78 TILE_PATTERN_IDX 6334 TILEPROP HCLK_R_X64Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X64Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X64Y78 TILE_X 16520 TILEPROP HCLK_R_X64Y78 TILE_Y 512 TILEPROP HCLK_R_X64Y78 TYPE HCLK_R TILEPROP HCLK_R_X64Y130 CLASS tile TILEPROP HCLK_R_X64Y130 COLUMN 64 TILEPROP HCLK_R_X64Y130 DEVICE_ID 0 TILEPROP HCLK_R_X64Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_R_X64Y130 GRID_POINT_X 64 TILEPROP HCLK_R_X64Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X64Y130 INDEX 3054 TILEPROP HCLK_R_X64Y130 INT_TILE_X 25 TILEPROP HCLK_R_X64Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X64Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X64Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X64Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X64Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X64Y130 NAME HCLK_R_X64Y130 TILEPROP HCLK_R_X64Y130 NUM_ARCS 200 TILEPROP HCLK_R_X64Y130 NUM_SITES 0 TILEPROP HCLK_R_X64Y130 ROW 26 TILEPROP HCLK_R_X64Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X64Y130 TILE_PATTERN_IDX 4532 TILEPROP HCLK_R_X64Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X64Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X64Y130 TILE_X 16520 TILEPROP HCLK_R_X64Y130 TILE_Y 161784 TILEPROP HCLK_R_X64Y130 TYPE HCLK_R TILEPROP HCLK_R_X69Y26 CLASS tile TILEPROP HCLK_R_X69Y26 COLUMN 69 TILEPROP HCLK_R_X69Y26 DEVICE_ID 0 TILEPROP HCLK_R_X69Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_R_X69Y26 GRID_POINT_X 69 TILEPROP HCLK_R_X69Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X69Y26 INDEX 15019 TILEPROP HCLK_R_X69Y26 INT_TILE_X 27 TILEPROP HCLK_R_X69Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X69Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X69Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X69Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X69Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X69Y26 NAME HCLK_R_X69Y26 TILEPROP HCLK_R_X69Y26 NUM_ARCS 200 TILEPROP HCLK_R_X69Y26 NUM_SITES 0 TILEPROP HCLK_R_X69Y26 ROW 130 TILEPROP HCLK_R_X69Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X69Y26 TILE_PATTERN_IDX 8014 TILEPROP HCLK_R_X69Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X69Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X69Y26 TILE_X 24888 TILEPROP HCLK_R_X69Y26 TILE_Y -160760 TILEPROP HCLK_R_X69Y26 TYPE HCLK_R TILEPROP HCLK_R_X69Y78 CLASS tile TILEPROP HCLK_R_X69Y78 COLUMN 69 TILEPROP HCLK_R_X69Y78 DEVICE_ID 0 TILEPROP HCLK_R_X69Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_R_X69Y78 GRID_POINT_X 69 TILEPROP HCLK_R_X69Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X69Y78 INDEX 9039 TILEPROP HCLK_R_X69Y78 INT_TILE_X 27 TILEPROP HCLK_R_X69Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X69Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X69Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X69Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X69Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X69Y78 NAME HCLK_R_X69Y78 TILEPROP HCLK_R_X69Y78 NUM_ARCS 200 TILEPROP HCLK_R_X69Y78 NUM_SITES 0 TILEPROP HCLK_R_X69Y78 ROW 78 TILEPROP HCLK_R_X69Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X69Y78 TILE_PATTERN_IDX 6336 TILEPROP HCLK_R_X69Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X69Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X69Y78 TILE_X 24888 TILEPROP HCLK_R_X69Y78 TILE_Y 512 TILEPROP HCLK_R_X69Y78 TYPE HCLK_R TILEPROP HCLK_R_X69Y130 CLASS tile TILEPROP HCLK_R_X69Y130 COLUMN 69 TILEPROP HCLK_R_X69Y130 DEVICE_ID 0 TILEPROP HCLK_R_X69Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_R_X69Y130 GRID_POINT_X 69 TILEPROP HCLK_R_X69Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X69Y130 INDEX 3059 TILEPROP HCLK_R_X69Y130 INT_TILE_X 27 TILEPROP HCLK_R_X69Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X69Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X69Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X69Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X69Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X69Y130 NAME HCLK_R_X69Y130 TILEPROP HCLK_R_X69Y130 NUM_ARCS 200 TILEPROP HCLK_R_X69Y130 NUM_SITES 0 TILEPROP HCLK_R_X69Y130 ROW 26 TILEPROP HCLK_R_X69Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X69Y130 TILE_PATTERN_IDX 4534 TILEPROP HCLK_R_X69Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X69Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X69Y130 TILE_X 24888 TILEPROP HCLK_R_X69Y130 TILE_Y 161784 TILEPROP HCLK_R_X69Y130 TYPE HCLK_R TILEPROP HCLK_R_X73Y26 CLASS tile TILEPROP HCLK_R_X73Y26 COLUMN 73 TILEPROP HCLK_R_X73Y26 DEVICE_ID 0 TILEPROP HCLK_R_X73Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_R_X73Y26 GRID_POINT_X 73 TILEPROP HCLK_R_X73Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X73Y26 INDEX 15023 TILEPROP HCLK_R_X73Y26 INT_TILE_X 29 TILEPROP HCLK_R_X73Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X73Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X73Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X73Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X73Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X73Y26 NAME HCLK_R_X73Y26 TILEPROP HCLK_R_X73Y26 NUM_ARCS 200 TILEPROP HCLK_R_X73Y26 NUM_SITES 0 TILEPROP HCLK_R_X73Y26 ROW 130 TILEPROP HCLK_R_X73Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X73Y26 TILE_PATTERN_IDX 8016 TILEPROP HCLK_R_X73Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X73Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X73Y26 TILE_X 33192 TILEPROP HCLK_R_X73Y26 TILE_Y -160760 TILEPROP HCLK_R_X73Y26 TYPE HCLK_R TILEPROP HCLK_R_X73Y78 CLASS tile TILEPROP HCLK_R_X73Y78 COLUMN 73 TILEPROP HCLK_R_X73Y78 DEVICE_ID 0 TILEPROP HCLK_R_X73Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_R_X73Y78 GRID_POINT_X 73 TILEPROP HCLK_R_X73Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X73Y78 INDEX 9043 TILEPROP HCLK_R_X73Y78 INT_TILE_X 29 TILEPROP HCLK_R_X73Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X73Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X73Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X73Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X73Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X73Y78 NAME HCLK_R_X73Y78 TILEPROP HCLK_R_X73Y78 NUM_ARCS 200 TILEPROP HCLK_R_X73Y78 NUM_SITES 0 TILEPROP HCLK_R_X73Y78 ROW 78 TILEPROP HCLK_R_X73Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X73Y78 TILE_PATTERN_IDX 6338 TILEPROP HCLK_R_X73Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X73Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X73Y78 TILE_X 33192 TILEPROP HCLK_R_X73Y78 TILE_Y 512 TILEPROP HCLK_R_X73Y78 TYPE HCLK_R TILEPROP HCLK_R_X78Y26 CLASS tile TILEPROP HCLK_R_X78Y26 COLUMN 78 TILEPROP HCLK_R_X78Y26 DEVICE_ID 0 TILEPROP HCLK_R_X78Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_R_X78Y26 GRID_POINT_X 78 TILEPROP HCLK_R_X78Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X78Y26 INDEX 15028 TILEPROP HCLK_R_X78Y26 INT_TILE_X 31 TILEPROP HCLK_R_X78Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X78Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X78Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X78Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X78Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X78Y26 NAME HCLK_R_X78Y26 TILEPROP HCLK_R_X78Y26 NUM_ARCS 200 TILEPROP HCLK_R_X78Y26 NUM_SITES 0 TILEPROP HCLK_R_X78Y26 ROW 130 TILEPROP HCLK_R_X78Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X78Y26 TILE_PATTERN_IDX 8018 TILEPROP HCLK_R_X78Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X78Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X78Y26 TILE_X 45240 TILEPROP HCLK_R_X78Y26 TILE_Y -160760 TILEPROP HCLK_R_X78Y26 TYPE HCLK_R TILEPROP HCLK_R_X78Y78 CLASS tile TILEPROP HCLK_R_X78Y78 COLUMN 78 TILEPROP HCLK_R_X78Y78 DEVICE_ID 0 TILEPROP HCLK_R_X78Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_R_X78Y78 GRID_POINT_X 78 TILEPROP HCLK_R_X78Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X78Y78 INDEX 9048 TILEPROP HCLK_R_X78Y78 INT_TILE_X 31 TILEPROP HCLK_R_X78Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X78Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X78Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X78Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X78Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X78Y78 NAME HCLK_R_X78Y78 TILEPROP HCLK_R_X78Y78 NUM_ARCS 200 TILEPROP HCLK_R_X78Y78 NUM_SITES 0 TILEPROP HCLK_R_X78Y78 ROW 78 TILEPROP HCLK_R_X78Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X78Y78 TILE_PATTERN_IDX 6340 TILEPROP HCLK_R_X78Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X78Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X78Y78 TILE_X 45240 TILEPROP HCLK_R_X78Y78 TILE_Y 512 TILEPROP HCLK_R_X78Y78 TYPE HCLK_R TILEPROP HCLK_R_X78Y130 CLASS tile TILEPROP HCLK_R_X78Y130 COLUMN 78 TILEPROP HCLK_R_X78Y130 DEVICE_ID 0 TILEPROP HCLK_R_X78Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_R_X78Y130 GRID_POINT_X 78 TILEPROP HCLK_R_X78Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X78Y130 INDEX 3068 TILEPROP HCLK_R_X78Y130 INT_TILE_X 31 TILEPROP HCLK_R_X78Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X78Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X78Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X78Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X78Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X78Y130 NAME HCLK_R_X78Y130 TILEPROP HCLK_R_X78Y130 NUM_ARCS 200 TILEPROP HCLK_R_X78Y130 NUM_SITES 0 TILEPROP HCLK_R_X78Y130 ROW 26 TILEPROP HCLK_R_X78Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X78Y130 TILE_PATTERN_IDX 4538 TILEPROP HCLK_R_X78Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X78Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X78Y130 TILE_X 45240 TILEPROP HCLK_R_X78Y130 TILE_Y 161784 TILEPROP HCLK_R_X78Y130 TYPE HCLK_R TILEPROP HCLK_R_X83Y26 CLASS tile TILEPROP HCLK_R_X83Y26 COLUMN 83 TILEPROP HCLK_R_X83Y26 DEVICE_ID 0 TILEPROP HCLK_R_X83Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_R_X83Y26 GRID_POINT_X 83 TILEPROP HCLK_R_X83Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X83Y26 INDEX 15033 TILEPROP HCLK_R_X83Y26 INT_TILE_X 33 TILEPROP HCLK_R_X83Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X83Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X83Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X83Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X83Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X83Y26 NAME HCLK_R_X83Y26 TILEPROP HCLK_R_X83Y26 NUM_ARCS 200 TILEPROP HCLK_R_X83Y26 NUM_SITES 0 TILEPROP HCLK_R_X83Y26 ROW 130 TILEPROP HCLK_R_X83Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X83Y26 TILE_PATTERN_IDX 8020 TILEPROP HCLK_R_X83Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X83Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X83Y26 TILE_X 53608 TILEPROP HCLK_R_X83Y26 TILE_Y -160760 TILEPROP HCLK_R_X83Y26 TYPE HCLK_R TILEPROP HCLK_R_X83Y78 CLASS tile TILEPROP HCLK_R_X83Y78 COLUMN 83 TILEPROP HCLK_R_X83Y78 DEVICE_ID 0 TILEPROP HCLK_R_X83Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_R_X83Y78 GRID_POINT_X 83 TILEPROP HCLK_R_X83Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X83Y78 INDEX 9053 TILEPROP HCLK_R_X83Y78 INT_TILE_X 33 TILEPROP HCLK_R_X83Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X83Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X83Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X83Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X83Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X83Y78 NAME HCLK_R_X83Y78 TILEPROP HCLK_R_X83Y78 NUM_ARCS 200 TILEPROP HCLK_R_X83Y78 NUM_SITES 0 TILEPROP HCLK_R_X83Y78 ROW 78 TILEPROP HCLK_R_X83Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X83Y78 TILE_PATTERN_IDX 6342 TILEPROP HCLK_R_X83Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X83Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X83Y78 TILE_X 53608 TILEPROP HCLK_R_X83Y78 TILE_Y 512 TILEPROP HCLK_R_X83Y78 TYPE HCLK_R TILEPROP HCLK_R_X83Y130 CLASS tile TILEPROP HCLK_R_X83Y130 COLUMN 83 TILEPROP HCLK_R_X83Y130 DEVICE_ID 0 TILEPROP HCLK_R_X83Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_R_X83Y130 GRID_POINT_X 83 TILEPROP HCLK_R_X83Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X83Y130 INDEX 3073 TILEPROP HCLK_R_X83Y130 INT_TILE_X 33 TILEPROP HCLK_R_X83Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X83Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X83Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X83Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X83Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X83Y130 NAME HCLK_R_X83Y130 TILEPROP HCLK_R_X83Y130 NUM_ARCS 200 TILEPROP HCLK_R_X83Y130 NUM_SITES 0 TILEPROP HCLK_R_X83Y130 ROW 26 TILEPROP HCLK_R_X83Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X83Y130 TILE_PATTERN_IDX 4540 TILEPROP HCLK_R_X83Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X83Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X83Y130 TILE_X 53608 TILEPROP HCLK_R_X83Y130 TILE_Y 161784 TILEPROP HCLK_R_X83Y130 TYPE HCLK_R TILEPROP HCLK_R_X89Y26 CLASS tile TILEPROP HCLK_R_X89Y26 COLUMN 89 TILEPROP HCLK_R_X89Y26 DEVICE_ID 0 TILEPROP HCLK_R_X89Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_R_X89Y26 GRID_POINT_X 89 TILEPROP HCLK_R_X89Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X89Y26 INDEX 15039 TILEPROP HCLK_R_X89Y26 INT_TILE_X 35 TILEPROP HCLK_R_X89Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X89Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X89Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X89Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X89Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X89Y26 NAME HCLK_R_X89Y26 TILEPROP HCLK_R_X89Y26 NUM_ARCS 200 TILEPROP HCLK_R_X89Y26 NUM_SITES 0 TILEPROP HCLK_R_X89Y26 ROW 130 TILEPROP HCLK_R_X89Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X89Y26 TILE_PATTERN_IDX 8022 TILEPROP HCLK_R_X89Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X89Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X89Y26 TILE_X 62430 TILEPROP HCLK_R_X89Y26 TILE_Y -160760 TILEPROP HCLK_R_X89Y26 TYPE HCLK_R TILEPROP HCLK_R_X89Y78 CLASS tile TILEPROP HCLK_R_X89Y78 COLUMN 89 TILEPROP HCLK_R_X89Y78 DEVICE_ID 0 TILEPROP HCLK_R_X89Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_R_X89Y78 GRID_POINT_X 89 TILEPROP HCLK_R_X89Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X89Y78 INDEX 9059 TILEPROP HCLK_R_X89Y78 INT_TILE_X 35 TILEPROP HCLK_R_X89Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X89Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X89Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X89Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X89Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X89Y78 NAME HCLK_R_X89Y78 TILEPROP HCLK_R_X89Y78 NUM_ARCS 200 TILEPROP HCLK_R_X89Y78 NUM_SITES 0 TILEPROP HCLK_R_X89Y78 ROW 78 TILEPROP HCLK_R_X89Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X89Y78 TILE_PATTERN_IDX 6344 TILEPROP HCLK_R_X89Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X89Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X89Y78 TILE_X 62430 TILEPROP HCLK_R_X89Y78 TILE_Y 512 TILEPROP HCLK_R_X89Y78 TYPE HCLK_R TILEPROP HCLK_R_X89Y130 CLASS tile TILEPROP HCLK_R_X89Y130 COLUMN 89 TILEPROP HCLK_R_X89Y130 DEVICE_ID 0 TILEPROP HCLK_R_X89Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_R_X89Y130 GRID_POINT_X 89 TILEPROP HCLK_R_X89Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X89Y130 INDEX 3079 TILEPROP HCLK_R_X89Y130 INT_TILE_X 35 TILEPROP HCLK_R_X89Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X89Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X89Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X89Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X89Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X89Y130 NAME HCLK_R_X89Y130 TILEPROP HCLK_R_X89Y130 NUM_ARCS 200 TILEPROP HCLK_R_X89Y130 NUM_SITES 0 TILEPROP HCLK_R_X89Y130 ROW 26 TILEPROP HCLK_R_X89Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X89Y130 TILE_PATTERN_IDX 4542 TILEPROP HCLK_R_X89Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X89Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X89Y130 TILE_X 62430 TILEPROP HCLK_R_X89Y130 TILE_Y 161784 TILEPROP HCLK_R_X89Y130 TYPE HCLK_R TILEPROP HCLK_R_X93Y26 CLASS tile TILEPROP HCLK_R_X93Y26 COLUMN 93 TILEPROP HCLK_R_X93Y26 DEVICE_ID 0 TILEPROP HCLK_R_X93Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_R_X93Y26 GRID_POINT_X 93 TILEPROP HCLK_R_X93Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X93Y26 INDEX 15043 TILEPROP HCLK_R_X93Y26 INT_TILE_X 37 TILEPROP HCLK_R_X93Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X93Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X93Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X93Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X93Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X93Y26 NAME HCLK_R_X93Y26 TILEPROP HCLK_R_X93Y26 NUM_ARCS 200 TILEPROP HCLK_R_X93Y26 NUM_SITES 0 TILEPROP HCLK_R_X93Y26 ROW 130 TILEPROP HCLK_R_X93Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X93Y26 TILE_PATTERN_IDX 8024 TILEPROP HCLK_R_X93Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X93Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X93Y26 TILE_X 70734 TILEPROP HCLK_R_X93Y26 TILE_Y -160760 TILEPROP HCLK_R_X93Y26 TYPE HCLK_R TILEPROP HCLK_R_X93Y78 CLASS tile TILEPROP HCLK_R_X93Y78 COLUMN 93 TILEPROP HCLK_R_X93Y78 DEVICE_ID 0 TILEPROP HCLK_R_X93Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_R_X93Y78 GRID_POINT_X 93 TILEPROP HCLK_R_X93Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X93Y78 INDEX 9063 TILEPROP HCLK_R_X93Y78 INT_TILE_X 37 TILEPROP HCLK_R_X93Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X93Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X93Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X93Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X93Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X93Y78 NAME HCLK_R_X93Y78 TILEPROP HCLK_R_X93Y78 NUM_ARCS 200 TILEPROP HCLK_R_X93Y78 NUM_SITES 0 TILEPROP HCLK_R_X93Y78 ROW 78 TILEPROP HCLK_R_X93Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X93Y78 TILE_PATTERN_IDX 6346 TILEPROP HCLK_R_X93Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X93Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X93Y78 TILE_X 70734 TILEPROP HCLK_R_X93Y78 TILE_Y 512 TILEPROP HCLK_R_X93Y78 TYPE HCLK_R TILEPROP HCLK_R_X93Y130 CLASS tile TILEPROP HCLK_R_X93Y130 COLUMN 93 TILEPROP HCLK_R_X93Y130 DEVICE_ID 0 TILEPROP HCLK_R_X93Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_R_X93Y130 GRID_POINT_X 93 TILEPROP HCLK_R_X93Y130 GRID_POINT_Y 26 TILEPROP HCLK_R_X93Y130 INDEX 3083 TILEPROP HCLK_R_X93Y130 INT_TILE_X 37 TILEPROP HCLK_R_X93Y130 INT_TILE_Y 24 TILEPROP HCLK_R_X93Y130 IS_CENTER_TILE 0 TILEPROP HCLK_R_X93Y130 IS_DCM_TILE 0 TILEPROP HCLK_R_X93Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X93Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X93Y130 NAME HCLK_R_X93Y130 TILEPROP HCLK_R_X93Y130 NUM_ARCS 200 TILEPROP HCLK_R_X93Y130 NUM_SITES 0 TILEPROP HCLK_R_X93Y130 ROW 26 TILEPROP HCLK_R_X93Y130 SLR_REGION_ID 0 TILEPROP HCLK_R_X93Y130 TILE_PATTERN_IDX 4544 TILEPROP HCLK_R_X93Y130 TILE_TYPE HCLK_R TILEPROP HCLK_R_X93Y130 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X93Y130 TILE_X 70734 TILEPROP HCLK_R_X93Y130 TILE_Y 161784 TILEPROP HCLK_R_X93Y130 TYPE HCLK_R TILEPROP HCLK_R_X99Y26 CLASS tile TILEPROP HCLK_R_X99Y26 COLUMN 99 TILEPROP HCLK_R_X99Y26 DEVICE_ID 0 TILEPROP HCLK_R_X99Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_R_X99Y26 GRID_POINT_X 99 TILEPROP HCLK_R_X99Y26 GRID_POINT_Y 130 TILEPROP HCLK_R_X99Y26 INDEX 15049 TILEPROP HCLK_R_X99Y26 INT_TILE_X 39 TILEPROP HCLK_R_X99Y26 INT_TILE_Y 124 TILEPROP HCLK_R_X99Y26 IS_CENTER_TILE 0 TILEPROP HCLK_R_X99Y26 IS_DCM_TILE 0 TILEPROP HCLK_R_X99Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X99Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X99Y26 NAME HCLK_R_X99Y26 TILEPROP HCLK_R_X99Y26 NUM_ARCS 200 TILEPROP HCLK_R_X99Y26 NUM_SITES 0 TILEPROP HCLK_R_X99Y26 ROW 130 TILEPROP HCLK_R_X99Y26 SLR_REGION_ID 0 TILEPROP HCLK_R_X99Y26 TILE_PATTERN_IDX 8026 TILEPROP HCLK_R_X99Y26 TILE_TYPE HCLK_R TILEPROP HCLK_R_X99Y26 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X99Y26 TILE_X 82846 TILEPROP HCLK_R_X99Y26 TILE_Y -160760 TILEPROP HCLK_R_X99Y26 TYPE HCLK_R TILEPROP HCLK_R_X99Y78 CLASS tile TILEPROP HCLK_R_X99Y78 COLUMN 99 TILEPROP HCLK_R_X99Y78 DEVICE_ID 0 TILEPROP HCLK_R_X99Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_R_X99Y78 GRID_POINT_X 99 TILEPROP HCLK_R_X99Y78 GRID_POINT_Y 78 TILEPROP HCLK_R_X99Y78 INDEX 9069 TILEPROP HCLK_R_X99Y78 INT_TILE_X 39 TILEPROP HCLK_R_X99Y78 INT_TILE_Y 74 TILEPROP HCLK_R_X99Y78 IS_CENTER_TILE 0 TILEPROP HCLK_R_X99Y78 IS_DCM_TILE 0 TILEPROP HCLK_R_X99Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_R_X99Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_R_X99Y78 NAME HCLK_R_X99Y78 TILEPROP HCLK_R_X99Y78 NUM_ARCS 200 TILEPROP HCLK_R_X99Y78 NUM_SITES 0 TILEPROP HCLK_R_X99Y78 ROW 78 TILEPROP HCLK_R_X99Y78 SLR_REGION_ID 0 TILEPROP HCLK_R_X99Y78 TILE_PATTERN_IDX 6348 TILEPROP HCLK_R_X99Y78 TILE_TYPE HCLK_R TILEPROP HCLK_R_X99Y78 TILE_TYPE_INDEX 85 TILEPROP HCLK_R_X99Y78 TILE_X 82846 TILEPROP HCLK_R_X99Y78 TILE_Y 512 TILEPROP HCLK_R_X99Y78 TYPE HCLK_R TILEPROP HCLK_TERM_GTX_X96Y130 CLASS tile TILEPROP HCLK_TERM_GTX_X96Y130 COLUMN 96 TILEPROP HCLK_TERM_GTX_X96Y130 DEVICE_ID 0 TILEPROP HCLK_TERM_GTX_X96Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_TERM_GTX_X96Y130 GRID_POINT_X 96 TILEPROP HCLK_TERM_GTX_X96Y130 GRID_POINT_Y 26 TILEPROP HCLK_TERM_GTX_X96Y130 INDEX 3086 TILEPROP HCLK_TERM_GTX_X96Y130 INT_TILE_X 37 TILEPROP HCLK_TERM_GTX_X96Y130 INT_TILE_Y 24 TILEPROP HCLK_TERM_GTX_X96Y130 IS_CENTER_TILE 0 TILEPROP HCLK_TERM_GTX_X96Y130 IS_DCM_TILE 0 TILEPROP HCLK_TERM_GTX_X96Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_TERM_GTX_X96Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_TERM_GTX_X96Y130 NAME HCLK_TERM_GTX_X96Y130 TILEPROP HCLK_TERM_GTX_X96Y130 NUM_ARCS 0 TILEPROP HCLK_TERM_GTX_X96Y130 NUM_SITES 0 TILEPROP HCLK_TERM_GTX_X96Y130 ROW 26 TILEPROP HCLK_TERM_GTX_X96Y130 SLR_REGION_ID 0 TILEPROP HCLK_TERM_GTX_X96Y130 TILE_PATTERN_IDX 870 TILEPROP HCLK_TERM_GTX_X96Y130 TILE_TYPE HCLK_TERM_GTX TILEPROP HCLK_TERM_GTX_X96Y130 TILE_TYPE_INDEX 88 TILEPROP HCLK_TERM_GTX_X96Y130 TILE_X 76934 TILEPROP HCLK_TERM_GTX_X96Y130 TILE_Y 161784 TILEPROP HCLK_TERM_GTX_X96Y130 TYPE HCLK_TERM_GTX TILEPROP HCLK_TERM_X112Y26 CLASS tile TILEPROP HCLK_TERM_X112Y26 COLUMN 112 TILEPROP HCLK_TERM_X112Y26 DEVICE_ID 0 TILEPROP HCLK_TERM_X112Y26 FIRST_SITE_ID 13181 TILEPROP HCLK_TERM_X112Y26 GRID_POINT_X 112 TILEPROP HCLK_TERM_X112Y26 GRID_POINT_Y 130 TILEPROP HCLK_TERM_X112Y26 INDEX 15062 TILEPROP HCLK_TERM_X112Y26 INT_TILE_X 43 TILEPROP HCLK_TERM_X112Y26 INT_TILE_Y 124 TILEPROP HCLK_TERM_X112Y26 IS_CENTER_TILE 0 TILEPROP HCLK_TERM_X112Y26 IS_DCM_TILE 0 TILEPROP HCLK_TERM_X112Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_TERM_X112Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_TERM_X112Y26 NAME HCLK_TERM_X112Y26 TILEPROP HCLK_TERM_X112Y26 NUM_ARCS 0 TILEPROP HCLK_TERM_X112Y26 NUM_SITES 0 TILEPROP HCLK_TERM_X112Y26 ROW 130 TILEPROP HCLK_TERM_X112Y26 SLR_REGION_ID 0 TILEPROP HCLK_TERM_X112Y26 TILE_PATTERN_IDX 830 TILEPROP HCLK_TERM_X112Y26 TILE_TYPE HCLK_TERM TILEPROP HCLK_TERM_X112Y26 TILE_TYPE_INDEX 87 TILEPROP HCLK_TERM_X112Y26 TILE_X 105322 TILEPROP HCLK_TERM_X112Y26 TILE_Y -160760 TILEPROP HCLK_TERM_X112Y26 TYPE HCLK_TERM TILEPROP HCLK_TERM_X112Y78 CLASS tile TILEPROP HCLK_TERM_X112Y78 COLUMN 112 TILEPROP HCLK_TERM_X112Y78 DEVICE_ID 0 TILEPROP HCLK_TERM_X112Y78 FIRST_SITE_ID 7794 TILEPROP HCLK_TERM_X112Y78 GRID_POINT_X 112 TILEPROP HCLK_TERM_X112Y78 GRID_POINT_Y 78 TILEPROP HCLK_TERM_X112Y78 INDEX 9082 TILEPROP HCLK_TERM_X112Y78 INT_TILE_X 43 TILEPROP HCLK_TERM_X112Y78 INT_TILE_Y 74 TILEPROP HCLK_TERM_X112Y78 IS_CENTER_TILE 0 TILEPROP HCLK_TERM_X112Y78 IS_DCM_TILE 0 TILEPROP HCLK_TERM_X112Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_TERM_X112Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_TERM_X112Y78 NAME HCLK_TERM_X112Y78 TILEPROP HCLK_TERM_X112Y78 NUM_ARCS 0 TILEPROP HCLK_TERM_X112Y78 NUM_SITES 0 TILEPROP HCLK_TERM_X112Y78 ROW 78 TILEPROP HCLK_TERM_X112Y78 SLR_REGION_ID 0 TILEPROP HCLK_TERM_X112Y78 TILE_PATTERN_IDX 830 TILEPROP HCLK_TERM_X112Y78 TILE_TYPE HCLK_TERM TILEPROP HCLK_TERM_X112Y78 TILE_TYPE_INDEX 87 TILEPROP HCLK_TERM_X112Y78 TILE_X 105322 TILEPROP HCLK_TERM_X112Y78 TILE_Y 512 TILEPROP HCLK_TERM_X112Y78 TYPE HCLK_TERM TILEPROP HCLK_TERM_X2Y26 CLASS tile TILEPROP HCLK_TERM_X2Y26 COLUMN 2 TILEPROP HCLK_TERM_X2Y26 DEVICE_ID 0 TILEPROP HCLK_TERM_X2Y26 FIRST_SITE_ID 13116 TILEPROP HCLK_TERM_X2Y26 GRID_POINT_X 2 TILEPROP HCLK_TERM_X2Y26 GRID_POINT_Y 130 TILEPROP HCLK_TERM_X2Y26 INDEX 14952 TILEPROP HCLK_TERM_X2Y26 INT_TILE_X -1 TILEPROP HCLK_TERM_X2Y26 INT_TILE_Y -1 TILEPROP HCLK_TERM_X2Y26 IS_CENTER_TILE 0 TILEPROP HCLK_TERM_X2Y26 IS_DCM_TILE 0 TILEPROP HCLK_TERM_X2Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_TERM_X2Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_TERM_X2Y26 NAME HCLK_TERM_X2Y26 TILEPROP HCLK_TERM_X2Y26 NUM_ARCS 0 TILEPROP HCLK_TERM_X2Y26 NUM_SITES 0 TILEPROP HCLK_TERM_X2Y26 ROW 130 TILEPROP HCLK_TERM_X2Y26 SLR_REGION_ID 0 TILEPROP HCLK_TERM_X2Y26 TILE_PATTERN_IDX 830 TILEPROP HCLK_TERM_X2Y26 TILE_TYPE HCLK_TERM TILEPROP HCLK_TERM_X2Y26 TILE_TYPE_INDEX 87 TILEPROP HCLK_TERM_X2Y26 TILE_X -99306 TILEPROP HCLK_TERM_X2Y26 TILE_Y -160760 TILEPROP HCLK_TERM_X2Y26 TYPE HCLK_TERM TILEPROP HCLK_TERM_X2Y78 CLASS tile TILEPROP HCLK_TERM_X2Y78 COLUMN 2 TILEPROP HCLK_TERM_X2Y78 DEVICE_ID 0 TILEPROP HCLK_TERM_X2Y78 FIRST_SITE_ID 7729 TILEPROP HCLK_TERM_X2Y78 GRID_POINT_X 2 TILEPROP HCLK_TERM_X2Y78 GRID_POINT_Y 78 TILEPROP HCLK_TERM_X2Y78 INDEX 8972 TILEPROP HCLK_TERM_X2Y78 INT_TILE_X -1 TILEPROP HCLK_TERM_X2Y78 INT_TILE_Y -1 TILEPROP HCLK_TERM_X2Y78 IS_CENTER_TILE 0 TILEPROP HCLK_TERM_X2Y78 IS_DCM_TILE 0 TILEPROP HCLK_TERM_X2Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_TERM_X2Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_TERM_X2Y78 NAME HCLK_TERM_X2Y78 TILEPROP HCLK_TERM_X2Y78 NUM_ARCS 0 TILEPROP HCLK_TERM_X2Y78 NUM_SITES 0 TILEPROP HCLK_TERM_X2Y78 ROW 78 TILEPROP HCLK_TERM_X2Y78 SLR_REGION_ID 0 TILEPROP HCLK_TERM_X2Y78 TILE_PATTERN_IDX 830 TILEPROP HCLK_TERM_X2Y78 TILE_TYPE HCLK_TERM TILEPROP HCLK_TERM_X2Y78 TILE_TYPE_INDEX 87 TILEPROP HCLK_TERM_X2Y78 TILE_X -99306 TILEPROP HCLK_TERM_X2Y78 TILE_Y 512 TILEPROP HCLK_TERM_X2Y78 TYPE HCLK_TERM TILEPROP HCLK_TERM_X2Y130 CLASS tile TILEPROP HCLK_TERM_X2Y130 COLUMN 2 TILEPROP HCLK_TERM_X2Y130 DEVICE_ID 0 TILEPROP HCLK_TERM_X2Y130 FIRST_SITE_ID 2622 TILEPROP HCLK_TERM_X2Y130 GRID_POINT_X 2 TILEPROP HCLK_TERM_X2Y130 GRID_POINT_Y 26 TILEPROP HCLK_TERM_X2Y130 INDEX 2992 TILEPROP HCLK_TERM_X2Y130 INT_TILE_X -1 TILEPROP HCLK_TERM_X2Y130 INT_TILE_Y -1 TILEPROP HCLK_TERM_X2Y130 IS_CENTER_TILE 0 TILEPROP HCLK_TERM_X2Y130 IS_DCM_TILE 0 TILEPROP HCLK_TERM_X2Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_TERM_X2Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_TERM_X2Y130 NAME HCLK_TERM_X2Y130 TILEPROP HCLK_TERM_X2Y130 NUM_ARCS 0 TILEPROP HCLK_TERM_X2Y130 NUM_SITES 0 TILEPROP HCLK_TERM_X2Y130 ROW 26 TILEPROP HCLK_TERM_X2Y130 SLR_REGION_ID 0 TILEPROP HCLK_TERM_X2Y130 TILE_PATTERN_IDX 830 TILEPROP HCLK_TERM_X2Y130 TILE_TYPE HCLK_TERM TILEPROP HCLK_TERM_X2Y130 TILE_TYPE_INDEX 87 TILEPROP HCLK_TERM_X2Y130 TILE_X -99306 TILEPROP HCLK_TERM_X2Y130 TILE_Y 161784 TILEPROP HCLK_TERM_X2Y130 TYPE HCLK_TERM TILEPROP HCLK_VBRK_X105Y26 CLASS tile TILEPROP HCLK_VBRK_X105Y26 COLUMN 105 TILEPROP HCLK_VBRK_X105Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X105Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_VBRK_X105Y26 GRID_POINT_X 105 TILEPROP HCLK_VBRK_X105Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X105Y26 INDEX 15055 TILEPROP HCLK_VBRK_X105Y26 INT_TILE_X 41 TILEPROP HCLK_VBRK_X105Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X105Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X105Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X105Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X105Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X105Y26 NAME HCLK_VBRK_X105Y26 TILEPROP HCLK_VBRK_X105Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X105Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X105Y26 ROW 130 TILEPROP HCLK_VBRK_X105Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X105Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X105Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X105Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X105Y26 TILE_X 93606 TILEPROP HCLK_VBRK_X105Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X105Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X105Y78 CLASS tile TILEPROP HCLK_VBRK_X105Y78 COLUMN 105 TILEPROP HCLK_VBRK_X105Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X105Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_VBRK_X105Y78 GRID_POINT_X 105 TILEPROP HCLK_VBRK_X105Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X105Y78 INDEX 9075 TILEPROP HCLK_VBRK_X105Y78 INT_TILE_X 41 TILEPROP HCLK_VBRK_X105Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X105Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X105Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X105Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X105Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X105Y78 NAME HCLK_VBRK_X105Y78 TILEPROP HCLK_VBRK_X105Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X105Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X105Y78 ROW 78 TILEPROP HCLK_VBRK_X105Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X105Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X105Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X105Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X105Y78 TILE_X 93606 TILEPROP HCLK_VBRK_X105Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X105Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X18Y26 CLASS tile TILEPROP HCLK_VBRK_X18Y26 COLUMN 18 TILEPROP HCLK_VBRK_X18Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X18Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_VBRK_X18Y26 GRID_POINT_X 18 TILEPROP HCLK_VBRK_X18Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X18Y26 INDEX 14968 TILEPROP HCLK_VBRK_X18Y26 INT_TILE_X 5 TILEPROP HCLK_VBRK_X18Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X18Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X18Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X18Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X18Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X18Y26 NAME HCLK_VBRK_X18Y26 TILEPROP HCLK_VBRK_X18Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X18Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X18Y26 ROW 130 TILEPROP HCLK_VBRK_X18Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X18Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X18Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X18Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X18Y26 TILE_X -70918 TILEPROP HCLK_VBRK_X18Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X18Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X18Y78 CLASS tile TILEPROP HCLK_VBRK_X18Y78 COLUMN 18 TILEPROP HCLK_VBRK_X18Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X18Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_VBRK_X18Y78 GRID_POINT_X 18 TILEPROP HCLK_VBRK_X18Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X18Y78 INDEX 8988 TILEPROP HCLK_VBRK_X18Y78 INT_TILE_X 5 TILEPROP HCLK_VBRK_X18Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X18Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X18Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X18Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X18Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X18Y78 NAME HCLK_VBRK_X18Y78 TILEPROP HCLK_VBRK_X18Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X18Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X18Y78 ROW 78 TILEPROP HCLK_VBRK_X18Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X18Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X18Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X18Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X18Y78 TILE_X -70918 TILEPROP HCLK_VBRK_X18Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X18Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X18Y130 CLASS tile TILEPROP HCLK_VBRK_X18Y130 COLUMN 18 TILEPROP HCLK_VBRK_X18Y130 DEVICE_ID 0 TILEPROP HCLK_VBRK_X18Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_VBRK_X18Y130 GRID_POINT_X 18 TILEPROP HCLK_VBRK_X18Y130 GRID_POINT_Y 26 TILEPROP HCLK_VBRK_X18Y130 INDEX 3008 TILEPROP HCLK_VBRK_X18Y130 INT_TILE_X 5 TILEPROP HCLK_VBRK_X18Y130 INT_TILE_Y 24 TILEPROP HCLK_VBRK_X18Y130 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X18Y130 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X18Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X18Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X18Y130 NAME HCLK_VBRK_X18Y130 TILEPROP HCLK_VBRK_X18Y130 NUM_ARCS 0 TILEPROP HCLK_VBRK_X18Y130 NUM_SITES 0 TILEPROP HCLK_VBRK_X18Y130 ROW 26 TILEPROP HCLK_VBRK_X18Y130 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X18Y130 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X18Y130 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X18Y130 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X18Y130 TILE_X -70918 TILEPROP HCLK_VBRK_X18Y130 TILE_Y 161784 TILEPROP HCLK_VBRK_X18Y130 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X29Y26 CLASS tile TILEPROP HCLK_VBRK_X29Y26 COLUMN 29 TILEPROP HCLK_VBRK_X29Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X29Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_VBRK_X29Y26 GRID_POINT_X 29 TILEPROP HCLK_VBRK_X29Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X29Y26 INDEX 14979 TILEPROP HCLK_VBRK_X29Y26 INT_TILE_X 9 TILEPROP HCLK_VBRK_X29Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X29Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X29Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X29Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X29Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X29Y26 NAME HCLK_VBRK_X29Y26 TILEPROP HCLK_VBRK_X29Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X29Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X29Y26 ROW 130 TILEPROP HCLK_VBRK_X29Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X29Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X29Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X29Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X29Y26 TILE_X -50048 TILEPROP HCLK_VBRK_X29Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X29Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X29Y78 CLASS tile TILEPROP HCLK_VBRK_X29Y78 COLUMN 29 TILEPROP HCLK_VBRK_X29Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X29Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_VBRK_X29Y78 GRID_POINT_X 29 TILEPROP HCLK_VBRK_X29Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X29Y78 INDEX 8999 TILEPROP HCLK_VBRK_X29Y78 INT_TILE_X 9 TILEPROP HCLK_VBRK_X29Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X29Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X29Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X29Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X29Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X29Y78 NAME HCLK_VBRK_X29Y78 TILEPROP HCLK_VBRK_X29Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X29Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X29Y78 ROW 78 TILEPROP HCLK_VBRK_X29Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X29Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X29Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X29Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X29Y78 TILE_X -50048 TILEPROP HCLK_VBRK_X29Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X29Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X29Y130 CLASS tile TILEPROP HCLK_VBRK_X29Y130 COLUMN 29 TILEPROP HCLK_VBRK_X29Y130 DEVICE_ID 0 TILEPROP HCLK_VBRK_X29Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_VBRK_X29Y130 GRID_POINT_X 29 TILEPROP HCLK_VBRK_X29Y130 GRID_POINT_Y 26 TILEPROP HCLK_VBRK_X29Y130 INDEX 3019 TILEPROP HCLK_VBRK_X29Y130 INT_TILE_X 9 TILEPROP HCLK_VBRK_X29Y130 INT_TILE_Y 24 TILEPROP HCLK_VBRK_X29Y130 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X29Y130 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X29Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X29Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X29Y130 NAME HCLK_VBRK_X29Y130 TILEPROP HCLK_VBRK_X29Y130 NUM_ARCS 0 TILEPROP HCLK_VBRK_X29Y130 NUM_SITES 0 TILEPROP HCLK_VBRK_X29Y130 ROW 26 TILEPROP HCLK_VBRK_X29Y130 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X29Y130 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X29Y130 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X29Y130 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X29Y130 TILE_X -50048 TILEPROP HCLK_VBRK_X29Y130 TILE_Y 161784 TILEPROP HCLK_VBRK_X29Y130 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X34Y26 CLASS tile TILEPROP HCLK_VBRK_X34Y26 COLUMN 34 TILEPROP HCLK_VBRK_X34Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X34Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_VBRK_X34Y26 GRID_POINT_X 34 TILEPROP HCLK_VBRK_X34Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X34Y26 INDEX 14984 TILEPROP HCLK_VBRK_X34Y26 INT_TILE_X 11 TILEPROP HCLK_VBRK_X34Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X34Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X34Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X34Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X34Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X34Y26 NAME HCLK_VBRK_X34Y26 TILEPROP HCLK_VBRK_X34Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X34Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X34Y26 ROW 130 TILEPROP HCLK_VBRK_X34Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X34Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X34Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X34Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X34Y26 TILE_X -41680 TILEPROP HCLK_VBRK_X34Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X34Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X34Y78 CLASS tile TILEPROP HCLK_VBRK_X34Y78 COLUMN 34 TILEPROP HCLK_VBRK_X34Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X34Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_VBRK_X34Y78 GRID_POINT_X 34 TILEPROP HCLK_VBRK_X34Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X34Y78 INDEX 9004 TILEPROP HCLK_VBRK_X34Y78 INT_TILE_X 11 TILEPROP HCLK_VBRK_X34Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X34Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X34Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X34Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X34Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X34Y78 NAME HCLK_VBRK_X34Y78 TILEPROP HCLK_VBRK_X34Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X34Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X34Y78 ROW 78 TILEPROP HCLK_VBRK_X34Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X34Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X34Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X34Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X34Y78 TILE_X -41680 TILEPROP HCLK_VBRK_X34Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X34Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X34Y130 CLASS tile TILEPROP HCLK_VBRK_X34Y130 COLUMN 34 TILEPROP HCLK_VBRK_X34Y130 DEVICE_ID 0 TILEPROP HCLK_VBRK_X34Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_VBRK_X34Y130 GRID_POINT_X 34 TILEPROP HCLK_VBRK_X34Y130 GRID_POINT_Y 26 TILEPROP HCLK_VBRK_X34Y130 INDEX 3024 TILEPROP HCLK_VBRK_X34Y130 INT_TILE_X 11 TILEPROP HCLK_VBRK_X34Y130 INT_TILE_Y 24 TILEPROP HCLK_VBRK_X34Y130 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X34Y130 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X34Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X34Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X34Y130 NAME HCLK_VBRK_X34Y130 TILEPROP HCLK_VBRK_X34Y130 NUM_ARCS 0 TILEPROP HCLK_VBRK_X34Y130 NUM_SITES 0 TILEPROP HCLK_VBRK_X34Y130 ROW 26 TILEPROP HCLK_VBRK_X34Y130 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X34Y130 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X34Y130 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X34Y130 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X34Y130 TILE_X -41680 TILEPROP HCLK_VBRK_X34Y130 TILE_Y 161784 TILEPROP HCLK_VBRK_X34Y130 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X61Y26 CLASS tile TILEPROP HCLK_VBRK_X61Y26 COLUMN 61 TILEPROP HCLK_VBRK_X61Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X61Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_VBRK_X61Y26 GRID_POINT_X 61 TILEPROP HCLK_VBRK_X61Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X61Y26 INDEX 15011 TILEPROP HCLK_VBRK_X61Y26 INT_TILE_X 23 TILEPROP HCLK_VBRK_X61Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X61Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X61Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X61Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X61Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X61Y26 NAME HCLK_VBRK_X61Y26 TILEPROP HCLK_VBRK_X61Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X61Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X61Y26 ROW 130 TILEPROP HCLK_VBRK_X61Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X61Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X61Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X61Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X61Y26 TILE_X 10608 TILEPROP HCLK_VBRK_X61Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X61Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X61Y78 CLASS tile TILEPROP HCLK_VBRK_X61Y78 COLUMN 61 TILEPROP HCLK_VBRK_X61Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X61Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_VBRK_X61Y78 GRID_POINT_X 61 TILEPROP HCLK_VBRK_X61Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X61Y78 INDEX 9031 TILEPROP HCLK_VBRK_X61Y78 INT_TILE_X 23 TILEPROP HCLK_VBRK_X61Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X61Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X61Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X61Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X61Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X61Y78 NAME HCLK_VBRK_X61Y78 TILEPROP HCLK_VBRK_X61Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X61Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X61Y78 ROW 78 TILEPROP HCLK_VBRK_X61Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X61Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X61Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X61Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X61Y78 TILE_X 10608 TILEPROP HCLK_VBRK_X61Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X61Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X61Y130 CLASS tile TILEPROP HCLK_VBRK_X61Y130 COLUMN 61 TILEPROP HCLK_VBRK_X61Y130 DEVICE_ID 0 TILEPROP HCLK_VBRK_X61Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_VBRK_X61Y130 GRID_POINT_X 61 TILEPROP HCLK_VBRK_X61Y130 GRID_POINT_Y 26 TILEPROP HCLK_VBRK_X61Y130 INDEX 3051 TILEPROP HCLK_VBRK_X61Y130 INT_TILE_X 23 TILEPROP HCLK_VBRK_X61Y130 INT_TILE_Y 24 TILEPROP HCLK_VBRK_X61Y130 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X61Y130 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X61Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X61Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X61Y130 NAME HCLK_VBRK_X61Y130 TILEPROP HCLK_VBRK_X61Y130 NUM_ARCS 0 TILEPROP HCLK_VBRK_X61Y130 NUM_SITES 0 TILEPROP HCLK_VBRK_X61Y130 ROW 26 TILEPROP HCLK_VBRK_X61Y130 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X61Y130 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X61Y130 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X61Y130 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X61Y130 TILE_X 10608 TILEPROP HCLK_VBRK_X61Y130 TILE_Y 161784 TILEPROP HCLK_VBRK_X61Y130 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X66Y26 CLASS tile TILEPROP HCLK_VBRK_X66Y26 COLUMN 66 TILEPROP HCLK_VBRK_X66Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X66Y26 FIRST_SITE_ID 13177 TILEPROP HCLK_VBRK_X66Y26 GRID_POINT_X 66 TILEPROP HCLK_VBRK_X66Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X66Y26 INDEX 15016 TILEPROP HCLK_VBRK_X66Y26 INT_TILE_X 25 TILEPROP HCLK_VBRK_X66Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X66Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X66Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X66Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X66Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X66Y26 NAME HCLK_VBRK_X66Y26 TILEPROP HCLK_VBRK_X66Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X66Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X66Y26 ROW 130 TILEPROP HCLK_VBRK_X66Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X66Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X66Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X66Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X66Y26 TILE_X 18976 TILEPROP HCLK_VBRK_X66Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X66Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X66Y78 CLASS tile TILEPROP HCLK_VBRK_X66Y78 COLUMN 66 TILEPROP HCLK_VBRK_X66Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X66Y78 FIRST_SITE_ID 7790 TILEPROP HCLK_VBRK_X66Y78 GRID_POINT_X 66 TILEPROP HCLK_VBRK_X66Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X66Y78 INDEX 9036 TILEPROP HCLK_VBRK_X66Y78 INT_TILE_X 25 TILEPROP HCLK_VBRK_X66Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X66Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X66Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X66Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X66Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X66Y78 NAME HCLK_VBRK_X66Y78 TILEPROP HCLK_VBRK_X66Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X66Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X66Y78 ROW 78 TILEPROP HCLK_VBRK_X66Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X66Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X66Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X66Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X66Y78 TILE_X 18976 TILEPROP HCLK_VBRK_X66Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X66Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X66Y130 CLASS tile TILEPROP HCLK_VBRK_X66Y130 COLUMN 66 TILEPROP HCLK_VBRK_X66Y130 DEVICE_ID 0 TILEPROP HCLK_VBRK_X66Y130 FIRST_SITE_ID 2683 TILEPROP HCLK_VBRK_X66Y130 GRID_POINT_X 66 TILEPROP HCLK_VBRK_X66Y130 GRID_POINT_Y 26 TILEPROP HCLK_VBRK_X66Y130 INDEX 3056 TILEPROP HCLK_VBRK_X66Y130 INT_TILE_X 25 TILEPROP HCLK_VBRK_X66Y130 INT_TILE_Y 24 TILEPROP HCLK_VBRK_X66Y130 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X66Y130 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X66Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X66Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X66Y130 NAME HCLK_VBRK_X66Y130 TILEPROP HCLK_VBRK_X66Y130 NUM_ARCS 0 TILEPROP HCLK_VBRK_X66Y130 NUM_SITES 0 TILEPROP HCLK_VBRK_X66Y130 ROW 26 TILEPROP HCLK_VBRK_X66Y130 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X66Y130 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X66Y130 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X66Y130 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X66Y130 TILE_X 18976 TILEPROP HCLK_VBRK_X66Y130 TILE_Y 161784 TILEPROP HCLK_VBRK_X66Y130 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X80Y26 CLASS tile TILEPROP HCLK_VBRK_X80Y26 COLUMN 80 TILEPROP HCLK_VBRK_X80Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X80Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_VBRK_X80Y26 GRID_POINT_X 80 TILEPROP HCLK_VBRK_X80Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X80Y26 INDEX 15030 TILEPROP HCLK_VBRK_X80Y26 INT_TILE_X 31 TILEPROP HCLK_VBRK_X80Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X80Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X80Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X80Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X80Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X80Y26 NAME HCLK_VBRK_X80Y26 TILEPROP HCLK_VBRK_X80Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X80Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X80Y26 ROW 130 TILEPROP HCLK_VBRK_X80Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X80Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X80Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X80Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X80Y26 TILE_X 47696 TILEPROP HCLK_VBRK_X80Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X80Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X80Y78 CLASS tile TILEPROP HCLK_VBRK_X80Y78 COLUMN 80 TILEPROP HCLK_VBRK_X80Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X80Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_VBRK_X80Y78 GRID_POINT_X 80 TILEPROP HCLK_VBRK_X80Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X80Y78 INDEX 9050 TILEPROP HCLK_VBRK_X80Y78 INT_TILE_X 31 TILEPROP HCLK_VBRK_X80Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X80Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X80Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X80Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X80Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X80Y78 NAME HCLK_VBRK_X80Y78 TILEPROP HCLK_VBRK_X80Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X80Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X80Y78 ROW 78 TILEPROP HCLK_VBRK_X80Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X80Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X80Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X80Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X80Y78 TILE_X 47696 TILEPROP HCLK_VBRK_X80Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X80Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X80Y130 CLASS tile TILEPROP HCLK_VBRK_X80Y130 COLUMN 80 TILEPROP HCLK_VBRK_X80Y130 DEVICE_ID 0 TILEPROP HCLK_VBRK_X80Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_VBRK_X80Y130 GRID_POINT_X 80 TILEPROP HCLK_VBRK_X80Y130 GRID_POINT_Y 26 TILEPROP HCLK_VBRK_X80Y130 INDEX 3070 TILEPROP HCLK_VBRK_X80Y130 INT_TILE_X 31 TILEPROP HCLK_VBRK_X80Y130 INT_TILE_Y 24 TILEPROP HCLK_VBRK_X80Y130 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X80Y130 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X80Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X80Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X80Y130 NAME HCLK_VBRK_X80Y130 TILEPROP HCLK_VBRK_X80Y130 NUM_ARCS 0 TILEPROP HCLK_VBRK_X80Y130 NUM_SITES 0 TILEPROP HCLK_VBRK_X80Y130 ROW 26 TILEPROP HCLK_VBRK_X80Y130 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X80Y130 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X80Y130 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X80Y130 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X80Y130 TILE_X 47696 TILEPROP HCLK_VBRK_X80Y130 TILE_Y 161784 TILEPROP HCLK_VBRK_X80Y130 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X85Y26 CLASS tile TILEPROP HCLK_VBRK_X85Y26 COLUMN 85 TILEPROP HCLK_VBRK_X85Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X85Y26 FIRST_SITE_ID 13178 TILEPROP HCLK_VBRK_X85Y26 GRID_POINT_X 85 TILEPROP HCLK_VBRK_X85Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X85Y26 INDEX 15035 TILEPROP HCLK_VBRK_X85Y26 INT_TILE_X 33 TILEPROP HCLK_VBRK_X85Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X85Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X85Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X85Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X85Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X85Y26 NAME HCLK_VBRK_X85Y26 TILEPROP HCLK_VBRK_X85Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X85Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X85Y26 ROW 130 TILEPROP HCLK_VBRK_X85Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X85Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X85Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X85Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X85Y26 TILE_X 56064 TILEPROP HCLK_VBRK_X85Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X85Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X85Y78 CLASS tile TILEPROP HCLK_VBRK_X85Y78 COLUMN 85 TILEPROP HCLK_VBRK_X85Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X85Y78 FIRST_SITE_ID 7791 TILEPROP HCLK_VBRK_X85Y78 GRID_POINT_X 85 TILEPROP HCLK_VBRK_X85Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X85Y78 INDEX 9055 TILEPROP HCLK_VBRK_X85Y78 INT_TILE_X 33 TILEPROP HCLK_VBRK_X85Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X85Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X85Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X85Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X85Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X85Y78 NAME HCLK_VBRK_X85Y78 TILEPROP HCLK_VBRK_X85Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X85Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X85Y78 ROW 78 TILEPROP HCLK_VBRK_X85Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X85Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X85Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X85Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X85Y78 TILE_X 56064 TILEPROP HCLK_VBRK_X85Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X85Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X85Y130 CLASS tile TILEPROP HCLK_VBRK_X85Y130 COLUMN 85 TILEPROP HCLK_VBRK_X85Y130 DEVICE_ID 0 TILEPROP HCLK_VBRK_X85Y130 FIRST_SITE_ID 2684 TILEPROP HCLK_VBRK_X85Y130 GRID_POINT_X 85 TILEPROP HCLK_VBRK_X85Y130 GRID_POINT_Y 26 TILEPROP HCLK_VBRK_X85Y130 INDEX 3075 TILEPROP HCLK_VBRK_X85Y130 INT_TILE_X 33 TILEPROP HCLK_VBRK_X85Y130 INT_TILE_Y 24 TILEPROP HCLK_VBRK_X85Y130 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X85Y130 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X85Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X85Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X85Y130 NAME HCLK_VBRK_X85Y130 TILEPROP HCLK_VBRK_X85Y130 NUM_ARCS 0 TILEPROP HCLK_VBRK_X85Y130 NUM_SITES 0 TILEPROP HCLK_VBRK_X85Y130 ROW 26 TILEPROP HCLK_VBRK_X85Y130 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X85Y130 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X85Y130 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X85Y130 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X85Y130 TILE_X 56064 TILEPROP HCLK_VBRK_X85Y130 TILE_Y 161784 TILEPROP HCLK_VBRK_X85Y130 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X96Y26 CLASS tile TILEPROP HCLK_VBRK_X96Y26 COLUMN 96 TILEPROP HCLK_VBRK_X96Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X96Y26 FIRST_SITE_ID 13179 TILEPROP HCLK_VBRK_X96Y26 GRID_POINT_X 96 TILEPROP HCLK_VBRK_X96Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X96Y26 INDEX 15046 TILEPROP HCLK_VBRK_X96Y26 INT_TILE_X 37 TILEPROP HCLK_VBRK_X96Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X96Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X96Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X96Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X96Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X96Y26 NAME HCLK_VBRK_X96Y26 TILEPROP HCLK_VBRK_X96Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X96Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X96Y26 ROW 130 TILEPROP HCLK_VBRK_X96Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X96Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X96Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X96Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X96Y26 TILE_X 76934 TILEPROP HCLK_VBRK_X96Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X96Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X96Y78 CLASS tile TILEPROP HCLK_VBRK_X96Y78 COLUMN 96 TILEPROP HCLK_VBRK_X96Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X96Y78 FIRST_SITE_ID 7792 TILEPROP HCLK_VBRK_X96Y78 GRID_POINT_X 96 TILEPROP HCLK_VBRK_X96Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X96Y78 INDEX 9066 TILEPROP HCLK_VBRK_X96Y78 INT_TILE_X 37 TILEPROP HCLK_VBRK_X96Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X96Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X96Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X96Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X96Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X96Y78 NAME HCLK_VBRK_X96Y78 TILEPROP HCLK_VBRK_X96Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X96Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X96Y78 ROW 78 TILEPROP HCLK_VBRK_X96Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X96Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X96Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X96Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X96Y78 TILE_X 76934 TILEPROP HCLK_VBRK_X96Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X96Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X9Y26 CLASS tile TILEPROP HCLK_VBRK_X9Y26 COLUMN 9 TILEPROP HCLK_VBRK_X9Y26 DEVICE_ID 0 TILEPROP HCLK_VBRK_X9Y26 FIRST_SITE_ID 13118 TILEPROP HCLK_VBRK_X9Y26 GRID_POINT_X 9 TILEPROP HCLK_VBRK_X9Y26 GRID_POINT_Y 130 TILEPROP HCLK_VBRK_X9Y26 INDEX 14959 TILEPROP HCLK_VBRK_X9Y26 INT_TILE_X 1 TILEPROP HCLK_VBRK_X9Y26 INT_TILE_Y 124 TILEPROP HCLK_VBRK_X9Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X9Y26 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X9Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X9Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X9Y26 NAME HCLK_VBRK_X9Y26 TILEPROP HCLK_VBRK_X9Y26 NUM_ARCS 0 TILEPROP HCLK_VBRK_X9Y26 NUM_SITES 0 TILEPROP HCLK_VBRK_X9Y26 ROW 130 TILEPROP HCLK_VBRK_X9Y26 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X9Y26 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X9Y26 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X9Y26 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X9Y26 TILE_X -87590 TILEPROP HCLK_VBRK_X9Y26 TILE_Y -160760 TILEPROP HCLK_VBRK_X9Y26 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X9Y78 CLASS tile TILEPROP HCLK_VBRK_X9Y78 COLUMN 9 TILEPROP HCLK_VBRK_X9Y78 DEVICE_ID 0 TILEPROP HCLK_VBRK_X9Y78 FIRST_SITE_ID 7731 TILEPROP HCLK_VBRK_X9Y78 GRID_POINT_X 9 TILEPROP HCLK_VBRK_X9Y78 GRID_POINT_Y 78 TILEPROP HCLK_VBRK_X9Y78 INDEX 8979 TILEPROP HCLK_VBRK_X9Y78 INT_TILE_X 1 TILEPROP HCLK_VBRK_X9Y78 INT_TILE_Y 74 TILEPROP HCLK_VBRK_X9Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X9Y78 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X9Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X9Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X9Y78 NAME HCLK_VBRK_X9Y78 TILEPROP HCLK_VBRK_X9Y78 NUM_ARCS 0 TILEPROP HCLK_VBRK_X9Y78 NUM_SITES 0 TILEPROP HCLK_VBRK_X9Y78 ROW 78 TILEPROP HCLK_VBRK_X9Y78 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X9Y78 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X9Y78 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X9Y78 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X9Y78 TILE_X -87590 TILEPROP HCLK_VBRK_X9Y78 TILE_Y 512 TILEPROP HCLK_VBRK_X9Y78 TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X9Y130 CLASS tile TILEPROP HCLK_VBRK_X9Y130 COLUMN 9 TILEPROP HCLK_VBRK_X9Y130 DEVICE_ID 0 TILEPROP HCLK_VBRK_X9Y130 FIRST_SITE_ID 2624 TILEPROP HCLK_VBRK_X9Y130 GRID_POINT_X 9 TILEPROP HCLK_VBRK_X9Y130 GRID_POINT_Y 26 TILEPROP HCLK_VBRK_X9Y130 INDEX 2999 TILEPROP HCLK_VBRK_X9Y130 INT_TILE_X 1 TILEPROP HCLK_VBRK_X9Y130 INT_TILE_Y 24 TILEPROP HCLK_VBRK_X9Y130 IS_CENTER_TILE 0 TILEPROP HCLK_VBRK_X9Y130 IS_DCM_TILE 0 TILEPROP HCLK_VBRK_X9Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VBRK_X9Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_VBRK_X9Y130 NAME HCLK_VBRK_X9Y130 TILEPROP HCLK_VBRK_X9Y130 NUM_ARCS 0 TILEPROP HCLK_VBRK_X9Y130 NUM_SITES 0 TILEPROP HCLK_VBRK_X9Y130 ROW 26 TILEPROP HCLK_VBRK_X9Y130 SLR_REGION_ID 0 TILEPROP HCLK_VBRK_X9Y130 TILE_PATTERN_IDX 836 TILEPROP HCLK_VBRK_X9Y130 TILE_TYPE HCLK_VBRK TILEPROP HCLK_VBRK_X9Y130 TILE_TYPE_INDEX 89 TILEPROP HCLK_VBRK_X9Y130 TILE_X -87590 TILEPROP HCLK_VBRK_X9Y130 TILE_Y 161784 TILEPROP HCLK_VBRK_X9Y130 TYPE HCLK_VBRK TILEPROP HCLK_VFRAME_X47Y26 CLASS tile TILEPROP HCLK_VFRAME_X47Y26 COLUMN 47 TILEPROP HCLK_VFRAME_X47Y26 DEVICE_ID 0 TILEPROP HCLK_VFRAME_X47Y26 FIRST_SITE_ID 13119 TILEPROP HCLK_VFRAME_X47Y26 GRID_POINT_X 47 TILEPROP HCLK_VFRAME_X47Y26 GRID_POINT_Y 130 TILEPROP HCLK_VFRAME_X47Y26 INDEX 14997 TILEPROP HCLK_VFRAME_X47Y26 INT_TILE_X -1 TILEPROP HCLK_VFRAME_X47Y26 INT_TILE_Y -1 TILEPROP HCLK_VFRAME_X47Y26 IS_CENTER_TILE 0 TILEPROP HCLK_VFRAME_X47Y26 IS_DCM_TILE 0 TILEPROP HCLK_VFRAME_X47Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VFRAME_X47Y26 IS_GT_SITE_TILE 0 TILEPROP HCLK_VFRAME_X47Y26 NAME HCLK_VFRAME_X47Y26 TILEPROP HCLK_VFRAME_X47Y26 NUM_ARCS 0 TILEPROP HCLK_VFRAME_X47Y26 NUM_SITES 0 TILEPROP HCLK_VFRAME_X47Y26 ROW 130 TILEPROP HCLK_VFRAME_X47Y26 SLR_REGION_ID 0 TILEPROP HCLK_VFRAME_X47Y26 TILE_PATTERN_IDX 852 TILEPROP HCLK_VFRAME_X47Y26 TILE_TYPE HCLK_VFRAME TILEPROP HCLK_VFRAME_X47Y26 TILE_TYPE_INDEX 90 TILEPROP HCLK_VFRAME_X47Y26 TILE_X -16704 TILEPROP HCLK_VFRAME_X47Y26 TILE_Y -160760 TILEPROP HCLK_VFRAME_X47Y26 TYPE HCLK_VFRAME TILEPROP HCLK_VFRAME_X47Y78 CLASS tile TILEPROP HCLK_VFRAME_X47Y78 COLUMN 47 TILEPROP HCLK_VFRAME_X47Y78 DEVICE_ID 0 TILEPROP HCLK_VFRAME_X47Y78 FIRST_SITE_ID 7732 TILEPROP HCLK_VFRAME_X47Y78 GRID_POINT_X 47 TILEPROP HCLK_VFRAME_X47Y78 GRID_POINT_Y 78 TILEPROP HCLK_VFRAME_X47Y78 INDEX 9017 TILEPROP HCLK_VFRAME_X47Y78 INT_TILE_X -1 TILEPROP HCLK_VFRAME_X47Y78 INT_TILE_Y -1 TILEPROP HCLK_VFRAME_X47Y78 IS_CENTER_TILE 0 TILEPROP HCLK_VFRAME_X47Y78 IS_DCM_TILE 0 TILEPROP HCLK_VFRAME_X47Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VFRAME_X47Y78 IS_GT_SITE_TILE 0 TILEPROP HCLK_VFRAME_X47Y78 NAME HCLK_VFRAME_X47Y78 TILEPROP HCLK_VFRAME_X47Y78 NUM_ARCS 0 TILEPROP HCLK_VFRAME_X47Y78 NUM_SITES 0 TILEPROP HCLK_VFRAME_X47Y78 ROW 78 TILEPROP HCLK_VFRAME_X47Y78 SLR_REGION_ID 0 TILEPROP HCLK_VFRAME_X47Y78 TILE_PATTERN_IDX 852 TILEPROP HCLK_VFRAME_X47Y78 TILE_TYPE HCLK_VFRAME TILEPROP HCLK_VFRAME_X47Y78 TILE_TYPE_INDEX 90 TILEPROP HCLK_VFRAME_X47Y78 TILE_X -16704 TILEPROP HCLK_VFRAME_X47Y78 TILE_Y 512 TILEPROP HCLK_VFRAME_X47Y78 TYPE HCLK_VFRAME TILEPROP HCLK_VFRAME_X47Y130 CLASS tile TILEPROP HCLK_VFRAME_X47Y130 COLUMN 47 TILEPROP HCLK_VFRAME_X47Y130 DEVICE_ID 0 TILEPROP HCLK_VFRAME_X47Y130 FIRST_SITE_ID 2625 TILEPROP HCLK_VFRAME_X47Y130 GRID_POINT_X 47 TILEPROP HCLK_VFRAME_X47Y130 GRID_POINT_Y 26 TILEPROP HCLK_VFRAME_X47Y130 INDEX 3037 TILEPROP HCLK_VFRAME_X47Y130 INT_TILE_X 17 TILEPROP HCLK_VFRAME_X47Y130 INT_TILE_Y 24 TILEPROP HCLK_VFRAME_X47Y130 IS_CENTER_TILE 0 TILEPROP HCLK_VFRAME_X47Y130 IS_DCM_TILE 0 TILEPROP HCLK_VFRAME_X47Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP HCLK_VFRAME_X47Y130 IS_GT_SITE_TILE 0 TILEPROP HCLK_VFRAME_X47Y130 NAME HCLK_VFRAME_X47Y130 TILEPROP HCLK_VFRAME_X47Y130 NUM_ARCS 0 TILEPROP HCLK_VFRAME_X47Y130 NUM_SITES 0 TILEPROP HCLK_VFRAME_X47Y130 ROW 26 TILEPROP HCLK_VFRAME_X47Y130 SLR_REGION_ID 0 TILEPROP HCLK_VFRAME_X47Y130 TILE_PATTERN_IDX 852 TILEPROP HCLK_VFRAME_X47Y130 TILE_TYPE HCLK_VFRAME TILEPROP HCLK_VFRAME_X47Y130 TILE_TYPE_INDEX 90 TILEPROP HCLK_VFRAME_X47Y130 TILE_X -16704 TILEPROP HCLK_VFRAME_X47Y130 TILE_Y 161784 TILEPROP HCLK_VFRAME_X47Y130 TYPE HCLK_VFRAME TILEPROP INT_FEEDTHRU_1_X35Y1 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y1 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_1_X35Y1 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_1_X35Y1 INDEX 17860 TILEPROP INT_FEEDTHRU_1_X35Y1 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y1 INT_TILE_Y 149 TILEPROP INT_FEEDTHRU_1_X35Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y1 NAME INT_FEEDTHRU_1_X35Y1 TILEPROP INT_FEEDTHRU_1_X35Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y1 ROW 155 TILEPROP INT_FEEDTHRU_1_X35Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y1 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y1 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y1 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y1 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_1_X35Y1 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y2 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y2 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_1_X35Y2 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_1_X35Y2 INDEX 17745 TILEPROP INT_FEEDTHRU_1_X35Y2 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y2 INT_TILE_Y 148 TILEPROP INT_FEEDTHRU_1_X35Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y2 NAME INT_FEEDTHRU_1_X35Y2 TILEPROP INT_FEEDTHRU_1_X35Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y2 ROW 154 TILEPROP INT_FEEDTHRU_1_X35Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y2 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y2 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y2 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y2 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_1_X35Y2 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y3 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y3 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_1_X35Y3 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_1_X35Y3 INDEX 17630 TILEPROP INT_FEEDTHRU_1_X35Y3 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y3 INT_TILE_Y 147 TILEPROP INT_FEEDTHRU_1_X35Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y3 NAME INT_FEEDTHRU_1_X35Y3 TILEPROP INT_FEEDTHRU_1_X35Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y3 ROW 153 TILEPROP INT_FEEDTHRU_1_X35Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y3 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y3 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y3 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y3 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_1_X35Y3 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y4 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y4 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_1_X35Y4 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_1_X35Y4 INDEX 17515 TILEPROP INT_FEEDTHRU_1_X35Y4 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y4 INT_TILE_Y 146 TILEPROP INT_FEEDTHRU_1_X35Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y4 NAME INT_FEEDTHRU_1_X35Y4 TILEPROP INT_FEEDTHRU_1_X35Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y4 ROW 152 TILEPROP INT_FEEDTHRU_1_X35Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y4 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y4 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y4 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y4 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_1_X35Y4 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y5 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y5 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_1_X35Y5 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_1_X35Y5 INDEX 17400 TILEPROP INT_FEEDTHRU_1_X35Y5 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y5 INT_TILE_Y 145 TILEPROP INT_FEEDTHRU_1_X35Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y5 NAME INT_FEEDTHRU_1_X35Y5 TILEPROP INT_FEEDTHRU_1_X35Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y5 ROW 151 TILEPROP INT_FEEDTHRU_1_X35Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y5 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y5 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y5 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y5 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_1_X35Y5 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y6 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y6 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_1_X35Y6 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_1_X35Y6 INDEX 17285 TILEPROP INT_FEEDTHRU_1_X35Y6 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y6 INT_TILE_Y 144 TILEPROP INT_FEEDTHRU_1_X35Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y6 NAME INT_FEEDTHRU_1_X35Y6 TILEPROP INT_FEEDTHRU_1_X35Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y6 ROW 150 TILEPROP INT_FEEDTHRU_1_X35Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y6 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y6 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y6 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y6 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_1_X35Y6 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y7 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y7 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_1_X35Y7 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_1_X35Y7 INDEX 17170 TILEPROP INT_FEEDTHRU_1_X35Y7 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y7 INT_TILE_Y 143 TILEPROP INT_FEEDTHRU_1_X35Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y7 NAME INT_FEEDTHRU_1_X35Y7 TILEPROP INT_FEEDTHRU_1_X35Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y7 ROW 149 TILEPROP INT_FEEDTHRU_1_X35Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y7 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y7 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y7 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y7 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_1_X35Y7 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y8 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y8 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_1_X35Y8 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_1_X35Y8 INDEX 17055 TILEPROP INT_FEEDTHRU_1_X35Y8 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y8 INT_TILE_Y 142 TILEPROP INT_FEEDTHRU_1_X35Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y8 NAME INT_FEEDTHRU_1_X35Y8 TILEPROP INT_FEEDTHRU_1_X35Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y8 ROW 148 TILEPROP INT_FEEDTHRU_1_X35Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y8 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y8 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y8 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y8 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_1_X35Y8 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y9 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y9 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_1_X35Y9 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_1_X35Y9 INDEX 16940 TILEPROP INT_FEEDTHRU_1_X35Y9 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y9 INT_TILE_Y 141 TILEPROP INT_FEEDTHRU_1_X35Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y9 NAME INT_FEEDTHRU_1_X35Y9 TILEPROP INT_FEEDTHRU_1_X35Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y9 ROW 147 TILEPROP INT_FEEDTHRU_1_X35Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y9 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y9 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y9 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y9 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_1_X35Y9 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y10 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y10 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_1_X35Y10 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_1_X35Y10 INDEX 16825 TILEPROP INT_FEEDTHRU_1_X35Y10 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y10 INT_TILE_Y 140 TILEPROP INT_FEEDTHRU_1_X35Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y10 NAME INT_FEEDTHRU_1_X35Y10 TILEPROP INT_FEEDTHRU_1_X35Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y10 ROW 146 TILEPROP INT_FEEDTHRU_1_X35Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y10 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y10 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y10 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y10 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_1_X35Y10 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y11 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y11 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_1_X35Y11 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_1_X35Y11 INDEX 16710 TILEPROP INT_FEEDTHRU_1_X35Y11 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y11 INT_TILE_Y 139 TILEPROP INT_FEEDTHRU_1_X35Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y11 NAME INT_FEEDTHRU_1_X35Y11 TILEPROP INT_FEEDTHRU_1_X35Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y11 ROW 145 TILEPROP INT_FEEDTHRU_1_X35Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y11 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y11 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y11 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y11 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_1_X35Y11 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y12 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y12 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_1_X35Y12 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_1_X35Y12 INDEX 16595 TILEPROP INT_FEEDTHRU_1_X35Y12 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y12 INT_TILE_Y 138 TILEPROP INT_FEEDTHRU_1_X35Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y12 NAME INT_FEEDTHRU_1_X35Y12 TILEPROP INT_FEEDTHRU_1_X35Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y12 ROW 144 TILEPROP INT_FEEDTHRU_1_X35Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y12 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y12 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y12 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y12 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_1_X35Y12 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y13 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y13 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_1_X35Y13 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_1_X35Y13 INDEX 16480 TILEPROP INT_FEEDTHRU_1_X35Y13 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y13 INT_TILE_Y 137 TILEPROP INT_FEEDTHRU_1_X35Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y13 NAME INT_FEEDTHRU_1_X35Y13 TILEPROP INT_FEEDTHRU_1_X35Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y13 ROW 143 TILEPROP INT_FEEDTHRU_1_X35Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y13 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y13 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y13 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y13 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_1_X35Y13 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y14 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y14 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_1_X35Y14 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_1_X35Y14 INDEX 16365 TILEPROP INT_FEEDTHRU_1_X35Y14 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y14 INT_TILE_Y 136 TILEPROP INT_FEEDTHRU_1_X35Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y14 NAME INT_FEEDTHRU_1_X35Y14 TILEPROP INT_FEEDTHRU_1_X35Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y14 ROW 142 TILEPROP INT_FEEDTHRU_1_X35Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y14 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y14 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y14 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y14 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_1_X35Y14 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y15 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y15 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_1_X35Y15 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_1_X35Y15 INDEX 16250 TILEPROP INT_FEEDTHRU_1_X35Y15 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y15 INT_TILE_Y 135 TILEPROP INT_FEEDTHRU_1_X35Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y15 NAME INT_FEEDTHRU_1_X35Y15 TILEPROP INT_FEEDTHRU_1_X35Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y15 ROW 141 TILEPROP INT_FEEDTHRU_1_X35Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y15 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y15 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y15 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y15 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_1_X35Y15 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y16 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y16 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_1_X35Y16 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_1_X35Y16 INDEX 16135 TILEPROP INT_FEEDTHRU_1_X35Y16 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y16 INT_TILE_Y 134 TILEPROP INT_FEEDTHRU_1_X35Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y16 NAME INT_FEEDTHRU_1_X35Y16 TILEPROP INT_FEEDTHRU_1_X35Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y16 ROW 140 TILEPROP INT_FEEDTHRU_1_X35Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y16 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y16 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y16 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y16 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_1_X35Y16 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y17 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y17 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_1_X35Y17 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_1_X35Y17 INDEX 16020 TILEPROP INT_FEEDTHRU_1_X35Y17 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y17 INT_TILE_Y 133 TILEPROP INT_FEEDTHRU_1_X35Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y17 NAME INT_FEEDTHRU_1_X35Y17 TILEPROP INT_FEEDTHRU_1_X35Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y17 ROW 139 TILEPROP INT_FEEDTHRU_1_X35Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y17 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y17 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y17 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y17 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_1_X35Y17 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y18 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y18 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_1_X35Y18 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_1_X35Y18 INDEX 15905 TILEPROP INT_FEEDTHRU_1_X35Y18 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y18 INT_TILE_Y 132 TILEPROP INT_FEEDTHRU_1_X35Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y18 NAME INT_FEEDTHRU_1_X35Y18 TILEPROP INT_FEEDTHRU_1_X35Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y18 ROW 138 TILEPROP INT_FEEDTHRU_1_X35Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y18 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y18 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y18 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y18 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_1_X35Y18 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y19 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y19 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_1_X35Y19 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_1_X35Y19 INDEX 15790 TILEPROP INT_FEEDTHRU_1_X35Y19 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y19 INT_TILE_Y 131 TILEPROP INT_FEEDTHRU_1_X35Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y19 NAME INT_FEEDTHRU_1_X35Y19 TILEPROP INT_FEEDTHRU_1_X35Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y19 ROW 137 TILEPROP INT_FEEDTHRU_1_X35Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y19 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y19 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y19 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y19 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_1_X35Y19 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y20 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y20 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_1_X35Y20 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_1_X35Y20 INDEX 15675 TILEPROP INT_FEEDTHRU_1_X35Y20 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y20 INT_TILE_Y 130 TILEPROP INT_FEEDTHRU_1_X35Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y20 NAME INT_FEEDTHRU_1_X35Y20 TILEPROP INT_FEEDTHRU_1_X35Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y20 ROW 136 TILEPROP INT_FEEDTHRU_1_X35Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y20 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y20 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y20 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y20 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_1_X35Y20 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y21 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y21 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_1_X35Y21 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_1_X35Y21 INDEX 15560 TILEPROP INT_FEEDTHRU_1_X35Y21 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y21 INT_TILE_Y 129 TILEPROP INT_FEEDTHRU_1_X35Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y21 NAME INT_FEEDTHRU_1_X35Y21 TILEPROP INT_FEEDTHRU_1_X35Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y21 ROW 135 TILEPROP INT_FEEDTHRU_1_X35Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y21 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y21 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y21 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y21 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_1_X35Y21 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y22 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y22 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_1_X35Y22 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_1_X35Y22 INDEX 15445 TILEPROP INT_FEEDTHRU_1_X35Y22 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y22 INT_TILE_Y 128 TILEPROP INT_FEEDTHRU_1_X35Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y22 NAME INT_FEEDTHRU_1_X35Y22 TILEPROP INT_FEEDTHRU_1_X35Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y22 ROW 134 TILEPROP INT_FEEDTHRU_1_X35Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y22 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y22 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y22 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y22 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_1_X35Y22 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y23 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y23 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_1_X35Y23 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_1_X35Y23 INDEX 15330 TILEPROP INT_FEEDTHRU_1_X35Y23 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y23 INT_TILE_Y 127 TILEPROP INT_FEEDTHRU_1_X35Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y23 NAME INT_FEEDTHRU_1_X35Y23 TILEPROP INT_FEEDTHRU_1_X35Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y23 ROW 133 TILEPROP INT_FEEDTHRU_1_X35Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y23 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y23 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y23 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y23 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_1_X35Y23 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y24 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y24 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_1_X35Y24 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_1_X35Y24 INDEX 15215 TILEPROP INT_FEEDTHRU_1_X35Y24 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y24 INT_TILE_Y 126 TILEPROP INT_FEEDTHRU_1_X35Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y24 NAME INT_FEEDTHRU_1_X35Y24 TILEPROP INT_FEEDTHRU_1_X35Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y24 ROW 132 TILEPROP INT_FEEDTHRU_1_X35Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y24 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y24 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y24 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y24 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_1_X35Y24 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y25 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y25 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_1_X35Y25 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_1_X35Y25 INDEX 15100 TILEPROP INT_FEEDTHRU_1_X35Y25 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y25 INT_TILE_Y 125 TILEPROP INT_FEEDTHRU_1_X35Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y25 NAME INT_FEEDTHRU_1_X35Y25 TILEPROP INT_FEEDTHRU_1_X35Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y25 ROW 131 TILEPROP INT_FEEDTHRU_1_X35Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y25 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y25 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y25 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y25 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_1_X35Y25 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y27 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y27 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_1_X35Y27 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_1_X35Y27 INDEX 14870 TILEPROP INT_FEEDTHRU_1_X35Y27 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y27 INT_TILE_Y 124 TILEPROP INT_FEEDTHRU_1_X35Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y27 NAME INT_FEEDTHRU_1_X35Y27 TILEPROP INT_FEEDTHRU_1_X35Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y27 ROW 129 TILEPROP INT_FEEDTHRU_1_X35Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y27 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y27 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y27 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y27 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_1_X35Y27 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y28 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y28 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_1_X35Y28 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_1_X35Y28 INDEX 14755 TILEPROP INT_FEEDTHRU_1_X35Y28 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y28 INT_TILE_Y 123 TILEPROP INT_FEEDTHRU_1_X35Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y28 NAME INT_FEEDTHRU_1_X35Y28 TILEPROP INT_FEEDTHRU_1_X35Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y28 ROW 128 TILEPROP INT_FEEDTHRU_1_X35Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y28 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y28 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y28 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y28 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_1_X35Y28 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y29 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y29 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_1_X35Y29 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_1_X35Y29 INDEX 14640 TILEPROP INT_FEEDTHRU_1_X35Y29 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y29 INT_TILE_Y 122 TILEPROP INT_FEEDTHRU_1_X35Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y29 NAME INT_FEEDTHRU_1_X35Y29 TILEPROP INT_FEEDTHRU_1_X35Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y29 ROW 127 TILEPROP INT_FEEDTHRU_1_X35Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y29 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y29 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y29 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y29 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_1_X35Y29 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y30 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y30 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_1_X35Y30 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_1_X35Y30 INDEX 14525 TILEPROP INT_FEEDTHRU_1_X35Y30 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y30 INT_TILE_Y 121 TILEPROP INT_FEEDTHRU_1_X35Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y30 NAME INT_FEEDTHRU_1_X35Y30 TILEPROP INT_FEEDTHRU_1_X35Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y30 ROW 126 TILEPROP INT_FEEDTHRU_1_X35Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y30 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y30 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y30 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y30 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_1_X35Y30 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y31 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y31 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_1_X35Y31 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_1_X35Y31 INDEX 14410 TILEPROP INT_FEEDTHRU_1_X35Y31 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y31 INT_TILE_Y 120 TILEPROP INT_FEEDTHRU_1_X35Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y31 NAME INT_FEEDTHRU_1_X35Y31 TILEPROP INT_FEEDTHRU_1_X35Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y31 ROW 125 TILEPROP INT_FEEDTHRU_1_X35Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y31 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y31 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y31 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y31 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_1_X35Y31 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y32 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y32 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_1_X35Y32 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_1_X35Y32 INDEX 14295 TILEPROP INT_FEEDTHRU_1_X35Y32 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y32 INT_TILE_Y 119 TILEPROP INT_FEEDTHRU_1_X35Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y32 NAME INT_FEEDTHRU_1_X35Y32 TILEPROP INT_FEEDTHRU_1_X35Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y32 ROW 124 TILEPROP INT_FEEDTHRU_1_X35Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y32 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y32 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y32 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y32 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_1_X35Y32 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y33 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y33 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_1_X35Y33 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_1_X35Y33 INDEX 14180 TILEPROP INT_FEEDTHRU_1_X35Y33 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y33 INT_TILE_Y 118 TILEPROP INT_FEEDTHRU_1_X35Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y33 NAME INT_FEEDTHRU_1_X35Y33 TILEPROP INT_FEEDTHRU_1_X35Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y33 ROW 123 TILEPROP INT_FEEDTHRU_1_X35Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y33 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y33 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y33 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y33 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_1_X35Y33 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y34 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y34 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_1_X35Y34 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_1_X35Y34 INDEX 14065 TILEPROP INT_FEEDTHRU_1_X35Y34 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y34 INT_TILE_Y 117 TILEPROP INT_FEEDTHRU_1_X35Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y34 NAME INT_FEEDTHRU_1_X35Y34 TILEPROP INT_FEEDTHRU_1_X35Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y34 ROW 122 TILEPROP INT_FEEDTHRU_1_X35Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y34 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y34 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y34 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y34 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_1_X35Y34 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y35 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y35 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_1_X35Y35 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_1_X35Y35 INDEX 13950 TILEPROP INT_FEEDTHRU_1_X35Y35 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y35 INT_TILE_Y 116 TILEPROP INT_FEEDTHRU_1_X35Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y35 NAME INT_FEEDTHRU_1_X35Y35 TILEPROP INT_FEEDTHRU_1_X35Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y35 ROW 121 TILEPROP INT_FEEDTHRU_1_X35Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y35 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y35 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y35 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y35 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_1_X35Y35 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y36 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y36 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_1_X35Y36 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_1_X35Y36 INDEX 13835 TILEPROP INT_FEEDTHRU_1_X35Y36 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y36 INT_TILE_Y 115 TILEPROP INT_FEEDTHRU_1_X35Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y36 NAME INT_FEEDTHRU_1_X35Y36 TILEPROP INT_FEEDTHRU_1_X35Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y36 ROW 120 TILEPROP INT_FEEDTHRU_1_X35Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y36 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y36 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y36 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y36 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_1_X35Y36 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y37 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y37 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_1_X35Y37 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_1_X35Y37 INDEX 13720 TILEPROP INT_FEEDTHRU_1_X35Y37 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y37 INT_TILE_Y 114 TILEPROP INT_FEEDTHRU_1_X35Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y37 NAME INT_FEEDTHRU_1_X35Y37 TILEPROP INT_FEEDTHRU_1_X35Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y37 ROW 119 TILEPROP INT_FEEDTHRU_1_X35Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y37 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y37 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y37 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y37 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_1_X35Y37 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y38 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y38 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_1_X35Y38 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_1_X35Y38 INDEX 13605 TILEPROP INT_FEEDTHRU_1_X35Y38 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y38 INT_TILE_Y 113 TILEPROP INT_FEEDTHRU_1_X35Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y38 NAME INT_FEEDTHRU_1_X35Y38 TILEPROP INT_FEEDTHRU_1_X35Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y38 ROW 118 TILEPROP INT_FEEDTHRU_1_X35Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y38 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y38 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y38 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y38 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_1_X35Y38 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y39 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y39 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_1_X35Y39 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_1_X35Y39 INDEX 13490 TILEPROP INT_FEEDTHRU_1_X35Y39 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y39 INT_TILE_Y 112 TILEPROP INT_FEEDTHRU_1_X35Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y39 NAME INT_FEEDTHRU_1_X35Y39 TILEPROP INT_FEEDTHRU_1_X35Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y39 ROW 117 TILEPROP INT_FEEDTHRU_1_X35Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y39 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y39 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y39 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y39 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_1_X35Y39 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y40 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y40 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_1_X35Y40 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_1_X35Y40 INDEX 13375 TILEPROP INT_FEEDTHRU_1_X35Y40 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y40 INT_TILE_Y 111 TILEPROP INT_FEEDTHRU_1_X35Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y40 NAME INT_FEEDTHRU_1_X35Y40 TILEPROP INT_FEEDTHRU_1_X35Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y40 ROW 116 TILEPROP INT_FEEDTHRU_1_X35Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y40 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y40 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y40 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y40 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_1_X35Y40 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y41 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y41 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_1_X35Y41 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_1_X35Y41 INDEX 13260 TILEPROP INT_FEEDTHRU_1_X35Y41 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y41 INT_TILE_Y 110 TILEPROP INT_FEEDTHRU_1_X35Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y41 NAME INT_FEEDTHRU_1_X35Y41 TILEPROP INT_FEEDTHRU_1_X35Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y41 ROW 115 TILEPROP INT_FEEDTHRU_1_X35Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y41 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y41 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y41 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y41 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_1_X35Y41 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y42 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y42 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_1_X35Y42 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_1_X35Y42 INDEX 13145 TILEPROP INT_FEEDTHRU_1_X35Y42 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y42 INT_TILE_Y 109 TILEPROP INT_FEEDTHRU_1_X35Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y42 NAME INT_FEEDTHRU_1_X35Y42 TILEPROP INT_FEEDTHRU_1_X35Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y42 ROW 114 TILEPROP INT_FEEDTHRU_1_X35Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y42 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y42 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y42 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y42 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_1_X35Y42 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y43 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y43 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_1_X35Y43 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_1_X35Y43 INDEX 13030 TILEPROP INT_FEEDTHRU_1_X35Y43 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y43 INT_TILE_Y 108 TILEPROP INT_FEEDTHRU_1_X35Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y43 NAME INT_FEEDTHRU_1_X35Y43 TILEPROP INT_FEEDTHRU_1_X35Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y43 ROW 113 TILEPROP INT_FEEDTHRU_1_X35Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y43 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y43 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y43 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y43 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_1_X35Y43 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y44 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y44 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_1_X35Y44 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_1_X35Y44 INDEX 12915 TILEPROP INT_FEEDTHRU_1_X35Y44 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y44 INT_TILE_Y 107 TILEPROP INT_FEEDTHRU_1_X35Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y44 NAME INT_FEEDTHRU_1_X35Y44 TILEPROP INT_FEEDTHRU_1_X35Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y44 ROW 112 TILEPROP INT_FEEDTHRU_1_X35Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y44 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y44 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y44 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y44 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_1_X35Y44 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y45 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y45 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_1_X35Y45 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_1_X35Y45 INDEX 12800 TILEPROP INT_FEEDTHRU_1_X35Y45 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y45 INT_TILE_Y 106 TILEPROP INT_FEEDTHRU_1_X35Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y45 NAME INT_FEEDTHRU_1_X35Y45 TILEPROP INT_FEEDTHRU_1_X35Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y45 ROW 111 TILEPROP INT_FEEDTHRU_1_X35Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y45 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y45 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y45 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y45 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_1_X35Y45 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y46 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y46 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_1_X35Y46 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_1_X35Y46 INDEX 12685 TILEPROP INT_FEEDTHRU_1_X35Y46 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y46 INT_TILE_Y 105 TILEPROP INT_FEEDTHRU_1_X35Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y46 NAME INT_FEEDTHRU_1_X35Y46 TILEPROP INT_FEEDTHRU_1_X35Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y46 ROW 110 TILEPROP INT_FEEDTHRU_1_X35Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y46 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y46 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y46 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y46 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_1_X35Y46 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y47 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y47 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_1_X35Y47 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_1_X35Y47 INDEX 12570 TILEPROP INT_FEEDTHRU_1_X35Y47 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y47 INT_TILE_Y 104 TILEPROP INT_FEEDTHRU_1_X35Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y47 NAME INT_FEEDTHRU_1_X35Y47 TILEPROP INT_FEEDTHRU_1_X35Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y47 ROW 109 TILEPROP INT_FEEDTHRU_1_X35Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y47 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y47 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y47 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y47 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_1_X35Y47 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y48 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y48 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_1_X35Y48 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_1_X35Y48 INDEX 12455 TILEPROP INT_FEEDTHRU_1_X35Y48 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y48 INT_TILE_Y 103 TILEPROP INT_FEEDTHRU_1_X35Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y48 NAME INT_FEEDTHRU_1_X35Y48 TILEPROP INT_FEEDTHRU_1_X35Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y48 ROW 108 TILEPROP INT_FEEDTHRU_1_X35Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y48 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y48 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y48 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y48 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_1_X35Y48 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y49 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y49 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_1_X35Y49 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_1_X35Y49 INDEX 12340 TILEPROP INT_FEEDTHRU_1_X35Y49 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y49 INT_TILE_Y 102 TILEPROP INT_FEEDTHRU_1_X35Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y49 NAME INT_FEEDTHRU_1_X35Y49 TILEPROP INT_FEEDTHRU_1_X35Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y49 ROW 107 TILEPROP INT_FEEDTHRU_1_X35Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y49 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y49 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y49 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y49 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_1_X35Y49 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y50 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y50 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_1_X35Y50 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_1_X35Y50 INDEX 12225 TILEPROP INT_FEEDTHRU_1_X35Y50 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y50 INT_TILE_Y 101 TILEPROP INT_FEEDTHRU_1_X35Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y50 NAME INT_FEEDTHRU_1_X35Y50 TILEPROP INT_FEEDTHRU_1_X35Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y50 ROW 106 TILEPROP INT_FEEDTHRU_1_X35Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y50 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y50 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y50 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y50 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_1_X35Y50 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y51 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y51 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_1_X35Y51 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_1_X35Y51 INDEX 12110 TILEPROP INT_FEEDTHRU_1_X35Y51 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y51 INT_TILE_Y 100 TILEPROP INT_FEEDTHRU_1_X35Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y51 NAME INT_FEEDTHRU_1_X35Y51 TILEPROP INT_FEEDTHRU_1_X35Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y51 ROW 105 TILEPROP INT_FEEDTHRU_1_X35Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y51 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y51 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y51 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y51 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_1_X35Y51 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y53 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y53 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_1_X35Y53 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_1_X35Y53 INDEX 11880 TILEPROP INT_FEEDTHRU_1_X35Y53 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y53 INT_TILE_Y 99 TILEPROP INT_FEEDTHRU_1_X35Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y53 NAME INT_FEEDTHRU_1_X35Y53 TILEPROP INT_FEEDTHRU_1_X35Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y53 ROW 103 TILEPROP INT_FEEDTHRU_1_X35Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y53 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y53 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y53 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y53 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_1_X35Y53 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y54 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y54 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_1_X35Y54 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_1_X35Y54 INDEX 11765 TILEPROP INT_FEEDTHRU_1_X35Y54 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y54 INT_TILE_Y 98 TILEPROP INT_FEEDTHRU_1_X35Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y54 NAME INT_FEEDTHRU_1_X35Y54 TILEPROP INT_FEEDTHRU_1_X35Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y54 ROW 102 TILEPROP INT_FEEDTHRU_1_X35Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y54 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y54 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y54 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y54 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_1_X35Y54 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y55 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y55 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_1_X35Y55 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_1_X35Y55 INDEX 11650 TILEPROP INT_FEEDTHRU_1_X35Y55 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y55 INT_TILE_Y 97 TILEPROP INT_FEEDTHRU_1_X35Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y55 NAME INT_FEEDTHRU_1_X35Y55 TILEPROP INT_FEEDTHRU_1_X35Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y55 ROW 101 TILEPROP INT_FEEDTHRU_1_X35Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y55 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y55 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y55 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y55 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_1_X35Y55 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y56 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y56 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_1_X35Y56 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_1_X35Y56 INDEX 11535 TILEPROP INT_FEEDTHRU_1_X35Y56 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y56 INT_TILE_Y 96 TILEPROP INT_FEEDTHRU_1_X35Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y56 NAME INT_FEEDTHRU_1_X35Y56 TILEPROP INT_FEEDTHRU_1_X35Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y56 ROW 100 TILEPROP INT_FEEDTHRU_1_X35Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y56 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y56 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y56 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y56 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_1_X35Y56 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y57 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y57 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_1_X35Y57 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_1_X35Y57 INDEX 11420 TILEPROP INT_FEEDTHRU_1_X35Y57 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y57 INT_TILE_Y 95 TILEPROP INT_FEEDTHRU_1_X35Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y57 NAME INT_FEEDTHRU_1_X35Y57 TILEPROP INT_FEEDTHRU_1_X35Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y57 ROW 99 TILEPROP INT_FEEDTHRU_1_X35Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y57 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y57 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y57 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y57 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_1_X35Y57 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y58 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y58 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_1_X35Y58 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_1_X35Y58 INDEX 11305 TILEPROP INT_FEEDTHRU_1_X35Y58 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y58 INT_TILE_Y 94 TILEPROP INT_FEEDTHRU_1_X35Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y58 NAME INT_FEEDTHRU_1_X35Y58 TILEPROP INT_FEEDTHRU_1_X35Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y58 ROW 98 TILEPROP INT_FEEDTHRU_1_X35Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y58 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y58 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y58 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y58 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_1_X35Y58 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y59 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y59 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_1_X35Y59 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_1_X35Y59 INDEX 11190 TILEPROP INT_FEEDTHRU_1_X35Y59 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y59 INT_TILE_Y 93 TILEPROP INT_FEEDTHRU_1_X35Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y59 NAME INT_FEEDTHRU_1_X35Y59 TILEPROP INT_FEEDTHRU_1_X35Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y59 ROW 97 TILEPROP INT_FEEDTHRU_1_X35Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y59 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y59 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y59 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y59 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_1_X35Y59 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y60 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y60 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_1_X35Y60 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_1_X35Y60 INDEX 11075 TILEPROP INT_FEEDTHRU_1_X35Y60 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y60 INT_TILE_Y 92 TILEPROP INT_FEEDTHRU_1_X35Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y60 NAME INT_FEEDTHRU_1_X35Y60 TILEPROP INT_FEEDTHRU_1_X35Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y60 ROW 96 TILEPROP INT_FEEDTHRU_1_X35Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y60 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y60 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y60 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y60 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_1_X35Y60 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y61 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y61 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_1_X35Y61 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_1_X35Y61 INDEX 10960 TILEPROP INT_FEEDTHRU_1_X35Y61 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y61 INT_TILE_Y 91 TILEPROP INT_FEEDTHRU_1_X35Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y61 NAME INT_FEEDTHRU_1_X35Y61 TILEPROP INT_FEEDTHRU_1_X35Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y61 ROW 95 TILEPROP INT_FEEDTHRU_1_X35Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y61 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y61 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y61 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y61 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_1_X35Y61 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y62 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y62 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_1_X35Y62 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_1_X35Y62 INDEX 10845 TILEPROP INT_FEEDTHRU_1_X35Y62 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y62 INT_TILE_Y 90 TILEPROP INT_FEEDTHRU_1_X35Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y62 NAME INT_FEEDTHRU_1_X35Y62 TILEPROP INT_FEEDTHRU_1_X35Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y62 ROW 94 TILEPROP INT_FEEDTHRU_1_X35Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y62 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y62 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y62 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y62 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_1_X35Y62 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y63 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y63 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_1_X35Y63 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_1_X35Y63 INDEX 10730 TILEPROP INT_FEEDTHRU_1_X35Y63 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y63 INT_TILE_Y 89 TILEPROP INT_FEEDTHRU_1_X35Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y63 NAME INT_FEEDTHRU_1_X35Y63 TILEPROP INT_FEEDTHRU_1_X35Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y63 ROW 93 TILEPROP INT_FEEDTHRU_1_X35Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y63 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y63 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y63 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y63 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_1_X35Y63 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y64 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y64 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_1_X35Y64 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_1_X35Y64 INDEX 10615 TILEPROP INT_FEEDTHRU_1_X35Y64 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y64 INT_TILE_Y 88 TILEPROP INT_FEEDTHRU_1_X35Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y64 NAME INT_FEEDTHRU_1_X35Y64 TILEPROP INT_FEEDTHRU_1_X35Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y64 ROW 92 TILEPROP INT_FEEDTHRU_1_X35Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y64 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y64 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y64 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y64 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_1_X35Y64 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y65 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y65 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_1_X35Y65 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_1_X35Y65 INDEX 10500 TILEPROP INT_FEEDTHRU_1_X35Y65 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y65 INT_TILE_Y 87 TILEPROP INT_FEEDTHRU_1_X35Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y65 NAME INT_FEEDTHRU_1_X35Y65 TILEPROP INT_FEEDTHRU_1_X35Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y65 ROW 91 TILEPROP INT_FEEDTHRU_1_X35Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y65 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y65 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y65 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y65 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_1_X35Y65 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y66 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y66 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_1_X35Y66 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_1_X35Y66 INDEX 10385 TILEPROP INT_FEEDTHRU_1_X35Y66 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y66 INT_TILE_Y 86 TILEPROP INT_FEEDTHRU_1_X35Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y66 NAME INT_FEEDTHRU_1_X35Y66 TILEPROP INT_FEEDTHRU_1_X35Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y66 ROW 90 TILEPROP INT_FEEDTHRU_1_X35Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y66 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y66 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y66 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y66 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_1_X35Y66 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y67 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y67 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_1_X35Y67 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_1_X35Y67 INDEX 10270 TILEPROP INT_FEEDTHRU_1_X35Y67 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y67 INT_TILE_Y 85 TILEPROP INT_FEEDTHRU_1_X35Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y67 NAME INT_FEEDTHRU_1_X35Y67 TILEPROP INT_FEEDTHRU_1_X35Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y67 ROW 89 TILEPROP INT_FEEDTHRU_1_X35Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y67 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y67 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y67 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y67 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_1_X35Y67 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y68 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y68 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_1_X35Y68 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_1_X35Y68 INDEX 10155 TILEPROP INT_FEEDTHRU_1_X35Y68 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y68 INT_TILE_Y 84 TILEPROP INT_FEEDTHRU_1_X35Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y68 NAME INT_FEEDTHRU_1_X35Y68 TILEPROP INT_FEEDTHRU_1_X35Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y68 ROW 88 TILEPROP INT_FEEDTHRU_1_X35Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y68 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y68 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y68 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y68 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_1_X35Y68 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y69 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y69 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_1_X35Y69 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_1_X35Y69 INDEX 10040 TILEPROP INT_FEEDTHRU_1_X35Y69 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y69 INT_TILE_Y 83 TILEPROP INT_FEEDTHRU_1_X35Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y69 NAME INT_FEEDTHRU_1_X35Y69 TILEPROP INT_FEEDTHRU_1_X35Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y69 ROW 87 TILEPROP INT_FEEDTHRU_1_X35Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y69 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y69 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y69 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y69 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_1_X35Y69 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y70 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y70 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_1_X35Y70 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_1_X35Y70 INDEX 9925 TILEPROP INT_FEEDTHRU_1_X35Y70 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y70 INT_TILE_Y 82 TILEPROP INT_FEEDTHRU_1_X35Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y70 NAME INT_FEEDTHRU_1_X35Y70 TILEPROP INT_FEEDTHRU_1_X35Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y70 ROW 86 TILEPROP INT_FEEDTHRU_1_X35Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y70 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y70 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y70 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y70 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_1_X35Y70 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y71 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y71 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_1_X35Y71 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_1_X35Y71 INDEX 9810 TILEPROP INT_FEEDTHRU_1_X35Y71 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y71 INT_TILE_Y 81 TILEPROP INT_FEEDTHRU_1_X35Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y71 NAME INT_FEEDTHRU_1_X35Y71 TILEPROP INT_FEEDTHRU_1_X35Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y71 ROW 85 TILEPROP INT_FEEDTHRU_1_X35Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y71 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y71 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y71 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y71 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_1_X35Y71 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y72 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y72 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_1_X35Y72 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_1_X35Y72 INDEX 9695 TILEPROP INT_FEEDTHRU_1_X35Y72 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y72 INT_TILE_Y 80 TILEPROP INT_FEEDTHRU_1_X35Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y72 NAME INT_FEEDTHRU_1_X35Y72 TILEPROP INT_FEEDTHRU_1_X35Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y72 ROW 84 TILEPROP INT_FEEDTHRU_1_X35Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y72 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y72 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y72 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y72 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_1_X35Y72 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y73 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y73 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_1_X35Y73 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_1_X35Y73 INDEX 9580 TILEPROP INT_FEEDTHRU_1_X35Y73 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y73 INT_TILE_Y 79 TILEPROP INT_FEEDTHRU_1_X35Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y73 NAME INT_FEEDTHRU_1_X35Y73 TILEPROP INT_FEEDTHRU_1_X35Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y73 ROW 83 TILEPROP INT_FEEDTHRU_1_X35Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y73 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y73 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y73 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y73 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_1_X35Y73 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y74 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y74 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_1_X35Y74 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_1_X35Y74 INDEX 9465 TILEPROP INT_FEEDTHRU_1_X35Y74 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y74 INT_TILE_Y 78 TILEPROP INT_FEEDTHRU_1_X35Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y74 NAME INT_FEEDTHRU_1_X35Y74 TILEPROP INT_FEEDTHRU_1_X35Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y74 ROW 82 TILEPROP INT_FEEDTHRU_1_X35Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y74 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y74 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y74 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y74 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_1_X35Y74 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y75 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y75 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_1_X35Y75 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_1_X35Y75 INDEX 9350 TILEPROP INT_FEEDTHRU_1_X35Y75 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y75 INT_TILE_Y 77 TILEPROP INT_FEEDTHRU_1_X35Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y75 NAME INT_FEEDTHRU_1_X35Y75 TILEPROP INT_FEEDTHRU_1_X35Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y75 ROW 81 TILEPROP INT_FEEDTHRU_1_X35Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y75 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y75 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y75 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y75 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_1_X35Y75 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y76 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y76 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_1_X35Y76 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_1_X35Y76 INDEX 9235 TILEPROP INT_FEEDTHRU_1_X35Y76 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y76 INT_TILE_Y 76 TILEPROP INT_FEEDTHRU_1_X35Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y76 NAME INT_FEEDTHRU_1_X35Y76 TILEPROP INT_FEEDTHRU_1_X35Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y76 ROW 80 TILEPROP INT_FEEDTHRU_1_X35Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y76 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y76 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y76 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y76 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_1_X35Y76 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y77 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y77 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_1_X35Y77 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_1_X35Y77 INDEX 9120 TILEPROP INT_FEEDTHRU_1_X35Y77 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y77 INT_TILE_Y 75 TILEPROP INT_FEEDTHRU_1_X35Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y77 NAME INT_FEEDTHRU_1_X35Y77 TILEPROP INT_FEEDTHRU_1_X35Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y77 ROW 79 TILEPROP INT_FEEDTHRU_1_X35Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y77 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y77 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y77 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y77 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_1_X35Y77 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y79 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y79 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_1_X35Y79 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_1_X35Y79 INDEX 8890 TILEPROP INT_FEEDTHRU_1_X35Y79 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y79 INT_TILE_Y 74 TILEPROP INT_FEEDTHRU_1_X35Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y79 NAME INT_FEEDTHRU_1_X35Y79 TILEPROP INT_FEEDTHRU_1_X35Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y79 ROW 77 TILEPROP INT_FEEDTHRU_1_X35Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y79 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y79 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y79 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y79 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_1_X35Y79 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y80 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y80 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_1_X35Y80 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_1_X35Y80 INDEX 8775 TILEPROP INT_FEEDTHRU_1_X35Y80 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y80 INT_TILE_Y 73 TILEPROP INT_FEEDTHRU_1_X35Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y80 NAME INT_FEEDTHRU_1_X35Y80 TILEPROP INT_FEEDTHRU_1_X35Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y80 ROW 76 TILEPROP INT_FEEDTHRU_1_X35Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y80 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y80 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y80 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y80 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_1_X35Y80 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y81 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y81 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_1_X35Y81 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_1_X35Y81 INDEX 8660 TILEPROP INT_FEEDTHRU_1_X35Y81 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y81 INT_TILE_Y 72 TILEPROP INT_FEEDTHRU_1_X35Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y81 NAME INT_FEEDTHRU_1_X35Y81 TILEPROP INT_FEEDTHRU_1_X35Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y81 ROW 75 TILEPROP INT_FEEDTHRU_1_X35Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y81 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y81 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y81 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y81 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_1_X35Y81 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y82 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y82 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_1_X35Y82 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_1_X35Y82 INDEX 8545 TILEPROP INT_FEEDTHRU_1_X35Y82 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y82 INT_TILE_Y 71 TILEPROP INT_FEEDTHRU_1_X35Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y82 NAME INT_FEEDTHRU_1_X35Y82 TILEPROP INT_FEEDTHRU_1_X35Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y82 ROW 74 TILEPROP INT_FEEDTHRU_1_X35Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y82 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y82 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y82 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y82 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_1_X35Y82 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y83 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y83 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_1_X35Y83 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_1_X35Y83 INDEX 8430 TILEPROP INT_FEEDTHRU_1_X35Y83 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y83 INT_TILE_Y 70 TILEPROP INT_FEEDTHRU_1_X35Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y83 NAME INT_FEEDTHRU_1_X35Y83 TILEPROP INT_FEEDTHRU_1_X35Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y83 ROW 73 TILEPROP INT_FEEDTHRU_1_X35Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y83 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y83 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y83 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y83 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_1_X35Y83 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y84 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y84 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_1_X35Y84 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_1_X35Y84 INDEX 8315 TILEPROP INT_FEEDTHRU_1_X35Y84 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y84 INT_TILE_Y 69 TILEPROP INT_FEEDTHRU_1_X35Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y84 NAME INT_FEEDTHRU_1_X35Y84 TILEPROP INT_FEEDTHRU_1_X35Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y84 ROW 72 TILEPROP INT_FEEDTHRU_1_X35Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y84 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y84 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y84 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y84 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_1_X35Y84 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y85 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y85 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_1_X35Y85 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_1_X35Y85 INDEX 8200 TILEPROP INT_FEEDTHRU_1_X35Y85 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y85 INT_TILE_Y 68 TILEPROP INT_FEEDTHRU_1_X35Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y85 NAME INT_FEEDTHRU_1_X35Y85 TILEPROP INT_FEEDTHRU_1_X35Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y85 ROW 71 TILEPROP INT_FEEDTHRU_1_X35Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y85 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y85 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y85 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y85 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_1_X35Y85 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y86 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y86 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_1_X35Y86 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_1_X35Y86 INDEX 8085 TILEPROP INT_FEEDTHRU_1_X35Y86 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y86 INT_TILE_Y 67 TILEPROP INT_FEEDTHRU_1_X35Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y86 NAME INT_FEEDTHRU_1_X35Y86 TILEPROP INT_FEEDTHRU_1_X35Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y86 ROW 70 TILEPROP INT_FEEDTHRU_1_X35Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y86 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y86 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y86 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y86 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_1_X35Y86 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y87 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y87 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_1_X35Y87 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_1_X35Y87 INDEX 7970 TILEPROP INT_FEEDTHRU_1_X35Y87 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y87 INT_TILE_Y 66 TILEPROP INT_FEEDTHRU_1_X35Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y87 NAME INT_FEEDTHRU_1_X35Y87 TILEPROP INT_FEEDTHRU_1_X35Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y87 ROW 69 TILEPROP INT_FEEDTHRU_1_X35Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y87 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y87 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y87 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y87 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_1_X35Y87 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y88 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y88 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_1_X35Y88 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_1_X35Y88 INDEX 7855 TILEPROP INT_FEEDTHRU_1_X35Y88 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y88 INT_TILE_Y 65 TILEPROP INT_FEEDTHRU_1_X35Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y88 NAME INT_FEEDTHRU_1_X35Y88 TILEPROP INT_FEEDTHRU_1_X35Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y88 ROW 68 TILEPROP INT_FEEDTHRU_1_X35Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y88 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y88 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y88 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y88 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_1_X35Y88 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y89 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y89 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_1_X35Y89 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_1_X35Y89 INDEX 7740 TILEPROP INT_FEEDTHRU_1_X35Y89 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y89 INT_TILE_Y 64 TILEPROP INT_FEEDTHRU_1_X35Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y89 NAME INT_FEEDTHRU_1_X35Y89 TILEPROP INT_FEEDTHRU_1_X35Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y89 ROW 67 TILEPROP INT_FEEDTHRU_1_X35Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y89 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y89 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y89 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y89 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_1_X35Y89 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y90 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y90 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_1_X35Y90 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_1_X35Y90 INDEX 7625 TILEPROP INT_FEEDTHRU_1_X35Y90 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y90 INT_TILE_Y 63 TILEPROP INT_FEEDTHRU_1_X35Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y90 NAME INT_FEEDTHRU_1_X35Y90 TILEPROP INT_FEEDTHRU_1_X35Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y90 ROW 66 TILEPROP INT_FEEDTHRU_1_X35Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y90 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y90 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y90 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y90 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_1_X35Y90 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y91 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y91 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_1_X35Y91 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_1_X35Y91 INDEX 7510 TILEPROP INT_FEEDTHRU_1_X35Y91 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y91 INT_TILE_Y 62 TILEPROP INT_FEEDTHRU_1_X35Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y91 NAME INT_FEEDTHRU_1_X35Y91 TILEPROP INT_FEEDTHRU_1_X35Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y91 ROW 65 TILEPROP INT_FEEDTHRU_1_X35Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y91 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y91 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y91 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y91 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_1_X35Y91 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y92 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y92 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_1_X35Y92 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_1_X35Y92 INDEX 7395 TILEPROP INT_FEEDTHRU_1_X35Y92 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y92 INT_TILE_Y 61 TILEPROP INT_FEEDTHRU_1_X35Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y92 NAME INT_FEEDTHRU_1_X35Y92 TILEPROP INT_FEEDTHRU_1_X35Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y92 ROW 64 TILEPROP INT_FEEDTHRU_1_X35Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y92 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y92 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y92 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y92 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_1_X35Y92 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y93 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y93 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_1_X35Y93 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_1_X35Y93 INDEX 7280 TILEPROP INT_FEEDTHRU_1_X35Y93 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y93 INT_TILE_Y 60 TILEPROP INT_FEEDTHRU_1_X35Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y93 NAME INT_FEEDTHRU_1_X35Y93 TILEPROP INT_FEEDTHRU_1_X35Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y93 ROW 63 TILEPROP INT_FEEDTHRU_1_X35Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y93 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y93 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y93 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y93 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_1_X35Y93 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y94 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y94 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_1_X35Y94 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_1_X35Y94 INDEX 7165 TILEPROP INT_FEEDTHRU_1_X35Y94 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y94 INT_TILE_Y 59 TILEPROP INT_FEEDTHRU_1_X35Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y94 NAME INT_FEEDTHRU_1_X35Y94 TILEPROP INT_FEEDTHRU_1_X35Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y94 ROW 62 TILEPROP INT_FEEDTHRU_1_X35Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y94 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y94 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y94 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y94 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_1_X35Y94 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y95 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y95 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_1_X35Y95 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_1_X35Y95 INDEX 7050 TILEPROP INT_FEEDTHRU_1_X35Y95 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y95 INT_TILE_Y 58 TILEPROP INT_FEEDTHRU_1_X35Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y95 NAME INT_FEEDTHRU_1_X35Y95 TILEPROP INT_FEEDTHRU_1_X35Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y95 ROW 61 TILEPROP INT_FEEDTHRU_1_X35Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y95 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y95 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y95 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y95 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_1_X35Y95 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y96 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y96 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_1_X35Y96 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_1_X35Y96 INDEX 6935 TILEPROP INT_FEEDTHRU_1_X35Y96 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y96 INT_TILE_Y 57 TILEPROP INT_FEEDTHRU_1_X35Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y96 NAME INT_FEEDTHRU_1_X35Y96 TILEPROP INT_FEEDTHRU_1_X35Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y96 ROW 60 TILEPROP INT_FEEDTHRU_1_X35Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y96 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y96 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y96 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y96 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_1_X35Y96 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y97 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y97 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_1_X35Y97 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_1_X35Y97 INDEX 6820 TILEPROP INT_FEEDTHRU_1_X35Y97 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y97 INT_TILE_Y 56 TILEPROP INT_FEEDTHRU_1_X35Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y97 NAME INT_FEEDTHRU_1_X35Y97 TILEPROP INT_FEEDTHRU_1_X35Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y97 ROW 59 TILEPROP INT_FEEDTHRU_1_X35Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y97 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y97 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y97 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y97 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_1_X35Y97 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y98 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y98 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_1_X35Y98 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_1_X35Y98 INDEX 6705 TILEPROP INT_FEEDTHRU_1_X35Y98 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y98 INT_TILE_Y 55 TILEPROP INT_FEEDTHRU_1_X35Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y98 NAME INT_FEEDTHRU_1_X35Y98 TILEPROP INT_FEEDTHRU_1_X35Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y98 ROW 58 TILEPROP INT_FEEDTHRU_1_X35Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y98 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y98 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y98 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y98 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_1_X35Y98 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y99 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y99 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_1_X35Y99 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_1_X35Y99 INDEX 6590 TILEPROP INT_FEEDTHRU_1_X35Y99 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y99 INT_TILE_Y 54 TILEPROP INT_FEEDTHRU_1_X35Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y99 NAME INT_FEEDTHRU_1_X35Y99 TILEPROP INT_FEEDTHRU_1_X35Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y99 ROW 57 TILEPROP INT_FEEDTHRU_1_X35Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y99 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y99 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y99 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y99 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_1_X35Y99 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y100 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y100 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_1_X35Y100 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_1_X35Y100 INDEX 6475 TILEPROP INT_FEEDTHRU_1_X35Y100 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y100 INT_TILE_Y 53 TILEPROP INT_FEEDTHRU_1_X35Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y100 NAME INT_FEEDTHRU_1_X35Y100 TILEPROP INT_FEEDTHRU_1_X35Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y100 ROW 56 TILEPROP INT_FEEDTHRU_1_X35Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y100 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y100 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y100 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y100 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_1_X35Y100 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y101 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y101 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_1_X35Y101 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_1_X35Y101 INDEX 6360 TILEPROP INT_FEEDTHRU_1_X35Y101 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y101 INT_TILE_Y 52 TILEPROP INT_FEEDTHRU_1_X35Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y101 NAME INT_FEEDTHRU_1_X35Y101 TILEPROP INT_FEEDTHRU_1_X35Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y101 ROW 55 TILEPROP INT_FEEDTHRU_1_X35Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y101 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y101 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y101 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y101 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_1_X35Y101 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y102 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y102 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_1_X35Y102 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_1_X35Y102 INDEX 6245 TILEPROP INT_FEEDTHRU_1_X35Y102 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y102 INT_TILE_Y 51 TILEPROP INT_FEEDTHRU_1_X35Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y102 NAME INT_FEEDTHRU_1_X35Y102 TILEPROP INT_FEEDTHRU_1_X35Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y102 ROW 54 TILEPROP INT_FEEDTHRU_1_X35Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y102 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y102 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y102 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y102 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_1_X35Y102 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y103 CLASS tile TILEPROP INT_FEEDTHRU_1_X35Y103 COLUMN 35 TILEPROP INT_FEEDTHRU_1_X35Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_1_X35Y103 GRID_POINT_X 35 TILEPROP INT_FEEDTHRU_1_X35Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_1_X35Y103 INDEX 6130 TILEPROP INT_FEEDTHRU_1_X35Y103 INT_TILE_X 11 TILEPROP INT_FEEDTHRU_1_X35Y103 INT_TILE_Y 50 TILEPROP INT_FEEDTHRU_1_X35Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X35Y103 NAME INT_FEEDTHRU_1_X35Y103 TILEPROP INT_FEEDTHRU_1_X35Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X35Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X35Y103 ROW 53 TILEPROP INT_FEEDTHRU_1_X35Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X35Y103 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X35Y103 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X35Y103 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X35Y103 TILE_X -41300 TILEPROP INT_FEEDTHRU_1_X35Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_1_X35Y103 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y1 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y1 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_1_X38Y1 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_1_X38Y1 INDEX 17863 TILEPROP INT_FEEDTHRU_1_X38Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y1 NAME INT_FEEDTHRU_1_X38Y1 TILEPROP INT_FEEDTHRU_1_X38Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y1 ROW 155 TILEPROP INT_FEEDTHRU_1_X38Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y1 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y1 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y1 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y1 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_1_X38Y1 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y2 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y2 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_1_X38Y2 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_1_X38Y2 INDEX 17748 TILEPROP INT_FEEDTHRU_1_X38Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y2 NAME INT_FEEDTHRU_1_X38Y2 TILEPROP INT_FEEDTHRU_1_X38Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y2 ROW 154 TILEPROP INT_FEEDTHRU_1_X38Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y2 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y2 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y2 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y2 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_1_X38Y2 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y3 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y3 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_1_X38Y3 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_1_X38Y3 INDEX 17633 TILEPROP INT_FEEDTHRU_1_X38Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y3 NAME INT_FEEDTHRU_1_X38Y3 TILEPROP INT_FEEDTHRU_1_X38Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y3 ROW 153 TILEPROP INT_FEEDTHRU_1_X38Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y3 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y3 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y3 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y3 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_1_X38Y3 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y4 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y4 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_1_X38Y4 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_1_X38Y4 INDEX 17518 TILEPROP INT_FEEDTHRU_1_X38Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y4 NAME INT_FEEDTHRU_1_X38Y4 TILEPROP INT_FEEDTHRU_1_X38Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y4 ROW 152 TILEPROP INT_FEEDTHRU_1_X38Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y4 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y4 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y4 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y4 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_1_X38Y4 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y5 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y5 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_1_X38Y5 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_1_X38Y5 INDEX 17403 TILEPROP INT_FEEDTHRU_1_X38Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y5 NAME INT_FEEDTHRU_1_X38Y5 TILEPROP INT_FEEDTHRU_1_X38Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y5 ROW 151 TILEPROP INT_FEEDTHRU_1_X38Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y5 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y5 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y5 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y5 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_1_X38Y5 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y6 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y6 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_1_X38Y6 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_1_X38Y6 INDEX 17288 TILEPROP INT_FEEDTHRU_1_X38Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y6 NAME INT_FEEDTHRU_1_X38Y6 TILEPROP INT_FEEDTHRU_1_X38Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y6 ROW 150 TILEPROP INT_FEEDTHRU_1_X38Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y6 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y6 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y6 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y6 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_1_X38Y6 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y7 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y7 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_1_X38Y7 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_1_X38Y7 INDEX 17173 TILEPROP INT_FEEDTHRU_1_X38Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y7 NAME INT_FEEDTHRU_1_X38Y7 TILEPROP INT_FEEDTHRU_1_X38Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y7 ROW 149 TILEPROP INT_FEEDTHRU_1_X38Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y7 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y7 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y7 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y7 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_1_X38Y7 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y8 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y8 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_1_X38Y8 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_1_X38Y8 INDEX 17058 TILEPROP INT_FEEDTHRU_1_X38Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y8 NAME INT_FEEDTHRU_1_X38Y8 TILEPROP INT_FEEDTHRU_1_X38Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y8 ROW 148 TILEPROP INT_FEEDTHRU_1_X38Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y8 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y8 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y8 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y8 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_1_X38Y8 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y9 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y9 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_1_X38Y9 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_1_X38Y9 INDEX 16943 TILEPROP INT_FEEDTHRU_1_X38Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y9 NAME INT_FEEDTHRU_1_X38Y9 TILEPROP INT_FEEDTHRU_1_X38Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y9 ROW 147 TILEPROP INT_FEEDTHRU_1_X38Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y9 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y9 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y9 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y9 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_1_X38Y9 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y10 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y10 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_1_X38Y10 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_1_X38Y10 INDEX 16828 TILEPROP INT_FEEDTHRU_1_X38Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y10 NAME INT_FEEDTHRU_1_X38Y10 TILEPROP INT_FEEDTHRU_1_X38Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y10 ROW 146 TILEPROP INT_FEEDTHRU_1_X38Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y10 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y10 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y10 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y10 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_1_X38Y10 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y11 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y11 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_1_X38Y11 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_1_X38Y11 INDEX 16713 TILEPROP INT_FEEDTHRU_1_X38Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y11 NAME INT_FEEDTHRU_1_X38Y11 TILEPROP INT_FEEDTHRU_1_X38Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y11 ROW 145 TILEPROP INT_FEEDTHRU_1_X38Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y11 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y11 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y11 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y11 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_1_X38Y11 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y12 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y12 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_1_X38Y12 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_1_X38Y12 INDEX 16598 TILEPROP INT_FEEDTHRU_1_X38Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y12 NAME INT_FEEDTHRU_1_X38Y12 TILEPROP INT_FEEDTHRU_1_X38Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y12 ROW 144 TILEPROP INT_FEEDTHRU_1_X38Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y12 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y12 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y12 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y12 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_1_X38Y12 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y13 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y13 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_1_X38Y13 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_1_X38Y13 INDEX 16483 TILEPROP INT_FEEDTHRU_1_X38Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y13 NAME INT_FEEDTHRU_1_X38Y13 TILEPROP INT_FEEDTHRU_1_X38Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y13 ROW 143 TILEPROP INT_FEEDTHRU_1_X38Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y13 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y13 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y13 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y13 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_1_X38Y13 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y14 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y14 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_1_X38Y14 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_1_X38Y14 INDEX 16368 TILEPROP INT_FEEDTHRU_1_X38Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y14 NAME INT_FEEDTHRU_1_X38Y14 TILEPROP INT_FEEDTHRU_1_X38Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y14 ROW 142 TILEPROP INT_FEEDTHRU_1_X38Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y14 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y14 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y14 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y14 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_1_X38Y14 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y15 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y15 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_1_X38Y15 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_1_X38Y15 INDEX 16253 TILEPROP INT_FEEDTHRU_1_X38Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y15 NAME INT_FEEDTHRU_1_X38Y15 TILEPROP INT_FEEDTHRU_1_X38Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y15 ROW 141 TILEPROP INT_FEEDTHRU_1_X38Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y15 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y15 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y15 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y15 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_1_X38Y15 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y16 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y16 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_1_X38Y16 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_1_X38Y16 INDEX 16138 TILEPROP INT_FEEDTHRU_1_X38Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y16 NAME INT_FEEDTHRU_1_X38Y16 TILEPROP INT_FEEDTHRU_1_X38Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y16 ROW 140 TILEPROP INT_FEEDTHRU_1_X38Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y16 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y16 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y16 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y16 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_1_X38Y16 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y17 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y17 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_1_X38Y17 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_1_X38Y17 INDEX 16023 TILEPROP INT_FEEDTHRU_1_X38Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y17 NAME INT_FEEDTHRU_1_X38Y17 TILEPROP INT_FEEDTHRU_1_X38Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y17 ROW 139 TILEPROP INT_FEEDTHRU_1_X38Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y17 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y17 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y17 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y17 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_1_X38Y17 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y18 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y18 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_1_X38Y18 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_1_X38Y18 INDEX 15908 TILEPROP INT_FEEDTHRU_1_X38Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y18 NAME INT_FEEDTHRU_1_X38Y18 TILEPROP INT_FEEDTHRU_1_X38Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y18 ROW 138 TILEPROP INT_FEEDTHRU_1_X38Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y18 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y18 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y18 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y18 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_1_X38Y18 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y19 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y19 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_1_X38Y19 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_1_X38Y19 INDEX 15793 TILEPROP INT_FEEDTHRU_1_X38Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y19 NAME INT_FEEDTHRU_1_X38Y19 TILEPROP INT_FEEDTHRU_1_X38Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y19 ROW 137 TILEPROP INT_FEEDTHRU_1_X38Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y19 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y19 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y19 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y19 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_1_X38Y19 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y20 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y20 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_1_X38Y20 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_1_X38Y20 INDEX 15678 TILEPROP INT_FEEDTHRU_1_X38Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y20 NAME INT_FEEDTHRU_1_X38Y20 TILEPROP INT_FEEDTHRU_1_X38Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y20 ROW 136 TILEPROP INT_FEEDTHRU_1_X38Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y20 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y20 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y20 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y20 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_1_X38Y20 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y21 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y21 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_1_X38Y21 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_1_X38Y21 INDEX 15563 TILEPROP INT_FEEDTHRU_1_X38Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y21 NAME INT_FEEDTHRU_1_X38Y21 TILEPROP INT_FEEDTHRU_1_X38Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y21 ROW 135 TILEPROP INT_FEEDTHRU_1_X38Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y21 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y21 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y21 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y21 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_1_X38Y21 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y22 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y22 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_1_X38Y22 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_1_X38Y22 INDEX 15448 TILEPROP INT_FEEDTHRU_1_X38Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y22 NAME INT_FEEDTHRU_1_X38Y22 TILEPROP INT_FEEDTHRU_1_X38Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y22 ROW 134 TILEPROP INT_FEEDTHRU_1_X38Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y22 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y22 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y22 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y22 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_1_X38Y22 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y23 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y23 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_1_X38Y23 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_1_X38Y23 INDEX 15333 TILEPROP INT_FEEDTHRU_1_X38Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y23 NAME INT_FEEDTHRU_1_X38Y23 TILEPROP INT_FEEDTHRU_1_X38Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y23 ROW 133 TILEPROP INT_FEEDTHRU_1_X38Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y23 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y23 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y23 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y23 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_1_X38Y23 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y24 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y24 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_1_X38Y24 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_1_X38Y24 INDEX 15218 TILEPROP INT_FEEDTHRU_1_X38Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y24 NAME INT_FEEDTHRU_1_X38Y24 TILEPROP INT_FEEDTHRU_1_X38Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y24 ROW 132 TILEPROP INT_FEEDTHRU_1_X38Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y24 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y24 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y24 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y24 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_1_X38Y24 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y25 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y25 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_1_X38Y25 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_1_X38Y25 INDEX 15103 TILEPROP INT_FEEDTHRU_1_X38Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y25 NAME INT_FEEDTHRU_1_X38Y25 TILEPROP INT_FEEDTHRU_1_X38Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y25 ROW 131 TILEPROP INT_FEEDTHRU_1_X38Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y25 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y25 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y25 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y25 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_1_X38Y25 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y27 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y27 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_1_X38Y27 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_1_X38Y27 INDEX 14873 TILEPROP INT_FEEDTHRU_1_X38Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y27 NAME INT_FEEDTHRU_1_X38Y27 TILEPROP INT_FEEDTHRU_1_X38Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y27 ROW 129 TILEPROP INT_FEEDTHRU_1_X38Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y27 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y27 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y27 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y27 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_1_X38Y27 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y28 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y28 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_1_X38Y28 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_1_X38Y28 INDEX 14758 TILEPROP INT_FEEDTHRU_1_X38Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y28 NAME INT_FEEDTHRU_1_X38Y28 TILEPROP INT_FEEDTHRU_1_X38Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y28 ROW 128 TILEPROP INT_FEEDTHRU_1_X38Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y28 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y28 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y28 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y28 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_1_X38Y28 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y29 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y29 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_1_X38Y29 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_1_X38Y29 INDEX 14643 TILEPROP INT_FEEDTHRU_1_X38Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y29 NAME INT_FEEDTHRU_1_X38Y29 TILEPROP INT_FEEDTHRU_1_X38Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y29 ROW 127 TILEPROP INT_FEEDTHRU_1_X38Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y29 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y29 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y29 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y29 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_1_X38Y29 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y30 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y30 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_1_X38Y30 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_1_X38Y30 INDEX 14528 TILEPROP INT_FEEDTHRU_1_X38Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y30 NAME INT_FEEDTHRU_1_X38Y30 TILEPROP INT_FEEDTHRU_1_X38Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y30 ROW 126 TILEPROP INT_FEEDTHRU_1_X38Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y30 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y30 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y30 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y30 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_1_X38Y30 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y31 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y31 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_1_X38Y31 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_1_X38Y31 INDEX 14413 TILEPROP INT_FEEDTHRU_1_X38Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y31 NAME INT_FEEDTHRU_1_X38Y31 TILEPROP INT_FEEDTHRU_1_X38Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y31 ROW 125 TILEPROP INT_FEEDTHRU_1_X38Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y31 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y31 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y31 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y31 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_1_X38Y31 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y32 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y32 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_1_X38Y32 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_1_X38Y32 INDEX 14298 TILEPROP INT_FEEDTHRU_1_X38Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y32 NAME INT_FEEDTHRU_1_X38Y32 TILEPROP INT_FEEDTHRU_1_X38Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y32 ROW 124 TILEPROP INT_FEEDTHRU_1_X38Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y32 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y32 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y32 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y32 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_1_X38Y32 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y33 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y33 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_1_X38Y33 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_1_X38Y33 INDEX 14183 TILEPROP INT_FEEDTHRU_1_X38Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y33 NAME INT_FEEDTHRU_1_X38Y33 TILEPROP INT_FEEDTHRU_1_X38Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y33 ROW 123 TILEPROP INT_FEEDTHRU_1_X38Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y33 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y33 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y33 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y33 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_1_X38Y33 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y34 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y34 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_1_X38Y34 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_1_X38Y34 INDEX 14068 TILEPROP INT_FEEDTHRU_1_X38Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y34 NAME INT_FEEDTHRU_1_X38Y34 TILEPROP INT_FEEDTHRU_1_X38Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y34 ROW 122 TILEPROP INT_FEEDTHRU_1_X38Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y34 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y34 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y34 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y34 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_1_X38Y34 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y35 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y35 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_1_X38Y35 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_1_X38Y35 INDEX 13953 TILEPROP INT_FEEDTHRU_1_X38Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y35 NAME INT_FEEDTHRU_1_X38Y35 TILEPROP INT_FEEDTHRU_1_X38Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y35 ROW 121 TILEPROP INT_FEEDTHRU_1_X38Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y35 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y35 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y35 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y35 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_1_X38Y35 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y36 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y36 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_1_X38Y36 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_1_X38Y36 INDEX 13838 TILEPROP INT_FEEDTHRU_1_X38Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y36 NAME INT_FEEDTHRU_1_X38Y36 TILEPROP INT_FEEDTHRU_1_X38Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y36 ROW 120 TILEPROP INT_FEEDTHRU_1_X38Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y36 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y36 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y36 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y36 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_1_X38Y36 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y37 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y37 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_1_X38Y37 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_1_X38Y37 INDEX 13723 TILEPROP INT_FEEDTHRU_1_X38Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y37 NAME INT_FEEDTHRU_1_X38Y37 TILEPROP INT_FEEDTHRU_1_X38Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y37 ROW 119 TILEPROP INT_FEEDTHRU_1_X38Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y37 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y37 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y37 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y37 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_1_X38Y37 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y38 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y38 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_1_X38Y38 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_1_X38Y38 INDEX 13608 TILEPROP INT_FEEDTHRU_1_X38Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y38 NAME INT_FEEDTHRU_1_X38Y38 TILEPROP INT_FEEDTHRU_1_X38Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y38 ROW 118 TILEPROP INT_FEEDTHRU_1_X38Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y38 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y38 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y38 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y38 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_1_X38Y38 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y39 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y39 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_1_X38Y39 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_1_X38Y39 INDEX 13493 TILEPROP INT_FEEDTHRU_1_X38Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y39 NAME INT_FEEDTHRU_1_X38Y39 TILEPROP INT_FEEDTHRU_1_X38Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y39 ROW 117 TILEPROP INT_FEEDTHRU_1_X38Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y39 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y39 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y39 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y39 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_1_X38Y39 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y40 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y40 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_1_X38Y40 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_1_X38Y40 INDEX 13378 TILEPROP INT_FEEDTHRU_1_X38Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y40 NAME INT_FEEDTHRU_1_X38Y40 TILEPROP INT_FEEDTHRU_1_X38Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y40 ROW 116 TILEPROP INT_FEEDTHRU_1_X38Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y40 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y40 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y40 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y40 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_1_X38Y40 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y41 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y41 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_1_X38Y41 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_1_X38Y41 INDEX 13263 TILEPROP INT_FEEDTHRU_1_X38Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y41 NAME INT_FEEDTHRU_1_X38Y41 TILEPROP INT_FEEDTHRU_1_X38Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y41 ROW 115 TILEPROP INT_FEEDTHRU_1_X38Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y41 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y41 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y41 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y41 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_1_X38Y41 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y42 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y42 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_1_X38Y42 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_1_X38Y42 INDEX 13148 TILEPROP INT_FEEDTHRU_1_X38Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y42 NAME INT_FEEDTHRU_1_X38Y42 TILEPROP INT_FEEDTHRU_1_X38Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y42 ROW 114 TILEPROP INT_FEEDTHRU_1_X38Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y42 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y42 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y42 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y42 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_1_X38Y42 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y43 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y43 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_1_X38Y43 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_1_X38Y43 INDEX 13033 TILEPROP INT_FEEDTHRU_1_X38Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y43 NAME INT_FEEDTHRU_1_X38Y43 TILEPROP INT_FEEDTHRU_1_X38Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y43 ROW 113 TILEPROP INT_FEEDTHRU_1_X38Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y43 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y43 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y43 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y43 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_1_X38Y43 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y44 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y44 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_1_X38Y44 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_1_X38Y44 INDEX 12918 TILEPROP INT_FEEDTHRU_1_X38Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y44 NAME INT_FEEDTHRU_1_X38Y44 TILEPROP INT_FEEDTHRU_1_X38Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y44 ROW 112 TILEPROP INT_FEEDTHRU_1_X38Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y44 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y44 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y44 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y44 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_1_X38Y44 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y45 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y45 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_1_X38Y45 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_1_X38Y45 INDEX 12803 TILEPROP INT_FEEDTHRU_1_X38Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y45 NAME INT_FEEDTHRU_1_X38Y45 TILEPROP INT_FEEDTHRU_1_X38Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y45 ROW 111 TILEPROP INT_FEEDTHRU_1_X38Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y45 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y45 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y45 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y45 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_1_X38Y45 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y46 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y46 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_1_X38Y46 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_1_X38Y46 INDEX 12688 TILEPROP INT_FEEDTHRU_1_X38Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y46 NAME INT_FEEDTHRU_1_X38Y46 TILEPROP INT_FEEDTHRU_1_X38Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y46 ROW 110 TILEPROP INT_FEEDTHRU_1_X38Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y46 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y46 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y46 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y46 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_1_X38Y46 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y47 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y47 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_1_X38Y47 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_1_X38Y47 INDEX 12573 TILEPROP INT_FEEDTHRU_1_X38Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y47 NAME INT_FEEDTHRU_1_X38Y47 TILEPROP INT_FEEDTHRU_1_X38Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y47 ROW 109 TILEPROP INT_FEEDTHRU_1_X38Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y47 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y47 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y47 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y47 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_1_X38Y47 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y48 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y48 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_1_X38Y48 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_1_X38Y48 INDEX 12458 TILEPROP INT_FEEDTHRU_1_X38Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y48 NAME INT_FEEDTHRU_1_X38Y48 TILEPROP INT_FEEDTHRU_1_X38Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y48 ROW 108 TILEPROP INT_FEEDTHRU_1_X38Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y48 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y48 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y48 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y48 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_1_X38Y48 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y49 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y49 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_1_X38Y49 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_1_X38Y49 INDEX 12343 TILEPROP INT_FEEDTHRU_1_X38Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y49 NAME INT_FEEDTHRU_1_X38Y49 TILEPROP INT_FEEDTHRU_1_X38Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y49 ROW 107 TILEPROP INT_FEEDTHRU_1_X38Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y49 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y49 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y49 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y49 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_1_X38Y49 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y50 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y50 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_1_X38Y50 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_1_X38Y50 INDEX 12228 TILEPROP INT_FEEDTHRU_1_X38Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y50 NAME INT_FEEDTHRU_1_X38Y50 TILEPROP INT_FEEDTHRU_1_X38Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y50 ROW 106 TILEPROP INT_FEEDTHRU_1_X38Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y50 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y50 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y50 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y50 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_1_X38Y50 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y51 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y51 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_1_X38Y51 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_1_X38Y51 INDEX 12113 TILEPROP INT_FEEDTHRU_1_X38Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y51 NAME INT_FEEDTHRU_1_X38Y51 TILEPROP INT_FEEDTHRU_1_X38Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y51 ROW 105 TILEPROP INT_FEEDTHRU_1_X38Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y51 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y51 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y51 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y51 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_1_X38Y51 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y53 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y53 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_1_X38Y53 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_1_X38Y53 INDEX 11883 TILEPROP INT_FEEDTHRU_1_X38Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y53 NAME INT_FEEDTHRU_1_X38Y53 TILEPROP INT_FEEDTHRU_1_X38Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y53 ROW 103 TILEPROP INT_FEEDTHRU_1_X38Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y53 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y53 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y53 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y53 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_1_X38Y53 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y54 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y54 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_1_X38Y54 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_1_X38Y54 INDEX 11768 TILEPROP INT_FEEDTHRU_1_X38Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y54 NAME INT_FEEDTHRU_1_X38Y54 TILEPROP INT_FEEDTHRU_1_X38Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y54 ROW 102 TILEPROP INT_FEEDTHRU_1_X38Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y54 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y54 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y54 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y54 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_1_X38Y54 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y55 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y55 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_1_X38Y55 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_1_X38Y55 INDEX 11653 TILEPROP INT_FEEDTHRU_1_X38Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y55 NAME INT_FEEDTHRU_1_X38Y55 TILEPROP INT_FEEDTHRU_1_X38Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y55 ROW 101 TILEPROP INT_FEEDTHRU_1_X38Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y55 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y55 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y55 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y55 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_1_X38Y55 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y56 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y56 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_1_X38Y56 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_1_X38Y56 INDEX 11538 TILEPROP INT_FEEDTHRU_1_X38Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y56 NAME INT_FEEDTHRU_1_X38Y56 TILEPROP INT_FEEDTHRU_1_X38Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y56 ROW 100 TILEPROP INT_FEEDTHRU_1_X38Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y56 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y56 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y56 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y56 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_1_X38Y56 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y57 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y57 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_1_X38Y57 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_1_X38Y57 INDEX 11423 TILEPROP INT_FEEDTHRU_1_X38Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y57 NAME INT_FEEDTHRU_1_X38Y57 TILEPROP INT_FEEDTHRU_1_X38Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y57 ROW 99 TILEPROP INT_FEEDTHRU_1_X38Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y57 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y57 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y57 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y57 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_1_X38Y57 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y58 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y58 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_1_X38Y58 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_1_X38Y58 INDEX 11308 TILEPROP INT_FEEDTHRU_1_X38Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y58 NAME INT_FEEDTHRU_1_X38Y58 TILEPROP INT_FEEDTHRU_1_X38Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y58 ROW 98 TILEPROP INT_FEEDTHRU_1_X38Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y58 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y58 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y58 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y58 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_1_X38Y58 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y59 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y59 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_1_X38Y59 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_1_X38Y59 INDEX 11193 TILEPROP INT_FEEDTHRU_1_X38Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y59 NAME INT_FEEDTHRU_1_X38Y59 TILEPROP INT_FEEDTHRU_1_X38Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y59 ROW 97 TILEPROP INT_FEEDTHRU_1_X38Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y59 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y59 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y59 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y59 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_1_X38Y59 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y60 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y60 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_1_X38Y60 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_1_X38Y60 INDEX 11078 TILEPROP INT_FEEDTHRU_1_X38Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y60 NAME INT_FEEDTHRU_1_X38Y60 TILEPROP INT_FEEDTHRU_1_X38Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y60 ROW 96 TILEPROP INT_FEEDTHRU_1_X38Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y60 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y60 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y60 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y60 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_1_X38Y60 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y61 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y61 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_1_X38Y61 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_1_X38Y61 INDEX 10963 TILEPROP INT_FEEDTHRU_1_X38Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y61 NAME INT_FEEDTHRU_1_X38Y61 TILEPROP INT_FEEDTHRU_1_X38Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y61 ROW 95 TILEPROP INT_FEEDTHRU_1_X38Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y61 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y61 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y61 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y61 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_1_X38Y61 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y62 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y62 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_1_X38Y62 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_1_X38Y62 INDEX 10848 TILEPROP INT_FEEDTHRU_1_X38Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y62 NAME INT_FEEDTHRU_1_X38Y62 TILEPROP INT_FEEDTHRU_1_X38Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y62 ROW 94 TILEPROP INT_FEEDTHRU_1_X38Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y62 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y62 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y62 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y62 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_1_X38Y62 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y63 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y63 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_1_X38Y63 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_1_X38Y63 INDEX 10733 TILEPROP INT_FEEDTHRU_1_X38Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y63 NAME INT_FEEDTHRU_1_X38Y63 TILEPROP INT_FEEDTHRU_1_X38Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y63 ROW 93 TILEPROP INT_FEEDTHRU_1_X38Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y63 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y63 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y63 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y63 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_1_X38Y63 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y64 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y64 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_1_X38Y64 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_1_X38Y64 INDEX 10618 TILEPROP INT_FEEDTHRU_1_X38Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y64 NAME INT_FEEDTHRU_1_X38Y64 TILEPROP INT_FEEDTHRU_1_X38Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y64 ROW 92 TILEPROP INT_FEEDTHRU_1_X38Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y64 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y64 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y64 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y64 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_1_X38Y64 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y65 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y65 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_1_X38Y65 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_1_X38Y65 INDEX 10503 TILEPROP INT_FEEDTHRU_1_X38Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y65 NAME INT_FEEDTHRU_1_X38Y65 TILEPROP INT_FEEDTHRU_1_X38Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y65 ROW 91 TILEPROP INT_FEEDTHRU_1_X38Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y65 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y65 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y65 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y65 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_1_X38Y65 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y66 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y66 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_1_X38Y66 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_1_X38Y66 INDEX 10388 TILEPROP INT_FEEDTHRU_1_X38Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y66 NAME INT_FEEDTHRU_1_X38Y66 TILEPROP INT_FEEDTHRU_1_X38Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y66 ROW 90 TILEPROP INT_FEEDTHRU_1_X38Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y66 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y66 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y66 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y66 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_1_X38Y66 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y67 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y67 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_1_X38Y67 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_1_X38Y67 INDEX 10273 TILEPROP INT_FEEDTHRU_1_X38Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y67 NAME INT_FEEDTHRU_1_X38Y67 TILEPROP INT_FEEDTHRU_1_X38Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y67 ROW 89 TILEPROP INT_FEEDTHRU_1_X38Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y67 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y67 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y67 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y67 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_1_X38Y67 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y68 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y68 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_1_X38Y68 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_1_X38Y68 INDEX 10158 TILEPROP INT_FEEDTHRU_1_X38Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y68 NAME INT_FEEDTHRU_1_X38Y68 TILEPROP INT_FEEDTHRU_1_X38Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y68 ROW 88 TILEPROP INT_FEEDTHRU_1_X38Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y68 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y68 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y68 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y68 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_1_X38Y68 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y69 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y69 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_1_X38Y69 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_1_X38Y69 INDEX 10043 TILEPROP INT_FEEDTHRU_1_X38Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y69 NAME INT_FEEDTHRU_1_X38Y69 TILEPROP INT_FEEDTHRU_1_X38Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y69 ROW 87 TILEPROP INT_FEEDTHRU_1_X38Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y69 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y69 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y69 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y69 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_1_X38Y69 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y70 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y70 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_1_X38Y70 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_1_X38Y70 INDEX 9928 TILEPROP INT_FEEDTHRU_1_X38Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y70 NAME INT_FEEDTHRU_1_X38Y70 TILEPROP INT_FEEDTHRU_1_X38Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y70 ROW 86 TILEPROP INT_FEEDTHRU_1_X38Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y70 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y70 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y70 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y70 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_1_X38Y70 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y71 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y71 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_1_X38Y71 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_1_X38Y71 INDEX 9813 TILEPROP INT_FEEDTHRU_1_X38Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y71 NAME INT_FEEDTHRU_1_X38Y71 TILEPROP INT_FEEDTHRU_1_X38Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y71 ROW 85 TILEPROP INT_FEEDTHRU_1_X38Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y71 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y71 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y71 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y71 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_1_X38Y71 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y72 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y72 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_1_X38Y72 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_1_X38Y72 INDEX 9698 TILEPROP INT_FEEDTHRU_1_X38Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y72 NAME INT_FEEDTHRU_1_X38Y72 TILEPROP INT_FEEDTHRU_1_X38Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y72 ROW 84 TILEPROP INT_FEEDTHRU_1_X38Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y72 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y72 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y72 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y72 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_1_X38Y72 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y73 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y73 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_1_X38Y73 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_1_X38Y73 INDEX 9583 TILEPROP INT_FEEDTHRU_1_X38Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y73 NAME INT_FEEDTHRU_1_X38Y73 TILEPROP INT_FEEDTHRU_1_X38Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y73 ROW 83 TILEPROP INT_FEEDTHRU_1_X38Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y73 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y73 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y73 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y73 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_1_X38Y73 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y74 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y74 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_1_X38Y74 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_1_X38Y74 INDEX 9468 TILEPROP INT_FEEDTHRU_1_X38Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y74 NAME INT_FEEDTHRU_1_X38Y74 TILEPROP INT_FEEDTHRU_1_X38Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y74 ROW 82 TILEPROP INT_FEEDTHRU_1_X38Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y74 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y74 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y74 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y74 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_1_X38Y74 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y75 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y75 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_1_X38Y75 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_1_X38Y75 INDEX 9353 TILEPROP INT_FEEDTHRU_1_X38Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y75 NAME INT_FEEDTHRU_1_X38Y75 TILEPROP INT_FEEDTHRU_1_X38Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y75 ROW 81 TILEPROP INT_FEEDTHRU_1_X38Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y75 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y75 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y75 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y75 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_1_X38Y75 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y76 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y76 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_1_X38Y76 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_1_X38Y76 INDEX 9238 TILEPROP INT_FEEDTHRU_1_X38Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y76 NAME INT_FEEDTHRU_1_X38Y76 TILEPROP INT_FEEDTHRU_1_X38Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y76 ROW 80 TILEPROP INT_FEEDTHRU_1_X38Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y76 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y76 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y76 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y76 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_1_X38Y76 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y77 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y77 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_1_X38Y77 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_1_X38Y77 INDEX 9123 TILEPROP INT_FEEDTHRU_1_X38Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y77 NAME INT_FEEDTHRU_1_X38Y77 TILEPROP INT_FEEDTHRU_1_X38Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y77 ROW 79 TILEPROP INT_FEEDTHRU_1_X38Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y77 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y77 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y77 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y77 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_1_X38Y77 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y79 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y79 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_1_X38Y79 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_1_X38Y79 INDEX 8893 TILEPROP INT_FEEDTHRU_1_X38Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y79 NAME INT_FEEDTHRU_1_X38Y79 TILEPROP INT_FEEDTHRU_1_X38Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y79 ROW 77 TILEPROP INT_FEEDTHRU_1_X38Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y79 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y79 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y79 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y79 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_1_X38Y79 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y80 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y80 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_1_X38Y80 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_1_X38Y80 INDEX 8778 TILEPROP INT_FEEDTHRU_1_X38Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y80 NAME INT_FEEDTHRU_1_X38Y80 TILEPROP INT_FEEDTHRU_1_X38Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y80 ROW 76 TILEPROP INT_FEEDTHRU_1_X38Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y80 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y80 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y80 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y80 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_1_X38Y80 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y81 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y81 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_1_X38Y81 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_1_X38Y81 INDEX 8663 TILEPROP INT_FEEDTHRU_1_X38Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y81 NAME INT_FEEDTHRU_1_X38Y81 TILEPROP INT_FEEDTHRU_1_X38Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y81 ROW 75 TILEPROP INT_FEEDTHRU_1_X38Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y81 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y81 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y81 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y81 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_1_X38Y81 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y82 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y82 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_1_X38Y82 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_1_X38Y82 INDEX 8548 TILEPROP INT_FEEDTHRU_1_X38Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y82 NAME INT_FEEDTHRU_1_X38Y82 TILEPROP INT_FEEDTHRU_1_X38Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y82 ROW 74 TILEPROP INT_FEEDTHRU_1_X38Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y82 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y82 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y82 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y82 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_1_X38Y82 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y83 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y83 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_1_X38Y83 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_1_X38Y83 INDEX 8433 TILEPROP INT_FEEDTHRU_1_X38Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y83 NAME INT_FEEDTHRU_1_X38Y83 TILEPROP INT_FEEDTHRU_1_X38Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y83 ROW 73 TILEPROP INT_FEEDTHRU_1_X38Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y83 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y83 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y83 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y83 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_1_X38Y83 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y84 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y84 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_1_X38Y84 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_1_X38Y84 INDEX 8318 TILEPROP INT_FEEDTHRU_1_X38Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y84 NAME INT_FEEDTHRU_1_X38Y84 TILEPROP INT_FEEDTHRU_1_X38Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y84 ROW 72 TILEPROP INT_FEEDTHRU_1_X38Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y84 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y84 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y84 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y84 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_1_X38Y84 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y85 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y85 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_1_X38Y85 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_1_X38Y85 INDEX 8203 TILEPROP INT_FEEDTHRU_1_X38Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y85 NAME INT_FEEDTHRU_1_X38Y85 TILEPROP INT_FEEDTHRU_1_X38Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y85 ROW 71 TILEPROP INT_FEEDTHRU_1_X38Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y85 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y85 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y85 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y85 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_1_X38Y85 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y86 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y86 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_1_X38Y86 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_1_X38Y86 INDEX 8088 TILEPROP INT_FEEDTHRU_1_X38Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y86 NAME INT_FEEDTHRU_1_X38Y86 TILEPROP INT_FEEDTHRU_1_X38Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y86 ROW 70 TILEPROP INT_FEEDTHRU_1_X38Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y86 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y86 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y86 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y86 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_1_X38Y86 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y87 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y87 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_1_X38Y87 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_1_X38Y87 INDEX 7973 TILEPROP INT_FEEDTHRU_1_X38Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y87 NAME INT_FEEDTHRU_1_X38Y87 TILEPROP INT_FEEDTHRU_1_X38Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y87 ROW 69 TILEPROP INT_FEEDTHRU_1_X38Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y87 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y87 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y87 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y87 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_1_X38Y87 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y88 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y88 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_1_X38Y88 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_1_X38Y88 INDEX 7858 TILEPROP INT_FEEDTHRU_1_X38Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y88 NAME INT_FEEDTHRU_1_X38Y88 TILEPROP INT_FEEDTHRU_1_X38Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y88 ROW 68 TILEPROP INT_FEEDTHRU_1_X38Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y88 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y88 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y88 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y88 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_1_X38Y88 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y89 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y89 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_1_X38Y89 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_1_X38Y89 INDEX 7743 TILEPROP INT_FEEDTHRU_1_X38Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y89 NAME INT_FEEDTHRU_1_X38Y89 TILEPROP INT_FEEDTHRU_1_X38Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y89 ROW 67 TILEPROP INT_FEEDTHRU_1_X38Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y89 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y89 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y89 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y89 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_1_X38Y89 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y90 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y90 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_1_X38Y90 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_1_X38Y90 INDEX 7628 TILEPROP INT_FEEDTHRU_1_X38Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y90 NAME INT_FEEDTHRU_1_X38Y90 TILEPROP INT_FEEDTHRU_1_X38Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y90 ROW 66 TILEPROP INT_FEEDTHRU_1_X38Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y90 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y90 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y90 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y90 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_1_X38Y90 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y91 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y91 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_1_X38Y91 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_1_X38Y91 INDEX 7513 TILEPROP INT_FEEDTHRU_1_X38Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y91 NAME INT_FEEDTHRU_1_X38Y91 TILEPROP INT_FEEDTHRU_1_X38Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y91 ROW 65 TILEPROP INT_FEEDTHRU_1_X38Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y91 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y91 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y91 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y91 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_1_X38Y91 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y92 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y92 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_1_X38Y92 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_1_X38Y92 INDEX 7398 TILEPROP INT_FEEDTHRU_1_X38Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y92 NAME INT_FEEDTHRU_1_X38Y92 TILEPROP INT_FEEDTHRU_1_X38Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y92 ROW 64 TILEPROP INT_FEEDTHRU_1_X38Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y92 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y92 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y92 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y92 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_1_X38Y92 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y93 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y93 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_1_X38Y93 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_1_X38Y93 INDEX 7283 TILEPROP INT_FEEDTHRU_1_X38Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y93 NAME INT_FEEDTHRU_1_X38Y93 TILEPROP INT_FEEDTHRU_1_X38Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y93 ROW 63 TILEPROP INT_FEEDTHRU_1_X38Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y93 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y93 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y93 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y93 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_1_X38Y93 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y94 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y94 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_1_X38Y94 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_1_X38Y94 INDEX 7168 TILEPROP INT_FEEDTHRU_1_X38Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y94 NAME INT_FEEDTHRU_1_X38Y94 TILEPROP INT_FEEDTHRU_1_X38Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y94 ROW 62 TILEPROP INT_FEEDTHRU_1_X38Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y94 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y94 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y94 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y94 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_1_X38Y94 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y95 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y95 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_1_X38Y95 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_1_X38Y95 INDEX 7053 TILEPROP INT_FEEDTHRU_1_X38Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y95 NAME INT_FEEDTHRU_1_X38Y95 TILEPROP INT_FEEDTHRU_1_X38Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y95 ROW 61 TILEPROP INT_FEEDTHRU_1_X38Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y95 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y95 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y95 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y95 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_1_X38Y95 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y96 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y96 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_1_X38Y96 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_1_X38Y96 INDEX 6938 TILEPROP INT_FEEDTHRU_1_X38Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y96 NAME INT_FEEDTHRU_1_X38Y96 TILEPROP INT_FEEDTHRU_1_X38Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y96 ROW 60 TILEPROP INT_FEEDTHRU_1_X38Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y96 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y96 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y96 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y96 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_1_X38Y96 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y97 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y97 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_1_X38Y97 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_1_X38Y97 INDEX 6823 TILEPROP INT_FEEDTHRU_1_X38Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y97 NAME INT_FEEDTHRU_1_X38Y97 TILEPROP INT_FEEDTHRU_1_X38Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y97 ROW 59 TILEPROP INT_FEEDTHRU_1_X38Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y97 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y97 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y97 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y97 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_1_X38Y97 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y98 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y98 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_1_X38Y98 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_1_X38Y98 INDEX 6708 TILEPROP INT_FEEDTHRU_1_X38Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y98 NAME INT_FEEDTHRU_1_X38Y98 TILEPROP INT_FEEDTHRU_1_X38Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y98 ROW 58 TILEPROP INT_FEEDTHRU_1_X38Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y98 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y98 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y98 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y98 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_1_X38Y98 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y99 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y99 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_1_X38Y99 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_1_X38Y99 INDEX 6593 TILEPROP INT_FEEDTHRU_1_X38Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y99 NAME INT_FEEDTHRU_1_X38Y99 TILEPROP INT_FEEDTHRU_1_X38Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y99 ROW 57 TILEPROP INT_FEEDTHRU_1_X38Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y99 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y99 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y99 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y99 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_1_X38Y99 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y100 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y100 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_1_X38Y100 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_1_X38Y100 INDEX 6478 TILEPROP INT_FEEDTHRU_1_X38Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y100 NAME INT_FEEDTHRU_1_X38Y100 TILEPROP INT_FEEDTHRU_1_X38Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y100 ROW 56 TILEPROP INT_FEEDTHRU_1_X38Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y100 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y100 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y100 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y100 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_1_X38Y100 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y101 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y101 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_1_X38Y101 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_1_X38Y101 INDEX 6363 TILEPROP INT_FEEDTHRU_1_X38Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y101 NAME INT_FEEDTHRU_1_X38Y101 TILEPROP INT_FEEDTHRU_1_X38Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y101 ROW 55 TILEPROP INT_FEEDTHRU_1_X38Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y101 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y101 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y101 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y101 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_1_X38Y101 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y102 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y102 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_1_X38Y102 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_1_X38Y102 INDEX 6248 TILEPROP INT_FEEDTHRU_1_X38Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y102 NAME INT_FEEDTHRU_1_X38Y102 TILEPROP INT_FEEDTHRU_1_X38Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y102 ROW 54 TILEPROP INT_FEEDTHRU_1_X38Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y102 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y102 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y102 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y102 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_1_X38Y102 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y103 CLASS tile TILEPROP INT_FEEDTHRU_1_X38Y103 COLUMN 38 TILEPROP INT_FEEDTHRU_1_X38Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_1_X38Y103 GRID_POINT_X 38 TILEPROP INT_FEEDTHRU_1_X38Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_1_X38Y103 INDEX 6133 TILEPROP INT_FEEDTHRU_1_X38Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X38Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X38Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X38Y103 NAME INT_FEEDTHRU_1_X38Y103 TILEPROP INT_FEEDTHRU_1_X38Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X38Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X38Y103 ROW 53 TILEPROP INT_FEEDTHRU_1_X38Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X38Y103 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X38Y103 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X38Y103 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X38Y103 TILE_X -33692 TILEPROP INT_FEEDTHRU_1_X38Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_1_X38Y103 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y1 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y1 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_1_X39Y1 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_1_X39Y1 INDEX 17864 TILEPROP INT_FEEDTHRU_1_X39Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y1 NAME INT_FEEDTHRU_1_X39Y1 TILEPROP INT_FEEDTHRU_1_X39Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y1 ROW 155 TILEPROP INT_FEEDTHRU_1_X39Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y1 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y1 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y1 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y1 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_1_X39Y1 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y2 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y2 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_1_X39Y2 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_1_X39Y2 INDEX 17749 TILEPROP INT_FEEDTHRU_1_X39Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y2 NAME INT_FEEDTHRU_1_X39Y2 TILEPROP INT_FEEDTHRU_1_X39Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y2 ROW 154 TILEPROP INT_FEEDTHRU_1_X39Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y2 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y2 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y2 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y2 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_1_X39Y2 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y3 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y3 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_1_X39Y3 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_1_X39Y3 INDEX 17634 TILEPROP INT_FEEDTHRU_1_X39Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y3 NAME INT_FEEDTHRU_1_X39Y3 TILEPROP INT_FEEDTHRU_1_X39Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y3 ROW 153 TILEPROP INT_FEEDTHRU_1_X39Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y3 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y3 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y3 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y3 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_1_X39Y3 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y4 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y4 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_1_X39Y4 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_1_X39Y4 INDEX 17519 TILEPROP INT_FEEDTHRU_1_X39Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y4 NAME INT_FEEDTHRU_1_X39Y4 TILEPROP INT_FEEDTHRU_1_X39Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y4 ROW 152 TILEPROP INT_FEEDTHRU_1_X39Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y4 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y4 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y4 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y4 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_1_X39Y4 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y5 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y5 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_1_X39Y5 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_1_X39Y5 INDEX 17404 TILEPROP INT_FEEDTHRU_1_X39Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y5 NAME INT_FEEDTHRU_1_X39Y5 TILEPROP INT_FEEDTHRU_1_X39Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y5 ROW 151 TILEPROP INT_FEEDTHRU_1_X39Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y5 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y5 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y5 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y5 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_1_X39Y5 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y6 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y6 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_1_X39Y6 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_1_X39Y6 INDEX 17289 TILEPROP INT_FEEDTHRU_1_X39Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y6 NAME INT_FEEDTHRU_1_X39Y6 TILEPROP INT_FEEDTHRU_1_X39Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y6 ROW 150 TILEPROP INT_FEEDTHRU_1_X39Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y6 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y6 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y6 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y6 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_1_X39Y6 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y7 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y7 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_1_X39Y7 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_1_X39Y7 INDEX 17174 TILEPROP INT_FEEDTHRU_1_X39Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y7 NAME INT_FEEDTHRU_1_X39Y7 TILEPROP INT_FEEDTHRU_1_X39Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y7 ROW 149 TILEPROP INT_FEEDTHRU_1_X39Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y7 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y7 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y7 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y7 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_1_X39Y7 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y8 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y8 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_1_X39Y8 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_1_X39Y8 INDEX 17059 TILEPROP INT_FEEDTHRU_1_X39Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y8 NAME INT_FEEDTHRU_1_X39Y8 TILEPROP INT_FEEDTHRU_1_X39Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y8 ROW 148 TILEPROP INT_FEEDTHRU_1_X39Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y8 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y8 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y8 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y8 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_1_X39Y8 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y9 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y9 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_1_X39Y9 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_1_X39Y9 INDEX 16944 TILEPROP INT_FEEDTHRU_1_X39Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y9 NAME INT_FEEDTHRU_1_X39Y9 TILEPROP INT_FEEDTHRU_1_X39Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y9 ROW 147 TILEPROP INT_FEEDTHRU_1_X39Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y9 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y9 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y9 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y9 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_1_X39Y9 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y10 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y10 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_1_X39Y10 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_1_X39Y10 INDEX 16829 TILEPROP INT_FEEDTHRU_1_X39Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y10 NAME INT_FEEDTHRU_1_X39Y10 TILEPROP INT_FEEDTHRU_1_X39Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y10 ROW 146 TILEPROP INT_FEEDTHRU_1_X39Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y10 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y10 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y10 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y10 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_1_X39Y10 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y11 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y11 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_1_X39Y11 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_1_X39Y11 INDEX 16714 TILEPROP INT_FEEDTHRU_1_X39Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y11 NAME INT_FEEDTHRU_1_X39Y11 TILEPROP INT_FEEDTHRU_1_X39Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y11 ROW 145 TILEPROP INT_FEEDTHRU_1_X39Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y11 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y11 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y11 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y11 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_1_X39Y11 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y12 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y12 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_1_X39Y12 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_1_X39Y12 INDEX 16599 TILEPROP INT_FEEDTHRU_1_X39Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y12 NAME INT_FEEDTHRU_1_X39Y12 TILEPROP INT_FEEDTHRU_1_X39Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y12 ROW 144 TILEPROP INT_FEEDTHRU_1_X39Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y12 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y12 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y12 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y12 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_1_X39Y12 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y13 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y13 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_1_X39Y13 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_1_X39Y13 INDEX 16484 TILEPROP INT_FEEDTHRU_1_X39Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y13 NAME INT_FEEDTHRU_1_X39Y13 TILEPROP INT_FEEDTHRU_1_X39Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y13 ROW 143 TILEPROP INT_FEEDTHRU_1_X39Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y13 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y13 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y13 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y13 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_1_X39Y13 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y14 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y14 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_1_X39Y14 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_1_X39Y14 INDEX 16369 TILEPROP INT_FEEDTHRU_1_X39Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y14 NAME INT_FEEDTHRU_1_X39Y14 TILEPROP INT_FEEDTHRU_1_X39Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y14 ROW 142 TILEPROP INT_FEEDTHRU_1_X39Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y14 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y14 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y14 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y14 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_1_X39Y14 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y15 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y15 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_1_X39Y15 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_1_X39Y15 INDEX 16254 TILEPROP INT_FEEDTHRU_1_X39Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y15 NAME INT_FEEDTHRU_1_X39Y15 TILEPROP INT_FEEDTHRU_1_X39Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y15 ROW 141 TILEPROP INT_FEEDTHRU_1_X39Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y15 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y15 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y15 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y15 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_1_X39Y15 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y16 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y16 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_1_X39Y16 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_1_X39Y16 INDEX 16139 TILEPROP INT_FEEDTHRU_1_X39Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y16 NAME INT_FEEDTHRU_1_X39Y16 TILEPROP INT_FEEDTHRU_1_X39Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y16 ROW 140 TILEPROP INT_FEEDTHRU_1_X39Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y16 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y16 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y16 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y16 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_1_X39Y16 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y17 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y17 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_1_X39Y17 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_1_X39Y17 INDEX 16024 TILEPROP INT_FEEDTHRU_1_X39Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y17 NAME INT_FEEDTHRU_1_X39Y17 TILEPROP INT_FEEDTHRU_1_X39Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y17 ROW 139 TILEPROP INT_FEEDTHRU_1_X39Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y17 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y17 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y17 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y17 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_1_X39Y17 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y18 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y18 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_1_X39Y18 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_1_X39Y18 INDEX 15909 TILEPROP INT_FEEDTHRU_1_X39Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y18 NAME INT_FEEDTHRU_1_X39Y18 TILEPROP INT_FEEDTHRU_1_X39Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y18 ROW 138 TILEPROP INT_FEEDTHRU_1_X39Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y18 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y18 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y18 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y18 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_1_X39Y18 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y19 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y19 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_1_X39Y19 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_1_X39Y19 INDEX 15794 TILEPROP INT_FEEDTHRU_1_X39Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y19 NAME INT_FEEDTHRU_1_X39Y19 TILEPROP INT_FEEDTHRU_1_X39Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y19 ROW 137 TILEPROP INT_FEEDTHRU_1_X39Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y19 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y19 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y19 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y19 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_1_X39Y19 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y20 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y20 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_1_X39Y20 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_1_X39Y20 INDEX 15679 TILEPROP INT_FEEDTHRU_1_X39Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y20 NAME INT_FEEDTHRU_1_X39Y20 TILEPROP INT_FEEDTHRU_1_X39Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y20 ROW 136 TILEPROP INT_FEEDTHRU_1_X39Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y20 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y20 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y20 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y20 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_1_X39Y20 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y21 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y21 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_1_X39Y21 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_1_X39Y21 INDEX 15564 TILEPROP INT_FEEDTHRU_1_X39Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y21 NAME INT_FEEDTHRU_1_X39Y21 TILEPROP INT_FEEDTHRU_1_X39Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y21 ROW 135 TILEPROP INT_FEEDTHRU_1_X39Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y21 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y21 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y21 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y21 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_1_X39Y21 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y22 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y22 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_1_X39Y22 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_1_X39Y22 INDEX 15449 TILEPROP INT_FEEDTHRU_1_X39Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y22 NAME INT_FEEDTHRU_1_X39Y22 TILEPROP INT_FEEDTHRU_1_X39Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y22 ROW 134 TILEPROP INT_FEEDTHRU_1_X39Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y22 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y22 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y22 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y22 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_1_X39Y22 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y23 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y23 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_1_X39Y23 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_1_X39Y23 INDEX 15334 TILEPROP INT_FEEDTHRU_1_X39Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y23 NAME INT_FEEDTHRU_1_X39Y23 TILEPROP INT_FEEDTHRU_1_X39Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y23 ROW 133 TILEPROP INT_FEEDTHRU_1_X39Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y23 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y23 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y23 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y23 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_1_X39Y23 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y24 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y24 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_1_X39Y24 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_1_X39Y24 INDEX 15219 TILEPROP INT_FEEDTHRU_1_X39Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y24 NAME INT_FEEDTHRU_1_X39Y24 TILEPROP INT_FEEDTHRU_1_X39Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y24 ROW 132 TILEPROP INT_FEEDTHRU_1_X39Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y24 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y24 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y24 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y24 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_1_X39Y24 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y25 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y25 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_1_X39Y25 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_1_X39Y25 INDEX 15104 TILEPROP INT_FEEDTHRU_1_X39Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y25 NAME INT_FEEDTHRU_1_X39Y25 TILEPROP INT_FEEDTHRU_1_X39Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y25 ROW 131 TILEPROP INT_FEEDTHRU_1_X39Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y25 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y25 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y25 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y25 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_1_X39Y25 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y27 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y27 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_1_X39Y27 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_1_X39Y27 INDEX 14874 TILEPROP INT_FEEDTHRU_1_X39Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y27 NAME INT_FEEDTHRU_1_X39Y27 TILEPROP INT_FEEDTHRU_1_X39Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y27 ROW 129 TILEPROP INT_FEEDTHRU_1_X39Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y27 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y27 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y27 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y27 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_1_X39Y27 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y28 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y28 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_1_X39Y28 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_1_X39Y28 INDEX 14759 TILEPROP INT_FEEDTHRU_1_X39Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y28 NAME INT_FEEDTHRU_1_X39Y28 TILEPROP INT_FEEDTHRU_1_X39Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y28 ROW 128 TILEPROP INT_FEEDTHRU_1_X39Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y28 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y28 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y28 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y28 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_1_X39Y28 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y29 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y29 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_1_X39Y29 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_1_X39Y29 INDEX 14644 TILEPROP INT_FEEDTHRU_1_X39Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y29 NAME INT_FEEDTHRU_1_X39Y29 TILEPROP INT_FEEDTHRU_1_X39Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y29 ROW 127 TILEPROP INT_FEEDTHRU_1_X39Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y29 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y29 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y29 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y29 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_1_X39Y29 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y30 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y30 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_1_X39Y30 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_1_X39Y30 INDEX 14529 TILEPROP INT_FEEDTHRU_1_X39Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y30 NAME INT_FEEDTHRU_1_X39Y30 TILEPROP INT_FEEDTHRU_1_X39Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y30 ROW 126 TILEPROP INT_FEEDTHRU_1_X39Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y30 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y30 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y30 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y30 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_1_X39Y30 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y31 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y31 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_1_X39Y31 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_1_X39Y31 INDEX 14414 TILEPROP INT_FEEDTHRU_1_X39Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y31 NAME INT_FEEDTHRU_1_X39Y31 TILEPROP INT_FEEDTHRU_1_X39Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y31 ROW 125 TILEPROP INT_FEEDTHRU_1_X39Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y31 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y31 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y31 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y31 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_1_X39Y31 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y32 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y32 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_1_X39Y32 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_1_X39Y32 INDEX 14299 TILEPROP INT_FEEDTHRU_1_X39Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y32 NAME INT_FEEDTHRU_1_X39Y32 TILEPROP INT_FEEDTHRU_1_X39Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y32 ROW 124 TILEPROP INT_FEEDTHRU_1_X39Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y32 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y32 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y32 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y32 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_1_X39Y32 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y33 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y33 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_1_X39Y33 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_1_X39Y33 INDEX 14184 TILEPROP INT_FEEDTHRU_1_X39Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y33 NAME INT_FEEDTHRU_1_X39Y33 TILEPROP INT_FEEDTHRU_1_X39Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y33 ROW 123 TILEPROP INT_FEEDTHRU_1_X39Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y33 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y33 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y33 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y33 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_1_X39Y33 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y34 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y34 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_1_X39Y34 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_1_X39Y34 INDEX 14069 TILEPROP INT_FEEDTHRU_1_X39Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y34 NAME INT_FEEDTHRU_1_X39Y34 TILEPROP INT_FEEDTHRU_1_X39Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y34 ROW 122 TILEPROP INT_FEEDTHRU_1_X39Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y34 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y34 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y34 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y34 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_1_X39Y34 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y35 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y35 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_1_X39Y35 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_1_X39Y35 INDEX 13954 TILEPROP INT_FEEDTHRU_1_X39Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y35 NAME INT_FEEDTHRU_1_X39Y35 TILEPROP INT_FEEDTHRU_1_X39Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y35 ROW 121 TILEPROP INT_FEEDTHRU_1_X39Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y35 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y35 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y35 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y35 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_1_X39Y35 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y36 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y36 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_1_X39Y36 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_1_X39Y36 INDEX 13839 TILEPROP INT_FEEDTHRU_1_X39Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y36 NAME INT_FEEDTHRU_1_X39Y36 TILEPROP INT_FEEDTHRU_1_X39Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y36 ROW 120 TILEPROP INT_FEEDTHRU_1_X39Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y36 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y36 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y36 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y36 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_1_X39Y36 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y37 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y37 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_1_X39Y37 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_1_X39Y37 INDEX 13724 TILEPROP INT_FEEDTHRU_1_X39Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y37 NAME INT_FEEDTHRU_1_X39Y37 TILEPROP INT_FEEDTHRU_1_X39Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y37 ROW 119 TILEPROP INT_FEEDTHRU_1_X39Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y37 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y37 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y37 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y37 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_1_X39Y37 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y38 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y38 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_1_X39Y38 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_1_X39Y38 INDEX 13609 TILEPROP INT_FEEDTHRU_1_X39Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y38 NAME INT_FEEDTHRU_1_X39Y38 TILEPROP INT_FEEDTHRU_1_X39Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y38 ROW 118 TILEPROP INT_FEEDTHRU_1_X39Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y38 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y38 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y38 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y38 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_1_X39Y38 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y39 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y39 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_1_X39Y39 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_1_X39Y39 INDEX 13494 TILEPROP INT_FEEDTHRU_1_X39Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y39 NAME INT_FEEDTHRU_1_X39Y39 TILEPROP INT_FEEDTHRU_1_X39Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y39 ROW 117 TILEPROP INT_FEEDTHRU_1_X39Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y39 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y39 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y39 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y39 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_1_X39Y39 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y40 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y40 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_1_X39Y40 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_1_X39Y40 INDEX 13379 TILEPROP INT_FEEDTHRU_1_X39Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y40 NAME INT_FEEDTHRU_1_X39Y40 TILEPROP INT_FEEDTHRU_1_X39Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y40 ROW 116 TILEPROP INT_FEEDTHRU_1_X39Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y40 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y40 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y40 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y40 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_1_X39Y40 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y41 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y41 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_1_X39Y41 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_1_X39Y41 INDEX 13264 TILEPROP INT_FEEDTHRU_1_X39Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y41 NAME INT_FEEDTHRU_1_X39Y41 TILEPROP INT_FEEDTHRU_1_X39Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y41 ROW 115 TILEPROP INT_FEEDTHRU_1_X39Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y41 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y41 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y41 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y41 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_1_X39Y41 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y42 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y42 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_1_X39Y42 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_1_X39Y42 INDEX 13149 TILEPROP INT_FEEDTHRU_1_X39Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y42 NAME INT_FEEDTHRU_1_X39Y42 TILEPROP INT_FEEDTHRU_1_X39Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y42 ROW 114 TILEPROP INT_FEEDTHRU_1_X39Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y42 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y42 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y42 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y42 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_1_X39Y42 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y43 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y43 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_1_X39Y43 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_1_X39Y43 INDEX 13034 TILEPROP INT_FEEDTHRU_1_X39Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y43 NAME INT_FEEDTHRU_1_X39Y43 TILEPROP INT_FEEDTHRU_1_X39Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y43 ROW 113 TILEPROP INT_FEEDTHRU_1_X39Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y43 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y43 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y43 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y43 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_1_X39Y43 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y44 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y44 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_1_X39Y44 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_1_X39Y44 INDEX 12919 TILEPROP INT_FEEDTHRU_1_X39Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y44 NAME INT_FEEDTHRU_1_X39Y44 TILEPROP INT_FEEDTHRU_1_X39Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y44 ROW 112 TILEPROP INT_FEEDTHRU_1_X39Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y44 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y44 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y44 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y44 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_1_X39Y44 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y45 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y45 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_1_X39Y45 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_1_X39Y45 INDEX 12804 TILEPROP INT_FEEDTHRU_1_X39Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y45 NAME INT_FEEDTHRU_1_X39Y45 TILEPROP INT_FEEDTHRU_1_X39Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y45 ROW 111 TILEPROP INT_FEEDTHRU_1_X39Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y45 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y45 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y45 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y45 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_1_X39Y45 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y46 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y46 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_1_X39Y46 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_1_X39Y46 INDEX 12689 TILEPROP INT_FEEDTHRU_1_X39Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y46 NAME INT_FEEDTHRU_1_X39Y46 TILEPROP INT_FEEDTHRU_1_X39Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y46 ROW 110 TILEPROP INT_FEEDTHRU_1_X39Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y46 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y46 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y46 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y46 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_1_X39Y46 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y47 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y47 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_1_X39Y47 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_1_X39Y47 INDEX 12574 TILEPROP INT_FEEDTHRU_1_X39Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y47 NAME INT_FEEDTHRU_1_X39Y47 TILEPROP INT_FEEDTHRU_1_X39Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y47 ROW 109 TILEPROP INT_FEEDTHRU_1_X39Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y47 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y47 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y47 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y47 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_1_X39Y47 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y48 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y48 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_1_X39Y48 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_1_X39Y48 INDEX 12459 TILEPROP INT_FEEDTHRU_1_X39Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y48 NAME INT_FEEDTHRU_1_X39Y48 TILEPROP INT_FEEDTHRU_1_X39Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y48 ROW 108 TILEPROP INT_FEEDTHRU_1_X39Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y48 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y48 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y48 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y48 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_1_X39Y48 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y49 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y49 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_1_X39Y49 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_1_X39Y49 INDEX 12344 TILEPROP INT_FEEDTHRU_1_X39Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y49 NAME INT_FEEDTHRU_1_X39Y49 TILEPROP INT_FEEDTHRU_1_X39Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y49 ROW 107 TILEPROP INT_FEEDTHRU_1_X39Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y49 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y49 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y49 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y49 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_1_X39Y49 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y50 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y50 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_1_X39Y50 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_1_X39Y50 INDEX 12229 TILEPROP INT_FEEDTHRU_1_X39Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y50 NAME INT_FEEDTHRU_1_X39Y50 TILEPROP INT_FEEDTHRU_1_X39Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y50 ROW 106 TILEPROP INT_FEEDTHRU_1_X39Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y50 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y50 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y50 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y50 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_1_X39Y50 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y51 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y51 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_1_X39Y51 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_1_X39Y51 INDEX 12114 TILEPROP INT_FEEDTHRU_1_X39Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y51 NAME INT_FEEDTHRU_1_X39Y51 TILEPROP INT_FEEDTHRU_1_X39Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y51 ROW 105 TILEPROP INT_FEEDTHRU_1_X39Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y51 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y51 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y51 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y51 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_1_X39Y51 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y53 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y53 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_1_X39Y53 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_1_X39Y53 INDEX 11884 TILEPROP INT_FEEDTHRU_1_X39Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y53 NAME INT_FEEDTHRU_1_X39Y53 TILEPROP INT_FEEDTHRU_1_X39Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y53 ROW 103 TILEPROP INT_FEEDTHRU_1_X39Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y53 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y53 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y53 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y53 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_1_X39Y53 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y54 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y54 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_1_X39Y54 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_1_X39Y54 INDEX 11769 TILEPROP INT_FEEDTHRU_1_X39Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y54 NAME INT_FEEDTHRU_1_X39Y54 TILEPROP INT_FEEDTHRU_1_X39Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y54 ROW 102 TILEPROP INT_FEEDTHRU_1_X39Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y54 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y54 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y54 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y54 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_1_X39Y54 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y55 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y55 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_1_X39Y55 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_1_X39Y55 INDEX 11654 TILEPROP INT_FEEDTHRU_1_X39Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y55 NAME INT_FEEDTHRU_1_X39Y55 TILEPROP INT_FEEDTHRU_1_X39Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y55 ROW 101 TILEPROP INT_FEEDTHRU_1_X39Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y55 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y55 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y55 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y55 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_1_X39Y55 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y56 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y56 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_1_X39Y56 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_1_X39Y56 INDEX 11539 TILEPROP INT_FEEDTHRU_1_X39Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y56 NAME INT_FEEDTHRU_1_X39Y56 TILEPROP INT_FEEDTHRU_1_X39Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y56 ROW 100 TILEPROP INT_FEEDTHRU_1_X39Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y56 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y56 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y56 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y56 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_1_X39Y56 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y57 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y57 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_1_X39Y57 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_1_X39Y57 INDEX 11424 TILEPROP INT_FEEDTHRU_1_X39Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y57 NAME INT_FEEDTHRU_1_X39Y57 TILEPROP INT_FEEDTHRU_1_X39Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y57 ROW 99 TILEPROP INT_FEEDTHRU_1_X39Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y57 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y57 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y57 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y57 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_1_X39Y57 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y58 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y58 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_1_X39Y58 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_1_X39Y58 INDEX 11309 TILEPROP INT_FEEDTHRU_1_X39Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y58 NAME INT_FEEDTHRU_1_X39Y58 TILEPROP INT_FEEDTHRU_1_X39Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y58 ROW 98 TILEPROP INT_FEEDTHRU_1_X39Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y58 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y58 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y58 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y58 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_1_X39Y58 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y59 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y59 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_1_X39Y59 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_1_X39Y59 INDEX 11194 TILEPROP INT_FEEDTHRU_1_X39Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y59 NAME INT_FEEDTHRU_1_X39Y59 TILEPROP INT_FEEDTHRU_1_X39Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y59 ROW 97 TILEPROP INT_FEEDTHRU_1_X39Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y59 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y59 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y59 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y59 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_1_X39Y59 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y60 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y60 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_1_X39Y60 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_1_X39Y60 INDEX 11079 TILEPROP INT_FEEDTHRU_1_X39Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y60 NAME INT_FEEDTHRU_1_X39Y60 TILEPROP INT_FEEDTHRU_1_X39Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y60 ROW 96 TILEPROP INT_FEEDTHRU_1_X39Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y60 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y60 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y60 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y60 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_1_X39Y60 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y61 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y61 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_1_X39Y61 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_1_X39Y61 INDEX 10964 TILEPROP INT_FEEDTHRU_1_X39Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y61 NAME INT_FEEDTHRU_1_X39Y61 TILEPROP INT_FEEDTHRU_1_X39Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y61 ROW 95 TILEPROP INT_FEEDTHRU_1_X39Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y61 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y61 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y61 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y61 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_1_X39Y61 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y62 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y62 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_1_X39Y62 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_1_X39Y62 INDEX 10849 TILEPROP INT_FEEDTHRU_1_X39Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y62 NAME INT_FEEDTHRU_1_X39Y62 TILEPROP INT_FEEDTHRU_1_X39Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y62 ROW 94 TILEPROP INT_FEEDTHRU_1_X39Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y62 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y62 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y62 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y62 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_1_X39Y62 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y63 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y63 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_1_X39Y63 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_1_X39Y63 INDEX 10734 TILEPROP INT_FEEDTHRU_1_X39Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y63 NAME INT_FEEDTHRU_1_X39Y63 TILEPROP INT_FEEDTHRU_1_X39Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y63 ROW 93 TILEPROP INT_FEEDTHRU_1_X39Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y63 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y63 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y63 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y63 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_1_X39Y63 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y64 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y64 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_1_X39Y64 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_1_X39Y64 INDEX 10619 TILEPROP INT_FEEDTHRU_1_X39Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y64 NAME INT_FEEDTHRU_1_X39Y64 TILEPROP INT_FEEDTHRU_1_X39Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y64 ROW 92 TILEPROP INT_FEEDTHRU_1_X39Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y64 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y64 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y64 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y64 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_1_X39Y64 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y65 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y65 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_1_X39Y65 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_1_X39Y65 INDEX 10504 TILEPROP INT_FEEDTHRU_1_X39Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y65 NAME INT_FEEDTHRU_1_X39Y65 TILEPROP INT_FEEDTHRU_1_X39Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y65 ROW 91 TILEPROP INT_FEEDTHRU_1_X39Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y65 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y65 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y65 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y65 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_1_X39Y65 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y66 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y66 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_1_X39Y66 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_1_X39Y66 INDEX 10389 TILEPROP INT_FEEDTHRU_1_X39Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y66 NAME INT_FEEDTHRU_1_X39Y66 TILEPROP INT_FEEDTHRU_1_X39Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y66 ROW 90 TILEPROP INT_FEEDTHRU_1_X39Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y66 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y66 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y66 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y66 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_1_X39Y66 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y67 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y67 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_1_X39Y67 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_1_X39Y67 INDEX 10274 TILEPROP INT_FEEDTHRU_1_X39Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y67 NAME INT_FEEDTHRU_1_X39Y67 TILEPROP INT_FEEDTHRU_1_X39Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y67 ROW 89 TILEPROP INT_FEEDTHRU_1_X39Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y67 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y67 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y67 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y67 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_1_X39Y67 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y68 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y68 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_1_X39Y68 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_1_X39Y68 INDEX 10159 TILEPROP INT_FEEDTHRU_1_X39Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y68 NAME INT_FEEDTHRU_1_X39Y68 TILEPROP INT_FEEDTHRU_1_X39Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y68 ROW 88 TILEPROP INT_FEEDTHRU_1_X39Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y68 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y68 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y68 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y68 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_1_X39Y68 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y69 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y69 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_1_X39Y69 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_1_X39Y69 INDEX 10044 TILEPROP INT_FEEDTHRU_1_X39Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y69 NAME INT_FEEDTHRU_1_X39Y69 TILEPROP INT_FEEDTHRU_1_X39Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y69 ROW 87 TILEPROP INT_FEEDTHRU_1_X39Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y69 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y69 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y69 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y69 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_1_X39Y69 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y70 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y70 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_1_X39Y70 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_1_X39Y70 INDEX 9929 TILEPROP INT_FEEDTHRU_1_X39Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y70 NAME INT_FEEDTHRU_1_X39Y70 TILEPROP INT_FEEDTHRU_1_X39Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y70 ROW 86 TILEPROP INT_FEEDTHRU_1_X39Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y70 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y70 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y70 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y70 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_1_X39Y70 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y71 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y71 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_1_X39Y71 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_1_X39Y71 INDEX 9814 TILEPROP INT_FEEDTHRU_1_X39Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y71 NAME INT_FEEDTHRU_1_X39Y71 TILEPROP INT_FEEDTHRU_1_X39Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y71 ROW 85 TILEPROP INT_FEEDTHRU_1_X39Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y71 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y71 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y71 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y71 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_1_X39Y71 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y72 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y72 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_1_X39Y72 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_1_X39Y72 INDEX 9699 TILEPROP INT_FEEDTHRU_1_X39Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y72 NAME INT_FEEDTHRU_1_X39Y72 TILEPROP INT_FEEDTHRU_1_X39Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y72 ROW 84 TILEPROP INT_FEEDTHRU_1_X39Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y72 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y72 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y72 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y72 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_1_X39Y72 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y73 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y73 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_1_X39Y73 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_1_X39Y73 INDEX 9584 TILEPROP INT_FEEDTHRU_1_X39Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y73 NAME INT_FEEDTHRU_1_X39Y73 TILEPROP INT_FEEDTHRU_1_X39Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y73 ROW 83 TILEPROP INT_FEEDTHRU_1_X39Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y73 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y73 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y73 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y73 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_1_X39Y73 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y74 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y74 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_1_X39Y74 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_1_X39Y74 INDEX 9469 TILEPROP INT_FEEDTHRU_1_X39Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y74 NAME INT_FEEDTHRU_1_X39Y74 TILEPROP INT_FEEDTHRU_1_X39Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y74 ROW 82 TILEPROP INT_FEEDTHRU_1_X39Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y74 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y74 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y74 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y74 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_1_X39Y74 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y75 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y75 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_1_X39Y75 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_1_X39Y75 INDEX 9354 TILEPROP INT_FEEDTHRU_1_X39Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y75 NAME INT_FEEDTHRU_1_X39Y75 TILEPROP INT_FEEDTHRU_1_X39Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y75 ROW 81 TILEPROP INT_FEEDTHRU_1_X39Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y75 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y75 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y75 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y75 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_1_X39Y75 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y76 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y76 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_1_X39Y76 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_1_X39Y76 INDEX 9239 TILEPROP INT_FEEDTHRU_1_X39Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y76 NAME INT_FEEDTHRU_1_X39Y76 TILEPROP INT_FEEDTHRU_1_X39Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y76 ROW 80 TILEPROP INT_FEEDTHRU_1_X39Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y76 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y76 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y76 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y76 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_1_X39Y76 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y77 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y77 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_1_X39Y77 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_1_X39Y77 INDEX 9124 TILEPROP INT_FEEDTHRU_1_X39Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y77 NAME INT_FEEDTHRU_1_X39Y77 TILEPROP INT_FEEDTHRU_1_X39Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y77 ROW 79 TILEPROP INT_FEEDTHRU_1_X39Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y77 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y77 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y77 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y77 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_1_X39Y77 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y79 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y79 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_1_X39Y79 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_1_X39Y79 INDEX 8894 TILEPROP INT_FEEDTHRU_1_X39Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y79 NAME INT_FEEDTHRU_1_X39Y79 TILEPROP INT_FEEDTHRU_1_X39Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y79 ROW 77 TILEPROP INT_FEEDTHRU_1_X39Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y79 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y79 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y79 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y79 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_1_X39Y79 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y80 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y80 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_1_X39Y80 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_1_X39Y80 INDEX 8779 TILEPROP INT_FEEDTHRU_1_X39Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y80 NAME INT_FEEDTHRU_1_X39Y80 TILEPROP INT_FEEDTHRU_1_X39Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y80 ROW 76 TILEPROP INT_FEEDTHRU_1_X39Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y80 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y80 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y80 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y80 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_1_X39Y80 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y81 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y81 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_1_X39Y81 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_1_X39Y81 INDEX 8664 TILEPROP INT_FEEDTHRU_1_X39Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y81 NAME INT_FEEDTHRU_1_X39Y81 TILEPROP INT_FEEDTHRU_1_X39Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y81 ROW 75 TILEPROP INT_FEEDTHRU_1_X39Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y81 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y81 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y81 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y81 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_1_X39Y81 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y82 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y82 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_1_X39Y82 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_1_X39Y82 INDEX 8549 TILEPROP INT_FEEDTHRU_1_X39Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y82 NAME INT_FEEDTHRU_1_X39Y82 TILEPROP INT_FEEDTHRU_1_X39Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y82 ROW 74 TILEPROP INT_FEEDTHRU_1_X39Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y82 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y82 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y82 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y82 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_1_X39Y82 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y83 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y83 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_1_X39Y83 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_1_X39Y83 INDEX 8434 TILEPROP INT_FEEDTHRU_1_X39Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y83 NAME INT_FEEDTHRU_1_X39Y83 TILEPROP INT_FEEDTHRU_1_X39Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y83 ROW 73 TILEPROP INT_FEEDTHRU_1_X39Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y83 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y83 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y83 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y83 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_1_X39Y83 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y84 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y84 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_1_X39Y84 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_1_X39Y84 INDEX 8319 TILEPROP INT_FEEDTHRU_1_X39Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y84 NAME INT_FEEDTHRU_1_X39Y84 TILEPROP INT_FEEDTHRU_1_X39Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y84 ROW 72 TILEPROP INT_FEEDTHRU_1_X39Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y84 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y84 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y84 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y84 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_1_X39Y84 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y85 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y85 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_1_X39Y85 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_1_X39Y85 INDEX 8204 TILEPROP INT_FEEDTHRU_1_X39Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y85 NAME INT_FEEDTHRU_1_X39Y85 TILEPROP INT_FEEDTHRU_1_X39Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y85 ROW 71 TILEPROP INT_FEEDTHRU_1_X39Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y85 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y85 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y85 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y85 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_1_X39Y85 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y86 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y86 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_1_X39Y86 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_1_X39Y86 INDEX 8089 TILEPROP INT_FEEDTHRU_1_X39Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y86 NAME INT_FEEDTHRU_1_X39Y86 TILEPROP INT_FEEDTHRU_1_X39Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y86 ROW 70 TILEPROP INT_FEEDTHRU_1_X39Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y86 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y86 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y86 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y86 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_1_X39Y86 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y87 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y87 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_1_X39Y87 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_1_X39Y87 INDEX 7974 TILEPROP INT_FEEDTHRU_1_X39Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y87 NAME INT_FEEDTHRU_1_X39Y87 TILEPROP INT_FEEDTHRU_1_X39Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y87 ROW 69 TILEPROP INT_FEEDTHRU_1_X39Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y87 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y87 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y87 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y87 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_1_X39Y87 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y88 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y88 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_1_X39Y88 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_1_X39Y88 INDEX 7859 TILEPROP INT_FEEDTHRU_1_X39Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y88 NAME INT_FEEDTHRU_1_X39Y88 TILEPROP INT_FEEDTHRU_1_X39Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y88 ROW 68 TILEPROP INT_FEEDTHRU_1_X39Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y88 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y88 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y88 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y88 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_1_X39Y88 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y89 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y89 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_1_X39Y89 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_1_X39Y89 INDEX 7744 TILEPROP INT_FEEDTHRU_1_X39Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y89 NAME INT_FEEDTHRU_1_X39Y89 TILEPROP INT_FEEDTHRU_1_X39Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y89 ROW 67 TILEPROP INT_FEEDTHRU_1_X39Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y89 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y89 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y89 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y89 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_1_X39Y89 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y90 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y90 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_1_X39Y90 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_1_X39Y90 INDEX 7629 TILEPROP INT_FEEDTHRU_1_X39Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y90 NAME INT_FEEDTHRU_1_X39Y90 TILEPROP INT_FEEDTHRU_1_X39Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y90 ROW 66 TILEPROP INT_FEEDTHRU_1_X39Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y90 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y90 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y90 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y90 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_1_X39Y90 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y91 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y91 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_1_X39Y91 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_1_X39Y91 INDEX 7514 TILEPROP INT_FEEDTHRU_1_X39Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y91 NAME INT_FEEDTHRU_1_X39Y91 TILEPROP INT_FEEDTHRU_1_X39Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y91 ROW 65 TILEPROP INT_FEEDTHRU_1_X39Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y91 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y91 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y91 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y91 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_1_X39Y91 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y92 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y92 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_1_X39Y92 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_1_X39Y92 INDEX 7399 TILEPROP INT_FEEDTHRU_1_X39Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y92 NAME INT_FEEDTHRU_1_X39Y92 TILEPROP INT_FEEDTHRU_1_X39Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y92 ROW 64 TILEPROP INT_FEEDTHRU_1_X39Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y92 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y92 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y92 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y92 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_1_X39Y92 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y93 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y93 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_1_X39Y93 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_1_X39Y93 INDEX 7284 TILEPROP INT_FEEDTHRU_1_X39Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y93 NAME INT_FEEDTHRU_1_X39Y93 TILEPROP INT_FEEDTHRU_1_X39Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y93 ROW 63 TILEPROP INT_FEEDTHRU_1_X39Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y93 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y93 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y93 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y93 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_1_X39Y93 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y94 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y94 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_1_X39Y94 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_1_X39Y94 INDEX 7169 TILEPROP INT_FEEDTHRU_1_X39Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y94 NAME INT_FEEDTHRU_1_X39Y94 TILEPROP INT_FEEDTHRU_1_X39Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y94 ROW 62 TILEPROP INT_FEEDTHRU_1_X39Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y94 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y94 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y94 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y94 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_1_X39Y94 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y95 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y95 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_1_X39Y95 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_1_X39Y95 INDEX 7054 TILEPROP INT_FEEDTHRU_1_X39Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y95 NAME INT_FEEDTHRU_1_X39Y95 TILEPROP INT_FEEDTHRU_1_X39Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y95 ROW 61 TILEPROP INT_FEEDTHRU_1_X39Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y95 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y95 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y95 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y95 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_1_X39Y95 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y96 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y96 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_1_X39Y96 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_1_X39Y96 INDEX 6939 TILEPROP INT_FEEDTHRU_1_X39Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y96 NAME INT_FEEDTHRU_1_X39Y96 TILEPROP INT_FEEDTHRU_1_X39Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y96 ROW 60 TILEPROP INT_FEEDTHRU_1_X39Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y96 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y96 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y96 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y96 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_1_X39Y96 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y97 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y97 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_1_X39Y97 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_1_X39Y97 INDEX 6824 TILEPROP INT_FEEDTHRU_1_X39Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y97 NAME INT_FEEDTHRU_1_X39Y97 TILEPROP INT_FEEDTHRU_1_X39Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y97 ROW 59 TILEPROP INT_FEEDTHRU_1_X39Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y97 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y97 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y97 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y97 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_1_X39Y97 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y98 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y98 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_1_X39Y98 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_1_X39Y98 INDEX 6709 TILEPROP INT_FEEDTHRU_1_X39Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y98 NAME INT_FEEDTHRU_1_X39Y98 TILEPROP INT_FEEDTHRU_1_X39Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y98 ROW 58 TILEPROP INT_FEEDTHRU_1_X39Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y98 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y98 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y98 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y98 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_1_X39Y98 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y99 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y99 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_1_X39Y99 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_1_X39Y99 INDEX 6594 TILEPROP INT_FEEDTHRU_1_X39Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y99 NAME INT_FEEDTHRU_1_X39Y99 TILEPROP INT_FEEDTHRU_1_X39Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y99 ROW 57 TILEPROP INT_FEEDTHRU_1_X39Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y99 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y99 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y99 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y99 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_1_X39Y99 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y100 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y100 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_1_X39Y100 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_1_X39Y100 INDEX 6479 TILEPROP INT_FEEDTHRU_1_X39Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y100 NAME INT_FEEDTHRU_1_X39Y100 TILEPROP INT_FEEDTHRU_1_X39Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y100 ROW 56 TILEPROP INT_FEEDTHRU_1_X39Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y100 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y100 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y100 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y100 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_1_X39Y100 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y101 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y101 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_1_X39Y101 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_1_X39Y101 INDEX 6364 TILEPROP INT_FEEDTHRU_1_X39Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y101 NAME INT_FEEDTHRU_1_X39Y101 TILEPROP INT_FEEDTHRU_1_X39Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y101 ROW 55 TILEPROP INT_FEEDTHRU_1_X39Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y101 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y101 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y101 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y101 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_1_X39Y101 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y102 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y102 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_1_X39Y102 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_1_X39Y102 INDEX 6249 TILEPROP INT_FEEDTHRU_1_X39Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y102 NAME INT_FEEDTHRU_1_X39Y102 TILEPROP INT_FEEDTHRU_1_X39Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y102 ROW 54 TILEPROP INT_FEEDTHRU_1_X39Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y102 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y102 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y102 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y102 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_1_X39Y102 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y103 CLASS tile TILEPROP INT_FEEDTHRU_1_X39Y103 COLUMN 39 TILEPROP INT_FEEDTHRU_1_X39Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_1_X39Y103 GRID_POINT_X 39 TILEPROP INT_FEEDTHRU_1_X39Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_1_X39Y103 INDEX 6134 TILEPROP INT_FEEDTHRU_1_X39Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X39Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X39Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X39Y103 NAME INT_FEEDTHRU_1_X39Y103 TILEPROP INT_FEEDTHRU_1_X39Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X39Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X39Y103 ROW 53 TILEPROP INT_FEEDTHRU_1_X39Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X39Y103 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X39Y103 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X39Y103 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X39Y103 TILE_X -32996 TILEPROP INT_FEEDTHRU_1_X39Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_1_X39Y103 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y1 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y1 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_1_X42Y1 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_1_X42Y1 INDEX 17867 TILEPROP INT_FEEDTHRU_1_X42Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y1 NAME INT_FEEDTHRU_1_X42Y1 TILEPROP INT_FEEDTHRU_1_X42Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y1 ROW 155 TILEPROP INT_FEEDTHRU_1_X42Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y1 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y1 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y1 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y1 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_1_X42Y1 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y2 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y2 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_1_X42Y2 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_1_X42Y2 INDEX 17752 TILEPROP INT_FEEDTHRU_1_X42Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y2 NAME INT_FEEDTHRU_1_X42Y2 TILEPROP INT_FEEDTHRU_1_X42Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y2 ROW 154 TILEPROP INT_FEEDTHRU_1_X42Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y2 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y2 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y2 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y2 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_1_X42Y2 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y3 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y3 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_1_X42Y3 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_1_X42Y3 INDEX 17637 TILEPROP INT_FEEDTHRU_1_X42Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y3 NAME INT_FEEDTHRU_1_X42Y3 TILEPROP INT_FEEDTHRU_1_X42Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y3 ROW 153 TILEPROP INT_FEEDTHRU_1_X42Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y3 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y3 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y3 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y3 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_1_X42Y3 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y4 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y4 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_1_X42Y4 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_1_X42Y4 INDEX 17522 TILEPROP INT_FEEDTHRU_1_X42Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y4 NAME INT_FEEDTHRU_1_X42Y4 TILEPROP INT_FEEDTHRU_1_X42Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y4 ROW 152 TILEPROP INT_FEEDTHRU_1_X42Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y4 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y4 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y4 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y4 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_1_X42Y4 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y5 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y5 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_1_X42Y5 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_1_X42Y5 INDEX 17407 TILEPROP INT_FEEDTHRU_1_X42Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y5 NAME INT_FEEDTHRU_1_X42Y5 TILEPROP INT_FEEDTHRU_1_X42Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y5 ROW 151 TILEPROP INT_FEEDTHRU_1_X42Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y5 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y5 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y5 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y5 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_1_X42Y5 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y6 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y6 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_1_X42Y6 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_1_X42Y6 INDEX 17292 TILEPROP INT_FEEDTHRU_1_X42Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y6 NAME INT_FEEDTHRU_1_X42Y6 TILEPROP INT_FEEDTHRU_1_X42Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y6 ROW 150 TILEPROP INT_FEEDTHRU_1_X42Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y6 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y6 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y6 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y6 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_1_X42Y6 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y7 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y7 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_1_X42Y7 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_1_X42Y7 INDEX 17177 TILEPROP INT_FEEDTHRU_1_X42Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y7 NAME INT_FEEDTHRU_1_X42Y7 TILEPROP INT_FEEDTHRU_1_X42Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y7 ROW 149 TILEPROP INT_FEEDTHRU_1_X42Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y7 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y7 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y7 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y7 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_1_X42Y7 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y8 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y8 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_1_X42Y8 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_1_X42Y8 INDEX 17062 TILEPROP INT_FEEDTHRU_1_X42Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y8 NAME INT_FEEDTHRU_1_X42Y8 TILEPROP INT_FEEDTHRU_1_X42Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y8 ROW 148 TILEPROP INT_FEEDTHRU_1_X42Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y8 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y8 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y8 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y8 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_1_X42Y8 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y9 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y9 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_1_X42Y9 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_1_X42Y9 INDEX 16947 TILEPROP INT_FEEDTHRU_1_X42Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y9 NAME INT_FEEDTHRU_1_X42Y9 TILEPROP INT_FEEDTHRU_1_X42Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y9 ROW 147 TILEPROP INT_FEEDTHRU_1_X42Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y9 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y9 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y9 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y9 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_1_X42Y9 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y10 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y10 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_1_X42Y10 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_1_X42Y10 INDEX 16832 TILEPROP INT_FEEDTHRU_1_X42Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y10 NAME INT_FEEDTHRU_1_X42Y10 TILEPROP INT_FEEDTHRU_1_X42Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y10 ROW 146 TILEPROP INT_FEEDTHRU_1_X42Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y10 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y10 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y10 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y10 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_1_X42Y10 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y11 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y11 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_1_X42Y11 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_1_X42Y11 INDEX 16717 TILEPROP INT_FEEDTHRU_1_X42Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y11 NAME INT_FEEDTHRU_1_X42Y11 TILEPROP INT_FEEDTHRU_1_X42Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y11 ROW 145 TILEPROP INT_FEEDTHRU_1_X42Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y11 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y11 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y11 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y11 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_1_X42Y11 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y12 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y12 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_1_X42Y12 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_1_X42Y12 INDEX 16602 TILEPROP INT_FEEDTHRU_1_X42Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y12 NAME INT_FEEDTHRU_1_X42Y12 TILEPROP INT_FEEDTHRU_1_X42Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y12 ROW 144 TILEPROP INT_FEEDTHRU_1_X42Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y12 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y12 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y12 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y12 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_1_X42Y12 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y13 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y13 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_1_X42Y13 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_1_X42Y13 INDEX 16487 TILEPROP INT_FEEDTHRU_1_X42Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y13 NAME INT_FEEDTHRU_1_X42Y13 TILEPROP INT_FEEDTHRU_1_X42Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y13 ROW 143 TILEPROP INT_FEEDTHRU_1_X42Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y13 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y13 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y13 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y13 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_1_X42Y13 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y14 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y14 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_1_X42Y14 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_1_X42Y14 INDEX 16372 TILEPROP INT_FEEDTHRU_1_X42Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y14 NAME INT_FEEDTHRU_1_X42Y14 TILEPROP INT_FEEDTHRU_1_X42Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y14 ROW 142 TILEPROP INT_FEEDTHRU_1_X42Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y14 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y14 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y14 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y14 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_1_X42Y14 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y15 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y15 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_1_X42Y15 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_1_X42Y15 INDEX 16257 TILEPROP INT_FEEDTHRU_1_X42Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y15 NAME INT_FEEDTHRU_1_X42Y15 TILEPROP INT_FEEDTHRU_1_X42Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y15 ROW 141 TILEPROP INT_FEEDTHRU_1_X42Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y15 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y15 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y15 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y15 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_1_X42Y15 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y16 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y16 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_1_X42Y16 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_1_X42Y16 INDEX 16142 TILEPROP INT_FEEDTHRU_1_X42Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y16 NAME INT_FEEDTHRU_1_X42Y16 TILEPROP INT_FEEDTHRU_1_X42Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y16 ROW 140 TILEPROP INT_FEEDTHRU_1_X42Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y16 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y16 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y16 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y16 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_1_X42Y16 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y17 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y17 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_1_X42Y17 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_1_X42Y17 INDEX 16027 TILEPROP INT_FEEDTHRU_1_X42Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y17 NAME INT_FEEDTHRU_1_X42Y17 TILEPROP INT_FEEDTHRU_1_X42Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y17 ROW 139 TILEPROP INT_FEEDTHRU_1_X42Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y17 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y17 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y17 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y17 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_1_X42Y17 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y18 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y18 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_1_X42Y18 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_1_X42Y18 INDEX 15912 TILEPROP INT_FEEDTHRU_1_X42Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y18 NAME INT_FEEDTHRU_1_X42Y18 TILEPROP INT_FEEDTHRU_1_X42Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y18 ROW 138 TILEPROP INT_FEEDTHRU_1_X42Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y18 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y18 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y18 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y18 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_1_X42Y18 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y19 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y19 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_1_X42Y19 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_1_X42Y19 INDEX 15797 TILEPROP INT_FEEDTHRU_1_X42Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y19 NAME INT_FEEDTHRU_1_X42Y19 TILEPROP INT_FEEDTHRU_1_X42Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y19 ROW 137 TILEPROP INT_FEEDTHRU_1_X42Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y19 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y19 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y19 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y19 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_1_X42Y19 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y20 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y20 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_1_X42Y20 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_1_X42Y20 INDEX 15682 TILEPROP INT_FEEDTHRU_1_X42Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y20 NAME INT_FEEDTHRU_1_X42Y20 TILEPROP INT_FEEDTHRU_1_X42Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y20 ROW 136 TILEPROP INT_FEEDTHRU_1_X42Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y20 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y20 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y20 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y20 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_1_X42Y20 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y21 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y21 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_1_X42Y21 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_1_X42Y21 INDEX 15567 TILEPROP INT_FEEDTHRU_1_X42Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y21 NAME INT_FEEDTHRU_1_X42Y21 TILEPROP INT_FEEDTHRU_1_X42Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y21 ROW 135 TILEPROP INT_FEEDTHRU_1_X42Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y21 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y21 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y21 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y21 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_1_X42Y21 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y22 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y22 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_1_X42Y22 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_1_X42Y22 INDEX 15452 TILEPROP INT_FEEDTHRU_1_X42Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y22 NAME INT_FEEDTHRU_1_X42Y22 TILEPROP INT_FEEDTHRU_1_X42Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y22 ROW 134 TILEPROP INT_FEEDTHRU_1_X42Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y22 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y22 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y22 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y22 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_1_X42Y22 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y23 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y23 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_1_X42Y23 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_1_X42Y23 INDEX 15337 TILEPROP INT_FEEDTHRU_1_X42Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y23 NAME INT_FEEDTHRU_1_X42Y23 TILEPROP INT_FEEDTHRU_1_X42Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y23 ROW 133 TILEPROP INT_FEEDTHRU_1_X42Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y23 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y23 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y23 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y23 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_1_X42Y23 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y24 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y24 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_1_X42Y24 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_1_X42Y24 INDEX 15222 TILEPROP INT_FEEDTHRU_1_X42Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y24 NAME INT_FEEDTHRU_1_X42Y24 TILEPROP INT_FEEDTHRU_1_X42Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y24 ROW 132 TILEPROP INT_FEEDTHRU_1_X42Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y24 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y24 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y24 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y24 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_1_X42Y24 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y25 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y25 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_1_X42Y25 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_1_X42Y25 INDEX 15107 TILEPROP INT_FEEDTHRU_1_X42Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y25 NAME INT_FEEDTHRU_1_X42Y25 TILEPROP INT_FEEDTHRU_1_X42Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y25 ROW 131 TILEPROP INT_FEEDTHRU_1_X42Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y25 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y25 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y25 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y25 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_1_X42Y25 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y27 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y27 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_1_X42Y27 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_1_X42Y27 INDEX 14877 TILEPROP INT_FEEDTHRU_1_X42Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y27 NAME INT_FEEDTHRU_1_X42Y27 TILEPROP INT_FEEDTHRU_1_X42Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y27 ROW 129 TILEPROP INT_FEEDTHRU_1_X42Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y27 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y27 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y27 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y27 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_1_X42Y27 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y28 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y28 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_1_X42Y28 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_1_X42Y28 INDEX 14762 TILEPROP INT_FEEDTHRU_1_X42Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y28 NAME INT_FEEDTHRU_1_X42Y28 TILEPROP INT_FEEDTHRU_1_X42Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y28 ROW 128 TILEPROP INT_FEEDTHRU_1_X42Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y28 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y28 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y28 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y28 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_1_X42Y28 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y29 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y29 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_1_X42Y29 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_1_X42Y29 INDEX 14647 TILEPROP INT_FEEDTHRU_1_X42Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y29 NAME INT_FEEDTHRU_1_X42Y29 TILEPROP INT_FEEDTHRU_1_X42Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y29 ROW 127 TILEPROP INT_FEEDTHRU_1_X42Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y29 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y29 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y29 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y29 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_1_X42Y29 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y30 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y30 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_1_X42Y30 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_1_X42Y30 INDEX 14532 TILEPROP INT_FEEDTHRU_1_X42Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y30 NAME INT_FEEDTHRU_1_X42Y30 TILEPROP INT_FEEDTHRU_1_X42Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y30 ROW 126 TILEPROP INT_FEEDTHRU_1_X42Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y30 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y30 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y30 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y30 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_1_X42Y30 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y31 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y31 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_1_X42Y31 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_1_X42Y31 INDEX 14417 TILEPROP INT_FEEDTHRU_1_X42Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y31 NAME INT_FEEDTHRU_1_X42Y31 TILEPROP INT_FEEDTHRU_1_X42Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y31 ROW 125 TILEPROP INT_FEEDTHRU_1_X42Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y31 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y31 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y31 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y31 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_1_X42Y31 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y32 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y32 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_1_X42Y32 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_1_X42Y32 INDEX 14302 TILEPROP INT_FEEDTHRU_1_X42Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y32 NAME INT_FEEDTHRU_1_X42Y32 TILEPROP INT_FEEDTHRU_1_X42Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y32 ROW 124 TILEPROP INT_FEEDTHRU_1_X42Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y32 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y32 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y32 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y32 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_1_X42Y32 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y33 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y33 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_1_X42Y33 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_1_X42Y33 INDEX 14187 TILEPROP INT_FEEDTHRU_1_X42Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y33 NAME INT_FEEDTHRU_1_X42Y33 TILEPROP INT_FEEDTHRU_1_X42Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y33 ROW 123 TILEPROP INT_FEEDTHRU_1_X42Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y33 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y33 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y33 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y33 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_1_X42Y33 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y34 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y34 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_1_X42Y34 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_1_X42Y34 INDEX 14072 TILEPROP INT_FEEDTHRU_1_X42Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y34 NAME INT_FEEDTHRU_1_X42Y34 TILEPROP INT_FEEDTHRU_1_X42Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y34 ROW 122 TILEPROP INT_FEEDTHRU_1_X42Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y34 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y34 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y34 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y34 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_1_X42Y34 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y35 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y35 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_1_X42Y35 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_1_X42Y35 INDEX 13957 TILEPROP INT_FEEDTHRU_1_X42Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y35 NAME INT_FEEDTHRU_1_X42Y35 TILEPROP INT_FEEDTHRU_1_X42Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y35 ROW 121 TILEPROP INT_FEEDTHRU_1_X42Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y35 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y35 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y35 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y35 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_1_X42Y35 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y36 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y36 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_1_X42Y36 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_1_X42Y36 INDEX 13842 TILEPROP INT_FEEDTHRU_1_X42Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y36 NAME INT_FEEDTHRU_1_X42Y36 TILEPROP INT_FEEDTHRU_1_X42Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y36 ROW 120 TILEPROP INT_FEEDTHRU_1_X42Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y36 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y36 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y36 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y36 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_1_X42Y36 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y37 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y37 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_1_X42Y37 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_1_X42Y37 INDEX 13727 TILEPROP INT_FEEDTHRU_1_X42Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y37 NAME INT_FEEDTHRU_1_X42Y37 TILEPROP INT_FEEDTHRU_1_X42Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y37 ROW 119 TILEPROP INT_FEEDTHRU_1_X42Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y37 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y37 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y37 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y37 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_1_X42Y37 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y38 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y38 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_1_X42Y38 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_1_X42Y38 INDEX 13612 TILEPROP INT_FEEDTHRU_1_X42Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y38 NAME INT_FEEDTHRU_1_X42Y38 TILEPROP INT_FEEDTHRU_1_X42Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y38 ROW 118 TILEPROP INT_FEEDTHRU_1_X42Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y38 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y38 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y38 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y38 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_1_X42Y38 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y39 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y39 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_1_X42Y39 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_1_X42Y39 INDEX 13497 TILEPROP INT_FEEDTHRU_1_X42Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y39 NAME INT_FEEDTHRU_1_X42Y39 TILEPROP INT_FEEDTHRU_1_X42Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y39 ROW 117 TILEPROP INT_FEEDTHRU_1_X42Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y39 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y39 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y39 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y39 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_1_X42Y39 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y40 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y40 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_1_X42Y40 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_1_X42Y40 INDEX 13382 TILEPROP INT_FEEDTHRU_1_X42Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y40 NAME INT_FEEDTHRU_1_X42Y40 TILEPROP INT_FEEDTHRU_1_X42Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y40 ROW 116 TILEPROP INT_FEEDTHRU_1_X42Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y40 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y40 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y40 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y40 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_1_X42Y40 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y41 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y41 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_1_X42Y41 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_1_X42Y41 INDEX 13267 TILEPROP INT_FEEDTHRU_1_X42Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y41 NAME INT_FEEDTHRU_1_X42Y41 TILEPROP INT_FEEDTHRU_1_X42Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y41 ROW 115 TILEPROP INT_FEEDTHRU_1_X42Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y41 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y41 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y41 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y41 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_1_X42Y41 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y42 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y42 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_1_X42Y42 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_1_X42Y42 INDEX 13152 TILEPROP INT_FEEDTHRU_1_X42Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y42 NAME INT_FEEDTHRU_1_X42Y42 TILEPROP INT_FEEDTHRU_1_X42Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y42 ROW 114 TILEPROP INT_FEEDTHRU_1_X42Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y42 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y42 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y42 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y42 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_1_X42Y42 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y43 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y43 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_1_X42Y43 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_1_X42Y43 INDEX 13037 TILEPROP INT_FEEDTHRU_1_X42Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y43 NAME INT_FEEDTHRU_1_X42Y43 TILEPROP INT_FEEDTHRU_1_X42Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y43 ROW 113 TILEPROP INT_FEEDTHRU_1_X42Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y43 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y43 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y43 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y43 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_1_X42Y43 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y44 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y44 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_1_X42Y44 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_1_X42Y44 INDEX 12922 TILEPROP INT_FEEDTHRU_1_X42Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y44 NAME INT_FEEDTHRU_1_X42Y44 TILEPROP INT_FEEDTHRU_1_X42Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y44 ROW 112 TILEPROP INT_FEEDTHRU_1_X42Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y44 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y44 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y44 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y44 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_1_X42Y44 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y45 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y45 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_1_X42Y45 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_1_X42Y45 INDEX 12807 TILEPROP INT_FEEDTHRU_1_X42Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y45 NAME INT_FEEDTHRU_1_X42Y45 TILEPROP INT_FEEDTHRU_1_X42Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y45 ROW 111 TILEPROP INT_FEEDTHRU_1_X42Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y45 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y45 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y45 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y45 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_1_X42Y45 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y46 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y46 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_1_X42Y46 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_1_X42Y46 INDEX 12692 TILEPROP INT_FEEDTHRU_1_X42Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y46 NAME INT_FEEDTHRU_1_X42Y46 TILEPROP INT_FEEDTHRU_1_X42Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y46 ROW 110 TILEPROP INT_FEEDTHRU_1_X42Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y46 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y46 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y46 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y46 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_1_X42Y46 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y47 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y47 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_1_X42Y47 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_1_X42Y47 INDEX 12577 TILEPROP INT_FEEDTHRU_1_X42Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y47 NAME INT_FEEDTHRU_1_X42Y47 TILEPROP INT_FEEDTHRU_1_X42Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y47 ROW 109 TILEPROP INT_FEEDTHRU_1_X42Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y47 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y47 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y47 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y47 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_1_X42Y47 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y48 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y48 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_1_X42Y48 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_1_X42Y48 INDEX 12462 TILEPROP INT_FEEDTHRU_1_X42Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y48 NAME INT_FEEDTHRU_1_X42Y48 TILEPROP INT_FEEDTHRU_1_X42Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y48 ROW 108 TILEPROP INT_FEEDTHRU_1_X42Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y48 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y48 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y48 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y48 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_1_X42Y48 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y49 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y49 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_1_X42Y49 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_1_X42Y49 INDEX 12347 TILEPROP INT_FEEDTHRU_1_X42Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y49 NAME INT_FEEDTHRU_1_X42Y49 TILEPROP INT_FEEDTHRU_1_X42Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y49 ROW 107 TILEPROP INT_FEEDTHRU_1_X42Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y49 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y49 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y49 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y49 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_1_X42Y49 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y50 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y50 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_1_X42Y50 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_1_X42Y50 INDEX 12232 TILEPROP INT_FEEDTHRU_1_X42Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y50 NAME INT_FEEDTHRU_1_X42Y50 TILEPROP INT_FEEDTHRU_1_X42Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y50 ROW 106 TILEPROP INT_FEEDTHRU_1_X42Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y50 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y50 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y50 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y50 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_1_X42Y50 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y51 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y51 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_1_X42Y51 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_1_X42Y51 INDEX 12117 TILEPROP INT_FEEDTHRU_1_X42Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y51 NAME INT_FEEDTHRU_1_X42Y51 TILEPROP INT_FEEDTHRU_1_X42Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y51 ROW 105 TILEPROP INT_FEEDTHRU_1_X42Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y51 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y51 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y51 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y51 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_1_X42Y51 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y53 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y53 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_1_X42Y53 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_1_X42Y53 INDEX 11887 TILEPROP INT_FEEDTHRU_1_X42Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y53 NAME INT_FEEDTHRU_1_X42Y53 TILEPROP INT_FEEDTHRU_1_X42Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y53 ROW 103 TILEPROP INT_FEEDTHRU_1_X42Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y53 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y53 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y53 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y53 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_1_X42Y53 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y54 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y54 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_1_X42Y54 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_1_X42Y54 INDEX 11772 TILEPROP INT_FEEDTHRU_1_X42Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y54 NAME INT_FEEDTHRU_1_X42Y54 TILEPROP INT_FEEDTHRU_1_X42Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y54 ROW 102 TILEPROP INT_FEEDTHRU_1_X42Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y54 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y54 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y54 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y54 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_1_X42Y54 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y55 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y55 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_1_X42Y55 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_1_X42Y55 INDEX 11657 TILEPROP INT_FEEDTHRU_1_X42Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y55 NAME INT_FEEDTHRU_1_X42Y55 TILEPROP INT_FEEDTHRU_1_X42Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y55 ROW 101 TILEPROP INT_FEEDTHRU_1_X42Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y55 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y55 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y55 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y55 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_1_X42Y55 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y56 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y56 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_1_X42Y56 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_1_X42Y56 INDEX 11542 TILEPROP INT_FEEDTHRU_1_X42Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y56 NAME INT_FEEDTHRU_1_X42Y56 TILEPROP INT_FEEDTHRU_1_X42Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y56 ROW 100 TILEPROP INT_FEEDTHRU_1_X42Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y56 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y56 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y56 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y56 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_1_X42Y56 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y57 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y57 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_1_X42Y57 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_1_X42Y57 INDEX 11427 TILEPROP INT_FEEDTHRU_1_X42Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y57 NAME INT_FEEDTHRU_1_X42Y57 TILEPROP INT_FEEDTHRU_1_X42Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y57 ROW 99 TILEPROP INT_FEEDTHRU_1_X42Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y57 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y57 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y57 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y57 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_1_X42Y57 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y58 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y58 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_1_X42Y58 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_1_X42Y58 INDEX 11312 TILEPROP INT_FEEDTHRU_1_X42Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y58 NAME INT_FEEDTHRU_1_X42Y58 TILEPROP INT_FEEDTHRU_1_X42Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y58 ROW 98 TILEPROP INT_FEEDTHRU_1_X42Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y58 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y58 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y58 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y58 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_1_X42Y58 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y59 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y59 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_1_X42Y59 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_1_X42Y59 INDEX 11197 TILEPROP INT_FEEDTHRU_1_X42Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y59 NAME INT_FEEDTHRU_1_X42Y59 TILEPROP INT_FEEDTHRU_1_X42Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y59 ROW 97 TILEPROP INT_FEEDTHRU_1_X42Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y59 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y59 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y59 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y59 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_1_X42Y59 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y60 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y60 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_1_X42Y60 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_1_X42Y60 INDEX 11082 TILEPROP INT_FEEDTHRU_1_X42Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y60 NAME INT_FEEDTHRU_1_X42Y60 TILEPROP INT_FEEDTHRU_1_X42Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y60 ROW 96 TILEPROP INT_FEEDTHRU_1_X42Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y60 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y60 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y60 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y60 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_1_X42Y60 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y61 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y61 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_1_X42Y61 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_1_X42Y61 INDEX 10967 TILEPROP INT_FEEDTHRU_1_X42Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y61 NAME INT_FEEDTHRU_1_X42Y61 TILEPROP INT_FEEDTHRU_1_X42Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y61 ROW 95 TILEPROP INT_FEEDTHRU_1_X42Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y61 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y61 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y61 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y61 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_1_X42Y61 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y62 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y62 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_1_X42Y62 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_1_X42Y62 INDEX 10852 TILEPROP INT_FEEDTHRU_1_X42Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y62 NAME INT_FEEDTHRU_1_X42Y62 TILEPROP INT_FEEDTHRU_1_X42Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y62 ROW 94 TILEPROP INT_FEEDTHRU_1_X42Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y62 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y62 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y62 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y62 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_1_X42Y62 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y63 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y63 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_1_X42Y63 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_1_X42Y63 INDEX 10737 TILEPROP INT_FEEDTHRU_1_X42Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y63 NAME INT_FEEDTHRU_1_X42Y63 TILEPROP INT_FEEDTHRU_1_X42Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y63 ROW 93 TILEPROP INT_FEEDTHRU_1_X42Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y63 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y63 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y63 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y63 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_1_X42Y63 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y64 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y64 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_1_X42Y64 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_1_X42Y64 INDEX 10622 TILEPROP INT_FEEDTHRU_1_X42Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y64 NAME INT_FEEDTHRU_1_X42Y64 TILEPROP INT_FEEDTHRU_1_X42Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y64 ROW 92 TILEPROP INT_FEEDTHRU_1_X42Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y64 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y64 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y64 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y64 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_1_X42Y64 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y65 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y65 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_1_X42Y65 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_1_X42Y65 INDEX 10507 TILEPROP INT_FEEDTHRU_1_X42Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y65 NAME INT_FEEDTHRU_1_X42Y65 TILEPROP INT_FEEDTHRU_1_X42Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y65 ROW 91 TILEPROP INT_FEEDTHRU_1_X42Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y65 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y65 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y65 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y65 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_1_X42Y65 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y66 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y66 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_1_X42Y66 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_1_X42Y66 INDEX 10392 TILEPROP INT_FEEDTHRU_1_X42Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y66 NAME INT_FEEDTHRU_1_X42Y66 TILEPROP INT_FEEDTHRU_1_X42Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y66 ROW 90 TILEPROP INT_FEEDTHRU_1_X42Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y66 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y66 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y66 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y66 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_1_X42Y66 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y67 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y67 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_1_X42Y67 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_1_X42Y67 INDEX 10277 TILEPROP INT_FEEDTHRU_1_X42Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y67 NAME INT_FEEDTHRU_1_X42Y67 TILEPROP INT_FEEDTHRU_1_X42Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y67 ROW 89 TILEPROP INT_FEEDTHRU_1_X42Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y67 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y67 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y67 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y67 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_1_X42Y67 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y68 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y68 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_1_X42Y68 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_1_X42Y68 INDEX 10162 TILEPROP INT_FEEDTHRU_1_X42Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y68 NAME INT_FEEDTHRU_1_X42Y68 TILEPROP INT_FEEDTHRU_1_X42Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y68 ROW 88 TILEPROP INT_FEEDTHRU_1_X42Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y68 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y68 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y68 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y68 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_1_X42Y68 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y69 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y69 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_1_X42Y69 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_1_X42Y69 INDEX 10047 TILEPROP INT_FEEDTHRU_1_X42Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y69 NAME INT_FEEDTHRU_1_X42Y69 TILEPROP INT_FEEDTHRU_1_X42Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y69 ROW 87 TILEPROP INT_FEEDTHRU_1_X42Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y69 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y69 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y69 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y69 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_1_X42Y69 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y70 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y70 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_1_X42Y70 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_1_X42Y70 INDEX 9932 TILEPROP INT_FEEDTHRU_1_X42Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y70 NAME INT_FEEDTHRU_1_X42Y70 TILEPROP INT_FEEDTHRU_1_X42Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y70 ROW 86 TILEPROP INT_FEEDTHRU_1_X42Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y70 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y70 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y70 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y70 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_1_X42Y70 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y71 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y71 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_1_X42Y71 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_1_X42Y71 INDEX 9817 TILEPROP INT_FEEDTHRU_1_X42Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y71 NAME INT_FEEDTHRU_1_X42Y71 TILEPROP INT_FEEDTHRU_1_X42Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y71 ROW 85 TILEPROP INT_FEEDTHRU_1_X42Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y71 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y71 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y71 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y71 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_1_X42Y71 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y72 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y72 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_1_X42Y72 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_1_X42Y72 INDEX 9702 TILEPROP INT_FEEDTHRU_1_X42Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y72 NAME INT_FEEDTHRU_1_X42Y72 TILEPROP INT_FEEDTHRU_1_X42Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y72 ROW 84 TILEPROP INT_FEEDTHRU_1_X42Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y72 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y72 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y72 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y72 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_1_X42Y72 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y73 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y73 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_1_X42Y73 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_1_X42Y73 INDEX 9587 TILEPROP INT_FEEDTHRU_1_X42Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y73 NAME INT_FEEDTHRU_1_X42Y73 TILEPROP INT_FEEDTHRU_1_X42Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y73 ROW 83 TILEPROP INT_FEEDTHRU_1_X42Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y73 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y73 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y73 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y73 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_1_X42Y73 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y74 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y74 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_1_X42Y74 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_1_X42Y74 INDEX 9472 TILEPROP INT_FEEDTHRU_1_X42Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y74 NAME INT_FEEDTHRU_1_X42Y74 TILEPROP INT_FEEDTHRU_1_X42Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y74 ROW 82 TILEPROP INT_FEEDTHRU_1_X42Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y74 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y74 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y74 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y74 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_1_X42Y74 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y75 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y75 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_1_X42Y75 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_1_X42Y75 INDEX 9357 TILEPROP INT_FEEDTHRU_1_X42Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y75 NAME INT_FEEDTHRU_1_X42Y75 TILEPROP INT_FEEDTHRU_1_X42Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y75 ROW 81 TILEPROP INT_FEEDTHRU_1_X42Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y75 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y75 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y75 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y75 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_1_X42Y75 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y76 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y76 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_1_X42Y76 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_1_X42Y76 INDEX 9242 TILEPROP INT_FEEDTHRU_1_X42Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y76 NAME INT_FEEDTHRU_1_X42Y76 TILEPROP INT_FEEDTHRU_1_X42Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y76 ROW 80 TILEPROP INT_FEEDTHRU_1_X42Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y76 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y76 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y76 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y76 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_1_X42Y76 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y77 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y77 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_1_X42Y77 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_1_X42Y77 INDEX 9127 TILEPROP INT_FEEDTHRU_1_X42Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y77 NAME INT_FEEDTHRU_1_X42Y77 TILEPROP INT_FEEDTHRU_1_X42Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y77 ROW 79 TILEPROP INT_FEEDTHRU_1_X42Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y77 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y77 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y77 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y77 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_1_X42Y77 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y79 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y79 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_1_X42Y79 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_1_X42Y79 INDEX 8897 TILEPROP INT_FEEDTHRU_1_X42Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y79 NAME INT_FEEDTHRU_1_X42Y79 TILEPROP INT_FEEDTHRU_1_X42Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y79 ROW 77 TILEPROP INT_FEEDTHRU_1_X42Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y79 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y79 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y79 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y79 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_1_X42Y79 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y80 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y80 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_1_X42Y80 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_1_X42Y80 INDEX 8782 TILEPROP INT_FEEDTHRU_1_X42Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y80 NAME INT_FEEDTHRU_1_X42Y80 TILEPROP INT_FEEDTHRU_1_X42Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y80 ROW 76 TILEPROP INT_FEEDTHRU_1_X42Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y80 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y80 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y80 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y80 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_1_X42Y80 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y81 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y81 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_1_X42Y81 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_1_X42Y81 INDEX 8667 TILEPROP INT_FEEDTHRU_1_X42Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y81 NAME INT_FEEDTHRU_1_X42Y81 TILEPROP INT_FEEDTHRU_1_X42Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y81 ROW 75 TILEPROP INT_FEEDTHRU_1_X42Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y81 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y81 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y81 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y81 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_1_X42Y81 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y82 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y82 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_1_X42Y82 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_1_X42Y82 INDEX 8552 TILEPROP INT_FEEDTHRU_1_X42Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y82 NAME INT_FEEDTHRU_1_X42Y82 TILEPROP INT_FEEDTHRU_1_X42Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y82 ROW 74 TILEPROP INT_FEEDTHRU_1_X42Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y82 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y82 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y82 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y82 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_1_X42Y82 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y83 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y83 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_1_X42Y83 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_1_X42Y83 INDEX 8437 TILEPROP INT_FEEDTHRU_1_X42Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y83 NAME INT_FEEDTHRU_1_X42Y83 TILEPROP INT_FEEDTHRU_1_X42Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y83 ROW 73 TILEPROP INT_FEEDTHRU_1_X42Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y83 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y83 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y83 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y83 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_1_X42Y83 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y84 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y84 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_1_X42Y84 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_1_X42Y84 INDEX 8322 TILEPROP INT_FEEDTHRU_1_X42Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y84 NAME INT_FEEDTHRU_1_X42Y84 TILEPROP INT_FEEDTHRU_1_X42Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y84 ROW 72 TILEPROP INT_FEEDTHRU_1_X42Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y84 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y84 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y84 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y84 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_1_X42Y84 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y85 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y85 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_1_X42Y85 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_1_X42Y85 INDEX 8207 TILEPROP INT_FEEDTHRU_1_X42Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y85 NAME INT_FEEDTHRU_1_X42Y85 TILEPROP INT_FEEDTHRU_1_X42Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y85 ROW 71 TILEPROP INT_FEEDTHRU_1_X42Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y85 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y85 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y85 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y85 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_1_X42Y85 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y86 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y86 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_1_X42Y86 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_1_X42Y86 INDEX 8092 TILEPROP INT_FEEDTHRU_1_X42Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y86 NAME INT_FEEDTHRU_1_X42Y86 TILEPROP INT_FEEDTHRU_1_X42Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y86 ROW 70 TILEPROP INT_FEEDTHRU_1_X42Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y86 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y86 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y86 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y86 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_1_X42Y86 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y87 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y87 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_1_X42Y87 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_1_X42Y87 INDEX 7977 TILEPROP INT_FEEDTHRU_1_X42Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y87 NAME INT_FEEDTHRU_1_X42Y87 TILEPROP INT_FEEDTHRU_1_X42Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y87 ROW 69 TILEPROP INT_FEEDTHRU_1_X42Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y87 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y87 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y87 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y87 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_1_X42Y87 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y88 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y88 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_1_X42Y88 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_1_X42Y88 INDEX 7862 TILEPROP INT_FEEDTHRU_1_X42Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y88 NAME INT_FEEDTHRU_1_X42Y88 TILEPROP INT_FEEDTHRU_1_X42Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y88 ROW 68 TILEPROP INT_FEEDTHRU_1_X42Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y88 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y88 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y88 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y88 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_1_X42Y88 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y89 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y89 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_1_X42Y89 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_1_X42Y89 INDEX 7747 TILEPROP INT_FEEDTHRU_1_X42Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y89 NAME INT_FEEDTHRU_1_X42Y89 TILEPROP INT_FEEDTHRU_1_X42Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y89 ROW 67 TILEPROP INT_FEEDTHRU_1_X42Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y89 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y89 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y89 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y89 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_1_X42Y89 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y90 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y90 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_1_X42Y90 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_1_X42Y90 INDEX 7632 TILEPROP INT_FEEDTHRU_1_X42Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y90 NAME INT_FEEDTHRU_1_X42Y90 TILEPROP INT_FEEDTHRU_1_X42Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y90 ROW 66 TILEPROP INT_FEEDTHRU_1_X42Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y90 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y90 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y90 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y90 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_1_X42Y90 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y91 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y91 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_1_X42Y91 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_1_X42Y91 INDEX 7517 TILEPROP INT_FEEDTHRU_1_X42Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y91 NAME INT_FEEDTHRU_1_X42Y91 TILEPROP INT_FEEDTHRU_1_X42Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y91 ROW 65 TILEPROP INT_FEEDTHRU_1_X42Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y91 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y91 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y91 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y91 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_1_X42Y91 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y92 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y92 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_1_X42Y92 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_1_X42Y92 INDEX 7402 TILEPROP INT_FEEDTHRU_1_X42Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y92 NAME INT_FEEDTHRU_1_X42Y92 TILEPROP INT_FEEDTHRU_1_X42Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y92 ROW 64 TILEPROP INT_FEEDTHRU_1_X42Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y92 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y92 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y92 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y92 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_1_X42Y92 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y93 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y93 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_1_X42Y93 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_1_X42Y93 INDEX 7287 TILEPROP INT_FEEDTHRU_1_X42Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y93 NAME INT_FEEDTHRU_1_X42Y93 TILEPROP INT_FEEDTHRU_1_X42Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y93 ROW 63 TILEPROP INT_FEEDTHRU_1_X42Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y93 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y93 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y93 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y93 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_1_X42Y93 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y94 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y94 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_1_X42Y94 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_1_X42Y94 INDEX 7172 TILEPROP INT_FEEDTHRU_1_X42Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y94 NAME INT_FEEDTHRU_1_X42Y94 TILEPROP INT_FEEDTHRU_1_X42Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y94 ROW 62 TILEPROP INT_FEEDTHRU_1_X42Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y94 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y94 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y94 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y94 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_1_X42Y94 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y95 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y95 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_1_X42Y95 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_1_X42Y95 INDEX 7057 TILEPROP INT_FEEDTHRU_1_X42Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y95 NAME INT_FEEDTHRU_1_X42Y95 TILEPROP INT_FEEDTHRU_1_X42Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y95 ROW 61 TILEPROP INT_FEEDTHRU_1_X42Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y95 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y95 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y95 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y95 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_1_X42Y95 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y96 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y96 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_1_X42Y96 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_1_X42Y96 INDEX 6942 TILEPROP INT_FEEDTHRU_1_X42Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y96 NAME INT_FEEDTHRU_1_X42Y96 TILEPROP INT_FEEDTHRU_1_X42Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y96 ROW 60 TILEPROP INT_FEEDTHRU_1_X42Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y96 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y96 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y96 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y96 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_1_X42Y96 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y97 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y97 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_1_X42Y97 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_1_X42Y97 INDEX 6827 TILEPROP INT_FEEDTHRU_1_X42Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y97 NAME INT_FEEDTHRU_1_X42Y97 TILEPROP INT_FEEDTHRU_1_X42Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y97 ROW 59 TILEPROP INT_FEEDTHRU_1_X42Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y97 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y97 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y97 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y97 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_1_X42Y97 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y98 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y98 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_1_X42Y98 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_1_X42Y98 INDEX 6712 TILEPROP INT_FEEDTHRU_1_X42Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y98 NAME INT_FEEDTHRU_1_X42Y98 TILEPROP INT_FEEDTHRU_1_X42Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y98 ROW 58 TILEPROP INT_FEEDTHRU_1_X42Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y98 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y98 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y98 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y98 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_1_X42Y98 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y99 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y99 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_1_X42Y99 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_1_X42Y99 INDEX 6597 TILEPROP INT_FEEDTHRU_1_X42Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y99 NAME INT_FEEDTHRU_1_X42Y99 TILEPROP INT_FEEDTHRU_1_X42Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y99 ROW 57 TILEPROP INT_FEEDTHRU_1_X42Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y99 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y99 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y99 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y99 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_1_X42Y99 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y100 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y100 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_1_X42Y100 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_1_X42Y100 INDEX 6482 TILEPROP INT_FEEDTHRU_1_X42Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y100 NAME INT_FEEDTHRU_1_X42Y100 TILEPROP INT_FEEDTHRU_1_X42Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y100 ROW 56 TILEPROP INT_FEEDTHRU_1_X42Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y100 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y100 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y100 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y100 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_1_X42Y100 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y101 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y101 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_1_X42Y101 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_1_X42Y101 INDEX 6367 TILEPROP INT_FEEDTHRU_1_X42Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y101 NAME INT_FEEDTHRU_1_X42Y101 TILEPROP INT_FEEDTHRU_1_X42Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y101 ROW 55 TILEPROP INT_FEEDTHRU_1_X42Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y101 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y101 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y101 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y101 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_1_X42Y101 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y102 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y102 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_1_X42Y102 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_1_X42Y102 INDEX 6252 TILEPROP INT_FEEDTHRU_1_X42Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y102 NAME INT_FEEDTHRU_1_X42Y102 TILEPROP INT_FEEDTHRU_1_X42Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y102 ROW 54 TILEPROP INT_FEEDTHRU_1_X42Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y102 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y102 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y102 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y102 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_1_X42Y102 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y103 CLASS tile TILEPROP INT_FEEDTHRU_1_X42Y103 COLUMN 42 TILEPROP INT_FEEDTHRU_1_X42Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_1_X42Y103 GRID_POINT_X 42 TILEPROP INT_FEEDTHRU_1_X42Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_1_X42Y103 INDEX 6137 TILEPROP INT_FEEDTHRU_1_X42Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X42Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X42Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X42Y103 NAME INT_FEEDTHRU_1_X42Y103 TILEPROP INT_FEEDTHRU_1_X42Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X42Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X42Y103 ROW 53 TILEPROP INT_FEEDTHRU_1_X42Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X42Y103 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X42Y103 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X42Y103 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X42Y103 TILE_X -25388 TILEPROP INT_FEEDTHRU_1_X42Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_1_X42Y103 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y1 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y1 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_1_X43Y1 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_1_X43Y1 INDEX 17868 TILEPROP INT_FEEDTHRU_1_X43Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y1 NAME INT_FEEDTHRU_1_X43Y1 TILEPROP INT_FEEDTHRU_1_X43Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y1 ROW 155 TILEPROP INT_FEEDTHRU_1_X43Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y1 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y1 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y1 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y1 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_1_X43Y1 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y2 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y2 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_1_X43Y2 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_1_X43Y2 INDEX 17753 TILEPROP INT_FEEDTHRU_1_X43Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y2 NAME INT_FEEDTHRU_1_X43Y2 TILEPROP INT_FEEDTHRU_1_X43Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y2 ROW 154 TILEPROP INT_FEEDTHRU_1_X43Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y2 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y2 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y2 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y2 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_1_X43Y2 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y3 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y3 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_1_X43Y3 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_1_X43Y3 INDEX 17638 TILEPROP INT_FEEDTHRU_1_X43Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y3 NAME INT_FEEDTHRU_1_X43Y3 TILEPROP INT_FEEDTHRU_1_X43Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y3 ROW 153 TILEPROP INT_FEEDTHRU_1_X43Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y3 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y3 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y3 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y3 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_1_X43Y3 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y4 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y4 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_1_X43Y4 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_1_X43Y4 INDEX 17523 TILEPROP INT_FEEDTHRU_1_X43Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y4 NAME INT_FEEDTHRU_1_X43Y4 TILEPROP INT_FEEDTHRU_1_X43Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y4 ROW 152 TILEPROP INT_FEEDTHRU_1_X43Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y4 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y4 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y4 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y4 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_1_X43Y4 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y5 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y5 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_1_X43Y5 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_1_X43Y5 INDEX 17408 TILEPROP INT_FEEDTHRU_1_X43Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y5 NAME INT_FEEDTHRU_1_X43Y5 TILEPROP INT_FEEDTHRU_1_X43Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y5 ROW 151 TILEPROP INT_FEEDTHRU_1_X43Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y5 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y5 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y5 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y5 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_1_X43Y5 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y6 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y6 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_1_X43Y6 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_1_X43Y6 INDEX 17293 TILEPROP INT_FEEDTHRU_1_X43Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y6 NAME INT_FEEDTHRU_1_X43Y6 TILEPROP INT_FEEDTHRU_1_X43Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y6 ROW 150 TILEPROP INT_FEEDTHRU_1_X43Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y6 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y6 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y6 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y6 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_1_X43Y6 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y7 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y7 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_1_X43Y7 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_1_X43Y7 INDEX 17178 TILEPROP INT_FEEDTHRU_1_X43Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y7 NAME INT_FEEDTHRU_1_X43Y7 TILEPROP INT_FEEDTHRU_1_X43Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y7 ROW 149 TILEPROP INT_FEEDTHRU_1_X43Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y7 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y7 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y7 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y7 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_1_X43Y7 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y8 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y8 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_1_X43Y8 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_1_X43Y8 INDEX 17063 TILEPROP INT_FEEDTHRU_1_X43Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y8 NAME INT_FEEDTHRU_1_X43Y8 TILEPROP INT_FEEDTHRU_1_X43Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y8 ROW 148 TILEPROP INT_FEEDTHRU_1_X43Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y8 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y8 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y8 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y8 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_1_X43Y8 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y9 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y9 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_1_X43Y9 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_1_X43Y9 INDEX 16948 TILEPROP INT_FEEDTHRU_1_X43Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y9 NAME INT_FEEDTHRU_1_X43Y9 TILEPROP INT_FEEDTHRU_1_X43Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y9 ROW 147 TILEPROP INT_FEEDTHRU_1_X43Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y9 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y9 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y9 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y9 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_1_X43Y9 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y10 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y10 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_1_X43Y10 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_1_X43Y10 INDEX 16833 TILEPROP INT_FEEDTHRU_1_X43Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y10 NAME INT_FEEDTHRU_1_X43Y10 TILEPROP INT_FEEDTHRU_1_X43Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y10 ROW 146 TILEPROP INT_FEEDTHRU_1_X43Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y10 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y10 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y10 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y10 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_1_X43Y10 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y11 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y11 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_1_X43Y11 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_1_X43Y11 INDEX 16718 TILEPROP INT_FEEDTHRU_1_X43Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y11 NAME INT_FEEDTHRU_1_X43Y11 TILEPROP INT_FEEDTHRU_1_X43Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y11 ROW 145 TILEPROP INT_FEEDTHRU_1_X43Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y11 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y11 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y11 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y11 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_1_X43Y11 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y12 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y12 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_1_X43Y12 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_1_X43Y12 INDEX 16603 TILEPROP INT_FEEDTHRU_1_X43Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y12 NAME INT_FEEDTHRU_1_X43Y12 TILEPROP INT_FEEDTHRU_1_X43Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y12 ROW 144 TILEPROP INT_FEEDTHRU_1_X43Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y12 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y12 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y12 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y12 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_1_X43Y12 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y13 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y13 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_1_X43Y13 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_1_X43Y13 INDEX 16488 TILEPROP INT_FEEDTHRU_1_X43Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y13 NAME INT_FEEDTHRU_1_X43Y13 TILEPROP INT_FEEDTHRU_1_X43Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y13 ROW 143 TILEPROP INT_FEEDTHRU_1_X43Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y13 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y13 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y13 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y13 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_1_X43Y13 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y14 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y14 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_1_X43Y14 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_1_X43Y14 INDEX 16373 TILEPROP INT_FEEDTHRU_1_X43Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y14 NAME INT_FEEDTHRU_1_X43Y14 TILEPROP INT_FEEDTHRU_1_X43Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y14 ROW 142 TILEPROP INT_FEEDTHRU_1_X43Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y14 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y14 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y14 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y14 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_1_X43Y14 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y15 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y15 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_1_X43Y15 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_1_X43Y15 INDEX 16258 TILEPROP INT_FEEDTHRU_1_X43Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y15 NAME INT_FEEDTHRU_1_X43Y15 TILEPROP INT_FEEDTHRU_1_X43Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y15 ROW 141 TILEPROP INT_FEEDTHRU_1_X43Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y15 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y15 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y15 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y15 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_1_X43Y15 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y16 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y16 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_1_X43Y16 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_1_X43Y16 INDEX 16143 TILEPROP INT_FEEDTHRU_1_X43Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y16 NAME INT_FEEDTHRU_1_X43Y16 TILEPROP INT_FEEDTHRU_1_X43Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y16 ROW 140 TILEPROP INT_FEEDTHRU_1_X43Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y16 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y16 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y16 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y16 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_1_X43Y16 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y17 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y17 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_1_X43Y17 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_1_X43Y17 INDEX 16028 TILEPROP INT_FEEDTHRU_1_X43Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y17 NAME INT_FEEDTHRU_1_X43Y17 TILEPROP INT_FEEDTHRU_1_X43Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y17 ROW 139 TILEPROP INT_FEEDTHRU_1_X43Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y17 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y17 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y17 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y17 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_1_X43Y17 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y18 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y18 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_1_X43Y18 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_1_X43Y18 INDEX 15913 TILEPROP INT_FEEDTHRU_1_X43Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y18 NAME INT_FEEDTHRU_1_X43Y18 TILEPROP INT_FEEDTHRU_1_X43Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y18 ROW 138 TILEPROP INT_FEEDTHRU_1_X43Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y18 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y18 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y18 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y18 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_1_X43Y18 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y19 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y19 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_1_X43Y19 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_1_X43Y19 INDEX 15798 TILEPROP INT_FEEDTHRU_1_X43Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y19 NAME INT_FEEDTHRU_1_X43Y19 TILEPROP INT_FEEDTHRU_1_X43Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y19 ROW 137 TILEPROP INT_FEEDTHRU_1_X43Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y19 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y19 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y19 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y19 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_1_X43Y19 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y20 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y20 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_1_X43Y20 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_1_X43Y20 INDEX 15683 TILEPROP INT_FEEDTHRU_1_X43Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y20 NAME INT_FEEDTHRU_1_X43Y20 TILEPROP INT_FEEDTHRU_1_X43Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y20 ROW 136 TILEPROP INT_FEEDTHRU_1_X43Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y20 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y20 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y20 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y20 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_1_X43Y20 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y21 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y21 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_1_X43Y21 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_1_X43Y21 INDEX 15568 TILEPROP INT_FEEDTHRU_1_X43Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y21 NAME INT_FEEDTHRU_1_X43Y21 TILEPROP INT_FEEDTHRU_1_X43Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y21 ROW 135 TILEPROP INT_FEEDTHRU_1_X43Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y21 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y21 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y21 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y21 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_1_X43Y21 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y22 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y22 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_1_X43Y22 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_1_X43Y22 INDEX 15453 TILEPROP INT_FEEDTHRU_1_X43Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y22 NAME INT_FEEDTHRU_1_X43Y22 TILEPROP INT_FEEDTHRU_1_X43Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y22 ROW 134 TILEPROP INT_FEEDTHRU_1_X43Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y22 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y22 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y22 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y22 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_1_X43Y22 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y23 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y23 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_1_X43Y23 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_1_X43Y23 INDEX 15338 TILEPROP INT_FEEDTHRU_1_X43Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y23 NAME INT_FEEDTHRU_1_X43Y23 TILEPROP INT_FEEDTHRU_1_X43Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y23 ROW 133 TILEPROP INT_FEEDTHRU_1_X43Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y23 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y23 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y23 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y23 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_1_X43Y23 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y24 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y24 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_1_X43Y24 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_1_X43Y24 INDEX 15223 TILEPROP INT_FEEDTHRU_1_X43Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y24 NAME INT_FEEDTHRU_1_X43Y24 TILEPROP INT_FEEDTHRU_1_X43Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y24 ROW 132 TILEPROP INT_FEEDTHRU_1_X43Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y24 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y24 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y24 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y24 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_1_X43Y24 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y25 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y25 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_1_X43Y25 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_1_X43Y25 INDEX 15108 TILEPROP INT_FEEDTHRU_1_X43Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y25 NAME INT_FEEDTHRU_1_X43Y25 TILEPROP INT_FEEDTHRU_1_X43Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y25 ROW 131 TILEPROP INT_FEEDTHRU_1_X43Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y25 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y25 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y25 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y25 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_1_X43Y25 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y27 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y27 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_1_X43Y27 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_1_X43Y27 INDEX 14878 TILEPROP INT_FEEDTHRU_1_X43Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y27 NAME INT_FEEDTHRU_1_X43Y27 TILEPROP INT_FEEDTHRU_1_X43Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y27 ROW 129 TILEPROP INT_FEEDTHRU_1_X43Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y27 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y27 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y27 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y27 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_1_X43Y27 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y28 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y28 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_1_X43Y28 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_1_X43Y28 INDEX 14763 TILEPROP INT_FEEDTHRU_1_X43Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y28 NAME INT_FEEDTHRU_1_X43Y28 TILEPROP INT_FEEDTHRU_1_X43Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y28 ROW 128 TILEPROP INT_FEEDTHRU_1_X43Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y28 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y28 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y28 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y28 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_1_X43Y28 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y29 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y29 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_1_X43Y29 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_1_X43Y29 INDEX 14648 TILEPROP INT_FEEDTHRU_1_X43Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y29 NAME INT_FEEDTHRU_1_X43Y29 TILEPROP INT_FEEDTHRU_1_X43Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y29 ROW 127 TILEPROP INT_FEEDTHRU_1_X43Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y29 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y29 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y29 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y29 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_1_X43Y29 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y30 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y30 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_1_X43Y30 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_1_X43Y30 INDEX 14533 TILEPROP INT_FEEDTHRU_1_X43Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y30 NAME INT_FEEDTHRU_1_X43Y30 TILEPROP INT_FEEDTHRU_1_X43Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y30 ROW 126 TILEPROP INT_FEEDTHRU_1_X43Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y30 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y30 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y30 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y30 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_1_X43Y30 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y31 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y31 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_1_X43Y31 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_1_X43Y31 INDEX 14418 TILEPROP INT_FEEDTHRU_1_X43Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y31 NAME INT_FEEDTHRU_1_X43Y31 TILEPROP INT_FEEDTHRU_1_X43Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y31 ROW 125 TILEPROP INT_FEEDTHRU_1_X43Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y31 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y31 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y31 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y31 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_1_X43Y31 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y32 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y32 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_1_X43Y32 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_1_X43Y32 INDEX 14303 TILEPROP INT_FEEDTHRU_1_X43Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y32 NAME INT_FEEDTHRU_1_X43Y32 TILEPROP INT_FEEDTHRU_1_X43Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y32 ROW 124 TILEPROP INT_FEEDTHRU_1_X43Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y32 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y32 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y32 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y32 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_1_X43Y32 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y33 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y33 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_1_X43Y33 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_1_X43Y33 INDEX 14188 TILEPROP INT_FEEDTHRU_1_X43Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y33 NAME INT_FEEDTHRU_1_X43Y33 TILEPROP INT_FEEDTHRU_1_X43Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y33 ROW 123 TILEPROP INT_FEEDTHRU_1_X43Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y33 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y33 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y33 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y33 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_1_X43Y33 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y34 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y34 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_1_X43Y34 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_1_X43Y34 INDEX 14073 TILEPROP INT_FEEDTHRU_1_X43Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y34 NAME INT_FEEDTHRU_1_X43Y34 TILEPROP INT_FEEDTHRU_1_X43Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y34 ROW 122 TILEPROP INT_FEEDTHRU_1_X43Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y34 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y34 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y34 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y34 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_1_X43Y34 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y35 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y35 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_1_X43Y35 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_1_X43Y35 INDEX 13958 TILEPROP INT_FEEDTHRU_1_X43Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y35 NAME INT_FEEDTHRU_1_X43Y35 TILEPROP INT_FEEDTHRU_1_X43Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y35 ROW 121 TILEPROP INT_FEEDTHRU_1_X43Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y35 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y35 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y35 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y35 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_1_X43Y35 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y36 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y36 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_1_X43Y36 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_1_X43Y36 INDEX 13843 TILEPROP INT_FEEDTHRU_1_X43Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y36 NAME INT_FEEDTHRU_1_X43Y36 TILEPROP INT_FEEDTHRU_1_X43Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y36 ROW 120 TILEPROP INT_FEEDTHRU_1_X43Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y36 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y36 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y36 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y36 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_1_X43Y36 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y37 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y37 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_1_X43Y37 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_1_X43Y37 INDEX 13728 TILEPROP INT_FEEDTHRU_1_X43Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y37 NAME INT_FEEDTHRU_1_X43Y37 TILEPROP INT_FEEDTHRU_1_X43Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y37 ROW 119 TILEPROP INT_FEEDTHRU_1_X43Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y37 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y37 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y37 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y37 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_1_X43Y37 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y38 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y38 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_1_X43Y38 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_1_X43Y38 INDEX 13613 TILEPROP INT_FEEDTHRU_1_X43Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y38 NAME INT_FEEDTHRU_1_X43Y38 TILEPROP INT_FEEDTHRU_1_X43Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y38 ROW 118 TILEPROP INT_FEEDTHRU_1_X43Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y38 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y38 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y38 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y38 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_1_X43Y38 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y39 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y39 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_1_X43Y39 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_1_X43Y39 INDEX 13498 TILEPROP INT_FEEDTHRU_1_X43Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y39 NAME INT_FEEDTHRU_1_X43Y39 TILEPROP INT_FEEDTHRU_1_X43Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y39 ROW 117 TILEPROP INT_FEEDTHRU_1_X43Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y39 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y39 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y39 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y39 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_1_X43Y39 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y40 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y40 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_1_X43Y40 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_1_X43Y40 INDEX 13383 TILEPROP INT_FEEDTHRU_1_X43Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y40 NAME INT_FEEDTHRU_1_X43Y40 TILEPROP INT_FEEDTHRU_1_X43Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y40 ROW 116 TILEPROP INT_FEEDTHRU_1_X43Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y40 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y40 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y40 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y40 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_1_X43Y40 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y41 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y41 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_1_X43Y41 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_1_X43Y41 INDEX 13268 TILEPROP INT_FEEDTHRU_1_X43Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y41 NAME INT_FEEDTHRU_1_X43Y41 TILEPROP INT_FEEDTHRU_1_X43Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y41 ROW 115 TILEPROP INT_FEEDTHRU_1_X43Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y41 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y41 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y41 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y41 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_1_X43Y41 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y42 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y42 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_1_X43Y42 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_1_X43Y42 INDEX 13153 TILEPROP INT_FEEDTHRU_1_X43Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y42 NAME INT_FEEDTHRU_1_X43Y42 TILEPROP INT_FEEDTHRU_1_X43Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y42 ROW 114 TILEPROP INT_FEEDTHRU_1_X43Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y42 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y42 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y42 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y42 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_1_X43Y42 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y43 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y43 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_1_X43Y43 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_1_X43Y43 INDEX 13038 TILEPROP INT_FEEDTHRU_1_X43Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y43 NAME INT_FEEDTHRU_1_X43Y43 TILEPROP INT_FEEDTHRU_1_X43Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y43 ROW 113 TILEPROP INT_FEEDTHRU_1_X43Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y43 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y43 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y43 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y43 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_1_X43Y43 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y44 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y44 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_1_X43Y44 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_1_X43Y44 INDEX 12923 TILEPROP INT_FEEDTHRU_1_X43Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y44 NAME INT_FEEDTHRU_1_X43Y44 TILEPROP INT_FEEDTHRU_1_X43Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y44 ROW 112 TILEPROP INT_FEEDTHRU_1_X43Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y44 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y44 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y44 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y44 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_1_X43Y44 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y45 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y45 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_1_X43Y45 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_1_X43Y45 INDEX 12808 TILEPROP INT_FEEDTHRU_1_X43Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y45 NAME INT_FEEDTHRU_1_X43Y45 TILEPROP INT_FEEDTHRU_1_X43Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y45 ROW 111 TILEPROP INT_FEEDTHRU_1_X43Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y45 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y45 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y45 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y45 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_1_X43Y45 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y46 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y46 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_1_X43Y46 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_1_X43Y46 INDEX 12693 TILEPROP INT_FEEDTHRU_1_X43Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y46 NAME INT_FEEDTHRU_1_X43Y46 TILEPROP INT_FEEDTHRU_1_X43Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y46 ROW 110 TILEPROP INT_FEEDTHRU_1_X43Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y46 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y46 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y46 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y46 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_1_X43Y46 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y47 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y47 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_1_X43Y47 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_1_X43Y47 INDEX 12578 TILEPROP INT_FEEDTHRU_1_X43Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y47 NAME INT_FEEDTHRU_1_X43Y47 TILEPROP INT_FEEDTHRU_1_X43Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y47 ROW 109 TILEPROP INT_FEEDTHRU_1_X43Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y47 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y47 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y47 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y47 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_1_X43Y47 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y48 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y48 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_1_X43Y48 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_1_X43Y48 INDEX 12463 TILEPROP INT_FEEDTHRU_1_X43Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y48 NAME INT_FEEDTHRU_1_X43Y48 TILEPROP INT_FEEDTHRU_1_X43Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y48 ROW 108 TILEPROP INT_FEEDTHRU_1_X43Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y48 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y48 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y48 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y48 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_1_X43Y48 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y49 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y49 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_1_X43Y49 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_1_X43Y49 INDEX 12348 TILEPROP INT_FEEDTHRU_1_X43Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y49 NAME INT_FEEDTHRU_1_X43Y49 TILEPROP INT_FEEDTHRU_1_X43Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y49 ROW 107 TILEPROP INT_FEEDTHRU_1_X43Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y49 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y49 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y49 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y49 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_1_X43Y49 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y50 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y50 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_1_X43Y50 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_1_X43Y50 INDEX 12233 TILEPROP INT_FEEDTHRU_1_X43Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y50 NAME INT_FEEDTHRU_1_X43Y50 TILEPROP INT_FEEDTHRU_1_X43Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y50 ROW 106 TILEPROP INT_FEEDTHRU_1_X43Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y50 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y50 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y50 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y50 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_1_X43Y50 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y51 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y51 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_1_X43Y51 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_1_X43Y51 INDEX 12118 TILEPROP INT_FEEDTHRU_1_X43Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y51 NAME INT_FEEDTHRU_1_X43Y51 TILEPROP INT_FEEDTHRU_1_X43Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y51 ROW 105 TILEPROP INT_FEEDTHRU_1_X43Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y51 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y51 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y51 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y51 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_1_X43Y51 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y53 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y53 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_1_X43Y53 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_1_X43Y53 INDEX 11888 TILEPROP INT_FEEDTHRU_1_X43Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y53 NAME INT_FEEDTHRU_1_X43Y53 TILEPROP INT_FEEDTHRU_1_X43Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y53 ROW 103 TILEPROP INT_FEEDTHRU_1_X43Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y53 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y53 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y53 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y53 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_1_X43Y53 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y54 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y54 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_1_X43Y54 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_1_X43Y54 INDEX 11773 TILEPROP INT_FEEDTHRU_1_X43Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y54 NAME INT_FEEDTHRU_1_X43Y54 TILEPROP INT_FEEDTHRU_1_X43Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y54 ROW 102 TILEPROP INT_FEEDTHRU_1_X43Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y54 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y54 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y54 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y54 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_1_X43Y54 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y55 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y55 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_1_X43Y55 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_1_X43Y55 INDEX 11658 TILEPROP INT_FEEDTHRU_1_X43Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y55 NAME INT_FEEDTHRU_1_X43Y55 TILEPROP INT_FEEDTHRU_1_X43Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y55 ROW 101 TILEPROP INT_FEEDTHRU_1_X43Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y55 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y55 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y55 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y55 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_1_X43Y55 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y56 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y56 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_1_X43Y56 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_1_X43Y56 INDEX 11543 TILEPROP INT_FEEDTHRU_1_X43Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y56 NAME INT_FEEDTHRU_1_X43Y56 TILEPROP INT_FEEDTHRU_1_X43Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y56 ROW 100 TILEPROP INT_FEEDTHRU_1_X43Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y56 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y56 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y56 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y56 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_1_X43Y56 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y57 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y57 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_1_X43Y57 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_1_X43Y57 INDEX 11428 TILEPROP INT_FEEDTHRU_1_X43Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y57 NAME INT_FEEDTHRU_1_X43Y57 TILEPROP INT_FEEDTHRU_1_X43Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y57 ROW 99 TILEPROP INT_FEEDTHRU_1_X43Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y57 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y57 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y57 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y57 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_1_X43Y57 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y58 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y58 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_1_X43Y58 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_1_X43Y58 INDEX 11313 TILEPROP INT_FEEDTHRU_1_X43Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y58 NAME INT_FEEDTHRU_1_X43Y58 TILEPROP INT_FEEDTHRU_1_X43Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y58 ROW 98 TILEPROP INT_FEEDTHRU_1_X43Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y58 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y58 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y58 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y58 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_1_X43Y58 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y59 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y59 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_1_X43Y59 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_1_X43Y59 INDEX 11198 TILEPROP INT_FEEDTHRU_1_X43Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y59 NAME INT_FEEDTHRU_1_X43Y59 TILEPROP INT_FEEDTHRU_1_X43Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y59 ROW 97 TILEPROP INT_FEEDTHRU_1_X43Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y59 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y59 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y59 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y59 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_1_X43Y59 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y60 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y60 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_1_X43Y60 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_1_X43Y60 INDEX 11083 TILEPROP INT_FEEDTHRU_1_X43Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y60 NAME INT_FEEDTHRU_1_X43Y60 TILEPROP INT_FEEDTHRU_1_X43Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y60 ROW 96 TILEPROP INT_FEEDTHRU_1_X43Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y60 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y60 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y60 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y60 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_1_X43Y60 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y61 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y61 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_1_X43Y61 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_1_X43Y61 INDEX 10968 TILEPROP INT_FEEDTHRU_1_X43Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y61 NAME INT_FEEDTHRU_1_X43Y61 TILEPROP INT_FEEDTHRU_1_X43Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y61 ROW 95 TILEPROP INT_FEEDTHRU_1_X43Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y61 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y61 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y61 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y61 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_1_X43Y61 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y62 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y62 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_1_X43Y62 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_1_X43Y62 INDEX 10853 TILEPROP INT_FEEDTHRU_1_X43Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y62 NAME INT_FEEDTHRU_1_X43Y62 TILEPROP INT_FEEDTHRU_1_X43Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y62 ROW 94 TILEPROP INT_FEEDTHRU_1_X43Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y62 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y62 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y62 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y62 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_1_X43Y62 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y63 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y63 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_1_X43Y63 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_1_X43Y63 INDEX 10738 TILEPROP INT_FEEDTHRU_1_X43Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y63 NAME INT_FEEDTHRU_1_X43Y63 TILEPROP INT_FEEDTHRU_1_X43Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y63 ROW 93 TILEPROP INT_FEEDTHRU_1_X43Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y63 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y63 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y63 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y63 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_1_X43Y63 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y64 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y64 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_1_X43Y64 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_1_X43Y64 INDEX 10623 TILEPROP INT_FEEDTHRU_1_X43Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y64 NAME INT_FEEDTHRU_1_X43Y64 TILEPROP INT_FEEDTHRU_1_X43Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y64 ROW 92 TILEPROP INT_FEEDTHRU_1_X43Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y64 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y64 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y64 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y64 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_1_X43Y64 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y65 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y65 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_1_X43Y65 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_1_X43Y65 INDEX 10508 TILEPROP INT_FEEDTHRU_1_X43Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y65 NAME INT_FEEDTHRU_1_X43Y65 TILEPROP INT_FEEDTHRU_1_X43Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y65 ROW 91 TILEPROP INT_FEEDTHRU_1_X43Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y65 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y65 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y65 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y65 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_1_X43Y65 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y66 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y66 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_1_X43Y66 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_1_X43Y66 INDEX 10393 TILEPROP INT_FEEDTHRU_1_X43Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y66 NAME INT_FEEDTHRU_1_X43Y66 TILEPROP INT_FEEDTHRU_1_X43Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y66 ROW 90 TILEPROP INT_FEEDTHRU_1_X43Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y66 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y66 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y66 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y66 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_1_X43Y66 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y67 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y67 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_1_X43Y67 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_1_X43Y67 INDEX 10278 TILEPROP INT_FEEDTHRU_1_X43Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y67 NAME INT_FEEDTHRU_1_X43Y67 TILEPROP INT_FEEDTHRU_1_X43Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y67 ROW 89 TILEPROP INT_FEEDTHRU_1_X43Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y67 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y67 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y67 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y67 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_1_X43Y67 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y68 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y68 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_1_X43Y68 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_1_X43Y68 INDEX 10163 TILEPROP INT_FEEDTHRU_1_X43Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y68 NAME INT_FEEDTHRU_1_X43Y68 TILEPROP INT_FEEDTHRU_1_X43Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y68 ROW 88 TILEPROP INT_FEEDTHRU_1_X43Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y68 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y68 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y68 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y68 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_1_X43Y68 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y69 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y69 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_1_X43Y69 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_1_X43Y69 INDEX 10048 TILEPROP INT_FEEDTHRU_1_X43Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y69 NAME INT_FEEDTHRU_1_X43Y69 TILEPROP INT_FEEDTHRU_1_X43Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y69 ROW 87 TILEPROP INT_FEEDTHRU_1_X43Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y69 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y69 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y69 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y69 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_1_X43Y69 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y70 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y70 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_1_X43Y70 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_1_X43Y70 INDEX 9933 TILEPROP INT_FEEDTHRU_1_X43Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y70 NAME INT_FEEDTHRU_1_X43Y70 TILEPROP INT_FEEDTHRU_1_X43Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y70 ROW 86 TILEPROP INT_FEEDTHRU_1_X43Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y70 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y70 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y70 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y70 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_1_X43Y70 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y71 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y71 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_1_X43Y71 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_1_X43Y71 INDEX 9818 TILEPROP INT_FEEDTHRU_1_X43Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y71 NAME INT_FEEDTHRU_1_X43Y71 TILEPROP INT_FEEDTHRU_1_X43Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y71 ROW 85 TILEPROP INT_FEEDTHRU_1_X43Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y71 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y71 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y71 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y71 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_1_X43Y71 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y72 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y72 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_1_X43Y72 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_1_X43Y72 INDEX 9703 TILEPROP INT_FEEDTHRU_1_X43Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y72 NAME INT_FEEDTHRU_1_X43Y72 TILEPROP INT_FEEDTHRU_1_X43Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y72 ROW 84 TILEPROP INT_FEEDTHRU_1_X43Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y72 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y72 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y72 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y72 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_1_X43Y72 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y73 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y73 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_1_X43Y73 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_1_X43Y73 INDEX 9588 TILEPROP INT_FEEDTHRU_1_X43Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y73 NAME INT_FEEDTHRU_1_X43Y73 TILEPROP INT_FEEDTHRU_1_X43Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y73 ROW 83 TILEPROP INT_FEEDTHRU_1_X43Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y73 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y73 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y73 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y73 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_1_X43Y73 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y74 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y74 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_1_X43Y74 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_1_X43Y74 INDEX 9473 TILEPROP INT_FEEDTHRU_1_X43Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y74 NAME INT_FEEDTHRU_1_X43Y74 TILEPROP INT_FEEDTHRU_1_X43Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y74 ROW 82 TILEPROP INT_FEEDTHRU_1_X43Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y74 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y74 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y74 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y74 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_1_X43Y74 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y75 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y75 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_1_X43Y75 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_1_X43Y75 INDEX 9358 TILEPROP INT_FEEDTHRU_1_X43Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y75 NAME INT_FEEDTHRU_1_X43Y75 TILEPROP INT_FEEDTHRU_1_X43Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y75 ROW 81 TILEPROP INT_FEEDTHRU_1_X43Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y75 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y75 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y75 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y75 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_1_X43Y75 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y76 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y76 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_1_X43Y76 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_1_X43Y76 INDEX 9243 TILEPROP INT_FEEDTHRU_1_X43Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y76 NAME INT_FEEDTHRU_1_X43Y76 TILEPROP INT_FEEDTHRU_1_X43Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y76 ROW 80 TILEPROP INT_FEEDTHRU_1_X43Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y76 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y76 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y76 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y76 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_1_X43Y76 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y77 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y77 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_1_X43Y77 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_1_X43Y77 INDEX 9128 TILEPROP INT_FEEDTHRU_1_X43Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y77 NAME INT_FEEDTHRU_1_X43Y77 TILEPROP INT_FEEDTHRU_1_X43Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y77 ROW 79 TILEPROP INT_FEEDTHRU_1_X43Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y77 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y77 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y77 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y77 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_1_X43Y77 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y79 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y79 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_1_X43Y79 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_1_X43Y79 INDEX 8898 TILEPROP INT_FEEDTHRU_1_X43Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y79 NAME INT_FEEDTHRU_1_X43Y79 TILEPROP INT_FEEDTHRU_1_X43Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y79 ROW 77 TILEPROP INT_FEEDTHRU_1_X43Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y79 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y79 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y79 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y79 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_1_X43Y79 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y80 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y80 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_1_X43Y80 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_1_X43Y80 INDEX 8783 TILEPROP INT_FEEDTHRU_1_X43Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y80 NAME INT_FEEDTHRU_1_X43Y80 TILEPROP INT_FEEDTHRU_1_X43Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y80 ROW 76 TILEPROP INT_FEEDTHRU_1_X43Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y80 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y80 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y80 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y80 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_1_X43Y80 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y81 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y81 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_1_X43Y81 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_1_X43Y81 INDEX 8668 TILEPROP INT_FEEDTHRU_1_X43Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y81 NAME INT_FEEDTHRU_1_X43Y81 TILEPROP INT_FEEDTHRU_1_X43Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y81 ROW 75 TILEPROP INT_FEEDTHRU_1_X43Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y81 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y81 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y81 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y81 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_1_X43Y81 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y82 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y82 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_1_X43Y82 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_1_X43Y82 INDEX 8553 TILEPROP INT_FEEDTHRU_1_X43Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y82 NAME INT_FEEDTHRU_1_X43Y82 TILEPROP INT_FEEDTHRU_1_X43Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y82 ROW 74 TILEPROP INT_FEEDTHRU_1_X43Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y82 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y82 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y82 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y82 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_1_X43Y82 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y83 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y83 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_1_X43Y83 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_1_X43Y83 INDEX 8438 TILEPROP INT_FEEDTHRU_1_X43Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y83 NAME INT_FEEDTHRU_1_X43Y83 TILEPROP INT_FEEDTHRU_1_X43Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y83 ROW 73 TILEPROP INT_FEEDTHRU_1_X43Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y83 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y83 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y83 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y83 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_1_X43Y83 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y84 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y84 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_1_X43Y84 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_1_X43Y84 INDEX 8323 TILEPROP INT_FEEDTHRU_1_X43Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y84 NAME INT_FEEDTHRU_1_X43Y84 TILEPROP INT_FEEDTHRU_1_X43Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y84 ROW 72 TILEPROP INT_FEEDTHRU_1_X43Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y84 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y84 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y84 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y84 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_1_X43Y84 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y85 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y85 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_1_X43Y85 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_1_X43Y85 INDEX 8208 TILEPROP INT_FEEDTHRU_1_X43Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y85 NAME INT_FEEDTHRU_1_X43Y85 TILEPROP INT_FEEDTHRU_1_X43Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y85 ROW 71 TILEPROP INT_FEEDTHRU_1_X43Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y85 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y85 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y85 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y85 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_1_X43Y85 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y86 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y86 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_1_X43Y86 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_1_X43Y86 INDEX 8093 TILEPROP INT_FEEDTHRU_1_X43Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y86 NAME INT_FEEDTHRU_1_X43Y86 TILEPROP INT_FEEDTHRU_1_X43Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y86 ROW 70 TILEPROP INT_FEEDTHRU_1_X43Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y86 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y86 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y86 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y86 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_1_X43Y86 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y87 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y87 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_1_X43Y87 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_1_X43Y87 INDEX 7978 TILEPROP INT_FEEDTHRU_1_X43Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y87 NAME INT_FEEDTHRU_1_X43Y87 TILEPROP INT_FEEDTHRU_1_X43Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y87 ROW 69 TILEPROP INT_FEEDTHRU_1_X43Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y87 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y87 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y87 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y87 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_1_X43Y87 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y88 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y88 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_1_X43Y88 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_1_X43Y88 INDEX 7863 TILEPROP INT_FEEDTHRU_1_X43Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y88 NAME INT_FEEDTHRU_1_X43Y88 TILEPROP INT_FEEDTHRU_1_X43Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y88 ROW 68 TILEPROP INT_FEEDTHRU_1_X43Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y88 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y88 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y88 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y88 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_1_X43Y88 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y89 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y89 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_1_X43Y89 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_1_X43Y89 INDEX 7748 TILEPROP INT_FEEDTHRU_1_X43Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y89 NAME INT_FEEDTHRU_1_X43Y89 TILEPROP INT_FEEDTHRU_1_X43Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y89 ROW 67 TILEPROP INT_FEEDTHRU_1_X43Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y89 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y89 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y89 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y89 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_1_X43Y89 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y90 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y90 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_1_X43Y90 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_1_X43Y90 INDEX 7633 TILEPROP INT_FEEDTHRU_1_X43Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y90 NAME INT_FEEDTHRU_1_X43Y90 TILEPROP INT_FEEDTHRU_1_X43Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y90 ROW 66 TILEPROP INT_FEEDTHRU_1_X43Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y90 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y90 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y90 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y90 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_1_X43Y90 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y91 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y91 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_1_X43Y91 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_1_X43Y91 INDEX 7518 TILEPROP INT_FEEDTHRU_1_X43Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y91 NAME INT_FEEDTHRU_1_X43Y91 TILEPROP INT_FEEDTHRU_1_X43Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y91 ROW 65 TILEPROP INT_FEEDTHRU_1_X43Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y91 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y91 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y91 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y91 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_1_X43Y91 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y92 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y92 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_1_X43Y92 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_1_X43Y92 INDEX 7403 TILEPROP INT_FEEDTHRU_1_X43Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y92 NAME INT_FEEDTHRU_1_X43Y92 TILEPROP INT_FEEDTHRU_1_X43Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y92 ROW 64 TILEPROP INT_FEEDTHRU_1_X43Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y92 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y92 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y92 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y92 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_1_X43Y92 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y93 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y93 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_1_X43Y93 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_1_X43Y93 INDEX 7288 TILEPROP INT_FEEDTHRU_1_X43Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y93 NAME INT_FEEDTHRU_1_X43Y93 TILEPROP INT_FEEDTHRU_1_X43Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y93 ROW 63 TILEPROP INT_FEEDTHRU_1_X43Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y93 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y93 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y93 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y93 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_1_X43Y93 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y94 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y94 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_1_X43Y94 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_1_X43Y94 INDEX 7173 TILEPROP INT_FEEDTHRU_1_X43Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y94 NAME INT_FEEDTHRU_1_X43Y94 TILEPROP INT_FEEDTHRU_1_X43Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y94 ROW 62 TILEPROP INT_FEEDTHRU_1_X43Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y94 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y94 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y94 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y94 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_1_X43Y94 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y95 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y95 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_1_X43Y95 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_1_X43Y95 INDEX 7058 TILEPROP INT_FEEDTHRU_1_X43Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y95 NAME INT_FEEDTHRU_1_X43Y95 TILEPROP INT_FEEDTHRU_1_X43Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y95 ROW 61 TILEPROP INT_FEEDTHRU_1_X43Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y95 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y95 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y95 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y95 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_1_X43Y95 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y96 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y96 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_1_X43Y96 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_1_X43Y96 INDEX 6943 TILEPROP INT_FEEDTHRU_1_X43Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y96 NAME INT_FEEDTHRU_1_X43Y96 TILEPROP INT_FEEDTHRU_1_X43Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y96 ROW 60 TILEPROP INT_FEEDTHRU_1_X43Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y96 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y96 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y96 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y96 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_1_X43Y96 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y97 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y97 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_1_X43Y97 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_1_X43Y97 INDEX 6828 TILEPROP INT_FEEDTHRU_1_X43Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y97 NAME INT_FEEDTHRU_1_X43Y97 TILEPROP INT_FEEDTHRU_1_X43Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y97 ROW 59 TILEPROP INT_FEEDTHRU_1_X43Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y97 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y97 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y97 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y97 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_1_X43Y97 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y98 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y98 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_1_X43Y98 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_1_X43Y98 INDEX 6713 TILEPROP INT_FEEDTHRU_1_X43Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y98 NAME INT_FEEDTHRU_1_X43Y98 TILEPROP INT_FEEDTHRU_1_X43Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y98 ROW 58 TILEPROP INT_FEEDTHRU_1_X43Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y98 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y98 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y98 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y98 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_1_X43Y98 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y99 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y99 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_1_X43Y99 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_1_X43Y99 INDEX 6598 TILEPROP INT_FEEDTHRU_1_X43Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y99 NAME INT_FEEDTHRU_1_X43Y99 TILEPROP INT_FEEDTHRU_1_X43Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y99 ROW 57 TILEPROP INT_FEEDTHRU_1_X43Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y99 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y99 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y99 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y99 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_1_X43Y99 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y100 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y100 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_1_X43Y100 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_1_X43Y100 INDEX 6483 TILEPROP INT_FEEDTHRU_1_X43Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y100 NAME INT_FEEDTHRU_1_X43Y100 TILEPROP INT_FEEDTHRU_1_X43Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y100 ROW 56 TILEPROP INT_FEEDTHRU_1_X43Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y100 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y100 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y100 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y100 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_1_X43Y100 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y101 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y101 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_1_X43Y101 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_1_X43Y101 INDEX 6368 TILEPROP INT_FEEDTHRU_1_X43Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y101 NAME INT_FEEDTHRU_1_X43Y101 TILEPROP INT_FEEDTHRU_1_X43Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y101 ROW 55 TILEPROP INT_FEEDTHRU_1_X43Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y101 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y101 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y101 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y101 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_1_X43Y101 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y102 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y102 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_1_X43Y102 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_1_X43Y102 INDEX 6253 TILEPROP INT_FEEDTHRU_1_X43Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y102 NAME INT_FEEDTHRU_1_X43Y102 TILEPROP INT_FEEDTHRU_1_X43Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y102 ROW 54 TILEPROP INT_FEEDTHRU_1_X43Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y102 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y102 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y102 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y102 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_1_X43Y102 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y103 CLASS tile TILEPROP INT_FEEDTHRU_1_X43Y103 COLUMN 43 TILEPROP INT_FEEDTHRU_1_X43Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_1_X43Y103 GRID_POINT_X 43 TILEPROP INT_FEEDTHRU_1_X43Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_1_X43Y103 INDEX 6138 TILEPROP INT_FEEDTHRU_1_X43Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X43Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X43Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X43Y103 NAME INT_FEEDTHRU_1_X43Y103 TILEPROP INT_FEEDTHRU_1_X43Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X43Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X43Y103 ROW 53 TILEPROP INT_FEEDTHRU_1_X43Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X43Y103 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X43Y103 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X43Y103 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X43Y103 TILE_X -24692 TILEPROP INT_FEEDTHRU_1_X43Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_1_X43Y103 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y53 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y53 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_1_X46Y53 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_1_X46Y53 INDEX 11891 TILEPROP INT_FEEDTHRU_1_X46Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y53 NAME INT_FEEDTHRU_1_X46Y53 TILEPROP INT_FEEDTHRU_1_X46Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y53 ROW 103 TILEPROP INT_FEEDTHRU_1_X46Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y53 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y53 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y53 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y53 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_1_X46Y53 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y54 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y54 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_1_X46Y54 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_1_X46Y54 INDEX 11776 TILEPROP INT_FEEDTHRU_1_X46Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y54 NAME INT_FEEDTHRU_1_X46Y54 TILEPROP INT_FEEDTHRU_1_X46Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y54 ROW 102 TILEPROP INT_FEEDTHRU_1_X46Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y54 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y54 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y54 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y54 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_1_X46Y54 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y55 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y55 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_1_X46Y55 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_1_X46Y55 INDEX 11661 TILEPROP INT_FEEDTHRU_1_X46Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y55 NAME INT_FEEDTHRU_1_X46Y55 TILEPROP INT_FEEDTHRU_1_X46Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y55 ROW 101 TILEPROP INT_FEEDTHRU_1_X46Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y55 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y55 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y55 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y55 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_1_X46Y55 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y56 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y56 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_1_X46Y56 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_1_X46Y56 INDEX 11546 TILEPROP INT_FEEDTHRU_1_X46Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y56 NAME INT_FEEDTHRU_1_X46Y56 TILEPROP INT_FEEDTHRU_1_X46Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y56 ROW 100 TILEPROP INT_FEEDTHRU_1_X46Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y56 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y56 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y56 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y56 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_1_X46Y56 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y57 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y57 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_1_X46Y57 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_1_X46Y57 INDEX 11431 TILEPROP INT_FEEDTHRU_1_X46Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y57 NAME INT_FEEDTHRU_1_X46Y57 TILEPROP INT_FEEDTHRU_1_X46Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y57 ROW 99 TILEPROP INT_FEEDTHRU_1_X46Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y57 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y57 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y57 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y57 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_1_X46Y57 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y58 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y58 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_1_X46Y58 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_1_X46Y58 INDEX 11316 TILEPROP INT_FEEDTHRU_1_X46Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y58 NAME INT_FEEDTHRU_1_X46Y58 TILEPROP INT_FEEDTHRU_1_X46Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y58 ROW 98 TILEPROP INT_FEEDTHRU_1_X46Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y58 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y58 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y58 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y58 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_1_X46Y58 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y59 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y59 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_1_X46Y59 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_1_X46Y59 INDEX 11201 TILEPROP INT_FEEDTHRU_1_X46Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y59 NAME INT_FEEDTHRU_1_X46Y59 TILEPROP INT_FEEDTHRU_1_X46Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y59 ROW 97 TILEPROP INT_FEEDTHRU_1_X46Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y59 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y59 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y59 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y59 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_1_X46Y59 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y60 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y60 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_1_X46Y60 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_1_X46Y60 INDEX 11086 TILEPROP INT_FEEDTHRU_1_X46Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y60 NAME INT_FEEDTHRU_1_X46Y60 TILEPROP INT_FEEDTHRU_1_X46Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y60 ROW 96 TILEPROP INT_FEEDTHRU_1_X46Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y60 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y60 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y60 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y60 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_1_X46Y60 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y61 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y61 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_1_X46Y61 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_1_X46Y61 INDEX 10971 TILEPROP INT_FEEDTHRU_1_X46Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y61 NAME INT_FEEDTHRU_1_X46Y61 TILEPROP INT_FEEDTHRU_1_X46Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y61 ROW 95 TILEPROP INT_FEEDTHRU_1_X46Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y61 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y61 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y61 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y61 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_1_X46Y61 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y62 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y62 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_1_X46Y62 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_1_X46Y62 INDEX 10856 TILEPROP INT_FEEDTHRU_1_X46Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y62 NAME INT_FEEDTHRU_1_X46Y62 TILEPROP INT_FEEDTHRU_1_X46Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y62 ROW 94 TILEPROP INT_FEEDTHRU_1_X46Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y62 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y62 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y62 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y62 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_1_X46Y62 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y63 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y63 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_1_X46Y63 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_1_X46Y63 INDEX 10741 TILEPROP INT_FEEDTHRU_1_X46Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y63 NAME INT_FEEDTHRU_1_X46Y63 TILEPROP INT_FEEDTHRU_1_X46Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y63 ROW 93 TILEPROP INT_FEEDTHRU_1_X46Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y63 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y63 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y63 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y63 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_1_X46Y63 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y64 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y64 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_1_X46Y64 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_1_X46Y64 INDEX 10626 TILEPROP INT_FEEDTHRU_1_X46Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y64 NAME INT_FEEDTHRU_1_X46Y64 TILEPROP INT_FEEDTHRU_1_X46Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y64 ROW 92 TILEPROP INT_FEEDTHRU_1_X46Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y64 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y64 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y64 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y64 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_1_X46Y64 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y65 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y65 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_1_X46Y65 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_1_X46Y65 INDEX 10511 TILEPROP INT_FEEDTHRU_1_X46Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y65 NAME INT_FEEDTHRU_1_X46Y65 TILEPROP INT_FEEDTHRU_1_X46Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y65 ROW 91 TILEPROP INT_FEEDTHRU_1_X46Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y65 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y65 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y65 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y65 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_1_X46Y65 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y66 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y66 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_1_X46Y66 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_1_X46Y66 INDEX 10396 TILEPROP INT_FEEDTHRU_1_X46Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y66 NAME INT_FEEDTHRU_1_X46Y66 TILEPROP INT_FEEDTHRU_1_X46Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y66 ROW 90 TILEPROP INT_FEEDTHRU_1_X46Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y66 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y66 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y66 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y66 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_1_X46Y66 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y67 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y67 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_1_X46Y67 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_1_X46Y67 INDEX 10281 TILEPROP INT_FEEDTHRU_1_X46Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y67 NAME INT_FEEDTHRU_1_X46Y67 TILEPROP INT_FEEDTHRU_1_X46Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y67 ROW 89 TILEPROP INT_FEEDTHRU_1_X46Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y67 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y67 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y67 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y67 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_1_X46Y67 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y68 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y68 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_1_X46Y68 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_1_X46Y68 INDEX 10166 TILEPROP INT_FEEDTHRU_1_X46Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y68 NAME INT_FEEDTHRU_1_X46Y68 TILEPROP INT_FEEDTHRU_1_X46Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y68 ROW 88 TILEPROP INT_FEEDTHRU_1_X46Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y68 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y68 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y68 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y68 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_1_X46Y68 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y69 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y69 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_1_X46Y69 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_1_X46Y69 INDEX 10051 TILEPROP INT_FEEDTHRU_1_X46Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y69 NAME INT_FEEDTHRU_1_X46Y69 TILEPROP INT_FEEDTHRU_1_X46Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y69 ROW 87 TILEPROP INT_FEEDTHRU_1_X46Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y69 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y69 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y69 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y69 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_1_X46Y69 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y70 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y70 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_1_X46Y70 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_1_X46Y70 INDEX 9936 TILEPROP INT_FEEDTHRU_1_X46Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y70 NAME INT_FEEDTHRU_1_X46Y70 TILEPROP INT_FEEDTHRU_1_X46Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y70 ROW 86 TILEPROP INT_FEEDTHRU_1_X46Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y70 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y70 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y70 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y70 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_1_X46Y70 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y71 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y71 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_1_X46Y71 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_1_X46Y71 INDEX 9821 TILEPROP INT_FEEDTHRU_1_X46Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y71 NAME INT_FEEDTHRU_1_X46Y71 TILEPROP INT_FEEDTHRU_1_X46Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y71 ROW 85 TILEPROP INT_FEEDTHRU_1_X46Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y71 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y71 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y71 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y71 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_1_X46Y71 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y72 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y72 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_1_X46Y72 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_1_X46Y72 INDEX 9706 TILEPROP INT_FEEDTHRU_1_X46Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y72 NAME INT_FEEDTHRU_1_X46Y72 TILEPROP INT_FEEDTHRU_1_X46Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y72 ROW 84 TILEPROP INT_FEEDTHRU_1_X46Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y72 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y72 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y72 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y72 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_1_X46Y72 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y73 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y73 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_1_X46Y73 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_1_X46Y73 INDEX 9591 TILEPROP INT_FEEDTHRU_1_X46Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y73 NAME INT_FEEDTHRU_1_X46Y73 TILEPROP INT_FEEDTHRU_1_X46Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y73 ROW 83 TILEPROP INT_FEEDTHRU_1_X46Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y73 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y73 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y73 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y73 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_1_X46Y73 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y74 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y74 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_1_X46Y74 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_1_X46Y74 INDEX 9476 TILEPROP INT_FEEDTHRU_1_X46Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y74 NAME INT_FEEDTHRU_1_X46Y74 TILEPROP INT_FEEDTHRU_1_X46Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y74 ROW 82 TILEPROP INT_FEEDTHRU_1_X46Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y74 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y74 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y74 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y74 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_1_X46Y74 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y75 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y75 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_1_X46Y75 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_1_X46Y75 INDEX 9361 TILEPROP INT_FEEDTHRU_1_X46Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y75 NAME INT_FEEDTHRU_1_X46Y75 TILEPROP INT_FEEDTHRU_1_X46Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y75 ROW 81 TILEPROP INT_FEEDTHRU_1_X46Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y75 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y75 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y75 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y75 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_1_X46Y75 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y76 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y76 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_1_X46Y76 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_1_X46Y76 INDEX 9246 TILEPROP INT_FEEDTHRU_1_X46Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y76 NAME INT_FEEDTHRU_1_X46Y76 TILEPROP INT_FEEDTHRU_1_X46Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y76 ROW 80 TILEPROP INT_FEEDTHRU_1_X46Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y76 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y76 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y76 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y76 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_1_X46Y76 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y77 CLASS tile TILEPROP INT_FEEDTHRU_1_X46Y77 COLUMN 46 TILEPROP INT_FEEDTHRU_1_X46Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_1_X46Y77 GRID_POINT_X 46 TILEPROP INT_FEEDTHRU_1_X46Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_1_X46Y77 INDEX 9131 TILEPROP INT_FEEDTHRU_1_X46Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_1_X46Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_1_X46Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_1_X46Y77 NAME INT_FEEDTHRU_1_X46Y77 TILEPROP INT_FEEDTHRU_1_X46Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_1_X46Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_1_X46Y77 ROW 79 TILEPROP INT_FEEDTHRU_1_X46Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_1_X46Y77 TILE_PATTERN_IDX 28 TILEPROP INT_FEEDTHRU_1_X46Y77 TILE_TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_1_X46Y77 TILE_TYPE_INDEX 91 TILEPROP INT_FEEDTHRU_1_X46Y77 TILE_X -17084 TILEPROP INT_FEEDTHRU_1_X46Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_1_X46Y77 TYPE INT_FEEDTHRU_1 TILEPROP INT_FEEDTHRU_2_X36Y1 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y1 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_2_X36Y1 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_2_X36Y1 INDEX 17861 TILEPROP INT_FEEDTHRU_2_X36Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y1 NAME INT_FEEDTHRU_2_X36Y1 TILEPROP INT_FEEDTHRU_2_X36Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y1 ROW 155 TILEPROP INT_FEEDTHRU_2_X36Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y1 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y1 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y1 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y1 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_2_X36Y1 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y2 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y2 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_2_X36Y2 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_2_X36Y2 INDEX 17746 TILEPROP INT_FEEDTHRU_2_X36Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y2 NAME INT_FEEDTHRU_2_X36Y2 TILEPROP INT_FEEDTHRU_2_X36Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y2 ROW 154 TILEPROP INT_FEEDTHRU_2_X36Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y2 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y2 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y2 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y2 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_2_X36Y2 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y3 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y3 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_2_X36Y3 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_2_X36Y3 INDEX 17631 TILEPROP INT_FEEDTHRU_2_X36Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y3 NAME INT_FEEDTHRU_2_X36Y3 TILEPROP INT_FEEDTHRU_2_X36Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y3 ROW 153 TILEPROP INT_FEEDTHRU_2_X36Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y3 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y3 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y3 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y3 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_2_X36Y3 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y4 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y4 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_2_X36Y4 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_2_X36Y4 INDEX 17516 TILEPROP INT_FEEDTHRU_2_X36Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y4 NAME INT_FEEDTHRU_2_X36Y4 TILEPROP INT_FEEDTHRU_2_X36Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y4 ROW 152 TILEPROP INT_FEEDTHRU_2_X36Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y4 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y4 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y4 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y4 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_2_X36Y4 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y5 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y5 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_2_X36Y5 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_2_X36Y5 INDEX 17401 TILEPROP INT_FEEDTHRU_2_X36Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y5 NAME INT_FEEDTHRU_2_X36Y5 TILEPROP INT_FEEDTHRU_2_X36Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y5 ROW 151 TILEPROP INT_FEEDTHRU_2_X36Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y5 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y5 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y5 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y5 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_2_X36Y5 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y6 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y6 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_2_X36Y6 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_2_X36Y6 INDEX 17286 TILEPROP INT_FEEDTHRU_2_X36Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y6 NAME INT_FEEDTHRU_2_X36Y6 TILEPROP INT_FEEDTHRU_2_X36Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y6 ROW 150 TILEPROP INT_FEEDTHRU_2_X36Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y6 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y6 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y6 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y6 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_2_X36Y6 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y7 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y7 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_2_X36Y7 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_2_X36Y7 INDEX 17171 TILEPROP INT_FEEDTHRU_2_X36Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y7 NAME INT_FEEDTHRU_2_X36Y7 TILEPROP INT_FEEDTHRU_2_X36Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y7 ROW 149 TILEPROP INT_FEEDTHRU_2_X36Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y7 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y7 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y7 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y7 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_2_X36Y7 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y8 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y8 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_2_X36Y8 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_2_X36Y8 INDEX 17056 TILEPROP INT_FEEDTHRU_2_X36Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y8 NAME INT_FEEDTHRU_2_X36Y8 TILEPROP INT_FEEDTHRU_2_X36Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y8 ROW 148 TILEPROP INT_FEEDTHRU_2_X36Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y8 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y8 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y8 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y8 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_2_X36Y8 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y9 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y9 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_2_X36Y9 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_2_X36Y9 INDEX 16941 TILEPROP INT_FEEDTHRU_2_X36Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y9 NAME INT_FEEDTHRU_2_X36Y9 TILEPROP INT_FEEDTHRU_2_X36Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y9 ROW 147 TILEPROP INT_FEEDTHRU_2_X36Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y9 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y9 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y9 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y9 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_2_X36Y9 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y10 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y10 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_2_X36Y10 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_2_X36Y10 INDEX 16826 TILEPROP INT_FEEDTHRU_2_X36Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y10 NAME INT_FEEDTHRU_2_X36Y10 TILEPROP INT_FEEDTHRU_2_X36Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y10 ROW 146 TILEPROP INT_FEEDTHRU_2_X36Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y10 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y10 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y10 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y10 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_2_X36Y10 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y11 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y11 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_2_X36Y11 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_2_X36Y11 INDEX 16711 TILEPROP INT_FEEDTHRU_2_X36Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y11 NAME INT_FEEDTHRU_2_X36Y11 TILEPROP INT_FEEDTHRU_2_X36Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y11 ROW 145 TILEPROP INT_FEEDTHRU_2_X36Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y11 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y11 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y11 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y11 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_2_X36Y11 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y12 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y12 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_2_X36Y12 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_2_X36Y12 INDEX 16596 TILEPROP INT_FEEDTHRU_2_X36Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y12 NAME INT_FEEDTHRU_2_X36Y12 TILEPROP INT_FEEDTHRU_2_X36Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y12 ROW 144 TILEPROP INT_FEEDTHRU_2_X36Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y12 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y12 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y12 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y12 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_2_X36Y12 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y13 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y13 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_2_X36Y13 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_2_X36Y13 INDEX 16481 TILEPROP INT_FEEDTHRU_2_X36Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y13 NAME INT_FEEDTHRU_2_X36Y13 TILEPROP INT_FEEDTHRU_2_X36Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y13 ROW 143 TILEPROP INT_FEEDTHRU_2_X36Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y13 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y13 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y13 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y13 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_2_X36Y13 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y14 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y14 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_2_X36Y14 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_2_X36Y14 INDEX 16366 TILEPROP INT_FEEDTHRU_2_X36Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y14 NAME INT_FEEDTHRU_2_X36Y14 TILEPROP INT_FEEDTHRU_2_X36Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y14 ROW 142 TILEPROP INT_FEEDTHRU_2_X36Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y14 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y14 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y14 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y14 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_2_X36Y14 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y15 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y15 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_2_X36Y15 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_2_X36Y15 INDEX 16251 TILEPROP INT_FEEDTHRU_2_X36Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y15 NAME INT_FEEDTHRU_2_X36Y15 TILEPROP INT_FEEDTHRU_2_X36Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y15 ROW 141 TILEPROP INT_FEEDTHRU_2_X36Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y15 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y15 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y15 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y15 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_2_X36Y15 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y16 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y16 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_2_X36Y16 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_2_X36Y16 INDEX 16136 TILEPROP INT_FEEDTHRU_2_X36Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y16 NAME INT_FEEDTHRU_2_X36Y16 TILEPROP INT_FEEDTHRU_2_X36Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y16 ROW 140 TILEPROP INT_FEEDTHRU_2_X36Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y16 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y16 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y16 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y16 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_2_X36Y16 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y17 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y17 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_2_X36Y17 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_2_X36Y17 INDEX 16021 TILEPROP INT_FEEDTHRU_2_X36Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y17 NAME INT_FEEDTHRU_2_X36Y17 TILEPROP INT_FEEDTHRU_2_X36Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y17 ROW 139 TILEPROP INT_FEEDTHRU_2_X36Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y17 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y17 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y17 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y17 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_2_X36Y17 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y18 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y18 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_2_X36Y18 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_2_X36Y18 INDEX 15906 TILEPROP INT_FEEDTHRU_2_X36Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y18 NAME INT_FEEDTHRU_2_X36Y18 TILEPROP INT_FEEDTHRU_2_X36Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y18 ROW 138 TILEPROP INT_FEEDTHRU_2_X36Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y18 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y18 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y18 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y18 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_2_X36Y18 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y19 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y19 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_2_X36Y19 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_2_X36Y19 INDEX 15791 TILEPROP INT_FEEDTHRU_2_X36Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y19 NAME INT_FEEDTHRU_2_X36Y19 TILEPROP INT_FEEDTHRU_2_X36Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y19 ROW 137 TILEPROP INT_FEEDTHRU_2_X36Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y19 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y19 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y19 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y19 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_2_X36Y19 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y20 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y20 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_2_X36Y20 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_2_X36Y20 INDEX 15676 TILEPROP INT_FEEDTHRU_2_X36Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y20 NAME INT_FEEDTHRU_2_X36Y20 TILEPROP INT_FEEDTHRU_2_X36Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y20 ROW 136 TILEPROP INT_FEEDTHRU_2_X36Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y20 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y20 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y20 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y20 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_2_X36Y20 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y21 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y21 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_2_X36Y21 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_2_X36Y21 INDEX 15561 TILEPROP INT_FEEDTHRU_2_X36Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y21 NAME INT_FEEDTHRU_2_X36Y21 TILEPROP INT_FEEDTHRU_2_X36Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y21 ROW 135 TILEPROP INT_FEEDTHRU_2_X36Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y21 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y21 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y21 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y21 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_2_X36Y21 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y22 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y22 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_2_X36Y22 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_2_X36Y22 INDEX 15446 TILEPROP INT_FEEDTHRU_2_X36Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y22 NAME INT_FEEDTHRU_2_X36Y22 TILEPROP INT_FEEDTHRU_2_X36Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y22 ROW 134 TILEPROP INT_FEEDTHRU_2_X36Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y22 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y22 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y22 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y22 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_2_X36Y22 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y23 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y23 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_2_X36Y23 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_2_X36Y23 INDEX 15331 TILEPROP INT_FEEDTHRU_2_X36Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y23 NAME INT_FEEDTHRU_2_X36Y23 TILEPROP INT_FEEDTHRU_2_X36Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y23 ROW 133 TILEPROP INT_FEEDTHRU_2_X36Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y23 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y23 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y23 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y23 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_2_X36Y23 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y24 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y24 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_2_X36Y24 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_2_X36Y24 INDEX 15216 TILEPROP INT_FEEDTHRU_2_X36Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y24 NAME INT_FEEDTHRU_2_X36Y24 TILEPROP INT_FEEDTHRU_2_X36Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y24 ROW 132 TILEPROP INT_FEEDTHRU_2_X36Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y24 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y24 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y24 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y24 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_2_X36Y24 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y25 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y25 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_2_X36Y25 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_2_X36Y25 INDEX 15101 TILEPROP INT_FEEDTHRU_2_X36Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y25 NAME INT_FEEDTHRU_2_X36Y25 TILEPROP INT_FEEDTHRU_2_X36Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y25 ROW 131 TILEPROP INT_FEEDTHRU_2_X36Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y25 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y25 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y25 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y25 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_2_X36Y25 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y27 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y27 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_2_X36Y27 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_2_X36Y27 INDEX 14871 TILEPROP INT_FEEDTHRU_2_X36Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y27 NAME INT_FEEDTHRU_2_X36Y27 TILEPROP INT_FEEDTHRU_2_X36Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y27 ROW 129 TILEPROP INT_FEEDTHRU_2_X36Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y27 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y27 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y27 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y27 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_2_X36Y27 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y28 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y28 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_2_X36Y28 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_2_X36Y28 INDEX 14756 TILEPROP INT_FEEDTHRU_2_X36Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y28 NAME INT_FEEDTHRU_2_X36Y28 TILEPROP INT_FEEDTHRU_2_X36Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y28 ROW 128 TILEPROP INT_FEEDTHRU_2_X36Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y28 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y28 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y28 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y28 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_2_X36Y28 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y29 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y29 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_2_X36Y29 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_2_X36Y29 INDEX 14641 TILEPROP INT_FEEDTHRU_2_X36Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y29 NAME INT_FEEDTHRU_2_X36Y29 TILEPROP INT_FEEDTHRU_2_X36Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y29 ROW 127 TILEPROP INT_FEEDTHRU_2_X36Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y29 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y29 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y29 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y29 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_2_X36Y29 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y30 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y30 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_2_X36Y30 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_2_X36Y30 INDEX 14526 TILEPROP INT_FEEDTHRU_2_X36Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y30 NAME INT_FEEDTHRU_2_X36Y30 TILEPROP INT_FEEDTHRU_2_X36Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y30 ROW 126 TILEPROP INT_FEEDTHRU_2_X36Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y30 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y30 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y30 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y30 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_2_X36Y30 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y31 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y31 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_2_X36Y31 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_2_X36Y31 INDEX 14411 TILEPROP INT_FEEDTHRU_2_X36Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y31 NAME INT_FEEDTHRU_2_X36Y31 TILEPROP INT_FEEDTHRU_2_X36Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y31 ROW 125 TILEPROP INT_FEEDTHRU_2_X36Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y31 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y31 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y31 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y31 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_2_X36Y31 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y32 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y32 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_2_X36Y32 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_2_X36Y32 INDEX 14296 TILEPROP INT_FEEDTHRU_2_X36Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y32 NAME INT_FEEDTHRU_2_X36Y32 TILEPROP INT_FEEDTHRU_2_X36Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y32 ROW 124 TILEPROP INT_FEEDTHRU_2_X36Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y32 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y32 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y32 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y32 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_2_X36Y32 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y33 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y33 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_2_X36Y33 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_2_X36Y33 INDEX 14181 TILEPROP INT_FEEDTHRU_2_X36Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y33 NAME INT_FEEDTHRU_2_X36Y33 TILEPROP INT_FEEDTHRU_2_X36Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y33 ROW 123 TILEPROP INT_FEEDTHRU_2_X36Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y33 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y33 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y33 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y33 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_2_X36Y33 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y34 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y34 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_2_X36Y34 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_2_X36Y34 INDEX 14066 TILEPROP INT_FEEDTHRU_2_X36Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y34 NAME INT_FEEDTHRU_2_X36Y34 TILEPROP INT_FEEDTHRU_2_X36Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y34 ROW 122 TILEPROP INT_FEEDTHRU_2_X36Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y34 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y34 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y34 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y34 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_2_X36Y34 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y35 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y35 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_2_X36Y35 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_2_X36Y35 INDEX 13951 TILEPROP INT_FEEDTHRU_2_X36Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y35 NAME INT_FEEDTHRU_2_X36Y35 TILEPROP INT_FEEDTHRU_2_X36Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y35 ROW 121 TILEPROP INT_FEEDTHRU_2_X36Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y35 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y35 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y35 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y35 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_2_X36Y35 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y36 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y36 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_2_X36Y36 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_2_X36Y36 INDEX 13836 TILEPROP INT_FEEDTHRU_2_X36Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y36 NAME INT_FEEDTHRU_2_X36Y36 TILEPROP INT_FEEDTHRU_2_X36Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y36 ROW 120 TILEPROP INT_FEEDTHRU_2_X36Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y36 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y36 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y36 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y36 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_2_X36Y36 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y37 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y37 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_2_X36Y37 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_2_X36Y37 INDEX 13721 TILEPROP INT_FEEDTHRU_2_X36Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y37 NAME INT_FEEDTHRU_2_X36Y37 TILEPROP INT_FEEDTHRU_2_X36Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y37 ROW 119 TILEPROP INT_FEEDTHRU_2_X36Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y37 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y37 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y37 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y37 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_2_X36Y37 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y38 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y38 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_2_X36Y38 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_2_X36Y38 INDEX 13606 TILEPROP INT_FEEDTHRU_2_X36Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y38 NAME INT_FEEDTHRU_2_X36Y38 TILEPROP INT_FEEDTHRU_2_X36Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y38 ROW 118 TILEPROP INT_FEEDTHRU_2_X36Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y38 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y38 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y38 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y38 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_2_X36Y38 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y39 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y39 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_2_X36Y39 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_2_X36Y39 INDEX 13491 TILEPROP INT_FEEDTHRU_2_X36Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y39 NAME INT_FEEDTHRU_2_X36Y39 TILEPROP INT_FEEDTHRU_2_X36Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y39 ROW 117 TILEPROP INT_FEEDTHRU_2_X36Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y39 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y39 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y39 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y39 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_2_X36Y39 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y40 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y40 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_2_X36Y40 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_2_X36Y40 INDEX 13376 TILEPROP INT_FEEDTHRU_2_X36Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y40 NAME INT_FEEDTHRU_2_X36Y40 TILEPROP INT_FEEDTHRU_2_X36Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y40 ROW 116 TILEPROP INT_FEEDTHRU_2_X36Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y40 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y40 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y40 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y40 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_2_X36Y40 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y41 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y41 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_2_X36Y41 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_2_X36Y41 INDEX 13261 TILEPROP INT_FEEDTHRU_2_X36Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y41 NAME INT_FEEDTHRU_2_X36Y41 TILEPROP INT_FEEDTHRU_2_X36Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y41 ROW 115 TILEPROP INT_FEEDTHRU_2_X36Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y41 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y41 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y41 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y41 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_2_X36Y41 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y42 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y42 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_2_X36Y42 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_2_X36Y42 INDEX 13146 TILEPROP INT_FEEDTHRU_2_X36Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y42 NAME INT_FEEDTHRU_2_X36Y42 TILEPROP INT_FEEDTHRU_2_X36Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y42 ROW 114 TILEPROP INT_FEEDTHRU_2_X36Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y42 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y42 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y42 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y42 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_2_X36Y42 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y43 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y43 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_2_X36Y43 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_2_X36Y43 INDEX 13031 TILEPROP INT_FEEDTHRU_2_X36Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y43 NAME INT_FEEDTHRU_2_X36Y43 TILEPROP INT_FEEDTHRU_2_X36Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y43 ROW 113 TILEPROP INT_FEEDTHRU_2_X36Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y43 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y43 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y43 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y43 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_2_X36Y43 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y44 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y44 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_2_X36Y44 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_2_X36Y44 INDEX 12916 TILEPROP INT_FEEDTHRU_2_X36Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y44 NAME INT_FEEDTHRU_2_X36Y44 TILEPROP INT_FEEDTHRU_2_X36Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y44 ROW 112 TILEPROP INT_FEEDTHRU_2_X36Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y44 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y44 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y44 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y44 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_2_X36Y44 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y45 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y45 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_2_X36Y45 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_2_X36Y45 INDEX 12801 TILEPROP INT_FEEDTHRU_2_X36Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y45 NAME INT_FEEDTHRU_2_X36Y45 TILEPROP INT_FEEDTHRU_2_X36Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y45 ROW 111 TILEPROP INT_FEEDTHRU_2_X36Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y45 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y45 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y45 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y45 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_2_X36Y45 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y46 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y46 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_2_X36Y46 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_2_X36Y46 INDEX 12686 TILEPROP INT_FEEDTHRU_2_X36Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y46 NAME INT_FEEDTHRU_2_X36Y46 TILEPROP INT_FEEDTHRU_2_X36Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y46 ROW 110 TILEPROP INT_FEEDTHRU_2_X36Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y46 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y46 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y46 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y46 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_2_X36Y46 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y47 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y47 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_2_X36Y47 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_2_X36Y47 INDEX 12571 TILEPROP INT_FEEDTHRU_2_X36Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y47 NAME INT_FEEDTHRU_2_X36Y47 TILEPROP INT_FEEDTHRU_2_X36Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y47 ROW 109 TILEPROP INT_FEEDTHRU_2_X36Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y47 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y47 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y47 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y47 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_2_X36Y47 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y48 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y48 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_2_X36Y48 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_2_X36Y48 INDEX 12456 TILEPROP INT_FEEDTHRU_2_X36Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y48 NAME INT_FEEDTHRU_2_X36Y48 TILEPROP INT_FEEDTHRU_2_X36Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y48 ROW 108 TILEPROP INT_FEEDTHRU_2_X36Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y48 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y48 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y48 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y48 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_2_X36Y48 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y49 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y49 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_2_X36Y49 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_2_X36Y49 INDEX 12341 TILEPROP INT_FEEDTHRU_2_X36Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y49 NAME INT_FEEDTHRU_2_X36Y49 TILEPROP INT_FEEDTHRU_2_X36Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y49 ROW 107 TILEPROP INT_FEEDTHRU_2_X36Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y49 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y49 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y49 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y49 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_2_X36Y49 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y50 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y50 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_2_X36Y50 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_2_X36Y50 INDEX 12226 TILEPROP INT_FEEDTHRU_2_X36Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y50 NAME INT_FEEDTHRU_2_X36Y50 TILEPROP INT_FEEDTHRU_2_X36Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y50 ROW 106 TILEPROP INT_FEEDTHRU_2_X36Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y50 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y50 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y50 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y50 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_2_X36Y50 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y51 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y51 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_2_X36Y51 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_2_X36Y51 INDEX 12111 TILEPROP INT_FEEDTHRU_2_X36Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y51 NAME INT_FEEDTHRU_2_X36Y51 TILEPROP INT_FEEDTHRU_2_X36Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y51 ROW 105 TILEPROP INT_FEEDTHRU_2_X36Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y51 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y51 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y51 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y51 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_2_X36Y51 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y53 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y53 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_2_X36Y53 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_2_X36Y53 INDEX 11881 TILEPROP INT_FEEDTHRU_2_X36Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y53 NAME INT_FEEDTHRU_2_X36Y53 TILEPROP INT_FEEDTHRU_2_X36Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y53 ROW 103 TILEPROP INT_FEEDTHRU_2_X36Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y53 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y53 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y53 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y53 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_2_X36Y53 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y54 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y54 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_2_X36Y54 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_2_X36Y54 INDEX 11766 TILEPROP INT_FEEDTHRU_2_X36Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y54 NAME INT_FEEDTHRU_2_X36Y54 TILEPROP INT_FEEDTHRU_2_X36Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y54 ROW 102 TILEPROP INT_FEEDTHRU_2_X36Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y54 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y54 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y54 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y54 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_2_X36Y54 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y55 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y55 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_2_X36Y55 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_2_X36Y55 INDEX 11651 TILEPROP INT_FEEDTHRU_2_X36Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y55 NAME INT_FEEDTHRU_2_X36Y55 TILEPROP INT_FEEDTHRU_2_X36Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y55 ROW 101 TILEPROP INT_FEEDTHRU_2_X36Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y55 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y55 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y55 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y55 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_2_X36Y55 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y56 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y56 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_2_X36Y56 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_2_X36Y56 INDEX 11536 TILEPROP INT_FEEDTHRU_2_X36Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y56 NAME INT_FEEDTHRU_2_X36Y56 TILEPROP INT_FEEDTHRU_2_X36Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y56 ROW 100 TILEPROP INT_FEEDTHRU_2_X36Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y56 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y56 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y56 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y56 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_2_X36Y56 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y57 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y57 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_2_X36Y57 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_2_X36Y57 INDEX 11421 TILEPROP INT_FEEDTHRU_2_X36Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y57 NAME INT_FEEDTHRU_2_X36Y57 TILEPROP INT_FEEDTHRU_2_X36Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y57 ROW 99 TILEPROP INT_FEEDTHRU_2_X36Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y57 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y57 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y57 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y57 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_2_X36Y57 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y58 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y58 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_2_X36Y58 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_2_X36Y58 INDEX 11306 TILEPROP INT_FEEDTHRU_2_X36Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y58 NAME INT_FEEDTHRU_2_X36Y58 TILEPROP INT_FEEDTHRU_2_X36Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y58 ROW 98 TILEPROP INT_FEEDTHRU_2_X36Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y58 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y58 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y58 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y58 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_2_X36Y58 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y59 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y59 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_2_X36Y59 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_2_X36Y59 INDEX 11191 TILEPROP INT_FEEDTHRU_2_X36Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y59 NAME INT_FEEDTHRU_2_X36Y59 TILEPROP INT_FEEDTHRU_2_X36Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y59 ROW 97 TILEPROP INT_FEEDTHRU_2_X36Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y59 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y59 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y59 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y59 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_2_X36Y59 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y60 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y60 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_2_X36Y60 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_2_X36Y60 INDEX 11076 TILEPROP INT_FEEDTHRU_2_X36Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y60 NAME INT_FEEDTHRU_2_X36Y60 TILEPROP INT_FEEDTHRU_2_X36Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y60 ROW 96 TILEPROP INT_FEEDTHRU_2_X36Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y60 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y60 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y60 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y60 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_2_X36Y60 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y61 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y61 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_2_X36Y61 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_2_X36Y61 INDEX 10961 TILEPROP INT_FEEDTHRU_2_X36Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y61 NAME INT_FEEDTHRU_2_X36Y61 TILEPROP INT_FEEDTHRU_2_X36Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y61 ROW 95 TILEPROP INT_FEEDTHRU_2_X36Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y61 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y61 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y61 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y61 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_2_X36Y61 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y62 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y62 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_2_X36Y62 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_2_X36Y62 INDEX 10846 TILEPROP INT_FEEDTHRU_2_X36Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y62 NAME INT_FEEDTHRU_2_X36Y62 TILEPROP INT_FEEDTHRU_2_X36Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y62 ROW 94 TILEPROP INT_FEEDTHRU_2_X36Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y62 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y62 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y62 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y62 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_2_X36Y62 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y63 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y63 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_2_X36Y63 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_2_X36Y63 INDEX 10731 TILEPROP INT_FEEDTHRU_2_X36Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y63 NAME INT_FEEDTHRU_2_X36Y63 TILEPROP INT_FEEDTHRU_2_X36Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y63 ROW 93 TILEPROP INT_FEEDTHRU_2_X36Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y63 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y63 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y63 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y63 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_2_X36Y63 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y64 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y64 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_2_X36Y64 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_2_X36Y64 INDEX 10616 TILEPROP INT_FEEDTHRU_2_X36Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y64 NAME INT_FEEDTHRU_2_X36Y64 TILEPROP INT_FEEDTHRU_2_X36Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y64 ROW 92 TILEPROP INT_FEEDTHRU_2_X36Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y64 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y64 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y64 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y64 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_2_X36Y64 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y65 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y65 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_2_X36Y65 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_2_X36Y65 INDEX 10501 TILEPROP INT_FEEDTHRU_2_X36Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y65 NAME INT_FEEDTHRU_2_X36Y65 TILEPROP INT_FEEDTHRU_2_X36Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y65 ROW 91 TILEPROP INT_FEEDTHRU_2_X36Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y65 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y65 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y65 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y65 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_2_X36Y65 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y66 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y66 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_2_X36Y66 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_2_X36Y66 INDEX 10386 TILEPROP INT_FEEDTHRU_2_X36Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y66 NAME INT_FEEDTHRU_2_X36Y66 TILEPROP INT_FEEDTHRU_2_X36Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y66 ROW 90 TILEPROP INT_FEEDTHRU_2_X36Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y66 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y66 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y66 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y66 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_2_X36Y66 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y67 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y67 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_2_X36Y67 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_2_X36Y67 INDEX 10271 TILEPROP INT_FEEDTHRU_2_X36Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y67 NAME INT_FEEDTHRU_2_X36Y67 TILEPROP INT_FEEDTHRU_2_X36Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y67 ROW 89 TILEPROP INT_FEEDTHRU_2_X36Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y67 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y67 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y67 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y67 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_2_X36Y67 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y68 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y68 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_2_X36Y68 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_2_X36Y68 INDEX 10156 TILEPROP INT_FEEDTHRU_2_X36Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y68 NAME INT_FEEDTHRU_2_X36Y68 TILEPROP INT_FEEDTHRU_2_X36Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y68 ROW 88 TILEPROP INT_FEEDTHRU_2_X36Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y68 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y68 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y68 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y68 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_2_X36Y68 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y69 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y69 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_2_X36Y69 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_2_X36Y69 INDEX 10041 TILEPROP INT_FEEDTHRU_2_X36Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y69 NAME INT_FEEDTHRU_2_X36Y69 TILEPROP INT_FEEDTHRU_2_X36Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y69 ROW 87 TILEPROP INT_FEEDTHRU_2_X36Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y69 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y69 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y69 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y69 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_2_X36Y69 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y70 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y70 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_2_X36Y70 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_2_X36Y70 INDEX 9926 TILEPROP INT_FEEDTHRU_2_X36Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y70 NAME INT_FEEDTHRU_2_X36Y70 TILEPROP INT_FEEDTHRU_2_X36Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y70 ROW 86 TILEPROP INT_FEEDTHRU_2_X36Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y70 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y70 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y70 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y70 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_2_X36Y70 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y71 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y71 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_2_X36Y71 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_2_X36Y71 INDEX 9811 TILEPROP INT_FEEDTHRU_2_X36Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y71 NAME INT_FEEDTHRU_2_X36Y71 TILEPROP INT_FEEDTHRU_2_X36Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y71 ROW 85 TILEPROP INT_FEEDTHRU_2_X36Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y71 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y71 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y71 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y71 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_2_X36Y71 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y72 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y72 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_2_X36Y72 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_2_X36Y72 INDEX 9696 TILEPROP INT_FEEDTHRU_2_X36Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y72 NAME INT_FEEDTHRU_2_X36Y72 TILEPROP INT_FEEDTHRU_2_X36Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y72 ROW 84 TILEPROP INT_FEEDTHRU_2_X36Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y72 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y72 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y72 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y72 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_2_X36Y72 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y73 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y73 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_2_X36Y73 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_2_X36Y73 INDEX 9581 TILEPROP INT_FEEDTHRU_2_X36Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y73 NAME INT_FEEDTHRU_2_X36Y73 TILEPROP INT_FEEDTHRU_2_X36Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y73 ROW 83 TILEPROP INT_FEEDTHRU_2_X36Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y73 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y73 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y73 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y73 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_2_X36Y73 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y74 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y74 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_2_X36Y74 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_2_X36Y74 INDEX 9466 TILEPROP INT_FEEDTHRU_2_X36Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y74 NAME INT_FEEDTHRU_2_X36Y74 TILEPROP INT_FEEDTHRU_2_X36Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y74 ROW 82 TILEPROP INT_FEEDTHRU_2_X36Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y74 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y74 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y74 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y74 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_2_X36Y74 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y75 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y75 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_2_X36Y75 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_2_X36Y75 INDEX 9351 TILEPROP INT_FEEDTHRU_2_X36Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y75 NAME INT_FEEDTHRU_2_X36Y75 TILEPROP INT_FEEDTHRU_2_X36Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y75 ROW 81 TILEPROP INT_FEEDTHRU_2_X36Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y75 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y75 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y75 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y75 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_2_X36Y75 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y76 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y76 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_2_X36Y76 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_2_X36Y76 INDEX 9236 TILEPROP INT_FEEDTHRU_2_X36Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y76 NAME INT_FEEDTHRU_2_X36Y76 TILEPROP INT_FEEDTHRU_2_X36Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y76 ROW 80 TILEPROP INT_FEEDTHRU_2_X36Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y76 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y76 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y76 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y76 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_2_X36Y76 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y77 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y77 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_2_X36Y77 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_2_X36Y77 INDEX 9121 TILEPROP INT_FEEDTHRU_2_X36Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y77 NAME INT_FEEDTHRU_2_X36Y77 TILEPROP INT_FEEDTHRU_2_X36Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y77 ROW 79 TILEPROP INT_FEEDTHRU_2_X36Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y77 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y77 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y77 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y77 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_2_X36Y77 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y79 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y79 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_2_X36Y79 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_2_X36Y79 INDEX 8891 TILEPROP INT_FEEDTHRU_2_X36Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y79 NAME INT_FEEDTHRU_2_X36Y79 TILEPROP INT_FEEDTHRU_2_X36Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y79 ROW 77 TILEPROP INT_FEEDTHRU_2_X36Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y79 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y79 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y79 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y79 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_2_X36Y79 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y80 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y80 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_2_X36Y80 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_2_X36Y80 INDEX 8776 TILEPROP INT_FEEDTHRU_2_X36Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y80 NAME INT_FEEDTHRU_2_X36Y80 TILEPROP INT_FEEDTHRU_2_X36Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y80 ROW 76 TILEPROP INT_FEEDTHRU_2_X36Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y80 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y80 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y80 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y80 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_2_X36Y80 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y81 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y81 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_2_X36Y81 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_2_X36Y81 INDEX 8661 TILEPROP INT_FEEDTHRU_2_X36Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y81 NAME INT_FEEDTHRU_2_X36Y81 TILEPROP INT_FEEDTHRU_2_X36Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y81 ROW 75 TILEPROP INT_FEEDTHRU_2_X36Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y81 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y81 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y81 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y81 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_2_X36Y81 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y82 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y82 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_2_X36Y82 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_2_X36Y82 INDEX 8546 TILEPROP INT_FEEDTHRU_2_X36Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y82 NAME INT_FEEDTHRU_2_X36Y82 TILEPROP INT_FEEDTHRU_2_X36Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y82 ROW 74 TILEPROP INT_FEEDTHRU_2_X36Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y82 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y82 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y82 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y82 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_2_X36Y82 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y83 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y83 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_2_X36Y83 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_2_X36Y83 INDEX 8431 TILEPROP INT_FEEDTHRU_2_X36Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y83 NAME INT_FEEDTHRU_2_X36Y83 TILEPROP INT_FEEDTHRU_2_X36Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y83 ROW 73 TILEPROP INT_FEEDTHRU_2_X36Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y83 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y83 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y83 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y83 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_2_X36Y83 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y84 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y84 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_2_X36Y84 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_2_X36Y84 INDEX 8316 TILEPROP INT_FEEDTHRU_2_X36Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y84 NAME INT_FEEDTHRU_2_X36Y84 TILEPROP INT_FEEDTHRU_2_X36Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y84 ROW 72 TILEPROP INT_FEEDTHRU_2_X36Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y84 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y84 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y84 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y84 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_2_X36Y84 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y85 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y85 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_2_X36Y85 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_2_X36Y85 INDEX 8201 TILEPROP INT_FEEDTHRU_2_X36Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y85 NAME INT_FEEDTHRU_2_X36Y85 TILEPROP INT_FEEDTHRU_2_X36Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y85 ROW 71 TILEPROP INT_FEEDTHRU_2_X36Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y85 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y85 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y85 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y85 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_2_X36Y85 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y86 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y86 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_2_X36Y86 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_2_X36Y86 INDEX 8086 TILEPROP INT_FEEDTHRU_2_X36Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y86 NAME INT_FEEDTHRU_2_X36Y86 TILEPROP INT_FEEDTHRU_2_X36Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y86 ROW 70 TILEPROP INT_FEEDTHRU_2_X36Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y86 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y86 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y86 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y86 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_2_X36Y86 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y87 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y87 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_2_X36Y87 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_2_X36Y87 INDEX 7971 TILEPROP INT_FEEDTHRU_2_X36Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y87 NAME INT_FEEDTHRU_2_X36Y87 TILEPROP INT_FEEDTHRU_2_X36Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y87 ROW 69 TILEPROP INT_FEEDTHRU_2_X36Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y87 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y87 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y87 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y87 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_2_X36Y87 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y88 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y88 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_2_X36Y88 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_2_X36Y88 INDEX 7856 TILEPROP INT_FEEDTHRU_2_X36Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y88 NAME INT_FEEDTHRU_2_X36Y88 TILEPROP INT_FEEDTHRU_2_X36Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y88 ROW 68 TILEPROP INT_FEEDTHRU_2_X36Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y88 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y88 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y88 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y88 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_2_X36Y88 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y89 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y89 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_2_X36Y89 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_2_X36Y89 INDEX 7741 TILEPROP INT_FEEDTHRU_2_X36Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y89 NAME INT_FEEDTHRU_2_X36Y89 TILEPROP INT_FEEDTHRU_2_X36Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y89 ROW 67 TILEPROP INT_FEEDTHRU_2_X36Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y89 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y89 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y89 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y89 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_2_X36Y89 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y90 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y90 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_2_X36Y90 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_2_X36Y90 INDEX 7626 TILEPROP INT_FEEDTHRU_2_X36Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y90 NAME INT_FEEDTHRU_2_X36Y90 TILEPROP INT_FEEDTHRU_2_X36Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y90 ROW 66 TILEPROP INT_FEEDTHRU_2_X36Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y90 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y90 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y90 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y90 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_2_X36Y90 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y91 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y91 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_2_X36Y91 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_2_X36Y91 INDEX 7511 TILEPROP INT_FEEDTHRU_2_X36Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y91 NAME INT_FEEDTHRU_2_X36Y91 TILEPROP INT_FEEDTHRU_2_X36Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y91 ROW 65 TILEPROP INT_FEEDTHRU_2_X36Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y91 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y91 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y91 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y91 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_2_X36Y91 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y92 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y92 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_2_X36Y92 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_2_X36Y92 INDEX 7396 TILEPROP INT_FEEDTHRU_2_X36Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y92 NAME INT_FEEDTHRU_2_X36Y92 TILEPROP INT_FEEDTHRU_2_X36Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y92 ROW 64 TILEPROP INT_FEEDTHRU_2_X36Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y92 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y92 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y92 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y92 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_2_X36Y92 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y93 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y93 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_2_X36Y93 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_2_X36Y93 INDEX 7281 TILEPROP INT_FEEDTHRU_2_X36Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y93 NAME INT_FEEDTHRU_2_X36Y93 TILEPROP INT_FEEDTHRU_2_X36Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y93 ROW 63 TILEPROP INT_FEEDTHRU_2_X36Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y93 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y93 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y93 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y93 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_2_X36Y93 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y94 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y94 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_2_X36Y94 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_2_X36Y94 INDEX 7166 TILEPROP INT_FEEDTHRU_2_X36Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y94 NAME INT_FEEDTHRU_2_X36Y94 TILEPROP INT_FEEDTHRU_2_X36Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y94 ROW 62 TILEPROP INT_FEEDTHRU_2_X36Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y94 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y94 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y94 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y94 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_2_X36Y94 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y95 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y95 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_2_X36Y95 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_2_X36Y95 INDEX 7051 TILEPROP INT_FEEDTHRU_2_X36Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y95 NAME INT_FEEDTHRU_2_X36Y95 TILEPROP INT_FEEDTHRU_2_X36Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y95 ROW 61 TILEPROP INT_FEEDTHRU_2_X36Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y95 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y95 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y95 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y95 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_2_X36Y95 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y96 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y96 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_2_X36Y96 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_2_X36Y96 INDEX 6936 TILEPROP INT_FEEDTHRU_2_X36Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y96 NAME INT_FEEDTHRU_2_X36Y96 TILEPROP INT_FEEDTHRU_2_X36Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y96 ROW 60 TILEPROP INT_FEEDTHRU_2_X36Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y96 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y96 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y96 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y96 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_2_X36Y96 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y97 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y97 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_2_X36Y97 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_2_X36Y97 INDEX 6821 TILEPROP INT_FEEDTHRU_2_X36Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y97 NAME INT_FEEDTHRU_2_X36Y97 TILEPROP INT_FEEDTHRU_2_X36Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y97 ROW 59 TILEPROP INT_FEEDTHRU_2_X36Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y97 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y97 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y97 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y97 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_2_X36Y97 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y98 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y98 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_2_X36Y98 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_2_X36Y98 INDEX 6706 TILEPROP INT_FEEDTHRU_2_X36Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y98 NAME INT_FEEDTHRU_2_X36Y98 TILEPROP INT_FEEDTHRU_2_X36Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y98 ROW 58 TILEPROP INT_FEEDTHRU_2_X36Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y98 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y98 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y98 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y98 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_2_X36Y98 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y99 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y99 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_2_X36Y99 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_2_X36Y99 INDEX 6591 TILEPROP INT_FEEDTHRU_2_X36Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y99 NAME INT_FEEDTHRU_2_X36Y99 TILEPROP INT_FEEDTHRU_2_X36Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y99 ROW 57 TILEPROP INT_FEEDTHRU_2_X36Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y99 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y99 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y99 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y99 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_2_X36Y99 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y100 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y100 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_2_X36Y100 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_2_X36Y100 INDEX 6476 TILEPROP INT_FEEDTHRU_2_X36Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y100 NAME INT_FEEDTHRU_2_X36Y100 TILEPROP INT_FEEDTHRU_2_X36Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y100 ROW 56 TILEPROP INT_FEEDTHRU_2_X36Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y100 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y100 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y100 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y100 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_2_X36Y100 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y101 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y101 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_2_X36Y101 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_2_X36Y101 INDEX 6361 TILEPROP INT_FEEDTHRU_2_X36Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y101 NAME INT_FEEDTHRU_2_X36Y101 TILEPROP INT_FEEDTHRU_2_X36Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y101 ROW 55 TILEPROP INT_FEEDTHRU_2_X36Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y101 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y101 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y101 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y101 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_2_X36Y101 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y102 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y102 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_2_X36Y102 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_2_X36Y102 INDEX 6246 TILEPROP INT_FEEDTHRU_2_X36Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y102 NAME INT_FEEDTHRU_2_X36Y102 TILEPROP INT_FEEDTHRU_2_X36Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y102 ROW 54 TILEPROP INT_FEEDTHRU_2_X36Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y102 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y102 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y102 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y102 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_2_X36Y102 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y103 CLASS tile TILEPROP INT_FEEDTHRU_2_X36Y103 COLUMN 36 TILEPROP INT_FEEDTHRU_2_X36Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_2_X36Y103 GRID_POINT_X 36 TILEPROP INT_FEEDTHRU_2_X36Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_2_X36Y103 INDEX 6131 TILEPROP INT_FEEDTHRU_2_X36Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X36Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X36Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X36Y103 NAME INT_FEEDTHRU_2_X36Y103 TILEPROP INT_FEEDTHRU_2_X36Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X36Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X36Y103 ROW 53 TILEPROP INT_FEEDTHRU_2_X36Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X36Y103 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X36Y103 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X36Y103 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X36Y103 TILE_X -39224 TILEPROP INT_FEEDTHRU_2_X36Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_2_X36Y103 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y1 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y1 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_2_X37Y1 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_2_X37Y1 INDEX 17862 TILEPROP INT_FEEDTHRU_2_X37Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y1 NAME INT_FEEDTHRU_2_X37Y1 TILEPROP INT_FEEDTHRU_2_X37Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y1 ROW 155 TILEPROP INT_FEEDTHRU_2_X37Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y1 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y1 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y1 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y1 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_2_X37Y1 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y2 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y2 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_2_X37Y2 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_2_X37Y2 INDEX 17747 TILEPROP INT_FEEDTHRU_2_X37Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y2 NAME INT_FEEDTHRU_2_X37Y2 TILEPROP INT_FEEDTHRU_2_X37Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y2 ROW 154 TILEPROP INT_FEEDTHRU_2_X37Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y2 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y2 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y2 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y2 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_2_X37Y2 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y3 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y3 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_2_X37Y3 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_2_X37Y3 INDEX 17632 TILEPROP INT_FEEDTHRU_2_X37Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y3 NAME INT_FEEDTHRU_2_X37Y3 TILEPROP INT_FEEDTHRU_2_X37Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y3 ROW 153 TILEPROP INT_FEEDTHRU_2_X37Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y3 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y3 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y3 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y3 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_2_X37Y3 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y4 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y4 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_2_X37Y4 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_2_X37Y4 INDEX 17517 TILEPROP INT_FEEDTHRU_2_X37Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y4 NAME INT_FEEDTHRU_2_X37Y4 TILEPROP INT_FEEDTHRU_2_X37Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y4 ROW 152 TILEPROP INT_FEEDTHRU_2_X37Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y4 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y4 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y4 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y4 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_2_X37Y4 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y5 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y5 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_2_X37Y5 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_2_X37Y5 INDEX 17402 TILEPROP INT_FEEDTHRU_2_X37Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y5 NAME INT_FEEDTHRU_2_X37Y5 TILEPROP INT_FEEDTHRU_2_X37Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y5 ROW 151 TILEPROP INT_FEEDTHRU_2_X37Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y5 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y5 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y5 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y5 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_2_X37Y5 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y6 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y6 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_2_X37Y6 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_2_X37Y6 INDEX 17287 TILEPROP INT_FEEDTHRU_2_X37Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y6 NAME INT_FEEDTHRU_2_X37Y6 TILEPROP INT_FEEDTHRU_2_X37Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y6 ROW 150 TILEPROP INT_FEEDTHRU_2_X37Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y6 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y6 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y6 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y6 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_2_X37Y6 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y7 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y7 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_2_X37Y7 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_2_X37Y7 INDEX 17172 TILEPROP INT_FEEDTHRU_2_X37Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y7 NAME INT_FEEDTHRU_2_X37Y7 TILEPROP INT_FEEDTHRU_2_X37Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y7 ROW 149 TILEPROP INT_FEEDTHRU_2_X37Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y7 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y7 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y7 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y7 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_2_X37Y7 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y8 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y8 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_2_X37Y8 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_2_X37Y8 INDEX 17057 TILEPROP INT_FEEDTHRU_2_X37Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y8 NAME INT_FEEDTHRU_2_X37Y8 TILEPROP INT_FEEDTHRU_2_X37Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y8 ROW 148 TILEPROP INT_FEEDTHRU_2_X37Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y8 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y8 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y8 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y8 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_2_X37Y8 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y9 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y9 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_2_X37Y9 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_2_X37Y9 INDEX 16942 TILEPROP INT_FEEDTHRU_2_X37Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y9 NAME INT_FEEDTHRU_2_X37Y9 TILEPROP INT_FEEDTHRU_2_X37Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y9 ROW 147 TILEPROP INT_FEEDTHRU_2_X37Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y9 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y9 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y9 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y9 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_2_X37Y9 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y10 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y10 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_2_X37Y10 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_2_X37Y10 INDEX 16827 TILEPROP INT_FEEDTHRU_2_X37Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y10 NAME INT_FEEDTHRU_2_X37Y10 TILEPROP INT_FEEDTHRU_2_X37Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y10 ROW 146 TILEPROP INT_FEEDTHRU_2_X37Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y10 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y10 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y10 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y10 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_2_X37Y10 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y11 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y11 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_2_X37Y11 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_2_X37Y11 INDEX 16712 TILEPROP INT_FEEDTHRU_2_X37Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y11 NAME INT_FEEDTHRU_2_X37Y11 TILEPROP INT_FEEDTHRU_2_X37Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y11 ROW 145 TILEPROP INT_FEEDTHRU_2_X37Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y11 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y11 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y11 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y11 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_2_X37Y11 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y12 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y12 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_2_X37Y12 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_2_X37Y12 INDEX 16597 TILEPROP INT_FEEDTHRU_2_X37Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y12 NAME INT_FEEDTHRU_2_X37Y12 TILEPROP INT_FEEDTHRU_2_X37Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y12 ROW 144 TILEPROP INT_FEEDTHRU_2_X37Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y12 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y12 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y12 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y12 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_2_X37Y12 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y13 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y13 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_2_X37Y13 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_2_X37Y13 INDEX 16482 TILEPROP INT_FEEDTHRU_2_X37Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y13 NAME INT_FEEDTHRU_2_X37Y13 TILEPROP INT_FEEDTHRU_2_X37Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y13 ROW 143 TILEPROP INT_FEEDTHRU_2_X37Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y13 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y13 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y13 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y13 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_2_X37Y13 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y14 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y14 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_2_X37Y14 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_2_X37Y14 INDEX 16367 TILEPROP INT_FEEDTHRU_2_X37Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y14 NAME INT_FEEDTHRU_2_X37Y14 TILEPROP INT_FEEDTHRU_2_X37Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y14 ROW 142 TILEPROP INT_FEEDTHRU_2_X37Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y14 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y14 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y14 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y14 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_2_X37Y14 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y15 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y15 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_2_X37Y15 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_2_X37Y15 INDEX 16252 TILEPROP INT_FEEDTHRU_2_X37Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y15 NAME INT_FEEDTHRU_2_X37Y15 TILEPROP INT_FEEDTHRU_2_X37Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y15 ROW 141 TILEPROP INT_FEEDTHRU_2_X37Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y15 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y15 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y15 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y15 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_2_X37Y15 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y16 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y16 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_2_X37Y16 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_2_X37Y16 INDEX 16137 TILEPROP INT_FEEDTHRU_2_X37Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y16 NAME INT_FEEDTHRU_2_X37Y16 TILEPROP INT_FEEDTHRU_2_X37Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y16 ROW 140 TILEPROP INT_FEEDTHRU_2_X37Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y16 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y16 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y16 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y16 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_2_X37Y16 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y17 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y17 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_2_X37Y17 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_2_X37Y17 INDEX 16022 TILEPROP INT_FEEDTHRU_2_X37Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y17 NAME INT_FEEDTHRU_2_X37Y17 TILEPROP INT_FEEDTHRU_2_X37Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y17 ROW 139 TILEPROP INT_FEEDTHRU_2_X37Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y17 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y17 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y17 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y17 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_2_X37Y17 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y18 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y18 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_2_X37Y18 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_2_X37Y18 INDEX 15907 TILEPROP INT_FEEDTHRU_2_X37Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y18 NAME INT_FEEDTHRU_2_X37Y18 TILEPROP INT_FEEDTHRU_2_X37Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y18 ROW 138 TILEPROP INT_FEEDTHRU_2_X37Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y18 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y18 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y18 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y18 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_2_X37Y18 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y19 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y19 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_2_X37Y19 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_2_X37Y19 INDEX 15792 TILEPROP INT_FEEDTHRU_2_X37Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y19 NAME INT_FEEDTHRU_2_X37Y19 TILEPROP INT_FEEDTHRU_2_X37Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y19 ROW 137 TILEPROP INT_FEEDTHRU_2_X37Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y19 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y19 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y19 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y19 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_2_X37Y19 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y20 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y20 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_2_X37Y20 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_2_X37Y20 INDEX 15677 TILEPROP INT_FEEDTHRU_2_X37Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y20 NAME INT_FEEDTHRU_2_X37Y20 TILEPROP INT_FEEDTHRU_2_X37Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y20 ROW 136 TILEPROP INT_FEEDTHRU_2_X37Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y20 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y20 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y20 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y20 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_2_X37Y20 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y21 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y21 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_2_X37Y21 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_2_X37Y21 INDEX 15562 TILEPROP INT_FEEDTHRU_2_X37Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y21 NAME INT_FEEDTHRU_2_X37Y21 TILEPROP INT_FEEDTHRU_2_X37Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y21 ROW 135 TILEPROP INT_FEEDTHRU_2_X37Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y21 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y21 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y21 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y21 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_2_X37Y21 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y22 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y22 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_2_X37Y22 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_2_X37Y22 INDEX 15447 TILEPROP INT_FEEDTHRU_2_X37Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y22 NAME INT_FEEDTHRU_2_X37Y22 TILEPROP INT_FEEDTHRU_2_X37Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y22 ROW 134 TILEPROP INT_FEEDTHRU_2_X37Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y22 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y22 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y22 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y22 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_2_X37Y22 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y23 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y23 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_2_X37Y23 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_2_X37Y23 INDEX 15332 TILEPROP INT_FEEDTHRU_2_X37Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y23 NAME INT_FEEDTHRU_2_X37Y23 TILEPROP INT_FEEDTHRU_2_X37Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y23 ROW 133 TILEPROP INT_FEEDTHRU_2_X37Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y23 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y23 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y23 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y23 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_2_X37Y23 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y24 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y24 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_2_X37Y24 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_2_X37Y24 INDEX 15217 TILEPROP INT_FEEDTHRU_2_X37Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y24 NAME INT_FEEDTHRU_2_X37Y24 TILEPROP INT_FEEDTHRU_2_X37Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y24 ROW 132 TILEPROP INT_FEEDTHRU_2_X37Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y24 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y24 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y24 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y24 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_2_X37Y24 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y25 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y25 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_2_X37Y25 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_2_X37Y25 INDEX 15102 TILEPROP INT_FEEDTHRU_2_X37Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y25 NAME INT_FEEDTHRU_2_X37Y25 TILEPROP INT_FEEDTHRU_2_X37Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y25 ROW 131 TILEPROP INT_FEEDTHRU_2_X37Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y25 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y25 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y25 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y25 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_2_X37Y25 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y27 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y27 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_2_X37Y27 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_2_X37Y27 INDEX 14872 TILEPROP INT_FEEDTHRU_2_X37Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y27 NAME INT_FEEDTHRU_2_X37Y27 TILEPROP INT_FEEDTHRU_2_X37Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y27 ROW 129 TILEPROP INT_FEEDTHRU_2_X37Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y27 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y27 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y27 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y27 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_2_X37Y27 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y28 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y28 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_2_X37Y28 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_2_X37Y28 INDEX 14757 TILEPROP INT_FEEDTHRU_2_X37Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y28 NAME INT_FEEDTHRU_2_X37Y28 TILEPROP INT_FEEDTHRU_2_X37Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y28 ROW 128 TILEPROP INT_FEEDTHRU_2_X37Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y28 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y28 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y28 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y28 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_2_X37Y28 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y29 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y29 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_2_X37Y29 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_2_X37Y29 INDEX 14642 TILEPROP INT_FEEDTHRU_2_X37Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y29 NAME INT_FEEDTHRU_2_X37Y29 TILEPROP INT_FEEDTHRU_2_X37Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y29 ROW 127 TILEPROP INT_FEEDTHRU_2_X37Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y29 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y29 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y29 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y29 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_2_X37Y29 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y30 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y30 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_2_X37Y30 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_2_X37Y30 INDEX 14527 TILEPROP INT_FEEDTHRU_2_X37Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y30 NAME INT_FEEDTHRU_2_X37Y30 TILEPROP INT_FEEDTHRU_2_X37Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y30 ROW 126 TILEPROP INT_FEEDTHRU_2_X37Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y30 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y30 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y30 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y30 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_2_X37Y30 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y31 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y31 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_2_X37Y31 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_2_X37Y31 INDEX 14412 TILEPROP INT_FEEDTHRU_2_X37Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y31 NAME INT_FEEDTHRU_2_X37Y31 TILEPROP INT_FEEDTHRU_2_X37Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y31 ROW 125 TILEPROP INT_FEEDTHRU_2_X37Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y31 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y31 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y31 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y31 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_2_X37Y31 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y32 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y32 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_2_X37Y32 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_2_X37Y32 INDEX 14297 TILEPROP INT_FEEDTHRU_2_X37Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y32 NAME INT_FEEDTHRU_2_X37Y32 TILEPROP INT_FEEDTHRU_2_X37Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y32 ROW 124 TILEPROP INT_FEEDTHRU_2_X37Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y32 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y32 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y32 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y32 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_2_X37Y32 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y33 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y33 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_2_X37Y33 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_2_X37Y33 INDEX 14182 TILEPROP INT_FEEDTHRU_2_X37Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y33 NAME INT_FEEDTHRU_2_X37Y33 TILEPROP INT_FEEDTHRU_2_X37Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y33 ROW 123 TILEPROP INT_FEEDTHRU_2_X37Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y33 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y33 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y33 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y33 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_2_X37Y33 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y34 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y34 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_2_X37Y34 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_2_X37Y34 INDEX 14067 TILEPROP INT_FEEDTHRU_2_X37Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y34 NAME INT_FEEDTHRU_2_X37Y34 TILEPROP INT_FEEDTHRU_2_X37Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y34 ROW 122 TILEPROP INT_FEEDTHRU_2_X37Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y34 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y34 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y34 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y34 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_2_X37Y34 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y35 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y35 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_2_X37Y35 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_2_X37Y35 INDEX 13952 TILEPROP INT_FEEDTHRU_2_X37Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y35 NAME INT_FEEDTHRU_2_X37Y35 TILEPROP INT_FEEDTHRU_2_X37Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y35 ROW 121 TILEPROP INT_FEEDTHRU_2_X37Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y35 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y35 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y35 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y35 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_2_X37Y35 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y36 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y36 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_2_X37Y36 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_2_X37Y36 INDEX 13837 TILEPROP INT_FEEDTHRU_2_X37Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y36 NAME INT_FEEDTHRU_2_X37Y36 TILEPROP INT_FEEDTHRU_2_X37Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y36 ROW 120 TILEPROP INT_FEEDTHRU_2_X37Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y36 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y36 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y36 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y36 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_2_X37Y36 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y37 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y37 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_2_X37Y37 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_2_X37Y37 INDEX 13722 TILEPROP INT_FEEDTHRU_2_X37Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y37 NAME INT_FEEDTHRU_2_X37Y37 TILEPROP INT_FEEDTHRU_2_X37Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y37 ROW 119 TILEPROP INT_FEEDTHRU_2_X37Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y37 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y37 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y37 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y37 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_2_X37Y37 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y38 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y38 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_2_X37Y38 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_2_X37Y38 INDEX 13607 TILEPROP INT_FEEDTHRU_2_X37Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y38 NAME INT_FEEDTHRU_2_X37Y38 TILEPROP INT_FEEDTHRU_2_X37Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y38 ROW 118 TILEPROP INT_FEEDTHRU_2_X37Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y38 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y38 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y38 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y38 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_2_X37Y38 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y39 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y39 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_2_X37Y39 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_2_X37Y39 INDEX 13492 TILEPROP INT_FEEDTHRU_2_X37Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y39 NAME INT_FEEDTHRU_2_X37Y39 TILEPROP INT_FEEDTHRU_2_X37Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y39 ROW 117 TILEPROP INT_FEEDTHRU_2_X37Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y39 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y39 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y39 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y39 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_2_X37Y39 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y40 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y40 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_2_X37Y40 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_2_X37Y40 INDEX 13377 TILEPROP INT_FEEDTHRU_2_X37Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y40 NAME INT_FEEDTHRU_2_X37Y40 TILEPROP INT_FEEDTHRU_2_X37Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y40 ROW 116 TILEPROP INT_FEEDTHRU_2_X37Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y40 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y40 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y40 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y40 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_2_X37Y40 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y41 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y41 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_2_X37Y41 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_2_X37Y41 INDEX 13262 TILEPROP INT_FEEDTHRU_2_X37Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y41 NAME INT_FEEDTHRU_2_X37Y41 TILEPROP INT_FEEDTHRU_2_X37Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y41 ROW 115 TILEPROP INT_FEEDTHRU_2_X37Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y41 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y41 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y41 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y41 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_2_X37Y41 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y42 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y42 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_2_X37Y42 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_2_X37Y42 INDEX 13147 TILEPROP INT_FEEDTHRU_2_X37Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y42 NAME INT_FEEDTHRU_2_X37Y42 TILEPROP INT_FEEDTHRU_2_X37Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y42 ROW 114 TILEPROP INT_FEEDTHRU_2_X37Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y42 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y42 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y42 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y42 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_2_X37Y42 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y43 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y43 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_2_X37Y43 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_2_X37Y43 INDEX 13032 TILEPROP INT_FEEDTHRU_2_X37Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y43 NAME INT_FEEDTHRU_2_X37Y43 TILEPROP INT_FEEDTHRU_2_X37Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y43 ROW 113 TILEPROP INT_FEEDTHRU_2_X37Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y43 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y43 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y43 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y43 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_2_X37Y43 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y44 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y44 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_2_X37Y44 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_2_X37Y44 INDEX 12917 TILEPROP INT_FEEDTHRU_2_X37Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y44 NAME INT_FEEDTHRU_2_X37Y44 TILEPROP INT_FEEDTHRU_2_X37Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y44 ROW 112 TILEPROP INT_FEEDTHRU_2_X37Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y44 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y44 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y44 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y44 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_2_X37Y44 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y45 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y45 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_2_X37Y45 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_2_X37Y45 INDEX 12802 TILEPROP INT_FEEDTHRU_2_X37Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y45 NAME INT_FEEDTHRU_2_X37Y45 TILEPROP INT_FEEDTHRU_2_X37Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y45 ROW 111 TILEPROP INT_FEEDTHRU_2_X37Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y45 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y45 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y45 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y45 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_2_X37Y45 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y46 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y46 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_2_X37Y46 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_2_X37Y46 INDEX 12687 TILEPROP INT_FEEDTHRU_2_X37Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y46 NAME INT_FEEDTHRU_2_X37Y46 TILEPROP INT_FEEDTHRU_2_X37Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y46 ROW 110 TILEPROP INT_FEEDTHRU_2_X37Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y46 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y46 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y46 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y46 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_2_X37Y46 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y47 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y47 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_2_X37Y47 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_2_X37Y47 INDEX 12572 TILEPROP INT_FEEDTHRU_2_X37Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y47 NAME INT_FEEDTHRU_2_X37Y47 TILEPROP INT_FEEDTHRU_2_X37Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y47 ROW 109 TILEPROP INT_FEEDTHRU_2_X37Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y47 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y47 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y47 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y47 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_2_X37Y47 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y48 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y48 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_2_X37Y48 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_2_X37Y48 INDEX 12457 TILEPROP INT_FEEDTHRU_2_X37Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y48 NAME INT_FEEDTHRU_2_X37Y48 TILEPROP INT_FEEDTHRU_2_X37Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y48 ROW 108 TILEPROP INT_FEEDTHRU_2_X37Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y48 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y48 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y48 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y48 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_2_X37Y48 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y49 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y49 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_2_X37Y49 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_2_X37Y49 INDEX 12342 TILEPROP INT_FEEDTHRU_2_X37Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y49 NAME INT_FEEDTHRU_2_X37Y49 TILEPROP INT_FEEDTHRU_2_X37Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y49 ROW 107 TILEPROP INT_FEEDTHRU_2_X37Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y49 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y49 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y49 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y49 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_2_X37Y49 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y50 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y50 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_2_X37Y50 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_2_X37Y50 INDEX 12227 TILEPROP INT_FEEDTHRU_2_X37Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y50 NAME INT_FEEDTHRU_2_X37Y50 TILEPROP INT_FEEDTHRU_2_X37Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y50 ROW 106 TILEPROP INT_FEEDTHRU_2_X37Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y50 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y50 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y50 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y50 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_2_X37Y50 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y51 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y51 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_2_X37Y51 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_2_X37Y51 INDEX 12112 TILEPROP INT_FEEDTHRU_2_X37Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y51 NAME INT_FEEDTHRU_2_X37Y51 TILEPROP INT_FEEDTHRU_2_X37Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y51 ROW 105 TILEPROP INT_FEEDTHRU_2_X37Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y51 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y51 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y51 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y51 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_2_X37Y51 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y53 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y53 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_2_X37Y53 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_2_X37Y53 INDEX 11882 TILEPROP INT_FEEDTHRU_2_X37Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y53 NAME INT_FEEDTHRU_2_X37Y53 TILEPROP INT_FEEDTHRU_2_X37Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y53 ROW 103 TILEPROP INT_FEEDTHRU_2_X37Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y53 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y53 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y53 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y53 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_2_X37Y53 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y54 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y54 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_2_X37Y54 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_2_X37Y54 INDEX 11767 TILEPROP INT_FEEDTHRU_2_X37Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y54 NAME INT_FEEDTHRU_2_X37Y54 TILEPROP INT_FEEDTHRU_2_X37Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y54 ROW 102 TILEPROP INT_FEEDTHRU_2_X37Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y54 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y54 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y54 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y54 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_2_X37Y54 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y55 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y55 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_2_X37Y55 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_2_X37Y55 INDEX 11652 TILEPROP INT_FEEDTHRU_2_X37Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y55 NAME INT_FEEDTHRU_2_X37Y55 TILEPROP INT_FEEDTHRU_2_X37Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y55 ROW 101 TILEPROP INT_FEEDTHRU_2_X37Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y55 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y55 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y55 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y55 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_2_X37Y55 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y56 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y56 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_2_X37Y56 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_2_X37Y56 INDEX 11537 TILEPROP INT_FEEDTHRU_2_X37Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y56 NAME INT_FEEDTHRU_2_X37Y56 TILEPROP INT_FEEDTHRU_2_X37Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y56 ROW 100 TILEPROP INT_FEEDTHRU_2_X37Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y56 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y56 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y56 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y56 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_2_X37Y56 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y57 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y57 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_2_X37Y57 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_2_X37Y57 INDEX 11422 TILEPROP INT_FEEDTHRU_2_X37Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y57 NAME INT_FEEDTHRU_2_X37Y57 TILEPROP INT_FEEDTHRU_2_X37Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y57 ROW 99 TILEPROP INT_FEEDTHRU_2_X37Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y57 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y57 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y57 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y57 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_2_X37Y57 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y58 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y58 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_2_X37Y58 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_2_X37Y58 INDEX 11307 TILEPROP INT_FEEDTHRU_2_X37Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y58 NAME INT_FEEDTHRU_2_X37Y58 TILEPROP INT_FEEDTHRU_2_X37Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y58 ROW 98 TILEPROP INT_FEEDTHRU_2_X37Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y58 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y58 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y58 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y58 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_2_X37Y58 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y59 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y59 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_2_X37Y59 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_2_X37Y59 INDEX 11192 TILEPROP INT_FEEDTHRU_2_X37Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y59 NAME INT_FEEDTHRU_2_X37Y59 TILEPROP INT_FEEDTHRU_2_X37Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y59 ROW 97 TILEPROP INT_FEEDTHRU_2_X37Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y59 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y59 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y59 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y59 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_2_X37Y59 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y60 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y60 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_2_X37Y60 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_2_X37Y60 INDEX 11077 TILEPROP INT_FEEDTHRU_2_X37Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y60 NAME INT_FEEDTHRU_2_X37Y60 TILEPROP INT_FEEDTHRU_2_X37Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y60 ROW 96 TILEPROP INT_FEEDTHRU_2_X37Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y60 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y60 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y60 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y60 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_2_X37Y60 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y61 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y61 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_2_X37Y61 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_2_X37Y61 INDEX 10962 TILEPROP INT_FEEDTHRU_2_X37Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y61 NAME INT_FEEDTHRU_2_X37Y61 TILEPROP INT_FEEDTHRU_2_X37Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y61 ROW 95 TILEPROP INT_FEEDTHRU_2_X37Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y61 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y61 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y61 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y61 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_2_X37Y61 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y62 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y62 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_2_X37Y62 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_2_X37Y62 INDEX 10847 TILEPROP INT_FEEDTHRU_2_X37Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y62 NAME INT_FEEDTHRU_2_X37Y62 TILEPROP INT_FEEDTHRU_2_X37Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y62 ROW 94 TILEPROP INT_FEEDTHRU_2_X37Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y62 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y62 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y62 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y62 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_2_X37Y62 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y63 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y63 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_2_X37Y63 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_2_X37Y63 INDEX 10732 TILEPROP INT_FEEDTHRU_2_X37Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y63 NAME INT_FEEDTHRU_2_X37Y63 TILEPROP INT_FEEDTHRU_2_X37Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y63 ROW 93 TILEPROP INT_FEEDTHRU_2_X37Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y63 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y63 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y63 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y63 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_2_X37Y63 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y64 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y64 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_2_X37Y64 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_2_X37Y64 INDEX 10617 TILEPROP INT_FEEDTHRU_2_X37Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y64 NAME INT_FEEDTHRU_2_X37Y64 TILEPROP INT_FEEDTHRU_2_X37Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y64 ROW 92 TILEPROP INT_FEEDTHRU_2_X37Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y64 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y64 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y64 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y64 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_2_X37Y64 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y65 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y65 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_2_X37Y65 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_2_X37Y65 INDEX 10502 TILEPROP INT_FEEDTHRU_2_X37Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y65 NAME INT_FEEDTHRU_2_X37Y65 TILEPROP INT_FEEDTHRU_2_X37Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y65 ROW 91 TILEPROP INT_FEEDTHRU_2_X37Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y65 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y65 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y65 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y65 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_2_X37Y65 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y66 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y66 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_2_X37Y66 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_2_X37Y66 INDEX 10387 TILEPROP INT_FEEDTHRU_2_X37Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y66 NAME INT_FEEDTHRU_2_X37Y66 TILEPROP INT_FEEDTHRU_2_X37Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y66 ROW 90 TILEPROP INT_FEEDTHRU_2_X37Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y66 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y66 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y66 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y66 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_2_X37Y66 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y67 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y67 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_2_X37Y67 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_2_X37Y67 INDEX 10272 TILEPROP INT_FEEDTHRU_2_X37Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y67 NAME INT_FEEDTHRU_2_X37Y67 TILEPROP INT_FEEDTHRU_2_X37Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y67 ROW 89 TILEPROP INT_FEEDTHRU_2_X37Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y67 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y67 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y67 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y67 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_2_X37Y67 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y68 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y68 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_2_X37Y68 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_2_X37Y68 INDEX 10157 TILEPROP INT_FEEDTHRU_2_X37Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y68 NAME INT_FEEDTHRU_2_X37Y68 TILEPROP INT_FEEDTHRU_2_X37Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y68 ROW 88 TILEPROP INT_FEEDTHRU_2_X37Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y68 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y68 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y68 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y68 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_2_X37Y68 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y69 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y69 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_2_X37Y69 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_2_X37Y69 INDEX 10042 TILEPROP INT_FEEDTHRU_2_X37Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y69 NAME INT_FEEDTHRU_2_X37Y69 TILEPROP INT_FEEDTHRU_2_X37Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y69 ROW 87 TILEPROP INT_FEEDTHRU_2_X37Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y69 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y69 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y69 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y69 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_2_X37Y69 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y70 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y70 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_2_X37Y70 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_2_X37Y70 INDEX 9927 TILEPROP INT_FEEDTHRU_2_X37Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y70 NAME INT_FEEDTHRU_2_X37Y70 TILEPROP INT_FEEDTHRU_2_X37Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y70 ROW 86 TILEPROP INT_FEEDTHRU_2_X37Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y70 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y70 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y70 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y70 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_2_X37Y70 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y71 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y71 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_2_X37Y71 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_2_X37Y71 INDEX 9812 TILEPROP INT_FEEDTHRU_2_X37Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y71 NAME INT_FEEDTHRU_2_X37Y71 TILEPROP INT_FEEDTHRU_2_X37Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y71 ROW 85 TILEPROP INT_FEEDTHRU_2_X37Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y71 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y71 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y71 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y71 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_2_X37Y71 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y72 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y72 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_2_X37Y72 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_2_X37Y72 INDEX 9697 TILEPROP INT_FEEDTHRU_2_X37Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y72 NAME INT_FEEDTHRU_2_X37Y72 TILEPROP INT_FEEDTHRU_2_X37Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y72 ROW 84 TILEPROP INT_FEEDTHRU_2_X37Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y72 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y72 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y72 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y72 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_2_X37Y72 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y73 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y73 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_2_X37Y73 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_2_X37Y73 INDEX 9582 TILEPROP INT_FEEDTHRU_2_X37Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y73 NAME INT_FEEDTHRU_2_X37Y73 TILEPROP INT_FEEDTHRU_2_X37Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y73 ROW 83 TILEPROP INT_FEEDTHRU_2_X37Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y73 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y73 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y73 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y73 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_2_X37Y73 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y74 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y74 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_2_X37Y74 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_2_X37Y74 INDEX 9467 TILEPROP INT_FEEDTHRU_2_X37Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y74 NAME INT_FEEDTHRU_2_X37Y74 TILEPROP INT_FEEDTHRU_2_X37Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y74 ROW 82 TILEPROP INT_FEEDTHRU_2_X37Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y74 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y74 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y74 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y74 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_2_X37Y74 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y75 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y75 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_2_X37Y75 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_2_X37Y75 INDEX 9352 TILEPROP INT_FEEDTHRU_2_X37Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y75 NAME INT_FEEDTHRU_2_X37Y75 TILEPROP INT_FEEDTHRU_2_X37Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y75 ROW 81 TILEPROP INT_FEEDTHRU_2_X37Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y75 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y75 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y75 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y75 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_2_X37Y75 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y76 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y76 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_2_X37Y76 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_2_X37Y76 INDEX 9237 TILEPROP INT_FEEDTHRU_2_X37Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y76 NAME INT_FEEDTHRU_2_X37Y76 TILEPROP INT_FEEDTHRU_2_X37Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y76 ROW 80 TILEPROP INT_FEEDTHRU_2_X37Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y76 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y76 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y76 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y76 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_2_X37Y76 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y77 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y77 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_2_X37Y77 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_2_X37Y77 INDEX 9122 TILEPROP INT_FEEDTHRU_2_X37Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y77 NAME INT_FEEDTHRU_2_X37Y77 TILEPROP INT_FEEDTHRU_2_X37Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y77 ROW 79 TILEPROP INT_FEEDTHRU_2_X37Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y77 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y77 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y77 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y77 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_2_X37Y77 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y79 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y79 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_2_X37Y79 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_2_X37Y79 INDEX 8892 TILEPROP INT_FEEDTHRU_2_X37Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y79 NAME INT_FEEDTHRU_2_X37Y79 TILEPROP INT_FEEDTHRU_2_X37Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y79 ROW 77 TILEPROP INT_FEEDTHRU_2_X37Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y79 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y79 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y79 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y79 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_2_X37Y79 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y80 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y80 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_2_X37Y80 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_2_X37Y80 INDEX 8777 TILEPROP INT_FEEDTHRU_2_X37Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y80 NAME INT_FEEDTHRU_2_X37Y80 TILEPROP INT_FEEDTHRU_2_X37Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y80 ROW 76 TILEPROP INT_FEEDTHRU_2_X37Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y80 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y80 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y80 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y80 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_2_X37Y80 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y81 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y81 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_2_X37Y81 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_2_X37Y81 INDEX 8662 TILEPROP INT_FEEDTHRU_2_X37Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y81 NAME INT_FEEDTHRU_2_X37Y81 TILEPROP INT_FEEDTHRU_2_X37Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y81 ROW 75 TILEPROP INT_FEEDTHRU_2_X37Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y81 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y81 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y81 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y81 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_2_X37Y81 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y82 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y82 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_2_X37Y82 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_2_X37Y82 INDEX 8547 TILEPROP INT_FEEDTHRU_2_X37Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y82 NAME INT_FEEDTHRU_2_X37Y82 TILEPROP INT_FEEDTHRU_2_X37Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y82 ROW 74 TILEPROP INT_FEEDTHRU_2_X37Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y82 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y82 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y82 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y82 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_2_X37Y82 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y83 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y83 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_2_X37Y83 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_2_X37Y83 INDEX 8432 TILEPROP INT_FEEDTHRU_2_X37Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y83 NAME INT_FEEDTHRU_2_X37Y83 TILEPROP INT_FEEDTHRU_2_X37Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y83 ROW 73 TILEPROP INT_FEEDTHRU_2_X37Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y83 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y83 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y83 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y83 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_2_X37Y83 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y84 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y84 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_2_X37Y84 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_2_X37Y84 INDEX 8317 TILEPROP INT_FEEDTHRU_2_X37Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y84 NAME INT_FEEDTHRU_2_X37Y84 TILEPROP INT_FEEDTHRU_2_X37Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y84 ROW 72 TILEPROP INT_FEEDTHRU_2_X37Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y84 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y84 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y84 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y84 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_2_X37Y84 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y85 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y85 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_2_X37Y85 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_2_X37Y85 INDEX 8202 TILEPROP INT_FEEDTHRU_2_X37Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y85 NAME INT_FEEDTHRU_2_X37Y85 TILEPROP INT_FEEDTHRU_2_X37Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y85 ROW 71 TILEPROP INT_FEEDTHRU_2_X37Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y85 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y85 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y85 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y85 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_2_X37Y85 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y86 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y86 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_2_X37Y86 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_2_X37Y86 INDEX 8087 TILEPROP INT_FEEDTHRU_2_X37Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y86 NAME INT_FEEDTHRU_2_X37Y86 TILEPROP INT_FEEDTHRU_2_X37Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y86 ROW 70 TILEPROP INT_FEEDTHRU_2_X37Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y86 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y86 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y86 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y86 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_2_X37Y86 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y87 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y87 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_2_X37Y87 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_2_X37Y87 INDEX 7972 TILEPROP INT_FEEDTHRU_2_X37Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y87 NAME INT_FEEDTHRU_2_X37Y87 TILEPROP INT_FEEDTHRU_2_X37Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y87 ROW 69 TILEPROP INT_FEEDTHRU_2_X37Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y87 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y87 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y87 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y87 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_2_X37Y87 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y88 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y88 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_2_X37Y88 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_2_X37Y88 INDEX 7857 TILEPROP INT_FEEDTHRU_2_X37Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y88 NAME INT_FEEDTHRU_2_X37Y88 TILEPROP INT_FEEDTHRU_2_X37Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y88 ROW 68 TILEPROP INT_FEEDTHRU_2_X37Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y88 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y88 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y88 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y88 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_2_X37Y88 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y89 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y89 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_2_X37Y89 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_2_X37Y89 INDEX 7742 TILEPROP INT_FEEDTHRU_2_X37Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y89 NAME INT_FEEDTHRU_2_X37Y89 TILEPROP INT_FEEDTHRU_2_X37Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y89 ROW 67 TILEPROP INT_FEEDTHRU_2_X37Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y89 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y89 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y89 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y89 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_2_X37Y89 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y90 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y90 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_2_X37Y90 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_2_X37Y90 INDEX 7627 TILEPROP INT_FEEDTHRU_2_X37Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y90 NAME INT_FEEDTHRU_2_X37Y90 TILEPROP INT_FEEDTHRU_2_X37Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y90 ROW 66 TILEPROP INT_FEEDTHRU_2_X37Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y90 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y90 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y90 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y90 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_2_X37Y90 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y91 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y91 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_2_X37Y91 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_2_X37Y91 INDEX 7512 TILEPROP INT_FEEDTHRU_2_X37Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y91 NAME INT_FEEDTHRU_2_X37Y91 TILEPROP INT_FEEDTHRU_2_X37Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y91 ROW 65 TILEPROP INT_FEEDTHRU_2_X37Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y91 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y91 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y91 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y91 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_2_X37Y91 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y92 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y92 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_2_X37Y92 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_2_X37Y92 INDEX 7397 TILEPROP INT_FEEDTHRU_2_X37Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y92 NAME INT_FEEDTHRU_2_X37Y92 TILEPROP INT_FEEDTHRU_2_X37Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y92 ROW 64 TILEPROP INT_FEEDTHRU_2_X37Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y92 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y92 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y92 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y92 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_2_X37Y92 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y93 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y93 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_2_X37Y93 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_2_X37Y93 INDEX 7282 TILEPROP INT_FEEDTHRU_2_X37Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y93 NAME INT_FEEDTHRU_2_X37Y93 TILEPROP INT_FEEDTHRU_2_X37Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y93 ROW 63 TILEPROP INT_FEEDTHRU_2_X37Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y93 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y93 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y93 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y93 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_2_X37Y93 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y94 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y94 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_2_X37Y94 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_2_X37Y94 INDEX 7167 TILEPROP INT_FEEDTHRU_2_X37Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y94 NAME INT_FEEDTHRU_2_X37Y94 TILEPROP INT_FEEDTHRU_2_X37Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y94 ROW 62 TILEPROP INT_FEEDTHRU_2_X37Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y94 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y94 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y94 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y94 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_2_X37Y94 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y95 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y95 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_2_X37Y95 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_2_X37Y95 INDEX 7052 TILEPROP INT_FEEDTHRU_2_X37Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y95 NAME INT_FEEDTHRU_2_X37Y95 TILEPROP INT_FEEDTHRU_2_X37Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y95 ROW 61 TILEPROP INT_FEEDTHRU_2_X37Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y95 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y95 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y95 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y95 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_2_X37Y95 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y96 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y96 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_2_X37Y96 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_2_X37Y96 INDEX 6937 TILEPROP INT_FEEDTHRU_2_X37Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y96 NAME INT_FEEDTHRU_2_X37Y96 TILEPROP INT_FEEDTHRU_2_X37Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y96 ROW 60 TILEPROP INT_FEEDTHRU_2_X37Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y96 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y96 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y96 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y96 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_2_X37Y96 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y97 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y97 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_2_X37Y97 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_2_X37Y97 INDEX 6822 TILEPROP INT_FEEDTHRU_2_X37Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y97 NAME INT_FEEDTHRU_2_X37Y97 TILEPROP INT_FEEDTHRU_2_X37Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y97 ROW 59 TILEPROP INT_FEEDTHRU_2_X37Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y97 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y97 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y97 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y97 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_2_X37Y97 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y98 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y98 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_2_X37Y98 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_2_X37Y98 INDEX 6707 TILEPROP INT_FEEDTHRU_2_X37Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y98 NAME INT_FEEDTHRU_2_X37Y98 TILEPROP INT_FEEDTHRU_2_X37Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y98 ROW 58 TILEPROP INT_FEEDTHRU_2_X37Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y98 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y98 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y98 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y98 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_2_X37Y98 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y99 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y99 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_2_X37Y99 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_2_X37Y99 INDEX 6592 TILEPROP INT_FEEDTHRU_2_X37Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y99 NAME INT_FEEDTHRU_2_X37Y99 TILEPROP INT_FEEDTHRU_2_X37Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y99 ROW 57 TILEPROP INT_FEEDTHRU_2_X37Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y99 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y99 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y99 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y99 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_2_X37Y99 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y100 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y100 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_2_X37Y100 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_2_X37Y100 INDEX 6477 TILEPROP INT_FEEDTHRU_2_X37Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y100 NAME INT_FEEDTHRU_2_X37Y100 TILEPROP INT_FEEDTHRU_2_X37Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y100 ROW 56 TILEPROP INT_FEEDTHRU_2_X37Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y100 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y100 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y100 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y100 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_2_X37Y100 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y101 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y101 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_2_X37Y101 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_2_X37Y101 INDEX 6362 TILEPROP INT_FEEDTHRU_2_X37Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y101 NAME INT_FEEDTHRU_2_X37Y101 TILEPROP INT_FEEDTHRU_2_X37Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y101 ROW 55 TILEPROP INT_FEEDTHRU_2_X37Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y101 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y101 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y101 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y101 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_2_X37Y101 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y102 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y102 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_2_X37Y102 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_2_X37Y102 INDEX 6247 TILEPROP INT_FEEDTHRU_2_X37Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y102 NAME INT_FEEDTHRU_2_X37Y102 TILEPROP INT_FEEDTHRU_2_X37Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y102 ROW 54 TILEPROP INT_FEEDTHRU_2_X37Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y102 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y102 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y102 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y102 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_2_X37Y102 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y103 CLASS tile TILEPROP INT_FEEDTHRU_2_X37Y103 COLUMN 37 TILEPROP INT_FEEDTHRU_2_X37Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_2_X37Y103 GRID_POINT_X 37 TILEPROP INT_FEEDTHRU_2_X37Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_2_X37Y103 INDEX 6132 TILEPROP INT_FEEDTHRU_2_X37Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X37Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X37Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X37Y103 NAME INT_FEEDTHRU_2_X37Y103 TILEPROP INT_FEEDTHRU_2_X37Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X37Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X37Y103 ROW 53 TILEPROP INT_FEEDTHRU_2_X37Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X37Y103 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X37Y103 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X37Y103 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X37Y103 TILE_X -35768 TILEPROP INT_FEEDTHRU_2_X37Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_2_X37Y103 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y1 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y1 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_2_X40Y1 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_2_X40Y1 INDEX 17865 TILEPROP INT_FEEDTHRU_2_X40Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y1 NAME INT_FEEDTHRU_2_X40Y1 TILEPROP INT_FEEDTHRU_2_X40Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y1 ROW 155 TILEPROP INT_FEEDTHRU_2_X40Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y1 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y1 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y1 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y1 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_2_X40Y1 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y2 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y2 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_2_X40Y2 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_2_X40Y2 INDEX 17750 TILEPROP INT_FEEDTHRU_2_X40Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y2 NAME INT_FEEDTHRU_2_X40Y2 TILEPROP INT_FEEDTHRU_2_X40Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y2 ROW 154 TILEPROP INT_FEEDTHRU_2_X40Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y2 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y2 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y2 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y2 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_2_X40Y2 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y3 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y3 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_2_X40Y3 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_2_X40Y3 INDEX 17635 TILEPROP INT_FEEDTHRU_2_X40Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y3 NAME INT_FEEDTHRU_2_X40Y3 TILEPROP INT_FEEDTHRU_2_X40Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y3 ROW 153 TILEPROP INT_FEEDTHRU_2_X40Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y3 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y3 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y3 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y3 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_2_X40Y3 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y4 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y4 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_2_X40Y4 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_2_X40Y4 INDEX 17520 TILEPROP INT_FEEDTHRU_2_X40Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y4 NAME INT_FEEDTHRU_2_X40Y4 TILEPROP INT_FEEDTHRU_2_X40Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y4 ROW 152 TILEPROP INT_FEEDTHRU_2_X40Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y4 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y4 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y4 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y4 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_2_X40Y4 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y5 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y5 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_2_X40Y5 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_2_X40Y5 INDEX 17405 TILEPROP INT_FEEDTHRU_2_X40Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y5 NAME INT_FEEDTHRU_2_X40Y5 TILEPROP INT_FEEDTHRU_2_X40Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y5 ROW 151 TILEPROP INT_FEEDTHRU_2_X40Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y5 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y5 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y5 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y5 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_2_X40Y5 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y6 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y6 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_2_X40Y6 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_2_X40Y6 INDEX 17290 TILEPROP INT_FEEDTHRU_2_X40Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y6 NAME INT_FEEDTHRU_2_X40Y6 TILEPROP INT_FEEDTHRU_2_X40Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y6 ROW 150 TILEPROP INT_FEEDTHRU_2_X40Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y6 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y6 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y6 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y6 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_2_X40Y6 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y7 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y7 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_2_X40Y7 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_2_X40Y7 INDEX 17175 TILEPROP INT_FEEDTHRU_2_X40Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y7 NAME INT_FEEDTHRU_2_X40Y7 TILEPROP INT_FEEDTHRU_2_X40Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y7 ROW 149 TILEPROP INT_FEEDTHRU_2_X40Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y7 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y7 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y7 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y7 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_2_X40Y7 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y8 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y8 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_2_X40Y8 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_2_X40Y8 INDEX 17060 TILEPROP INT_FEEDTHRU_2_X40Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y8 NAME INT_FEEDTHRU_2_X40Y8 TILEPROP INT_FEEDTHRU_2_X40Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y8 ROW 148 TILEPROP INT_FEEDTHRU_2_X40Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y8 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y8 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y8 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y8 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_2_X40Y8 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y9 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y9 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_2_X40Y9 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_2_X40Y9 INDEX 16945 TILEPROP INT_FEEDTHRU_2_X40Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y9 NAME INT_FEEDTHRU_2_X40Y9 TILEPROP INT_FEEDTHRU_2_X40Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y9 ROW 147 TILEPROP INT_FEEDTHRU_2_X40Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y9 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y9 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y9 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y9 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_2_X40Y9 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y10 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y10 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_2_X40Y10 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_2_X40Y10 INDEX 16830 TILEPROP INT_FEEDTHRU_2_X40Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y10 NAME INT_FEEDTHRU_2_X40Y10 TILEPROP INT_FEEDTHRU_2_X40Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y10 ROW 146 TILEPROP INT_FEEDTHRU_2_X40Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y10 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y10 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y10 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y10 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_2_X40Y10 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y11 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y11 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_2_X40Y11 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_2_X40Y11 INDEX 16715 TILEPROP INT_FEEDTHRU_2_X40Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y11 NAME INT_FEEDTHRU_2_X40Y11 TILEPROP INT_FEEDTHRU_2_X40Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y11 ROW 145 TILEPROP INT_FEEDTHRU_2_X40Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y11 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y11 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y11 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y11 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_2_X40Y11 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y12 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y12 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_2_X40Y12 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_2_X40Y12 INDEX 16600 TILEPROP INT_FEEDTHRU_2_X40Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y12 NAME INT_FEEDTHRU_2_X40Y12 TILEPROP INT_FEEDTHRU_2_X40Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y12 ROW 144 TILEPROP INT_FEEDTHRU_2_X40Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y12 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y12 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y12 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y12 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_2_X40Y12 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y13 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y13 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_2_X40Y13 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_2_X40Y13 INDEX 16485 TILEPROP INT_FEEDTHRU_2_X40Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y13 NAME INT_FEEDTHRU_2_X40Y13 TILEPROP INT_FEEDTHRU_2_X40Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y13 ROW 143 TILEPROP INT_FEEDTHRU_2_X40Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y13 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y13 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y13 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y13 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_2_X40Y13 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y14 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y14 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_2_X40Y14 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_2_X40Y14 INDEX 16370 TILEPROP INT_FEEDTHRU_2_X40Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y14 NAME INT_FEEDTHRU_2_X40Y14 TILEPROP INT_FEEDTHRU_2_X40Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y14 ROW 142 TILEPROP INT_FEEDTHRU_2_X40Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y14 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y14 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y14 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y14 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_2_X40Y14 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y15 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y15 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_2_X40Y15 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_2_X40Y15 INDEX 16255 TILEPROP INT_FEEDTHRU_2_X40Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y15 NAME INT_FEEDTHRU_2_X40Y15 TILEPROP INT_FEEDTHRU_2_X40Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y15 ROW 141 TILEPROP INT_FEEDTHRU_2_X40Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y15 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y15 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y15 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y15 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_2_X40Y15 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y16 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y16 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_2_X40Y16 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_2_X40Y16 INDEX 16140 TILEPROP INT_FEEDTHRU_2_X40Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y16 NAME INT_FEEDTHRU_2_X40Y16 TILEPROP INT_FEEDTHRU_2_X40Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y16 ROW 140 TILEPROP INT_FEEDTHRU_2_X40Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y16 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y16 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y16 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y16 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_2_X40Y16 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y17 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y17 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_2_X40Y17 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_2_X40Y17 INDEX 16025 TILEPROP INT_FEEDTHRU_2_X40Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y17 NAME INT_FEEDTHRU_2_X40Y17 TILEPROP INT_FEEDTHRU_2_X40Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y17 ROW 139 TILEPROP INT_FEEDTHRU_2_X40Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y17 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y17 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y17 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y17 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_2_X40Y17 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y18 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y18 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_2_X40Y18 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_2_X40Y18 INDEX 15910 TILEPROP INT_FEEDTHRU_2_X40Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y18 NAME INT_FEEDTHRU_2_X40Y18 TILEPROP INT_FEEDTHRU_2_X40Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y18 ROW 138 TILEPROP INT_FEEDTHRU_2_X40Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y18 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y18 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y18 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y18 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_2_X40Y18 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y19 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y19 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_2_X40Y19 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_2_X40Y19 INDEX 15795 TILEPROP INT_FEEDTHRU_2_X40Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y19 NAME INT_FEEDTHRU_2_X40Y19 TILEPROP INT_FEEDTHRU_2_X40Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y19 ROW 137 TILEPROP INT_FEEDTHRU_2_X40Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y19 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y19 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y19 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y19 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_2_X40Y19 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y20 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y20 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_2_X40Y20 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_2_X40Y20 INDEX 15680 TILEPROP INT_FEEDTHRU_2_X40Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y20 NAME INT_FEEDTHRU_2_X40Y20 TILEPROP INT_FEEDTHRU_2_X40Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y20 ROW 136 TILEPROP INT_FEEDTHRU_2_X40Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y20 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y20 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y20 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y20 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_2_X40Y20 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y21 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y21 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_2_X40Y21 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_2_X40Y21 INDEX 15565 TILEPROP INT_FEEDTHRU_2_X40Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y21 NAME INT_FEEDTHRU_2_X40Y21 TILEPROP INT_FEEDTHRU_2_X40Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y21 ROW 135 TILEPROP INT_FEEDTHRU_2_X40Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y21 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y21 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y21 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y21 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_2_X40Y21 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y22 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y22 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_2_X40Y22 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_2_X40Y22 INDEX 15450 TILEPROP INT_FEEDTHRU_2_X40Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y22 NAME INT_FEEDTHRU_2_X40Y22 TILEPROP INT_FEEDTHRU_2_X40Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y22 ROW 134 TILEPROP INT_FEEDTHRU_2_X40Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y22 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y22 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y22 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y22 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_2_X40Y22 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y23 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y23 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_2_X40Y23 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_2_X40Y23 INDEX 15335 TILEPROP INT_FEEDTHRU_2_X40Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y23 NAME INT_FEEDTHRU_2_X40Y23 TILEPROP INT_FEEDTHRU_2_X40Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y23 ROW 133 TILEPROP INT_FEEDTHRU_2_X40Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y23 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y23 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y23 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y23 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_2_X40Y23 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y24 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y24 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_2_X40Y24 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_2_X40Y24 INDEX 15220 TILEPROP INT_FEEDTHRU_2_X40Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y24 NAME INT_FEEDTHRU_2_X40Y24 TILEPROP INT_FEEDTHRU_2_X40Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y24 ROW 132 TILEPROP INT_FEEDTHRU_2_X40Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y24 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y24 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y24 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y24 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_2_X40Y24 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y25 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y25 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_2_X40Y25 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_2_X40Y25 INDEX 15105 TILEPROP INT_FEEDTHRU_2_X40Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y25 NAME INT_FEEDTHRU_2_X40Y25 TILEPROP INT_FEEDTHRU_2_X40Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y25 ROW 131 TILEPROP INT_FEEDTHRU_2_X40Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y25 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y25 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y25 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y25 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_2_X40Y25 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y27 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y27 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_2_X40Y27 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_2_X40Y27 INDEX 14875 TILEPROP INT_FEEDTHRU_2_X40Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y27 NAME INT_FEEDTHRU_2_X40Y27 TILEPROP INT_FEEDTHRU_2_X40Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y27 ROW 129 TILEPROP INT_FEEDTHRU_2_X40Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y27 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y27 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y27 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y27 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_2_X40Y27 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y28 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y28 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_2_X40Y28 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_2_X40Y28 INDEX 14760 TILEPROP INT_FEEDTHRU_2_X40Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y28 NAME INT_FEEDTHRU_2_X40Y28 TILEPROP INT_FEEDTHRU_2_X40Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y28 ROW 128 TILEPROP INT_FEEDTHRU_2_X40Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y28 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y28 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y28 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y28 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_2_X40Y28 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y29 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y29 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_2_X40Y29 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_2_X40Y29 INDEX 14645 TILEPROP INT_FEEDTHRU_2_X40Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y29 NAME INT_FEEDTHRU_2_X40Y29 TILEPROP INT_FEEDTHRU_2_X40Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y29 ROW 127 TILEPROP INT_FEEDTHRU_2_X40Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y29 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y29 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y29 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y29 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_2_X40Y29 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y30 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y30 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_2_X40Y30 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_2_X40Y30 INDEX 14530 TILEPROP INT_FEEDTHRU_2_X40Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y30 NAME INT_FEEDTHRU_2_X40Y30 TILEPROP INT_FEEDTHRU_2_X40Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y30 ROW 126 TILEPROP INT_FEEDTHRU_2_X40Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y30 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y30 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y30 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y30 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_2_X40Y30 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y31 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y31 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_2_X40Y31 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_2_X40Y31 INDEX 14415 TILEPROP INT_FEEDTHRU_2_X40Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y31 NAME INT_FEEDTHRU_2_X40Y31 TILEPROP INT_FEEDTHRU_2_X40Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y31 ROW 125 TILEPROP INT_FEEDTHRU_2_X40Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y31 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y31 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y31 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y31 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_2_X40Y31 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y32 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y32 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_2_X40Y32 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_2_X40Y32 INDEX 14300 TILEPROP INT_FEEDTHRU_2_X40Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y32 NAME INT_FEEDTHRU_2_X40Y32 TILEPROP INT_FEEDTHRU_2_X40Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y32 ROW 124 TILEPROP INT_FEEDTHRU_2_X40Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y32 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y32 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y32 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y32 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_2_X40Y32 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y33 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y33 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_2_X40Y33 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_2_X40Y33 INDEX 14185 TILEPROP INT_FEEDTHRU_2_X40Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y33 NAME INT_FEEDTHRU_2_X40Y33 TILEPROP INT_FEEDTHRU_2_X40Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y33 ROW 123 TILEPROP INT_FEEDTHRU_2_X40Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y33 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y33 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y33 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y33 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_2_X40Y33 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y34 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y34 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_2_X40Y34 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_2_X40Y34 INDEX 14070 TILEPROP INT_FEEDTHRU_2_X40Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y34 NAME INT_FEEDTHRU_2_X40Y34 TILEPROP INT_FEEDTHRU_2_X40Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y34 ROW 122 TILEPROP INT_FEEDTHRU_2_X40Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y34 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y34 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y34 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y34 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_2_X40Y34 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y35 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y35 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_2_X40Y35 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_2_X40Y35 INDEX 13955 TILEPROP INT_FEEDTHRU_2_X40Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y35 NAME INT_FEEDTHRU_2_X40Y35 TILEPROP INT_FEEDTHRU_2_X40Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y35 ROW 121 TILEPROP INT_FEEDTHRU_2_X40Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y35 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y35 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y35 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y35 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_2_X40Y35 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y36 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y36 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_2_X40Y36 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_2_X40Y36 INDEX 13840 TILEPROP INT_FEEDTHRU_2_X40Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y36 NAME INT_FEEDTHRU_2_X40Y36 TILEPROP INT_FEEDTHRU_2_X40Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y36 ROW 120 TILEPROP INT_FEEDTHRU_2_X40Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y36 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y36 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y36 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y36 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_2_X40Y36 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y37 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y37 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_2_X40Y37 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_2_X40Y37 INDEX 13725 TILEPROP INT_FEEDTHRU_2_X40Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y37 NAME INT_FEEDTHRU_2_X40Y37 TILEPROP INT_FEEDTHRU_2_X40Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y37 ROW 119 TILEPROP INT_FEEDTHRU_2_X40Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y37 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y37 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y37 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y37 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_2_X40Y37 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y38 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y38 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_2_X40Y38 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_2_X40Y38 INDEX 13610 TILEPROP INT_FEEDTHRU_2_X40Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y38 NAME INT_FEEDTHRU_2_X40Y38 TILEPROP INT_FEEDTHRU_2_X40Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y38 ROW 118 TILEPROP INT_FEEDTHRU_2_X40Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y38 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y38 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y38 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y38 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_2_X40Y38 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y39 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y39 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_2_X40Y39 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_2_X40Y39 INDEX 13495 TILEPROP INT_FEEDTHRU_2_X40Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y39 NAME INT_FEEDTHRU_2_X40Y39 TILEPROP INT_FEEDTHRU_2_X40Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y39 ROW 117 TILEPROP INT_FEEDTHRU_2_X40Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y39 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y39 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y39 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y39 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_2_X40Y39 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y40 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y40 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_2_X40Y40 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_2_X40Y40 INDEX 13380 TILEPROP INT_FEEDTHRU_2_X40Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y40 NAME INT_FEEDTHRU_2_X40Y40 TILEPROP INT_FEEDTHRU_2_X40Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y40 ROW 116 TILEPROP INT_FEEDTHRU_2_X40Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y40 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y40 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y40 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y40 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_2_X40Y40 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y41 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y41 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_2_X40Y41 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_2_X40Y41 INDEX 13265 TILEPROP INT_FEEDTHRU_2_X40Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y41 NAME INT_FEEDTHRU_2_X40Y41 TILEPROP INT_FEEDTHRU_2_X40Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y41 ROW 115 TILEPROP INT_FEEDTHRU_2_X40Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y41 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y41 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y41 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y41 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_2_X40Y41 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y42 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y42 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_2_X40Y42 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_2_X40Y42 INDEX 13150 TILEPROP INT_FEEDTHRU_2_X40Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y42 NAME INT_FEEDTHRU_2_X40Y42 TILEPROP INT_FEEDTHRU_2_X40Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y42 ROW 114 TILEPROP INT_FEEDTHRU_2_X40Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y42 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y42 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y42 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y42 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_2_X40Y42 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y43 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y43 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_2_X40Y43 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_2_X40Y43 INDEX 13035 TILEPROP INT_FEEDTHRU_2_X40Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y43 NAME INT_FEEDTHRU_2_X40Y43 TILEPROP INT_FEEDTHRU_2_X40Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y43 ROW 113 TILEPROP INT_FEEDTHRU_2_X40Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y43 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y43 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y43 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y43 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_2_X40Y43 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y44 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y44 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_2_X40Y44 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_2_X40Y44 INDEX 12920 TILEPROP INT_FEEDTHRU_2_X40Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y44 NAME INT_FEEDTHRU_2_X40Y44 TILEPROP INT_FEEDTHRU_2_X40Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y44 ROW 112 TILEPROP INT_FEEDTHRU_2_X40Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y44 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y44 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y44 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y44 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_2_X40Y44 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y45 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y45 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_2_X40Y45 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_2_X40Y45 INDEX 12805 TILEPROP INT_FEEDTHRU_2_X40Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y45 NAME INT_FEEDTHRU_2_X40Y45 TILEPROP INT_FEEDTHRU_2_X40Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y45 ROW 111 TILEPROP INT_FEEDTHRU_2_X40Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y45 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y45 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y45 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y45 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_2_X40Y45 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y46 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y46 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_2_X40Y46 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_2_X40Y46 INDEX 12690 TILEPROP INT_FEEDTHRU_2_X40Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y46 NAME INT_FEEDTHRU_2_X40Y46 TILEPROP INT_FEEDTHRU_2_X40Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y46 ROW 110 TILEPROP INT_FEEDTHRU_2_X40Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y46 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y46 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y46 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y46 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_2_X40Y46 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y47 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y47 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_2_X40Y47 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_2_X40Y47 INDEX 12575 TILEPROP INT_FEEDTHRU_2_X40Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y47 NAME INT_FEEDTHRU_2_X40Y47 TILEPROP INT_FEEDTHRU_2_X40Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y47 ROW 109 TILEPROP INT_FEEDTHRU_2_X40Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y47 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y47 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y47 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y47 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_2_X40Y47 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y48 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y48 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_2_X40Y48 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_2_X40Y48 INDEX 12460 TILEPROP INT_FEEDTHRU_2_X40Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y48 NAME INT_FEEDTHRU_2_X40Y48 TILEPROP INT_FEEDTHRU_2_X40Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y48 ROW 108 TILEPROP INT_FEEDTHRU_2_X40Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y48 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y48 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y48 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y48 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_2_X40Y48 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y49 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y49 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_2_X40Y49 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_2_X40Y49 INDEX 12345 TILEPROP INT_FEEDTHRU_2_X40Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y49 NAME INT_FEEDTHRU_2_X40Y49 TILEPROP INT_FEEDTHRU_2_X40Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y49 ROW 107 TILEPROP INT_FEEDTHRU_2_X40Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y49 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y49 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y49 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y49 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_2_X40Y49 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y50 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y50 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_2_X40Y50 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_2_X40Y50 INDEX 12230 TILEPROP INT_FEEDTHRU_2_X40Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y50 NAME INT_FEEDTHRU_2_X40Y50 TILEPROP INT_FEEDTHRU_2_X40Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y50 ROW 106 TILEPROP INT_FEEDTHRU_2_X40Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y50 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y50 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y50 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y50 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_2_X40Y50 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y51 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y51 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_2_X40Y51 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_2_X40Y51 INDEX 12115 TILEPROP INT_FEEDTHRU_2_X40Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y51 NAME INT_FEEDTHRU_2_X40Y51 TILEPROP INT_FEEDTHRU_2_X40Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y51 ROW 105 TILEPROP INT_FEEDTHRU_2_X40Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y51 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y51 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y51 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y51 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_2_X40Y51 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y53 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y53 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_2_X40Y53 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_2_X40Y53 INDEX 11885 TILEPROP INT_FEEDTHRU_2_X40Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y53 NAME INT_FEEDTHRU_2_X40Y53 TILEPROP INT_FEEDTHRU_2_X40Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y53 ROW 103 TILEPROP INT_FEEDTHRU_2_X40Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y53 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y53 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y53 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y53 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_2_X40Y53 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y54 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y54 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_2_X40Y54 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_2_X40Y54 INDEX 11770 TILEPROP INT_FEEDTHRU_2_X40Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y54 NAME INT_FEEDTHRU_2_X40Y54 TILEPROP INT_FEEDTHRU_2_X40Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y54 ROW 102 TILEPROP INT_FEEDTHRU_2_X40Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y54 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y54 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y54 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y54 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_2_X40Y54 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y55 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y55 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_2_X40Y55 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_2_X40Y55 INDEX 11655 TILEPROP INT_FEEDTHRU_2_X40Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y55 NAME INT_FEEDTHRU_2_X40Y55 TILEPROP INT_FEEDTHRU_2_X40Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y55 ROW 101 TILEPROP INT_FEEDTHRU_2_X40Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y55 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y55 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y55 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y55 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_2_X40Y55 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y56 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y56 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_2_X40Y56 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_2_X40Y56 INDEX 11540 TILEPROP INT_FEEDTHRU_2_X40Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y56 NAME INT_FEEDTHRU_2_X40Y56 TILEPROP INT_FEEDTHRU_2_X40Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y56 ROW 100 TILEPROP INT_FEEDTHRU_2_X40Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y56 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y56 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y56 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y56 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_2_X40Y56 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y57 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y57 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_2_X40Y57 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_2_X40Y57 INDEX 11425 TILEPROP INT_FEEDTHRU_2_X40Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y57 NAME INT_FEEDTHRU_2_X40Y57 TILEPROP INT_FEEDTHRU_2_X40Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y57 ROW 99 TILEPROP INT_FEEDTHRU_2_X40Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y57 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y57 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y57 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y57 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_2_X40Y57 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y58 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y58 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_2_X40Y58 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_2_X40Y58 INDEX 11310 TILEPROP INT_FEEDTHRU_2_X40Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y58 NAME INT_FEEDTHRU_2_X40Y58 TILEPROP INT_FEEDTHRU_2_X40Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y58 ROW 98 TILEPROP INT_FEEDTHRU_2_X40Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y58 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y58 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y58 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y58 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_2_X40Y58 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y59 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y59 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_2_X40Y59 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_2_X40Y59 INDEX 11195 TILEPROP INT_FEEDTHRU_2_X40Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y59 NAME INT_FEEDTHRU_2_X40Y59 TILEPROP INT_FEEDTHRU_2_X40Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y59 ROW 97 TILEPROP INT_FEEDTHRU_2_X40Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y59 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y59 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y59 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y59 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_2_X40Y59 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y60 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y60 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_2_X40Y60 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_2_X40Y60 INDEX 11080 TILEPROP INT_FEEDTHRU_2_X40Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y60 NAME INT_FEEDTHRU_2_X40Y60 TILEPROP INT_FEEDTHRU_2_X40Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y60 ROW 96 TILEPROP INT_FEEDTHRU_2_X40Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y60 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y60 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y60 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y60 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_2_X40Y60 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y61 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y61 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_2_X40Y61 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_2_X40Y61 INDEX 10965 TILEPROP INT_FEEDTHRU_2_X40Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y61 NAME INT_FEEDTHRU_2_X40Y61 TILEPROP INT_FEEDTHRU_2_X40Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y61 ROW 95 TILEPROP INT_FEEDTHRU_2_X40Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y61 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y61 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y61 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y61 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_2_X40Y61 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y62 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y62 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_2_X40Y62 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_2_X40Y62 INDEX 10850 TILEPROP INT_FEEDTHRU_2_X40Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y62 NAME INT_FEEDTHRU_2_X40Y62 TILEPROP INT_FEEDTHRU_2_X40Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y62 ROW 94 TILEPROP INT_FEEDTHRU_2_X40Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y62 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y62 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y62 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y62 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_2_X40Y62 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y63 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y63 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_2_X40Y63 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_2_X40Y63 INDEX 10735 TILEPROP INT_FEEDTHRU_2_X40Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y63 NAME INT_FEEDTHRU_2_X40Y63 TILEPROP INT_FEEDTHRU_2_X40Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y63 ROW 93 TILEPROP INT_FEEDTHRU_2_X40Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y63 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y63 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y63 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y63 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_2_X40Y63 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y64 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y64 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_2_X40Y64 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_2_X40Y64 INDEX 10620 TILEPROP INT_FEEDTHRU_2_X40Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y64 NAME INT_FEEDTHRU_2_X40Y64 TILEPROP INT_FEEDTHRU_2_X40Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y64 ROW 92 TILEPROP INT_FEEDTHRU_2_X40Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y64 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y64 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y64 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y64 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_2_X40Y64 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y65 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y65 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_2_X40Y65 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_2_X40Y65 INDEX 10505 TILEPROP INT_FEEDTHRU_2_X40Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y65 NAME INT_FEEDTHRU_2_X40Y65 TILEPROP INT_FEEDTHRU_2_X40Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y65 ROW 91 TILEPROP INT_FEEDTHRU_2_X40Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y65 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y65 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y65 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y65 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_2_X40Y65 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y66 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y66 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_2_X40Y66 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_2_X40Y66 INDEX 10390 TILEPROP INT_FEEDTHRU_2_X40Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y66 NAME INT_FEEDTHRU_2_X40Y66 TILEPROP INT_FEEDTHRU_2_X40Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y66 ROW 90 TILEPROP INT_FEEDTHRU_2_X40Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y66 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y66 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y66 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y66 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_2_X40Y66 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y67 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y67 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_2_X40Y67 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_2_X40Y67 INDEX 10275 TILEPROP INT_FEEDTHRU_2_X40Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y67 NAME INT_FEEDTHRU_2_X40Y67 TILEPROP INT_FEEDTHRU_2_X40Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y67 ROW 89 TILEPROP INT_FEEDTHRU_2_X40Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y67 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y67 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y67 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y67 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_2_X40Y67 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y68 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y68 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_2_X40Y68 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_2_X40Y68 INDEX 10160 TILEPROP INT_FEEDTHRU_2_X40Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y68 NAME INT_FEEDTHRU_2_X40Y68 TILEPROP INT_FEEDTHRU_2_X40Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y68 ROW 88 TILEPROP INT_FEEDTHRU_2_X40Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y68 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y68 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y68 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y68 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_2_X40Y68 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y69 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y69 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_2_X40Y69 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_2_X40Y69 INDEX 10045 TILEPROP INT_FEEDTHRU_2_X40Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y69 NAME INT_FEEDTHRU_2_X40Y69 TILEPROP INT_FEEDTHRU_2_X40Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y69 ROW 87 TILEPROP INT_FEEDTHRU_2_X40Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y69 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y69 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y69 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y69 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_2_X40Y69 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y70 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y70 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_2_X40Y70 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_2_X40Y70 INDEX 9930 TILEPROP INT_FEEDTHRU_2_X40Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y70 NAME INT_FEEDTHRU_2_X40Y70 TILEPROP INT_FEEDTHRU_2_X40Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y70 ROW 86 TILEPROP INT_FEEDTHRU_2_X40Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y70 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y70 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y70 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y70 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_2_X40Y70 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y71 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y71 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_2_X40Y71 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_2_X40Y71 INDEX 9815 TILEPROP INT_FEEDTHRU_2_X40Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y71 NAME INT_FEEDTHRU_2_X40Y71 TILEPROP INT_FEEDTHRU_2_X40Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y71 ROW 85 TILEPROP INT_FEEDTHRU_2_X40Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y71 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y71 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y71 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y71 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_2_X40Y71 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y72 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y72 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_2_X40Y72 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_2_X40Y72 INDEX 9700 TILEPROP INT_FEEDTHRU_2_X40Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y72 NAME INT_FEEDTHRU_2_X40Y72 TILEPROP INT_FEEDTHRU_2_X40Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y72 ROW 84 TILEPROP INT_FEEDTHRU_2_X40Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y72 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y72 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y72 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y72 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_2_X40Y72 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y73 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y73 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_2_X40Y73 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_2_X40Y73 INDEX 9585 TILEPROP INT_FEEDTHRU_2_X40Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y73 NAME INT_FEEDTHRU_2_X40Y73 TILEPROP INT_FEEDTHRU_2_X40Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y73 ROW 83 TILEPROP INT_FEEDTHRU_2_X40Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y73 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y73 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y73 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y73 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_2_X40Y73 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y74 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y74 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_2_X40Y74 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_2_X40Y74 INDEX 9470 TILEPROP INT_FEEDTHRU_2_X40Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y74 NAME INT_FEEDTHRU_2_X40Y74 TILEPROP INT_FEEDTHRU_2_X40Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y74 ROW 82 TILEPROP INT_FEEDTHRU_2_X40Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y74 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y74 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y74 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y74 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_2_X40Y74 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y75 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y75 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_2_X40Y75 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_2_X40Y75 INDEX 9355 TILEPROP INT_FEEDTHRU_2_X40Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y75 NAME INT_FEEDTHRU_2_X40Y75 TILEPROP INT_FEEDTHRU_2_X40Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y75 ROW 81 TILEPROP INT_FEEDTHRU_2_X40Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y75 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y75 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y75 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y75 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_2_X40Y75 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y76 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y76 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_2_X40Y76 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_2_X40Y76 INDEX 9240 TILEPROP INT_FEEDTHRU_2_X40Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y76 NAME INT_FEEDTHRU_2_X40Y76 TILEPROP INT_FEEDTHRU_2_X40Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y76 ROW 80 TILEPROP INT_FEEDTHRU_2_X40Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y76 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y76 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y76 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y76 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_2_X40Y76 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y77 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y77 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_2_X40Y77 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_2_X40Y77 INDEX 9125 TILEPROP INT_FEEDTHRU_2_X40Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y77 NAME INT_FEEDTHRU_2_X40Y77 TILEPROP INT_FEEDTHRU_2_X40Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y77 ROW 79 TILEPROP INT_FEEDTHRU_2_X40Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y77 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y77 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y77 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y77 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_2_X40Y77 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y79 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y79 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_2_X40Y79 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_2_X40Y79 INDEX 8895 TILEPROP INT_FEEDTHRU_2_X40Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y79 NAME INT_FEEDTHRU_2_X40Y79 TILEPROP INT_FEEDTHRU_2_X40Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y79 ROW 77 TILEPROP INT_FEEDTHRU_2_X40Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y79 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y79 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y79 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y79 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_2_X40Y79 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y80 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y80 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_2_X40Y80 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_2_X40Y80 INDEX 8780 TILEPROP INT_FEEDTHRU_2_X40Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y80 NAME INT_FEEDTHRU_2_X40Y80 TILEPROP INT_FEEDTHRU_2_X40Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y80 ROW 76 TILEPROP INT_FEEDTHRU_2_X40Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y80 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y80 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y80 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y80 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_2_X40Y80 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y81 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y81 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_2_X40Y81 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_2_X40Y81 INDEX 8665 TILEPROP INT_FEEDTHRU_2_X40Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y81 NAME INT_FEEDTHRU_2_X40Y81 TILEPROP INT_FEEDTHRU_2_X40Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y81 ROW 75 TILEPROP INT_FEEDTHRU_2_X40Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y81 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y81 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y81 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y81 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_2_X40Y81 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y82 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y82 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_2_X40Y82 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_2_X40Y82 INDEX 8550 TILEPROP INT_FEEDTHRU_2_X40Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y82 NAME INT_FEEDTHRU_2_X40Y82 TILEPROP INT_FEEDTHRU_2_X40Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y82 ROW 74 TILEPROP INT_FEEDTHRU_2_X40Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y82 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y82 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y82 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y82 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_2_X40Y82 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y83 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y83 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_2_X40Y83 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_2_X40Y83 INDEX 8435 TILEPROP INT_FEEDTHRU_2_X40Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y83 NAME INT_FEEDTHRU_2_X40Y83 TILEPROP INT_FEEDTHRU_2_X40Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y83 ROW 73 TILEPROP INT_FEEDTHRU_2_X40Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y83 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y83 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y83 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y83 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_2_X40Y83 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y84 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y84 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_2_X40Y84 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_2_X40Y84 INDEX 8320 TILEPROP INT_FEEDTHRU_2_X40Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y84 NAME INT_FEEDTHRU_2_X40Y84 TILEPROP INT_FEEDTHRU_2_X40Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y84 ROW 72 TILEPROP INT_FEEDTHRU_2_X40Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y84 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y84 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y84 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y84 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_2_X40Y84 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y85 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y85 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_2_X40Y85 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_2_X40Y85 INDEX 8205 TILEPROP INT_FEEDTHRU_2_X40Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y85 NAME INT_FEEDTHRU_2_X40Y85 TILEPROP INT_FEEDTHRU_2_X40Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y85 ROW 71 TILEPROP INT_FEEDTHRU_2_X40Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y85 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y85 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y85 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y85 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_2_X40Y85 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y86 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y86 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_2_X40Y86 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_2_X40Y86 INDEX 8090 TILEPROP INT_FEEDTHRU_2_X40Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y86 NAME INT_FEEDTHRU_2_X40Y86 TILEPROP INT_FEEDTHRU_2_X40Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y86 ROW 70 TILEPROP INT_FEEDTHRU_2_X40Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y86 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y86 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y86 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y86 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_2_X40Y86 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y87 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y87 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_2_X40Y87 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_2_X40Y87 INDEX 7975 TILEPROP INT_FEEDTHRU_2_X40Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y87 NAME INT_FEEDTHRU_2_X40Y87 TILEPROP INT_FEEDTHRU_2_X40Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y87 ROW 69 TILEPROP INT_FEEDTHRU_2_X40Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y87 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y87 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y87 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y87 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_2_X40Y87 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y88 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y88 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_2_X40Y88 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_2_X40Y88 INDEX 7860 TILEPROP INT_FEEDTHRU_2_X40Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y88 NAME INT_FEEDTHRU_2_X40Y88 TILEPROP INT_FEEDTHRU_2_X40Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y88 ROW 68 TILEPROP INT_FEEDTHRU_2_X40Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y88 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y88 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y88 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y88 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_2_X40Y88 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y89 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y89 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_2_X40Y89 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_2_X40Y89 INDEX 7745 TILEPROP INT_FEEDTHRU_2_X40Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y89 NAME INT_FEEDTHRU_2_X40Y89 TILEPROP INT_FEEDTHRU_2_X40Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y89 ROW 67 TILEPROP INT_FEEDTHRU_2_X40Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y89 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y89 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y89 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y89 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_2_X40Y89 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y90 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y90 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_2_X40Y90 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_2_X40Y90 INDEX 7630 TILEPROP INT_FEEDTHRU_2_X40Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y90 NAME INT_FEEDTHRU_2_X40Y90 TILEPROP INT_FEEDTHRU_2_X40Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y90 ROW 66 TILEPROP INT_FEEDTHRU_2_X40Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y90 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y90 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y90 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y90 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_2_X40Y90 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y91 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y91 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_2_X40Y91 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_2_X40Y91 INDEX 7515 TILEPROP INT_FEEDTHRU_2_X40Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y91 NAME INT_FEEDTHRU_2_X40Y91 TILEPROP INT_FEEDTHRU_2_X40Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y91 ROW 65 TILEPROP INT_FEEDTHRU_2_X40Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y91 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y91 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y91 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y91 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_2_X40Y91 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y92 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y92 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_2_X40Y92 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_2_X40Y92 INDEX 7400 TILEPROP INT_FEEDTHRU_2_X40Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y92 NAME INT_FEEDTHRU_2_X40Y92 TILEPROP INT_FEEDTHRU_2_X40Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y92 ROW 64 TILEPROP INT_FEEDTHRU_2_X40Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y92 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y92 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y92 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y92 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_2_X40Y92 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y93 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y93 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_2_X40Y93 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_2_X40Y93 INDEX 7285 TILEPROP INT_FEEDTHRU_2_X40Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y93 NAME INT_FEEDTHRU_2_X40Y93 TILEPROP INT_FEEDTHRU_2_X40Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y93 ROW 63 TILEPROP INT_FEEDTHRU_2_X40Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y93 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y93 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y93 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y93 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_2_X40Y93 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y94 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y94 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_2_X40Y94 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_2_X40Y94 INDEX 7170 TILEPROP INT_FEEDTHRU_2_X40Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y94 NAME INT_FEEDTHRU_2_X40Y94 TILEPROP INT_FEEDTHRU_2_X40Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y94 ROW 62 TILEPROP INT_FEEDTHRU_2_X40Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y94 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y94 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y94 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y94 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_2_X40Y94 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y95 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y95 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_2_X40Y95 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_2_X40Y95 INDEX 7055 TILEPROP INT_FEEDTHRU_2_X40Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y95 NAME INT_FEEDTHRU_2_X40Y95 TILEPROP INT_FEEDTHRU_2_X40Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y95 ROW 61 TILEPROP INT_FEEDTHRU_2_X40Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y95 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y95 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y95 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y95 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_2_X40Y95 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y96 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y96 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_2_X40Y96 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_2_X40Y96 INDEX 6940 TILEPROP INT_FEEDTHRU_2_X40Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y96 NAME INT_FEEDTHRU_2_X40Y96 TILEPROP INT_FEEDTHRU_2_X40Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y96 ROW 60 TILEPROP INT_FEEDTHRU_2_X40Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y96 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y96 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y96 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y96 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_2_X40Y96 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y97 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y97 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_2_X40Y97 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_2_X40Y97 INDEX 6825 TILEPROP INT_FEEDTHRU_2_X40Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y97 NAME INT_FEEDTHRU_2_X40Y97 TILEPROP INT_FEEDTHRU_2_X40Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y97 ROW 59 TILEPROP INT_FEEDTHRU_2_X40Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y97 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y97 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y97 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y97 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_2_X40Y97 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y98 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y98 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_2_X40Y98 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_2_X40Y98 INDEX 6710 TILEPROP INT_FEEDTHRU_2_X40Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y98 NAME INT_FEEDTHRU_2_X40Y98 TILEPROP INT_FEEDTHRU_2_X40Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y98 ROW 58 TILEPROP INT_FEEDTHRU_2_X40Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y98 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y98 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y98 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y98 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_2_X40Y98 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y99 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y99 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_2_X40Y99 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_2_X40Y99 INDEX 6595 TILEPROP INT_FEEDTHRU_2_X40Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y99 NAME INT_FEEDTHRU_2_X40Y99 TILEPROP INT_FEEDTHRU_2_X40Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y99 ROW 57 TILEPROP INT_FEEDTHRU_2_X40Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y99 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y99 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y99 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y99 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_2_X40Y99 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y100 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y100 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_2_X40Y100 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_2_X40Y100 INDEX 6480 TILEPROP INT_FEEDTHRU_2_X40Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y100 NAME INT_FEEDTHRU_2_X40Y100 TILEPROP INT_FEEDTHRU_2_X40Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y100 ROW 56 TILEPROP INT_FEEDTHRU_2_X40Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y100 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y100 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y100 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y100 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_2_X40Y100 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y101 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y101 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_2_X40Y101 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_2_X40Y101 INDEX 6365 TILEPROP INT_FEEDTHRU_2_X40Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y101 NAME INT_FEEDTHRU_2_X40Y101 TILEPROP INT_FEEDTHRU_2_X40Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y101 ROW 55 TILEPROP INT_FEEDTHRU_2_X40Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y101 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y101 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y101 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y101 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_2_X40Y101 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y102 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y102 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_2_X40Y102 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_2_X40Y102 INDEX 6250 TILEPROP INT_FEEDTHRU_2_X40Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y102 NAME INT_FEEDTHRU_2_X40Y102 TILEPROP INT_FEEDTHRU_2_X40Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y102 ROW 54 TILEPROP INT_FEEDTHRU_2_X40Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y102 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y102 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y102 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y102 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_2_X40Y102 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y103 CLASS tile TILEPROP INT_FEEDTHRU_2_X40Y103 COLUMN 40 TILEPROP INT_FEEDTHRU_2_X40Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_2_X40Y103 GRID_POINT_X 40 TILEPROP INT_FEEDTHRU_2_X40Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_2_X40Y103 INDEX 6135 TILEPROP INT_FEEDTHRU_2_X40Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X40Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X40Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X40Y103 NAME INT_FEEDTHRU_2_X40Y103 TILEPROP INT_FEEDTHRU_2_X40Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X40Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X40Y103 ROW 53 TILEPROP INT_FEEDTHRU_2_X40Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X40Y103 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X40Y103 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X40Y103 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X40Y103 TILE_X -30920 TILEPROP INT_FEEDTHRU_2_X40Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_2_X40Y103 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y1 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y1 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_2_X41Y1 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_2_X41Y1 INDEX 17866 TILEPROP INT_FEEDTHRU_2_X41Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y1 NAME INT_FEEDTHRU_2_X41Y1 TILEPROP INT_FEEDTHRU_2_X41Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y1 ROW 155 TILEPROP INT_FEEDTHRU_2_X41Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y1 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y1 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y1 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y1 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_2_X41Y1 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y2 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y2 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_2_X41Y2 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_2_X41Y2 INDEX 17751 TILEPROP INT_FEEDTHRU_2_X41Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y2 NAME INT_FEEDTHRU_2_X41Y2 TILEPROP INT_FEEDTHRU_2_X41Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y2 ROW 154 TILEPROP INT_FEEDTHRU_2_X41Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y2 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y2 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y2 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y2 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_2_X41Y2 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y3 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y3 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_2_X41Y3 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_2_X41Y3 INDEX 17636 TILEPROP INT_FEEDTHRU_2_X41Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y3 NAME INT_FEEDTHRU_2_X41Y3 TILEPROP INT_FEEDTHRU_2_X41Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y3 ROW 153 TILEPROP INT_FEEDTHRU_2_X41Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y3 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y3 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y3 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y3 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_2_X41Y3 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y4 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y4 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_2_X41Y4 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_2_X41Y4 INDEX 17521 TILEPROP INT_FEEDTHRU_2_X41Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y4 NAME INT_FEEDTHRU_2_X41Y4 TILEPROP INT_FEEDTHRU_2_X41Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y4 ROW 152 TILEPROP INT_FEEDTHRU_2_X41Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y4 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y4 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y4 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y4 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_2_X41Y4 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y5 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y5 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_2_X41Y5 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_2_X41Y5 INDEX 17406 TILEPROP INT_FEEDTHRU_2_X41Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y5 NAME INT_FEEDTHRU_2_X41Y5 TILEPROP INT_FEEDTHRU_2_X41Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y5 ROW 151 TILEPROP INT_FEEDTHRU_2_X41Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y5 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y5 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y5 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y5 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_2_X41Y5 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y6 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y6 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_2_X41Y6 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_2_X41Y6 INDEX 17291 TILEPROP INT_FEEDTHRU_2_X41Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y6 NAME INT_FEEDTHRU_2_X41Y6 TILEPROP INT_FEEDTHRU_2_X41Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y6 ROW 150 TILEPROP INT_FEEDTHRU_2_X41Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y6 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y6 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y6 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y6 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_2_X41Y6 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y7 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y7 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_2_X41Y7 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_2_X41Y7 INDEX 17176 TILEPROP INT_FEEDTHRU_2_X41Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y7 NAME INT_FEEDTHRU_2_X41Y7 TILEPROP INT_FEEDTHRU_2_X41Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y7 ROW 149 TILEPROP INT_FEEDTHRU_2_X41Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y7 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y7 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y7 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y7 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_2_X41Y7 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y8 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y8 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_2_X41Y8 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_2_X41Y8 INDEX 17061 TILEPROP INT_FEEDTHRU_2_X41Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y8 NAME INT_FEEDTHRU_2_X41Y8 TILEPROP INT_FEEDTHRU_2_X41Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y8 ROW 148 TILEPROP INT_FEEDTHRU_2_X41Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y8 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y8 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y8 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y8 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_2_X41Y8 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y9 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y9 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_2_X41Y9 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_2_X41Y9 INDEX 16946 TILEPROP INT_FEEDTHRU_2_X41Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y9 NAME INT_FEEDTHRU_2_X41Y9 TILEPROP INT_FEEDTHRU_2_X41Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y9 ROW 147 TILEPROP INT_FEEDTHRU_2_X41Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y9 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y9 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y9 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y9 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_2_X41Y9 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y10 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y10 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_2_X41Y10 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_2_X41Y10 INDEX 16831 TILEPROP INT_FEEDTHRU_2_X41Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y10 NAME INT_FEEDTHRU_2_X41Y10 TILEPROP INT_FEEDTHRU_2_X41Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y10 ROW 146 TILEPROP INT_FEEDTHRU_2_X41Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y10 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y10 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y10 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y10 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_2_X41Y10 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y11 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y11 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_2_X41Y11 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_2_X41Y11 INDEX 16716 TILEPROP INT_FEEDTHRU_2_X41Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y11 NAME INT_FEEDTHRU_2_X41Y11 TILEPROP INT_FEEDTHRU_2_X41Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y11 ROW 145 TILEPROP INT_FEEDTHRU_2_X41Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y11 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y11 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y11 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y11 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_2_X41Y11 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y12 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y12 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_2_X41Y12 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_2_X41Y12 INDEX 16601 TILEPROP INT_FEEDTHRU_2_X41Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y12 NAME INT_FEEDTHRU_2_X41Y12 TILEPROP INT_FEEDTHRU_2_X41Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y12 ROW 144 TILEPROP INT_FEEDTHRU_2_X41Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y12 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y12 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y12 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y12 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_2_X41Y12 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y13 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y13 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_2_X41Y13 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_2_X41Y13 INDEX 16486 TILEPROP INT_FEEDTHRU_2_X41Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y13 NAME INT_FEEDTHRU_2_X41Y13 TILEPROP INT_FEEDTHRU_2_X41Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y13 ROW 143 TILEPROP INT_FEEDTHRU_2_X41Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y13 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y13 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y13 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y13 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_2_X41Y13 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y14 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y14 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_2_X41Y14 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_2_X41Y14 INDEX 16371 TILEPROP INT_FEEDTHRU_2_X41Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y14 NAME INT_FEEDTHRU_2_X41Y14 TILEPROP INT_FEEDTHRU_2_X41Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y14 ROW 142 TILEPROP INT_FEEDTHRU_2_X41Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y14 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y14 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y14 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y14 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_2_X41Y14 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y15 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y15 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_2_X41Y15 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_2_X41Y15 INDEX 16256 TILEPROP INT_FEEDTHRU_2_X41Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y15 NAME INT_FEEDTHRU_2_X41Y15 TILEPROP INT_FEEDTHRU_2_X41Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y15 ROW 141 TILEPROP INT_FEEDTHRU_2_X41Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y15 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y15 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y15 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y15 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_2_X41Y15 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y16 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y16 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_2_X41Y16 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_2_X41Y16 INDEX 16141 TILEPROP INT_FEEDTHRU_2_X41Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y16 NAME INT_FEEDTHRU_2_X41Y16 TILEPROP INT_FEEDTHRU_2_X41Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y16 ROW 140 TILEPROP INT_FEEDTHRU_2_X41Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y16 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y16 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y16 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y16 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_2_X41Y16 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y17 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y17 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_2_X41Y17 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_2_X41Y17 INDEX 16026 TILEPROP INT_FEEDTHRU_2_X41Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y17 NAME INT_FEEDTHRU_2_X41Y17 TILEPROP INT_FEEDTHRU_2_X41Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y17 ROW 139 TILEPROP INT_FEEDTHRU_2_X41Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y17 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y17 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y17 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y17 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_2_X41Y17 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y18 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y18 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_2_X41Y18 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_2_X41Y18 INDEX 15911 TILEPROP INT_FEEDTHRU_2_X41Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y18 NAME INT_FEEDTHRU_2_X41Y18 TILEPROP INT_FEEDTHRU_2_X41Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y18 ROW 138 TILEPROP INT_FEEDTHRU_2_X41Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y18 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y18 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y18 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y18 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_2_X41Y18 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y19 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y19 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_2_X41Y19 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_2_X41Y19 INDEX 15796 TILEPROP INT_FEEDTHRU_2_X41Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y19 NAME INT_FEEDTHRU_2_X41Y19 TILEPROP INT_FEEDTHRU_2_X41Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y19 ROW 137 TILEPROP INT_FEEDTHRU_2_X41Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y19 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y19 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y19 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y19 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_2_X41Y19 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y20 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y20 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_2_X41Y20 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_2_X41Y20 INDEX 15681 TILEPROP INT_FEEDTHRU_2_X41Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y20 NAME INT_FEEDTHRU_2_X41Y20 TILEPROP INT_FEEDTHRU_2_X41Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y20 ROW 136 TILEPROP INT_FEEDTHRU_2_X41Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y20 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y20 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y20 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y20 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_2_X41Y20 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y21 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y21 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_2_X41Y21 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_2_X41Y21 INDEX 15566 TILEPROP INT_FEEDTHRU_2_X41Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y21 NAME INT_FEEDTHRU_2_X41Y21 TILEPROP INT_FEEDTHRU_2_X41Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y21 ROW 135 TILEPROP INT_FEEDTHRU_2_X41Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y21 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y21 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y21 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y21 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_2_X41Y21 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y22 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y22 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_2_X41Y22 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_2_X41Y22 INDEX 15451 TILEPROP INT_FEEDTHRU_2_X41Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y22 NAME INT_FEEDTHRU_2_X41Y22 TILEPROP INT_FEEDTHRU_2_X41Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y22 ROW 134 TILEPROP INT_FEEDTHRU_2_X41Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y22 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y22 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y22 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y22 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_2_X41Y22 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y23 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y23 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_2_X41Y23 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_2_X41Y23 INDEX 15336 TILEPROP INT_FEEDTHRU_2_X41Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y23 NAME INT_FEEDTHRU_2_X41Y23 TILEPROP INT_FEEDTHRU_2_X41Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y23 ROW 133 TILEPROP INT_FEEDTHRU_2_X41Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y23 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y23 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y23 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y23 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_2_X41Y23 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y24 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y24 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_2_X41Y24 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_2_X41Y24 INDEX 15221 TILEPROP INT_FEEDTHRU_2_X41Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y24 NAME INT_FEEDTHRU_2_X41Y24 TILEPROP INT_FEEDTHRU_2_X41Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y24 ROW 132 TILEPROP INT_FEEDTHRU_2_X41Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y24 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y24 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y24 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y24 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_2_X41Y24 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y25 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y25 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_2_X41Y25 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_2_X41Y25 INDEX 15106 TILEPROP INT_FEEDTHRU_2_X41Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y25 NAME INT_FEEDTHRU_2_X41Y25 TILEPROP INT_FEEDTHRU_2_X41Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y25 ROW 131 TILEPROP INT_FEEDTHRU_2_X41Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y25 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y25 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y25 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y25 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_2_X41Y25 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y27 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y27 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_2_X41Y27 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_2_X41Y27 INDEX 14876 TILEPROP INT_FEEDTHRU_2_X41Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y27 NAME INT_FEEDTHRU_2_X41Y27 TILEPROP INT_FEEDTHRU_2_X41Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y27 ROW 129 TILEPROP INT_FEEDTHRU_2_X41Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y27 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y27 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y27 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y27 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_2_X41Y27 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y28 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y28 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_2_X41Y28 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_2_X41Y28 INDEX 14761 TILEPROP INT_FEEDTHRU_2_X41Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y28 NAME INT_FEEDTHRU_2_X41Y28 TILEPROP INT_FEEDTHRU_2_X41Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y28 ROW 128 TILEPROP INT_FEEDTHRU_2_X41Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y28 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y28 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y28 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y28 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_2_X41Y28 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y29 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y29 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_2_X41Y29 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_2_X41Y29 INDEX 14646 TILEPROP INT_FEEDTHRU_2_X41Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y29 NAME INT_FEEDTHRU_2_X41Y29 TILEPROP INT_FEEDTHRU_2_X41Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y29 ROW 127 TILEPROP INT_FEEDTHRU_2_X41Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y29 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y29 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y29 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y29 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_2_X41Y29 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y30 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y30 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_2_X41Y30 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_2_X41Y30 INDEX 14531 TILEPROP INT_FEEDTHRU_2_X41Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y30 NAME INT_FEEDTHRU_2_X41Y30 TILEPROP INT_FEEDTHRU_2_X41Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y30 ROW 126 TILEPROP INT_FEEDTHRU_2_X41Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y30 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y30 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y30 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y30 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_2_X41Y30 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y31 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y31 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_2_X41Y31 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_2_X41Y31 INDEX 14416 TILEPROP INT_FEEDTHRU_2_X41Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y31 NAME INT_FEEDTHRU_2_X41Y31 TILEPROP INT_FEEDTHRU_2_X41Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y31 ROW 125 TILEPROP INT_FEEDTHRU_2_X41Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y31 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y31 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y31 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y31 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_2_X41Y31 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y32 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y32 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_2_X41Y32 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_2_X41Y32 INDEX 14301 TILEPROP INT_FEEDTHRU_2_X41Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y32 NAME INT_FEEDTHRU_2_X41Y32 TILEPROP INT_FEEDTHRU_2_X41Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y32 ROW 124 TILEPROP INT_FEEDTHRU_2_X41Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y32 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y32 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y32 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y32 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_2_X41Y32 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y33 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y33 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_2_X41Y33 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_2_X41Y33 INDEX 14186 TILEPROP INT_FEEDTHRU_2_X41Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y33 NAME INT_FEEDTHRU_2_X41Y33 TILEPROP INT_FEEDTHRU_2_X41Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y33 ROW 123 TILEPROP INT_FEEDTHRU_2_X41Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y33 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y33 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y33 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y33 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_2_X41Y33 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y34 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y34 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_2_X41Y34 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_2_X41Y34 INDEX 14071 TILEPROP INT_FEEDTHRU_2_X41Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y34 NAME INT_FEEDTHRU_2_X41Y34 TILEPROP INT_FEEDTHRU_2_X41Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y34 ROW 122 TILEPROP INT_FEEDTHRU_2_X41Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y34 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y34 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y34 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y34 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_2_X41Y34 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y35 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y35 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_2_X41Y35 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_2_X41Y35 INDEX 13956 TILEPROP INT_FEEDTHRU_2_X41Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y35 NAME INT_FEEDTHRU_2_X41Y35 TILEPROP INT_FEEDTHRU_2_X41Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y35 ROW 121 TILEPROP INT_FEEDTHRU_2_X41Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y35 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y35 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y35 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y35 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_2_X41Y35 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y36 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y36 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_2_X41Y36 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_2_X41Y36 INDEX 13841 TILEPROP INT_FEEDTHRU_2_X41Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y36 NAME INT_FEEDTHRU_2_X41Y36 TILEPROP INT_FEEDTHRU_2_X41Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y36 ROW 120 TILEPROP INT_FEEDTHRU_2_X41Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y36 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y36 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y36 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y36 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_2_X41Y36 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y37 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y37 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_2_X41Y37 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_2_X41Y37 INDEX 13726 TILEPROP INT_FEEDTHRU_2_X41Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y37 NAME INT_FEEDTHRU_2_X41Y37 TILEPROP INT_FEEDTHRU_2_X41Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y37 ROW 119 TILEPROP INT_FEEDTHRU_2_X41Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y37 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y37 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y37 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y37 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_2_X41Y37 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y38 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y38 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_2_X41Y38 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_2_X41Y38 INDEX 13611 TILEPROP INT_FEEDTHRU_2_X41Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y38 NAME INT_FEEDTHRU_2_X41Y38 TILEPROP INT_FEEDTHRU_2_X41Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y38 ROW 118 TILEPROP INT_FEEDTHRU_2_X41Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y38 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y38 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y38 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y38 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_2_X41Y38 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y39 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y39 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_2_X41Y39 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_2_X41Y39 INDEX 13496 TILEPROP INT_FEEDTHRU_2_X41Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y39 NAME INT_FEEDTHRU_2_X41Y39 TILEPROP INT_FEEDTHRU_2_X41Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y39 ROW 117 TILEPROP INT_FEEDTHRU_2_X41Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y39 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y39 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y39 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y39 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_2_X41Y39 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y40 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y40 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_2_X41Y40 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_2_X41Y40 INDEX 13381 TILEPROP INT_FEEDTHRU_2_X41Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y40 NAME INT_FEEDTHRU_2_X41Y40 TILEPROP INT_FEEDTHRU_2_X41Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y40 ROW 116 TILEPROP INT_FEEDTHRU_2_X41Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y40 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y40 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y40 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y40 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_2_X41Y40 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y41 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y41 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_2_X41Y41 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_2_X41Y41 INDEX 13266 TILEPROP INT_FEEDTHRU_2_X41Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y41 NAME INT_FEEDTHRU_2_X41Y41 TILEPROP INT_FEEDTHRU_2_X41Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y41 ROW 115 TILEPROP INT_FEEDTHRU_2_X41Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y41 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y41 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y41 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y41 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_2_X41Y41 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y42 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y42 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_2_X41Y42 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_2_X41Y42 INDEX 13151 TILEPROP INT_FEEDTHRU_2_X41Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y42 NAME INT_FEEDTHRU_2_X41Y42 TILEPROP INT_FEEDTHRU_2_X41Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y42 ROW 114 TILEPROP INT_FEEDTHRU_2_X41Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y42 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y42 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y42 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y42 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_2_X41Y42 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y43 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y43 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_2_X41Y43 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_2_X41Y43 INDEX 13036 TILEPROP INT_FEEDTHRU_2_X41Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y43 NAME INT_FEEDTHRU_2_X41Y43 TILEPROP INT_FEEDTHRU_2_X41Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y43 ROW 113 TILEPROP INT_FEEDTHRU_2_X41Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y43 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y43 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y43 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y43 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_2_X41Y43 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y44 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y44 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_2_X41Y44 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_2_X41Y44 INDEX 12921 TILEPROP INT_FEEDTHRU_2_X41Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y44 NAME INT_FEEDTHRU_2_X41Y44 TILEPROP INT_FEEDTHRU_2_X41Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y44 ROW 112 TILEPROP INT_FEEDTHRU_2_X41Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y44 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y44 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y44 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y44 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_2_X41Y44 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y45 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y45 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_2_X41Y45 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_2_X41Y45 INDEX 12806 TILEPROP INT_FEEDTHRU_2_X41Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y45 NAME INT_FEEDTHRU_2_X41Y45 TILEPROP INT_FEEDTHRU_2_X41Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y45 ROW 111 TILEPROP INT_FEEDTHRU_2_X41Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y45 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y45 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y45 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y45 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_2_X41Y45 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y46 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y46 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_2_X41Y46 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_2_X41Y46 INDEX 12691 TILEPROP INT_FEEDTHRU_2_X41Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y46 NAME INT_FEEDTHRU_2_X41Y46 TILEPROP INT_FEEDTHRU_2_X41Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y46 ROW 110 TILEPROP INT_FEEDTHRU_2_X41Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y46 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y46 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y46 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y46 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_2_X41Y46 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y47 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y47 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_2_X41Y47 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_2_X41Y47 INDEX 12576 TILEPROP INT_FEEDTHRU_2_X41Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y47 NAME INT_FEEDTHRU_2_X41Y47 TILEPROP INT_FEEDTHRU_2_X41Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y47 ROW 109 TILEPROP INT_FEEDTHRU_2_X41Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y47 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y47 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y47 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y47 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_2_X41Y47 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y48 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y48 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_2_X41Y48 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_2_X41Y48 INDEX 12461 TILEPROP INT_FEEDTHRU_2_X41Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y48 NAME INT_FEEDTHRU_2_X41Y48 TILEPROP INT_FEEDTHRU_2_X41Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y48 ROW 108 TILEPROP INT_FEEDTHRU_2_X41Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y48 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y48 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y48 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y48 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_2_X41Y48 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y49 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y49 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_2_X41Y49 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_2_X41Y49 INDEX 12346 TILEPROP INT_FEEDTHRU_2_X41Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y49 NAME INT_FEEDTHRU_2_X41Y49 TILEPROP INT_FEEDTHRU_2_X41Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y49 ROW 107 TILEPROP INT_FEEDTHRU_2_X41Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y49 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y49 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y49 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y49 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_2_X41Y49 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y50 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y50 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_2_X41Y50 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_2_X41Y50 INDEX 12231 TILEPROP INT_FEEDTHRU_2_X41Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y50 NAME INT_FEEDTHRU_2_X41Y50 TILEPROP INT_FEEDTHRU_2_X41Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y50 ROW 106 TILEPROP INT_FEEDTHRU_2_X41Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y50 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y50 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y50 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y50 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_2_X41Y50 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y51 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y51 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_2_X41Y51 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_2_X41Y51 INDEX 12116 TILEPROP INT_FEEDTHRU_2_X41Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y51 NAME INT_FEEDTHRU_2_X41Y51 TILEPROP INT_FEEDTHRU_2_X41Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y51 ROW 105 TILEPROP INT_FEEDTHRU_2_X41Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y51 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y51 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y51 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y51 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_2_X41Y51 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y53 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y53 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_2_X41Y53 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_2_X41Y53 INDEX 11886 TILEPROP INT_FEEDTHRU_2_X41Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y53 NAME INT_FEEDTHRU_2_X41Y53 TILEPROP INT_FEEDTHRU_2_X41Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y53 ROW 103 TILEPROP INT_FEEDTHRU_2_X41Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y53 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y53 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y53 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y53 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_2_X41Y53 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y54 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y54 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_2_X41Y54 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_2_X41Y54 INDEX 11771 TILEPROP INT_FEEDTHRU_2_X41Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y54 NAME INT_FEEDTHRU_2_X41Y54 TILEPROP INT_FEEDTHRU_2_X41Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y54 ROW 102 TILEPROP INT_FEEDTHRU_2_X41Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y54 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y54 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y54 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y54 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_2_X41Y54 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y55 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y55 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_2_X41Y55 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_2_X41Y55 INDEX 11656 TILEPROP INT_FEEDTHRU_2_X41Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y55 NAME INT_FEEDTHRU_2_X41Y55 TILEPROP INT_FEEDTHRU_2_X41Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y55 ROW 101 TILEPROP INT_FEEDTHRU_2_X41Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y55 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y55 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y55 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y55 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_2_X41Y55 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y56 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y56 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_2_X41Y56 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_2_X41Y56 INDEX 11541 TILEPROP INT_FEEDTHRU_2_X41Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y56 NAME INT_FEEDTHRU_2_X41Y56 TILEPROP INT_FEEDTHRU_2_X41Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y56 ROW 100 TILEPROP INT_FEEDTHRU_2_X41Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y56 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y56 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y56 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y56 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_2_X41Y56 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y57 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y57 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_2_X41Y57 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_2_X41Y57 INDEX 11426 TILEPROP INT_FEEDTHRU_2_X41Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y57 NAME INT_FEEDTHRU_2_X41Y57 TILEPROP INT_FEEDTHRU_2_X41Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y57 ROW 99 TILEPROP INT_FEEDTHRU_2_X41Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y57 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y57 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y57 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y57 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_2_X41Y57 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y58 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y58 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_2_X41Y58 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_2_X41Y58 INDEX 11311 TILEPROP INT_FEEDTHRU_2_X41Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y58 NAME INT_FEEDTHRU_2_X41Y58 TILEPROP INT_FEEDTHRU_2_X41Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y58 ROW 98 TILEPROP INT_FEEDTHRU_2_X41Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y58 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y58 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y58 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y58 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_2_X41Y58 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y59 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y59 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_2_X41Y59 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_2_X41Y59 INDEX 11196 TILEPROP INT_FEEDTHRU_2_X41Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y59 NAME INT_FEEDTHRU_2_X41Y59 TILEPROP INT_FEEDTHRU_2_X41Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y59 ROW 97 TILEPROP INT_FEEDTHRU_2_X41Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y59 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y59 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y59 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y59 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_2_X41Y59 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y60 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y60 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_2_X41Y60 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_2_X41Y60 INDEX 11081 TILEPROP INT_FEEDTHRU_2_X41Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y60 NAME INT_FEEDTHRU_2_X41Y60 TILEPROP INT_FEEDTHRU_2_X41Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y60 ROW 96 TILEPROP INT_FEEDTHRU_2_X41Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y60 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y60 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y60 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y60 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_2_X41Y60 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y61 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y61 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_2_X41Y61 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_2_X41Y61 INDEX 10966 TILEPROP INT_FEEDTHRU_2_X41Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y61 NAME INT_FEEDTHRU_2_X41Y61 TILEPROP INT_FEEDTHRU_2_X41Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y61 ROW 95 TILEPROP INT_FEEDTHRU_2_X41Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y61 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y61 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y61 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y61 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_2_X41Y61 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y62 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y62 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_2_X41Y62 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_2_X41Y62 INDEX 10851 TILEPROP INT_FEEDTHRU_2_X41Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y62 NAME INT_FEEDTHRU_2_X41Y62 TILEPROP INT_FEEDTHRU_2_X41Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y62 ROW 94 TILEPROP INT_FEEDTHRU_2_X41Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y62 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y62 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y62 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y62 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_2_X41Y62 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y63 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y63 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_2_X41Y63 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_2_X41Y63 INDEX 10736 TILEPROP INT_FEEDTHRU_2_X41Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y63 NAME INT_FEEDTHRU_2_X41Y63 TILEPROP INT_FEEDTHRU_2_X41Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y63 ROW 93 TILEPROP INT_FEEDTHRU_2_X41Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y63 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y63 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y63 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y63 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_2_X41Y63 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y64 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y64 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_2_X41Y64 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_2_X41Y64 INDEX 10621 TILEPROP INT_FEEDTHRU_2_X41Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y64 NAME INT_FEEDTHRU_2_X41Y64 TILEPROP INT_FEEDTHRU_2_X41Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y64 ROW 92 TILEPROP INT_FEEDTHRU_2_X41Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y64 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y64 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y64 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y64 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_2_X41Y64 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y65 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y65 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_2_X41Y65 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_2_X41Y65 INDEX 10506 TILEPROP INT_FEEDTHRU_2_X41Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y65 NAME INT_FEEDTHRU_2_X41Y65 TILEPROP INT_FEEDTHRU_2_X41Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y65 ROW 91 TILEPROP INT_FEEDTHRU_2_X41Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y65 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y65 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y65 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y65 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_2_X41Y65 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y66 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y66 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_2_X41Y66 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_2_X41Y66 INDEX 10391 TILEPROP INT_FEEDTHRU_2_X41Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y66 NAME INT_FEEDTHRU_2_X41Y66 TILEPROP INT_FEEDTHRU_2_X41Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y66 ROW 90 TILEPROP INT_FEEDTHRU_2_X41Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y66 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y66 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y66 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y66 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_2_X41Y66 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y67 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y67 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_2_X41Y67 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_2_X41Y67 INDEX 10276 TILEPROP INT_FEEDTHRU_2_X41Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y67 NAME INT_FEEDTHRU_2_X41Y67 TILEPROP INT_FEEDTHRU_2_X41Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y67 ROW 89 TILEPROP INT_FEEDTHRU_2_X41Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y67 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y67 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y67 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y67 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_2_X41Y67 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y68 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y68 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_2_X41Y68 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_2_X41Y68 INDEX 10161 TILEPROP INT_FEEDTHRU_2_X41Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y68 NAME INT_FEEDTHRU_2_X41Y68 TILEPROP INT_FEEDTHRU_2_X41Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y68 ROW 88 TILEPROP INT_FEEDTHRU_2_X41Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y68 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y68 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y68 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y68 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_2_X41Y68 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y69 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y69 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_2_X41Y69 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_2_X41Y69 INDEX 10046 TILEPROP INT_FEEDTHRU_2_X41Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y69 NAME INT_FEEDTHRU_2_X41Y69 TILEPROP INT_FEEDTHRU_2_X41Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y69 ROW 87 TILEPROP INT_FEEDTHRU_2_X41Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y69 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y69 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y69 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y69 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_2_X41Y69 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y70 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y70 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_2_X41Y70 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_2_X41Y70 INDEX 9931 TILEPROP INT_FEEDTHRU_2_X41Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y70 NAME INT_FEEDTHRU_2_X41Y70 TILEPROP INT_FEEDTHRU_2_X41Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y70 ROW 86 TILEPROP INT_FEEDTHRU_2_X41Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y70 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y70 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y70 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y70 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_2_X41Y70 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y71 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y71 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_2_X41Y71 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_2_X41Y71 INDEX 9816 TILEPROP INT_FEEDTHRU_2_X41Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y71 NAME INT_FEEDTHRU_2_X41Y71 TILEPROP INT_FEEDTHRU_2_X41Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y71 ROW 85 TILEPROP INT_FEEDTHRU_2_X41Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y71 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y71 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y71 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y71 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_2_X41Y71 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y72 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y72 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_2_X41Y72 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_2_X41Y72 INDEX 9701 TILEPROP INT_FEEDTHRU_2_X41Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y72 NAME INT_FEEDTHRU_2_X41Y72 TILEPROP INT_FEEDTHRU_2_X41Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y72 ROW 84 TILEPROP INT_FEEDTHRU_2_X41Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y72 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y72 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y72 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y72 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_2_X41Y72 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y73 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y73 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_2_X41Y73 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_2_X41Y73 INDEX 9586 TILEPROP INT_FEEDTHRU_2_X41Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y73 NAME INT_FEEDTHRU_2_X41Y73 TILEPROP INT_FEEDTHRU_2_X41Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y73 ROW 83 TILEPROP INT_FEEDTHRU_2_X41Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y73 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y73 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y73 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y73 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_2_X41Y73 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y74 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y74 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_2_X41Y74 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_2_X41Y74 INDEX 9471 TILEPROP INT_FEEDTHRU_2_X41Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y74 NAME INT_FEEDTHRU_2_X41Y74 TILEPROP INT_FEEDTHRU_2_X41Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y74 ROW 82 TILEPROP INT_FEEDTHRU_2_X41Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y74 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y74 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y74 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y74 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_2_X41Y74 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y75 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y75 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_2_X41Y75 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_2_X41Y75 INDEX 9356 TILEPROP INT_FEEDTHRU_2_X41Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y75 NAME INT_FEEDTHRU_2_X41Y75 TILEPROP INT_FEEDTHRU_2_X41Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y75 ROW 81 TILEPROP INT_FEEDTHRU_2_X41Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y75 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y75 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y75 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y75 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_2_X41Y75 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y76 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y76 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_2_X41Y76 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_2_X41Y76 INDEX 9241 TILEPROP INT_FEEDTHRU_2_X41Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y76 NAME INT_FEEDTHRU_2_X41Y76 TILEPROP INT_FEEDTHRU_2_X41Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y76 ROW 80 TILEPROP INT_FEEDTHRU_2_X41Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y76 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y76 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y76 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y76 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_2_X41Y76 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y77 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y77 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_2_X41Y77 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_2_X41Y77 INDEX 9126 TILEPROP INT_FEEDTHRU_2_X41Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y77 NAME INT_FEEDTHRU_2_X41Y77 TILEPROP INT_FEEDTHRU_2_X41Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y77 ROW 79 TILEPROP INT_FEEDTHRU_2_X41Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y77 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y77 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y77 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y77 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_2_X41Y77 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y79 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y79 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_2_X41Y79 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_2_X41Y79 INDEX 8896 TILEPROP INT_FEEDTHRU_2_X41Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y79 NAME INT_FEEDTHRU_2_X41Y79 TILEPROP INT_FEEDTHRU_2_X41Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y79 ROW 77 TILEPROP INT_FEEDTHRU_2_X41Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y79 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y79 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y79 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y79 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_2_X41Y79 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y80 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y80 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_2_X41Y80 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_2_X41Y80 INDEX 8781 TILEPROP INT_FEEDTHRU_2_X41Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y80 NAME INT_FEEDTHRU_2_X41Y80 TILEPROP INT_FEEDTHRU_2_X41Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y80 ROW 76 TILEPROP INT_FEEDTHRU_2_X41Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y80 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y80 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y80 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y80 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_2_X41Y80 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y81 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y81 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_2_X41Y81 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_2_X41Y81 INDEX 8666 TILEPROP INT_FEEDTHRU_2_X41Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y81 NAME INT_FEEDTHRU_2_X41Y81 TILEPROP INT_FEEDTHRU_2_X41Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y81 ROW 75 TILEPROP INT_FEEDTHRU_2_X41Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y81 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y81 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y81 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y81 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_2_X41Y81 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y82 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y82 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_2_X41Y82 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_2_X41Y82 INDEX 8551 TILEPROP INT_FEEDTHRU_2_X41Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y82 NAME INT_FEEDTHRU_2_X41Y82 TILEPROP INT_FEEDTHRU_2_X41Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y82 ROW 74 TILEPROP INT_FEEDTHRU_2_X41Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y82 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y82 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y82 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y82 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_2_X41Y82 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y83 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y83 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_2_X41Y83 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_2_X41Y83 INDEX 8436 TILEPROP INT_FEEDTHRU_2_X41Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y83 NAME INT_FEEDTHRU_2_X41Y83 TILEPROP INT_FEEDTHRU_2_X41Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y83 ROW 73 TILEPROP INT_FEEDTHRU_2_X41Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y83 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y83 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y83 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y83 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_2_X41Y83 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y84 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y84 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_2_X41Y84 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_2_X41Y84 INDEX 8321 TILEPROP INT_FEEDTHRU_2_X41Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y84 NAME INT_FEEDTHRU_2_X41Y84 TILEPROP INT_FEEDTHRU_2_X41Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y84 ROW 72 TILEPROP INT_FEEDTHRU_2_X41Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y84 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y84 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y84 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y84 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_2_X41Y84 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y85 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y85 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_2_X41Y85 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_2_X41Y85 INDEX 8206 TILEPROP INT_FEEDTHRU_2_X41Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y85 NAME INT_FEEDTHRU_2_X41Y85 TILEPROP INT_FEEDTHRU_2_X41Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y85 ROW 71 TILEPROP INT_FEEDTHRU_2_X41Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y85 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y85 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y85 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y85 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_2_X41Y85 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y86 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y86 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_2_X41Y86 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_2_X41Y86 INDEX 8091 TILEPROP INT_FEEDTHRU_2_X41Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y86 NAME INT_FEEDTHRU_2_X41Y86 TILEPROP INT_FEEDTHRU_2_X41Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y86 ROW 70 TILEPROP INT_FEEDTHRU_2_X41Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y86 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y86 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y86 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y86 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_2_X41Y86 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y87 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y87 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_2_X41Y87 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_2_X41Y87 INDEX 7976 TILEPROP INT_FEEDTHRU_2_X41Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y87 NAME INT_FEEDTHRU_2_X41Y87 TILEPROP INT_FEEDTHRU_2_X41Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y87 ROW 69 TILEPROP INT_FEEDTHRU_2_X41Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y87 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y87 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y87 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y87 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_2_X41Y87 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y88 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y88 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_2_X41Y88 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_2_X41Y88 INDEX 7861 TILEPROP INT_FEEDTHRU_2_X41Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y88 NAME INT_FEEDTHRU_2_X41Y88 TILEPROP INT_FEEDTHRU_2_X41Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y88 ROW 68 TILEPROP INT_FEEDTHRU_2_X41Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y88 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y88 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y88 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y88 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_2_X41Y88 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y89 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y89 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_2_X41Y89 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_2_X41Y89 INDEX 7746 TILEPROP INT_FEEDTHRU_2_X41Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y89 NAME INT_FEEDTHRU_2_X41Y89 TILEPROP INT_FEEDTHRU_2_X41Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y89 ROW 67 TILEPROP INT_FEEDTHRU_2_X41Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y89 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y89 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y89 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y89 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_2_X41Y89 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y90 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y90 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_2_X41Y90 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_2_X41Y90 INDEX 7631 TILEPROP INT_FEEDTHRU_2_X41Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y90 NAME INT_FEEDTHRU_2_X41Y90 TILEPROP INT_FEEDTHRU_2_X41Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y90 ROW 66 TILEPROP INT_FEEDTHRU_2_X41Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y90 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y90 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y90 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y90 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_2_X41Y90 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y91 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y91 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_2_X41Y91 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_2_X41Y91 INDEX 7516 TILEPROP INT_FEEDTHRU_2_X41Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y91 NAME INT_FEEDTHRU_2_X41Y91 TILEPROP INT_FEEDTHRU_2_X41Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y91 ROW 65 TILEPROP INT_FEEDTHRU_2_X41Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y91 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y91 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y91 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y91 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_2_X41Y91 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y92 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y92 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_2_X41Y92 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_2_X41Y92 INDEX 7401 TILEPROP INT_FEEDTHRU_2_X41Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y92 NAME INT_FEEDTHRU_2_X41Y92 TILEPROP INT_FEEDTHRU_2_X41Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y92 ROW 64 TILEPROP INT_FEEDTHRU_2_X41Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y92 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y92 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y92 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y92 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_2_X41Y92 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y93 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y93 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_2_X41Y93 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_2_X41Y93 INDEX 7286 TILEPROP INT_FEEDTHRU_2_X41Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y93 NAME INT_FEEDTHRU_2_X41Y93 TILEPROP INT_FEEDTHRU_2_X41Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y93 ROW 63 TILEPROP INT_FEEDTHRU_2_X41Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y93 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y93 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y93 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y93 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_2_X41Y93 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y94 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y94 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_2_X41Y94 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_2_X41Y94 INDEX 7171 TILEPROP INT_FEEDTHRU_2_X41Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y94 NAME INT_FEEDTHRU_2_X41Y94 TILEPROP INT_FEEDTHRU_2_X41Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y94 ROW 62 TILEPROP INT_FEEDTHRU_2_X41Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y94 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y94 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y94 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y94 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_2_X41Y94 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y95 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y95 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_2_X41Y95 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_2_X41Y95 INDEX 7056 TILEPROP INT_FEEDTHRU_2_X41Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y95 NAME INT_FEEDTHRU_2_X41Y95 TILEPROP INT_FEEDTHRU_2_X41Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y95 ROW 61 TILEPROP INT_FEEDTHRU_2_X41Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y95 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y95 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y95 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y95 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_2_X41Y95 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y96 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y96 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_2_X41Y96 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_2_X41Y96 INDEX 6941 TILEPROP INT_FEEDTHRU_2_X41Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y96 NAME INT_FEEDTHRU_2_X41Y96 TILEPROP INT_FEEDTHRU_2_X41Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y96 ROW 60 TILEPROP INT_FEEDTHRU_2_X41Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y96 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y96 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y96 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y96 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_2_X41Y96 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y97 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y97 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_2_X41Y97 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_2_X41Y97 INDEX 6826 TILEPROP INT_FEEDTHRU_2_X41Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y97 NAME INT_FEEDTHRU_2_X41Y97 TILEPROP INT_FEEDTHRU_2_X41Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y97 ROW 59 TILEPROP INT_FEEDTHRU_2_X41Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y97 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y97 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y97 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y97 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_2_X41Y97 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y98 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y98 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_2_X41Y98 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_2_X41Y98 INDEX 6711 TILEPROP INT_FEEDTHRU_2_X41Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y98 NAME INT_FEEDTHRU_2_X41Y98 TILEPROP INT_FEEDTHRU_2_X41Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y98 ROW 58 TILEPROP INT_FEEDTHRU_2_X41Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y98 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y98 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y98 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y98 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_2_X41Y98 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y99 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y99 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_2_X41Y99 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_2_X41Y99 INDEX 6596 TILEPROP INT_FEEDTHRU_2_X41Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y99 NAME INT_FEEDTHRU_2_X41Y99 TILEPROP INT_FEEDTHRU_2_X41Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y99 ROW 57 TILEPROP INT_FEEDTHRU_2_X41Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y99 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y99 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y99 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y99 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_2_X41Y99 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y100 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y100 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_2_X41Y100 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_2_X41Y100 INDEX 6481 TILEPROP INT_FEEDTHRU_2_X41Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y100 NAME INT_FEEDTHRU_2_X41Y100 TILEPROP INT_FEEDTHRU_2_X41Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y100 ROW 56 TILEPROP INT_FEEDTHRU_2_X41Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y100 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y100 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y100 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y100 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_2_X41Y100 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y101 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y101 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_2_X41Y101 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_2_X41Y101 INDEX 6366 TILEPROP INT_FEEDTHRU_2_X41Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y101 NAME INT_FEEDTHRU_2_X41Y101 TILEPROP INT_FEEDTHRU_2_X41Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y101 ROW 55 TILEPROP INT_FEEDTHRU_2_X41Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y101 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y101 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y101 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y101 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_2_X41Y101 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y102 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y102 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_2_X41Y102 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_2_X41Y102 INDEX 6251 TILEPROP INT_FEEDTHRU_2_X41Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y102 NAME INT_FEEDTHRU_2_X41Y102 TILEPROP INT_FEEDTHRU_2_X41Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y102 ROW 54 TILEPROP INT_FEEDTHRU_2_X41Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y102 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y102 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y102 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y102 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_2_X41Y102 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y103 CLASS tile TILEPROP INT_FEEDTHRU_2_X41Y103 COLUMN 41 TILEPROP INT_FEEDTHRU_2_X41Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_2_X41Y103 GRID_POINT_X 41 TILEPROP INT_FEEDTHRU_2_X41Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_2_X41Y103 INDEX 6136 TILEPROP INT_FEEDTHRU_2_X41Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X41Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X41Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X41Y103 NAME INT_FEEDTHRU_2_X41Y103 TILEPROP INT_FEEDTHRU_2_X41Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X41Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X41Y103 ROW 53 TILEPROP INT_FEEDTHRU_2_X41Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X41Y103 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X41Y103 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X41Y103 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X41Y103 TILE_X -27464 TILEPROP INT_FEEDTHRU_2_X41Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_2_X41Y103 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y1 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y1 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_2_X44Y1 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_2_X44Y1 INDEX 17869 TILEPROP INT_FEEDTHRU_2_X44Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y1 NAME INT_FEEDTHRU_2_X44Y1 TILEPROP INT_FEEDTHRU_2_X44Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y1 ROW 155 TILEPROP INT_FEEDTHRU_2_X44Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y1 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y1 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y1 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y1 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_2_X44Y1 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y2 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y2 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_2_X44Y2 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_2_X44Y2 INDEX 17754 TILEPROP INT_FEEDTHRU_2_X44Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y2 NAME INT_FEEDTHRU_2_X44Y2 TILEPROP INT_FEEDTHRU_2_X44Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y2 ROW 154 TILEPROP INT_FEEDTHRU_2_X44Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y2 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y2 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y2 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y2 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_2_X44Y2 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y3 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y3 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_2_X44Y3 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_2_X44Y3 INDEX 17639 TILEPROP INT_FEEDTHRU_2_X44Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y3 NAME INT_FEEDTHRU_2_X44Y3 TILEPROP INT_FEEDTHRU_2_X44Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y3 ROW 153 TILEPROP INT_FEEDTHRU_2_X44Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y3 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y3 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y3 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y3 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_2_X44Y3 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y4 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y4 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_2_X44Y4 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_2_X44Y4 INDEX 17524 TILEPROP INT_FEEDTHRU_2_X44Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y4 NAME INT_FEEDTHRU_2_X44Y4 TILEPROP INT_FEEDTHRU_2_X44Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y4 ROW 152 TILEPROP INT_FEEDTHRU_2_X44Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y4 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y4 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y4 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y4 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_2_X44Y4 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y5 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y5 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_2_X44Y5 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_2_X44Y5 INDEX 17409 TILEPROP INT_FEEDTHRU_2_X44Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y5 NAME INT_FEEDTHRU_2_X44Y5 TILEPROP INT_FEEDTHRU_2_X44Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y5 ROW 151 TILEPROP INT_FEEDTHRU_2_X44Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y5 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y5 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y5 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y5 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_2_X44Y5 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y6 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y6 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_2_X44Y6 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_2_X44Y6 INDEX 17294 TILEPROP INT_FEEDTHRU_2_X44Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y6 NAME INT_FEEDTHRU_2_X44Y6 TILEPROP INT_FEEDTHRU_2_X44Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y6 ROW 150 TILEPROP INT_FEEDTHRU_2_X44Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y6 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y6 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y6 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y6 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_2_X44Y6 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y7 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y7 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_2_X44Y7 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_2_X44Y7 INDEX 17179 TILEPROP INT_FEEDTHRU_2_X44Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y7 NAME INT_FEEDTHRU_2_X44Y7 TILEPROP INT_FEEDTHRU_2_X44Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y7 ROW 149 TILEPROP INT_FEEDTHRU_2_X44Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y7 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y7 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y7 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y7 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_2_X44Y7 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y8 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y8 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_2_X44Y8 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_2_X44Y8 INDEX 17064 TILEPROP INT_FEEDTHRU_2_X44Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y8 NAME INT_FEEDTHRU_2_X44Y8 TILEPROP INT_FEEDTHRU_2_X44Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y8 ROW 148 TILEPROP INT_FEEDTHRU_2_X44Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y8 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y8 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y8 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y8 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_2_X44Y8 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y9 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y9 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_2_X44Y9 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_2_X44Y9 INDEX 16949 TILEPROP INT_FEEDTHRU_2_X44Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y9 NAME INT_FEEDTHRU_2_X44Y9 TILEPROP INT_FEEDTHRU_2_X44Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y9 ROW 147 TILEPROP INT_FEEDTHRU_2_X44Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y9 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y9 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y9 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y9 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_2_X44Y9 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y10 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y10 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_2_X44Y10 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_2_X44Y10 INDEX 16834 TILEPROP INT_FEEDTHRU_2_X44Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y10 NAME INT_FEEDTHRU_2_X44Y10 TILEPROP INT_FEEDTHRU_2_X44Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y10 ROW 146 TILEPROP INT_FEEDTHRU_2_X44Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y10 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y10 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y10 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y10 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_2_X44Y10 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y11 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y11 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_2_X44Y11 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_2_X44Y11 INDEX 16719 TILEPROP INT_FEEDTHRU_2_X44Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y11 NAME INT_FEEDTHRU_2_X44Y11 TILEPROP INT_FEEDTHRU_2_X44Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y11 ROW 145 TILEPROP INT_FEEDTHRU_2_X44Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y11 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y11 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y11 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y11 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_2_X44Y11 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y12 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y12 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_2_X44Y12 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_2_X44Y12 INDEX 16604 TILEPROP INT_FEEDTHRU_2_X44Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y12 NAME INT_FEEDTHRU_2_X44Y12 TILEPROP INT_FEEDTHRU_2_X44Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y12 ROW 144 TILEPROP INT_FEEDTHRU_2_X44Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y12 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y12 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y12 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y12 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_2_X44Y12 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y13 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y13 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_2_X44Y13 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_2_X44Y13 INDEX 16489 TILEPROP INT_FEEDTHRU_2_X44Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y13 NAME INT_FEEDTHRU_2_X44Y13 TILEPROP INT_FEEDTHRU_2_X44Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y13 ROW 143 TILEPROP INT_FEEDTHRU_2_X44Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y13 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y13 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y13 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y13 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_2_X44Y13 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y14 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y14 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_2_X44Y14 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_2_X44Y14 INDEX 16374 TILEPROP INT_FEEDTHRU_2_X44Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y14 NAME INT_FEEDTHRU_2_X44Y14 TILEPROP INT_FEEDTHRU_2_X44Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y14 ROW 142 TILEPROP INT_FEEDTHRU_2_X44Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y14 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y14 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y14 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y14 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_2_X44Y14 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y15 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y15 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_2_X44Y15 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_2_X44Y15 INDEX 16259 TILEPROP INT_FEEDTHRU_2_X44Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y15 NAME INT_FEEDTHRU_2_X44Y15 TILEPROP INT_FEEDTHRU_2_X44Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y15 ROW 141 TILEPROP INT_FEEDTHRU_2_X44Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y15 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y15 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y15 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y15 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_2_X44Y15 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y16 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y16 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_2_X44Y16 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_2_X44Y16 INDEX 16144 TILEPROP INT_FEEDTHRU_2_X44Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y16 NAME INT_FEEDTHRU_2_X44Y16 TILEPROP INT_FEEDTHRU_2_X44Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y16 ROW 140 TILEPROP INT_FEEDTHRU_2_X44Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y16 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y16 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y16 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y16 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_2_X44Y16 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y17 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y17 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_2_X44Y17 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_2_X44Y17 INDEX 16029 TILEPROP INT_FEEDTHRU_2_X44Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y17 NAME INT_FEEDTHRU_2_X44Y17 TILEPROP INT_FEEDTHRU_2_X44Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y17 ROW 139 TILEPROP INT_FEEDTHRU_2_X44Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y17 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y17 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y17 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y17 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_2_X44Y17 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y18 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y18 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_2_X44Y18 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_2_X44Y18 INDEX 15914 TILEPROP INT_FEEDTHRU_2_X44Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y18 NAME INT_FEEDTHRU_2_X44Y18 TILEPROP INT_FEEDTHRU_2_X44Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y18 ROW 138 TILEPROP INT_FEEDTHRU_2_X44Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y18 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y18 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y18 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y18 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_2_X44Y18 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y19 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y19 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_2_X44Y19 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_2_X44Y19 INDEX 15799 TILEPROP INT_FEEDTHRU_2_X44Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y19 NAME INT_FEEDTHRU_2_X44Y19 TILEPROP INT_FEEDTHRU_2_X44Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y19 ROW 137 TILEPROP INT_FEEDTHRU_2_X44Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y19 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y19 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y19 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y19 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_2_X44Y19 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y20 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y20 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_2_X44Y20 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_2_X44Y20 INDEX 15684 TILEPROP INT_FEEDTHRU_2_X44Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y20 NAME INT_FEEDTHRU_2_X44Y20 TILEPROP INT_FEEDTHRU_2_X44Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y20 ROW 136 TILEPROP INT_FEEDTHRU_2_X44Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y20 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y20 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y20 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y20 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_2_X44Y20 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y21 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y21 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_2_X44Y21 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_2_X44Y21 INDEX 15569 TILEPROP INT_FEEDTHRU_2_X44Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y21 NAME INT_FEEDTHRU_2_X44Y21 TILEPROP INT_FEEDTHRU_2_X44Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y21 ROW 135 TILEPROP INT_FEEDTHRU_2_X44Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y21 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y21 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y21 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y21 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_2_X44Y21 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y22 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y22 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_2_X44Y22 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_2_X44Y22 INDEX 15454 TILEPROP INT_FEEDTHRU_2_X44Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y22 NAME INT_FEEDTHRU_2_X44Y22 TILEPROP INT_FEEDTHRU_2_X44Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y22 ROW 134 TILEPROP INT_FEEDTHRU_2_X44Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y22 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y22 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y22 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y22 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_2_X44Y22 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y23 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y23 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_2_X44Y23 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_2_X44Y23 INDEX 15339 TILEPROP INT_FEEDTHRU_2_X44Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y23 NAME INT_FEEDTHRU_2_X44Y23 TILEPROP INT_FEEDTHRU_2_X44Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y23 ROW 133 TILEPROP INT_FEEDTHRU_2_X44Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y23 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y23 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y23 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y23 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_2_X44Y23 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y24 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y24 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_2_X44Y24 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_2_X44Y24 INDEX 15224 TILEPROP INT_FEEDTHRU_2_X44Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y24 NAME INT_FEEDTHRU_2_X44Y24 TILEPROP INT_FEEDTHRU_2_X44Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y24 ROW 132 TILEPROP INT_FEEDTHRU_2_X44Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y24 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y24 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y24 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y24 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_2_X44Y24 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y25 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y25 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_2_X44Y25 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_2_X44Y25 INDEX 15109 TILEPROP INT_FEEDTHRU_2_X44Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y25 NAME INT_FEEDTHRU_2_X44Y25 TILEPROP INT_FEEDTHRU_2_X44Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y25 ROW 131 TILEPROP INT_FEEDTHRU_2_X44Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y25 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y25 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y25 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y25 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_2_X44Y25 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y27 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y27 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_2_X44Y27 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_2_X44Y27 INDEX 14879 TILEPROP INT_FEEDTHRU_2_X44Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y27 NAME INT_FEEDTHRU_2_X44Y27 TILEPROP INT_FEEDTHRU_2_X44Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y27 ROW 129 TILEPROP INT_FEEDTHRU_2_X44Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y27 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y27 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y27 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y27 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_2_X44Y27 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y28 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y28 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_2_X44Y28 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_2_X44Y28 INDEX 14764 TILEPROP INT_FEEDTHRU_2_X44Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y28 NAME INT_FEEDTHRU_2_X44Y28 TILEPROP INT_FEEDTHRU_2_X44Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y28 ROW 128 TILEPROP INT_FEEDTHRU_2_X44Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y28 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y28 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y28 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y28 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_2_X44Y28 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y29 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y29 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_2_X44Y29 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_2_X44Y29 INDEX 14649 TILEPROP INT_FEEDTHRU_2_X44Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y29 NAME INT_FEEDTHRU_2_X44Y29 TILEPROP INT_FEEDTHRU_2_X44Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y29 ROW 127 TILEPROP INT_FEEDTHRU_2_X44Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y29 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y29 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y29 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y29 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_2_X44Y29 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y30 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y30 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_2_X44Y30 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_2_X44Y30 INDEX 14534 TILEPROP INT_FEEDTHRU_2_X44Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y30 NAME INT_FEEDTHRU_2_X44Y30 TILEPROP INT_FEEDTHRU_2_X44Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y30 ROW 126 TILEPROP INT_FEEDTHRU_2_X44Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y30 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y30 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y30 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y30 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_2_X44Y30 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y31 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y31 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_2_X44Y31 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_2_X44Y31 INDEX 14419 TILEPROP INT_FEEDTHRU_2_X44Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y31 NAME INT_FEEDTHRU_2_X44Y31 TILEPROP INT_FEEDTHRU_2_X44Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y31 ROW 125 TILEPROP INT_FEEDTHRU_2_X44Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y31 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y31 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y31 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y31 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_2_X44Y31 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y32 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y32 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_2_X44Y32 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_2_X44Y32 INDEX 14304 TILEPROP INT_FEEDTHRU_2_X44Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y32 NAME INT_FEEDTHRU_2_X44Y32 TILEPROP INT_FEEDTHRU_2_X44Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y32 ROW 124 TILEPROP INT_FEEDTHRU_2_X44Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y32 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y32 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y32 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y32 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_2_X44Y32 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y33 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y33 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_2_X44Y33 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_2_X44Y33 INDEX 14189 TILEPROP INT_FEEDTHRU_2_X44Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y33 NAME INT_FEEDTHRU_2_X44Y33 TILEPROP INT_FEEDTHRU_2_X44Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y33 ROW 123 TILEPROP INT_FEEDTHRU_2_X44Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y33 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y33 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y33 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y33 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_2_X44Y33 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y34 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y34 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_2_X44Y34 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_2_X44Y34 INDEX 14074 TILEPROP INT_FEEDTHRU_2_X44Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y34 NAME INT_FEEDTHRU_2_X44Y34 TILEPROP INT_FEEDTHRU_2_X44Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y34 ROW 122 TILEPROP INT_FEEDTHRU_2_X44Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y34 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y34 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y34 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y34 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_2_X44Y34 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y35 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y35 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_2_X44Y35 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_2_X44Y35 INDEX 13959 TILEPROP INT_FEEDTHRU_2_X44Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y35 NAME INT_FEEDTHRU_2_X44Y35 TILEPROP INT_FEEDTHRU_2_X44Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y35 ROW 121 TILEPROP INT_FEEDTHRU_2_X44Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y35 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y35 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y35 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y35 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_2_X44Y35 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y36 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y36 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_2_X44Y36 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_2_X44Y36 INDEX 13844 TILEPROP INT_FEEDTHRU_2_X44Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y36 NAME INT_FEEDTHRU_2_X44Y36 TILEPROP INT_FEEDTHRU_2_X44Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y36 ROW 120 TILEPROP INT_FEEDTHRU_2_X44Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y36 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y36 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y36 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y36 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_2_X44Y36 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y37 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y37 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_2_X44Y37 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_2_X44Y37 INDEX 13729 TILEPROP INT_FEEDTHRU_2_X44Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y37 NAME INT_FEEDTHRU_2_X44Y37 TILEPROP INT_FEEDTHRU_2_X44Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y37 ROW 119 TILEPROP INT_FEEDTHRU_2_X44Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y37 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y37 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y37 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y37 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_2_X44Y37 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y38 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y38 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_2_X44Y38 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_2_X44Y38 INDEX 13614 TILEPROP INT_FEEDTHRU_2_X44Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y38 NAME INT_FEEDTHRU_2_X44Y38 TILEPROP INT_FEEDTHRU_2_X44Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y38 ROW 118 TILEPROP INT_FEEDTHRU_2_X44Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y38 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y38 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y38 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y38 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_2_X44Y38 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y39 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y39 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_2_X44Y39 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_2_X44Y39 INDEX 13499 TILEPROP INT_FEEDTHRU_2_X44Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y39 NAME INT_FEEDTHRU_2_X44Y39 TILEPROP INT_FEEDTHRU_2_X44Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y39 ROW 117 TILEPROP INT_FEEDTHRU_2_X44Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y39 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y39 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y39 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y39 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_2_X44Y39 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y40 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y40 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_2_X44Y40 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_2_X44Y40 INDEX 13384 TILEPROP INT_FEEDTHRU_2_X44Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y40 NAME INT_FEEDTHRU_2_X44Y40 TILEPROP INT_FEEDTHRU_2_X44Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y40 ROW 116 TILEPROP INT_FEEDTHRU_2_X44Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y40 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y40 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y40 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y40 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_2_X44Y40 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y41 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y41 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_2_X44Y41 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_2_X44Y41 INDEX 13269 TILEPROP INT_FEEDTHRU_2_X44Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y41 NAME INT_FEEDTHRU_2_X44Y41 TILEPROP INT_FEEDTHRU_2_X44Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y41 ROW 115 TILEPROP INT_FEEDTHRU_2_X44Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y41 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y41 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y41 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y41 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_2_X44Y41 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y42 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y42 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_2_X44Y42 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_2_X44Y42 INDEX 13154 TILEPROP INT_FEEDTHRU_2_X44Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y42 NAME INT_FEEDTHRU_2_X44Y42 TILEPROP INT_FEEDTHRU_2_X44Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y42 ROW 114 TILEPROP INT_FEEDTHRU_2_X44Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y42 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y42 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y42 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y42 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_2_X44Y42 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y43 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y43 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_2_X44Y43 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_2_X44Y43 INDEX 13039 TILEPROP INT_FEEDTHRU_2_X44Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y43 NAME INT_FEEDTHRU_2_X44Y43 TILEPROP INT_FEEDTHRU_2_X44Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y43 ROW 113 TILEPROP INT_FEEDTHRU_2_X44Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y43 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y43 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y43 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y43 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_2_X44Y43 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y44 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y44 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_2_X44Y44 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_2_X44Y44 INDEX 12924 TILEPROP INT_FEEDTHRU_2_X44Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y44 NAME INT_FEEDTHRU_2_X44Y44 TILEPROP INT_FEEDTHRU_2_X44Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y44 ROW 112 TILEPROP INT_FEEDTHRU_2_X44Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y44 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y44 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y44 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y44 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_2_X44Y44 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y45 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y45 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_2_X44Y45 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_2_X44Y45 INDEX 12809 TILEPROP INT_FEEDTHRU_2_X44Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y45 NAME INT_FEEDTHRU_2_X44Y45 TILEPROP INT_FEEDTHRU_2_X44Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y45 ROW 111 TILEPROP INT_FEEDTHRU_2_X44Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y45 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y45 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y45 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y45 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_2_X44Y45 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y46 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y46 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_2_X44Y46 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_2_X44Y46 INDEX 12694 TILEPROP INT_FEEDTHRU_2_X44Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y46 NAME INT_FEEDTHRU_2_X44Y46 TILEPROP INT_FEEDTHRU_2_X44Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y46 ROW 110 TILEPROP INT_FEEDTHRU_2_X44Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y46 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y46 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y46 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y46 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_2_X44Y46 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y47 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y47 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_2_X44Y47 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_2_X44Y47 INDEX 12579 TILEPROP INT_FEEDTHRU_2_X44Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y47 NAME INT_FEEDTHRU_2_X44Y47 TILEPROP INT_FEEDTHRU_2_X44Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y47 ROW 109 TILEPROP INT_FEEDTHRU_2_X44Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y47 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y47 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y47 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y47 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_2_X44Y47 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y48 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y48 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_2_X44Y48 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_2_X44Y48 INDEX 12464 TILEPROP INT_FEEDTHRU_2_X44Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y48 NAME INT_FEEDTHRU_2_X44Y48 TILEPROP INT_FEEDTHRU_2_X44Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y48 ROW 108 TILEPROP INT_FEEDTHRU_2_X44Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y48 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y48 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y48 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y48 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_2_X44Y48 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y49 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y49 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_2_X44Y49 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_2_X44Y49 INDEX 12349 TILEPROP INT_FEEDTHRU_2_X44Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y49 NAME INT_FEEDTHRU_2_X44Y49 TILEPROP INT_FEEDTHRU_2_X44Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y49 ROW 107 TILEPROP INT_FEEDTHRU_2_X44Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y49 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y49 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y49 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y49 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_2_X44Y49 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y50 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y50 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_2_X44Y50 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_2_X44Y50 INDEX 12234 TILEPROP INT_FEEDTHRU_2_X44Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y50 NAME INT_FEEDTHRU_2_X44Y50 TILEPROP INT_FEEDTHRU_2_X44Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y50 ROW 106 TILEPROP INT_FEEDTHRU_2_X44Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y50 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y50 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y50 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y50 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_2_X44Y50 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y51 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y51 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_2_X44Y51 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_2_X44Y51 INDEX 12119 TILEPROP INT_FEEDTHRU_2_X44Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y51 NAME INT_FEEDTHRU_2_X44Y51 TILEPROP INT_FEEDTHRU_2_X44Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y51 ROW 105 TILEPROP INT_FEEDTHRU_2_X44Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y51 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y51 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y51 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y51 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_2_X44Y51 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y53 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y53 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_2_X44Y53 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_2_X44Y53 INDEX 11889 TILEPROP INT_FEEDTHRU_2_X44Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y53 NAME INT_FEEDTHRU_2_X44Y53 TILEPROP INT_FEEDTHRU_2_X44Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y53 ROW 103 TILEPROP INT_FEEDTHRU_2_X44Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y53 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y53 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y53 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y53 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_2_X44Y53 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y54 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y54 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_2_X44Y54 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_2_X44Y54 INDEX 11774 TILEPROP INT_FEEDTHRU_2_X44Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y54 NAME INT_FEEDTHRU_2_X44Y54 TILEPROP INT_FEEDTHRU_2_X44Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y54 ROW 102 TILEPROP INT_FEEDTHRU_2_X44Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y54 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y54 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y54 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y54 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_2_X44Y54 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y55 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y55 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_2_X44Y55 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_2_X44Y55 INDEX 11659 TILEPROP INT_FEEDTHRU_2_X44Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y55 NAME INT_FEEDTHRU_2_X44Y55 TILEPROP INT_FEEDTHRU_2_X44Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y55 ROW 101 TILEPROP INT_FEEDTHRU_2_X44Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y55 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y55 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y55 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y55 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_2_X44Y55 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y56 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y56 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_2_X44Y56 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_2_X44Y56 INDEX 11544 TILEPROP INT_FEEDTHRU_2_X44Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y56 NAME INT_FEEDTHRU_2_X44Y56 TILEPROP INT_FEEDTHRU_2_X44Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y56 ROW 100 TILEPROP INT_FEEDTHRU_2_X44Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y56 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y56 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y56 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y56 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_2_X44Y56 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y57 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y57 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_2_X44Y57 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_2_X44Y57 INDEX 11429 TILEPROP INT_FEEDTHRU_2_X44Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y57 NAME INT_FEEDTHRU_2_X44Y57 TILEPROP INT_FEEDTHRU_2_X44Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y57 ROW 99 TILEPROP INT_FEEDTHRU_2_X44Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y57 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y57 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y57 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y57 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_2_X44Y57 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y58 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y58 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_2_X44Y58 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_2_X44Y58 INDEX 11314 TILEPROP INT_FEEDTHRU_2_X44Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y58 NAME INT_FEEDTHRU_2_X44Y58 TILEPROP INT_FEEDTHRU_2_X44Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y58 ROW 98 TILEPROP INT_FEEDTHRU_2_X44Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y58 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y58 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y58 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y58 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_2_X44Y58 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y59 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y59 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_2_X44Y59 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_2_X44Y59 INDEX 11199 TILEPROP INT_FEEDTHRU_2_X44Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y59 NAME INT_FEEDTHRU_2_X44Y59 TILEPROP INT_FEEDTHRU_2_X44Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y59 ROW 97 TILEPROP INT_FEEDTHRU_2_X44Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y59 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y59 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y59 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y59 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_2_X44Y59 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y60 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y60 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_2_X44Y60 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_2_X44Y60 INDEX 11084 TILEPROP INT_FEEDTHRU_2_X44Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y60 NAME INT_FEEDTHRU_2_X44Y60 TILEPROP INT_FEEDTHRU_2_X44Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y60 ROW 96 TILEPROP INT_FEEDTHRU_2_X44Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y60 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y60 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y60 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y60 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_2_X44Y60 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y61 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y61 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_2_X44Y61 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_2_X44Y61 INDEX 10969 TILEPROP INT_FEEDTHRU_2_X44Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y61 NAME INT_FEEDTHRU_2_X44Y61 TILEPROP INT_FEEDTHRU_2_X44Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y61 ROW 95 TILEPROP INT_FEEDTHRU_2_X44Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y61 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y61 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y61 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y61 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_2_X44Y61 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y62 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y62 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_2_X44Y62 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_2_X44Y62 INDEX 10854 TILEPROP INT_FEEDTHRU_2_X44Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y62 NAME INT_FEEDTHRU_2_X44Y62 TILEPROP INT_FEEDTHRU_2_X44Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y62 ROW 94 TILEPROP INT_FEEDTHRU_2_X44Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y62 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y62 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y62 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y62 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_2_X44Y62 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y63 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y63 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_2_X44Y63 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_2_X44Y63 INDEX 10739 TILEPROP INT_FEEDTHRU_2_X44Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y63 NAME INT_FEEDTHRU_2_X44Y63 TILEPROP INT_FEEDTHRU_2_X44Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y63 ROW 93 TILEPROP INT_FEEDTHRU_2_X44Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y63 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y63 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y63 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y63 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_2_X44Y63 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y64 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y64 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_2_X44Y64 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_2_X44Y64 INDEX 10624 TILEPROP INT_FEEDTHRU_2_X44Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y64 NAME INT_FEEDTHRU_2_X44Y64 TILEPROP INT_FEEDTHRU_2_X44Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y64 ROW 92 TILEPROP INT_FEEDTHRU_2_X44Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y64 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y64 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y64 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y64 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_2_X44Y64 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y65 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y65 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_2_X44Y65 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_2_X44Y65 INDEX 10509 TILEPROP INT_FEEDTHRU_2_X44Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y65 NAME INT_FEEDTHRU_2_X44Y65 TILEPROP INT_FEEDTHRU_2_X44Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y65 ROW 91 TILEPROP INT_FEEDTHRU_2_X44Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y65 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y65 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y65 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y65 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_2_X44Y65 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y66 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y66 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_2_X44Y66 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_2_X44Y66 INDEX 10394 TILEPROP INT_FEEDTHRU_2_X44Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y66 NAME INT_FEEDTHRU_2_X44Y66 TILEPROP INT_FEEDTHRU_2_X44Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y66 ROW 90 TILEPROP INT_FEEDTHRU_2_X44Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y66 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y66 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y66 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y66 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_2_X44Y66 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y67 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y67 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_2_X44Y67 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_2_X44Y67 INDEX 10279 TILEPROP INT_FEEDTHRU_2_X44Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y67 NAME INT_FEEDTHRU_2_X44Y67 TILEPROP INT_FEEDTHRU_2_X44Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y67 ROW 89 TILEPROP INT_FEEDTHRU_2_X44Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y67 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y67 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y67 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y67 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_2_X44Y67 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y68 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y68 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_2_X44Y68 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_2_X44Y68 INDEX 10164 TILEPROP INT_FEEDTHRU_2_X44Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y68 NAME INT_FEEDTHRU_2_X44Y68 TILEPROP INT_FEEDTHRU_2_X44Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y68 ROW 88 TILEPROP INT_FEEDTHRU_2_X44Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y68 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y68 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y68 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y68 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_2_X44Y68 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y69 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y69 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_2_X44Y69 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_2_X44Y69 INDEX 10049 TILEPROP INT_FEEDTHRU_2_X44Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y69 NAME INT_FEEDTHRU_2_X44Y69 TILEPROP INT_FEEDTHRU_2_X44Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y69 ROW 87 TILEPROP INT_FEEDTHRU_2_X44Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y69 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y69 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y69 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y69 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_2_X44Y69 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y70 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y70 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_2_X44Y70 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_2_X44Y70 INDEX 9934 TILEPROP INT_FEEDTHRU_2_X44Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y70 NAME INT_FEEDTHRU_2_X44Y70 TILEPROP INT_FEEDTHRU_2_X44Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y70 ROW 86 TILEPROP INT_FEEDTHRU_2_X44Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y70 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y70 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y70 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y70 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_2_X44Y70 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y71 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y71 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_2_X44Y71 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_2_X44Y71 INDEX 9819 TILEPROP INT_FEEDTHRU_2_X44Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y71 NAME INT_FEEDTHRU_2_X44Y71 TILEPROP INT_FEEDTHRU_2_X44Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y71 ROW 85 TILEPROP INT_FEEDTHRU_2_X44Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y71 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y71 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y71 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y71 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_2_X44Y71 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y72 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y72 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_2_X44Y72 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_2_X44Y72 INDEX 9704 TILEPROP INT_FEEDTHRU_2_X44Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y72 NAME INT_FEEDTHRU_2_X44Y72 TILEPROP INT_FEEDTHRU_2_X44Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y72 ROW 84 TILEPROP INT_FEEDTHRU_2_X44Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y72 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y72 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y72 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y72 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_2_X44Y72 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y73 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y73 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_2_X44Y73 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_2_X44Y73 INDEX 9589 TILEPROP INT_FEEDTHRU_2_X44Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y73 NAME INT_FEEDTHRU_2_X44Y73 TILEPROP INT_FEEDTHRU_2_X44Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y73 ROW 83 TILEPROP INT_FEEDTHRU_2_X44Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y73 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y73 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y73 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y73 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_2_X44Y73 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y74 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y74 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_2_X44Y74 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_2_X44Y74 INDEX 9474 TILEPROP INT_FEEDTHRU_2_X44Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y74 NAME INT_FEEDTHRU_2_X44Y74 TILEPROP INT_FEEDTHRU_2_X44Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y74 ROW 82 TILEPROP INT_FEEDTHRU_2_X44Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y74 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y74 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y74 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y74 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_2_X44Y74 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y75 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y75 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_2_X44Y75 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_2_X44Y75 INDEX 9359 TILEPROP INT_FEEDTHRU_2_X44Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y75 NAME INT_FEEDTHRU_2_X44Y75 TILEPROP INT_FEEDTHRU_2_X44Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y75 ROW 81 TILEPROP INT_FEEDTHRU_2_X44Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y75 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y75 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y75 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y75 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_2_X44Y75 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y76 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y76 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_2_X44Y76 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_2_X44Y76 INDEX 9244 TILEPROP INT_FEEDTHRU_2_X44Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y76 NAME INT_FEEDTHRU_2_X44Y76 TILEPROP INT_FEEDTHRU_2_X44Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y76 ROW 80 TILEPROP INT_FEEDTHRU_2_X44Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y76 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y76 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y76 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y76 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_2_X44Y76 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y77 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y77 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_2_X44Y77 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_2_X44Y77 INDEX 9129 TILEPROP INT_FEEDTHRU_2_X44Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y77 NAME INT_FEEDTHRU_2_X44Y77 TILEPROP INT_FEEDTHRU_2_X44Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y77 ROW 79 TILEPROP INT_FEEDTHRU_2_X44Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y77 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y77 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y77 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y77 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_2_X44Y77 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y79 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y79 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_2_X44Y79 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_2_X44Y79 INDEX 8899 TILEPROP INT_FEEDTHRU_2_X44Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y79 NAME INT_FEEDTHRU_2_X44Y79 TILEPROP INT_FEEDTHRU_2_X44Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y79 ROW 77 TILEPROP INT_FEEDTHRU_2_X44Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y79 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y79 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y79 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y79 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_2_X44Y79 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y80 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y80 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_2_X44Y80 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_2_X44Y80 INDEX 8784 TILEPROP INT_FEEDTHRU_2_X44Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y80 NAME INT_FEEDTHRU_2_X44Y80 TILEPROP INT_FEEDTHRU_2_X44Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y80 ROW 76 TILEPROP INT_FEEDTHRU_2_X44Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y80 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y80 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y80 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y80 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_2_X44Y80 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y81 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y81 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_2_X44Y81 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_2_X44Y81 INDEX 8669 TILEPROP INT_FEEDTHRU_2_X44Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y81 NAME INT_FEEDTHRU_2_X44Y81 TILEPROP INT_FEEDTHRU_2_X44Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y81 ROW 75 TILEPROP INT_FEEDTHRU_2_X44Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y81 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y81 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y81 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y81 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_2_X44Y81 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y82 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y82 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_2_X44Y82 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_2_X44Y82 INDEX 8554 TILEPROP INT_FEEDTHRU_2_X44Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y82 NAME INT_FEEDTHRU_2_X44Y82 TILEPROP INT_FEEDTHRU_2_X44Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y82 ROW 74 TILEPROP INT_FEEDTHRU_2_X44Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y82 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y82 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y82 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y82 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_2_X44Y82 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y83 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y83 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_2_X44Y83 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_2_X44Y83 INDEX 8439 TILEPROP INT_FEEDTHRU_2_X44Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y83 NAME INT_FEEDTHRU_2_X44Y83 TILEPROP INT_FEEDTHRU_2_X44Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y83 ROW 73 TILEPROP INT_FEEDTHRU_2_X44Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y83 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y83 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y83 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y83 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_2_X44Y83 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y84 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y84 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_2_X44Y84 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_2_X44Y84 INDEX 8324 TILEPROP INT_FEEDTHRU_2_X44Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y84 NAME INT_FEEDTHRU_2_X44Y84 TILEPROP INT_FEEDTHRU_2_X44Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y84 ROW 72 TILEPROP INT_FEEDTHRU_2_X44Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y84 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y84 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y84 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y84 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_2_X44Y84 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y85 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y85 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_2_X44Y85 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_2_X44Y85 INDEX 8209 TILEPROP INT_FEEDTHRU_2_X44Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y85 NAME INT_FEEDTHRU_2_X44Y85 TILEPROP INT_FEEDTHRU_2_X44Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y85 ROW 71 TILEPROP INT_FEEDTHRU_2_X44Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y85 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y85 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y85 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y85 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_2_X44Y85 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y86 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y86 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_2_X44Y86 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_2_X44Y86 INDEX 8094 TILEPROP INT_FEEDTHRU_2_X44Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y86 NAME INT_FEEDTHRU_2_X44Y86 TILEPROP INT_FEEDTHRU_2_X44Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y86 ROW 70 TILEPROP INT_FEEDTHRU_2_X44Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y86 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y86 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y86 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y86 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_2_X44Y86 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y87 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y87 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_2_X44Y87 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_2_X44Y87 INDEX 7979 TILEPROP INT_FEEDTHRU_2_X44Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y87 NAME INT_FEEDTHRU_2_X44Y87 TILEPROP INT_FEEDTHRU_2_X44Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y87 ROW 69 TILEPROP INT_FEEDTHRU_2_X44Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y87 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y87 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y87 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y87 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_2_X44Y87 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y88 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y88 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_2_X44Y88 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_2_X44Y88 INDEX 7864 TILEPROP INT_FEEDTHRU_2_X44Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y88 NAME INT_FEEDTHRU_2_X44Y88 TILEPROP INT_FEEDTHRU_2_X44Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y88 ROW 68 TILEPROP INT_FEEDTHRU_2_X44Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y88 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y88 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y88 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y88 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_2_X44Y88 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y89 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y89 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_2_X44Y89 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_2_X44Y89 INDEX 7749 TILEPROP INT_FEEDTHRU_2_X44Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y89 NAME INT_FEEDTHRU_2_X44Y89 TILEPROP INT_FEEDTHRU_2_X44Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y89 ROW 67 TILEPROP INT_FEEDTHRU_2_X44Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y89 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y89 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y89 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y89 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_2_X44Y89 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y90 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y90 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_2_X44Y90 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_2_X44Y90 INDEX 7634 TILEPROP INT_FEEDTHRU_2_X44Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y90 NAME INT_FEEDTHRU_2_X44Y90 TILEPROP INT_FEEDTHRU_2_X44Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y90 ROW 66 TILEPROP INT_FEEDTHRU_2_X44Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y90 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y90 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y90 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y90 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_2_X44Y90 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y91 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y91 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_2_X44Y91 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_2_X44Y91 INDEX 7519 TILEPROP INT_FEEDTHRU_2_X44Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y91 NAME INT_FEEDTHRU_2_X44Y91 TILEPROP INT_FEEDTHRU_2_X44Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y91 ROW 65 TILEPROP INT_FEEDTHRU_2_X44Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y91 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y91 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y91 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y91 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_2_X44Y91 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y92 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y92 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_2_X44Y92 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_2_X44Y92 INDEX 7404 TILEPROP INT_FEEDTHRU_2_X44Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y92 NAME INT_FEEDTHRU_2_X44Y92 TILEPROP INT_FEEDTHRU_2_X44Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y92 ROW 64 TILEPROP INT_FEEDTHRU_2_X44Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y92 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y92 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y92 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y92 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_2_X44Y92 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y93 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y93 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_2_X44Y93 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_2_X44Y93 INDEX 7289 TILEPROP INT_FEEDTHRU_2_X44Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y93 NAME INT_FEEDTHRU_2_X44Y93 TILEPROP INT_FEEDTHRU_2_X44Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y93 ROW 63 TILEPROP INT_FEEDTHRU_2_X44Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y93 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y93 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y93 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y93 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_2_X44Y93 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y94 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y94 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_2_X44Y94 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_2_X44Y94 INDEX 7174 TILEPROP INT_FEEDTHRU_2_X44Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y94 NAME INT_FEEDTHRU_2_X44Y94 TILEPROP INT_FEEDTHRU_2_X44Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y94 ROW 62 TILEPROP INT_FEEDTHRU_2_X44Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y94 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y94 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y94 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y94 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_2_X44Y94 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y95 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y95 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_2_X44Y95 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_2_X44Y95 INDEX 7059 TILEPROP INT_FEEDTHRU_2_X44Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y95 NAME INT_FEEDTHRU_2_X44Y95 TILEPROP INT_FEEDTHRU_2_X44Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y95 ROW 61 TILEPROP INT_FEEDTHRU_2_X44Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y95 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y95 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y95 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y95 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_2_X44Y95 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y96 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y96 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_2_X44Y96 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_2_X44Y96 INDEX 6944 TILEPROP INT_FEEDTHRU_2_X44Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y96 NAME INT_FEEDTHRU_2_X44Y96 TILEPROP INT_FEEDTHRU_2_X44Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y96 ROW 60 TILEPROP INT_FEEDTHRU_2_X44Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y96 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y96 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y96 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y96 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_2_X44Y96 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y97 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y97 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_2_X44Y97 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_2_X44Y97 INDEX 6829 TILEPROP INT_FEEDTHRU_2_X44Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y97 NAME INT_FEEDTHRU_2_X44Y97 TILEPROP INT_FEEDTHRU_2_X44Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y97 ROW 59 TILEPROP INT_FEEDTHRU_2_X44Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y97 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y97 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y97 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y97 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_2_X44Y97 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y98 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y98 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_2_X44Y98 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_2_X44Y98 INDEX 6714 TILEPROP INT_FEEDTHRU_2_X44Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y98 NAME INT_FEEDTHRU_2_X44Y98 TILEPROP INT_FEEDTHRU_2_X44Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y98 ROW 58 TILEPROP INT_FEEDTHRU_2_X44Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y98 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y98 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y98 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y98 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_2_X44Y98 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y99 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y99 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_2_X44Y99 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_2_X44Y99 INDEX 6599 TILEPROP INT_FEEDTHRU_2_X44Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y99 NAME INT_FEEDTHRU_2_X44Y99 TILEPROP INT_FEEDTHRU_2_X44Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y99 ROW 57 TILEPROP INT_FEEDTHRU_2_X44Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y99 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y99 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y99 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y99 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_2_X44Y99 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y100 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y100 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_2_X44Y100 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_2_X44Y100 INDEX 6484 TILEPROP INT_FEEDTHRU_2_X44Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y100 NAME INT_FEEDTHRU_2_X44Y100 TILEPROP INT_FEEDTHRU_2_X44Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y100 ROW 56 TILEPROP INT_FEEDTHRU_2_X44Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y100 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y100 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y100 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y100 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_2_X44Y100 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y101 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y101 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_2_X44Y101 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_2_X44Y101 INDEX 6369 TILEPROP INT_FEEDTHRU_2_X44Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y101 NAME INT_FEEDTHRU_2_X44Y101 TILEPROP INT_FEEDTHRU_2_X44Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y101 ROW 55 TILEPROP INT_FEEDTHRU_2_X44Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y101 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y101 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y101 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y101 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_2_X44Y101 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y102 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y102 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_2_X44Y102 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_2_X44Y102 INDEX 6254 TILEPROP INT_FEEDTHRU_2_X44Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y102 NAME INT_FEEDTHRU_2_X44Y102 TILEPROP INT_FEEDTHRU_2_X44Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y102 ROW 54 TILEPROP INT_FEEDTHRU_2_X44Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y102 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y102 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y102 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y102 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_2_X44Y102 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y103 CLASS tile TILEPROP INT_FEEDTHRU_2_X44Y103 COLUMN 44 TILEPROP INT_FEEDTHRU_2_X44Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_2_X44Y103 GRID_POINT_X 44 TILEPROP INT_FEEDTHRU_2_X44Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_2_X44Y103 INDEX 6139 TILEPROP INT_FEEDTHRU_2_X44Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X44Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X44Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X44Y103 NAME INT_FEEDTHRU_2_X44Y103 TILEPROP INT_FEEDTHRU_2_X44Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X44Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X44Y103 ROW 53 TILEPROP INT_FEEDTHRU_2_X44Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X44Y103 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X44Y103 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X44Y103 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X44Y103 TILE_X -22616 TILEPROP INT_FEEDTHRU_2_X44Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_2_X44Y103 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y1 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y1 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y1 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y1 FIRST_SITE_ID 15740 TILEPROP INT_FEEDTHRU_2_X45Y1 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y1 GRID_POINT_Y 155 TILEPROP INT_FEEDTHRU_2_X45Y1 INDEX 17870 TILEPROP INT_FEEDTHRU_2_X45Y1 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y1 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y1 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y1 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y1 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y1 NAME INT_FEEDTHRU_2_X45Y1 TILEPROP INT_FEEDTHRU_2_X45Y1 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y1 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y1 ROW 155 TILEPROP INT_FEEDTHRU_2_X45Y1 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y1 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y1 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y1 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y1 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y1 TILE_Y -239672 TILEPROP INT_FEEDTHRU_2_X45Y1 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y2 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y2 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y2 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y2 FIRST_SITE_ID 15629 TILEPROP INT_FEEDTHRU_2_X45Y2 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y2 GRID_POINT_Y 154 TILEPROP INT_FEEDTHRU_2_X45Y2 INDEX 17755 TILEPROP INT_FEEDTHRU_2_X45Y2 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y2 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y2 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y2 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y2 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y2 NAME INT_FEEDTHRU_2_X45Y2 TILEPROP INT_FEEDTHRU_2_X45Y2 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y2 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y2 ROW 154 TILEPROP INT_FEEDTHRU_2_X45Y2 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y2 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y2 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y2 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y2 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y2 TILE_Y -236472 TILEPROP INT_FEEDTHRU_2_X45Y2 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y3 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y3 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y3 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y3 FIRST_SITE_ID 15529 TILEPROP INT_FEEDTHRU_2_X45Y3 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y3 GRID_POINT_Y 153 TILEPROP INT_FEEDTHRU_2_X45Y3 INDEX 17640 TILEPROP INT_FEEDTHRU_2_X45Y3 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y3 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y3 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y3 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y3 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y3 NAME INT_FEEDTHRU_2_X45Y3 TILEPROP INT_FEEDTHRU_2_X45Y3 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y3 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y3 ROW 153 TILEPROP INT_FEEDTHRU_2_X45Y3 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y3 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y3 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y3 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y3 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y3 TILE_Y -233272 TILEPROP INT_FEEDTHRU_2_X45Y3 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y4 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y4 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y4 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y4 FIRST_SITE_ID 15429 TILEPROP INT_FEEDTHRU_2_X45Y4 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y4 GRID_POINT_Y 152 TILEPROP INT_FEEDTHRU_2_X45Y4 INDEX 17525 TILEPROP INT_FEEDTHRU_2_X45Y4 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y4 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y4 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y4 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y4 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y4 NAME INT_FEEDTHRU_2_X45Y4 TILEPROP INT_FEEDTHRU_2_X45Y4 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y4 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y4 ROW 152 TILEPROP INT_FEEDTHRU_2_X45Y4 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y4 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y4 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y4 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y4 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y4 TILE_Y -230072 TILEPROP INT_FEEDTHRU_2_X45Y4 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y5 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y5 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y5 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y5 FIRST_SITE_ID 15329 TILEPROP INT_FEEDTHRU_2_X45Y5 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y5 GRID_POINT_Y 151 TILEPROP INT_FEEDTHRU_2_X45Y5 INDEX 17410 TILEPROP INT_FEEDTHRU_2_X45Y5 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y5 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y5 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y5 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y5 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y5 NAME INT_FEEDTHRU_2_X45Y5 TILEPROP INT_FEEDTHRU_2_X45Y5 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y5 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y5 ROW 151 TILEPROP INT_FEEDTHRU_2_X45Y5 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y5 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y5 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y5 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y5 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y5 TILE_Y -226872 TILEPROP INT_FEEDTHRU_2_X45Y5 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y6 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y6 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y6 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y6 FIRST_SITE_ID 15220 TILEPROP INT_FEEDTHRU_2_X45Y6 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y6 GRID_POINT_Y 150 TILEPROP INT_FEEDTHRU_2_X45Y6 INDEX 17295 TILEPROP INT_FEEDTHRU_2_X45Y6 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y6 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y6 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y6 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y6 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y6 NAME INT_FEEDTHRU_2_X45Y6 TILEPROP INT_FEEDTHRU_2_X45Y6 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y6 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y6 ROW 150 TILEPROP INT_FEEDTHRU_2_X45Y6 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y6 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y6 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y6 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y6 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y6 TILE_Y -223672 TILEPROP INT_FEEDTHRU_2_X45Y6 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y7 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y7 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y7 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y7 FIRST_SITE_ID 15114 TILEPROP INT_FEEDTHRU_2_X45Y7 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y7 GRID_POINT_Y 149 TILEPROP INT_FEEDTHRU_2_X45Y7 INDEX 17180 TILEPROP INT_FEEDTHRU_2_X45Y7 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y7 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y7 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y7 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y7 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y7 NAME INT_FEEDTHRU_2_X45Y7 TILEPROP INT_FEEDTHRU_2_X45Y7 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y7 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y7 ROW 149 TILEPROP INT_FEEDTHRU_2_X45Y7 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y7 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y7 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y7 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y7 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y7 TILE_Y -220472 TILEPROP INT_FEEDTHRU_2_X45Y7 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y8 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y8 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y8 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y8 FIRST_SITE_ID 15012 TILEPROP INT_FEEDTHRU_2_X45Y8 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y8 GRID_POINT_Y 148 TILEPROP INT_FEEDTHRU_2_X45Y8 INDEX 17065 TILEPROP INT_FEEDTHRU_2_X45Y8 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y8 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y8 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y8 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y8 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y8 NAME INT_FEEDTHRU_2_X45Y8 TILEPROP INT_FEEDTHRU_2_X45Y8 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y8 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y8 ROW 148 TILEPROP INT_FEEDTHRU_2_X45Y8 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y8 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y8 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y8 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y8 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y8 TILE_Y -217272 TILEPROP INT_FEEDTHRU_2_X45Y8 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y9 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y9 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y9 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y9 FIRST_SITE_ID 14909 TILEPROP INT_FEEDTHRU_2_X45Y9 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y9 GRID_POINT_Y 147 TILEPROP INT_FEEDTHRU_2_X45Y9 INDEX 16950 TILEPROP INT_FEEDTHRU_2_X45Y9 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y9 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y9 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y9 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y9 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y9 NAME INT_FEEDTHRU_2_X45Y9 TILEPROP INT_FEEDTHRU_2_X45Y9 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y9 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y9 ROW 147 TILEPROP INT_FEEDTHRU_2_X45Y9 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y9 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y9 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y9 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y9 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y9 TILE_Y -214072 TILEPROP INT_FEEDTHRU_2_X45Y9 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y10 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y10 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y10 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y10 FIRST_SITE_ID 14808 TILEPROP INT_FEEDTHRU_2_X45Y10 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y10 GRID_POINT_Y 146 TILEPROP INT_FEEDTHRU_2_X45Y10 INDEX 16835 TILEPROP INT_FEEDTHRU_2_X45Y10 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y10 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y10 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y10 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y10 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y10 NAME INT_FEEDTHRU_2_X45Y10 TILEPROP INT_FEEDTHRU_2_X45Y10 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y10 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y10 ROW 146 TILEPROP INT_FEEDTHRU_2_X45Y10 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y10 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y10 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y10 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y10 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y10 TILE_Y -210872 TILEPROP INT_FEEDTHRU_2_X45Y10 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y11 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y11 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y11 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y11 FIRST_SITE_ID 14699 TILEPROP INT_FEEDTHRU_2_X45Y11 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y11 GRID_POINT_Y 145 TILEPROP INT_FEEDTHRU_2_X45Y11 INDEX 16720 TILEPROP INT_FEEDTHRU_2_X45Y11 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y11 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y11 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y11 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y11 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y11 NAME INT_FEEDTHRU_2_X45Y11 TILEPROP INT_FEEDTHRU_2_X45Y11 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y11 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y11 ROW 145 TILEPROP INT_FEEDTHRU_2_X45Y11 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y11 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y11 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y11 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y11 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y11 TILE_Y -207672 TILEPROP INT_FEEDTHRU_2_X45Y11 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y12 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y12 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y12 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y12 FIRST_SITE_ID 14593 TILEPROP INT_FEEDTHRU_2_X45Y12 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y12 GRID_POINT_Y 144 TILEPROP INT_FEEDTHRU_2_X45Y12 INDEX 16605 TILEPROP INT_FEEDTHRU_2_X45Y12 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y12 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y12 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y12 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y12 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y12 NAME INT_FEEDTHRU_2_X45Y12 TILEPROP INT_FEEDTHRU_2_X45Y12 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y12 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y12 ROW 144 TILEPROP INT_FEEDTHRU_2_X45Y12 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y12 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y12 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y12 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y12 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y12 TILE_Y -204472 TILEPROP INT_FEEDTHRU_2_X45Y12 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y13 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y13 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y13 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y13 FIRST_SITE_ID 14461 TILEPROP INT_FEEDTHRU_2_X45Y13 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y13 GRID_POINT_Y 143 TILEPROP INT_FEEDTHRU_2_X45Y13 INDEX 16490 TILEPROP INT_FEEDTHRU_2_X45Y13 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y13 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y13 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y13 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y13 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y13 NAME INT_FEEDTHRU_2_X45Y13 TILEPROP INT_FEEDTHRU_2_X45Y13 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y13 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y13 ROW 143 TILEPROP INT_FEEDTHRU_2_X45Y13 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y13 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y13 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y13 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y13 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y13 TILE_Y -201272 TILEPROP INT_FEEDTHRU_2_X45Y13 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y14 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y14 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y14 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y14 FIRST_SITE_ID 14361 TILEPROP INT_FEEDTHRU_2_X45Y14 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y14 GRID_POINT_Y 142 TILEPROP INT_FEEDTHRU_2_X45Y14 INDEX 16375 TILEPROP INT_FEEDTHRU_2_X45Y14 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y14 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y14 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y14 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y14 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y14 NAME INT_FEEDTHRU_2_X45Y14 TILEPROP INT_FEEDTHRU_2_X45Y14 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y14 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y14 ROW 142 TILEPROP INT_FEEDTHRU_2_X45Y14 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y14 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y14 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y14 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y14 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y14 TILE_Y -198072 TILEPROP INT_FEEDTHRU_2_X45Y14 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y15 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y15 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y15 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y15 FIRST_SITE_ID 14261 TILEPROP INT_FEEDTHRU_2_X45Y15 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y15 GRID_POINT_Y 141 TILEPROP INT_FEEDTHRU_2_X45Y15 INDEX 16260 TILEPROP INT_FEEDTHRU_2_X45Y15 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y15 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y15 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y15 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y15 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y15 NAME INT_FEEDTHRU_2_X45Y15 TILEPROP INT_FEEDTHRU_2_X45Y15 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y15 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y15 ROW 141 TILEPROP INT_FEEDTHRU_2_X45Y15 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y15 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y15 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y15 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y15 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y15 TILE_Y -194872 TILEPROP INT_FEEDTHRU_2_X45Y15 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y16 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y16 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y16 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y16 FIRST_SITE_ID 14152 TILEPROP INT_FEEDTHRU_2_X45Y16 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y16 GRID_POINT_Y 140 TILEPROP INT_FEEDTHRU_2_X45Y16 INDEX 16145 TILEPROP INT_FEEDTHRU_2_X45Y16 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y16 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y16 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y16 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y16 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y16 NAME INT_FEEDTHRU_2_X45Y16 TILEPROP INT_FEEDTHRU_2_X45Y16 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y16 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y16 ROW 140 TILEPROP INT_FEEDTHRU_2_X45Y16 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y16 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y16 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y16 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y16 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y16 TILE_Y -191672 TILEPROP INT_FEEDTHRU_2_X45Y16 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y17 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y17 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y17 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y17 FIRST_SITE_ID 14046 TILEPROP INT_FEEDTHRU_2_X45Y17 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y17 GRID_POINT_Y 139 TILEPROP INT_FEEDTHRU_2_X45Y17 INDEX 16030 TILEPROP INT_FEEDTHRU_2_X45Y17 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y17 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y17 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y17 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y17 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y17 NAME INT_FEEDTHRU_2_X45Y17 TILEPROP INT_FEEDTHRU_2_X45Y17 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y17 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y17 ROW 139 TILEPROP INT_FEEDTHRU_2_X45Y17 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y17 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y17 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y17 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y17 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y17 TILE_Y -188472 TILEPROP INT_FEEDTHRU_2_X45Y17 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y18 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y18 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y18 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y18 FIRST_SITE_ID 13941 TILEPROP INT_FEEDTHRU_2_X45Y18 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y18 GRID_POINT_Y 138 TILEPROP INT_FEEDTHRU_2_X45Y18 INDEX 15915 TILEPROP INT_FEEDTHRU_2_X45Y18 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y18 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y18 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y18 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y18 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y18 NAME INT_FEEDTHRU_2_X45Y18 TILEPROP INT_FEEDTHRU_2_X45Y18 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y18 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y18 ROW 138 TILEPROP INT_FEEDTHRU_2_X45Y18 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y18 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y18 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y18 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y18 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y18 TILE_Y -185272 TILEPROP INT_FEEDTHRU_2_X45Y18 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y19 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y19 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y19 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y19 FIRST_SITE_ID 13837 TILEPROP INT_FEEDTHRU_2_X45Y19 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y19 GRID_POINT_Y 137 TILEPROP INT_FEEDTHRU_2_X45Y19 INDEX 15800 TILEPROP INT_FEEDTHRU_2_X45Y19 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y19 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y19 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y19 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y19 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y19 NAME INT_FEEDTHRU_2_X45Y19 TILEPROP INT_FEEDTHRU_2_X45Y19 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y19 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y19 ROW 137 TILEPROP INT_FEEDTHRU_2_X45Y19 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y19 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y19 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y19 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y19 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y19 TILE_Y -182072 TILEPROP INT_FEEDTHRU_2_X45Y19 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y20 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y20 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y20 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y20 FIRST_SITE_ID 13735 TILEPROP INT_FEEDTHRU_2_X45Y20 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y20 GRID_POINT_Y 136 TILEPROP INT_FEEDTHRU_2_X45Y20 INDEX 15685 TILEPROP INT_FEEDTHRU_2_X45Y20 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y20 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y20 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y20 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y20 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y20 NAME INT_FEEDTHRU_2_X45Y20 TILEPROP INT_FEEDTHRU_2_X45Y20 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y20 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y20 ROW 136 TILEPROP INT_FEEDTHRU_2_X45Y20 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y20 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y20 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y20 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y20 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y20 TILE_Y -178872 TILEPROP INT_FEEDTHRU_2_X45Y20 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y21 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y21 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y21 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y21 FIRST_SITE_ID 13624 TILEPROP INT_FEEDTHRU_2_X45Y21 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y21 GRID_POINT_Y 135 TILEPROP INT_FEEDTHRU_2_X45Y21 INDEX 15570 TILEPROP INT_FEEDTHRU_2_X45Y21 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y21 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y21 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y21 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y21 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y21 NAME INT_FEEDTHRU_2_X45Y21 TILEPROP INT_FEEDTHRU_2_X45Y21 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y21 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y21 ROW 135 TILEPROP INT_FEEDTHRU_2_X45Y21 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y21 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y21 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y21 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y21 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y21 TILE_Y -175672 TILEPROP INT_FEEDTHRU_2_X45Y21 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y22 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y22 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y22 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y22 FIRST_SITE_ID 13518 TILEPROP INT_FEEDTHRU_2_X45Y22 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y22 GRID_POINT_Y 134 TILEPROP INT_FEEDTHRU_2_X45Y22 INDEX 15455 TILEPROP INT_FEEDTHRU_2_X45Y22 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y22 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y22 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y22 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y22 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y22 NAME INT_FEEDTHRU_2_X45Y22 TILEPROP INT_FEEDTHRU_2_X45Y22 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y22 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y22 ROW 134 TILEPROP INT_FEEDTHRU_2_X45Y22 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y22 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y22 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y22 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y22 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y22 TILE_Y -172472 TILEPROP INT_FEEDTHRU_2_X45Y22 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y23 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y23 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y23 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y23 FIRST_SITE_ID 13418 TILEPROP INT_FEEDTHRU_2_X45Y23 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y23 GRID_POINT_Y 133 TILEPROP INT_FEEDTHRU_2_X45Y23 INDEX 15340 TILEPROP INT_FEEDTHRU_2_X45Y23 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y23 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y23 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y23 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y23 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y23 NAME INT_FEEDTHRU_2_X45Y23 TILEPROP INT_FEEDTHRU_2_X45Y23 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y23 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y23 ROW 133 TILEPROP INT_FEEDTHRU_2_X45Y23 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y23 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y23 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y23 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y23 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y23 TILE_Y -169272 TILEPROP INT_FEEDTHRU_2_X45Y23 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y24 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y24 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y24 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y24 FIRST_SITE_ID 13318 TILEPROP INT_FEEDTHRU_2_X45Y24 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y24 GRID_POINT_Y 132 TILEPROP INT_FEEDTHRU_2_X45Y24 INDEX 15225 TILEPROP INT_FEEDTHRU_2_X45Y24 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y24 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y24 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y24 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y24 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y24 NAME INT_FEEDTHRU_2_X45Y24 TILEPROP INT_FEEDTHRU_2_X45Y24 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y24 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y24 ROW 132 TILEPROP INT_FEEDTHRU_2_X45Y24 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y24 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y24 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y24 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y24 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y24 TILE_Y -166072 TILEPROP INT_FEEDTHRU_2_X45Y24 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y25 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y25 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y25 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y25 FIRST_SITE_ID 13218 TILEPROP INT_FEEDTHRU_2_X45Y25 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y25 GRID_POINT_Y 131 TILEPROP INT_FEEDTHRU_2_X45Y25 INDEX 15110 TILEPROP INT_FEEDTHRU_2_X45Y25 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y25 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y25 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y25 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y25 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y25 NAME INT_FEEDTHRU_2_X45Y25 TILEPROP INT_FEEDTHRU_2_X45Y25 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y25 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y25 ROW 131 TILEPROP INT_FEEDTHRU_2_X45Y25 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y25 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y25 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y25 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y25 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y25 TILE_Y -162872 TILEPROP INT_FEEDTHRU_2_X45Y25 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y27 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y27 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y27 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y27 FIRST_SITE_ID 13026 TILEPROP INT_FEEDTHRU_2_X45Y27 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y27 GRID_POINT_Y 129 TILEPROP INT_FEEDTHRU_2_X45Y27 INDEX 14880 TILEPROP INT_FEEDTHRU_2_X45Y27 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y27 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y27 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y27 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y27 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y27 NAME INT_FEEDTHRU_2_X45Y27 TILEPROP INT_FEEDTHRU_2_X45Y27 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y27 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y27 ROW 129 TILEPROP INT_FEEDTHRU_2_X45Y27 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y27 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y27 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y27 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y27 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y27 TILE_Y -158648 TILEPROP INT_FEEDTHRU_2_X45Y27 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y28 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y28 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y28 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y28 FIRST_SITE_ID 12920 TILEPROP INT_FEEDTHRU_2_X45Y28 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y28 GRID_POINT_Y 128 TILEPROP INT_FEEDTHRU_2_X45Y28 INDEX 14765 TILEPROP INT_FEEDTHRU_2_X45Y28 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y28 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y28 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y28 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y28 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y28 NAME INT_FEEDTHRU_2_X45Y28 TILEPROP INT_FEEDTHRU_2_X45Y28 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y28 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y28 ROW 128 TILEPROP INT_FEEDTHRU_2_X45Y28 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y28 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y28 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y28 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y28 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y28 TILE_Y -155448 TILEPROP INT_FEEDTHRU_2_X45Y28 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y29 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y29 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y29 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y29 FIRST_SITE_ID 12820 TILEPROP INT_FEEDTHRU_2_X45Y29 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y29 GRID_POINT_Y 127 TILEPROP INT_FEEDTHRU_2_X45Y29 INDEX 14650 TILEPROP INT_FEEDTHRU_2_X45Y29 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y29 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y29 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y29 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y29 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y29 NAME INT_FEEDTHRU_2_X45Y29 TILEPROP INT_FEEDTHRU_2_X45Y29 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y29 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y29 ROW 127 TILEPROP INT_FEEDTHRU_2_X45Y29 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y29 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y29 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y29 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y29 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y29 TILE_Y -152248 TILEPROP INT_FEEDTHRU_2_X45Y29 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y30 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y30 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y30 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y30 FIRST_SITE_ID 12720 TILEPROP INT_FEEDTHRU_2_X45Y30 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y30 GRID_POINT_Y 126 TILEPROP INT_FEEDTHRU_2_X45Y30 INDEX 14535 TILEPROP INT_FEEDTHRU_2_X45Y30 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y30 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y30 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y30 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y30 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y30 NAME INT_FEEDTHRU_2_X45Y30 TILEPROP INT_FEEDTHRU_2_X45Y30 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y30 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y30 ROW 126 TILEPROP INT_FEEDTHRU_2_X45Y30 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y30 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y30 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y30 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y30 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y30 TILE_Y -149048 TILEPROP INT_FEEDTHRU_2_X45Y30 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y31 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y31 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y31 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y31 FIRST_SITE_ID 12614 TILEPROP INT_FEEDTHRU_2_X45Y31 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y31 GRID_POINT_Y 125 TILEPROP INT_FEEDTHRU_2_X45Y31 INDEX 14420 TILEPROP INT_FEEDTHRU_2_X45Y31 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y31 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y31 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y31 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y31 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y31 NAME INT_FEEDTHRU_2_X45Y31 TILEPROP INT_FEEDTHRU_2_X45Y31 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y31 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y31 ROW 125 TILEPROP INT_FEEDTHRU_2_X45Y31 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y31 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y31 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y31 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y31 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y31 TILE_Y -145848 TILEPROP INT_FEEDTHRU_2_X45Y31 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y32 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y32 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y32 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y32 FIRST_SITE_ID 12486 TILEPROP INT_FEEDTHRU_2_X45Y32 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y32 GRID_POINT_Y 124 TILEPROP INT_FEEDTHRU_2_X45Y32 INDEX 14305 TILEPROP INT_FEEDTHRU_2_X45Y32 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y32 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y32 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y32 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y32 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y32 NAME INT_FEEDTHRU_2_X45Y32 TILEPROP INT_FEEDTHRU_2_X45Y32 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y32 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y32 ROW 124 TILEPROP INT_FEEDTHRU_2_X45Y32 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y32 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y32 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y32 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y32 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y32 TILE_Y -142648 TILEPROP INT_FEEDTHRU_2_X45Y32 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y33 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y33 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y33 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y33 FIRST_SITE_ID 12378 TILEPROP INT_FEEDTHRU_2_X45Y33 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y33 GRID_POINT_Y 123 TILEPROP INT_FEEDTHRU_2_X45Y33 INDEX 14190 TILEPROP INT_FEEDTHRU_2_X45Y33 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y33 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y33 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y33 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y33 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y33 NAME INT_FEEDTHRU_2_X45Y33 TILEPROP INT_FEEDTHRU_2_X45Y33 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y33 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y33 ROW 123 TILEPROP INT_FEEDTHRU_2_X45Y33 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y33 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y33 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y33 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y33 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y33 TILE_Y -139448 TILEPROP INT_FEEDTHRU_2_X45Y33 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y34 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y34 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y34 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y34 FIRST_SITE_ID 12275 TILEPROP INT_FEEDTHRU_2_X45Y34 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y34 GRID_POINT_Y 122 TILEPROP INT_FEEDTHRU_2_X45Y34 INDEX 14075 TILEPROP INT_FEEDTHRU_2_X45Y34 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y34 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y34 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y34 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y34 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y34 NAME INT_FEEDTHRU_2_X45Y34 TILEPROP INT_FEEDTHRU_2_X45Y34 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y34 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y34 ROW 122 TILEPROP INT_FEEDTHRU_2_X45Y34 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y34 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y34 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y34 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y34 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y34 TILE_Y -136248 TILEPROP INT_FEEDTHRU_2_X45Y34 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y35 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y35 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y35 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y35 FIRST_SITE_ID 12175 TILEPROP INT_FEEDTHRU_2_X45Y35 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y35 GRID_POINT_Y 121 TILEPROP INT_FEEDTHRU_2_X45Y35 INDEX 13960 TILEPROP INT_FEEDTHRU_2_X45Y35 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y35 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y35 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y35 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y35 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y35 NAME INT_FEEDTHRU_2_X45Y35 TILEPROP INT_FEEDTHRU_2_X45Y35 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y35 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y35 ROW 121 TILEPROP INT_FEEDTHRU_2_X45Y35 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y35 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y35 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y35 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y35 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y35 TILE_Y -133048 TILEPROP INT_FEEDTHRU_2_X45Y35 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y36 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y36 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y36 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y36 FIRST_SITE_ID 12075 TILEPROP INT_FEEDTHRU_2_X45Y36 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y36 GRID_POINT_Y 120 TILEPROP INT_FEEDTHRU_2_X45Y36 INDEX 13845 TILEPROP INT_FEEDTHRU_2_X45Y36 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y36 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y36 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y36 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y36 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y36 NAME INT_FEEDTHRU_2_X45Y36 TILEPROP INT_FEEDTHRU_2_X45Y36 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y36 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y36 ROW 120 TILEPROP INT_FEEDTHRU_2_X45Y36 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y36 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y36 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y36 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y36 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y36 TILE_Y -129848 TILEPROP INT_FEEDTHRU_2_X45Y36 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y37 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y37 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y37 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y37 FIRST_SITE_ID 11966 TILEPROP INT_FEEDTHRU_2_X45Y37 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y37 GRID_POINT_Y 119 TILEPROP INT_FEEDTHRU_2_X45Y37 INDEX 13730 TILEPROP INT_FEEDTHRU_2_X45Y37 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y37 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y37 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y37 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y37 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y37 NAME INT_FEEDTHRU_2_X45Y37 TILEPROP INT_FEEDTHRU_2_X45Y37 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y37 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y37 ROW 119 TILEPROP INT_FEEDTHRU_2_X45Y37 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y37 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y37 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y37 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y37 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y37 TILE_Y -126648 TILEPROP INT_FEEDTHRU_2_X45Y37 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y38 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y38 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y38 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y38 FIRST_SITE_ID 11828 TILEPROP INT_FEEDTHRU_2_X45Y38 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y38 GRID_POINT_Y 118 TILEPROP INT_FEEDTHRU_2_X45Y38 INDEX 13615 TILEPROP INT_FEEDTHRU_2_X45Y38 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y38 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y38 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y38 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y38 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y38 NAME INT_FEEDTHRU_2_X45Y38 TILEPROP INT_FEEDTHRU_2_X45Y38 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y38 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y38 ROW 118 TILEPROP INT_FEEDTHRU_2_X45Y38 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y38 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y38 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y38 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y38 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y38 TILE_Y -123448 TILEPROP INT_FEEDTHRU_2_X45Y38 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y39 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y39 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y39 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y39 FIRST_SITE_ID 11728 TILEPROP INT_FEEDTHRU_2_X45Y39 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y39 GRID_POINT_Y 117 TILEPROP INT_FEEDTHRU_2_X45Y39 INDEX 13500 TILEPROP INT_FEEDTHRU_2_X45Y39 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y39 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y39 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y39 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y39 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y39 NAME INT_FEEDTHRU_2_X45Y39 TILEPROP INT_FEEDTHRU_2_X45Y39 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y39 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y39 ROW 117 TILEPROP INT_FEEDTHRU_2_X45Y39 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y39 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y39 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y39 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y39 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y39 TILE_Y -120248 TILEPROP INT_FEEDTHRU_2_X45Y39 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y40 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y40 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y40 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y40 FIRST_SITE_ID 11628 TILEPROP INT_FEEDTHRU_2_X45Y40 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y40 GRID_POINT_Y 116 TILEPROP INT_FEEDTHRU_2_X45Y40 INDEX 13385 TILEPROP INT_FEEDTHRU_2_X45Y40 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y40 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y40 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y40 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y40 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y40 NAME INT_FEEDTHRU_2_X45Y40 TILEPROP INT_FEEDTHRU_2_X45Y40 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y40 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y40 ROW 116 TILEPROP INT_FEEDTHRU_2_X45Y40 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y40 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y40 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y40 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y40 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y40 TILE_Y -117048 TILEPROP INT_FEEDTHRU_2_X45Y40 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y41 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y41 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y41 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y41 FIRST_SITE_ID 11528 TILEPROP INT_FEEDTHRU_2_X45Y41 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y41 GRID_POINT_Y 115 TILEPROP INT_FEEDTHRU_2_X45Y41 INDEX 13270 TILEPROP INT_FEEDTHRU_2_X45Y41 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y41 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y41 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y41 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y41 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y41 NAME INT_FEEDTHRU_2_X45Y41 TILEPROP INT_FEEDTHRU_2_X45Y41 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y41 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y41 ROW 115 TILEPROP INT_FEEDTHRU_2_X45Y41 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y41 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y41 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y41 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y41 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y41 TILE_Y -113848 TILEPROP INT_FEEDTHRU_2_X45Y41 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y42 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y42 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y42 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y42 FIRST_SITE_ID 11417 TILEPROP INT_FEEDTHRU_2_X45Y42 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y42 GRID_POINT_Y 114 TILEPROP INT_FEEDTHRU_2_X45Y42 INDEX 13155 TILEPROP INT_FEEDTHRU_2_X45Y42 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y42 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y42 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y42 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y42 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y42 NAME INT_FEEDTHRU_2_X45Y42 TILEPROP INT_FEEDTHRU_2_X45Y42 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y42 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y42 ROW 114 TILEPROP INT_FEEDTHRU_2_X45Y42 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y42 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y42 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y42 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y42 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y42 TILE_Y -110648 TILEPROP INT_FEEDTHRU_2_X45Y42 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y43 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y43 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y43 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y43 FIRST_SITE_ID 11310 TILEPROP INT_FEEDTHRU_2_X45Y43 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y43 GRID_POINT_Y 113 TILEPROP INT_FEEDTHRU_2_X45Y43 INDEX 13040 TILEPROP INT_FEEDTHRU_2_X45Y43 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y43 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y43 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y43 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y43 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y43 NAME INT_FEEDTHRU_2_X45Y43 TILEPROP INT_FEEDTHRU_2_X45Y43 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y43 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y43 ROW 113 TILEPROP INT_FEEDTHRU_2_X45Y43 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y43 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y43 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y43 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y43 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y43 TILE_Y -107448 TILEPROP INT_FEEDTHRU_2_X45Y43 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y44 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y44 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y44 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y44 FIRST_SITE_ID 11209 TILEPROP INT_FEEDTHRU_2_X45Y44 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y44 GRID_POINT_Y 112 TILEPROP INT_FEEDTHRU_2_X45Y44 INDEX 12925 TILEPROP INT_FEEDTHRU_2_X45Y44 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y44 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y44 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y44 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y44 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y44 NAME INT_FEEDTHRU_2_X45Y44 TILEPROP INT_FEEDTHRU_2_X45Y44 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y44 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y44 ROW 112 TILEPROP INT_FEEDTHRU_2_X45Y44 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y44 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y44 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y44 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y44 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y44 TILE_Y -104248 TILEPROP INT_FEEDTHRU_2_X45Y44 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y45 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y45 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y45 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y45 FIRST_SITE_ID 11106 TILEPROP INT_FEEDTHRU_2_X45Y45 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y45 GRID_POINT_Y 111 TILEPROP INT_FEEDTHRU_2_X45Y45 INDEX 12810 TILEPROP INT_FEEDTHRU_2_X45Y45 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y45 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y45 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y45 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y45 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y45 NAME INT_FEEDTHRU_2_X45Y45 TILEPROP INT_FEEDTHRU_2_X45Y45 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y45 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y45 ROW 111 TILEPROP INT_FEEDTHRU_2_X45Y45 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y45 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y45 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y45 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y45 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y45 TILE_Y -101048 TILEPROP INT_FEEDTHRU_2_X45Y45 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y46 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y46 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y46 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y46 FIRST_SITE_ID 11004 TILEPROP INT_FEEDTHRU_2_X45Y46 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y46 GRID_POINT_Y 110 TILEPROP INT_FEEDTHRU_2_X45Y46 INDEX 12695 TILEPROP INT_FEEDTHRU_2_X45Y46 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y46 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y46 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y46 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y46 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y46 NAME INT_FEEDTHRU_2_X45Y46 TILEPROP INT_FEEDTHRU_2_X45Y46 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y46 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y46 ROW 110 TILEPROP INT_FEEDTHRU_2_X45Y46 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y46 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y46 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y46 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y46 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y46 TILE_Y -97848 TILEPROP INT_FEEDTHRU_2_X45Y46 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y47 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y47 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y47 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y47 FIRST_SITE_ID 10894 TILEPROP INT_FEEDTHRU_2_X45Y47 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y47 GRID_POINT_Y 109 TILEPROP INT_FEEDTHRU_2_X45Y47 INDEX 12580 TILEPROP INT_FEEDTHRU_2_X45Y47 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y47 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y47 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y47 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y47 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y47 NAME INT_FEEDTHRU_2_X45Y47 TILEPROP INT_FEEDTHRU_2_X45Y47 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y47 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y47 ROW 109 TILEPROP INT_FEEDTHRU_2_X45Y47 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y47 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y47 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y47 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y47 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y47 TILE_Y -94648 TILEPROP INT_FEEDTHRU_2_X45Y47 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y48 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y48 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y48 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y48 FIRST_SITE_ID 10772 TILEPROP INT_FEEDTHRU_2_X45Y48 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y48 GRID_POINT_Y 108 TILEPROP INT_FEEDTHRU_2_X45Y48 INDEX 12465 TILEPROP INT_FEEDTHRU_2_X45Y48 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y48 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y48 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y48 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y48 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y48 NAME INT_FEEDTHRU_2_X45Y48 TILEPROP INT_FEEDTHRU_2_X45Y48 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y48 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y48 ROW 108 TILEPROP INT_FEEDTHRU_2_X45Y48 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y48 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y48 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y48 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y48 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y48 TILE_Y -91448 TILEPROP INT_FEEDTHRU_2_X45Y48 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y49 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y49 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y49 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y49 FIRST_SITE_ID 10672 TILEPROP INT_FEEDTHRU_2_X45Y49 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y49 GRID_POINT_Y 107 TILEPROP INT_FEEDTHRU_2_X45Y49 INDEX 12350 TILEPROP INT_FEEDTHRU_2_X45Y49 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y49 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y49 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y49 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y49 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y49 NAME INT_FEEDTHRU_2_X45Y49 TILEPROP INT_FEEDTHRU_2_X45Y49 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y49 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y49 ROW 107 TILEPROP INT_FEEDTHRU_2_X45Y49 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y49 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y49 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y49 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y49 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y49 TILE_Y -88248 TILEPROP INT_FEEDTHRU_2_X45Y49 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y50 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y50 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y50 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y50 FIRST_SITE_ID 10572 TILEPROP INT_FEEDTHRU_2_X45Y50 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y50 GRID_POINT_Y 106 TILEPROP INT_FEEDTHRU_2_X45Y50 INDEX 12235 TILEPROP INT_FEEDTHRU_2_X45Y50 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y50 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y50 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y50 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y50 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y50 NAME INT_FEEDTHRU_2_X45Y50 TILEPROP INT_FEEDTHRU_2_X45Y50 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y50 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y50 ROW 106 TILEPROP INT_FEEDTHRU_2_X45Y50 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y50 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y50 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y50 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y50 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y50 TILE_Y -85048 TILEPROP INT_FEEDTHRU_2_X45Y50 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y51 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y51 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y51 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y51 FIRST_SITE_ID 10476 TILEPROP INT_FEEDTHRU_2_X45Y51 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y51 GRID_POINT_Y 105 TILEPROP INT_FEEDTHRU_2_X45Y51 INDEX 12120 TILEPROP INT_FEEDTHRU_2_X45Y51 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y51 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y51 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y51 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y51 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y51 NAME INT_FEEDTHRU_2_X45Y51 TILEPROP INT_FEEDTHRU_2_X45Y51 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y51 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y51 ROW 105 TILEPROP INT_FEEDTHRU_2_X45Y51 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y51 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y51 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y51 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y51 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y51 TILE_Y -81848 TILEPROP INT_FEEDTHRU_2_X45Y51 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y53 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y53 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y53 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y53 FIRST_SITE_ID 10351 TILEPROP INT_FEEDTHRU_2_X45Y53 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y53 GRID_POINT_Y 103 TILEPROP INT_FEEDTHRU_2_X45Y53 INDEX 11890 TILEPROP INT_FEEDTHRU_2_X45Y53 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y53 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y53 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y53 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y53 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y53 NAME INT_FEEDTHRU_2_X45Y53 TILEPROP INT_FEEDTHRU_2_X45Y53 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y53 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y53 ROW 103 TILEPROP INT_FEEDTHRU_2_X45Y53 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y53 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y53 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y53 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y53 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y53 TILE_Y -78400 TILEPROP INT_FEEDTHRU_2_X45Y53 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y54 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y54 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y54 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y54 FIRST_SITE_ID 10241 TILEPROP INT_FEEDTHRU_2_X45Y54 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y54 GRID_POINT_Y 102 TILEPROP INT_FEEDTHRU_2_X45Y54 INDEX 11775 TILEPROP INT_FEEDTHRU_2_X45Y54 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y54 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y54 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y54 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y54 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y54 NAME INT_FEEDTHRU_2_X45Y54 TILEPROP INT_FEEDTHRU_2_X45Y54 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y54 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y54 ROW 102 TILEPROP INT_FEEDTHRU_2_X45Y54 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y54 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y54 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y54 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y54 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y54 TILE_Y -75200 TILEPROP INT_FEEDTHRU_2_X45Y54 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y55 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y55 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y55 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y55 FIRST_SITE_ID 10141 TILEPROP INT_FEEDTHRU_2_X45Y55 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y55 GRID_POINT_Y 101 TILEPROP INT_FEEDTHRU_2_X45Y55 INDEX 11660 TILEPROP INT_FEEDTHRU_2_X45Y55 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y55 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y55 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y55 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y55 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y55 NAME INT_FEEDTHRU_2_X45Y55 TILEPROP INT_FEEDTHRU_2_X45Y55 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y55 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y55 ROW 101 TILEPROP INT_FEEDTHRU_2_X45Y55 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y55 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y55 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y55 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y55 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y55 TILE_Y -72000 TILEPROP INT_FEEDTHRU_2_X45Y55 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y56 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y56 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y56 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y56 FIRST_SITE_ID 10041 TILEPROP INT_FEEDTHRU_2_X45Y56 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y56 GRID_POINT_Y 100 TILEPROP INT_FEEDTHRU_2_X45Y56 INDEX 11545 TILEPROP INT_FEEDTHRU_2_X45Y56 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y56 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y56 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y56 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y56 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y56 NAME INT_FEEDTHRU_2_X45Y56 TILEPROP INT_FEEDTHRU_2_X45Y56 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y56 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y56 ROW 100 TILEPROP INT_FEEDTHRU_2_X45Y56 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y56 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y56 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y56 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y56 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y56 TILE_Y -68800 TILEPROP INT_FEEDTHRU_2_X45Y56 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y57 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y57 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y57 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y57 FIRST_SITE_ID 9941 TILEPROP INT_FEEDTHRU_2_X45Y57 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y57 GRID_POINT_Y 99 TILEPROP INT_FEEDTHRU_2_X45Y57 INDEX 11430 TILEPROP INT_FEEDTHRU_2_X45Y57 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y57 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y57 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y57 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y57 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y57 NAME INT_FEEDTHRU_2_X45Y57 TILEPROP INT_FEEDTHRU_2_X45Y57 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y57 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y57 ROW 99 TILEPROP INT_FEEDTHRU_2_X45Y57 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y57 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y57 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y57 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y57 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y57 TILE_Y -65600 TILEPROP INT_FEEDTHRU_2_X45Y57 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y58 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y58 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y58 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y58 FIRST_SITE_ID 9832 TILEPROP INT_FEEDTHRU_2_X45Y58 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y58 GRID_POINT_Y 98 TILEPROP INT_FEEDTHRU_2_X45Y58 INDEX 11315 TILEPROP INT_FEEDTHRU_2_X45Y58 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y58 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y58 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y58 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y58 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y58 NAME INT_FEEDTHRU_2_X45Y58 TILEPROP INT_FEEDTHRU_2_X45Y58 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y58 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y58 ROW 98 TILEPROP INT_FEEDTHRU_2_X45Y58 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y58 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y58 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y58 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y58 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y58 TILE_Y -62400 TILEPROP INT_FEEDTHRU_2_X45Y58 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y59 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y59 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y59 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y59 FIRST_SITE_ID 9726 TILEPROP INT_FEEDTHRU_2_X45Y59 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y59 GRID_POINT_Y 97 TILEPROP INT_FEEDTHRU_2_X45Y59 INDEX 11200 TILEPROP INT_FEEDTHRU_2_X45Y59 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y59 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y59 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y59 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y59 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y59 NAME INT_FEEDTHRU_2_X45Y59 TILEPROP INT_FEEDTHRU_2_X45Y59 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y59 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y59 ROW 97 TILEPROP INT_FEEDTHRU_2_X45Y59 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y59 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y59 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y59 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y59 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y59 TILE_Y -59200 TILEPROP INT_FEEDTHRU_2_X45Y59 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y60 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y60 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y60 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y60 FIRST_SITE_ID 9624 TILEPROP INT_FEEDTHRU_2_X45Y60 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y60 GRID_POINT_Y 96 TILEPROP INT_FEEDTHRU_2_X45Y60 INDEX 11085 TILEPROP INT_FEEDTHRU_2_X45Y60 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y60 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y60 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y60 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y60 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y60 NAME INT_FEEDTHRU_2_X45Y60 TILEPROP INT_FEEDTHRU_2_X45Y60 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y60 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y60 ROW 96 TILEPROP INT_FEEDTHRU_2_X45Y60 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y60 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y60 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y60 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y60 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y60 TILE_Y -56000 TILEPROP INT_FEEDTHRU_2_X45Y60 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y61 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y61 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y61 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y61 FIRST_SITE_ID 9521 TILEPROP INT_FEEDTHRU_2_X45Y61 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y61 GRID_POINT_Y 95 TILEPROP INT_FEEDTHRU_2_X45Y61 INDEX 10970 TILEPROP INT_FEEDTHRU_2_X45Y61 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y61 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y61 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y61 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y61 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y61 NAME INT_FEEDTHRU_2_X45Y61 TILEPROP INT_FEEDTHRU_2_X45Y61 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y61 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y61 ROW 95 TILEPROP INT_FEEDTHRU_2_X45Y61 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y61 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y61 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y61 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y61 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y61 TILE_Y -52800 TILEPROP INT_FEEDTHRU_2_X45Y61 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y62 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y62 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y62 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y62 FIRST_SITE_ID 9420 TILEPROP INT_FEEDTHRU_2_X45Y62 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y62 GRID_POINT_Y 94 TILEPROP INT_FEEDTHRU_2_X45Y62 INDEX 10855 TILEPROP INT_FEEDTHRU_2_X45Y62 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y62 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y62 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y62 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y62 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y62 NAME INT_FEEDTHRU_2_X45Y62 TILEPROP INT_FEEDTHRU_2_X45Y62 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y62 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y62 ROW 94 TILEPROP INT_FEEDTHRU_2_X45Y62 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y62 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y62 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y62 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y62 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y62 TILE_Y -49600 TILEPROP INT_FEEDTHRU_2_X45Y62 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y63 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y63 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y63 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y63 FIRST_SITE_ID 9311 TILEPROP INT_FEEDTHRU_2_X45Y63 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y63 GRID_POINT_Y 93 TILEPROP INT_FEEDTHRU_2_X45Y63 INDEX 10740 TILEPROP INT_FEEDTHRU_2_X45Y63 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y63 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y63 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y63 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y63 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y63 NAME INT_FEEDTHRU_2_X45Y63 TILEPROP INT_FEEDTHRU_2_X45Y63 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y63 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y63 ROW 93 TILEPROP INT_FEEDTHRU_2_X45Y63 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y63 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y63 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y63 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y63 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y63 TILE_Y -46400 TILEPROP INT_FEEDTHRU_2_X45Y63 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y64 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y64 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y64 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y64 FIRST_SITE_ID 9205 TILEPROP INT_FEEDTHRU_2_X45Y64 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y64 GRID_POINT_Y 92 TILEPROP INT_FEEDTHRU_2_X45Y64 INDEX 10625 TILEPROP INT_FEEDTHRU_2_X45Y64 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y64 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y64 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y64 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y64 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y64 NAME INT_FEEDTHRU_2_X45Y64 TILEPROP INT_FEEDTHRU_2_X45Y64 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y64 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y64 ROW 92 TILEPROP INT_FEEDTHRU_2_X45Y64 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y64 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y64 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y64 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y64 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y64 TILE_Y -43200 TILEPROP INT_FEEDTHRU_2_X45Y64 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y65 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y65 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y65 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y65 FIRST_SITE_ID 9073 TILEPROP INT_FEEDTHRU_2_X45Y65 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y65 GRID_POINT_Y 91 TILEPROP INT_FEEDTHRU_2_X45Y65 INDEX 10510 TILEPROP INT_FEEDTHRU_2_X45Y65 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y65 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y65 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y65 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y65 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y65 NAME INT_FEEDTHRU_2_X45Y65 TILEPROP INT_FEEDTHRU_2_X45Y65 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y65 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y65 ROW 91 TILEPROP INT_FEEDTHRU_2_X45Y65 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y65 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y65 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y65 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y65 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y65 TILE_Y -40000 TILEPROP INT_FEEDTHRU_2_X45Y65 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y66 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y66 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y66 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y66 FIRST_SITE_ID 8973 TILEPROP INT_FEEDTHRU_2_X45Y66 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y66 GRID_POINT_Y 90 TILEPROP INT_FEEDTHRU_2_X45Y66 INDEX 10395 TILEPROP INT_FEEDTHRU_2_X45Y66 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y66 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y66 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y66 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y66 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y66 NAME INT_FEEDTHRU_2_X45Y66 TILEPROP INT_FEEDTHRU_2_X45Y66 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y66 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y66 ROW 90 TILEPROP INT_FEEDTHRU_2_X45Y66 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y66 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y66 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y66 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y66 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y66 TILE_Y -36800 TILEPROP INT_FEEDTHRU_2_X45Y66 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y67 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y67 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y67 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y67 FIRST_SITE_ID 8873 TILEPROP INT_FEEDTHRU_2_X45Y67 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y67 GRID_POINT_Y 89 TILEPROP INT_FEEDTHRU_2_X45Y67 INDEX 10280 TILEPROP INT_FEEDTHRU_2_X45Y67 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y67 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y67 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y67 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y67 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y67 NAME INT_FEEDTHRU_2_X45Y67 TILEPROP INT_FEEDTHRU_2_X45Y67 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y67 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y67 ROW 89 TILEPROP INT_FEEDTHRU_2_X45Y67 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y67 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y67 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y67 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y67 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y67 TILE_Y -33600 TILEPROP INT_FEEDTHRU_2_X45Y67 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y68 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y68 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y68 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y68 FIRST_SITE_ID 8764 TILEPROP INT_FEEDTHRU_2_X45Y68 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y68 GRID_POINT_Y 88 TILEPROP INT_FEEDTHRU_2_X45Y68 INDEX 10165 TILEPROP INT_FEEDTHRU_2_X45Y68 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y68 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y68 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y68 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y68 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y68 NAME INT_FEEDTHRU_2_X45Y68 TILEPROP INT_FEEDTHRU_2_X45Y68 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y68 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y68 ROW 88 TILEPROP INT_FEEDTHRU_2_X45Y68 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y68 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y68 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y68 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y68 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y68 TILE_Y -30400 TILEPROP INT_FEEDTHRU_2_X45Y68 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y69 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y69 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y69 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y69 FIRST_SITE_ID 8658 TILEPROP INT_FEEDTHRU_2_X45Y69 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y69 GRID_POINT_Y 87 TILEPROP INT_FEEDTHRU_2_X45Y69 INDEX 10050 TILEPROP INT_FEEDTHRU_2_X45Y69 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y69 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y69 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y69 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y69 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y69 NAME INT_FEEDTHRU_2_X45Y69 TILEPROP INT_FEEDTHRU_2_X45Y69 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y69 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y69 ROW 87 TILEPROP INT_FEEDTHRU_2_X45Y69 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y69 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y69 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y69 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y69 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y69 TILE_Y -27200 TILEPROP INT_FEEDTHRU_2_X45Y69 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y70 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y70 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y70 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y70 FIRST_SITE_ID 8554 TILEPROP INT_FEEDTHRU_2_X45Y70 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y70 GRID_POINT_Y 86 TILEPROP INT_FEEDTHRU_2_X45Y70 INDEX 9935 TILEPROP INT_FEEDTHRU_2_X45Y70 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y70 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y70 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y70 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y70 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y70 NAME INT_FEEDTHRU_2_X45Y70 TILEPROP INT_FEEDTHRU_2_X45Y70 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y70 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y70 ROW 86 TILEPROP INT_FEEDTHRU_2_X45Y70 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y70 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y70 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y70 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y70 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y70 TILE_Y -24000 TILEPROP INT_FEEDTHRU_2_X45Y70 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y71 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y71 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y71 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y71 FIRST_SITE_ID 8450 TILEPROP INT_FEEDTHRU_2_X45Y71 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y71 GRID_POINT_Y 85 TILEPROP INT_FEEDTHRU_2_X45Y71 INDEX 9820 TILEPROP INT_FEEDTHRU_2_X45Y71 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y71 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y71 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y71 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y71 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y71 NAME INT_FEEDTHRU_2_X45Y71 TILEPROP INT_FEEDTHRU_2_X45Y71 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y71 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y71 ROW 85 TILEPROP INT_FEEDTHRU_2_X45Y71 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y71 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y71 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y71 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y71 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y71 TILE_Y -20800 TILEPROP INT_FEEDTHRU_2_X45Y71 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y72 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y72 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y72 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y72 FIRST_SITE_ID 8348 TILEPROP INT_FEEDTHRU_2_X45Y72 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y72 GRID_POINT_Y 84 TILEPROP INT_FEEDTHRU_2_X45Y72 INDEX 9705 TILEPROP INT_FEEDTHRU_2_X45Y72 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y72 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y72 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y72 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y72 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y72 NAME INT_FEEDTHRU_2_X45Y72 TILEPROP INT_FEEDTHRU_2_X45Y72 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y72 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y72 ROW 84 TILEPROP INT_FEEDTHRU_2_X45Y72 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y72 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y72 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y72 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y72 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y72 TILE_Y -17600 TILEPROP INT_FEEDTHRU_2_X45Y72 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y73 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y73 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y73 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y73 FIRST_SITE_ID 8237 TILEPROP INT_FEEDTHRU_2_X45Y73 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y73 GRID_POINT_Y 83 TILEPROP INT_FEEDTHRU_2_X45Y73 INDEX 9590 TILEPROP INT_FEEDTHRU_2_X45Y73 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y73 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y73 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y73 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y73 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y73 NAME INT_FEEDTHRU_2_X45Y73 TILEPROP INT_FEEDTHRU_2_X45Y73 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y73 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y73 ROW 83 TILEPROP INT_FEEDTHRU_2_X45Y73 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y73 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y73 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y73 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y73 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y73 TILE_Y -14400 TILEPROP INT_FEEDTHRU_2_X45Y73 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y74 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y74 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y74 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y74 FIRST_SITE_ID 8131 TILEPROP INT_FEEDTHRU_2_X45Y74 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y74 GRID_POINT_Y 82 TILEPROP INT_FEEDTHRU_2_X45Y74 INDEX 9475 TILEPROP INT_FEEDTHRU_2_X45Y74 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y74 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y74 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y74 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y74 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y74 NAME INT_FEEDTHRU_2_X45Y74 TILEPROP INT_FEEDTHRU_2_X45Y74 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y74 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y74 ROW 82 TILEPROP INT_FEEDTHRU_2_X45Y74 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y74 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y74 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y74 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y74 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y74 TILE_Y -11200 TILEPROP INT_FEEDTHRU_2_X45Y74 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y75 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y75 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y75 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y75 FIRST_SITE_ID 8031 TILEPROP INT_FEEDTHRU_2_X45Y75 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y75 GRID_POINT_Y 81 TILEPROP INT_FEEDTHRU_2_X45Y75 INDEX 9360 TILEPROP INT_FEEDTHRU_2_X45Y75 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y75 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y75 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y75 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y75 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y75 NAME INT_FEEDTHRU_2_X45Y75 TILEPROP INT_FEEDTHRU_2_X45Y75 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y75 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y75 ROW 81 TILEPROP INT_FEEDTHRU_2_X45Y75 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y75 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y75 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y75 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y75 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y75 TILE_Y -8000 TILEPROP INT_FEEDTHRU_2_X45Y75 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y76 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y76 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y76 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y76 FIRST_SITE_ID 7931 TILEPROP INT_FEEDTHRU_2_X45Y76 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y76 GRID_POINT_Y 80 TILEPROP INT_FEEDTHRU_2_X45Y76 INDEX 9245 TILEPROP INT_FEEDTHRU_2_X45Y76 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y76 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y76 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y76 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y76 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y76 NAME INT_FEEDTHRU_2_X45Y76 TILEPROP INT_FEEDTHRU_2_X45Y76 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y76 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y76 ROW 80 TILEPROP INT_FEEDTHRU_2_X45Y76 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y76 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y76 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y76 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y76 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y76 TILE_Y -4800 TILEPROP INT_FEEDTHRU_2_X45Y76 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y77 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y77 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y77 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y77 FIRST_SITE_ID 7831 TILEPROP INT_FEEDTHRU_2_X45Y77 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y77 GRID_POINT_Y 79 TILEPROP INT_FEEDTHRU_2_X45Y77 INDEX 9130 TILEPROP INT_FEEDTHRU_2_X45Y77 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y77 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y77 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y77 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y77 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y77 NAME INT_FEEDTHRU_2_X45Y77 TILEPROP INT_FEEDTHRU_2_X45Y77 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y77 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y77 ROW 79 TILEPROP INT_FEEDTHRU_2_X45Y77 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y77 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y77 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y77 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y77 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y77 TILE_Y -1600 TILEPROP INT_FEEDTHRU_2_X45Y77 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y79 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y79 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y79 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y79 FIRST_SITE_ID 7636 TILEPROP INT_FEEDTHRU_2_X45Y79 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y79 GRID_POINT_Y 77 TILEPROP INT_FEEDTHRU_2_X45Y79 INDEX 8900 TILEPROP INT_FEEDTHRU_2_X45Y79 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y79 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y79 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y79 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y79 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y79 NAME INT_FEEDTHRU_2_X45Y79 TILEPROP INT_FEEDTHRU_2_X45Y79 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y79 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y79 ROW 77 TILEPROP INT_FEEDTHRU_2_X45Y79 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y79 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y79 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y79 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y79 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y79 TILE_Y 2624 TILEPROP INT_FEEDTHRU_2_X45Y79 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y80 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y80 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y80 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y80 FIRST_SITE_ID 7530 TILEPROP INT_FEEDTHRU_2_X45Y80 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y80 GRID_POINT_Y 76 TILEPROP INT_FEEDTHRU_2_X45Y80 INDEX 8785 TILEPROP INT_FEEDTHRU_2_X45Y80 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y80 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y80 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y80 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y80 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y80 NAME INT_FEEDTHRU_2_X45Y80 TILEPROP INT_FEEDTHRU_2_X45Y80 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y80 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y80 ROW 76 TILEPROP INT_FEEDTHRU_2_X45Y80 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y80 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y80 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y80 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y80 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y80 TILE_Y 5824 TILEPROP INT_FEEDTHRU_2_X45Y80 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y81 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y81 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y81 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y81 FIRST_SITE_ID 7430 TILEPROP INT_FEEDTHRU_2_X45Y81 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y81 GRID_POINT_Y 75 TILEPROP INT_FEEDTHRU_2_X45Y81 INDEX 8670 TILEPROP INT_FEEDTHRU_2_X45Y81 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y81 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y81 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y81 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y81 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y81 NAME INT_FEEDTHRU_2_X45Y81 TILEPROP INT_FEEDTHRU_2_X45Y81 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y81 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y81 ROW 75 TILEPROP INT_FEEDTHRU_2_X45Y81 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y81 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y81 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y81 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y81 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y81 TILE_Y 9024 TILEPROP INT_FEEDTHRU_2_X45Y81 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y82 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y82 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y82 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y82 FIRST_SITE_ID 7330 TILEPROP INT_FEEDTHRU_2_X45Y82 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y82 GRID_POINT_Y 74 TILEPROP INT_FEEDTHRU_2_X45Y82 INDEX 8555 TILEPROP INT_FEEDTHRU_2_X45Y82 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y82 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y82 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y82 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y82 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y82 NAME INT_FEEDTHRU_2_X45Y82 TILEPROP INT_FEEDTHRU_2_X45Y82 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y82 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y82 ROW 74 TILEPROP INT_FEEDTHRU_2_X45Y82 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y82 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y82 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y82 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y82 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y82 TILE_Y 12224 TILEPROP INT_FEEDTHRU_2_X45Y82 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y83 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y83 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y83 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y83 FIRST_SITE_ID 7224 TILEPROP INT_FEEDTHRU_2_X45Y83 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y83 GRID_POINT_Y 73 TILEPROP INT_FEEDTHRU_2_X45Y83 INDEX 8440 TILEPROP INT_FEEDTHRU_2_X45Y83 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y83 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y83 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y83 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y83 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y83 NAME INT_FEEDTHRU_2_X45Y83 TILEPROP INT_FEEDTHRU_2_X45Y83 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y83 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y83 ROW 73 TILEPROP INT_FEEDTHRU_2_X45Y83 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y83 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y83 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y83 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y83 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y83 TILE_Y 15424 TILEPROP INT_FEEDTHRU_2_X45Y83 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y84 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y84 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y84 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y84 FIRST_SITE_ID 7109 TILEPROP INT_FEEDTHRU_2_X45Y84 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y84 GRID_POINT_Y 72 TILEPROP INT_FEEDTHRU_2_X45Y84 INDEX 8325 TILEPROP INT_FEEDTHRU_2_X45Y84 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y84 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y84 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y84 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y84 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y84 NAME INT_FEEDTHRU_2_X45Y84 TILEPROP INT_FEEDTHRU_2_X45Y84 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y84 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y84 ROW 72 TILEPROP INT_FEEDTHRU_2_X45Y84 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y84 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y84 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y84 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y84 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y84 TILE_Y 18624 TILEPROP INT_FEEDTHRU_2_X45Y84 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y85 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y85 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y85 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y85 FIRST_SITE_ID 7001 TILEPROP INT_FEEDTHRU_2_X45Y85 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y85 GRID_POINT_Y 71 TILEPROP INT_FEEDTHRU_2_X45Y85 INDEX 8210 TILEPROP INT_FEEDTHRU_2_X45Y85 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y85 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y85 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y85 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y85 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y85 NAME INT_FEEDTHRU_2_X45Y85 TILEPROP INT_FEEDTHRU_2_X45Y85 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y85 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y85 ROW 71 TILEPROP INT_FEEDTHRU_2_X45Y85 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y85 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y85 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y85 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y85 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y85 TILE_Y 21824 TILEPROP INT_FEEDTHRU_2_X45Y85 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y86 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y86 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y86 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y86 FIRST_SITE_ID 6899 TILEPROP INT_FEEDTHRU_2_X45Y86 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y86 GRID_POINT_Y 70 TILEPROP INT_FEEDTHRU_2_X45Y86 INDEX 8095 TILEPROP INT_FEEDTHRU_2_X45Y86 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y86 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y86 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y86 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y86 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y86 NAME INT_FEEDTHRU_2_X45Y86 TILEPROP INT_FEEDTHRU_2_X45Y86 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y86 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y86 ROW 70 TILEPROP INT_FEEDTHRU_2_X45Y86 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y86 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y86 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y86 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y86 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y86 TILE_Y 25024 TILEPROP INT_FEEDTHRU_2_X45Y86 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y87 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y87 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y87 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y87 FIRST_SITE_ID 6799 TILEPROP INT_FEEDTHRU_2_X45Y87 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y87 GRID_POINT_Y 69 TILEPROP INT_FEEDTHRU_2_X45Y87 INDEX 7980 TILEPROP INT_FEEDTHRU_2_X45Y87 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y87 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y87 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y87 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y87 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y87 NAME INT_FEEDTHRU_2_X45Y87 TILEPROP INT_FEEDTHRU_2_X45Y87 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y87 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y87 ROW 69 TILEPROP INT_FEEDTHRU_2_X45Y87 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y87 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y87 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y87 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y87 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y87 TILE_Y 28224 TILEPROP INT_FEEDTHRU_2_X45Y87 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y88 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y88 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y88 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y88 FIRST_SITE_ID 6699 TILEPROP INT_FEEDTHRU_2_X45Y88 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y88 GRID_POINT_Y 68 TILEPROP INT_FEEDTHRU_2_X45Y88 INDEX 7865 TILEPROP INT_FEEDTHRU_2_X45Y88 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y88 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y88 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y88 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y88 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y88 NAME INT_FEEDTHRU_2_X45Y88 TILEPROP INT_FEEDTHRU_2_X45Y88 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y88 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y88 ROW 68 TILEPROP INT_FEEDTHRU_2_X45Y88 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y88 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y88 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y88 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y88 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y88 TILE_Y 31424 TILEPROP INT_FEEDTHRU_2_X45Y88 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y89 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y89 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y89 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y89 FIRST_SITE_ID 6590 TILEPROP INT_FEEDTHRU_2_X45Y89 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y89 GRID_POINT_Y 67 TILEPROP INT_FEEDTHRU_2_X45Y89 INDEX 7750 TILEPROP INT_FEEDTHRU_2_X45Y89 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y89 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y89 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y89 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y89 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y89 NAME INT_FEEDTHRU_2_X45Y89 TILEPROP INT_FEEDTHRU_2_X45Y89 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y89 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y89 ROW 67 TILEPROP INT_FEEDTHRU_2_X45Y89 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y89 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y89 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y89 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y89 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y89 TILE_Y 34624 TILEPROP INT_FEEDTHRU_2_X45Y89 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y90 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y90 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y90 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y90 FIRST_SITE_ID 6452 TILEPROP INT_FEEDTHRU_2_X45Y90 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y90 GRID_POINT_Y 66 TILEPROP INT_FEEDTHRU_2_X45Y90 INDEX 7635 TILEPROP INT_FEEDTHRU_2_X45Y90 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y90 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y90 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y90 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y90 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y90 NAME INT_FEEDTHRU_2_X45Y90 TILEPROP INT_FEEDTHRU_2_X45Y90 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y90 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y90 ROW 66 TILEPROP INT_FEEDTHRU_2_X45Y90 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y90 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y90 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y90 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y90 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y90 TILE_Y 37824 TILEPROP INT_FEEDTHRU_2_X45Y90 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y91 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y91 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y91 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y91 FIRST_SITE_ID 6352 TILEPROP INT_FEEDTHRU_2_X45Y91 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y91 GRID_POINT_Y 65 TILEPROP INT_FEEDTHRU_2_X45Y91 INDEX 7520 TILEPROP INT_FEEDTHRU_2_X45Y91 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y91 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y91 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y91 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y91 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y91 NAME INT_FEEDTHRU_2_X45Y91 TILEPROP INT_FEEDTHRU_2_X45Y91 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y91 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y91 ROW 65 TILEPROP INT_FEEDTHRU_2_X45Y91 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y91 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y91 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y91 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y91 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y91 TILE_Y 41024 TILEPROP INT_FEEDTHRU_2_X45Y91 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y92 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y92 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y92 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y92 FIRST_SITE_ID 6252 TILEPROP INT_FEEDTHRU_2_X45Y92 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y92 GRID_POINT_Y 64 TILEPROP INT_FEEDTHRU_2_X45Y92 INDEX 7405 TILEPROP INT_FEEDTHRU_2_X45Y92 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y92 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y92 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y92 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y92 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y92 NAME INT_FEEDTHRU_2_X45Y92 TILEPROP INT_FEEDTHRU_2_X45Y92 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y92 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y92 ROW 64 TILEPROP INT_FEEDTHRU_2_X45Y92 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y92 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y92 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y92 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y92 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y92 TILE_Y 44224 TILEPROP INT_FEEDTHRU_2_X45Y92 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y93 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y93 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y93 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y93 FIRST_SITE_ID 6152 TILEPROP INT_FEEDTHRU_2_X45Y93 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y93 GRID_POINT_Y 63 TILEPROP INT_FEEDTHRU_2_X45Y93 INDEX 7290 TILEPROP INT_FEEDTHRU_2_X45Y93 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y93 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y93 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y93 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y93 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y93 NAME INT_FEEDTHRU_2_X45Y93 TILEPROP INT_FEEDTHRU_2_X45Y93 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y93 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y93 ROW 63 TILEPROP INT_FEEDTHRU_2_X45Y93 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y93 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y93 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y93 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y93 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y93 TILE_Y 47424 TILEPROP INT_FEEDTHRU_2_X45Y93 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y94 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y94 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y94 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y94 FIRST_SITE_ID 6043 TILEPROP INT_FEEDTHRU_2_X45Y94 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y94 GRID_POINT_Y 62 TILEPROP INT_FEEDTHRU_2_X45Y94 INDEX 7175 TILEPROP INT_FEEDTHRU_2_X45Y94 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y94 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y94 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y94 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y94 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y94 NAME INT_FEEDTHRU_2_X45Y94 TILEPROP INT_FEEDTHRU_2_X45Y94 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y94 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y94 ROW 62 TILEPROP INT_FEEDTHRU_2_X45Y94 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y94 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y94 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y94 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y94 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y94 TILE_Y 50624 TILEPROP INT_FEEDTHRU_2_X45Y94 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y95 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y95 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y95 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y95 FIRST_SITE_ID 5937 TILEPROP INT_FEEDTHRU_2_X45Y95 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y95 GRID_POINT_Y 61 TILEPROP INT_FEEDTHRU_2_X45Y95 INDEX 7060 TILEPROP INT_FEEDTHRU_2_X45Y95 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y95 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y95 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y95 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y95 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y95 NAME INT_FEEDTHRU_2_X45Y95 TILEPROP INT_FEEDTHRU_2_X45Y95 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y95 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y95 ROW 61 TILEPROP INT_FEEDTHRU_2_X45Y95 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y95 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y95 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y95 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y95 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y95 TILE_Y 53824 TILEPROP INT_FEEDTHRU_2_X45Y95 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y96 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y96 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y96 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y96 FIRST_SITE_ID 5836 TILEPROP INT_FEEDTHRU_2_X45Y96 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y96 GRID_POINT_Y 60 TILEPROP INT_FEEDTHRU_2_X45Y96 INDEX 6945 TILEPROP INT_FEEDTHRU_2_X45Y96 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y96 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y96 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y96 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y96 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y96 NAME INT_FEEDTHRU_2_X45Y96 TILEPROP INT_FEEDTHRU_2_X45Y96 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y96 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y96 ROW 60 TILEPROP INT_FEEDTHRU_2_X45Y96 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y96 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y96 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y96 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y96 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y96 TILE_Y 57024 TILEPROP INT_FEEDTHRU_2_X45Y96 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y97 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y97 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y97 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y97 FIRST_SITE_ID 5733 TILEPROP INT_FEEDTHRU_2_X45Y97 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y97 GRID_POINT_Y 59 TILEPROP INT_FEEDTHRU_2_X45Y97 INDEX 6830 TILEPROP INT_FEEDTHRU_2_X45Y97 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y97 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y97 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y97 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y97 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y97 NAME INT_FEEDTHRU_2_X45Y97 TILEPROP INT_FEEDTHRU_2_X45Y97 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y97 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y97 ROW 59 TILEPROP INT_FEEDTHRU_2_X45Y97 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y97 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y97 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y97 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y97 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y97 TILE_Y 60224 TILEPROP INT_FEEDTHRU_2_X45Y97 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y98 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y98 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y98 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y98 FIRST_SITE_ID 5631 TILEPROP INT_FEEDTHRU_2_X45Y98 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y98 GRID_POINT_Y 58 TILEPROP INT_FEEDTHRU_2_X45Y98 INDEX 6715 TILEPROP INT_FEEDTHRU_2_X45Y98 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y98 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y98 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y98 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y98 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y98 NAME INT_FEEDTHRU_2_X45Y98 TILEPROP INT_FEEDTHRU_2_X45Y98 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y98 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y98 ROW 58 TILEPROP INT_FEEDTHRU_2_X45Y98 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y98 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y98 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y98 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y98 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y98 TILE_Y 63424 TILEPROP INT_FEEDTHRU_2_X45Y98 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y99 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y99 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y99 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y99 FIRST_SITE_ID 5522 TILEPROP INT_FEEDTHRU_2_X45Y99 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y99 GRID_POINT_Y 57 TILEPROP INT_FEEDTHRU_2_X45Y99 INDEX 6600 TILEPROP INT_FEEDTHRU_2_X45Y99 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y99 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y99 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y99 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y99 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y99 NAME INT_FEEDTHRU_2_X45Y99 TILEPROP INT_FEEDTHRU_2_X45Y99 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y99 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y99 ROW 57 TILEPROP INT_FEEDTHRU_2_X45Y99 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y99 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y99 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y99 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y99 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y99 TILE_Y 66624 TILEPROP INT_FEEDTHRU_2_X45Y99 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y100 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y100 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y100 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y100 FIRST_SITE_ID 5416 TILEPROP INT_FEEDTHRU_2_X45Y100 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y100 GRID_POINT_Y 56 TILEPROP INT_FEEDTHRU_2_X45Y100 INDEX 6485 TILEPROP INT_FEEDTHRU_2_X45Y100 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y100 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y100 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y100 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y100 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y100 NAME INT_FEEDTHRU_2_X45Y100 TILEPROP INT_FEEDTHRU_2_X45Y100 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y100 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y100 ROW 56 TILEPROP INT_FEEDTHRU_2_X45Y100 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y100 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y100 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y100 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y100 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y100 TILE_Y 69824 TILEPROP INT_FEEDTHRU_2_X45Y100 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y101 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y101 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y101 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y101 FIRST_SITE_ID 5316 TILEPROP INT_FEEDTHRU_2_X45Y101 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y101 GRID_POINT_Y 55 TILEPROP INT_FEEDTHRU_2_X45Y101 INDEX 6370 TILEPROP INT_FEEDTHRU_2_X45Y101 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y101 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y101 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y101 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y101 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y101 NAME INT_FEEDTHRU_2_X45Y101 TILEPROP INT_FEEDTHRU_2_X45Y101 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y101 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y101 ROW 55 TILEPROP INT_FEEDTHRU_2_X45Y101 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y101 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y101 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y101 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y101 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y101 TILE_Y 73024 TILEPROP INT_FEEDTHRU_2_X45Y101 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y102 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y102 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y102 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y102 FIRST_SITE_ID 5216 TILEPROP INT_FEEDTHRU_2_X45Y102 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y102 GRID_POINT_Y 54 TILEPROP INT_FEEDTHRU_2_X45Y102 INDEX 6255 TILEPROP INT_FEEDTHRU_2_X45Y102 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y102 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y102 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y102 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y102 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y102 NAME INT_FEEDTHRU_2_X45Y102 TILEPROP INT_FEEDTHRU_2_X45Y102 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y102 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y102 ROW 54 TILEPROP INT_FEEDTHRU_2_X45Y102 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y102 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y102 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y102 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y102 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y102 TILE_Y 76224 TILEPROP INT_FEEDTHRU_2_X45Y102 TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y103 CLASS tile TILEPROP INT_FEEDTHRU_2_X45Y103 COLUMN 45 TILEPROP INT_FEEDTHRU_2_X45Y103 DEVICE_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y103 FIRST_SITE_ID 5120 TILEPROP INT_FEEDTHRU_2_X45Y103 GRID_POINT_X 45 TILEPROP INT_FEEDTHRU_2_X45Y103 GRID_POINT_Y 53 TILEPROP INT_FEEDTHRU_2_X45Y103 INDEX 6140 TILEPROP INT_FEEDTHRU_2_X45Y103 INT_TILE_X -1 TILEPROP INT_FEEDTHRU_2_X45Y103 INT_TILE_Y -1 TILEPROP INT_FEEDTHRU_2_X45Y103 IS_CENTER_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y103 IS_DCM_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y103 IS_GT_SITE_TILE 0 TILEPROP INT_FEEDTHRU_2_X45Y103 NAME INT_FEEDTHRU_2_X45Y103 TILEPROP INT_FEEDTHRU_2_X45Y103 NUM_ARCS 0 TILEPROP INT_FEEDTHRU_2_X45Y103 NUM_SITES 0 TILEPROP INT_FEEDTHRU_2_X45Y103 ROW 53 TILEPROP INT_FEEDTHRU_2_X45Y103 SLR_REGION_ID 0 TILEPROP INT_FEEDTHRU_2_X45Y103 TILE_PATTERN_IDX 29 TILEPROP INT_FEEDTHRU_2_X45Y103 TILE_TYPE INT_FEEDTHRU_2 TILEPROP INT_FEEDTHRU_2_X45Y103 TILE_TYPE_INDEX 92 TILEPROP INT_FEEDTHRU_2_X45Y103 TILE_X -19160 TILEPROP INT_FEEDTHRU_2_X45Y103 TILE_Y 79424 TILEPROP INT_FEEDTHRU_2_X45Y103 TYPE INT_FEEDTHRU_2 TILEPROP INT_INTERFACE_L_X18Y0 CLASS tile TILEPROP INT_INTERFACE_L_X18Y0 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y0 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y0 FIRST_SITE_ID 15740 TILEPROP INT_INTERFACE_L_X18Y0 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y0 GRID_POINT_Y 155 TILEPROP INT_INTERFACE_L_X18Y0 INDEX 17873 TILEPROP INT_INTERFACE_L_X18Y0 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y0 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y0 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y0 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y0 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y0 NAME INT_INTERFACE_L_X18Y0 TILEPROP INT_INTERFACE_L_X18Y0 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y0 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y0 ROW 155 TILEPROP INT_INTERFACE_L_X18Y0 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y0 TILE_PATTERN_IDX 3514 TILEPROP INT_INTERFACE_L_X18Y0 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y0 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y0 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y0 TILE_Y -239672 TILEPROP INT_INTERFACE_L_X18Y0 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y1 CLASS tile TILEPROP INT_INTERFACE_L_X18Y1 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y1 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y1 FIRST_SITE_ID 15629 TILEPROP INT_INTERFACE_L_X18Y1 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y1 GRID_POINT_Y 154 TILEPROP INT_INTERFACE_L_X18Y1 INDEX 17758 TILEPROP INT_INTERFACE_L_X18Y1 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y1 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y1 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y1 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y1 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y1 NAME INT_INTERFACE_L_X18Y1 TILEPROP INT_INTERFACE_L_X18Y1 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y1 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y1 ROW 154 TILEPROP INT_INTERFACE_L_X18Y1 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y1 TILE_PATTERN_IDX 3473 TILEPROP INT_INTERFACE_L_X18Y1 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y1 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y1 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y1 TILE_Y -236472 TILEPROP INT_INTERFACE_L_X18Y1 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y2 CLASS tile TILEPROP INT_INTERFACE_L_X18Y2 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y2 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y2 FIRST_SITE_ID 15529 TILEPROP INT_INTERFACE_L_X18Y2 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y2 GRID_POINT_Y 153 TILEPROP INT_INTERFACE_L_X18Y2 INDEX 17643 TILEPROP INT_INTERFACE_L_X18Y2 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y2 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y2 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y2 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y2 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y2 NAME INT_INTERFACE_L_X18Y2 TILEPROP INT_INTERFACE_L_X18Y2 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y2 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y2 ROW 153 TILEPROP INT_INTERFACE_L_X18Y2 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y2 TILE_PATTERN_IDX 3437 TILEPROP INT_INTERFACE_L_X18Y2 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y2 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y2 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y2 TILE_Y -233272 TILEPROP INT_INTERFACE_L_X18Y2 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y3 CLASS tile TILEPROP INT_INTERFACE_L_X18Y3 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y3 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y3 FIRST_SITE_ID 15429 TILEPROP INT_INTERFACE_L_X18Y3 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y3 GRID_POINT_Y 152 TILEPROP INT_INTERFACE_L_X18Y3 INDEX 17528 TILEPROP INT_INTERFACE_L_X18Y3 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y3 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y3 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y3 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y3 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y3 NAME INT_INTERFACE_L_X18Y3 TILEPROP INT_INTERFACE_L_X18Y3 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y3 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y3 ROW 152 TILEPROP INT_INTERFACE_L_X18Y3 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y3 TILE_PATTERN_IDX 3400 TILEPROP INT_INTERFACE_L_X18Y3 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y3 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y3 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y3 TILE_Y -230072 TILEPROP INT_INTERFACE_L_X18Y3 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y4 CLASS tile TILEPROP INT_INTERFACE_L_X18Y4 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y4 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y4 FIRST_SITE_ID 15329 TILEPROP INT_INTERFACE_L_X18Y4 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y4 GRID_POINT_Y 151 TILEPROP INT_INTERFACE_L_X18Y4 INDEX 17413 TILEPROP INT_INTERFACE_L_X18Y4 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y4 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y4 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y4 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y4 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y4 NAME INT_INTERFACE_L_X18Y4 TILEPROP INT_INTERFACE_L_X18Y4 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y4 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y4 ROW 151 TILEPROP INT_INTERFACE_L_X18Y4 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y4 TILE_PATTERN_IDX 3364 TILEPROP INT_INTERFACE_L_X18Y4 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y4 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y4 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y4 TILE_Y -226872 TILEPROP INT_INTERFACE_L_X18Y4 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y5 CLASS tile TILEPROP INT_INTERFACE_L_X18Y5 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y5 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y5 FIRST_SITE_ID 15220 TILEPROP INT_INTERFACE_L_X18Y5 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y5 GRID_POINT_Y 150 TILEPROP INT_INTERFACE_L_X18Y5 INDEX 17298 TILEPROP INT_INTERFACE_L_X18Y5 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y5 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y5 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y5 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y5 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y5 NAME INT_INTERFACE_L_X18Y5 TILEPROP INT_INTERFACE_L_X18Y5 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y5 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y5 ROW 150 TILEPROP INT_INTERFACE_L_X18Y5 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y5 TILE_PATTERN_IDX 3326 TILEPROP INT_INTERFACE_L_X18Y5 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y5 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y5 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y5 TILE_Y -223672 TILEPROP INT_INTERFACE_L_X18Y5 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y6 CLASS tile TILEPROP INT_INTERFACE_L_X18Y6 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y6 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y6 FIRST_SITE_ID 15114 TILEPROP INT_INTERFACE_L_X18Y6 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y6 GRID_POINT_Y 149 TILEPROP INT_INTERFACE_L_X18Y6 INDEX 17183 TILEPROP INT_INTERFACE_L_X18Y6 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y6 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y6 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y6 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y6 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y6 NAME INT_INTERFACE_L_X18Y6 TILEPROP INT_INTERFACE_L_X18Y6 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y6 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y6 ROW 149 TILEPROP INT_INTERFACE_L_X18Y6 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y6 TILE_PATTERN_IDX 3289 TILEPROP INT_INTERFACE_L_X18Y6 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y6 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y6 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y6 TILE_Y -220472 TILEPROP INT_INTERFACE_L_X18Y6 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y7 CLASS tile TILEPROP INT_INTERFACE_L_X18Y7 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y7 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y7 FIRST_SITE_ID 15012 TILEPROP INT_INTERFACE_L_X18Y7 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y7 GRID_POINT_Y 148 TILEPROP INT_INTERFACE_L_X18Y7 INDEX 17068 TILEPROP INT_INTERFACE_L_X18Y7 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y7 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y7 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y7 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y7 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y7 NAME INT_INTERFACE_L_X18Y7 TILEPROP INT_INTERFACE_L_X18Y7 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y7 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y7 ROW 148 TILEPROP INT_INTERFACE_L_X18Y7 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y7 TILE_PATTERN_IDX 3251 TILEPROP INT_INTERFACE_L_X18Y7 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y7 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y7 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y7 TILE_Y -217272 TILEPROP INT_INTERFACE_L_X18Y7 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y8 CLASS tile TILEPROP INT_INTERFACE_L_X18Y8 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y8 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y8 FIRST_SITE_ID 14909 TILEPROP INT_INTERFACE_L_X18Y8 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y8 GRID_POINT_Y 147 TILEPROP INT_INTERFACE_L_X18Y8 INDEX 16953 TILEPROP INT_INTERFACE_L_X18Y8 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y8 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y8 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y8 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y8 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y8 NAME INT_INTERFACE_L_X18Y8 TILEPROP INT_INTERFACE_L_X18Y8 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y8 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y8 ROW 147 TILEPROP INT_INTERFACE_L_X18Y8 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y8 TILE_PATTERN_IDX 3215 TILEPROP INT_INTERFACE_L_X18Y8 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y8 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y8 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y8 TILE_Y -214072 TILEPROP INT_INTERFACE_L_X18Y8 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y9 CLASS tile TILEPROP INT_INTERFACE_L_X18Y9 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y9 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y9 FIRST_SITE_ID 14808 TILEPROP INT_INTERFACE_L_X18Y9 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y9 GRID_POINT_Y 146 TILEPROP INT_INTERFACE_L_X18Y9 INDEX 16838 TILEPROP INT_INTERFACE_L_X18Y9 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y9 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y9 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y9 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y9 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y9 NAME INT_INTERFACE_L_X18Y9 TILEPROP INT_INTERFACE_L_X18Y9 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y9 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y9 ROW 146 TILEPROP INT_INTERFACE_L_X18Y9 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y9 TILE_PATTERN_IDX 3178 TILEPROP INT_INTERFACE_L_X18Y9 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y9 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y9 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y9 TILE_Y -210872 TILEPROP INT_INTERFACE_L_X18Y9 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y10 CLASS tile TILEPROP INT_INTERFACE_L_X18Y10 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y10 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y10 FIRST_SITE_ID 14699 TILEPROP INT_INTERFACE_L_X18Y10 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y10 GRID_POINT_Y 145 TILEPROP INT_INTERFACE_L_X18Y10 INDEX 16723 TILEPROP INT_INTERFACE_L_X18Y10 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y10 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y10 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y10 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y10 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y10 NAME INT_INTERFACE_L_X18Y10 TILEPROP INT_INTERFACE_L_X18Y10 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y10 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y10 ROW 145 TILEPROP INT_INTERFACE_L_X18Y10 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y10 TILE_PATTERN_IDX 3142 TILEPROP INT_INTERFACE_L_X18Y10 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y10 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y10 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y10 TILE_Y -207672 TILEPROP INT_INTERFACE_L_X18Y10 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y11 CLASS tile TILEPROP INT_INTERFACE_L_X18Y11 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y11 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y11 FIRST_SITE_ID 14593 TILEPROP INT_INTERFACE_L_X18Y11 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y11 GRID_POINT_Y 144 TILEPROP INT_INTERFACE_L_X18Y11 INDEX 16608 TILEPROP INT_INTERFACE_L_X18Y11 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y11 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y11 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y11 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y11 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y11 NAME INT_INTERFACE_L_X18Y11 TILEPROP INT_INTERFACE_L_X18Y11 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y11 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y11 ROW 144 TILEPROP INT_INTERFACE_L_X18Y11 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y11 TILE_PATTERN_IDX 3105 TILEPROP INT_INTERFACE_L_X18Y11 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y11 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y11 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y11 TILE_Y -204472 TILEPROP INT_INTERFACE_L_X18Y11 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y12 CLASS tile TILEPROP INT_INTERFACE_L_X18Y12 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y12 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y12 FIRST_SITE_ID 14461 TILEPROP INT_INTERFACE_L_X18Y12 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y12 GRID_POINT_Y 143 TILEPROP INT_INTERFACE_L_X18Y12 INDEX 16493 TILEPROP INT_INTERFACE_L_X18Y12 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y12 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y12 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y12 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y12 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y12 NAME INT_INTERFACE_L_X18Y12 TILEPROP INT_INTERFACE_L_X18Y12 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y12 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y12 ROW 143 TILEPROP INT_INTERFACE_L_X18Y12 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y12 TILE_PATTERN_IDX 3069 TILEPROP INT_INTERFACE_L_X18Y12 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y12 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y12 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y12 TILE_Y -201272 TILEPROP INT_INTERFACE_L_X18Y12 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y13 CLASS tile TILEPROP INT_INTERFACE_L_X18Y13 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y13 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y13 FIRST_SITE_ID 14361 TILEPROP INT_INTERFACE_L_X18Y13 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y13 GRID_POINT_Y 142 TILEPROP INT_INTERFACE_L_X18Y13 INDEX 16378 TILEPROP INT_INTERFACE_L_X18Y13 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y13 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y13 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y13 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y13 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y13 NAME INT_INTERFACE_L_X18Y13 TILEPROP INT_INTERFACE_L_X18Y13 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y13 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y13 ROW 142 TILEPROP INT_INTERFACE_L_X18Y13 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y13 TILE_PATTERN_IDX 3033 TILEPROP INT_INTERFACE_L_X18Y13 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y13 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y13 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y13 TILE_Y -198072 TILEPROP INT_INTERFACE_L_X18Y13 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y14 CLASS tile TILEPROP INT_INTERFACE_L_X18Y14 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y14 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y14 FIRST_SITE_ID 14261 TILEPROP INT_INTERFACE_L_X18Y14 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y14 GRID_POINT_Y 141 TILEPROP INT_INTERFACE_L_X18Y14 INDEX 16263 TILEPROP INT_INTERFACE_L_X18Y14 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y14 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y14 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y14 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y14 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y14 NAME INT_INTERFACE_L_X18Y14 TILEPROP INT_INTERFACE_L_X18Y14 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y14 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y14 ROW 141 TILEPROP INT_INTERFACE_L_X18Y14 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y14 TILE_PATTERN_IDX 2998 TILEPROP INT_INTERFACE_L_X18Y14 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y14 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y14 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y14 TILE_Y -194872 TILEPROP INT_INTERFACE_L_X18Y14 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y15 CLASS tile TILEPROP INT_INTERFACE_L_X18Y15 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y15 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y15 FIRST_SITE_ID 14152 TILEPROP INT_INTERFACE_L_X18Y15 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y15 GRID_POINT_Y 140 TILEPROP INT_INTERFACE_L_X18Y15 INDEX 16148 TILEPROP INT_INTERFACE_L_X18Y15 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y15 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y15 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y15 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y15 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y15 NAME INT_INTERFACE_L_X18Y15 TILEPROP INT_INTERFACE_L_X18Y15 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y15 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y15 ROW 140 TILEPROP INT_INTERFACE_L_X18Y15 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y15 TILE_PATTERN_IDX 2961 TILEPROP INT_INTERFACE_L_X18Y15 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y15 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y15 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y15 TILE_Y -191672 TILEPROP INT_INTERFACE_L_X18Y15 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y16 CLASS tile TILEPROP INT_INTERFACE_L_X18Y16 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y16 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y16 FIRST_SITE_ID 14046 TILEPROP INT_INTERFACE_L_X18Y16 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y16 GRID_POINT_Y 139 TILEPROP INT_INTERFACE_L_X18Y16 INDEX 16033 TILEPROP INT_INTERFACE_L_X18Y16 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y16 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y16 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y16 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y16 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y16 NAME INT_INTERFACE_L_X18Y16 TILEPROP INT_INTERFACE_L_X18Y16 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y16 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y16 ROW 139 TILEPROP INT_INTERFACE_L_X18Y16 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y16 TILE_PATTERN_IDX 2926 TILEPROP INT_INTERFACE_L_X18Y16 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y16 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y16 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y16 TILE_Y -188472 TILEPROP INT_INTERFACE_L_X18Y16 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y17 CLASS tile TILEPROP INT_INTERFACE_L_X18Y17 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y17 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y17 FIRST_SITE_ID 13941 TILEPROP INT_INTERFACE_L_X18Y17 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y17 GRID_POINT_Y 138 TILEPROP INT_INTERFACE_L_X18Y17 INDEX 15918 TILEPROP INT_INTERFACE_L_X18Y17 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y17 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y17 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y17 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y17 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y17 NAME INT_INTERFACE_L_X18Y17 TILEPROP INT_INTERFACE_L_X18Y17 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y17 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y17 ROW 138 TILEPROP INT_INTERFACE_L_X18Y17 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y17 TILE_PATTERN_IDX 2887 TILEPROP INT_INTERFACE_L_X18Y17 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y17 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y17 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y17 TILE_Y -185272 TILEPROP INT_INTERFACE_L_X18Y17 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y18 CLASS tile TILEPROP INT_INTERFACE_L_X18Y18 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y18 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y18 FIRST_SITE_ID 13837 TILEPROP INT_INTERFACE_L_X18Y18 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y18 GRID_POINT_Y 137 TILEPROP INT_INTERFACE_L_X18Y18 INDEX 15803 TILEPROP INT_INTERFACE_L_X18Y18 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y18 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y18 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y18 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y18 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y18 NAME INT_INTERFACE_L_X18Y18 TILEPROP INT_INTERFACE_L_X18Y18 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y18 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y18 ROW 137 TILEPROP INT_INTERFACE_L_X18Y18 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y18 TILE_PATTERN_IDX 2851 TILEPROP INT_INTERFACE_L_X18Y18 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y18 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y18 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y18 TILE_Y -182072 TILEPROP INT_INTERFACE_L_X18Y18 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y19 CLASS tile TILEPROP INT_INTERFACE_L_X18Y19 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y19 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y19 FIRST_SITE_ID 13735 TILEPROP INT_INTERFACE_L_X18Y19 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y19 GRID_POINT_Y 136 TILEPROP INT_INTERFACE_L_X18Y19 INDEX 15688 TILEPROP INT_INTERFACE_L_X18Y19 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y19 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y19 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y19 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y19 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y19 NAME INT_INTERFACE_L_X18Y19 TILEPROP INT_INTERFACE_L_X18Y19 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y19 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y19 ROW 136 TILEPROP INT_INTERFACE_L_X18Y19 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y19 TILE_PATTERN_IDX 2814 TILEPROP INT_INTERFACE_L_X18Y19 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y19 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y19 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y19 TILE_Y -178872 TILEPROP INT_INTERFACE_L_X18Y19 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y20 CLASS tile TILEPROP INT_INTERFACE_L_X18Y20 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y20 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y20 FIRST_SITE_ID 13624 TILEPROP INT_INTERFACE_L_X18Y20 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y20 GRID_POINT_Y 135 TILEPROP INT_INTERFACE_L_X18Y20 INDEX 15573 TILEPROP INT_INTERFACE_L_X18Y20 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y20 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y20 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y20 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y20 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y20 NAME INT_INTERFACE_L_X18Y20 TILEPROP INT_INTERFACE_L_X18Y20 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y20 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y20 ROW 135 TILEPROP INT_INTERFACE_L_X18Y20 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y20 TILE_PATTERN_IDX 2778 TILEPROP INT_INTERFACE_L_X18Y20 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y20 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y20 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y20 TILE_Y -175672 TILEPROP INT_INTERFACE_L_X18Y20 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y21 CLASS tile TILEPROP INT_INTERFACE_L_X18Y21 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y21 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y21 FIRST_SITE_ID 13518 TILEPROP INT_INTERFACE_L_X18Y21 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y21 GRID_POINT_Y 134 TILEPROP INT_INTERFACE_L_X18Y21 INDEX 15458 TILEPROP INT_INTERFACE_L_X18Y21 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y21 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y21 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y21 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y21 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y21 NAME INT_INTERFACE_L_X18Y21 TILEPROP INT_INTERFACE_L_X18Y21 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y21 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y21 ROW 134 TILEPROP INT_INTERFACE_L_X18Y21 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y21 TILE_PATTERN_IDX 2741 TILEPROP INT_INTERFACE_L_X18Y21 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y21 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y21 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y21 TILE_Y -172472 TILEPROP INT_INTERFACE_L_X18Y21 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y22 CLASS tile TILEPROP INT_INTERFACE_L_X18Y22 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y22 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y22 FIRST_SITE_ID 13418 TILEPROP INT_INTERFACE_L_X18Y22 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y22 GRID_POINT_Y 133 TILEPROP INT_INTERFACE_L_X18Y22 INDEX 15343 TILEPROP INT_INTERFACE_L_X18Y22 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y22 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y22 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y22 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y22 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y22 NAME INT_INTERFACE_L_X18Y22 TILEPROP INT_INTERFACE_L_X18Y22 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y22 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y22 ROW 133 TILEPROP INT_INTERFACE_L_X18Y22 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y22 TILE_PATTERN_IDX 2705 TILEPROP INT_INTERFACE_L_X18Y22 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y22 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y22 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y22 TILE_Y -169272 TILEPROP INT_INTERFACE_L_X18Y22 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y23 CLASS tile TILEPROP INT_INTERFACE_L_X18Y23 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y23 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y23 FIRST_SITE_ID 13318 TILEPROP INT_INTERFACE_L_X18Y23 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y23 GRID_POINT_Y 132 TILEPROP INT_INTERFACE_L_X18Y23 INDEX 15228 TILEPROP INT_INTERFACE_L_X18Y23 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y23 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y23 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y23 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y23 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y23 NAME INT_INTERFACE_L_X18Y23 TILEPROP INT_INTERFACE_L_X18Y23 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y23 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y23 ROW 132 TILEPROP INT_INTERFACE_L_X18Y23 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y23 TILE_PATTERN_IDX 2668 TILEPROP INT_INTERFACE_L_X18Y23 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y23 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y23 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y23 TILE_Y -166072 TILEPROP INT_INTERFACE_L_X18Y23 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y24 CLASS tile TILEPROP INT_INTERFACE_L_X18Y24 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y24 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y24 FIRST_SITE_ID 13218 TILEPROP INT_INTERFACE_L_X18Y24 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y24 GRID_POINT_Y 131 TILEPROP INT_INTERFACE_L_X18Y24 INDEX 15113 TILEPROP INT_INTERFACE_L_X18Y24 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y24 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y24 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y24 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y24 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y24 NAME INT_INTERFACE_L_X18Y24 TILEPROP INT_INTERFACE_L_X18Y24 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y24 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y24 ROW 131 TILEPROP INT_INTERFACE_L_X18Y24 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y24 TILE_PATTERN_IDX 2632 TILEPROP INT_INTERFACE_L_X18Y24 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y24 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y24 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y24 TILE_Y -162872 TILEPROP INT_INTERFACE_L_X18Y24 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y25 CLASS tile TILEPROP INT_INTERFACE_L_X18Y25 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y25 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y25 FIRST_SITE_ID 13026 TILEPROP INT_INTERFACE_L_X18Y25 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y25 GRID_POINT_Y 129 TILEPROP INT_INTERFACE_L_X18Y25 INDEX 14883 TILEPROP INT_INTERFACE_L_X18Y25 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y25 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y25 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y25 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y25 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y25 NAME INT_INTERFACE_L_X18Y25 TILEPROP INT_INTERFACE_L_X18Y25 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y25 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y25 ROW 129 TILEPROP INT_INTERFACE_L_X18Y25 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y25 TILE_PATTERN_IDX 2556 TILEPROP INT_INTERFACE_L_X18Y25 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y25 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y25 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y25 TILE_Y -158648 TILEPROP INT_INTERFACE_L_X18Y25 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y26 CLASS tile TILEPROP INT_INTERFACE_L_X18Y26 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y26 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y26 FIRST_SITE_ID 12920 TILEPROP INT_INTERFACE_L_X18Y26 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y26 GRID_POINT_Y 128 TILEPROP INT_INTERFACE_L_X18Y26 INDEX 14768 TILEPROP INT_INTERFACE_L_X18Y26 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y26 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y26 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y26 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y26 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y26 NAME INT_INTERFACE_L_X18Y26 TILEPROP INT_INTERFACE_L_X18Y26 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y26 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y26 ROW 128 TILEPROP INT_INTERFACE_L_X18Y26 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y26 TILE_PATTERN_IDX 2520 TILEPROP INT_INTERFACE_L_X18Y26 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y26 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y26 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y26 TILE_Y -155448 TILEPROP INT_INTERFACE_L_X18Y26 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y27 CLASS tile TILEPROP INT_INTERFACE_L_X18Y27 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y27 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y27 FIRST_SITE_ID 12820 TILEPROP INT_INTERFACE_L_X18Y27 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y27 GRID_POINT_Y 127 TILEPROP INT_INTERFACE_L_X18Y27 INDEX 14653 TILEPROP INT_INTERFACE_L_X18Y27 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y27 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y27 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y27 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y27 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y27 NAME INT_INTERFACE_L_X18Y27 TILEPROP INT_INTERFACE_L_X18Y27 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y27 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y27 ROW 127 TILEPROP INT_INTERFACE_L_X18Y27 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y27 TILE_PATTERN_IDX 2483 TILEPROP INT_INTERFACE_L_X18Y27 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y27 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y27 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y27 TILE_Y -152248 TILEPROP INT_INTERFACE_L_X18Y27 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y28 CLASS tile TILEPROP INT_INTERFACE_L_X18Y28 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y28 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y28 FIRST_SITE_ID 12720 TILEPROP INT_INTERFACE_L_X18Y28 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y28 GRID_POINT_Y 126 TILEPROP INT_INTERFACE_L_X18Y28 INDEX 14538 TILEPROP INT_INTERFACE_L_X18Y28 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y28 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y28 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y28 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y28 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y28 NAME INT_INTERFACE_L_X18Y28 TILEPROP INT_INTERFACE_L_X18Y28 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y28 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y28 ROW 126 TILEPROP INT_INTERFACE_L_X18Y28 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y28 TILE_PATTERN_IDX 2447 TILEPROP INT_INTERFACE_L_X18Y28 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y28 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y28 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y28 TILE_Y -149048 TILEPROP INT_INTERFACE_L_X18Y28 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y29 CLASS tile TILEPROP INT_INTERFACE_L_X18Y29 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y29 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y29 FIRST_SITE_ID 12614 TILEPROP INT_INTERFACE_L_X18Y29 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y29 GRID_POINT_Y 125 TILEPROP INT_INTERFACE_L_X18Y29 INDEX 14423 TILEPROP INT_INTERFACE_L_X18Y29 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y29 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y29 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y29 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y29 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y29 NAME INT_INTERFACE_L_X18Y29 TILEPROP INT_INTERFACE_L_X18Y29 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y29 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y29 ROW 125 TILEPROP INT_INTERFACE_L_X18Y29 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y29 TILE_PATTERN_IDX 2410 TILEPROP INT_INTERFACE_L_X18Y29 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y29 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y29 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y29 TILE_Y -145848 TILEPROP INT_INTERFACE_L_X18Y29 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y30 CLASS tile TILEPROP INT_INTERFACE_L_X18Y30 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y30 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y30 FIRST_SITE_ID 12499 TILEPROP INT_INTERFACE_L_X18Y30 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y30 GRID_POINT_Y 124 TILEPROP INT_INTERFACE_L_X18Y30 INDEX 14308 TILEPROP INT_INTERFACE_L_X18Y30 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y30 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y30 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y30 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y30 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y30 NAME INT_INTERFACE_L_X18Y30 TILEPROP INT_INTERFACE_L_X18Y30 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y30 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y30 ROW 124 TILEPROP INT_INTERFACE_L_X18Y30 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y30 TILE_PATTERN_IDX 2373 TILEPROP INT_INTERFACE_L_X18Y30 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y30 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y30 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y30 TILE_Y -142648 TILEPROP INT_INTERFACE_L_X18Y30 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y31 CLASS tile TILEPROP INT_INTERFACE_L_X18Y31 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y31 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y31 FIRST_SITE_ID 12378 TILEPROP INT_INTERFACE_L_X18Y31 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y31 GRID_POINT_Y 123 TILEPROP INT_INTERFACE_L_X18Y31 INDEX 14193 TILEPROP INT_INTERFACE_L_X18Y31 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y31 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y31 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y31 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y31 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y31 NAME INT_INTERFACE_L_X18Y31 TILEPROP INT_INTERFACE_L_X18Y31 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y31 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y31 ROW 123 TILEPROP INT_INTERFACE_L_X18Y31 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y31 TILE_PATTERN_IDX 2335 TILEPROP INT_INTERFACE_L_X18Y31 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y31 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y31 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y31 TILE_Y -139448 TILEPROP INT_INTERFACE_L_X18Y31 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y32 CLASS tile TILEPROP INT_INTERFACE_L_X18Y32 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y32 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y32 FIRST_SITE_ID 12275 TILEPROP INT_INTERFACE_L_X18Y32 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y32 GRID_POINT_Y 122 TILEPROP INT_INTERFACE_L_X18Y32 INDEX 14078 TILEPROP INT_INTERFACE_L_X18Y32 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y32 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y32 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y32 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y32 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y32 NAME INT_INTERFACE_L_X18Y32 TILEPROP INT_INTERFACE_L_X18Y32 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y32 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y32 ROW 122 TILEPROP INT_INTERFACE_L_X18Y32 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y32 TILE_PATTERN_IDX 2298 TILEPROP INT_INTERFACE_L_X18Y32 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y32 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y32 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y32 TILE_Y -136248 TILEPROP INT_INTERFACE_L_X18Y32 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y33 CLASS tile TILEPROP INT_INTERFACE_L_X18Y33 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y33 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y33 FIRST_SITE_ID 12175 TILEPROP INT_INTERFACE_L_X18Y33 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y33 GRID_POINT_Y 121 TILEPROP INT_INTERFACE_L_X18Y33 INDEX 13963 TILEPROP INT_INTERFACE_L_X18Y33 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y33 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y33 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y33 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y33 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y33 NAME INT_INTERFACE_L_X18Y33 TILEPROP INT_INTERFACE_L_X18Y33 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y33 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y33 ROW 121 TILEPROP INT_INTERFACE_L_X18Y33 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y33 TILE_PATTERN_IDX 2262 TILEPROP INT_INTERFACE_L_X18Y33 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y33 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y33 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y33 TILE_Y -133048 TILEPROP INT_INTERFACE_L_X18Y33 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y34 CLASS tile TILEPROP INT_INTERFACE_L_X18Y34 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y34 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y34 FIRST_SITE_ID 12075 TILEPROP INT_INTERFACE_L_X18Y34 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y34 GRID_POINT_Y 120 TILEPROP INT_INTERFACE_L_X18Y34 INDEX 13848 TILEPROP INT_INTERFACE_L_X18Y34 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y34 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y34 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y34 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y34 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y34 NAME INT_INTERFACE_L_X18Y34 TILEPROP INT_INTERFACE_L_X18Y34 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y34 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y34 ROW 120 TILEPROP INT_INTERFACE_L_X18Y34 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y34 TILE_PATTERN_IDX 2227 TILEPROP INT_INTERFACE_L_X18Y34 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y34 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y34 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y34 TILE_Y -129848 TILEPROP INT_INTERFACE_L_X18Y34 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y35 CLASS tile TILEPROP INT_INTERFACE_L_X18Y35 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y35 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y35 FIRST_SITE_ID 11966 TILEPROP INT_INTERFACE_L_X18Y35 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y35 GRID_POINT_Y 119 TILEPROP INT_INTERFACE_L_X18Y35 INDEX 13733 TILEPROP INT_INTERFACE_L_X18Y35 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y35 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y35 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y35 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y35 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y35 NAME INT_INTERFACE_L_X18Y35 TILEPROP INT_INTERFACE_L_X18Y35 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y35 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y35 ROW 119 TILEPROP INT_INTERFACE_L_X18Y35 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y35 TILE_PATTERN_IDX 2190 TILEPROP INT_INTERFACE_L_X18Y35 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y35 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y35 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y35 TILE_Y -126648 TILEPROP INT_INTERFACE_L_X18Y35 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y36 CLASS tile TILEPROP INT_INTERFACE_L_X18Y36 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y36 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y36 FIRST_SITE_ID 11828 TILEPROP INT_INTERFACE_L_X18Y36 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y36 GRID_POINT_Y 118 TILEPROP INT_INTERFACE_L_X18Y36 INDEX 13618 TILEPROP INT_INTERFACE_L_X18Y36 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y36 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y36 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y36 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y36 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y36 NAME INT_INTERFACE_L_X18Y36 TILEPROP INT_INTERFACE_L_X18Y36 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y36 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y36 ROW 118 TILEPROP INT_INTERFACE_L_X18Y36 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y36 TILE_PATTERN_IDX 2154 TILEPROP INT_INTERFACE_L_X18Y36 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y36 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y36 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y36 TILE_Y -123448 TILEPROP INT_INTERFACE_L_X18Y36 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y37 CLASS tile TILEPROP INT_INTERFACE_L_X18Y37 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y37 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y37 FIRST_SITE_ID 11728 TILEPROP INT_INTERFACE_L_X18Y37 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y37 GRID_POINT_Y 117 TILEPROP INT_INTERFACE_L_X18Y37 INDEX 13503 TILEPROP INT_INTERFACE_L_X18Y37 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y37 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y37 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y37 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y37 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y37 NAME INT_INTERFACE_L_X18Y37 TILEPROP INT_INTERFACE_L_X18Y37 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y37 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y37 ROW 117 TILEPROP INT_INTERFACE_L_X18Y37 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y37 TILE_PATTERN_IDX 2117 TILEPROP INT_INTERFACE_L_X18Y37 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y37 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y37 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y37 TILE_Y -120248 TILEPROP INT_INTERFACE_L_X18Y37 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y38 CLASS tile TILEPROP INT_INTERFACE_L_X18Y38 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y38 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y38 FIRST_SITE_ID 11628 TILEPROP INT_INTERFACE_L_X18Y38 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y38 GRID_POINT_Y 116 TILEPROP INT_INTERFACE_L_X18Y38 INDEX 13388 TILEPROP INT_INTERFACE_L_X18Y38 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y38 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y38 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y38 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y38 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y38 NAME INT_INTERFACE_L_X18Y38 TILEPROP INT_INTERFACE_L_X18Y38 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y38 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y38 ROW 116 TILEPROP INT_INTERFACE_L_X18Y38 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y38 TILE_PATTERN_IDX 2082 TILEPROP INT_INTERFACE_L_X18Y38 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y38 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y38 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y38 TILE_Y -117048 TILEPROP INT_INTERFACE_L_X18Y38 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y39 CLASS tile TILEPROP INT_INTERFACE_L_X18Y39 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y39 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y39 FIRST_SITE_ID 11528 TILEPROP INT_INTERFACE_L_X18Y39 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y39 GRID_POINT_Y 115 TILEPROP INT_INTERFACE_L_X18Y39 INDEX 13273 TILEPROP INT_INTERFACE_L_X18Y39 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y39 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y39 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y39 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y39 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y39 NAME INT_INTERFACE_L_X18Y39 TILEPROP INT_INTERFACE_L_X18Y39 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y39 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y39 ROW 115 TILEPROP INT_INTERFACE_L_X18Y39 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y39 TILE_PATTERN_IDX 2046 TILEPROP INT_INTERFACE_L_X18Y39 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y39 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y39 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y39 TILE_Y -113848 TILEPROP INT_INTERFACE_L_X18Y39 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y40 CLASS tile TILEPROP INT_INTERFACE_L_X18Y40 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y40 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y40 FIRST_SITE_ID 11419 TILEPROP INT_INTERFACE_L_X18Y40 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y40 GRID_POINT_Y 114 TILEPROP INT_INTERFACE_L_X18Y40 INDEX 13158 TILEPROP INT_INTERFACE_L_X18Y40 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y40 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y40 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y40 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y40 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y40 NAME INT_INTERFACE_L_X18Y40 TILEPROP INT_INTERFACE_L_X18Y40 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y40 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y40 ROW 114 TILEPROP INT_INTERFACE_L_X18Y40 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y40 TILE_PATTERN_IDX 2010 TILEPROP INT_INTERFACE_L_X18Y40 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y40 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y40 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y40 TILE_Y -110648 TILEPROP INT_INTERFACE_L_X18Y40 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y41 CLASS tile TILEPROP INT_INTERFACE_L_X18Y41 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y41 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y41 FIRST_SITE_ID 11310 TILEPROP INT_INTERFACE_L_X18Y41 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y41 GRID_POINT_Y 113 TILEPROP INT_INTERFACE_L_X18Y41 INDEX 13043 TILEPROP INT_INTERFACE_L_X18Y41 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y41 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y41 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y41 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y41 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y41 NAME INT_INTERFACE_L_X18Y41 TILEPROP INT_INTERFACE_L_X18Y41 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y41 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y41 ROW 113 TILEPROP INT_INTERFACE_L_X18Y41 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y41 TILE_PATTERN_IDX 1970 TILEPROP INT_INTERFACE_L_X18Y41 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y41 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y41 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y41 TILE_Y -107448 TILEPROP INT_INTERFACE_L_X18Y41 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y42 CLASS tile TILEPROP INT_INTERFACE_L_X18Y42 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y42 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y42 FIRST_SITE_ID 11209 TILEPROP INT_INTERFACE_L_X18Y42 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y42 GRID_POINT_Y 112 TILEPROP INT_INTERFACE_L_X18Y42 INDEX 12928 TILEPROP INT_INTERFACE_L_X18Y42 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y42 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y42 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y42 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y42 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y42 NAME INT_INTERFACE_L_X18Y42 TILEPROP INT_INTERFACE_L_X18Y42 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y42 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y42 ROW 112 TILEPROP INT_INTERFACE_L_X18Y42 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y42 TILE_PATTERN_IDX 1934 TILEPROP INT_INTERFACE_L_X18Y42 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y42 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y42 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y42 TILE_Y -104248 TILEPROP INT_INTERFACE_L_X18Y42 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y43 CLASS tile TILEPROP INT_INTERFACE_L_X18Y43 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y43 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y43 FIRST_SITE_ID 11106 TILEPROP INT_INTERFACE_L_X18Y43 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y43 GRID_POINT_Y 111 TILEPROP INT_INTERFACE_L_X18Y43 INDEX 12813 TILEPROP INT_INTERFACE_L_X18Y43 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y43 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y43 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y43 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y43 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y43 NAME INT_INTERFACE_L_X18Y43 TILEPROP INT_INTERFACE_L_X18Y43 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y43 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y43 ROW 111 TILEPROP INT_INTERFACE_L_X18Y43 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y43 TILE_PATTERN_IDX 1895 TILEPROP INT_INTERFACE_L_X18Y43 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y43 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y43 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y43 TILE_Y -101048 TILEPROP INT_INTERFACE_L_X18Y43 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y44 CLASS tile TILEPROP INT_INTERFACE_L_X18Y44 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y44 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y44 FIRST_SITE_ID 11004 TILEPROP INT_INTERFACE_L_X18Y44 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y44 GRID_POINT_Y 110 TILEPROP INT_INTERFACE_L_X18Y44 INDEX 12698 TILEPROP INT_INTERFACE_L_X18Y44 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y44 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y44 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y44 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y44 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y44 NAME INT_INTERFACE_L_X18Y44 TILEPROP INT_INTERFACE_L_X18Y44 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y44 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y44 ROW 110 TILEPROP INT_INTERFACE_L_X18Y44 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y44 TILE_PATTERN_IDX 1859 TILEPROP INT_INTERFACE_L_X18Y44 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y44 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y44 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y44 TILE_Y -97848 TILEPROP INT_INTERFACE_L_X18Y44 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y45 CLASS tile TILEPROP INT_INTERFACE_L_X18Y45 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y45 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y45 FIRST_SITE_ID 10894 TILEPROP INT_INTERFACE_L_X18Y45 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y45 GRID_POINT_Y 109 TILEPROP INT_INTERFACE_L_X18Y45 INDEX 12583 TILEPROP INT_INTERFACE_L_X18Y45 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y45 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y45 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y45 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y45 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y45 NAME INT_INTERFACE_L_X18Y45 TILEPROP INT_INTERFACE_L_X18Y45 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y45 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y45 ROW 109 TILEPROP INT_INTERFACE_L_X18Y45 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y45 TILE_PATTERN_IDX 1818 TILEPROP INT_INTERFACE_L_X18Y45 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y45 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y45 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y45 TILE_Y -94648 TILEPROP INT_INTERFACE_L_X18Y45 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y46 CLASS tile TILEPROP INT_INTERFACE_L_X18Y46 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y46 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y46 FIRST_SITE_ID 10772 TILEPROP INT_INTERFACE_L_X18Y46 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y46 GRID_POINT_Y 108 TILEPROP INT_INTERFACE_L_X18Y46 INDEX 12468 TILEPROP INT_INTERFACE_L_X18Y46 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y46 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y46 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y46 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y46 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y46 NAME INT_INTERFACE_L_X18Y46 TILEPROP INT_INTERFACE_L_X18Y46 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y46 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y46 ROW 108 TILEPROP INT_INTERFACE_L_X18Y46 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y46 TILE_PATTERN_IDX 1778 TILEPROP INT_INTERFACE_L_X18Y46 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y46 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y46 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y46 TILE_Y -91448 TILEPROP INT_INTERFACE_L_X18Y46 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y47 CLASS tile TILEPROP INT_INTERFACE_L_X18Y47 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y47 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y47 FIRST_SITE_ID 10672 TILEPROP INT_INTERFACE_L_X18Y47 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y47 GRID_POINT_Y 107 TILEPROP INT_INTERFACE_L_X18Y47 INDEX 12353 TILEPROP INT_INTERFACE_L_X18Y47 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y47 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y47 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y47 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y47 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y47 NAME INT_INTERFACE_L_X18Y47 TILEPROP INT_INTERFACE_L_X18Y47 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y47 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y47 ROW 107 TILEPROP INT_INTERFACE_L_X18Y47 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y47 TILE_PATTERN_IDX 1739 TILEPROP INT_INTERFACE_L_X18Y47 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y47 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y47 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y47 TILE_Y -88248 TILEPROP INT_INTERFACE_L_X18Y47 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y48 CLASS tile TILEPROP INT_INTERFACE_L_X18Y48 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y48 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y48 FIRST_SITE_ID 10572 TILEPROP INT_INTERFACE_L_X18Y48 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y48 GRID_POINT_Y 106 TILEPROP INT_INTERFACE_L_X18Y48 INDEX 12238 TILEPROP INT_INTERFACE_L_X18Y48 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y48 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y48 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y48 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y48 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y48 NAME INT_INTERFACE_L_X18Y48 TILEPROP INT_INTERFACE_L_X18Y48 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y48 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y48 ROW 106 TILEPROP INT_INTERFACE_L_X18Y48 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y48 TILE_PATTERN_IDX 1701 TILEPROP INT_INTERFACE_L_X18Y48 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y48 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y48 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y48 TILE_Y -85048 TILEPROP INT_INTERFACE_L_X18Y48 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y49 CLASS tile TILEPROP INT_INTERFACE_L_X18Y49 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y49 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y49 FIRST_SITE_ID 10476 TILEPROP INT_INTERFACE_L_X18Y49 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y49 GRID_POINT_Y 105 TILEPROP INT_INTERFACE_L_X18Y49 INDEX 12123 TILEPROP INT_INTERFACE_L_X18Y49 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y49 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y49 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y49 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y49 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y49 NAME INT_INTERFACE_L_X18Y49 TILEPROP INT_INTERFACE_L_X18Y49 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y49 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y49 ROW 105 TILEPROP INT_INTERFACE_L_X18Y49 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y49 TILE_PATTERN_IDX 1659 TILEPROP INT_INTERFACE_L_X18Y49 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y49 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y49 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y49 TILE_Y -81848 TILEPROP INT_INTERFACE_L_X18Y49 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y50 CLASS tile TILEPROP INT_INTERFACE_L_X18Y50 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y50 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y50 FIRST_SITE_ID 10351 TILEPROP INT_INTERFACE_L_X18Y50 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y50 GRID_POINT_Y 103 TILEPROP INT_INTERFACE_L_X18Y50 INDEX 11893 TILEPROP INT_INTERFACE_L_X18Y50 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y50 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y50 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y50 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y50 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y50 NAME INT_INTERFACE_L_X18Y50 TILEPROP INT_INTERFACE_L_X18Y50 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y50 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y50 ROW 103 TILEPROP INT_INTERFACE_L_X18Y50 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y50 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y50 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y50 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y50 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y50 TILE_Y -78400 TILEPROP INT_INTERFACE_L_X18Y50 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y51 CLASS tile TILEPROP INT_INTERFACE_L_X18Y51 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y51 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y51 FIRST_SITE_ID 10241 TILEPROP INT_INTERFACE_L_X18Y51 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y51 GRID_POINT_Y 102 TILEPROP INT_INTERFACE_L_X18Y51 INDEX 11778 TILEPROP INT_INTERFACE_L_X18Y51 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y51 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y51 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y51 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y51 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y51 NAME INT_INTERFACE_L_X18Y51 TILEPROP INT_INTERFACE_L_X18Y51 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y51 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y51 ROW 102 TILEPROP INT_INTERFACE_L_X18Y51 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y51 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y51 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y51 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y51 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y51 TILE_Y -75200 TILEPROP INT_INTERFACE_L_X18Y51 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y52 CLASS tile TILEPROP INT_INTERFACE_L_X18Y52 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y52 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y52 FIRST_SITE_ID 10141 TILEPROP INT_INTERFACE_L_X18Y52 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y52 GRID_POINT_Y 101 TILEPROP INT_INTERFACE_L_X18Y52 INDEX 11663 TILEPROP INT_INTERFACE_L_X18Y52 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y52 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y52 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y52 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y52 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y52 NAME INT_INTERFACE_L_X18Y52 TILEPROP INT_INTERFACE_L_X18Y52 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y52 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y52 ROW 101 TILEPROP INT_INTERFACE_L_X18Y52 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y52 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y52 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y52 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y52 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y52 TILE_Y -72000 TILEPROP INT_INTERFACE_L_X18Y52 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y53 CLASS tile TILEPROP INT_INTERFACE_L_X18Y53 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y53 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y53 FIRST_SITE_ID 10041 TILEPROP INT_INTERFACE_L_X18Y53 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y53 GRID_POINT_Y 100 TILEPROP INT_INTERFACE_L_X18Y53 INDEX 11548 TILEPROP INT_INTERFACE_L_X18Y53 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y53 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y53 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y53 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y53 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y53 NAME INT_INTERFACE_L_X18Y53 TILEPROP INT_INTERFACE_L_X18Y53 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y53 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y53 ROW 100 TILEPROP INT_INTERFACE_L_X18Y53 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y53 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y53 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y53 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y53 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y53 TILE_Y -68800 TILEPROP INT_INTERFACE_L_X18Y53 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y54 CLASS tile TILEPROP INT_INTERFACE_L_X18Y54 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y54 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y54 FIRST_SITE_ID 9941 TILEPROP INT_INTERFACE_L_X18Y54 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y54 GRID_POINT_Y 99 TILEPROP INT_INTERFACE_L_X18Y54 INDEX 11433 TILEPROP INT_INTERFACE_L_X18Y54 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y54 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y54 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y54 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y54 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y54 NAME INT_INTERFACE_L_X18Y54 TILEPROP INT_INTERFACE_L_X18Y54 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y54 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y54 ROW 99 TILEPROP INT_INTERFACE_L_X18Y54 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y54 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y54 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y54 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y54 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y54 TILE_Y -65600 TILEPROP INT_INTERFACE_L_X18Y54 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y55 CLASS tile TILEPROP INT_INTERFACE_L_X18Y55 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y55 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y55 FIRST_SITE_ID 9832 TILEPROP INT_INTERFACE_L_X18Y55 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y55 GRID_POINT_Y 98 TILEPROP INT_INTERFACE_L_X18Y55 INDEX 11318 TILEPROP INT_INTERFACE_L_X18Y55 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y55 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y55 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y55 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y55 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y55 NAME INT_INTERFACE_L_X18Y55 TILEPROP INT_INTERFACE_L_X18Y55 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y55 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y55 ROW 98 TILEPROP INT_INTERFACE_L_X18Y55 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y55 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y55 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y55 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y55 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y55 TILE_Y -62400 TILEPROP INT_INTERFACE_L_X18Y55 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y56 CLASS tile TILEPROP INT_INTERFACE_L_X18Y56 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y56 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y56 FIRST_SITE_ID 9726 TILEPROP INT_INTERFACE_L_X18Y56 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y56 GRID_POINT_Y 97 TILEPROP INT_INTERFACE_L_X18Y56 INDEX 11203 TILEPROP INT_INTERFACE_L_X18Y56 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y56 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y56 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y56 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y56 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y56 NAME INT_INTERFACE_L_X18Y56 TILEPROP INT_INTERFACE_L_X18Y56 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y56 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y56 ROW 97 TILEPROP INT_INTERFACE_L_X18Y56 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y56 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y56 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y56 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y56 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y56 TILE_Y -59200 TILEPROP INT_INTERFACE_L_X18Y56 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y57 CLASS tile TILEPROP INT_INTERFACE_L_X18Y57 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y57 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y57 FIRST_SITE_ID 9624 TILEPROP INT_INTERFACE_L_X18Y57 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y57 GRID_POINT_Y 96 TILEPROP INT_INTERFACE_L_X18Y57 INDEX 11088 TILEPROP INT_INTERFACE_L_X18Y57 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y57 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y57 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y57 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y57 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y57 NAME INT_INTERFACE_L_X18Y57 TILEPROP INT_INTERFACE_L_X18Y57 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y57 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y57 ROW 96 TILEPROP INT_INTERFACE_L_X18Y57 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y57 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y57 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y57 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y57 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y57 TILE_Y -56000 TILEPROP INT_INTERFACE_L_X18Y57 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y58 CLASS tile TILEPROP INT_INTERFACE_L_X18Y58 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y58 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y58 FIRST_SITE_ID 9521 TILEPROP INT_INTERFACE_L_X18Y58 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y58 GRID_POINT_Y 95 TILEPROP INT_INTERFACE_L_X18Y58 INDEX 10973 TILEPROP INT_INTERFACE_L_X18Y58 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y58 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y58 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y58 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y58 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y58 NAME INT_INTERFACE_L_X18Y58 TILEPROP INT_INTERFACE_L_X18Y58 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y58 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y58 ROW 95 TILEPROP INT_INTERFACE_L_X18Y58 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y58 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y58 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y58 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y58 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y58 TILE_Y -52800 TILEPROP INT_INTERFACE_L_X18Y58 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y59 CLASS tile TILEPROP INT_INTERFACE_L_X18Y59 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y59 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y59 FIRST_SITE_ID 9420 TILEPROP INT_INTERFACE_L_X18Y59 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y59 GRID_POINT_Y 94 TILEPROP INT_INTERFACE_L_X18Y59 INDEX 10858 TILEPROP INT_INTERFACE_L_X18Y59 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y59 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y59 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y59 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y59 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y59 NAME INT_INTERFACE_L_X18Y59 TILEPROP INT_INTERFACE_L_X18Y59 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y59 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y59 ROW 94 TILEPROP INT_INTERFACE_L_X18Y59 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y59 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y59 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y59 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y59 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y59 TILE_Y -49600 TILEPROP INT_INTERFACE_L_X18Y59 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y60 CLASS tile TILEPROP INT_INTERFACE_L_X18Y60 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y60 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y60 FIRST_SITE_ID 9311 TILEPROP INT_INTERFACE_L_X18Y60 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y60 GRID_POINT_Y 93 TILEPROP INT_INTERFACE_L_X18Y60 INDEX 10743 TILEPROP INT_INTERFACE_L_X18Y60 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y60 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y60 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y60 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y60 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y60 NAME INT_INTERFACE_L_X18Y60 TILEPROP INT_INTERFACE_L_X18Y60 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y60 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y60 ROW 93 TILEPROP INT_INTERFACE_L_X18Y60 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y60 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y60 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y60 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y60 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y60 TILE_Y -46400 TILEPROP INT_INTERFACE_L_X18Y60 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y61 CLASS tile TILEPROP INT_INTERFACE_L_X18Y61 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y61 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y61 FIRST_SITE_ID 9205 TILEPROP INT_INTERFACE_L_X18Y61 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y61 GRID_POINT_Y 92 TILEPROP INT_INTERFACE_L_X18Y61 INDEX 10628 TILEPROP INT_INTERFACE_L_X18Y61 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y61 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y61 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y61 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y61 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y61 NAME INT_INTERFACE_L_X18Y61 TILEPROP INT_INTERFACE_L_X18Y61 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y61 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y61 ROW 92 TILEPROP INT_INTERFACE_L_X18Y61 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y61 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y61 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y61 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y61 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y61 TILE_Y -43200 TILEPROP INT_INTERFACE_L_X18Y61 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y62 CLASS tile TILEPROP INT_INTERFACE_L_X18Y62 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y62 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y62 FIRST_SITE_ID 9073 TILEPROP INT_INTERFACE_L_X18Y62 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y62 GRID_POINT_Y 91 TILEPROP INT_INTERFACE_L_X18Y62 INDEX 10513 TILEPROP INT_INTERFACE_L_X18Y62 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y62 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y62 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y62 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y62 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y62 NAME INT_INTERFACE_L_X18Y62 TILEPROP INT_INTERFACE_L_X18Y62 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y62 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y62 ROW 91 TILEPROP INT_INTERFACE_L_X18Y62 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y62 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y62 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y62 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y62 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y62 TILE_Y -40000 TILEPROP INT_INTERFACE_L_X18Y62 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y63 CLASS tile TILEPROP INT_INTERFACE_L_X18Y63 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y63 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y63 FIRST_SITE_ID 8973 TILEPROP INT_INTERFACE_L_X18Y63 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y63 GRID_POINT_Y 90 TILEPROP INT_INTERFACE_L_X18Y63 INDEX 10398 TILEPROP INT_INTERFACE_L_X18Y63 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y63 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y63 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y63 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y63 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y63 NAME INT_INTERFACE_L_X18Y63 TILEPROP INT_INTERFACE_L_X18Y63 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y63 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y63 ROW 90 TILEPROP INT_INTERFACE_L_X18Y63 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y63 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y63 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y63 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y63 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y63 TILE_Y -36800 TILEPROP INT_INTERFACE_L_X18Y63 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y64 CLASS tile TILEPROP INT_INTERFACE_L_X18Y64 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y64 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y64 FIRST_SITE_ID 8873 TILEPROP INT_INTERFACE_L_X18Y64 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y64 GRID_POINT_Y 89 TILEPROP INT_INTERFACE_L_X18Y64 INDEX 10283 TILEPROP INT_INTERFACE_L_X18Y64 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y64 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y64 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y64 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y64 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y64 NAME INT_INTERFACE_L_X18Y64 TILEPROP INT_INTERFACE_L_X18Y64 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y64 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y64 ROW 89 TILEPROP INT_INTERFACE_L_X18Y64 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y64 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y64 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y64 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y64 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y64 TILE_Y -33600 TILEPROP INT_INTERFACE_L_X18Y64 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y65 CLASS tile TILEPROP INT_INTERFACE_L_X18Y65 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y65 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y65 FIRST_SITE_ID 8764 TILEPROP INT_INTERFACE_L_X18Y65 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y65 GRID_POINT_Y 88 TILEPROP INT_INTERFACE_L_X18Y65 INDEX 10168 TILEPROP INT_INTERFACE_L_X18Y65 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y65 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y65 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y65 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y65 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y65 NAME INT_INTERFACE_L_X18Y65 TILEPROP INT_INTERFACE_L_X18Y65 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y65 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y65 ROW 88 TILEPROP INT_INTERFACE_L_X18Y65 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y65 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y65 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y65 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y65 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y65 TILE_Y -30400 TILEPROP INT_INTERFACE_L_X18Y65 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y66 CLASS tile TILEPROP INT_INTERFACE_L_X18Y66 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y66 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y66 FIRST_SITE_ID 8658 TILEPROP INT_INTERFACE_L_X18Y66 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y66 GRID_POINT_Y 87 TILEPROP INT_INTERFACE_L_X18Y66 INDEX 10053 TILEPROP INT_INTERFACE_L_X18Y66 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y66 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y66 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y66 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y66 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y66 NAME INT_INTERFACE_L_X18Y66 TILEPROP INT_INTERFACE_L_X18Y66 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y66 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y66 ROW 87 TILEPROP INT_INTERFACE_L_X18Y66 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y66 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y66 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y66 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y66 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y66 TILE_Y -27200 TILEPROP INT_INTERFACE_L_X18Y66 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y67 CLASS tile TILEPROP INT_INTERFACE_L_X18Y67 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y67 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y67 FIRST_SITE_ID 8554 TILEPROP INT_INTERFACE_L_X18Y67 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y67 GRID_POINT_Y 86 TILEPROP INT_INTERFACE_L_X18Y67 INDEX 9938 TILEPROP INT_INTERFACE_L_X18Y67 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y67 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y67 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y67 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y67 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y67 NAME INT_INTERFACE_L_X18Y67 TILEPROP INT_INTERFACE_L_X18Y67 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y67 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y67 ROW 86 TILEPROP INT_INTERFACE_L_X18Y67 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y67 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y67 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y67 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y67 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y67 TILE_Y -24000 TILEPROP INT_INTERFACE_L_X18Y67 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y68 CLASS tile TILEPROP INT_INTERFACE_L_X18Y68 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y68 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y68 FIRST_SITE_ID 8450 TILEPROP INT_INTERFACE_L_X18Y68 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y68 GRID_POINT_Y 85 TILEPROP INT_INTERFACE_L_X18Y68 INDEX 9823 TILEPROP INT_INTERFACE_L_X18Y68 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y68 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y68 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y68 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y68 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y68 NAME INT_INTERFACE_L_X18Y68 TILEPROP INT_INTERFACE_L_X18Y68 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y68 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y68 ROW 85 TILEPROP INT_INTERFACE_L_X18Y68 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y68 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y68 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y68 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y68 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y68 TILE_Y -20800 TILEPROP INT_INTERFACE_L_X18Y68 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y69 CLASS tile TILEPROP INT_INTERFACE_L_X18Y69 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y69 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y69 FIRST_SITE_ID 8348 TILEPROP INT_INTERFACE_L_X18Y69 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y69 GRID_POINT_Y 84 TILEPROP INT_INTERFACE_L_X18Y69 INDEX 9708 TILEPROP INT_INTERFACE_L_X18Y69 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y69 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y69 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y69 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y69 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y69 NAME INT_INTERFACE_L_X18Y69 TILEPROP INT_INTERFACE_L_X18Y69 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y69 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y69 ROW 84 TILEPROP INT_INTERFACE_L_X18Y69 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y69 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y69 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y69 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y69 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y69 TILE_Y -17600 TILEPROP INT_INTERFACE_L_X18Y69 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y70 CLASS tile TILEPROP INT_INTERFACE_L_X18Y70 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y70 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y70 FIRST_SITE_ID 8237 TILEPROP INT_INTERFACE_L_X18Y70 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y70 GRID_POINT_Y 83 TILEPROP INT_INTERFACE_L_X18Y70 INDEX 9593 TILEPROP INT_INTERFACE_L_X18Y70 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y70 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y70 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y70 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y70 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y70 NAME INT_INTERFACE_L_X18Y70 TILEPROP INT_INTERFACE_L_X18Y70 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y70 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y70 ROW 83 TILEPROP INT_INTERFACE_L_X18Y70 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y70 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y70 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y70 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y70 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y70 TILE_Y -14400 TILEPROP INT_INTERFACE_L_X18Y70 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y71 CLASS tile TILEPROP INT_INTERFACE_L_X18Y71 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y71 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y71 FIRST_SITE_ID 8131 TILEPROP INT_INTERFACE_L_X18Y71 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y71 GRID_POINT_Y 82 TILEPROP INT_INTERFACE_L_X18Y71 INDEX 9478 TILEPROP INT_INTERFACE_L_X18Y71 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y71 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y71 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y71 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y71 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y71 NAME INT_INTERFACE_L_X18Y71 TILEPROP INT_INTERFACE_L_X18Y71 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y71 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y71 ROW 82 TILEPROP INT_INTERFACE_L_X18Y71 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y71 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y71 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y71 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y71 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y71 TILE_Y -11200 TILEPROP INT_INTERFACE_L_X18Y71 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y72 CLASS tile TILEPROP INT_INTERFACE_L_X18Y72 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y72 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y72 FIRST_SITE_ID 8031 TILEPROP INT_INTERFACE_L_X18Y72 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y72 GRID_POINT_Y 81 TILEPROP INT_INTERFACE_L_X18Y72 INDEX 9363 TILEPROP INT_INTERFACE_L_X18Y72 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y72 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y72 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y72 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y72 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y72 NAME INT_INTERFACE_L_X18Y72 TILEPROP INT_INTERFACE_L_X18Y72 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y72 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y72 ROW 81 TILEPROP INT_INTERFACE_L_X18Y72 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y72 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y72 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y72 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y72 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y72 TILE_Y -8000 TILEPROP INT_INTERFACE_L_X18Y72 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y73 CLASS tile TILEPROP INT_INTERFACE_L_X18Y73 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y73 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y73 FIRST_SITE_ID 7931 TILEPROP INT_INTERFACE_L_X18Y73 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y73 GRID_POINT_Y 80 TILEPROP INT_INTERFACE_L_X18Y73 INDEX 9248 TILEPROP INT_INTERFACE_L_X18Y73 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y73 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y73 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y73 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y73 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y73 NAME INT_INTERFACE_L_X18Y73 TILEPROP INT_INTERFACE_L_X18Y73 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y73 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y73 ROW 80 TILEPROP INT_INTERFACE_L_X18Y73 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y73 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y73 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y73 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y73 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y73 TILE_Y -4800 TILEPROP INT_INTERFACE_L_X18Y73 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y74 CLASS tile TILEPROP INT_INTERFACE_L_X18Y74 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y74 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y74 FIRST_SITE_ID 7831 TILEPROP INT_INTERFACE_L_X18Y74 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y74 GRID_POINT_Y 79 TILEPROP INT_INTERFACE_L_X18Y74 INDEX 9133 TILEPROP INT_INTERFACE_L_X18Y74 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y74 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y74 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y74 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y74 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y74 NAME INT_INTERFACE_L_X18Y74 TILEPROP INT_INTERFACE_L_X18Y74 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y74 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y74 ROW 79 TILEPROP INT_INTERFACE_L_X18Y74 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y74 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y74 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y74 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y74 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y74 TILE_Y -1600 TILEPROP INT_INTERFACE_L_X18Y74 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y75 CLASS tile TILEPROP INT_INTERFACE_L_X18Y75 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y75 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y75 FIRST_SITE_ID 7639 TILEPROP INT_INTERFACE_L_X18Y75 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y75 GRID_POINT_Y 77 TILEPROP INT_INTERFACE_L_X18Y75 INDEX 8903 TILEPROP INT_INTERFACE_L_X18Y75 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y75 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y75 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y75 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y75 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y75 NAME INT_INTERFACE_L_X18Y75 TILEPROP INT_INTERFACE_L_X18Y75 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y75 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y75 ROW 77 TILEPROP INT_INTERFACE_L_X18Y75 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y75 TILE_PATTERN_IDX 6290 TILEPROP INT_INTERFACE_L_X18Y75 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y75 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y75 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y75 TILE_Y 2624 TILEPROP INT_INTERFACE_L_X18Y75 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y76 CLASS tile TILEPROP INT_INTERFACE_L_X18Y76 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y76 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y76 FIRST_SITE_ID 7530 TILEPROP INT_INTERFACE_L_X18Y76 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y76 GRID_POINT_Y 76 TILEPROP INT_INTERFACE_L_X18Y76 INDEX 8788 TILEPROP INT_INTERFACE_L_X18Y76 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y76 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y76 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y76 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y76 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y76 NAME INT_INTERFACE_L_X18Y76 TILEPROP INT_INTERFACE_L_X18Y76 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y76 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y76 ROW 76 TILEPROP INT_INTERFACE_L_X18Y76 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y76 TILE_PATTERN_IDX 6256 TILEPROP INT_INTERFACE_L_X18Y76 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y76 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y76 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y76 TILE_Y 5824 TILEPROP INT_INTERFACE_L_X18Y76 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y77 CLASS tile TILEPROP INT_INTERFACE_L_X18Y77 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y77 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y77 FIRST_SITE_ID 7430 TILEPROP INT_INTERFACE_L_X18Y77 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y77 GRID_POINT_Y 75 TILEPROP INT_INTERFACE_L_X18Y77 INDEX 8673 TILEPROP INT_INTERFACE_L_X18Y77 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y77 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y77 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y77 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y77 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y77 NAME INT_INTERFACE_L_X18Y77 TILEPROP INT_INTERFACE_L_X18Y77 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y77 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y77 ROW 75 TILEPROP INT_INTERFACE_L_X18Y77 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y77 TILE_PATTERN_IDX 6222 TILEPROP INT_INTERFACE_L_X18Y77 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y77 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y77 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y77 TILE_Y 9024 TILEPROP INT_INTERFACE_L_X18Y77 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y78 CLASS tile TILEPROP INT_INTERFACE_L_X18Y78 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y78 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y78 FIRST_SITE_ID 7330 TILEPROP INT_INTERFACE_L_X18Y78 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y78 GRID_POINT_Y 74 TILEPROP INT_INTERFACE_L_X18Y78 INDEX 8558 TILEPROP INT_INTERFACE_L_X18Y78 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y78 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y78 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y78 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y78 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y78 NAME INT_INTERFACE_L_X18Y78 TILEPROP INT_INTERFACE_L_X18Y78 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y78 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y78 ROW 74 TILEPROP INT_INTERFACE_L_X18Y78 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y78 TILE_PATTERN_IDX 6189 TILEPROP INT_INTERFACE_L_X18Y78 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y78 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y78 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y78 TILE_Y 12224 TILEPROP INT_INTERFACE_L_X18Y78 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y79 CLASS tile TILEPROP INT_INTERFACE_L_X18Y79 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y79 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y79 FIRST_SITE_ID 7224 TILEPROP INT_INTERFACE_L_X18Y79 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y79 GRID_POINT_Y 73 TILEPROP INT_INTERFACE_L_X18Y79 INDEX 8443 TILEPROP INT_INTERFACE_L_X18Y79 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y79 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y79 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y79 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y79 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y79 NAME INT_INTERFACE_L_X18Y79 TILEPROP INT_INTERFACE_L_X18Y79 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y79 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y79 ROW 73 TILEPROP INT_INTERFACE_L_X18Y79 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y79 TILE_PATTERN_IDX 6154 TILEPROP INT_INTERFACE_L_X18Y79 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y79 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y79 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y79 TILE_Y 15424 TILEPROP INT_INTERFACE_L_X18Y79 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y80 CLASS tile TILEPROP INT_INTERFACE_L_X18Y80 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y80 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y80 FIRST_SITE_ID 7109 TILEPROP INT_INTERFACE_L_X18Y80 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y80 GRID_POINT_Y 72 TILEPROP INT_INTERFACE_L_X18Y80 INDEX 8328 TILEPROP INT_INTERFACE_L_X18Y80 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y80 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y80 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y80 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y80 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y80 NAME INT_INTERFACE_L_X18Y80 TILEPROP INT_INTERFACE_L_X18Y80 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y80 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y80 ROW 72 TILEPROP INT_INTERFACE_L_X18Y80 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y80 TILE_PATTERN_IDX 6119 TILEPROP INT_INTERFACE_L_X18Y80 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y80 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y80 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y80 TILE_Y 18624 TILEPROP INT_INTERFACE_L_X18Y80 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y81 CLASS tile TILEPROP INT_INTERFACE_L_X18Y81 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y81 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y81 FIRST_SITE_ID 7001 TILEPROP INT_INTERFACE_L_X18Y81 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y81 GRID_POINT_Y 71 TILEPROP INT_INTERFACE_L_X18Y81 INDEX 8213 TILEPROP INT_INTERFACE_L_X18Y81 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y81 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y81 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y81 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y81 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y81 NAME INT_INTERFACE_L_X18Y81 TILEPROP INT_INTERFACE_L_X18Y81 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y81 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y81 ROW 71 TILEPROP INT_INTERFACE_L_X18Y81 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y81 TILE_PATTERN_IDX 6085 TILEPROP INT_INTERFACE_L_X18Y81 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y81 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y81 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y81 TILE_Y 21824 TILEPROP INT_INTERFACE_L_X18Y81 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y82 CLASS tile TILEPROP INT_INTERFACE_L_X18Y82 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y82 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y82 FIRST_SITE_ID 6899 TILEPROP INT_INTERFACE_L_X18Y82 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y82 GRID_POINT_Y 70 TILEPROP INT_INTERFACE_L_X18Y82 INDEX 8098 TILEPROP INT_INTERFACE_L_X18Y82 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y82 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y82 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y82 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y82 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y82 NAME INT_INTERFACE_L_X18Y82 TILEPROP INT_INTERFACE_L_X18Y82 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y82 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y82 ROW 70 TILEPROP INT_INTERFACE_L_X18Y82 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y82 TILE_PATTERN_IDX 6051 TILEPROP INT_INTERFACE_L_X18Y82 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y82 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y82 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y82 TILE_Y 25024 TILEPROP INT_INTERFACE_L_X18Y82 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y83 CLASS tile TILEPROP INT_INTERFACE_L_X18Y83 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y83 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y83 FIRST_SITE_ID 6799 TILEPROP INT_INTERFACE_L_X18Y83 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y83 GRID_POINT_Y 69 TILEPROP INT_INTERFACE_L_X18Y83 INDEX 7983 TILEPROP INT_INTERFACE_L_X18Y83 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y83 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y83 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y83 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y83 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y83 NAME INT_INTERFACE_L_X18Y83 TILEPROP INT_INTERFACE_L_X18Y83 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y83 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y83 ROW 69 TILEPROP INT_INTERFACE_L_X18Y83 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y83 TILE_PATTERN_IDX 6017 TILEPROP INT_INTERFACE_L_X18Y83 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y83 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y83 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y83 TILE_Y 28224 TILEPROP INT_INTERFACE_L_X18Y83 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y84 CLASS tile TILEPROP INT_INTERFACE_L_X18Y84 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y84 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y84 FIRST_SITE_ID 6699 TILEPROP INT_INTERFACE_L_X18Y84 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y84 GRID_POINT_Y 68 TILEPROP INT_INTERFACE_L_X18Y84 INDEX 7868 TILEPROP INT_INTERFACE_L_X18Y84 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y84 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y84 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y84 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y84 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y84 NAME INT_INTERFACE_L_X18Y84 TILEPROP INT_INTERFACE_L_X18Y84 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y84 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y84 ROW 68 TILEPROP INT_INTERFACE_L_X18Y84 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y84 TILE_PATTERN_IDX 5983 TILEPROP INT_INTERFACE_L_X18Y84 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y84 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y84 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y84 TILE_Y 31424 TILEPROP INT_INTERFACE_L_X18Y84 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y85 CLASS tile TILEPROP INT_INTERFACE_L_X18Y85 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y85 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y85 FIRST_SITE_ID 6590 TILEPROP INT_INTERFACE_L_X18Y85 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y85 GRID_POINT_Y 67 TILEPROP INT_INTERFACE_L_X18Y85 INDEX 7753 TILEPROP INT_INTERFACE_L_X18Y85 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y85 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y85 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y85 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y85 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y85 NAME INT_INTERFACE_L_X18Y85 TILEPROP INT_INTERFACE_L_X18Y85 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y85 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y85 ROW 67 TILEPROP INT_INTERFACE_L_X18Y85 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y85 TILE_PATTERN_IDX 5949 TILEPROP INT_INTERFACE_L_X18Y85 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y85 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y85 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y85 TILE_Y 34624 TILEPROP INT_INTERFACE_L_X18Y85 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y86 CLASS tile TILEPROP INT_INTERFACE_L_X18Y86 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y86 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y86 FIRST_SITE_ID 6452 TILEPROP INT_INTERFACE_L_X18Y86 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y86 GRID_POINT_Y 66 TILEPROP INT_INTERFACE_L_X18Y86 INDEX 7638 TILEPROP INT_INTERFACE_L_X18Y86 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y86 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y86 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y86 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y86 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y86 NAME INT_INTERFACE_L_X18Y86 TILEPROP INT_INTERFACE_L_X18Y86 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y86 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y86 ROW 66 TILEPROP INT_INTERFACE_L_X18Y86 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y86 TILE_PATTERN_IDX 5913 TILEPROP INT_INTERFACE_L_X18Y86 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y86 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y86 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y86 TILE_Y 37824 TILEPROP INT_INTERFACE_L_X18Y86 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y87 CLASS tile TILEPROP INT_INTERFACE_L_X18Y87 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y87 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y87 FIRST_SITE_ID 6352 TILEPROP INT_INTERFACE_L_X18Y87 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y87 GRID_POINT_Y 65 TILEPROP INT_INTERFACE_L_X18Y87 INDEX 7523 TILEPROP INT_INTERFACE_L_X18Y87 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y87 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y87 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y87 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y87 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y87 NAME INT_INTERFACE_L_X18Y87 TILEPROP INT_INTERFACE_L_X18Y87 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y87 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y87 ROW 65 TILEPROP INT_INTERFACE_L_X18Y87 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y87 TILE_PATTERN_IDX 5879 TILEPROP INT_INTERFACE_L_X18Y87 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y87 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y87 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y87 TILE_Y 41024 TILEPROP INT_INTERFACE_L_X18Y87 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y88 CLASS tile TILEPROP INT_INTERFACE_L_X18Y88 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y88 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y88 FIRST_SITE_ID 6252 TILEPROP INT_INTERFACE_L_X18Y88 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y88 GRID_POINT_Y 64 TILEPROP INT_INTERFACE_L_X18Y88 INDEX 7408 TILEPROP INT_INTERFACE_L_X18Y88 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y88 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y88 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y88 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y88 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y88 NAME INT_INTERFACE_L_X18Y88 TILEPROP INT_INTERFACE_L_X18Y88 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y88 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y88 ROW 64 TILEPROP INT_INTERFACE_L_X18Y88 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y88 TILE_PATTERN_IDX 5846 TILEPROP INT_INTERFACE_L_X18Y88 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y88 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y88 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y88 TILE_Y 44224 TILEPROP INT_INTERFACE_L_X18Y88 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y89 CLASS tile TILEPROP INT_INTERFACE_L_X18Y89 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y89 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y89 FIRST_SITE_ID 6152 TILEPROP INT_INTERFACE_L_X18Y89 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y89 GRID_POINT_Y 63 TILEPROP INT_INTERFACE_L_X18Y89 INDEX 7293 TILEPROP INT_INTERFACE_L_X18Y89 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y89 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y89 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y89 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y89 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y89 NAME INT_INTERFACE_L_X18Y89 TILEPROP INT_INTERFACE_L_X18Y89 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y89 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y89 ROW 63 TILEPROP INT_INTERFACE_L_X18Y89 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y89 TILE_PATTERN_IDX 5812 TILEPROP INT_INTERFACE_L_X18Y89 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y89 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y89 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y89 TILE_Y 47424 TILEPROP INT_INTERFACE_L_X18Y89 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y90 CLASS tile TILEPROP INT_INTERFACE_L_X18Y90 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y90 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y90 FIRST_SITE_ID 6043 TILEPROP INT_INTERFACE_L_X18Y90 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y90 GRID_POINT_Y 62 TILEPROP INT_INTERFACE_L_X18Y90 INDEX 7178 TILEPROP INT_INTERFACE_L_X18Y90 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y90 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y90 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y90 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y90 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y90 NAME INT_INTERFACE_L_X18Y90 TILEPROP INT_INTERFACE_L_X18Y90 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y90 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y90 ROW 62 TILEPROP INT_INTERFACE_L_X18Y90 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y90 TILE_PATTERN_IDX 5778 TILEPROP INT_INTERFACE_L_X18Y90 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y90 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y90 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y90 TILE_Y 50624 TILEPROP INT_INTERFACE_L_X18Y90 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y91 CLASS tile TILEPROP INT_INTERFACE_L_X18Y91 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y91 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y91 FIRST_SITE_ID 5937 TILEPROP INT_INTERFACE_L_X18Y91 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y91 GRID_POINT_Y 61 TILEPROP INT_INTERFACE_L_X18Y91 INDEX 7063 TILEPROP INT_INTERFACE_L_X18Y91 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y91 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y91 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y91 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y91 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y91 NAME INT_INTERFACE_L_X18Y91 TILEPROP INT_INTERFACE_L_X18Y91 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y91 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y91 ROW 61 TILEPROP INT_INTERFACE_L_X18Y91 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y91 TILE_PATTERN_IDX 5744 TILEPROP INT_INTERFACE_L_X18Y91 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y91 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y91 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y91 TILE_Y 53824 TILEPROP INT_INTERFACE_L_X18Y91 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y92 CLASS tile TILEPROP INT_INTERFACE_L_X18Y92 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y92 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y92 FIRST_SITE_ID 5836 TILEPROP INT_INTERFACE_L_X18Y92 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y92 GRID_POINT_Y 60 TILEPROP INT_INTERFACE_L_X18Y92 INDEX 6948 TILEPROP INT_INTERFACE_L_X18Y92 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y92 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y92 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y92 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y92 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y92 NAME INT_INTERFACE_L_X18Y92 TILEPROP INT_INTERFACE_L_X18Y92 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y92 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y92 ROW 60 TILEPROP INT_INTERFACE_L_X18Y92 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y92 TILE_PATTERN_IDX 5711 TILEPROP INT_INTERFACE_L_X18Y92 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y92 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y92 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y92 TILE_Y 57024 TILEPROP INT_INTERFACE_L_X18Y92 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y93 CLASS tile TILEPROP INT_INTERFACE_L_X18Y93 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y93 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y93 FIRST_SITE_ID 5733 TILEPROP INT_INTERFACE_L_X18Y93 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y93 GRID_POINT_Y 59 TILEPROP INT_INTERFACE_L_X18Y93 INDEX 6833 TILEPROP INT_INTERFACE_L_X18Y93 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y93 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y93 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y93 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y93 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y93 NAME INT_INTERFACE_L_X18Y93 TILEPROP INT_INTERFACE_L_X18Y93 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y93 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y93 ROW 59 TILEPROP INT_INTERFACE_L_X18Y93 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y93 TILE_PATTERN_IDX 5677 TILEPROP INT_INTERFACE_L_X18Y93 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y93 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y93 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y93 TILE_Y 60224 TILEPROP INT_INTERFACE_L_X18Y93 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y94 CLASS tile TILEPROP INT_INTERFACE_L_X18Y94 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y94 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y94 FIRST_SITE_ID 5631 TILEPROP INT_INTERFACE_L_X18Y94 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y94 GRID_POINT_Y 58 TILEPROP INT_INTERFACE_L_X18Y94 INDEX 6718 TILEPROP INT_INTERFACE_L_X18Y94 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y94 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y94 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y94 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y94 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y94 NAME INT_INTERFACE_L_X18Y94 TILEPROP INT_INTERFACE_L_X18Y94 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y94 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y94 ROW 58 TILEPROP INT_INTERFACE_L_X18Y94 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y94 TILE_PATTERN_IDX 5643 TILEPROP INT_INTERFACE_L_X18Y94 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y94 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y94 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y94 TILE_Y 63424 TILEPROP INT_INTERFACE_L_X18Y94 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y95 CLASS tile TILEPROP INT_INTERFACE_L_X18Y95 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y95 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y95 FIRST_SITE_ID 5522 TILEPROP INT_INTERFACE_L_X18Y95 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y95 GRID_POINT_Y 57 TILEPROP INT_INTERFACE_L_X18Y95 INDEX 6603 TILEPROP INT_INTERFACE_L_X18Y95 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y95 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y95 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y95 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y95 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y95 NAME INT_INTERFACE_L_X18Y95 TILEPROP INT_INTERFACE_L_X18Y95 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y95 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y95 ROW 57 TILEPROP INT_INTERFACE_L_X18Y95 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y95 TILE_PATTERN_IDX 5609 TILEPROP INT_INTERFACE_L_X18Y95 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y95 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y95 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y95 TILE_Y 66624 TILEPROP INT_INTERFACE_L_X18Y95 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y96 CLASS tile TILEPROP INT_INTERFACE_L_X18Y96 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y96 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y96 FIRST_SITE_ID 5416 TILEPROP INT_INTERFACE_L_X18Y96 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y96 GRID_POINT_Y 56 TILEPROP INT_INTERFACE_L_X18Y96 INDEX 6488 TILEPROP INT_INTERFACE_L_X18Y96 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y96 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y96 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y96 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y96 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y96 NAME INT_INTERFACE_L_X18Y96 TILEPROP INT_INTERFACE_L_X18Y96 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y96 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y96 ROW 56 TILEPROP INT_INTERFACE_L_X18Y96 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y96 TILE_PATTERN_IDX 5574 TILEPROP INT_INTERFACE_L_X18Y96 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y96 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y96 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y96 TILE_Y 69824 TILEPROP INT_INTERFACE_L_X18Y96 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y97 CLASS tile TILEPROP INT_INTERFACE_L_X18Y97 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y97 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y97 FIRST_SITE_ID 5316 TILEPROP INT_INTERFACE_L_X18Y97 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y97 GRID_POINT_Y 55 TILEPROP INT_INTERFACE_L_X18Y97 INDEX 6373 TILEPROP INT_INTERFACE_L_X18Y97 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y97 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y97 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y97 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y97 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y97 NAME INT_INTERFACE_L_X18Y97 TILEPROP INT_INTERFACE_L_X18Y97 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y97 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y97 ROW 55 TILEPROP INT_INTERFACE_L_X18Y97 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y97 TILE_PATTERN_IDX 5540 TILEPROP INT_INTERFACE_L_X18Y97 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y97 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y97 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y97 TILE_Y 73024 TILEPROP INT_INTERFACE_L_X18Y97 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y98 CLASS tile TILEPROP INT_INTERFACE_L_X18Y98 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y98 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y98 FIRST_SITE_ID 5216 TILEPROP INT_INTERFACE_L_X18Y98 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y98 GRID_POINT_Y 54 TILEPROP INT_INTERFACE_L_X18Y98 INDEX 6258 TILEPROP INT_INTERFACE_L_X18Y98 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y98 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y98 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y98 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y98 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y98 NAME INT_INTERFACE_L_X18Y98 TILEPROP INT_INTERFACE_L_X18Y98 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y98 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y98 ROW 54 TILEPROP INT_INTERFACE_L_X18Y98 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y98 TILE_PATTERN_IDX 5506 TILEPROP INT_INTERFACE_L_X18Y98 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y98 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y98 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y98 TILE_Y 76224 TILEPROP INT_INTERFACE_L_X18Y98 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y99 CLASS tile TILEPROP INT_INTERFACE_L_X18Y99 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y99 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y99 FIRST_SITE_ID 5120 TILEPROP INT_INTERFACE_L_X18Y99 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y99 GRID_POINT_Y 53 TILEPROP INT_INTERFACE_L_X18Y99 INDEX 6143 TILEPROP INT_INTERFACE_L_X18Y99 INT_TILE_X -1 TILEPROP INT_INTERFACE_L_X18Y99 INT_TILE_Y -1 TILEPROP INT_INTERFACE_L_X18Y99 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y99 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y99 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y99 NAME INT_INTERFACE_L_X18Y99 TILEPROP INT_INTERFACE_L_X18Y99 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y99 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y99 ROW 53 TILEPROP INT_INTERFACE_L_X18Y99 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y99 TILE_PATTERN_IDX 5473 TILEPROP INT_INTERFACE_L_X18Y99 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y99 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y99 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y99 TILE_Y 79424 TILEPROP INT_INTERFACE_L_X18Y99 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y100 CLASS tile TILEPROP INT_INTERFACE_L_X18Y100 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y100 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y100 FIRST_SITE_ID 5043 TILEPROP INT_INTERFACE_L_X18Y100 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y100 GRID_POINT_Y 51 TILEPROP INT_INTERFACE_L_X18Y100 INDEX 5913 TILEPROP INT_INTERFACE_L_X18Y100 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y100 INT_TILE_Y 49 TILEPROP INT_INTERFACE_L_X18Y100 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y100 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y100 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y100 NAME INT_INTERFACE_L_X18Y100 TILEPROP INT_INTERFACE_L_X18Y100 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y100 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y100 ROW 51 TILEPROP INT_INTERFACE_L_X18Y100 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y100 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y100 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y100 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y100 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y100 TILE_Y 82872 TILEPROP INT_INTERFACE_L_X18Y100 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y101 CLASS tile TILEPROP INT_INTERFACE_L_X18Y101 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y101 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y101 FIRST_SITE_ID 4945 TILEPROP INT_INTERFACE_L_X18Y101 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y101 GRID_POINT_Y 50 TILEPROP INT_INTERFACE_L_X18Y101 INDEX 5798 TILEPROP INT_INTERFACE_L_X18Y101 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y101 INT_TILE_Y 48 TILEPROP INT_INTERFACE_L_X18Y101 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y101 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y101 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y101 NAME INT_INTERFACE_L_X18Y101 TILEPROP INT_INTERFACE_L_X18Y101 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y101 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y101 ROW 50 TILEPROP INT_INTERFACE_L_X18Y101 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y101 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y101 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y101 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y101 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y101 TILE_Y 86072 TILEPROP INT_INTERFACE_L_X18Y101 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y102 CLASS tile TILEPROP INT_INTERFACE_L_X18Y102 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y102 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y102 FIRST_SITE_ID 4849 TILEPROP INT_INTERFACE_L_X18Y102 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y102 GRID_POINT_Y 49 TILEPROP INT_INTERFACE_L_X18Y102 INDEX 5683 TILEPROP INT_INTERFACE_L_X18Y102 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y102 INT_TILE_Y 47 TILEPROP INT_INTERFACE_L_X18Y102 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y102 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y102 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y102 NAME INT_INTERFACE_L_X18Y102 TILEPROP INT_INTERFACE_L_X18Y102 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y102 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y102 ROW 49 TILEPROP INT_INTERFACE_L_X18Y102 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y102 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y102 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y102 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y102 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y102 TILE_Y 89272 TILEPROP INT_INTERFACE_L_X18Y102 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y103 CLASS tile TILEPROP INT_INTERFACE_L_X18Y103 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y103 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y103 FIRST_SITE_ID 4761 TILEPROP INT_INTERFACE_L_X18Y103 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y103 GRID_POINT_Y 48 TILEPROP INT_INTERFACE_L_X18Y103 INDEX 5568 TILEPROP INT_INTERFACE_L_X18Y103 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y103 INT_TILE_Y 46 TILEPROP INT_INTERFACE_L_X18Y103 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y103 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y103 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y103 NAME INT_INTERFACE_L_X18Y103 TILEPROP INT_INTERFACE_L_X18Y103 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y103 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y103 ROW 48 TILEPROP INT_INTERFACE_L_X18Y103 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y103 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y103 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y103 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y103 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y103 TILE_Y 92472 TILEPROP INT_INTERFACE_L_X18Y103 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y104 CLASS tile TILEPROP INT_INTERFACE_L_X18Y104 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y104 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y104 FIRST_SITE_ID 4665 TILEPROP INT_INTERFACE_L_X18Y104 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y104 GRID_POINT_Y 47 TILEPROP INT_INTERFACE_L_X18Y104 INDEX 5453 TILEPROP INT_INTERFACE_L_X18Y104 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y104 INT_TILE_Y 45 TILEPROP INT_INTERFACE_L_X18Y104 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y104 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y104 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y104 NAME INT_INTERFACE_L_X18Y104 TILEPROP INT_INTERFACE_L_X18Y104 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y104 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y104 ROW 47 TILEPROP INT_INTERFACE_L_X18Y104 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y104 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y104 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y104 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y104 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y104 TILE_Y 95672 TILEPROP INT_INTERFACE_L_X18Y104 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y105 CLASS tile TILEPROP INT_INTERFACE_L_X18Y105 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y105 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y105 FIRST_SITE_ID 4569 TILEPROP INT_INTERFACE_L_X18Y105 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y105 GRID_POINT_Y 46 TILEPROP INT_INTERFACE_L_X18Y105 INDEX 5338 TILEPROP INT_INTERFACE_L_X18Y105 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y105 INT_TILE_Y 44 TILEPROP INT_INTERFACE_L_X18Y105 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y105 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y105 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y105 NAME INT_INTERFACE_L_X18Y105 TILEPROP INT_INTERFACE_L_X18Y105 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y105 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y105 ROW 46 TILEPROP INT_INTERFACE_L_X18Y105 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y105 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y105 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y105 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y105 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y105 TILE_Y 98872 TILEPROP INT_INTERFACE_L_X18Y105 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y106 CLASS tile TILEPROP INT_INTERFACE_L_X18Y106 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y106 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y106 FIRST_SITE_ID 4467 TILEPROP INT_INTERFACE_L_X18Y106 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y106 GRID_POINT_Y 45 TILEPROP INT_INTERFACE_L_X18Y106 INDEX 5223 TILEPROP INT_INTERFACE_L_X18Y106 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y106 INT_TILE_Y 43 TILEPROP INT_INTERFACE_L_X18Y106 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y106 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y106 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y106 NAME INT_INTERFACE_L_X18Y106 TILEPROP INT_INTERFACE_L_X18Y106 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y106 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y106 ROW 45 TILEPROP INT_INTERFACE_L_X18Y106 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y106 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y106 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y106 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y106 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y106 TILE_Y 102072 TILEPROP INT_INTERFACE_L_X18Y106 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y107 CLASS tile TILEPROP INT_INTERFACE_L_X18Y107 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y107 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y107 FIRST_SITE_ID 4379 TILEPROP INT_INTERFACE_L_X18Y107 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y107 GRID_POINT_Y 44 TILEPROP INT_INTERFACE_L_X18Y107 INDEX 5108 TILEPROP INT_INTERFACE_L_X18Y107 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y107 INT_TILE_Y 42 TILEPROP INT_INTERFACE_L_X18Y107 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y107 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y107 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y107 NAME INT_INTERFACE_L_X18Y107 TILEPROP INT_INTERFACE_L_X18Y107 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y107 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y107 ROW 44 TILEPROP INT_INTERFACE_L_X18Y107 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y107 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y107 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y107 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y107 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y107 TILE_Y 105272 TILEPROP INT_INTERFACE_L_X18Y107 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y108 CLASS tile TILEPROP INT_INTERFACE_L_X18Y108 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y108 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y108 FIRST_SITE_ID 4281 TILEPROP INT_INTERFACE_L_X18Y108 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y108 GRID_POINT_Y 43 TILEPROP INT_INTERFACE_L_X18Y108 INDEX 4993 TILEPROP INT_INTERFACE_L_X18Y108 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y108 INT_TILE_Y 41 TILEPROP INT_INTERFACE_L_X18Y108 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y108 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y108 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y108 NAME INT_INTERFACE_L_X18Y108 TILEPROP INT_INTERFACE_L_X18Y108 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y108 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y108 ROW 43 TILEPROP INT_INTERFACE_L_X18Y108 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y108 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y108 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y108 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y108 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y108 TILE_Y 108472 TILEPROP INT_INTERFACE_L_X18Y108 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y109 CLASS tile TILEPROP INT_INTERFACE_L_X18Y109 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y109 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y109 FIRST_SITE_ID 4192 TILEPROP INT_INTERFACE_L_X18Y109 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y109 GRID_POINT_Y 42 TILEPROP INT_INTERFACE_L_X18Y109 INDEX 4878 TILEPROP INT_INTERFACE_L_X18Y109 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y109 INT_TILE_Y 40 TILEPROP INT_INTERFACE_L_X18Y109 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y109 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y109 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y109 NAME INT_INTERFACE_L_X18Y109 TILEPROP INT_INTERFACE_L_X18Y109 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y109 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y109 ROW 42 TILEPROP INT_INTERFACE_L_X18Y109 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y109 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y109 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y109 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y109 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y109 TILE_Y 111672 TILEPROP INT_INTERFACE_L_X18Y109 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y110 CLASS tile TILEPROP INT_INTERFACE_L_X18Y110 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y110 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y110 FIRST_SITE_ID 4092 TILEPROP INT_INTERFACE_L_X18Y110 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y110 GRID_POINT_Y 41 TILEPROP INT_INTERFACE_L_X18Y110 INDEX 4763 TILEPROP INT_INTERFACE_L_X18Y110 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y110 INT_TILE_Y 39 TILEPROP INT_INTERFACE_L_X18Y110 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y110 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y110 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y110 NAME INT_INTERFACE_L_X18Y110 TILEPROP INT_INTERFACE_L_X18Y110 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y110 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y110 ROW 41 TILEPROP INT_INTERFACE_L_X18Y110 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y110 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y110 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y110 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y110 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y110 TILE_Y 114872 TILEPROP INT_INTERFACE_L_X18Y110 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y111 CLASS tile TILEPROP INT_INTERFACE_L_X18Y111 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y111 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y111 FIRST_SITE_ID 3998 TILEPROP INT_INTERFACE_L_X18Y111 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y111 GRID_POINT_Y 40 TILEPROP INT_INTERFACE_L_X18Y111 INDEX 4648 TILEPROP INT_INTERFACE_L_X18Y111 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y111 INT_TILE_Y 38 TILEPROP INT_INTERFACE_L_X18Y111 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y111 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y111 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y111 NAME INT_INTERFACE_L_X18Y111 TILEPROP INT_INTERFACE_L_X18Y111 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y111 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y111 ROW 40 TILEPROP INT_INTERFACE_L_X18Y111 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y111 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y111 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y111 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y111 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y111 TILE_Y 118072 TILEPROP INT_INTERFACE_L_X18Y111 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y112 CLASS tile TILEPROP INT_INTERFACE_L_X18Y112 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y112 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y112 FIRST_SITE_ID 3870 TILEPROP INT_INTERFACE_L_X18Y112 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y112 GRID_POINT_Y 39 TILEPROP INT_INTERFACE_L_X18Y112 INDEX 4533 TILEPROP INT_INTERFACE_L_X18Y112 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y112 INT_TILE_Y 37 TILEPROP INT_INTERFACE_L_X18Y112 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y112 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y112 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y112 NAME INT_INTERFACE_L_X18Y112 TILEPROP INT_INTERFACE_L_X18Y112 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y112 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y112 ROW 39 TILEPROP INT_INTERFACE_L_X18Y112 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y112 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y112 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y112 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y112 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y112 TILE_Y 121272 TILEPROP INT_INTERFACE_L_X18Y112 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y113 CLASS tile TILEPROP INT_INTERFACE_L_X18Y113 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y113 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y113 FIRST_SITE_ID 3782 TILEPROP INT_INTERFACE_L_X18Y113 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y113 GRID_POINT_Y 38 TILEPROP INT_INTERFACE_L_X18Y113 INDEX 4418 TILEPROP INT_INTERFACE_L_X18Y113 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y113 INT_TILE_Y 36 TILEPROP INT_INTERFACE_L_X18Y113 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y113 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y113 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y113 NAME INT_INTERFACE_L_X18Y113 TILEPROP INT_INTERFACE_L_X18Y113 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y113 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y113 ROW 38 TILEPROP INT_INTERFACE_L_X18Y113 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y113 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y113 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y113 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y113 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y113 TILE_Y 124472 TILEPROP INT_INTERFACE_L_X18Y113 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y114 CLASS tile TILEPROP INT_INTERFACE_L_X18Y114 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y114 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y114 FIRST_SITE_ID 3686 TILEPROP INT_INTERFACE_L_X18Y114 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y114 GRID_POINT_Y 37 TILEPROP INT_INTERFACE_L_X18Y114 INDEX 4303 TILEPROP INT_INTERFACE_L_X18Y114 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y114 INT_TILE_Y 35 TILEPROP INT_INTERFACE_L_X18Y114 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y114 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y114 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y114 NAME INT_INTERFACE_L_X18Y114 TILEPROP INT_INTERFACE_L_X18Y114 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y114 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y114 ROW 37 TILEPROP INT_INTERFACE_L_X18Y114 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y114 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y114 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y114 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y114 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y114 TILE_Y 127672 TILEPROP INT_INTERFACE_L_X18Y114 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y115 CLASS tile TILEPROP INT_INTERFACE_L_X18Y115 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y115 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y115 FIRST_SITE_ID 3595 TILEPROP INT_INTERFACE_L_X18Y115 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y115 GRID_POINT_Y 36 TILEPROP INT_INTERFACE_L_X18Y115 INDEX 4188 TILEPROP INT_INTERFACE_L_X18Y115 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y115 INT_TILE_Y 34 TILEPROP INT_INTERFACE_L_X18Y115 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y115 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y115 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y115 NAME INT_INTERFACE_L_X18Y115 TILEPROP INT_INTERFACE_L_X18Y115 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y115 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y115 ROW 36 TILEPROP INT_INTERFACE_L_X18Y115 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y115 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y115 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y115 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y115 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y115 TILE_Y 130872 TILEPROP INT_INTERFACE_L_X18Y115 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y116 CLASS tile TILEPROP INT_INTERFACE_L_X18Y116 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y116 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y116 FIRST_SITE_ID 3488 TILEPROP INT_INTERFACE_L_X18Y116 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y116 GRID_POINT_Y 35 TILEPROP INT_INTERFACE_L_X18Y116 INDEX 4073 TILEPROP INT_INTERFACE_L_X18Y116 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y116 INT_TILE_Y 33 TILEPROP INT_INTERFACE_L_X18Y116 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y116 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y116 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y116 NAME INT_INTERFACE_L_X18Y116 TILEPROP INT_INTERFACE_L_X18Y116 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y116 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y116 ROW 35 TILEPROP INT_INTERFACE_L_X18Y116 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y116 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y116 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y116 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y116 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y116 TILE_Y 134072 TILEPROP INT_INTERFACE_L_X18Y116 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y117 CLASS tile TILEPROP INT_INTERFACE_L_X18Y117 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y117 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y117 FIRST_SITE_ID 3400 TILEPROP INT_INTERFACE_L_X18Y117 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y117 GRID_POINT_Y 34 TILEPROP INT_INTERFACE_L_X18Y117 INDEX 3958 TILEPROP INT_INTERFACE_L_X18Y117 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y117 INT_TILE_Y 32 TILEPROP INT_INTERFACE_L_X18Y117 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y117 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y117 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y117 NAME INT_INTERFACE_L_X18Y117 TILEPROP INT_INTERFACE_L_X18Y117 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y117 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y117 ROW 34 TILEPROP INT_INTERFACE_L_X18Y117 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y117 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y117 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y117 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y117 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y117 TILE_Y 137272 TILEPROP INT_INTERFACE_L_X18Y117 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y118 CLASS tile TILEPROP INT_INTERFACE_L_X18Y118 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y118 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y118 FIRST_SITE_ID 3300 TILEPROP INT_INTERFACE_L_X18Y118 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y118 GRID_POINT_Y 33 TILEPROP INT_INTERFACE_L_X18Y118 INDEX 3843 TILEPROP INT_INTERFACE_L_X18Y118 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y118 INT_TILE_Y 31 TILEPROP INT_INTERFACE_L_X18Y118 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y118 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y118 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y118 NAME INT_INTERFACE_L_X18Y118 TILEPROP INT_INTERFACE_L_X18Y118 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y118 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y118 ROW 33 TILEPROP INT_INTERFACE_L_X18Y118 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y118 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y118 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y118 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y118 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y118 TILE_Y 140472 TILEPROP INT_INTERFACE_L_X18Y118 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y119 CLASS tile TILEPROP INT_INTERFACE_L_X18Y119 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y119 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y119 FIRST_SITE_ID 3212 TILEPROP INT_INTERFACE_L_X18Y119 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y119 GRID_POINT_Y 32 TILEPROP INT_INTERFACE_L_X18Y119 INDEX 3728 TILEPROP INT_INTERFACE_L_X18Y119 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y119 INT_TILE_Y 30 TILEPROP INT_INTERFACE_L_X18Y119 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y119 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y119 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y119 NAME INT_INTERFACE_L_X18Y119 TILEPROP INT_INTERFACE_L_X18Y119 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y119 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y119 ROW 32 TILEPROP INT_INTERFACE_L_X18Y119 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y119 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y119 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y119 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y119 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y119 TILE_Y 143672 TILEPROP INT_INTERFACE_L_X18Y119 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y120 CLASS tile TILEPROP INT_INTERFACE_L_X18Y120 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y120 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y120 FIRST_SITE_ID 3111 TILEPROP INT_INTERFACE_L_X18Y120 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y120 GRID_POINT_Y 31 TILEPROP INT_INTERFACE_L_X18Y120 INDEX 3613 TILEPROP INT_INTERFACE_L_X18Y120 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y120 INT_TILE_Y 29 TILEPROP INT_INTERFACE_L_X18Y120 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y120 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y120 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y120 NAME INT_INTERFACE_L_X18Y120 TILEPROP INT_INTERFACE_L_X18Y120 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y120 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y120 ROW 31 TILEPROP INT_INTERFACE_L_X18Y120 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y120 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y120 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y120 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y120 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y120 TILE_Y 146872 TILEPROP INT_INTERFACE_L_X18Y120 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y121 CLASS tile TILEPROP INT_INTERFACE_L_X18Y121 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y121 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y121 FIRST_SITE_ID 3017 TILEPROP INT_INTERFACE_L_X18Y121 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y121 GRID_POINT_Y 30 TILEPROP INT_INTERFACE_L_X18Y121 INDEX 3498 TILEPROP INT_INTERFACE_L_X18Y121 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y121 INT_TILE_Y 28 TILEPROP INT_INTERFACE_L_X18Y121 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y121 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y121 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y121 NAME INT_INTERFACE_L_X18Y121 TILEPROP INT_INTERFACE_L_X18Y121 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y121 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y121 ROW 30 TILEPROP INT_INTERFACE_L_X18Y121 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y121 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y121 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y121 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y121 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y121 TILE_Y 150072 TILEPROP INT_INTERFACE_L_X18Y121 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y122 CLASS tile TILEPROP INT_INTERFACE_L_X18Y122 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y122 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y122 FIRST_SITE_ID 2914 TILEPROP INT_INTERFACE_L_X18Y122 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y122 GRID_POINT_Y 29 TILEPROP INT_INTERFACE_L_X18Y122 INDEX 3383 TILEPROP INT_INTERFACE_L_X18Y122 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y122 INT_TILE_Y 27 TILEPROP INT_INTERFACE_L_X18Y122 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y122 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y122 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y122 NAME INT_INTERFACE_L_X18Y122 TILEPROP INT_INTERFACE_L_X18Y122 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y122 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y122 ROW 29 TILEPROP INT_INTERFACE_L_X18Y122 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y122 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y122 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y122 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y122 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y122 TILE_Y 153272 TILEPROP INT_INTERFACE_L_X18Y122 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y123 CLASS tile TILEPROP INT_INTERFACE_L_X18Y123 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y123 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y123 FIRST_SITE_ID 2826 TILEPROP INT_INTERFACE_L_X18Y123 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y123 GRID_POINT_Y 28 TILEPROP INT_INTERFACE_L_X18Y123 INDEX 3268 TILEPROP INT_INTERFACE_L_X18Y123 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y123 INT_TILE_Y 26 TILEPROP INT_INTERFACE_L_X18Y123 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y123 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y123 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y123 NAME INT_INTERFACE_L_X18Y123 TILEPROP INT_INTERFACE_L_X18Y123 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y123 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y123 ROW 28 TILEPROP INT_INTERFACE_L_X18Y123 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y123 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y123 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y123 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y123 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y123 TILE_Y 156472 TILEPROP INT_INTERFACE_L_X18Y123 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y124 CLASS tile TILEPROP INT_INTERFACE_L_X18Y124 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y124 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y124 FIRST_SITE_ID 2730 TILEPROP INT_INTERFACE_L_X18Y124 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y124 GRID_POINT_Y 27 TILEPROP INT_INTERFACE_L_X18Y124 INDEX 3153 TILEPROP INT_INTERFACE_L_X18Y124 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y124 INT_TILE_Y 25 TILEPROP INT_INTERFACE_L_X18Y124 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y124 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y124 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y124 NAME INT_INTERFACE_L_X18Y124 TILEPROP INT_INTERFACE_L_X18Y124 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y124 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y124 ROW 27 TILEPROP INT_INTERFACE_L_X18Y124 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y124 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y124 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y124 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y124 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y124 TILE_Y 159672 TILEPROP INT_INTERFACE_L_X18Y124 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y125 CLASS tile TILEPROP INT_INTERFACE_L_X18Y125 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y125 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y125 FIRST_SITE_ID 2557 TILEPROP INT_INTERFACE_L_X18Y125 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y125 GRID_POINT_Y 25 TILEPROP INT_INTERFACE_L_X18Y125 INDEX 2923 TILEPROP INT_INTERFACE_L_X18Y125 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y125 INT_TILE_Y 24 TILEPROP INT_INTERFACE_L_X18Y125 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y125 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y125 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y125 NAME INT_INTERFACE_L_X18Y125 TILEPROP INT_INTERFACE_L_X18Y125 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y125 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y125 ROW 25 TILEPROP INT_INTERFACE_L_X18Y125 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y125 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y125 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y125 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y125 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y125 TILE_Y 163896 TILEPROP INT_INTERFACE_L_X18Y125 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y126 CLASS tile TILEPROP INT_INTERFACE_L_X18Y126 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y126 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y126 FIRST_SITE_ID 2447 TILEPROP INT_INTERFACE_L_X18Y126 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y126 GRID_POINT_Y 24 TILEPROP INT_INTERFACE_L_X18Y126 INDEX 2808 TILEPROP INT_INTERFACE_L_X18Y126 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y126 INT_TILE_Y 23 TILEPROP INT_INTERFACE_L_X18Y126 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y126 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y126 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y126 NAME INT_INTERFACE_L_X18Y126 TILEPROP INT_INTERFACE_L_X18Y126 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y126 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y126 ROW 24 TILEPROP INT_INTERFACE_L_X18Y126 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y126 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y126 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y126 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y126 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y126 TILE_Y 167096 TILEPROP INT_INTERFACE_L_X18Y126 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y127 CLASS tile TILEPROP INT_INTERFACE_L_X18Y127 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y127 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y127 FIRST_SITE_ID 2351 TILEPROP INT_INTERFACE_L_X18Y127 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y127 GRID_POINT_Y 23 TILEPROP INT_INTERFACE_L_X18Y127 INDEX 2693 TILEPROP INT_INTERFACE_L_X18Y127 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y127 INT_TILE_Y 22 TILEPROP INT_INTERFACE_L_X18Y127 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y127 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y127 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y127 NAME INT_INTERFACE_L_X18Y127 TILEPROP INT_INTERFACE_L_X18Y127 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y127 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y127 ROW 23 TILEPROP INT_INTERFACE_L_X18Y127 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y127 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y127 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y127 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y127 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y127 TILE_Y 170296 TILEPROP INT_INTERFACE_L_X18Y127 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y128 CLASS tile TILEPROP INT_INTERFACE_L_X18Y128 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y128 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y128 FIRST_SITE_ID 2247 TILEPROP INT_INTERFACE_L_X18Y128 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y128 GRID_POINT_Y 22 TILEPROP INT_INTERFACE_L_X18Y128 INDEX 2578 TILEPROP INT_INTERFACE_L_X18Y128 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y128 INT_TILE_Y 21 TILEPROP INT_INTERFACE_L_X18Y128 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y128 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y128 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y128 NAME INT_INTERFACE_L_X18Y128 TILEPROP INT_INTERFACE_L_X18Y128 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y128 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y128 ROW 22 TILEPROP INT_INTERFACE_L_X18Y128 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y128 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y128 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y128 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y128 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y128 TILE_Y 173496 TILEPROP INT_INTERFACE_L_X18Y128 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y129 CLASS tile TILEPROP INT_INTERFACE_L_X18Y129 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y129 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y129 FIRST_SITE_ID 2151 TILEPROP INT_INTERFACE_L_X18Y129 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y129 GRID_POINT_Y 21 TILEPROP INT_INTERFACE_L_X18Y129 INDEX 2463 TILEPROP INT_INTERFACE_L_X18Y129 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y129 INT_TILE_Y 20 TILEPROP INT_INTERFACE_L_X18Y129 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y129 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y129 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y129 NAME INT_INTERFACE_L_X18Y129 TILEPROP INT_INTERFACE_L_X18Y129 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y129 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y129 ROW 21 TILEPROP INT_INTERFACE_L_X18Y129 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y129 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y129 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y129 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y129 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y129 TILE_Y 176696 TILEPROP INT_INTERFACE_L_X18Y129 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y130 CLASS tile TILEPROP INT_INTERFACE_L_X18Y130 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y130 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y130 FIRST_SITE_ID 2035 TILEPROP INT_INTERFACE_L_X18Y130 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y130 GRID_POINT_Y 20 TILEPROP INT_INTERFACE_L_X18Y130 INDEX 2348 TILEPROP INT_INTERFACE_L_X18Y130 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y130 INT_TILE_Y 19 TILEPROP INT_INTERFACE_L_X18Y130 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y130 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y130 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y130 NAME INT_INTERFACE_L_X18Y130 TILEPROP INT_INTERFACE_L_X18Y130 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y130 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y130 ROW 20 TILEPROP INT_INTERFACE_L_X18Y130 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y130 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y130 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y130 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y130 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y130 TILE_Y 179896 TILEPROP INT_INTERFACE_L_X18Y130 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y131 CLASS tile TILEPROP INT_INTERFACE_L_X18Y131 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y131 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y131 FIRST_SITE_ID 1933 TILEPROP INT_INTERFACE_L_X18Y131 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y131 GRID_POINT_Y 19 TILEPROP INT_INTERFACE_L_X18Y131 INDEX 2233 TILEPROP INT_INTERFACE_L_X18Y131 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y131 INT_TILE_Y 18 TILEPROP INT_INTERFACE_L_X18Y131 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y131 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y131 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y131 NAME INT_INTERFACE_L_X18Y131 TILEPROP INT_INTERFACE_L_X18Y131 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y131 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y131 ROW 19 TILEPROP INT_INTERFACE_L_X18Y131 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y131 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y131 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y131 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y131 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y131 TILE_Y 183096 TILEPROP INT_INTERFACE_L_X18Y131 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y132 CLASS tile TILEPROP INT_INTERFACE_L_X18Y132 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y132 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y132 FIRST_SITE_ID 1827 TILEPROP INT_INTERFACE_L_X18Y132 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y132 GRID_POINT_Y 18 TILEPROP INT_INTERFACE_L_X18Y132 INDEX 2118 TILEPROP INT_INTERFACE_L_X18Y132 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y132 INT_TILE_Y 17 TILEPROP INT_INTERFACE_L_X18Y132 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y132 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y132 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y132 NAME INT_INTERFACE_L_X18Y132 TILEPROP INT_INTERFACE_L_X18Y132 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y132 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y132 ROW 18 TILEPROP INT_INTERFACE_L_X18Y132 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y132 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y132 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y132 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y132 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y132 TILE_Y 186296 TILEPROP INT_INTERFACE_L_X18Y132 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y133 CLASS tile TILEPROP INT_INTERFACE_L_X18Y133 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y133 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y133 FIRST_SITE_ID 1726 TILEPROP INT_INTERFACE_L_X18Y133 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y133 GRID_POINT_Y 17 TILEPROP INT_INTERFACE_L_X18Y133 INDEX 2003 TILEPROP INT_INTERFACE_L_X18Y133 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y133 INT_TILE_Y 16 TILEPROP INT_INTERFACE_L_X18Y133 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y133 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y133 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y133 NAME INT_INTERFACE_L_X18Y133 TILEPROP INT_INTERFACE_L_X18Y133 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y133 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y133 ROW 17 TILEPROP INT_INTERFACE_L_X18Y133 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y133 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y133 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y133 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y133 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y133 TILE_Y 189496 TILEPROP INT_INTERFACE_L_X18Y133 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y134 CLASS tile TILEPROP INT_INTERFACE_L_X18Y134 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y134 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y134 FIRST_SITE_ID 1622 TILEPROP INT_INTERFACE_L_X18Y134 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y134 GRID_POINT_Y 16 TILEPROP INT_INTERFACE_L_X18Y134 INDEX 1888 TILEPROP INT_INTERFACE_L_X18Y134 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y134 INT_TILE_Y 15 TILEPROP INT_INTERFACE_L_X18Y134 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y134 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y134 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y134 NAME INT_INTERFACE_L_X18Y134 TILEPROP INT_INTERFACE_L_X18Y134 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y134 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y134 ROW 16 TILEPROP INT_INTERFACE_L_X18Y134 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y134 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y134 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y134 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y134 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y134 TILE_Y 192696 TILEPROP INT_INTERFACE_L_X18Y134 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y135 CLASS tile TILEPROP INT_INTERFACE_L_X18Y135 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y135 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y135 FIRST_SITE_ID 1520 TILEPROP INT_INTERFACE_L_X18Y135 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y135 GRID_POINT_Y 15 TILEPROP INT_INTERFACE_L_X18Y135 INDEX 1773 TILEPROP INT_INTERFACE_L_X18Y135 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y135 INT_TILE_Y 14 TILEPROP INT_INTERFACE_L_X18Y135 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y135 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y135 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y135 NAME INT_INTERFACE_L_X18Y135 TILEPROP INT_INTERFACE_L_X18Y135 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y135 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y135 ROW 15 TILEPROP INT_INTERFACE_L_X18Y135 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y135 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y135 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y135 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y135 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y135 TILE_Y 195896 TILEPROP INT_INTERFACE_L_X18Y135 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y136 CLASS tile TILEPROP INT_INTERFACE_L_X18Y136 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y136 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y136 FIRST_SITE_ID 1378 TILEPROP INT_INTERFACE_L_X18Y136 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y136 GRID_POINT_Y 14 TILEPROP INT_INTERFACE_L_X18Y136 INDEX 1658 TILEPROP INT_INTERFACE_L_X18Y136 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y136 INT_TILE_Y 13 TILEPROP INT_INTERFACE_L_X18Y136 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y136 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y136 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y136 NAME INT_INTERFACE_L_X18Y136 TILEPROP INT_INTERFACE_L_X18Y136 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y136 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y136 ROW 14 TILEPROP INT_INTERFACE_L_X18Y136 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y136 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y136 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y136 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y136 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y136 TILE_Y 199096 TILEPROP INT_INTERFACE_L_X18Y136 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y137 CLASS tile TILEPROP INT_INTERFACE_L_X18Y137 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y137 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y137 FIRST_SITE_ID 1282 TILEPROP INT_INTERFACE_L_X18Y137 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y137 GRID_POINT_Y 13 TILEPROP INT_INTERFACE_L_X18Y137 INDEX 1543 TILEPROP INT_INTERFACE_L_X18Y137 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y137 INT_TILE_Y 12 TILEPROP INT_INTERFACE_L_X18Y137 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y137 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y137 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y137 NAME INT_INTERFACE_L_X18Y137 TILEPROP INT_INTERFACE_L_X18Y137 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y137 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y137 ROW 13 TILEPROP INT_INTERFACE_L_X18Y137 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y137 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y137 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y137 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y137 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y137 TILE_Y 202296 TILEPROP INT_INTERFACE_L_X18Y137 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y138 CLASS tile TILEPROP INT_INTERFACE_L_X18Y138 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y138 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y138 FIRST_SITE_ID 1178 TILEPROP INT_INTERFACE_L_X18Y138 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y138 GRID_POINT_Y 12 TILEPROP INT_INTERFACE_L_X18Y138 INDEX 1428 TILEPROP INT_INTERFACE_L_X18Y138 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y138 INT_TILE_Y 11 TILEPROP INT_INTERFACE_L_X18Y138 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y138 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y138 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y138 NAME INT_INTERFACE_L_X18Y138 TILEPROP INT_INTERFACE_L_X18Y138 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y138 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y138 ROW 12 TILEPROP INT_INTERFACE_L_X18Y138 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y138 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y138 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y138 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y138 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y138 TILE_Y 205496 TILEPROP INT_INTERFACE_L_X18Y138 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y139 CLASS tile TILEPROP INT_INTERFACE_L_X18Y139 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y139 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y139 FIRST_SITE_ID 1082 TILEPROP INT_INTERFACE_L_X18Y139 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y139 GRID_POINT_Y 11 TILEPROP INT_INTERFACE_L_X18Y139 INDEX 1313 TILEPROP INT_INTERFACE_L_X18Y139 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y139 INT_TILE_Y 10 TILEPROP INT_INTERFACE_L_X18Y139 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y139 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y139 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y139 NAME INT_INTERFACE_L_X18Y139 TILEPROP INT_INTERFACE_L_X18Y139 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y139 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y139 ROW 11 TILEPROP INT_INTERFACE_L_X18Y139 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y139 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y139 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y139 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y139 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y139 TILE_Y 208696 TILEPROP INT_INTERFACE_L_X18Y139 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y140 CLASS tile TILEPROP INT_INTERFACE_L_X18Y140 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y140 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y140 FIRST_SITE_ID 972 TILEPROP INT_INTERFACE_L_X18Y140 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y140 GRID_POINT_Y 10 TILEPROP INT_INTERFACE_L_X18Y140 INDEX 1198 TILEPROP INT_INTERFACE_L_X18Y140 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y140 INT_TILE_Y 9 TILEPROP INT_INTERFACE_L_X18Y140 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y140 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y140 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y140 NAME INT_INTERFACE_L_X18Y140 TILEPROP INT_INTERFACE_L_X18Y140 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y140 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y140 ROW 10 TILEPROP INT_INTERFACE_L_X18Y140 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y140 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y140 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y140 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y140 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y140 TILE_Y 211896 TILEPROP INT_INTERFACE_L_X18Y140 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y141 CLASS tile TILEPROP INT_INTERFACE_L_X18Y141 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y141 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y141 FIRST_SITE_ID 870 TILEPROP INT_INTERFACE_L_X18Y141 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y141 GRID_POINT_Y 9 TILEPROP INT_INTERFACE_L_X18Y141 INDEX 1083 TILEPROP INT_INTERFACE_L_X18Y141 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y141 INT_TILE_Y 8 TILEPROP INT_INTERFACE_L_X18Y141 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y141 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y141 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y141 NAME INT_INTERFACE_L_X18Y141 TILEPROP INT_INTERFACE_L_X18Y141 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y141 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y141 ROW 9 TILEPROP INT_INTERFACE_L_X18Y141 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y141 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y141 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y141 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y141 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y141 TILE_Y 215096 TILEPROP INT_INTERFACE_L_X18Y141 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y142 CLASS tile TILEPROP INT_INTERFACE_L_X18Y142 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y142 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y142 FIRST_SITE_ID 766 TILEPROP INT_INTERFACE_L_X18Y142 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y142 GRID_POINT_Y 8 TILEPROP INT_INTERFACE_L_X18Y142 INDEX 968 TILEPROP INT_INTERFACE_L_X18Y142 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y142 INT_TILE_Y 7 TILEPROP INT_INTERFACE_L_X18Y142 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y142 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y142 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y142 NAME INT_INTERFACE_L_X18Y142 TILEPROP INT_INTERFACE_L_X18Y142 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y142 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y142 ROW 8 TILEPROP INT_INTERFACE_L_X18Y142 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y142 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y142 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y142 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y142 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y142 TILE_Y 218296 TILEPROP INT_INTERFACE_L_X18Y142 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y143 CLASS tile TILEPROP INT_INTERFACE_L_X18Y143 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y143 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y143 FIRST_SITE_ID 669 TILEPROP INT_INTERFACE_L_X18Y143 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y143 GRID_POINT_Y 7 TILEPROP INT_INTERFACE_L_X18Y143 INDEX 853 TILEPROP INT_INTERFACE_L_X18Y143 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y143 INT_TILE_Y 6 TILEPROP INT_INTERFACE_L_X18Y143 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y143 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y143 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y143 NAME INT_INTERFACE_L_X18Y143 TILEPROP INT_INTERFACE_L_X18Y143 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y143 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y143 ROW 7 TILEPROP INT_INTERFACE_L_X18Y143 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y143 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y143 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y143 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y143 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y143 TILE_Y 221496 TILEPROP INT_INTERFACE_L_X18Y143 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y144 CLASS tile TILEPROP INT_INTERFACE_L_X18Y144 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y144 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y144 FIRST_SITE_ID 558 TILEPROP INT_INTERFACE_L_X18Y144 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y144 GRID_POINT_Y 6 TILEPROP INT_INTERFACE_L_X18Y144 INDEX 738 TILEPROP INT_INTERFACE_L_X18Y144 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y144 INT_TILE_Y 5 TILEPROP INT_INTERFACE_L_X18Y144 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y144 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y144 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y144 NAME INT_INTERFACE_L_X18Y144 TILEPROP INT_INTERFACE_L_X18Y144 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y144 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y144 ROW 6 TILEPROP INT_INTERFACE_L_X18Y144 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y144 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y144 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y144 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y144 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y144 TILE_Y 224696 TILEPROP INT_INTERFACE_L_X18Y144 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y145 CLASS tile TILEPROP INT_INTERFACE_L_X18Y145 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y145 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y145 FIRST_SITE_ID 456 TILEPROP INT_INTERFACE_L_X18Y145 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y145 GRID_POINT_Y 5 TILEPROP INT_INTERFACE_L_X18Y145 INDEX 623 TILEPROP INT_INTERFACE_L_X18Y145 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y145 INT_TILE_Y 4 TILEPROP INT_INTERFACE_L_X18Y145 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y145 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y145 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y145 NAME INT_INTERFACE_L_X18Y145 TILEPROP INT_INTERFACE_L_X18Y145 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y145 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y145 ROW 5 TILEPROP INT_INTERFACE_L_X18Y145 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y145 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y145 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y145 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y145 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y145 TILE_Y 227896 TILEPROP INT_INTERFACE_L_X18Y145 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y146 CLASS tile TILEPROP INT_INTERFACE_L_X18Y146 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y146 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y146 FIRST_SITE_ID 346 TILEPROP INT_INTERFACE_L_X18Y146 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y146 GRID_POINT_Y 4 TILEPROP INT_INTERFACE_L_X18Y146 INDEX 508 TILEPROP INT_INTERFACE_L_X18Y146 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y146 INT_TILE_Y 3 TILEPROP INT_INTERFACE_L_X18Y146 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y146 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y146 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y146 NAME INT_INTERFACE_L_X18Y146 TILEPROP INT_INTERFACE_L_X18Y146 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y146 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y146 ROW 4 TILEPROP INT_INTERFACE_L_X18Y146 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y146 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y146 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y146 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y146 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y146 TILE_Y 231096 TILEPROP INT_INTERFACE_L_X18Y146 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y147 CLASS tile TILEPROP INT_INTERFACE_L_X18Y147 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y147 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y147 FIRST_SITE_ID 250 TILEPROP INT_INTERFACE_L_X18Y147 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y147 GRID_POINT_Y 3 TILEPROP INT_INTERFACE_L_X18Y147 INDEX 393 TILEPROP INT_INTERFACE_L_X18Y147 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y147 INT_TILE_Y 2 TILEPROP INT_INTERFACE_L_X18Y147 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y147 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y147 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y147 NAME INT_INTERFACE_L_X18Y147 TILEPROP INT_INTERFACE_L_X18Y147 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y147 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y147 ROW 3 TILEPROP INT_INTERFACE_L_X18Y147 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y147 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y147 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y147 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y147 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y147 TILE_Y 234296 TILEPROP INT_INTERFACE_L_X18Y147 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y148 CLASS tile TILEPROP INT_INTERFACE_L_X18Y148 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y148 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y148 FIRST_SITE_ID 146 TILEPROP INT_INTERFACE_L_X18Y148 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y148 GRID_POINT_Y 2 TILEPROP INT_INTERFACE_L_X18Y148 INDEX 278 TILEPROP INT_INTERFACE_L_X18Y148 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y148 INT_TILE_Y 1 TILEPROP INT_INTERFACE_L_X18Y148 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y148 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y148 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y148 NAME INT_INTERFACE_L_X18Y148 TILEPROP INT_INTERFACE_L_X18Y148 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y148 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y148 ROW 2 TILEPROP INT_INTERFACE_L_X18Y148 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y148 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y148 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y148 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y148 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y148 TILE_Y 237496 TILEPROP INT_INTERFACE_L_X18Y148 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y149 CLASS tile TILEPROP INT_INTERFACE_L_X18Y149 COLUMN 48 TILEPROP INT_INTERFACE_L_X18Y149 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X18Y149 FIRST_SITE_ID 50 TILEPROP INT_INTERFACE_L_X18Y149 GRID_POINT_X 48 TILEPROP INT_INTERFACE_L_X18Y149 GRID_POINT_Y 1 TILEPROP INT_INTERFACE_L_X18Y149 INDEX 163 TILEPROP INT_INTERFACE_L_X18Y149 INT_TILE_X 17 TILEPROP INT_INTERFACE_L_X18Y149 INT_TILE_Y 0 TILEPROP INT_INTERFACE_L_X18Y149 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X18Y149 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X18Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y149 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X18Y149 NAME INT_INTERFACE_L_X18Y149 TILEPROP INT_INTERFACE_L_X18Y149 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X18Y149 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X18Y149 ROW 1 TILEPROP INT_INTERFACE_L_X18Y149 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X18Y149 TILE_PATTERN_IDX 887 TILEPROP INT_INTERFACE_L_X18Y149 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X18Y149 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X18Y149 TILE_X -16640 TILEPROP INT_INTERFACE_L_X18Y149 TILE_Y 240696 TILEPROP INT_INTERFACE_L_X18Y149 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y0 CLASS tile TILEPROP INT_INTERFACE_L_X34Y0 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y0 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y0 FIRST_SITE_ID 15788 TILEPROP INT_INTERFACE_L_X34Y0 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y0 GRID_POINT_Y 155 TILEPROP INT_INTERFACE_L_X34Y0 INDEX 17912 TILEPROP INT_INTERFACE_L_X34Y0 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y0 INT_TILE_Y 149 TILEPROP INT_INTERFACE_L_X34Y0 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y0 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y0 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y0 NAME INT_INTERFACE_L_X34Y0 TILEPROP INT_INTERFACE_L_X34Y0 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y0 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y0 ROW 155 TILEPROP INT_INTERFACE_L_X34Y0 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y0 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y0 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y0 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y0 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y0 TILE_Y -239672 TILEPROP INT_INTERFACE_L_X34Y0 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y1 CLASS tile TILEPROP INT_INTERFACE_L_X34Y1 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y1 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y1 FIRST_SITE_ID 15672 TILEPROP INT_INTERFACE_L_X34Y1 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y1 GRID_POINT_Y 154 TILEPROP INT_INTERFACE_L_X34Y1 INDEX 17797 TILEPROP INT_INTERFACE_L_X34Y1 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y1 INT_TILE_Y 148 TILEPROP INT_INTERFACE_L_X34Y1 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y1 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y1 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y1 NAME INT_INTERFACE_L_X34Y1 TILEPROP INT_INTERFACE_L_X34Y1 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y1 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y1 ROW 154 TILEPROP INT_INTERFACE_L_X34Y1 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y1 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y1 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y1 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y1 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y1 TILE_Y -236472 TILEPROP INT_INTERFACE_L_X34Y1 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y2 CLASS tile TILEPROP INT_INTERFACE_L_X34Y2 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y2 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y2 FIRST_SITE_ID 15571 TILEPROP INT_INTERFACE_L_X34Y2 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y2 GRID_POINT_Y 153 TILEPROP INT_INTERFACE_L_X34Y2 INDEX 17682 TILEPROP INT_INTERFACE_L_X34Y2 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y2 INT_TILE_Y 147 TILEPROP INT_INTERFACE_L_X34Y2 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y2 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y2 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y2 NAME INT_INTERFACE_L_X34Y2 TILEPROP INT_INTERFACE_L_X34Y2 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y2 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y2 ROW 153 TILEPROP INT_INTERFACE_L_X34Y2 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y2 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y2 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y2 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y2 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y2 TILE_Y -233272 TILEPROP INT_INTERFACE_L_X34Y2 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y3 CLASS tile TILEPROP INT_INTERFACE_L_X34Y3 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y3 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y3 FIRST_SITE_ID 15471 TILEPROP INT_INTERFACE_L_X34Y3 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y3 GRID_POINT_Y 152 TILEPROP INT_INTERFACE_L_X34Y3 INDEX 17567 TILEPROP INT_INTERFACE_L_X34Y3 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y3 INT_TILE_Y 146 TILEPROP INT_INTERFACE_L_X34Y3 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y3 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y3 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y3 NAME INT_INTERFACE_L_X34Y3 TILEPROP INT_INTERFACE_L_X34Y3 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y3 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y3 ROW 152 TILEPROP INT_INTERFACE_L_X34Y3 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y3 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y3 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y3 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y3 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y3 TILE_Y -230072 TILEPROP INT_INTERFACE_L_X34Y3 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y4 CLASS tile TILEPROP INT_INTERFACE_L_X34Y4 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y4 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y4 FIRST_SITE_ID 15371 TILEPROP INT_INTERFACE_L_X34Y4 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y4 GRID_POINT_Y 151 TILEPROP INT_INTERFACE_L_X34Y4 INDEX 17452 TILEPROP INT_INTERFACE_L_X34Y4 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y4 INT_TILE_Y 145 TILEPROP INT_INTERFACE_L_X34Y4 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y4 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y4 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y4 NAME INT_INTERFACE_L_X34Y4 TILEPROP INT_INTERFACE_L_X34Y4 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y4 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y4 ROW 151 TILEPROP INT_INTERFACE_L_X34Y4 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y4 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y4 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y4 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y4 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y4 TILE_Y -226872 TILEPROP INT_INTERFACE_L_X34Y4 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y5 CLASS tile TILEPROP INT_INTERFACE_L_X34Y5 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y5 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y5 FIRST_SITE_ID 15268 TILEPROP INT_INTERFACE_L_X34Y5 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y5 GRID_POINT_Y 150 TILEPROP INT_INTERFACE_L_X34Y5 INDEX 17337 TILEPROP INT_INTERFACE_L_X34Y5 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y5 INT_TILE_Y 144 TILEPROP INT_INTERFACE_L_X34Y5 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y5 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y5 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y5 NAME INT_INTERFACE_L_X34Y5 TILEPROP INT_INTERFACE_L_X34Y5 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y5 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y5 ROW 150 TILEPROP INT_INTERFACE_L_X34Y5 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y5 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y5 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y5 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y5 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y5 TILE_Y -223672 TILEPROP INT_INTERFACE_L_X34Y5 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y6 CLASS tile TILEPROP INT_INTERFACE_L_X34Y6 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y6 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y6 FIRST_SITE_ID 15156 TILEPROP INT_INTERFACE_L_X34Y6 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y6 GRID_POINT_Y 149 TILEPROP INT_INTERFACE_L_X34Y6 INDEX 17222 TILEPROP INT_INTERFACE_L_X34Y6 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y6 INT_TILE_Y 143 TILEPROP INT_INTERFACE_L_X34Y6 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y6 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y6 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y6 NAME INT_INTERFACE_L_X34Y6 TILEPROP INT_INTERFACE_L_X34Y6 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y6 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y6 ROW 149 TILEPROP INT_INTERFACE_L_X34Y6 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y6 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y6 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y6 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y6 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y6 TILE_Y -220472 TILEPROP INT_INTERFACE_L_X34Y6 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y7 CLASS tile TILEPROP INT_INTERFACE_L_X34Y7 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y7 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y7 FIRST_SITE_ID 15054 TILEPROP INT_INTERFACE_L_X34Y7 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y7 GRID_POINT_Y 148 TILEPROP INT_INTERFACE_L_X34Y7 INDEX 17107 TILEPROP INT_INTERFACE_L_X34Y7 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y7 INT_TILE_Y 142 TILEPROP INT_INTERFACE_L_X34Y7 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y7 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y7 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y7 NAME INT_INTERFACE_L_X34Y7 TILEPROP INT_INTERFACE_L_X34Y7 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y7 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y7 ROW 148 TILEPROP INT_INTERFACE_L_X34Y7 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y7 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y7 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y7 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y7 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y7 TILE_Y -217272 TILEPROP INT_INTERFACE_L_X34Y7 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y8 CLASS tile TILEPROP INT_INTERFACE_L_X34Y8 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y8 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y8 FIRST_SITE_ID 14951 TILEPROP INT_INTERFACE_L_X34Y8 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y8 GRID_POINT_Y 147 TILEPROP INT_INTERFACE_L_X34Y8 INDEX 16992 TILEPROP INT_INTERFACE_L_X34Y8 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y8 INT_TILE_Y 141 TILEPROP INT_INTERFACE_L_X34Y8 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y8 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y8 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y8 NAME INT_INTERFACE_L_X34Y8 TILEPROP INT_INTERFACE_L_X34Y8 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y8 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y8 ROW 147 TILEPROP INT_INTERFACE_L_X34Y8 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y8 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y8 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y8 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y8 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y8 TILE_Y -214072 TILEPROP INT_INTERFACE_L_X34Y8 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y9 CLASS tile TILEPROP INT_INTERFACE_L_X34Y9 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y9 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y9 FIRST_SITE_ID 14850 TILEPROP INT_INTERFACE_L_X34Y9 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y9 GRID_POINT_Y 146 TILEPROP INT_INTERFACE_L_X34Y9 INDEX 16877 TILEPROP INT_INTERFACE_L_X34Y9 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y9 INT_TILE_Y 140 TILEPROP INT_INTERFACE_L_X34Y9 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y9 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y9 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y9 NAME INT_INTERFACE_L_X34Y9 TILEPROP INT_INTERFACE_L_X34Y9 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y9 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y9 ROW 146 TILEPROP INT_INTERFACE_L_X34Y9 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y9 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y9 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y9 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y9 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y9 TILE_Y -210872 TILEPROP INT_INTERFACE_L_X34Y9 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y10 CLASS tile TILEPROP INT_INTERFACE_L_X34Y10 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y10 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y10 FIRST_SITE_ID 14747 TILEPROP INT_INTERFACE_L_X34Y10 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y10 GRID_POINT_Y 145 TILEPROP INT_INTERFACE_L_X34Y10 INDEX 16762 TILEPROP INT_INTERFACE_L_X34Y10 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y10 INT_TILE_Y 139 TILEPROP INT_INTERFACE_L_X34Y10 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y10 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y10 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y10 NAME INT_INTERFACE_L_X34Y10 TILEPROP INT_INTERFACE_L_X34Y10 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y10 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y10 ROW 145 TILEPROP INT_INTERFACE_L_X34Y10 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y10 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y10 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y10 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y10 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y10 TILE_Y -207672 TILEPROP INT_INTERFACE_L_X34Y10 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y11 CLASS tile TILEPROP INT_INTERFACE_L_X34Y11 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y11 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y11 FIRST_SITE_ID 14635 TILEPROP INT_INTERFACE_L_X34Y11 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y11 GRID_POINT_Y 144 TILEPROP INT_INTERFACE_L_X34Y11 INDEX 16647 TILEPROP INT_INTERFACE_L_X34Y11 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y11 INT_TILE_Y 138 TILEPROP INT_INTERFACE_L_X34Y11 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y11 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y11 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y11 NAME INT_INTERFACE_L_X34Y11 TILEPROP INT_INTERFACE_L_X34Y11 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y11 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y11 ROW 144 TILEPROP INT_INTERFACE_L_X34Y11 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y11 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y11 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y11 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y11 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y11 TILE_Y -204472 TILEPROP INT_INTERFACE_L_X34Y11 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y12 CLASS tile TILEPROP INT_INTERFACE_L_X34Y12 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y12 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y12 FIRST_SITE_ID 14535 TILEPROP INT_INTERFACE_L_X34Y12 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y12 GRID_POINT_Y 143 TILEPROP INT_INTERFACE_L_X34Y12 INDEX 16532 TILEPROP INT_INTERFACE_L_X34Y12 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y12 INT_TILE_Y 137 TILEPROP INT_INTERFACE_L_X34Y12 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y12 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y12 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y12 NAME INT_INTERFACE_L_X34Y12 TILEPROP INT_INTERFACE_L_X34Y12 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y12 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y12 ROW 143 TILEPROP INT_INTERFACE_L_X34Y12 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y12 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y12 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y12 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y12 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y12 TILE_Y -201272 TILEPROP INT_INTERFACE_L_X34Y12 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y13 CLASS tile TILEPROP INT_INTERFACE_L_X34Y13 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y13 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y13 FIRST_SITE_ID 14403 TILEPROP INT_INTERFACE_L_X34Y13 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y13 GRID_POINT_Y 142 TILEPROP INT_INTERFACE_L_X34Y13 INDEX 16417 TILEPROP INT_INTERFACE_L_X34Y13 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y13 INT_TILE_Y 136 TILEPROP INT_INTERFACE_L_X34Y13 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y13 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y13 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y13 NAME INT_INTERFACE_L_X34Y13 TILEPROP INT_INTERFACE_L_X34Y13 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y13 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y13 ROW 142 TILEPROP INT_INTERFACE_L_X34Y13 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y13 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y13 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y13 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y13 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y13 TILE_Y -198072 TILEPROP INT_INTERFACE_L_X34Y13 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y14 CLASS tile TILEPROP INT_INTERFACE_L_X34Y14 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y14 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y14 FIRST_SITE_ID 14303 TILEPROP INT_INTERFACE_L_X34Y14 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y14 GRID_POINT_Y 141 TILEPROP INT_INTERFACE_L_X34Y14 INDEX 16302 TILEPROP INT_INTERFACE_L_X34Y14 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y14 INT_TILE_Y 135 TILEPROP INT_INTERFACE_L_X34Y14 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y14 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y14 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y14 NAME INT_INTERFACE_L_X34Y14 TILEPROP INT_INTERFACE_L_X34Y14 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y14 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y14 ROW 141 TILEPROP INT_INTERFACE_L_X34Y14 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y14 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y14 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y14 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y14 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y14 TILE_Y -194872 TILEPROP INT_INTERFACE_L_X34Y14 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y15 CLASS tile TILEPROP INT_INTERFACE_L_X34Y15 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y15 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y15 FIRST_SITE_ID 14200 TILEPROP INT_INTERFACE_L_X34Y15 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y15 GRID_POINT_Y 140 TILEPROP INT_INTERFACE_L_X34Y15 INDEX 16187 TILEPROP INT_INTERFACE_L_X34Y15 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y15 INT_TILE_Y 134 TILEPROP INT_INTERFACE_L_X34Y15 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y15 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y15 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y15 NAME INT_INTERFACE_L_X34Y15 TILEPROP INT_INTERFACE_L_X34Y15 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y15 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y15 ROW 140 TILEPROP INT_INTERFACE_L_X34Y15 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y15 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y15 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y15 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y15 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y15 TILE_Y -191672 TILEPROP INT_INTERFACE_L_X34Y15 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y16 CLASS tile TILEPROP INT_INTERFACE_L_X34Y16 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y16 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y16 FIRST_SITE_ID 14088 TILEPROP INT_INTERFACE_L_X34Y16 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y16 GRID_POINT_Y 139 TILEPROP INT_INTERFACE_L_X34Y16 INDEX 16072 TILEPROP INT_INTERFACE_L_X34Y16 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y16 INT_TILE_Y 133 TILEPROP INT_INTERFACE_L_X34Y16 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y16 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y16 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y16 NAME INT_INTERFACE_L_X34Y16 TILEPROP INT_INTERFACE_L_X34Y16 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y16 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y16 ROW 139 TILEPROP INT_INTERFACE_L_X34Y16 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y16 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y16 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y16 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y16 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y16 TILE_Y -188472 TILEPROP INT_INTERFACE_L_X34Y16 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y17 CLASS tile TILEPROP INT_INTERFACE_L_X34Y17 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y17 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y17 FIRST_SITE_ID 13984 TILEPROP INT_INTERFACE_L_X34Y17 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y17 GRID_POINT_Y 138 TILEPROP INT_INTERFACE_L_X34Y17 INDEX 15957 TILEPROP INT_INTERFACE_L_X34Y17 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y17 INT_TILE_Y 132 TILEPROP INT_INTERFACE_L_X34Y17 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y17 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y17 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y17 NAME INT_INTERFACE_L_X34Y17 TILEPROP INT_INTERFACE_L_X34Y17 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y17 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y17 ROW 138 TILEPROP INT_INTERFACE_L_X34Y17 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y17 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y17 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y17 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y17 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y17 TILE_Y -185272 TILEPROP INT_INTERFACE_L_X34Y17 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y18 CLASS tile TILEPROP INT_INTERFACE_L_X34Y18 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y18 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y18 FIRST_SITE_ID 13879 TILEPROP INT_INTERFACE_L_X34Y18 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y18 GRID_POINT_Y 137 TILEPROP INT_INTERFACE_L_X34Y18 INDEX 15842 TILEPROP INT_INTERFACE_L_X34Y18 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y18 INT_TILE_Y 131 TILEPROP INT_INTERFACE_L_X34Y18 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y18 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y18 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y18 NAME INT_INTERFACE_L_X34Y18 TILEPROP INT_INTERFACE_L_X34Y18 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y18 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y18 ROW 137 TILEPROP INT_INTERFACE_L_X34Y18 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y18 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y18 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y18 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y18 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y18 TILE_Y -182072 TILEPROP INT_INTERFACE_L_X34Y18 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y19 CLASS tile TILEPROP INT_INTERFACE_L_X34Y19 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y19 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y19 FIRST_SITE_ID 13777 TILEPROP INT_INTERFACE_L_X34Y19 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y19 GRID_POINT_Y 136 TILEPROP INT_INTERFACE_L_X34Y19 INDEX 15727 TILEPROP INT_INTERFACE_L_X34Y19 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y19 INT_TILE_Y 130 TILEPROP INT_INTERFACE_L_X34Y19 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y19 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y19 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y19 NAME INT_INTERFACE_L_X34Y19 TILEPROP INT_INTERFACE_L_X34Y19 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y19 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y19 ROW 136 TILEPROP INT_INTERFACE_L_X34Y19 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y19 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y19 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y19 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y19 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y19 TILE_Y -178872 TILEPROP INT_INTERFACE_L_X34Y19 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y20 CLASS tile TILEPROP INT_INTERFACE_L_X34Y20 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y20 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y20 FIRST_SITE_ID 13672 TILEPROP INT_INTERFACE_L_X34Y20 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y20 GRID_POINT_Y 135 TILEPROP INT_INTERFACE_L_X34Y20 INDEX 15612 TILEPROP INT_INTERFACE_L_X34Y20 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y20 INT_TILE_Y 129 TILEPROP INT_INTERFACE_L_X34Y20 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y20 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y20 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y20 NAME INT_INTERFACE_L_X34Y20 TILEPROP INT_INTERFACE_L_X34Y20 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y20 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y20 ROW 135 TILEPROP INT_INTERFACE_L_X34Y20 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y20 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y20 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y20 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y20 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y20 TILE_Y -175672 TILEPROP INT_INTERFACE_L_X34Y20 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y21 CLASS tile TILEPROP INT_INTERFACE_L_X34Y21 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y21 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y21 FIRST_SITE_ID 13560 TILEPROP INT_INTERFACE_L_X34Y21 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y21 GRID_POINT_Y 134 TILEPROP INT_INTERFACE_L_X34Y21 INDEX 15497 TILEPROP INT_INTERFACE_L_X34Y21 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y21 INT_TILE_Y 128 TILEPROP INT_INTERFACE_L_X34Y21 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y21 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y21 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y21 NAME INT_INTERFACE_L_X34Y21 TILEPROP INT_INTERFACE_L_X34Y21 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y21 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y21 ROW 134 TILEPROP INT_INTERFACE_L_X34Y21 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y21 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y21 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y21 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y21 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y21 TILE_Y -172472 TILEPROP INT_INTERFACE_L_X34Y21 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y22 CLASS tile TILEPROP INT_INTERFACE_L_X34Y22 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y22 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y22 FIRST_SITE_ID 13460 TILEPROP INT_INTERFACE_L_X34Y22 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y22 GRID_POINT_Y 133 TILEPROP INT_INTERFACE_L_X34Y22 INDEX 15382 TILEPROP INT_INTERFACE_L_X34Y22 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y22 INT_TILE_Y 127 TILEPROP INT_INTERFACE_L_X34Y22 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y22 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y22 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y22 NAME INT_INTERFACE_L_X34Y22 TILEPROP INT_INTERFACE_L_X34Y22 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y22 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y22 ROW 133 TILEPROP INT_INTERFACE_L_X34Y22 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y22 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y22 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y22 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y22 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y22 TILE_Y -169272 TILEPROP INT_INTERFACE_L_X34Y22 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y23 CLASS tile TILEPROP INT_INTERFACE_L_X34Y23 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y23 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y23 FIRST_SITE_ID 13360 TILEPROP INT_INTERFACE_L_X34Y23 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y23 GRID_POINT_Y 132 TILEPROP INT_INTERFACE_L_X34Y23 INDEX 15267 TILEPROP INT_INTERFACE_L_X34Y23 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y23 INT_TILE_Y 126 TILEPROP INT_INTERFACE_L_X34Y23 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y23 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y23 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y23 NAME INT_INTERFACE_L_X34Y23 TILEPROP INT_INTERFACE_L_X34Y23 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y23 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y23 ROW 132 TILEPROP INT_INTERFACE_L_X34Y23 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y23 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y23 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y23 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y23 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y23 TILE_Y -166072 TILEPROP INT_INTERFACE_L_X34Y23 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y24 CLASS tile TILEPROP INT_INTERFACE_L_X34Y24 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y24 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y24 FIRST_SITE_ID 13260 TILEPROP INT_INTERFACE_L_X34Y24 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y24 GRID_POINT_Y 131 TILEPROP INT_INTERFACE_L_X34Y24 INDEX 15152 TILEPROP INT_INTERFACE_L_X34Y24 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y24 INT_TILE_Y 125 TILEPROP INT_INTERFACE_L_X34Y24 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y24 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y24 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y24 NAME INT_INTERFACE_L_X34Y24 TILEPROP INT_INTERFACE_L_X34Y24 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y24 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y24 ROW 131 TILEPROP INT_INTERFACE_L_X34Y24 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y24 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y24 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y24 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y24 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y24 TILE_Y -162872 TILEPROP INT_INTERFACE_L_X34Y24 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y25 CLASS tile TILEPROP INT_INTERFACE_L_X34Y25 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y25 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y25 FIRST_SITE_ID 13074 TILEPROP INT_INTERFACE_L_X34Y25 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y25 GRID_POINT_Y 129 TILEPROP INT_INTERFACE_L_X34Y25 INDEX 14922 TILEPROP INT_INTERFACE_L_X34Y25 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y25 INT_TILE_Y 124 TILEPROP INT_INTERFACE_L_X34Y25 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y25 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y25 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y25 NAME INT_INTERFACE_L_X34Y25 TILEPROP INT_INTERFACE_L_X34Y25 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y25 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y25 ROW 129 TILEPROP INT_INTERFACE_L_X34Y25 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y25 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y25 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y25 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y25 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y25 TILE_Y -158648 TILEPROP INT_INTERFACE_L_X34Y25 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y26 CLASS tile TILEPROP INT_INTERFACE_L_X34Y26 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y26 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y26 FIRST_SITE_ID 12962 TILEPROP INT_INTERFACE_L_X34Y26 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y26 GRID_POINT_Y 128 TILEPROP INT_INTERFACE_L_X34Y26 INDEX 14807 TILEPROP INT_INTERFACE_L_X34Y26 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y26 INT_TILE_Y 123 TILEPROP INT_INTERFACE_L_X34Y26 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y26 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y26 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y26 NAME INT_INTERFACE_L_X34Y26 TILEPROP INT_INTERFACE_L_X34Y26 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y26 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y26 ROW 128 TILEPROP INT_INTERFACE_L_X34Y26 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y26 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y26 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y26 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y26 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y26 TILE_Y -155448 TILEPROP INT_INTERFACE_L_X34Y26 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y27 CLASS tile TILEPROP INT_INTERFACE_L_X34Y27 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y27 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y27 FIRST_SITE_ID 12862 TILEPROP INT_INTERFACE_L_X34Y27 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y27 GRID_POINT_Y 127 TILEPROP INT_INTERFACE_L_X34Y27 INDEX 14692 TILEPROP INT_INTERFACE_L_X34Y27 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y27 INT_TILE_Y 122 TILEPROP INT_INTERFACE_L_X34Y27 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y27 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y27 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y27 NAME INT_INTERFACE_L_X34Y27 TILEPROP INT_INTERFACE_L_X34Y27 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y27 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y27 ROW 127 TILEPROP INT_INTERFACE_L_X34Y27 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y27 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y27 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y27 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y27 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y27 TILE_Y -152248 TILEPROP INT_INTERFACE_L_X34Y27 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y28 CLASS tile TILEPROP INT_INTERFACE_L_X34Y28 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y28 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y28 FIRST_SITE_ID 12762 TILEPROP INT_INTERFACE_L_X34Y28 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y28 GRID_POINT_Y 126 TILEPROP INT_INTERFACE_L_X34Y28 INDEX 14577 TILEPROP INT_INTERFACE_L_X34Y28 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y28 INT_TILE_Y 121 TILEPROP INT_INTERFACE_L_X34Y28 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y28 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y28 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y28 NAME INT_INTERFACE_L_X34Y28 TILEPROP INT_INTERFACE_L_X34Y28 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y28 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y28 ROW 126 TILEPROP INT_INTERFACE_L_X34Y28 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y28 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y28 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y28 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y28 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y28 TILE_Y -149048 TILEPROP INT_INTERFACE_L_X34Y28 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y29 CLASS tile TILEPROP INT_INTERFACE_L_X34Y29 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y29 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y29 FIRST_SITE_ID 12656 TILEPROP INT_INTERFACE_L_X34Y29 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y29 GRID_POINT_Y 125 TILEPROP INT_INTERFACE_L_X34Y29 INDEX 14462 TILEPROP INT_INTERFACE_L_X34Y29 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y29 INT_TILE_Y 120 TILEPROP INT_INTERFACE_L_X34Y29 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y29 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y29 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y29 NAME INT_INTERFACE_L_X34Y29 TILEPROP INT_INTERFACE_L_X34Y29 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y29 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y29 ROW 125 TILEPROP INT_INTERFACE_L_X34Y29 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y29 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y29 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y29 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y29 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y29 TILE_Y -145848 TILEPROP INT_INTERFACE_L_X34Y29 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y30 CLASS tile TILEPROP INT_INTERFACE_L_X34Y30 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y30 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y30 FIRST_SITE_ID 12547 TILEPROP INT_INTERFACE_L_X34Y30 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y30 GRID_POINT_Y 124 TILEPROP INT_INTERFACE_L_X34Y30 INDEX 14347 TILEPROP INT_INTERFACE_L_X34Y30 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y30 INT_TILE_Y 119 TILEPROP INT_INTERFACE_L_X34Y30 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y30 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y30 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y30 NAME INT_INTERFACE_L_X34Y30 TILEPROP INT_INTERFACE_L_X34Y30 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y30 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y30 ROW 124 TILEPROP INT_INTERFACE_L_X34Y30 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y30 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y30 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y30 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y30 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y30 TILE_Y -142648 TILEPROP INT_INTERFACE_L_X34Y30 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y31 CLASS tile TILEPROP INT_INTERFACE_L_X34Y31 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y31 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y31 FIRST_SITE_ID 12420 TILEPROP INT_INTERFACE_L_X34Y31 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y31 GRID_POINT_Y 123 TILEPROP INT_INTERFACE_L_X34Y31 INDEX 14232 TILEPROP INT_INTERFACE_L_X34Y31 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y31 INT_TILE_Y 118 TILEPROP INT_INTERFACE_L_X34Y31 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y31 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y31 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y31 NAME INT_INTERFACE_L_X34Y31 TILEPROP INT_INTERFACE_L_X34Y31 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y31 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y31 ROW 123 TILEPROP INT_INTERFACE_L_X34Y31 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y31 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y31 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y31 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y31 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y31 TILE_Y -139448 TILEPROP INT_INTERFACE_L_X34Y31 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y32 CLASS tile TILEPROP INT_INTERFACE_L_X34Y32 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y32 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y32 FIRST_SITE_ID 12318 TILEPROP INT_INTERFACE_L_X34Y32 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y32 GRID_POINT_Y 122 TILEPROP INT_INTERFACE_L_X34Y32 INDEX 14117 TILEPROP INT_INTERFACE_L_X34Y32 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y32 INT_TILE_Y 117 TILEPROP INT_INTERFACE_L_X34Y32 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y32 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y32 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y32 NAME INT_INTERFACE_L_X34Y32 TILEPROP INT_INTERFACE_L_X34Y32 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y32 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y32 ROW 122 TILEPROP INT_INTERFACE_L_X34Y32 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y32 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y32 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y32 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y32 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y32 TILE_Y -136248 TILEPROP INT_INTERFACE_L_X34Y32 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y33 CLASS tile TILEPROP INT_INTERFACE_L_X34Y33 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y33 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y33 FIRST_SITE_ID 12217 TILEPROP INT_INTERFACE_L_X34Y33 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y33 GRID_POINT_Y 121 TILEPROP INT_INTERFACE_L_X34Y33 INDEX 14002 TILEPROP INT_INTERFACE_L_X34Y33 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y33 INT_TILE_Y 116 TILEPROP INT_INTERFACE_L_X34Y33 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y33 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y33 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y33 NAME INT_INTERFACE_L_X34Y33 TILEPROP INT_INTERFACE_L_X34Y33 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y33 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y33 ROW 121 TILEPROP INT_INTERFACE_L_X34Y33 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y33 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y33 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y33 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y33 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y33 TILE_Y -133048 TILEPROP INT_INTERFACE_L_X34Y33 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y34 CLASS tile TILEPROP INT_INTERFACE_L_X34Y34 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y34 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y34 FIRST_SITE_ID 12117 TILEPROP INT_INTERFACE_L_X34Y34 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y34 GRID_POINT_Y 120 TILEPROP INT_INTERFACE_L_X34Y34 INDEX 13887 TILEPROP INT_INTERFACE_L_X34Y34 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y34 INT_TILE_Y 115 TILEPROP INT_INTERFACE_L_X34Y34 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y34 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y34 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y34 NAME INT_INTERFACE_L_X34Y34 TILEPROP INT_INTERFACE_L_X34Y34 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y34 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y34 ROW 120 TILEPROP INT_INTERFACE_L_X34Y34 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y34 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y34 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y34 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y34 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y34 TILE_Y -129848 TILEPROP INT_INTERFACE_L_X34Y34 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y35 CLASS tile TILEPROP INT_INTERFACE_L_X34Y35 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y35 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y35 FIRST_SITE_ID 12014 TILEPROP INT_INTERFACE_L_X34Y35 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y35 GRID_POINT_Y 119 TILEPROP INT_INTERFACE_L_X34Y35 INDEX 13772 TILEPROP INT_INTERFACE_L_X34Y35 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y35 INT_TILE_Y 114 TILEPROP INT_INTERFACE_L_X34Y35 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y35 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y35 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y35 NAME INT_INTERFACE_L_X34Y35 TILEPROP INT_INTERFACE_L_X34Y35 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y35 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y35 ROW 119 TILEPROP INT_INTERFACE_L_X34Y35 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y35 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y35 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y35 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y35 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y35 TILE_Y -126648 TILEPROP INT_INTERFACE_L_X34Y35 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y36 CLASS tile TILEPROP INT_INTERFACE_L_X34Y36 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y36 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y36 FIRST_SITE_ID 11902 TILEPROP INT_INTERFACE_L_X34Y36 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y36 GRID_POINT_Y 118 TILEPROP INT_INTERFACE_L_X34Y36 INDEX 13657 TILEPROP INT_INTERFACE_L_X34Y36 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y36 INT_TILE_Y 113 TILEPROP INT_INTERFACE_L_X34Y36 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y36 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y36 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y36 NAME INT_INTERFACE_L_X34Y36 TILEPROP INT_INTERFACE_L_X34Y36 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y36 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y36 ROW 118 TILEPROP INT_INTERFACE_L_X34Y36 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y36 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y36 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y36 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y36 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y36 TILE_Y -123448 TILEPROP INT_INTERFACE_L_X34Y36 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y37 CLASS tile TILEPROP INT_INTERFACE_L_X34Y37 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y37 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y37 FIRST_SITE_ID 11770 TILEPROP INT_INTERFACE_L_X34Y37 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y37 GRID_POINT_Y 117 TILEPROP INT_INTERFACE_L_X34Y37 INDEX 13542 TILEPROP INT_INTERFACE_L_X34Y37 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y37 INT_TILE_Y 112 TILEPROP INT_INTERFACE_L_X34Y37 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y37 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y37 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y37 NAME INT_INTERFACE_L_X34Y37 TILEPROP INT_INTERFACE_L_X34Y37 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y37 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y37 ROW 117 TILEPROP INT_INTERFACE_L_X34Y37 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y37 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y37 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y37 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y37 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y37 TILE_Y -120248 TILEPROP INT_INTERFACE_L_X34Y37 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y38 CLASS tile TILEPROP INT_INTERFACE_L_X34Y38 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y38 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y38 FIRST_SITE_ID 11670 TILEPROP INT_INTERFACE_L_X34Y38 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y38 GRID_POINT_Y 116 TILEPROP INT_INTERFACE_L_X34Y38 INDEX 13427 TILEPROP INT_INTERFACE_L_X34Y38 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y38 INT_TILE_Y 111 TILEPROP INT_INTERFACE_L_X34Y38 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y38 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y38 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y38 NAME INT_INTERFACE_L_X34Y38 TILEPROP INT_INTERFACE_L_X34Y38 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y38 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y38 ROW 116 TILEPROP INT_INTERFACE_L_X34Y38 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y38 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y38 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y38 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y38 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y38 TILE_Y -117048 TILEPROP INT_INTERFACE_L_X34Y38 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y39 CLASS tile TILEPROP INT_INTERFACE_L_X34Y39 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y39 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y39 FIRST_SITE_ID 11570 TILEPROP INT_INTERFACE_L_X34Y39 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y39 GRID_POINT_Y 115 TILEPROP INT_INTERFACE_L_X34Y39 INDEX 13312 TILEPROP INT_INTERFACE_L_X34Y39 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y39 INT_TILE_Y 110 TILEPROP INT_INTERFACE_L_X34Y39 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y39 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y39 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y39 NAME INT_INTERFACE_L_X34Y39 TILEPROP INT_INTERFACE_L_X34Y39 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y39 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y39 ROW 115 TILEPROP INT_INTERFACE_L_X34Y39 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y39 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y39 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y39 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y39 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y39 TILE_Y -113848 TILEPROP INT_INTERFACE_L_X34Y39 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y40 CLASS tile TILEPROP INT_INTERFACE_L_X34Y40 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y40 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y40 FIRST_SITE_ID 11467 TILEPROP INT_INTERFACE_L_X34Y40 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y40 GRID_POINT_Y 114 TILEPROP INT_INTERFACE_L_X34Y40 INDEX 13197 TILEPROP INT_INTERFACE_L_X34Y40 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y40 INT_TILE_Y 109 TILEPROP INT_INTERFACE_L_X34Y40 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y40 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y40 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y40 NAME INT_INTERFACE_L_X34Y40 TILEPROP INT_INTERFACE_L_X34Y40 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y40 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y40 ROW 114 TILEPROP INT_INTERFACE_L_X34Y40 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y40 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y40 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y40 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y40 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y40 TILE_Y -110648 TILEPROP INT_INTERFACE_L_X34Y40 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y41 CLASS tile TILEPROP INT_INTERFACE_L_X34Y41 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y41 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y41 FIRST_SITE_ID 11353 TILEPROP INT_INTERFACE_L_X34Y41 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y41 GRID_POINT_Y 113 TILEPROP INT_INTERFACE_L_X34Y41 INDEX 13082 TILEPROP INT_INTERFACE_L_X34Y41 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y41 INT_TILE_Y 108 TILEPROP INT_INTERFACE_L_X34Y41 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y41 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y41 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y41 NAME INT_INTERFACE_L_X34Y41 TILEPROP INT_INTERFACE_L_X34Y41 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y41 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y41 ROW 113 TILEPROP INT_INTERFACE_L_X34Y41 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y41 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y41 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y41 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y41 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y41 TILE_Y -107448 TILEPROP INT_INTERFACE_L_X34Y41 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y42 CLASS tile TILEPROP INT_INTERFACE_L_X34Y42 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y42 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y42 FIRST_SITE_ID 11251 TILEPROP INT_INTERFACE_L_X34Y42 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y42 GRID_POINT_Y 112 TILEPROP INT_INTERFACE_L_X34Y42 INDEX 12967 TILEPROP INT_INTERFACE_L_X34Y42 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y42 INT_TILE_Y 107 TILEPROP INT_INTERFACE_L_X34Y42 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y42 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y42 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y42 NAME INT_INTERFACE_L_X34Y42 TILEPROP INT_INTERFACE_L_X34Y42 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y42 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y42 ROW 112 TILEPROP INT_INTERFACE_L_X34Y42 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y42 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y42 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y42 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y42 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y42 TILE_Y -104248 TILEPROP INT_INTERFACE_L_X34Y42 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y43 CLASS tile TILEPROP INT_INTERFACE_L_X34Y43 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y43 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y43 FIRST_SITE_ID 11148 TILEPROP INT_INTERFACE_L_X34Y43 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y43 GRID_POINT_Y 111 TILEPROP INT_INTERFACE_L_X34Y43 INDEX 12852 TILEPROP INT_INTERFACE_L_X34Y43 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y43 INT_TILE_Y 106 TILEPROP INT_INTERFACE_L_X34Y43 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y43 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y43 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y43 NAME INT_INTERFACE_L_X34Y43 TILEPROP INT_INTERFACE_L_X34Y43 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y43 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y43 ROW 111 TILEPROP INT_INTERFACE_L_X34Y43 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y43 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y43 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y43 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y43 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y43 TILE_Y -101048 TILEPROP INT_INTERFACE_L_X34Y43 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y44 CLASS tile TILEPROP INT_INTERFACE_L_X34Y44 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y44 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y44 FIRST_SITE_ID 11046 TILEPROP INT_INTERFACE_L_X34Y44 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y44 GRID_POINT_Y 110 TILEPROP INT_INTERFACE_L_X34Y44 INDEX 12737 TILEPROP INT_INTERFACE_L_X34Y44 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y44 INT_TILE_Y 105 TILEPROP INT_INTERFACE_L_X34Y44 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y44 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y44 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y44 NAME INT_INTERFACE_L_X34Y44 TILEPROP INT_INTERFACE_L_X34Y44 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y44 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y44 ROW 110 TILEPROP INT_INTERFACE_L_X34Y44 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y44 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y44 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y44 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y44 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y44 TILE_Y -97848 TILEPROP INT_INTERFACE_L_X34Y44 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y45 CLASS tile TILEPROP INT_INTERFACE_L_X34Y45 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y45 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y45 FIRST_SITE_ID 10943 TILEPROP INT_INTERFACE_L_X34Y45 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y45 GRID_POINT_Y 109 TILEPROP INT_INTERFACE_L_X34Y45 INDEX 12622 TILEPROP INT_INTERFACE_L_X34Y45 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y45 INT_TILE_Y 104 TILEPROP INT_INTERFACE_L_X34Y45 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y45 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y45 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y45 NAME INT_INTERFACE_L_X34Y45 TILEPROP INT_INTERFACE_L_X34Y45 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y45 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y45 ROW 109 TILEPROP INT_INTERFACE_L_X34Y45 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y45 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y45 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y45 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y45 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y45 TILE_Y -94648 TILEPROP INT_INTERFACE_L_X34Y45 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y46 CLASS tile TILEPROP INT_INTERFACE_L_X34Y46 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y46 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y46 FIRST_SITE_ID 10830 TILEPROP INT_INTERFACE_L_X34Y46 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y46 GRID_POINT_Y 108 TILEPROP INT_INTERFACE_L_X34Y46 INDEX 12507 TILEPROP INT_INTERFACE_L_X34Y46 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y46 INT_TILE_Y 103 TILEPROP INT_INTERFACE_L_X34Y46 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y46 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y46 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y46 NAME INT_INTERFACE_L_X34Y46 TILEPROP INT_INTERFACE_L_X34Y46 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y46 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y46 ROW 108 TILEPROP INT_INTERFACE_L_X34Y46 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y46 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y46 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y46 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y46 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y46 TILE_Y -91448 TILEPROP INT_INTERFACE_L_X34Y46 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y47 CLASS tile TILEPROP INT_INTERFACE_L_X34Y47 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y47 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y47 FIRST_SITE_ID 10714 TILEPROP INT_INTERFACE_L_X34Y47 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y47 GRID_POINT_Y 107 TILEPROP INT_INTERFACE_L_X34Y47 INDEX 12392 TILEPROP INT_INTERFACE_L_X34Y47 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y47 INT_TILE_Y 102 TILEPROP INT_INTERFACE_L_X34Y47 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y47 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y47 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y47 NAME INT_INTERFACE_L_X34Y47 TILEPROP INT_INTERFACE_L_X34Y47 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y47 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y47 ROW 107 TILEPROP INT_INTERFACE_L_X34Y47 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y47 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y47 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y47 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y47 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y47 TILE_Y -88248 TILEPROP INT_INTERFACE_L_X34Y47 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y48 CLASS tile TILEPROP INT_INTERFACE_L_X34Y48 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y48 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y48 FIRST_SITE_ID 10614 TILEPROP INT_INTERFACE_L_X34Y48 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y48 GRID_POINT_Y 106 TILEPROP INT_INTERFACE_L_X34Y48 INDEX 12277 TILEPROP INT_INTERFACE_L_X34Y48 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y48 INT_TILE_Y 101 TILEPROP INT_INTERFACE_L_X34Y48 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y48 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y48 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y48 NAME INT_INTERFACE_L_X34Y48 TILEPROP INT_INTERFACE_L_X34Y48 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y48 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y48 ROW 106 TILEPROP INT_INTERFACE_L_X34Y48 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y48 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y48 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y48 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y48 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y48 TILE_Y -85048 TILEPROP INT_INTERFACE_L_X34Y48 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y49 CLASS tile TILEPROP INT_INTERFACE_L_X34Y49 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y49 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y49 FIRST_SITE_ID 10518 TILEPROP INT_INTERFACE_L_X34Y49 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y49 GRID_POINT_Y 105 TILEPROP INT_INTERFACE_L_X34Y49 INDEX 12162 TILEPROP INT_INTERFACE_L_X34Y49 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y49 INT_TILE_Y 100 TILEPROP INT_INTERFACE_L_X34Y49 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y49 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y49 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y49 NAME INT_INTERFACE_L_X34Y49 TILEPROP INT_INTERFACE_L_X34Y49 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y49 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y49 ROW 105 TILEPROP INT_INTERFACE_L_X34Y49 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y49 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y49 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y49 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y49 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y49 TILE_Y -81848 TILEPROP INT_INTERFACE_L_X34Y49 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y50 CLASS tile TILEPROP INT_INTERFACE_L_X34Y50 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y50 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y50 FIRST_SITE_ID 10415 TILEPROP INT_INTERFACE_L_X34Y50 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y50 GRID_POINT_Y 103 TILEPROP INT_INTERFACE_L_X34Y50 INDEX 11932 TILEPROP INT_INTERFACE_L_X34Y50 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y50 INT_TILE_Y 99 TILEPROP INT_INTERFACE_L_X34Y50 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y50 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y50 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y50 NAME INT_INTERFACE_L_X34Y50 TILEPROP INT_INTERFACE_L_X34Y50 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y50 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y50 ROW 103 TILEPROP INT_INTERFACE_L_X34Y50 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y50 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y50 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y50 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y50 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y50 TILE_Y -78400 TILEPROP INT_INTERFACE_L_X34Y50 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y51 CLASS tile TILEPROP INT_INTERFACE_L_X34Y51 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y51 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y51 FIRST_SITE_ID 10283 TILEPROP INT_INTERFACE_L_X34Y51 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y51 GRID_POINT_Y 102 TILEPROP INT_INTERFACE_L_X34Y51 INDEX 11817 TILEPROP INT_INTERFACE_L_X34Y51 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y51 INT_TILE_Y 98 TILEPROP INT_INTERFACE_L_X34Y51 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y51 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y51 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y51 NAME INT_INTERFACE_L_X34Y51 TILEPROP INT_INTERFACE_L_X34Y51 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y51 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y51 ROW 102 TILEPROP INT_INTERFACE_L_X34Y51 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y51 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y51 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y51 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y51 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y51 TILE_Y -75200 TILEPROP INT_INTERFACE_L_X34Y51 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y52 CLASS tile TILEPROP INT_INTERFACE_L_X34Y52 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y52 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y52 FIRST_SITE_ID 10183 TILEPROP INT_INTERFACE_L_X34Y52 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y52 GRID_POINT_Y 101 TILEPROP INT_INTERFACE_L_X34Y52 INDEX 11702 TILEPROP INT_INTERFACE_L_X34Y52 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y52 INT_TILE_Y 97 TILEPROP INT_INTERFACE_L_X34Y52 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y52 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y52 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y52 NAME INT_INTERFACE_L_X34Y52 TILEPROP INT_INTERFACE_L_X34Y52 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y52 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y52 ROW 101 TILEPROP INT_INTERFACE_L_X34Y52 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y52 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y52 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y52 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y52 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y52 TILE_Y -72000 TILEPROP INT_INTERFACE_L_X34Y52 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y53 CLASS tile TILEPROP INT_INTERFACE_L_X34Y53 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y53 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y53 FIRST_SITE_ID 10083 TILEPROP INT_INTERFACE_L_X34Y53 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y53 GRID_POINT_Y 100 TILEPROP INT_INTERFACE_L_X34Y53 INDEX 11587 TILEPROP INT_INTERFACE_L_X34Y53 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y53 INT_TILE_Y 96 TILEPROP INT_INTERFACE_L_X34Y53 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y53 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y53 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y53 NAME INT_INTERFACE_L_X34Y53 TILEPROP INT_INTERFACE_L_X34Y53 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y53 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y53 ROW 100 TILEPROP INT_INTERFACE_L_X34Y53 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y53 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y53 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y53 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y53 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y53 TILE_Y -68800 TILEPROP INT_INTERFACE_L_X34Y53 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y54 CLASS tile TILEPROP INT_INTERFACE_L_X34Y54 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y54 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y54 FIRST_SITE_ID 9983 TILEPROP INT_INTERFACE_L_X34Y54 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y54 GRID_POINT_Y 99 TILEPROP INT_INTERFACE_L_X34Y54 INDEX 11472 TILEPROP INT_INTERFACE_L_X34Y54 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y54 INT_TILE_Y 95 TILEPROP INT_INTERFACE_L_X34Y54 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y54 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y54 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y54 NAME INT_INTERFACE_L_X34Y54 TILEPROP INT_INTERFACE_L_X34Y54 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y54 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y54 ROW 99 TILEPROP INT_INTERFACE_L_X34Y54 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y54 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y54 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y54 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y54 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y54 TILE_Y -65600 TILEPROP INT_INTERFACE_L_X34Y54 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y55 CLASS tile TILEPROP INT_INTERFACE_L_X34Y55 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y55 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y55 FIRST_SITE_ID 9880 TILEPROP INT_INTERFACE_L_X34Y55 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y55 GRID_POINT_Y 98 TILEPROP INT_INTERFACE_L_X34Y55 INDEX 11357 TILEPROP INT_INTERFACE_L_X34Y55 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y55 INT_TILE_Y 94 TILEPROP INT_INTERFACE_L_X34Y55 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y55 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y55 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y55 NAME INT_INTERFACE_L_X34Y55 TILEPROP INT_INTERFACE_L_X34Y55 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y55 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y55 ROW 98 TILEPROP INT_INTERFACE_L_X34Y55 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y55 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y55 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y55 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y55 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y55 TILE_Y -62400 TILEPROP INT_INTERFACE_L_X34Y55 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y56 CLASS tile TILEPROP INT_INTERFACE_L_X34Y56 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y56 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y56 FIRST_SITE_ID 9768 TILEPROP INT_INTERFACE_L_X34Y56 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y56 GRID_POINT_Y 97 TILEPROP INT_INTERFACE_L_X34Y56 INDEX 11242 TILEPROP INT_INTERFACE_L_X34Y56 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y56 INT_TILE_Y 93 TILEPROP INT_INTERFACE_L_X34Y56 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y56 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y56 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y56 NAME INT_INTERFACE_L_X34Y56 TILEPROP INT_INTERFACE_L_X34Y56 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y56 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y56 ROW 97 TILEPROP INT_INTERFACE_L_X34Y56 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y56 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y56 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y56 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y56 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y56 TILE_Y -59200 TILEPROP INT_INTERFACE_L_X34Y56 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y57 CLASS tile TILEPROP INT_INTERFACE_L_X34Y57 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y57 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y57 FIRST_SITE_ID 9666 TILEPROP INT_INTERFACE_L_X34Y57 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y57 GRID_POINT_Y 96 TILEPROP INT_INTERFACE_L_X34Y57 INDEX 11127 TILEPROP INT_INTERFACE_L_X34Y57 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y57 INT_TILE_Y 92 TILEPROP INT_INTERFACE_L_X34Y57 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y57 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y57 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y57 NAME INT_INTERFACE_L_X34Y57 TILEPROP INT_INTERFACE_L_X34Y57 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y57 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y57 ROW 96 TILEPROP INT_INTERFACE_L_X34Y57 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y57 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y57 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y57 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y57 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y57 TILE_Y -56000 TILEPROP INT_INTERFACE_L_X34Y57 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y58 CLASS tile TILEPROP INT_INTERFACE_L_X34Y58 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y58 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y58 FIRST_SITE_ID 9563 TILEPROP INT_INTERFACE_L_X34Y58 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y58 GRID_POINT_Y 95 TILEPROP INT_INTERFACE_L_X34Y58 INDEX 11012 TILEPROP INT_INTERFACE_L_X34Y58 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y58 INT_TILE_Y 91 TILEPROP INT_INTERFACE_L_X34Y58 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y58 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y58 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y58 NAME INT_INTERFACE_L_X34Y58 TILEPROP INT_INTERFACE_L_X34Y58 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y58 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y58 ROW 95 TILEPROP INT_INTERFACE_L_X34Y58 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y58 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y58 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y58 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y58 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y58 TILE_Y -52800 TILEPROP INT_INTERFACE_L_X34Y58 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y59 CLASS tile TILEPROP INT_INTERFACE_L_X34Y59 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y59 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y59 FIRST_SITE_ID 9462 TILEPROP INT_INTERFACE_L_X34Y59 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y59 GRID_POINT_Y 94 TILEPROP INT_INTERFACE_L_X34Y59 INDEX 10897 TILEPROP INT_INTERFACE_L_X34Y59 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y59 INT_TILE_Y 90 TILEPROP INT_INTERFACE_L_X34Y59 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y59 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y59 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y59 NAME INT_INTERFACE_L_X34Y59 TILEPROP INT_INTERFACE_L_X34Y59 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y59 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y59 ROW 94 TILEPROP INT_INTERFACE_L_X34Y59 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y59 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y59 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y59 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y59 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y59 TILE_Y -49600 TILEPROP INT_INTERFACE_L_X34Y59 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y60 CLASS tile TILEPROP INT_INTERFACE_L_X34Y60 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y60 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y60 FIRST_SITE_ID 9359 TILEPROP INT_INTERFACE_L_X34Y60 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y60 GRID_POINT_Y 93 TILEPROP INT_INTERFACE_L_X34Y60 INDEX 10782 TILEPROP INT_INTERFACE_L_X34Y60 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y60 INT_TILE_Y 89 TILEPROP INT_INTERFACE_L_X34Y60 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y60 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y60 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y60 NAME INT_INTERFACE_L_X34Y60 TILEPROP INT_INTERFACE_L_X34Y60 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y60 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y60 ROW 93 TILEPROP INT_INTERFACE_L_X34Y60 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y60 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y60 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y60 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y60 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y60 TILE_Y -46400 TILEPROP INT_INTERFACE_L_X34Y60 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y61 CLASS tile TILEPROP INT_INTERFACE_L_X34Y61 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y61 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y61 FIRST_SITE_ID 9247 TILEPROP INT_INTERFACE_L_X34Y61 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y61 GRID_POINT_Y 92 TILEPROP INT_INTERFACE_L_X34Y61 INDEX 10667 TILEPROP INT_INTERFACE_L_X34Y61 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y61 INT_TILE_Y 88 TILEPROP INT_INTERFACE_L_X34Y61 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y61 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y61 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y61 NAME INT_INTERFACE_L_X34Y61 TILEPROP INT_INTERFACE_L_X34Y61 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y61 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y61 ROW 92 TILEPROP INT_INTERFACE_L_X34Y61 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y61 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y61 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y61 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y61 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y61 TILE_Y -43200 TILEPROP INT_INTERFACE_L_X34Y61 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y62 CLASS tile TILEPROP INT_INTERFACE_L_X34Y62 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y62 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y62 FIRST_SITE_ID 9147 TILEPROP INT_INTERFACE_L_X34Y62 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y62 GRID_POINT_Y 91 TILEPROP INT_INTERFACE_L_X34Y62 INDEX 10552 TILEPROP INT_INTERFACE_L_X34Y62 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y62 INT_TILE_Y 87 TILEPROP INT_INTERFACE_L_X34Y62 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y62 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y62 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y62 NAME INT_INTERFACE_L_X34Y62 TILEPROP INT_INTERFACE_L_X34Y62 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y62 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y62 ROW 91 TILEPROP INT_INTERFACE_L_X34Y62 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y62 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y62 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y62 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y62 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y62 TILE_Y -40000 TILEPROP INT_INTERFACE_L_X34Y62 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y63 CLASS tile TILEPROP INT_INTERFACE_L_X34Y63 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y63 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y63 FIRST_SITE_ID 9015 TILEPROP INT_INTERFACE_L_X34Y63 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y63 GRID_POINT_Y 90 TILEPROP INT_INTERFACE_L_X34Y63 INDEX 10437 TILEPROP INT_INTERFACE_L_X34Y63 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y63 INT_TILE_Y 86 TILEPROP INT_INTERFACE_L_X34Y63 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y63 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y63 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y63 NAME INT_INTERFACE_L_X34Y63 TILEPROP INT_INTERFACE_L_X34Y63 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y63 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y63 ROW 90 TILEPROP INT_INTERFACE_L_X34Y63 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y63 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y63 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y63 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y63 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y63 TILE_Y -36800 TILEPROP INT_INTERFACE_L_X34Y63 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y64 CLASS tile TILEPROP INT_INTERFACE_L_X34Y64 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y64 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y64 FIRST_SITE_ID 8915 TILEPROP INT_INTERFACE_L_X34Y64 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y64 GRID_POINT_Y 89 TILEPROP INT_INTERFACE_L_X34Y64 INDEX 10322 TILEPROP INT_INTERFACE_L_X34Y64 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y64 INT_TILE_Y 85 TILEPROP INT_INTERFACE_L_X34Y64 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y64 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y64 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y64 NAME INT_INTERFACE_L_X34Y64 TILEPROP INT_INTERFACE_L_X34Y64 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y64 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y64 ROW 89 TILEPROP INT_INTERFACE_L_X34Y64 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y64 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y64 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y64 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y64 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y64 TILE_Y -33600 TILEPROP INT_INTERFACE_L_X34Y64 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y65 CLASS tile TILEPROP INT_INTERFACE_L_X34Y65 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y65 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y65 FIRST_SITE_ID 8812 TILEPROP INT_INTERFACE_L_X34Y65 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y65 GRID_POINT_Y 88 TILEPROP INT_INTERFACE_L_X34Y65 INDEX 10207 TILEPROP INT_INTERFACE_L_X34Y65 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y65 INT_TILE_Y 84 TILEPROP INT_INTERFACE_L_X34Y65 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y65 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y65 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y65 NAME INT_INTERFACE_L_X34Y65 TILEPROP INT_INTERFACE_L_X34Y65 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y65 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y65 ROW 88 TILEPROP INT_INTERFACE_L_X34Y65 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y65 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y65 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y65 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y65 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y65 TILE_Y -30400 TILEPROP INT_INTERFACE_L_X34Y65 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y66 CLASS tile TILEPROP INT_INTERFACE_L_X34Y66 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y66 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y66 FIRST_SITE_ID 8700 TILEPROP INT_INTERFACE_L_X34Y66 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y66 GRID_POINT_Y 87 TILEPROP INT_INTERFACE_L_X34Y66 INDEX 10092 TILEPROP INT_INTERFACE_L_X34Y66 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y66 INT_TILE_Y 83 TILEPROP INT_INTERFACE_L_X34Y66 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y66 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y66 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y66 NAME INT_INTERFACE_L_X34Y66 TILEPROP INT_INTERFACE_L_X34Y66 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y66 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y66 ROW 87 TILEPROP INT_INTERFACE_L_X34Y66 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y66 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y66 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y66 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y66 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y66 TILE_Y -27200 TILEPROP INT_INTERFACE_L_X34Y66 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y67 CLASS tile TILEPROP INT_INTERFACE_L_X34Y67 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y67 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y67 FIRST_SITE_ID 8596 TILEPROP INT_INTERFACE_L_X34Y67 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y67 GRID_POINT_Y 86 TILEPROP INT_INTERFACE_L_X34Y67 INDEX 9977 TILEPROP INT_INTERFACE_L_X34Y67 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y67 INT_TILE_Y 82 TILEPROP INT_INTERFACE_L_X34Y67 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y67 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y67 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y67 NAME INT_INTERFACE_L_X34Y67 TILEPROP INT_INTERFACE_L_X34Y67 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y67 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y67 ROW 86 TILEPROP INT_INTERFACE_L_X34Y67 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y67 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y67 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y67 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y67 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y67 TILE_Y -24000 TILEPROP INT_INTERFACE_L_X34Y67 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y68 CLASS tile TILEPROP INT_INTERFACE_L_X34Y68 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y68 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y68 FIRST_SITE_ID 8492 TILEPROP INT_INTERFACE_L_X34Y68 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y68 GRID_POINT_Y 85 TILEPROP INT_INTERFACE_L_X34Y68 INDEX 9862 TILEPROP INT_INTERFACE_L_X34Y68 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y68 INT_TILE_Y 81 TILEPROP INT_INTERFACE_L_X34Y68 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y68 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y68 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y68 NAME INT_INTERFACE_L_X34Y68 TILEPROP INT_INTERFACE_L_X34Y68 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y68 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y68 ROW 85 TILEPROP INT_INTERFACE_L_X34Y68 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y68 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y68 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y68 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y68 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y68 TILE_Y -20800 TILEPROP INT_INTERFACE_L_X34Y68 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y69 CLASS tile TILEPROP INT_INTERFACE_L_X34Y69 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y69 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y69 FIRST_SITE_ID 8390 TILEPROP INT_INTERFACE_L_X34Y69 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y69 GRID_POINT_Y 84 TILEPROP INT_INTERFACE_L_X34Y69 INDEX 9747 TILEPROP INT_INTERFACE_L_X34Y69 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y69 INT_TILE_Y 80 TILEPROP INT_INTERFACE_L_X34Y69 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y69 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y69 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y69 NAME INT_INTERFACE_L_X34Y69 TILEPROP INT_INTERFACE_L_X34Y69 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y69 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y69 ROW 84 TILEPROP INT_INTERFACE_L_X34Y69 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y69 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y69 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y69 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y69 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y69 TILE_Y -17600 TILEPROP INT_INTERFACE_L_X34Y69 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y70 CLASS tile TILEPROP INT_INTERFACE_L_X34Y70 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y70 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y70 FIRST_SITE_ID 8285 TILEPROP INT_INTERFACE_L_X34Y70 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y70 GRID_POINT_Y 83 TILEPROP INT_INTERFACE_L_X34Y70 INDEX 9632 TILEPROP INT_INTERFACE_L_X34Y70 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y70 INT_TILE_Y 79 TILEPROP INT_INTERFACE_L_X34Y70 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y70 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y70 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y70 NAME INT_INTERFACE_L_X34Y70 TILEPROP INT_INTERFACE_L_X34Y70 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y70 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y70 ROW 83 TILEPROP INT_INTERFACE_L_X34Y70 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y70 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y70 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y70 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y70 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y70 TILE_Y -14400 TILEPROP INT_INTERFACE_L_X34Y70 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y71 CLASS tile TILEPROP INT_INTERFACE_L_X34Y71 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y71 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y71 FIRST_SITE_ID 8173 TILEPROP INT_INTERFACE_L_X34Y71 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y71 GRID_POINT_Y 82 TILEPROP INT_INTERFACE_L_X34Y71 INDEX 9517 TILEPROP INT_INTERFACE_L_X34Y71 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y71 INT_TILE_Y 78 TILEPROP INT_INTERFACE_L_X34Y71 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y71 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y71 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y71 NAME INT_INTERFACE_L_X34Y71 TILEPROP INT_INTERFACE_L_X34Y71 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y71 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y71 ROW 82 TILEPROP INT_INTERFACE_L_X34Y71 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y71 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y71 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y71 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y71 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y71 TILE_Y -11200 TILEPROP INT_INTERFACE_L_X34Y71 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y72 CLASS tile TILEPROP INT_INTERFACE_L_X34Y72 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y72 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y72 FIRST_SITE_ID 8073 TILEPROP INT_INTERFACE_L_X34Y72 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y72 GRID_POINT_Y 81 TILEPROP INT_INTERFACE_L_X34Y72 INDEX 9402 TILEPROP INT_INTERFACE_L_X34Y72 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y72 INT_TILE_Y 77 TILEPROP INT_INTERFACE_L_X34Y72 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y72 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y72 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y72 NAME INT_INTERFACE_L_X34Y72 TILEPROP INT_INTERFACE_L_X34Y72 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y72 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y72 ROW 81 TILEPROP INT_INTERFACE_L_X34Y72 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y72 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y72 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y72 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y72 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y72 TILE_Y -8000 TILEPROP INT_INTERFACE_L_X34Y72 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y73 CLASS tile TILEPROP INT_INTERFACE_L_X34Y73 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y73 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y73 FIRST_SITE_ID 7973 TILEPROP INT_INTERFACE_L_X34Y73 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y73 GRID_POINT_Y 80 TILEPROP INT_INTERFACE_L_X34Y73 INDEX 9287 TILEPROP INT_INTERFACE_L_X34Y73 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y73 INT_TILE_Y 76 TILEPROP INT_INTERFACE_L_X34Y73 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y73 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y73 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y73 NAME INT_INTERFACE_L_X34Y73 TILEPROP INT_INTERFACE_L_X34Y73 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y73 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y73 ROW 80 TILEPROP INT_INTERFACE_L_X34Y73 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y73 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y73 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y73 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y73 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y73 TILE_Y -4800 TILEPROP INT_INTERFACE_L_X34Y73 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y74 CLASS tile TILEPROP INT_INTERFACE_L_X34Y74 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y74 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y74 FIRST_SITE_ID 7873 TILEPROP INT_INTERFACE_L_X34Y74 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y74 GRID_POINT_Y 79 TILEPROP INT_INTERFACE_L_X34Y74 INDEX 9172 TILEPROP INT_INTERFACE_L_X34Y74 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y74 INT_TILE_Y 75 TILEPROP INT_INTERFACE_L_X34Y74 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y74 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y74 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y74 NAME INT_INTERFACE_L_X34Y74 TILEPROP INT_INTERFACE_L_X34Y74 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y74 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y74 ROW 79 TILEPROP INT_INTERFACE_L_X34Y74 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y74 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y74 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y74 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y74 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y74 TILE_Y -1600 TILEPROP INT_INTERFACE_L_X34Y74 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y75 CLASS tile TILEPROP INT_INTERFACE_L_X34Y75 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y75 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y75 FIRST_SITE_ID 7687 TILEPROP INT_INTERFACE_L_X34Y75 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y75 GRID_POINT_Y 77 TILEPROP INT_INTERFACE_L_X34Y75 INDEX 8942 TILEPROP INT_INTERFACE_L_X34Y75 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y75 INT_TILE_Y 74 TILEPROP INT_INTERFACE_L_X34Y75 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y75 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y75 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y75 NAME INT_INTERFACE_L_X34Y75 TILEPROP INT_INTERFACE_L_X34Y75 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y75 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y75 ROW 77 TILEPROP INT_INTERFACE_L_X34Y75 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y75 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y75 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y75 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y75 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y75 TILE_Y 2624 TILEPROP INT_INTERFACE_L_X34Y75 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y76 CLASS tile TILEPROP INT_INTERFACE_L_X34Y76 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y76 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y76 FIRST_SITE_ID 7572 TILEPROP INT_INTERFACE_L_X34Y76 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y76 GRID_POINT_Y 76 TILEPROP INT_INTERFACE_L_X34Y76 INDEX 8827 TILEPROP INT_INTERFACE_L_X34Y76 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y76 INT_TILE_Y 73 TILEPROP INT_INTERFACE_L_X34Y76 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y76 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y76 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y76 NAME INT_INTERFACE_L_X34Y76 TILEPROP INT_INTERFACE_L_X34Y76 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y76 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y76 ROW 76 TILEPROP INT_INTERFACE_L_X34Y76 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y76 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y76 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y76 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y76 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y76 TILE_Y 5824 TILEPROP INT_INTERFACE_L_X34Y76 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y77 CLASS tile TILEPROP INT_INTERFACE_L_X34Y77 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y77 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y77 FIRST_SITE_ID 7472 TILEPROP INT_INTERFACE_L_X34Y77 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y77 GRID_POINT_Y 75 TILEPROP INT_INTERFACE_L_X34Y77 INDEX 8712 TILEPROP INT_INTERFACE_L_X34Y77 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y77 INT_TILE_Y 72 TILEPROP INT_INTERFACE_L_X34Y77 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y77 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y77 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y77 NAME INT_INTERFACE_L_X34Y77 TILEPROP INT_INTERFACE_L_X34Y77 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y77 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y77 ROW 75 TILEPROP INT_INTERFACE_L_X34Y77 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y77 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y77 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y77 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y77 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y77 TILE_Y 9024 TILEPROP INT_INTERFACE_L_X34Y77 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y78 CLASS tile TILEPROP INT_INTERFACE_L_X34Y78 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y78 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y78 FIRST_SITE_ID 7372 TILEPROP INT_INTERFACE_L_X34Y78 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y78 GRID_POINT_Y 74 TILEPROP INT_INTERFACE_L_X34Y78 INDEX 8597 TILEPROP INT_INTERFACE_L_X34Y78 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y78 INT_TILE_Y 71 TILEPROP INT_INTERFACE_L_X34Y78 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y78 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y78 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y78 NAME INT_INTERFACE_L_X34Y78 TILEPROP INT_INTERFACE_L_X34Y78 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y78 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y78 ROW 74 TILEPROP INT_INTERFACE_L_X34Y78 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y78 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y78 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y78 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y78 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y78 TILE_Y 12224 TILEPROP INT_INTERFACE_L_X34Y78 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y79 CLASS tile TILEPROP INT_INTERFACE_L_X34Y79 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y79 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y79 FIRST_SITE_ID 7266 TILEPROP INT_INTERFACE_L_X34Y79 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y79 GRID_POINT_Y 73 TILEPROP INT_INTERFACE_L_X34Y79 INDEX 8482 TILEPROP INT_INTERFACE_L_X34Y79 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y79 INT_TILE_Y 70 TILEPROP INT_INTERFACE_L_X34Y79 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y79 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y79 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y79 NAME INT_INTERFACE_L_X34Y79 TILEPROP INT_INTERFACE_L_X34Y79 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y79 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y79 ROW 73 TILEPROP INT_INTERFACE_L_X34Y79 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y79 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y79 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y79 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y79 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y79 TILE_Y 15424 TILEPROP INT_INTERFACE_L_X34Y79 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y80 CLASS tile TILEPROP INT_INTERFACE_L_X34Y80 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y80 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y80 FIRST_SITE_ID 7157 TILEPROP INT_INTERFACE_L_X34Y80 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y80 GRID_POINT_Y 72 TILEPROP INT_INTERFACE_L_X34Y80 INDEX 8367 TILEPROP INT_INTERFACE_L_X34Y80 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y80 INT_TILE_Y 69 TILEPROP INT_INTERFACE_L_X34Y80 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y80 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y80 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y80 NAME INT_INTERFACE_L_X34Y80 TILEPROP INT_INTERFACE_L_X34Y80 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y80 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y80 ROW 72 TILEPROP INT_INTERFACE_L_X34Y80 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y80 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y80 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y80 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y80 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y80 TILE_Y 18624 TILEPROP INT_INTERFACE_L_X34Y80 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y81 CLASS tile TILEPROP INT_INTERFACE_L_X34Y81 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y81 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y81 FIRST_SITE_ID 7043 TILEPROP INT_INTERFACE_L_X34Y81 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y81 GRID_POINT_Y 71 TILEPROP INT_INTERFACE_L_X34Y81 INDEX 8252 TILEPROP INT_INTERFACE_L_X34Y81 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y81 INT_TILE_Y 68 TILEPROP INT_INTERFACE_L_X34Y81 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y81 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y81 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y81 NAME INT_INTERFACE_L_X34Y81 TILEPROP INT_INTERFACE_L_X34Y81 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y81 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y81 ROW 71 TILEPROP INT_INTERFACE_L_X34Y81 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y81 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y81 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y81 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y81 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y81 TILE_Y 21824 TILEPROP INT_INTERFACE_L_X34Y81 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y82 CLASS tile TILEPROP INT_INTERFACE_L_X34Y82 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y82 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y82 FIRST_SITE_ID 6941 TILEPROP INT_INTERFACE_L_X34Y82 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y82 GRID_POINT_Y 70 TILEPROP INT_INTERFACE_L_X34Y82 INDEX 8137 TILEPROP INT_INTERFACE_L_X34Y82 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y82 INT_TILE_Y 67 TILEPROP INT_INTERFACE_L_X34Y82 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y82 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y82 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y82 NAME INT_INTERFACE_L_X34Y82 TILEPROP INT_INTERFACE_L_X34Y82 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y82 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y82 ROW 70 TILEPROP INT_INTERFACE_L_X34Y82 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y82 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y82 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y82 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y82 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y82 TILE_Y 25024 TILEPROP INT_INTERFACE_L_X34Y82 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y83 CLASS tile TILEPROP INT_INTERFACE_L_X34Y83 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y83 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y83 FIRST_SITE_ID 6841 TILEPROP INT_INTERFACE_L_X34Y83 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y83 GRID_POINT_Y 69 TILEPROP INT_INTERFACE_L_X34Y83 INDEX 8022 TILEPROP INT_INTERFACE_L_X34Y83 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y83 INT_TILE_Y 66 TILEPROP INT_INTERFACE_L_X34Y83 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y83 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y83 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y83 NAME INT_INTERFACE_L_X34Y83 TILEPROP INT_INTERFACE_L_X34Y83 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y83 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y83 ROW 69 TILEPROP INT_INTERFACE_L_X34Y83 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y83 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y83 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y83 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y83 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y83 TILE_Y 28224 TILEPROP INT_INTERFACE_L_X34Y83 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y84 CLASS tile TILEPROP INT_INTERFACE_L_X34Y84 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y84 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y84 FIRST_SITE_ID 6741 TILEPROP INT_INTERFACE_L_X34Y84 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y84 GRID_POINT_Y 68 TILEPROP INT_INTERFACE_L_X34Y84 INDEX 7907 TILEPROP INT_INTERFACE_L_X34Y84 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y84 INT_TILE_Y 65 TILEPROP INT_INTERFACE_L_X34Y84 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y84 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y84 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y84 NAME INT_INTERFACE_L_X34Y84 TILEPROP INT_INTERFACE_L_X34Y84 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y84 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y84 ROW 68 TILEPROP INT_INTERFACE_L_X34Y84 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y84 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y84 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y84 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y84 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y84 TILE_Y 31424 TILEPROP INT_INTERFACE_L_X34Y84 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y85 CLASS tile TILEPROP INT_INTERFACE_L_X34Y85 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y85 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y85 FIRST_SITE_ID 6638 TILEPROP INT_INTERFACE_L_X34Y85 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y85 GRID_POINT_Y 67 TILEPROP INT_INTERFACE_L_X34Y85 INDEX 7792 TILEPROP INT_INTERFACE_L_X34Y85 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y85 INT_TILE_Y 64 TILEPROP INT_INTERFACE_L_X34Y85 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y85 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y85 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y85 NAME INT_INTERFACE_L_X34Y85 TILEPROP INT_INTERFACE_L_X34Y85 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y85 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y85 ROW 67 TILEPROP INT_INTERFACE_L_X34Y85 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y85 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y85 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y85 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y85 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y85 TILE_Y 34624 TILEPROP INT_INTERFACE_L_X34Y85 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y86 CLASS tile TILEPROP INT_INTERFACE_L_X34Y86 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y86 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y86 FIRST_SITE_ID 6526 TILEPROP INT_INTERFACE_L_X34Y86 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y86 GRID_POINT_Y 66 TILEPROP INT_INTERFACE_L_X34Y86 INDEX 7677 TILEPROP INT_INTERFACE_L_X34Y86 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y86 INT_TILE_Y 63 TILEPROP INT_INTERFACE_L_X34Y86 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y86 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y86 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y86 NAME INT_INTERFACE_L_X34Y86 TILEPROP INT_INTERFACE_L_X34Y86 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y86 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y86 ROW 66 TILEPROP INT_INTERFACE_L_X34Y86 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y86 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y86 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y86 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y86 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y86 TILE_Y 37824 TILEPROP INT_INTERFACE_L_X34Y86 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y87 CLASS tile TILEPROP INT_INTERFACE_L_X34Y87 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y87 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y87 FIRST_SITE_ID 6394 TILEPROP INT_INTERFACE_L_X34Y87 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y87 GRID_POINT_Y 65 TILEPROP INT_INTERFACE_L_X34Y87 INDEX 7562 TILEPROP INT_INTERFACE_L_X34Y87 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y87 INT_TILE_Y 62 TILEPROP INT_INTERFACE_L_X34Y87 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y87 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y87 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y87 NAME INT_INTERFACE_L_X34Y87 TILEPROP INT_INTERFACE_L_X34Y87 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y87 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y87 ROW 65 TILEPROP INT_INTERFACE_L_X34Y87 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y87 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y87 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y87 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y87 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y87 TILE_Y 41024 TILEPROP INT_INTERFACE_L_X34Y87 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y88 CLASS tile TILEPROP INT_INTERFACE_L_X34Y88 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y88 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y88 FIRST_SITE_ID 6294 TILEPROP INT_INTERFACE_L_X34Y88 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y88 GRID_POINT_Y 64 TILEPROP INT_INTERFACE_L_X34Y88 INDEX 7447 TILEPROP INT_INTERFACE_L_X34Y88 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y88 INT_TILE_Y 61 TILEPROP INT_INTERFACE_L_X34Y88 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y88 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y88 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y88 NAME INT_INTERFACE_L_X34Y88 TILEPROP INT_INTERFACE_L_X34Y88 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y88 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y88 ROW 64 TILEPROP INT_INTERFACE_L_X34Y88 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y88 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y88 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y88 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y88 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y88 TILE_Y 44224 TILEPROP INT_INTERFACE_L_X34Y88 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y89 CLASS tile TILEPROP INT_INTERFACE_L_X34Y89 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y89 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y89 FIRST_SITE_ID 6194 TILEPROP INT_INTERFACE_L_X34Y89 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y89 GRID_POINT_Y 63 TILEPROP INT_INTERFACE_L_X34Y89 INDEX 7332 TILEPROP INT_INTERFACE_L_X34Y89 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y89 INT_TILE_Y 60 TILEPROP INT_INTERFACE_L_X34Y89 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y89 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y89 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y89 NAME INT_INTERFACE_L_X34Y89 TILEPROP INT_INTERFACE_L_X34Y89 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y89 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y89 ROW 63 TILEPROP INT_INTERFACE_L_X34Y89 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y89 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y89 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y89 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y89 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y89 TILE_Y 47424 TILEPROP INT_INTERFACE_L_X34Y89 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y90 CLASS tile TILEPROP INT_INTERFACE_L_X34Y90 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y90 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y90 FIRST_SITE_ID 6091 TILEPROP INT_INTERFACE_L_X34Y90 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y90 GRID_POINT_Y 62 TILEPROP INT_INTERFACE_L_X34Y90 INDEX 7217 TILEPROP INT_INTERFACE_L_X34Y90 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y90 INT_TILE_Y 59 TILEPROP INT_INTERFACE_L_X34Y90 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y90 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y90 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y90 NAME INT_INTERFACE_L_X34Y90 TILEPROP INT_INTERFACE_L_X34Y90 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y90 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y90 ROW 62 TILEPROP INT_INTERFACE_L_X34Y90 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y90 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y90 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y90 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y90 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y90 TILE_Y 50624 TILEPROP INT_INTERFACE_L_X34Y90 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y91 CLASS tile TILEPROP INT_INTERFACE_L_X34Y91 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y91 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y91 FIRST_SITE_ID 5979 TILEPROP INT_INTERFACE_L_X34Y91 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y91 GRID_POINT_Y 61 TILEPROP INT_INTERFACE_L_X34Y91 INDEX 7102 TILEPROP INT_INTERFACE_L_X34Y91 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y91 INT_TILE_Y 58 TILEPROP INT_INTERFACE_L_X34Y91 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y91 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y91 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y91 NAME INT_INTERFACE_L_X34Y91 TILEPROP INT_INTERFACE_L_X34Y91 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y91 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y91 ROW 61 TILEPROP INT_INTERFACE_L_X34Y91 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y91 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y91 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y91 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y91 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y91 TILE_Y 53824 TILEPROP INT_INTERFACE_L_X34Y91 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y92 CLASS tile TILEPROP INT_INTERFACE_L_X34Y92 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y92 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y92 FIRST_SITE_ID 5878 TILEPROP INT_INTERFACE_L_X34Y92 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y92 GRID_POINT_Y 60 TILEPROP INT_INTERFACE_L_X34Y92 INDEX 6987 TILEPROP INT_INTERFACE_L_X34Y92 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y92 INT_TILE_Y 57 TILEPROP INT_INTERFACE_L_X34Y92 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y92 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y92 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y92 NAME INT_INTERFACE_L_X34Y92 TILEPROP INT_INTERFACE_L_X34Y92 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y92 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y92 ROW 60 TILEPROP INT_INTERFACE_L_X34Y92 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y92 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y92 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y92 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y92 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y92 TILE_Y 57024 TILEPROP INT_INTERFACE_L_X34Y92 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y93 CLASS tile TILEPROP INT_INTERFACE_L_X34Y93 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y93 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y93 FIRST_SITE_ID 5775 TILEPROP INT_INTERFACE_L_X34Y93 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y93 GRID_POINT_Y 59 TILEPROP INT_INTERFACE_L_X34Y93 INDEX 6872 TILEPROP INT_INTERFACE_L_X34Y93 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y93 INT_TILE_Y 56 TILEPROP INT_INTERFACE_L_X34Y93 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y93 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y93 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y93 NAME INT_INTERFACE_L_X34Y93 TILEPROP INT_INTERFACE_L_X34Y93 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y93 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y93 ROW 59 TILEPROP INT_INTERFACE_L_X34Y93 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y93 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y93 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y93 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y93 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y93 TILE_Y 60224 TILEPROP INT_INTERFACE_L_X34Y93 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y94 CLASS tile TILEPROP INT_INTERFACE_L_X34Y94 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y94 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y94 FIRST_SITE_ID 5673 TILEPROP INT_INTERFACE_L_X34Y94 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y94 GRID_POINT_Y 58 TILEPROP INT_INTERFACE_L_X34Y94 INDEX 6757 TILEPROP INT_INTERFACE_L_X34Y94 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y94 INT_TILE_Y 55 TILEPROP INT_INTERFACE_L_X34Y94 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y94 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y94 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y94 NAME INT_INTERFACE_L_X34Y94 TILEPROP INT_INTERFACE_L_X34Y94 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y94 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y94 ROW 58 TILEPROP INT_INTERFACE_L_X34Y94 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y94 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y94 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y94 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y94 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y94 TILE_Y 63424 TILEPROP INT_INTERFACE_L_X34Y94 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y95 CLASS tile TILEPROP INT_INTERFACE_L_X34Y95 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y95 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y95 FIRST_SITE_ID 5570 TILEPROP INT_INTERFACE_L_X34Y95 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y95 GRID_POINT_Y 57 TILEPROP INT_INTERFACE_L_X34Y95 INDEX 6642 TILEPROP INT_INTERFACE_L_X34Y95 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y95 INT_TILE_Y 54 TILEPROP INT_INTERFACE_L_X34Y95 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y95 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y95 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y95 NAME INT_INTERFACE_L_X34Y95 TILEPROP INT_INTERFACE_L_X34Y95 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y95 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y95 ROW 57 TILEPROP INT_INTERFACE_L_X34Y95 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y95 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y95 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y95 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y95 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y95 TILE_Y 66624 TILEPROP INT_INTERFACE_L_X34Y95 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y96 CLASS tile TILEPROP INT_INTERFACE_L_X34Y96 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y96 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y96 FIRST_SITE_ID 5458 TILEPROP INT_INTERFACE_L_X34Y96 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y96 GRID_POINT_Y 56 TILEPROP INT_INTERFACE_L_X34Y96 INDEX 6527 TILEPROP INT_INTERFACE_L_X34Y96 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y96 INT_TILE_Y 53 TILEPROP INT_INTERFACE_L_X34Y96 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y96 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y96 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y96 NAME INT_INTERFACE_L_X34Y96 TILEPROP INT_INTERFACE_L_X34Y96 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y96 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y96 ROW 56 TILEPROP INT_INTERFACE_L_X34Y96 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y96 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y96 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y96 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y96 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y96 TILE_Y 69824 TILEPROP INT_INTERFACE_L_X34Y96 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y97 CLASS tile TILEPROP INT_INTERFACE_L_X34Y97 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y97 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y97 FIRST_SITE_ID 5358 TILEPROP INT_INTERFACE_L_X34Y97 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y97 GRID_POINT_Y 55 TILEPROP INT_INTERFACE_L_X34Y97 INDEX 6412 TILEPROP INT_INTERFACE_L_X34Y97 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y97 INT_TILE_Y 52 TILEPROP INT_INTERFACE_L_X34Y97 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y97 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y97 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y97 NAME INT_INTERFACE_L_X34Y97 TILEPROP INT_INTERFACE_L_X34Y97 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y97 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y97 ROW 55 TILEPROP INT_INTERFACE_L_X34Y97 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y97 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y97 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y97 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y97 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y97 TILE_Y 73024 TILEPROP INT_INTERFACE_L_X34Y97 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y98 CLASS tile TILEPROP INT_INTERFACE_L_X34Y98 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y98 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y98 FIRST_SITE_ID 5258 TILEPROP INT_INTERFACE_L_X34Y98 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y98 GRID_POINT_Y 54 TILEPROP INT_INTERFACE_L_X34Y98 INDEX 6297 TILEPROP INT_INTERFACE_L_X34Y98 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y98 INT_TILE_Y 51 TILEPROP INT_INTERFACE_L_X34Y98 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y98 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y98 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y98 NAME INT_INTERFACE_L_X34Y98 TILEPROP INT_INTERFACE_L_X34Y98 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y98 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y98 ROW 54 TILEPROP INT_INTERFACE_L_X34Y98 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y98 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y98 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y98 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y98 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y98 TILE_Y 76224 TILEPROP INT_INTERFACE_L_X34Y98 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y99 CLASS tile TILEPROP INT_INTERFACE_L_X34Y99 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y99 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y99 FIRST_SITE_ID 5162 TILEPROP INT_INTERFACE_L_X34Y99 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y99 GRID_POINT_Y 53 TILEPROP INT_INTERFACE_L_X34Y99 INDEX 6182 TILEPROP INT_INTERFACE_L_X34Y99 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y99 INT_TILE_Y 50 TILEPROP INT_INTERFACE_L_X34Y99 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y99 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y99 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y99 NAME INT_INTERFACE_L_X34Y99 TILEPROP INT_INTERFACE_L_X34Y99 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y99 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y99 ROW 53 TILEPROP INT_INTERFACE_L_X34Y99 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y99 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y99 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y99 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y99 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y99 TILE_Y 79424 TILEPROP INT_INTERFACE_L_X34Y99 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y100 CLASS tile TILEPROP INT_INTERFACE_L_X34Y100 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y100 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y100 FIRST_SITE_ID 5080 TILEPROP INT_INTERFACE_L_X34Y100 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y100 GRID_POINT_Y 51 TILEPROP INT_INTERFACE_L_X34Y100 INDEX 5952 TILEPROP INT_INTERFACE_L_X34Y100 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y100 INT_TILE_Y 49 TILEPROP INT_INTERFACE_L_X34Y100 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y100 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y100 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y100 NAME INT_INTERFACE_L_X34Y100 TILEPROP INT_INTERFACE_L_X34Y100 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y100 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y100 ROW 51 TILEPROP INT_INTERFACE_L_X34Y100 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y100 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y100 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y100 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y100 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y100 TILE_Y 82872 TILEPROP INT_INTERFACE_L_X34Y100 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y101 CLASS tile TILEPROP INT_INTERFACE_L_X34Y101 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y101 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y101 FIRST_SITE_ID 4979 TILEPROP INT_INTERFACE_L_X34Y101 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y101 GRID_POINT_Y 50 TILEPROP INT_INTERFACE_L_X34Y101 INDEX 5837 TILEPROP INT_INTERFACE_L_X34Y101 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y101 INT_TILE_Y 48 TILEPROP INT_INTERFACE_L_X34Y101 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y101 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y101 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y101 NAME INT_INTERFACE_L_X34Y101 TILEPROP INT_INTERFACE_L_X34Y101 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y101 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y101 ROW 50 TILEPROP INT_INTERFACE_L_X34Y101 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y101 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y101 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y101 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y101 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y101 TILE_Y 86072 TILEPROP INT_INTERFACE_L_X34Y101 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y102 CLASS tile TILEPROP INT_INTERFACE_L_X34Y102 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y102 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y102 FIRST_SITE_ID 4883 TILEPROP INT_INTERFACE_L_X34Y102 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y102 GRID_POINT_Y 49 TILEPROP INT_INTERFACE_L_X34Y102 INDEX 5722 TILEPROP INT_INTERFACE_L_X34Y102 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y102 INT_TILE_Y 47 TILEPROP INT_INTERFACE_L_X34Y102 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y102 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y102 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y102 NAME INT_INTERFACE_L_X34Y102 TILEPROP INT_INTERFACE_L_X34Y102 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y102 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y102 ROW 49 TILEPROP INT_INTERFACE_L_X34Y102 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y102 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y102 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y102 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y102 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y102 TILE_Y 89272 TILEPROP INT_INTERFACE_L_X34Y102 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y103 CLASS tile TILEPROP INT_INTERFACE_L_X34Y103 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y103 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y103 FIRST_SITE_ID 4795 TILEPROP INT_INTERFACE_L_X34Y103 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y103 GRID_POINT_Y 48 TILEPROP INT_INTERFACE_L_X34Y103 INDEX 5607 TILEPROP INT_INTERFACE_L_X34Y103 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y103 INT_TILE_Y 46 TILEPROP INT_INTERFACE_L_X34Y103 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y103 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y103 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y103 NAME INT_INTERFACE_L_X34Y103 TILEPROP INT_INTERFACE_L_X34Y103 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y103 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y103 ROW 48 TILEPROP INT_INTERFACE_L_X34Y103 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y103 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y103 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y103 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y103 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y103 TILE_Y 92472 TILEPROP INT_INTERFACE_L_X34Y103 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y104 CLASS tile TILEPROP INT_INTERFACE_L_X34Y104 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y104 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y104 FIRST_SITE_ID 4699 TILEPROP INT_INTERFACE_L_X34Y104 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y104 GRID_POINT_Y 47 TILEPROP INT_INTERFACE_L_X34Y104 INDEX 5492 TILEPROP INT_INTERFACE_L_X34Y104 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y104 INT_TILE_Y 45 TILEPROP INT_INTERFACE_L_X34Y104 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y104 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y104 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y104 NAME INT_INTERFACE_L_X34Y104 TILEPROP INT_INTERFACE_L_X34Y104 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y104 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y104 ROW 47 TILEPROP INT_INTERFACE_L_X34Y104 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y104 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y104 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y104 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y104 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y104 TILE_Y 95672 TILEPROP INT_INTERFACE_L_X34Y104 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y105 CLASS tile TILEPROP INT_INTERFACE_L_X34Y105 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y105 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y105 FIRST_SITE_ID 4606 TILEPROP INT_INTERFACE_L_X34Y105 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y105 GRID_POINT_Y 46 TILEPROP INT_INTERFACE_L_X34Y105 INDEX 5377 TILEPROP INT_INTERFACE_L_X34Y105 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y105 INT_TILE_Y 44 TILEPROP INT_INTERFACE_L_X34Y105 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y105 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y105 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y105 NAME INT_INTERFACE_L_X34Y105 TILEPROP INT_INTERFACE_L_X34Y105 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y105 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y105 ROW 46 TILEPROP INT_INTERFACE_L_X34Y105 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y105 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y105 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y105 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y105 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y105 TILE_Y 98872 TILEPROP INT_INTERFACE_L_X34Y105 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y106 CLASS tile TILEPROP INT_INTERFACE_L_X34Y106 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y106 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y106 FIRST_SITE_ID 4501 TILEPROP INT_INTERFACE_L_X34Y106 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y106 GRID_POINT_Y 45 TILEPROP INT_INTERFACE_L_X34Y106 INDEX 5262 TILEPROP INT_INTERFACE_L_X34Y106 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y106 INT_TILE_Y 43 TILEPROP INT_INTERFACE_L_X34Y106 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y106 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y106 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y106 NAME INT_INTERFACE_L_X34Y106 TILEPROP INT_INTERFACE_L_X34Y106 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y106 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y106 ROW 45 TILEPROP INT_INTERFACE_L_X34Y106 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y106 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y106 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y106 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y106 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y106 TILE_Y 102072 TILEPROP INT_INTERFACE_L_X34Y106 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y107 CLASS tile TILEPROP INT_INTERFACE_L_X34Y107 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y107 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y107 FIRST_SITE_ID 4413 TILEPROP INT_INTERFACE_L_X34Y107 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y107 GRID_POINT_Y 44 TILEPROP INT_INTERFACE_L_X34Y107 INDEX 5147 TILEPROP INT_INTERFACE_L_X34Y107 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y107 INT_TILE_Y 42 TILEPROP INT_INTERFACE_L_X34Y107 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y107 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y107 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y107 NAME INT_INTERFACE_L_X34Y107 TILEPROP INT_INTERFACE_L_X34Y107 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y107 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y107 ROW 44 TILEPROP INT_INTERFACE_L_X34Y107 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y107 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y107 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y107 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y107 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y107 TILE_Y 105272 TILEPROP INT_INTERFACE_L_X34Y107 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y108 CLASS tile TILEPROP INT_INTERFACE_L_X34Y108 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y108 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y108 FIRST_SITE_ID 4315 TILEPROP INT_INTERFACE_L_X34Y108 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y108 GRID_POINT_Y 43 TILEPROP INT_INTERFACE_L_X34Y108 INDEX 5032 TILEPROP INT_INTERFACE_L_X34Y108 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y108 INT_TILE_Y 41 TILEPROP INT_INTERFACE_L_X34Y108 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y108 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y108 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y108 NAME INT_INTERFACE_L_X34Y108 TILEPROP INT_INTERFACE_L_X34Y108 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y108 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y108 ROW 43 TILEPROP INT_INTERFACE_L_X34Y108 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y108 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y108 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y108 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y108 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y108 TILE_Y 108472 TILEPROP INT_INTERFACE_L_X34Y108 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y109 CLASS tile TILEPROP INT_INTERFACE_L_X34Y109 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y109 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y109 FIRST_SITE_ID 4226 TILEPROP INT_INTERFACE_L_X34Y109 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y109 GRID_POINT_Y 42 TILEPROP INT_INTERFACE_L_X34Y109 INDEX 4917 TILEPROP INT_INTERFACE_L_X34Y109 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y109 INT_TILE_Y 40 TILEPROP INT_INTERFACE_L_X34Y109 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y109 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y109 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y109 NAME INT_INTERFACE_L_X34Y109 TILEPROP INT_INTERFACE_L_X34Y109 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y109 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y109 ROW 42 TILEPROP INT_INTERFACE_L_X34Y109 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y109 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y109 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y109 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y109 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y109 TILE_Y 111672 TILEPROP INT_INTERFACE_L_X34Y109 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y110 CLASS tile TILEPROP INT_INTERFACE_L_X34Y110 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y110 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y110 FIRST_SITE_ID 4130 TILEPROP INT_INTERFACE_L_X34Y110 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y110 GRID_POINT_Y 41 TILEPROP INT_INTERFACE_L_X34Y110 INDEX 4802 TILEPROP INT_INTERFACE_L_X34Y110 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y110 INT_TILE_Y 39 TILEPROP INT_INTERFACE_L_X34Y110 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y110 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y110 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y110 NAME INT_INTERFACE_L_X34Y110 TILEPROP INT_INTERFACE_L_X34Y110 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y110 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y110 ROW 41 TILEPROP INT_INTERFACE_L_X34Y110 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y110 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y110 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y110 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y110 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y110 TILE_Y 114872 TILEPROP INT_INTERFACE_L_X34Y110 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y111 CLASS tile TILEPROP INT_INTERFACE_L_X34Y111 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y111 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y111 FIRST_SITE_ID 4032 TILEPROP INT_INTERFACE_L_X34Y111 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y111 GRID_POINT_Y 40 TILEPROP INT_INTERFACE_L_X34Y111 INDEX 4687 TILEPROP INT_INTERFACE_L_X34Y111 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y111 INT_TILE_Y 38 TILEPROP INT_INTERFACE_L_X34Y111 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y111 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y111 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y111 NAME INT_INTERFACE_L_X34Y111 TILEPROP INT_INTERFACE_L_X34Y111 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y111 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y111 ROW 40 TILEPROP INT_INTERFACE_L_X34Y111 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y111 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y111 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y111 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y111 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y111 TILE_Y 118072 TILEPROP INT_INTERFACE_L_X34Y111 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y112 CLASS tile TILEPROP INT_INTERFACE_L_X34Y112 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y112 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y112 FIRST_SITE_ID 3936 TILEPROP INT_INTERFACE_L_X34Y112 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y112 GRID_POINT_Y 39 TILEPROP INT_INTERFACE_L_X34Y112 INDEX 4572 TILEPROP INT_INTERFACE_L_X34Y112 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y112 INT_TILE_Y 37 TILEPROP INT_INTERFACE_L_X34Y112 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y112 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y112 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y112 NAME INT_INTERFACE_L_X34Y112 TILEPROP INT_INTERFACE_L_X34Y112 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y112 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y112 ROW 39 TILEPROP INT_INTERFACE_L_X34Y112 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y112 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y112 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y112 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y112 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y112 TILE_Y 121272 TILEPROP INT_INTERFACE_L_X34Y112 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y113 CLASS tile TILEPROP INT_INTERFACE_L_X34Y113 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y113 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y113 FIRST_SITE_ID 3816 TILEPROP INT_INTERFACE_L_X34Y113 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y113 GRID_POINT_Y 38 TILEPROP INT_INTERFACE_L_X34Y113 INDEX 4457 TILEPROP INT_INTERFACE_L_X34Y113 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y113 INT_TILE_Y 36 TILEPROP INT_INTERFACE_L_X34Y113 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y113 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y113 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y113 NAME INT_INTERFACE_L_X34Y113 TILEPROP INT_INTERFACE_L_X34Y113 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y113 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y113 ROW 38 TILEPROP INT_INTERFACE_L_X34Y113 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y113 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y113 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y113 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y113 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y113 TILE_Y 124472 TILEPROP INT_INTERFACE_L_X34Y113 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y114 CLASS tile TILEPROP INT_INTERFACE_L_X34Y114 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y114 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y114 FIRST_SITE_ID 3720 TILEPROP INT_INTERFACE_L_X34Y114 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y114 GRID_POINT_Y 37 TILEPROP INT_INTERFACE_L_X34Y114 INDEX 4342 TILEPROP INT_INTERFACE_L_X34Y114 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y114 INT_TILE_Y 35 TILEPROP INT_INTERFACE_L_X34Y114 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y114 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y114 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y114 NAME INT_INTERFACE_L_X34Y114 TILEPROP INT_INTERFACE_L_X34Y114 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y114 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y114 ROW 37 TILEPROP INT_INTERFACE_L_X34Y114 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y114 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y114 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y114 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y114 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y114 TILE_Y 127672 TILEPROP INT_INTERFACE_L_X34Y114 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y115 CLASS tile TILEPROP INT_INTERFACE_L_X34Y115 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y115 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y115 FIRST_SITE_ID 3632 TILEPROP INT_INTERFACE_L_X34Y115 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y115 GRID_POINT_Y 36 TILEPROP INT_INTERFACE_L_X34Y115 INDEX 4227 TILEPROP INT_INTERFACE_L_X34Y115 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y115 INT_TILE_Y 34 TILEPROP INT_INTERFACE_L_X34Y115 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y115 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y115 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y115 NAME INT_INTERFACE_L_X34Y115 TILEPROP INT_INTERFACE_L_X34Y115 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y115 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y115 ROW 36 TILEPROP INT_INTERFACE_L_X34Y115 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y115 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y115 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y115 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y115 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y115 TILE_Y 130872 TILEPROP INT_INTERFACE_L_X34Y115 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y116 CLASS tile TILEPROP INT_INTERFACE_L_X34Y116 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y116 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y116 FIRST_SITE_ID 3522 TILEPROP INT_INTERFACE_L_X34Y116 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y116 GRID_POINT_Y 35 TILEPROP INT_INTERFACE_L_X34Y116 INDEX 4112 TILEPROP INT_INTERFACE_L_X34Y116 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y116 INT_TILE_Y 33 TILEPROP INT_INTERFACE_L_X34Y116 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y116 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y116 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y116 NAME INT_INTERFACE_L_X34Y116 TILEPROP INT_INTERFACE_L_X34Y116 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y116 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y116 ROW 35 TILEPROP INT_INTERFACE_L_X34Y116 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y116 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y116 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y116 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y116 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y116 TILE_Y 134072 TILEPROP INT_INTERFACE_L_X34Y116 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y117 CLASS tile TILEPROP INT_INTERFACE_L_X34Y117 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y117 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y117 FIRST_SITE_ID 3434 TILEPROP INT_INTERFACE_L_X34Y117 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y117 GRID_POINT_Y 34 TILEPROP INT_INTERFACE_L_X34Y117 INDEX 3997 TILEPROP INT_INTERFACE_L_X34Y117 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y117 INT_TILE_Y 32 TILEPROP INT_INTERFACE_L_X34Y117 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y117 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y117 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y117 NAME INT_INTERFACE_L_X34Y117 TILEPROP INT_INTERFACE_L_X34Y117 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y117 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y117 ROW 34 TILEPROP INT_INTERFACE_L_X34Y117 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y117 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y117 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y117 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y117 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y117 TILE_Y 137272 TILEPROP INT_INTERFACE_L_X34Y117 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y118 CLASS tile TILEPROP INT_INTERFACE_L_X34Y118 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y118 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y118 FIRST_SITE_ID 3334 TILEPROP INT_INTERFACE_L_X34Y118 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y118 GRID_POINT_Y 33 TILEPROP INT_INTERFACE_L_X34Y118 INDEX 3882 TILEPROP INT_INTERFACE_L_X34Y118 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y118 INT_TILE_Y 31 TILEPROP INT_INTERFACE_L_X34Y118 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y118 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y118 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y118 NAME INT_INTERFACE_L_X34Y118 TILEPROP INT_INTERFACE_L_X34Y118 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y118 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y118 ROW 33 TILEPROP INT_INTERFACE_L_X34Y118 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y118 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y118 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y118 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y118 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y118 TILE_Y 140472 TILEPROP INT_INTERFACE_L_X34Y118 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y119 CLASS tile TILEPROP INT_INTERFACE_L_X34Y119 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y119 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y119 FIRST_SITE_ID 3246 TILEPROP INT_INTERFACE_L_X34Y119 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y119 GRID_POINT_Y 32 TILEPROP INT_INTERFACE_L_X34Y119 INDEX 3767 TILEPROP INT_INTERFACE_L_X34Y119 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y119 INT_TILE_Y 30 TILEPROP INT_INTERFACE_L_X34Y119 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y119 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y119 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y119 NAME INT_INTERFACE_L_X34Y119 TILEPROP INT_INTERFACE_L_X34Y119 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y119 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y119 ROW 32 TILEPROP INT_INTERFACE_L_X34Y119 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y119 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y119 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y119 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y119 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y119 TILE_Y 143672 TILEPROP INT_INTERFACE_L_X34Y119 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y120 CLASS tile TILEPROP INT_INTERFACE_L_X34Y120 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y120 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y120 FIRST_SITE_ID 3148 TILEPROP INT_INTERFACE_L_X34Y120 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y120 GRID_POINT_Y 31 TILEPROP INT_INTERFACE_L_X34Y120 INDEX 3652 TILEPROP INT_INTERFACE_L_X34Y120 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y120 INT_TILE_Y 29 TILEPROP INT_INTERFACE_L_X34Y120 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y120 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y120 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y120 NAME INT_INTERFACE_L_X34Y120 TILEPROP INT_INTERFACE_L_X34Y120 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y120 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y120 ROW 31 TILEPROP INT_INTERFACE_L_X34Y120 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y120 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y120 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y120 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y120 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y120 TILE_Y 146872 TILEPROP INT_INTERFACE_L_X34Y120 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y121 CLASS tile TILEPROP INT_INTERFACE_L_X34Y121 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y121 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y121 FIRST_SITE_ID 3051 TILEPROP INT_INTERFACE_L_X34Y121 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y121 GRID_POINT_Y 30 TILEPROP INT_INTERFACE_L_X34Y121 INDEX 3537 TILEPROP INT_INTERFACE_L_X34Y121 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y121 INT_TILE_Y 28 TILEPROP INT_INTERFACE_L_X34Y121 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y121 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y121 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y121 NAME INT_INTERFACE_L_X34Y121 TILEPROP INT_INTERFACE_L_X34Y121 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y121 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y121 ROW 30 TILEPROP INT_INTERFACE_L_X34Y121 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y121 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y121 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y121 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y121 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y121 TILE_Y 150072 TILEPROP INT_INTERFACE_L_X34Y121 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y122 CLASS tile TILEPROP INT_INTERFACE_L_X34Y122 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y122 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y122 FIRST_SITE_ID 2948 TILEPROP INT_INTERFACE_L_X34Y122 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y122 GRID_POINT_Y 29 TILEPROP INT_INTERFACE_L_X34Y122 INDEX 3422 TILEPROP INT_INTERFACE_L_X34Y122 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y122 INT_TILE_Y 27 TILEPROP INT_INTERFACE_L_X34Y122 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y122 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y122 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y122 NAME INT_INTERFACE_L_X34Y122 TILEPROP INT_INTERFACE_L_X34Y122 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y122 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y122 ROW 29 TILEPROP INT_INTERFACE_L_X34Y122 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y122 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y122 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y122 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y122 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y122 TILE_Y 153272 TILEPROP INT_INTERFACE_L_X34Y122 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y123 CLASS tile TILEPROP INT_INTERFACE_L_X34Y123 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y123 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y123 FIRST_SITE_ID 2860 TILEPROP INT_INTERFACE_L_X34Y123 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y123 GRID_POINT_Y 28 TILEPROP INT_INTERFACE_L_X34Y123 INDEX 3307 TILEPROP INT_INTERFACE_L_X34Y123 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y123 INT_TILE_Y 26 TILEPROP INT_INTERFACE_L_X34Y123 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y123 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y123 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y123 NAME INT_INTERFACE_L_X34Y123 TILEPROP INT_INTERFACE_L_X34Y123 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y123 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y123 ROW 28 TILEPROP INT_INTERFACE_L_X34Y123 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y123 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y123 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y123 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y123 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y123 TILE_Y 156472 TILEPROP INT_INTERFACE_L_X34Y123 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y124 CLASS tile TILEPROP INT_INTERFACE_L_X34Y124 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y124 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y124 FIRST_SITE_ID 2764 TILEPROP INT_INTERFACE_L_X34Y124 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y124 GRID_POINT_Y 27 TILEPROP INT_INTERFACE_L_X34Y124 INDEX 3192 TILEPROP INT_INTERFACE_L_X34Y124 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y124 INT_TILE_Y 25 TILEPROP INT_INTERFACE_L_X34Y124 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y124 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y124 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y124 NAME INT_INTERFACE_L_X34Y124 TILEPROP INT_INTERFACE_L_X34Y124 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y124 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y124 ROW 27 TILEPROP INT_INTERFACE_L_X34Y124 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y124 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y124 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y124 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y124 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y124 TILE_Y 159672 TILEPROP INT_INTERFACE_L_X34Y124 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y125 CLASS tile TILEPROP INT_INTERFACE_L_X34Y125 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y125 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y125 FIRST_SITE_ID 2605 TILEPROP INT_INTERFACE_L_X34Y125 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y125 GRID_POINT_Y 25 TILEPROP INT_INTERFACE_L_X34Y125 INDEX 2962 TILEPROP INT_INTERFACE_L_X34Y125 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y125 INT_TILE_Y 24 TILEPROP INT_INTERFACE_L_X34Y125 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y125 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y125 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y125 NAME INT_INTERFACE_L_X34Y125 TILEPROP INT_INTERFACE_L_X34Y125 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y125 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y125 ROW 25 TILEPROP INT_INTERFACE_L_X34Y125 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y125 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y125 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y125 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y125 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y125 TILE_Y 163896 TILEPROP INT_INTERFACE_L_X34Y125 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y126 CLASS tile TILEPROP INT_INTERFACE_L_X34Y126 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y126 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y126 FIRST_SITE_ID 2489 TILEPROP INT_INTERFACE_L_X34Y126 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y126 GRID_POINT_Y 24 TILEPROP INT_INTERFACE_L_X34Y126 INDEX 2847 TILEPROP INT_INTERFACE_L_X34Y126 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y126 INT_TILE_Y 23 TILEPROP INT_INTERFACE_L_X34Y126 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y126 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y126 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y126 NAME INT_INTERFACE_L_X34Y126 TILEPROP INT_INTERFACE_L_X34Y126 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y126 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y126 ROW 24 TILEPROP INT_INTERFACE_L_X34Y126 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y126 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y126 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y126 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y126 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y126 TILE_Y 167096 TILEPROP INT_INTERFACE_L_X34Y126 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y127 CLASS tile TILEPROP INT_INTERFACE_L_X34Y127 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y127 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y127 FIRST_SITE_ID 2393 TILEPROP INT_INTERFACE_L_X34Y127 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y127 GRID_POINT_Y 23 TILEPROP INT_INTERFACE_L_X34Y127 INDEX 2732 TILEPROP INT_INTERFACE_L_X34Y127 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y127 INT_TILE_Y 22 TILEPROP INT_INTERFACE_L_X34Y127 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y127 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y127 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y127 NAME INT_INTERFACE_L_X34Y127 TILEPROP INT_INTERFACE_L_X34Y127 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y127 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y127 ROW 23 TILEPROP INT_INTERFACE_L_X34Y127 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y127 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y127 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y127 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y127 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y127 TILE_Y 170296 TILEPROP INT_INTERFACE_L_X34Y127 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y128 CLASS tile TILEPROP INT_INTERFACE_L_X34Y128 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y128 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y128 FIRST_SITE_ID 2289 TILEPROP INT_INTERFACE_L_X34Y128 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y128 GRID_POINT_Y 22 TILEPROP INT_INTERFACE_L_X34Y128 INDEX 2617 TILEPROP INT_INTERFACE_L_X34Y128 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y128 INT_TILE_Y 21 TILEPROP INT_INTERFACE_L_X34Y128 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y128 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y128 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y128 NAME INT_INTERFACE_L_X34Y128 TILEPROP INT_INTERFACE_L_X34Y128 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y128 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y128 ROW 22 TILEPROP INT_INTERFACE_L_X34Y128 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y128 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y128 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y128 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y128 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y128 TILE_Y 173496 TILEPROP INT_INTERFACE_L_X34Y128 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y129 CLASS tile TILEPROP INT_INTERFACE_L_X34Y129 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y129 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y129 FIRST_SITE_ID 2193 TILEPROP INT_INTERFACE_L_X34Y129 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y129 GRID_POINT_Y 21 TILEPROP INT_INTERFACE_L_X34Y129 INDEX 2502 TILEPROP INT_INTERFACE_L_X34Y129 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y129 INT_TILE_Y 20 TILEPROP INT_INTERFACE_L_X34Y129 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y129 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y129 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y129 NAME INT_INTERFACE_L_X34Y129 TILEPROP INT_INTERFACE_L_X34Y129 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y129 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y129 ROW 21 TILEPROP INT_INTERFACE_L_X34Y129 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y129 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y129 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y129 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y129 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y129 TILE_Y 176696 TILEPROP INT_INTERFACE_L_X34Y129 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y130 CLASS tile TILEPROP INT_INTERFACE_L_X34Y130 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y130 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y130 FIRST_SITE_ID 2083 TILEPROP INT_INTERFACE_L_X34Y130 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y130 GRID_POINT_Y 20 TILEPROP INT_INTERFACE_L_X34Y130 INDEX 2387 TILEPROP INT_INTERFACE_L_X34Y130 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y130 INT_TILE_Y 19 TILEPROP INT_INTERFACE_L_X34Y130 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y130 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y130 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y130 NAME INT_INTERFACE_L_X34Y130 TILEPROP INT_INTERFACE_L_X34Y130 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y130 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y130 ROW 20 TILEPROP INT_INTERFACE_L_X34Y130 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y130 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y130 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y130 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y130 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y130 TILE_Y 179896 TILEPROP INT_INTERFACE_L_X34Y130 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y131 CLASS tile TILEPROP INT_INTERFACE_L_X34Y131 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y131 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y131 FIRST_SITE_ID 1975 TILEPROP INT_INTERFACE_L_X34Y131 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y131 GRID_POINT_Y 19 TILEPROP INT_INTERFACE_L_X34Y131 INDEX 2272 TILEPROP INT_INTERFACE_L_X34Y131 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y131 INT_TILE_Y 18 TILEPROP INT_INTERFACE_L_X34Y131 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y131 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y131 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y131 NAME INT_INTERFACE_L_X34Y131 TILEPROP INT_INTERFACE_L_X34Y131 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y131 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y131 ROW 19 TILEPROP INT_INTERFACE_L_X34Y131 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y131 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y131 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y131 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y131 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y131 TILE_Y 183096 TILEPROP INT_INTERFACE_L_X34Y131 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y132 CLASS tile TILEPROP INT_INTERFACE_L_X34Y132 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y132 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y132 FIRST_SITE_ID 1869 TILEPROP INT_INTERFACE_L_X34Y132 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y132 GRID_POINT_Y 18 TILEPROP INT_INTERFACE_L_X34Y132 INDEX 2157 TILEPROP INT_INTERFACE_L_X34Y132 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y132 INT_TILE_Y 17 TILEPROP INT_INTERFACE_L_X34Y132 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y132 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y132 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y132 NAME INT_INTERFACE_L_X34Y132 TILEPROP INT_INTERFACE_L_X34Y132 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y132 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y132 ROW 18 TILEPROP INT_INTERFACE_L_X34Y132 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y132 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y132 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y132 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y132 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y132 TILE_Y 186296 TILEPROP INT_INTERFACE_L_X34Y132 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y133 CLASS tile TILEPROP INT_INTERFACE_L_X34Y133 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y133 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y133 FIRST_SITE_ID 1768 TILEPROP INT_INTERFACE_L_X34Y133 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y133 GRID_POINT_Y 17 TILEPROP INT_INTERFACE_L_X34Y133 INDEX 2042 TILEPROP INT_INTERFACE_L_X34Y133 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y133 INT_TILE_Y 16 TILEPROP INT_INTERFACE_L_X34Y133 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y133 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y133 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y133 NAME INT_INTERFACE_L_X34Y133 TILEPROP INT_INTERFACE_L_X34Y133 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y133 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y133 ROW 17 TILEPROP INT_INTERFACE_L_X34Y133 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y133 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y133 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y133 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y133 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y133 TILE_Y 189496 TILEPROP INT_INTERFACE_L_X34Y133 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y134 CLASS tile TILEPROP INT_INTERFACE_L_X34Y134 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y134 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y134 FIRST_SITE_ID 1664 TILEPROP INT_INTERFACE_L_X34Y134 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y134 GRID_POINT_Y 16 TILEPROP INT_INTERFACE_L_X34Y134 INDEX 1927 TILEPROP INT_INTERFACE_L_X34Y134 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y134 INT_TILE_Y 15 TILEPROP INT_INTERFACE_L_X34Y134 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y134 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y134 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y134 NAME INT_INTERFACE_L_X34Y134 TILEPROP INT_INTERFACE_L_X34Y134 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y134 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y134 ROW 16 TILEPROP INT_INTERFACE_L_X34Y134 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y134 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y134 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y134 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y134 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y134 TILE_Y 192696 TILEPROP INT_INTERFACE_L_X34Y134 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y135 CLASS tile TILEPROP INT_INTERFACE_L_X34Y135 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y135 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y135 FIRST_SITE_ID 1568 TILEPROP INT_INTERFACE_L_X34Y135 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y135 GRID_POINT_Y 15 TILEPROP INT_INTERFACE_L_X34Y135 INDEX 1812 TILEPROP INT_INTERFACE_L_X34Y135 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y135 INT_TILE_Y 14 TILEPROP INT_INTERFACE_L_X34Y135 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y135 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y135 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y135 NAME INT_INTERFACE_L_X34Y135 TILEPROP INT_INTERFACE_L_X34Y135 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y135 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y135 ROW 15 TILEPROP INT_INTERFACE_L_X34Y135 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y135 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y135 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y135 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y135 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y135 TILE_Y 195896 TILEPROP INT_INTERFACE_L_X34Y135 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y136 CLASS tile TILEPROP INT_INTERFACE_L_X34Y136 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y136 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y136 FIRST_SITE_ID 1452 TILEPROP INT_INTERFACE_L_X34Y136 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y136 GRID_POINT_Y 14 TILEPROP INT_INTERFACE_L_X34Y136 INDEX 1697 TILEPROP INT_INTERFACE_L_X34Y136 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y136 INT_TILE_Y 13 TILEPROP INT_INTERFACE_L_X34Y136 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y136 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y136 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y136 NAME INT_INTERFACE_L_X34Y136 TILEPROP INT_INTERFACE_L_X34Y136 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y136 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y136 ROW 14 TILEPROP INT_INTERFACE_L_X34Y136 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y136 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y136 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y136 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y136 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y136 TILE_Y 199096 TILEPROP INT_INTERFACE_L_X34Y136 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y137 CLASS tile TILEPROP INT_INTERFACE_L_X34Y137 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y137 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y137 FIRST_SITE_ID 1324 TILEPROP INT_INTERFACE_L_X34Y137 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y137 GRID_POINT_Y 13 TILEPROP INT_INTERFACE_L_X34Y137 INDEX 1582 TILEPROP INT_INTERFACE_L_X34Y137 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y137 INT_TILE_Y 12 TILEPROP INT_INTERFACE_L_X34Y137 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y137 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y137 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y137 NAME INT_INTERFACE_L_X34Y137 TILEPROP INT_INTERFACE_L_X34Y137 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y137 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y137 ROW 13 TILEPROP INT_INTERFACE_L_X34Y137 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y137 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y137 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y137 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y137 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y137 TILE_Y 202296 TILEPROP INT_INTERFACE_L_X34Y137 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y138 CLASS tile TILEPROP INT_INTERFACE_L_X34Y138 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y138 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y138 FIRST_SITE_ID 1220 TILEPROP INT_INTERFACE_L_X34Y138 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y138 GRID_POINT_Y 12 TILEPROP INT_INTERFACE_L_X34Y138 INDEX 1467 TILEPROP INT_INTERFACE_L_X34Y138 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y138 INT_TILE_Y 11 TILEPROP INT_INTERFACE_L_X34Y138 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y138 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y138 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y138 NAME INT_INTERFACE_L_X34Y138 TILEPROP INT_INTERFACE_L_X34Y138 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y138 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y138 ROW 12 TILEPROP INT_INTERFACE_L_X34Y138 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y138 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y138 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y138 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y138 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y138 TILE_Y 205496 TILEPROP INT_INTERFACE_L_X34Y138 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y139 CLASS tile TILEPROP INT_INTERFACE_L_X34Y139 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y139 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y139 FIRST_SITE_ID 1124 TILEPROP INT_INTERFACE_L_X34Y139 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y139 GRID_POINT_Y 11 TILEPROP INT_INTERFACE_L_X34Y139 INDEX 1352 TILEPROP INT_INTERFACE_L_X34Y139 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y139 INT_TILE_Y 10 TILEPROP INT_INTERFACE_L_X34Y139 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y139 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y139 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y139 NAME INT_INTERFACE_L_X34Y139 TILEPROP INT_INTERFACE_L_X34Y139 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y139 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y139 ROW 11 TILEPROP INT_INTERFACE_L_X34Y139 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y139 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y139 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y139 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y139 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y139 TILE_Y 208696 TILEPROP INT_INTERFACE_L_X34Y139 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y140 CLASS tile TILEPROP INT_INTERFACE_L_X34Y140 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y140 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y140 FIRST_SITE_ID 1020 TILEPROP INT_INTERFACE_L_X34Y140 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y140 GRID_POINT_Y 10 TILEPROP INT_INTERFACE_L_X34Y140 INDEX 1237 TILEPROP INT_INTERFACE_L_X34Y140 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y140 INT_TILE_Y 9 TILEPROP INT_INTERFACE_L_X34Y140 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y140 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y140 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y140 NAME INT_INTERFACE_L_X34Y140 TILEPROP INT_INTERFACE_L_X34Y140 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y140 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y140 ROW 10 TILEPROP INT_INTERFACE_L_X34Y140 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y140 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y140 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y140 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y140 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y140 TILE_Y 211896 TILEPROP INT_INTERFACE_L_X34Y140 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y141 CLASS tile TILEPROP INT_INTERFACE_L_X34Y141 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y141 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y141 FIRST_SITE_ID 912 TILEPROP INT_INTERFACE_L_X34Y141 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y141 GRID_POINT_Y 9 TILEPROP INT_INTERFACE_L_X34Y141 INDEX 1122 TILEPROP INT_INTERFACE_L_X34Y141 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y141 INT_TILE_Y 8 TILEPROP INT_INTERFACE_L_X34Y141 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y141 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y141 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y141 NAME INT_INTERFACE_L_X34Y141 TILEPROP INT_INTERFACE_L_X34Y141 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y141 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y141 ROW 9 TILEPROP INT_INTERFACE_L_X34Y141 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y141 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y141 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y141 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y141 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y141 TILE_Y 215096 TILEPROP INT_INTERFACE_L_X34Y141 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y142 CLASS tile TILEPROP INT_INTERFACE_L_X34Y142 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y142 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y142 FIRST_SITE_ID 808 TILEPROP INT_INTERFACE_L_X34Y142 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y142 GRID_POINT_Y 8 TILEPROP INT_INTERFACE_L_X34Y142 INDEX 1007 TILEPROP INT_INTERFACE_L_X34Y142 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y142 INT_TILE_Y 7 TILEPROP INT_INTERFACE_L_X34Y142 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y142 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y142 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y142 NAME INT_INTERFACE_L_X34Y142 TILEPROP INT_INTERFACE_L_X34Y142 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y142 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y142 ROW 8 TILEPROP INT_INTERFACE_L_X34Y142 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y142 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y142 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y142 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y142 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y142 TILE_Y 218296 TILEPROP INT_INTERFACE_L_X34Y142 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y143 CLASS tile TILEPROP INT_INTERFACE_L_X34Y143 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y143 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y143 FIRST_SITE_ID 711 TILEPROP INT_INTERFACE_L_X34Y143 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y143 GRID_POINT_Y 7 TILEPROP INT_INTERFACE_L_X34Y143 INDEX 892 TILEPROP INT_INTERFACE_L_X34Y143 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y143 INT_TILE_Y 6 TILEPROP INT_INTERFACE_L_X34Y143 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y143 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y143 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y143 NAME INT_INTERFACE_L_X34Y143 TILEPROP INT_INTERFACE_L_X34Y143 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y143 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y143 ROW 7 TILEPROP INT_INTERFACE_L_X34Y143 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y143 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y143 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y143 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y143 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y143 TILE_Y 221496 TILEPROP INT_INTERFACE_L_X34Y143 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y144 CLASS tile TILEPROP INT_INTERFACE_L_X34Y144 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y144 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y144 FIRST_SITE_ID 600 TILEPROP INT_INTERFACE_L_X34Y144 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y144 GRID_POINT_Y 6 TILEPROP INT_INTERFACE_L_X34Y144 INDEX 777 TILEPROP INT_INTERFACE_L_X34Y144 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y144 INT_TILE_Y 5 TILEPROP INT_INTERFACE_L_X34Y144 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y144 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y144 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y144 NAME INT_INTERFACE_L_X34Y144 TILEPROP INT_INTERFACE_L_X34Y144 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y144 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y144 ROW 6 TILEPROP INT_INTERFACE_L_X34Y144 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y144 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y144 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y144 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y144 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y144 TILE_Y 224696 TILEPROP INT_INTERFACE_L_X34Y144 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y145 CLASS tile TILEPROP INT_INTERFACE_L_X34Y145 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y145 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y145 FIRST_SITE_ID 504 TILEPROP INT_INTERFACE_L_X34Y145 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y145 GRID_POINT_Y 5 TILEPROP INT_INTERFACE_L_X34Y145 INDEX 662 TILEPROP INT_INTERFACE_L_X34Y145 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y145 INT_TILE_Y 4 TILEPROP INT_INTERFACE_L_X34Y145 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y145 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y145 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y145 NAME INT_INTERFACE_L_X34Y145 TILEPROP INT_INTERFACE_L_X34Y145 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y145 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y145 ROW 5 TILEPROP INT_INTERFACE_L_X34Y145 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y145 TILE_PATTERN_IDX 189 TILEPROP INT_INTERFACE_L_X34Y145 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y145 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y145 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y145 TILE_Y 227896 TILEPROP INT_INTERFACE_L_X34Y145 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y146 CLASS tile TILEPROP INT_INTERFACE_L_X34Y146 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y146 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y146 FIRST_SITE_ID 388 TILEPROP INT_INTERFACE_L_X34Y146 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y146 GRID_POINT_Y 4 TILEPROP INT_INTERFACE_L_X34Y146 INDEX 547 TILEPROP INT_INTERFACE_L_X34Y146 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y146 INT_TILE_Y 3 TILEPROP INT_INTERFACE_L_X34Y146 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y146 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y146 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y146 NAME INT_INTERFACE_L_X34Y146 TILEPROP INT_INTERFACE_L_X34Y146 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y146 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y146 ROW 4 TILEPROP INT_INTERFACE_L_X34Y146 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y146 TILE_PATTERN_IDX 151 TILEPROP INT_INTERFACE_L_X34Y146 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y146 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y146 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y146 TILE_Y 231096 TILEPROP INT_INTERFACE_L_X34Y146 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y147 CLASS tile TILEPROP INT_INTERFACE_L_X34Y147 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y147 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y147 FIRST_SITE_ID 292 TILEPROP INT_INTERFACE_L_X34Y147 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y147 GRID_POINT_Y 3 TILEPROP INT_INTERFACE_L_X34Y147 INDEX 432 TILEPROP INT_INTERFACE_L_X34Y147 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y147 INT_TILE_Y 2 TILEPROP INT_INTERFACE_L_X34Y147 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y147 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y147 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y147 NAME INT_INTERFACE_L_X34Y147 TILEPROP INT_INTERFACE_L_X34Y147 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y147 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y147 ROW 3 TILEPROP INT_INTERFACE_L_X34Y147 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y147 TILE_PATTERN_IDX 119 TILEPROP INT_INTERFACE_L_X34Y147 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y147 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y147 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y147 TILE_Y 234296 TILEPROP INT_INTERFACE_L_X34Y147 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y148 CLASS tile TILEPROP INT_INTERFACE_L_X34Y148 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y148 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y148 FIRST_SITE_ID 188 TILEPROP INT_INTERFACE_L_X34Y148 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y148 GRID_POINT_Y 2 TILEPROP INT_INTERFACE_L_X34Y148 INDEX 317 TILEPROP INT_INTERFACE_L_X34Y148 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y148 INT_TILE_Y 1 TILEPROP INT_INTERFACE_L_X34Y148 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y148 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y148 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y148 NAME INT_INTERFACE_L_X34Y148 TILEPROP INT_INTERFACE_L_X34Y148 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y148 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y148 ROW 2 TILEPROP INT_INTERFACE_L_X34Y148 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y148 TILE_PATTERN_IDX 84 TILEPROP INT_INTERFACE_L_X34Y148 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y148 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y148 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y148 TILE_Y 237496 TILEPROP INT_INTERFACE_L_X34Y148 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y149 CLASS tile TILEPROP INT_INTERFACE_L_X34Y149 COLUMN 87 TILEPROP INT_INTERFACE_L_X34Y149 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X34Y149 FIRST_SITE_ID 92 TILEPROP INT_INTERFACE_L_X34Y149 GRID_POINT_X 87 TILEPROP INT_INTERFACE_L_X34Y149 GRID_POINT_Y 1 TILEPROP INT_INTERFACE_L_X34Y149 INDEX 202 TILEPROP INT_INTERFACE_L_X34Y149 INT_TILE_X 33 TILEPROP INT_INTERFACE_L_X34Y149 INT_TILE_Y 0 TILEPROP INT_INTERFACE_L_X34Y149 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X34Y149 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X34Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y149 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X34Y149 NAME INT_INTERFACE_L_X34Y149 TILEPROP INT_INTERFACE_L_X34Y149 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X34Y149 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X34Y149 ROW 1 TILEPROP INT_INTERFACE_L_X34Y149 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X34Y149 TILE_PATTERN_IDX 45 TILEPROP INT_INTERFACE_L_X34Y149 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X34Y149 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X34Y149 TILE_X 57214 TILEPROP INT_INTERFACE_L_X34Y149 TILE_Y 240696 TILEPROP INT_INTERFACE_L_X34Y149 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y0 CLASS tile TILEPROP INT_INTERFACE_L_X42Y0 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y0 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y0 FIRST_SITE_ID 15811 TILEPROP INT_INTERFACE_L_X42Y0 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y0 GRID_POINT_Y 155 TILEPROP INT_INTERFACE_L_X42Y0 INDEX 17933 TILEPROP INT_INTERFACE_L_X42Y0 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y0 INT_TILE_Y 149 TILEPROP INT_INTERFACE_L_X42Y0 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y0 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y0 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y0 NAME INT_INTERFACE_L_X42Y0 TILEPROP INT_INTERFACE_L_X42Y0 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y0 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y0 ROW 155 TILEPROP INT_INTERFACE_L_X42Y0 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y0 TILE_PATTERN_IDX 3536 TILEPROP INT_INTERFACE_L_X42Y0 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y0 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y0 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y0 TILE_Y -239672 TILEPROP INT_INTERFACE_L_X42Y0 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y1 CLASS tile TILEPROP INT_INTERFACE_L_X42Y1 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y1 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y1 FIRST_SITE_ID 15692 TILEPROP INT_INTERFACE_L_X42Y1 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y1 GRID_POINT_Y 154 TILEPROP INT_INTERFACE_L_X42Y1 INDEX 17818 TILEPROP INT_INTERFACE_L_X42Y1 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y1 INT_TILE_Y 148 TILEPROP INT_INTERFACE_L_X42Y1 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y1 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y1 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y1 NAME INT_INTERFACE_L_X42Y1 TILEPROP INT_INTERFACE_L_X42Y1 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y1 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y1 ROW 154 TILEPROP INT_INTERFACE_L_X42Y1 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y1 TILE_PATTERN_IDX 3494 TILEPROP INT_INTERFACE_L_X42Y1 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y1 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y1 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y1 TILE_Y -236472 TILEPROP INT_INTERFACE_L_X42Y1 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y2 CLASS tile TILEPROP INT_INTERFACE_L_X42Y2 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y2 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y2 FIRST_SITE_ID 15591 TILEPROP INT_INTERFACE_L_X42Y2 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y2 GRID_POINT_Y 153 TILEPROP INT_INTERFACE_L_X42Y2 INDEX 17703 TILEPROP INT_INTERFACE_L_X42Y2 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y2 INT_TILE_Y 147 TILEPROP INT_INTERFACE_L_X42Y2 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y2 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y2 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y2 NAME INT_INTERFACE_L_X42Y2 TILEPROP INT_INTERFACE_L_X42Y2 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y2 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y2 ROW 153 TILEPROP INT_INTERFACE_L_X42Y2 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y2 TILE_PATTERN_IDX 3457 TILEPROP INT_INTERFACE_L_X42Y2 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y2 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y2 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y2 TILE_Y -233272 TILEPROP INT_INTERFACE_L_X42Y2 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y3 CLASS tile TILEPROP INT_INTERFACE_L_X42Y3 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y3 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y3 FIRST_SITE_ID 15491 TILEPROP INT_INTERFACE_L_X42Y3 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y3 GRID_POINT_Y 152 TILEPROP INT_INTERFACE_L_X42Y3 INDEX 17588 TILEPROP INT_INTERFACE_L_X42Y3 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y3 INT_TILE_Y 146 TILEPROP INT_INTERFACE_L_X42Y3 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y3 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y3 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y3 NAME INT_INTERFACE_L_X42Y3 TILEPROP INT_INTERFACE_L_X42Y3 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y3 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y3 ROW 152 TILEPROP INT_INTERFACE_L_X42Y3 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y3 TILE_PATTERN_IDX 3420 TILEPROP INT_INTERFACE_L_X42Y3 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y3 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y3 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y3 TILE_Y -230072 TILEPROP INT_INTERFACE_L_X42Y3 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y4 CLASS tile TILEPROP INT_INTERFACE_L_X42Y4 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y4 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y4 FIRST_SITE_ID 15391 TILEPROP INT_INTERFACE_L_X42Y4 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y4 GRID_POINT_Y 151 TILEPROP INT_INTERFACE_L_X42Y4 INDEX 17473 TILEPROP INT_INTERFACE_L_X42Y4 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y4 INT_TILE_Y 145 TILEPROP INT_INTERFACE_L_X42Y4 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y4 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y4 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y4 NAME INT_INTERFACE_L_X42Y4 TILEPROP INT_INTERFACE_L_X42Y4 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y4 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y4 ROW 151 TILEPROP INT_INTERFACE_L_X42Y4 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y4 TILE_PATTERN_IDX 3384 TILEPROP INT_INTERFACE_L_X42Y4 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y4 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y4 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y4 TILE_Y -226872 TILEPROP INT_INTERFACE_L_X42Y4 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y5 CLASS tile TILEPROP INT_INTERFACE_L_X42Y5 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y5 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y5 FIRST_SITE_ID 15291 TILEPROP INT_INTERFACE_L_X42Y5 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y5 GRID_POINT_Y 150 TILEPROP INT_INTERFACE_L_X42Y5 INDEX 17358 TILEPROP INT_INTERFACE_L_X42Y5 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y5 INT_TILE_Y 144 TILEPROP INT_INTERFACE_L_X42Y5 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y5 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y5 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y5 NAME INT_INTERFACE_L_X42Y5 TILEPROP INT_INTERFACE_L_X42Y5 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y5 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y5 ROW 150 TILEPROP INT_INTERFACE_L_X42Y5 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y5 TILE_PATTERN_IDX 3347 TILEPROP INT_INTERFACE_L_X42Y5 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y5 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y5 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y5 TILE_Y -223672 TILEPROP INT_INTERFACE_L_X42Y5 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y6 CLASS tile TILEPROP INT_INTERFACE_L_X42Y6 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y6 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y6 FIRST_SITE_ID 15176 TILEPROP INT_INTERFACE_L_X42Y6 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y6 GRID_POINT_Y 149 TILEPROP INT_INTERFACE_L_X42Y6 INDEX 17243 TILEPROP INT_INTERFACE_L_X42Y6 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y6 INT_TILE_Y 143 TILEPROP INT_INTERFACE_L_X42Y6 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y6 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y6 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y6 NAME INT_INTERFACE_L_X42Y6 TILEPROP INT_INTERFACE_L_X42Y6 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y6 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y6 ROW 149 TILEPROP INT_INTERFACE_L_X42Y6 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y6 TILE_PATTERN_IDX 3309 TILEPROP INT_INTERFACE_L_X42Y6 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y6 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y6 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y6 TILE_Y -220472 TILEPROP INT_INTERFACE_L_X42Y6 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y7 CLASS tile TILEPROP INT_INTERFACE_L_X42Y7 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y7 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y7 FIRST_SITE_ID 15076 TILEPROP INT_INTERFACE_L_X42Y7 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y7 GRID_POINT_Y 148 TILEPROP INT_INTERFACE_L_X42Y7 INDEX 17128 TILEPROP INT_INTERFACE_L_X42Y7 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y7 INT_TILE_Y 142 TILEPROP INT_INTERFACE_L_X42Y7 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y7 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y7 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y7 NAME INT_INTERFACE_L_X42Y7 TILEPROP INT_INTERFACE_L_X42Y7 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y7 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y7 ROW 148 TILEPROP INT_INTERFACE_L_X42Y7 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y7 TILE_PATTERN_IDX 3272 TILEPROP INT_INTERFACE_L_X42Y7 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y7 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y7 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y7 TILE_Y -217272 TILEPROP INT_INTERFACE_L_X42Y7 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y8 CLASS tile TILEPROP INT_INTERFACE_L_X42Y8 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y8 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y8 FIRST_SITE_ID 14972 TILEPROP INT_INTERFACE_L_X42Y8 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y8 GRID_POINT_Y 147 TILEPROP INT_INTERFACE_L_X42Y8 INDEX 17013 TILEPROP INT_INTERFACE_L_X42Y8 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y8 INT_TILE_Y 141 TILEPROP INT_INTERFACE_L_X42Y8 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y8 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y8 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y8 NAME INT_INTERFACE_L_X42Y8 TILEPROP INT_INTERFACE_L_X42Y8 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y8 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y8 ROW 147 TILEPROP INT_INTERFACE_L_X42Y8 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y8 TILE_PATTERN_IDX 3235 TILEPROP INT_INTERFACE_L_X42Y8 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y8 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y8 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y8 TILE_Y -214072 TILEPROP INT_INTERFACE_L_X42Y8 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y9 CLASS tile TILEPROP INT_INTERFACE_L_X42Y9 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y9 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y9 FIRST_SITE_ID 14870 TILEPROP INT_INTERFACE_L_X42Y9 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y9 GRID_POINT_Y 146 TILEPROP INT_INTERFACE_L_X42Y9 INDEX 16898 TILEPROP INT_INTERFACE_L_X42Y9 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y9 INT_TILE_Y 140 TILEPROP INT_INTERFACE_L_X42Y9 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y9 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y9 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y9 NAME INT_INTERFACE_L_X42Y9 TILEPROP INT_INTERFACE_L_X42Y9 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y9 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y9 ROW 146 TILEPROP INT_INTERFACE_L_X42Y9 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y9 TILE_PATTERN_IDX 3197 TILEPROP INT_INTERFACE_L_X42Y9 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y9 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y9 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y9 TILE_Y -210872 TILEPROP INT_INTERFACE_L_X42Y9 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y10 CLASS tile TILEPROP INT_INTERFACE_L_X42Y10 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y10 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y10 FIRST_SITE_ID 14770 TILEPROP INT_INTERFACE_L_X42Y10 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y10 GRID_POINT_Y 145 TILEPROP INT_INTERFACE_L_X42Y10 INDEX 16783 TILEPROP INT_INTERFACE_L_X42Y10 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y10 INT_TILE_Y 139 TILEPROP INT_INTERFACE_L_X42Y10 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y10 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y10 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y10 NAME INT_INTERFACE_L_X42Y10 TILEPROP INT_INTERFACE_L_X42Y10 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y10 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y10 ROW 145 TILEPROP INT_INTERFACE_L_X42Y10 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y10 TILE_PATTERN_IDX 3162 TILEPROP INT_INTERFACE_L_X42Y10 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y10 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y10 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y10 TILE_Y -207672 TILEPROP INT_INTERFACE_L_X42Y10 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y11 CLASS tile TILEPROP INT_INTERFACE_L_X42Y11 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y11 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y11 FIRST_SITE_ID 14655 TILEPROP INT_INTERFACE_L_X42Y11 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y11 GRID_POINT_Y 144 TILEPROP INT_INTERFACE_L_X42Y11 INDEX 16668 TILEPROP INT_INTERFACE_L_X42Y11 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y11 INT_TILE_Y 138 TILEPROP INT_INTERFACE_L_X42Y11 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y11 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y11 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y11 NAME INT_INTERFACE_L_X42Y11 TILEPROP INT_INTERFACE_L_X42Y11 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y11 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y11 ROW 144 TILEPROP INT_INTERFACE_L_X42Y11 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y11 TILE_PATTERN_IDX 3124 TILEPROP INT_INTERFACE_L_X42Y11 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y11 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y11 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y11 TILE_Y -204472 TILEPROP INT_INTERFACE_L_X42Y11 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y12 CLASS tile TILEPROP INT_INTERFACE_L_X42Y12 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y12 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y12 FIRST_SITE_ID 14555 TILEPROP INT_INTERFACE_L_X42Y12 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y12 GRID_POINT_Y 143 TILEPROP INT_INTERFACE_L_X42Y12 INDEX 16553 TILEPROP INT_INTERFACE_L_X42Y12 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y12 INT_TILE_Y 137 TILEPROP INT_INTERFACE_L_X42Y12 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y12 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y12 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y12 NAME INT_INTERFACE_L_X42Y12 TILEPROP INT_INTERFACE_L_X42Y12 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y12 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y12 ROW 143 TILEPROP INT_INTERFACE_L_X42Y12 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y12 TILE_PATTERN_IDX 3089 TILEPROP INT_INTERFACE_L_X42Y12 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y12 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y12 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y12 TILE_Y -201272 TILEPROP INT_INTERFACE_L_X42Y12 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y13 CLASS tile TILEPROP INT_INTERFACE_L_X42Y13 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y13 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y13 FIRST_SITE_ID 14423 TILEPROP INT_INTERFACE_L_X42Y13 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y13 GRID_POINT_Y 142 TILEPROP INT_INTERFACE_L_X42Y13 INDEX 16438 TILEPROP INT_INTERFACE_L_X42Y13 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y13 INT_TILE_Y 136 TILEPROP INT_INTERFACE_L_X42Y13 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y13 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y13 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y13 NAME INT_INTERFACE_L_X42Y13 TILEPROP INT_INTERFACE_L_X42Y13 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y13 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y13 ROW 142 TILEPROP INT_INTERFACE_L_X42Y13 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y13 TILE_PATTERN_IDX 3052 TILEPROP INT_INTERFACE_L_X42Y13 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y13 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y13 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y13 TILE_Y -198072 TILEPROP INT_INTERFACE_L_X42Y13 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y14 CLASS tile TILEPROP INT_INTERFACE_L_X42Y14 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y14 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y14 FIRST_SITE_ID 14323 TILEPROP INT_INTERFACE_L_X42Y14 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y14 GRID_POINT_Y 141 TILEPROP INT_INTERFACE_L_X42Y14 INDEX 16323 TILEPROP INT_INTERFACE_L_X42Y14 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y14 INT_TILE_Y 135 TILEPROP INT_INTERFACE_L_X42Y14 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y14 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y14 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y14 NAME INT_INTERFACE_L_X42Y14 TILEPROP INT_INTERFACE_L_X42Y14 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y14 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y14 ROW 141 TILEPROP INT_INTERFACE_L_X42Y14 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y14 TILE_PATTERN_IDX 3017 TILEPROP INT_INTERFACE_L_X42Y14 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y14 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y14 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y14 TILE_Y -194872 TILEPROP INT_INTERFACE_L_X42Y14 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y15 CLASS tile TILEPROP INT_INTERFACE_L_X42Y15 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y15 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y15 FIRST_SITE_ID 14223 TILEPROP INT_INTERFACE_L_X42Y15 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y15 GRID_POINT_Y 140 TILEPROP INT_INTERFACE_L_X42Y15 INDEX 16208 TILEPROP INT_INTERFACE_L_X42Y15 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y15 INT_TILE_Y 134 TILEPROP INT_INTERFACE_L_X42Y15 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y15 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y15 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y15 NAME INT_INTERFACE_L_X42Y15 TILEPROP INT_INTERFACE_L_X42Y15 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y15 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y15 ROW 140 TILEPROP INT_INTERFACE_L_X42Y15 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y15 TILE_PATTERN_IDX 2981 TILEPROP INT_INTERFACE_L_X42Y15 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y15 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y15 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y15 TILE_Y -191672 TILEPROP INT_INTERFACE_L_X42Y15 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y16 CLASS tile TILEPROP INT_INTERFACE_L_X42Y16 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y16 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y16 FIRST_SITE_ID 14108 TILEPROP INT_INTERFACE_L_X42Y16 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y16 GRID_POINT_Y 139 TILEPROP INT_INTERFACE_L_X42Y16 INDEX 16093 TILEPROP INT_INTERFACE_L_X42Y16 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y16 INT_TILE_Y 133 TILEPROP INT_INTERFACE_L_X42Y16 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y16 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y16 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y16 NAME INT_INTERFACE_L_X42Y16 TILEPROP INT_INTERFACE_L_X42Y16 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y16 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y16 ROW 139 TILEPROP INT_INTERFACE_L_X42Y16 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y16 TILE_PATTERN_IDX 2945 TILEPROP INT_INTERFACE_L_X42Y16 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y16 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y16 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y16 TILE_Y -188472 TILEPROP INT_INTERFACE_L_X42Y16 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y17 CLASS tile TILEPROP INT_INTERFACE_L_X42Y17 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y17 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y17 FIRST_SITE_ID 14008 TILEPROP INT_INTERFACE_L_X42Y17 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y17 GRID_POINT_Y 138 TILEPROP INT_INTERFACE_L_X42Y17 INDEX 15978 TILEPROP INT_INTERFACE_L_X42Y17 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y17 INT_TILE_Y 132 TILEPROP INT_INTERFACE_L_X42Y17 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y17 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y17 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y17 NAME INT_INTERFACE_L_X42Y17 TILEPROP INT_INTERFACE_L_X42Y17 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y17 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y17 ROW 138 TILEPROP INT_INTERFACE_L_X42Y17 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y17 TILE_PATTERN_IDX 2909 TILEPROP INT_INTERFACE_L_X42Y17 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y17 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y17 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y17 TILE_Y -185272 TILEPROP INT_INTERFACE_L_X42Y17 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y18 CLASS tile TILEPROP INT_INTERFACE_L_X42Y18 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y18 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y18 FIRST_SITE_ID 13899 TILEPROP INT_INTERFACE_L_X42Y18 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y18 GRID_POINT_Y 137 TILEPROP INT_INTERFACE_L_X42Y18 INDEX 15863 TILEPROP INT_INTERFACE_L_X42Y18 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y18 INT_TILE_Y 131 TILEPROP INT_INTERFACE_L_X42Y18 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y18 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y18 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y18 NAME INT_INTERFACE_L_X42Y18 TILEPROP INT_INTERFACE_L_X42Y18 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y18 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y18 ROW 137 TILEPROP INT_INTERFACE_L_X42Y18 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y18 TILE_PATTERN_IDX 2870 TILEPROP INT_INTERFACE_L_X42Y18 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y18 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y18 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y18 TILE_Y -182072 TILEPROP INT_INTERFACE_L_X42Y18 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y19 CLASS tile TILEPROP INT_INTERFACE_L_X42Y19 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y19 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y19 FIRST_SITE_ID 13799 TILEPROP INT_INTERFACE_L_X42Y19 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y19 GRID_POINT_Y 136 TILEPROP INT_INTERFACE_L_X42Y19 INDEX 15748 TILEPROP INT_INTERFACE_L_X42Y19 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y19 INT_TILE_Y 130 TILEPROP INT_INTERFACE_L_X42Y19 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y19 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y19 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y19 NAME INT_INTERFACE_L_X42Y19 TILEPROP INT_INTERFACE_L_X42Y19 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y19 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y19 ROW 136 TILEPROP INT_INTERFACE_L_X42Y19 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y19 TILE_PATTERN_IDX 2834 TILEPROP INT_INTERFACE_L_X42Y19 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y19 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y19 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y19 TILE_Y -178872 TILEPROP INT_INTERFACE_L_X42Y19 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y20 CLASS tile TILEPROP INT_INTERFACE_L_X42Y20 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y20 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y20 FIRST_SITE_ID 13695 TILEPROP INT_INTERFACE_L_X42Y20 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y20 GRID_POINT_Y 135 TILEPROP INT_INTERFACE_L_X42Y20 INDEX 15633 TILEPROP INT_INTERFACE_L_X42Y20 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y20 INT_TILE_Y 129 TILEPROP INT_INTERFACE_L_X42Y20 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y20 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y20 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y20 NAME INT_INTERFACE_L_X42Y20 TILEPROP INT_INTERFACE_L_X42Y20 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y20 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y20 ROW 135 TILEPROP INT_INTERFACE_L_X42Y20 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y20 TILE_PATTERN_IDX 2798 TILEPROP INT_INTERFACE_L_X42Y20 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y20 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y20 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y20 TILE_Y -175672 TILEPROP INT_INTERFACE_L_X42Y20 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y21 CLASS tile TILEPROP INT_INTERFACE_L_X42Y21 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y21 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y21 FIRST_SITE_ID 13580 TILEPROP INT_INTERFACE_L_X42Y21 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y21 GRID_POINT_Y 134 TILEPROP INT_INTERFACE_L_X42Y21 INDEX 15518 TILEPROP INT_INTERFACE_L_X42Y21 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y21 INT_TILE_Y 128 TILEPROP INT_INTERFACE_L_X42Y21 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y21 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y21 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y21 NAME INT_INTERFACE_L_X42Y21 TILEPROP INT_INTERFACE_L_X42Y21 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y21 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y21 ROW 134 TILEPROP INT_INTERFACE_L_X42Y21 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y21 TILE_PATTERN_IDX 2761 TILEPROP INT_INTERFACE_L_X42Y21 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y21 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y21 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y21 TILE_Y -172472 TILEPROP INT_INTERFACE_L_X42Y21 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y22 CLASS tile TILEPROP INT_INTERFACE_L_X42Y22 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y22 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y22 FIRST_SITE_ID 13480 TILEPROP INT_INTERFACE_L_X42Y22 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y22 GRID_POINT_Y 133 TILEPROP INT_INTERFACE_L_X42Y22 INDEX 15403 TILEPROP INT_INTERFACE_L_X42Y22 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y22 INT_TILE_Y 127 TILEPROP INT_INTERFACE_L_X42Y22 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y22 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y22 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y22 NAME INT_INTERFACE_L_X42Y22 TILEPROP INT_INTERFACE_L_X42Y22 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y22 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y22 ROW 133 TILEPROP INT_INTERFACE_L_X42Y22 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y22 TILE_PATTERN_IDX 2725 TILEPROP INT_INTERFACE_L_X42Y22 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y22 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y22 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y22 TILE_Y -169272 TILEPROP INT_INTERFACE_L_X42Y22 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y23 CLASS tile TILEPROP INT_INTERFACE_L_X42Y23 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y23 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y23 FIRST_SITE_ID 13380 TILEPROP INT_INTERFACE_L_X42Y23 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y23 GRID_POINT_Y 132 TILEPROP INT_INTERFACE_L_X42Y23 INDEX 15288 TILEPROP INT_INTERFACE_L_X42Y23 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y23 INT_TILE_Y 126 TILEPROP INT_INTERFACE_L_X42Y23 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y23 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y23 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y23 NAME INT_INTERFACE_L_X42Y23 TILEPROP INT_INTERFACE_L_X42Y23 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y23 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y23 ROW 132 TILEPROP INT_INTERFACE_L_X42Y23 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y23 TILE_PATTERN_IDX 2688 TILEPROP INT_INTERFACE_L_X42Y23 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y23 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y23 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y23 TILE_Y -166072 TILEPROP INT_INTERFACE_L_X42Y23 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y24 CLASS tile TILEPROP INT_INTERFACE_L_X42Y24 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y24 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y24 FIRST_SITE_ID 13280 TILEPROP INT_INTERFACE_L_X42Y24 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y24 GRID_POINT_Y 131 TILEPROP INT_INTERFACE_L_X42Y24 INDEX 15173 TILEPROP INT_INTERFACE_L_X42Y24 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y24 INT_TILE_Y 125 TILEPROP INT_INTERFACE_L_X42Y24 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y24 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y24 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y24 NAME INT_INTERFACE_L_X42Y24 TILEPROP INT_INTERFACE_L_X42Y24 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y24 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y24 ROW 131 TILEPROP INT_INTERFACE_L_X42Y24 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y24 TILE_PATTERN_IDX 2652 TILEPROP INT_INTERFACE_L_X42Y24 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y24 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y24 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y24 TILE_Y -162872 TILEPROP INT_INTERFACE_L_X42Y24 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y25 CLASS tile TILEPROP INT_INTERFACE_L_X42Y25 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y25 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y25 FIRST_SITE_ID 13097 TILEPROP INT_INTERFACE_L_X42Y25 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y25 GRID_POINT_Y 129 TILEPROP INT_INTERFACE_L_X42Y25 INDEX 14943 TILEPROP INT_INTERFACE_L_X42Y25 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y25 INT_TILE_Y 124 TILEPROP INT_INTERFACE_L_X42Y25 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y25 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y25 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y25 NAME INT_INTERFACE_L_X42Y25 TILEPROP INT_INTERFACE_L_X42Y25 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y25 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y25 ROW 129 TILEPROP INT_INTERFACE_L_X42Y25 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y25 TILE_PATTERN_IDX 2577 TILEPROP INT_INTERFACE_L_X42Y25 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y25 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y25 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y25 TILE_Y -158648 TILEPROP INT_INTERFACE_L_X42Y25 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y26 CLASS tile TILEPROP INT_INTERFACE_L_X42Y26 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y26 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y26 FIRST_SITE_ID 12982 TILEPROP INT_INTERFACE_L_X42Y26 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y26 GRID_POINT_Y 128 TILEPROP INT_INTERFACE_L_X42Y26 INDEX 14828 TILEPROP INT_INTERFACE_L_X42Y26 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y26 INT_TILE_Y 123 TILEPROP INT_INTERFACE_L_X42Y26 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y26 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y26 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y26 NAME INT_INTERFACE_L_X42Y26 TILEPROP INT_INTERFACE_L_X42Y26 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y26 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y26 ROW 128 TILEPROP INT_INTERFACE_L_X42Y26 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y26 TILE_PATTERN_IDX 2540 TILEPROP INT_INTERFACE_L_X42Y26 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y26 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y26 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y26 TILE_Y -155448 TILEPROP INT_INTERFACE_L_X42Y26 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y27 CLASS tile TILEPROP INT_INTERFACE_L_X42Y27 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y27 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y27 FIRST_SITE_ID 12882 TILEPROP INT_INTERFACE_L_X42Y27 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y27 GRID_POINT_Y 127 TILEPROP INT_INTERFACE_L_X42Y27 INDEX 14713 TILEPROP INT_INTERFACE_L_X42Y27 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y27 INT_TILE_Y 122 TILEPROP INT_INTERFACE_L_X42Y27 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y27 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y27 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y27 NAME INT_INTERFACE_L_X42Y27 TILEPROP INT_INTERFACE_L_X42Y27 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y27 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y27 ROW 127 TILEPROP INT_INTERFACE_L_X42Y27 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y27 TILE_PATTERN_IDX 2503 TILEPROP INT_INTERFACE_L_X42Y27 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y27 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y27 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y27 TILE_Y -152248 TILEPROP INT_INTERFACE_L_X42Y27 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y28 CLASS tile TILEPROP INT_INTERFACE_L_X42Y28 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y28 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y28 FIRST_SITE_ID 12782 TILEPROP INT_INTERFACE_L_X42Y28 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y28 GRID_POINT_Y 126 TILEPROP INT_INTERFACE_L_X42Y28 INDEX 14598 TILEPROP INT_INTERFACE_L_X42Y28 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y28 INT_TILE_Y 121 TILEPROP INT_INTERFACE_L_X42Y28 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y28 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y28 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y28 NAME INT_INTERFACE_L_X42Y28 TILEPROP INT_INTERFACE_L_X42Y28 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y28 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y28 ROW 126 TILEPROP INT_INTERFACE_L_X42Y28 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y28 TILE_PATTERN_IDX 2467 TILEPROP INT_INTERFACE_L_X42Y28 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y28 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y28 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y28 TILE_Y -149048 TILEPROP INT_INTERFACE_L_X42Y28 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y29 CLASS tile TILEPROP INT_INTERFACE_L_X42Y29 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y29 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y29 FIRST_SITE_ID 12682 TILEPROP INT_INTERFACE_L_X42Y29 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y29 GRID_POINT_Y 125 TILEPROP INT_INTERFACE_L_X42Y29 INDEX 14483 TILEPROP INT_INTERFACE_L_X42Y29 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y29 INT_TILE_Y 120 TILEPROP INT_INTERFACE_L_X42Y29 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y29 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y29 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y29 NAME INT_INTERFACE_L_X42Y29 TILEPROP INT_INTERFACE_L_X42Y29 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y29 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y29 ROW 125 TILEPROP INT_INTERFACE_L_X42Y29 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y29 TILE_PATTERN_IDX 2430 TILEPROP INT_INTERFACE_L_X42Y29 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y29 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y29 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y29 TILE_Y -145848 TILEPROP INT_INTERFACE_L_X42Y29 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y30 CLASS tile TILEPROP INT_INTERFACE_L_X42Y30 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y30 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y30 FIRST_SITE_ID 12570 TILEPROP INT_INTERFACE_L_X42Y30 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y30 GRID_POINT_Y 124 TILEPROP INT_INTERFACE_L_X42Y30 INDEX 14368 TILEPROP INT_INTERFACE_L_X42Y30 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y30 INT_TILE_Y 119 TILEPROP INT_INTERFACE_L_X42Y30 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y30 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y30 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y30 NAME INT_INTERFACE_L_X42Y30 TILEPROP INT_INTERFACE_L_X42Y30 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y30 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y30 ROW 124 TILEPROP INT_INTERFACE_L_X42Y30 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y30 TILE_PATTERN_IDX 2393 TILEPROP INT_INTERFACE_L_X42Y30 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y30 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y30 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y30 TILE_Y -142648 TILEPROP INT_INTERFACE_L_X42Y30 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y31 CLASS tile TILEPROP INT_INTERFACE_L_X42Y31 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y31 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y31 FIRST_SITE_ID 12442 TILEPROP INT_INTERFACE_L_X42Y31 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y31 GRID_POINT_Y 123 TILEPROP INT_INTERFACE_L_X42Y31 INDEX 14253 TILEPROP INT_INTERFACE_L_X42Y31 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y31 INT_TILE_Y 118 TILEPROP INT_INTERFACE_L_X42Y31 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y31 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y31 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y31 NAME INT_INTERFACE_L_X42Y31 TILEPROP INT_INTERFACE_L_X42Y31 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y31 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y31 ROW 123 TILEPROP INT_INTERFACE_L_X42Y31 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y31 TILE_PATTERN_IDX 2355 TILEPROP INT_INTERFACE_L_X42Y31 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y31 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y31 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y31 TILE_Y -139448 TILEPROP INT_INTERFACE_L_X42Y31 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y32 CLASS tile TILEPROP INT_INTERFACE_L_X42Y32 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y32 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y32 FIRST_SITE_ID 12338 TILEPROP INT_INTERFACE_L_X42Y32 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y32 GRID_POINT_Y 122 TILEPROP INT_INTERFACE_L_X42Y32 INDEX 14138 TILEPROP INT_INTERFACE_L_X42Y32 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y32 INT_TILE_Y 117 TILEPROP INT_INTERFACE_L_X42Y32 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y32 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y32 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y32 NAME INT_INTERFACE_L_X42Y32 TILEPROP INT_INTERFACE_L_X42Y32 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y32 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y32 ROW 122 TILEPROP INT_INTERFACE_L_X42Y32 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y32 TILE_PATTERN_IDX 2319 TILEPROP INT_INTERFACE_L_X42Y32 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y32 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y32 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y32 TILE_Y -136248 TILEPROP INT_INTERFACE_L_X42Y32 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y33 CLASS tile TILEPROP INT_INTERFACE_L_X42Y33 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y33 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y33 FIRST_SITE_ID 12237 TILEPROP INT_INTERFACE_L_X42Y33 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y33 GRID_POINT_Y 121 TILEPROP INT_INTERFACE_L_X42Y33 INDEX 14023 TILEPROP INT_INTERFACE_L_X42Y33 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y33 INT_TILE_Y 116 TILEPROP INT_INTERFACE_L_X42Y33 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y33 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y33 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y33 NAME INT_INTERFACE_L_X42Y33 TILEPROP INT_INTERFACE_L_X42Y33 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y33 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y33 ROW 121 TILEPROP INT_INTERFACE_L_X42Y33 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y33 TILE_PATTERN_IDX 2281 TILEPROP INT_INTERFACE_L_X42Y33 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y33 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y33 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y33 TILE_Y -133048 TILEPROP INT_INTERFACE_L_X42Y33 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y34 CLASS tile TILEPROP INT_INTERFACE_L_X42Y34 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y34 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y34 FIRST_SITE_ID 12137 TILEPROP INT_INTERFACE_L_X42Y34 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y34 GRID_POINT_Y 120 TILEPROP INT_INTERFACE_L_X42Y34 INDEX 13908 TILEPROP INT_INTERFACE_L_X42Y34 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y34 INT_TILE_Y 115 TILEPROP INT_INTERFACE_L_X42Y34 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y34 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y34 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y34 NAME INT_INTERFACE_L_X42Y34 TILEPROP INT_INTERFACE_L_X42Y34 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y34 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y34 ROW 120 TILEPROP INT_INTERFACE_L_X42Y34 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y34 TILE_PATTERN_IDX 2246 TILEPROP INT_INTERFACE_L_X42Y34 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y34 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y34 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y34 TILE_Y -129848 TILEPROP INT_INTERFACE_L_X42Y34 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y35 CLASS tile TILEPROP INT_INTERFACE_L_X42Y35 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y35 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y35 FIRST_SITE_ID 12037 TILEPROP INT_INTERFACE_L_X42Y35 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y35 GRID_POINT_Y 119 TILEPROP INT_INTERFACE_L_X42Y35 INDEX 13793 TILEPROP INT_INTERFACE_L_X42Y35 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y35 INT_TILE_Y 114 TILEPROP INT_INTERFACE_L_X42Y35 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y35 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y35 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y35 NAME INT_INTERFACE_L_X42Y35 TILEPROP INT_INTERFACE_L_X42Y35 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y35 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y35 ROW 119 TILEPROP INT_INTERFACE_L_X42Y35 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y35 TILE_PATTERN_IDX 2210 TILEPROP INT_INTERFACE_L_X42Y35 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y35 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y35 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y35 TILE_Y -126648 TILEPROP INT_INTERFACE_L_X42Y35 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y36 CLASS tile TILEPROP INT_INTERFACE_L_X42Y36 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y36 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y36 FIRST_SITE_ID 11922 TILEPROP INT_INTERFACE_L_X42Y36 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y36 GRID_POINT_Y 118 TILEPROP INT_INTERFACE_L_X42Y36 INDEX 13678 TILEPROP INT_INTERFACE_L_X42Y36 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y36 INT_TILE_Y 113 TILEPROP INT_INTERFACE_L_X42Y36 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y36 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y36 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y36 NAME INT_INTERFACE_L_X42Y36 TILEPROP INT_INTERFACE_L_X42Y36 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y36 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y36 ROW 118 TILEPROP INT_INTERFACE_L_X42Y36 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y36 TILE_PATTERN_IDX 2174 TILEPROP INT_INTERFACE_L_X42Y36 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y36 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y36 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y36 TILE_Y -123448 TILEPROP INT_INTERFACE_L_X42Y36 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y37 CLASS tile TILEPROP INT_INTERFACE_L_X42Y37 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y37 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y37 FIRST_SITE_ID 11790 TILEPROP INT_INTERFACE_L_X42Y37 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y37 GRID_POINT_Y 117 TILEPROP INT_INTERFACE_L_X42Y37 INDEX 13563 TILEPROP INT_INTERFACE_L_X42Y37 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y37 INT_TILE_Y 112 TILEPROP INT_INTERFACE_L_X42Y37 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y37 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y37 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y37 NAME INT_INTERFACE_L_X42Y37 TILEPROP INT_INTERFACE_L_X42Y37 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y37 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y37 ROW 117 TILEPROP INT_INTERFACE_L_X42Y37 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y37 TILE_PATTERN_IDX 2136 TILEPROP INT_INTERFACE_L_X42Y37 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y37 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y37 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y37 TILE_Y -120248 TILEPROP INT_INTERFACE_L_X42Y37 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y38 CLASS tile TILEPROP INT_INTERFACE_L_X42Y38 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y38 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y38 FIRST_SITE_ID 11690 TILEPROP INT_INTERFACE_L_X42Y38 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y38 GRID_POINT_Y 116 TILEPROP INT_INTERFACE_L_X42Y38 INDEX 13448 TILEPROP INT_INTERFACE_L_X42Y38 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y38 INT_TILE_Y 111 TILEPROP INT_INTERFACE_L_X42Y38 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y38 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y38 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y38 NAME INT_INTERFACE_L_X42Y38 TILEPROP INT_INTERFACE_L_X42Y38 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y38 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y38 ROW 116 TILEPROP INT_INTERFACE_L_X42Y38 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y38 TILE_PATTERN_IDX 2101 TILEPROP INT_INTERFACE_L_X42Y38 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y38 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y38 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y38 TILE_Y -117048 TILEPROP INT_INTERFACE_L_X42Y38 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y39 CLASS tile TILEPROP INT_INTERFACE_L_X42Y39 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y39 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y39 FIRST_SITE_ID 11590 TILEPROP INT_INTERFACE_L_X42Y39 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y39 GRID_POINT_Y 115 TILEPROP INT_INTERFACE_L_X42Y39 INDEX 13333 TILEPROP INT_INTERFACE_L_X42Y39 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y39 INT_TILE_Y 110 TILEPROP INT_INTERFACE_L_X42Y39 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y39 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y39 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y39 NAME INT_INTERFACE_L_X42Y39 TILEPROP INT_INTERFACE_L_X42Y39 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y39 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y39 ROW 115 TILEPROP INT_INTERFACE_L_X42Y39 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y39 TILE_PATTERN_IDX 2065 TILEPROP INT_INTERFACE_L_X42Y39 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y39 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y39 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y39 TILE_Y -113848 TILEPROP INT_INTERFACE_L_X42Y39 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y40 CLASS tile TILEPROP INT_INTERFACE_L_X42Y40 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y40 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y40 FIRST_SITE_ID 11490 TILEPROP INT_INTERFACE_L_X42Y40 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y40 GRID_POINT_Y 114 TILEPROP INT_INTERFACE_L_X42Y40 INDEX 13218 TILEPROP INT_INTERFACE_L_X42Y40 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y40 INT_TILE_Y 109 TILEPROP INT_INTERFACE_L_X42Y40 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y40 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y40 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y40 NAME INT_INTERFACE_L_X42Y40 TILEPROP INT_INTERFACE_L_X42Y40 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y40 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y40 ROW 114 TILEPROP INT_INTERFACE_L_X42Y40 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y40 TILE_PATTERN_IDX 2030 TILEPROP INT_INTERFACE_L_X42Y40 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y40 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y40 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y40 TILE_Y -110648 TILEPROP INT_INTERFACE_L_X42Y40 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y41 CLASS tile TILEPROP INT_INTERFACE_L_X42Y41 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y41 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y41 FIRST_SITE_ID 11373 TILEPROP INT_INTERFACE_L_X42Y41 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y41 GRID_POINT_Y 113 TILEPROP INT_INTERFACE_L_X42Y41 INDEX 13103 TILEPROP INT_INTERFACE_L_X42Y41 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y41 INT_TILE_Y 108 TILEPROP INT_INTERFACE_L_X42Y41 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y41 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y41 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y41 NAME INT_INTERFACE_L_X42Y41 TILEPROP INT_INTERFACE_L_X42Y41 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y41 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y41 ROW 113 TILEPROP INT_INTERFACE_L_X42Y41 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y41 TILE_PATTERN_IDX 1991 TILEPROP INT_INTERFACE_L_X42Y41 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y41 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y41 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y41 TILE_Y -107448 TILEPROP INT_INTERFACE_L_X42Y41 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y42 CLASS tile TILEPROP INT_INTERFACE_L_X42Y42 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y42 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y42 FIRST_SITE_ID 11272 TILEPROP INT_INTERFACE_L_X42Y42 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y42 GRID_POINT_Y 112 TILEPROP INT_INTERFACE_L_X42Y42 INDEX 12988 TILEPROP INT_INTERFACE_L_X42Y42 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y42 INT_TILE_Y 107 TILEPROP INT_INTERFACE_L_X42Y42 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y42 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y42 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y42 NAME INT_INTERFACE_L_X42Y42 TILEPROP INT_INTERFACE_L_X42Y42 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y42 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y42 ROW 112 TILEPROP INT_INTERFACE_L_X42Y42 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y42 TILE_PATTERN_IDX 1954 TILEPROP INT_INTERFACE_L_X42Y42 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y42 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y42 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y42 TILE_Y -104248 TILEPROP INT_INTERFACE_L_X42Y42 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y43 CLASS tile TILEPROP INT_INTERFACE_L_X42Y43 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y43 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y43 FIRST_SITE_ID 11170 TILEPROP INT_INTERFACE_L_X42Y43 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y43 GRID_POINT_Y 111 TILEPROP INT_INTERFACE_L_X42Y43 INDEX 12873 TILEPROP INT_INTERFACE_L_X42Y43 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y43 INT_TILE_Y 106 TILEPROP INT_INTERFACE_L_X42Y43 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y43 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y43 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y43 NAME INT_INTERFACE_L_X42Y43 TILEPROP INT_INTERFACE_L_X42Y43 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y43 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y43 ROW 111 TILEPROP INT_INTERFACE_L_X42Y43 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y43 TILE_PATTERN_IDX 1915 TILEPROP INT_INTERFACE_L_X42Y43 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y43 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y43 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y43 TILE_Y -101048 TILEPROP INT_INTERFACE_L_X42Y43 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y44 CLASS tile TILEPROP INT_INTERFACE_L_X42Y44 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y44 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y44 FIRST_SITE_ID 11066 TILEPROP INT_INTERFACE_L_X42Y44 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y44 GRID_POINT_Y 110 TILEPROP INT_INTERFACE_L_X42Y44 INDEX 12758 TILEPROP INT_INTERFACE_L_X42Y44 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y44 INT_TILE_Y 105 TILEPROP INT_INTERFACE_L_X42Y44 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y44 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y44 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y44 NAME INT_INTERFACE_L_X42Y44 TILEPROP INT_INTERFACE_L_X42Y44 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y44 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y44 ROW 110 TILEPROP INT_INTERFACE_L_X42Y44 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y44 TILE_PATTERN_IDX 1878 TILEPROP INT_INTERFACE_L_X42Y44 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y44 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y44 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y44 TILE_Y -97848 TILEPROP INT_INTERFACE_L_X42Y44 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y45 CLASS tile TILEPROP INT_INTERFACE_L_X42Y45 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y45 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y45 FIRST_SITE_ID 10966 TILEPROP INT_INTERFACE_L_X42Y45 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y45 GRID_POINT_Y 109 TILEPROP INT_INTERFACE_L_X42Y45 INDEX 12643 TILEPROP INT_INTERFACE_L_X42Y45 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y45 INT_TILE_Y 104 TILEPROP INT_INTERFACE_L_X42Y45 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y45 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y45 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y45 NAME INT_INTERFACE_L_X42Y45 TILEPROP INT_INTERFACE_L_X42Y45 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y45 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y45 ROW 109 TILEPROP INT_INTERFACE_L_X42Y45 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y45 TILE_PATTERN_IDX 1842 TILEPROP INT_INTERFACE_L_X42Y45 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y45 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y45 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y45 TILE_Y -94648 TILEPROP INT_INTERFACE_L_X42Y45 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y46 CLASS tile TILEPROP INT_INTERFACE_L_X42Y46 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y46 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y46 FIRST_SITE_ID 10850 TILEPROP INT_INTERFACE_L_X42Y46 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y46 GRID_POINT_Y 108 TILEPROP INT_INTERFACE_L_X42Y46 INDEX 12528 TILEPROP INT_INTERFACE_L_X42Y46 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y46 INT_TILE_Y 103 TILEPROP INT_INTERFACE_L_X42Y46 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y46 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y46 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y46 NAME INT_INTERFACE_L_X42Y46 TILEPROP INT_INTERFACE_L_X42Y46 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y46 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y46 ROW 108 TILEPROP INT_INTERFACE_L_X42Y46 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y46 TILE_PATTERN_IDX 1800 TILEPROP INT_INTERFACE_L_X42Y46 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y46 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y46 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y46 TILE_Y -91448 TILEPROP INT_INTERFACE_L_X42Y46 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y47 CLASS tile TILEPROP INT_INTERFACE_L_X42Y47 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y47 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y47 FIRST_SITE_ID 10734 TILEPROP INT_INTERFACE_L_X42Y47 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y47 GRID_POINT_Y 107 TILEPROP INT_INTERFACE_L_X42Y47 INDEX 12413 TILEPROP INT_INTERFACE_L_X42Y47 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y47 INT_TILE_Y 102 TILEPROP INT_INTERFACE_L_X42Y47 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y47 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y47 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y47 NAME INT_INTERFACE_L_X42Y47 TILEPROP INT_INTERFACE_L_X42Y47 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y47 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y47 ROW 107 TILEPROP INT_INTERFACE_L_X42Y47 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y47 TILE_PATTERN_IDX 1760 TILEPROP INT_INTERFACE_L_X42Y47 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y47 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y47 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y47 TILE_Y -88248 TILEPROP INT_INTERFACE_L_X42Y47 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y48 CLASS tile TILEPROP INT_INTERFACE_L_X42Y48 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y48 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y48 FIRST_SITE_ID 10634 TILEPROP INT_INTERFACE_L_X42Y48 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y48 GRID_POINT_Y 106 TILEPROP INT_INTERFACE_L_X42Y48 INDEX 12298 TILEPROP INT_INTERFACE_L_X42Y48 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y48 INT_TILE_Y 101 TILEPROP INT_INTERFACE_L_X42Y48 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y48 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y48 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y48 NAME INT_INTERFACE_L_X42Y48 TILEPROP INT_INTERFACE_L_X42Y48 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y48 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y48 ROW 106 TILEPROP INT_INTERFACE_L_X42Y48 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y48 TILE_PATTERN_IDX 1722 TILEPROP INT_INTERFACE_L_X42Y48 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y48 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y48 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y48 TILE_Y -85048 TILEPROP INT_INTERFACE_L_X42Y48 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y49 CLASS tile TILEPROP INT_INTERFACE_L_X42Y49 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y49 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y49 FIRST_SITE_ID 10538 TILEPROP INT_INTERFACE_L_X42Y49 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y49 GRID_POINT_Y 105 TILEPROP INT_INTERFACE_L_X42Y49 INDEX 12183 TILEPROP INT_INTERFACE_L_X42Y49 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y49 INT_TILE_Y 100 TILEPROP INT_INTERFACE_L_X42Y49 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y49 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y49 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y49 NAME INT_INTERFACE_L_X42Y49 TILEPROP INT_INTERFACE_L_X42Y49 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y49 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y49 ROW 105 TILEPROP INT_INTERFACE_L_X42Y49 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y49 TILE_PATTERN_IDX 1682 TILEPROP INT_INTERFACE_L_X42Y49 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y49 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y49 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y49 TILE_Y -81848 TILEPROP INT_INTERFACE_L_X42Y49 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y50 CLASS tile TILEPROP INT_INTERFACE_L_X42Y50 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y50 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y50 FIRST_SITE_ID 10438 TILEPROP INT_INTERFACE_L_X42Y50 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y50 GRID_POINT_Y 103 TILEPROP INT_INTERFACE_L_X42Y50 INDEX 11953 TILEPROP INT_INTERFACE_L_X42Y50 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y50 INT_TILE_Y 99 TILEPROP INT_INTERFACE_L_X42Y50 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y50 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y50 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y50 NAME INT_INTERFACE_L_X42Y50 TILEPROP INT_INTERFACE_L_X42Y50 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y50 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y50 ROW 103 TILEPROP INT_INTERFACE_L_X42Y50 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y50 TILE_PATTERN_IDX 3536 TILEPROP INT_INTERFACE_L_X42Y50 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y50 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y50 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y50 TILE_Y -78400 TILEPROP INT_INTERFACE_L_X42Y50 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y51 CLASS tile TILEPROP INT_INTERFACE_L_X42Y51 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y51 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y51 FIRST_SITE_ID 10303 TILEPROP INT_INTERFACE_L_X42Y51 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y51 GRID_POINT_Y 102 TILEPROP INT_INTERFACE_L_X42Y51 INDEX 11838 TILEPROP INT_INTERFACE_L_X42Y51 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y51 INT_TILE_Y 98 TILEPROP INT_INTERFACE_L_X42Y51 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y51 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y51 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y51 NAME INT_INTERFACE_L_X42Y51 TILEPROP INT_INTERFACE_L_X42Y51 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y51 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y51 ROW 102 TILEPROP INT_INTERFACE_L_X42Y51 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y51 TILE_PATTERN_IDX 3494 TILEPROP INT_INTERFACE_L_X42Y51 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y51 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y51 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y51 TILE_Y -75200 TILEPROP INT_INTERFACE_L_X42Y51 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y52 CLASS tile TILEPROP INT_INTERFACE_L_X42Y52 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y52 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y52 FIRST_SITE_ID 10203 TILEPROP INT_INTERFACE_L_X42Y52 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y52 GRID_POINT_Y 101 TILEPROP INT_INTERFACE_L_X42Y52 INDEX 11723 TILEPROP INT_INTERFACE_L_X42Y52 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y52 INT_TILE_Y 97 TILEPROP INT_INTERFACE_L_X42Y52 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y52 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y52 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y52 NAME INT_INTERFACE_L_X42Y52 TILEPROP INT_INTERFACE_L_X42Y52 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y52 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y52 ROW 101 TILEPROP INT_INTERFACE_L_X42Y52 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y52 TILE_PATTERN_IDX 3457 TILEPROP INT_INTERFACE_L_X42Y52 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y52 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y52 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y52 TILE_Y -72000 TILEPROP INT_INTERFACE_L_X42Y52 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y53 CLASS tile TILEPROP INT_INTERFACE_L_X42Y53 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y53 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y53 FIRST_SITE_ID 10103 TILEPROP INT_INTERFACE_L_X42Y53 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y53 GRID_POINT_Y 100 TILEPROP INT_INTERFACE_L_X42Y53 INDEX 11608 TILEPROP INT_INTERFACE_L_X42Y53 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y53 INT_TILE_Y 96 TILEPROP INT_INTERFACE_L_X42Y53 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y53 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y53 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y53 NAME INT_INTERFACE_L_X42Y53 TILEPROP INT_INTERFACE_L_X42Y53 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y53 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y53 ROW 100 TILEPROP INT_INTERFACE_L_X42Y53 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y53 TILE_PATTERN_IDX 3420 TILEPROP INT_INTERFACE_L_X42Y53 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y53 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y53 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y53 TILE_Y -68800 TILEPROP INT_INTERFACE_L_X42Y53 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y54 CLASS tile TILEPROP INT_INTERFACE_L_X42Y54 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y54 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y54 FIRST_SITE_ID 10003 TILEPROP INT_INTERFACE_L_X42Y54 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y54 GRID_POINT_Y 99 TILEPROP INT_INTERFACE_L_X42Y54 INDEX 11493 TILEPROP INT_INTERFACE_L_X42Y54 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y54 INT_TILE_Y 95 TILEPROP INT_INTERFACE_L_X42Y54 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y54 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y54 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y54 NAME INT_INTERFACE_L_X42Y54 TILEPROP INT_INTERFACE_L_X42Y54 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y54 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y54 ROW 99 TILEPROP INT_INTERFACE_L_X42Y54 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y54 TILE_PATTERN_IDX 3384 TILEPROP INT_INTERFACE_L_X42Y54 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y54 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y54 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y54 TILE_Y -65600 TILEPROP INT_INTERFACE_L_X42Y54 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y55 CLASS tile TILEPROP INT_INTERFACE_L_X42Y55 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y55 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y55 FIRST_SITE_ID 9903 TILEPROP INT_INTERFACE_L_X42Y55 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y55 GRID_POINT_Y 98 TILEPROP INT_INTERFACE_L_X42Y55 INDEX 11378 TILEPROP INT_INTERFACE_L_X42Y55 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y55 INT_TILE_Y 94 TILEPROP INT_INTERFACE_L_X42Y55 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y55 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y55 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y55 NAME INT_INTERFACE_L_X42Y55 TILEPROP INT_INTERFACE_L_X42Y55 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y55 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y55 ROW 98 TILEPROP INT_INTERFACE_L_X42Y55 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y55 TILE_PATTERN_IDX 3347 TILEPROP INT_INTERFACE_L_X42Y55 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y55 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y55 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y55 TILE_Y -62400 TILEPROP INT_INTERFACE_L_X42Y55 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y56 CLASS tile TILEPROP INT_INTERFACE_L_X42Y56 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y56 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y56 FIRST_SITE_ID 9788 TILEPROP INT_INTERFACE_L_X42Y56 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y56 GRID_POINT_Y 97 TILEPROP INT_INTERFACE_L_X42Y56 INDEX 11263 TILEPROP INT_INTERFACE_L_X42Y56 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y56 INT_TILE_Y 93 TILEPROP INT_INTERFACE_L_X42Y56 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y56 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y56 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y56 NAME INT_INTERFACE_L_X42Y56 TILEPROP INT_INTERFACE_L_X42Y56 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y56 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y56 ROW 97 TILEPROP INT_INTERFACE_L_X42Y56 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y56 TILE_PATTERN_IDX 3309 TILEPROP INT_INTERFACE_L_X42Y56 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y56 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y56 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y56 TILE_Y -59200 TILEPROP INT_INTERFACE_L_X42Y56 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y57 CLASS tile TILEPROP INT_INTERFACE_L_X42Y57 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y57 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y57 FIRST_SITE_ID 9688 TILEPROP INT_INTERFACE_L_X42Y57 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y57 GRID_POINT_Y 96 TILEPROP INT_INTERFACE_L_X42Y57 INDEX 11148 TILEPROP INT_INTERFACE_L_X42Y57 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y57 INT_TILE_Y 92 TILEPROP INT_INTERFACE_L_X42Y57 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y57 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y57 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y57 NAME INT_INTERFACE_L_X42Y57 TILEPROP INT_INTERFACE_L_X42Y57 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y57 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y57 ROW 96 TILEPROP INT_INTERFACE_L_X42Y57 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y57 TILE_PATTERN_IDX 3272 TILEPROP INT_INTERFACE_L_X42Y57 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y57 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y57 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y57 TILE_Y -56000 TILEPROP INT_INTERFACE_L_X42Y57 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y58 CLASS tile TILEPROP INT_INTERFACE_L_X42Y58 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y58 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y58 FIRST_SITE_ID 9584 TILEPROP INT_INTERFACE_L_X42Y58 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y58 GRID_POINT_Y 95 TILEPROP INT_INTERFACE_L_X42Y58 INDEX 11033 TILEPROP INT_INTERFACE_L_X42Y58 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y58 INT_TILE_Y 91 TILEPROP INT_INTERFACE_L_X42Y58 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y58 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y58 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y58 NAME INT_INTERFACE_L_X42Y58 TILEPROP INT_INTERFACE_L_X42Y58 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y58 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y58 ROW 95 TILEPROP INT_INTERFACE_L_X42Y58 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y58 TILE_PATTERN_IDX 3235 TILEPROP INT_INTERFACE_L_X42Y58 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y58 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y58 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y58 TILE_Y -52800 TILEPROP INT_INTERFACE_L_X42Y58 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y59 CLASS tile TILEPROP INT_INTERFACE_L_X42Y59 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y59 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y59 FIRST_SITE_ID 9482 TILEPROP INT_INTERFACE_L_X42Y59 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y59 GRID_POINT_Y 94 TILEPROP INT_INTERFACE_L_X42Y59 INDEX 10918 TILEPROP INT_INTERFACE_L_X42Y59 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y59 INT_TILE_Y 90 TILEPROP INT_INTERFACE_L_X42Y59 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y59 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y59 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y59 NAME INT_INTERFACE_L_X42Y59 TILEPROP INT_INTERFACE_L_X42Y59 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y59 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y59 ROW 94 TILEPROP INT_INTERFACE_L_X42Y59 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y59 TILE_PATTERN_IDX 3197 TILEPROP INT_INTERFACE_L_X42Y59 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y59 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y59 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y59 TILE_Y -49600 TILEPROP INT_INTERFACE_L_X42Y59 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y60 CLASS tile TILEPROP INT_INTERFACE_L_X42Y60 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y60 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y60 FIRST_SITE_ID 9382 TILEPROP INT_INTERFACE_L_X42Y60 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y60 GRID_POINT_Y 93 TILEPROP INT_INTERFACE_L_X42Y60 INDEX 10803 TILEPROP INT_INTERFACE_L_X42Y60 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y60 INT_TILE_Y 89 TILEPROP INT_INTERFACE_L_X42Y60 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y60 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y60 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y60 NAME INT_INTERFACE_L_X42Y60 TILEPROP INT_INTERFACE_L_X42Y60 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y60 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y60 ROW 93 TILEPROP INT_INTERFACE_L_X42Y60 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y60 TILE_PATTERN_IDX 3162 TILEPROP INT_INTERFACE_L_X42Y60 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y60 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y60 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y60 TILE_Y -46400 TILEPROP INT_INTERFACE_L_X42Y60 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y61 CLASS tile TILEPROP INT_INTERFACE_L_X42Y61 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y61 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y61 FIRST_SITE_ID 9267 TILEPROP INT_INTERFACE_L_X42Y61 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y61 GRID_POINT_Y 92 TILEPROP INT_INTERFACE_L_X42Y61 INDEX 10688 TILEPROP INT_INTERFACE_L_X42Y61 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y61 INT_TILE_Y 88 TILEPROP INT_INTERFACE_L_X42Y61 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y61 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y61 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y61 NAME INT_INTERFACE_L_X42Y61 TILEPROP INT_INTERFACE_L_X42Y61 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y61 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y61 ROW 92 TILEPROP INT_INTERFACE_L_X42Y61 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y61 TILE_PATTERN_IDX 3124 TILEPROP INT_INTERFACE_L_X42Y61 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y61 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y61 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y61 TILE_Y -43200 TILEPROP INT_INTERFACE_L_X42Y61 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y62 CLASS tile TILEPROP INT_INTERFACE_L_X42Y62 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y62 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y62 FIRST_SITE_ID 9167 TILEPROP INT_INTERFACE_L_X42Y62 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y62 GRID_POINT_Y 91 TILEPROP INT_INTERFACE_L_X42Y62 INDEX 10573 TILEPROP INT_INTERFACE_L_X42Y62 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y62 INT_TILE_Y 87 TILEPROP INT_INTERFACE_L_X42Y62 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y62 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y62 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y62 NAME INT_INTERFACE_L_X42Y62 TILEPROP INT_INTERFACE_L_X42Y62 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y62 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y62 ROW 91 TILEPROP INT_INTERFACE_L_X42Y62 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y62 TILE_PATTERN_IDX 3089 TILEPROP INT_INTERFACE_L_X42Y62 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y62 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y62 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y62 TILE_Y -40000 TILEPROP INT_INTERFACE_L_X42Y62 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y63 CLASS tile TILEPROP INT_INTERFACE_L_X42Y63 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y63 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y63 FIRST_SITE_ID 9035 TILEPROP INT_INTERFACE_L_X42Y63 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y63 GRID_POINT_Y 90 TILEPROP INT_INTERFACE_L_X42Y63 INDEX 10458 TILEPROP INT_INTERFACE_L_X42Y63 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y63 INT_TILE_Y 86 TILEPROP INT_INTERFACE_L_X42Y63 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y63 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y63 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y63 NAME INT_INTERFACE_L_X42Y63 TILEPROP INT_INTERFACE_L_X42Y63 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y63 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y63 ROW 90 TILEPROP INT_INTERFACE_L_X42Y63 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y63 TILE_PATTERN_IDX 3052 TILEPROP INT_INTERFACE_L_X42Y63 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y63 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y63 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y63 TILE_Y -36800 TILEPROP INT_INTERFACE_L_X42Y63 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y64 CLASS tile TILEPROP INT_INTERFACE_L_X42Y64 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y64 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y64 FIRST_SITE_ID 8935 TILEPROP INT_INTERFACE_L_X42Y64 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y64 GRID_POINT_Y 89 TILEPROP INT_INTERFACE_L_X42Y64 INDEX 10343 TILEPROP INT_INTERFACE_L_X42Y64 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y64 INT_TILE_Y 85 TILEPROP INT_INTERFACE_L_X42Y64 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y64 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y64 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y64 NAME INT_INTERFACE_L_X42Y64 TILEPROP INT_INTERFACE_L_X42Y64 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y64 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y64 ROW 89 TILEPROP INT_INTERFACE_L_X42Y64 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y64 TILE_PATTERN_IDX 3017 TILEPROP INT_INTERFACE_L_X42Y64 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y64 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y64 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y64 TILE_Y -33600 TILEPROP INT_INTERFACE_L_X42Y64 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y65 CLASS tile TILEPROP INT_INTERFACE_L_X42Y65 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y65 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y65 FIRST_SITE_ID 8835 TILEPROP INT_INTERFACE_L_X42Y65 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y65 GRID_POINT_Y 88 TILEPROP INT_INTERFACE_L_X42Y65 INDEX 10228 TILEPROP INT_INTERFACE_L_X42Y65 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y65 INT_TILE_Y 84 TILEPROP INT_INTERFACE_L_X42Y65 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y65 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y65 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y65 NAME INT_INTERFACE_L_X42Y65 TILEPROP INT_INTERFACE_L_X42Y65 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y65 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y65 ROW 88 TILEPROP INT_INTERFACE_L_X42Y65 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y65 TILE_PATTERN_IDX 2981 TILEPROP INT_INTERFACE_L_X42Y65 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y65 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y65 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y65 TILE_Y -30400 TILEPROP INT_INTERFACE_L_X42Y65 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y66 CLASS tile TILEPROP INT_INTERFACE_L_X42Y66 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y66 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y66 FIRST_SITE_ID 8720 TILEPROP INT_INTERFACE_L_X42Y66 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y66 GRID_POINT_Y 87 TILEPROP INT_INTERFACE_L_X42Y66 INDEX 10113 TILEPROP INT_INTERFACE_L_X42Y66 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y66 INT_TILE_Y 83 TILEPROP INT_INTERFACE_L_X42Y66 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y66 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y66 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y66 NAME INT_INTERFACE_L_X42Y66 TILEPROP INT_INTERFACE_L_X42Y66 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y66 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y66 ROW 87 TILEPROP INT_INTERFACE_L_X42Y66 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y66 TILE_PATTERN_IDX 2945 TILEPROP INT_INTERFACE_L_X42Y66 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y66 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y66 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y66 TILE_Y -27200 TILEPROP INT_INTERFACE_L_X42Y66 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y67 CLASS tile TILEPROP INT_INTERFACE_L_X42Y67 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y67 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y67 FIRST_SITE_ID 8620 TILEPROP INT_INTERFACE_L_X42Y67 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y67 GRID_POINT_Y 86 TILEPROP INT_INTERFACE_L_X42Y67 INDEX 9998 TILEPROP INT_INTERFACE_L_X42Y67 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y67 INT_TILE_Y 82 TILEPROP INT_INTERFACE_L_X42Y67 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y67 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y67 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y67 NAME INT_INTERFACE_L_X42Y67 TILEPROP INT_INTERFACE_L_X42Y67 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y67 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y67 ROW 86 TILEPROP INT_INTERFACE_L_X42Y67 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y67 TILE_PATTERN_IDX 2909 TILEPROP INT_INTERFACE_L_X42Y67 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y67 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y67 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y67 TILE_Y -24000 TILEPROP INT_INTERFACE_L_X42Y67 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y68 CLASS tile TILEPROP INT_INTERFACE_L_X42Y68 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y68 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y68 FIRST_SITE_ID 8512 TILEPROP INT_INTERFACE_L_X42Y68 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y68 GRID_POINT_Y 85 TILEPROP INT_INTERFACE_L_X42Y68 INDEX 9883 TILEPROP INT_INTERFACE_L_X42Y68 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y68 INT_TILE_Y 81 TILEPROP INT_INTERFACE_L_X42Y68 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y68 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y68 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y68 NAME INT_INTERFACE_L_X42Y68 TILEPROP INT_INTERFACE_L_X42Y68 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y68 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y68 ROW 85 TILEPROP INT_INTERFACE_L_X42Y68 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y68 TILE_PATTERN_IDX 2870 TILEPROP INT_INTERFACE_L_X42Y68 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y68 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y68 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y68 TILE_Y -20800 TILEPROP INT_INTERFACE_L_X42Y68 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y69 CLASS tile TILEPROP INT_INTERFACE_L_X42Y69 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y69 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y69 FIRST_SITE_ID 8412 TILEPROP INT_INTERFACE_L_X42Y69 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y69 GRID_POINT_Y 84 TILEPROP INT_INTERFACE_L_X42Y69 INDEX 9768 TILEPROP INT_INTERFACE_L_X42Y69 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y69 INT_TILE_Y 80 TILEPROP INT_INTERFACE_L_X42Y69 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y69 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y69 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y69 NAME INT_INTERFACE_L_X42Y69 TILEPROP INT_INTERFACE_L_X42Y69 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y69 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y69 ROW 84 TILEPROP INT_INTERFACE_L_X42Y69 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y69 TILE_PATTERN_IDX 2834 TILEPROP INT_INTERFACE_L_X42Y69 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y69 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y69 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y69 TILE_Y -17600 TILEPROP INT_INTERFACE_L_X42Y69 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y70 CLASS tile TILEPROP INT_INTERFACE_L_X42Y70 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y70 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y70 FIRST_SITE_ID 8308 TILEPROP INT_INTERFACE_L_X42Y70 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y70 GRID_POINT_Y 83 TILEPROP INT_INTERFACE_L_X42Y70 INDEX 9653 TILEPROP INT_INTERFACE_L_X42Y70 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y70 INT_TILE_Y 79 TILEPROP INT_INTERFACE_L_X42Y70 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y70 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y70 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y70 NAME INT_INTERFACE_L_X42Y70 TILEPROP INT_INTERFACE_L_X42Y70 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y70 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y70 ROW 83 TILEPROP INT_INTERFACE_L_X42Y70 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y70 TILE_PATTERN_IDX 2798 TILEPROP INT_INTERFACE_L_X42Y70 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y70 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y70 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y70 TILE_Y -14400 TILEPROP INT_INTERFACE_L_X42Y70 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y71 CLASS tile TILEPROP INT_INTERFACE_L_X42Y71 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y71 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y71 FIRST_SITE_ID 8193 TILEPROP INT_INTERFACE_L_X42Y71 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y71 GRID_POINT_Y 82 TILEPROP INT_INTERFACE_L_X42Y71 INDEX 9538 TILEPROP INT_INTERFACE_L_X42Y71 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y71 INT_TILE_Y 78 TILEPROP INT_INTERFACE_L_X42Y71 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y71 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y71 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y71 NAME INT_INTERFACE_L_X42Y71 TILEPROP INT_INTERFACE_L_X42Y71 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y71 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y71 ROW 82 TILEPROP INT_INTERFACE_L_X42Y71 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y71 TILE_PATTERN_IDX 2761 TILEPROP INT_INTERFACE_L_X42Y71 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y71 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y71 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y71 TILE_Y -11200 TILEPROP INT_INTERFACE_L_X42Y71 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y72 CLASS tile TILEPROP INT_INTERFACE_L_X42Y72 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y72 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y72 FIRST_SITE_ID 8093 TILEPROP INT_INTERFACE_L_X42Y72 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y72 GRID_POINT_Y 81 TILEPROP INT_INTERFACE_L_X42Y72 INDEX 9423 TILEPROP INT_INTERFACE_L_X42Y72 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y72 INT_TILE_Y 77 TILEPROP INT_INTERFACE_L_X42Y72 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y72 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y72 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y72 NAME INT_INTERFACE_L_X42Y72 TILEPROP INT_INTERFACE_L_X42Y72 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y72 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y72 ROW 81 TILEPROP INT_INTERFACE_L_X42Y72 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y72 TILE_PATTERN_IDX 2725 TILEPROP INT_INTERFACE_L_X42Y72 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y72 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y72 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y72 TILE_Y -8000 TILEPROP INT_INTERFACE_L_X42Y72 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y73 CLASS tile TILEPROP INT_INTERFACE_L_X42Y73 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y73 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y73 FIRST_SITE_ID 7993 TILEPROP INT_INTERFACE_L_X42Y73 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y73 GRID_POINT_Y 80 TILEPROP INT_INTERFACE_L_X42Y73 INDEX 9308 TILEPROP INT_INTERFACE_L_X42Y73 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y73 INT_TILE_Y 76 TILEPROP INT_INTERFACE_L_X42Y73 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y73 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y73 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y73 NAME INT_INTERFACE_L_X42Y73 TILEPROP INT_INTERFACE_L_X42Y73 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y73 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y73 ROW 80 TILEPROP INT_INTERFACE_L_X42Y73 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y73 TILE_PATTERN_IDX 2688 TILEPROP INT_INTERFACE_L_X42Y73 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y73 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y73 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y73 TILE_Y -4800 TILEPROP INT_INTERFACE_L_X42Y73 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y74 CLASS tile TILEPROP INT_INTERFACE_L_X42Y74 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y74 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y74 FIRST_SITE_ID 7893 TILEPROP INT_INTERFACE_L_X42Y74 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y74 GRID_POINT_Y 79 TILEPROP INT_INTERFACE_L_X42Y74 INDEX 9193 TILEPROP INT_INTERFACE_L_X42Y74 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y74 INT_TILE_Y 75 TILEPROP INT_INTERFACE_L_X42Y74 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y74 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y74 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y74 NAME INT_INTERFACE_L_X42Y74 TILEPROP INT_INTERFACE_L_X42Y74 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y74 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y74 ROW 79 TILEPROP INT_INTERFACE_L_X42Y74 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y74 TILE_PATTERN_IDX 2652 TILEPROP INT_INTERFACE_L_X42Y74 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y74 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y74 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y74 TILE_Y -1600 TILEPROP INT_INTERFACE_L_X42Y74 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y75 CLASS tile TILEPROP INT_INTERFACE_L_X42Y75 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y75 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y75 FIRST_SITE_ID 7710 TILEPROP INT_INTERFACE_L_X42Y75 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y75 GRID_POINT_Y 77 TILEPROP INT_INTERFACE_L_X42Y75 INDEX 8963 TILEPROP INT_INTERFACE_L_X42Y75 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y75 INT_TILE_Y 74 TILEPROP INT_INTERFACE_L_X42Y75 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y75 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y75 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y75 NAME INT_INTERFACE_L_X42Y75 TILEPROP INT_INTERFACE_L_X42Y75 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y75 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y75 ROW 77 TILEPROP INT_INTERFACE_L_X42Y75 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y75 TILE_PATTERN_IDX 2577 TILEPROP INT_INTERFACE_L_X42Y75 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y75 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y75 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y75 TILE_Y 2624 TILEPROP INT_INTERFACE_L_X42Y75 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y76 CLASS tile TILEPROP INT_INTERFACE_L_X42Y76 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y76 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y76 FIRST_SITE_ID 7592 TILEPROP INT_INTERFACE_L_X42Y76 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y76 GRID_POINT_Y 76 TILEPROP INT_INTERFACE_L_X42Y76 INDEX 8848 TILEPROP INT_INTERFACE_L_X42Y76 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y76 INT_TILE_Y 73 TILEPROP INT_INTERFACE_L_X42Y76 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y76 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y76 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y76 NAME INT_INTERFACE_L_X42Y76 TILEPROP INT_INTERFACE_L_X42Y76 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y76 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y76 ROW 76 TILEPROP INT_INTERFACE_L_X42Y76 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y76 TILE_PATTERN_IDX 2540 TILEPROP INT_INTERFACE_L_X42Y76 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y76 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y76 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y76 TILE_Y 5824 TILEPROP INT_INTERFACE_L_X42Y76 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y77 CLASS tile TILEPROP INT_INTERFACE_L_X42Y77 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y77 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y77 FIRST_SITE_ID 7492 TILEPROP INT_INTERFACE_L_X42Y77 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y77 GRID_POINT_Y 75 TILEPROP INT_INTERFACE_L_X42Y77 INDEX 8733 TILEPROP INT_INTERFACE_L_X42Y77 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y77 INT_TILE_Y 72 TILEPROP INT_INTERFACE_L_X42Y77 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y77 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y77 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y77 NAME INT_INTERFACE_L_X42Y77 TILEPROP INT_INTERFACE_L_X42Y77 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y77 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y77 ROW 75 TILEPROP INT_INTERFACE_L_X42Y77 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y77 TILE_PATTERN_IDX 2503 TILEPROP INT_INTERFACE_L_X42Y77 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y77 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y77 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y77 TILE_Y 9024 TILEPROP INT_INTERFACE_L_X42Y77 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y78 CLASS tile TILEPROP INT_INTERFACE_L_X42Y78 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y78 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y78 FIRST_SITE_ID 7392 TILEPROP INT_INTERFACE_L_X42Y78 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y78 GRID_POINT_Y 74 TILEPROP INT_INTERFACE_L_X42Y78 INDEX 8618 TILEPROP INT_INTERFACE_L_X42Y78 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y78 INT_TILE_Y 71 TILEPROP INT_INTERFACE_L_X42Y78 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y78 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y78 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y78 NAME INT_INTERFACE_L_X42Y78 TILEPROP INT_INTERFACE_L_X42Y78 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y78 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y78 ROW 74 TILEPROP INT_INTERFACE_L_X42Y78 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y78 TILE_PATTERN_IDX 2467 TILEPROP INT_INTERFACE_L_X42Y78 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y78 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y78 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y78 TILE_Y 12224 TILEPROP INT_INTERFACE_L_X42Y78 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y79 CLASS tile TILEPROP INT_INTERFACE_L_X42Y79 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y79 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y79 FIRST_SITE_ID 7292 TILEPROP INT_INTERFACE_L_X42Y79 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y79 GRID_POINT_Y 73 TILEPROP INT_INTERFACE_L_X42Y79 INDEX 8503 TILEPROP INT_INTERFACE_L_X42Y79 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y79 INT_TILE_Y 70 TILEPROP INT_INTERFACE_L_X42Y79 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y79 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y79 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y79 NAME INT_INTERFACE_L_X42Y79 TILEPROP INT_INTERFACE_L_X42Y79 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y79 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y79 ROW 73 TILEPROP INT_INTERFACE_L_X42Y79 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y79 TILE_PATTERN_IDX 2430 TILEPROP INT_INTERFACE_L_X42Y79 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y79 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y79 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y79 TILE_Y 15424 TILEPROP INT_INTERFACE_L_X42Y79 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y80 CLASS tile TILEPROP INT_INTERFACE_L_X42Y80 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y80 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y80 FIRST_SITE_ID 7180 TILEPROP INT_INTERFACE_L_X42Y80 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y80 GRID_POINT_Y 72 TILEPROP INT_INTERFACE_L_X42Y80 INDEX 8388 TILEPROP INT_INTERFACE_L_X42Y80 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y80 INT_TILE_Y 69 TILEPROP INT_INTERFACE_L_X42Y80 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y80 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y80 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y80 NAME INT_INTERFACE_L_X42Y80 TILEPROP INT_INTERFACE_L_X42Y80 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y80 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y80 ROW 72 TILEPROP INT_INTERFACE_L_X42Y80 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y80 TILE_PATTERN_IDX 2393 TILEPROP INT_INTERFACE_L_X42Y80 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y80 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y80 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y80 TILE_Y 18624 TILEPROP INT_INTERFACE_L_X42Y80 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y81 CLASS tile TILEPROP INT_INTERFACE_L_X42Y81 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y81 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y81 FIRST_SITE_ID 7065 TILEPROP INT_INTERFACE_L_X42Y81 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y81 GRID_POINT_Y 71 TILEPROP INT_INTERFACE_L_X42Y81 INDEX 8273 TILEPROP INT_INTERFACE_L_X42Y81 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y81 INT_TILE_Y 68 TILEPROP INT_INTERFACE_L_X42Y81 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y81 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y81 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y81 NAME INT_INTERFACE_L_X42Y81 TILEPROP INT_INTERFACE_L_X42Y81 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y81 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y81 ROW 71 TILEPROP INT_INTERFACE_L_X42Y81 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y81 TILE_PATTERN_IDX 2355 TILEPROP INT_INTERFACE_L_X42Y81 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y81 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y81 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y81 TILE_Y 21824 TILEPROP INT_INTERFACE_L_X42Y81 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y82 CLASS tile TILEPROP INT_INTERFACE_L_X42Y82 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y82 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y82 FIRST_SITE_ID 6961 TILEPROP INT_INTERFACE_L_X42Y82 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y82 GRID_POINT_Y 70 TILEPROP INT_INTERFACE_L_X42Y82 INDEX 8158 TILEPROP INT_INTERFACE_L_X42Y82 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y82 INT_TILE_Y 67 TILEPROP INT_INTERFACE_L_X42Y82 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y82 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y82 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y82 NAME INT_INTERFACE_L_X42Y82 TILEPROP INT_INTERFACE_L_X42Y82 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y82 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y82 ROW 70 TILEPROP INT_INTERFACE_L_X42Y82 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y82 TILE_PATTERN_IDX 2319 TILEPROP INT_INTERFACE_L_X42Y82 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y82 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y82 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y82 TILE_Y 25024 TILEPROP INT_INTERFACE_L_X42Y82 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y83 CLASS tile TILEPROP INT_INTERFACE_L_X42Y83 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y83 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y83 FIRST_SITE_ID 6861 TILEPROP INT_INTERFACE_L_X42Y83 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y83 GRID_POINT_Y 69 TILEPROP INT_INTERFACE_L_X42Y83 INDEX 8043 TILEPROP INT_INTERFACE_L_X42Y83 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y83 INT_TILE_Y 66 TILEPROP INT_INTERFACE_L_X42Y83 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y83 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y83 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y83 NAME INT_INTERFACE_L_X42Y83 TILEPROP INT_INTERFACE_L_X42Y83 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y83 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y83 ROW 69 TILEPROP INT_INTERFACE_L_X42Y83 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y83 TILE_PATTERN_IDX 2281 TILEPROP INT_INTERFACE_L_X42Y83 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y83 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y83 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y83 TILE_Y 28224 TILEPROP INT_INTERFACE_L_X42Y83 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y84 CLASS tile TILEPROP INT_INTERFACE_L_X42Y84 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y84 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y84 FIRST_SITE_ID 6761 TILEPROP INT_INTERFACE_L_X42Y84 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y84 GRID_POINT_Y 68 TILEPROP INT_INTERFACE_L_X42Y84 INDEX 7928 TILEPROP INT_INTERFACE_L_X42Y84 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y84 INT_TILE_Y 65 TILEPROP INT_INTERFACE_L_X42Y84 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y84 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y84 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y84 NAME INT_INTERFACE_L_X42Y84 TILEPROP INT_INTERFACE_L_X42Y84 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y84 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y84 ROW 68 TILEPROP INT_INTERFACE_L_X42Y84 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y84 TILE_PATTERN_IDX 2246 TILEPROP INT_INTERFACE_L_X42Y84 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y84 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y84 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y84 TILE_Y 31424 TILEPROP INT_INTERFACE_L_X42Y84 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y85 CLASS tile TILEPROP INT_INTERFACE_L_X42Y85 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y85 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y85 FIRST_SITE_ID 6661 TILEPROP INT_INTERFACE_L_X42Y85 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y85 GRID_POINT_Y 67 TILEPROP INT_INTERFACE_L_X42Y85 INDEX 7813 TILEPROP INT_INTERFACE_L_X42Y85 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y85 INT_TILE_Y 64 TILEPROP INT_INTERFACE_L_X42Y85 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y85 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y85 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y85 NAME INT_INTERFACE_L_X42Y85 TILEPROP INT_INTERFACE_L_X42Y85 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y85 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y85 ROW 67 TILEPROP INT_INTERFACE_L_X42Y85 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y85 TILE_PATTERN_IDX 2210 TILEPROP INT_INTERFACE_L_X42Y85 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y85 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y85 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y85 TILE_Y 34624 TILEPROP INT_INTERFACE_L_X42Y85 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y86 CLASS tile TILEPROP INT_INTERFACE_L_X42Y86 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y86 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y86 FIRST_SITE_ID 6546 TILEPROP INT_INTERFACE_L_X42Y86 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y86 GRID_POINT_Y 66 TILEPROP INT_INTERFACE_L_X42Y86 INDEX 7698 TILEPROP INT_INTERFACE_L_X42Y86 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y86 INT_TILE_Y 63 TILEPROP INT_INTERFACE_L_X42Y86 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y86 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y86 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y86 NAME INT_INTERFACE_L_X42Y86 TILEPROP INT_INTERFACE_L_X42Y86 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y86 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y86 ROW 66 TILEPROP INT_INTERFACE_L_X42Y86 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y86 TILE_PATTERN_IDX 2174 TILEPROP INT_INTERFACE_L_X42Y86 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y86 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y86 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y86 TILE_Y 37824 TILEPROP INT_INTERFACE_L_X42Y86 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y87 CLASS tile TILEPROP INT_INTERFACE_L_X42Y87 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y87 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y87 FIRST_SITE_ID 6414 TILEPROP INT_INTERFACE_L_X42Y87 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y87 GRID_POINT_Y 65 TILEPROP INT_INTERFACE_L_X42Y87 INDEX 7583 TILEPROP INT_INTERFACE_L_X42Y87 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y87 INT_TILE_Y 62 TILEPROP INT_INTERFACE_L_X42Y87 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y87 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y87 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y87 NAME INT_INTERFACE_L_X42Y87 TILEPROP INT_INTERFACE_L_X42Y87 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y87 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y87 ROW 65 TILEPROP INT_INTERFACE_L_X42Y87 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y87 TILE_PATTERN_IDX 2136 TILEPROP INT_INTERFACE_L_X42Y87 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y87 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y87 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y87 TILE_Y 41024 TILEPROP INT_INTERFACE_L_X42Y87 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y88 CLASS tile TILEPROP INT_INTERFACE_L_X42Y88 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y88 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y88 FIRST_SITE_ID 6314 TILEPROP INT_INTERFACE_L_X42Y88 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y88 GRID_POINT_Y 64 TILEPROP INT_INTERFACE_L_X42Y88 INDEX 7468 TILEPROP INT_INTERFACE_L_X42Y88 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y88 INT_TILE_Y 61 TILEPROP INT_INTERFACE_L_X42Y88 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y88 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y88 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y88 NAME INT_INTERFACE_L_X42Y88 TILEPROP INT_INTERFACE_L_X42Y88 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y88 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y88 ROW 64 TILEPROP INT_INTERFACE_L_X42Y88 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y88 TILE_PATTERN_IDX 2101 TILEPROP INT_INTERFACE_L_X42Y88 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y88 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y88 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y88 TILE_Y 44224 TILEPROP INT_INTERFACE_L_X42Y88 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y89 CLASS tile TILEPROP INT_INTERFACE_L_X42Y89 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y89 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y89 FIRST_SITE_ID 6214 TILEPROP INT_INTERFACE_L_X42Y89 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y89 GRID_POINT_Y 63 TILEPROP INT_INTERFACE_L_X42Y89 INDEX 7353 TILEPROP INT_INTERFACE_L_X42Y89 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y89 INT_TILE_Y 60 TILEPROP INT_INTERFACE_L_X42Y89 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y89 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y89 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y89 NAME INT_INTERFACE_L_X42Y89 TILEPROP INT_INTERFACE_L_X42Y89 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y89 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y89 ROW 63 TILEPROP INT_INTERFACE_L_X42Y89 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y89 TILE_PATTERN_IDX 2065 TILEPROP INT_INTERFACE_L_X42Y89 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y89 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y89 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y89 TILE_Y 47424 TILEPROP INT_INTERFACE_L_X42Y89 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y90 CLASS tile TILEPROP INT_INTERFACE_L_X42Y90 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y90 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y90 FIRST_SITE_ID 6114 TILEPROP INT_INTERFACE_L_X42Y90 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y90 GRID_POINT_Y 62 TILEPROP INT_INTERFACE_L_X42Y90 INDEX 7238 TILEPROP INT_INTERFACE_L_X42Y90 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y90 INT_TILE_Y 59 TILEPROP INT_INTERFACE_L_X42Y90 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y90 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y90 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y90 NAME INT_INTERFACE_L_X42Y90 TILEPROP INT_INTERFACE_L_X42Y90 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y90 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y90 ROW 62 TILEPROP INT_INTERFACE_L_X42Y90 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y90 TILE_PATTERN_IDX 2030 TILEPROP INT_INTERFACE_L_X42Y90 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y90 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y90 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y90 TILE_Y 50624 TILEPROP INT_INTERFACE_L_X42Y90 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y91 CLASS tile TILEPROP INT_INTERFACE_L_X42Y91 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y91 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y91 FIRST_SITE_ID 5999 TILEPROP INT_INTERFACE_L_X42Y91 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y91 GRID_POINT_Y 61 TILEPROP INT_INTERFACE_L_X42Y91 INDEX 7123 TILEPROP INT_INTERFACE_L_X42Y91 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y91 INT_TILE_Y 58 TILEPROP INT_INTERFACE_L_X42Y91 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y91 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y91 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y91 NAME INT_INTERFACE_L_X42Y91 TILEPROP INT_INTERFACE_L_X42Y91 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y91 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y91 ROW 61 TILEPROP INT_INTERFACE_L_X42Y91 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y91 TILE_PATTERN_IDX 1991 TILEPROP INT_INTERFACE_L_X42Y91 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y91 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y91 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y91 TILE_Y 53824 TILEPROP INT_INTERFACE_L_X42Y91 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y92 CLASS tile TILEPROP INT_INTERFACE_L_X42Y92 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y92 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y92 FIRST_SITE_ID 5899 TILEPROP INT_INTERFACE_L_X42Y92 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y92 GRID_POINT_Y 60 TILEPROP INT_INTERFACE_L_X42Y92 INDEX 7008 TILEPROP INT_INTERFACE_L_X42Y92 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y92 INT_TILE_Y 57 TILEPROP INT_INTERFACE_L_X42Y92 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y92 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y92 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y92 NAME INT_INTERFACE_L_X42Y92 TILEPROP INT_INTERFACE_L_X42Y92 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y92 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y92 ROW 60 TILEPROP INT_INTERFACE_L_X42Y92 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y92 TILE_PATTERN_IDX 1954 TILEPROP INT_INTERFACE_L_X42Y92 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y92 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y92 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y92 TILE_Y 57024 TILEPROP INT_INTERFACE_L_X42Y92 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y93 CLASS tile TILEPROP INT_INTERFACE_L_X42Y93 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y93 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y93 FIRST_SITE_ID 5797 TILEPROP INT_INTERFACE_L_X42Y93 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y93 GRID_POINT_Y 59 TILEPROP INT_INTERFACE_L_X42Y93 INDEX 6893 TILEPROP INT_INTERFACE_L_X42Y93 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y93 INT_TILE_Y 56 TILEPROP INT_INTERFACE_L_X42Y93 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y93 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y93 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y93 NAME INT_INTERFACE_L_X42Y93 TILEPROP INT_INTERFACE_L_X42Y93 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y93 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y93 ROW 59 TILEPROP INT_INTERFACE_L_X42Y93 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y93 TILE_PATTERN_IDX 1915 TILEPROP INT_INTERFACE_L_X42Y93 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y93 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y93 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y93 TILE_Y 60224 TILEPROP INT_INTERFACE_L_X42Y93 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y94 CLASS tile TILEPROP INT_INTERFACE_L_X42Y94 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y94 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y94 FIRST_SITE_ID 5693 TILEPROP INT_INTERFACE_L_X42Y94 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y94 GRID_POINT_Y 58 TILEPROP INT_INTERFACE_L_X42Y94 INDEX 6778 TILEPROP INT_INTERFACE_L_X42Y94 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y94 INT_TILE_Y 55 TILEPROP INT_INTERFACE_L_X42Y94 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y94 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y94 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y94 NAME INT_INTERFACE_L_X42Y94 TILEPROP INT_INTERFACE_L_X42Y94 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y94 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y94 ROW 58 TILEPROP INT_INTERFACE_L_X42Y94 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y94 TILE_PATTERN_IDX 1878 TILEPROP INT_INTERFACE_L_X42Y94 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y94 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y94 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y94 TILE_Y 63424 TILEPROP INT_INTERFACE_L_X42Y94 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y95 CLASS tile TILEPROP INT_INTERFACE_L_X42Y95 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y95 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y95 FIRST_SITE_ID 5593 TILEPROP INT_INTERFACE_L_X42Y95 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y95 GRID_POINT_Y 57 TILEPROP INT_INTERFACE_L_X42Y95 INDEX 6663 TILEPROP INT_INTERFACE_L_X42Y95 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y95 INT_TILE_Y 54 TILEPROP INT_INTERFACE_L_X42Y95 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y95 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y95 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y95 NAME INT_INTERFACE_L_X42Y95 TILEPROP INT_INTERFACE_L_X42Y95 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y95 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y95 ROW 57 TILEPROP INT_INTERFACE_L_X42Y95 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y95 TILE_PATTERN_IDX 1842 TILEPROP INT_INTERFACE_L_X42Y95 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y95 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y95 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y95 TILE_Y 66624 TILEPROP INT_INTERFACE_L_X42Y95 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y96 CLASS tile TILEPROP INT_INTERFACE_L_X42Y96 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y96 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y96 FIRST_SITE_ID 5478 TILEPROP INT_INTERFACE_L_X42Y96 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y96 GRID_POINT_Y 56 TILEPROP INT_INTERFACE_L_X42Y96 INDEX 6548 TILEPROP INT_INTERFACE_L_X42Y96 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y96 INT_TILE_Y 53 TILEPROP INT_INTERFACE_L_X42Y96 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y96 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y96 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y96 NAME INT_INTERFACE_L_X42Y96 TILEPROP INT_INTERFACE_L_X42Y96 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y96 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y96 ROW 56 TILEPROP INT_INTERFACE_L_X42Y96 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y96 TILE_PATTERN_IDX 1800 TILEPROP INT_INTERFACE_L_X42Y96 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y96 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y96 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y96 TILE_Y 69824 TILEPROP INT_INTERFACE_L_X42Y96 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y97 CLASS tile TILEPROP INT_INTERFACE_L_X42Y97 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y97 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y97 FIRST_SITE_ID 5378 TILEPROP INT_INTERFACE_L_X42Y97 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y97 GRID_POINT_Y 55 TILEPROP INT_INTERFACE_L_X42Y97 INDEX 6433 TILEPROP INT_INTERFACE_L_X42Y97 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y97 INT_TILE_Y 52 TILEPROP INT_INTERFACE_L_X42Y97 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y97 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y97 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y97 NAME INT_INTERFACE_L_X42Y97 TILEPROP INT_INTERFACE_L_X42Y97 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y97 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y97 ROW 55 TILEPROP INT_INTERFACE_L_X42Y97 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y97 TILE_PATTERN_IDX 1760 TILEPROP INT_INTERFACE_L_X42Y97 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y97 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y97 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y97 TILE_Y 73024 TILEPROP INT_INTERFACE_L_X42Y97 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y98 CLASS tile TILEPROP INT_INTERFACE_L_X42Y98 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y98 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y98 FIRST_SITE_ID 5278 TILEPROP INT_INTERFACE_L_X42Y98 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y98 GRID_POINT_Y 54 TILEPROP INT_INTERFACE_L_X42Y98 INDEX 6318 TILEPROP INT_INTERFACE_L_X42Y98 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y98 INT_TILE_Y 51 TILEPROP INT_INTERFACE_L_X42Y98 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y98 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y98 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y98 NAME INT_INTERFACE_L_X42Y98 TILEPROP INT_INTERFACE_L_X42Y98 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y98 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y98 ROW 54 TILEPROP INT_INTERFACE_L_X42Y98 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y98 TILE_PATTERN_IDX 1722 TILEPROP INT_INTERFACE_L_X42Y98 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y98 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y98 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y98 TILE_Y 76224 TILEPROP INT_INTERFACE_L_X42Y98 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y99 CLASS tile TILEPROP INT_INTERFACE_L_X42Y99 COLUMN 108 TILEPROP INT_INTERFACE_L_X42Y99 DEVICE_ID 0 TILEPROP INT_INTERFACE_L_X42Y99 FIRST_SITE_ID 5182 TILEPROP INT_INTERFACE_L_X42Y99 GRID_POINT_X 108 TILEPROP INT_INTERFACE_L_X42Y99 GRID_POINT_Y 53 TILEPROP INT_INTERFACE_L_X42Y99 INDEX 6203 TILEPROP INT_INTERFACE_L_X42Y99 INT_TILE_X 41 TILEPROP INT_INTERFACE_L_X42Y99 INT_TILE_Y 50 TILEPROP INT_INTERFACE_L_X42Y99 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_L_X42Y99 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_L_X42Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y99 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_L_X42Y99 NAME INT_INTERFACE_L_X42Y99 TILEPROP INT_INTERFACE_L_X42Y99 NUM_ARCS 84 TILEPROP INT_INTERFACE_L_X42Y99 NUM_SITES 0 TILEPROP INT_INTERFACE_L_X42Y99 ROW 53 TILEPROP INT_INTERFACE_L_X42Y99 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_L_X42Y99 TILE_PATTERN_IDX 1682 TILEPROP INT_INTERFACE_L_X42Y99 TILE_TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_L_X42Y99 TILE_TYPE_INDEX 93 TILEPROP INT_INTERFACE_L_X42Y99 TILE_X 97966 TILEPROP INT_INTERFACE_L_X42Y99 TILE_Y 79424 TILEPROP INT_INTERFACE_L_X42Y99 TYPE INT_INTERFACE_L TILEPROP INT_INTERFACE_R_X1Y0 CLASS tile TILEPROP INT_INTERFACE_R_X1Y0 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y0 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y0 FIRST_SITE_ID 15708 TILEPROP INT_INTERFACE_R_X1Y0 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y0 GRID_POINT_Y 155 TILEPROP INT_INTERFACE_R_X1Y0 INDEX 17831 TILEPROP INT_INTERFACE_R_X1Y0 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y0 INT_TILE_Y 149 TILEPROP INT_INTERFACE_R_X1Y0 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y0 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y0 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y0 NAME INT_INTERFACE_R_X1Y0 TILEPROP INT_INTERFACE_R_X1Y0 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y0 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y0 ROW 155 TILEPROP INT_INTERFACE_R_X1Y0 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y0 TILE_PATTERN_IDX 1599 TILEPROP INT_INTERFACE_R_X1Y0 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y0 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y0 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y0 TILE_Y -239672 TILEPROP INT_INTERFACE_R_X1Y0 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y1 CLASS tile TILEPROP INT_INTERFACE_R_X1Y1 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y1 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y1 FIRST_SITE_ID 15603 TILEPROP INT_INTERFACE_R_X1Y1 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y1 GRID_POINT_Y 154 TILEPROP INT_INTERFACE_R_X1Y1 INDEX 17716 TILEPROP INT_INTERFACE_R_X1Y1 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y1 INT_TILE_Y 148 TILEPROP INT_INTERFACE_R_X1Y1 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y1 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y1 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y1 NAME INT_INTERFACE_R_X1Y1 TILEPROP INT_INTERFACE_R_X1Y1 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y1 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y1 ROW 154 TILEPROP INT_INTERFACE_R_X1Y1 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y1 TILE_PATTERN_IDX 1569 TILEPROP INT_INTERFACE_R_X1Y1 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y1 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y1 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y1 TILE_Y -236472 TILEPROP INT_INTERFACE_R_X1Y1 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y2 CLASS tile TILEPROP INT_INTERFACE_R_X1Y2 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y2 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y2 FIRST_SITE_ID 15503 TILEPROP INT_INTERFACE_R_X1Y2 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y2 GRID_POINT_Y 153 TILEPROP INT_INTERFACE_R_X1Y2 INDEX 17601 TILEPROP INT_INTERFACE_R_X1Y2 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y2 INT_TILE_Y 147 TILEPROP INT_INTERFACE_R_X1Y2 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y2 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y2 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y2 NAME INT_INTERFACE_R_X1Y2 TILEPROP INT_INTERFACE_R_X1Y2 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y2 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y2 ROW 153 TILEPROP INT_INTERFACE_R_X1Y2 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y2 TILE_PATTERN_IDX 1538 TILEPROP INT_INTERFACE_R_X1Y2 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y2 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y2 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y2 TILE_Y -233272 TILEPROP INT_INTERFACE_R_X1Y2 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y3 CLASS tile TILEPROP INT_INTERFACE_R_X1Y3 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y3 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y3 FIRST_SITE_ID 15403 TILEPROP INT_INTERFACE_R_X1Y3 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y3 GRID_POINT_Y 152 TILEPROP INT_INTERFACE_R_X1Y3 INDEX 17486 TILEPROP INT_INTERFACE_R_X1Y3 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y3 INT_TILE_Y 146 TILEPROP INT_INTERFACE_R_X1Y3 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y3 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y3 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y3 NAME INT_INTERFACE_R_X1Y3 TILEPROP INT_INTERFACE_R_X1Y3 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y3 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y3 ROW 152 TILEPROP INT_INTERFACE_R_X1Y3 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y3 TILE_PATTERN_IDX 1509 TILEPROP INT_INTERFACE_R_X1Y3 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y3 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y3 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y3 TILE_Y -230072 TILEPROP INT_INTERFACE_R_X1Y3 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y4 CLASS tile TILEPROP INT_INTERFACE_R_X1Y4 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y4 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y4 FIRST_SITE_ID 15303 TILEPROP INT_INTERFACE_R_X1Y4 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y4 GRID_POINT_Y 151 TILEPROP INT_INTERFACE_R_X1Y4 INDEX 17371 TILEPROP INT_INTERFACE_R_X1Y4 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y4 INT_TILE_Y 145 TILEPROP INT_INTERFACE_R_X1Y4 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y4 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y4 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y4 NAME INT_INTERFACE_R_X1Y4 TILEPROP INT_INTERFACE_R_X1Y4 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y4 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y4 ROW 151 TILEPROP INT_INTERFACE_R_X1Y4 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y4 TILE_PATTERN_IDX 1479 TILEPROP INT_INTERFACE_R_X1Y4 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y4 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y4 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y4 TILE_Y -226872 TILEPROP INT_INTERFACE_R_X1Y4 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y5 CLASS tile TILEPROP INT_INTERFACE_R_X1Y5 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y5 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y5 FIRST_SITE_ID 15188 TILEPROP INT_INTERFACE_R_X1Y5 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y5 GRID_POINT_Y 150 TILEPROP INT_INTERFACE_R_X1Y5 INDEX 17256 TILEPROP INT_INTERFACE_R_X1Y5 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y5 INT_TILE_Y 144 TILEPROP INT_INTERFACE_R_X1Y5 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y5 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y5 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y5 NAME INT_INTERFACE_R_X1Y5 TILEPROP INT_INTERFACE_R_X1Y5 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y5 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y5 ROW 150 TILEPROP INT_INTERFACE_R_X1Y5 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y5 TILE_PATTERN_IDX 1449 TILEPROP INT_INTERFACE_R_X1Y5 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y5 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y5 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y5 TILE_Y -223672 TILEPROP INT_INTERFACE_R_X1Y5 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y6 CLASS tile TILEPROP INT_INTERFACE_R_X1Y6 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y6 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y6 FIRST_SITE_ID 15088 TILEPROP INT_INTERFACE_R_X1Y6 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y6 GRID_POINT_Y 149 TILEPROP INT_INTERFACE_R_X1Y6 INDEX 17141 TILEPROP INT_INTERFACE_R_X1Y6 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y6 INT_TILE_Y 143 TILEPROP INT_INTERFACE_R_X1Y6 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y6 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y6 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y6 NAME INT_INTERFACE_R_X1Y6 TILEPROP INT_INTERFACE_R_X1Y6 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y6 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y6 ROW 149 TILEPROP INT_INTERFACE_R_X1Y6 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y6 TILE_PATTERN_IDX 1419 TILEPROP INT_INTERFACE_R_X1Y6 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y6 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y6 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y6 TILE_Y -220472 TILEPROP INT_INTERFACE_R_X1Y6 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y7 CLASS tile TILEPROP INT_INTERFACE_R_X1Y7 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y7 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y7 FIRST_SITE_ID 14984 TILEPROP INT_INTERFACE_R_X1Y7 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y7 GRID_POINT_Y 148 TILEPROP INT_INTERFACE_R_X1Y7 INDEX 17026 TILEPROP INT_INTERFACE_R_X1Y7 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y7 INT_TILE_Y 142 TILEPROP INT_INTERFACE_R_X1Y7 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y7 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y7 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y7 NAME INT_INTERFACE_R_X1Y7 TILEPROP INT_INTERFACE_R_X1Y7 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y7 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y7 ROW 148 TILEPROP INT_INTERFACE_R_X1Y7 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y7 TILE_PATTERN_IDX 1390 TILEPROP INT_INTERFACE_R_X1Y7 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y7 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y7 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y7 TILE_Y -217272 TILEPROP INT_INTERFACE_R_X1Y7 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y8 CLASS tile TILEPROP INT_INTERFACE_R_X1Y8 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y8 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y8 FIRST_SITE_ID 14882 TILEPROP INT_INTERFACE_R_X1Y8 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y8 GRID_POINT_Y 147 TILEPROP INT_INTERFACE_R_X1Y8 INDEX 16911 TILEPROP INT_INTERFACE_R_X1Y8 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y8 INT_TILE_Y 141 TILEPROP INT_INTERFACE_R_X1Y8 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y8 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y8 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y8 NAME INT_INTERFACE_R_X1Y8 TILEPROP INT_INTERFACE_R_X1Y8 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y8 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y8 ROW 147 TILEPROP INT_INTERFACE_R_X1Y8 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y8 TILE_PATTERN_IDX 1359 TILEPROP INT_INTERFACE_R_X1Y8 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y8 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y8 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y8 TILE_Y -214072 TILEPROP INT_INTERFACE_R_X1Y8 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y9 CLASS tile TILEPROP INT_INTERFACE_R_X1Y9 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y9 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y9 FIRST_SITE_ID 14782 TILEPROP INT_INTERFACE_R_X1Y9 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y9 GRID_POINT_Y 146 TILEPROP INT_INTERFACE_R_X1Y9 INDEX 16796 TILEPROP INT_INTERFACE_R_X1Y9 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y9 INT_TILE_Y 140 TILEPROP INT_INTERFACE_R_X1Y9 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y9 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y9 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y9 NAME INT_INTERFACE_R_X1Y9 TILEPROP INT_INTERFACE_R_X1Y9 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y9 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y9 ROW 146 TILEPROP INT_INTERFACE_R_X1Y9 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y9 TILE_PATTERN_IDX 1331 TILEPROP INT_INTERFACE_R_X1Y9 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y9 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y9 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y9 TILE_Y -210872 TILEPROP INT_INTERFACE_R_X1Y9 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y10 CLASS tile TILEPROP INT_INTERFACE_R_X1Y10 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y10 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y10 FIRST_SITE_ID 14667 TILEPROP INT_INTERFACE_R_X1Y10 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y10 GRID_POINT_Y 145 TILEPROP INT_INTERFACE_R_X1Y10 INDEX 16681 TILEPROP INT_INTERFACE_R_X1Y10 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y10 INT_TILE_Y 139 TILEPROP INT_INTERFACE_R_X1Y10 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y10 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y10 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y10 NAME INT_INTERFACE_R_X1Y10 TILEPROP INT_INTERFACE_R_X1Y10 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y10 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y10 ROW 145 TILEPROP INT_INTERFACE_R_X1Y10 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y10 TILE_PATTERN_IDX 1299 TILEPROP INT_INTERFACE_R_X1Y10 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y10 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y10 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y10 TILE_Y -207672 TILEPROP INT_INTERFACE_R_X1Y10 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y11 CLASS tile TILEPROP INT_INTERFACE_R_X1Y11 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y11 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y11 FIRST_SITE_ID 14567 TILEPROP INT_INTERFACE_R_X1Y11 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y11 GRID_POINT_Y 144 TILEPROP INT_INTERFACE_R_X1Y11 INDEX 16566 TILEPROP INT_INTERFACE_R_X1Y11 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y11 INT_TILE_Y 138 TILEPROP INT_INTERFACE_R_X1Y11 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y11 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y11 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y11 NAME INT_INTERFACE_R_X1Y11 TILEPROP INT_INTERFACE_R_X1Y11 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y11 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y11 ROW 144 TILEPROP INT_INTERFACE_R_X1Y11 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y11 TILE_PATTERN_IDX 1271 TILEPROP INT_INTERFACE_R_X1Y11 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y11 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y11 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y11 TILE_Y -204472 TILEPROP INT_INTERFACE_R_X1Y11 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y12 CLASS tile TILEPROP INT_INTERFACE_R_X1Y12 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y12 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y12 FIRST_SITE_ID 14435 TILEPROP INT_INTERFACE_R_X1Y12 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y12 GRID_POINT_Y 143 TILEPROP INT_INTERFACE_R_X1Y12 INDEX 16451 TILEPROP INT_INTERFACE_R_X1Y12 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y12 INT_TILE_Y 137 TILEPROP INT_INTERFACE_R_X1Y12 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y12 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y12 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y12 NAME INT_INTERFACE_R_X1Y12 TILEPROP INT_INTERFACE_R_X1Y12 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y12 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y12 ROW 143 TILEPROP INT_INTERFACE_R_X1Y12 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y12 TILE_PATTERN_IDX 1240 TILEPROP INT_INTERFACE_R_X1Y12 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y12 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y12 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y12 TILE_Y -201272 TILEPROP INT_INTERFACE_R_X1Y12 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y13 CLASS tile TILEPROP INT_INTERFACE_R_X1Y13 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y13 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y13 FIRST_SITE_ID 14335 TILEPROP INT_INTERFACE_R_X1Y13 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y13 GRID_POINT_Y 142 TILEPROP INT_INTERFACE_R_X1Y13 INDEX 16336 TILEPROP INT_INTERFACE_R_X1Y13 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y13 INT_TILE_Y 136 TILEPROP INT_INTERFACE_R_X1Y13 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y13 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y13 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y13 NAME INT_INTERFACE_R_X1Y13 TILEPROP INT_INTERFACE_R_X1Y13 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y13 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y13 ROW 142 TILEPROP INT_INTERFACE_R_X1Y13 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y13 TILE_PATTERN_IDX 1212 TILEPROP INT_INTERFACE_R_X1Y13 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y13 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y13 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y13 TILE_Y -198072 TILEPROP INT_INTERFACE_R_X1Y13 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y14 CLASS tile TILEPROP INT_INTERFACE_R_X1Y14 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y14 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y14 FIRST_SITE_ID 14235 TILEPROP INT_INTERFACE_R_X1Y14 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y14 GRID_POINT_Y 141 TILEPROP INT_INTERFACE_R_X1Y14 INDEX 16221 TILEPROP INT_INTERFACE_R_X1Y14 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y14 INT_TILE_Y 135 TILEPROP INT_INTERFACE_R_X1Y14 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y14 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y14 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y14 NAME INT_INTERFACE_R_X1Y14 TILEPROP INT_INTERFACE_R_X1Y14 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y14 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y14 ROW 141 TILEPROP INT_INTERFACE_R_X1Y14 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y14 TILE_PATTERN_IDX 1182 TILEPROP INT_INTERFACE_R_X1Y14 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y14 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y14 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y14 TILE_Y -194872 TILEPROP INT_INTERFACE_R_X1Y14 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y15 CLASS tile TILEPROP INT_INTERFACE_R_X1Y15 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y15 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y15 FIRST_SITE_ID 14120 TILEPROP INT_INTERFACE_R_X1Y15 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y15 GRID_POINT_Y 140 TILEPROP INT_INTERFACE_R_X1Y15 INDEX 16106 TILEPROP INT_INTERFACE_R_X1Y15 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y15 INT_TILE_Y 134 TILEPROP INT_INTERFACE_R_X1Y15 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y15 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y15 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y15 NAME INT_INTERFACE_R_X1Y15 TILEPROP INT_INTERFACE_R_X1Y15 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y15 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y15 ROW 140 TILEPROP INT_INTERFACE_R_X1Y15 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y15 TILE_PATTERN_IDX 1153 TILEPROP INT_INTERFACE_R_X1Y15 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y15 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y15 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y15 TILE_Y -191672 TILEPROP INT_INTERFACE_R_X1Y15 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y16 CLASS tile TILEPROP INT_INTERFACE_R_X1Y16 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y16 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y16 FIRST_SITE_ID 14020 TILEPROP INT_INTERFACE_R_X1Y16 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y16 GRID_POINT_Y 139 TILEPROP INT_INTERFACE_R_X1Y16 INDEX 15991 TILEPROP INT_INTERFACE_R_X1Y16 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y16 INT_TILE_Y 133 TILEPROP INT_INTERFACE_R_X1Y16 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y16 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y16 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y16 NAME INT_INTERFACE_R_X1Y16 TILEPROP INT_INTERFACE_R_X1Y16 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y16 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y16 ROW 139 TILEPROP INT_INTERFACE_R_X1Y16 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y16 TILE_PATTERN_IDX 1122 TILEPROP INT_INTERFACE_R_X1Y16 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y16 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y16 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y16 TILE_Y -188472 TILEPROP INT_INTERFACE_R_X1Y16 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y17 CLASS tile TILEPROP INT_INTERFACE_R_X1Y17 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y17 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y17 FIRST_SITE_ID 13911 TILEPROP INT_INTERFACE_R_X1Y17 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y17 GRID_POINT_Y 138 TILEPROP INT_INTERFACE_R_X1Y17 INDEX 15876 TILEPROP INT_INTERFACE_R_X1Y17 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y17 INT_TILE_Y 132 TILEPROP INT_INTERFACE_R_X1Y17 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y17 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y17 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y17 NAME INT_INTERFACE_R_X1Y17 TILEPROP INT_INTERFACE_R_X1Y17 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y17 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y17 ROW 138 TILEPROP INT_INTERFACE_R_X1Y17 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y17 TILE_PATTERN_IDX 1093 TILEPROP INT_INTERFACE_R_X1Y17 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y17 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y17 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y17 TILE_Y -185272 TILEPROP INT_INTERFACE_R_X1Y17 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y18 CLASS tile TILEPROP INT_INTERFACE_R_X1Y18 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y18 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y18 FIRST_SITE_ID 13811 TILEPROP INT_INTERFACE_R_X1Y18 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y18 GRID_POINT_Y 137 TILEPROP INT_INTERFACE_R_X1Y18 INDEX 15761 TILEPROP INT_INTERFACE_R_X1Y18 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y18 INT_TILE_Y 131 TILEPROP INT_INTERFACE_R_X1Y18 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y18 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y18 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y18 NAME INT_INTERFACE_R_X1Y18 TILEPROP INT_INTERFACE_R_X1Y18 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y18 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y18 ROW 137 TILEPROP INT_INTERFACE_R_X1Y18 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y18 TILE_PATTERN_IDX 1063 TILEPROP INT_INTERFACE_R_X1Y18 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y18 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y18 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y18 TILE_Y -182072 TILEPROP INT_INTERFACE_R_X1Y18 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y19 CLASS tile TILEPROP INT_INTERFACE_R_X1Y19 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y19 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y19 FIRST_SITE_ID 13707 TILEPROP INT_INTERFACE_R_X1Y19 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y19 GRID_POINT_Y 136 TILEPROP INT_INTERFACE_R_X1Y19 INDEX 15646 TILEPROP INT_INTERFACE_R_X1Y19 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y19 INT_TILE_Y 130 TILEPROP INT_INTERFACE_R_X1Y19 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y19 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y19 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y19 NAME INT_INTERFACE_R_X1Y19 TILEPROP INT_INTERFACE_R_X1Y19 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y19 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y19 ROW 136 TILEPROP INT_INTERFACE_R_X1Y19 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y19 TILE_PATTERN_IDX 1034 TILEPROP INT_INTERFACE_R_X1Y19 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y19 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y19 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y19 TILE_Y -178872 TILEPROP INT_INTERFACE_R_X1Y19 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y20 CLASS tile TILEPROP INT_INTERFACE_R_X1Y20 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y20 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y20 FIRST_SITE_ID 13592 TILEPROP INT_INTERFACE_R_X1Y20 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y20 GRID_POINT_Y 135 TILEPROP INT_INTERFACE_R_X1Y20 INDEX 15531 TILEPROP INT_INTERFACE_R_X1Y20 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y20 INT_TILE_Y 129 TILEPROP INT_INTERFACE_R_X1Y20 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y20 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y20 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y20 NAME INT_INTERFACE_R_X1Y20 TILEPROP INT_INTERFACE_R_X1Y20 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y20 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y20 ROW 135 TILEPROP INT_INTERFACE_R_X1Y20 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y20 TILE_PATTERN_IDX 1000 TILEPROP INT_INTERFACE_R_X1Y20 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y20 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y20 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y20 TILE_Y -175672 TILEPROP INT_INTERFACE_R_X1Y20 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y21 CLASS tile TILEPROP INT_INTERFACE_R_X1Y21 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y21 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y21 FIRST_SITE_ID 13492 TILEPROP INT_INTERFACE_R_X1Y21 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y21 GRID_POINT_Y 134 TILEPROP INT_INTERFACE_R_X1Y21 INDEX 15416 TILEPROP INT_INTERFACE_R_X1Y21 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y21 INT_TILE_Y 128 TILEPROP INT_INTERFACE_R_X1Y21 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y21 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y21 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y21 NAME INT_INTERFACE_R_X1Y21 TILEPROP INT_INTERFACE_R_X1Y21 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y21 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y21 ROW 134 TILEPROP INT_INTERFACE_R_X1Y21 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y21 TILE_PATTERN_IDX 971 TILEPROP INT_INTERFACE_R_X1Y21 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y21 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y21 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y21 TILE_Y -172472 TILEPROP INT_INTERFACE_R_X1Y21 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y22 CLASS tile TILEPROP INT_INTERFACE_R_X1Y22 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y22 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y22 FIRST_SITE_ID 13392 TILEPROP INT_INTERFACE_R_X1Y22 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y22 GRID_POINT_Y 133 TILEPROP INT_INTERFACE_R_X1Y22 INDEX 15301 TILEPROP INT_INTERFACE_R_X1Y22 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y22 INT_TILE_Y 127 TILEPROP INT_INTERFACE_R_X1Y22 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y22 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y22 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y22 NAME INT_INTERFACE_R_X1Y22 TILEPROP INT_INTERFACE_R_X1Y22 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y22 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y22 ROW 133 TILEPROP INT_INTERFACE_R_X1Y22 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y22 TILE_PATTERN_IDX 939 TILEPROP INT_INTERFACE_R_X1Y22 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y22 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y22 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y22 TILE_Y -169272 TILEPROP INT_INTERFACE_R_X1Y22 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y23 CLASS tile TILEPROP INT_INTERFACE_R_X1Y23 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y23 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y23 FIRST_SITE_ID 13292 TILEPROP INT_INTERFACE_R_X1Y23 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y23 GRID_POINT_Y 132 TILEPROP INT_INTERFACE_R_X1Y23 INDEX 15186 TILEPROP INT_INTERFACE_R_X1Y23 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y23 INT_TILE_Y 126 TILEPROP INT_INTERFACE_R_X1Y23 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y23 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y23 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y23 NAME INT_INTERFACE_R_X1Y23 TILEPROP INT_INTERFACE_R_X1Y23 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y23 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y23 ROW 132 TILEPROP INT_INTERFACE_R_X1Y23 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y23 TILE_PATTERN_IDX 910 TILEPROP INT_INTERFACE_R_X1Y23 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y23 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y23 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y23 TILE_Y -166072 TILEPROP INT_INTERFACE_R_X1Y23 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y24 CLASS tile TILEPROP INT_INTERFACE_R_X1Y24 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y24 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y24 FIRST_SITE_ID 13192 TILEPROP INT_INTERFACE_R_X1Y24 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y24 GRID_POINT_Y 131 TILEPROP INT_INTERFACE_R_X1Y24 INDEX 15071 TILEPROP INT_INTERFACE_R_X1Y24 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y24 INT_TILE_Y 125 TILEPROP INT_INTERFACE_R_X1Y24 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y24 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y24 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y24 NAME INT_INTERFACE_R_X1Y24 TILEPROP INT_INTERFACE_R_X1Y24 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y24 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y24 ROW 131 TILEPROP INT_INTERFACE_R_X1Y24 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y24 TILE_PATTERN_IDX 873 TILEPROP INT_INTERFACE_R_X1Y24 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y24 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y24 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y24 TILE_Y -162872 TILEPROP INT_INTERFACE_R_X1Y24 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y25 CLASS tile TILEPROP INT_INTERFACE_R_X1Y25 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y25 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y25 FIRST_SITE_ID 12994 TILEPROP INT_INTERFACE_R_X1Y25 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y25 GRID_POINT_Y 129 TILEPROP INT_INTERFACE_R_X1Y25 INDEX 14841 TILEPROP INT_INTERFACE_R_X1Y25 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y25 INT_TILE_Y 124 TILEPROP INT_INTERFACE_R_X1Y25 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y25 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y25 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y25 NAME INT_INTERFACE_R_X1Y25 TILEPROP INT_INTERFACE_R_X1Y25 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y25 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y25 ROW 129 TILEPROP INT_INTERFACE_R_X1Y25 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y25 TILE_PATTERN_IDX 789 TILEPROP INT_INTERFACE_R_X1Y25 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y25 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y25 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y25 TILE_Y -158648 TILEPROP INT_INTERFACE_R_X1Y25 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y26 CLASS tile TILEPROP INT_INTERFACE_R_X1Y26 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y26 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y26 FIRST_SITE_ID 12894 TILEPROP INT_INTERFACE_R_X1Y26 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y26 GRID_POINT_Y 128 TILEPROP INT_INTERFACE_R_X1Y26 INDEX 14726 TILEPROP INT_INTERFACE_R_X1Y26 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y26 INT_TILE_Y 123 TILEPROP INT_INTERFACE_R_X1Y26 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y26 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y26 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y26 NAME INT_INTERFACE_R_X1Y26 TILEPROP INT_INTERFACE_R_X1Y26 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y26 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y26 ROW 128 TILEPROP INT_INTERFACE_R_X1Y26 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y26 TILE_PATTERN_IDX 757 TILEPROP INT_INTERFACE_R_X1Y26 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y26 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y26 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y26 TILE_Y -155448 TILEPROP INT_INTERFACE_R_X1Y26 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y27 CLASS tile TILEPROP INT_INTERFACE_R_X1Y27 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y27 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y27 FIRST_SITE_ID 12794 TILEPROP INT_INTERFACE_R_X1Y27 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y27 GRID_POINT_Y 127 TILEPROP INT_INTERFACE_R_X1Y27 INDEX 14611 TILEPROP INT_INTERFACE_R_X1Y27 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y27 INT_TILE_Y 122 TILEPROP INT_INTERFACE_R_X1Y27 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y27 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y27 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y27 NAME INT_INTERFACE_R_X1Y27 TILEPROP INT_INTERFACE_R_X1Y27 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y27 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y27 ROW 127 TILEPROP INT_INTERFACE_R_X1Y27 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y27 TILE_PATTERN_IDX 727 TILEPROP INT_INTERFACE_R_X1Y27 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y27 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y27 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y27 TILE_Y -152248 TILEPROP INT_INTERFACE_R_X1Y27 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y28 CLASS tile TILEPROP INT_INTERFACE_R_X1Y28 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y28 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y28 FIRST_SITE_ID 12694 TILEPROP INT_INTERFACE_R_X1Y28 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y28 GRID_POINT_Y 126 TILEPROP INT_INTERFACE_R_X1Y28 INDEX 14496 TILEPROP INT_INTERFACE_R_X1Y28 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y28 INT_TILE_Y 121 TILEPROP INT_INTERFACE_R_X1Y28 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y28 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y28 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y28 NAME INT_INTERFACE_R_X1Y28 TILEPROP INT_INTERFACE_R_X1Y28 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y28 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y28 ROW 126 TILEPROP INT_INTERFACE_R_X1Y28 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y28 TILE_PATTERN_IDX 695 TILEPROP INT_INTERFACE_R_X1Y28 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y28 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y28 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y28 TILE_Y -149048 TILEPROP INT_INTERFACE_R_X1Y28 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y29 CLASS tile TILEPROP INT_INTERFACE_R_X1Y29 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y29 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y29 FIRST_SITE_ID 12582 TILEPROP INT_INTERFACE_R_X1Y29 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y29 GRID_POINT_Y 125 TILEPROP INT_INTERFACE_R_X1Y29 INDEX 14381 TILEPROP INT_INTERFACE_R_X1Y29 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y29 INT_TILE_Y 120 TILEPROP INT_INTERFACE_R_X1Y29 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y29 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y29 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y29 NAME INT_INTERFACE_R_X1Y29 TILEPROP INT_INTERFACE_R_X1Y29 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y29 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y29 ROW 125 TILEPROP INT_INTERFACE_R_X1Y29 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y29 TILE_PATTERN_IDX 665 TILEPROP INT_INTERFACE_R_X1Y29 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y29 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y29 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y29 TILE_Y -145848 TILEPROP INT_INTERFACE_R_X1Y29 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y30 CLASS tile TILEPROP INT_INTERFACE_R_X1Y30 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y30 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y30 FIRST_SITE_ID 12454 TILEPROP INT_INTERFACE_R_X1Y30 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y30 GRID_POINT_Y 124 TILEPROP INT_INTERFACE_R_X1Y30 INDEX 14266 TILEPROP INT_INTERFACE_R_X1Y30 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y30 INT_TILE_Y 119 TILEPROP INT_INTERFACE_R_X1Y30 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y30 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y30 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y30 NAME INT_INTERFACE_R_X1Y30 TILEPROP INT_INTERFACE_R_X1Y30 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y30 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y30 ROW 124 TILEPROP INT_INTERFACE_R_X1Y30 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y30 TILE_PATTERN_IDX 632 TILEPROP INT_INTERFACE_R_X1Y30 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y30 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y30 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y30 TILE_Y -142648 TILEPROP INT_INTERFACE_R_X1Y30 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y31 CLASS tile TILEPROP INT_INTERFACE_R_X1Y31 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y31 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y31 FIRST_SITE_ID 12350 TILEPROP INT_INTERFACE_R_X1Y31 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y31 GRID_POINT_Y 123 TILEPROP INT_INTERFACE_R_X1Y31 INDEX 14151 TILEPROP INT_INTERFACE_R_X1Y31 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y31 INT_TILE_Y 118 TILEPROP INT_INTERFACE_R_X1Y31 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y31 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y31 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y31 NAME INT_INTERFACE_R_X1Y31 TILEPROP INT_INTERFACE_R_X1Y31 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y31 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y31 ROW 123 TILEPROP INT_INTERFACE_R_X1Y31 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y31 TILE_PATTERN_IDX 602 TILEPROP INT_INTERFACE_R_X1Y31 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y31 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y31 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y31 TILE_Y -139448 TILEPROP INT_INTERFACE_R_X1Y31 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y32 CLASS tile TILEPROP INT_INTERFACE_R_X1Y32 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y32 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y32 FIRST_SITE_ID 12249 TILEPROP INT_INTERFACE_R_X1Y32 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y32 GRID_POINT_Y 122 TILEPROP INT_INTERFACE_R_X1Y32 INDEX 14036 TILEPROP INT_INTERFACE_R_X1Y32 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y32 INT_TILE_Y 117 TILEPROP INT_INTERFACE_R_X1Y32 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y32 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y32 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y32 NAME INT_INTERFACE_R_X1Y32 TILEPROP INT_INTERFACE_R_X1Y32 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y32 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y32 ROW 122 TILEPROP INT_INTERFACE_R_X1Y32 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y32 TILE_PATTERN_IDX 571 TILEPROP INT_INTERFACE_R_X1Y32 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y32 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y32 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y32 TILE_Y -136248 TILEPROP INT_INTERFACE_R_X1Y32 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y33 CLASS tile TILEPROP INT_INTERFACE_R_X1Y33 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y33 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y33 FIRST_SITE_ID 12149 TILEPROP INT_INTERFACE_R_X1Y33 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y33 GRID_POINT_Y 121 TILEPROP INT_INTERFACE_R_X1Y33 INDEX 13921 TILEPROP INT_INTERFACE_R_X1Y33 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y33 INT_TILE_Y 116 TILEPROP INT_INTERFACE_R_X1Y33 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y33 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y33 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y33 NAME INT_INTERFACE_R_X1Y33 TILEPROP INT_INTERFACE_R_X1Y33 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y33 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y33 ROW 121 TILEPROP INT_INTERFACE_R_X1Y33 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y33 TILE_PATTERN_IDX 541 TILEPROP INT_INTERFACE_R_X1Y33 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y33 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y33 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y33 TILE_Y -133048 TILEPROP INT_INTERFACE_R_X1Y33 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y34 CLASS tile TILEPROP INT_INTERFACE_R_X1Y34 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y34 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y34 FIRST_SITE_ID 12049 TILEPROP INT_INTERFACE_R_X1Y34 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y34 GRID_POINT_Y 120 TILEPROP INT_INTERFACE_R_X1Y34 INDEX 13806 TILEPROP INT_INTERFACE_R_X1Y34 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y34 INT_TILE_Y 115 TILEPROP INT_INTERFACE_R_X1Y34 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y34 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y34 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y34 NAME INT_INTERFACE_R_X1Y34 TILEPROP INT_INTERFACE_R_X1Y34 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y34 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y34 ROW 120 TILEPROP INT_INTERFACE_R_X1Y34 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y34 TILE_PATTERN_IDX 510 TILEPROP INT_INTERFACE_R_X1Y34 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y34 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y34 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y34 TILE_Y -129848 TILEPROP INT_INTERFACE_R_X1Y34 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y35 CLASS tile TILEPROP INT_INTERFACE_R_X1Y35 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y35 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y35 FIRST_SITE_ID 11934 TILEPROP INT_INTERFACE_R_X1Y35 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y35 GRID_POINT_Y 119 TILEPROP INT_INTERFACE_R_X1Y35 INDEX 13691 TILEPROP INT_INTERFACE_R_X1Y35 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y35 INT_TILE_Y 114 TILEPROP INT_INTERFACE_R_X1Y35 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y35 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y35 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y35 NAME INT_INTERFACE_R_X1Y35 TILEPROP INT_INTERFACE_R_X1Y35 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y35 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y35 ROW 119 TILEPROP INT_INTERFACE_R_X1Y35 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y35 TILE_PATTERN_IDX 479 TILEPROP INT_INTERFACE_R_X1Y35 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y35 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y35 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y35 TILE_Y -126648 TILEPROP INT_INTERFACE_R_X1Y35 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y36 CLASS tile TILEPROP INT_INTERFACE_R_X1Y36 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y36 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y36 FIRST_SITE_ID 11802 TILEPROP INT_INTERFACE_R_X1Y36 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y36 GRID_POINT_Y 118 TILEPROP INT_INTERFACE_R_X1Y36 INDEX 13576 TILEPROP INT_INTERFACE_R_X1Y36 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y36 INT_TILE_Y 113 TILEPROP INT_INTERFACE_R_X1Y36 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y36 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y36 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y36 NAME INT_INTERFACE_R_X1Y36 TILEPROP INT_INTERFACE_R_X1Y36 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y36 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y36 ROW 118 TILEPROP INT_INTERFACE_R_X1Y36 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y36 TILE_PATTERN_IDX 447 TILEPROP INT_INTERFACE_R_X1Y36 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y36 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y36 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y36 TILE_Y -123448 TILEPROP INT_INTERFACE_R_X1Y36 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y37 CLASS tile TILEPROP INT_INTERFACE_R_X1Y37 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y37 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y37 FIRST_SITE_ID 11702 TILEPROP INT_INTERFACE_R_X1Y37 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y37 GRID_POINT_Y 117 TILEPROP INT_INTERFACE_R_X1Y37 INDEX 13461 TILEPROP INT_INTERFACE_R_X1Y37 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y37 INT_TILE_Y 112 TILEPROP INT_INTERFACE_R_X1Y37 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y37 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y37 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y37 NAME INT_INTERFACE_R_X1Y37 TILEPROP INT_INTERFACE_R_X1Y37 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y37 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y37 ROW 117 TILEPROP INT_INTERFACE_R_X1Y37 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y37 TILE_PATTERN_IDX 418 TILEPROP INT_INTERFACE_R_X1Y37 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y37 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y37 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y37 TILE_Y -120248 TILEPROP INT_INTERFACE_R_X1Y37 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y38 CLASS tile TILEPROP INT_INTERFACE_R_X1Y38 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y38 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y38 FIRST_SITE_ID 11602 TILEPROP INT_INTERFACE_R_X1Y38 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y38 GRID_POINT_Y 116 TILEPROP INT_INTERFACE_R_X1Y38 INDEX 13346 TILEPROP INT_INTERFACE_R_X1Y38 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y38 INT_TILE_Y 111 TILEPROP INT_INTERFACE_R_X1Y38 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y38 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y38 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y38 NAME INT_INTERFACE_R_X1Y38 TILEPROP INT_INTERFACE_R_X1Y38 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y38 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y38 ROW 116 TILEPROP INT_INTERFACE_R_X1Y38 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y38 TILE_PATTERN_IDX 386 TILEPROP INT_INTERFACE_R_X1Y38 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y38 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y38 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y38 TILE_Y -117048 TILEPROP INT_INTERFACE_R_X1Y38 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y39 CLASS tile TILEPROP INT_INTERFACE_R_X1Y39 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y39 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y39 FIRST_SITE_ID 11502 TILEPROP INT_INTERFACE_R_X1Y39 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y39 GRID_POINT_Y 115 TILEPROP INT_INTERFACE_R_X1Y39 INDEX 13231 TILEPROP INT_INTERFACE_R_X1Y39 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y39 INT_TILE_Y 110 TILEPROP INT_INTERFACE_R_X1Y39 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y39 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y39 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y39 NAME INT_INTERFACE_R_X1Y39 TILEPROP INT_INTERFACE_R_X1Y39 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y39 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y39 ROW 115 TILEPROP INT_INTERFACE_R_X1Y39 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y39 TILE_PATTERN_IDX 356 TILEPROP INT_INTERFACE_R_X1Y39 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y39 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y39 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y39 TILE_Y -113848 TILEPROP INT_INTERFACE_R_X1Y39 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y40 CLASS tile TILEPROP INT_INTERFACE_R_X1Y40 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y40 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y40 FIRST_SITE_ID 11385 TILEPROP INT_INTERFACE_R_X1Y40 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y40 GRID_POINT_Y 114 TILEPROP INT_INTERFACE_R_X1Y40 INDEX 13116 TILEPROP INT_INTERFACE_R_X1Y40 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y40 INT_TILE_Y 109 TILEPROP INT_INTERFACE_R_X1Y40 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y40 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y40 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y40 NAME INT_INTERFACE_R_X1Y40 TILEPROP INT_INTERFACE_R_X1Y40 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y40 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y40 ROW 114 TILEPROP INT_INTERFACE_R_X1Y40 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y40 TILE_PATTERN_IDX 322 TILEPROP INT_INTERFACE_R_X1Y40 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y40 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y40 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y40 TILE_Y -110648 TILEPROP INT_INTERFACE_R_X1Y40 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y41 CLASS tile TILEPROP INT_INTERFACE_R_X1Y41 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y41 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y41 FIRST_SITE_ID 11284 TILEPROP INT_INTERFACE_R_X1Y41 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y41 GRID_POINT_Y 113 TILEPROP INT_INTERFACE_R_X1Y41 INDEX 13001 TILEPROP INT_INTERFACE_R_X1Y41 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y41 INT_TILE_Y 108 TILEPROP INT_INTERFACE_R_X1Y41 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y41 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y41 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y41 NAME INT_INTERFACE_R_X1Y41 TILEPROP INT_INTERFACE_R_X1Y41 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y41 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y41 ROW 113 TILEPROP INT_INTERFACE_R_X1Y41 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y41 TILE_PATTERN_IDX 293 TILEPROP INT_INTERFACE_R_X1Y41 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y41 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y41 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y41 TILE_Y -107448 TILEPROP INT_INTERFACE_R_X1Y41 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y42 CLASS tile TILEPROP INT_INTERFACE_R_X1Y42 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y42 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y42 FIRST_SITE_ID 11182 TILEPROP INT_INTERFACE_R_X1Y42 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y42 GRID_POINT_Y 112 TILEPROP INT_INTERFACE_R_X1Y42 INDEX 12886 TILEPROP INT_INTERFACE_R_X1Y42 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y42 INT_TILE_Y 107 TILEPROP INT_INTERFACE_R_X1Y42 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y42 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y42 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y42 NAME INT_INTERFACE_R_X1Y42 TILEPROP INT_INTERFACE_R_X1Y42 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y42 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y42 ROW 112 TILEPROP INT_INTERFACE_R_X1Y42 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y42 TILE_PATTERN_IDX 261 TILEPROP INT_INTERFACE_R_X1Y42 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y42 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y42 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y42 TILE_Y -104248 TILEPROP INT_INTERFACE_R_X1Y42 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y43 CLASS tile TILEPROP INT_INTERFACE_R_X1Y43 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y43 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y43 FIRST_SITE_ID 11078 TILEPROP INT_INTERFACE_R_X1Y43 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y43 GRID_POINT_Y 111 TILEPROP INT_INTERFACE_R_X1Y43 INDEX 12771 TILEPROP INT_INTERFACE_R_X1Y43 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y43 INT_TILE_Y 106 TILEPROP INT_INTERFACE_R_X1Y43 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y43 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y43 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y43 NAME INT_INTERFACE_R_X1Y43 TILEPROP INT_INTERFACE_R_X1Y43 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y43 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y43 ROW 111 TILEPROP INT_INTERFACE_R_X1Y43 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y43 TILE_PATTERN_IDX 231 TILEPROP INT_INTERFACE_R_X1Y43 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y43 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y43 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y43 TILE_Y -101048 TILEPROP INT_INTERFACE_R_X1Y43 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y44 CLASS tile TILEPROP INT_INTERFACE_R_X1Y44 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y44 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y44 FIRST_SITE_ID 10978 TILEPROP INT_INTERFACE_R_X1Y44 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y44 GRID_POINT_Y 110 TILEPROP INT_INTERFACE_R_X1Y44 INDEX 12656 TILEPROP INT_INTERFACE_R_X1Y44 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y44 INT_TILE_Y 105 TILEPROP INT_INTERFACE_R_X1Y44 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y44 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y44 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y44 NAME INT_INTERFACE_R_X1Y44 TILEPROP INT_INTERFACE_R_X1Y44 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y44 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y44 ROW 110 TILEPROP INT_INTERFACE_R_X1Y44 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y44 TILE_PATTERN_IDX 198 TILEPROP INT_INTERFACE_R_X1Y44 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y44 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y44 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y44 TILE_Y -97848 TILEPROP INT_INTERFACE_R_X1Y44 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y45 CLASS tile TILEPROP INT_INTERFACE_R_X1Y45 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y45 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y45 FIRST_SITE_ID 10862 TILEPROP INT_INTERFACE_R_X1Y45 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y45 GRID_POINT_Y 109 TILEPROP INT_INTERFACE_R_X1Y45 INDEX 12541 TILEPROP INT_INTERFACE_R_X1Y45 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y45 INT_TILE_Y 104 TILEPROP INT_INTERFACE_R_X1Y45 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y45 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y45 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y45 NAME INT_INTERFACE_R_X1Y45 TILEPROP INT_INTERFACE_R_X1Y45 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y45 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y45 ROW 109 TILEPROP INT_INTERFACE_R_X1Y45 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y45 TILE_PATTERN_IDX 161 TILEPROP INT_INTERFACE_R_X1Y45 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y45 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y45 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y45 TILE_Y -94648 TILEPROP INT_INTERFACE_R_X1Y45 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y46 CLASS tile TILEPROP INT_INTERFACE_R_X1Y46 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y46 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y46 FIRST_SITE_ID 10746 TILEPROP INT_INTERFACE_R_X1Y46 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y46 GRID_POINT_Y 108 TILEPROP INT_INTERFACE_R_X1Y46 INDEX 12426 TILEPROP INT_INTERFACE_R_X1Y46 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y46 INT_TILE_Y 103 TILEPROP INT_INTERFACE_R_X1Y46 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y46 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y46 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y46 NAME INT_INTERFACE_R_X1Y46 TILEPROP INT_INTERFACE_R_X1Y46 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y46 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y46 ROW 108 TILEPROP INT_INTERFACE_R_X1Y46 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y46 TILE_PATTERN_IDX 127 TILEPROP INT_INTERFACE_R_X1Y46 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y46 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y46 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y46 TILE_Y -91448 TILEPROP INT_INTERFACE_R_X1Y46 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y47 CLASS tile TILEPROP INT_INTERFACE_R_X1Y47 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y47 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y47 FIRST_SITE_ID 10646 TILEPROP INT_INTERFACE_R_X1Y47 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y47 GRID_POINT_Y 107 TILEPROP INT_INTERFACE_R_X1Y47 INDEX 12311 TILEPROP INT_INTERFACE_R_X1Y47 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y47 INT_TILE_Y 102 TILEPROP INT_INTERFACE_R_X1Y47 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y47 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y47 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y47 NAME INT_INTERFACE_R_X1Y47 TILEPROP INT_INTERFACE_R_X1Y47 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y47 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y47 ROW 107 TILEPROP INT_INTERFACE_R_X1Y47 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y47 TILE_PATTERN_IDX 95 TILEPROP INT_INTERFACE_R_X1Y47 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y47 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y47 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y47 TILE_Y -88248 TILEPROP INT_INTERFACE_R_X1Y47 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y48 CLASS tile TILEPROP INT_INTERFACE_R_X1Y48 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y48 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y48 FIRST_SITE_ID 10546 TILEPROP INT_INTERFACE_R_X1Y48 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y48 GRID_POINT_Y 106 TILEPROP INT_INTERFACE_R_X1Y48 INDEX 12196 TILEPROP INT_INTERFACE_R_X1Y48 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y48 INT_TILE_Y 101 TILEPROP INT_INTERFACE_R_X1Y48 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y48 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y48 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y48 NAME INT_INTERFACE_R_X1Y48 TILEPROP INT_INTERFACE_R_X1Y48 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y48 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y48 ROW 106 TILEPROP INT_INTERFACE_R_X1Y48 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y48 TILE_PATTERN_IDX 56 TILEPROP INT_INTERFACE_R_X1Y48 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y48 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y48 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y48 TILE_Y -85048 TILEPROP INT_INTERFACE_R_X1Y48 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y49 CLASS tile TILEPROP INT_INTERFACE_R_X1Y49 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y49 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y49 FIRST_SITE_ID 10450 TILEPROP INT_INTERFACE_R_X1Y49 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y49 GRID_POINT_Y 105 TILEPROP INT_INTERFACE_R_X1Y49 INDEX 12081 TILEPROP INT_INTERFACE_R_X1Y49 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y49 INT_TILE_Y 100 TILEPROP INT_INTERFACE_R_X1Y49 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y49 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y49 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y49 NAME INT_INTERFACE_R_X1Y49 TILEPROP INT_INTERFACE_R_X1Y49 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y49 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y49 ROW 105 TILEPROP INT_INTERFACE_R_X1Y49 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y49 TILE_PATTERN_IDX 10 TILEPROP INT_INTERFACE_R_X1Y49 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y49 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y49 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y49 TILE_Y -81848 TILEPROP INT_INTERFACE_R_X1Y49 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y50 CLASS tile TILEPROP INT_INTERFACE_R_X1Y50 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y50 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y50 FIRST_SITE_ID 10319 TILEPROP INT_INTERFACE_R_X1Y50 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y50 GRID_POINT_Y 103 TILEPROP INT_INTERFACE_R_X1Y50 INDEX 11851 TILEPROP INT_INTERFACE_R_X1Y50 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y50 INT_TILE_Y 99 TILEPROP INT_INTERFACE_R_X1Y50 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y50 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y50 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y50 NAME INT_INTERFACE_R_X1Y50 TILEPROP INT_INTERFACE_R_X1Y50 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y50 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y50 ROW 103 TILEPROP INT_INTERFACE_R_X1Y50 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y50 TILE_PATTERN_IDX 1599 TILEPROP INT_INTERFACE_R_X1Y50 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y50 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y50 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y50 TILE_Y -78400 TILEPROP INT_INTERFACE_R_X1Y50 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y51 CLASS tile TILEPROP INT_INTERFACE_R_X1Y51 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y51 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y51 FIRST_SITE_ID 10215 TILEPROP INT_INTERFACE_R_X1Y51 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y51 GRID_POINT_Y 102 TILEPROP INT_INTERFACE_R_X1Y51 INDEX 11736 TILEPROP INT_INTERFACE_R_X1Y51 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y51 INT_TILE_Y 98 TILEPROP INT_INTERFACE_R_X1Y51 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y51 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y51 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y51 NAME INT_INTERFACE_R_X1Y51 TILEPROP INT_INTERFACE_R_X1Y51 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y51 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y51 ROW 102 TILEPROP INT_INTERFACE_R_X1Y51 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y51 TILE_PATTERN_IDX 1569 TILEPROP INT_INTERFACE_R_X1Y51 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y51 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y51 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y51 TILE_Y -75200 TILEPROP INT_INTERFACE_R_X1Y51 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y52 CLASS tile TILEPROP INT_INTERFACE_R_X1Y52 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y52 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y52 FIRST_SITE_ID 10115 TILEPROP INT_INTERFACE_R_X1Y52 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y52 GRID_POINT_Y 101 TILEPROP INT_INTERFACE_R_X1Y52 INDEX 11621 TILEPROP INT_INTERFACE_R_X1Y52 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y52 INT_TILE_Y 97 TILEPROP INT_INTERFACE_R_X1Y52 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y52 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y52 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y52 NAME INT_INTERFACE_R_X1Y52 TILEPROP INT_INTERFACE_R_X1Y52 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y52 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y52 ROW 101 TILEPROP INT_INTERFACE_R_X1Y52 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y52 TILE_PATTERN_IDX 1538 TILEPROP INT_INTERFACE_R_X1Y52 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y52 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y52 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y52 TILE_Y -72000 TILEPROP INT_INTERFACE_R_X1Y52 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y53 CLASS tile TILEPROP INT_INTERFACE_R_X1Y53 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y53 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y53 FIRST_SITE_ID 10015 TILEPROP INT_INTERFACE_R_X1Y53 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y53 GRID_POINT_Y 100 TILEPROP INT_INTERFACE_R_X1Y53 INDEX 11506 TILEPROP INT_INTERFACE_R_X1Y53 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y53 INT_TILE_Y 96 TILEPROP INT_INTERFACE_R_X1Y53 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y53 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y53 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y53 NAME INT_INTERFACE_R_X1Y53 TILEPROP INT_INTERFACE_R_X1Y53 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y53 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y53 ROW 100 TILEPROP INT_INTERFACE_R_X1Y53 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y53 TILE_PATTERN_IDX 1509 TILEPROP INT_INTERFACE_R_X1Y53 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y53 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y53 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y53 TILE_Y -68800 TILEPROP INT_INTERFACE_R_X1Y53 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y54 CLASS tile TILEPROP INT_INTERFACE_R_X1Y54 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y54 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y54 FIRST_SITE_ID 9915 TILEPROP INT_INTERFACE_R_X1Y54 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y54 GRID_POINT_Y 99 TILEPROP INT_INTERFACE_R_X1Y54 INDEX 11391 TILEPROP INT_INTERFACE_R_X1Y54 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y54 INT_TILE_Y 95 TILEPROP INT_INTERFACE_R_X1Y54 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y54 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y54 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y54 NAME INT_INTERFACE_R_X1Y54 TILEPROP INT_INTERFACE_R_X1Y54 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y54 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y54 ROW 99 TILEPROP INT_INTERFACE_R_X1Y54 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y54 TILE_PATTERN_IDX 1479 TILEPROP INT_INTERFACE_R_X1Y54 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y54 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y54 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y54 TILE_Y -65600 TILEPROP INT_INTERFACE_R_X1Y54 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y55 CLASS tile TILEPROP INT_INTERFACE_R_X1Y55 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y55 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y55 FIRST_SITE_ID 9800 TILEPROP INT_INTERFACE_R_X1Y55 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y55 GRID_POINT_Y 98 TILEPROP INT_INTERFACE_R_X1Y55 INDEX 11276 TILEPROP INT_INTERFACE_R_X1Y55 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y55 INT_TILE_Y 94 TILEPROP INT_INTERFACE_R_X1Y55 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y55 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y55 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y55 NAME INT_INTERFACE_R_X1Y55 TILEPROP INT_INTERFACE_R_X1Y55 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y55 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y55 ROW 98 TILEPROP INT_INTERFACE_R_X1Y55 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y55 TILE_PATTERN_IDX 1449 TILEPROP INT_INTERFACE_R_X1Y55 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y55 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y55 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y55 TILE_Y -62400 TILEPROP INT_INTERFACE_R_X1Y55 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y56 CLASS tile TILEPROP INT_INTERFACE_R_X1Y56 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y56 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y56 FIRST_SITE_ID 9700 TILEPROP INT_INTERFACE_R_X1Y56 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y56 GRID_POINT_Y 97 TILEPROP INT_INTERFACE_R_X1Y56 INDEX 11161 TILEPROP INT_INTERFACE_R_X1Y56 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y56 INT_TILE_Y 93 TILEPROP INT_INTERFACE_R_X1Y56 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y56 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y56 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y56 NAME INT_INTERFACE_R_X1Y56 TILEPROP INT_INTERFACE_R_X1Y56 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y56 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y56 ROW 97 TILEPROP INT_INTERFACE_R_X1Y56 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y56 TILE_PATTERN_IDX 1419 TILEPROP INT_INTERFACE_R_X1Y56 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y56 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y56 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y56 TILE_Y -59200 TILEPROP INT_INTERFACE_R_X1Y56 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y57 CLASS tile TILEPROP INT_INTERFACE_R_X1Y57 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y57 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y57 FIRST_SITE_ID 9596 TILEPROP INT_INTERFACE_R_X1Y57 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y57 GRID_POINT_Y 96 TILEPROP INT_INTERFACE_R_X1Y57 INDEX 11046 TILEPROP INT_INTERFACE_R_X1Y57 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y57 INT_TILE_Y 92 TILEPROP INT_INTERFACE_R_X1Y57 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y57 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y57 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y57 NAME INT_INTERFACE_R_X1Y57 TILEPROP INT_INTERFACE_R_X1Y57 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y57 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y57 ROW 96 TILEPROP INT_INTERFACE_R_X1Y57 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y57 TILE_PATTERN_IDX 1390 TILEPROP INT_INTERFACE_R_X1Y57 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y57 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y57 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y57 TILE_Y -56000 TILEPROP INT_INTERFACE_R_X1Y57 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y58 CLASS tile TILEPROP INT_INTERFACE_R_X1Y58 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y58 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y58 FIRST_SITE_ID 9494 TILEPROP INT_INTERFACE_R_X1Y58 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y58 GRID_POINT_Y 95 TILEPROP INT_INTERFACE_R_X1Y58 INDEX 10931 TILEPROP INT_INTERFACE_R_X1Y58 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y58 INT_TILE_Y 91 TILEPROP INT_INTERFACE_R_X1Y58 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y58 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y58 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y58 NAME INT_INTERFACE_R_X1Y58 TILEPROP INT_INTERFACE_R_X1Y58 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y58 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y58 ROW 95 TILEPROP INT_INTERFACE_R_X1Y58 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y58 TILE_PATTERN_IDX 1359 TILEPROP INT_INTERFACE_R_X1Y58 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y58 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y58 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y58 TILE_Y -52800 TILEPROP INT_INTERFACE_R_X1Y58 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y59 CLASS tile TILEPROP INT_INTERFACE_R_X1Y59 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y59 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y59 FIRST_SITE_ID 9394 TILEPROP INT_INTERFACE_R_X1Y59 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y59 GRID_POINT_Y 94 TILEPROP INT_INTERFACE_R_X1Y59 INDEX 10816 TILEPROP INT_INTERFACE_R_X1Y59 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y59 INT_TILE_Y 90 TILEPROP INT_INTERFACE_R_X1Y59 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y59 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y59 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y59 NAME INT_INTERFACE_R_X1Y59 TILEPROP INT_INTERFACE_R_X1Y59 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y59 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y59 ROW 94 TILEPROP INT_INTERFACE_R_X1Y59 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y59 TILE_PATTERN_IDX 1331 TILEPROP INT_INTERFACE_R_X1Y59 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y59 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y59 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y59 TILE_Y -49600 TILEPROP INT_INTERFACE_R_X1Y59 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y60 CLASS tile TILEPROP INT_INTERFACE_R_X1Y60 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y60 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y60 FIRST_SITE_ID 9279 TILEPROP INT_INTERFACE_R_X1Y60 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y60 GRID_POINT_Y 93 TILEPROP INT_INTERFACE_R_X1Y60 INDEX 10701 TILEPROP INT_INTERFACE_R_X1Y60 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y60 INT_TILE_Y 89 TILEPROP INT_INTERFACE_R_X1Y60 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y60 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y60 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y60 NAME INT_INTERFACE_R_X1Y60 TILEPROP INT_INTERFACE_R_X1Y60 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y60 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y60 ROW 93 TILEPROP INT_INTERFACE_R_X1Y60 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y60 TILE_PATTERN_IDX 1299 TILEPROP INT_INTERFACE_R_X1Y60 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y60 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y60 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y60 TILE_Y -46400 TILEPROP INT_INTERFACE_R_X1Y60 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y61 CLASS tile TILEPROP INT_INTERFACE_R_X1Y61 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y61 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y61 FIRST_SITE_ID 9179 TILEPROP INT_INTERFACE_R_X1Y61 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y61 GRID_POINT_Y 92 TILEPROP INT_INTERFACE_R_X1Y61 INDEX 10586 TILEPROP INT_INTERFACE_R_X1Y61 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y61 INT_TILE_Y 88 TILEPROP INT_INTERFACE_R_X1Y61 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y61 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y61 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y61 NAME INT_INTERFACE_R_X1Y61 TILEPROP INT_INTERFACE_R_X1Y61 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y61 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y61 ROW 92 TILEPROP INT_INTERFACE_R_X1Y61 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y61 TILE_PATTERN_IDX 1271 TILEPROP INT_INTERFACE_R_X1Y61 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y61 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y61 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y61 TILE_Y -43200 TILEPROP INT_INTERFACE_R_X1Y61 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y62 CLASS tile TILEPROP INT_INTERFACE_R_X1Y62 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y62 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y62 FIRST_SITE_ID 9047 TILEPROP INT_INTERFACE_R_X1Y62 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y62 GRID_POINT_Y 91 TILEPROP INT_INTERFACE_R_X1Y62 INDEX 10471 TILEPROP INT_INTERFACE_R_X1Y62 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y62 INT_TILE_Y 87 TILEPROP INT_INTERFACE_R_X1Y62 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y62 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y62 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y62 NAME INT_INTERFACE_R_X1Y62 TILEPROP INT_INTERFACE_R_X1Y62 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y62 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y62 ROW 91 TILEPROP INT_INTERFACE_R_X1Y62 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y62 TILE_PATTERN_IDX 1240 TILEPROP INT_INTERFACE_R_X1Y62 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y62 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y62 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y62 TILE_Y -40000 TILEPROP INT_INTERFACE_R_X1Y62 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y63 CLASS tile TILEPROP INT_INTERFACE_R_X1Y63 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y63 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y63 FIRST_SITE_ID 8947 TILEPROP INT_INTERFACE_R_X1Y63 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y63 GRID_POINT_Y 90 TILEPROP INT_INTERFACE_R_X1Y63 INDEX 10356 TILEPROP INT_INTERFACE_R_X1Y63 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y63 INT_TILE_Y 86 TILEPROP INT_INTERFACE_R_X1Y63 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y63 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y63 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y63 NAME INT_INTERFACE_R_X1Y63 TILEPROP INT_INTERFACE_R_X1Y63 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y63 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y63 ROW 90 TILEPROP INT_INTERFACE_R_X1Y63 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y63 TILE_PATTERN_IDX 1212 TILEPROP INT_INTERFACE_R_X1Y63 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y63 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y63 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y63 TILE_Y -36800 TILEPROP INT_INTERFACE_R_X1Y63 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y64 CLASS tile TILEPROP INT_INTERFACE_R_X1Y64 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y64 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y64 FIRST_SITE_ID 8847 TILEPROP INT_INTERFACE_R_X1Y64 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y64 GRID_POINT_Y 89 TILEPROP INT_INTERFACE_R_X1Y64 INDEX 10241 TILEPROP INT_INTERFACE_R_X1Y64 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y64 INT_TILE_Y 85 TILEPROP INT_INTERFACE_R_X1Y64 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y64 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y64 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y64 NAME INT_INTERFACE_R_X1Y64 TILEPROP INT_INTERFACE_R_X1Y64 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y64 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y64 ROW 89 TILEPROP INT_INTERFACE_R_X1Y64 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y64 TILE_PATTERN_IDX 1182 TILEPROP INT_INTERFACE_R_X1Y64 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y64 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y64 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y64 TILE_Y -33600 TILEPROP INT_INTERFACE_R_X1Y64 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y65 CLASS tile TILEPROP INT_INTERFACE_R_X1Y65 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y65 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y65 FIRST_SITE_ID 8732 TILEPROP INT_INTERFACE_R_X1Y65 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y65 GRID_POINT_Y 88 TILEPROP INT_INTERFACE_R_X1Y65 INDEX 10126 TILEPROP INT_INTERFACE_R_X1Y65 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y65 INT_TILE_Y 84 TILEPROP INT_INTERFACE_R_X1Y65 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y65 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y65 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y65 NAME INT_INTERFACE_R_X1Y65 TILEPROP INT_INTERFACE_R_X1Y65 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y65 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y65 ROW 88 TILEPROP INT_INTERFACE_R_X1Y65 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y65 TILE_PATTERN_IDX 1153 TILEPROP INT_INTERFACE_R_X1Y65 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y65 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y65 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y65 TILE_Y -30400 TILEPROP INT_INTERFACE_R_X1Y65 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y66 CLASS tile TILEPROP INT_INTERFACE_R_X1Y66 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y66 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y66 FIRST_SITE_ID 8632 TILEPROP INT_INTERFACE_R_X1Y66 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y66 GRID_POINT_Y 87 TILEPROP INT_INTERFACE_R_X1Y66 INDEX 10011 TILEPROP INT_INTERFACE_R_X1Y66 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y66 INT_TILE_Y 83 TILEPROP INT_INTERFACE_R_X1Y66 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y66 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y66 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y66 NAME INT_INTERFACE_R_X1Y66 TILEPROP INT_INTERFACE_R_X1Y66 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y66 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y66 ROW 87 TILEPROP INT_INTERFACE_R_X1Y66 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y66 TILE_PATTERN_IDX 1122 TILEPROP INT_INTERFACE_R_X1Y66 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y66 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y66 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y66 TILE_Y -27200 TILEPROP INT_INTERFACE_R_X1Y66 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y67 CLASS tile TILEPROP INT_INTERFACE_R_X1Y67 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y67 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y67 FIRST_SITE_ID 8524 TILEPROP INT_INTERFACE_R_X1Y67 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y67 GRID_POINT_Y 86 TILEPROP INT_INTERFACE_R_X1Y67 INDEX 9896 TILEPROP INT_INTERFACE_R_X1Y67 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y67 INT_TILE_Y 82 TILEPROP INT_INTERFACE_R_X1Y67 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y67 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y67 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y67 NAME INT_INTERFACE_R_X1Y67 TILEPROP INT_INTERFACE_R_X1Y67 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y67 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y67 ROW 86 TILEPROP INT_INTERFACE_R_X1Y67 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y67 TILE_PATTERN_IDX 1093 TILEPROP INT_INTERFACE_R_X1Y67 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y67 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y67 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y67 TILE_Y -24000 TILEPROP INT_INTERFACE_R_X1Y67 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y68 CLASS tile TILEPROP INT_INTERFACE_R_X1Y68 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y68 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y68 FIRST_SITE_ID 8424 TILEPROP INT_INTERFACE_R_X1Y68 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y68 GRID_POINT_Y 85 TILEPROP INT_INTERFACE_R_X1Y68 INDEX 9781 TILEPROP INT_INTERFACE_R_X1Y68 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y68 INT_TILE_Y 81 TILEPROP INT_INTERFACE_R_X1Y68 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y68 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y68 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y68 NAME INT_INTERFACE_R_X1Y68 TILEPROP INT_INTERFACE_R_X1Y68 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y68 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y68 ROW 85 TILEPROP INT_INTERFACE_R_X1Y68 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y68 TILE_PATTERN_IDX 1063 TILEPROP INT_INTERFACE_R_X1Y68 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y68 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y68 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y68 TILE_Y -20800 TILEPROP INT_INTERFACE_R_X1Y68 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y69 CLASS tile TILEPROP INT_INTERFACE_R_X1Y69 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y69 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y69 FIRST_SITE_ID 8320 TILEPROP INT_INTERFACE_R_X1Y69 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y69 GRID_POINT_Y 84 TILEPROP INT_INTERFACE_R_X1Y69 INDEX 9666 TILEPROP INT_INTERFACE_R_X1Y69 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y69 INT_TILE_Y 80 TILEPROP INT_INTERFACE_R_X1Y69 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y69 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y69 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y69 NAME INT_INTERFACE_R_X1Y69 TILEPROP INT_INTERFACE_R_X1Y69 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y69 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y69 ROW 84 TILEPROP INT_INTERFACE_R_X1Y69 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y69 TILE_PATTERN_IDX 1034 TILEPROP INT_INTERFACE_R_X1Y69 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y69 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y69 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y69 TILE_Y -17600 TILEPROP INT_INTERFACE_R_X1Y69 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y70 CLASS tile TILEPROP INT_INTERFACE_R_X1Y70 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y70 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y70 FIRST_SITE_ID 8205 TILEPROP INT_INTERFACE_R_X1Y70 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y70 GRID_POINT_Y 83 TILEPROP INT_INTERFACE_R_X1Y70 INDEX 9551 TILEPROP INT_INTERFACE_R_X1Y70 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y70 INT_TILE_Y 79 TILEPROP INT_INTERFACE_R_X1Y70 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y70 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y70 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y70 NAME INT_INTERFACE_R_X1Y70 TILEPROP INT_INTERFACE_R_X1Y70 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y70 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y70 ROW 83 TILEPROP INT_INTERFACE_R_X1Y70 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y70 TILE_PATTERN_IDX 1000 TILEPROP INT_INTERFACE_R_X1Y70 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y70 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y70 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y70 TILE_Y -14400 TILEPROP INT_INTERFACE_R_X1Y70 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y71 CLASS tile TILEPROP INT_INTERFACE_R_X1Y71 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y71 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y71 FIRST_SITE_ID 8105 TILEPROP INT_INTERFACE_R_X1Y71 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y71 GRID_POINT_Y 82 TILEPROP INT_INTERFACE_R_X1Y71 INDEX 9436 TILEPROP INT_INTERFACE_R_X1Y71 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y71 INT_TILE_Y 78 TILEPROP INT_INTERFACE_R_X1Y71 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y71 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y71 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y71 NAME INT_INTERFACE_R_X1Y71 TILEPROP INT_INTERFACE_R_X1Y71 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y71 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y71 ROW 82 TILEPROP INT_INTERFACE_R_X1Y71 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y71 TILE_PATTERN_IDX 971 TILEPROP INT_INTERFACE_R_X1Y71 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y71 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y71 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y71 TILE_Y -11200 TILEPROP INT_INTERFACE_R_X1Y71 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y72 CLASS tile TILEPROP INT_INTERFACE_R_X1Y72 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y72 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y72 FIRST_SITE_ID 8005 TILEPROP INT_INTERFACE_R_X1Y72 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y72 GRID_POINT_Y 81 TILEPROP INT_INTERFACE_R_X1Y72 INDEX 9321 TILEPROP INT_INTERFACE_R_X1Y72 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y72 INT_TILE_Y 77 TILEPROP INT_INTERFACE_R_X1Y72 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y72 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y72 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y72 NAME INT_INTERFACE_R_X1Y72 TILEPROP INT_INTERFACE_R_X1Y72 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y72 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y72 ROW 81 TILEPROP INT_INTERFACE_R_X1Y72 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y72 TILE_PATTERN_IDX 939 TILEPROP INT_INTERFACE_R_X1Y72 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y72 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y72 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y72 TILE_Y -8000 TILEPROP INT_INTERFACE_R_X1Y72 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y73 CLASS tile TILEPROP INT_INTERFACE_R_X1Y73 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y73 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y73 FIRST_SITE_ID 7905 TILEPROP INT_INTERFACE_R_X1Y73 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y73 GRID_POINT_Y 80 TILEPROP INT_INTERFACE_R_X1Y73 INDEX 9206 TILEPROP INT_INTERFACE_R_X1Y73 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y73 INT_TILE_Y 76 TILEPROP INT_INTERFACE_R_X1Y73 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y73 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y73 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y73 NAME INT_INTERFACE_R_X1Y73 TILEPROP INT_INTERFACE_R_X1Y73 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y73 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y73 ROW 80 TILEPROP INT_INTERFACE_R_X1Y73 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y73 TILE_PATTERN_IDX 910 TILEPROP INT_INTERFACE_R_X1Y73 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y73 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y73 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y73 TILE_Y -4800 TILEPROP INT_INTERFACE_R_X1Y73 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y74 CLASS tile TILEPROP INT_INTERFACE_R_X1Y74 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y74 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y74 FIRST_SITE_ID 7805 TILEPROP INT_INTERFACE_R_X1Y74 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y74 GRID_POINT_Y 79 TILEPROP INT_INTERFACE_R_X1Y74 INDEX 9091 TILEPROP INT_INTERFACE_R_X1Y74 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y74 INT_TILE_Y 75 TILEPROP INT_INTERFACE_R_X1Y74 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y74 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y74 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y74 NAME INT_INTERFACE_R_X1Y74 TILEPROP INT_INTERFACE_R_X1Y74 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y74 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y74 ROW 79 TILEPROP INT_INTERFACE_R_X1Y74 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y74 TILE_PATTERN_IDX 873 TILEPROP INT_INTERFACE_R_X1Y74 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y74 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y74 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y74 TILE_Y -1600 TILEPROP INT_INTERFACE_R_X1Y74 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y75 CLASS tile TILEPROP INT_INTERFACE_R_X1Y75 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y75 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y75 FIRST_SITE_ID 7604 TILEPROP INT_INTERFACE_R_X1Y75 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y75 GRID_POINT_Y 77 TILEPROP INT_INTERFACE_R_X1Y75 INDEX 8861 TILEPROP INT_INTERFACE_R_X1Y75 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y75 INT_TILE_Y 74 TILEPROP INT_INTERFACE_R_X1Y75 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y75 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y75 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y75 NAME INT_INTERFACE_R_X1Y75 TILEPROP INT_INTERFACE_R_X1Y75 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y75 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y75 ROW 77 TILEPROP INT_INTERFACE_R_X1Y75 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y75 TILE_PATTERN_IDX 789 TILEPROP INT_INTERFACE_R_X1Y75 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y75 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y75 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y75 TILE_Y 2624 TILEPROP INT_INTERFACE_R_X1Y75 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y76 CLASS tile TILEPROP INT_INTERFACE_R_X1Y76 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y76 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y76 FIRST_SITE_ID 7504 TILEPROP INT_INTERFACE_R_X1Y76 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y76 GRID_POINT_Y 76 TILEPROP INT_INTERFACE_R_X1Y76 INDEX 8746 TILEPROP INT_INTERFACE_R_X1Y76 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y76 INT_TILE_Y 73 TILEPROP INT_INTERFACE_R_X1Y76 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y76 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y76 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y76 NAME INT_INTERFACE_R_X1Y76 TILEPROP INT_INTERFACE_R_X1Y76 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y76 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y76 ROW 76 TILEPROP INT_INTERFACE_R_X1Y76 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y76 TILE_PATTERN_IDX 757 TILEPROP INT_INTERFACE_R_X1Y76 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y76 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y76 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y76 TILE_Y 5824 TILEPROP INT_INTERFACE_R_X1Y76 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y77 CLASS tile TILEPROP INT_INTERFACE_R_X1Y77 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y77 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y77 FIRST_SITE_ID 7404 TILEPROP INT_INTERFACE_R_X1Y77 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y77 GRID_POINT_Y 75 TILEPROP INT_INTERFACE_R_X1Y77 INDEX 8631 TILEPROP INT_INTERFACE_R_X1Y77 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y77 INT_TILE_Y 72 TILEPROP INT_INTERFACE_R_X1Y77 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y77 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y77 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y77 NAME INT_INTERFACE_R_X1Y77 TILEPROP INT_INTERFACE_R_X1Y77 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y77 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y77 ROW 75 TILEPROP INT_INTERFACE_R_X1Y77 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y77 TILE_PATTERN_IDX 727 TILEPROP INT_INTERFACE_R_X1Y77 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y77 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y77 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y77 TILE_Y 9024 TILEPROP INT_INTERFACE_R_X1Y77 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y78 CLASS tile TILEPROP INT_INTERFACE_R_X1Y78 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y78 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y78 FIRST_SITE_ID 7304 TILEPROP INT_INTERFACE_R_X1Y78 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y78 GRID_POINT_Y 74 TILEPROP INT_INTERFACE_R_X1Y78 INDEX 8516 TILEPROP INT_INTERFACE_R_X1Y78 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y78 INT_TILE_Y 71 TILEPROP INT_INTERFACE_R_X1Y78 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y78 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y78 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y78 NAME INT_INTERFACE_R_X1Y78 TILEPROP INT_INTERFACE_R_X1Y78 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y78 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y78 ROW 74 TILEPROP INT_INTERFACE_R_X1Y78 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y78 TILE_PATTERN_IDX 695 TILEPROP INT_INTERFACE_R_X1Y78 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y78 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y78 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y78 TILE_Y 12224 TILEPROP INT_INTERFACE_R_X1Y78 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y79 CLASS tile TILEPROP INT_INTERFACE_R_X1Y79 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y79 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y79 FIRST_SITE_ID 7192 TILEPROP INT_INTERFACE_R_X1Y79 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y79 GRID_POINT_Y 73 TILEPROP INT_INTERFACE_R_X1Y79 INDEX 8401 TILEPROP INT_INTERFACE_R_X1Y79 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y79 INT_TILE_Y 70 TILEPROP INT_INTERFACE_R_X1Y79 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y79 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y79 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y79 NAME INT_INTERFACE_R_X1Y79 TILEPROP INT_INTERFACE_R_X1Y79 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y79 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y79 ROW 73 TILEPROP INT_INTERFACE_R_X1Y79 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y79 TILE_PATTERN_IDX 665 TILEPROP INT_INTERFACE_R_X1Y79 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y79 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y79 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y79 TILE_Y 15424 TILEPROP INT_INTERFACE_R_X1Y79 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y80 CLASS tile TILEPROP INT_INTERFACE_R_X1Y80 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y80 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y80 FIRST_SITE_ID 7077 TILEPROP INT_INTERFACE_R_X1Y80 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y80 GRID_POINT_Y 72 TILEPROP INT_INTERFACE_R_X1Y80 INDEX 8286 TILEPROP INT_INTERFACE_R_X1Y80 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y80 INT_TILE_Y 69 TILEPROP INT_INTERFACE_R_X1Y80 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y80 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y80 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y80 NAME INT_INTERFACE_R_X1Y80 TILEPROP INT_INTERFACE_R_X1Y80 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y80 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y80 ROW 72 TILEPROP INT_INTERFACE_R_X1Y80 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y80 TILE_PATTERN_IDX 632 TILEPROP INT_INTERFACE_R_X1Y80 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y80 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y80 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y80 TILE_Y 18624 TILEPROP INT_INTERFACE_R_X1Y80 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y81 CLASS tile TILEPROP INT_INTERFACE_R_X1Y81 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y81 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y81 FIRST_SITE_ID 6973 TILEPROP INT_INTERFACE_R_X1Y81 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y81 GRID_POINT_Y 71 TILEPROP INT_INTERFACE_R_X1Y81 INDEX 8171 TILEPROP INT_INTERFACE_R_X1Y81 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y81 INT_TILE_Y 68 TILEPROP INT_INTERFACE_R_X1Y81 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y81 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y81 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y81 NAME INT_INTERFACE_R_X1Y81 TILEPROP INT_INTERFACE_R_X1Y81 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y81 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y81 ROW 71 TILEPROP INT_INTERFACE_R_X1Y81 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y81 TILE_PATTERN_IDX 602 TILEPROP INT_INTERFACE_R_X1Y81 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y81 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y81 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y81 TILE_Y 21824 TILEPROP INT_INTERFACE_R_X1Y81 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y82 CLASS tile TILEPROP INT_INTERFACE_R_X1Y82 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y82 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y82 FIRST_SITE_ID 6873 TILEPROP INT_INTERFACE_R_X1Y82 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y82 GRID_POINT_Y 70 TILEPROP INT_INTERFACE_R_X1Y82 INDEX 8056 TILEPROP INT_INTERFACE_R_X1Y82 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y82 INT_TILE_Y 67 TILEPROP INT_INTERFACE_R_X1Y82 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y82 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y82 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y82 NAME INT_INTERFACE_R_X1Y82 TILEPROP INT_INTERFACE_R_X1Y82 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y82 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y82 ROW 70 TILEPROP INT_INTERFACE_R_X1Y82 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y82 TILE_PATTERN_IDX 571 TILEPROP INT_INTERFACE_R_X1Y82 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y82 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y82 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y82 TILE_Y 25024 TILEPROP INT_INTERFACE_R_X1Y82 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y83 CLASS tile TILEPROP INT_INTERFACE_R_X1Y83 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y83 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y83 FIRST_SITE_ID 6773 TILEPROP INT_INTERFACE_R_X1Y83 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y83 GRID_POINT_Y 69 TILEPROP INT_INTERFACE_R_X1Y83 INDEX 7941 TILEPROP INT_INTERFACE_R_X1Y83 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y83 INT_TILE_Y 66 TILEPROP INT_INTERFACE_R_X1Y83 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y83 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y83 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y83 NAME INT_INTERFACE_R_X1Y83 TILEPROP INT_INTERFACE_R_X1Y83 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y83 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y83 ROW 69 TILEPROP INT_INTERFACE_R_X1Y83 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y83 TILE_PATTERN_IDX 541 TILEPROP INT_INTERFACE_R_X1Y83 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y83 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y83 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y83 TILE_Y 28224 TILEPROP INT_INTERFACE_R_X1Y83 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y84 CLASS tile TILEPROP INT_INTERFACE_R_X1Y84 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y84 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y84 FIRST_SITE_ID 6673 TILEPROP INT_INTERFACE_R_X1Y84 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y84 GRID_POINT_Y 68 TILEPROP INT_INTERFACE_R_X1Y84 INDEX 7826 TILEPROP INT_INTERFACE_R_X1Y84 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y84 INT_TILE_Y 65 TILEPROP INT_INTERFACE_R_X1Y84 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y84 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y84 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y84 NAME INT_INTERFACE_R_X1Y84 TILEPROP INT_INTERFACE_R_X1Y84 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y84 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y84 ROW 68 TILEPROP INT_INTERFACE_R_X1Y84 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y84 TILE_PATTERN_IDX 510 TILEPROP INT_INTERFACE_R_X1Y84 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y84 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y84 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y84 TILE_Y 31424 TILEPROP INT_INTERFACE_R_X1Y84 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y85 CLASS tile TILEPROP INT_INTERFACE_R_X1Y85 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y85 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y85 FIRST_SITE_ID 6558 TILEPROP INT_INTERFACE_R_X1Y85 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y85 GRID_POINT_Y 67 TILEPROP INT_INTERFACE_R_X1Y85 INDEX 7711 TILEPROP INT_INTERFACE_R_X1Y85 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y85 INT_TILE_Y 64 TILEPROP INT_INTERFACE_R_X1Y85 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y85 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y85 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y85 NAME INT_INTERFACE_R_X1Y85 TILEPROP INT_INTERFACE_R_X1Y85 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y85 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y85 ROW 67 TILEPROP INT_INTERFACE_R_X1Y85 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y85 TILE_PATTERN_IDX 479 TILEPROP INT_INTERFACE_R_X1Y85 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y85 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y85 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y85 TILE_Y 34624 TILEPROP INT_INTERFACE_R_X1Y85 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y86 CLASS tile TILEPROP INT_INTERFACE_R_X1Y86 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y86 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y86 FIRST_SITE_ID 6426 TILEPROP INT_INTERFACE_R_X1Y86 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y86 GRID_POINT_Y 66 TILEPROP INT_INTERFACE_R_X1Y86 INDEX 7596 TILEPROP INT_INTERFACE_R_X1Y86 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y86 INT_TILE_Y 63 TILEPROP INT_INTERFACE_R_X1Y86 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y86 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y86 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y86 NAME INT_INTERFACE_R_X1Y86 TILEPROP INT_INTERFACE_R_X1Y86 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y86 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y86 ROW 66 TILEPROP INT_INTERFACE_R_X1Y86 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y86 TILE_PATTERN_IDX 447 TILEPROP INT_INTERFACE_R_X1Y86 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y86 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y86 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y86 TILE_Y 37824 TILEPROP INT_INTERFACE_R_X1Y86 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y87 CLASS tile TILEPROP INT_INTERFACE_R_X1Y87 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y87 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y87 FIRST_SITE_ID 6326 TILEPROP INT_INTERFACE_R_X1Y87 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y87 GRID_POINT_Y 65 TILEPROP INT_INTERFACE_R_X1Y87 INDEX 7481 TILEPROP INT_INTERFACE_R_X1Y87 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y87 INT_TILE_Y 62 TILEPROP INT_INTERFACE_R_X1Y87 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y87 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y87 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y87 NAME INT_INTERFACE_R_X1Y87 TILEPROP INT_INTERFACE_R_X1Y87 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y87 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y87 ROW 65 TILEPROP INT_INTERFACE_R_X1Y87 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y87 TILE_PATTERN_IDX 418 TILEPROP INT_INTERFACE_R_X1Y87 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y87 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y87 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y87 TILE_Y 41024 TILEPROP INT_INTERFACE_R_X1Y87 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y88 CLASS tile TILEPROP INT_INTERFACE_R_X1Y88 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y88 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y88 FIRST_SITE_ID 6226 TILEPROP INT_INTERFACE_R_X1Y88 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y88 GRID_POINT_Y 64 TILEPROP INT_INTERFACE_R_X1Y88 INDEX 7366 TILEPROP INT_INTERFACE_R_X1Y88 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y88 INT_TILE_Y 61 TILEPROP INT_INTERFACE_R_X1Y88 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y88 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y88 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y88 NAME INT_INTERFACE_R_X1Y88 TILEPROP INT_INTERFACE_R_X1Y88 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y88 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y88 ROW 64 TILEPROP INT_INTERFACE_R_X1Y88 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y88 TILE_PATTERN_IDX 386 TILEPROP INT_INTERFACE_R_X1Y88 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y88 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y88 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y88 TILE_Y 44224 TILEPROP INT_INTERFACE_R_X1Y88 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y89 CLASS tile TILEPROP INT_INTERFACE_R_X1Y89 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y89 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y89 FIRST_SITE_ID 6126 TILEPROP INT_INTERFACE_R_X1Y89 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y89 GRID_POINT_Y 63 TILEPROP INT_INTERFACE_R_X1Y89 INDEX 7251 TILEPROP INT_INTERFACE_R_X1Y89 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y89 INT_TILE_Y 60 TILEPROP INT_INTERFACE_R_X1Y89 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y89 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y89 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y89 NAME INT_INTERFACE_R_X1Y89 TILEPROP INT_INTERFACE_R_X1Y89 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y89 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y89 ROW 63 TILEPROP INT_INTERFACE_R_X1Y89 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y89 TILE_PATTERN_IDX 356 TILEPROP INT_INTERFACE_R_X1Y89 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y89 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y89 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y89 TILE_Y 47424 TILEPROP INT_INTERFACE_R_X1Y89 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y90 CLASS tile TILEPROP INT_INTERFACE_R_X1Y90 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y90 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y90 FIRST_SITE_ID 6011 TILEPROP INT_INTERFACE_R_X1Y90 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y90 GRID_POINT_Y 62 TILEPROP INT_INTERFACE_R_X1Y90 INDEX 7136 TILEPROP INT_INTERFACE_R_X1Y90 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y90 INT_TILE_Y 59 TILEPROP INT_INTERFACE_R_X1Y90 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y90 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y90 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y90 NAME INT_INTERFACE_R_X1Y90 TILEPROP INT_INTERFACE_R_X1Y90 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y90 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y90 ROW 62 TILEPROP INT_INTERFACE_R_X1Y90 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y90 TILE_PATTERN_IDX 322 TILEPROP INT_INTERFACE_R_X1Y90 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y90 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y90 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y90 TILE_Y 50624 TILEPROP INT_INTERFACE_R_X1Y90 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y91 CLASS tile TILEPROP INT_INTERFACE_R_X1Y91 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y91 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y91 FIRST_SITE_ID 5911 TILEPROP INT_INTERFACE_R_X1Y91 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y91 GRID_POINT_Y 61 TILEPROP INT_INTERFACE_R_X1Y91 INDEX 7021 TILEPROP INT_INTERFACE_R_X1Y91 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y91 INT_TILE_Y 58 TILEPROP INT_INTERFACE_R_X1Y91 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y91 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y91 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y91 NAME INT_INTERFACE_R_X1Y91 TILEPROP INT_INTERFACE_R_X1Y91 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y91 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y91 ROW 61 TILEPROP INT_INTERFACE_R_X1Y91 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y91 TILE_PATTERN_IDX 293 TILEPROP INT_INTERFACE_R_X1Y91 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y91 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y91 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y91 TILE_Y 53824 TILEPROP INT_INTERFACE_R_X1Y91 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y92 CLASS tile TILEPROP INT_INTERFACE_R_X1Y92 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y92 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y92 FIRST_SITE_ID 5809 TILEPROP INT_INTERFACE_R_X1Y92 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y92 GRID_POINT_Y 60 TILEPROP INT_INTERFACE_R_X1Y92 INDEX 6906 TILEPROP INT_INTERFACE_R_X1Y92 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y92 INT_TILE_Y 57 TILEPROP INT_INTERFACE_R_X1Y92 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y92 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y92 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y92 NAME INT_INTERFACE_R_X1Y92 TILEPROP INT_INTERFACE_R_X1Y92 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y92 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y92 ROW 60 TILEPROP INT_INTERFACE_R_X1Y92 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y92 TILE_PATTERN_IDX 261 TILEPROP INT_INTERFACE_R_X1Y92 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y92 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y92 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y92 TILE_Y 57024 TILEPROP INT_INTERFACE_R_X1Y92 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y93 CLASS tile TILEPROP INT_INTERFACE_R_X1Y93 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y93 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y93 FIRST_SITE_ID 5705 TILEPROP INT_INTERFACE_R_X1Y93 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y93 GRID_POINT_Y 59 TILEPROP INT_INTERFACE_R_X1Y93 INDEX 6791 TILEPROP INT_INTERFACE_R_X1Y93 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y93 INT_TILE_Y 56 TILEPROP INT_INTERFACE_R_X1Y93 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y93 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y93 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y93 NAME INT_INTERFACE_R_X1Y93 TILEPROP INT_INTERFACE_R_X1Y93 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y93 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y93 ROW 59 TILEPROP INT_INTERFACE_R_X1Y93 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y93 TILE_PATTERN_IDX 231 TILEPROP INT_INTERFACE_R_X1Y93 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y93 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y93 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y93 TILE_Y 60224 TILEPROP INT_INTERFACE_R_X1Y93 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y94 CLASS tile TILEPROP INT_INTERFACE_R_X1Y94 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y94 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y94 FIRST_SITE_ID 5605 TILEPROP INT_INTERFACE_R_X1Y94 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y94 GRID_POINT_Y 58 TILEPROP INT_INTERFACE_R_X1Y94 INDEX 6676 TILEPROP INT_INTERFACE_R_X1Y94 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y94 INT_TILE_Y 55 TILEPROP INT_INTERFACE_R_X1Y94 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y94 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y94 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y94 NAME INT_INTERFACE_R_X1Y94 TILEPROP INT_INTERFACE_R_X1Y94 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y94 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y94 ROW 58 TILEPROP INT_INTERFACE_R_X1Y94 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y94 TILE_PATTERN_IDX 198 TILEPROP INT_INTERFACE_R_X1Y94 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y94 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y94 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y94 TILE_Y 63424 TILEPROP INT_INTERFACE_R_X1Y94 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y95 CLASS tile TILEPROP INT_INTERFACE_R_X1Y95 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y95 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y95 FIRST_SITE_ID 5490 TILEPROP INT_INTERFACE_R_X1Y95 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y95 GRID_POINT_Y 57 TILEPROP INT_INTERFACE_R_X1Y95 INDEX 6561 TILEPROP INT_INTERFACE_R_X1Y95 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y95 INT_TILE_Y 54 TILEPROP INT_INTERFACE_R_X1Y95 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y95 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y95 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y95 NAME INT_INTERFACE_R_X1Y95 TILEPROP INT_INTERFACE_R_X1Y95 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y95 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y95 ROW 57 TILEPROP INT_INTERFACE_R_X1Y95 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y95 TILE_PATTERN_IDX 161 TILEPROP INT_INTERFACE_R_X1Y95 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y95 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y95 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y95 TILE_Y 66624 TILEPROP INT_INTERFACE_R_X1Y95 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y96 CLASS tile TILEPROP INT_INTERFACE_R_X1Y96 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y96 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y96 FIRST_SITE_ID 5390 TILEPROP INT_INTERFACE_R_X1Y96 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y96 GRID_POINT_Y 56 TILEPROP INT_INTERFACE_R_X1Y96 INDEX 6446 TILEPROP INT_INTERFACE_R_X1Y96 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y96 INT_TILE_Y 53 TILEPROP INT_INTERFACE_R_X1Y96 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y96 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y96 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y96 NAME INT_INTERFACE_R_X1Y96 TILEPROP INT_INTERFACE_R_X1Y96 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y96 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y96 ROW 56 TILEPROP INT_INTERFACE_R_X1Y96 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y96 TILE_PATTERN_IDX 127 TILEPROP INT_INTERFACE_R_X1Y96 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y96 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y96 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y96 TILE_Y 69824 TILEPROP INT_INTERFACE_R_X1Y96 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y97 CLASS tile TILEPROP INT_INTERFACE_R_X1Y97 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y97 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y97 FIRST_SITE_ID 5290 TILEPROP INT_INTERFACE_R_X1Y97 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y97 GRID_POINT_Y 55 TILEPROP INT_INTERFACE_R_X1Y97 INDEX 6331 TILEPROP INT_INTERFACE_R_X1Y97 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y97 INT_TILE_Y 52 TILEPROP INT_INTERFACE_R_X1Y97 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y97 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y97 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y97 NAME INT_INTERFACE_R_X1Y97 TILEPROP INT_INTERFACE_R_X1Y97 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y97 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y97 ROW 55 TILEPROP INT_INTERFACE_R_X1Y97 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y97 TILE_PATTERN_IDX 95 TILEPROP INT_INTERFACE_R_X1Y97 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y97 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y97 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y97 TILE_Y 73024 TILEPROP INT_INTERFACE_R_X1Y97 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y98 CLASS tile TILEPROP INT_INTERFACE_R_X1Y98 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y98 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y98 FIRST_SITE_ID 5190 TILEPROP INT_INTERFACE_R_X1Y98 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y98 GRID_POINT_Y 54 TILEPROP INT_INTERFACE_R_X1Y98 INDEX 6216 TILEPROP INT_INTERFACE_R_X1Y98 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y98 INT_TILE_Y 51 TILEPROP INT_INTERFACE_R_X1Y98 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y98 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y98 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y98 NAME INT_INTERFACE_R_X1Y98 TILEPROP INT_INTERFACE_R_X1Y98 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y98 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y98 ROW 54 TILEPROP INT_INTERFACE_R_X1Y98 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y98 TILE_PATTERN_IDX 56 TILEPROP INT_INTERFACE_R_X1Y98 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y98 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y98 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y98 TILE_Y 76224 TILEPROP INT_INTERFACE_R_X1Y98 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y99 CLASS tile TILEPROP INT_INTERFACE_R_X1Y99 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y99 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y99 FIRST_SITE_ID 5094 TILEPROP INT_INTERFACE_R_X1Y99 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y99 GRID_POINT_Y 53 TILEPROP INT_INTERFACE_R_X1Y99 INDEX 6101 TILEPROP INT_INTERFACE_R_X1Y99 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y99 INT_TILE_Y 50 TILEPROP INT_INTERFACE_R_X1Y99 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y99 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y99 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y99 NAME INT_INTERFACE_R_X1Y99 TILEPROP INT_INTERFACE_R_X1Y99 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y99 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y99 ROW 53 TILEPROP INT_INTERFACE_R_X1Y99 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y99 TILE_PATTERN_IDX 10 TILEPROP INT_INTERFACE_R_X1Y99 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y99 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y99 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y99 TILE_Y 79424 TILEPROP INT_INTERFACE_R_X1Y99 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y100 CLASS tile TILEPROP INT_INTERFACE_R_X1Y100 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y100 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y100 FIRST_SITE_ID 4993 TILEPROP INT_INTERFACE_R_X1Y100 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y100 GRID_POINT_Y 51 TILEPROP INT_INTERFACE_R_X1Y100 INDEX 5871 TILEPROP INT_INTERFACE_R_X1Y100 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y100 INT_TILE_Y 49 TILEPROP INT_INTERFACE_R_X1Y100 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y100 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y100 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y100 NAME INT_INTERFACE_R_X1Y100 TILEPROP INT_INTERFACE_R_X1Y100 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y100 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y100 ROW 51 TILEPROP INT_INTERFACE_R_X1Y100 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y100 TILE_PATTERN_IDX 1599 TILEPROP INT_INTERFACE_R_X1Y100 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y100 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y100 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y100 TILE_Y 82872 TILEPROP INT_INTERFACE_R_X1Y100 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y101 CLASS tile TILEPROP INT_INTERFACE_R_X1Y101 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y101 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y101 FIRST_SITE_ID 4901 TILEPROP INT_INTERFACE_R_X1Y101 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y101 GRID_POINT_Y 50 TILEPROP INT_INTERFACE_R_X1Y101 INDEX 5756 TILEPROP INT_INTERFACE_R_X1Y101 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y101 INT_TILE_Y 48 TILEPROP INT_INTERFACE_R_X1Y101 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y101 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y101 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y101 NAME INT_INTERFACE_R_X1Y101 TILEPROP INT_INTERFACE_R_X1Y101 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y101 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y101 ROW 50 TILEPROP INT_INTERFACE_R_X1Y101 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y101 TILE_PATTERN_IDX 1569 TILEPROP INT_INTERFACE_R_X1Y101 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y101 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y101 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y101 TILE_Y 86072 TILEPROP INT_INTERFACE_R_X1Y101 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y102 CLASS tile TILEPROP INT_INTERFACE_R_X1Y102 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y102 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y102 FIRST_SITE_ID 4805 TILEPROP INT_INTERFACE_R_X1Y102 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y102 GRID_POINT_Y 49 TILEPROP INT_INTERFACE_R_X1Y102 INDEX 5641 TILEPROP INT_INTERFACE_R_X1Y102 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y102 INT_TILE_Y 47 TILEPROP INT_INTERFACE_R_X1Y102 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y102 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y102 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y102 NAME INT_INTERFACE_R_X1Y102 TILEPROP INT_INTERFACE_R_X1Y102 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y102 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y102 ROW 49 TILEPROP INT_INTERFACE_R_X1Y102 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y102 TILE_PATTERN_IDX 1538 TILEPROP INT_INTERFACE_R_X1Y102 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y102 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y102 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y102 TILE_Y 89272 TILEPROP INT_INTERFACE_R_X1Y102 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y103 CLASS tile TILEPROP INT_INTERFACE_R_X1Y103 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y103 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y103 FIRST_SITE_ID 4717 TILEPROP INT_INTERFACE_R_X1Y103 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y103 GRID_POINT_Y 48 TILEPROP INT_INTERFACE_R_X1Y103 INDEX 5526 TILEPROP INT_INTERFACE_R_X1Y103 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y103 INT_TILE_Y 46 TILEPROP INT_INTERFACE_R_X1Y103 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y103 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y103 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y103 NAME INT_INTERFACE_R_X1Y103 TILEPROP INT_INTERFACE_R_X1Y103 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y103 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y103 ROW 48 TILEPROP INT_INTERFACE_R_X1Y103 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y103 TILE_PATTERN_IDX 1509 TILEPROP INT_INTERFACE_R_X1Y103 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y103 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y103 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y103 TILE_Y 92472 TILEPROP INT_INTERFACE_R_X1Y103 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y104 CLASS tile TILEPROP INT_INTERFACE_R_X1Y104 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y104 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y104 FIRST_SITE_ID 4621 TILEPROP INT_INTERFACE_R_X1Y104 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y104 GRID_POINT_Y 47 TILEPROP INT_INTERFACE_R_X1Y104 INDEX 5411 TILEPROP INT_INTERFACE_R_X1Y104 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y104 INT_TILE_Y 45 TILEPROP INT_INTERFACE_R_X1Y104 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y104 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y104 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y104 NAME INT_INTERFACE_R_X1Y104 TILEPROP INT_INTERFACE_R_X1Y104 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y104 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y104 ROW 47 TILEPROP INT_INTERFACE_R_X1Y104 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y104 TILE_PATTERN_IDX 1479 TILEPROP INT_INTERFACE_R_X1Y104 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y104 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y104 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y104 TILE_Y 95672 TILEPROP INT_INTERFACE_R_X1Y104 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y105 CLASS tile TILEPROP INT_INTERFACE_R_X1Y105 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y105 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y105 FIRST_SITE_ID 4519 TILEPROP INT_INTERFACE_R_X1Y105 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y105 GRID_POINT_Y 46 TILEPROP INT_INTERFACE_R_X1Y105 INDEX 5296 TILEPROP INT_INTERFACE_R_X1Y105 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y105 INT_TILE_Y 44 TILEPROP INT_INTERFACE_R_X1Y105 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y105 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y105 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y105 NAME INT_INTERFACE_R_X1Y105 TILEPROP INT_INTERFACE_R_X1Y105 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y105 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y105 ROW 46 TILEPROP INT_INTERFACE_R_X1Y105 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y105 TILE_PATTERN_IDX 1449 TILEPROP INT_INTERFACE_R_X1Y105 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y105 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y105 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y105 TILE_Y 98872 TILEPROP INT_INTERFACE_R_X1Y105 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y106 CLASS tile TILEPROP INT_INTERFACE_R_X1Y106 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y106 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y106 FIRST_SITE_ID 4423 TILEPROP INT_INTERFACE_R_X1Y106 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y106 GRID_POINT_Y 45 TILEPROP INT_INTERFACE_R_X1Y106 INDEX 5181 TILEPROP INT_INTERFACE_R_X1Y106 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y106 INT_TILE_Y 43 TILEPROP INT_INTERFACE_R_X1Y106 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y106 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y106 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y106 NAME INT_INTERFACE_R_X1Y106 TILEPROP INT_INTERFACE_R_X1Y106 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y106 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y106 ROW 45 TILEPROP INT_INTERFACE_R_X1Y106 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y106 TILE_PATTERN_IDX 1419 TILEPROP INT_INTERFACE_R_X1Y106 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y106 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y106 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y106 TILE_Y 102072 TILEPROP INT_INTERFACE_R_X1Y106 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y107 CLASS tile TILEPROP INT_INTERFACE_R_X1Y107 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y107 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y107 FIRST_SITE_ID 4333 TILEPROP INT_INTERFACE_R_X1Y107 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y107 GRID_POINT_Y 44 TILEPROP INT_INTERFACE_R_X1Y107 INDEX 5066 TILEPROP INT_INTERFACE_R_X1Y107 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y107 INT_TILE_Y 42 TILEPROP INT_INTERFACE_R_X1Y107 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y107 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y107 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y107 NAME INT_INTERFACE_R_X1Y107 TILEPROP INT_INTERFACE_R_X1Y107 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y107 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y107 ROW 44 TILEPROP INT_INTERFACE_R_X1Y107 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y107 TILE_PATTERN_IDX 1390 TILEPROP INT_INTERFACE_R_X1Y107 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y107 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y107 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y107 TILE_Y 105272 TILEPROP INT_INTERFACE_R_X1Y107 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y108 CLASS tile TILEPROP INT_INTERFACE_R_X1Y108 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y108 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y108 FIRST_SITE_ID 4236 TILEPROP INT_INTERFACE_R_X1Y108 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y108 GRID_POINT_Y 43 TILEPROP INT_INTERFACE_R_X1Y108 INDEX 4951 TILEPROP INT_INTERFACE_R_X1Y108 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y108 INT_TILE_Y 41 TILEPROP INT_INTERFACE_R_X1Y108 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y108 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y108 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y108 NAME INT_INTERFACE_R_X1Y108 TILEPROP INT_INTERFACE_R_X1Y108 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y108 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y108 ROW 43 TILEPROP INT_INTERFACE_R_X1Y108 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y108 TILE_PATTERN_IDX 1359 TILEPROP INT_INTERFACE_R_X1Y108 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y108 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y108 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y108 TILE_Y 108472 TILEPROP INT_INTERFACE_R_X1Y108 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y109 CLASS tile TILEPROP INT_INTERFACE_R_X1Y109 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y109 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y109 FIRST_SITE_ID 4148 TILEPROP INT_INTERFACE_R_X1Y109 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y109 GRID_POINT_Y 42 TILEPROP INT_INTERFACE_R_X1Y109 INDEX 4836 TILEPROP INT_INTERFACE_R_X1Y109 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y109 INT_TILE_Y 40 TILEPROP INT_INTERFACE_R_X1Y109 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y109 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y109 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y109 NAME INT_INTERFACE_R_X1Y109 TILEPROP INT_INTERFACE_R_X1Y109 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y109 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y109 ROW 42 TILEPROP INT_INTERFACE_R_X1Y109 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y109 TILE_PATTERN_IDX 1331 TILEPROP INT_INTERFACE_R_X1Y109 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y109 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y109 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y109 TILE_Y 111672 TILEPROP INT_INTERFACE_R_X1Y109 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y110 CLASS tile TILEPROP INT_INTERFACE_R_X1Y110 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y110 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y110 FIRST_SITE_ID 4042 TILEPROP INT_INTERFACE_R_X1Y110 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y110 GRID_POINT_Y 41 TILEPROP INT_INTERFACE_R_X1Y110 INDEX 4721 TILEPROP INT_INTERFACE_R_X1Y110 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y110 INT_TILE_Y 39 TILEPROP INT_INTERFACE_R_X1Y110 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y110 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y110 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y110 NAME INT_INTERFACE_R_X1Y110 TILEPROP INT_INTERFACE_R_X1Y110 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y110 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y110 ROW 41 TILEPROP INT_INTERFACE_R_X1Y110 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y110 TILE_PATTERN_IDX 1299 TILEPROP INT_INTERFACE_R_X1Y110 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y110 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y110 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y110 TILE_Y 114872 TILEPROP INT_INTERFACE_R_X1Y110 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y111 CLASS tile TILEPROP INT_INTERFACE_R_X1Y111 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y111 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y111 FIRST_SITE_ID 3954 TILEPROP INT_INTERFACE_R_X1Y111 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y111 GRID_POINT_Y 40 TILEPROP INT_INTERFACE_R_X1Y111 INDEX 4606 TILEPROP INT_INTERFACE_R_X1Y111 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y111 INT_TILE_Y 38 TILEPROP INT_INTERFACE_R_X1Y111 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y111 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y111 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y111 NAME INT_INTERFACE_R_X1Y111 TILEPROP INT_INTERFACE_R_X1Y111 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y111 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y111 ROW 40 TILEPROP INT_INTERFACE_R_X1Y111 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y111 TILE_PATTERN_IDX 1271 TILEPROP INT_INTERFACE_R_X1Y111 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y111 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y111 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y111 TILE_Y 118072 TILEPROP INT_INTERFACE_R_X1Y111 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y112 CLASS tile TILEPROP INT_INTERFACE_R_X1Y112 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y112 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y112 FIRST_SITE_ID 3826 TILEPROP INT_INTERFACE_R_X1Y112 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y112 GRID_POINT_Y 39 TILEPROP INT_INTERFACE_R_X1Y112 INDEX 4491 TILEPROP INT_INTERFACE_R_X1Y112 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y112 INT_TILE_Y 37 TILEPROP INT_INTERFACE_R_X1Y112 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y112 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y112 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y112 NAME INT_INTERFACE_R_X1Y112 TILEPROP INT_INTERFACE_R_X1Y112 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y112 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y112 ROW 39 TILEPROP INT_INTERFACE_R_X1Y112 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y112 TILE_PATTERN_IDX 1240 TILEPROP INT_INTERFACE_R_X1Y112 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y112 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y112 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y112 TILE_Y 121272 TILEPROP INT_INTERFACE_R_X1Y112 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y113 CLASS tile TILEPROP INT_INTERFACE_R_X1Y113 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y113 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y113 FIRST_SITE_ID 3738 TILEPROP INT_INTERFACE_R_X1Y113 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y113 GRID_POINT_Y 38 TILEPROP INT_INTERFACE_R_X1Y113 INDEX 4376 TILEPROP INT_INTERFACE_R_X1Y113 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y113 INT_TILE_Y 36 TILEPROP INT_INTERFACE_R_X1Y113 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y113 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y113 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y113 NAME INT_INTERFACE_R_X1Y113 TILEPROP INT_INTERFACE_R_X1Y113 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y113 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y113 ROW 38 TILEPROP INT_INTERFACE_R_X1Y113 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y113 TILE_PATTERN_IDX 1212 TILEPROP INT_INTERFACE_R_X1Y113 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y113 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y113 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y113 TILE_Y 124472 TILEPROP INT_INTERFACE_R_X1Y113 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y114 CLASS tile TILEPROP INT_INTERFACE_R_X1Y114 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y114 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y114 FIRST_SITE_ID 3642 TILEPROP INT_INTERFACE_R_X1Y114 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y114 GRID_POINT_Y 37 TILEPROP INT_INTERFACE_R_X1Y114 INDEX 4261 TILEPROP INT_INTERFACE_R_X1Y114 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y114 INT_TILE_Y 35 TILEPROP INT_INTERFACE_R_X1Y114 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y114 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y114 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y114 NAME INT_INTERFACE_R_X1Y114 TILEPROP INT_INTERFACE_R_X1Y114 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y114 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y114 ROW 37 TILEPROP INT_INTERFACE_R_X1Y114 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y114 TILE_PATTERN_IDX 1182 TILEPROP INT_INTERFACE_R_X1Y114 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y114 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y114 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y114 TILE_Y 127672 TILEPROP INT_INTERFACE_R_X1Y114 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y115 CLASS tile TILEPROP INT_INTERFACE_R_X1Y115 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y115 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y115 FIRST_SITE_ID 3545 TILEPROP INT_INTERFACE_R_X1Y115 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y115 GRID_POINT_Y 36 TILEPROP INT_INTERFACE_R_X1Y115 INDEX 4146 TILEPROP INT_INTERFACE_R_X1Y115 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y115 INT_TILE_Y 34 TILEPROP INT_INTERFACE_R_X1Y115 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y115 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y115 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y115 NAME INT_INTERFACE_R_X1Y115 TILEPROP INT_INTERFACE_R_X1Y115 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y115 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y115 ROW 36 TILEPROP INT_INTERFACE_R_X1Y115 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y115 TILE_PATTERN_IDX 1153 TILEPROP INT_INTERFACE_R_X1Y115 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y115 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y115 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y115 TILE_Y 130872 TILEPROP INT_INTERFACE_R_X1Y115 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y116 CLASS tile TILEPROP INT_INTERFACE_R_X1Y116 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y116 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y116 FIRST_SITE_ID 3444 TILEPROP INT_INTERFACE_R_X1Y116 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y116 GRID_POINT_Y 35 TILEPROP INT_INTERFACE_R_X1Y116 INDEX 4031 TILEPROP INT_INTERFACE_R_X1Y116 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y116 INT_TILE_Y 33 TILEPROP INT_INTERFACE_R_X1Y116 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y116 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y116 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y116 NAME INT_INTERFACE_R_X1Y116 TILEPROP INT_INTERFACE_R_X1Y116 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y116 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y116 ROW 35 TILEPROP INT_INTERFACE_R_X1Y116 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y116 TILE_PATTERN_IDX 1122 TILEPROP INT_INTERFACE_R_X1Y116 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y116 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y116 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y116 TILE_Y 134072 TILEPROP INT_INTERFACE_R_X1Y116 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y117 CLASS tile TILEPROP INT_INTERFACE_R_X1Y117 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y117 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y117 FIRST_SITE_ID 3352 TILEPROP INT_INTERFACE_R_X1Y117 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y117 GRID_POINT_Y 34 TILEPROP INT_INTERFACE_R_X1Y117 INDEX 3916 TILEPROP INT_INTERFACE_R_X1Y117 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y117 INT_TILE_Y 32 TILEPROP INT_INTERFACE_R_X1Y117 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y117 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y117 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y117 NAME INT_INTERFACE_R_X1Y117 TILEPROP INT_INTERFACE_R_X1Y117 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y117 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y117 ROW 34 TILEPROP INT_INTERFACE_R_X1Y117 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y117 TILE_PATTERN_IDX 1093 TILEPROP INT_INTERFACE_R_X1Y117 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y117 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y117 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y117 TILE_Y 137272 TILEPROP INT_INTERFACE_R_X1Y117 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y118 CLASS tile TILEPROP INT_INTERFACE_R_X1Y118 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y118 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y118 FIRST_SITE_ID 3256 TILEPROP INT_INTERFACE_R_X1Y118 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y118 GRID_POINT_Y 33 TILEPROP INT_INTERFACE_R_X1Y118 INDEX 3801 TILEPROP INT_INTERFACE_R_X1Y118 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y118 INT_TILE_Y 31 TILEPROP INT_INTERFACE_R_X1Y118 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y118 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y118 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y118 NAME INT_INTERFACE_R_X1Y118 TILEPROP INT_INTERFACE_R_X1Y118 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y118 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y118 ROW 33 TILEPROP INT_INTERFACE_R_X1Y118 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y118 TILE_PATTERN_IDX 1063 TILEPROP INT_INTERFACE_R_X1Y118 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y118 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y118 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y118 TILE_Y 140472 TILEPROP INT_INTERFACE_R_X1Y118 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y119 CLASS tile TILEPROP INT_INTERFACE_R_X1Y119 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y119 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y119 FIRST_SITE_ID 3166 TILEPROP INT_INTERFACE_R_X1Y119 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y119 GRID_POINT_Y 32 TILEPROP INT_INTERFACE_R_X1Y119 INDEX 3686 TILEPROP INT_INTERFACE_R_X1Y119 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y119 INT_TILE_Y 30 TILEPROP INT_INTERFACE_R_X1Y119 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y119 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y119 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y119 NAME INT_INTERFACE_R_X1Y119 TILEPROP INT_INTERFACE_R_X1Y119 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y119 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y119 ROW 32 TILEPROP INT_INTERFACE_R_X1Y119 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y119 TILE_PATTERN_IDX 1034 TILEPROP INT_INTERFACE_R_X1Y119 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y119 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y119 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y119 TILE_Y 143672 TILEPROP INT_INTERFACE_R_X1Y119 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y120 CLASS tile TILEPROP INT_INTERFACE_R_X1Y120 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y120 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y120 FIRST_SITE_ID 3061 TILEPROP INT_INTERFACE_R_X1Y120 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y120 GRID_POINT_Y 31 TILEPROP INT_INTERFACE_R_X1Y120 INDEX 3571 TILEPROP INT_INTERFACE_R_X1Y120 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y120 INT_TILE_Y 29 TILEPROP INT_INTERFACE_R_X1Y120 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y120 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y120 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y120 NAME INT_INTERFACE_R_X1Y120 TILEPROP INT_INTERFACE_R_X1Y120 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y120 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y120 ROW 31 TILEPROP INT_INTERFACE_R_X1Y120 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y120 TILE_PATTERN_IDX 1000 TILEPROP INT_INTERFACE_R_X1Y120 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y120 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y120 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y120 TILE_Y 146872 TILEPROP INT_INTERFACE_R_X1Y120 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y121 CLASS tile TILEPROP INT_INTERFACE_R_X1Y121 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y121 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y121 FIRST_SITE_ID 2973 TILEPROP INT_INTERFACE_R_X1Y121 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y121 GRID_POINT_Y 30 TILEPROP INT_INTERFACE_R_X1Y121 INDEX 3456 TILEPROP INT_INTERFACE_R_X1Y121 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y121 INT_TILE_Y 28 TILEPROP INT_INTERFACE_R_X1Y121 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y121 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y121 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y121 NAME INT_INTERFACE_R_X1Y121 TILEPROP INT_INTERFACE_R_X1Y121 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y121 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y121 ROW 30 TILEPROP INT_INTERFACE_R_X1Y121 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y121 TILE_PATTERN_IDX 971 TILEPROP INT_INTERFACE_R_X1Y121 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y121 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y121 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y121 TILE_Y 150072 TILEPROP INT_INTERFACE_R_X1Y121 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y122 CLASS tile TILEPROP INT_INTERFACE_R_X1Y122 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y122 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y122 FIRST_SITE_ID 2870 TILEPROP INT_INTERFACE_R_X1Y122 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y122 GRID_POINT_Y 29 TILEPROP INT_INTERFACE_R_X1Y122 INDEX 3341 TILEPROP INT_INTERFACE_R_X1Y122 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y122 INT_TILE_Y 27 TILEPROP INT_INTERFACE_R_X1Y122 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y122 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y122 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y122 NAME INT_INTERFACE_R_X1Y122 TILEPROP INT_INTERFACE_R_X1Y122 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y122 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y122 ROW 29 TILEPROP INT_INTERFACE_R_X1Y122 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y122 TILE_PATTERN_IDX 939 TILEPROP INT_INTERFACE_R_X1Y122 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y122 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y122 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y122 TILE_Y 153272 TILEPROP INT_INTERFACE_R_X1Y122 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y123 CLASS tile TILEPROP INT_INTERFACE_R_X1Y123 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y123 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y123 FIRST_SITE_ID 2782 TILEPROP INT_INTERFACE_R_X1Y123 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y123 GRID_POINT_Y 28 TILEPROP INT_INTERFACE_R_X1Y123 INDEX 3226 TILEPROP INT_INTERFACE_R_X1Y123 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y123 INT_TILE_Y 26 TILEPROP INT_INTERFACE_R_X1Y123 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y123 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y123 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y123 NAME INT_INTERFACE_R_X1Y123 TILEPROP INT_INTERFACE_R_X1Y123 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y123 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y123 ROW 28 TILEPROP INT_INTERFACE_R_X1Y123 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y123 TILE_PATTERN_IDX 910 TILEPROP INT_INTERFACE_R_X1Y123 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y123 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y123 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y123 TILE_Y 156472 TILEPROP INT_INTERFACE_R_X1Y123 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y124 CLASS tile TILEPROP INT_INTERFACE_R_X1Y124 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y124 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y124 FIRST_SITE_ID 2686 TILEPROP INT_INTERFACE_R_X1Y124 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y124 GRID_POINT_Y 27 TILEPROP INT_INTERFACE_R_X1Y124 INDEX 3111 TILEPROP INT_INTERFACE_R_X1Y124 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y124 INT_TILE_Y 25 TILEPROP INT_INTERFACE_R_X1Y124 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y124 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y124 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y124 NAME INT_INTERFACE_R_X1Y124 TILEPROP INT_INTERFACE_R_X1Y124 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y124 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y124 ROW 27 TILEPROP INT_INTERFACE_R_X1Y124 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y124 TILE_PATTERN_IDX 873 TILEPROP INT_INTERFACE_R_X1Y124 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y124 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y124 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y124 TILE_Y 159672 TILEPROP INT_INTERFACE_R_X1Y124 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y125 CLASS tile TILEPROP INT_INTERFACE_R_X1Y125 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y125 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y125 FIRST_SITE_ID 2507 TILEPROP INT_INTERFACE_R_X1Y125 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y125 GRID_POINT_Y 25 TILEPROP INT_INTERFACE_R_X1Y125 INDEX 2881 TILEPROP INT_INTERFACE_R_X1Y125 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y125 INT_TILE_Y 24 TILEPROP INT_INTERFACE_R_X1Y125 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y125 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y125 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y125 NAME INT_INTERFACE_R_X1Y125 TILEPROP INT_INTERFACE_R_X1Y125 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y125 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y125 ROW 25 TILEPROP INT_INTERFACE_R_X1Y125 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y125 TILE_PATTERN_IDX 789 TILEPROP INT_INTERFACE_R_X1Y125 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y125 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y125 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y125 TILE_Y 163896 TILEPROP INT_INTERFACE_R_X1Y125 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y126 CLASS tile TILEPROP INT_INTERFACE_R_X1Y126 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y126 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y126 FIRST_SITE_ID 2403 TILEPROP INT_INTERFACE_R_X1Y126 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y126 GRID_POINT_Y 24 TILEPROP INT_INTERFACE_R_X1Y126 INDEX 2766 TILEPROP INT_INTERFACE_R_X1Y126 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y126 INT_TILE_Y 23 TILEPROP INT_INTERFACE_R_X1Y126 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y126 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y126 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y126 NAME INT_INTERFACE_R_X1Y126 TILEPROP INT_INTERFACE_R_X1Y126 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y126 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y126 ROW 24 TILEPROP INT_INTERFACE_R_X1Y126 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y126 TILE_PATTERN_IDX 757 TILEPROP INT_INTERFACE_R_X1Y126 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y126 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y126 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y126 TILE_Y 167096 TILEPROP INT_INTERFACE_R_X1Y126 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y127 CLASS tile TILEPROP INT_INTERFACE_R_X1Y127 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y127 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y127 FIRST_SITE_ID 2307 TILEPROP INT_INTERFACE_R_X1Y127 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y127 GRID_POINT_Y 23 TILEPROP INT_INTERFACE_R_X1Y127 INDEX 2651 TILEPROP INT_INTERFACE_R_X1Y127 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y127 INT_TILE_Y 22 TILEPROP INT_INTERFACE_R_X1Y127 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y127 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y127 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y127 NAME INT_INTERFACE_R_X1Y127 TILEPROP INT_INTERFACE_R_X1Y127 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y127 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y127 ROW 23 TILEPROP INT_INTERFACE_R_X1Y127 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y127 TILE_PATTERN_IDX 727 TILEPROP INT_INTERFACE_R_X1Y127 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y127 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y127 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y127 TILE_Y 170296 TILEPROP INT_INTERFACE_R_X1Y127 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y128 CLASS tile TILEPROP INT_INTERFACE_R_X1Y128 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y128 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y128 FIRST_SITE_ID 2203 TILEPROP INT_INTERFACE_R_X1Y128 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y128 GRID_POINT_Y 22 TILEPROP INT_INTERFACE_R_X1Y128 INDEX 2536 TILEPROP INT_INTERFACE_R_X1Y128 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y128 INT_TILE_Y 21 TILEPROP INT_INTERFACE_R_X1Y128 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y128 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y128 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y128 NAME INT_INTERFACE_R_X1Y128 TILEPROP INT_INTERFACE_R_X1Y128 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y128 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y128 ROW 22 TILEPROP INT_INTERFACE_R_X1Y128 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y128 TILE_PATTERN_IDX 695 TILEPROP INT_INTERFACE_R_X1Y128 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y128 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y128 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y128 TILE_Y 173496 TILEPROP INT_INTERFACE_R_X1Y128 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y129 CLASS tile TILEPROP INT_INTERFACE_R_X1Y129 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y129 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y129 FIRST_SITE_ID 2101 TILEPROP INT_INTERFACE_R_X1Y129 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y129 GRID_POINT_Y 21 TILEPROP INT_INTERFACE_R_X1Y129 INDEX 2421 TILEPROP INT_INTERFACE_R_X1Y129 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y129 INT_TILE_Y 20 TILEPROP INT_INTERFACE_R_X1Y129 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y129 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y129 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y129 NAME INT_INTERFACE_R_X1Y129 TILEPROP INT_INTERFACE_R_X1Y129 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y129 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y129 ROW 21 TILEPROP INT_INTERFACE_R_X1Y129 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y129 TILE_PATTERN_IDX 665 TILEPROP INT_INTERFACE_R_X1Y129 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y129 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y129 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y129 TILE_Y 176696 TILEPROP INT_INTERFACE_R_X1Y129 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y130 CLASS tile TILEPROP INT_INTERFACE_R_X1Y130 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y130 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y130 FIRST_SITE_ID 1985 TILEPROP INT_INTERFACE_R_X1Y130 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y130 GRID_POINT_Y 20 TILEPROP INT_INTERFACE_R_X1Y130 INDEX 2306 TILEPROP INT_INTERFACE_R_X1Y130 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y130 INT_TILE_Y 19 TILEPROP INT_INTERFACE_R_X1Y130 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y130 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y130 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y130 NAME INT_INTERFACE_R_X1Y130 TILEPROP INT_INTERFACE_R_X1Y130 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y130 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y130 ROW 20 TILEPROP INT_INTERFACE_R_X1Y130 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y130 TILE_PATTERN_IDX 632 TILEPROP INT_INTERFACE_R_X1Y130 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y130 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y130 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y130 TILE_Y 179896 TILEPROP INT_INTERFACE_R_X1Y130 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y131 CLASS tile TILEPROP INT_INTERFACE_R_X1Y131 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y131 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y131 FIRST_SITE_ID 1887 TILEPROP INT_INTERFACE_R_X1Y131 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y131 GRID_POINT_Y 19 TILEPROP INT_INTERFACE_R_X1Y131 INDEX 2191 TILEPROP INT_INTERFACE_R_X1Y131 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y131 INT_TILE_Y 18 TILEPROP INT_INTERFACE_R_X1Y131 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y131 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y131 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y131 NAME INT_INTERFACE_R_X1Y131 TILEPROP INT_INTERFACE_R_X1Y131 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y131 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y131 ROW 19 TILEPROP INT_INTERFACE_R_X1Y131 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y131 TILE_PATTERN_IDX 602 TILEPROP INT_INTERFACE_R_X1Y131 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y131 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y131 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y131 TILE_Y 183096 TILEPROP INT_INTERFACE_R_X1Y131 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y132 CLASS tile TILEPROP INT_INTERFACE_R_X1Y132 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y132 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y132 FIRST_SITE_ID 1783 TILEPROP INT_INTERFACE_R_X1Y132 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y132 GRID_POINT_Y 18 TILEPROP INT_INTERFACE_R_X1Y132 INDEX 2076 TILEPROP INT_INTERFACE_R_X1Y132 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y132 INT_TILE_Y 17 TILEPROP INT_INTERFACE_R_X1Y132 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y132 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y132 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y132 NAME INT_INTERFACE_R_X1Y132 TILEPROP INT_INTERFACE_R_X1Y132 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y132 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y132 ROW 18 TILEPROP INT_INTERFACE_R_X1Y132 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y132 TILE_PATTERN_IDX 571 TILEPROP INT_INTERFACE_R_X1Y132 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y132 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y132 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y132 TILE_Y 186296 TILEPROP INT_INTERFACE_R_X1Y132 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y133 CLASS tile TILEPROP INT_INTERFACE_R_X1Y133 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y133 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y133 FIRST_SITE_ID 1682 TILEPROP INT_INTERFACE_R_X1Y133 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y133 GRID_POINT_Y 17 TILEPROP INT_INTERFACE_R_X1Y133 INDEX 1961 TILEPROP INT_INTERFACE_R_X1Y133 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y133 INT_TILE_Y 16 TILEPROP INT_INTERFACE_R_X1Y133 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y133 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y133 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y133 NAME INT_INTERFACE_R_X1Y133 TILEPROP INT_INTERFACE_R_X1Y133 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y133 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y133 ROW 17 TILEPROP INT_INTERFACE_R_X1Y133 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y133 TILE_PATTERN_IDX 541 TILEPROP INT_INTERFACE_R_X1Y133 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y133 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y133 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y133 TILE_Y 189496 TILEPROP INT_INTERFACE_R_X1Y133 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y134 CLASS tile TILEPROP INT_INTERFACE_R_X1Y134 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y134 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y134 FIRST_SITE_ID 1578 TILEPROP INT_INTERFACE_R_X1Y134 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y134 GRID_POINT_Y 16 TILEPROP INT_INTERFACE_R_X1Y134 INDEX 1846 TILEPROP INT_INTERFACE_R_X1Y134 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y134 INT_TILE_Y 15 TILEPROP INT_INTERFACE_R_X1Y134 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y134 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y134 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y134 NAME INT_INTERFACE_R_X1Y134 TILEPROP INT_INTERFACE_R_X1Y134 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y134 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y134 ROW 16 TILEPROP INT_INTERFACE_R_X1Y134 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y134 TILE_PATTERN_IDX 510 TILEPROP INT_INTERFACE_R_X1Y134 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y134 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y134 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y134 TILE_Y 192696 TILEPROP INT_INTERFACE_R_X1Y134 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y135 CLASS tile TILEPROP INT_INTERFACE_R_X1Y135 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y135 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y135 FIRST_SITE_ID 1470 TILEPROP INT_INTERFACE_R_X1Y135 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y135 GRID_POINT_Y 15 TILEPROP INT_INTERFACE_R_X1Y135 INDEX 1731 TILEPROP INT_INTERFACE_R_X1Y135 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y135 INT_TILE_Y 14 TILEPROP INT_INTERFACE_R_X1Y135 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y135 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y135 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y135 NAME INT_INTERFACE_R_X1Y135 TILEPROP INT_INTERFACE_R_X1Y135 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y135 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y135 ROW 15 TILEPROP INT_INTERFACE_R_X1Y135 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y135 TILE_PATTERN_IDX 479 TILEPROP INT_INTERFACE_R_X1Y135 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y135 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y135 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y135 TILE_Y 195896 TILEPROP INT_INTERFACE_R_X1Y135 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y136 CLASS tile TILEPROP INT_INTERFACE_R_X1Y136 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y136 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y136 FIRST_SITE_ID 1334 TILEPROP INT_INTERFACE_R_X1Y136 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y136 GRID_POINT_Y 14 TILEPROP INT_INTERFACE_R_X1Y136 INDEX 1616 TILEPROP INT_INTERFACE_R_X1Y136 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y136 INT_TILE_Y 13 TILEPROP INT_INTERFACE_R_X1Y136 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y136 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y136 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y136 NAME INT_INTERFACE_R_X1Y136 TILEPROP INT_INTERFACE_R_X1Y136 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y136 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y136 ROW 14 TILEPROP INT_INTERFACE_R_X1Y136 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y136 TILE_PATTERN_IDX 447 TILEPROP INT_INTERFACE_R_X1Y136 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y136 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y136 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y136 TILE_Y 199096 TILEPROP INT_INTERFACE_R_X1Y136 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y137 CLASS tile TILEPROP INT_INTERFACE_R_X1Y137 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y137 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y137 FIRST_SITE_ID 1238 TILEPROP INT_INTERFACE_R_X1Y137 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y137 GRID_POINT_Y 13 TILEPROP INT_INTERFACE_R_X1Y137 INDEX 1501 TILEPROP INT_INTERFACE_R_X1Y137 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y137 INT_TILE_Y 12 TILEPROP INT_INTERFACE_R_X1Y137 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y137 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y137 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y137 NAME INT_INTERFACE_R_X1Y137 TILEPROP INT_INTERFACE_R_X1Y137 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y137 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y137 ROW 13 TILEPROP INT_INTERFACE_R_X1Y137 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y137 TILE_PATTERN_IDX 418 TILEPROP INT_INTERFACE_R_X1Y137 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y137 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y137 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y137 TILE_Y 202296 TILEPROP INT_INTERFACE_R_X1Y137 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y138 CLASS tile TILEPROP INT_INTERFACE_R_X1Y138 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y138 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y138 FIRST_SITE_ID 1134 TILEPROP INT_INTERFACE_R_X1Y138 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y138 GRID_POINT_Y 12 TILEPROP INT_INTERFACE_R_X1Y138 INDEX 1386 TILEPROP INT_INTERFACE_R_X1Y138 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y138 INT_TILE_Y 11 TILEPROP INT_INTERFACE_R_X1Y138 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y138 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y138 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y138 NAME INT_INTERFACE_R_X1Y138 TILEPROP INT_INTERFACE_R_X1Y138 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y138 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y138 ROW 12 TILEPROP INT_INTERFACE_R_X1Y138 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y138 TILE_PATTERN_IDX 386 TILEPROP INT_INTERFACE_R_X1Y138 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y138 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y138 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y138 TILE_Y 205496 TILEPROP INT_INTERFACE_R_X1Y138 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y139 CLASS tile TILEPROP INT_INTERFACE_R_X1Y139 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y139 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y139 FIRST_SITE_ID 1038 TILEPROP INT_INTERFACE_R_X1Y139 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y139 GRID_POINT_Y 11 TILEPROP INT_INTERFACE_R_X1Y139 INDEX 1271 TILEPROP INT_INTERFACE_R_X1Y139 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y139 INT_TILE_Y 10 TILEPROP INT_INTERFACE_R_X1Y139 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y139 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y139 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y139 NAME INT_INTERFACE_R_X1Y139 TILEPROP INT_INTERFACE_R_X1Y139 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y139 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y139 ROW 11 TILEPROP INT_INTERFACE_R_X1Y139 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y139 TILE_PATTERN_IDX 356 TILEPROP INT_INTERFACE_R_X1Y139 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y139 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y139 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y139 TILE_Y 208696 TILEPROP INT_INTERFACE_R_X1Y139 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y140 CLASS tile TILEPROP INT_INTERFACE_R_X1Y140 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y140 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y140 FIRST_SITE_ID 922 TILEPROP INT_INTERFACE_R_X1Y140 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y140 GRID_POINT_Y 10 TILEPROP INT_INTERFACE_R_X1Y140 INDEX 1156 TILEPROP INT_INTERFACE_R_X1Y140 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y140 INT_TILE_Y 9 TILEPROP INT_INTERFACE_R_X1Y140 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y140 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y140 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y140 NAME INT_INTERFACE_R_X1Y140 TILEPROP INT_INTERFACE_R_X1Y140 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y140 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y140 ROW 10 TILEPROP INT_INTERFACE_R_X1Y140 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y140 TILE_PATTERN_IDX 322 TILEPROP INT_INTERFACE_R_X1Y140 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y140 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y140 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y140 TILE_Y 211896 TILEPROP INT_INTERFACE_R_X1Y140 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y141 CLASS tile TILEPROP INT_INTERFACE_R_X1Y141 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y141 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y141 FIRST_SITE_ID 826 TILEPROP INT_INTERFACE_R_X1Y141 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y141 GRID_POINT_Y 9 TILEPROP INT_INTERFACE_R_X1Y141 INDEX 1041 TILEPROP INT_INTERFACE_R_X1Y141 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y141 INT_TILE_Y 8 TILEPROP INT_INTERFACE_R_X1Y141 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y141 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y141 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y141 NAME INT_INTERFACE_R_X1Y141 TILEPROP INT_INTERFACE_R_X1Y141 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y141 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y141 ROW 9 TILEPROP INT_INTERFACE_R_X1Y141 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y141 TILE_PATTERN_IDX 293 TILEPROP INT_INTERFACE_R_X1Y141 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y141 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y141 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y141 TILE_Y 215096 TILEPROP INT_INTERFACE_R_X1Y141 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y142 CLASS tile TILEPROP INT_INTERFACE_R_X1Y142 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y142 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y142 FIRST_SITE_ID 721 TILEPROP INT_INTERFACE_R_X1Y142 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y142 GRID_POINT_Y 8 TILEPROP INT_INTERFACE_R_X1Y142 INDEX 926 TILEPROP INT_INTERFACE_R_X1Y142 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y142 INT_TILE_Y 7 TILEPROP INT_INTERFACE_R_X1Y142 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y142 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y142 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y142 NAME INT_INTERFACE_R_X1Y142 TILEPROP INT_INTERFACE_R_X1Y142 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y142 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y142 ROW 8 TILEPROP INT_INTERFACE_R_X1Y142 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y142 TILE_PATTERN_IDX 261 TILEPROP INT_INTERFACE_R_X1Y142 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y142 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y142 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y142 TILE_Y 218296 TILEPROP INT_INTERFACE_R_X1Y142 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y143 CLASS tile TILEPROP INT_INTERFACE_R_X1Y143 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y143 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y143 FIRST_SITE_ID 623 TILEPROP INT_INTERFACE_R_X1Y143 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y143 GRID_POINT_Y 7 TILEPROP INT_INTERFACE_R_X1Y143 INDEX 811 TILEPROP INT_INTERFACE_R_X1Y143 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y143 INT_TILE_Y 6 TILEPROP INT_INTERFACE_R_X1Y143 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y143 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y143 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y143 NAME INT_INTERFACE_R_X1Y143 TILEPROP INT_INTERFACE_R_X1Y143 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y143 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y143 ROW 7 TILEPROP INT_INTERFACE_R_X1Y143 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y143 TILE_PATTERN_IDX 231 TILEPROP INT_INTERFACE_R_X1Y143 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y143 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y143 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y143 TILE_Y 221496 TILEPROP INT_INTERFACE_R_X1Y143 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y144 CLASS tile TILEPROP INT_INTERFACE_R_X1Y144 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y144 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y144 FIRST_SITE_ID 514 TILEPROP INT_INTERFACE_R_X1Y144 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y144 GRID_POINT_Y 6 TILEPROP INT_INTERFACE_R_X1Y144 INDEX 696 TILEPROP INT_INTERFACE_R_X1Y144 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y144 INT_TILE_Y 5 TILEPROP INT_INTERFACE_R_X1Y144 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y144 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y144 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y144 NAME INT_INTERFACE_R_X1Y144 TILEPROP INT_INTERFACE_R_X1Y144 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y144 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y144 ROW 6 TILEPROP INT_INTERFACE_R_X1Y144 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y144 TILE_PATTERN_IDX 198 TILEPROP INT_INTERFACE_R_X1Y144 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y144 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y144 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y144 TILE_Y 224696 TILEPROP INT_INTERFACE_R_X1Y144 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y145 CLASS tile TILEPROP INT_INTERFACE_R_X1Y145 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y145 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y145 FIRST_SITE_ID 406 TILEPROP INT_INTERFACE_R_X1Y145 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y145 GRID_POINT_Y 5 TILEPROP INT_INTERFACE_R_X1Y145 INDEX 581 TILEPROP INT_INTERFACE_R_X1Y145 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y145 INT_TILE_Y 4 TILEPROP INT_INTERFACE_R_X1Y145 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y145 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y145 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y145 NAME INT_INTERFACE_R_X1Y145 TILEPROP INT_INTERFACE_R_X1Y145 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y145 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y145 ROW 5 TILEPROP INT_INTERFACE_R_X1Y145 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y145 TILE_PATTERN_IDX 161 TILEPROP INT_INTERFACE_R_X1Y145 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y145 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y145 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y145 TILE_Y 227896 TILEPROP INT_INTERFACE_R_X1Y145 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y146 CLASS tile TILEPROP INT_INTERFACE_R_X1Y146 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y146 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y146 FIRST_SITE_ID 302 TILEPROP INT_INTERFACE_R_X1Y146 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y146 GRID_POINT_Y 4 TILEPROP INT_INTERFACE_R_X1Y146 INDEX 466 TILEPROP INT_INTERFACE_R_X1Y146 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y146 INT_TILE_Y 3 TILEPROP INT_INTERFACE_R_X1Y146 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y146 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y146 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y146 NAME INT_INTERFACE_R_X1Y146 TILEPROP INT_INTERFACE_R_X1Y146 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y146 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y146 ROW 4 TILEPROP INT_INTERFACE_R_X1Y146 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y146 TILE_PATTERN_IDX 127 TILEPROP INT_INTERFACE_R_X1Y146 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y146 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y146 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y146 TILE_Y 231096 TILEPROP INT_INTERFACE_R_X1Y146 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y147 CLASS tile TILEPROP INT_INTERFACE_R_X1Y147 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y147 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y147 FIRST_SITE_ID 206 TILEPROP INT_INTERFACE_R_X1Y147 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y147 GRID_POINT_Y 3 TILEPROP INT_INTERFACE_R_X1Y147 INDEX 351 TILEPROP INT_INTERFACE_R_X1Y147 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y147 INT_TILE_Y 2 TILEPROP INT_INTERFACE_R_X1Y147 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y147 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y147 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y147 NAME INT_INTERFACE_R_X1Y147 TILEPROP INT_INTERFACE_R_X1Y147 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y147 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y147 ROW 3 TILEPROP INT_INTERFACE_R_X1Y147 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y147 TILE_PATTERN_IDX 95 TILEPROP INT_INTERFACE_R_X1Y147 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y147 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y147 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y147 TILE_Y 234296 TILEPROP INT_INTERFACE_R_X1Y147 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y148 CLASS tile TILEPROP INT_INTERFACE_R_X1Y148 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y148 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y148 FIRST_SITE_ID 102 TILEPROP INT_INTERFACE_R_X1Y148 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y148 GRID_POINT_Y 2 TILEPROP INT_INTERFACE_R_X1Y148 INDEX 236 TILEPROP INT_INTERFACE_R_X1Y148 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y148 INT_TILE_Y 1 TILEPROP INT_INTERFACE_R_X1Y148 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y148 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y148 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y148 NAME INT_INTERFACE_R_X1Y148 TILEPROP INT_INTERFACE_R_X1Y148 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y148 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y148 ROW 2 TILEPROP INT_INTERFACE_R_X1Y148 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y148 TILE_PATTERN_IDX 56 TILEPROP INT_INTERFACE_R_X1Y148 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y148 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y148 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y148 TILE_Y 237496 TILEPROP INT_INTERFACE_R_X1Y148 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y149 CLASS tile TILEPROP INT_INTERFACE_R_X1Y149 COLUMN 6 TILEPROP INT_INTERFACE_R_X1Y149 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X1Y149 FIRST_SITE_ID 6 TILEPROP INT_INTERFACE_R_X1Y149 GRID_POINT_X 6 TILEPROP INT_INTERFACE_R_X1Y149 GRID_POINT_Y 1 TILEPROP INT_INTERFACE_R_X1Y149 INDEX 121 TILEPROP INT_INTERFACE_R_X1Y149 INT_TILE_X 1 TILEPROP INT_INTERFACE_R_X1Y149 INT_TILE_Y 0 TILEPROP INT_INTERFACE_R_X1Y149 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X1Y149 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X1Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y149 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X1Y149 NAME INT_INTERFACE_R_X1Y149 TILEPROP INT_INTERFACE_R_X1Y149 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X1Y149 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X1Y149 ROW 1 TILEPROP INT_INTERFACE_R_X1Y149 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X1Y149 TILE_PATTERN_IDX 10 TILEPROP INT_INTERFACE_R_X1Y149 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X1Y149 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X1Y149 TILE_X -91950 TILEPROP INT_INTERFACE_R_X1Y149 TILE_Y 240696 TILEPROP INT_INTERFACE_R_X1Y149 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y0 CLASS tile TILEPROP INT_INTERFACE_R_X23Y0 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y0 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y0 FIRST_SITE_ID 15754 TILEPROP INT_INTERFACE_R_X23Y0 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y0 GRID_POINT_Y 155 TILEPROP INT_INTERFACE_R_X23Y0 INDEX 17884 TILEPROP INT_INTERFACE_R_X23Y0 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y0 INT_TILE_Y 149 TILEPROP INT_INTERFACE_R_X23Y0 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y0 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y0 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y0 NAME INT_INTERFACE_R_X23Y0 TILEPROP INT_INTERFACE_R_X23Y0 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y0 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y0 ROW 155 TILEPROP INT_INTERFACE_R_X23Y0 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y0 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y0 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y0 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y0 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y0 TILE_Y -239672 TILEPROP INT_INTERFACE_R_X23Y0 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y1 CLASS tile TILEPROP INT_INTERFACE_R_X23Y1 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y1 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y1 FIRST_SITE_ID 15643 TILEPROP INT_INTERFACE_R_X23Y1 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y1 GRID_POINT_Y 154 TILEPROP INT_INTERFACE_R_X23Y1 INDEX 17769 TILEPROP INT_INTERFACE_R_X23Y1 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y1 INT_TILE_Y 148 TILEPROP INT_INTERFACE_R_X23Y1 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y1 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y1 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y1 NAME INT_INTERFACE_R_X23Y1 TILEPROP INT_INTERFACE_R_X23Y1 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y1 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y1 ROW 154 TILEPROP INT_INTERFACE_R_X23Y1 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y1 TILE_PATTERN_IDX 3476 TILEPROP INT_INTERFACE_R_X23Y1 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y1 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y1 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y1 TILE_Y -236472 TILEPROP INT_INTERFACE_R_X23Y1 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y2 CLASS tile TILEPROP INT_INTERFACE_R_X23Y2 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y2 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y2 FIRST_SITE_ID 15543 TILEPROP INT_INTERFACE_R_X23Y2 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y2 GRID_POINT_Y 153 TILEPROP INT_INTERFACE_R_X23Y2 INDEX 17654 TILEPROP INT_INTERFACE_R_X23Y2 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y2 INT_TILE_Y 147 TILEPROP INT_INTERFACE_R_X23Y2 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y2 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y2 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y2 NAME INT_INTERFACE_R_X23Y2 TILEPROP INT_INTERFACE_R_X23Y2 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y2 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y2 ROW 153 TILEPROP INT_INTERFACE_R_X23Y2 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y2 TILE_PATTERN_IDX 3440 TILEPROP INT_INTERFACE_R_X23Y2 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y2 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y2 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y2 TILE_Y -233272 TILEPROP INT_INTERFACE_R_X23Y2 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y3 CLASS tile TILEPROP INT_INTERFACE_R_X23Y3 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y3 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y3 FIRST_SITE_ID 15443 TILEPROP INT_INTERFACE_R_X23Y3 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y3 GRID_POINT_Y 152 TILEPROP INT_INTERFACE_R_X23Y3 INDEX 17539 TILEPROP INT_INTERFACE_R_X23Y3 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y3 INT_TILE_Y 146 TILEPROP INT_INTERFACE_R_X23Y3 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y3 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y3 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y3 NAME INT_INTERFACE_R_X23Y3 TILEPROP INT_INTERFACE_R_X23Y3 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y3 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y3 ROW 152 TILEPROP INT_INTERFACE_R_X23Y3 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y3 TILE_PATTERN_IDX 3403 TILEPROP INT_INTERFACE_R_X23Y3 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y3 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y3 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y3 TILE_Y -230072 TILEPROP INT_INTERFACE_R_X23Y3 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y4 CLASS tile TILEPROP INT_INTERFACE_R_X23Y4 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y4 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y4 FIRST_SITE_ID 15343 TILEPROP INT_INTERFACE_R_X23Y4 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y4 GRID_POINT_Y 151 TILEPROP INT_INTERFACE_R_X23Y4 INDEX 17424 TILEPROP INT_INTERFACE_R_X23Y4 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y4 INT_TILE_Y 145 TILEPROP INT_INTERFACE_R_X23Y4 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y4 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y4 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y4 NAME INT_INTERFACE_R_X23Y4 TILEPROP INT_INTERFACE_R_X23Y4 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y4 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y4 ROW 151 TILEPROP INT_INTERFACE_R_X23Y4 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y4 TILE_PATTERN_IDX 3367 TILEPROP INT_INTERFACE_R_X23Y4 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y4 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y4 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y4 TILE_Y -226872 TILEPROP INT_INTERFACE_R_X23Y4 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y5 CLASS tile TILEPROP INT_INTERFACE_R_X23Y5 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y5 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y5 FIRST_SITE_ID 15234 TILEPROP INT_INTERFACE_R_X23Y5 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y5 GRID_POINT_Y 150 TILEPROP INT_INTERFACE_R_X23Y5 INDEX 17309 TILEPROP INT_INTERFACE_R_X23Y5 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y5 INT_TILE_Y 144 TILEPROP INT_INTERFACE_R_X23Y5 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y5 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y5 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y5 NAME INT_INTERFACE_R_X23Y5 TILEPROP INT_INTERFACE_R_X23Y5 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y5 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y5 ROW 150 TILEPROP INT_INTERFACE_R_X23Y5 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y5 TILE_PATTERN_IDX 3329 TILEPROP INT_INTERFACE_R_X23Y5 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y5 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y5 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y5 TILE_Y -223672 TILEPROP INT_INTERFACE_R_X23Y5 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y6 CLASS tile TILEPROP INT_INTERFACE_R_X23Y6 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y6 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y6 FIRST_SITE_ID 15128 TILEPROP INT_INTERFACE_R_X23Y6 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y6 GRID_POINT_Y 149 TILEPROP INT_INTERFACE_R_X23Y6 INDEX 17194 TILEPROP INT_INTERFACE_R_X23Y6 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y6 INT_TILE_Y 143 TILEPROP INT_INTERFACE_R_X23Y6 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y6 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y6 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y6 NAME INT_INTERFACE_R_X23Y6 TILEPROP INT_INTERFACE_R_X23Y6 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y6 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y6 ROW 149 TILEPROP INT_INTERFACE_R_X23Y6 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y6 TILE_PATTERN_IDX 3292 TILEPROP INT_INTERFACE_R_X23Y6 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y6 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y6 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y6 TILE_Y -220472 TILEPROP INT_INTERFACE_R_X23Y6 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y7 CLASS tile TILEPROP INT_INTERFACE_R_X23Y7 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y7 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y7 FIRST_SITE_ID 15026 TILEPROP INT_INTERFACE_R_X23Y7 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y7 GRID_POINT_Y 148 TILEPROP INT_INTERFACE_R_X23Y7 INDEX 17079 TILEPROP INT_INTERFACE_R_X23Y7 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y7 INT_TILE_Y 142 TILEPROP INT_INTERFACE_R_X23Y7 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y7 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y7 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y7 NAME INT_INTERFACE_R_X23Y7 TILEPROP INT_INTERFACE_R_X23Y7 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y7 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y7 ROW 148 TILEPROP INT_INTERFACE_R_X23Y7 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y7 TILE_PATTERN_IDX 3254 TILEPROP INT_INTERFACE_R_X23Y7 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y7 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y7 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y7 TILE_Y -217272 TILEPROP INT_INTERFACE_R_X23Y7 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y8 CLASS tile TILEPROP INT_INTERFACE_R_X23Y8 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y8 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y8 FIRST_SITE_ID 14923 TILEPROP INT_INTERFACE_R_X23Y8 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y8 GRID_POINT_Y 147 TILEPROP INT_INTERFACE_R_X23Y8 INDEX 16964 TILEPROP INT_INTERFACE_R_X23Y8 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y8 INT_TILE_Y 141 TILEPROP INT_INTERFACE_R_X23Y8 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y8 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y8 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y8 NAME INT_INTERFACE_R_X23Y8 TILEPROP INT_INTERFACE_R_X23Y8 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y8 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y8 ROW 147 TILEPROP INT_INTERFACE_R_X23Y8 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y8 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y8 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y8 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y8 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y8 TILE_Y -214072 TILEPROP INT_INTERFACE_R_X23Y8 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y9 CLASS tile TILEPROP INT_INTERFACE_R_X23Y9 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y9 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y9 FIRST_SITE_ID 14822 TILEPROP INT_INTERFACE_R_X23Y9 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y9 GRID_POINT_Y 146 TILEPROP INT_INTERFACE_R_X23Y9 INDEX 16849 TILEPROP INT_INTERFACE_R_X23Y9 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y9 INT_TILE_Y 140 TILEPROP INT_INTERFACE_R_X23Y9 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y9 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y9 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y9 NAME INT_INTERFACE_R_X23Y9 TILEPROP INT_INTERFACE_R_X23Y9 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y9 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y9 ROW 146 TILEPROP INT_INTERFACE_R_X23Y9 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y9 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y9 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y9 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y9 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y9 TILE_Y -210872 TILEPROP INT_INTERFACE_R_X23Y9 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y10 CLASS tile TILEPROP INT_INTERFACE_R_X23Y10 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y10 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y10 FIRST_SITE_ID 14713 TILEPROP INT_INTERFACE_R_X23Y10 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y10 GRID_POINT_Y 145 TILEPROP INT_INTERFACE_R_X23Y10 INDEX 16734 TILEPROP INT_INTERFACE_R_X23Y10 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y10 INT_TILE_Y 139 TILEPROP INT_INTERFACE_R_X23Y10 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y10 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y10 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y10 NAME INT_INTERFACE_R_X23Y10 TILEPROP INT_INTERFACE_R_X23Y10 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y10 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y10 ROW 145 TILEPROP INT_INTERFACE_R_X23Y10 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y10 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y10 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y10 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y10 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y10 TILE_Y -207672 TILEPROP INT_INTERFACE_R_X23Y10 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y11 CLASS tile TILEPROP INT_INTERFACE_R_X23Y11 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y11 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y11 FIRST_SITE_ID 14607 TILEPROP INT_INTERFACE_R_X23Y11 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y11 GRID_POINT_Y 144 TILEPROP INT_INTERFACE_R_X23Y11 INDEX 16619 TILEPROP INT_INTERFACE_R_X23Y11 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y11 INT_TILE_Y 138 TILEPROP INT_INTERFACE_R_X23Y11 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y11 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y11 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y11 NAME INT_INTERFACE_R_X23Y11 TILEPROP INT_INTERFACE_R_X23Y11 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y11 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y11 ROW 144 TILEPROP INT_INTERFACE_R_X23Y11 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y11 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y11 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y11 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y11 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y11 TILE_Y -204472 TILEPROP INT_INTERFACE_R_X23Y11 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y12 CLASS tile TILEPROP INT_INTERFACE_R_X23Y12 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y12 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y12 FIRST_SITE_ID 14475 TILEPROP INT_INTERFACE_R_X23Y12 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y12 GRID_POINT_Y 143 TILEPROP INT_INTERFACE_R_X23Y12 INDEX 16504 TILEPROP INT_INTERFACE_R_X23Y12 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y12 INT_TILE_Y 137 TILEPROP INT_INTERFACE_R_X23Y12 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y12 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y12 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y12 NAME INT_INTERFACE_R_X23Y12 TILEPROP INT_INTERFACE_R_X23Y12 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y12 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y12 ROW 143 TILEPROP INT_INTERFACE_R_X23Y12 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y12 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y12 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y12 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y12 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y12 TILE_Y -201272 TILEPROP INT_INTERFACE_R_X23Y12 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y13 CLASS tile TILEPROP INT_INTERFACE_R_X23Y13 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y13 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y13 FIRST_SITE_ID 14375 TILEPROP INT_INTERFACE_R_X23Y13 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y13 GRID_POINT_Y 142 TILEPROP INT_INTERFACE_R_X23Y13 INDEX 16389 TILEPROP INT_INTERFACE_R_X23Y13 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y13 INT_TILE_Y 136 TILEPROP INT_INTERFACE_R_X23Y13 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y13 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y13 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y13 NAME INT_INTERFACE_R_X23Y13 TILEPROP INT_INTERFACE_R_X23Y13 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y13 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y13 ROW 142 TILEPROP INT_INTERFACE_R_X23Y13 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y13 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y13 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y13 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y13 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y13 TILE_Y -198072 TILEPROP INT_INTERFACE_R_X23Y13 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y14 CLASS tile TILEPROP INT_INTERFACE_R_X23Y14 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y14 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y14 FIRST_SITE_ID 14275 TILEPROP INT_INTERFACE_R_X23Y14 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y14 GRID_POINT_Y 141 TILEPROP INT_INTERFACE_R_X23Y14 INDEX 16274 TILEPROP INT_INTERFACE_R_X23Y14 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y14 INT_TILE_Y 135 TILEPROP INT_INTERFACE_R_X23Y14 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y14 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y14 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y14 NAME INT_INTERFACE_R_X23Y14 TILEPROP INT_INTERFACE_R_X23Y14 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y14 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y14 ROW 141 TILEPROP INT_INTERFACE_R_X23Y14 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y14 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y14 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y14 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y14 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y14 TILE_Y -194872 TILEPROP INT_INTERFACE_R_X23Y14 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y15 CLASS tile TILEPROP INT_INTERFACE_R_X23Y15 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y15 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y15 FIRST_SITE_ID 14166 TILEPROP INT_INTERFACE_R_X23Y15 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y15 GRID_POINT_Y 140 TILEPROP INT_INTERFACE_R_X23Y15 INDEX 16159 TILEPROP INT_INTERFACE_R_X23Y15 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y15 INT_TILE_Y 134 TILEPROP INT_INTERFACE_R_X23Y15 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y15 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y15 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y15 NAME INT_INTERFACE_R_X23Y15 TILEPROP INT_INTERFACE_R_X23Y15 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y15 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y15 ROW 140 TILEPROP INT_INTERFACE_R_X23Y15 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y15 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y15 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y15 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y15 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y15 TILE_Y -191672 TILEPROP INT_INTERFACE_R_X23Y15 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y16 CLASS tile TILEPROP INT_INTERFACE_R_X23Y16 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y16 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y16 FIRST_SITE_ID 14060 TILEPROP INT_INTERFACE_R_X23Y16 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y16 GRID_POINT_Y 139 TILEPROP INT_INTERFACE_R_X23Y16 INDEX 16044 TILEPROP INT_INTERFACE_R_X23Y16 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y16 INT_TILE_Y 133 TILEPROP INT_INTERFACE_R_X23Y16 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y16 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y16 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y16 NAME INT_INTERFACE_R_X23Y16 TILEPROP INT_INTERFACE_R_X23Y16 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y16 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y16 ROW 139 TILEPROP INT_INTERFACE_R_X23Y16 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y16 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y16 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y16 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y16 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y16 TILE_Y -188472 TILEPROP INT_INTERFACE_R_X23Y16 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y17 CLASS tile TILEPROP INT_INTERFACE_R_X23Y17 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y17 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y17 FIRST_SITE_ID 13955 TILEPROP INT_INTERFACE_R_X23Y17 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y17 GRID_POINT_Y 138 TILEPROP INT_INTERFACE_R_X23Y17 INDEX 15929 TILEPROP INT_INTERFACE_R_X23Y17 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y17 INT_TILE_Y 132 TILEPROP INT_INTERFACE_R_X23Y17 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y17 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y17 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y17 NAME INT_INTERFACE_R_X23Y17 TILEPROP INT_INTERFACE_R_X23Y17 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y17 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y17 ROW 138 TILEPROP INT_INTERFACE_R_X23Y17 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y17 TILE_PATTERN_IDX 2890 TILEPROP INT_INTERFACE_R_X23Y17 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y17 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y17 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y17 TILE_Y -185272 TILEPROP INT_INTERFACE_R_X23Y17 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y18 CLASS tile TILEPROP INT_INTERFACE_R_X23Y18 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y18 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y18 FIRST_SITE_ID 13851 TILEPROP INT_INTERFACE_R_X23Y18 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y18 GRID_POINT_Y 137 TILEPROP INT_INTERFACE_R_X23Y18 INDEX 15814 TILEPROP INT_INTERFACE_R_X23Y18 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y18 INT_TILE_Y 131 TILEPROP INT_INTERFACE_R_X23Y18 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y18 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y18 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y18 NAME INT_INTERFACE_R_X23Y18 TILEPROP INT_INTERFACE_R_X23Y18 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y18 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y18 ROW 137 TILEPROP INT_INTERFACE_R_X23Y18 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y18 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y18 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y18 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y18 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y18 TILE_Y -182072 TILEPROP INT_INTERFACE_R_X23Y18 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y19 CLASS tile TILEPROP INT_INTERFACE_R_X23Y19 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y19 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y19 FIRST_SITE_ID 13749 TILEPROP INT_INTERFACE_R_X23Y19 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y19 GRID_POINT_Y 136 TILEPROP INT_INTERFACE_R_X23Y19 INDEX 15699 TILEPROP INT_INTERFACE_R_X23Y19 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y19 INT_TILE_Y 130 TILEPROP INT_INTERFACE_R_X23Y19 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y19 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y19 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y19 NAME INT_INTERFACE_R_X23Y19 TILEPROP INT_INTERFACE_R_X23Y19 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y19 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y19 ROW 136 TILEPROP INT_INTERFACE_R_X23Y19 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y19 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y19 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y19 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y19 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y19 TILE_Y -178872 TILEPROP INT_INTERFACE_R_X23Y19 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y20 CLASS tile TILEPROP INT_INTERFACE_R_X23Y20 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y20 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y20 FIRST_SITE_ID 13638 TILEPROP INT_INTERFACE_R_X23Y20 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y20 GRID_POINT_Y 135 TILEPROP INT_INTERFACE_R_X23Y20 INDEX 15584 TILEPROP INT_INTERFACE_R_X23Y20 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y20 INT_TILE_Y 129 TILEPROP INT_INTERFACE_R_X23Y20 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y20 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y20 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y20 NAME INT_INTERFACE_R_X23Y20 TILEPROP INT_INTERFACE_R_X23Y20 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y20 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y20 ROW 135 TILEPROP INT_INTERFACE_R_X23Y20 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y20 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y20 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y20 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y20 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y20 TILE_Y -175672 TILEPROP INT_INTERFACE_R_X23Y20 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y21 CLASS tile TILEPROP INT_INTERFACE_R_X23Y21 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y21 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y21 FIRST_SITE_ID 13532 TILEPROP INT_INTERFACE_R_X23Y21 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y21 GRID_POINT_Y 134 TILEPROP INT_INTERFACE_R_X23Y21 INDEX 15469 TILEPROP INT_INTERFACE_R_X23Y21 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y21 INT_TILE_Y 128 TILEPROP INT_INTERFACE_R_X23Y21 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y21 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y21 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y21 NAME INT_INTERFACE_R_X23Y21 TILEPROP INT_INTERFACE_R_X23Y21 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y21 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y21 ROW 134 TILEPROP INT_INTERFACE_R_X23Y21 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y21 TILE_PATTERN_IDX 2744 TILEPROP INT_INTERFACE_R_X23Y21 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y21 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y21 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y21 TILE_Y -172472 TILEPROP INT_INTERFACE_R_X23Y21 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y22 CLASS tile TILEPROP INT_INTERFACE_R_X23Y22 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y22 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y22 FIRST_SITE_ID 13432 TILEPROP INT_INTERFACE_R_X23Y22 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y22 GRID_POINT_Y 133 TILEPROP INT_INTERFACE_R_X23Y22 INDEX 15354 TILEPROP INT_INTERFACE_R_X23Y22 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y22 INT_TILE_Y 127 TILEPROP INT_INTERFACE_R_X23Y22 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y22 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y22 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y22 NAME INT_INTERFACE_R_X23Y22 TILEPROP INT_INTERFACE_R_X23Y22 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y22 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y22 ROW 133 TILEPROP INT_INTERFACE_R_X23Y22 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y22 TILE_PATTERN_IDX 2708 TILEPROP INT_INTERFACE_R_X23Y22 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y22 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y22 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y22 TILE_Y -169272 TILEPROP INT_INTERFACE_R_X23Y22 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y23 CLASS tile TILEPROP INT_INTERFACE_R_X23Y23 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y23 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y23 FIRST_SITE_ID 13332 TILEPROP INT_INTERFACE_R_X23Y23 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y23 GRID_POINT_Y 132 TILEPROP INT_INTERFACE_R_X23Y23 INDEX 15239 TILEPROP INT_INTERFACE_R_X23Y23 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y23 INT_TILE_Y 126 TILEPROP INT_INTERFACE_R_X23Y23 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y23 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y23 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y23 NAME INT_INTERFACE_R_X23Y23 TILEPROP INT_INTERFACE_R_X23Y23 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y23 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y23 ROW 132 TILEPROP INT_INTERFACE_R_X23Y23 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y23 TILE_PATTERN_IDX 2671 TILEPROP INT_INTERFACE_R_X23Y23 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y23 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y23 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y23 TILE_Y -166072 TILEPROP INT_INTERFACE_R_X23Y23 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y24 CLASS tile TILEPROP INT_INTERFACE_R_X23Y24 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y24 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y24 FIRST_SITE_ID 13232 TILEPROP INT_INTERFACE_R_X23Y24 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y24 GRID_POINT_Y 131 TILEPROP INT_INTERFACE_R_X23Y24 INDEX 15124 TILEPROP INT_INTERFACE_R_X23Y24 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y24 INT_TILE_Y 125 TILEPROP INT_INTERFACE_R_X23Y24 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y24 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y24 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y24 NAME INT_INTERFACE_R_X23Y24 TILEPROP INT_INTERFACE_R_X23Y24 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y24 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y24 ROW 131 TILEPROP INT_INTERFACE_R_X23Y24 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y24 TILE_PATTERN_IDX 2635 TILEPROP INT_INTERFACE_R_X23Y24 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y24 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y24 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y24 TILE_Y -162872 TILEPROP INT_INTERFACE_R_X23Y24 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y25 CLASS tile TILEPROP INT_INTERFACE_R_X23Y25 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y25 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y25 FIRST_SITE_ID 13040 TILEPROP INT_INTERFACE_R_X23Y25 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y25 GRID_POINT_Y 129 TILEPROP INT_INTERFACE_R_X23Y25 INDEX 14894 TILEPROP INT_INTERFACE_R_X23Y25 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y25 INT_TILE_Y 124 TILEPROP INT_INTERFACE_R_X23Y25 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y25 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y25 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y25 NAME INT_INTERFACE_R_X23Y25 TILEPROP INT_INTERFACE_R_X23Y25 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y25 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y25 ROW 129 TILEPROP INT_INTERFACE_R_X23Y25 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y25 TILE_PATTERN_IDX 2559 TILEPROP INT_INTERFACE_R_X23Y25 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y25 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y25 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y25 TILE_Y -158648 TILEPROP INT_INTERFACE_R_X23Y25 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y26 CLASS tile TILEPROP INT_INTERFACE_R_X23Y26 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y26 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y26 FIRST_SITE_ID 12934 TILEPROP INT_INTERFACE_R_X23Y26 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y26 GRID_POINT_Y 128 TILEPROP INT_INTERFACE_R_X23Y26 INDEX 14779 TILEPROP INT_INTERFACE_R_X23Y26 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y26 INT_TILE_Y 123 TILEPROP INT_INTERFACE_R_X23Y26 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y26 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y26 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y26 NAME INT_INTERFACE_R_X23Y26 TILEPROP INT_INTERFACE_R_X23Y26 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y26 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y26 ROW 128 TILEPROP INT_INTERFACE_R_X23Y26 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y26 TILE_PATTERN_IDX 2523 TILEPROP INT_INTERFACE_R_X23Y26 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y26 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y26 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y26 TILE_Y -155448 TILEPROP INT_INTERFACE_R_X23Y26 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y27 CLASS tile TILEPROP INT_INTERFACE_R_X23Y27 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y27 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y27 FIRST_SITE_ID 12834 TILEPROP INT_INTERFACE_R_X23Y27 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y27 GRID_POINT_Y 127 TILEPROP INT_INTERFACE_R_X23Y27 INDEX 14664 TILEPROP INT_INTERFACE_R_X23Y27 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y27 INT_TILE_Y 122 TILEPROP INT_INTERFACE_R_X23Y27 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y27 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y27 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y27 NAME INT_INTERFACE_R_X23Y27 TILEPROP INT_INTERFACE_R_X23Y27 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y27 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y27 ROW 127 TILEPROP INT_INTERFACE_R_X23Y27 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y27 TILE_PATTERN_IDX 2486 TILEPROP INT_INTERFACE_R_X23Y27 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y27 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y27 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y27 TILE_Y -152248 TILEPROP INT_INTERFACE_R_X23Y27 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y28 CLASS tile TILEPROP INT_INTERFACE_R_X23Y28 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y28 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y28 FIRST_SITE_ID 12734 TILEPROP INT_INTERFACE_R_X23Y28 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y28 GRID_POINT_Y 126 TILEPROP INT_INTERFACE_R_X23Y28 INDEX 14549 TILEPROP INT_INTERFACE_R_X23Y28 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y28 INT_TILE_Y 121 TILEPROP INT_INTERFACE_R_X23Y28 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y28 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y28 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y28 NAME INT_INTERFACE_R_X23Y28 TILEPROP INT_INTERFACE_R_X23Y28 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y28 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y28 ROW 126 TILEPROP INT_INTERFACE_R_X23Y28 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y28 TILE_PATTERN_IDX 2450 TILEPROP INT_INTERFACE_R_X23Y28 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y28 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y28 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y28 TILE_Y -149048 TILEPROP INT_INTERFACE_R_X23Y28 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y29 CLASS tile TILEPROP INT_INTERFACE_R_X23Y29 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y29 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y29 FIRST_SITE_ID 12628 TILEPROP INT_INTERFACE_R_X23Y29 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y29 GRID_POINT_Y 125 TILEPROP INT_INTERFACE_R_X23Y29 INDEX 14434 TILEPROP INT_INTERFACE_R_X23Y29 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y29 INT_TILE_Y 120 TILEPROP INT_INTERFACE_R_X23Y29 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y29 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y29 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y29 NAME INT_INTERFACE_R_X23Y29 TILEPROP INT_INTERFACE_R_X23Y29 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y29 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y29 ROW 125 TILEPROP INT_INTERFACE_R_X23Y29 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y29 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y29 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y29 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y29 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y29 TILE_Y -145848 TILEPROP INT_INTERFACE_R_X23Y29 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y30 CLASS tile TILEPROP INT_INTERFACE_R_X23Y30 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y30 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y30 FIRST_SITE_ID 12513 TILEPROP INT_INTERFACE_R_X23Y30 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y30 GRID_POINT_Y 124 TILEPROP INT_INTERFACE_R_X23Y30 INDEX 14319 TILEPROP INT_INTERFACE_R_X23Y30 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y30 INT_TILE_Y 119 TILEPROP INT_INTERFACE_R_X23Y30 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y30 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y30 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y30 NAME INT_INTERFACE_R_X23Y30 TILEPROP INT_INTERFACE_R_X23Y30 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y30 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y30 ROW 124 TILEPROP INT_INTERFACE_R_X23Y30 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y30 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y30 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y30 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y30 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y30 TILE_Y -142648 TILEPROP INT_INTERFACE_R_X23Y30 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y31 CLASS tile TILEPROP INT_INTERFACE_R_X23Y31 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y31 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y31 FIRST_SITE_ID 12392 TILEPROP INT_INTERFACE_R_X23Y31 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y31 GRID_POINT_Y 123 TILEPROP INT_INTERFACE_R_X23Y31 INDEX 14204 TILEPROP INT_INTERFACE_R_X23Y31 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y31 INT_TILE_Y 118 TILEPROP INT_INTERFACE_R_X23Y31 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y31 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y31 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y31 NAME INT_INTERFACE_R_X23Y31 TILEPROP INT_INTERFACE_R_X23Y31 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y31 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y31 ROW 123 TILEPROP INT_INTERFACE_R_X23Y31 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y31 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y31 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y31 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y31 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y31 TILE_Y -139448 TILEPROP INT_INTERFACE_R_X23Y31 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y32 CLASS tile TILEPROP INT_INTERFACE_R_X23Y32 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y32 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y32 FIRST_SITE_ID 12289 TILEPROP INT_INTERFACE_R_X23Y32 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y32 GRID_POINT_Y 122 TILEPROP INT_INTERFACE_R_X23Y32 INDEX 14089 TILEPROP INT_INTERFACE_R_X23Y32 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y32 INT_TILE_Y 117 TILEPROP INT_INTERFACE_R_X23Y32 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y32 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y32 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y32 NAME INT_INTERFACE_R_X23Y32 TILEPROP INT_INTERFACE_R_X23Y32 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y32 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y32 ROW 122 TILEPROP INT_INTERFACE_R_X23Y32 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y32 TILE_PATTERN_IDX 2301 TILEPROP INT_INTERFACE_R_X23Y32 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y32 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y32 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y32 TILE_Y -136248 TILEPROP INT_INTERFACE_R_X23Y32 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y33 CLASS tile TILEPROP INT_INTERFACE_R_X23Y33 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y33 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y33 FIRST_SITE_ID 12189 TILEPROP INT_INTERFACE_R_X23Y33 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y33 GRID_POINT_Y 121 TILEPROP INT_INTERFACE_R_X23Y33 INDEX 13974 TILEPROP INT_INTERFACE_R_X23Y33 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y33 INT_TILE_Y 116 TILEPROP INT_INTERFACE_R_X23Y33 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y33 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y33 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y33 NAME INT_INTERFACE_R_X23Y33 TILEPROP INT_INTERFACE_R_X23Y33 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y33 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y33 ROW 121 TILEPROP INT_INTERFACE_R_X23Y33 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y33 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y33 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y33 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y33 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y33 TILE_Y -133048 TILEPROP INT_INTERFACE_R_X23Y33 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y34 CLASS tile TILEPROP INT_INTERFACE_R_X23Y34 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y34 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y34 FIRST_SITE_ID 12089 TILEPROP INT_INTERFACE_R_X23Y34 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y34 GRID_POINT_Y 120 TILEPROP INT_INTERFACE_R_X23Y34 INDEX 13859 TILEPROP INT_INTERFACE_R_X23Y34 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y34 INT_TILE_Y 115 TILEPROP INT_INTERFACE_R_X23Y34 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y34 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y34 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y34 NAME INT_INTERFACE_R_X23Y34 TILEPROP INT_INTERFACE_R_X23Y34 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y34 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y34 ROW 120 TILEPROP INT_INTERFACE_R_X23Y34 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y34 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y34 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y34 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y34 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y34 TILE_Y -129848 TILEPROP INT_INTERFACE_R_X23Y34 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y35 CLASS tile TILEPROP INT_INTERFACE_R_X23Y35 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y35 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y35 FIRST_SITE_ID 11980 TILEPROP INT_INTERFACE_R_X23Y35 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y35 GRID_POINT_Y 119 TILEPROP INT_INTERFACE_R_X23Y35 INDEX 13744 TILEPROP INT_INTERFACE_R_X23Y35 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y35 INT_TILE_Y 114 TILEPROP INT_INTERFACE_R_X23Y35 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y35 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y35 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y35 NAME INT_INTERFACE_R_X23Y35 TILEPROP INT_INTERFACE_R_X23Y35 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y35 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y35 ROW 119 TILEPROP INT_INTERFACE_R_X23Y35 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y35 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y35 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y35 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y35 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y35 TILE_Y -126648 TILEPROP INT_INTERFACE_R_X23Y35 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y36 CLASS tile TILEPROP INT_INTERFACE_R_X23Y36 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y36 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y36 FIRST_SITE_ID 11842 TILEPROP INT_INTERFACE_R_X23Y36 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y36 GRID_POINT_Y 118 TILEPROP INT_INTERFACE_R_X23Y36 INDEX 13629 TILEPROP INT_INTERFACE_R_X23Y36 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y36 INT_TILE_Y 113 TILEPROP INT_INTERFACE_R_X23Y36 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y36 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y36 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y36 NAME INT_INTERFACE_R_X23Y36 TILEPROP INT_INTERFACE_R_X23Y36 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y36 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y36 ROW 118 TILEPROP INT_INTERFACE_R_X23Y36 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y36 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y36 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y36 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y36 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y36 TILE_Y -123448 TILEPROP INT_INTERFACE_R_X23Y36 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y37 CLASS tile TILEPROP INT_INTERFACE_R_X23Y37 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y37 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y37 FIRST_SITE_ID 11742 TILEPROP INT_INTERFACE_R_X23Y37 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y37 GRID_POINT_Y 117 TILEPROP INT_INTERFACE_R_X23Y37 INDEX 13514 TILEPROP INT_INTERFACE_R_X23Y37 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y37 INT_TILE_Y 112 TILEPROP INT_INTERFACE_R_X23Y37 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y37 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y37 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y37 NAME INT_INTERFACE_R_X23Y37 TILEPROP INT_INTERFACE_R_X23Y37 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y37 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y37 ROW 117 TILEPROP INT_INTERFACE_R_X23Y37 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y37 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y37 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y37 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y37 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y37 TILE_Y -120248 TILEPROP INT_INTERFACE_R_X23Y37 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y38 CLASS tile TILEPROP INT_INTERFACE_R_X23Y38 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y38 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y38 FIRST_SITE_ID 11642 TILEPROP INT_INTERFACE_R_X23Y38 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y38 GRID_POINT_Y 116 TILEPROP INT_INTERFACE_R_X23Y38 INDEX 13399 TILEPROP INT_INTERFACE_R_X23Y38 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y38 INT_TILE_Y 111 TILEPROP INT_INTERFACE_R_X23Y38 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y38 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y38 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y38 NAME INT_INTERFACE_R_X23Y38 TILEPROP INT_INTERFACE_R_X23Y38 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y38 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y38 ROW 116 TILEPROP INT_INTERFACE_R_X23Y38 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y38 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y38 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y38 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y38 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y38 TILE_Y -117048 TILEPROP INT_INTERFACE_R_X23Y38 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y39 CLASS tile TILEPROP INT_INTERFACE_R_X23Y39 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y39 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y39 FIRST_SITE_ID 11542 TILEPROP INT_INTERFACE_R_X23Y39 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y39 GRID_POINT_Y 115 TILEPROP INT_INTERFACE_R_X23Y39 INDEX 13284 TILEPROP INT_INTERFACE_R_X23Y39 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y39 INT_TILE_Y 110 TILEPROP INT_INTERFACE_R_X23Y39 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y39 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y39 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y39 NAME INT_INTERFACE_R_X23Y39 TILEPROP INT_INTERFACE_R_X23Y39 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y39 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y39 ROW 115 TILEPROP INT_INTERFACE_R_X23Y39 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y39 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y39 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y39 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y39 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y39 TILE_Y -113848 TILEPROP INT_INTERFACE_R_X23Y39 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y40 CLASS tile TILEPROP INT_INTERFACE_R_X23Y40 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y40 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y40 FIRST_SITE_ID 11433 TILEPROP INT_INTERFACE_R_X23Y40 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y40 GRID_POINT_Y 114 TILEPROP INT_INTERFACE_R_X23Y40 INDEX 13169 TILEPROP INT_INTERFACE_R_X23Y40 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y40 INT_TILE_Y 109 TILEPROP INT_INTERFACE_R_X23Y40 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y40 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y40 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y40 NAME INT_INTERFACE_R_X23Y40 TILEPROP INT_INTERFACE_R_X23Y40 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y40 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y40 ROW 114 TILEPROP INT_INTERFACE_R_X23Y40 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y40 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y40 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y40 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y40 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y40 TILE_Y -110648 TILEPROP INT_INTERFACE_R_X23Y40 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y41 CLASS tile TILEPROP INT_INTERFACE_R_X23Y41 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y41 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y41 FIRST_SITE_ID 11324 TILEPROP INT_INTERFACE_R_X23Y41 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y41 GRID_POINT_Y 113 TILEPROP INT_INTERFACE_R_X23Y41 INDEX 13054 TILEPROP INT_INTERFACE_R_X23Y41 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y41 INT_TILE_Y 108 TILEPROP INT_INTERFACE_R_X23Y41 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y41 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y41 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y41 NAME INT_INTERFACE_R_X23Y41 TILEPROP INT_INTERFACE_R_X23Y41 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y41 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y41 ROW 113 TILEPROP INT_INTERFACE_R_X23Y41 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y41 TILE_PATTERN_IDX 1973 TILEPROP INT_INTERFACE_R_X23Y41 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y41 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y41 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y41 TILE_Y -107448 TILEPROP INT_INTERFACE_R_X23Y41 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y42 CLASS tile TILEPROP INT_INTERFACE_R_X23Y42 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y42 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y42 FIRST_SITE_ID 11223 TILEPROP INT_INTERFACE_R_X23Y42 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y42 GRID_POINT_Y 112 TILEPROP INT_INTERFACE_R_X23Y42 INDEX 12939 TILEPROP INT_INTERFACE_R_X23Y42 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y42 INT_TILE_Y 107 TILEPROP INT_INTERFACE_R_X23Y42 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y42 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y42 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y42 NAME INT_INTERFACE_R_X23Y42 TILEPROP INT_INTERFACE_R_X23Y42 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y42 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y42 ROW 112 TILEPROP INT_INTERFACE_R_X23Y42 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y42 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y42 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y42 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y42 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y42 TILE_Y -104248 TILEPROP INT_INTERFACE_R_X23Y42 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y43 CLASS tile TILEPROP INT_INTERFACE_R_X23Y43 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y43 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y43 FIRST_SITE_ID 11120 TILEPROP INT_INTERFACE_R_X23Y43 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y43 GRID_POINT_Y 111 TILEPROP INT_INTERFACE_R_X23Y43 INDEX 12824 TILEPROP INT_INTERFACE_R_X23Y43 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y43 INT_TILE_Y 106 TILEPROP INT_INTERFACE_R_X23Y43 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y43 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y43 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y43 NAME INT_INTERFACE_R_X23Y43 TILEPROP INT_INTERFACE_R_X23Y43 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y43 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y43 ROW 111 TILEPROP INT_INTERFACE_R_X23Y43 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y43 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y43 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y43 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y43 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y43 TILE_Y -101048 TILEPROP INT_INTERFACE_R_X23Y43 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y44 CLASS tile TILEPROP INT_INTERFACE_R_X23Y44 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y44 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y44 FIRST_SITE_ID 11018 TILEPROP INT_INTERFACE_R_X23Y44 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y44 GRID_POINT_Y 110 TILEPROP INT_INTERFACE_R_X23Y44 INDEX 12709 TILEPROP INT_INTERFACE_R_X23Y44 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y44 INT_TILE_Y 105 TILEPROP INT_INTERFACE_R_X23Y44 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y44 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y44 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y44 NAME INT_INTERFACE_R_X23Y44 TILEPROP INT_INTERFACE_R_X23Y44 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y44 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y44 ROW 110 TILEPROP INT_INTERFACE_R_X23Y44 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y44 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y44 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y44 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y44 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y44 TILE_Y -97848 TILEPROP INT_INTERFACE_R_X23Y44 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y45 CLASS tile TILEPROP INT_INTERFACE_R_X23Y45 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y45 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y45 FIRST_SITE_ID 10908 TILEPROP INT_INTERFACE_R_X23Y45 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y45 GRID_POINT_Y 109 TILEPROP INT_INTERFACE_R_X23Y45 INDEX 12594 TILEPROP INT_INTERFACE_R_X23Y45 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y45 INT_TILE_Y 104 TILEPROP INT_INTERFACE_R_X23Y45 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y45 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y45 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y45 NAME INT_INTERFACE_R_X23Y45 TILEPROP INT_INTERFACE_R_X23Y45 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y45 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y45 ROW 109 TILEPROP INT_INTERFACE_R_X23Y45 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y45 TILE_PATTERN_IDX 1821 TILEPROP INT_INTERFACE_R_X23Y45 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y45 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y45 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y45 TILE_Y -94648 TILEPROP INT_INTERFACE_R_X23Y45 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y46 CLASS tile TILEPROP INT_INTERFACE_R_X23Y46 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y46 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y46 FIRST_SITE_ID 10786 TILEPROP INT_INTERFACE_R_X23Y46 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y46 GRID_POINT_Y 108 TILEPROP INT_INTERFACE_R_X23Y46 INDEX 12479 TILEPROP INT_INTERFACE_R_X23Y46 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y46 INT_TILE_Y 103 TILEPROP INT_INTERFACE_R_X23Y46 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y46 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y46 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y46 NAME INT_INTERFACE_R_X23Y46 TILEPROP INT_INTERFACE_R_X23Y46 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y46 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y46 ROW 108 TILEPROP INT_INTERFACE_R_X23Y46 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y46 TILE_PATTERN_IDX 1781 TILEPROP INT_INTERFACE_R_X23Y46 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y46 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y46 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y46 TILE_Y -91448 TILEPROP INT_INTERFACE_R_X23Y46 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y47 CLASS tile TILEPROP INT_INTERFACE_R_X23Y47 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y47 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y47 FIRST_SITE_ID 10686 TILEPROP INT_INTERFACE_R_X23Y47 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y47 GRID_POINT_Y 107 TILEPROP INT_INTERFACE_R_X23Y47 INDEX 12364 TILEPROP INT_INTERFACE_R_X23Y47 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y47 INT_TILE_Y 102 TILEPROP INT_INTERFACE_R_X23Y47 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y47 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y47 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y47 NAME INT_INTERFACE_R_X23Y47 TILEPROP INT_INTERFACE_R_X23Y47 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y47 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y47 ROW 107 TILEPROP INT_INTERFACE_R_X23Y47 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y47 TILE_PATTERN_IDX 1742 TILEPROP INT_INTERFACE_R_X23Y47 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y47 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y47 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y47 TILE_Y -88248 TILEPROP INT_INTERFACE_R_X23Y47 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y48 CLASS tile TILEPROP INT_INTERFACE_R_X23Y48 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y48 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y48 FIRST_SITE_ID 10586 TILEPROP INT_INTERFACE_R_X23Y48 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y48 GRID_POINT_Y 106 TILEPROP INT_INTERFACE_R_X23Y48 INDEX 12249 TILEPROP INT_INTERFACE_R_X23Y48 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y48 INT_TILE_Y 101 TILEPROP INT_INTERFACE_R_X23Y48 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y48 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y48 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y48 NAME INT_INTERFACE_R_X23Y48 TILEPROP INT_INTERFACE_R_X23Y48 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y48 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y48 ROW 106 TILEPROP INT_INTERFACE_R_X23Y48 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y48 TILE_PATTERN_IDX 1704 TILEPROP INT_INTERFACE_R_X23Y48 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y48 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y48 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y48 TILE_Y -85048 TILEPROP INT_INTERFACE_R_X23Y48 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y49 CLASS tile TILEPROP INT_INTERFACE_R_X23Y49 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y49 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y49 FIRST_SITE_ID 10490 TILEPROP INT_INTERFACE_R_X23Y49 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y49 GRID_POINT_Y 105 TILEPROP INT_INTERFACE_R_X23Y49 INDEX 12134 TILEPROP INT_INTERFACE_R_X23Y49 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y49 INT_TILE_Y 100 TILEPROP INT_INTERFACE_R_X23Y49 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y49 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y49 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y49 NAME INT_INTERFACE_R_X23Y49 TILEPROP INT_INTERFACE_R_X23Y49 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y49 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y49 ROW 105 TILEPROP INT_INTERFACE_R_X23Y49 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y49 TILE_PATTERN_IDX 1662 TILEPROP INT_INTERFACE_R_X23Y49 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y49 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y49 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y49 TILE_Y -81848 TILEPROP INT_INTERFACE_R_X23Y49 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y50 CLASS tile TILEPROP INT_INTERFACE_R_X23Y50 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y50 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y50 FIRST_SITE_ID 10365 TILEPROP INT_INTERFACE_R_X23Y50 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y50 GRID_POINT_Y 103 TILEPROP INT_INTERFACE_R_X23Y50 INDEX 11904 TILEPROP INT_INTERFACE_R_X23Y50 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y50 INT_TILE_Y 99 TILEPROP INT_INTERFACE_R_X23Y50 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y50 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y50 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y50 NAME INT_INTERFACE_R_X23Y50 TILEPROP INT_INTERFACE_R_X23Y50 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y50 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y50 ROW 103 TILEPROP INT_INTERFACE_R_X23Y50 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y50 TILE_PATTERN_IDX 1617 TILEPROP INT_INTERFACE_R_X23Y50 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y50 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y50 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y50 TILE_Y -78400 TILEPROP INT_INTERFACE_R_X23Y50 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y51 CLASS tile TILEPROP INT_INTERFACE_R_X23Y51 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y51 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y51 FIRST_SITE_ID 10255 TILEPROP INT_INTERFACE_R_X23Y51 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y51 GRID_POINT_Y 102 TILEPROP INT_INTERFACE_R_X23Y51 INDEX 11789 TILEPROP INT_INTERFACE_R_X23Y51 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y51 INT_TILE_Y 98 TILEPROP INT_INTERFACE_R_X23Y51 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y51 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y51 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y51 NAME INT_INTERFACE_R_X23Y51 TILEPROP INT_INTERFACE_R_X23Y51 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y51 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y51 ROW 102 TILEPROP INT_INTERFACE_R_X23Y51 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y51 TILE_PATTERN_IDX 1582 TILEPROP INT_INTERFACE_R_X23Y51 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y51 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y51 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y51 TILE_Y -75200 TILEPROP INT_INTERFACE_R_X23Y51 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y52 CLASS tile TILEPROP INT_INTERFACE_R_X23Y52 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y52 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y52 FIRST_SITE_ID 10155 TILEPROP INT_INTERFACE_R_X23Y52 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y52 GRID_POINT_Y 101 TILEPROP INT_INTERFACE_R_X23Y52 INDEX 11674 TILEPROP INT_INTERFACE_R_X23Y52 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y52 INT_TILE_Y 97 TILEPROP INT_INTERFACE_R_X23Y52 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y52 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y52 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y52 NAME INT_INTERFACE_R_X23Y52 TILEPROP INT_INTERFACE_R_X23Y52 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y52 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y52 ROW 101 TILEPROP INT_INTERFACE_R_X23Y52 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y52 TILE_PATTERN_IDX 1551 TILEPROP INT_INTERFACE_R_X23Y52 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y52 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y52 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y52 TILE_Y -72000 TILEPROP INT_INTERFACE_R_X23Y52 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y53 CLASS tile TILEPROP INT_INTERFACE_R_X23Y53 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y53 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y53 FIRST_SITE_ID 10055 TILEPROP INT_INTERFACE_R_X23Y53 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y53 GRID_POINT_Y 100 TILEPROP INT_INTERFACE_R_X23Y53 INDEX 11559 TILEPROP INT_INTERFACE_R_X23Y53 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y53 INT_TILE_Y 96 TILEPROP INT_INTERFACE_R_X23Y53 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y53 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y53 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y53 NAME INT_INTERFACE_R_X23Y53 TILEPROP INT_INTERFACE_R_X23Y53 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y53 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y53 ROW 100 TILEPROP INT_INTERFACE_R_X23Y53 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y53 TILE_PATTERN_IDX 1522 TILEPROP INT_INTERFACE_R_X23Y53 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y53 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y53 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y53 TILE_Y -68800 TILEPROP INT_INTERFACE_R_X23Y53 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y54 CLASS tile TILEPROP INT_INTERFACE_R_X23Y54 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y54 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y54 FIRST_SITE_ID 9955 TILEPROP INT_INTERFACE_R_X23Y54 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y54 GRID_POINT_Y 99 TILEPROP INT_INTERFACE_R_X23Y54 INDEX 11444 TILEPROP INT_INTERFACE_R_X23Y54 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y54 INT_TILE_Y 95 TILEPROP INT_INTERFACE_R_X23Y54 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y54 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y54 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y54 NAME INT_INTERFACE_R_X23Y54 TILEPROP INT_INTERFACE_R_X23Y54 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y54 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y54 ROW 99 TILEPROP INT_INTERFACE_R_X23Y54 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y54 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y54 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y54 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y54 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y54 TILE_Y -65600 TILEPROP INT_INTERFACE_R_X23Y54 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y55 CLASS tile TILEPROP INT_INTERFACE_R_X23Y55 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y55 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y55 FIRST_SITE_ID 9846 TILEPROP INT_INTERFACE_R_X23Y55 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y55 GRID_POINT_Y 98 TILEPROP INT_INTERFACE_R_X23Y55 INDEX 11329 TILEPROP INT_INTERFACE_R_X23Y55 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y55 INT_TILE_Y 94 TILEPROP INT_INTERFACE_R_X23Y55 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y55 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y55 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y55 NAME INT_INTERFACE_R_X23Y55 TILEPROP INT_INTERFACE_R_X23Y55 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y55 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y55 ROW 98 TILEPROP INT_INTERFACE_R_X23Y55 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y55 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y55 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y55 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y55 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y55 TILE_Y -62400 TILEPROP INT_INTERFACE_R_X23Y55 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y56 CLASS tile TILEPROP INT_INTERFACE_R_X23Y56 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y56 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y56 FIRST_SITE_ID 9740 TILEPROP INT_INTERFACE_R_X23Y56 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y56 GRID_POINT_Y 97 TILEPROP INT_INTERFACE_R_X23Y56 INDEX 11214 TILEPROP INT_INTERFACE_R_X23Y56 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y56 INT_TILE_Y 93 TILEPROP INT_INTERFACE_R_X23Y56 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y56 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y56 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y56 NAME INT_INTERFACE_R_X23Y56 TILEPROP INT_INTERFACE_R_X23Y56 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y56 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y56 ROW 97 TILEPROP INT_INTERFACE_R_X23Y56 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y56 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y56 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y56 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y56 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y56 TILE_Y -59200 TILEPROP INT_INTERFACE_R_X23Y56 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y57 CLASS tile TILEPROP INT_INTERFACE_R_X23Y57 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y57 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y57 FIRST_SITE_ID 9638 TILEPROP INT_INTERFACE_R_X23Y57 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y57 GRID_POINT_Y 96 TILEPROP INT_INTERFACE_R_X23Y57 INDEX 11099 TILEPROP INT_INTERFACE_R_X23Y57 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y57 INT_TILE_Y 92 TILEPROP INT_INTERFACE_R_X23Y57 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y57 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y57 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y57 NAME INT_INTERFACE_R_X23Y57 TILEPROP INT_INTERFACE_R_X23Y57 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y57 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y57 ROW 96 TILEPROP INT_INTERFACE_R_X23Y57 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y57 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y57 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y57 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y57 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y57 TILE_Y -56000 TILEPROP INT_INTERFACE_R_X23Y57 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y58 CLASS tile TILEPROP INT_INTERFACE_R_X23Y58 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y58 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y58 FIRST_SITE_ID 9535 TILEPROP INT_INTERFACE_R_X23Y58 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y58 GRID_POINT_Y 95 TILEPROP INT_INTERFACE_R_X23Y58 INDEX 10984 TILEPROP INT_INTERFACE_R_X23Y58 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y58 INT_TILE_Y 91 TILEPROP INT_INTERFACE_R_X23Y58 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y58 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y58 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y58 NAME INT_INTERFACE_R_X23Y58 TILEPROP INT_INTERFACE_R_X23Y58 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y58 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y58 ROW 95 TILEPROP INT_INTERFACE_R_X23Y58 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y58 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y58 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y58 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y58 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y58 TILE_Y -52800 TILEPROP INT_INTERFACE_R_X23Y58 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y59 CLASS tile TILEPROP INT_INTERFACE_R_X23Y59 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y59 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y59 FIRST_SITE_ID 9434 TILEPROP INT_INTERFACE_R_X23Y59 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y59 GRID_POINT_Y 94 TILEPROP INT_INTERFACE_R_X23Y59 INDEX 10869 TILEPROP INT_INTERFACE_R_X23Y59 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y59 INT_TILE_Y 90 TILEPROP INT_INTERFACE_R_X23Y59 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y59 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y59 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y59 NAME INT_INTERFACE_R_X23Y59 TILEPROP INT_INTERFACE_R_X23Y59 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y59 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y59 ROW 94 TILEPROP INT_INTERFACE_R_X23Y59 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y59 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y59 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y59 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y59 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y59 TILE_Y -49600 TILEPROP INT_INTERFACE_R_X23Y59 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y60 CLASS tile TILEPROP INT_INTERFACE_R_X23Y60 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y60 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y60 FIRST_SITE_ID 9325 TILEPROP INT_INTERFACE_R_X23Y60 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y60 GRID_POINT_Y 93 TILEPROP INT_INTERFACE_R_X23Y60 INDEX 10754 TILEPROP INT_INTERFACE_R_X23Y60 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y60 INT_TILE_Y 89 TILEPROP INT_INTERFACE_R_X23Y60 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y60 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y60 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y60 NAME INT_INTERFACE_R_X23Y60 TILEPROP INT_INTERFACE_R_X23Y60 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y60 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y60 ROW 93 TILEPROP INT_INTERFACE_R_X23Y60 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y60 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y60 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y60 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y60 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y60 TILE_Y -46400 TILEPROP INT_INTERFACE_R_X23Y60 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y61 CLASS tile TILEPROP INT_INTERFACE_R_X23Y61 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y61 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y61 FIRST_SITE_ID 9219 TILEPROP INT_INTERFACE_R_X23Y61 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y61 GRID_POINT_Y 92 TILEPROP INT_INTERFACE_R_X23Y61 INDEX 10639 TILEPROP INT_INTERFACE_R_X23Y61 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y61 INT_TILE_Y 88 TILEPROP INT_INTERFACE_R_X23Y61 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y61 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y61 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y61 NAME INT_INTERFACE_R_X23Y61 TILEPROP INT_INTERFACE_R_X23Y61 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y61 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y61 ROW 92 TILEPROP INT_INTERFACE_R_X23Y61 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y61 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y61 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y61 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y61 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y61 TILE_Y -43200 TILEPROP INT_INTERFACE_R_X23Y61 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y62 CLASS tile TILEPROP INT_INTERFACE_R_X23Y62 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y62 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y62 FIRST_SITE_ID 9087 TILEPROP INT_INTERFACE_R_X23Y62 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y62 GRID_POINT_Y 91 TILEPROP INT_INTERFACE_R_X23Y62 INDEX 10524 TILEPROP INT_INTERFACE_R_X23Y62 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y62 INT_TILE_Y 87 TILEPROP INT_INTERFACE_R_X23Y62 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y62 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y62 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y62 NAME INT_INTERFACE_R_X23Y62 TILEPROP INT_INTERFACE_R_X23Y62 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y62 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y62 ROW 91 TILEPROP INT_INTERFACE_R_X23Y62 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y62 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y62 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y62 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y62 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y62 TILE_Y -40000 TILEPROP INT_INTERFACE_R_X23Y62 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y63 CLASS tile TILEPROP INT_INTERFACE_R_X23Y63 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y63 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y63 FIRST_SITE_ID 8987 TILEPROP INT_INTERFACE_R_X23Y63 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y63 GRID_POINT_Y 90 TILEPROP INT_INTERFACE_R_X23Y63 INDEX 10409 TILEPROP INT_INTERFACE_R_X23Y63 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y63 INT_TILE_Y 86 TILEPROP INT_INTERFACE_R_X23Y63 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y63 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y63 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y63 NAME INT_INTERFACE_R_X23Y63 TILEPROP INT_INTERFACE_R_X23Y63 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y63 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y63 ROW 90 TILEPROP INT_INTERFACE_R_X23Y63 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y63 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y63 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y63 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y63 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y63 TILE_Y -36800 TILEPROP INT_INTERFACE_R_X23Y63 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y64 CLASS tile TILEPROP INT_INTERFACE_R_X23Y64 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y64 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y64 FIRST_SITE_ID 8887 TILEPROP INT_INTERFACE_R_X23Y64 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y64 GRID_POINT_Y 89 TILEPROP INT_INTERFACE_R_X23Y64 INDEX 10294 TILEPROP INT_INTERFACE_R_X23Y64 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y64 INT_TILE_Y 85 TILEPROP INT_INTERFACE_R_X23Y64 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y64 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y64 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y64 NAME INT_INTERFACE_R_X23Y64 TILEPROP INT_INTERFACE_R_X23Y64 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y64 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y64 ROW 89 TILEPROP INT_INTERFACE_R_X23Y64 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y64 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y64 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y64 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y64 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y64 TILE_Y -33600 TILEPROP INT_INTERFACE_R_X23Y64 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y65 CLASS tile TILEPROP INT_INTERFACE_R_X23Y65 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y65 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y65 FIRST_SITE_ID 8778 TILEPROP INT_INTERFACE_R_X23Y65 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y65 GRID_POINT_Y 88 TILEPROP INT_INTERFACE_R_X23Y65 INDEX 10179 TILEPROP INT_INTERFACE_R_X23Y65 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y65 INT_TILE_Y 84 TILEPROP INT_INTERFACE_R_X23Y65 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y65 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y65 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y65 NAME INT_INTERFACE_R_X23Y65 TILEPROP INT_INTERFACE_R_X23Y65 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y65 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y65 ROW 88 TILEPROP INT_INTERFACE_R_X23Y65 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y65 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y65 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y65 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y65 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y65 TILE_Y -30400 TILEPROP INT_INTERFACE_R_X23Y65 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y66 CLASS tile TILEPROP INT_INTERFACE_R_X23Y66 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y66 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y66 FIRST_SITE_ID 8672 TILEPROP INT_INTERFACE_R_X23Y66 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y66 GRID_POINT_Y 87 TILEPROP INT_INTERFACE_R_X23Y66 INDEX 10064 TILEPROP INT_INTERFACE_R_X23Y66 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y66 INT_TILE_Y 83 TILEPROP INT_INTERFACE_R_X23Y66 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y66 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y66 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y66 NAME INT_INTERFACE_R_X23Y66 TILEPROP INT_INTERFACE_R_X23Y66 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y66 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y66 ROW 87 TILEPROP INT_INTERFACE_R_X23Y66 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y66 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y66 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y66 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y66 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y66 TILE_Y -27200 TILEPROP INT_INTERFACE_R_X23Y66 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y67 CLASS tile TILEPROP INT_INTERFACE_R_X23Y67 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y67 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y67 FIRST_SITE_ID 8568 TILEPROP INT_INTERFACE_R_X23Y67 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y67 GRID_POINT_Y 86 TILEPROP INT_INTERFACE_R_X23Y67 INDEX 9949 TILEPROP INT_INTERFACE_R_X23Y67 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y67 INT_TILE_Y 82 TILEPROP INT_INTERFACE_R_X23Y67 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y67 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y67 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y67 NAME INT_INTERFACE_R_X23Y67 TILEPROP INT_INTERFACE_R_X23Y67 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y67 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y67 ROW 86 TILEPROP INT_INTERFACE_R_X23Y67 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y67 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y67 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y67 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y67 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y67 TILE_Y -24000 TILEPROP INT_INTERFACE_R_X23Y67 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y68 CLASS tile TILEPROP INT_INTERFACE_R_X23Y68 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y68 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y68 FIRST_SITE_ID 8464 TILEPROP INT_INTERFACE_R_X23Y68 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y68 GRID_POINT_Y 85 TILEPROP INT_INTERFACE_R_X23Y68 INDEX 9834 TILEPROP INT_INTERFACE_R_X23Y68 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y68 INT_TILE_Y 81 TILEPROP INT_INTERFACE_R_X23Y68 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y68 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y68 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y68 NAME INT_INTERFACE_R_X23Y68 TILEPROP INT_INTERFACE_R_X23Y68 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y68 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y68 ROW 85 TILEPROP INT_INTERFACE_R_X23Y68 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y68 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y68 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y68 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y68 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y68 TILE_Y -20800 TILEPROP INT_INTERFACE_R_X23Y68 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y69 CLASS tile TILEPROP INT_INTERFACE_R_X23Y69 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y69 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y69 FIRST_SITE_ID 8362 TILEPROP INT_INTERFACE_R_X23Y69 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y69 GRID_POINT_Y 84 TILEPROP INT_INTERFACE_R_X23Y69 INDEX 9719 TILEPROP INT_INTERFACE_R_X23Y69 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y69 INT_TILE_Y 80 TILEPROP INT_INTERFACE_R_X23Y69 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y69 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y69 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y69 NAME INT_INTERFACE_R_X23Y69 TILEPROP INT_INTERFACE_R_X23Y69 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y69 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y69 ROW 84 TILEPROP INT_INTERFACE_R_X23Y69 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y69 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y69 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y69 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y69 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y69 TILE_Y -17600 TILEPROP INT_INTERFACE_R_X23Y69 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y70 CLASS tile TILEPROP INT_INTERFACE_R_X23Y70 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y70 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y70 FIRST_SITE_ID 8251 TILEPROP INT_INTERFACE_R_X23Y70 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y70 GRID_POINT_Y 83 TILEPROP INT_INTERFACE_R_X23Y70 INDEX 9604 TILEPROP INT_INTERFACE_R_X23Y70 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y70 INT_TILE_Y 79 TILEPROP INT_INTERFACE_R_X23Y70 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y70 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y70 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y70 NAME INT_INTERFACE_R_X23Y70 TILEPROP INT_INTERFACE_R_X23Y70 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y70 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y70 ROW 83 TILEPROP INT_INTERFACE_R_X23Y70 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y70 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y70 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y70 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y70 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y70 TILE_Y -14400 TILEPROP INT_INTERFACE_R_X23Y70 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y71 CLASS tile TILEPROP INT_INTERFACE_R_X23Y71 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y71 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y71 FIRST_SITE_ID 8145 TILEPROP INT_INTERFACE_R_X23Y71 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y71 GRID_POINT_Y 82 TILEPROP INT_INTERFACE_R_X23Y71 INDEX 9489 TILEPROP INT_INTERFACE_R_X23Y71 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y71 INT_TILE_Y 78 TILEPROP INT_INTERFACE_R_X23Y71 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y71 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y71 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y71 NAME INT_INTERFACE_R_X23Y71 TILEPROP INT_INTERFACE_R_X23Y71 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y71 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y71 ROW 82 TILEPROP INT_INTERFACE_R_X23Y71 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y71 TILE_PATTERN_IDX 984 TILEPROP INT_INTERFACE_R_X23Y71 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y71 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y71 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y71 TILE_Y -11200 TILEPROP INT_INTERFACE_R_X23Y71 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y72 CLASS tile TILEPROP INT_INTERFACE_R_X23Y72 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y72 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y72 FIRST_SITE_ID 8045 TILEPROP INT_INTERFACE_R_X23Y72 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y72 GRID_POINT_Y 81 TILEPROP INT_INTERFACE_R_X23Y72 INDEX 9374 TILEPROP INT_INTERFACE_R_X23Y72 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y72 INT_TILE_Y 77 TILEPROP INT_INTERFACE_R_X23Y72 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y72 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y72 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y72 NAME INT_INTERFACE_R_X23Y72 TILEPROP INT_INTERFACE_R_X23Y72 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y72 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y72 ROW 81 TILEPROP INT_INTERFACE_R_X23Y72 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y72 TILE_PATTERN_IDX 952 TILEPROP INT_INTERFACE_R_X23Y72 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y72 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y72 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y72 TILE_Y -8000 TILEPROP INT_INTERFACE_R_X23Y72 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y73 CLASS tile TILEPROP INT_INTERFACE_R_X23Y73 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y73 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y73 FIRST_SITE_ID 7945 TILEPROP INT_INTERFACE_R_X23Y73 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y73 GRID_POINT_Y 80 TILEPROP INT_INTERFACE_R_X23Y73 INDEX 9259 TILEPROP INT_INTERFACE_R_X23Y73 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y73 INT_TILE_Y 76 TILEPROP INT_INTERFACE_R_X23Y73 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y73 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y73 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y73 NAME INT_INTERFACE_R_X23Y73 TILEPROP INT_INTERFACE_R_X23Y73 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y73 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y73 ROW 80 TILEPROP INT_INTERFACE_R_X23Y73 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y73 TILE_PATTERN_IDX 923 TILEPROP INT_INTERFACE_R_X23Y73 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y73 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y73 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y73 TILE_Y -4800 TILEPROP INT_INTERFACE_R_X23Y73 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y74 CLASS tile TILEPROP INT_INTERFACE_R_X23Y74 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y74 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y74 FIRST_SITE_ID 7845 TILEPROP INT_INTERFACE_R_X23Y74 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y74 GRID_POINT_Y 79 TILEPROP INT_INTERFACE_R_X23Y74 INDEX 9144 TILEPROP INT_INTERFACE_R_X23Y74 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y74 INT_TILE_Y 75 TILEPROP INT_INTERFACE_R_X23Y74 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y74 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y74 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y74 NAME INT_INTERFACE_R_X23Y74 TILEPROP INT_INTERFACE_R_X23Y74 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y74 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y74 ROW 79 TILEPROP INT_INTERFACE_R_X23Y74 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y74 TILE_PATTERN_IDX 890 TILEPROP INT_INTERFACE_R_X23Y74 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y74 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y74 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y74 TILE_Y -1600 TILEPROP INT_INTERFACE_R_X23Y74 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y75 CLASS tile TILEPROP INT_INTERFACE_R_X23Y75 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y75 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y75 FIRST_SITE_ID 7653 TILEPROP INT_INTERFACE_R_X23Y75 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y75 GRID_POINT_Y 77 TILEPROP INT_INTERFACE_R_X23Y75 INDEX 8914 TILEPROP INT_INTERFACE_R_X23Y75 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y75 INT_TILE_Y 74 TILEPROP INT_INTERFACE_R_X23Y75 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y75 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y75 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y75 NAME INT_INTERFACE_R_X23Y75 TILEPROP INT_INTERFACE_R_X23Y75 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y75 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y75 ROW 77 TILEPROP INT_INTERFACE_R_X23Y75 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y75 TILE_PATTERN_IDX 809 TILEPROP INT_INTERFACE_R_X23Y75 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y75 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y75 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y75 TILE_Y 2624 TILEPROP INT_INTERFACE_R_X23Y75 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y76 CLASS tile TILEPROP INT_INTERFACE_R_X23Y76 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y76 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y76 FIRST_SITE_ID 7544 TILEPROP INT_INTERFACE_R_X23Y76 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y76 GRID_POINT_Y 76 TILEPROP INT_INTERFACE_R_X23Y76 INDEX 8799 TILEPROP INT_INTERFACE_R_X23Y76 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y76 INT_TILE_Y 73 TILEPROP INT_INTERFACE_R_X23Y76 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y76 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y76 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y76 NAME INT_INTERFACE_R_X23Y76 TILEPROP INT_INTERFACE_R_X23Y76 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y76 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y76 ROW 76 TILEPROP INT_INTERFACE_R_X23Y76 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y76 TILE_PATTERN_IDX 771 TILEPROP INT_INTERFACE_R_X23Y76 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y76 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y76 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y76 TILE_Y 5824 TILEPROP INT_INTERFACE_R_X23Y76 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y77 CLASS tile TILEPROP INT_INTERFACE_R_X23Y77 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y77 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y77 FIRST_SITE_ID 7444 TILEPROP INT_INTERFACE_R_X23Y77 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y77 GRID_POINT_Y 75 TILEPROP INT_INTERFACE_R_X23Y77 INDEX 8684 TILEPROP INT_INTERFACE_R_X23Y77 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y77 INT_TILE_Y 72 TILEPROP INT_INTERFACE_R_X23Y77 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y77 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y77 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y77 NAME INT_INTERFACE_R_X23Y77 TILEPROP INT_INTERFACE_R_X23Y77 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y77 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y77 ROW 75 TILEPROP INT_INTERFACE_R_X23Y77 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y77 TILE_PATTERN_IDX 741 TILEPROP INT_INTERFACE_R_X23Y77 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y77 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y77 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y77 TILE_Y 9024 TILEPROP INT_INTERFACE_R_X23Y77 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y78 CLASS tile TILEPROP INT_INTERFACE_R_X23Y78 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y78 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y78 FIRST_SITE_ID 7344 TILEPROP INT_INTERFACE_R_X23Y78 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y78 GRID_POINT_Y 74 TILEPROP INT_INTERFACE_R_X23Y78 INDEX 8569 TILEPROP INT_INTERFACE_R_X23Y78 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y78 INT_TILE_Y 71 TILEPROP INT_INTERFACE_R_X23Y78 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y78 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y78 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y78 NAME INT_INTERFACE_R_X23Y78 TILEPROP INT_INTERFACE_R_X23Y78 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y78 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y78 ROW 74 TILEPROP INT_INTERFACE_R_X23Y78 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y78 TILE_PATTERN_IDX 709 TILEPROP INT_INTERFACE_R_X23Y78 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y78 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y78 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y78 TILE_Y 12224 TILEPROP INT_INTERFACE_R_X23Y78 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y79 CLASS tile TILEPROP INT_INTERFACE_R_X23Y79 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y79 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y79 FIRST_SITE_ID 7238 TILEPROP INT_INTERFACE_R_X23Y79 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y79 GRID_POINT_Y 73 TILEPROP INT_INTERFACE_R_X23Y79 INDEX 8454 TILEPROP INT_INTERFACE_R_X23Y79 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y79 INT_TILE_Y 70 TILEPROP INT_INTERFACE_R_X23Y79 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y79 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y79 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y79 NAME INT_INTERFACE_R_X23Y79 TILEPROP INT_INTERFACE_R_X23Y79 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y79 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y79 ROW 73 TILEPROP INT_INTERFACE_R_X23Y79 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y79 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y79 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y79 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y79 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y79 TILE_Y 15424 TILEPROP INT_INTERFACE_R_X23Y79 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y80 CLASS tile TILEPROP INT_INTERFACE_R_X23Y80 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y80 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y80 FIRST_SITE_ID 7123 TILEPROP INT_INTERFACE_R_X23Y80 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y80 GRID_POINT_Y 72 TILEPROP INT_INTERFACE_R_X23Y80 INDEX 8339 TILEPROP INT_INTERFACE_R_X23Y80 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y80 INT_TILE_Y 69 TILEPROP INT_INTERFACE_R_X23Y80 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y80 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y80 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y80 NAME INT_INTERFACE_R_X23Y80 TILEPROP INT_INTERFACE_R_X23Y80 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y80 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y80 ROW 72 TILEPROP INT_INTERFACE_R_X23Y80 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y80 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y80 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y80 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y80 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y80 TILE_Y 18624 TILEPROP INT_INTERFACE_R_X23Y80 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y81 CLASS tile TILEPROP INT_INTERFACE_R_X23Y81 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y81 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y81 FIRST_SITE_ID 7015 TILEPROP INT_INTERFACE_R_X23Y81 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y81 GRID_POINT_Y 71 TILEPROP INT_INTERFACE_R_X23Y81 INDEX 8224 TILEPROP INT_INTERFACE_R_X23Y81 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y81 INT_TILE_Y 68 TILEPROP INT_INTERFACE_R_X23Y81 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y81 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y81 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y81 NAME INT_INTERFACE_R_X23Y81 TILEPROP INT_INTERFACE_R_X23Y81 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y81 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y81 ROW 71 TILEPROP INT_INTERFACE_R_X23Y81 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y81 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y81 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y81 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y81 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y81 TILE_Y 21824 TILEPROP INT_INTERFACE_R_X23Y81 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y82 CLASS tile TILEPROP INT_INTERFACE_R_X23Y82 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y82 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y82 FIRST_SITE_ID 6913 TILEPROP INT_INTERFACE_R_X23Y82 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y82 GRID_POINT_Y 70 TILEPROP INT_INTERFACE_R_X23Y82 INDEX 8109 TILEPROP INT_INTERFACE_R_X23Y82 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y82 INT_TILE_Y 67 TILEPROP INT_INTERFACE_R_X23Y82 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y82 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y82 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y82 NAME INT_INTERFACE_R_X23Y82 TILEPROP INT_INTERFACE_R_X23Y82 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y82 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y82 ROW 70 TILEPROP INT_INTERFACE_R_X23Y82 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y82 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y82 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y82 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y82 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y82 TILE_Y 25024 TILEPROP INT_INTERFACE_R_X23Y82 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y83 CLASS tile TILEPROP INT_INTERFACE_R_X23Y83 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y83 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y83 FIRST_SITE_ID 6813 TILEPROP INT_INTERFACE_R_X23Y83 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y83 GRID_POINT_Y 69 TILEPROP INT_INTERFACE_R_X23Y83 INDEX 7994 TILEPROP INT_INTERFACE_R_X23Y83 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y83 INT_TILE_Y 66 TILEPROP INT_INTERFACE_R_X23Y83 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y83 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y83 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y83 NAME INT_INTERFACE_R_X23Y83 TILEPROP INT_INTERFACE_R_X23Y83 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y83 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y83 ROW 69 TILEPROP INT_INTERFACE_R_X23Y83 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y83 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y83 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y83 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y83 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y83 TILE_Y 28224 TILEPROP INT_INTERFACE_R_X23Y83 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y84 CLASS tile TILEPROP INT_INTERFACE_R_X23Y84 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y84 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y84 FIRST_SITE_ID 6713 TILEPROP INT_INTERFACE_R_X23Y84 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y84 GRID_POINT_Y 68 TILEPROP INT_INTERFACE_R_X23Y84 INDEX 7879 TILEPROP INT_INTERFACE_R_X23Y84 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y84 INT_TILE_Y 65 TILEPROP INT_INTERFACE_R_X23Y84 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y84 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y84 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y84 NAME INT_INTERFACE_R_X23Y84 TILEPROP INT_INTERFACE_R_X23Y84 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y84 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y84 ROW 68 TILEPROP INT_INTERFACE_R_X23Y84 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y84 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y84 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y84 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y84 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y84 TILE_Y 31424 TILEPROP INT_INTERFACE_R_X23Y84 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y85 CLASS tile TILEPROP INT_INTERFACE_R_X23Y85 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y85 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y85 FIRST_SITE_ID 6604 TILEPROP INT_INTERFACE_R_X23Y85 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y85 GRID_POINT_Y 67 TILEPROP INT_INTERFACE_R_X23Y85 INDEX 7764 TILEPROP INT_INTERFACE_R_X23Y85 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y85 INT_TILE_Y 64 TILEPROP INT_INTERFACE_R_X23Y85 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y85 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y85 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y85 NAME INT_INTERFACE_R_X23Y85 TILEPROP INT_INTERFACE_R_X23Y85 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y85 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y85 ROW 67 TILEPROP INT_INTERFACE_R_X23Y85 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y85 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y85 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y85 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y85 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y85 TILE_Y 34624 TILEPROP INT_INTERFACE_R_X23Y85 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y86 CLASS tile TILEPROP INT_INTERFACE_R_X23Y86 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y86 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y86 FIRST_SITE_ID 6466 TILEPROP INT_INTERFACE_R_X23Y86 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y86 GRID_POINT_Y 66 TILEPROP INT_INTERFACE_R_X23Y86 INDEX 7649 TILEPROP INT_INTERFACE_R_X23Y86 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y86 INT_TILE_Y 63 TILEPROP INT_INTERFACE_R_X23Y86 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y86 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y86 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y86 NAME INT_INTERFACE_R_X23Y86 TILEPROP INT_INTERFACE_R_X23Y86 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y86 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y86 ROW 66 TILEPROP INT_INTERFACE_R_X23Y86 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y86 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y86 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y86 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y86 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y86 TILE_Y 37824 TILEPROP INT_INTERFACE_R_X23Y86 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y87 CLASS tile TILEPROP INT_INTERFACE_R_X23Y87 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y87 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y87 FIRST_SITE_ID 6366 TILEPROP INT_INTERFACE_R_X23Y87 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y87 GRID_POINT_Y 65 TILEPROP INT_INTERFACE_R_X23Y87 INDEX 7534 TILEPROP INT_INTERFACE_R_X23Y87 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y87 INT_TILE_Y 62 TILEPROP INT_INTERFACE_R_X23Y87 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y87 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y87 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y87 NAME INT_INTERFACE_R_X23Y87 TILEPROP INT_INTERFACE_R_X23Y87 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y87 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y87 ROW 65 TILEPROP INT_INTERFACE_R_X23Y87 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y87 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y87 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y87 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y87 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y87 TILE_Y 41024 TILEPROP INT_INTERFACE_R_X23Y87 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y88 CLASS tile TILEPROP INT_INTERFACE_R_X23Y88 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y88 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y88 FIRST_SITE_ID 6266 TILEPROP INT_INTERFACE_R_X23Y88 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y88 GRID_POINT_Y 64 TILEPROP INT_INTERFACE_R_X23Y88 INDEX 7419 TILEPROP INT_INTERFACE_R_X23Y88 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y88 INT_TILE_Y 61 TILEPROP INT_INTERFACE_R_X23Y88 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y88 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y88 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y88 NAME INT_INTERFACE_R_X23Y88 TILEPROP INT_INTERFACE_R_X23Y88 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y88 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y88 ROW 64 TILEPROP INT_INTERFACE_R_X23Y88 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y88 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y88 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y88 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y88 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y88 TILE_Y 44224 TILEPROP INT_INTERFACE_R_X23Y88 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y89 CLASS tile TILEPROP INT_INTERFACE_R_X23Y89 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y89 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y89 FIRST_SITE_ID 6166 TILEPROP INT_INTERFACE_R_X23Y89 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y89 GRID_POINT_Y 63 TILEPROP INT_INTERFACE_R_X23Y89 INDEX 7304 TILEPROP INT_INTERFACE_R_X23Y89 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y89 INT_TILE_Y 60 TILEPROP INT_INTERFACE_R_X23Y89 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y89 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y89 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y89 NAME INT_INTERFACE_R_X23Y89 TILEPROP INT_INTERFACE_R_X23Y89 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y89 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y89 ROW 63 TILEPROP INT_INTERFACE_R_X23Y89 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y89 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y89 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y89 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y89 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y89 TILE_Y 47424 TILEPROP INT_INTERFACE_R_X23Y89 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y90 CLASS tile TILEPROP INT_INTERFACE_R_X23Y90 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y90 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y90 FIRST_SITE_ID 6057 TILEPROP INT_INTERFACE_R_X23Y90 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y90 GRID_POINT_Y 62 TILEPROP INT_INTERFACE_R_X23Y90 INDEX 7189 TILEPROP INT_INTERFACE_R_X23Y90 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y90 INT_TILE_Y 59 TILEPROP INT_INTERFACE_R_X23Y90 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y90 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y90 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y90 NAME INT_INTERFACE_R_X23Y90 TILEPROP INT_INTERFACE_R_X23Y90 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y90 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y90 ROW 62 TILEPROP INT_INTERFACE_R_X23Y90 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y90 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y90 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y90 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y90 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y90 TILE_Y 50624 TILEPROP INT_INTERFACE_R_X23Y90 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y91 CLASS tile TILEPROP INT_INTERFACE_R_X23Y91 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y91 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y91 FIRST_SITE_ID 5951 TILEPROP INT_INTERFACE_R_X23Y91 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y91 GRID_POINT_Y 61 TILEPROP INT_INTERFACE_R_X23Y91 INDEX 7074 TILEPROP INT_INTERFACE_R_X23Y91 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y91 INT_TILE_Y 58 TILEPROP INT_INTERFACE_R_X23Y91 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y91 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y91 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y91 NAME INT_INTERFACE_R_X23Y91 TILEPROP INT_INTERFACE_R_X23Y91 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y91 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y91 ROW 61 TILEPROP INT_INTERFACE_R_X23Y91 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y91 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y91 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y91 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y91 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y91 TILE_Y 53824 TILEPROP INT_INTERFACE_R_X23Y91 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y92 CLASS tile TILEPROP INT_INTERFACE_R_X23Y92 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y92 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y92 FIRST_SITE_ID 5850 TILEPROP INT_INTERFACE_R_X23Y92 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y92 GRID_POINT_Y 60 TILEPROP INT_INTERFACE_R_X23Y92 INDEX 6959 TILEPROP INT_INTERFACE_R_X23Y92 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y92 INT_TILE_Y 57 TILEPROP INT_INTERFACE_R_X23Y92 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y92 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y92 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y92 NAME INT_INTERFACE_R_X23Y92 TILEPROP INT_INTERFACE_R_X23Y92 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y92 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y92 ROW 60 TILEPROP INT_INTERFACE_R_X23Y92 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y92 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y92 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y92 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y92 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y92 TILE_Y 57024 TILEPROP INT_INTERFACE_R_X23Y92 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y93 CLASS tile TILEPROP INT_INTERFACE_R_X23Y93 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y93 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y93 FIRST_SITE_ID 5747 TILEPROP INT_INTERFACE_R_X23Y93 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y93 GRID_POINT_Y 59 TILEPROP INT_INTERFACE_R_X23Y93 INDEX 6844 TILEPROP INT_INTERFACE_R_X23Y93 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y93 INT_TILE_Y 56 TILEPROP INT_INTERFACE_R_X23Y93 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y93 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y93 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y93 NAME INT_INTERFACE_R_X23Y93 TILEPROP INT_INTERFACE_R_X23Y93 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y93 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y93 ROW 59 TILEPROP INT_INTERFACE_R_X23Y93 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y93 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y93 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y93 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y93 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y93 TILE_Y 60224 TILEPROP INT_INTERFACE_R_X23Y93 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y94 CLASS tile TILEPROP INT_INTERFACE_R_X23Y94 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y94 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y94 FIRST_SITE_ID 5645 TILEPROP INT_INTERFACE_R_X23Y94 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y94 GRID_POINT_Y 58 TILEPROP INT_INTERFACE_R_X23Y94 INDEX 6729 TILEPROP INT_INTERFACE_R_X23Y94 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y94 INT_TILE_Y 55 TILEPROP INT_INTERFACE_R_X23Y94 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y94 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y94 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y94 NAME INT_INTERFACE_R_X23Y94 TILEPROP INT_INTERFACE_R_X23Y94 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y94 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y94 ROW 58 TILEPROP INT_INTERFACE_R_X23Y94 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y94 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y94 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y94 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y94 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y94 TILE_Y 63424 TILEPROP INT_INTERFACE_R_X23Y94 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y95 CLASS tile TILEPROP INT_INTERFACE_R_X23Y95 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y95 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y95 FIRST_SITE_ID 5536 TILEPROP INT_INTERFACE_R_X23Y95 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y95 GRID_POINT_Y 57 TILEPROP INT_INTERFACE_R_X23Y95 INDEX 6614 TILEPROP INT_INTERFACE_R_X23Y95 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y95 INT_TILE_Y 54 TILEPROP INT_INTERFACE_R_X23Y95 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y95 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y95 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y95 NAME INT_INTERFACE_R_X23Y95 TILEPROP INT_INTERFACE_R_X23Y95 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y95 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y95 ROW 57 TILEPROP INT_INTERFACE_R_X23Y95 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y95 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y95 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y95 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y95 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y95 TILE_Y 66624 TILEPROP INT_INTERFACE_R_X23Y95 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y96 CLASS tile TILEPROP INT_INTERFACE_R_X23Y96 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y96 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y96 FIRST_SITE_ID 5430 TILEPROP INT_INTERFACE_R_X23Y96 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y96 GRID_POINT_Y 56 TILEPROP INT_INTERFACE_R_X23Y96 INDEX 6499 TILEPROP INT_INTERFACE_R_X23Y96 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y96 INT_TILE_Y 53 TILEPROP INT_INTERFACE_R_X23Y96 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y96 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y96 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y96 NAME INT_INTERFACE_R_X23Y96 TILEPROP INT_INTERFACE_R_X23Y96 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y96 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y96 ROW 56 TILEPROP INT_INTERFACE_R_X23Y96 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y96 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y96 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y96 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y96 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y96 TILE_Y 69824 TILEPROP INT_INTERFACE_R_X23Y96 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y97 CLASS tile TILEPROP INT_INTERFACE_R_X23Y97 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y97 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y97 FIRST_SITE_ID 5330 TILEPROP INT_INTERFACE_R_X23Y97 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y97 GRID_POINT_Y 55 TILEPROP INT_INTERFACE_R_X23Y97 INDEX 6384 TILEPROP INT_INTERFACE_R_X23Y97 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y97 INT_TILE_Y 52 TILEPROP INT_INTERFACE_R_X23Y97 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y97 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y97 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y97 NAME INT_INTERFACE_R_X23Y97 TILEPROP INT_INTERFACE_R_X23Y97 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y97 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y97 ROW 55 TILEPROP INT_INTERFACE_R_X23Y97 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y97 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y97 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y97 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y97 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y97 TILE_Y 73024 TILEPROP INT_INTERFACE_R_X23Y97 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y98 CLASS tile TILEPROP INT_INTERFACE_R_X23Y98 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y98 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y98 FIRST_SITE_ID 5230 TILEPROP INT_INTERFACE_R_X23Y98 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y98 GRID_POINT_Y 54 TILEPROP INT_INTERFACE_R_X23Y98 INDEX 6269 TILEPROP INT_INTERFACE_R_X23Y98 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y98 INT_TILE_Y 51 TILEPROP INT_INTERFACE_R_X23Y98 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y98 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y98 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y98 NAME INT_INTERFACE_R_X23Y98 TILEPROP INT_INTERFACE_R_X23Y98 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y98 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y98 ROW 54 TILEPROP INT_INTERFACE_R_X23Y98 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y98 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y98 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y98 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y98 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y98 TILE_Y 76224 TILEPROP INT_INTERFACE_R_X23Y98 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y99 CLASS tile TILEPROP INT_INTERFACE_R_X23Y99 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y99 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y99 FIRST_SITE_ID 5134 TILEPROP INT_INTERFACE_R_X23Y99 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y99 GRID_POINT_Y 53 TILEPROP INT_INTERFACE_R_X23Y99 INDEX 6154 TILEPROP INT_INTERFACE_R_X23Y99 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y99 INT_TILE_Y 50 TILEPROP INT_INTERFACE_R_X23Y99 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y99 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y99 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y99 NAME INT_INTERFACE_R_X23Y99 TILEPROP INT_INTERFACE_R_X23Y99 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y99 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y99 ROW 53 TILEPROP INT_INTERFACE_R_X23Y99 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y99 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y99 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y99 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y99 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y99 TILE_Y 79424 TILEPROP INT_INTERFACE_R_X23Y99 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y100 CLASS tile TILEPROP INT_INTERFACE_R_X23Y100 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y100 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y100 FIRST_SITE_ID 5057 TILEPROP INT_INTERFACE_R_X23Y100 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y100 GRID_POINT_Y 51 TILEPROP INT_INTERFACE_R_X23Y100 INDEX 5924 TILEPROP INT_INTERFACE_R_X23Y100 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y100 INT_TILE_Y 49 TILEPROP INT_INTERFACE_R_X23Y100 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y100 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y100 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y100 NAME INT_INTERFACE_R_X23Y100 TILEPROP INT_INTERFACE_R_X23Y100 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y100 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y100 ROW 51 TILEPROP INT_INTERFACE_R_X23Y100 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y100 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y100 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y100 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y100 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y100 TILE_Y 82872 TILEPROP INT_INTERFACE_R_X23Y100 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y101 CLASS tile TILEPROP INT_INTERFACE_R_X23Y101 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y101 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y101 FIRST_SITE_ID 4959 TILEPROP INT_INTERFACE_R_X23Y101 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y101 GRID_POINT_Y 50 TILEPROP INT_INTERFACE_R_X23Y101 INDEX 5809 TILEPROP INT_INTERFACE_R_X23Y101 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y101 INT_TILE_Y 48 TILEPROP INT_INTERFACE_R_X23Y101 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y101 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y101 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y101 NAME INT_INTERFACE_R_X23Y101 TILEPROP INT_INTERFACE_R_X23Y101 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y101 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y101 ROW 50 TILEPROP INT_INTERFACE_R_X23Y101 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y101 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y101 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y101 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y101 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y101 TILE_Y 86072 TILEPROP INT_INTERFACE_R_X23Y101 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y102 CLASS tile TILEPROP INT_INTERFACE_R_X23Y102 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y102 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y102 FIRST_SITE_ID 4863 TILEPROP INT_INTERFACE_R_X23Y102 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y102 GRID_POINT_Y 49 TILEPROP INT_INTERFACE_R_X23Y102 INDEX 5694 TILEPROP INT_INTERFACE_R_X23Y102 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y102 INT_TILE_Y 47 TILEPROP INT_INTERFACE_R_X23Y102 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y102 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y102 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y102 NAME INT_INTERFACE_R_X23Y102 TILEPROP INT_INTERFACE_R_X23Y102 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y102 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y102 ROW 49 TILEPROP INT_INTERFACE_R_X23Y102 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y102 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y102 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y102 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y102 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y102 TILE_Y 89272 TILEPROP INT_INTERFACE_R_X23Y102 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y103 CLASS tile TILEPROP INT_INTERFACE_R_X23Y103 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y103 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y103 FIRST_SITE_ID 4775 TILEPROP INT_INTERFACE_R_X23Y103 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y103 GRID_POINT_Y 48 TILEPROP INT_INTERFACE_R_X23Y103 INDEX 5579 TILEPROP INT_INTERFACE_R_X23Y103 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y103 INT_TILE_Y 46 TILEPROP INT_INTERFACE_R_X23Y103 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y103 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y103 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y103 NAME INT_INTERFACE_R_X23Y103 TILEPROP INT_INTERFACE_R_X23Y103 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y103 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y103 ROW 48 TILEPROP INT_INTERFACE_R_X23Y103 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y103 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y103 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y103 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y103 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y103 TILE_Y 92472 TILEPROP INT_INTERFACE_R_X23Y103 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y104 CLASS tile TILEPROP INT_INTERFACE_R_X23Y104 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y104 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y104 FIRST_SITE_ID 4679 TILEPROP INT_INTERFACE_R_X23Y104 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y104 GRID_POINT_Y 47 TILEPROP INT_INTERFACE_R_X23Y104 INDEX 5464 TILEPROP INT_INTERFACE_R_X23Y104 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y104 INT_TILE_Y 45 TILEPROP INT_INTERFACE_R_X23Y104 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y104 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y104 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y104 NAME INT_INTERFACE_R_X23Y104 TILEPROP INT_INTERFACE_R_X23Y104 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y104 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y104 ROW 47 TILEPROP INT_INTERFACE_R_X23Y104 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y104 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y104 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y104 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y104 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y104 TILE_Y 95672 TILEPROP INT_INTERFACE_R_X23Y104 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y105 CLASS tile TILEPROP INT_INTERFACE_R_X23Y105 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y105 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y105 FIRST_SITE_ID 4583 TILEPROP INT_INTERFACE_R_X23Y105 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y105 GRID_POINT_Y 46 TILEPROP INT_INTERFACE_R_X23Y105 INDEX 5349 TILEPROP INT_INTERFACE_R_X23Y105 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y105 INT_TILE_Y 44 TILEPROP INT_INTERFACE_R_X23Y105 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y105 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y105 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y105 NAME INT_INTERFACE_R_X23Y105 TILEPROP INT_INTERFACE_R_X23Y105 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y105 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y105 ROW 46 TILEPROP INT_INTERFACE_R_X23Y105 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y105 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y105 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y105 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y105 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y105 TILE_Y 98872 TILEPROP INT_INTERFACE_R_X23Y105 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y106 CLASS tile TILEPROP INT_INTERFACE_R_X23Y106 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y106 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y106 FIRST_SITE_ID 4481 TILEPROP INT_INTERFACE_R_X23Y106 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y106 GRID_POINT_Y 45 TILEPROP INT_INTERFACE_R_X23Y106 INDEX 5234 TILEPROP INT_INTERFACE_R_X23Y106 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y106 INT_TILE_Y 43 TILEPROP INT_INTERFACE_R_X23Y106 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y106 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y106 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y106 NAME INT_INTERFACE_R_X23Y106 TILEPROP INT_INTERFACE_R_X23Y106 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y106 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y106 ROW 45 TILEPROP INT_INTERFACE_R_X23Y106 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y106 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y106 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y106 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y106 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y106 TILE_Y 102072 TILEPROP INT_INTERFACE_R_X23Y106 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y107 CLASS tile TILEPROP INT_INTERFACE_R_X23Y107 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y107 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y107 FIRST_SITE_ID 4393 TILEPROP INT_INTERFACE_R_X23Y107 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y107 GRID_POINT_Y 44 TILEPROP INT_INTERFACE_R_X23Y107 INDEX 5119 TILEPROP INT_INTERFACE_R_X23Y107 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y107 INT_TILE_Y 42 TILEPROP INT_INTERFACE_R_X23Y107 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y107 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y107 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y107 NAME INT_INTERFACE_R_X23Y107 TILEPROP INT_INTERFACE_R_X23Y107 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y107 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y107 ROW 44 TILEPROP INT_INTERFACE_R_X23Y107 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y107 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y107 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y107 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y107 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y107 TILE_Y 105272 TILEPROP INT_INTERFACE_R_X23Y107 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y108 CLASS tile TILEPROP INT_INTERFACE_R_X23Y108 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y108 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y108 FIRST_SITE_ID 4295 TILEPROP INT_INTERFACE_R_X23Y108 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y108 GRID_POINT_Y 43 TILEPROP INT_INTERFACE_R_X23Y108 INDEX 5004 TILEPROP INT_INTERFACE_R_X23Y108 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y108 INT_TILE_Y 41 TILEPROP INT_INTERFACE_R_X23Y108 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y108 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y108 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y108 NAME INT_INTERFACE_R_X23Y108 TILEPROP INT_INTERFACE_R_X23Y108 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y108 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y108 ROW 43 TILEPROP INT_INTERFACE_R_X23Y108 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y108 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y108 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y108 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y108 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y108 TILE_Y 108472 TILEPROP INT_INTERFACE_R_X23Y108 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y109 CLASS tile TILEPROP INT_INTERFACE_R_X23Y109 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y109 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y109 FIRST_SITE_ID 4206 TILEPROP INT_INTERFACE_R_X23Y109 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y109 GRID_POINT_Y 42 TILEPROP INT_INTERFACE_R_X23Y109 INDEX 4889 TILEPROP INT_INTERFACE_R_X23Y109 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y109 INT_TILE_Y 40 TILEPROP INT_INTERFACE_R_X23Y109 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y109 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y109 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y109 NAME INT_INTERFACE_R_X23Y109 TILEPROP INT_INTERFACE_R_X23Y109 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y109 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y109 ROW 42 TILEPROP INT_INTERFACE_R_X23Y109 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y109 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y109 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y109 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y109 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y109 TILE_Y 111672 TILEPROP INT_INTERFACE_R_X23Y109 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y110 CLASS tile TILEPROP INT_INTERFACE_R_X23Y110 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y110 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y110 FIRST_SITE_ID 4106 TILEPROP INT_INTERFACE_R_X23Y110 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y110 GRID_POINT_Y 41 TILEPROP INT_INTERFACE_R_X23Y110 INDEX 4774 TILEPROP INT_INTERFACE_R_X23Y110 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y110 INT_TILE_Y 39 TILEPROP INT_INTERFACE_R_X23Y110 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y110 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y110 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y110 NAME INT_INTERFACE_R_X23Y110 TILEPROP INT_INTERFACE_R_X23Y110 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y110 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y110 ROW 41 TILEPROP INT_INTERFACE_R_X23Y110 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y110 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y110 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y110 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y110 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y110 TILE_Y 114872 TILEPROP INT_INTERFACE_R_X23Y110 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y111 CLASS tile TILEPROP INT_INTERFACE_R_X23Y111 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y111 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y111 FIRST_SITE_ID 4012 TILEPROP INT_INTERFACE_R_X23Y111 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y111 GRID_POINT_Y 40 TILEPROP INT_INTERFACE_R_X23Y111 INDEX 4659 TILEPROP INT_INTERFACE_R_X23Y111 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y111 INT_TILE_Y 38 TILEPROP INT_INTERFACE_R_X23Y111 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y111 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y111 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y111 NAME INT_INTERFACE_R_X23Y111 TILEPROP INT_INTERFACE_R_X23Y111 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y111 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y111 ROW 40 TILEPROP INT_INTERFACE_R_X23Y111 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y111 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y111 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y111 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y111 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y111 TILE_Y 118072 TILEPROP INT_INTERFACE_R_X23Y111 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y112 CLASS tile TILEPROP INT_INTERFACE_R_X23Y112 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y112 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y112 FIRST_SITE_ID 3884 TILEPROP INT_INTERFACE_R_X23Y112 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y112 GRID_POINT_Y 39 TILEPROP INT_INTERFACE_R_X23Y112 INDEX 4544 TILEPROP INT_INTERFACE_R_X23Y112 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y112 INT_TILE_Y 37 TILEPROP INT_INTERFACE_R_X23Y112 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y112 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y112 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y112 NAME INT_INTERFACE_R_X23Y112 TILEPROP INT_INTERFACE_R_X23Y112 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y112 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y112 ROW 39 TILEPROP INT_INTERFACE_R_X23Y112 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y112 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y112 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y112 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y112 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y112 TILE_Y 121272 TILEPROP INT_INTERFACE_R_X23Y112 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y113 CLASS tile TILEPROP INT_INTERFACE_R_X23Y113 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y113 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y113 FIRST_SITE_ID 3796 TILEPROP INT_INTERFACE_R_X23Y113 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y113 GRID_POINT_Y 38 TILEPROP INT_INTERFACE_R_X23Y113 INDEX 4429 TILEPROP INT_INTERFACE_R_X23Y113 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y113 INT_TILE_Y 36 TILEPROP INT_INTERFACE_R_X23Y113 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y113 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y113 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y113 NAME INT_INTERFACE_R_X23Y113 TILEPROP INT_INTERFACE_R_X23Y113 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y113 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y113 ROW 38 TILEPROP INT_INTERFACE_R_X23Y113 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y113 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y113 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y113 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y113 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y113 TILE_Y 124472 TILEPROP INT_INTERFACE_R_X23Y113 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y114 CLASS tile TILEPROP INT_INTERFACE_R_X23Y114 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y114 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y114 FIRST_SITE_ID 3700 TILEPROP INT_INTERFACE_R_X23Y114 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y114 GRID_POINT_Y 37 TILEPROP INT_INTERFACE_R_X23Y114 INDEX 4314 TILEPROP INT_INTERFACE_R_X23Y114 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y114 INT_TILE_Y 35 TILEPROP INT_INTERFACE_R_X23Y114 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y114 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y114 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y114 NAME INT_INTERFACE_R_X23Y114 TILEPROP INT_INTERFACE_R_X23Y114 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y114 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y114 ROW 37 TILEPROP INT_INTERFACE_R_X23Y114 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y114 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y114 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y114 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y114 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y114 TILE_Y 127672 TILEPROP INT_INTERFACE_R_X23Y114 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y115 CLASS tile TILEPROP INT_INTERFACE_R_X23Y115 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y115 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y115 FIRST_SITE_ID 3609 TILEPROP INT_INTERFACE_R_X23Y115 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y115 GRID_POINT_Y 36 TILEPROP INT_INTERFACE_R_X23Y115 INDEX 4199 TILEPROP INT_INTERFACE_R_X23Y115 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y115 INT_TILE_Y 34 TILEPROP INT_INTERFACE_R_X23Y115 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y115 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y115 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y115 NAME INT_INTERFACE_R_X23Y115 TILEPROP INT_INTERFACE_R_X23Y115 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y115 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y115 ROW 36 TILEPROP INT_INTERFACE_R_X23Y115 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y115 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y115 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y115 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y115 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y115 TILE_Y 130872 TILEPROP INT_INTERFACE_R_X23Y115 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y116 CLASS tile TILEPROP INT_INTERFACE_R_X23Y116 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y116 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y116 FIRST_SITE_ID 3502 TILEPROP INT_INTERFACE_R_X23Y116 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y116 GRID_POINT_Y 35 TILEPROP INT_INTERFACE_R_X23Y116 INDEX 4084 TILEPROP INT_INTERFACE_R_X23Y116 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y116 INT_TILE_Y 33 TILEPROP INT_INTERFACE_R_X23Y116 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y116 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y116 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y116 NAME INT_INTERFACE_R_X23Y116 TILEPROP INT_INTERFACE_R_X23Y116 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y116 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y116 ROW 35 TILEPROP INT_INTERFACE_R_X23Y116 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y116 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y116 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y116 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y116 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y116 TILE_Y 134072 TILEPROP INT_INTERFACE_R_X23Y116 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y117 CLASS tile TILEPROP INT_INTERFACE_R_X23Y117 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y117 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y117 FIRST_SITE_ID 3414 TILEPROP INT_INTERFACE_R_X23Y117 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y117 GRID_POINT_Y 34 TILEPROP INT_INTERFACE_R_X23Y117 INDEX 3969 TILEPROP INT_INTERFACE_R_X23Y117 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y117 INT_TILE_Y 32 TILEPROP INT_INTERFACE_R_X23Y117 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y117 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y117 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y117 NAME INT_INTERFACE_R_X23Y117 TILEPROP INT_INTERFACE_R_X23Y117 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y117 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y117 ROW 34 TILEPROP INT_INTERFACE_R_X23Y117 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y117 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y117 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y117 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y117 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y117 TILE_Y 137272 TILEPROP INT_INTERFACE_R_X23Y117 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y118 CLASS tile TILEPROP INT_INTERFACE_R_X23Y118 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y118 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y118 FIRST_SITE_ID 3314 TILEPROP INT_INTERFACE_R_X23Y118 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y118 GRID_POINT_Y 33 TILEPROP INT_INTERFACE_R_X23Y118 INDEX 3854 TILEPROP INT_INTERFACE_R_X23Y118 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y118 INT_TILE_Y 31 TILEPROP INT_INTERFACE_R_X23Y118 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y118 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y118 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y118 NAME INT_INTERFACE_R_X23Y118 TILEPROP INT_INTERFACE_R_X23Y118 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y118 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y118 ROW 33 TILEPROP INT_INTERFACE_R_X23Y118 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y118 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y118 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y118 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y118 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y118 TILE_Y 140472 TILEPROP INT_INTERFACE_R_X23Y118 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y119 CLASS tile TILEPROP INT_INTERFACE_R_X23Y119 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y119 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y119 FIRST_SITE_ID 3226 TILEPROP INT_INTERFACE_R_X23Y119 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y119 GRID_POINT_Y 32 TILEPROP INT_INTERFACE_R_X23Y119 INDEX 3739 TILEPROP INT_INTERFACE_R_X23Y119 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y119 INT_TILE_Y 30 TILEPROP INT_INTERFACE_R_X23Y119 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y119 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y119 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y119 NAME INT_INTERFACE_R_X23Y119 TILEPROP INT_INTERFACE_R_X23Y119 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y119 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y119 ROW 32 TILEPROP INT_INTERFACE_R_X23Y119 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y119 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y119 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y119 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y119 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y119 TILE_Y 143672 TILEPROP INT_INTERFACE_R_X23Y119 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y120 CLASS tile TILEPROP INT_INTERFACE_R_X23Y120 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y120 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y120 FIRST_SITE_ID 3125 TILEPROP INT_INTERFACE_R_X23Y120 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y120 GRID_POINT_Y 31 TILEPROP INT_INTERFACE_R_X23Y120 INDEX 3624 TILEPROP INT_INTERFACE_R_X23Y120 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y120 INT_TILE_Y 29 TILEPROP INT_INTERFACE_R_X23Y120 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y120 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y120 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y120 NAME INT_INTERFACE_R_X23Y120 TILEPROP INT_INTERFACE_R_X23Y120 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y120 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y120 ROW 31 TILEPROP INT_INTERFACE_R_X23Y120 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y120 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y120 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y120 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y120 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y120 TILE_Y 146872 TILEPROP INT_INTERFACE_R_X23Y120 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y121 CLASS tile TILEPROP INT_INTERFACE_R_X23Y121 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y121 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y121 FIRST_SITE_ID 3031 TILEPROP INT_INTERFACE_R_X23Y121 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y121 GRID_POINT_Y 30 TILEPROP INT_INTERFACE_R_X23Y121 INDEX 3509 TILEPROP INT_INTERFACE_R_X23Y121 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y121 INT_TILE_Y 28 TILEPROP INT_INTERFACE_R_X23Y121 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y121 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y121 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y121 NAME INT_INTERFACE_R_X23Y121 TILEPROP INT_INTERFACE_R_X23Y121 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y121 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y121 ROW 30 TILEPROP INT_INTERFACE_R_X23Y121 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y121 TILE_PATTERN_IDX 984 TILEPROP INT_INTERFACE_R_X23Y121 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y121 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y121 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y121 TILE_Y 150072 TILEPROP INT_INTERFACE_R_X23Y121 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y122 CLASS tile TILEPROP INT_INTERFACE_R_X23Y122 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y122 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y122 FIRST_SITE_ID 2928 TILEPROP INT_INTERFACE_R_X23Y122 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y122 GRID_POINT_Y 29 TILEPROP INT_INTERFACE_R_X23Y122 INDEX 3394 TILEPROP INT_INTERFACE_R_X23Y122 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y122 INT_TILE_Y 27 TILEPROP INT_INTERFACE_R_X23Y122 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y122 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y122 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y122 NAME INT_INTERFACE_R_X23Y122 TILEPROP INT_INTERFACE_R_X23Y122 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y122 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y122 ROW 29 TILEPROP INT_INTERFACE_R_X23Y122 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y122 TILE_PATTERN_IDX 952 TILEPROP INT_INTERFACE_R_X23Y122 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y122 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y122 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y122 TILE_Y 153272 TILEPROP INT_INTERFACE_R_X23Y122 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y123 CLASS tile TILEPROP INT_INTERFACE_R_X23Y123 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y123 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y123 FIRST_SITE_ID 2840 TILEPROP INT_INTERFACE_R_X23Y123 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y123 GRID_POINT_Y 28 TILEPROP INT_INTERFACE_R_X23Y123 INDEX 3279 TILEPROP INT_INTERFACE_R_X23Y123 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y123 INT_TILE_Y 26 TILEPROP INT_INTERFACE_R_X23Y123 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y123 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y123 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y123 NAME INT_INTERFACE_R_X23Y123 TILEPROP INT_INTERFACE_R_X23Y123 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y123 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y123 ROW 28 TILEPROP INT_INTERFACE_R_X23Y123 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y123 TILE_PATTERN_IDX 923 TILEPROP INT_INTERFACE_R_X23Y123 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y123 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y123 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y123 TILE_Y 156472 TILEPROP INT_INTERFACE_R_X23Y123 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y124 CLASS tile TILEPROP INT_INTERFACE_R_X23Y124 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y124 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y124 FIRST_SITE_ID 2744 TILEPROP INT_INTERFACE_R_X23Y124 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y124 GRID_POINT_Y 27 TILEPROP INT_INTERFACE_R_X23Y124 INDEX 3164 TILEPROP INT_INTERFACE_R_X23Y124 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y124 INT_TILE_Y 25 TILEPROP INT_INTERFACE_R_X23Y124 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y124 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y124 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y124 NAME INT_INTERFACE_R_X23Y124 TILEPROP INT_INTERFACE_R_X23Y124 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y124 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y124 ROW 27 TILEPROP INT_INTERFACE_R_X23Y124 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y124 TILE_PATTERN_IDX 890 TILEPROP INT_INTERFACE_R_X23Y124 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y124 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y124 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y124 TILE_Y 159672 TILEPROP INT_INTERFACE_R_X23Y124 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y125 CLASS tile TILEPROP INT_INTERFACE_R_X23Y125 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y125 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y125 FIRST_SITE_ID 2571 TILEPROP INT_INTERFACE_R_X23Y125 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y125 GRID_POINT_Y 25 TILEPROP INT_INTERFACE_R_X23Y125 INDEX 2934 TILEPROP INT_INTERFACE_R_X23Y125 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y125 INT_TILE_Y 24 TILEPROP INT_INTERFACE_R_X23Y125 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y125 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y125 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y125 NAME INT_INTERFACE_R_X23Y125 TILEPROP INT_INTERFACE_R_X23Y125 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y125 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y125 ROW 25 TILEPROP INT_INTERFACE_R_X23Y125 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y125 TILE_PATTERN_IDX 809 TILEPROP INT_INTERFACE_R_X23Y125 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y125 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y125 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y125 TILE_Y 163896 TILEPROP INT_INTERFACE_R_X23Y125 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y126 CLASS tile TILEPROP INT_INTERFACE_R_X23Y126 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y126 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y126 FIRST_SITE_ID 2461 TILEPROP INT_INTERFACE_R_X23Y126 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y126 GRID_POINT_Y 24 TILEPROP INT_INTERFACE_R_X23Y126 INDEX 2819 TILEPROP INT_INTERFACE_R_X23Y126 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y126 INT_TILE_Y 23 TILEPROP INT_INTERFACE_R_X23Y126 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y126 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y126 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y126 NAME INT_INTERFACE_R_X23Y126 TILEPROP INT_INTERFACE_R_X23Y126 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y126 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y126 ROW 24 TILEPROP INT_INTERFACE_R_X23Y126 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y126 TILE_PATTERN_IDX 771 TILEPROP INT_INTERFACE_R_X23Y126 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y126 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y126 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y126 TILE_Y 167096 TILEPROP INT_INTERFACE_R_X23Y126 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y127 CLASS tile TILEPROP INT_INTERFACE_R_X23Y127 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y127 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y127 FIRST_SITE_ID 2365 TILEPROP INT_INTERFACE_R_X23Y127 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y127 GRID_POINT_Y 23 TILEPROP INT_INTERFACE_R_X23Y127 INDEX 2704 TILEPROP INT_INTERFACE_R_X23Y127 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y127 INT_TILE_Y 22 TILEPROP INT_INTERFACE_R_X23Y127 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y127 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y127 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y127 NAME INT_INTERFACE_R_X23Y127 TILEPROP INT_INTERFACE_R_X23Y127 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y127 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y127 ROW 23 TILEPROP INT_INTERFACE_R_X23Y127 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y127 TILE_PATTERN_IDX 741 TILEPROP INT_INTERFACE_R_X23Y127 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y127 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y127 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y127 TILE_Y 170296 TILEPROP INT_INTERFACE_R_X23Y127 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y128 CLASS tile TILEPROP INT_INTERFACE_R_X23Y128 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y128 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y128 FIRST_SITE_ID 2261 TILEPROP INT_INTERFACE_R_X23Y128 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y128 GRID_POINT_Y 22 TILEPROP INT_INTERFACE_R_X23Y128 INDEX 2589 TILEPROP INT_INTERFACE_R_X23Y128 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y128 INT_TILE_Y 21 TILEPROP INT_INTERFACE_R_X23Y128 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y128 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y128 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y128 NAME INT_INTERFACE_R_X23Y128 TILEPROP INT_INTERFACE_R_X23Y128 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y128 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y128 ROW 22 TILEPROP INT_INTERFACE_R_X23Y128 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y128 TILE_PATTERN_IDX 709 TILEPROP INT_INTERFACE_R_X23Y128 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y128 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y128 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y128 TILE_Y 173496 TILEPROP INT_INTERFACE_R_X23Y128 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y129 CLASS tile TILEPROP INT_INTERFACE_R_X23Y129 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y129 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y129 FIRST_SITE_ID 2165 TILEPROP INT_INTERFACE_R_X23Y129 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y129 GRID_POINT_Y 21 TILEPROP INT_INTERFACE_R_X23Y129 INDEX 2474 TILEPROP INT_INTERFACE_R_X23Y129 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y129 INT_TILE_Y 20 TILEPROP INT_INTERFACE_R_X23Y129 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y129 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y129 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y129 NAME INT_INTERFACE_R_X23Y129 TILEPROP INT_INTERFACE_R_X23Y129 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y129 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y129 ROW 21 TILEPROP INT_INTERFACE_R_X23Y129 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y129 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y129 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y129 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y129 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y129 TILE_Y 176696 TILEPROP INT_INTERFACE_R_X23Y129 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y130 CLASS tile TILEPROP INT_INTERFACE_R_X23Y130 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y130 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y130 FIRST_SITE_ID 2049 TILEPROP INT_INTERFACE_R_X23Y130 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y130 GRID_POINT_Y 20 TILEPROP INT_INTERFACE_R_X23Y130 INDEX 2359 TILEPROP INT_INTERFACE_R_X23Y130 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y130 INT_TILE_Y 19 TILEPROP INT_INTERFACE_R_X23Y130 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y130 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y130 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y130 NAME INT_INTERFACE_R_X23Y130 TILEPROP INT_INTERFACE_R_X23Y130 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y130 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y130 ROW 20 TILEPROP INT_INTERFACE_R_X23Y130 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y130 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y130 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y130 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y130 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y130 TILE_Y 179896 TILEPROP INT_INTERFACE_R_X23Y130 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y131 CLASS tile TILEPROP INT_INTERFACE_R_X23Y131 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y131 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y131 FIRST_SITE_ID 1947 TILEPROP INT_INTERFACE_R_X23Y131 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y131 GRID_POINT_Y 19 TILEPROP INT_INTERFACE_R_X23Y131 INDEX 2244 TILEPROP INT_INTERFACE_R_X23Y131 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y131 INT_TILE_Y 18 TILEPROP INT_INTERFACE_R_X23Y131 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y131 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y131 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y131 NAME INT_INTERFACE_R_X23Y131 TILEPROP INT_INTERFACE_R_X23Y131 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y131 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y131 ROW 19 TILEPROP INT_INTERFACE_R_X23Y131 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y131 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y131 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y131 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y131 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y131 TILE_Y 183096 TILEPROP INT_INTERFACE_R_X23Y131 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y132 CLASS tile TILEPROP INT_INTERFACE_R_X23Y132 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y132 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y132 FIRST_SITE_ID 1841 TILEPROP INT_INTERFACE_R_X23Y132 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y132 GRID_POINT_Y 18 TILEPROP INT_INTERFACE_R_X23Y132 INDEX 2129 TILEPROP INT_INTERFACE_R_X23Y132 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y132 INT_TILE_Y 17 TILEPROP INT_INTERFACE_R_X23Y132 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y132 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y132 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y132 NAME INT_INTERFACE_R_X23Y132 TILEPROP INT_INTERFACE_R_X23Y132 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y132 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y132 ROW 18 TILEPROP INT_INTERFACE_R_X23Y132 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y132 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y132 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y132 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y132 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y132 TILE_Y 186296 TILEPROP INT_INTERFACE_R_X23Y132 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y133 CLASS tile TILEPROP INT_INTERFACE_R_X23Y133 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y133 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y133 FIRST_SITE_ID 1740 TILEPROP INT_INTERFACE_R_X23Y133 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y133 GRID_POINT_Y 17 TILEPROP INT_INTERFACE_R_X23Y133 INDEX 2014 TILEPROP INT_INTERFACE_R_X23Y133 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y133 INT_TILE_Y 16 TILEPROP INT_INTERFACE_R_X23Y133 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y133 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y133 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y133 NAME INT_INTERFACE_R_X23Y133 TILEPROP INT_INTERFACE_R_X23Y133 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y133 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y133 ROW 17 TILEPROP INT_INTERFACE_R_X23Y133 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y133 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y133 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y133 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y133 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y133 TILE_Y 189496 TILEPROP INT_INTERFACE_R_X23Y133 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y134 CLASS tile TILEPROP INT_INTERFACE_R_X23Y134 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y134 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y134 FIRST_SITE_ID 1636 TILEPROP INT_INTERFACE_R_X23Y134 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y134 GRID_POINT_Y 16 TILEPROP INT_INTERFACE_R_X23Y134 INDEX 1899 TILEPROP INT_INTERFACE_R_X23Y134 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y134 INT_TILE_Y 15 TILEPROP INT_INTERFACE_R_X23Y134 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y134 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y134 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y134 NAME INT_INTERFACE_R_X23Y134 TILEPROP INT_INTERFACE_R_X23Y134 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y134 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y134 ROW 16 TILEPROP INT_INTERFACE_R_X23Y134 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y134 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y134 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y134 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y134 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y134 TILE_Y 192696 TILEPROP INT_INTERFACE_R_X23Y134 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y135 CLASS tile TILEPROP INT_INTERFACE_R_X23Y135 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y135 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y135 FIRST_SITE_ID 1534 TILEPROP INT_INTERFACE_R_X23Y135 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y135 GRID_POINT_Y 15 TILEPROP INT_INTERFACE_R_X23Y135 INDEX 1784 TILEPROP INT_INTERFACE_R_X23Y135 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y135 INT_TILE_Y 14 TILEPROP INT_INTERFACE_R_X23Y135 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y135 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y135 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y135 NAME INT_INTERFACE_R_X23Y135 TILEPROP INT_INTERFACE_R_X23Y135 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y135 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y135 ROW 15 TILEPROP INT_INTERFACE_R_X23Y135 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y135 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y135 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y135 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y135 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y135 TILE_Y 195896 TILEPROP INT_INTERFACE_R_X23Y135 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y136 CLASS tile TILEPROP INT_INTERFACE_R_X23Y136 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y136 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y136 FIRST_SITE_ID 1392 TILEPROP INT_INTERFACE_R_X23Y136 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y136 GRID_POINT_Y 14 TILEPROP INT_INTERFACE_R_X23Y136 INDEX 1669 TILEPROP INT_INTERFACE_R_X23Y136 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y136 INT_TILE_Y 13 TILEPROP INT_INTERFACE_R_X23Y136 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y136 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y136 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y136 NAME INT_INTERFACE_R_X23Y136 TILEPROP INT_INTERFACE_R_X23Y136 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y136 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y136 ROW 14 TILEPROP INT_INTERFACE_R_X23Y136 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y136 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y136 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y136 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y136 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y136 TILE_Y 199096 TILEPROP INT_INTERFACE_R_X23Y136 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y137 CLASS tile TILEPROP INT_INTERFACE_R_X23Y137 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y137 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y137 FIRST_SITE_ID 1296 TILEPROP INT_INTERFACE_R_X23Y137 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y137 GRID_POINT_Y 13 TILEPROP INT_INTERFACE_R_X23Y137 INDEX 1554 TILEPROP INT_INTERFACE_R_X23Y137 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y137 INT_TILE_Y 12 TILEPROP INT_INTERFACE_R_X23Y137 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y137 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y137 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y137 NAME INT_INTERFACE_R_X23Y137 TILEPROP INT_INTERFACE_R_X23Y137 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y137 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y137 ROW 13 TILEPROP INT_INTERFACE_R_X23Y137 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y137 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y137 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y137 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y137 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y137 TILE_Y 202296 TILEPROP INT_INTERFACE_R_X23Y137 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y138 CLASS tile TILEPROP INT_INTERFACE_R_X23Y138 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y138 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y138 FIRST_SITE_ID 1192 TILEPROP INT_INTERFACE_R_X23Y138 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y138 GRID_POINT_Y 12 TILEPROP INT_INTERFACE_R_X23Y138 INDEX 1439 TILEPROP INT_INTERFACE_R_X23Y138 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y138 INT_TILE_Y 11 TILEPROP INT_INTERFACE_R_X23Y138 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y138 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y138 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y138 NAME INT_INTERFACE_R_X23Y138 TILEPROP INT_INTERFACE_R_X23Y138 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y138 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y138 ROW 12 TILEPROP INT_INTERFACE_R_X23Y138 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y138 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y138 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y138 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y138 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y138 TILE_Y 205496 TILEPROP INT_INTERFACE_R_X23Y138 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y139 CLASS tile TILEPROP INT_INTERFACE_R_X23Y139 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y139 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y139 FIRST_SITE_ID 1096 TILEPROP INT_INTERFACE_R_X23Y139 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y139 GRID_POINT_Y 11 TILEPROP INT_INTERFACE_R_X23Y139 INDEX 1324 TILEPROP INT_INTERFACE_R_X23Y139 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y139 INT_TILE_Y 10 TILEPROP INT_INTERFACE_R_X23Y139 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y139 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y139 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y139 NAME INT_INTERFACE_R_X23Y139 TILEPROP INT_INTERFACE_R_X23Y139 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y139 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y139 ROW 11 TILEPROP INT_INTERFACE_R_X23Y139 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y139 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y139 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y139 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y139 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y139 TILE_Y 208696 TILEPROP INT_INTERFACE_R_X23Y139 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y140 CLASS tile TILEPROP INT_INTERFACE_R_X23Y140 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y140 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y140 FIRST_SITE_ID 986 TILEPROP INT_INTERFACE_R_X23Y140 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y140 GRID_POINT_Y 10 TILEPROP INT_INTERFACE_R_X23Y140 INDEX 1209 TILEPROP INT_INTERFACE_R_X23Y140 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y140 INT_TILE_Y 9 TILEPROP INT_INTERFACE_R_X23Y140 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y140 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y140 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y140 NAME INT_INTERFACE_R_X23Y140 TILEPROP INT_INTERFACE_R_X23Y140 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y140 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y140 ROW 10 TILEPROP INT_INTERFACE_R_X23Y140 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y140 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y140 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y140 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y140 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y140 TILE_Y 211896 TILEPROP INT_INTERFACE_R_X23Y140 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y141 CLASS tile TILEPROP INT_INTERFACE_R_X23Y141 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y141 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y141 FIRST_SITE_ID 884 TILEPROP INT_INTERFACE_R_X23Y141 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y141 GRID_POINT_Y 9 TILEPROP INT_INTERFACE_R_X23Y141 INDEX 1094 TILEPROP INT_INTERFACE_R_X23Y141 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y141 INT_TILE_Y 8 TILEPROP INT_INTERFACE_R_X23Y141 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y141 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y141 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y141 NAME INT_INTERFACE_R_X23Y141 TILEPROP INT_INTERFACE_R_X23Y141 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y141 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y141 ROW 9 TILEPROP INT_INTERFACE_R_X23Y141 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y141 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y141 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y141 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y141 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y141 TILE_Y 215096 TILEPROP INT_INTERFACE_R_X23Y141 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y142 CLASS tile TILEPROP INT_INTERFACE_R_X23Y142 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y142 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y142 FIRST_SITE_ID 780 TILEPROP INT_INTERFACE_R_X23Y142 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y142 GRID_POINT_Y 8 TILEPROP INT_INTERFACE_R_X23Y142 INDEX 979 TILEPROP INT_INTERFACE_R_X23Y142 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y142 INT_TILE_Y 7 TILEPROP INT_INTERFACE_R_X23Y142 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y142 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y142 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y142 NAME INT_INTERFACE_R_X23Y142 TILEPROP INT_INTERFACE_R_X23Y142 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y142 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y142 ROW 8 TILEPROP INT_INTERFACE_R_X23Y142 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y142 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y142 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y142 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y142 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y142 TILE_Y 218296 TILEPROP INT_INTERFACE_R_X23Y142 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y143 CLASS tile TILEPROP INT_INTERFACE_R_X23Y143 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y143 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y143 FIRST_SITE_ID 683 TILEPROP INT_INTERFACE_R_X23Y143 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y143 GRID_POINT_Y 7 TILEPROP INT_INTERFACE_R_X23Y143 INDEX 864 TILEPROP INT_INTERFACE_R_X23Y143 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y143 INT_TILE_Y 6 TILEPROP INT_INTERFACE_R_X23Y143 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y143 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y143 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y143 NAME INT_INTERFACE_R_X23Y143 TILEPROP INT_INTERFACE_R_X23Y143 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y143 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y143 ROW 7 TILEPROP INT_INTERFACE_R_X23Y143 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y143 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y143 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y143 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y143 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y143 TILE_Y 221496 TILEPROP INT_INTERFACE_R_X23Y143 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y144 CLASS tile TILEPROP INT_INTERFACE_R_X23Y144 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y144 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y144 FIRST_SITE_ID 572 TILEPROP INT_INTERFACE_R_X23Y144 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y144 GRID_POINT_Y 6 TILEPROP INT_INTERFACE_R_X23Y144 INDEX 749 TILEPROP INT_INTERFACE_R_X23Y144 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y144 INT_TILE_Y 5 TILEPROP INT_INTERFACE_R_X23Y144 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y144 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y144 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y144 NAME INT_INTERFACE_R_X23Y144 TILEPROP INT_INTERFACE_R_X23Y144 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y144 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y144 ROW 6 TILEPROP INT_INTERFACE_R_X23Y144 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y144 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y144 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y144 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y144 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y144 TILE_Y 224696 TILEPROP INT_INTERFACE_R_X23Y144 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y145 CLASS tile TILEPROP INT_INTERFACE_R_X23Y145 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y145 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y145 FIRST_SITE_ID 470 TILEPROP INT_INTERFACE_R_X23Y145 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y145 GRID_POINT_Y 5 TILEPROP INT_INTERFACE_R_X23Y145 INDEX 634 TILEPROP INT_INTERFACE_R_X23Y145 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y145 INT_TILE_Y 4 TILEPROP INT_INTERFACE_R_X23Y145 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y145 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y145 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y145 NAME INT_INTERFACE_R_X23Y145 TILEPROP INT_INTERFACE_R_X23Y145 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y145 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y145 ROW 5 TILEPROP INT_INTERFACE_R_X23Y145 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y145 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y145 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y145 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y145 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y145 TILE_Y 227896 TILEPROP INT_INTERFACE_R_X23Y145 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y146 CLASS tile TILEPROP INT_INTERFACE_R_X23Y146 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y146 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y146 FIRST_SITE_ID 360 TILEPROP INT_INTERFACE_R_X23Y146 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y146 GRID_POINT_Y 4 TILEPROP INT_INTERFACE_R_X23Y146 INDEX 519 TILEPROP INT_INTERFACE_R_X23Y146 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y146 INT_TILE_Y 3 TILEPROP INT_INTERFACE_R_X23Y146 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y146 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y146 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y146 NAME INT_INTERFACE_R_X23Y146 TILEPROP INT_INTERFACE_R_X23Y146 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y146 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y146 ROW 4 TILEPROP INT_INTERFACE_R_X23Y146 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y146 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y146 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y146 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y146 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y146 TILE_Y 231096 TILEPROP INT_INTERFACE_R_X23Y146 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y147 CLASS tile TILEPROP INT_INTERFACE_R_X23Y147 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y147 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y147 FIRST_SITE_ID 264 TILEPROP INT_INTERFACE_R_X23Y147 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y147 GRID_POINT_Y 3 TILEPROP INT_INTERFACE_R_X23Y147 INDEX 404 TILEPROP INT_INTERFACE_R_X23Y147 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y147 INT_TILE_Y 2 TILEPROP INT_INTERFACE_R_X23Y147 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y147 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y147 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y147 NAME INT_INTERFACE_R_X23Y147 TILEPROP INT_INTERFACE_R_X23Y147 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y147 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y147 ROW 3 TILEPROP INT_INTERFACE_R_X23Y147 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y147 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y147 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y147 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y147 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y147 TILE_Y 234296 TILEPROP INT_INTERFACE_R_X23Y147 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y148 CLASS tile TILEPROP INT_INTERFACE_R_X23Y148 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y148 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y148 FIRST_SITE_ID 160 TILEPROP INT_INTERFACE_R_X23Y148 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y148 GRID_POINT_Y 2 TILEPROP INT_INTERFACE_R_X23Y148 INDEX 289 TILEPROP INT_INTERFACE_R_X23Y148 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y148 INT_TILE_Y 1 TILEPROP INT_INTERFACE_R_X23Y148 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y148 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y148 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y148 NAME INT_INTERFACE_R_X23Y148 TILEPROP INT_INTERFACE_R_X23Y148 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y148 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y148 ROW 2 TILEPROP INT_INTERFACE_R_X23Y148 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y148 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y148 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y148 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y148 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y148 TILE_Y 237496 TILEPROP INT_INTERFACE_R_X23Y148 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y149 CLASS tile TILEPROP INT_INTERFACE_R_X23Y149 COLUMN 59 TILEPROP INT_INTERFACE_R_X23Y149 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X23Y149 FIRST_SITE_ID 64 TILEPROP INT_INTERFACE_R_X23Y149 GRID_POINT_X 59 TILEPROP INT_INTERFACE_R_X23Y149 GRID_POINT_Y 1 TILEPROP INT_INTERFACE_R_X23Y149 INDEX 174 TILEPROP INT_INTERFACE_R_X23Y149 INT_TILE_X 23 TILEPROP INT_INTERFACE_R_X23Y149 INT_TILE_Y 0 TILEPROP INT_INTERFACE_R_X23Y149 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X23Y149 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X23Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y149 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X23Y149 NAME INT_INTERFACE_R_X23Y149 TILEPROP INT_INTERFACE_R_X23Y149 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X23Y149 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X23Y149 ROW 1 TILEPROP INT_INTERFACE_R_X23Y149 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X23Y149 TILE_PATTERN_IDX 34 TILEPROP INT_INTERFACE_R_X23Y149 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X23Y149 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X23Y149 TILE_X 6944 TILEPROP INT_INTERFACE_R_X23Y149 TILE_Y 240696 TILEPROP INT_INTERFACE_R_X23Y149 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y0 CLASS tile TILEPROP INT_INTERFACE_R_X9Y0 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y0 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y0 FIRST_SITE_ID 15731 TILEPROP INT_INTERFACE_R_X9Y0 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y0 GRID_POINT_Y 155 TILEPROP INT_INTERFACE_R_X9Y0 INDEX 17852 TILEPROP INT_INTERFACE_R_X9Y0 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y0 INT_TILE_Y 149 TILEPROP INT_INTERFACE_R_X9Y0 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y0 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y0 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y0 NAME INT_INTERFACE_R_X9Y0 TILEPROP INT_INTERFACE_R_X9Y0 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y0 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y0 ROW 155 TILEPROP INT_INTERFACE_R_X9Y0 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y0 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y0 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y0 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y0 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y0 TILE_Y -239672 TILEPROP INT_INTERFACE_R_X9Y0 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y1 CLASS tile TILEPROP INT_INTERFACE_R_X9Y1 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y1 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y1 FIRST_SITE_ID 15623 TILEPROP INT_INTERFACE_R_X9Y1 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y1 GRID_POINT_Y 154 TILEPROP INT_INTERFACE_R_X9Y1 INDEX 17737 TILEPROP INT_INTERFACE_R_X9Y1 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y1 INT_TILE_Y 148 TILEPROP INT_INTERFACE_R_X9Y1 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y1 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y1 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y1 NAME INT_INTERFACE_R_X9Y1 TILEPROP INT_INTERFACE_R_X9Y1 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y1 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y1 ROW 154 TILEPROP INT_INTERFACE_R_X9Y1 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y1 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y1 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y1 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y1 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y1 TILE_Y -236472 TILEPROP INT_INTERFACE_R_X9Y1 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y2 CLASS tile TILEPROP INT_INTERFACE_R_X9Y2 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y2 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y2 FIRST_SITE_ID 15523 TILEPROP INT_INTERFACE_R_X9Y2 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y2 GRID_POINT_Y 153 TILEPROP INT_INTERFACE_R_X9Y2 INDEX 17622 TILEPROP INT_INTERFACE_R_X9Y2 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y2 INT_TILE_Y 147 TILEPROP INT_INTERFACE_R_X9Y2 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y2 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y2 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y2 NAME INT_INTERFACE_R_X9Y2 TILEPROP INT_INTERFACE_R_X9Y2 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y2 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y2 ROW 153 TILEPROP INT_INTERFACE_R_X9Y2 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y2 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y2 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y2 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y2 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y2 TILE_Y -233272 TILEPROP INT_INTERFACE_R_X9Y2 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y3 CLASS tile TILEPROP INT_INTERFACE_R_X9Y3 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y3 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y3 FIRST_SITE_ID 15423 TILEPROP INT_INTERFACE_R_X9Y3 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y3 GRID_POINT_Y 152 TILEPROP INT_INTERFACE_R_X9Y3 INDEX 17507 TILEPROP INT_INTERFACE_R_X9Y3 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y3 INT_TILE_Y 146 TILEPROP INT_INTERFACE_R_X9Y3 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y3 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y3 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y3 NAME INT_INTERFACE_R_X9Y3 TILEPROP INT_INTERFACE_R_X9Y3 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y3 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y3 ROW 152 TILEPROP INT_INTERFACE_R_X9Y3 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y3 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y3 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y3 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y3 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y3 TILE_Y -230072 TILEPROP INT_INTERFACE_R_X9Y3 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y4 CLASS tile TILEPROP INT_INTERFACE_R_X9Y4 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y4 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y4 FIRST_SITE_ID 15323 TILEPROP INT_INTERFACE_R_X9Y4 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y4 GRID_POINT_Y 151 TILEPROP INT_INTERFACE_R_X9Y4 INDEX 17392 TILEPROP INT_INTERFACE_R_X9Y4 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y4 INT_TILE_Y 145 TILEPROP INT_INTERFACE_R_X9Y4 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y4 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y4 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y4 NAME INT_INTERFACE_R_X9Y4 TILEPROP INT_INTERFACE_R_X9Y4 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y4 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y4 ROW 151 TILEPROP INT_INTERFACE_R_X9Y4 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y4 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y4 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y4 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y4 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y4 TILE_Y -226872 TILEPROP INT_INTERFACE_R_X9Y4 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y5 CLASS tile TILEPROP INT_INTERFACE_R_X9Y5 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y5 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y5 FIRST_SITE_ID 15211 TILEPROP INT_INTERFACE_R_X9Y5 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y5 GRID_POINT_Y 150 TILEPROP INT_INTERFACE_R_X9Y5 INDEX 17277 TILEPROP INT_INTERFACE_R_X9Y5 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y5 INT_TILE_Y 144 TILEPROP INT_INTERFACE_R_X9Y5 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y5 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y5 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y5 NAME INT_INTERFACE_R_X9Y5 TILEPROP INT_INTERFACE_R_X9Y5 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y5 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y5 ROW 150 TILEPROP INT_INTERFACE_R_X9Y5 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y5 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y5 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y5 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y5 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y5 TILE_Y -223672 TILEPROP INT_INTERFACE_R_X9Y5 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y6 CLASS tile TILEPROP INT_INTERFACE_R_X9Y6 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y6 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y6 FIRST_SITE_ID 15108 TILEPROP INT_INTERFACE_R_X9Y6 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y6 GRID_POINT_Y 149 TILEPROP INT_INTERFACE_R_X9Y6 INDEX 17162 TILEPROP INT_INTERFACE_R_X9Y6 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y6 INT_TILE_Y 143 TILEPROP INT_INTERFACE_R_X9Y6 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y6 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y6 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y6 NAME INT_INTERFACE_R_X9Y6 TILEPROP INT_INTERFACE_R_X9Y6 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y6 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y6 ROW 149 TILEPROP INT_INTERFACE_R_X9Y6 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y6 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y6 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y6 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y6 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y6 TILE_Y -220472 TILEPROP INT_INTERFACE_R_X9Y6 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y7 CLASS tile TILEPROP INT_INTERFACE_R_X9Y7 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y7 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y7 FIRST_SITE_ID 15006 TILEPROP INT_INTERFACE_R_X9Y7 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y7 GRID_POINT_Y 148 TILEPROP INT_INTERFACE_R_X9Y7 INDEX 17047 TILEPROP INT_INTERFACE_R_X9Y7 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y7 INT_TILE_Y 142 TILEPROP INT_INTERFACE_R_X9Y7 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y7 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y7 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y7 NAME INT_INTERFACE_R_X9Y7 TILEPROP INT_INTERFACE_R_X9Y7 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y7 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y7 ROW 148 TILEPROP INT_INTERFACE_R_X9Y7 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y7 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y7 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y7 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y7 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y7 TILE_Y -217272 TILEPROP INT_INTERFACE_R_X9Y7 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y8 CLASS tile TILEPROP INT_INTERFACE_R_X9Y8 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y8 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y8 FIRST_SITE_ID 14903 TILEPROP INT_INTERFACE_R_X9Y8 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y8 GRID_POINT_Y 147 TILEPROP INT_INTERFACE_R_X9Y8 INDEX 16932 TILEPROP INT_INTERFACE_R_X9Y8 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y8 INT_TILE_Y 141 TILEPROP INT_INTERFACE_R_X9Y8 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y8 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y8 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y8 NAME INT_INTERFACE_R_X9Y8 TILEPROP INT_INTERFACE_R_X9Y8 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y8 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y8 ROW 147 TILEPROP INT_INTERFACE_R_X9Y8 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y8 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y8 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y8 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y8 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y8 TILE_Y -214072 TILEPROP INT_INTERFACE_R_X9Y8 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y9 CLASS tile TILEPROP INT_INTERFACE_R_X9Y9 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y9 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y9 FIRST_SITE_ID 14802 TILEPROP INT_INTERFACE_R_X9Y9 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y9 GRID_POINT_Y 146 TILEPROP INT_INTERFACE_R_X9Y9 INDEX 16817 TILEPROP INT_INTERFACE_R_X9Y9 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y9 INT_TILE_Y 140 TILEPROP INT_INTERFACE_R_X9Y9 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y9 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y9 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y9 NAME INT_INTERFACE_R_X9Y9 TILEPROP INT_INTERFACE_R_X9Y9 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y9 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y9 ROW 146 TILEPROP INT_INTERFACE_R_X9Y9 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y9 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y9 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y9 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y9 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y9 TILE_Y -210872 TILEPROP INT_INTERFACE_R_X9Y9 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y10 CLASS tile TILEPROP INT_INTERFACE_R_X9Y10 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y10 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y10 FIRST_SITE_ID 14690 TILEPROP INT_INTERFACE_R_X9Y10 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y10 GRID_POINT_Y 145 TILEPROP INT_INTERFACE_R_X9Y10 INDEX 16702 TILEPROP INT_INTERFACE_R_X9Y10 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y10 INT_TILE_Y 139 TILEPROP INT_INTERFACE_R_X9Y10 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y10 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y10 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y10 NAME INT_INTERFACE_R_X9Y10 TILEPROP INT_INTERFACE_R_X9Y10 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y10 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y10 ROW 145 TILEPROP INT_INTERFACE_R_X9Y10 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y10 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y10 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y10 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y10 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y10 TILE_Y -207672 TILEPROP INT_INTERFACE_R_X9Y10 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y11 CLASS tile TILEPROP INT_INTERFACE_R_X9Y11 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y11 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y11 FIRST_SITE_ID 14587 TILEPROP INT_INTERFACE_R_X9Y11 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y11 GRID_POINT_Y 144 TILEPROP INT_INTERFACE_R_X9Y11 INDEX 16587 TILEPROP INT_INTERFACE_R_X9Y11 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y11 INT_TILE_Y 138 TILEPROP INT_INTERFACE_R_X9Y11 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y11 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y11 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y11 NAME INT_INTERFACE_R_X9Y11 TILEPROP INT_INTERFACE_R_X9Y11 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y11 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y11 ROW 144 TILEPROP INT_INTERFACE_R_X9Y11 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y11 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y11 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y11 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y11 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y11 TILE_Y -204472 TILEPROP INT_INTERFACE_R_X9Y11 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y12 CLASS tile TILEPROP INT_INTERFACE_R_X9Y12 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y12 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y12 FIRST_SITE_ID 14455 TILEPROP INT_INTERFACE_R_X9Y12 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y12 GRID_POINT_Y 143 TILEPROP INT_INTERFACE_R_X9Y12 INDEX 16472 TILEPROP INT_INTERFACE_R_X9Y12 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y12 INT_TILE_Y 137 TILEPROP INT_INTERFACE_R_X9Y12 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y12 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y12 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y12 NAME INT_INTERFACE_R_X9Y12 TILEPROP INT_INTERFACE_R_X9Y12 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y12 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y12 ROW 143 TILEPROP INT_INTERFACE_R_X9Y12 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y12 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y12 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y12 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y12 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y12 TILE_Y -201272 TILEPROP INT_INTERFACE_R_X9Y12 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y13 CLASS tile TILEPROP INT_INTERFACE_R_X9Y13 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y13 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y13 FIRST_SITE_ID 14355 TILEPROP INT_INTERFACE_R_X9Y13 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y13 GRID_POINT_Y 142 TILEPROP INT_INTERFACE_R_X9Y13 INDEX 16357 TILEPROP INT_INTERFACE_R_X9Y13 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y13 INT_TILE_Y 136 TILEPROP INT_INTERFACE_R_X9Y13 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y13 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y13 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y13 NAME INT_INTERFACE_R_X9Y13 TILEPROP INT_INTERFACE_R_X9Y13 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y13 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y13 ROW 142 TILEPROP INT_INTERFACE_R_X9Y13 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y13 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y13 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y13 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y13 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y13 TILE_Y -198072 TILEPROP INT_INTERFACE_R_X9Y13 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y14 CLASS tile TILEPROP INT_INTERFACE_R_X9Y14 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y14 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y14 FIRST_SITE_ID 14255 TILEPROP INT_INTERFACE_R_X9Y14 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y14 GRID_POINT_Y 141 TILEPROP INT_INTERFACE_R_X9Y14 INDEX 16242 TILEPROP INT_INTERFACE_R_X9Y14 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y14 INT_TILE_Y 135 TILEPROP INT_INTERFACE_R_X9Y14 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y14 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y14 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y14 NAME INT_INTERFACE_R_X9Y14 TILEPROP INT_INTERFACE_R_X9Y14 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y14 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y14 ROW 141 TILEPROP INT_INTERFACE_R_X9Y14 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y14 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y14 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y14 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y14 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y14 TILE_Y -194872 TILEPROP INT_INTERFACE_R_X9Y14 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y15 CLASS tile TILEPROP INT_INTERFACE_R_X9Y15 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y15 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y15 FIRST_SITE_ID 14143 TILEPROP INT_INTERFACE_R_X9Y15 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y15 GRID_POINT_Y 140 TILEPROP INT_INTERFACE_R_X9Y15 INDEX 16127 TILEPROP INT_INTERFACE_R_X9Y15 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y15 INT_TILE_Y 134 TILEPROP INT_INTERFACE_R_X9Y15 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y15 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y15 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y15 NAME INT_INTERFACE_R_X9Y15 TILEPROP INT_INTERFACE_R_X9Y15 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y15 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y15 ROW 140 TILEPROP INT_INTERFACE_R_X9Y15 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y15 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y15 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y15 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y15 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y15 TILE_Y -191672 TILEPROP INT_INTERFACE_R_X9Y15 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y16 CLASS tile TILEPROP INT_INTERFACE_R_X9Y16 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y16 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y16 FIRST_SITE_ID 14040 TILEPROP INT_INTERFACE_R_X9Y16 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y16 GRID_POINT_Y 139 TILEPROP INT_INTERFACE_R_X9Y16 INDEX 16012 TILEPROP INT_INTERFACE_R_X9Y16 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y16 INT_TILE_Y 133 TILEPROP INT_INTERFACE_R_X9Y16 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y16 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y16 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y16 NAME INT_INTERFACE_R_X9Y16 TILEPROP INT_INTERFACE_R_X9Y16 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y16 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y16 ROW 139 TILEPROP INT_INTERFACE_R_X9Y16 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y16 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y16 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y16 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y16 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y16 TILE_Y -188472 TILEPROP INT_INTERFACE_R_X9Y16 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y17 CLASS tile TILEPROP INT_INTERFACE_R_X9Y17 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y17 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y17 FIRST_SITE_ID 13935 TILEPROP INT_INTERFACE_R_X9Y17 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y17 GRID_POINT_Y 138 TILEPROP INT_INTERFACE_R_X9Y17 INDEX 15897 TILEPROP INT_INTERFACE_R_X9Y17 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y17 INT_TILE_Y 132 TILEPROP INT_INTERFACE_R_X9Y17 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y17 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y17 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y17 NAME INT_INTERFACE_R_X9Y17 TILEPROP INT_INTERFACE_R_X9Y17 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y17 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y17 ROW 138 TILEPROP INT_INTERFACE_R_X9Y17 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y17 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y17 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y17 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y17 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y17 TILE_Y -185272 TILEPROP INT_INTERFACE_R_X9Y17 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y18 CLASS tile TILEPROP INT_INTERFACE_R_X9Y18 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y18 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y18 FIRST_SITE_ID 13831 TILEPROP INT_INTERFACE_R_X9Y18 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y18 GRID_POINT_Y 137 TILEPROP INT_INTERFACE_R_X9Y18 INDEX 15782 TILEPROP INT_INTERFACE_R_X9Y18 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y18 INT_TILE_Y 131 TILEPROP INT_INTERFACE_R_X9Y18 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y18 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y18 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y18 NAME INT_INTERFACE_R_X9Y18 TILEPROP INT_INTERFACE_R_X9Y18 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y18 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y18 ROW 137 TILEPROP INT_INTERFACE_R_X9Y18 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y18 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y18 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y18 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y18 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y18 TILE_Y -182072 TILEPROP INT_INTERFACE_R_X9Y18 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y19 CLASS tile TILEPROP INT_INTERFACE_R_X9Y19 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y19 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y19 FIRST_SITE_ID 13729 TILEPROP INT_INTERFACE_R_X9Y19 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y19 GRID_POINT_Y 136 TILEPROP INT_INTERFACE_R_X9Y19 INDEX 15667 TILEPROP INT_INTERFACE_R_X9Y19 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y19 INT_TILE_Y 130 TILEPROP INT_INTERFACE_R_X9Y19 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y19 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y19 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y19 NAME INT_INTERFACE_R_X9Y19 TILEPROP INT_INTERFACE_R_X9Y19 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y19 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y19 ROW 136 TILEPROP INT_INTERFACE_R_X9Y19 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y19 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y19 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y19 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y19 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y19 TILE_Y -178872 TILEPROP INT_INTERFACE_R_X9Y19 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y20 CLASS tile TILEPROP INT_INTERFACE_R_X9Y20 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y20 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y20 FIRST_SITE_ID 13615 TILEPROP INT_INTERFACE_R_X9Y20 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y20 GRID_POINT_Y 135 TILEPROP INT_INTERFACE_R_X9Y20 INDEX 15552 TILEPROP INT_INTERFACE_R_X9Y20 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y20 INT_TILE_Y 129 TILEPROP INT_INTERFACE_R_X9Y20 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y20 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y20 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y20 NAME INT_INTERFACE_R_X9Y20 TILEPROP INT_INTERFACE_R_X9Y20 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y20 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y20 ROW 135 TILEPROP INT_INTERFACE_R_X9Y20 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y20 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y20 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y20 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y20 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y20 TILE_Y -175672 TILEPROP INT_INTERFACE_R_X9Y20 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y21 CLASS tile TILEPROP INT_INTERFACE_R_X9Y21 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y21 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y21 FIRST_SITE_ID 13512 TILEPROP INT_INTERFACE_R_X9Y21 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y21 GRID_POINT_Y 134 TILEPROP INT_INTERFACE_R_X9Y21 INDEX 15437 TILEPROP INT_INTERFACE_R_X9Y21 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y21 INT_TILE_Y 128 TILEPROP INT_INTERFACE_R_X9Y21 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y21 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y21 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y21 NAME INT_INTERFACE_R_X9Y21 TILEPROP INT_INTERFACE_R_X9Y21 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y21 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y21 ROW 134 TILEPROP INT_INTERFACE_R_X9Y21 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y21 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y21 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y21 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y21 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y21 TILE_Y -172472 TILEPROP INT_INTERFACE_R_X9Y21 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y22 CLASS tile TILEPROP INT_INTERFACE_R_X9Y22 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y22 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y22 FIRST_SITE_ID 13412 TILEPROP INT_INTERFACE_R_X9Y22 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y22 GRID_POINT_Y 133 TILEPROP INT_INTERFACE_R_X9Y22 INDEX 15322 TILEPROP INT_INTERFACE_R_X9Y22 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y22 INT_TILE_Y 127 TILEPROP INT_INTERFACE_R_X9Y22 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y22 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y22 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y22 NAME INT_INTERFACE_R_X9Y22 TILEPROP INT_INTERFACE_R_X9Y22 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y22 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y22 ROW 133 TILEPROP INT_INTERFACE_R_X9Y22 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y22 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y22 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y22 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y22 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y22 TILE_Y -169272 TILEPROP INT_INTERFACE_R_X9Y22 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y23 CLASS tile TILEPROP INT_INTERFACE_R_X9Y23 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y23 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y23 FIRST_SITE_ID 13312 TILEPROP INT_INTERFACE_R_X9Y23 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y23 GRID_POINT_Y 132 TILEPROP INT_INTERFACE_R_X9Y23 INDEX 15207 TILEPROP INT_INTERFACE_R_X9Y23 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y23 INT_TILE_Y 126 TILEPROP INT_INTERFACE_R_X9Y23 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y23 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y23 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y23 NAME INT_INTERFACE_R_X9Y23 TILEPROP INT_INTERFACE_R_X9Y23 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y23 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y23 ROW 132 TILEPROP INT_INTERFACE_R_X9Y23 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y23 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y23 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y23 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y23 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y23 TILE_Y -166072 TILEPROP INT_INTERFACE_R_X9Y23 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y24 CLASS tile TILEPROP INT_INTERFACE_R_X9Y24 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y24 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y24 FIRST_SITE_ID 13212 TILEPROP INT_INTERFACE_R_X9Y24 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y24 GRID_POINT_Y 131 TILEPROP INT_INTERFACE_R_X9Y24 INDEX 15092 TILEPROP INT_INTERFACE_R_X9Y24 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y24 INT_TILE_Y 125 TILEPROP INT_INTERFACE_R_X9Y24 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y24 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y24 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y24 NAME INT_INTERFACE_R_X9Y24 TILEPROP INT_INTERFACE_R_X9Y24 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y24 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y24 ROW 131 TILEPROP INT_INTERFACE_R_X9Y24 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y24 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y24 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y24 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y24 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y24 TILE_Y -162872 TILEPROP INT_INTERFACE_R_X9Y24 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y25 CLASS tile TILEPROP INT_INTERFACE_R_X9Y25 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y25 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y25 FIRST_SITE_ID 13017 TILEPROP INT_INTERFACE_R_X9Y25 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y25 GRID_POINT_Y 129 TILEPROP INT_INTERFACE_R_X9Y25 INDEX 14862 TILEPROP INT_INTERFACE_R_X9Y25 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y25 INT_TILE_Y 124 TILEPROP INT_INTERFACE_R_X9Y25 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y25 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y25 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y25 NAME INT_INTERFACE_R_X9Y25 TILEPROP INT_INTERFACE_R_X9Y25 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y25 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y25 ROW 129 TILEPROP INT_INTERFACE_R_X9Y25 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y25 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y25 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y25 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y25 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y25 TILE_Y -158648 TILEPROP INT_INTERFACE_R_X9Y25 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y26 CLASS tile TILEPROP INT_INTERFACE_R_X9Y26 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y26 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y26 FIRST_SITE_ID 12914 TILEPROP INT_INTERFACE_R_X9Y26 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y26 GRID_POINT_Y 128 TILEPROP INT_INTERFACE_R_X9Y26 INDEX 14747 TILEPROP INT_INTERFACE_R_X9Y26 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y26 INT_TILE_Y 123 TILEPROP INT_INTERFACE_R_X9Y26 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y26 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y26 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y26 NAME INT_INTERFACE_R_X9Y26 TILEPROP INT_INTERFACE_R_X9Y26 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y26 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y26 ROW 128 TILEPROP INT_INTERFACE_R_X9Y26 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y26 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y26 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y26 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y26 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y26 TILE_Y -155448 TILEPROP INT_INTERFACE_R_X9Y26 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y27 CLASS tile TILEPROP INT_INTERFACE_R_X9Y27 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y27 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y27 FIRST_SITE_ID 12814 TILEPROP INT_INTERFACE_R_X9Y27 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y27 GRID_POINT_Y 127 TILEPROP INT_INTERFACE_R_X9Y27 INDEX 14632 TILEPROP INT_INTERFACE_R_X9Y27 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y27 INT_TILE_Y 122 TILEPROP INT_INTERFACE_R_X9Y27 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y27 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y27 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y27 NAME INT_INTERFACE_R_X9Y27 TILEPROP INT_INTERFACE_R_X9Y27 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y27 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y27 ROW 127 TILEPROP INT_INTERFACE_R_X9Y27 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y27 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y27 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y27 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y27 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y27 TILE_Y -152248 TILEPROP INT_INTERFACE_R_X9Y27 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y28 CLASS tile TILEPROP INT_INTERFACE_R_X9Y28 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y28 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y28 FIRST_SITE_ID 12714 TILEPROP INT_INTERFACE_R_X9Y28 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y28 GRID_POINT_Y 126 TILEPROP INT_INTERFACE_R_X9Y28 INDEX 14517 TILEPROP INT_INTERFACE_R_X9Y28 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y28 INT_TILE_Y 121 TILEPROP INT_INTERFACE_R_X9Y28 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y28 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y28 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y28 NAME INT_INTERFACE_R_X9Y28 TILEPROP INT_INTERFACE_R_X9Y28 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y28 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y28 ROW 126 TILEPROP INT_INTERFACE_R_X9Y28 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y28 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y28 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y28 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y28 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y28 TILE_Y -149048 TILEPROP INT_INTERFACE_R_X9Y28 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y29 CLASS tile TILEPROP INT_INTERFACE_R_X9Y29 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y29 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y29 FIRST_SITE_ID 12608 TILEPROP INT_INTERFACE_R_X9Y29 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y29 GRID_POINT_Y 125 TILEPROP INT_INTERFACE_R_X9Y29 INDEX 14402 TILEPROP INT_INTERFACE_R_X9Y29 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y29 INT_TILE_Y 120 TILEPROP INT_INTERFACE_R_X9Y29 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y29 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y29 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y29 NAME INT_INTERFACE_R_X9Y29 TILEPROP INT_INTERFACE_R_X9Y29 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y29 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y29 ROW 125 TILEPROP INT_INTERFACE_R_X9Y29 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y29 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y29 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y29 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y29 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y29 TILE_Y -145848 TILEPROP INT_INTERFACE_R_X9Y29 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y30 CLASS tile TILEPROP INT_INTERFACE_R_X9Y30 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y30 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y30 FIRST_SITE_ID 12477 TILEPROP INT_INTERFACE_R_X9Y30 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y30 GRID_POINT_Y 124 TILEPROP INT_INTERFACE_R_X9Y30 INDEX 14287 TILEPROP INT_INTERFACE_R_X9Y30 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y30 INT_TILE_Y 119 TILEPROP INT_INTERFACE_R_X9Y30 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y30 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y30 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y30 NAME INT_INTERFACE_R_X9Y30 TILEPROP INT_INTERFACE_R_X9Y30 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y30 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y30 ROW 124 TILEPROP INT_INTERFACE_R_X9Y30 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y30 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y30 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y30 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y30 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y30 TILE_Y -142648 TILEPROP INT_INTERFACE_R_X9Y30 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y31 CLASS tile TILEPROP INT_INTERFACE_R_X9Y31 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y31 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y31 FIRST_SITE_ID 12372 TILEPROP INT_INTERFACE_R_X9Y31 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y31 GRID_POINT_Y 123 TILEPROP INT_INTERFACE_R_X9Y31 INDEX 14172 TILEPROP INT_INTERFACE_R_X9Y31 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y31 INT_TILE_Y 118 TILEPROP INT_INTERFACE_R_X9Y31 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y31 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y31 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y31 NAME INT_INTERFACE_R_X9Y31 TILEPROP INT_INTERFACE_R_X9Y31 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y31 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y31 ROW 123 TILEPROP INT_INTERFACE_R_X9Y31 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y31 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y31 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y31 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y31 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y31 TILE_Y -139448 TILEPROP INT_INTERFACE_R_X9Y31 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y32 CLASS tile TILEPROP INT_INTERFACE_R_X9Y32 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y32 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y32 FIRST_SITE_ID 12269 TILEPROP INT_INTERFACE_R_X9Y32 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y32 GRID_POINT_Y 122 TILEPROP INT_INTERFACE_R_X9Y32 INDEX 14057 TILEPROP INT_INTERFACE_R_X9Y32 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y32 INT_TILE_Y 117 TILEPROP INT_INTERFACE_R_X9Y32 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y32 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y32 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y32 NAME INT_INTERFACE_R_X9Y32 TILEPROP INT_INTERFACE_R_X9Y32 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y32 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y32 ROW 122 TILEPROP INT_INTERFACE_R_X9Y32 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y32 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y32 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y32 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y32 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y32 TILE_Y -136248 TILEPROP INT_INTERFACE_R_X9Y32 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y33 CLASS tile TILEPROP INT_INTERFACE_R_X9Y33 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y33 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y33 FIRST_SITE_ID 12169 TILEPROP INT_INTERFACE_R_X9Y33 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y33 GRID_POINT_Y 121 TILEPROP INT_INTERFACE_R_X9Y33 INDEX 13942 TILEPROP INT_INTERFACE_R_X9Y33 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y33 INT_TILE_Y 116 TILEPROP INT_INTERFACE_R_X9Y33 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y33 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y33 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y33 NAME INT_INTERFACE_R_X9Y33 TILEPROP INT_INTERFACE_R_X9Y33 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y33 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y33 ROW 121 TILEPROP INT_INTERFACE_R_X9Y33 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y33 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y33 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y33 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y33 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y33 TILE_Y -133048 TILEPROP INT_INTERFACE_R_X9Y33 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y34 CLASS tile TILEPROP INT_INTERFACE_R_X9Y34 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y34 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y34 FIRST_SITE_ID 12069 TILEPROP INT_INTERFACE_R_X9Y34 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y34 GRID_POINT_Y 120 TILEPROP INT_INTERFACE_R_X9Y34 INDEX 13827 TILEPROP INT_INTERFACE_R_X9Y34 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y34 INT_TILE_Y 115 TILEPROP INT_INTERFACE_R_X9Y34 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y34 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y34 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y34 NAME INT_INTERFACE_R_X9Y34 TILEPROP INT_INTERFACE_R_X9Y34 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y34 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y34 ROW 120 TILEPROP INT_INTERFACE_R_X9Y34 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y34 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y34 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y34 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y34 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y34 TILE_Y -129848 TILEPROP INT_INTERFACE_R_X9Y34 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y35 CLASS tile TILEPROP INT_INTERFACE_R_X9Y35 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y35 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y35 FIRST_SITE_ID 11957 TILEPROP INT_INTERFACE_R_X9Y35 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y35 GRID_POINT_Y 119 TILEPROP INT_INTERFACE_R_X9Y35 INDEX 13712 TILEPROP INT_INTERFACE_R_X9Y35 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y35 INT_TILE_Y 114 TILEPROP INT_INTERFACE_R_X9Y35 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y35 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y35 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y35 NAME INT_INTERFACE_R_X9Y35 TILEPROP INT_INTERFACE_R_X9Y35 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y35 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y35 ROW 119 TILEPROP INT_INTERFACE_R_X9Y35 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y35 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y35 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y35 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y35 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y35 TILE_Y -126648 TILEPROP INT_INTERFACE_R_X9Y35 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y36 CLASS tile TILEPROP INT_INTERFACE_R_X9Y36 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y36 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y36 FIRST_SITE_ID 11822 TILEPROP INT_INTERFACE_R_X9Y36 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y36 GRID_POINT_Y 118 TILEPROP INT_INTERFACE_R_X9Y36 INDEX 13597 TILEPROP INT_INTERFACE_R_X9Y36 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y36 INT_TILE_Y 113 TILEPROP INT_INTERFACE_R_X9Y36 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y36 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y36 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y36 NAME INT_INTERFACE_R_X9Y36 TILEPROP INT_INTERFACE_R_X9Y36 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y36 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y36 ROW 118 TILEPROP INT_INTERFACE_R_X9Y36 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y36 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y36 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y36 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y36 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y36 TILE_Y -123448 TILEPROP INT_INTERFACE_R_X9Y36 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y37 CLASS tile TILEPROP INT_INTERFACE_R_X9Y37 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y37 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y37 FIRST_SITE_ID 11722 TILEPROP INT_INTERFACE_R_X9Y37 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y37 GRID_POINT_Y 117 TILEPROP INT_INTERFACE_R_X9Y37 INDEX 13482 TILEPROP INT_INTERFACE_R_X9Y37 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y37 INT_TILE_Y 112 TILEPROP INT_INTERFACE_R_X9Y37 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y37 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y37 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y37 NAME INT_INTERFACE_R_X9Y37 TILEPROP INT_INTERFACE_R_X9Y37 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y37 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y37 ROW 117 TILEPROP INT_INTERFACE_R_X9Y37 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y37 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y37 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y37 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y37 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y37 TILE_Y -120248 TILEPROP INT_INTERFACE_R_X9Y37 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y38 CLASS tile TILEPROP INT_INTERFACE_R_X9Y38 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y38 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y38 FIRST_SITE_ID 11622 TILEPROP INT_INTERFACE_R_X9Y38 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y38 GRID_POINT_Y 116 TILEPROP INT_INTERFACE_R_X9Y38 INDEX 13367 TILEPROP INT_INTERFACE_R_X9Y38 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y38 INT_TILE_Y 111 TILEPROP INT_INTERFACE_R_X9Y38 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y38 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y38 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y38 NAME INT_INTERFACE_R_X9Y38 TILEPROP INT_INTERFACE_R_X9Y38 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y38 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y38 ROW 116 TILEPROP INT_INTERFACE_R_X9Y38 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y38 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y38 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y38 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y38 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y38 TILE_Y -117048 TILEPROP INT_INTERFACE_R_X9Y38 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y39 CLASS tile TILEPROP INT_INTERFACE_R_X9Y39 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y39 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y39 FIRST_SITE_ID 11522 TILEPROP INT_INTERFACE_R_X9Y39 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y39 GRID_POINT_Y 115 TILEPROP INT_INTERFACE_R_X9Y39 INDEX 13252 TILEPROP INT_INTERFACE_R_X9Y39 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y39 INT_TILE_Y 110 TILEPROP INT_INTERFACE_R_X9Y39 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y39 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y39 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y39 NAME INT_INTERFACE_R_X9Y39 TILEPROP INT_INTERFACE_R_X9Y39 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y39 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y39 ROW 115 TILEPROP INT_INTERFACE_R_X9Y39 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y39 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y39 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y39 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y39 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y39 TILE_Y -113848 TILEPROP INT_INTERFACE_R_X9Y39 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y40 CLASS tile TILEPROP INT_INTERFACE_R_X9Y40 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y40 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y40 FIRST_SITE_ID 11408 TILEPROP INT_INTERFACE_R_X9Y40 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y40 GRID_POINT_Y 114 TILEPROP INT_INTERFACE_R_X9Y40 INDEX 13137 TILEPROP INT_INTERFACE_R_X9Y40 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y40 INT_TILE_Y 109 TILEPROP INT_INTERFACE_R_X9Y40 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y40 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y40 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y40 NAME INT_INTERFACE_R_X9Y40 TILEPROP INT_INTERFACE_R_X9Y40 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y40 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y40 ROW 114 TILEPROP INT_INTERFACE_R_X9Y40 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y40 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y40 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y40 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y40 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y40 TILE_Y -110648 TILEPROP INT_INTERFACE_R_X9Y40 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y41 CLASS tile TILEPROP INT_INTERFACE_R_X9Y41 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y41 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y41 FIRST_SITE_ID 11304 TILEPROP INT_INTERFACE_R_X9Y41 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y41 GRID_POINT_Y 113 TILEPROP INT_INTERFACE_R_X9Y41 INDEX 13022 TILEPROP INT_INTERFACE_R_X9Y41 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y41 INT_TILE_Y 108 TILEPROP INT_INTERFACE_R_X9Y41 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y41 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y41 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y41 NAME INT_INTERFACE_R_X9Y41 TILEPROP INT_INTERFACE_R_X9Y41 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y41 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y41 ROW 113 TILEPROP INT_INTERFACE_R_X9Y41 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y41 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y41 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y41 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y41 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y41 TILE_Y -107448 TILEPROP INT_INTERFACE_R_X9Y41 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y42 CLASS tile TILEPROP INT_INTERFACE_R_X9Y42 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y42 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y42 FIRST_SITE_ID 11203 TILEPROP INT_INTERFACE_R_X9Y42 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y42 GRID_POINT_Y 112 TILEPROP INT_INTERFACE_R_X9Y42 INDEX 12907 TILEPROP INT_INTERFACE_R_X9Y42 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y42 INT_TILE_Y 107 TILEPROP INT_INTERFACE_R_X9Y42 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y42 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y42 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y42 NAME INT_INTERFACE_R_X9Y42 TILEPROP INT_INTERFACE_R_X9Y42 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y42 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y42 ROW 112 TILEPROP INT_INTERFACE_R_X9Y42 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y42 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y42 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y42 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y42 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y42 TILE_Y -104248 TILEPROP INT_INTERFACE_R_X9Y42 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y43 CLASS tile TILEPROP INT_INTERFACE_R_X9Y43 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y43 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y43 FIRST_SITE_ID 11100 TILEPROP INT_INTERFACE_R_X9Y43 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y43 GRID_POINT_Y 111 TILEPROP INT_INTERFACE_R_X9Y43 INDEX 12792 TILEPROP INT_INTERFACE_R_X9Y43 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y43 INT_TILE_Y 106 TILEPROP INT_INTERFACE_R_X9Y43 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y43 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y43 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y43 NAME INT_INTERFACE_R_X9Y43 TILEPROP INT_INTERFACE_R_X9Y43 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y43 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y43 ROW 111 TILEPROP INT_INTERFACE_R_X9Y43 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y43 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y43 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y43 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y43 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y43 TILE_Y -101048 TILEPROP INT_INTERFACE_R_X9Y43 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y44 CLASS tile TILEPROP INT_INTERFACE_R_X9Y44 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y44 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y44 FIRST_SITE_ID 10998 TILEPROP INT_INTERFACE_R_X9Y44 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y44 GRID_POINT_Y 110 TILEPROP INT_INTERFACE_R_X9Y44 INDEX 12677 TILEPROP INT_INTERFACE_R_X9Y44 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y44 INT_TILE_Y 105 TILEPROP INT_INTERFACE_R_X9Y44 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y44 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y44 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y44 NAME INT_INTERFACE_R_X9Y44 TILEPROP INT_INTERFACE_R_X9Y44 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y44 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y44 ROW 110 TILEPROP INT_INTERFACE_R_X9Y44 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y44 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y44 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y44 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y44 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y44 TILE_Y -97848 TILEPROP INT_INTERFACE_R_X9Y44 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y45 CLASS tile TILEPROP INT_INTERFACE_R_X9Y45 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y45 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y45 FIRST_SITE_ID 10885 TILEPROP INT_INTERFACE_R_X9Y45 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y45 GRID_POINT_Y 109 TILEPROP INT_INTERFACE_R_X9Y45 INDEX 12562 TILEPROP INT_INTERFACE_R_X9Y45 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y45 INT_TILE_Y 104 TILEPROP INT_INTERFACE_R_X9Y45 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y45 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y45 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y45 NAME INT_INTERFACE_R_X9Y45 TILEPROP INT_INTERFACE_R_X9Y45 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y45 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y45 ROW 109 TILEPROP INT_INTERFACE_R_X9Y45 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y45 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y45 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y45 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y45 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y45 TILE_Y -94648 TILEPROP INT_INTERFACE_R_X9Y45 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y46 CLASS tile TILEPROP INT_INTERFACE_R_X9Y46 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y46 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y46 FIRST_SITE_ID 10766 TILEPROP INT_INTERFACE_R_X9Y46 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y46 GRID_POINT_Y 108 TILEPROP INT_INTERFACE_R_X9Y46 INDEX 12447 TILEPROP INT_INTERFACE_R_X9Y46 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y46 INT_TILE_Y 103 TILEPROP INT_INTERFACE_R_X9Y46 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y46 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y46 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y46 NAME INT_INTERFACE_R_X9Y46 TILEPROP INT_INTERFACE_R_X9Y46 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y46 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y46 ROW 108 TILEPROP INT_INTERFACE_R_X9Y46 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y46 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y46 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y46 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y46 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y46 TILE_Y -91448 TILEPROP INT_INTERFACE_R_X9Y46 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y47 CLASS tile TILEPROP INT_INTERFACE_R_X9Y47 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y47 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y47 FIRST_SITE_ID 10666 TILEPROP INT_INTERFACE_R_X9Y47 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y47 GRID_POINT_Y 107 TILEPROP INT_INTERFACE_R_X9Y47 INDEX 12332 TILEPROP INT_INTERFACE_R_X9Y47 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y47 INT_TILE_Y 102 TILEPROP INT_INTERFACE_R_X9Y47 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y47 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y47 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y47 NAME INT_INTERFACE_R_X9Y47 TILEPROP INT_INTERFACE_R_X9Y47 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y47 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y47 ROW 107 TILEPROP INT_INTERFACE_R_X9Y47 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y47 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y47 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y47 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y47 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y47 TILE_Y -88248 TILEPROP INT_INTERFACE_R_X9Y47 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y48 CLASS tile TILEPROP INT_INTERFACE_R_X9Y48 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y48 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y48 FIRST_SITE_ID 10566 TILEPROP INT_INTERFACE_R_X9Y48 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y48 GRID_POINT_Y 106 TILEPROP INT_INTERFACE_R_X9Y48 INDEX 12217 TILEPROP INT_INTERFACE_R_X9Y48 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y48 INT_TILE_Y 101 TILEPROP INT_INTERFACE_R_X9Y48 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y48 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y48 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y48 NAME INT_INTERFACE_R_X9Y48 TILEPROP INT_INTERFACE_R_X9Y48 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y48 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y48 ROW 106 TILEPROP INT_INTERFACE_R_X9Y48 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y48 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y48 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y48 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y48 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y48 TILE_Y -85048 TILEPROP INT_INTERFACE_R_X9Y48 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y49 CLASS tile TILEPROP INT_INTERFACE_R_X9Y49 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y49 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y49 FIRST_SITE_ID 10470 TILEPROP INT_INTERFACE_R_X9Y49 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y49 GRID_POINT_Y 105 TILEPROP INT_INTERFACE_R_X9Y49 INDEX 12102 TILEPROP INT_INTERFACE_R_X9Y49 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y49 INT_TILE_Y 100 TILEPROP INT_INTERFACE_R_X9Y49 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y49 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y49 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y49 NAME INT_INTERFACE_R_X9Y49 TILEPROP INT_INTERFACE_R_X9Y49 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y49 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y49 ROW 105 TILEPROP INT_INTERFACE_R_X9Y49 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y49 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y49 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y49 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y49 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y49 TILE_Y -81848 TILEPROP INT_INTERFACE_R_X9Y49 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y50 CLASS tile TILEPROP INT_INTERFACE_R_X9Y50 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y50 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y50 FIRST_SITE_ID 10342 TILEPROP INT_INTERFACE_R_X9Y50 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y50 GRID_POINT_Y 103 TILEPROP INT_INTERFACE_R_X9Y50 INDEX 11872 TILEPROP INT_INTERFACE_R_X9Y50 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y50 INT_TILE_Y 99 TILEPROP INT_INTERFACE_R_X9Y50 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y50 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y50 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y50 NAME INT_INTERFACE_R_X9Y50 TILEPROP INT_INTERFACE_R_X9Y50 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y50 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y50 ROW 103 TILEPROP INT_INTERFACE_R_X9Y50 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y50 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y50 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y50 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y50 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y50 TILE_Y -78400 TILEPROP INT_INTERFACE_R_X9Y50 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y51 CLASS tile TILEPROP INT_INTERFACE_R_X9Y51 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y51 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y51 FIRST_SITE_ID 10235 TILEPROP INT_INTERFACE_R_X9Y51 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y51 GRID_POINT_Y 102 TILEPROP INT_INTERFACE_R_X9Y51 INDEX 11757 TILEPROP INT_INTERFACE_R_X9Y51 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y51 INT_TILE_Y 98 TILEPROP INT_INTERFACE_R_X9Y51 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y51 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y51 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y51 NAME INT_INTERFACE_R_X9Y51 TILEPROP INT_INTERFACE_R_X9Y51 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y51 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y51 ROW 102 TILEPROP INT_INTERFACE_R_X9Y51 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y51 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y51 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y51 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y51 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y51 TILE_Y -75200 TILEPROP INT_INTERFACE_R_X9Y51 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y52 CLASS tile TILEPROP INT_INTERFACE_R_X9Y52 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y52 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y52 FIRST_SITE_ID 10135 TILEPROP INT_INTERFACE_R_X9Y52 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y52 GRID_POINT_Y 101 TILEPROP INT_INTERFACE_R_X9Y52 INDEX 11642 TILEPROP INT_INTERFACE_R_X9Y52 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y52 INT_TILE_Y 97 TILEPROP INT_INTERFACE_R_X9Y52 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y52 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y52 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y52 NAME INT_INTERFACE_R_X9Y52 TILEPROP INT_INTERFACE_R_X9Y52 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y52 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y52 ROW 101 TILEPROP INT_INTERFACE_R_X9Y52 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y52 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y52 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y52 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y52 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y52 TILE_Y -72000 TILEPROP INT_INTERFACE_R_X9Y52 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y53 CLASS tile TILEPROP INT_INTERFACE_R_X9Y53 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y53 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y53 FIRST_SITE_ID 10035 TILEPROP INT_INTERFACE_R_X9Y53 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y53 GRID_POINT_Y 100 TILEPROP INT_INTERFACE_R_X9Y53 INDEX 11527 TILEPROP INT_INTERFACE_R_X9Y53 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y53 INT_TILE_Y 96 TILEPROP INT_INTERFACE_R_X9Y53 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y53 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y53 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y53 NAME INT_INTERFACE_R_X9Y53 TILEPROP INT_INTERFACE_R_X9Y53 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y53 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y53 ROW 100 TILEPROP INT_INTERFACE_R_X9Y53 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y53 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y53 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y53 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y53 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y53 TILE_Y -68800 TILEPROP INT_INTERFACE_R_X9Y53 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y54 CLASS tile TILEPROP INT_INTERFACE_R_X9Y54 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y54 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y54 FIRST_SITE_ID 9935 TILEPROP INT_INTERFACE_R_X9Y54 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y54 GRID_POINT_Y 99 TILEPROP INT_INTERFACE_R_X9Y54 INDEX 11412 TILEPROP INT_INTERFACE_R_X9Y54 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y54 INT_TILE_Y 95 TILEPROP INT_INTERFACE_R_X9Y54 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y54 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y54 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y54 NAME INT_INTERFACE_R_X9Y54 TILEPROP INT_INTERFACE_R_X9Y54 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y54 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y54 ROW 99 TILEPROP INT_INTERFACE_R_X9Y54 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y54 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y54 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y54 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y54 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y54 TILE_Y -65600 TILEPROP INT_INTERFACE_R_X9Y54 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y55 CLASS tile TILEPROP INT_INTERFACE_R_X9Y55 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y55 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y55 FIRST_SITE_ID 9823 TILEPROP INT_INTERFACE_R_X9Y55 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y55 GRID_POINT_Y 98 TILEPROP INT_INTERFACE_R_X9Y55 INDEX 11297 TILEPROP INT_INTERFACE_R_X9Y55 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y55 INT_TILE_Y 94 TILEPROP INT_INTERFACE_R_X9Y55 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y55 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y55 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y55 NAME INT_INTERFACE_R_X9Y55 TILEPROP INT_INTERFACE_R_X9Y55 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y55 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y55 ROW 98 TILEPROP INT_INTERFACE_R_X9Y55 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y55 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y55 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y55 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y55 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y55 TILE_Y -62400 TILEPROP INT_INTERFACE_R_X9Y55 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y56 CLASS tile TILEPROP INT_INTERFACE_R_X9Y56 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y56 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y56 FIRST_SITE_ID 9720 TILEPROP INT_INTERFACE_R_X9Y56 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y56 GRID_POINT_Y 97 TILEPROP INT_INTERFACE_R_X9Y56 INDEX 11182 TILEPROP INT_INTERFACE_R_X9Y56 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y56 INT_TILE_Y 93 TILEPROP INT_INTERFACE_R_X9Y56 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y56 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y56 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y56 NAME INT_INTERFACE_R_X9Y56 TILEPROP INT_INTERFACE_R_X9Y56 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y56 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y56 ROW 97 TILEPROP INT_INTERFACE_R_X9Y56 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y56 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y56 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y56 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y56 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y56 TILE_Y -59200 TILEPROP INT_INTERFACE_R_X9Y56 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y57 CLASS tile TILEPROP INT_INTERFACE_R_X9Y57 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y57 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y57 FIRST_SITE_ID 9618 TILEPROP INT_INTERFACE_R_X9Y57 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y57 GRID_POINT_Y 96 TILEPROP INT_INTERFACE_R_X9Y57 INDEX 11067 TILEPROP INT_INTERFACE_R_X9Y57 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y57 INT_TILE_Y 92 TILEPROP INT_INTERFACE_R_X9Y57 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y57 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y57 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y57 NAME INT_INTERFACE_R_X9Y57 TILEPROP INT_INTERFACE_R_X9Y57 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y57 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y57 ROW 96 TILEPROP INT_INTERFACE_R_X9Y57 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y57 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y57 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y57 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y57 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y57 TILE_Y -56000 TILEPROP INT_INTERFACE_R_X9Y57 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y58 CLASS tile TILEPROP INT_INTERFACE_R_X9Y58 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y58 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y58 FIRST_SITE_ID 9515 TILEPROP INT_INTERFACE_R_X9Y58 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y58 GRID_POINT_Y 95 TILEPROP INT_INTERFACE_R_X9Y58 INDEX 10952 TILEPROP INT_INTERFACE_R_X9Y58 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y58 INT_TILE_Y 91 TILEPROP INT_INTERFACE_R_X9Y58 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y58 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y58 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y58 NAME INT_INTERFACE_R_X9Y58 TILEPROP INT_INTERFACE_R_X9Y58 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y58 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y58 ROW 95 TILEPROP INT_INTERFACE_R_X9Y58 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y58 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y58 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y58 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y58 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y58 TILE_Y -52800 TILEPROP INT_INTERFACE_R_X9Y58 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y59 CLASS tile TILEPROP INT_INTERFACE_R_X9Y59 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y59 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y59 FIRST_SITE_ID 9414 TILEPROP INT_INTERFACE_R_X9Y59 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y59 GRID_POINT_Y 94 TILEPROP INT_INTERFACE_R_X9Y59 INDEX 10837 TILEPROP INT_INTERFACE_R_X9Y59 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y59 INT_TILE_Y 90 TILEPROP INT_INTERFACE_R_X9Y59 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y59 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y59 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y59 NAME INT_INTERFACE_R_X9Y59 TILEPROP INT_INTERFACE_R_X9Y59 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y59 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y59 ROW 94 TILEPROP INT_INTERFACE_R_X9Y59 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y59 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y59 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y59 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y59 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y59 TILE_Y -49600 TILEPROP INT_INTERFACE_R_X9Y59 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y60 CLASS tile TILEPROP INT_INTERFACE_R_X9Y60 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y60 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y60 FIRST_SITE_ID 9302 TILEPROP INT_INTERFACE_R_X9Y60 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y60 GRID_POINT_Y 93 TILEPROP INT_INTERFACE_R_X9Y60 INDEX 10722 TILEPROP INT_INTERFACE_R_X9Y60 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y60 INT_TILE_Y 89 TILEPROP INT_INTERFACE_R_X9Y60 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y60 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y60 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y60 NAME INT_INTERFACE_R_X9Y60 TILEPROP INT_INTERFACE_R_X9Y60 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y60 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y60 ROW 93 TILEPROP INT_INTERFACE_R_X9Y60 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y60 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y60 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y60 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y60 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y60 TILE_Y -46400 TILEPROP INT_INTERFACE_R_X9Y60 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y61 CLASS tile TILEPROP INT_INTERFACE_R_X9Y61 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y61 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y61 FIRST_SITE_ID 9199 TILEPROP INT_INTERFACE_R_X9Y61 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y61 GRID_POINT_Y 92 TILEPROP INT_INTERFACE_R_X9Y61 INDEX 10607 TILEPROP INT_INTERFACE_R_X9Y61 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y61 INT_TILE_Y 88 TILEPROP INT_INTERFACE_R_X9Y61 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y61 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y61 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y61 NAME INT_INTERFACE_R_X9Y61 TILEPROP INT_INTERFACE_R_X9Y61 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y61 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y61 ROW 92 TILEPROP INT_INTERFACE_R_X9Y61 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y61 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y61 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y61 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y61 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y61 TILE_Y -43200 TILEPROP INT_INTERFACE_R_X9Y61 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y62 CLASS tile TILEPROP INT_INTERFACE_R_X9Y62 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y62 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y62 FIRST_SITE_ID 9067 TILEPROP INT_INTERFACE_R_X9Y62 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y62 GRID_POINT_Y 91 TILEPROP INT_INTERFACE_R_X9Y62 INDEX 10492 TILEPROP INT_INTERFACE_R_X9Y62 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y62 INT_TILE_Y 87 TILEPROP INT_INTERFACE_R_X9Y62 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y62 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y62 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y62 NAME INT_INTERFACE_R_X9Y62 TILEPROP INT_INTERFACE_R_X9Y62 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y62 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y62 ROW 91 TILEPROP INT_INTERFACE_R_X9Y62 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y62 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y62 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y62 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y62 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y62 TILE_Y -40000 TILEPROP INT_INTERFACE_R_X9Y62 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y63 CLASS tile TILEPROP INT_INTERFACE_R_X9Y63 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y63 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y63 FIRST_SITE_ID 8967 TILEPROP INT_INTERFACE_R_X9Y63 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y63 GRID_POINT_Y 90 TILEPROP INT_INTERFACE_R_X9Y63 INDEX 10377 TILEPROP INT_INTERFACE_R_X9Y63 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y63 INT_TILE_Y 86 TILEPROP INT_INTERFACE_R_X9Y63 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y63 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y63 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y63 NAME INT_INTERFACE_R_X9Y63 TILEPROP INT_INTERFACE_R_X9Y63 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y63 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y63 ROW 90 TILEPROP INT_INTERFACE_R_X9Y63 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y63 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y63 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y63 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y63 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y63 TILE_Y -36800 TILEPROP INT_INTERFACE_R_X9Y63 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y64 CLASS tile TILEPROP INT_INTERFACE_R_X9Y64 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y64 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y64 FIRST_SITE_ID 8867 TILEPROP INT_INTERFACE_R_X9Y64 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y64 GRID_POINT_Y 89 TILEPROP INT_INTERFACE_R_X9Y64 INDEX 10262 TILEPROP INT_INTERFACE_R_X9Y64 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y64 INT_TILE_Y 85 TILEPROP INT_INTERFACE_R_X9Y64 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y64 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y64 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y64 NAME INT_INTERFACE_R_X9Y64 TILEPROP INT_INTERFACE_R_X9Y64 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y64 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y64 ROW 89 TILEPROP INT_INTERFACE_R_X9Y64 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y64 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y64 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y64 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y64 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y64 TILE_Y -33600 TILEPROP INT_INTERFACE_R_X9Y64 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y65 CLASS tile TILEPROP INT_INTERFACE_R_X9Y65 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y65 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y65 FIRST_SITE_ID 8755 TILEPROP INT_INTERFACE_R_X9Y65 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y65 GRID_POINT_Y 88 TILEPROP INT_INTERFACE_R_X9Y65 INDEX 10147 TILEPROP INT_INTERFACE_R_X9Y65 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y65 INT_TILE_Y 84 TILEPROP INT_INTERFACE_R_X9Y65 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y65 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y65 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y65 NAME INT_INTERFACE_R_X9Y65 TILEPROP INT_INTERFACE_R_X9Y65 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y65 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y65 ROW 88 TILEPROP INT_INTERFACE_R_X9Y65 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y65 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y65 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y65 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y65 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y65 TILE_Y -30400 TILEPROP INT_INTERFACE_R_X9Y65 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y66 CLASS tile TILEPROP INT_INTERFACE_R_X9Y66 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y66 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y66 FIRST_SITE_ID 8652 TILEPROP INT_INTERFACE_R_X9Y66 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y66 GRID_POINT_Y 87 TILEPROP INT_INTERFACE_R_X9Y66 INDEX 10032 TILEPROP INT_INTERFACE_R_X9Y66 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y66 INT_TILE_Y 83 TILEPROP INT_INTERFACE_R_X9Y66 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y66 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y66 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y66 NAME INT_INTERFACE_R_X9Y66 TILEPROP INT_INTERFACE_R_X9Y66 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y66 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y66 ROW 87 TILEPROP INT_INTERFACE_R_X9Y66 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y66 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y66 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y66 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y66 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y66 TILE_Y -27200 TILEPROP INT_INTERFACE_R_X9Y66 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y67 CLASS tile TILEPROP INT_INTERFACE_R_X9Y67 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y67 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y67 FIRST_SITE_ID 8548 TILEPROP INT_INTERFACE_R_X9Y67 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y67 GRID_POINT_Y 86 TILEPROP INT_INTERFACE_R_X9Y67 INDEX 9917 TILEPROP INT_INTERFACE_R_X9Y67 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y67 INT_TILE_Y 82 TILEPROP INT_INTERFACE_R_X9Y67 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y67 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y67 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y67 NAME INT_INTERFACE_R_X9Y67 TILEPROP INT_INTERFACE_R_X9Y67 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y67 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y67 ROW 86 TILEPROP INT_INTERFACE_R_X9Y67 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y67 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y67 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y67 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y67 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y67 TILE_Y -24000 TILEPROP INT_INTERFACE_R_X9Y67 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y68 CLASS tile TILEPROP INT_INTERFACE_R_X9Y68 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y68 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y68 FIRST_SITE_ID 8444 TILEPROP INT_INTERFACE_R_X9Y68 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y68 GRID_POINT_Y 85 TILEPROP INT_INTERFACE_R_X9Y68 INDEX 9802 TILEPROP INT_INTERFACE_R_X9Y68 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y68 INT_TILE_Y 81 TILEPROP INT_INTERFACE_R_X9Y68 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y68 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y68 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y68 NAME INT_INTERFACE_R_X9Y68 TILEPROP INT_INTERFACE_R_X9Y68 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y68 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y68 ROW 85 TILEPROP INT_INTERFACE_R_X9Y68 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y68 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y68 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y68 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y68 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y68 TILE_Y -20800 TILEPROP INT_INTERFACE_R_X9Y68 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y69 CLASS tile TILEPROP INT_INTERFACE_R_X9Y69 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y69 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y69 FIRST_SITE_ID 8342 TILEPROP INT_INTERFACE_R_X9Y69 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y69 GRID_POINT_Y 84 TILEPROP INT_INTERFACE_R_X9Y69 INDEX 9687 TILEPROP INT_INTERFACE_R_X9Y69 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y69 INT_TILE_Y 80 TILEPROP INT_INTERFACE_R_X9Y69 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y69 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y69 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y69 NAME INT_INTERFACE_R_X9Y69 TILEPROP INT_INTERFACE_R_X9Y69 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y69 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y69 ROW 84 TILEPROP INT_INTERFACE_R_X9Y69 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y69 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y69 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y69 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y69 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y69 TILE_Y -17600 TILEPROP INT_INTERFACE_R_X9Y69 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y70 CLASS tile TILEPROP INT_INTERFACE_R_X9Y70 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y70 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y70 FIRST_SITE_ID 8228 TILEPROP INT_INTERFACE_R_X9Y70 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y70 GRID_POINT_Y 83 TILEPROP INT_INTERFACE_R_X9Y70 INDEX 9572 TILEPROP INT_INTERFACE_R_X9Y70 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y70 INT_TILE_Y 79 TILEPROP INT_INTERFACE_R_X9Y70 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y70 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y70 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y70 NAME INT_INTERFACE_R_X9Y70 TILEPROP INT_INTERFACE_R_X9Y70 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y70 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y70 ROW 83 TILEPROP INT_INTERFACE_R_X9Y70 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y70 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y70 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y70 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y70 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y70 TILE_Y -14400 TILEPROP INT_INTERFACE_R_X9Y70 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y71 CLASS tile TILEPROP INT_INTERFACE_R_X9Y71 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y71 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y71 FIRST_SITE_ID 8125 TILEPROP INT_INTERFACE_R_X9Y71 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y71 GRID_POINT_Y 82 TILEPROP INT_INTERFACE_R_X9Y71 INDEX 9457 TILEPROP INT_INTERFACE_R_X9Y71 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y71 INT_TILE_Y 78 TILEPROP INT_INTERFACE_R_X9Y71 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y71 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y71 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y71 NAME INT_INTERFACE_R_X9Y71 TILEPROP INT_INTERFACE_R_X9Y71 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y71 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y71 ROW 82 TILEPROP INT_INTERFACE_R_X9Y71 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y71 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y71 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y71 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y71 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y71 TILE_Y -11200 TILEPROP INT_INTERFACE_R_X9Y71 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y72 CLASS tile TILEPROP INT_INTERFACE_R_X9Y72 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y72 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y72 FIRST_SITE_ID 8025 TILEPROP INT_INTERFACE_R_X9Y72 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y72 GRID_POINT_Y 81 TILEPROP INT_INTERFACE_R_X9Y72 INDEX 9342 TILEPROP INT_INTERFACE_R_X9Y72 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y72 INT_TILE_Y 77 TILEPROP INT_INTERFACE_R_X9Y72 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y72 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y72 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y72 NAME INT_INTERFACE_R_X9Y72 TILEPROP INT_INTERFACE_R_X9Y72 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y72 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y72 ROW 81 TILEPROP INT_INTERFACE_R_X9Y72 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y72 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y72 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y72 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y72 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y72 TILE_Y -8000 TILEPROP INT_INTERFACE_R_X9Y72 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y73 CLASS tile TILEPROP INT_INTERFACE_R_X9Y73 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y73 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y73 FIRST_SITE_ID 7925 TILEPROP INT_INTERFACE_R_X9Y73 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y73 GRID_POINT_Y 80 TILEPROP INT_INTERFACE_R_X9Y73 INDEX 9227 TILEPROP INT_INTERFACE_R_X9Y73 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y73 INT_TILE_Y 76 TILEPROP INT_INTERFACE_R_X9Y73 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y73 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y73 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y73 NAME INT_INTERFACE_R_X9Y73 TILEPROP INT_INTERFACE_R_X9Y73 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y73 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y73 ROW 80 TILEPROP INT_INTERFACE_R_X9Y73 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y73 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y73 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y73 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y73 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y73 TILE_Y -4800 TILEPROP INT_INTERFACE_R_X9Y73 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y74 CLASS tile TILEPROP INT_INTERFACE_R_X9Y74 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y74 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y74 FIRST_SITE_ID 7825 TILEPROP INT_INTERFACE_R_X9Y74 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y74 GRID_POINT_Y 79 TILEPROP INT_INTERFACE_R_X9Y74 INDEX 9112 TILEPROP INT_INTERFACE_R_X9Y74 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y74 INT_TILE_Y 75 TILEPROP INT_INTERFACE_R_X9Y74 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y74 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y74 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y74 NAME INT_INTERFACE_R_X9Y74 TILEPROP INT_INTERFACE_R_X9Y74 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y74 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y74 ROW 79 TILEPROP INT_INTERFACE_R_X9Y74 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y74 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y74 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y74 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y74 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y74 TILE_Y -1600 TILEPROP INT_INTERFACE_R_X9Y74 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y75 CLASS tile TILEPROP INT_INTERFACE_R_X9Y75 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y75 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y75 FIRST_SITE_ID 7627 TILEPROP INT_INTERFACE_R_X9Y75 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y75 GRID_POINT_Y 77 TILEPROP INT_INTERFACE_R_X9Y75 INDEX 8882 TILEPROP INT_INTERFACE_R_X9Y75 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y75 INT_TILE_Y 74 TILEPROP INT_INTERFACE_R_X9Y75 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y75 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y75 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y75 NAME INT_INTERFACE_R_X9Y75 TILEPROP INT_INTERFACE_R_X9Y75 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y75 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y75 ROW 77 TILEPROP INT_INTERFACE_R_X9Y75 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y75 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y75 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y75 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y75 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y75 TILE_Y 2624 TILEPROP INT_INTERFACE_R_X9Y75 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y76 CLASS tile TILEPROP INT_INTERFACE_R_X9Y76 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y76 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y76 FIRST_SITE_ID 7524 TILEPROP INT_INTERFACE_R_X9Y76 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y76 GRID_POINT_Y 76 TILEPROP INT_INTERFACE_R_X9Y76 INDEX 8767 TILEPROP INT_INTERFACE_R_X9Y76 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y76 INT_TILE_Y 73 TILEPROP INT_INTERFACE_R_X9Y76 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y76 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y76 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y76 NAME INT_INTERFACE_R_X9Y76 TILEPROP INT_INTERFACE_R_X9Y76 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y76 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y76 ROW 76 TILEPROP INT_INTERFACE_R_X9Y76 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y76 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y76 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y76 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y76 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y76 TILE_Y 5824 TILEPROP INT_INTERFACE_R_X9Y76 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y77 CLASS tile TILEPROP INT_INTERFACE_R_X9Y77 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y77 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y77 FIRST_SITE_ID 7424 TILEPROP INT_INTERFACE_R_X9Y77 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y77 GRID_POINT_Y 75 TILEPROP INT_INTERFACE_R_X9Y77 INDEX 8652 TILEPROP INT_INTERFACE_R_X9Y77 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y77 INT_TILE_Y 72 TILEPROP INT_INTERFACE_R_X9Y77 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y77 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y77 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y77 NAME INT_INTERFACE_R_X9Y77 TILEPROP INT_INTERFACE_R_X9Y77 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y77 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y77 ROW 75 TILEPROP INT_INTERFACE_R_X9Y77 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y77 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y77 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y77 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y77 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y77 TILE_Y 9024 TILEPROP INT_INTERFACE_R_X9Y77 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y78 CLASS tile TILEPROP INT_INTERFACE_R_X9Y78 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y78 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y78 FIRST_SITE_ID 7324 TILEPROP INT_INTERFACE_R_X9Y78 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y78 GRID_POINT_Y 74 TILEPROP INT_INTERFACE_R_X9Y78 INDEX 8537 TILEPROP INT_INTERFACE_R_X9Y78 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y78 INT_TILE_Y 71 TILEPROP INT_INTERFACE_R_X9Y78 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y78 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y78 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y78 NAME INT_INTERFACE_R_X9Y78 TILEPROP INT_INTERFACE_R_X9Y78 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y78 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y78 ROW 74 TILEPROP INT_INTERFACE_R_X9Y78 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y78 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y78 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y78 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y78 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y78 TILE_Y 12224 TILEPROP INT_INTERFACE_R_X9Y78 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y79 CLASS tile TILEPROP INT_INTERFACE_R_X9Y79 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y79 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y79 FIRST_SITE_ID 7218 TILEPROP INT_INTERFACE_R_X9Y79 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y79 GRID_POINT_Y 73 TILEPROP INT_INTERFACE_R_X9Y79 INDEX 8422 TILEPROP INT_INTERFACE_R_X9Y79 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y79 INT_TILE_Y 70 TILEPROP INT_INTERFACE_R_X9Y79 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y79 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y79 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y79 NAME INT_INTERFACE_R_X9Y79 TILEPROP INT_INTERFACE_R_X9Y79 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y79 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y79 ROW 73 TILEPROP INT_INTERFACE_R_X9Y79 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y79 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y79 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y79 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y79 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y79 TILE_Y 15424 TILEPROP INT_INTERFACE_R_X9Y79 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y80 CLASS tile TILEPROP INT_INTERFACE_R_X9Y80 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y80 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y80 FIRST_SITE_ID 7100 TILEPROP INT_INTERFACE_R_X9Y80 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y80 GRID_POINT_Y 72 TILEPROP INT_INTERFACE_R_X9Y80 INDEX 8307 TILEPROP INT_INTERFACE_R_X9Y80 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y80 INT_TILE_Y 69 TILEPROP INT_INTERFACE_R_X9Y80 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y80 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y80 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y80 NAME INT_INTERFACE_R_X9Y80 TILEPROP INT_INTERFACE_R_X9Y80 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y80 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y80 ROW 72 TILEPROP INT_INTERFACE_R_X9Y80 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y80 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y80 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y80 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y80 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y80 TILE_Y 18624 TILEPROP INT_INTERFACE_R_X9Y80 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y81 CLASS tile TILEPROP INT_INTERFACE_R_X9Y81 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y81 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y81 FIRST_SITE_ID 6995 TILEPROP INT_INTERFACE_R_X9Y81 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y81 GRID_POINT_Y 71 TILEPROP INT_INTERFACE_R_X9Y81 INDEX 8192 TILEPROP INT_INTERFACE_R_X9Y81 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y81 INT_TILE_Y 68 TILEPROP INT_INTERFACE_R_X9Y81 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y81 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y81 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y81 NAME INT_INTERFACE_R_X9Y81 TILEPROP INT_INTERFACE_R_X9Y81 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y81 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y81 ROW 71 TILEPROP INT_INTERFACE_R_X9Y81 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y81 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y81 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y81 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y81 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y81 TILE_Y 21824 TILEPROP INT_INTERFACE_R_X9Y81 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y82 CLASS tile TILEPROP INT_INTERFACE_R_X9Y82 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y82 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y82 FIRST_SITE_ID 6893 TILEPROP INT_INTERFACE_R_X9Y82 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y82 GRID_POINT_Y 70 TILEPROP INT_INTERFACE_R_X9Y82 INDEX 8077 TILEPROP INT_INTERFACE_R_X9Y82 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y82 INT_TILE_Y 67 TILEPROP INT_INTERFACE_R_X9Y82 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y82 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y82 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y82 NAME INT_INTERFACE_R_X9Y82 TILEPROP INT_INTERFACE_R_X9Y82 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y82 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y82 ROW 70 TILEPROP INT_INTERFACE_R_X9Y82 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y82 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y82 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y82 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y82 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y82 TILE_Y 25024 TILEPROP INT_INTERFACE_R_X9Y82 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y83 CLASS tile TILEPROP INT_INTERFACE_R_X9Y83 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y83 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y83 FIRST_SITE_ID 6793 TILEPROP INT_INTERFACE_R_X9Y83 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y83 GRID_POINT_Y 69 TILEPROP INT_INTERFACE_R_X9Y83 INDEX 7962 TILEPROP INT_INTERFACE_R_X9Y83 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y83 INT_TILE_Y 66 TILEPROP INT_INTERFACE_R_X9Y83 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y83 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y83 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y83 NAME INT_INTERFACE_R_X9Y83 TILEPROP INT_INTERFACE_R_X9Y83 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y83 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y83 ROW 69 TILEPROP INT_INTERFACE_R_X9Y83 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y83 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y83 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y83 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y83 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y83 TILE_Y 28224 TILEPROP INT_INTERFACE_R_X9Y83 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y84 CLASS tile TILEPROP INT_INTERFACE_R_X9Y84 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y84 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y84 FIRST_SITE_ID 6693 TILEPROP INT_INTERFACE_R_X9Y84 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y84 GRID_POINT_Y 68 TILEPROP INT_INTERFACE_R_X9Y84 INDEX 7847 TILEPROP INT_INTERFACE_R_X9Y84 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y84 INT_TILE_Y 65 TILEPROP INT_INTERFACE_R_X9Y84 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y84 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y84 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y84 NAME INT_INTERFACE_R_X9Y84 TILEPROP INT_INTERFACE_R_X9Y84 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y84 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y84 ROW 68 TILEPROP INT_INTERFACE_R_X9Y84 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y84 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y84 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y84 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y84 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y84 TILE_Y 31424 TILEPROP INT_INTERFACE_R_X9Y84 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y85 CLASS tile TILEPROP INT_INTERFACE_R_X9Y85 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y85 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y85 FIRST_SITE_ID 6581 TILEPROP INT_INTERFACE_R_X9Y85 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y85 GRID_POINT_Y 67 TILEPROP INT_INTERFACE_R_X9Y85 INDEX 7732 TILEPROP INT_INTERFACE_R_X9Y85 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y85 INT_TILE_Y 64 TILEPROP INT_INTERFACE_R_X9Y85 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y85 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y85 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y85 NAME INT_INTERFACE_R_X9Y85 TILEPROP INT_INTERFACE_R_X9Y85 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y85 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y85 ROW 67 TILEPROP INT_INTERFACE_R_X9Y85 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y85 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y85 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y85 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y85 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y85 TILE_Y 34624 TILEPROP INT_INTERFACE_R_X9Y85 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y86 CLASS tile TILEPROP INT_INTERFACE_R_X9Y86 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y86 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y86 FIRST_SITE_ID 6446 TILEPROP INT_INTERFACE_R_X9Y86 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y86 GRID_POINT_Y 66 TILEPROP INT_INTERFACE_R_X9Y86 INDEX 7617 TILEPROP INT_INTERFACE_R_X9Y86 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y86 INT_TILE_Y 63 TILEPROP INT_INTERFACE_R_X9Y86 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y86 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y86 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y86 NAME INT_INTERFACE_R_X9Y86 TILEPROP INT_INTERFACE_R_X9Y86 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y86 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y86 ROW 66 TILEPROP INT_INTERFACE_R_X9Y86 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y86 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y86 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y86 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y86 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y86 TILE_Y 37824 TILEPROP INT_INTERFACE_R_X9Y86 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y87 CLASS tile TILEPROP INT_INTERFACE_R_X9Y87 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y87 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y87 FIRST_SITE_ID 6346 TILEPROP INT_INTERFACE_R_X9Y87 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y87 GRID_POINT_Y 65 TILEPROP INT_INTERFACE_R_X9Y87 INDEX 7502 TILEPROP INT_INTERFACE_R_X9Y87 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y87 INT_TILE_Y 62 TILEPROP INT_INTERFACE_R_X9Y87 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y87 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y87 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y87 NAME INT_INTERFACE_R_X9Y87 TILEPROP INT_INTERFACE_R_X9Y87 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y87 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y87 ROW 65 TILEPROP INT_INTERFACE_R_X9Y87 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y87 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y87 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y87 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y87 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y87 TILE_Y 41024 TILEPROP INT_INTERFACE_R_X9Y87 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y88 CLASS tile TILEPROP INT_INTERFACE_R_X9Y88 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y88 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y88 FIRST_SITE_ID 6246 TILEPROP INT_INTERFACE_R_X9Y88 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y88 GRID_POINT_Y 64 TILEPROP INT_INTERFACE_R_X9Y88 INDEX 7387 TILEPROP INT_INTERFACE_R_X9Y88 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y88 INT_TILE_Y 61 TILEPROP INT_INTERFACE_R_X9Y88 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y88 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y88 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y88 NAME INT_INTERFACE_R_X9Y88 TILEPROP INT_INTERFACE_R_X9Y88 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y88 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y88 ROW 64 TILEPROP INT_INTERFACE_R_X9Y88 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y88 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y88 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y88 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y88 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y88 TILE_Y 44224 TILEPROP INT_INTERFACE_R_X9Y88 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y89 CLASS tile TILEPROP INT_INTERFACE_R_X9Y89 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y89 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y89 FIRST_SITE_ID 6146 TILEPROP INT_INTERFACE_R_X9Y89 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y89 GRID_POINT_Y 63 TILEPROP INT_INTERFACE_R_X9Y89 INDEX 7272 TILEPROP INT_INTERFACE_R_X9Y89 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y89 INT_TILE_Y 60 TILEPROP INT_INTERFACE_R_X9Y89 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y89 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y89 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y89 NAME INT_INTERFACE_R_X9Y89 TILEPROP INT_INTERFACE_R_X9Y89 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y89 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y89 ROW 63 TILEPROP INT_INTERFACE_R_X9Y89 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y89 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y89 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y89 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y89 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y89 TILE_Y 47424 TILEPROP INT_INTERFACE_R_X9Y89 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y90 CLASS tile TILEPROP INT_INTERFACE_R_X9Y90 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y90 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y90 FIRST_SITE_ID 6034 TILEPROP INT_INTERFACE_R_X9Y90 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y90 GRID_POINT_Y 62 TILEPROP INT_INTERFACE_R_X9Y90 INDEX 7157 TILEPROP INT_INTERFACE_R_X9Y90 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y90 INT_TILE_Y 59 TILEPROP INT_INTERFACE_R_X9Y90 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y90 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y90 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y90 NAME INT_INTERFACE_R_X9Y90 TILEPROP INT_INTERFACE_R_X9Y90 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y90 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y90 ROW 62 TILEPROP INT_INTERFACE_R_X9Y90 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y90 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y90 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y90 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y90 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y90 TILE_Y 50624 TILEPROP INT_INTERFACE_R_X9Y90 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y91 CLASS tile TILEPROP INT_INTERFACE_R_X9Y91 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y91 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y91 FIRST_SITE_ID 5931 TILEPROP INT_INTERFACE_R_X9Y91 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y91 GRID_POINT_Y 61 TILEPROP INT_INTERFACE_R_X9Y91 INDEX 7042 TILEPROP INT_INTERFACE_R_X9Y91 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y91 INT_TILE_Y 58 TILEPROP INT_INTERFACE_R_X9Y91 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y91 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y91 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y91 NAME INT_INTERFACE_R_X9Y91 TILEPROP INT_INTERFACE_R_X9Y91 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y91 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y91 ROW 61 TILEPROP INT_INTERFACE_R_X9Y91 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y91 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y91 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y91 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y91 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y91 TILE_Y 53824 TILEPROP INT_INTERFACE_R_X9Y91 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y92 CLASS tile TILEPROP INT_INTERFACE_R_X9Y92 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y92 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y92 FIRST_SITE_ID 5830 TILEPROP INT_INTERFACE_R_X9Y92 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y92 GRID_POINT_Y 60 TILEPROP INT_INTERFACE_R_X9Y92 INDEX 6927 TILEPROP INT_INTERFACE_R_X9Y92 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y92 INT_TILE_Y 57 TILEPROP INT_INTERFACE_R_X9Y92 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y92 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y92 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y92 NAME INT_INTERFACE_R_X9Y92 TILEPROP INT_INTERFACE_R_X9Y92 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y92 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y92 ROW 60 TILEPROP INT_INTERFACE_R_X9Y92 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y92 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y92 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y92 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y92 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y92 TILE_Y 57024 TILEPROP INT_INTERFACE_R_X9Y92 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y93 CLASS tile TILEPROP INT_INTERFACE_R_X9Y93 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y93 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y93 FIRST_SITE_ID 5727 TILEPROP INT_INTERFACE_R_X9Y93 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y93 GRID_POINT_Y 59 TILEPROP INT_INTERFACE_R_X9Y93 INDEX 6812 TILEPROP INT_INTERFACE_R_X9Y93 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y93 INT_TILE_Y 56 TILEPROP INT_INTERFACE_R_X9Y93 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y93 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y93 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y93 NAME INT_INTERFACE_R_X9Y93 TILEPROP INT_INTERFACE_R_X9Y93 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y93 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y93 ROW 59 TILEPROP INT_INTERFACE_R_X9Y93 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y93 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y93 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y93 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y93 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y93 TILE_Y 60224 TILEPROP INT_INTERFACE_R_X9Y93 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y94 CLASS tile TILEPROP INT_INTERFACE_R_X9Y94 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y94 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y94 FIRST_SITE_ID 5625 TILEPROP INT_INTERFACE_R_X9Y94 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y94 GRID_POINT_Y 58 TILEPROP INT_INTERFACE_R_X9Y94 INDEX 6697 TILEPROP INT_INTERFACE_R_X9Y94 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y94 INT_TILE_Y 55 TILEPROP INT_INTERFACE_R_X9Y94 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y94 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y94 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y94 NAME INT_INTERFACE_R_X9Y94 TILEPROP INT_INTERFACE_R_X9Y94 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y94 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y94 ROW 58 TILEPROP INT_INTERFACE_R_X9Y94 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y94 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y94 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y94 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y94 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y94 TILE_Y 63424 TILEPROP INT_INTERFACE_R_X9Y94 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y95 CLASS tile TILEPROP INT_INTERFACE_R_X9Y95 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y95 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y95 FIRST_SITE_ID 5513 TILEPROP INT_INTERFACE_R_X9Y95 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y95 GRID_POINT_Y 57 TILEPROP INT_INTERFACE_R_X9Y95 INDEX 6582 TILEPROP INT_INTERFACE_R_X9Y95 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y95 INT_TILE_Y 54 TILEPROP INT_INTERFACE_R_X9Y95 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y95 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y95 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y95 NAME INT_INTERFACE_R_X9Y95 TILEPROP INT_INTERFACE_R_X9Y95 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y95 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y95 ROW 57 TILEPROP INT_INTERFACE_R_X9Y95 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y95 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y95 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y95 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y95 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y95 TILE_Y 66624 TILEPROP INT_INTERFACE_R_X9Y95 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y96 CLASS tile TILEPROP INT_INTERFACE_R_X9Y96 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y96 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y96 FIRST_SITE_ID 5410 TILEPROP INT_INTERFACE_R_X9Y96 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y96 GRID_POINT_Y 56 TILEPROP INT_INTERFACE_R_X9Y96 INDEX 6467 TILEPROP INT_INTERFACE_R_X9Y96 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y96 INT_TILE_Y 53 TILEPROP INT_INTERFACE_R_X9Y96 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y96 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y96 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y96 NAME INT_INTERFACE_R_X9Y96 TILEPROP INT_INTERFACE_R_X9Y96 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y96 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y96 ROW 56 TILEPROP INT_INTERFACE_R_X9Y96 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y96 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y96 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y96 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y96 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y96 TILE_Y 69824 TILEPROP INT_INTERFACE_R_X9Y96 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y97 CLASS tile TILEPROP INT_INTERFACE_R_X9Y97 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y97 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y97 FIRST_SITE_ID 5310 TILEPROP INT_INTERFACE_R_X9Y97 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y97 GRID_POINT_Y 55 TILEPROP INT_INTERFACE_R_X9Y97 INDEX 6352 TILEPROP INT_INTERFACE_R_X9Y97 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y97 INT_TILE_Y 52 TILEPROP INT_INTERFACE_R_X9Y97 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y97 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y97 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y97 NAME INT_INTERFACE_R_X9Y97 TILEPROP INT_INTERFACE_R_X9Y97 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y97 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y97 ROW 55 TILEPROP INT_INTERFACE_R_X9Y97 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y97 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y97 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y97 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y97 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y97 TILE_Y 73024 TILEPROP INT_INTERFACE_R_X9Y97 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y98 CLASS tile TILEPROP INT_INTERFACE_R_X9Y98 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y98 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y98 FIRST_SITE_ID 5210 TILEPROP INT_INTERFACE_R_X9Y98 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y98 GRID_POINT_Y 54 TILEPROP INT_INTERFACE_R_X9Y98 INDEX 6237 TILEPROP INT_INTERFACE_R_X9Y98 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y98 INT_TILE_Y 51 TILEPROP INT_INTERFACE_R_X9Y98 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y98 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y98 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y98 NAME INT_INTERFACE_R_X9Y98 TILEPROP INT_INTERFACE_R_X9Y98 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y98 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y98 ROW 54 TILEPROP INT_INTERFACE_R_X9Y98 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y98 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y98 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y98 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y98 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y98 TILE_Y 76224 TILEPROP INT_INTERFACE_R_X9Y98 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y99 CLASS tile TILEPROP INT_INTERFACE_R_X9Y99 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y99 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y99 FIRST_SITE_ID 5114 TILEPROP INT_INTERFACE_R_X9Y99 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y99 GRID_POINT_Y 53 TILEPROP INT_INTERFACE_R_X9Y99 INDEX 6122 TILEPROP INT_INTERFACE_R_X9Y99 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y99 INT_TILE_Y 50 TILEPROP INT_INTERFACE_R_X9Y99 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y99 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y99 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y99 NAME INT_INTERFACE_R_X9Y99 TILEPROP INT_INTERFACE_R_X9Y99 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y99 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y99 ROW 53 TILEPROP INT_INTERFACE_R_X9Y99 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y99 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y99 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y99 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y99 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y99 TILE_Y 79424 TILEPROP INT_INTERFACE_R_X9Y99 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y100 CLASS tile TILEPROP INT_INTERFACE_R_X9Y100 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y100 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y100 FIRST_SITE_ID 5016 TILEPROP INT_INTERFACE_R_X9Y100 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y100 GRID_POINT_Y 51 TILEPROP INT_INTERFACE_R_X9Y100 INDEX 5892 TILEPROP INT_INTERFACE_R_X9Y100 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y100 INT_TILE_Y 49 TILEPROP INT_INTERFACE_R_X9Y100 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y100 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y100 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y100 NAME INT_INTERFACE_R_X9Y100 TILEPROP INT_INTERFACE_R_X9Y100 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y100 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y100 ROW 51 TILEPROP INT_INTERFACE_R_X9Y100 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y100 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y100 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y100 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y100 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y100 TILE_Y 82872 TILEPROP INT_INTERFACE_R_X9Y100 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y101 CLASS tile TILEPROP INT_INTERFACE_R_X9Y101 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y101 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y101 FIRST_SITE_ID 4921 TILEPROP INT_INTERFACE_R_X9Y101 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y101 GRID_POINT_Y 50 TILEPROP INT_INTERFACE_R_X9Y101 INDEX 5777 TILEPROP INT_INTERFACE_R_X9Y101 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y101 INT_TILE_Y 48 TILEPROP INT_INTERFACE_R_X9Y101 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y101 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y101 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y101 NAME INT_INTERFACE_R_X9Y101 TILEPROP INT_INTERFACE_R_X9Y101 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y101 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y101 ROW 50 TILEPROP INT_INTERFACE_R_X9Y101 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y101 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y101 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y101 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y101 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y101 TILE_Y 86072 TILEPROP INT_INTERFACE_R_X9Y101 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y102 CLASS tile TILEPROP INT_INTERFACE_R_X9Y102 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y102 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y102 FIRST_SITE_ID 4825 TILEPROP INT_INTERFACE_R_X9Y102 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y102 GRID_POINT_Y 49 TILEPROP INT_INTERFACE_R_X9Y102 INDEX 5662 TILEPROP INT_INTERFACE_R_X9Y102 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y102 INT_TILE_Y 47 TILEPROP INT_INTERFACE_R_X9Y102 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y102 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y102 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y102 NAME INT_INTERFACE_R_X9Y102 TILEPROP INT_INTERFACE_R_X9Y102 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y102 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y102 ROW 49 TILEPROP INT_INTERFACE_R_X9Y102 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y102 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y102 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y102 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y102 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y102 TILE_Y 89272 TILEPROP INT_INTERFACE_R_X9Y102 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y103 CLASS tile TILEPROP INT_INTERFACE_R_X9Y103 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y103 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y103 FIRST_SITE_ID 4737 TILEPROP INT_INTERFACE_R_X9Y103 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y103 GRID_POINT_Y 48 TILEPROP INT_INTERFACE_R_X9Y103 INDEX 5547 TILEPROP INT_INTERFACE_R_X9Y103 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y103 INT_TILE_Y 46 TILEPROP INT_INTERFACE_R_X9Y103 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y103 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y103 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y103 NAME INT_INTERFACE_R_X9Y103 TILEPROP INT_INTERFACE_R_X9Y103 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y103 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y103 ROW 48 TILEPROP INT_INTERFACE_R_X9Y103 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y103 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y103 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y103 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y103 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y103 TILE_Y 92472 TILEPROP INT_INTERFACE_R_X9Y103 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y104 CLASS tile TILEPROP INT_INTERFACE_R_X9Y104 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y104 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y104 FIRST_SITE_ID 4641 TILEPROP INT_INTERFACE_R_X9Y104 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y104 GRID_POINT_Y 47 TILEPROP INT_INTERFACE_R_X9Y104 INDEX 5432 TILEPROP INT_INTERFACE_R_X9Y104 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y104 INT_TILE_Y 45 TILEPROP INT_INTERFACE_R_X9Y104 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y104 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y104 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y104 NAME INT_INTERFACE_R_X9Y104 TILEPROP INT_INTERFACE_R_X9Y104 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y104 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y104 ROW 47 TILEPROP INT_INTERFACE_R_X9Y104 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y104 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y104 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y104 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y104 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y104 TILE_Y 95672 TILEPROP INT_INTERFACE_R_X9Y104 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y105 CLASS tile TILEPROP INT_INTERFACE_R_X9Y105 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y105 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y105 FIRST_SITE_ID 4542 TILEPROP INT_INTERFACE_R_X9Y105 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y105 GRID_POINT_Y 46 TILEPROP INT_INTERFACE_R_X9Y105 INDEX 5317 TILEPROP INT_INTERFACE_R_X9Y105 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y105 INT_TILE_Y 44 TILEPROP INT_INTERFACE_R_X9Y105 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y105 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y105 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y105 NAME INT_INTERFACE_R_X9Y105 TILEPROP INT_INTERFACE_R_X9Y105 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y105 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y105 ROW 46 TILEPROP INT_INTERFACE_R_X9Y105 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y105 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y105 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y105 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y105 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y105 TILE_Y 98872 TILEPROP INT_INTERFACE_R_X9Y105 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y106 CLASS tile TILEPROP INT_INTERFACE_R_X9Y106 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y106 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y106 FIRST_SITE_ID 4443 TILEPROP INT_INTERFACE_R_X9Y106 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y106 GRID_POINT_Y 45 TILEPROP INT_INTERFACE_R_X9Y106 INDEX 5202 TILEPROP INT_INTERFACE_R_X9Y106 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y106 INT_TILE_Y 43 TILEPROP INT_INTERFACE_R_X9Y106 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y106 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y106 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y106 NAME INT_INTERFACE_R_X9Y106 TILEPROP INT_INTERFACE_R_X9Y106 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y106 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y106 ROW 45 TILEPROP INT_INTERFACE_R_X9Y106 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y106 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y106 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y106 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y106 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y106 TILE_Y 102072 TILEPROP INT_INTERFACE_R_X9Y106 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y107 CLASS tile TILEPROP INT_INTERFACE_R_X9Y107 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y107 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y107 FIRST_SITE_ID 4355 TILEPROP INT_INTERFACE_R_X9Y107 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y107 GRID_POINT_Y 44 TILEPROP INT_INTERFACE_R_X9Y107 INDEX 5087 TILEPROP INT_INTERFACE_R_X9Y107 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y107 INT_TILE_Y 42 TILEPROP INT_INTERFACE_R_X9Y107 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y107 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y107 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y107 NAME INT_INTERFACE_R_X9Y107 TILEPROP INT_INTERFACE_R_X9Y107 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y107 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y107 ROW 44 TILEPROP INT_INTERFACE_R_X9Y107 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y107 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y107 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y107 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y107 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y107 TILE_Y 105272 TILEPROP INT_INTERFACE_R_X9Y107 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y108 CLASS tile TILEPROP INT_INTERFACE_R_X9Y108 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y108 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y108 FIRST_SITE_ID 4257 TILEPROP INT_INTERFACE_R_X9Y108 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y108 GRID_POINT_Y 43 TILEPROP INT_INTERFACE_R_X9Y108 INDEX 4972 TILEPROP INT_INTERFACE_R_X9Y108 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y108 INT_TILE_Y 41 TILEPROP INT_INTERFACE_R_X9Y108 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y108 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y108 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y108 NAME INT_INTERFACE_R_X9Y108 TILEPROP INT_INTERFACE_R_X9Y108 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y108 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y108 ROW 43 TILEPROP INT_INTERFACE_R_X9Y108 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y108 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y108 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y108 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y108 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y108 TILE_Y 108472 TILEPROP INT_INTERFACE_R_X9Y108 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y109 CLASS tile TILEPROP INT_INTERFACE_R_X9Y109 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y109 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y109 FIRST_SITE_ID 4168 TILEPROP INT_INTERFACE_R_X9Y109 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y109 GRID_POINT_Y 42 TILEPROP INT_INTERFACE_R_X9Y109 INDEX 4857 TILEPROP INT_INTERFACE_R_X9Y109 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y109 INT_TILE_Y 40 TILEPROP INT_INTERFACE_R_X9Y109 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y109 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y109 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y109 NAME INT_INTERFACE_R_X9Y109 TILEPROP INT_INTERFACE_R_X9Y109 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y109 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y109 ROW 42 TILEPROP INT_INTERFACE_R_X9Y109 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y109 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y109 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y109 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y109 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y109 TILE_Y 111672 TILEPROP INT_INTERFACE_R_X9Y109 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y110 CLASS tile TILEPROP INT_INTERFACE_R_X9Y110 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y110 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y110 FIRST_SITE_ID 4065 TILEPROP INT_INTERFACE_R_X9Y110 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y110 GRID_POINT_Y 41 TILEPROP INT_INTERFACE_R_X9Y110 INDEX 4742 TILEPROP INT_INTERFACE_R_X9Y110 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y110 INT_TILE_Y 39 TILEPROP INT_INTERFACE_R_X9Y110 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y110 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y110 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y110 NAME INT_INTERFACE_R_X9Y110 TILEPROP INT_INTERFACE_R_X9Y110 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y110 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y110 ROW 41 TILEPROP INT_INTERFACE_R_X9Y110 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y110 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y110 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y110 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y110 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y110 TILE_Y 114872 TILEPROP INT_INTERFACE_R_X9Y110 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y111 CLASS tile TILEPROP INT_INTERFACE_R_X9Y111 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y111 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y111 FIRST_SITE_ID 3974 TILEPROP INT_INTERFACE_R_X9Y111 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y111 GRID_POINT_Y 40 TILEPROP INT_INTERFACE_R_X9Y111 INDEX 4627 TILEPROP INT_INTERFACE_R_X9Y111 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y111 INT_TILE_Y 38 TILEPROP INT_INTERFACE_R_X9Y111 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y111 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y111 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y111 NAME INT_INTERFACE_R_X9Y111 TILEPROP INT_INTERFACE_R_X9Y111 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y111 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y111 ROW 40 TILEPROP INT_INTERFACE_R_X9Y111 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y111 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y111 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y111 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y111 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y111 TILE_Y 118072 TILEPROP INT_INTERFACE_R_X9Y111 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y112 CLASS tile TILEPROP INT_INTERFACE_R_X9Y112 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y112 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y112 FIRST_SITE_ID 3846 TILEPROP INT_INTERFACE_R_X9Y112 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y112 GRID_POINT_Y 39 TILEPROP INT_INTERFACE_R_X9Y112 INDEX 4512 TILEPROP INT_INTERFACE_R_X9Y112 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y112 INT_TILE_Y 37 TILEPROP INT_INTERFACE_R_X9Y112 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y112 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y112 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y112 NAME INT_INTERFACE_R_X9Y112 TILEPROP INT_INTERFACE_R_X9Y112 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y112 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y112 ROW 39 TILEPROP INT_INTERFACE_R_X9Y112 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y112 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y112 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y112 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y112 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y112 TILE_Y 121272 TILEPROP INT_INTERFACE_R_X9Y112 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y113 CLASS tile TILEPROP INT_INTERFACE_R_X9Y113 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y113 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y113 FIRST_SITE_ID 3758 TILEPROP INT_INTERFACE_R_X9Y113 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y113 GRID_POINT_Y 38 TILEPROP INT_INTERFACE_R_X9Y113 INDEX 4397 TILEPROP INT_INTERFACE_R_X9Y113 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y113 INT_TILE_Y 36 TILEPROP INT_INTERFACE_R_X9Y113 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y113 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y113 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y113 NAME INT_INTERFACE_R_X9Y113 TILEPROP INT_INTERFACE_R_X9Y113 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y113 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y113 ROW 38 TILEPROP INT_INTERFACE_R_X9Y113 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y113 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y113 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y113 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y113 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y113 TILE_Y 124472 TILEPROP INT_INTERFACE_R_X9Y113 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y114 CLASS tile TILEPROP INT_INTERFACE_R_X9Y114 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y114 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y114 FIRST_SITE_ID 3662 TILEPROP INT_INTERFACE_R_X9Y114 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y114 GRID_POINT_Y 37 TILEPROP INT_INTERFACE_R_X9Y114 INDEX 4282 TILEPROP INT_INTERFACE_R_X9Y114 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y114 INT_TILE_Y 35 TILEPROP INT_INTERFACE_R_X9Y114 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y114 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y114 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y114 NAME INT_INTERFACE_R_X9Y114 TILEPROP INT_INTERFACE_R_X9Y114 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y114 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y114 ROW 37 TILEPROP INT_INTERFACE_R_X9Y114 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y114 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y114 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y114 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y114 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y114 TILE_Y 127672 TILEPROP INT_INTERFACE_R_X9Y114 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y115 CLASS tile TILEPROP INT_INTERFACE_R_X9Y115 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y115 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y115 FIRST_SITE_ID 3568 TILEPROP INT_INTERFACE_R_X9Y115 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y115 GRID_POINT_Y 36 TILEPROP INT_INTERFACE_R_X9Y115 INDEX 4167 TILEPROP INT_INTERFACE_R_X9Y115 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y115 INT_TILE_Y 34 TILEPROP INT_INTERFACE_R_X9Y115 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y115 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y115 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y115 NAME INT_INTERFACE_R_X9Y115 TILEPROP INT_INTERFACE_R_X9Y115 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y115 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y115 ROW 36 TILEPROP INT_INTERFACE_R_X9Y115 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y115 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y115 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y115 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y115 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y115 TILE_Y 130872 TILEPROP INT_INTERFACE_R_X9Y115 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y116 CLASS tile TILEPROP INT_INTERFACE_R_X9Y116 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y116 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y116 FIRST_SITE_ID 3464 TILEPROP INT_INTERFACE_R_X9Y116 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y116 GRID_POINT_Y 35 TILEPROP INT_INTERFACE_R_X9Y116 INDEX 4052 TILEPROP INT_INTERFACE_R_X9Y116 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y116 INT_TILE_Y 33 TILEPROP INT_INTERFACE_R_X9Y116 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y116 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y116 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y116 NAME INT_INTERFACE_R_X9Y116 TILEPROP INT_INTERFACE_R_X9Y116 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y116 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y116 ROW 35 TILEPROP INT_INTERFACE_R_X9Y116 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y116 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y116 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y116 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y116 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y116 TILE_Y 134072 TILEPROP INT_INTERFACE_R_X9Y116 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y117 CLASS tile TILEPROP INT_INTERFACE_R_X9Y117 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y117 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y117 FIRST_SITE_ID 3376 TILEPROP INT_INTERFACE_R_X9Y117 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y117 GRID_POINT_Y 34 TILEPROP INT_INTERFACE_R_X9Y117 INDEX 3937 TILEPROP INT_INTERFACE_R_X9Y117 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y117 INT_TILE_Y 32 TILEPROP INT_INTERFACE_R_X9Y117 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y117 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y117 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y117 NAME INT_INTERFACE_R_X9Y117 TILEPROP INT_INTERFACE_R_X9Y117 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y117 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y117 ROW 34 TILEPROP INT_INTERFACE_R_X9Y117 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y117 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y117 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y117 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y117 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y117 TILE_Y 137272 TILEPROP INT_INTERFACE_R_X9Y117 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y118 CLASS tile TILEPROP INT_INTERFACE_R_X9Y118 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y118 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y118 FIRST_SITE_ID 3276 TILEPROP INT_INTERFACE_R_X9Y118 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y118 GRID_POINT_Y 33 TILEPROP INT_INTERFACE_R_X9Y118 INDEX 3822 TILEPROP INT_INTERFACE_R_X9Y118 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y118 INT_TILE_Y 31 TILEPROP INT_INTERFACE_R_X9Y118 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y118 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y118 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y118 NAME INT_INTERFACE_R_X9Y118 TILEPROP INT_INTERFACE_R_X9Y118 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y118 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y118 ROW 33 TILEPROP INT_INTERFACE_R_X9Y118 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y118 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y118 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y118 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y118 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y118 TILE_Y 140472 TILEPROP INT_INTERFACE_R_X9Y118 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y119 CLASS tile TILEPROP INT_INTERFACE_R_X9Y119 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y119 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y119 FIRST_SITE_ID 3188 TILEPROP INT_INTERFACE_R_X9Y119 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y119 GRID_POINT_Y 32 TILEPROP INT_INTERFACE_R_X9Y119 INDEX 3707 TILEPROP INT_INTERFACE_R_X9Y119 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y119 INT_TILE_Y 30 TILEPROP INT_INTERFACE_R_X9Y119 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y119 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y119 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y119 NAME INT_INTERFACE_R_X9Y119 TILEPROP INT_INTERFACE_R_X9Y119 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y119 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y119 ROW 32 TILEPROP INT_INTERFACE_R_X9Y119 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y119 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y119 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y119 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y119 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y119 TILE_Y 143672 TILEPROP INT_INTERFACE_R_X9Y119 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y120 CLASS tile TILEPROP INT_INTERFACE_R_X9Y120 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y120 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y120 FIRST_SITE_ID 3084 TILEPROP INT_INTERFACE_R_X9Y120 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y120 GRID_POINT_Y 31 TILEPROP INT_INTERFACE_R_X9Y120 INDEX 3592 TILEPROP INT_INTERFACE_R_X9Y120 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y120 INT_TILE_Y 29 TILEPROP INT_INTERFACE_R_X9Y120 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y120 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y120 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y120 NAME INT_INTERFACE_R_X9Y120 TILEPROP INT_INTERFACE_R_X9Y120 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y120 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y120 ROW 31 TILEPROP INT_INTERFACE_R_X9Y120 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y120 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y120 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y120 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y120 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y120 TILE_Y 146872 TILEPROP INT_INTERFACE_R_X9Y120 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y121 CLASS tile TILEPROP INT_INTERFACE_R_X9Y121 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y121 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y121 FIRST_SITE_ID 2993 TILEPROP INT_INTERFACE_R_X9Y121 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y121 GRID_POINT_Y 30 TILEPROP INT_INTERFACE_R_X9Y121 INDEX 3477 TILEPROP INT_INTERFACE_R_X9Y121 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y121 INT_TILE_Y 28 TILEPROP INT_INTERFACE_R_X9Y121 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y121 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y121 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y121 NAME INT_INTERFACE_R_X9Y121 TILEPROP INT_INTERFACE_R_X9Y121 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y121 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y121 ROW 30 TILEPROP INT_INTERFACE_R_X9Y121 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y121 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y121 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y121 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y121 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y121 TILE_Y 150072 TILEPROP INT_INTERFACE_R_X9Y121 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y122 CLASS tile TILEPROP INT_INTERFACE_R_X9Y122 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y122 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y122 FIRST_SITE_ID 2890 TILEPROP INT_INTERFACE_R_X9Y122 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y122 GRID_POINT_Y 29 TILEPROP INT_INTERFACE_R_X9Y122 INDEX 3362 TILEPROP INT_INTERFACE_R_X9Y122 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y122 INT_TILE_Y 27 TILEPROP INT_INTERFACE_R_X9Y122 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y122 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y122 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y122 NAME INT_INTERFACE_R_X9Y122 TILEPROP INT_INTERFACE_R_X9Y122 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y122 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y122 ROW 29 TILEPROP INT_INTERFACE_R_X9Y122 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y122 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y122 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y122 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y122 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y122 TILE_Y 153272 TILEPROP INT_INTERFACE_R_X9Y122 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y123 CLASS tile TILEPROP INT_INTERFACE_R_X9Y123 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y123 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y123 FIRST_SITE_ID 2802 TILEPROP INT_INTERFACE_R_X9Y123 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y123 GRID_POINT_Y 28 TILEPROP INT_INTERFACE_R_X9Y123 INDEX 3247 TILEPROP INT_INTERFACE_R_X9Y123 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y123 INT_TILE_Y 26 TILEPROP INT_INTERFACE_R_X9Y123 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y123 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y123 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y123 NAME INT_INTERFACE_R_X9Y123 TILEPROP INT_INTERFACE_R_X9Y123 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y123 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y123 ROW 28 TILEPROP INT_INTERFACE_R_X9Y123 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y123 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y123 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y123 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y123 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y123 TILE_Y 156472 TILEPROP INT_INTERFACE_R_X9Y123 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y124 CLASS tile TILEPROP INT_INTERFACE_R_X9Y124 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y124 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y124 FIRST_SITE_ID 2706 TILEPROP INT_INTERFACE_R_X9Y124 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y124 GRID_POINT_Y 27 TILEPROP INT_INTERFACE_R_X9Y124 INDEX 3132 TILEPROP INT_INTERFACE_R_X9Y124 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y124 INT_TILE_Y 25 TILEPROP INT_INTERFACE_R_X9Y124 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y124 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y124 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y124 NAME INT_INTERFACE_R_X9Y124 TILEPROP INT_INTERFACE_R_X9Y124 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y124 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y124 ROW 27 TILEPROP INT_INTERFACE_R_X9Y124 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y124 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y124 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y124 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y124 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y124 TILE_Y 159672 TILEPROP INT_INTERFACE_R_X9Y124 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y125 CLASS tile TILEPROP INT_INTERFACE_R_X9Y125 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y125 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y125 FIRST_SITE_ID 2530 TILEPROP INT_INTERFACE_R_X9Y125 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y125 GRID_POINT_Y 25 TILEPROP INT_INTERFACE_R_X9Y125 INDEX 2902 TILEPROP INT_INTERFACE_R_X9Y125 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y125 INT_TILE_Y 24 TILEPROP INT_INTERFACE_R_X9Y125 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y125 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y125 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y125 NAME INT_INTERFACE_R_X9Y125 TILEPROP INT_INTERFACE_R_X9Y125 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y125 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y125 ROW 25 TILEPROP INT_INTERFACE_R_X9Y125 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y125 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y125 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y125 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y125 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y125 TILE_Y 163896 TILEPROP INT_INTERFACE_R_X9Y125 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y126 CLASS tile TILEPROP INT_INTERFACE_R_X9Y126 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y126 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y126 FIRST_SITE_ID 2423 TILEPROP INT_INTERFACE_R_X9Y126 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y126 GRID_POINT_Y 24 TILEPROP INT_INTERFACE_R_X9Y126 INDEX 2787 TILEPROP INT_INTERFACE_R_X9Y126 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y126 INT_TILE_Y 23 TILEPROP INT_INTERFACE_R_X9Y126 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y126 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y126 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y126 NAME INT_INTERFACE_R_X9Y126 TILEPROP INT_INTERFACE_R_X9Y126 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y126 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y126 ROW 24 TILEPROP INT_INTERFACE_R_X9Y126 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y126 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y126 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y126 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y126 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y126 TILE_Y 167096 TILEPROP INT_INTERFACE_R_X9Y126 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y127 CLASS tile TILEPROP INT_INTERFACE_R_X9Y127 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y127 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y127 FIRST_SITE_ID 2327 TILEPROP INT_INTERFACE_R_X9Y127 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y127 GRID_POINT_Y 23 TILEPROP INT_INTERFACE_R_X9Y127 INDEX 2672 TILEPROP INT_INTERFACE_R_X9Y127 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y127 INT_TILE_Y 22 TILEPROP INT_INTERFACE_R_X9Y127 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y127 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y127 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y127 NAME INT_INTERFACE_R_X9Y127 TILEPROP INT_INTERFACE_R_X9Y127 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y127 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y127 ROW 23 TILEPROP INT_INTERFACE_R_X9Y127 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y127 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y127 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y127 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y127 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y127 TILE_Y 170296 TILEPROP INT_INTERFACE_R_X9Y127 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y128 CLASS tile TILEPROP INT_INTERFACE_R_X9Y128 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y128 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y128 FIRST_SITE_ID 2223 TILEPROP INT_INTERFACE_R_X9Y128 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y128 GRID_POINT_Y 22 TILEPROP INT_INTERFACE_R_X9Y128 INDEX 2557 TILEPROP INT_INTERFACE_R_X9Y128 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y128 INT_TILE_Y 21 TILEPROP INT_INTERFACE_R_X9Y128 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y128 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y128 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y128 NAME INT_INTERFACE_R_X9Y128 TILEPROP INT_INTERFACE_R_X9Y128 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y128 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y128 ROW 22 TILEPROP INT_INTERFACE_R_X9Y128 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y128 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y128 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y128 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y128 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y128 TILE_Y 173496 TILEPROP INT_INTERFACE_R_X9Y128 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y129 CLASS tile TILEPROP INT_INTERFACE_R_X9Y129 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y129 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y129 FIRST_SITE_ID 2127 TILEPROP INT_INTERFACE_R_X9Y129 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y129 GRID_POINT_Y 21 TILEPROP INT_INTERFACE_R_X9Y129 INDEX 2442 TILEPROP INT_INTERFACE_R_X9Y129 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y129 INT_TILE_Y 20 TILEPROP INT_INTERFACE_R_X9Y129 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y129 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y129 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y129 NAME INT_INTERFACE_R_X9Y129 TILEPROP INT_INTERFACE_R_X9Y129 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y129 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y129 ROW 21 TILEPROP INT_INTERFACE_R_X9Y129 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y129 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y129 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y129 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y129 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y129 TILE_Y 176696 TILEPROP INT_INTERFACE_R_X9Y129 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y130 CLASS tile TILEPROP INT_INTERFACE_R_X9Y130 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y130 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y130 FIRST_SITE_ID 2008 TILEPROP INT_INTERFACE_R_X9Y130 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y130 GRID_POINT_Y 20 TILEPROP INT_INTERFACE_R_X9Y130 INDEX 2327 TILEPROP INT_INTERFACE_R_X9Y130 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y130 INT_TILE_Y 19 TILEPROP INT_INTERFACE_R_X9Y130 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y130 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y130 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y130 NAME INT_INTERFACE_R_X9Y130 TILEPROP INT_INTERFACE_R_X9Y130 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y130 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y130 ROW 20 TILEPROP INT_INTERFACE_R_X9Y130 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y130 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y130 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y130 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y130 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y130 TILE_Y 179896 TILEPROP INT_INTERFACE_R_X9Y130 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y131 CLASS tile TILEPROP INT_INTERFACE_R_X9Y131 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y131 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y131 FIRST_SITE_ID 1909 TILEPROP INT_INTERFACE_R_X9Y131 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y131 GRID_POINT_Y 19 TILEPROP INT_INTERFACE_R_X9Y131 INDEX 2212 TILEPROP INT_INTERFACE_R_X9Y131 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y131 INT_TILE_Y 18 TILEPROP INT_INTERFACE_R_X9Y131 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y131 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y131 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y131 NAME INT_INTERFACE_R_X9Y131 TILEPROP INT_INTERFACE_R_X9Y131 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y131 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y131 ROW 19 TILEPROP INT_INTERFACE_R_X9Y131 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y131 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y131 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y131 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y131 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y131 TILE_Y 183096 TILEPROP INT_INTERFACE_R_X9Y131 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y132 CLASS tile TILEPROP INT_INTERFACE_R_X9Y132 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y132 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y132 FIRST_SITE_ID 1803 TILEPROP INT_INTERFACE_R_X9Y132 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y132 GRID_POINT_Y 18 TILEPROP INT_INTERFACE_R_X9Y132 INDEX 2097 TILEPROP INT_INTERFACE_R_X9Y132 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y132 INT_TILE_Y 17 TILEPROP INT_INTERFACE_R_X9Y132 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y132 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y132 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y132 NAME INT_INTERFACE_R_X9Y132 TILEPROP INT_INTERFACE_R_X9Y132 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y132 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y132 ROW 18 TILEPROP INT_INTERFACE_R_X9Y132 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y132 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y132 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y132 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y132 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y132 TILE_Y 186296 TILEPROP INT_INTERFACE_R_X9Y132 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y133 CLASS tile TILEPROP INT_INTERFACE_R_X9Y133 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y133 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y133 FIRST_SITE_ID 1702 TILEPROP INT_INTERFACE_R_X9Y133 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y133 GRID_POINT_Y 17 TILEPROP INT_INTERFACE_R_X9Y133 INDEX 1982 TILEPROP INT_INTERFACE_R_X9Y133 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y133 INT_TILE_Y 16 TILEPROP INT_INTERFACE_R_X9Y133 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y133 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y133 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y133 NAME INT_INTERFACE_R_X9Y133 TILEPROP INT_INTERFACE_R_X9Y133 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y133 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y133 ROW 17 TILEPROP INT_INTERFACE_R_X9Y133 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y133 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y133 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y133 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y133 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y133 TILE_Y 189496 TILEPROP INT_INTERFACE_R_X9Y133 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y134 CLASS tile TILEPROP INT_INTERFACE_R_X9Y134 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y134 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y134 FIRST_SITE_ID 1598 TILEPROP INT_INTERFACE_R_X9Y134 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y134 GRID_POINT_Y 16 TILEPROP INT_INTERFACE_R_X9Y134 INDEX 1867 TILEPROP INT_INTERFACE_R_X9Y134 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y134 INT_TILE_Y 15 TILEPROP INT_INTERFACE_R_X9Y134 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y134 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y134 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y134 NAME INT_INTERFACE_R_X9Y134 TILEPROP INT_INTERFACE_R_X9Y134 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y134 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y134 ROW 16 TILEPROP INT_INTERFACE_R_X9Y134 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y134 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y134 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y134 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y134 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y134 TILE_Y 192696 TILEPROP INT_INTERFACE_R_X9Y134 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y135 CLASS tile TILEPROP INT_INTERFACE_R_X9Y135 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y135 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y135 FIRST_SITE_ID 1493 TILEPROP INT_INTERFACE_R_X9Y135 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y135 GRID_POINT_Y 15 TILEPROP INT_INTERFACE_R_X9Y135 INDEX 1752 TILEPROP INT_INTERFACE_R_X9Y135 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y135 INT_TILE_Y 14 TILEPROP INT_INTERFACE_R_X9Y135 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y135 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y135 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y135 NAME INT_INTERFACE_R_X9Y135 TILEPROP INT_INTERFACE_R_X9Y135 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y135 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y135 ROW 15 TILEPROP INT_INTERFACE_R_X9Y135 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y135 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y135 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y135 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y135 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y135 TILE_Y 195896 TILEPROP INT_INTERFACE_R_X9Y135 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y136 CLASS tile TILEPROP INT_INTERFACE_R_X9Y136 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y136 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y136 FIRST_SITE_ID 1354 TILEPROP INT_INTERFACE_R_X9Y136 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y136 GRID_POINT_Y 14 TILEPROP INT_INTERFACE_R_X9Y136 INDEX 1637 TILEPROP INT_INTERFACE_R_X9Y136 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y136 INT_TILE_Y 13 TILEPROP INT_INTERFACE_R_X9Y136 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y136 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y136 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y136 NAME INT_INTERFACE_R_X9Y136 TILEPROP INT_INTERFACE_R_X9Y136 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y136 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y136 ROW 14 TILEPROP INT_INTERFACE_R_X9Y136 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y136 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y136 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y136 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y136 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y136 TILE_Y 199096 TILEPROP INT_INTERFACE_R_X9Y136 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y137 CLASS tile TILEPROP INT_INTERFACE_R_X9Y137 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y137 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y137 FIRST_SITE_ID 1258 TILEPROP INT_INTERFACE_R_X9Y137 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y137 GRID_POINT_Y 13 TILEPROP INT_INTERFACE_R_X9Y137 INDEX 1522 TILEPROP INT_INTERFACE_R_X9Y137 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y137 INT_TILE_Y 12 TILEPROP INT_INTERFACE_R_X9Y137 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y137 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y137 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y137 NAME INT_INTERFACE_R_X9Y137 TILEPROP INT_INTERFACE_R_X9Y137 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y137 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y137 ROW 13 TILEPROP INT_INTERFACE_R_X9Y137 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y137 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y137 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y137 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y137 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y137 TILE_Y 202296 TILEPROP INT_INTERFACE_R_X9Y137 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y138 CLASS tile TILEPROP INT_INTERFACE_R_X9Y138 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y138 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y138 FIRST_SITE_ID 1154 TILEPROP INT_INTERFACE_R_X9Y138 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y138 GRID_POINT_Y 12 TILEPROP INT_INTERFACE_R_X9Y138 INDEX 1407 TILEPROP INT_INTERFACE_R_X9Y138 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y138 INT_TILE_Y 11 TILEPROP INT_INTERFACE_R_X9Y138 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y138 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y138 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y138 NAME INT_INTERFACE_R_X9Y138 TILEPROP INT_INTERFACE_R_X9Y138 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y138 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y138 ROW 12 TILEPROP INT_INTERFACE_R_X9Y138 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y138 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y138 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y138 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y138 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y138 TILE_Y 205496 TILEPROP INT_INTERFACE_R_X9Y138 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y139 CLASS tile TILEPROP INT_INTERFACE_R_X9Y139 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y139 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y139 FIRST_SITE_ID 1058 TILEPROP INT_INTERFACE_R_X9Y139 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y139 GRID_POINT_Y 11 TILEPROP INT_INTERFACE_R_X9Y139 INDEX 1292 TILEPROP INT_INTERFACE_R_X9Y139 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y139 INT_TILE_Y 10 TILEPROP INT_INTERFACE_R_X9Y139 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y139 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y139 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y139 NAME INT_INTERFACE_R_X9Y139 TILEPROP INT_INTERFACE_R_X9Y139 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y139 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y139 ROW 11 TILEPROP INT_INTERFACE_R_X9Y139 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y139 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y139 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y139 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y139 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y139 TILE_Y 208696 TILEPROP INT_INTERFACE_R_X9Y139 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y140 CLASS tile TILEPROP INT_INTERFACE_R_X9Y140 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y140 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y140 FIRST_SITE_ID 945 TILEPROP INT_INTERFACE_R_X9Y140 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y140 GRID_POINT_Y 10 TILEPROP INT_INTERFACE_R_X9Y140 INDEX 1177 TILEPROP INT_INTERFACE_R_X9Y140 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y140 INT_TILE_Y 9 TILEPROP INT_INTERFACE_R_X9Y140 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y140 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y140 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y140 NAME INT_INTERFACE_R_X9Y140 TILEPROP INT_INTERFACE_R_X9Y140 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y140 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y140 ROW 10 TILEPROP INT_INTERFACE_R_X9Y140 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y140 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y140 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y140 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y140 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y140 TILE_Y 211896 TILEPROP INT_INTERFACE_R_X9Y140 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y141 CLASS tile TILEPROP INT_INTERFACE_R_X9Y141 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y141 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y141 FIRST_SITE_ID 846 TILEPROP INT_INTERFACE_R_X9Y141 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y141 GRID_POINT_Y 9 TILEPROP INT_INTERFACE_R_X9Y141 INDEX 1062 TILEPROP INT_INTERFACE_R_X9Y141 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y141 INT_TILE_Y 8 TILEPROP INT_INTERFACE_R_X9Y141 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y141 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y141 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y141 NAME INT_INTERFACE_R_X9Y141 TILEPROP INT_INTERFACE_R_X9Y141 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y141 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y141 ROW 9 TILEPROP INT_INTERFACE_R_X9Y141 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y141 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y141 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y141 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y141 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y141 TILE_Y 215096 TILEPROP INT_INTERFACE_R_X9Y141 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y142 CLASS tile TILEPROP INT_INTERFACE_R_X9Y142 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y142 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y142 FIRST_SITE_ID 742 TILEPROP INT_INTERFACE_R_X9Y142 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y142 GRID_POINT_Y 8 TILEPROP INT_INTERFACE_R_X9Y142 INDEX 947 TILEPROP INT_INTERFACE_R_X9Y142 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y142 INT_TILE_Y 7 TILEPROP INT_INTERFACE_R_X9Y142 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y142 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y142 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y142 NAME INT_INTERFACE_R_X9Y142 TILEPROP INT_INTERFACE_R_X9Y142 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y142 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y142 ROW 8 TILEPROP INT_INTERFACE_R_X9Y142 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y142 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y142 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y142 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y142 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y142 TILE_Y 218296 TILEPROP INT_INTERFACE_R_X9Y142 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y143 CLASS tile TILEPROP INT_INTERFACE_R_X9Y143 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y143 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y143 FIRST_SITE_ID 645 TILEPROP INT_INTERFACE_R_X9Y143 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y143 GRID_POINT_Y 7 TILEPROP INT_INTERFACE_R_X9Y143 INDEX 832 TILEPROP INT_INTERFACE_R_X9Y143 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y143 INT_TILE_Y 6 TILEPROP INT_INTERFACE_R_X9Y143 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y143 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y143 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y143 NAME INT_INTERFACE_R_X9Y143 TILEPROP INT_INTERFACE_R_X9Y143 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y143 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y143 ROW 7 TILEPROP INT_INTERFACE_R_X9Y143 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y143 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y143 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y143 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y143 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y143 TILE_Y 221496 TILEPROP INT_INTERFACE_R_X9Y143 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y144 CLASS tile TILEPROP INT_INTERFACE_R_X9Y144 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y144 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y144 FIRST_SITE_ID 534 TILEPROP INT_INTERFACE_R_X9Y144 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y144 GRID_POINT_Y 6 TILEPROP INT_INTERFACE_R_X9Y144 INDEX 717 TILEPROP INT_INTERFACE_R_X9Y144 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y144 INT_TILE_Y 5 TILEPROP INT_INTERFACE_R_X9Y144 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y144 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y144 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y144 NAME INT_INTERFACE_R_X9Y144 TILEPROP INT_INTERFACE_R_X9Y144 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y144 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y144 ROW 6 TILEPROP INT_INTERFACE_R_X9Y144 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y144 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y144 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y144 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y144 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y144 TILE_Y 224696 TILEPROP INT_INTERFACE_R_X9Y144 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y145 CLASS tile TILEPROP INT_INTERFACE_R_X9Y145 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y145 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y145 FIRST_SITE_ID 429 TILEPROP INT_INTERFACE_R_X9Y145 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y145 GRID_POINT_Y 5 TILEPROP INT_INTERFACE_R_X9Y145 INDEX 602 TILEPROP INT_INTERFACE_R_X9Y145 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y145 INT_TILE_Y 4 TILEPROP INT_INTERFACE_R_X9Y145 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y145 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y145 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y145 NAME INT_INTERFACE_R_X9Y145 TILEPROP INT_INTERFACE_R_X9Y145 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y145 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y145 ROW 5 TILEPROP INT_INTERFACE_R_X9Y145 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y145 TILE_PATTERN_IDX 172 TILEPROP INT_INTERFACE_R_X9Y145 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y145 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y145 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y145 TILE_Y 227896 TILEPROP INT_INTERFACE_R_X9Y145 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y146 CLASS tile TILEPROP INT_INTERFACE_R_X9Y146 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y146 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y146 FIRST_SITE_ID 322 TILEPROP INT_INTERFACE_R_X9Y146 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y146 GRID_POINT_Y 4 TILEPROP INT_INTERFACE_R_X9Y146 INDEX 487 TILEPROP INT_INTERFACE_R_X9Y146 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y146 INT_TILE_Y 3 TILEPROP INT_INTERFACE_R_X9Y146 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y146 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y146 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y146 NAME INT_INTERFACE_R_X9Y146 TILEPROP INT_INTERFACE_R_X9Y146 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y146 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y146 ROW 4 TILEPROP INT_INTERFACE_R_X9Y146 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y146 TILE_PATTERN_IDX 137 TILEPROP INT_INTERFACE_R_X9Y146 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y146 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y146 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y146 TILE_Y 231096 TILEPROP INT_INTERFACE_R_X9Y146 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y147 CLASS tile TILEPROP INT_INTERFACE_R_X9Y147 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y147 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y147 FIRST_SITE_ID 226 TILEPROP INT_INTERFACE_R_X9Y147 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y147 GRID_POINT_Y 3 TILEPROP INT_INTERFACE_R_X9Y147 INDEX 372 TILEPROP INT_INTERFACE_R_X9Y147 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y147 INT_TILE_Y 2 TILEPROP INT_INTERFACE_R_X9Y147 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y147 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y147 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y147 NAME INT_INTERFACE_R_X9Y147 TILEPROP INT_INTERFACE_R_X9Y147 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y147 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y147 ROW 3 TILEPROP INT_INTERFACE_R_X9Y147 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y147 TILE_PATTERN_IDX 105 TILEPROP INT_INTERFACE_R_X9Y147 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y147 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y147 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y147 TILE_Y 234296 TILEPROP INT_INTERFACE_R_X9Y147 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y148 CLASS tile TILEPROP INT_INTERFACE_R_X9Y148 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y148 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y148 FIRST_SITE_ID 122 TILEPROP INT_INTERFACE_R_X9Y148 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y148 GRID_POINT_Y 2 TILEPROP INT_INTERFACE_R_X9Y148 INDEX 257 TILEPROP INT_INTERFACE_R_X9Y148 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y148 INT_TILE_Y 1 TILEPROP INT_INTERFACE_R_X9Y148 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y148 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y148 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y148 NAME INT_INTERFACE_R_X9Y148 TILEPROP INT_INTERFACE_R_X9Y148 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y148 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y148 ROW 2 TILEPROP INT_INTERFACE_R_X9Y148 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y148 TILE_PATTERN_IDX 69 TILEPROP INT_INTERFACE_R_X9Y148 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y148 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y148 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y148 TILE_Y 237496 TILEPROP INT_INTERFACE_R_X9Y148 TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y149 CLASS tile TILEPROP INT_INTERFACE_R_X9Y149 COLUMN 27 TILEPROP INT_INTERFACE_R_X9Y149 DEVICE_ID 0 TILEPROP INT_INTERFACE_R_X9Y149 FIRST_SITE_ID 26 TILEPROP INT_INTERFACE_R_X9Y149 GRID_POINT_X 27 TILEPROP INT_INTERFACE_R_X9Y149 GRID_POINT_Y 1 TILEPROP INT_INTERFACE_R_X9Y149 INDEX 142 TILEPROP INT_INTERFACE_R_X9Y149 INT_TILE_X 9 TILEPROP INT_INTERFACE_R_X9Y149 INT_TILE_Y 0 TILEPROP INT_INTERFACE_R_X9Y149 IS_CENTER_TILE 0 TILEPROP INT_INTERFACE_R_X9Y149 IS_DCM_TILE 0 TILEPROP INT_INTERFACE_R_X9Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y149 IS_GT_SITE_TILE 0 TILEPROP INT_INTERFACE_R_X9Y149 NAME INT_INTERFACE_R_X9Y149 TILEPROP INT_INTERFACE_R_X9Y149 NUM_ARCS 84 TILEPROP INT_INTERFACE_R_X9Y149 NUM_SITES 0 TILEPROP INT_INTERFACE_R_X9Y149 ROW 1 TILEPROP INT_INTERFACE_R_X9Y149 SLR_REGION_ID 0 TILEPROP INT_INTERFACE_R_X9Y149 TILE_PATTERN_IDX 25 TILEPROP INT_INTERFACE_R_X9Y149 TILE_TYPE INT_INTERFACE_R TILEPROP INT_INTERFACE_R_X9Y149 TILE_TYPE_INDEX 94 TILEPROP INT_INTERFACE_R_X9Y149 TILE_X -51198 TILEPROP INT_INTERFACE_R_X9Y149 TILE_Y 240696 TILEPROP INT_INTERFACE_R_X9Y149 TYPE INT_INTERFACE_R TILEPROP INT_L_X0Y0 CLASS tile TILEPROP INT_L_X0Y0 COLUMN 4 TILEPROP INT_L_X0Y0 DEVICE_ID 0 TILEPROP INT_L_X0Y0 FIRST_SITE_ID 15706 TILEPROP INT_L_X0Y0 GRID_POINT_X 4 TILEPROP INT_L_X0Y0 GRID_POINT_Y 155 TILEPROP INT_L_X0Y0 INDEX 17829 TILEPROP INT_L_X0Y0 INT_TILE_X 0 TILEPROP INT_L_X0Y0 INT_TILE_Y 149 TILEPROP INT_L_X0Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y0 IS_DCM_TILE 0 TILEPROP INT_L_X0Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y0 NAME INT_L_X0Y0 TILEPROP INT_L_X0Y0 NUM_ARCS 3737 TILEPROP INT_L_X0Y0 NUM_SITES 1 TILEPROP INT_L_X0Y0 ROW 155 TILEPROP INT_L_X0Y0 SLR_REGION_ID 0 TILEPROP INT_L_X0Y0 TILE_PATTERN_IDX 3499 TILEPROP INT_L_X0Y0 TILE_TYPE INT_L TILEPROP INT_L_X0Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y0 TILE_X -97166 TILEPROP INT_L_X0Y0 TILE_Y -239672 TILEPROP INT_L_X0Y0 TYPE INT_L TILEPROP INT_L_X0Y1 CLASS tile TILEPROP INT_L_X0Y1 COLUMN 4 TILEPROP INT_L_X0Y1 DEVICE_ID 0 TILEPROP INT_L_X0Y1 FIRST_SITE_ID 15601 TILEPROP INT_L_X0Y1 GRID_POINT_X 4 TILEPROP INT_L_X0Y1 GRID_POINT_Y 154 TILEPROP INT_L_X0Y1 INDEX 17714 TILEPROP INT_L_X0Y1 INT_TILE_X 0 TILEPROP INT_L_X0Y1 INT_TILE_Y 148 TILEPROP INT_L_X0Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y1 IS_DCM_TILE 0 TILEPROP INT_L_X0Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y1 NAME INT_L_X0Y1 TILEPROP INT_L_X0Y1 NUM_ARCS 3737 TILEPROP INT_L_X0Y1 NUM_SITES 1 TILEPROP INT_L_X0Y1 ROW 154 TILEPROP INT_L_X0Y1 SLR_REGION_ID 0 TILEPROP INT_L_X0Y1 TILE_PATTERN_IDX 3461 TILEPROP INT_L_X0Y1 TILE_TYPE INT_L TILEPROP INT_L_X0Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y1 TILE_X -97166 TILEPROP INT_L_X0Y1 TILE_Y -236472 TILEPROP INT_L_X0Y1 TYPE INT_L TILEPROP INT_L_X0Y2 CLASS tile TILEPROP INT_L_X0Y2 COLUMN 4 TILEPROP INT_L_X0Y2 DEVICE_ID 0 TILEPROP INT_L_X0Y2 FIRST_SITE_ID 15501 TILEPROP INT_L_X0Y2 GRID_POINT_X 4 TILEPROP INT_L_X0Y2 GRID_POINT_Y 153 TILEPROP INT_L_X0Y2 INDEX 17599 TILEPROP INT_L_X0Y2 INT_TILE_X 0 TILEPROP INT_L_X0Y2 INT_TILE_Y 147 TILEPROP INT_L_X0Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y2 IS_DCM_TILE 0 TILEPROP INT_L_X0Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y2 NAME INT_L_X0Y2 TILEPROP INT_L_X0Y2 NUM_ARCS 3737 TILEPROP INT_L_X0Y2 NUM_SITES 1 TILEPROP INT_L_X0Y2 ROW 153 TILEPROP INT_L_X0Y2 SLR_REGION_ID 0 TILEPROP INT_L_X0Y2 TILE_PATTERN_IDX 3425 TILEPROP INT_L_X0Y2 TILE_TYPE INT_L TILEPROP INT_L_X0Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y2 TILE_X -97166 TILEPROP INT_L_X0Y2 TILE_Y -233272 TILEPROP INT_L_X0Y2 TYPE INT_L TILEPROP INT_L_X0Y3 CLASS tile TILEPROP INT_L_X0Y3 COLUMN 4 TILEPROP INT_L_X0Y3 DEVICE_ID 0 TILEPROP INT_L_X0Y3 FIRST_SITE_ID 15401 TILEPROP INT_L_X0Y3 GRID_POINT_X 4 TILEPROP INT_L_X0Y3 GRID_POINT_Y 152 TILEPROP INT_L_X0Y3 INDEX 17484 TILEPROP INT_L_X0Y3 INT_TILE_X 0 TILEPROP INT_L_X0Y3 INT_TILE_Y 146 TILEPROP INT_L_X0Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y3 IS_DCM_TILE 0 TILEPROP INT_L_X0Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y3 NAME INT_L_X0Y3 TILEPROP INT_L_X0Y3 NUM_ARCS 3737 TILEPROP INT_L_X0Y3 NUM_SITES 1 TILEPROP INT_L_X0Y3 ROW 152 TILEPROP INT_L_X0Y3 SLR_REGION_ID 0 TILEPROP INT_L_X0Y3 TILE_PATTERN_IDX 3388 TILEPROP INT_L_X0Y3 TILE_TYPE INT_L TILEPROP INT_L_X0Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y3 TILE_X -97166 TILEPROP INT_L_X0Y3 TILE_Y -230072 TILEPROP INT_L_X0Y3 TYPE INT_L TILEPROP INT_L_X0Y4 CLASS tile TILEPROP INT_L_X0Y4 COLUMN 4 TILEPROP INT_L_X0Y4 DEVICE_ID 0 TILEPROP INT_L_X0Y4 FIRST_SITE_ID 15301 TILEPROP INT_L_X0Y4 GRID_POINT_X 4 TILEPROP INT_L_X0Y4 GRID_POINT_Y 151 TILEPROP INT_L_X0Y4 INDEX 17369 TILEPROP INT_L_X0Y4 INT_TILE_X 0 TILEPROP INT_L_X0Y4 INT_TILE_Y 145 TILEPROP INT_L_X0Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y4 IS_DCM_TILE 0 TILEPROP INT_L_X0Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y4 NAME INT_L_X0Y4 TILEPROP INT_L_X0Y4 NUM_ARCS 3737 TILEPROP INT_L_X0Y4 NUM_SITES 1 TILEPROP INT_L_X0Y4 ROW 151 TILEPROP INT_L_X0Y4 SLR_REGION_ID 0 TILEPROP INT_L_X0Y4 TILE_PATTERN_IDX 3352 TILEPROP INT_L_X0Y4 TILE_TYPE INT_L TILEPROP INT_L_X0Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y4 TILE_X -97166 TILEPROP INT_L_X0Y4 TILE_Y -226872 TILEPROP INT_L_X0Y4 TYPE INT_L TILEPROP INT_L_X0Y5 CLASS tile TILEPROP INT_L_X0Y5 COLUMN 4 TILEPROP INT_L_X0Y5 DEVICE_ID 0 TILEPROP INT_L_X0Y5 FIRST_SITE_ID 15186 TILEPROP INT_L_X0Y5 GRID_POINT_X 4 TILEPROP INT_L_X0Y5 GRID_POINT_Y 150 TILEPROP INT_L_X0Y5 INDEX 17254 TILEPROP INT_L_X0Y5 INT_TILE_X 0 TILEPROP INT_L_X0Y5 INT_TILE_Y 144 TILEPROP INT_L_X0Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y5 IS_DCM_TILE 0 TILEPROP INT_L_X0Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y5 NAME INT_L_X0Y5 TILEPROP INT_L_X0Y5 NUM_ARCS 3737 TILEPROP INT_L_X0Y5 NUM_SITES 1 TILEPROP INT_L_X0Y5 ROW 150 TILEPROP INT_L_X0Y5 SLR_REGION_ID 0 TILEPROP INT_L_X0Y5 TILE_PATTERN_IDX 3313 TILEPROP INT_L_X0Y5 TILE_TYPE INT_L TILEPROP INT_L_X0Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y5 TILE_X -97166 TILEPROP INT_L_X0Y5 TILE_Y -223672 TILEPROP INT_L_X0Y5 TYPE INT_L TILEPROP INT_L_X0Y6 CLASS tile TILEPROP INT_L_X0Y6 COLUMN 4 TILEPROP INT_L_X0Y6 DEVICE_ID 0 TILEPROP INT_L_X0Y6 FIRST_SITE_ID 15086 TILEPROP INT_L_X0Y6 GRID_POINT_X 4 TILEPROP INT_L_X0Y6 GRID_POINT_Y 149 TILEPROP INT_L_X0Y6 INDEX 17139 TILEPROP INT_L_X0Y6 INT_TILE_X 0 TILEPROP INT_L_X0Y6 INT_TILE_Y 143 TILEPROP INT_L_X0Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y6 IS_DCM_TILE 0 TILEPROP INT_L_X0Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y6 NAME INT_L_X0Y6 TILEPROP INT_L_X0Y6 NUM_ARCS 3737 TILEPROP INT_L_X0Y6 NUM_SITES 1 TILEPROP INT_L_X0Y6 ROW 149 TILEPROP INT_L_X0Y6 SLR_REGION_ID 0 TILEPROP INT_L_X0Y6 TILE_PATTERN_IDX 3277 TILEPROP INT_L_X0Y6 TILE_TYPE INT_L TILEPROP INT_L_X0Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y6 TILE_X -97166 TILEPROP INT_L_X0Y6 TILE_Y -220472 TILEPROP INT_L_X0Y6 TYPE INT_L TILEPROP INT_L_X0Y7 CLASS tile TILEPROP INT_L_X0Y7 COLUMN 4 TILEPROP INT_L_X0Y7 DEVICE_ID 0 TILEPROP INT_L_X0Y7 FIRST_SITE_ID 14982 TILEPROP INT_L_X0Y7 GRID_POINT_X 4 TILEPROP INT_L_X0Y7 GRID_POINT_Y 148 TILEPROP INT_L_X0Y7 INDEX 17024 TILEPROP INT_L_X0Y7 INT_TILE_X 0 TILEPROP INT_L_X0Y7 INT_TILE_Y 142 TILEPROP INT_L_X0Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y7 IS_DCM_TILE 0 TILEPROP INT_L_X0Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y7 NAME INT_L_X0Y7 TILEPROP INT_L_X0Y7 NUM_ARCS 3737 TILEPROP INT_L_X0Y7 NUM_SITES 1 TILEPROP INT_L_X0Y7 ROW 148 TILEPROP INT_L_X0Y7 SLR_REGION_ID 0 TILEPROP INT_L_X0Y7 TILE_PATTERN_IDX 3239 TILEPROP INT_L_X0Y7 TILE_TYPE INT_L TILEPROP INT_L_X0Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y7 TILE_X -97166 TILEPROP INT_L_X0Y7 TILE_Y -217272 TILEPROP INT_L_X0Y7 TYPE INT_L TILEPROP INT_L_X0Y8 CLASS tile TILEPROP INT_L_X0Y8 COLUMN 4 TILEPROP INT_L_X0Y8 DEVICE_ID 0 TILEPROP INT_L_X0Y8 FIRST_SITE_ID 14880 TILEPROP INT_L_X0Y8 GRID_POINT_X 4 TILEPROP INT_L_X0Y8 GRID_POINT_Y 147 TILEPROP INT_L_X0Y8 INDEX 16909 TILEPROP INT_L_X0Y8 INT_TILE_X 0 TILEPROP INT_L_X0Y8 INT_TILE_Y 141 TILEPROP INT_L_X0Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y8 IS_DCM_TILE 0 TILEPROP INT_L_X0Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y8 NAME INT_L_X0Y8 TILEPROP INT_L_X0Y8 NUM_ARCS 3737 TILEPROP INT_L_X0Y8 NUM_SITES 1 TILEPROP INT_L_X0Y8 ROW 147 TILEPROP INT_L_X0Y8 SLR_REGION_ID 0 TILEPROP INT_L_X0Y8 TILE_PATTERN_IDX 3202 TILEPROP INT_L_X0Y8 TILE_TYPE INT_L TILEPROP INT_L_X0Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y8 TILE_X -97166 TILEPROP INT_L_X0Y8 TILE_Y -214072 TILEPROP INT_L_X0Y8 TYPE INT_L TILEPROP INT_L_X0Y9 CLASS tile TILEPROP INT_L_X0Y9 COLUMN 4 TILEPROP INT_L_X0Y9 DEVICE_ID 0 TILEPROP INT_L_X0Y9 FIRST_SITE_ID 14780 TILEPROP INT_L_X0Y9 GRID_POINT_X 4 TILEPROP INT_L_X0Y9 GRID_POINT_Y 146 TILEPROP INT_L_X0Y9 INDEX 16794 TILEPROP INT_L_X0Y9 INT_TILE_X 0 TILEPROP INT_L_X0Y9 INT_TILE_Y 140 TILEPROP INT_L_X0Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y9 IS_DCM_TILE 0 TILEPROP INT_L_X0Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y9 NAME INT_L_X0Y9 TILEPROP INT_L_X0Y9 NUM_ARCS 3737 TILEPROP INT_L_X0Y9 NUM_SITES 1 TILEPROP INT_L_X0Y9 ROW 146 TILEPROP INT_L_X0Y9 SLR_REGION_ID 0 TILEPROP INT_L_X0Y9 TILE_PATTERN_IDX 3166 TILEPROP INT_L_X0Y9 TILE_TYPE INT_L TILEPROP INT_L_X0Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y9 TILE_X -97166 TILEPROP INT_L_X0Y9 TILE_Y -210872 TILEPROP INT_L_X0Y9 TYPE INT_L TILEPROP INT_L_X0Y10 CLASS tile TILEPROP INT_L_X0Y10 COLUMN 4 TILEPROP INT_L_X0Y10 DEVICE_ID 0 TILEPROP INT_L_X0Y10 FIRST_SITE_ID 14665 TILEPROP INT_L_X0Y10 GRID_POINT_X 4 TILEPROP INT_L_X0Y10 GRID_POINT_Y 145 TILEPROP INT_L_X0Y10 INDEX 16679 TILEPROP INT_L_X0Y10 INT_TILE_X 0 TILEPROP INT_L_X0Y10 INT_TILE_Y 139 TILEPROP INT_L_X0Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y10 IS_DCM_TILE 0 TILEPROP INT_L_X0Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y10 NAME INT_L_X0Y10 TILEPROP INT_L_X0Y10 NUM_ARCS 3737 TILEPROP INT_L_X0Y10 NUM_SITES 1 TILEPROP INT_L_X0Y10 ROW 145 TILEPROP INT_L_X0Y10 SLR_REGION_ID 0 TILEPROP INT_L_X0Y10 TILE_PATTERN_IDX 3129 TILEPROP INT_L_X0Y10 TILE_TYPE INT_L TILEPROP INT_L_X0Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y10 TILE_X -97166 TILEPROP INT_L_X0Y10 TILE_Y -207672 TILEPROP INT_L_X0Y10 TYPE INT_L TILEPROP INT_L_X0Y11 CLASS tile TILEPROP INT_L_X0Y11 COLUMN 4 TILEPROP INT_L_X0Y11 DEVICE_ID 0 TILEPROP INT_L_X0Y11 FIRST_SITE_ID 14565 TILEPROP INT_L_X0Y11 GRID_POINT_X 4 TILEPROP INT_L_X0Y11 GRID_POINT_Y 144 TILEPROP INT_L_X0Y11 INDEX 16564 TILEPROP INT_L_X0Y11 INT_TILE_X 0 TILEPROP INT_L_X0Y11 INT_TILE_Y 138 TILEPROP INT_L_X0Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y11 IS_DCM_TILE 0 TILEPROP INT_L_X0Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y11 NAME INT_L_X0Y11 TILEPROP INT_L_X0Y11 NUM_ARCS 3737 TILEPROP INT_L_X0Y11 NUM_SITES 1 TILEPROP INT_L_X0Y11 ROW 144 TILEPROP INT_L_X0Y11 SLR_REGION_ID 0 TILEPROP INT_L_X0Y11 TILE_PATTERN_IDX 3093 TILEPROP INT_L_X0Y11 TILE_TYPE INT_L TILEPROP INT_L_X0Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y11 TILE_X -97166 TILEPROP INT_L_X0Y11 TILE_Y -204472 TILEPROP INT_L_X0Y11 TYPE INT_L TILEPROP INT_L_X0Y12 CLASS tile TILEPROP INT_L_X0Y12 COLUMN 4 TILEPROP INT_L_X0Y12 DEVICE_ID 0 TILEPROP INT_L_X0Y12 FIRST_SITE_ID 14433 TILEPROP INT_L_X0Y12 GRID_POINT_X 4 TILEPROP INT_L_X0Y12 GRID_POINT_Y 143 TILEPROP INT_L_X0Y12 INDEX 16449 TILEPROP INT_L_X0Y12 INT_TILE_X 0 TILEPROP INT_L_X0Y12 INT_TILE_Y 137 TILEPROP INT_L_X0Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y12 IS_DCM_TILE 0 TILEPROP INT_L_X0Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y12 NAME INT_L_X0Y12 TILEPROP INT_L_X0Y12 NUM_ARCS 3737 TILEPROP INT_L_X0Y12 NUM_SITES 1 TILEPROP INT_L_X0Y12 ROW 143 TILEPROP INT_L_X0Y12 SLR_REGION_ID 0 TILEPROP INT_L_X0Y12 TILE_PATTERN_IDX 3057 TILEPROP INT_L_X0Y12 TILE_TYPE INT_L TILEPROP INT_L_X0Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y12 TILE_X -97166 TILEPROP INT_L_X0Y12 TILE_Y -201272 TILEPROP INT_L_X0Y12 TYPE INT_L TILEPROP INT_L_X0Y13 CLASS tile TILEPROP INT_L_X0Y13 COLUMN 4 TILEPROP INT_L_X0Y13 DEVICE_ID 0 TILEPROP INT_L_X0Y13 FIRST_SITE_ID 14333 TILEPROP INT_L_X0Y13 GRID_POINT_X 4 TILEPROP INT_L_X0Y13 GRID_POINT_Y 142 TILEPROP INT_L_X0Y13 INDEX 16334 TILEPROP INT_L_X0Y13 INT_TILE_X 0 TILEPROP INT_L_X0Y13 INT_TILE_Y 136 TILEPROP INT_L_X0Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y13 IS_DCM_TILE 0 TILEPROP INT_L_X0Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y13 NAME INT_L_X0Y13 TILEPROP INT_L_X0Y13 NUM_ARCS 3737 TILEPROP INT_L_X0Y13 NUM_SITES 1 TILEPROP INT_L_X0Y13 ROW 142 TILEPROP INT_L_X0Y13 SLR_REGION_ID 0 TILEPROP INT_L_X0Y13 TILE_PATTERN_IDX 3021 TILEPROP INT_L_X0Y13 TILE_TYPE INT_L TILEPROP INT_L_X0Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y13 TILE_X -97166 TILEPROP INT_L_X0Y13 TILE_Y -198072 TILEPROP INT_L_X0Y13 TYPE INT_L TILEPROP INT_L_X0Y14 CLASS tile TILEPROP INT_L_X0Y14 COLUMN 4 TILEPROP INT_L_X0Y14 DEVICE_ID 0 TILEPROP INT_L_X0Y14 FIRST_SITE_ID 14233 TILEPROP INT_L_X0Y14 GRID_POINT_X 4 TILEPROP INT_L_X0Y14 GRID_POINT_Y 141 TILEPROP INT_L_X0Y14 INDEX 16219 TILEPROP INT_L_X0Y14 INT_TILE_X 0 TILEPROP INT_L_X0Y14 INT_TILE_Y 135 TILEPROP INT_L_X0Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y14 IS_DCM_TILE 0 TILEPROP INT_L_X0Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y14 NAME INT_L_X0Y14 TILEPROP INT_L_X0Y14 NUM_ARCS 3737 TILEPROP INT_L_X0Y14 NUM_SITES 1 TILEPROP INT_L_X0Y14 ROW 141 TILEPROP INT_L_X0Y14 SLR_REGION_ID 0 TILEPROP INT_L_X0Y14 TILE_PATTERN_IDX 2986 TILEPROP INT_L_X0Y14 TILE_TYPE INT_L TILEPROP INT_L_X0Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y14 TILE_X -97166 TILEPROP INT_L_X0Y14 TILE_Y -194872 TILEPROP INT_L_X0Y14 TYPE INT_L TILEPROP INT_L_X0Y15 CLASS tile TILEPROP INT_L_X0Y15 COLUMN 4 TILEPROP INT_L_X0Y15 DEVICE_ID 0 TILEPROP INT_L_X0Y15 FIRST_SITE_ID 14118 TILEPROP INT_L_X0Y15 GRID_POINT_X 4 TILEPROP INT_L_X0Y15 GRID_POINT_Y 140 TILEPROP INT_L_X0Y15 INDEX 16104 TILEPROP INT_L_X0Y15 INT_TILE_X 0 TILEPROP INT_L_X0Y15 INT_TILE_Y 134 TILEPROP INT_L_X0Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y15 IS_DCM_TILE 0 TILEPROP INT_L_X0Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y15 NAME INT_L_X0Y15 TILEPROP INT_L_X0Y15 NUM_ARCS 3737 TILEPROP INT_L_X0Y15 NUM_SITES 1 TILEPROP INT_L_X0Y15 ROW 140 TILEPROP INT_L_X0Y15 SLR_REGION_ID 0 TILEPROP INT_L_X0Y15 TILE_PATTERN_IDX 2949 TILEPROP INT_L_X0Y15 TILE_TYPE INT_L TILEPROP INT_L_X0Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y15 TILE_X -97166 TILEPROP INT_L_X0Y15 TILE_Y -191672 TILEPROP INT_L_X0Y15 TYPE INT_L TILEPROP INT_L_X0Y16 CLASS tile TILEPROP INT_L_X0Y16 COLUMN 4 TILEPROP INT_L_X0Y16 DEVICE_ID 0 TILEPROP INT_L_X0Y16 FIRST_SITE_ID 14018 TILEPROP INT_L_X0Y16 GRID_POINT_X 4 TILEPROP INT_L_X0Y16 GRID_POINT_Y 139 TILEPROP INT_L_X0Y16 INDEX 15989 TILEPROP INT_L_X0Y16 INT_TILE_X 0 TILEPROP INT_L_X0Y16 INT_TILE_Y 133 TILEPROP INT_L_X0Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y16 IS_DCM_TILE 0 TILEPROP INT_L_X0Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y16 NAME INT_L_X0Y16 TILEPROP INT_L_X0Y16 NUM_ARCS 3737 TILEPROP INT_L_X0Y16 NUM_SITES 1 TILEPROP INT_L_X0Y16 ROW 139 TILEPROP INT_L_X0Y16 SLR_REGION_ID 0 TILEPROP INT_L_X0Y16 TILE_PATTERN_IDX 2914 TILEPROP INT_L_X0Y16 TILE_TYPE INT_L TILEPROP INT_L_X0Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y16 TILE_X -97166 TILEPROP INT_L_X0Y16 TILE_Y -188472 TILEPROP INT_L_X0Y16 TYPE INT_L TILEPROP INT_L_X0Y17 CLASS tile TILEPROP INT_L_X0Y17 COLUMN 4 TILEPROP INT_L_X0Y17 DEVICE_ID 0 TILEPROP INT_L_X0Y17 FIRST_SITE_ID 13909 TILEPROP INT_L_X0Y17 GRID_POINT_X 4 TILEPROP INT_L_X0Y17 GRID_POINT_Y 138 TILEPROP INT_L_X0Y17 INDEX 15874 TILEPROP INT_L_X0Y17 INT_TILE_X 0 TILEPROP INT_L_X0Y17 INT_TILE_Y 132 TILEPROP INT_L_X0Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y17 IS_DCM_TILE 0 TILEPROP INT_L_X0Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y17 NAME INT_L_X0Y17 TILEPROP INT_L_X0Y17 NUM_ARCS 3737 TILEPROP INT_L_X0Y17 NUM_SITES 1 TILEPROP INT_L_X0Y17 ROW 138 TILEPROP INT_L_X0Y17 SLR_REGION_ID 0 TILEPROP INT_L_X0Y17 TILE_PATTERN_IDX 2874 TILEPROP INT_L_X0Y17 TILE_TYPE INT_L TILEPROP INT_L_X0Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y17 TILE_X -97166 TILEPROP INT_L_X0Y17 TILE_Y -185272 TILEPROP INT_L_X0Y17 TYPE INT_L TILEPROP INT_L_X0Y18 CLASS tile TILEPROP INT_L_X0Y18 COLUMN 4 TILEPROP INT_L_X0Y18 DEVICE_ID 0 TILEPROP INT_L_X0Y18 FIRST_SITE_ID 13809 TILEPROP INT_L_X0Y18 GRID_POINT_X 4 TILEPROP INT_L_X0Y18 GRID_POINT_Y 137 TILEPROP INT_L_X0Y18 INDEX 15759 TILEPROP INT_L_X0Y18 INT_TILE_X 0 TILEPROP INT_L_X0Y18 INT_TILE_Y 131 TILEPROP INT_L_X0Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y18 IS_DCM_TILE 0 TILEPROP INT_L_X0Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y18 NAME INT_L_X0Y18 TILEPROP INT_L_X0Y18 NUM_ARCS 3737 TILEPROP INT_L_X0Y18 NUM_SITES 1 TILEPROP INT_L_X0Y18 ROW 137 TILEPROP INT_L_X0Y18 SLR_REGION_ID 0 TILEPROP INT_L_X0Y18 TILE_PATTERN_IDX 2839 TILEPROP INT_L_X0Y18 TILE_TYPE INT_L TILEPROP INT_L_X0Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y18 TILE_X -97166 TILEPROP INT_L_X0Y18 TILE_Y -182072 TILEPROP INT_L_X0Y18 TYPE INT_L TILEPROP INT_L_X0Y19 CLASS tile TILEPROP INT_L_X0Y19 COLUMN 4 TILEPROP INT_L_X0Y19 DEVICE_ID 0 TILEPROP INT_L_X0Y19 FIRST_SITE_ID 13705 TILEPROP INT_L_X0Y19 GRID_POINT_X 4 TILEPROP INT_L_X0Y19 GRID_POINT_Y 136 TILEPROP INT_L_X0Y19 INDEX 15644 TILEPROP INT_L_X0Y19 INT_TILE_X 0 TILEPROP INT_L_X0Y19 INT_TILE_Y 130 TILEPROP INT_L_X0Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y19 IS_DCM_TILE 0 TILEPROP INT_L_X0Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y19 NAME INT_L_X0Y19 TILEPROP INT_L_X0Y19 NUM_ARCS 3737 TILEPROP INT_L_X0Y19 NUM_SITES 1 TILEPROP INT_L_X0Y19 ROW 136 TILEPROP INT_L_X0Y19 SLR_REGION_ID 0 TILEPROP INT_L_X0Y19 TILE_PATTERN_IDX 2802 TILEPROP INT_L_X0Y19 TILE_TYPE INT_L TILEPROP INT_L_X0Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y19 TILE_X -97166 TILEPROP INT_L_X0Y19 TILE_Y -178872 TILEPROP INT_L_X0Y19 TYPE INT_L TILEPROP INT_L_X0Y20 CLASS tile TILEPROP INT_L_X0Y20 COLUMN 4 TILEPROP INT_L_X0Y20 DEVICE_ID 0 TILEPROP INT_L_X0Y20 FIRST_SITE_ID 13590 TILEPROP INT_L_X0Y20 GRID_POINT_X 4 TILEPROP INT_L_X0Y20 GRID_POINT_Y 135 TILEPROP INT_L_X0Y20 INDEX 15529 TILEPROP INT_L_X0Y20 INT_TILE_X 0 TILEPROP INT_L_X0Y20 INT_TILE_Y 129 TILEPROP INT_L_X0Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y20 IS_DCM_TILE 0 TILEPROP INT_L_X0Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y20 NAME INT_L_X0Y20 TILEPROP INT_L_X0Y20 NUM_ARCS 3737 TILEPROP INT_L_X0Y20 NUM_SITES 1 TILEPROP INT_L_X0Y20 ROW 135 TILEPROP INT_L_X0Y20 SLR_REGION_ID 0 TILEPROP INT_L_X0Y20 TILE_PATTERN_IDX 2766 TILEPROP INT_L_X0Y20 TILE_TYPE INT_L TILEPROP INT_L_X0Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y20 TILE_X -97166 TILEPROP INT_L_X0Y20 TILE_Y -175672 TILEPROP INT_L_X0Y20 TYPE INT_L TILEPROP INT_L_X0Y21 CLASS tile TILEPROP INT_L_X0Y21 COLUMN 4 TILEPROP INT_L_X0Y21 DEVICE_ID 0 TILEPROP INT_L_X0Y21 FIRST_SITE_ID 13490 TILEPROP INT_L_X0Y21 GRID_POINT_X 4 TILEPROP INT_L_X0Y21 GRID_POINT_Y 134 TILEPROP INT_L_X0Y21 INDEX 15414 TILEPROP INT_L_X0Y21 INT_TILE_X 0 TILEPROP INT_L_X0Y21 INT_TILE_Y 128 TILEPROP INT_L_X0Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y21 IS_DCM_TILE 0 TILEPROP INT_L_X0Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y21 NAME INT_L_X0Y21 TILEPROP INT_L_X0Y21 NUM_ARCS 3737 TILEPROP INT_L_X0Y21 NUM_SITES 1 TILEPROP INT_L_X0Y21 ROW 134 TILEPROP INT_L_X0Y21 SLR_REGION_ID 0 TILEPROP INT_L_X0Y21 TILE_PATTERN_IDX 2729 TILEPROP INT_L_X0Y21 TILE_TYPE INT_L TILEPROP INT_L_X0Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y21 TILE_X -97166 TILEPROP INT_L_X0Y21 TILE_Y -172472 TILEPROP INT_L_X0Y21 TYPE INT_L TILEPROP INT_L_X0Y22 CLASS tile TILEPROP INT_L_X0Y22 COLUMN 4 TILEPROP INT_L_X0Y22 DEVICE_ID 0 TILEPROP INT_L_X0Y22 FIRST_SITE_ID 13390 TILEPROP INT_L_X0Y22 GRID_POINT_X 4 TILEPROP INT_L_X0Y22 GRID_POINT_Y 133 TILEPROP INT_L_X0Y22 INDEX 15299 TILEPROP INT_L_X0Y22 INT_TILE_X 0 TILEPROP INT_L_X0Y22 INT_TILE_Y 127 TILEPROP INT_L_X0Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y22 IS_DCM_TILE 0 TILEPROP INT_L_X0Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y22 NAME INT_L_X0Y22 TILEPROP INT_L_X0Y22 NUM_ARCS 3737 TILEPROP INT_L_X0Y22 NUM_SITES 1 TILEPROP INT_L_X0Y22 ROW 133 TILEPROP INT_L_X0Y22 SLR_REGION_ID 0 TILEPROP INT_L_X0Y22 TILE_PATTERN_IDX 2693 TILEPROP INT_L_X0Y22 TILE_TYPE INT_L TILEPROP INT_L_X0Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y22 TILE_X -97166 TILEPROP INT_L_X0Y22 TILE_Y -169272 TILEPROP INT_L_X0Y22 TYPE INT_L TILEPROP INT_L_X0Y23 CLASS tile TILEPROP INT_L_X0Y23 COLUMN 4 TILEPROP INT_L_X0Y23 DEVICE_ID 0 TILEPROP INT_L_X0Y23 FIRST_SITE_ID 13290 TILEPROP INT_L_X0Y23 GRID_POINT_X 4 TILEPROP INT_L_X0Y23 GRID_POINT_Y 132 TILEPROP INT_L_X0Y23 INDEX 15184 TILEPROP INT_L_X0Y23 INT_TILE_X 0 TILEPROP INT_L_X0Y23 INT_TILE_Y 126 TILEPROP INT_L_X0Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y23 IS_DCM_TILE 0 TILEPROP INT_L_X0Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y23 NAME INT_L_X0Y23 TILEPROP INT_L_X0Y23 NUM_ARCS 3737 TILEPROP INT_L_X0Y23 NUM_SITES 1 TILEPROP INT_L_X0Y23 ROW 132 TILEPROP INT_L_X0Y23 SLR_REGION_ID 0 TILEPROP INT_L_X0Y23 TILE_PATTERN_IDX 2656 TILEPROP INT_L_X0Y23 TILE_TYPE INT_L TILEPROP INT_L_X0Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y23 TILE_X -97166 TILEPROP INT_L_X0Y23 TILE_Y -166072 TILEPROP INT_L_X0Y23 TYPE INT_L TILEPROP INT_L_X0Y24 CLASS tile TILEPROP INT_L_X0Y24 COLUMN 4 TILEPROP INT_L_X0Y24 DEVICE_ID 0 TILEPROP INT_L_X0Y24 FIRST_SITE_ID 13190 TILEPROP INT_L_X0Y24 GRID_POINT_X 4 TILEPROP INT_L_X0Y24 GRID_POINT_Y 131 TILEPROP INT_L_X0Y24 INDEX 15069 TILEPROP INT_L_X0Y24 INT_TILE_X 0 TILEPROP INT_L_X0Y24 INT_TILE_Y 125 TILEPROP INT_L_X0Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y24 IS_DCM_TILE 0 TILEPROP INT_L_X0Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y24 NAME INT_L_X0Y24 TILEPROP INT_L_X0Y24 NUM_ARCS 3737 TILEPROP INT_L_X0Y24 NUM_SITES 1 TILEPROP INT_L_X0Y24 ROW 131 TILEPROP INT_L_X0Y24 SLR_REGION_ID 0 TILEPROP INT_L_X0Y24 TILE_PATTERN_IDX 2620 TILEPROP INT_L_X0Y24 TILE_TYPE INT_L TILEPROP INT_L_X0Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y24 TILE_X -97166 TILEPROP INT_L_X0Y24 TILE_Y -162872 TILEPROP INT_L_X0Y24 TYPE INT_L TILEPROP INT_L_X0Y25 CLASS tile TILEPROP INT_L_X0Y25 COLUMN 4 TILEPROP INT_L_X0Y25 DEVICE_ID 0 TILEPROP INT_L_X0Y25 FIRST_SITE_ID 12992 TILEPROP INT_L_X0Y25 GRID_POINT_X 4 TILEPROP INT_L_X0Y25 GRID_POINT_Y 129 TILEPROP INT_L_X0Y25 INDEX 14839 TILEPROP INT_L_X0Y25 INT_TILE_X 0 TILEPROP INT_L_X0Y25 INT_TILE_Y 124 TILEPROP INT_L_X0Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y25 IS_DCM_TILE 0 TILEPROP INT_L_X0Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y25 NAME INT_L_X0Y25 TILEPROP INT_L_X0Y25 NUM_ARCS 3737 TILEPROP INT_L_X0Y25 NUM_SITES 1 TILEPROP INT_L_X0Y25 ROW 129 TILEPROP INT_L_X0Y25 SLR_REGION_ID 0 TILEPROP INT_L_X0Y25 TILE_PATTERN_IDX 2544 TILEPROP INT_L_X0Y25 TILE_TYPE INT_L TILEPROP INT_L_X0Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y25 TILE_X -97166 TILEPROP INT_L_X0Y25 TILE_Y -158648 TILEPROP INT_L_X0Y25 TYPE INT_L TILEPROP INT_L_X0Y26 CLASS tile TILEPROP INT_L_X0Y26 COLUMN 4 TILEPROP INT_L_X0Y26 DEVICE_ID 0 TILEPROP INT_L_X0Y26 FIRST_SITE_ID 12892 TILEPROP INT_L_X0Y26 GRID_POINT_X 4 TILEPROP INT_L_X0Y26 GRID_POINT_Y 128 TILEPROP INT_L_X0Y26 INDEX 14724 TILEPROP INT_L_X0Y26 INT_TILE_X 0 TILEPROP INT_L_X0Y26 INT_TILE_Y 123 TILEPROP INT_L_X0Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y26 IS_DCM_TILE 0 TILEPROP INT_L_X0Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y26 NAME INT_L_X0Y26 TILEPROP INT_L_X0Y26 NUM_ARCS 3737 TILEPROP INT_L_X0Y26 NUM_SITES 1 TILEPROP INT_L_X0Y26 ROW 128 TILEPROP INT_L_X0Y26 SLR_REGION_ID 0 TILEPROP INT_L_X0Y26 TILE_PATTERN_IDX 2508 TILEPROP INT_L_X0Y26 TILE_TYPE INT_L TILEPROP INT_L_X0Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y26 TILE_X -97166 TILEPROP INT_L_X0Y26 TILE_Y -155448 TILEPROP INT_L_X0Y26 TYPE INT_L TILEPROP INT_L_X0Y27 CLASS tile TILEPROP INT_L_X0Y27 COLUMN 4 TILEPROP INT_L_X0Y27 DEVICE_ID 0 TILEPROP INT_L_X0Y27 FIRST_SITE_ID 12792 TILEPROP INT_L_X0Y27 GRID_POINT_X 4 TILEPROP INT_L_X0Y27 GRID_POINT_Y 127 TILEPROP INT_L_X0Y27 INDEX 14609 TILEPROP INT_L_X0Y27 INT_TILE_X 0 TILEPROP INT_L_X0Y27 INT_TILE_Y 122 TILEPROP INT_L_X0Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y27 IS_DCM_TILE 0 TILEPROP INT_L_X0Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y27 NAME INT_L_X0Y27 TILEPROP INT_L_X0Y27 NUM_ARCS 3737 TILEPROP INT_L_X0Y27 NUM_SITES 1 TILEPROP INT_L_X0Y27 ROW 127 TILEPROP INT_L_X0Y27 SLR_REGION_ID 0 TILEPROP INT_L_X0Y27 TILE_PATTERN_IDX 2471 TILEPROP INT_L_X0Y27 TILE_TYPE INT_L TILEPROP INT_L_X0Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y27 TILE_X -97166 TILEPROP INT_L_X0Y27 TILE_Y -152248 TILEPROP INT_L_X0Y27 TYPE INT_L TILEPROP INT_L_X0Y28 CLASS tile TILEPROP INT_L_X0Y28 COLUMN 4 TILEPROP INT_L_X0Y28 DEVICE_ID 0 TILEPROP INT_L_X0Y28 FIRST_SITE_ID 12692 TILEPROP INT_L_X0Y28 GRID_POINT_X 4 TILEPROP INT_L_X0Y28 GRID_POINT_Y 126 TILEPROP INT_L_X0Y28 INDEX 14494 TILEPROP INT_L_X0Y28 INT_TILE_X 0 TILEPROP INT_L_X0Y28 INT_TILE_Y 121 TILEPROP INT_L_X0Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y28 IS_DCM_TILE 0 TILEPROP INT_L_X0Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y28 NAME INT_L_X0Y28 TILEPROP INT_L_X0Y28 NUM_ARCS 3737 TILEPROP INT_L_X0Y28 NUM_SITES 1 TILEPROP INT_L_X0Y28 ROW 126 TILEPROP INT_L_X0Y28 SLR_REGION_ID 0 TILEPROP INT_L_X0Y28 TILE_PATTERN_IDX 2435 TILEPROP INT_L_X0Y28 TILE_TYPE INT_L TILEPROP INT_L_X0Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y28 TILE_X -97166 TILEPROP INT_L_X0Y28 TILE_Y -149048 TILEPROP INT_L_X0Y28 TYPE INT_L TILEPROP INT_L_X0Y29 CLASS tile TILEPROP INT_L_X0Y29 COLUMN 4 TILEPROP INT_L_X0Y29 DEVICE_ID 0 TILEPROP INT_L_X0Y29 FIRST_SITE_ID 12580 TILEPROP INT_L_X0Y29 GRID_POINT_X 4 TILEPROP INT_L_X0Y29 GRID_POINT_Y 125 TILEPROP INT_L_X0Y29 INDEX 14379 TILEPROP INT_L_X0Y29 INT_TILE_X 0 TILEPROP INT_L_X0Y29 INT_TILE_Y 120 TILEPROP INT_L_X0Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y29 IS_DCM_TILE 0 TILEPROP INT_L_X0Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y29 NAME INT_L_X0Y29 TILEPROP INT_L_X0Y29 NUM_ARCS 3737 TILEPROP INT_L_X0Y29 NUM_SITES 1 TILEPROP INT_L_X0Y29 ROW 125 TILEPROP INT_L_X0Y29 SLR_REGION_ID 0 TILEPROP INT_L_X0Y29 TILE_PATTERN_IDX 2397 TILEPROP INT_L_X0Y29 TILE_TYPE INT_L TILEPROP INT_L_X0Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y29 TILE_X -97166 TILEPROP INT_L_X0Y29 TILE_Y -145848 TILEPROP INT_L_X0Y29 TYPE INT_L TILEPROP INT_L_X0Y30 CLASS tile TILEPROP INT_L_X0Y30 COLUMN 4 TILEPROP INT_L_X0Y30 DEVICE_ID 0 TILEPROP INT_L_X0Y30 FIRST_SITE_ID 12452 TILEPROP INT_L_X0Y30 GRID_POINT_X 4 TILEPROP INT_L_X0Y30 GRID_POINT_Y 124 TILEPROP INT_L_X0Y30 INDEX 14264 TILEPROP INT_L_X0Y30 INT_TILE_X 0 TILEPROP INT_L_X0Y30 INT_TILE_Y 119 TILEPROP INT_L_X0Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y30 IS_DCM_TILE 0 TILEPROP INT_L_X0Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y30 NAME INT_L_X0Y30 TILEPROP INT_L_X0Y30 NUM_ARCS 3737 TILEPROP INT_L_X0Y30 NUM_SITES 1 TILEPROP INT_L_X0Y30 ROW 124 TILEPROP INT_L_X0Y30 SLR_REGION_ID 0 TILEPROP INT_L_X0Y30 TILE_PATTERN_IDX 2360 TILEPROP INT_L_X0Y30 TILE_TYPE INT_L TILEPROP INT_L_X0Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y30 TILE_X -97166 TILEPROP INT_L_X0Y30 TILE_Y -142648 TILEPROP INT_L_X0Y30 TYPE INT_L TILEPROP INT_L_X0Y31 CLASS tile TILEPROP INT_L_X0Y31 COLUMN 4 TILEPROP INT_L_X0Y31 DEVICE_ID 0 TILEPROP INT_L_X0Y31 FIRST_SITE_ID 12348 TILEPROP INT_L_X0Y31 GRID_POINT_X 4 TILEPROP INT_L_X0Y31 GRID_POINT_Y 123 TILEPROP INT_L_X0Y31 INDEX 14149 TILEPROP INT_L_X0Y31 INT_TILE_X 0 TILEPROP INT_L_X0Y31 INT_TILE_Y 118 TILEPROP INT_L_X0Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y31 IS_DCM_TILE 0 TILEPROP INT_L_X0Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y31 NAME INT_L_X0Y31 TILEPROP INT_L_X0Y31 NUM_ARCS 3737 TILEPROP INT_L_X0Y31 NUM_SITES 1 TILEPROP INT_L_X0Y31 ROW 123 TILEPROP INT_L_X0Y31 SLR_REGION_ID 0 TILEPROP INT_L_X0Y31 TILE_PATTERN_IDX 2323 TILEPROP INT_L_X0Y31 TILE_TYPE INT_L TILEPROP INT_L_X0Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y31 TILE_X -97166 TILEPROP INT_L_X0Y31 TILE_Y -139448 TILEPROP INT_L_X0Y31 TYPE INT_L TILEPROP INT_L_X0Y32 CLASS tile TILEPROP INT_L_X0Y32 COLUMN 4 TILEPROP INT_L_X0Y32 DEVICE_ID 0 TILEPROP INT_L_X0Y32 FIRST_SITE_ID 12247 TILEPROP INT_L_X0Y32 GRID_POINT_X 4 TILEPROP INT_L_X0Y32 GRID_POINT_Y 122 TILEPROP INT_L_X0Y32 INDEX 14034 TILEPROP INT_L_X0Y32 INT_TILE_X 0 TILEPROP INT_L_X0Y32 INT_TILE_Y 117 TILEPROP INT_L_X0Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y32 IS_DCM_TILE 0 TILEPROP INT_L_X0Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y32 NAME INT_L_X0Y32 TILEPROP INT_L_X0Y32 NUM_ARCS 3737 TILEPROP INT_L_X0Y32 NUM_SITES 1 TILEPROP INT_L_X0Y32 ROW 122 TILEPROP INT_L_X0Y32 SLR_REGION_ID 0 TILEPROP INT_L_X0Y32 TILE_PATTERN_IDX 2286 TILEPROP INT_L_X0Y32 TILE_TYPE INT_L TILEPROP INT_L_X0Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y32 TILE_X -97166 TILEPROP INT_L_X0Y32 TILE_Y -136248 TILEPROP INT_L_X0Y32 TYPE INT_L TILEPROP INT_L_X0Y33 CLASS tile TILEPROP INT_L_X0Y33 COLUMN 4 TILEPROP INT_L_X0Y33 DEVICE_ID 0 TILEPROP INT_L_X0Y33 FIRST_SITE_ID 12147 TILEPROP INT_L_X0Y33 GRID_POINT_X 4 TILEPROP INT_L_X0Y33 GRID_POINT_Y 121 TILEPROP INT_L_X0Y33 INDEX 13919 TILEPROP INT_L_X0Y33 INT_TILE_X 0 TILEPROP INT_L_X0Y33 INT_TILE_Y 116 TILEPROP INT_L_X0Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y33 IS_DCM_TILE 0 TILEPROP INT_L_X0Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y33 NAME INT_L_X0Y33 TILEPROP INT_L_X0Y33 NUM_ARCS 3737 TILEPROP INT_L_X0Y33 NUM_SITES 1 TILEPROP INT_L_X0Y33 ROW 121 TILEPROP INT_L_X0Y33 SLR_REGION_ID 0 TILEPROP INT_L_X0Y33 TILE_PATTERN_IDX 2250 TILEPROP INT_L_X0Y33 TILE_TYPE INT_L TILEPROP INT_L_X0Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y33 TILE_X -97166 TILEPROP INT_L_X0Y33 TILE_Y -133048 TILEPROP INT_L_X0Y33 TYPE INT_L TILEPROP INT_L_X0Y34 CLASS tile TILEPROP INT_L_X0Y34 COLUMN 4 TILEPROP INT_L_X0Y34 DEVICE_ID 0 TILEPROP INT_L_X0Y34 FIRST_SITE_ID 12047 TILEPROP INT_L_X0Y34 GRID_POINT_X 4 TILEPROP INT_L_X0Y34 GRID_POINT_Y 120 TILEPROP INT_L_X0Y34 INDEX 13804 TILEPROP INT_L_X0Y34 INT_TILE_X 0 TILEPROP INT_L_X0Y34 INT_TILE_Y 115 TILEPROP INT_L_X0Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y34 IS_DCM_TILE 0 TILEPROP INT_L_X0Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y34 NAME INT_L_X0Y34 TILEPROP INT_L_X0Y34 NUM_ARCS 3737 TILEPROP INT_L_X0Y34 NUM_SITES 1 TILEPROP INT_L_X0Y34 ROW 120 TILEPROP INT_L_X0Y34 SLR_REGION_ID 0 TILEPROP INT_L_X0Y34 TILE_PATTERN_IDX 2215 TILEPROP INT_L_X0Y34 TILE_TYPE INT_L TILEPROP INT_L_X0Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y34 TILE_X -97166 TILEPROP INT_L_X0Y34 TILE_Y -129848 TILEPROP INT_L_X0Y34 TYPE INT_L TILEPROP INT_L_X0Y35 CLASS tile TILEPROP INT_L_X0Y35 COLUMN 4 TILEPROP INT_L_X0Y35 DEVICE_ID 0 TILEPROP INT_L_X0Y35 FIRST_SITE_ID 11932 TILEPROP INT_L_X0Y35 GRID_POINT_X 4 TILEPROP INT_L_X0Y35 GRID_POINT_Y 119 TILEPROP INT_L_X0Y35 INDEX 13689 TILEPROP INT_L_X0Y35 INT_TILE_X 0 TILEPROP INT_L_X0Y35 INT_TILE_Y 114 TILEPROP INT_L_X0Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y35 IS_DCM_TILE 0 TILEPROP INT_L_X0Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y35 NAME INT_L_X0Y35 TILEPROP INT_L_X0Y35 NUM_ARCS 3737 TILEPROP INT_L_X0Y35 NUM_SITES 1 TILEPROP INT_L_X0Y35 ROW 119 TILEPROP INT_L_X0Y35 SLR_REGION_ID 0 TILEPROP INT_L_X0Y35 TILE_PATTERN_IDX 2178 TILEPROP INT_L_X0Y35 TILE_TYPE INT_L TILEPROP INT_L_X0Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y35 TILE_X -97166 TILEPROP INT_L_X0Y35 TILE_Y -126648 TILEPROP INT_L_X0Y35 TYPE INT_L TILEPROP INT_L_X0Y36 CLASS tile TILEPROP INT_L_X0Y36 COLUMN 4 TILEPROP INT_L_X0Y36 DEVICE_ID 0 TILEPROP INT_L_X0Y36 FIRST_SITE_ID 11800 TILEPROP INT_L_X0Y36 GRID_POINT_X 4 TILEPROP INT_L_X0Y36 GRID_POINT_Y 118 TILEPROP INT_L_X0Y36 INDEX 13574 TILEPROP INT_L_X0Y36 INT_TILE_X 0 TILEPROP INT_L_X0Y36 INT_TILE_Y 113 TILEPROP INT_L_X0Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y36 IS_DCM_TILE 0 TILEPROP INT_L_X0Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y36 NAME INT_L_X0Y36 TILEPROP INT_L_X0Y36 NUM_ARCS 3737 TILEPROP INT_L_X0Y36 NUM_SITES 1 TILEPROP INT_L_X0Y36 ROW 118 TILEPROP INT_L_X0Y36 SLR_REGION_ID 0 TILEPROP INT_L_X0Y36 TILE_PATTERN_IDX 2142 TILEPROP INT_L_X0Y36 TILE_TYPE INT_L TILEPROP INT_L_X0Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y36 TILE_X -97166 TILEPROP INT_L_X0Y36 TILE_Y -123448 TILEPROP INT_L_X0Y36 TYPE INT_L TILEPROP INT_L_X0Y37 CLASS tile TILEPROP INT_L_X0Y37 COLUMN 4 TILEPROP INT_L_X0Y37 DEVICE_ID 0 TILEPROP INT_L_X0Y37 FIRST_SITE_ID 11700 TILEPROP INT_L_X0Y37 GRID_POINT_X 4 TILEPROP INT_L_X0Y37 GRID_POINT_Y 117 TILEPROP INT_L_X0Y37 INDEX 13459 TILEPROP INT_L_X0Y37 INT_TILE_X 0 TILEPROP INT_L_X0Y37 INT_TILE_Y 112 TILEPROP INT_L_X0Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y37 IS_DCM_TILE 0 TILEPROP INT_L_X0Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y37 NAME INT_L_X0Y37 TILEPROP INT_L_X0Y37 NUM_ARCS 3737 TILEPROP INT_L_X0Y37 NUM_SITES 1 TILEPROP INT_L_X0Y37 ROW 117 TILEPROP INT_L_X0Y37 SLR_REGION_ID 0 TILEPROP INT_L_X0Y37 TILE_PATTERN_IDX 2105 TILEPROP INT_L_X0Y37 TILE_TYPE INT_L TILEPROP INT_L_X0Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y37 TILE_X -97166 TILEPROP INT_L_X0Y37 TILE_Y -120248 TILEPROP INT_L_X0Y37 TYPE INT_L TILEPROP INT_L_X0Y38 CLASS tile TILEPROP INT_L_X0Y38 COLUMN 4 TILEPROP INT_L_X0Y38 DEVICE_ID 0 TILEPROP INT_L_X0Y38 FIRST_SITE_ID 11600 TILEPROP INT_L_X0Y38 GRID_POINT_X 4 TILEPROP INT_L_X0Y38 GRID_POINT_Y 116 TILEPROP INT_L_X0Y38 INDEX 13344 TILEPROP INT_L_X0Y38 INT_TILE_X 0 TILEPROP INT_L_X0Y38 INT_TILE_Y 111 TILEPROP INT_L_X0Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y38 IS_DCM_TILE 0 TILEPROP INT_L_X0Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y38 NAME INT_L_X0Y38 TILEPROP INT_L_X0Y38 NUM_ARCS 3737 TILEPROP INT_L_X0Y38 NUM_SITES 1 TILEPROP INT_L_X0Y38 ROW 116 TILEPROP INT_L_X0Y38 SLR_REGION_ID 0 TILEPROP INT_L_X0Y38 TILE_PATTERN_IDX 2070 TILEPROP INT_L_X0Y38 TILE_TYPE INT_L TILEPROP INT_L_X0Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y38 TILE_X -97166 TILEPROP INT_L_X0Y38 TILE_Y -117048 TILEPROP INT_L_X0Y38 TYPE INT_L TILEPROP INT_L_X0Y39 CLASS tile TILEPROP INT_L_X0Y39 COLUMN 4 TILEPROP INT_L_X0Y39 DEVICE_ID 0 TILEPROP INT_L_X0Y39 FIRST_SITE_ID 11500 TILEPROP INT_L_X0Y39 GRID_POINT_X 4 TILEPROP INT_L_X0Y39 GRID_POINT_Y 115 TILEPROP INT_L_X0Y39 INDEX 13229 TILEPROP INT_L_X0Y39 INT_TILE_X 0 TILEPROP INT_L_X0Y39 INT_TILE_Y 110 TILEPROP INT_L_X0Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y39 IS_DCM_TILE 0 TILEPROP INT_L_X0Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y39 NAME INT_L_X0Y39 TILEPROP INT_L_X0Y39 NUM_ARCS 3737 TILEPROP INT_L_X0Y39 NUM_SITES 1 TILEPROP INT_L_X0Y39 ROW 115 TILEPROP INT_L_X0Y39 SLR_REGION_ID 0 TILEPROP INT_L_X0Y39 TILE_PATTERN_IDX 2034 TILEPROP INT_L_X0Y39 TILE_TYPE INT_L TILEPROP INT_L_X0Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y39 TILE_X -97166 TILEPROP INT_L_X0Y39 TILE_Y -113848 TILEPROP INT_L_X0Y39 TYPE INT_L TILEPROP INT_L_X0Y40 CLASS tile TILEPROP INT_L_X0Y40 COLUMN 4 TILEPROP INT_L_X0Y40 DEVICE_ID 0 TILEPROP INT_L_X0Y40 FIRST_SITE_ID 11383 TILEPROP INT_L_X0Y40 GRID_POINT_X 4 TILEPROP INT_L_X0Y40 GRID_POINT_Y 114 TILEPROP INT_L_X0Y40 INDEX 13114 TILEPROP INT_L_X0Y40 INT_TILE_X 0 TILEPROP INT_L_X0Y40 INT_TILE_Y 109 TILEPROP INT_L_X0Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y40 IS_DCM_TILE 0 TILEPROP INT_L_X0Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y40 NAME INT_L_X0Y40 TILEPROP INT_L_X0Y40 NUM_ARCS 3737 TILEPROP INT_L_X0Y40 NUM_SITES 1 TILEPROP INT_L_X0Y40 ROW 114 TILEPROP INT_L_X0Y40 SLR_REGION_ID 0 TILEPROP INT_L_X0Y40 TILE_PATTERN_IDX 1996 TILEPROP INT_L_X0Y40 TILE_TYPE INT_L TILEPROP INT_L_X0Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y40 TILE_X -97166 TILEPROP INT_L_X0Y40 TILE_Y -110648 TILEPROP INT_L_X0Y40 TYPE INT_L TILEPROP INT_L_X0Y41 CLASS tile TILEPROP INT_L_X0Y41 COLUMN 4 TILEPROP INT_L_X0Y41 DEVICE_ID 0 TILEPROP INT_L_X0Y41 FIRST_SITE_ID 11282 TILEPROP INT_L_X0Y41 GRID_POINT_X 4 TILEPROP INT_L_X0Y41 GRID_POINT_Y 113 TILEPROP INT_L_X0Y41 INDEX 12999 TILEPROP INT_L_X0Y41 INT_TILE_X 0 TILEPROP INT_L_X0Y41 INT_TILE_Y 108 TILEPROP INT_L_X0Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y41 IS_DCM_TILE 0 TILEPROP INT_L_X0Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y41 NAME INT_L_X0Y41 TILEPROP INT_L_X0Y41 NUM_ARCS 3737 TILEPROP INT_L_X0Y41 NUM_SITES 1 TILEPROP INT_L_X0Y41 ROW 113 TILEPROP INT_L_X0Y41 SLR_REGION_ID 0 TILEPROP INT_L_X0Y41 TILE_PATTERN_IDX 1958 TILEPROP INT_L_X0Y41 TILE_TYPE INT_L TILEPROP INT_L_X0Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y41 TILE_X -97166 TILEPROP INT_L_X0Y41 TILE_Y -107448 TILEPROP INT_L_X0Y41 TYPE INT_L TILEPROP INT_L_X0Y42 CLASS tile TILEPROP INT_L_X0Y42 COLUMN 4 TILEPROP INT_L_X0Y42 DEVICE_ID 0 TILEPROP INT_L_X0Y42 FIRST_SITE_ID 11180 TILEPROP INT_L_X0Y42 GRID_POINT_X 4 TILEPROP INT_L_X0Y42 GRID_POINT_Y 112 TILEPROP INT_L_X0Y42 INDEX 12884 TILEPROP INT_L_X0Y42 INT_TILE_X 0 TILEPROP INT_L_X0Y42 INT_TILE_Y 107 TILEPROP INT_L_X0Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y42 IS_DCM_TILE 0 TILEPROP INT_L_X0Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y42 NAME INT_L_X0Y42 TILEPROP INT_L_X0Y42 NUM_ARCS 3737 TILEPROP INT_L_X0Y42 NUM_SITES 1 TILEPROP INT_L_X0Y42 ROW 112 TILEPROP INT_L_X0Y42 SLR_REGION_ID 0 TILEPROP INT_L_X0Y42 TILE_PATTERN_IDX 1921 TILEPROP INT_L_X0Y42 TILE_TYPE INT_L TILEPROP INT_L_X0Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y42 TILE_X -97166 TILEPROP INT_L_X0Y42 TILE_Y -104248 TILEPROP INT_L_X0Y42 TYPE INT_L TILEPROP INT_L_X0Y43 CLASS tile TILEPROP INT_L_X0Y43 COLUMN 4 TILEPROP INT_L_X0Y43 DEVICE_ID 0 TILEPROP INT_L_X0Y43 FIRST_SITE_ID 11076 TILEPROP INT_L_X0Y43 GRID_POINT_X 4 TILEPROP INT_L_X0Y43 GRID_POINT_Y 111 TILEPROP INT_L_X0Y43 INDEX 12769 TILEPROP INT_L_X0Y43 INT_TILE_X 0 TILEPROP INT_L_X0Y43 INT_TILE_Y 106 TILEPROP INT_L_X0Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y43 IS_DCM_TILE 0 TILEPROP INT_L_X0Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y43 NAME INT_L_X0Y43 TILEPROP INT_L_X0Y43 NUM_ARCS 3737 TILEPROP INT_L_X0Y43 NUM_SITES 1 TILEPROP INT_L_X0Y43 ROW 111 TILEPROP INT_L_X0Y43 SLR_REGION_ID 0 TILEPROP INT_L_X0Y43 TILE_PATTERN_IDX 1883 TILEPROP INT_L_X0Y43 TILE_TYPE INT_L TILEPROP INT_L_X0Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y43 TILE_X -97166 TILEPROP INT_L_X0Y43 TILE_Y -101048 TILEPROP INT_L_X0Y43 TYPE INT_L TILEPROP INT_L_X0Y44 CLASS tile TILEPROP INT_L_X0Y44 COLUMN 4 TILEPROP INT_L_X0Y44 DEVICE_ID 0 TILEPROP INT_L_X0Y44 FIRST_SITE_ID 10976 TILEPROP INT_L_X0Y44 GRID_POINT_X 4 TILEPROP INT_L_X0Y44 GRID_POINT_Y 110 TILEPROP INT_L_X0Y44 INDEX 12654 TILEPROP INT_L_X0Y44 INT_TILE_X 0 TILEPROP INT_L_X0Y44 INT_TILE_Y 105 TILEPROP INT_L_X0Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y44 IS_DCM_TILE 0 TILEPROP INT_L_X0Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y44 NAME INT_L_X0Y44 TILEPROP INT_L_X0Y44 NUM_ARCS 3737 TILEPROP INT_L_X0Y44 NUM_SITES 1 TILEPROP INT_L_X0Y44 ROW 110 TILEPROP INT_L_X0Y44 SLR_REGION_ID 0 TILEPROP INT_L_X0Y44 TILE_PATTERN_IDX 1847 TILEPROP INT_L_X0Y44 TILE_TYPE INT_L TILEPROP INT_L_X0Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y44 TILE_X -97166 TILEPROP INT_L_X0Y44 TILE_Y -97848 TILEPROP INT_L_X0Y44 TYPE INT_L TILEPROP INT_L_X0Y45 CLASS tile TILEPROP INT_L_X0Y45 COLUMN 4 TILEPROP INT_L_X0Y45 DEVICE_ID 0 TILEPROP INT_L_X0Y45 FIRST_SITE_ID 10860 TILEPROP INT_L_X0Y45 GRID_POINT_X 4 TILEPROP INT_L_X0Y45 GRID_POINT_Y 109 TILEPROP INT_L_X0Y45 INDEX 12539 TILEPROP INT_L_X0Y45 INT_TILE_X 0 TILEPROP INT_L_X0Y45 INT_TILE_Y 104 TILEPROP INT_L_X0Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y45 IS_DCM_TILE 0 TILEPROP INT_L_X0Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y45 NAME INT_L_X0Y45 TILEPROP INT_L_X0Y45 NUM_ARCS 3737 TILEPROP INT_L_X0Y45 NUM_SITES 1 TILEPROP INT_L_X0Y45 ROW 109 TILEPROP INT_L_X0Y45 SLR_REGION_ID 0 TILEPROP INT_L_X0Y45 TILE_PATTERN_IDX 1804 TILEPROP INT_L_X0Y45 TILE_TYPE INT_L TILEPROP INT_L_X0Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y45 TILE_X -97166 TILEPROP INT_L_X0Y45 TILE_Y -94648 TILEPROP INT_L_X0Y45 TYPE INT_L TILEPROP INT_L_X0Y46 CLASS tile TILEPROP INT_L_X0Y46 COLUMN 4 TILEPROP INT_L_X0Y46 DEVICE_ID 0 TILEPROP INT_L_X0Y46 FIRST_SITE_ID 10744 TILEPROP INT_L_X0Y46 GRID_POINT_X 4 TILEPROP INT_L_X0Y46 GRID_POINT_Y 108 TILEPROP INT_L_X0Y46 INDEX 12424 TILEPROP INT_L_X0Y46 INT_TILE_X 0 TILEPROP INT_L_X0Y46 INT_TILE_Y 103 TILEPROP INT_L_X0Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y46 IS_DCM_TILE 0 TILEPROP INT_L_X0Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y46 NAME INT_L_X0Y46 TILEPROP INT_L_X0Y46 NUM_ARCS 3737 TILEPROP INT_L_X0Y46 NUM_SITES 1 TILEPROP INT_L_X0Y46 ROW 108 TILEPROP INT_L_X0Y46 SLR_REGION_ID 0 TILEPROP INT_L_X0Y46 TILE_PATTERN_IDX 1766 TILEPROP INT_L_X0Y46 TILE_TYPE INT_L TILEPROP INT_L_X0Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y46 TILE_X -97166 TILEPROP INT_L_X0Y46 TILE_Y -91448 TILEPROP INT_L_X0Y46 TYPE INT_L TILEPROP INT_L_X0Y47 CLASS tile TILEPROP INT_L_X0Y47 COLUMN 4 TILEPROP INT_L_X0Y47 DEVICE_ID 0 TILEPROP INT_L_X0Y47 FIRST_SITE_ID 10644 TILEPROP INT_L_X0Y47 GRID_POINT_X 4 TILEPROP INT_L_X0Y47 GRID_POINT_Y 107 TILEPROP INT_L_X0Y47 INDEX 12309 TILEPROP INT_L_X0Y47 INT_TILE_X 0 TILEPROP INT_L_X0Y47 INT_TILE_Y 102 TILEPROP INT_L_X0Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y47 IS_DCM_TILE 0 TILEPROP INT_L_X0Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y47 NAME INT_L_X0Y47 TILEPROP INT_L_X0Y47 NUM_ARCS 3737 TILEPROP INT_L_X0Y47 NUM_SITES 1 TILEPROP INT_L_X0Y47 ROW 107 TILEPROP INT_L_X0Y47 SLR_REGION_ID 0 TILEPROP INT_L_X0Y47 TILE_PATTERN_IDX 1727 TILEPROP INT_L_X0Y47 TILE_TYPE INT_L TILEPROP INT_L_X0Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y47 TILE_X -97166 TILEPROP INT_L_X0Y47 TILE_Y -88248 TILEPROP INT_L_X0Y47 TYPE INT_L TILEPROP INT_L_X0Y48 CLASS tile TILEPROP INT_L_X0Y48 COLUMN 4 TILEPROP INT_L_X0Y48 DEVICE_ID 0 TILEPROP INT_L_X0Y48 FIRST_SITE_ID 10544 TILEPROP INT_L_X0Y48 GRID_POINT_X 4 TILEPROP INT_L_X0Y48 GRID_POINT_Y 106 TILEPROP INT_L_X0Y48 INDEX 12194 TILEPROP INT_L_X0Y48 INT_TILE_X 0 TILEPROP INT_L_X0Y48 INT_TILE_Y 101 TILEPROP INT_L_X0Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y48 IS_DCM_TILE 0 TILEPROP INT_L_X0Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y48 NAME INT_L_X0Y48 TILEPROP INT_L_X0Y48 NUM_ARCS 3737 TILEPROP INT_L_X0Y48 NUM_SITES 1 TILEPROP INT_L_X0Y48 ROW 106 TILEPROP INT_L_X0Y48 SLR_REGION_ID 0 TILEPROP INT_L_X0Y48 TILE_PATTERN_IDX 1689 TILEPROP INT_L_X0Y48 TILE_TYPE INT_L TILEPROP INT_L_X0Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y48 TILE_X -97166 TILEPROP INT_L_X0Y48 TILE_Y -85048 TILEPROP INT_L_X0Y48 TYPE INT_L TILEPROP INT_L_X0Y49 CLASS tile TILEPROP INT_L_X0Y49 COLUMN 4 TILEPROP INT_L_X0Y49 DEVICE_ID 0 TILEPROP INT_L_X0Y49 FIRST_SITE_ID 10448 TILEPROP INT_L_X0Y49 GRID_POINT_X 4 TILEPROP INT_L_X0Y49 GRID_POINT_Y 105 TILEPROP INT_L_X0Y49 INDEX 12079 TILEPROP INT_L_X0Y49 INT_TILE_X 0 TILEPROP INT_L_X0Y49 INT_TILE_Y 100 TILEPROP INT_L_X0Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y49 IS_DCM_TILE 0 TILEPROP INT_L_X0Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y49 NAME INT_L_X0Y49 TILEPROP INT_L_X0Y49 NUM_ARCS 3737 TILEPROP INT_L_X0Y49 NUM_SITES 1 TILEPROP INT_L_X0Y49 ROW 105 TILEPROP INT_L_X0Y49 SLR_REGION_ID 0 TILEPROP INT_L_X0Y49 TILE_PATTERN_IDX 1644 TILEPROP INT_L_X0Y49 TILE_TYPE INT_L TILEPROP INT_L_X0Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y49 TILE_X -97166 TILEPROP INT_L_X0Y49 TILE_Y -81848 TILEPROP INT_L_X0Y49 TYPE INT_L TILEPROP INT_L_X0Y50 CLASS tile TILEPROP INT_L_X0Y50 COLUMN 4 TILEPROP INT_L_X0Y50 DEVICE_ID 0 TILEPROP INT_L_X0Y50 FIRST_SITE_ID 10317 TILEPROP INT_L_X0Y50 GRID_POINT_X 4 TILEPROP INT_L_X0Y50 GRID_POINT_Y 103 TILEPROP INT_L_X0Y50 INDEX 11849 TILEPROP INT_L_X0Y50 INT_TILE_X 0 TILEPROP INT_L_X0Y50 INT_TILE_Y 99 TILEPROP INT_L_X0Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y50 IS_DCM_TILE 0 TILEPROP INT_L_X0Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y50 NAME INT_L_X0Y50 TILEPROP INT_L_X0Y50 NUM_ARCS 3737 TILEPROP INT_L_X0Y50 NUM_SITES 1 TILEPROP INT_L_X0Y50 ROW 103 TILEPROP INT_L_X0Y50 SLR_REGION_ID 0 TILEPROP INT_L_X0Y50 TILE_PATTERN_IDX 1597 TILEPROP INT_L_X0Y50 TILE_TYPE INT_L TILEPROP INT_L_X0Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y50 TILE_X -97166 TILEPROP INT_L_X0Y50 TILE_Y -78400 TILEPROP INT_L_X0Y50 TYPE INT_L TILEPROP INT_L_X0Y51 CLASS tile TILEPROP INT_L_X0Y51 COLUMN 4 TILEPROP INT_L_X0Y51 DEVICE_ID 0 TILEPROP INT_L_X0Y51 FIRST_SITE_ID 10213 TILEPROP INT_L_X0Y51 GRID_POINT_X 4 TILEPROP INT_L_X0Y51 GRID_POINT_Y 102 TILEPROP INT_L_X0Y51 INDEX 11734 TILEPROP INT_L_X0Y51 INT_TILE_X 0 TILEPROP INT_L_X0Y51 INT_TILE_Y 98 TILEPROP INT_L_X0Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y51 IS_DCM_TILE 0 TILEPROP INT_L_X0Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y51 NAME INT_L_X0Y51 TILEPROP INT_L_X0Y51 NUM_ARCS 3737 TILEPROP INT_L_X0Y51 NUM_SITES 1 TILEPROP INT_L_X0Y51 ROW 102 TILEPROP INT_L_X0Y51 SLR_REGION_ID 0 TILEPROP INT_L_X0Y51 TILE_PATTERN_IDX 1567 TILEPROP INT_L_X0Y51 TILE_TYPE INT_L TILEPROP INT_L_X0Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y51 TILE_X -97166 TILEPROP INT_L_X0Y51 TILE_Y -75200 TILEPROP INT_L_X0Y51 TYPE INT_L TILEPROP INT_L_X0Y52 CLASS tile TILEPROP INT_L_X0Y52 COLUMN 4 TILEPROP INT_L_X0Y52 DEVICE_ID 0 TILEPROP INT_L_X0Y52 FIRST_SITE_ID 10113 TILEPROP INT_L_X0Y52 GRID_POINT_X 4 TILEPROP INT_L_X0Y52 GRID_POINT_Y 101 TILEPROP INT_L_X0Y52 INDEX 11619 TILEPROP INT_L_X0Y52 INT_TILE_X 0 TILEPROP INT_L_X0Y52 INT_TILE_Y 97 TILEPROP INT_L_X0Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y52 IS_DCM_TILE 0 TILEPROP INT_L_X0Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y52 NAME INT_L_X0Y52 TILEPROP INT_L_X0Y52 NUM_ARCS 3737 TILEPROP INT_L_X0Y52 NUM_SITES 1 TILEPROP INT_L_X0Y52 ROW 101 TILEPROP INT_L_X0Y52 SLR_REGION_ID 0 TILEPROP INT_L_X0Y52 TILE_PATTERN_IDX 1536 TILEPROP INT_L_X0Y52 TILE_TYPE INT_L TILEPROP INT_L_X0Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y52 TILE_X -97166 TILEPROP INT_L_X0Y52 TILE_Y -72000 TILEPROP INT_L_X0Y52 TYPE INT_L TILEPROP INT_L_X0Y53 CLASS tile TILEPROP INT_L_X0Y53 COLUMN 4 TILEPROP INT_L_X0Y53 DEVICE_ID 0 TILEPROP INT_L_X0Y53 FIRST_SITE_ID 10013 TILEPROP INT_L_X0Y53 GRID_POINT_X 4 TILEPROP INT_L_X0Y53 GRID_POINT_Y 100 TILEPROP INT_L_X0Y53 INDEX 11504 TILEPROP INT_L_X0Y53 INT_TILE_X 0 TILEPROP INT_L_X0Y53 INT_TILE_Y 96 TILEPROP INT_L_X0Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y53 IS_DCM_TILE 0 TILEPROP INT_L_X0Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y53 NAME INT_L_X0Y53 TILEPROP INT_L_X0Y53 NUM_ARCS 3737 TILEPROP INT_L_X0Y53 NUM_SITES 1 TILEPROP INT_L_X0Y53 ROW 100 TILEPROP INT_L_X0Y53 SLR_REGION_ID 0 TILEPROP INT_L_X0Y53 TILE_PATTERN_IDX 1507 TILEPROP INT_L_X0Y53 TILE_TYPE INT_L TILEPROP INT_L_X0Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y53 TILE_X -97166 TILEPROP INT_L_X0Y53 TILE_Y -68800 TILEPROP INT_L_X0Y53 TYPE INT_L TILEPROP INT_L_X0Y54 CLASS tile TILEPROP INT_L_X0Y54 COLUMN 4 TILEPROP INT_L_X0Y54 DEVICE_ID 0 TILEPROP INT_L_X0Y54 FIRST_SITE_ID 9913 TILEPROP INT_L_X0Y54 GRID_POINT_X 4 TILEPROP INT_L_X0Y54 GRID_POINT_Y 99 TILEPROP INT_L_X0Y54 INDEX 11389 TILEPROP INT_L_X0Y54 INT_TILE_X 0 TILEPROP INT_L_X0Y54 INT_TILE_Y 95 TILEPROP INT_L_X0Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y54 IS_DCM_TILE 0 TILEPROP INT_L_X0Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y54 NAME INT_L_X0Y54 TILEPROP INT_L_X0Y54 NUM_ARCS 3737 TILEPROP INT_L_X0Y54 NUM_SITES 1 TILEPROP INT_L_X0Y54 ROW 99 TILEPROP INT_L_X0Y54 SLR_REGION_ID 0 TILEPROP INT_L_X0Y54 TILE_PATTERN_IDX 1477 TILEPROP INT_L_X0Y54 TILE_TYPE INT_L TILEPROP INT_L_X0Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y54 TILE_X -97166 TILEPROP INT_L_X0Y54 TILE_Y -65600 TILEPROP INT_L_X0Y54 TYPE INT_L TILEPROP INT_L_X0Y55 CLASS tile TILEPROP INT_L_X0Y55 COLUMN 4 TILEPROP INT_L_X0Y55 DEVICE_ID 0 TILEPROP INT_L_X0Y55 FIRST_SITE_ID 9798 TILEPROP INT_L_X0Y55 GRID_POINT_X 4 TILEPROP INT_L_X0Y55 GRID_POINT_Y 98 TILEPROP INT_L_X0Y55 INDEX 11274 TILEPROP INT_L_X0Y55 INT_TILE_X 0 TILEPROP INT_L_X0Y55 INT_TILE_Y 94 TILEPROP INT_L_X0Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y55 IS_DCM_TILE 0 TILEPROP INT_L_X0Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y55 NAME INT_L_X0Y55 TILEPROP INT_L_X0Y55 NUM_ARCS 3737 TILEPROP INT_L_X0Y55 NUM_SITES 1 TILEPROP INT_L_X0Y55 ROW 98 TILEPROP INT_L_X0Y55 SLR_REGION_ID 0 TILEPROP INT_L_X0Y55 TILE_PATTERN_IDX 1447 TILEPROP INT_L_X0Y55 TILE_TYPE INT_L TILEPROP INT_L_X0Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y55 TILE_X -97166 TILEPROP INT_L_X0Y55 TILE_Y -62400 TILEPROP INT_L_X0Y55 TYPE INT_L TILEPROP INT_L_X0Y56 CLASS tile TILEPROP INT_L_X0Y56 COLUMN 4 TILEPROP INT_L_X0Y56 DEVICE_ID 0 TILEPROP INT_L_X0Y56 FIRST_SITE_ID 9698 TILEPROP INT_L_X0Y56 GRID_POINT_X 4 TILEPROP INT_L_X0Y56 GRID_POINT_Y 97 TILEPROP INT_L_X0Y56 INDEX 11159 TILEPROP INT_L_X0Y56 INT_TILE_X 0 TILEPROP INT_L_X0Y56 INT_TILE_Y 93 TILEPROP INT_L_X0Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y56 IS_DCM_TILE 0 TILEPROP INT_L_X0Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y56 NAME INT_L_X0Y56 TILEPROP INT_L_X0Y56 NUM_ARCS 3737 TILEPROP INT_L_X0Y56 NUM_SITES 1 TILEPROP INT_L_X0Y56 ROW 97 TILEPROP INT_L_X0Y56 SLR_REGION_ID 0 TILEPROP INT_L_X0Y56 TILE_PATTERN_IDX 1417 TILEPROP INT_L_X0Y56 TILE_TYPE INT_L TILEPROP INT_L_X0Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y56 TILE_X -97166 TILEPROP INT_L_X0Y56 TILE_Y -59200 TILEPROP INT_L_X0Y56 TYPE INT_L TILEPROP INT_L_X0Y57 CLASS tile TILEPROP INT_L_X0Y57 COLUMN 4 TILEPROP INT_L_X0Y57 DEVICE_ID 0 TILEPROP INT_L_X0Y57 FIRST_SITE_ID 9594 TILEPROP INT_L_X0Y57 GRID_POINT_X 4 TILEPROP INT_L_X0Y57 GRID_POINT_Y 96 TILEPROP INT_L_X0Y57 INDEX 11044 TILEPROP INT_L_X0Y57 INT_TILE_X 0 TILEPROP INT_L_X0Y57 INT_TILE_Y 92 TILEPROP INT_L_X0Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y57 IS_DCM_TILE 0 TILEPROP INT_L_X0Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y57 NAME INT_L_X0Y57 TILEPROP INT_L_X0Y57 NUM_ARCS 3737 TILEPROP INT_L_X0Y57 NUM_SITES 1 TILEPROP INT_L_X0Y57 ROW 96 TILEPROP INT_L_X0Y57 SLR_REGION_ID 0 TILEPROP INT_L_X0Y57 TILE_PATTERN_IDX 1388 TILEPROP INT_L_X0Y57 TILE_TYPE INT_L TILEPROP INT_L_X0Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y57 TILE_X -97166 TILEPROP INT_L_X0Y57 TILE_Y -56000 TILEPROP INT_L_X0Y57 TYPE INT_L TILEPROP INT_L_X0Y58 CLASS tile TILEPROP INT_L_X0Y58 COLUMN 4 TILEPROP INT_L_X0Y58 DEVICE_ID 0 TILEPROP INT_L_X0Y58 FIRST_SITE_ID 9492 TILEPROP INT_L_X0Y58 GRID_POINT_X 4 TILEPROP INT_L_X0Y58 GRID_POINT_Y 95 TILEPROP INT_L_X0Y58 INDEX 10929 TILEPROP INT_L_X0Y58 INT_TILE_X 0 TILEPROP INT_L_X0Y58 INT_TILE_Y 91 TILEPROP INT_L_X0Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y58 IS_DCM_TILE 0 TILEPROP INT_L_X0Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y58 NAME INT_L_X0Y58 TILEPROP INT_L_X0Y58 NUM_ARCS 3737 TILEPROP INT_L_X0Y58 NUM_SITES 1 TILEPROP INT_L_X0Y58 ROW 95 TILEPROP INT_L_X0Y58 SLR_REGION_ID 0 TILEPROP INT_L_X0Y58 TILE_PATTERN_IDX 1357 TILEPROP INT_L_X0Y58 TILE_TYPE INT_L TILEPROP INT_L_X0Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y58 TILE_X -97166 TILEPROP INT_L_X0Y58 TILE_Y -52800 TILEPROP INT_L_X0Y58 TYPE INT_L TILEPROP INT_L_X0Y59 CLASS tile TILEPROP INT_L_X0Y59 COLUMN 4 TILEPROP INT_L_X0Y59 DEVICE_ID 0 TILEPROP INT_L_X0Y59 FIRST_SITE_ID 9392 TILEPROP INT_L_X0Y59 GRID_POINT_X 4 TILEPROP INT_L_X0Y59 GRID_POINT_Y 94 TILEPROP INT_L_X0Y59 INDEX 10814 TILEPROP INT_L_X0Y59 INT_TILE_X 0 TILEPROP INT_L_X0Y59 INT_TILE_Y 90 TILEPROP INT_L_X0Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y59 IS_DCM_TILE 0 TILEPROP INT_L_X0Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y59 NAME INT_L_X0Y59 TILEPROP INT_L_X0Y59 NUM_ARCS 3737 TILEPROP INT_L_X0Y59 NUM_SITES 1 TILEPROP INT_L_X0Y59 ROW 94 TILEPROP INT_L_X0Y59 SLR_REGION_ID 0 TILEPROP INT_L_X0Y59 TILE_PATTERN_IDX 1329 TILEPROP INT_L_X0Y59 TILE_TYPE INT_L TILEPROP INT_L_X0Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y59 TILE_X -97166 TILEPROP INT_L_X0Y59 TILE_Y -49600 TILEPROP INT_L_X0Y59 TYPE INT_L TILEPROP INT_L_X0Y60 CLASS tile TILEPROP INT_L_X0Y60 COLUMN 4 TILEPROP INT_L_X0Y60 DEVICE_ID 0 TILEPROP INT_L_X0Y60 FIRST_SITE_ID 9277 TILEPROP INT_L_X0Y60 GRID_POINT_X 4 TILEPROP INT_L_X0Y60 GRID_POINT_Y 93 TILEPROP INT_L_X0Y60 INDEX 10699 TILEPROP INT_L_X0Y60 INT_TILE_X 0 TILEPROP INT_L_X0Y60 INT_TILE_Y 89 TILEPROP INT_L_X0Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y60 IS_DCM_TILE 0 TILEPROP INT_L_X0Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y60 NAME INT_L_X0Y60 TILEPROP INT_L_X0Y60 NUM_ARCS 3737 TILEPROP INT_L_X0Y60 NUM_SITES 1 TILEPROP INT_L_X0Y60 ROW 93 TILEPROP INT_L_X0Y60 SLR_REGION_ID 0 TILEPROP INT_L_X0Y60 TILE_PATTERN_IDX 1297 TILEPROP INT_L_X0Y60 TILE_TYPE INT_L TILEPROP INT_L_X0Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y60 TILE_X -97166 TILEPROP INT_L_X0Y60 TILE_Y -46400 TILEPROP INT_L_X0Y60 TYPE INT_L TILEPROP INT_L_X0Y61 CLASS tile TILEPROP INT_L_X0Y61 COLUMN 4 TILEPROP INT_L_X0Y61 DEVICE_ID 0 TILEPROP INT_L_X0Y61 FIRST_SITE_ID 9177 TILEPROP INT_L_X0Y61 GRID_POINT_X 4 TILEPROP INT_L_X0Y61 GRID_POINT_Y 92 TILEPROP INT_L_X0Y61 INDEX 10584 TILEPROP INT_L_X0Y61 INT_TILE_X 0 TILEPROP INT_L_X0Y61 INT_TILE_Y 88 TILEPROP INT_L_X0Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y61 IS_DCM_TILE 0 TILEPROP INT_L_X0Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y61 NAME INT_L_X0Y61 TILEPROP INT_L_X0Y61 NUM_ARCS 3737 TILEPROP INT_L_X0Y61 NUM_SITES 1 TILEPROP INT_L_X0Y61 ROW 92 TILEPROP INT_L_X0Y61 SLR_REGION_ID 0 TILEPROP INT_L_X0Y61 TILE_PATTERN_IDX 1269 TILEPROP INT_L_X0Y61 TILE_TYPE INT_L TILEPROP INT_L_X0Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y61 TILE_X -97166 TILEPROP INT_L_X0Y61 TILE_Y -43200 TILEPROP INT_L_X0Y61 TYPE INT_L TILEPROP INT_L_X0Y62 CLASS tile TILEPROP INT_L_X0Y62 COLUMN 4 TILEPROP INT_L_X0Y62 DEVICE_ID 0 TILEPROP INT_L_X0Y62 FIRST_SITE_ID 9045 TILEPROP INT_L_X0Y62 GRID_POINT_X 4 TILEPROP INT_L_X0Y62 GRID_POINT_Y 91 TILEPROP INT_L_X0Y62 INDEX 10469 TILEPROP INT_L_X0Y62 INT_TILE_X 0 TILEPROP INT_L_X0Y62 INT_TILE_Y 87 TILEPROP INT_L_X0Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y62 IS_DCM_TILE 0 TILEPROP INT_L_X0Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y62 NAME INT_L_X0Y62 TILEPROP INT_L_X0Y62 NUM_ARCS 3737 TILEPROP INT_L_X0Y62 NUM_SITES 1 TILEPROP INT_L_X0Y62 ROW 91 TILEPROP INT_L_X0Y62 SLR_REGION_ID 0 TILEPROP INT_L_X0Y62 TILE_PATTERN_IDX 1238 TILEPROP INT_L_X0Y62 TILE_TYPE INT_L TILEPROP INT_L_X0Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y62 TILE_X -97166 TILEPROP INT_L_X0Y62 TILE_Y -40000 TILEPROP INT_L_X0Y62 TYPE INT_L TILEPROP INT_L_X0Y63 CLASS tile TILEPROP INT_L_X0Y63 COLUMN 4 TILEPROP INT_L_X0Y63 DEVICE_ID 0 TILEPROP INT_L_X0Y63 FIRST_SITE_ID 8945 TILEPROP INT_L_X0Y63 GRID_POINT_X 4 TILEPROP INT_L_X0Y63 GRID_POINT_Y 90 TILEPROP INT_L_X0Y63 INDEX 10354 TILEPROP INT_L_X0Y63 INT_TILE_X 0 TILEPROP INT_L_X0Y63 INT_TILE_Y 86 TILEPROP INT_L_X0Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y63 IS_DCM_TILE 0 TILEPROP INT_L_X0Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y63 NAME INT_L_X0Y63 TILEPROP INT_L_X0Y63 NUM_ARCS 3737 TILEPROP INT_L_X0Y63 NUM_SITES 1 TILEPROP INT_L_X0Y63 ROW 90 TILEPROP INT_L_X0Y63 SLR_REGION_ID 0 TILEPROP INT_L_X0Y63 TILE_PATTERN_IDX 1210 TILEPROP INT_L_X0Y63 TILE_TYPE INT_L TILEPROP INT_L_X0Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y63 TILE_X -97166 TILEPROP INT_L_X0Y63 TILE_Y -36800 TILEPROP INT_L_X0Y63 TYPE INT_L TILEPROP INT_L_X0Y64 CLASS tile TILEPROP INT_L_X0Y64 COLUMN 4 TILEPROP INT_L_X0Y64 DEVICE_ID 0 TILEPROP INT_L_X0Y64 FIRST_SITE_ID 8845 TILEPROP INT_L_X0Y64 GRID_POINT_X 4 TILEPROP INT_L_X0Y64 GRID_POINT_Y 89 TILEPROP INT_L_X0Y64 INDEX 10239 TILEPROP INT_L_X0Y64 INT_TILE_X 0 TILEPROP INT_L_X0Y64 INT_TILE_Y 85 TILEPROP INT_L_X0Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y64 IS_DCM_TILE 0 TILEPROP INT_L_X0Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y64 NAME INT_L_X0Y64 TILEPROP INT_L_X0Y64 NUM_ARCS 3737 TILEPROP INT_L_X0Y64 NUM_SITES 1 TILEPROP INT_L_X0Y64 ROW 89 TILEPROP INT_L_X0Y64 SLR_REGION_ID 0 TILEPROP INT_L_X0Y64 TILE_PATTERN_IDX 1180 TILEPROP INT_L_X0Y64 TILE_TYPE INT_L TILEPROP INT_L_X0Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y64 TILE_X -97166 TILEPROP INT_L_X0Y64 TILE_Y -33600 TILEPROP INT_L_X0Y64 TYPE INT_L TILEPROP INT_L_X0Y65 CLASS tile TILEPROP INT_L_X0Y65 COLUMN 4 TILEPROP INT_L_X0Y65 DEVICE_ID 0 TILEPROP INT_L_X0Y65 FIRST_SITE_ID 8730 TILEPROP INT_L_X0Y65 GRID_POINT_X 4 TILEPROP INT_L_X0Y65 GRID_POINT_Y 88 TILEPROP INT_L_X0Y65 INDEX 10124 TILEPROP INT_L_X0Y65 INT_TILE_X 0 TILEPROP INT_L_X0Y65 INT_TILE_Y 84 TILEPROP INT_L_X0Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y65 IS_DCM_TILE 0 TILEPROP INT_L_X0Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y65 NAME INT_L_X0Y65 TILEPROP INT_L_X0Y65 NUM_ARCS 3737 TILEPROP INT_L_X0Y65 NUM_SITES 1 TILEPROP INT_L_X0Y65 ROW 88 TILEPROP INT_L_X0Y65 SLR_REGION_ID 0 TILEPROP INT_L_X0Y65 TILE_PATTERN_IDX 1151 TILEPROP INT_L_X0Y65 TILE_TYPE INT_L TILEPROP INT_L_X0Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y65 TILE_X -97166 TILEPROP INT_L_X0Y65 TILE_Y -30400 TILEPROP INT_L_X0Y65 TYPE INT_L TILEPROP INT_L_X0Y66 CLASS tile TILEPROP INT_L_X0Y66 COLUMN 4 TILEPROP INT_L_X0Y66 DEVICE_ID 0 TILEPROP INT_L_X0Y66 FIRST_SITE_ID 8630 TILEPROP INT_L_X0Y66 GRID_POINT_X 4 TILEPROP INT_L_X0Y66 GRID_POINT_Y 87 TILEPROP INT_L_X0Y66 INDEX 10009 TILEPROP INT_L_X0Y66 INT_TILE_X 0 TILEPROP INT_L_X0Y66 INT_TILE_Y 83 TILEPROP INT_L_X0Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y66 IS_DCM_TILE 0 TILEPROP INT_L_X0Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y66 NAME INT_L_X0Y66 TILEPROP INT_L_X0Y66 NUM_ARCS 3737 TILEPROP INT_L_X0Y66 NUM_SITES 1 TILEPROP INT_L_X0Y66 ROW 87 TILEPROP INT_L_X0Y66 SLR_REGION_ID 0 TILEPROP INT_L_X0Y66 TILE_PATTERN_IDX 1120 TILEPROP INT_L_X0Y66 TILE_TYPE INT_L TILEPROP INT_L_X0Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y66 TILE_X -97166 TILEPROP INT_L_X0Y66 TILE_Y -27200 TILEPROP INT_L_X0Y66 TYPE INT_L TILEPROP INT_L_X0Y67 CLASS tile TILEPROP INT_L_X0Y67 COLUMN 4 TILEPROP INT_L_X0Y67 DEVICE_ID 0 TILEPROP INT_L_X0Y67 FIRST_SITE_ID 8522 TILEPROP INT_L_X0Y67 GRID_POINT_X 4 TILEPROP INT_L_X0Y67 GRID_POINT_Y 86 TILEPROP INT_L_X0Y67 INDEX 9894 TILEPROP INT_L_X0Y67 INT_TILE_X 0 TILEPROP INT_L_X0Y67 INT_TILE_Y 82 TILEPROP INT_L_X0Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y67 IS_DCM_TILE 0 TILEPROP INT_L_X0Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y67 NAME INT_L_X0Y67 TILEPROP INT_L_X0Y67 NUM_ARCS 3737 TILEPROP INT_L_X0Y67 NUM_SITES 1 TILEPROP INT_L_X0Y67 ROW 86 TILEPROP INT_L_X0Y67 SLR_REGION_ID 0 TILEPROP INT_L_X0Y67 TILE_PATTERN_IDX 1091 TILEPROP INT_L_X0Y67 TILE_TYPE INT_L TILEPROP INT_L_X0Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y67 TILE_X -97166 TILEPROP INT_L_X0Y67 TILE_Y -24000 TILEPROP INT_L_X0Y67 TYPE INT_L TILEPROP INT_L_X0Y68 CLASS tile TILEPROP INT_L_X0Y68 COLUMN 4 TILEPROP INT_L_X0Y68 DEVICE_ID 0 TILEPROP INT_L_X0Y68 FIRST_SITE_ID 8422 TILEPROP INT_L_X0Y68 GRID_POINT_X 4 TILEPROP INT_L_X0Y68 GRID_POINT_Y 85 TILEPROP INT_L_X0Y68 INDEX 9779 TILEPROP INT_L_X0Y68 INT_TILE_X 0 TILEPROP INT_L_X0Y68 INT_TILE_Y 81 TILEPROP INT_L_X0Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y68 IS_DCM_TILE 0 TILEPROP INT_L_X0Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y68 NAME INT_L_X0Y68 TILEPROP INT_L_X0Y68 NUM_ARCS 3737 TILEPROP INT_L_X0Y68 NUM_SITES 1 TILEPROP INT_L_X0Y68 ROW 85 TILEPROP INT_L_X0Y68 SLR_REGION_ID 0 TILEPROP INT_L_X0Y68 TILE_PATTERN_IDX 1061 TILEPROP INT_L_X0Y68 TILE_TYPE INT_L TILEPROP INT_L_X0Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y68 TILE_X -97166 TILEPROP INT_L_X0Y68 TILE_Y -20800 TILEPROP INT_L_X0Y68 TYPE INT_L TILEPROP INT_L_X0Y69 CLASS tile TILEPROP INT_L_X0Y69 COLUMN 4 TILEPROP INT_L_X0Y69 DEVICE_ID 0 TILEPROP INT_L_X0Y69 FIRST_SITE_ID 8318 TILEPROP INT_L_X0Y69 GRID_POINT_X 4 TILEPROP INT_L_X0Y69 GRID_POINT_Y 84 TILEPROP INT_L_X0Y69 INDEX 9664 TILEPROP INT_L_X0Y69 INT_TILE_X 0 TILEPROP INT_L_X0Y69 INT_TILE_Y 80 TILEPROP INT_L_X0Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y69 IS_DCM_TILE 0 TILEPROP INT_L_X0Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y69 NAME INT_L_X0Y69 TILEPROP INT_L_X0Y69 NUM_ARCS 3737 TILEPROP INT_L_X0Y69 NUM_SITES 1 TILEPROP INT_L_X0Y69 ROW 84 TILEPROP INT_L_X0Y69 SLR_REGION_ID 0 TILEPROP INT_L_X0Y69 TILE_PATTERN_IDX 1032 TILEPROP INT_L_X0Y69 TILE_TYPE INT_L TILEPROP INT_L_X0Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y69 TILE_X -97166 TILEPROP INT_L_X0Y69 TILE_Y -17600 TILEPROP INT_L_X0Y69 TYPE INT_L TILEPROP INT_L_X0Y70 CLASS tile TILEPROP INT_L_X0Y70 COLUMN 4 TILEPROP INT_L_X0Y70 DEVICE_ID 0 TILEPROP INT_L_X0Y70 FIRST_SITE_ID 8203 TILEPROP INT_L_X0Y70 GRID_POINT_X 4 TILEPROP INT_L_X0Y70 GRID_POINT_Y 83 TILEPROP INT_L_X0Y70 INDEX 9549 TILEPROP INT_L_X0Y70 INT_TILE_X 0 TILEPROP INT_L_X0Y70 INT_TILE_Y 79 TILEPROP INT_L_X0Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y70 IS_DCM_TILE 0 TILEPROP INT_L_X0Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y70 NAME INT_L_X0Y70 TILEPROP INT_L_X0Y70 NUM_ARCS 3737 TILEPROP INT_L_X0Y70 NUM_SITES 1 TILEPROP INT_L_X0Y70 ROW 83 TILEPROP INT_L_X0Y70 SLR_REGION_ID 0 TILEPROP INT_L_X0Y70 TILE_PATTERN_IDX 998 TILEPROP INT_L_X0Y70 TILE_TYPE INT_L TILEPROP INT_L_X0Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y70 TILE_X -97166 TILEPROP INT_L_X0Y70 TILE_Y -14400 TILEPROP INT_L_X0Y70 TYPE INT_L TILEPROP INT_L_X0Y71 CLASS tile TILEPROP INT_L_X0Y71 COLUMN 4 TILEPROP INT_L_X0Y71 DEVICE_ID 0 TILEPROP INT_L_X0Y71 FIRST_SITE_ID 8103 TILEPROP INT_L_X0Y71 GRID_POINT_X 4 TILEPROP INT_L_X0Y71 GRID_POINT_Y 82 TILEPROP INT_L_X0Y71 INDEX 9434 TILEPROP INT_L_X0Y71 INT_TILE_X 0 TILEPROP INT_L_X0Y71 INT_TILE_Y 78 TILEPROP INT_L_X0Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y71 IS_DCM_TILE 0 TILEPROP INT_L_X0Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y71 NAME INT_L_X0Y71 TILEPROP INT_L_X0Y71 NUM_ARCS 3737 TILEPROP INT_L_X0Y71 NUM_SITES 1 TILEPROP INT_L_X0Y71 ROW 82 TILEPROP INT_L_X0Y71 SLR_REGION_ID 0 TILEPROP INT_L_X0Y71 TILE_PATTERN_IDX 969 TILEPROP INT_L_X0Y71 TILE_TYPE INT_L TILEPROP INT_L_X0Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y71 TILE_X -97166 TILEPROP INT_L_X0Y71 TILE_Y -11200 TILEPROP INT_L_X0Y71 TYPE INT_L TILEPROP INT_L_X0Y72 CLASS tile TILEPROP INT_L_X0Y72 COLUMN 4 TILEPROP INT_L_X0Y72 DEVICE_ID 0 TILEPROP INT_L_X0Y72 FIRST_SITE_ID 8003 TILEPROP INT_L_X0Y72 GRID_POINT_X 4 TILEPROP INT_L_X0Y72 GRID_POINT_Y 81 TILEPROP INT_L_X0Y72 INDEX 9319 TILEPROP INT_L_X0Y72 INT_TILE_X 0 TILEPROP INT_L_X0Y72 INT_TILE_Y 77 TILEPROP INT_L_X0Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y72 IS_DCM_TILE 0 TILEPROP INT_L_X0Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y72 NAME INT_L_X0Y72 TILEPROP INT_L_X0Y72 NUM_ARCS 3737 TILEPROP INT_L_X0Y72 NUM_SITES 1 TILEPROP INT_L_X0Y72 ROW 81 TILEPROP INT_L_X0Y72 SLR_REGION_ID 0 TILEPROP INT_L_X0Y72 TILE_PATTERN_IDX 937 TILEPROP INT_L_X0Y72 TILE_TYPE INT_L TILEPROP INT_L_X0Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y72 TILE_X -97166 TILEPROP INT_L_X0Y72 TILE_Y -8000 TILEPROP INT_L_X0Y72 TYPE INT_L TILEPROP INT_L_X0Y73 CLASS tile TILEPROP INT_L_X0Y73 COLUMN 4 TILEPROP INT_L_X0Y73 DEVICE_ID 0 TILEPROP INT_L_X0Y73 FIRST_SITE_ID 7903 TILEPROP INT_L_X0Y73 GRID_POINT_X 4 TILEPROP INT_L_X0Y73 GRID_POINT_Y 80 TILEPROP INT_L_X0Y73 INDEX 9204 TILEPROP INT_L_X0Y73 INT_TILE_X 0 TILEPROP INT_L_X0Y73 INT_TILE_Y 76 TILEPROP INT_L_X0Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y73 IS_DCM_TILE 0 TILEPROP INT_L_X0Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y73 NAME INT_L_X0Y73 TILEPROP INT_L_X0Y73 NUM_ARCS 3737 TILEPROP INT_L_X0Y73 NUM_SITES 1 TILEPROP INT_L_X0Y73 ROW 80 TILEPROP INT_L_X0Y73 SLR_REGION_ID 0 TILEPROP INT_L_X0Y73 TILE_PATTERN_IDX 908 TILEPROP INT_L_X0Y73 TILE_TYPE INT_L TILEPROP INT_L_X0Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y73 TILE_X -97166 TILEPROP INT_L_X0Y73 TILE_Y -4800 TILEPROP INT_L_X0Y73 TYPE INT_L TILEPROP INT_L_X0Y74 CLASS tile TILEPROP INT_L_X0Y74 COLUMN 4 TILEPROP INT_L_X0Y74 DEVICE_ID 0 TILEPROP INT_L_X0Y74 FIRST_SITE_ID 7803 TILEPROP INT_L_X0Y74 GRID_POINT_X 4 TILEPROP INT_L_X0Y74 GRID_POINT_Y 79 TILEPROP INT_L_X0Y74 INDEX 9089 TILEPROP INT_L_X0Y74 INT_TILE_X 0 TILEPROP INT_L_X0Y74 INT_TILE_Y 75 TILEPROP INT_L_X0Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y74 IS_DCM_TILE 0 TILEPROP INT_L_X0Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y74 NAME INT_L_X0Y74 TILEPROP INT_L_X0Y74 NUM_ARCS 3737 TILEPROP INT_L_X0Y74 NUM_SITES 1 TILEPROP INT_L_X0Y74 ROW 79 TILEPROP INT_L_X0Y74 SLR_REGION_ID 0 TILEPROP INT_L_X0Y74 TILE_PATTERN_IDX 871 TILEPROP INT_L_X0Y74 TILE_TYPE INT_L TILEPROP INT_L_X0Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y74 TILE_X -97166 TILEPROP INT_L_X0Y74 TILE_Y -1600 TILEPROP INT_L_X0Y74 TYPE INT_L TILEPROP INT_L_X0Y75 CLASS tile TILEPROP INT_L_X0Y75 COLUMN 4 TILEPROP INT_L_X0Y75 DEVICE_ID 0 TILEPROP INT_L_X0Y75 FIRST_SITE_ID 7602 TILEPROP INT_L_X0Y75 GRID_POINT_X 4 TILEPROP INT_L_X0Y75 GRID_POINT_Y 77 TILEPROP INT_L_X0Y75 INDEX 8859 TILEPROP INT_L_X0Y75 INT_TILE_X 0 TILEPROP INT_L_X0Y75 INT_TILE_Y 74 TILEPROP INT_L_X0Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y75 IS_DCM_TILE 0 TILEPROP INT_L_X0Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y75 NAME INT_L_X0Y75 TILEPROP INT_L_X0Y75 NUM_ARCS 3737 TILEPROP INT_L_X0Y75 NUM_SITES 1 TILEPROP INT_L_X0Y75 ROW 77 TILEPROP INT_L_X0Y75 SLR_REGION_ID 0 TILEPROP INT_L_X0Y75 TILE_PATTERN_IDX 6277 TILEPROP INT_L_X0Y75 TILE_TYPE INT_L TILEPROP INT_L_X0Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y75 TILE_X -97166 TILEPROP INT_L_X0Y75 TILE_Y 2624 TILEPROP INT_L_X0Y75 TYPE INT_L TILEPROP INT_L_X0Y76 CLASS tile TILEPROP INT_L_X0Y76 COLUMN 4 TILEPROP INT_L_X0Y76 DEVICE_ID 0 TILEPROP INT_L_X0Y76 FIRST_SITE_ID 7502 TILEPROP INT_L_X0Y76 GRID_POINT_X 4 TILEPROP INT_L_X0Y76 GRID_POINT_Y 76 TILEPROP INT_L_X0Y76 INDEX 8744 TILEPROP INT_L_X0Y76 INT_TILE_X 0 TILEPROP INT_L_X0Y76 INT_TILE_Y 73 TILEPROP INT_L_X0Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y76 IS_DCM_TILE 0 TILEPROP INT_L_X0Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y76 NAME INT_L_X0Y76 TILEPROP INT_L_X0Y76 NUM_ARCS 3737 TILEPROP INT_L_X0Y76 NUM_SITES 1 TILEPROP INT_L_X0Y76 ROW 76 TILEPROP INT_L_X0Y76 SLR_REGION_ID 0 TILEPROP INT_L_X0Y76 TILE_PATTERN_IDX 6244 TILEPROP INT_L_X0Y76 TILE_TYPE INT_L TILEPROP INT_L_X0Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y76 TILE_X -97166 TILEPROP INT_L_X0Y76 TILE_Y 5824 TILEPROP INT_L_X0Y76 TYPE INT_L TILEPROP INT_L_X0Y77 CLASS tile TILEPROP INT_L_X0Y77 COLUMN 4 TILEPROP INT_L_X0Y77 DEVICE_ID 0 TILEPROP INT_L_X0Y77 FIRST_SITE_ID 7402 TILEPROP INT_L_X0Y77 GRID_POINT_X 4 TILEPROP INT_L_X0Y77 GRID_POINT_Y 75 TILEPROP INT_L_X0Y77 INDEX 8629 TILEPROP INT_L_X0Y77 INT_TILE_X 0 TILEPROP INT_L_X0Y77 INT_TILE_Y 72 TILEPROP INT_L_X0Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y77 IS_DCM_TILE 0 TILEPROP INT_L_X0Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y77 NAME INT_L_X0Y77 TILEPROP INT_L_X0Y77 NUM_ARCS 3737 TILEPROP INT_L_X0Y77 NUM_SITES 1 TILEPROP INT_L_X0Y77 ROW 75 TILEPROP INT_L_X0Y77 SLR_REGION_ID 0 TILEPROP INT_L_X0Y77 TILE_PATTERN_IDX 6210 TILEPROP INT_L_X0Y77 TILE_TYPE INT_L TILEPROP INT_L_X0Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y77 TILE_X -97166 TILEPROP INT_L_X0Y77 TILE_Y 9024 TILEPROP INT_L_X0Y77 TYPE INT_L TILEPROP INT_L_X0Y78 CLASS tile TILEPROP INT_L_X0Y78 COLUMN 4 TILEPROP INT_L_X0Y78 DEVICE_ID 0 TILEPROP INT_L_X0Y78 FIRST_SITE_ID 7302 TILEPROP INT_L_X0Y78 GRID_POINT_X 4 TILEPROP INT_L_X0Y78 GRID_POINT_Y 74 TILEPROP INT_L_X0Y78 INDEX 8514 TILEPROP INT_L_X0Y78 INT_TILE_X 0 TILEPROP INT_L_X0Y78 INT_TILE_Y 71 TILEPROP INT_L_X0Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y78 IS_DCM_TILE 0 TILEPROP INT_L_X0Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y78 NAME INT_L_X0Y78 TILEPROP INT_L_X0Y78 NUM_ARCS 3737 TILEPROP INT_L_X0Y78 NUM_SITES 1 TILEPROP INT_L_X0Y78 ROW 74 TILEPROP INT_L_X0Y78 SLR_REGION_ID 0 TILEPROP INT_L_X0Y78 TILE_PATTERN_IDX 6177 TILEPROP INT_L_X0Y78 TILE_TYPE INT_L TILEPROP INT_L_X0Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y78 TILE_X -97166 TILEPROP INT_L_X0Y78 TILE_Y 12224 TILEPROP INT_L_X0Y78 TYPE INT_L TILEPROP INT_L_X0Y79 CLASS tile TILEPROP INT_L_X0Y79 COLUMN 4 TILEPROP INT_L_X0Y79 DEVICE_ID 0 TILEPROP INT_L_X0Y79 FIRST_SITE_ID 7190 TILEPROP INT_L_X0Y79 GRID_POINT_X 4 TILEPROP INT_L_X0Y79 GRID_POINT_Y 73 TILEPROP INT_L_X0Y79 INDEX 8399 TILEPROP INT_L_X0Y79 INT_TILE_X 0 TILEPROP INT_L_X0Y79 INT_TILE_Y 70 TILEPROP INT_L_X0Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y79 IS_DCM_TILE 0 TILEPROP INT_L_X0Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y79 NAME INT_L_X0Y79 TILEPROP INT_L_X0Y79 NUM_ARCS 3737 TILEPROP INT_L_X0Y79 NUM_SITES 1 TILEPROP INT_L_X0Y79 ROW 73 TILEPROP INT_L_X0Y79 SLR_REGION_ID 0 TILEPROP INT_L_X0Y79 TILE_PATTERN_IDX 6141 TILEPROP INT_L_X0Y79 TILE_TYPE INT_L TILEPROP INT_L_X0Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y79 TILE_X -97166 TILEPROP INT_L_X0Y79 TILE_Y 15424 TILEPROP INT_L_X0Y79 TYPE INT_L TILEPROP INT_L_X0Y80 CLASS tile TILEPROP INT_L_X0Y80 COLUMN 4 TILEPROP INT_L_X0Y80 DEVICE_ID 0 TILEPROP INT_L_X0Y80 FIRST_SITE_ID 7075 TILEPROP INT_L_X0Y80 GRID_POINT_X 4 TILEPROP INT_L_X0Y80 GRID_POINT_Y 72 TILEPROP INT_L_X0Y80 INDEX 8284 TILEPROP INT_L_X0Y80 INT_TILE_X 0 TILEPROP INT_L_X0Y80 INT_TILE_Y 69 TILEPROP INT_L_X0Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y80 IS_DCM_TILE 0 TILEPROP INT_L_X0Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y80 NAME INT_L_X0Y80 TILEPROP INT_L_X0Y80 NUM_ARCS 3737 TILEPROP INT_L_X0Y80 NUM_SITES 1 TILEPROP INT_L_X0Y80 ROW 72 TILEPROP INT_L_X0Y80 SLR_REGION_ID 0 TILEPROP INT_L_X0Y80 TILE_PATTERN_IDX 6107 TILEPROP INT_L_X0Y80 TILE_TYPE INT_L TILEPROP INT_L_X0Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y80 TILE_X -97166 TILEPROP INT_L_X0Y80 TILE_Y 18624 TILEPROP INT_L_X0Y80 TYPE INT_L TILEPROP INT_L_X0Y81 CLASS tile TILEPROP INT_L_X0Y81 COLUMN 4 TILEPROP INT_L_X0Y81 DEVICE_ID 0 TILEPROP INT_L_X0Y81 FIRST_SITE_ID 6971 TILEPROP INT_L_X0Y81 GRID_POINT_X 4 TILEPROP INT_L_X0Y81 GRID_POINT_Y 71 TILEPROP INT_L_X0Y81 INDEX 8169 TILEPROP INT_L_X0Y81 INT_TILE_X 0 TILEPROP INT_L_X0Y81 INT_TILE_Y 68 TILEPROP INT_L_X0Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y81 IS_DCM_TILE 0 TILEPROP INT_L_X0Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y81 NAME INT_L_X0Y81 TILEPROP INT_L_X0Y81 NUM_ARCS 3737 TILEPROP INT_L_X0Y81 NUM_SITES 1 TILEPROP INT_L_X0Y81 ROW 71 TILEPROP INT_L_X0Y81 SLR_REGION_ID 0 TILEPROP INT_L_X0Y81 TILE_PATTERN_IDX 6073 TILEPROP INT_L_X0Y81 TILE_TYPE INT_L TILEPROP INT_L_X0Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y81 TILE_X -97166 TILEPROP INT_L_X0Y81 TILE_Y 21824 TILEPROP INT_L_X0Y81 TYPE INT_L TILEPROP INT_L_X0Y82 CLASS tile TILEPROP INT_L_X0Y82 COLUMN 4 TILEPROP INT_L_X0Y82 DEVICE_ID 0 TILEPROP INT_L_X0Y82 FIRST_SITE_ID 6871 TILEPROP INT_L_X0Y82 GRID_POINT_X 4 TILEPROP INT_L_X0Y82 GRID_POINT_Y 70 TILEPROP INT_L_X0Y82 INDEX 8054 TILEPROP INT_L_X0Y82 INT_TILE_X 0 TILEPROP INT_L_X0Y82 INT_TILE_Y 67 TILEPROP INT_L_X0Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y82 IS_DCM_TILE 0 TILEPROP INT_L_X0Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y82 NAME INT_L_X0Y82 TILEPROP INT_L_X0Y82 NUM_ARCS 3737 TILEPROP INT_L_X0Y82 NUM_SITES 1 TILEPROP INT_L_X0Y82 ROW 70 TILEPROP INT_L_X0Y82 SLR_REGION_ID 0 TILEPROP INT_L_X0Y82 TILE_PATTERN_IDX 6039 TILEPROP INT_L_X0Y82 TILE_TYPE INT_L TILEPROP INT_L_X0Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y82 TILE_X -97166 TILEPROP INT_L_X0Y82 TILE_Y 25024 TILEPROP INT_L_X0Y82 TYPE INT_L TILEPROP INT_L_X0Y83 CLASS tile TILEPROP INT_L_X0Y83 COLUMN 4 TILEPROP INT_L_X0Y83 DEVICE_ID 0 TILEPROP INT_L_X0Y83 FIRST_SITE_ID 6771 TILEPROP INT_L_X0Y83 GRID_POINT_X 4 TILEPROP INT_L_X0Y83 GRID_POINT_Y 69 TILEPROP INT_L_X0Y83 INDEX 7939 TILEPROP INT_L_X0Y83 INT_TILE_X 0 TILEPROP INT_L_X0Y83 INT_TILE_Y 66 TILEPROP INT_L_X0Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y83 IS_DCM_TILE 0 TILEPROP INT_L_X0Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y83 NAME INT_L_X0Y83 TILEPROP INT_L_X0Y83 NUM_ARCS 3737 TILEPROP INT_L_X0Y83 NUM_SITES 1 TILEPROP INT_L_X0Y83 ROW 69 TILEPROP INT_L_X0Y83 SLR_REGION_ID 0 TILEPROP INT_L_X0Y83 TILE_PATTERN_IDX 6005 TILEPROP INT_L_X0Y83 TILE_TYPE INT_L TILEPROP INT_L_X0Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y83 TILE_X -97166 TILEPROP INT_L_X0Y83 TILE_Y 28224 TILEPROP INT_L_X0Y83 TYPE INT_L TILEPROP INT_L_X0Y84 CLASS tile TILEPROP INT_L_X0Y84 COLUMN 4 TILEPROP INT_L_X0Y84 DEVICE_ID 0 TILEPROP INT_L_X0Y84 FIRST_SITE_ID 6671 TILEPROP INT_L_X0Y84 GRID_POINT_X 4 TILEPROP INT_L_X0Y84 GRID_POINT_Y 68 TILEPROP INT_L_X0Y84 INDEX 7824 TILEPROP INT_L_X0Y84 INT_TILE_X 0 TILEPROP INT_L_X0Y84 INT_TILE_Y 65 TILEPROP INT_L_X0Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y84 IS_DCM_TILE 0 TILEPROP INT_L_X0Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y84 NAME INT_L_X0Y84 TILEPROP INT_L_X0Y84 NUM_ARCS 3737 TILEPROP INT_L_X0Y84 NUM_SITES 1 TILEPROP INT_L_X0Y84 ROW 68 TILEPROP INT_L_X0Y84 SLR_REGION_ID 0 TILEPROP INT_L_X0Y84 TILE_PATTERN_IDX 5971 TILEPROP INT_L_X0Y84 TILE_TYPE INT_L TILEPROP INT_L_X0Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y84 TILE_X -97166 TILEPROP INT_L_X0Y84 TILE_Y 31424 TILEPROP INT_L_X0Y84 TYPE INT_L TILEPROP INT_L_X0Y85 CLASS tile TILEPROP INT_L_X0Y85 COLUMN 4 TILEPROP INT_L_X0Y85 DEVICE_ID 0 TILEPROP INT_L_X0Y85 FIRST_SITE_ID 6556 TILEPROP INT_L_X0Y85 GRID_POINT_X 4 TILEPROP INT_L_X0Y85 GRID_POINT_Y 67 TILEPROP INT_L_X0Y85 INDEX 7709 TILEPROP INT_L_X0Y85 INT_TILE_X 0 TILEPROP INT_L_X0Y85 INT_TILE_Y 64 TILEPROP INT_L_X0Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y85 IS_DCM_TILE 0 TILEPROP INT_L_X0Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y85 NAME INT_L_X0Y85 TILEPROP INT_L_X0Y85 NUM_ARCS 3737 TILEPROP INT_L_X0Y85 NUM_SITES 1 TILEPROP INT_L_X0Y85 ROW 67 TILEPROP INT_L_X0Y85 SLR_REGION_ID 0 TILEPROP INT_L_X0Y85 TILE_PATTERN_IDX 5936 TILEPROP INT_L_X0Y85 TILE_TYPE INT_L TILEPROP INT_L_X0Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y85 TILE_X -97166 TILEPROP INT_L_X0Y85 TILE_Y 34624 TILEPROP INT_L_X0Y85 TYPE INT_L TILEPROP INT_L_X0Y86 CLASS tile TILEPROP INT_L_X0Y86 COLUMN 4 TILEPROP INT_L_X0Y86 DEVICE_ID 0 TILEPROP INT_L_X0Y86 FIRST_SITE_ID 6424 TILEPROP INT_L_X0Y86 GRID_POINT_X 4 TILEPROP INT_L_X0Y86 GRID_POINT_Y 66 TILEPROP INT_L_X0Y86 INDEX 7594 TILEPROP INT_L_X0Y86 INT_TILE_X 0 TILEPROP INT_L_X0Y86 INT_TILE_Y 63 TILEPROP INT_L_X0Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y86 IS_DCM_TILE 0 TILEPROP INT_L_X0Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y86 NAME INT_L_X0Y86 TILEPROP INT_L_X0Y86 NUM_ARCS 3737 TILEPROP INT_L_X0Y86 NUM_SITES 1 TILEPROP INT_L_X0Y86 ROW 66 TILEPROP INT_L_X0Y86 SLR_REGION_ID 0 TILEPROP INT_L_X0Y86 TILE_PATTERN_IDX 5901 TILEPROP INT_L_X0Y86 TILE_TYPE INT_L TILEPROP INT_L_X0Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y86 TILE_X -97166 TILEPROP INT_L_X0Y86 TILE_Y 37824 TILEPROP INT_L_X0Y86 TYPE INT_L TILEPROP INT_L_X0Y87 CLASS tile TILEPROP INT_L_X0Y87 COLUMN 4 TILEPROP INT_L_X0Y87 DEVICE_ID 0 TILEPROP INT_L_X0Y87 FIRST_SITE_ID 6324 TILEPROP INT_L_X0Y87 GRID_POINT_X 4 TILEPROP INT_L_X0Y87 GRID_POINT_Y 65 TILEPROP INT_L_X0Y87 INDEX 7479 TILEPROP INT_L_X0Y87 INT_TILE_X 0 TILEPROP INT_L_X0Y87 INT_TILE_Y 62 TILEPROP INT_L_X0Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y87 IS_DCM_TILE 0 TILEPROP INT_L_X0Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y87 NAME INT_L_X0Y87 TILEPROP INT_L_X0Y87 NUM_ARCS 3737 TILEPROP INT_L_X0Y87 NUM_SITES 1 TILEPROP INT_L_X0Y87 ROW 65 TILEPROP INT_L_X0Y87 SLR_REGION_ID 0 TILEPROP INT_L_X0Y87 TILE_PATTERN_IDX 5867 TILEPROP INT_L_X0Y87 TILE_TYPE INT_L TILEPROP INT_L_X0Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y87 TILE_X -97166 TILEPROP INT_L_X0Y87 TILE_Y 41024 TILEPROP INT_L_X0Y87 TYPE INT_L TILEPROP INT_L_X0Y88 CLASS tile TILEPROP INT_L_X0Y88 COLUMN 4 TILEPROP INT_L_X0Y88 DEVICE_ID 0 TILEPROP INT_L_X0Y88 FIRST_SITE_ID 6224 TILEPROP INT_L_X0Y88 GRID_POINT_X 4 TILEPROP INT_L_X0Y88 GRID_POINT_Y 64 TILEPROP INT_L_X0Y88 INDEX 7364 TILEPROP INT_L_X0Y88 INT_TILE_X 0 TILEPROP INT_L_X0Y88 INT_TILE_Y 61 TILEPROP INT_L_X0Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y88 IS_DCM_TILE 0 TILEPROP INT_L_X0Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y88 NAME INT_L_X0Y88 TILEPROP INT_L_X0Y88 NUM_ARCS 3737 TILEPROP INT_L_X0Y88 NUM_SITES 1 TILEPROP INT_L_X0Y88 ROW 64 TILEPROP INT_L_X0Y88 SLR_REGION_ID 0 TILEPROP INT_L_X0Y88 TILE_PATTERN_IDX 5834 TILEPROP INT_L_X0Y88 TILE_TYPE INT_L TILEPROP INT_L_X0Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y88 TILE_X -97166 TILEPROP INT_L_X0Y88 TILE_Y 44224 TILEPROP INT_L_X0Y88 TYPE INT_L TILEPROP INT_L_X0Y89 CLASS tile TILEPROP INT_L_X0Y89 COLUMN 4 TILEPROP INT_L_X0Y89 DEVICE_ID 0 TILEPROP INT_L_X0Y89 FIRST_SITE_ID 6124 TILEPROP INT_L_X0Y89 GRID_POINT_X 4 TILEPROP INT_L_X0Y89 GRID_POINT_Y 63 TILEPROP INT_L_X0Y89 INDEX 7249 TILEPROP INT_L_X0Y89 INT_TILE_X 0 TILEPROP INT_L_X0Y89 INT_TILE_Y 60 TILEPROP INT_L_X0Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y89 IS_DCM_TILE 0 TILEPROP INT_L_X0Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y89 NAME INT_L_X0Y89 TILEPROP INT_L_X0Y89 NUM_ARCS 3737 TILEPROP INT_L_X0Y89 NUM_SITES 1 TILEPROP INT_L_X0Y89 ROW 63 TILEPROP INT_L_X0Y89 SLR_REGION_ID 0 TILEPROP INT_L_X0Y89 TILE_PATTERN_IDX 5800 TILEPROP INT_L_X0Y89 TILE_TYPE INT_L TILEPROP INT_L_X0Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y89 TILE_X -97166 TILEPROP INT_L_X0Y89 TILE_Y 47424 TILEPROP INT_L_X0Y89 TYPE INT_L TILEPROP INT_L_X0Y90 CLASS tile TILEPROP INT_L_X0Y90 COLUMN 4 TILEPROP INT_L_X0Y90 DEVICE_ID 0 TILEPROP INT_L_X0Y90 FIRST_SITE_ID 6009 TILEPROP INT_L_X0Y90 GRID_POINT_X 4 TILEPROP INT_L_X0Y90 GRID_POINT_Y 62 TILEPROP INT_L_X0Y90 INDEX 7134 TILEPROP INT_L_X0Y90 INT_TILE_X 0 TILEPROP INT_L_X0Y90 INT_TILE_Y 59 TILEPROP INT_L_X0Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y90 IS_DCM_TILE 0 TILEPROP INT_L_X0Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y90 NAME INT_L_X0Y90 TILEPROP INT_L_X0Y90 NUM_ARCS 3737 TILEPROP INT_L_X0Y90 NUM_SITES 1 TILEPROP INT_L_X0Y90 ROW 62 TILEPROP INT_L_X0Y90 SLR_REGION_ID 0 TILEPROP INT_L_X0Y90 TILE_PATTERN_IDX 5766 TILEPROP INT_L_X0Y90 TILE_TYPE INT_L TILEPROP INT_L_X0Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y90 TILE_X -97166 TILEPROP INT_L_X0Y90 TILE_Y 50624 TILEPROP INT_L_X0Y90 TYPE INT_L TILEPROP INT_L_X0Y91 CLASS tile TILEPROP INT_L_X0Y91 COLUMN 4 TILEPROP INT_L_X0Y91 DEVICE_ID 0 TILEPROP INT_L_X0Y91 FIRST_SITE_ID 5909 TILEPROP INT_L_X0Y91 GRID_POINT_X 4 TILEPROP INT_L_X0Y91 GRID_POINT_Y 61 TILEPROP INT_L_X0Y91 INDEX 7019 TILEPROP INT_L_X0Y91 INT_TILE_X 0 TILEPROP INT_L_X0Y91 INT_TILE_Y 58 TILEPROP INT_L_X0Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y91 IS_DCM_TILE 0 TILEPROP INT_L_X0Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y91 NAME INT_L_X0Y91 TILEPROP INT_L_X0Y91 NUM_ARCS 3737 TILEPROP INT_L_X0Y91 NUM_SITES 1 TILEPROP INT_L_X0Y91 ROW 61 TILEPROP INT_L_X0Y91 SLR_REGION_ID 0 TILEPROP INT_L_X0Y91 TILE_PATTERN_IDX 5732 TILEPROP INT_L_X0Y91 TILE_TYPE INT_L TILEPROP INT_L_X0Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y91 TILE_X -97166 TILEPROP INT_L_X0Y91 TILE_Y 53824 TILEPROP INT_L_X0Y91 TYPE INT_L TILEPROP INT_L_X0Y92 CLASS tile TILEPROP INT_L_X0Y92 COLUMN 4 TILEPROP INT_L_X0Y92 DEVICE_ID 0 TILEPROP INT_L_X0Y92 FIRST_SITE_ID 5807 TILEPROP INT_L_X0Y92 GRID_POINT_X 4 TILEPROP INT_L_X0Y92 GRID_POINT_Y 60 TILEPROP INT_L_X0Y92 INDEX 6904 TILEPROP INT_L_X0Y92 INT_TILE_X 0 TILEPROP INT_L_X0Y92 INT_TILE_Y 57 TILEPROP INT_L_X0Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y92 IS_DCM_TILE 0 TILEPROP INT_L_X0Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y92 NAME INT_L_X0Y92 TILEPROP INT_L_X0Y92 NUM_ARCS 3737 TILEPROP INT_L_X0Y92 NUM_SITES 1 TILEPROP INT_L_X0Y92 ROW 60 TILEPROP INT_L_X0Y92 SLR_REGION_ID 0 TILEPROP INT_L_X0Y92 TILE_PATTERN_IDX 5699 TILEPROP INT_L_X0Y92 TILE_TYPE INT_L TILEPROP INT_L_X0Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y92 TILE_X -97166 TILEPROP INT_L_X0Y92 TILE_Y 57024 TILEPROP INT_L_X0Y92 TYPE INT_L TILEPROP INT_L_X0Y93 CLASS tile TILEPROP INT_L_X0Y93 COLUMN 4 TILEPROP INT_L_X0Y93 DEVICE_ID 0 TILEPROP INT_L_X0Y93 FIRST_SITE_ID 5703 TILEPROP INT_L_X0Y93 GRID_POINT_X 4 TILEPROP INT_L_X0Y93 GRID_POINT_Y 59 TILEPROP INT_L_X0Y93 INDEX 6789 TILEPROP INT_L_X0Y93 INT_TILE_X 0 TILEPROP INT_L_X0Y93 INT_TILE_Y 56 TILEPROP INT_L_X0Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y93 IS_DCM_TILE 0 TILEPROP INT_L_X0Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y93 NAME INT_L_X0Y93 TILEPROP INT_L_X0Y93 NUM_ARCS 3737 TILEPROP INT_L_X0Y93 NUM_SITES 1 TILEPROP INT_L_X0Y93 ROW 59 TILEPROP INT_L_X0Y93 SLR_REGION_ID 0 TILEPROP INT_L_X0Y93 TILE_PATTERN_IDX 5665 TILEPROP INT_L_X0Y93 TILE_TYPE INT_L TILEPROP INT_L_X0Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y93 TILE_X -97166 TILEPROP INT_L_X0Y93 TILE_Y 60224 TILEPROP INT_L_X0Y93 TYPE INT_L TILEPROP INT_L_X0Y94 CLASS tile TILEPROP INT_L_X0Y94 COLUMN 4 TILEPROP INT_L_X0Y94 DEVICE_ID 0 TILEPROP INT_L_X0Y94 FIRST_SITE_ID 5603 TILEPROP INT_L_X0Y94 GRID_POINT_X 4 TILEPROP INT_L_X0Y94 GRID_POINT_Y 58 TILEPROP INT_L_X0Y94 INDEX 6674 TILEPROP INT_L_X0Y94 INT_TILE_X 0 TILEPROP INT_L_X0Y94 INT_TILE_Y 55 TILEPROP INT_L_X0Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y94 IS_DCM_TILE 0 TILEPROP INT_L_X0Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y94 NAME INT_L_X0Y94 TILEPROP INT_L_X0Y94 NUM_ARCS 3737 TILEPROP INT_L_X0Y94 NUM_SITES 1 TILEPROP INT_L_X0Y94 ROW 58 TILEPROP INT_L_X0Y94 SLR_REGION_ID 0 TILEPROP INT_L_X0Y94 TILE_PATTERN_IDX 5631 TILEPROP INT_L_X0Y94 TILE_TYPE INT_L TILEPROP INT_L_X0Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y94 TILE_X -97166 TILEPROP INT_L_X0Y94 TILE_Y 63424 TILEPROP INT_L_X0Y94 TYPE INT_L TILEPROP INT_L_X0Y95 CLASS tile TILEPROP INT_L_X0Y95 COLUMN 4 TILEPROP INT_L_X0Y95 DEVICE_ID 0 TILEPROP INT_L_X0Y95 FIRST_SITE_ID 5488 TILEPROP INT_L_X0Y95 GRID_POINT_X 4 TILEPROP INT_L_X0Y95 GRID_POINT_Y 57 TILEPROP INT_L_X0Y95 INDEX 6559 TILEPROP INT_L_X0Y95 INT_TILE_X 0 TILEPROP INT_L_X0Y95 INT_TILE_Y 54 TILEPROP INT_L_X0Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y95 IS_DCM_TILE 0 TILEPROP INT_L_X0Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y95 NAME INT_L_X0Y95 TILEPROP INT_L_X0Y95 NUM_ARCS 3737 TILEPROP INT_L_X0Y95 NUM_SITES 1 TILEPROP INT_L_X0Y95 ROW 57 TILEPROP INT_L_X0Y95 SLR_REGION_ID 0 TILEPROP INT_L_X0Y95 TILE_PATTERN_IDX 5596 TILEPROP INT_L_X0Y95 TILE_TYPE INT_L TILEPROP INT_L_X0Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y95 TILE_X -97166 TILEPROP INT_L_X0Y95 TILE_Y 66624 TILEPROP INT_L_X0Y95 TYPE INT_L TILEPROP INT_L_X0Y96 CLASS tile TILEPROP INT_L_X0Y96 COLUMN 4 TILEPROP INT_L_X0Y96 DEVICE_ID 0 TILEPROP INT_L_X0Y96 FIRST_SITE_ID 5388 TILEPROP INT_L_X0Y96 GRID_POINT_X 4 TILEPROP INT_L_X0Y96 GRID_POINT_Y 56 TILEPROP INT_L_X0Y96 INDEX 6444 TILEPROP INT_L_X0Y96 INT_TILE_X 0 TILEPROP INT_L_X0Y96 INT_TILE_Y 53 TILEPROP INT_L_X0Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y96 IS_DCM_TILE 0 TILEPROP INT_L_X0Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y96 NAME INT_L_X0Y96 TILEPROP INT_L_X0Y96 NUM_ARCS 3737 TILEPROP INT_L_X0Y96 NUM_SITES 1 TILEPROP INT_L_X0Y96 ROW 56 TILEPROP INT_L_X0Y96 SLR_REGION_ID 0 TILEPROP INT_L_X0Y96 TILE_PATTERN_IDX 5562 TILEPROP INT_L_X0Y96 TILE_TYPE INT_L TILEPROP INT_L_X0Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y96 TILE_X -97166 TILEPROP INT_L_X0Y96 TILE_Y 69824 TILEPROP INT_L_X0Y96 TYPE INT_L TILEPROP INT_L_X0Y97 CLASS tile TILEPROP INT_L_X0Y97 COLUMN 4 TILEPROP INT_L_X0Y97 DEVICE_ID 0 TILEPROP INT_L_X0Y97 FIRST_SITE_ID 5288 TILEPROP INT_L_X0Y97 GRID_POINT_X 4 TILEPROP INT_L_X0Y97 GRID_POINT_Y 55 TILEPROP INT_L_X0Y97 INDEX 6329 TILEPROP INT_L_X0Y97 INT_TILE_X 0 TILEPROP INT_L_X0Y97 INT_TILE_Y 52 TILEPROP INT_L_X0Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y97 IS_DCM_TILE 0 TILEPROP INT_L_X0Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y97 NAME INT_L_X0Y97 TILEPROP INT_L_X0Y97 NUM_ARCS 3737 TILEPROP INT_L_X0Y97 NUM_SITES 1 TILEPROP INT_L_X0Y97 ROW 55 TILEPROP INT_L_X0Y97 SLR_REGION_ID 0 TILEPROP INT_L_X0Y97 TILE_PATTERN_IDX 5528 TILEPROP INT_L_X0Y97 TILE_TYPE INT_L TILEPROP INT_L_X0Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y97 TILE_X -97166 TILEPROP INT_L_X0Y97 TILE_Y 73024 TILEPROP INT_L_X0Y97 TYPE INT_L TILEPROP INT_L_X0Y98 CLASS tile TILEPROP INT_L_X0Y98 COLUMN 4 TILEPROP INT_L_X0Y98 DEVICE_ID 0 TILEPROP INT_L_X0Y98 FIRST_SITE_ID 5188 TILEPROP INT_L_X0Y98 GRID_POINT_X 4 TILEPROP INT_L_X0Y98 GRID_POINT_Y 54 TILEPROP INT_L_X0Y98 INDEX 6214 TILEPROP INT_L_X0Y98 INT_TILE_X 0 TILEPROP INT_L_X0Y98 INT_TILE_Y 51 TILEPROP INT_L_X0Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y98 IS_DCM_TILE 0 TILEPROP INT_L_X0Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y98 NAME INT_L_X0Y98 TILEPROP INT_L_X0Y98 NUM_ARCS 3737 TILEPROP INT_L_X0Y98 NUM_SITES 1 TILEPROP INT_L_X0Y98 ROW 54 TILEPROP INT_L_X0Y98 SLR_REGION_ID 0 TILEPROP INT_L_X0Y98 TILE_PATTERN_IDX 5494 TILEPROP INT_L_X0Y98 TILE_TYPE INT_L TILEPROP INT_L_X0Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y98 TILE_X -97166 TILEPROP INT_L_X0Y98 TILE_Y 76224 TILEPROP INT_L_X0Y98 TYPE INT_L TILEPROP INT_L_X0Y99 CLASS tile TILEPROP INT_L_X0Y99 COLUMN 4 TILEPROP INT_L_X0Y99 DEVICE_ID 0 TILEPROP INT_L_X0Y99 FIRST_SITE_ID 5092 TILEPROP INT_L_X0Y99 GRID_POINT_X 4 TILEPROP INT_L_X0Y99 GRID_POINT_Y 53 TILEPROP INT_L_X0Y99 INDEX 6099 TILEPROP INT_L_X0Y99 INT_TILE_X 0 TILEPROP INT_L_X0Y99 INT_TILE_Y 50 TILEPROP INT_L_X0Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y99 IS_DCM_TILE 0 TILEPROP INT_L_X0Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y99 NAME INT_L_X0Y99 TILEPROP INT_L_X0Y99 NUM_ARCS 3737 TILEPROP INT_L_X0Y99 NUM_SITES 1 TILEPROP INT_L_X0Y99 ROW 53 TILEPROP INT_L_X0Y99 SLR_REGION_ID 0 TILEPROP INT_L_X0Y99 TILE_PATTERN_IDX 5461 TILEPROP INT_L_X0Y99 TILE_TYPE INT_L TILEPROP INT_L_X0Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y99 TILE_X -97166 TILEPROP INT_L_X0Y99 TILE_Y 79424 TILEPROP INT_L_X0Y99 TYPE INT_L TILEPROP INT_L_X0Y100 CLASS tile TILEPROP INT_L_X0Y100 COLUMN 4 TILEPROP INT_L_X0Y100 DEVICE_ID 0 TILEPROP INT_L_X0Y100 FIRST_SITE_ID 4991 TILEPROP INT_L_X0Y100 GRID_POINT_X 4 TILEPROP INT_L_X0Y100 GRID_POINT_Y 51 TILEPROP INT_L_X0Y100 INDEX 5869 TILEPROP INT_L_X0Y100 INT_TILE_X 0 TILEPROP INT_L_X0Y100 INT_TILE_Y 49 TILEPROP INT_L_X0Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y100 IS_DCM_TILE 0 TILEPROP INT_L_X0Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y100 NAME INT_L_X0Y100 TILEPROP INT_L_X0Y100 NUM_ARCS 3737 TILEPROP INT_L_X0Y100 NUM_SITES 1 TILEPROP INT_L_X0Y100 ROW 51 TILEPROP INT_L_X0Y100 SLR_REGION_ID 0 TILEPROP INT_L_X0Y100 TILE_PATTERN_IDX 5424 TILEPROP INT_L_X0Y100 TILE_TYPE INT_L TILEPROP INT_L_X0Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y100 TILE_X -97166 TILEPROP INT_L_X0Y100 TILE_Y 82872 TILEPROP INT_L_X0Y100 TYPE INT_L TILEPROP INT_L_X0Y101 CLASS tile TILEPROP INT_L_X0Y101 COLUMN 4 TILEPROP INT_L_X0Y101 DEVICE_ID 0 TILEPROP INT_L_X0Y101 FIRST_SITE_ID 4899 TILEPROP INT_L_X0Y101 GRID_POINT_X 4 TILEPROP INT_L_X0Y101 GRID_POINT_Y 50 TILEPROP INT_L_X0Y101 INDEX 5754 TILEPROP INT_L_X0Y101 INT_TILE_X 0 TILEPROP INT_L_X0Y101 INT_TILE_Y 48 TILEPROP INT_L_X0Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y101 IS_DCM_TILE 0 TILEPROP INT_L_X0Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y101 NAME INT_L_X0Y101 TILEPROP INT_L_X0Y101 NUM_ARCS 3737 TILEPROP INT_L_X0Y101 NUM_SITES 1 TILEPROP INT_L_X0Y101 ROW 50 TILEPROP INT_L_X0Y101 SLR_REGION_ID 0 TILEPROP INT_L_X0Y101 TILE_PATTERN_IDX 5388 TILEPROP INT_L_X0Y101 TILE_TYPE INT_L TILEPROP INT_L_X0Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y101 TILE_X -97166 TILEPROP INT_L_X0Y101 TILE_Y 86072 TILEPROP INT_L_X0Y101 TYPE INT_L TILEPROP INT_L_X0Y102 CLASS tile TILEPROP INT_L_X0Y102 COLUMN 4 TILEPROP INT_L_X0Y102 DEVICE_ID 0 TILEPROP INT_L_X0Y102 FIRST_SITE_ID 4803 TILEPROP INT_L_X0Y102 GRID_POINT_X 4 TILEPROP INT_L_X0Y102 GRID_POINT_Y 49 TILEPROP INT_L_X0Y102 INDEX 5639 TILEPROP INT_L_X0Y102 INT_TILE_X 0 TILEPROP INT_L_X0Y102 INT_TILE_Y 47 TILEPROP INT_L_X0Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y102 IS_DCM_TILE 0 TILEPROP INT_L_X0Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y102 NAME INT_L_X0Y102 TILEPROP INT_L_X0Y102 NUM_ARCS 3737 TILEPROP INT_L_X0Y102 NUM_SITES 1 TILEPROP INT_L_X0Y102 ROW 49 TILEPROP INT_L_X0Y102 SLR_REGION_ID 0 TILEPROP INT_L_X0Y102 TILE_PATTERN_IDX 5351 TILEPROP INT_L_X0Y102 TILE_TYPE INT_L TILEPROP INT_L_X0Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y102 TILE_X -97166 TILEPROP INT_L_X0Y102 TILE_Y 89272 TILEPROP INT_L_X0Y102 TYPE INT_L TILEPROP INT_L_X0Y103 CLASS tile TILEPROP INT_L_X0Y103 COLUMN 4 TILEPROP INT_L_X0Y103 DEVICE_ID 0 TILEPROP INT_L_X0Y103 FIRST_SITE_ID 4715 TILEPROP INT_L_X0Y103 GRID_POINT_X 4 TILEPROP INT_L_X0Y103 GRID_POINT_Y 48 TILEPROP INT_L_X0Y103 INDEX 5524 TILEPROP INT_L_X0Y103 INT_TILE_X 0 TILEPROP INT_L_X0Y103 INT_TILE_Y 46 TILEPROP INT_L_X0Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y103 IS_DCM_TILE 0 TILEPROP INT_L_X0Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y103 NAME INT_L_X0Y103 TILEPROP INT_L_X0Y103 NUM_ARCS 3737 TILEPROP INT_L_X0Y103 NUM_SITES 1 TILEPROP INT_L_X0Y103 ROW 48 TILEPROP INT_L_X0Y103 SLR_REGION_ID 0 TILEPROP INT_L_X0Y103 TILE_PATTERN_IDX 5315 TILEPROP INT_L_X0Y103 TILE_TYPE INT_L TILEPROP INT_L_X0Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y103 TILE_X -97166 TILEPROP INT_L_X0Y103 TILE_Y 92472 TILEPROP INT_L_X0Y103 TYPE INT_L TILEPROP INT_L_X0Y104 CLASS tile TILEPROP INT_L_X0Y104 COLUMN 4 TILEPROP INT_L_X0Y104 DEVICE_ID 0 TILEPROP INT_L_X0Y104 FIRST_SITE_ID 4619 TILEPROP INT_L_X0Y104 GRID_POINT_X 4 TILEPROP INT_L_X0Y104 GRID_POINT_Y 47 TILEPROP INT_L_X0Y104 INDEX 5409 TILEPROP INT_L_X0Y104 INT_TILE_X 0 TILEPROP INT_L_X0Y104 INT_TILE_Y 45 TILEPROP INT_L_X0Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y104 IS_DCM_TILE 0 TILEPROP INT_L_X0Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y104 NAME INT_L_X0Y104 TILEPROP INT_L_X0Y104 NUM_ARCS 3737 TILEPROP INT_L_X0Y104 NUM_SITES 1 TILEPROP INT_L_X0Y104 ROW 47 TILEPROP INT_L_X0Y104 SLR_REGION_ID 0 TILEPROP INT_L_X0Y104 TILE_PATTERN_IDX 5278 TILEPROP INT_L_X0Y104 TILE_TYPE INT_L TILEPROP INT_L_X0Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y104 TILE_X -97166 TILEPROP INT_L_X0Y104 TILE_Y 95672 TILEPROP INT_L_X0Y104 TYPE INT_L TILEPROP INT_L_X0Y105 CLASS tile TILEPROP INT_L_X0Y105 COLUMN 4 TILEPROP INT_L_X0Y105 DEVICE_ID 0 TILEPROP INT_L_X0Y105 FIRST_SITE_ID 4517 TILEPROP INT_L_X0Y105 GRID_POINT_X 4 TILEPROP INT_L_X0Y105 GRID_POINT_Y 46 TILEPROP INT_L_X0Y105 INDEX 5294 TILEPROP INT_L_X0Y105 INT_TILE_X 0 TILEPROP INT_L_X0Y105 INT_TILE_Y 44 TILEPROP INT_L_X0Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y105 IS_DCM_TILE 0 TILEPROP INT_L_X0Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y105 NAME INT_L_X0Y105 TILEPROP INT_L_X0Y105 NUM_ARCS 3737 TILEPROP INT_L_X0Y105 NUM_SITES 1 TILEPROP INT_L_X0Y105 ROW 46 TILEPROP INT_L_X0Y105 SLR_REGION_ID 0 TILEPROP INT_L_X0Y105 TILE_PATTERN_IDX 5242 TILEPROP INT_L_X0Y105 TILE_TYPE INT_L TILEPROP INT_L_X0Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y105 TILE_X -97166 TILEPROP INT_L_X0Y105 TILE_Y 98872 TILEPROP INT_L_X0Y105 TYPE INT_L TILEPROP INT_L_X0Y106 CLASS tile TILEPROP INT_L_X0Y106 COLUMN 4 TILEPROP INT_L_X0Y106 DEVICE_ID 0 TILEPROP INT_L_X0Y106 FIRST_SITE_ID 4421 TILEPROP INT_L_X0Y106 GRID_POINT_X 4 TILEPROP INT_L_X0Y106 GRID_POINT_Y 45 TILEPROP INT_L_X0Y106 INDEX 5179 TILEPROP INT_L_X0Y106 INT_TILE_X 0 TILEPROP INT_L_X0Y106 INT_TILE_Y 43 TILEPROP INT_L_X0Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y106 IS_DCM_TILE 0 TILEPROP INT_L_X0Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y106 NAME INT_L_X0Y106 TILEPROP INT_L_X0Y106 NUM_ARCS 3737 TILEPROP INT_L_X0Y106 NUM_SITES 1 TILEPROP INT_L_X0Y106 ROW 45 TILEPROP INT_L_X0Y106 SLR_REGION_ID 0 TILEPROP INT_L_X0Y106 TILE_PATTERN_IDX 5205 TILEPROP INT_L_X0Y106 TILE_TYPE INT_L TILEPROP INT_L_X0Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y106 TILE_X -97166 TILEPROP INT_L_X0Y106 TILE_Y 102072 TILEPROP INT_L_X0Y106 TYPE INT_L TILEPROP INT_L_X0Y107 CLASS tile TILEPROP INT_L_X0Y107 COLUMN 4 TILEPROP INT_L_X0Y107 DEVICE_ID 0 TILEPROP INT_L_X0Y107 FIRST_SITE_ID 4331 TILEPROP INT_L_X0Y107 GRID_POINT_X 4 TILEPROP INT_L_X0Y107 GRID_POINT_Y 44 TILEPROP INT_L_X0Y107 INDEX 5064 TILEPROP INT_L_X0Y107 INT_TILE_X 0 TILEPROP INT_L_X0Y107 INT_TILE_Y 42 TILEPROP INT_L_X0Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y107 IS_DCM_TILE 0 TILEPROP INT_L_X0Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y107 NAME INT_L_X0Y107 TILEPROP INT_L_X0Y107 NUM_ARCS 3737 TILEPROP INT_L_X0Y107 NUM_SITES 1 TILEPROP INT_L_X0Y107 ROW 44 TILEPROP INT_L_X0Y107 SLR_REGION_ID 0 TILEPROP INT_L_X0Y107 TILE_PATTERN_IDX 5169 TILEPROP INT_L_X0Y107 TILE_TYPE INT_L TILEPROP INT_L_X0Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y107 TILE_X -97166 TILEPROP INT_L_X0Y107 TILE_Y 105272 TILEPROP INT_L_X0Y107 TYPE INT_L TILEPROP INT_L_X0Y108 CLASS tile TILEPROP INT_L_X0Y108 COLUMN 4 TILEPROP INT_L_X0Y108 DEVICE_ID 0 TILEPROP INT_L_X0Y108 FIRST_SITE_ID 4234 TILEPROP INT_L_X0Y108 GRID_POINT_X 4 TILEPROP INT_L_X0Y108 GRID_POINT_Y 43 TILEPROP INT_L_X0Y108 INDEX 4949 TILEPROP INT_L_X0Y108 INT_TILE_X 0 TILEPROP INT_L_X0Y108 INT_TILE_Y 41 TILEPROP INT_L_X0Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y108 IS_DCM_TILE 0 TILEPROP INT_L_X0Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y108 NAME INT_L_X0Y108 TILEPROP INT_L_X0Y108 NUM_ARCS 3737 TILEPROP INT_L_X0Y108 NUM_SITES 1 TILEPROP INT_L_X0Y108 ROW 43 TILEPROP INT_L_X0Y108 SLR_REGION_ID 0 TILEPROP INT_L_X0Y108 TILE_PATTERN_IDX 5132 TILEPROP INT_L_X0Y108 TILE_TYPE INT_L TILEPROP INT_L_X0Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y108 TILE_X -97166 TILEPROP INT_L_X0Y108 TILE_Y 108472 TILEPROP INT_L_X0Y108 TYPE INT_L TILEPROP INT_L_X0Y109 CLASS tile TILEPROP INT_L_X0Y109 COLUMN 4 TILEPROP INT_L_X0Y109 DEVICE_ID 0 TILEPROP INT_L_X0Y109 FIRST_SITE_ID 4146 TILEPROP INT_L_X0Y109 GRID_POINT_X 4 TILEPROP INT_L_X0Y109 GRID_POINT_Y 42 TILEPROP INT_L_X0Y109 INDEX 4834 TILEPROP INT_L_X0Y109 INT_TILE_X 0 TILEPROP INT_L_X0Y109 INT_TILE_Y 40 TILEPROP INT_L_X0Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y109 IS_DCM_TILE 0 TILEPROP INT_L_X0Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y109 NAME INT_L_X0Y109 TILEPROP INT_L_X0Y109 NUM_ARCS 3737 TILEPROP INT_L_X0Y109 NUM_SITES 1 TILEPROP INT_L_X0Y109 ROW 42 TILEPROP INT_L_X0Y109 SLR_REGION_ID 0 TILEPROP INT_L_X0Y109 TILE_PATTERN_IDX 5096 TILEPROP INT_L_X0Y109 TILE_TYPE INT_L TILEPROP INT_L_X0Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y109 TILE_X -97166 TILEPROP INT_L_X0Y109 TILE_Y 111672 TILEPROP INT_L_X0Y109 TYPE INT_L TILEPROP INT_L_X0Y110 CLASS tile TILEPROP INT_L_X0Y110 COLUMN 4 TILEPROP INT_L_X0Y110 DEVICE_ID 0 TILEPROP INT_L_X0Y110 FIRST_SITE_ID 4040 TILEPROP INT_L_X0Y110 GRID_POINT_X 4 TILEPROP INT_L_X0Y110 GRID_POINT_Y 41 TILEPROP INT_L_X0Y110 INDEX 4719 TILEPROP INT_L_X0Y110 INT_TILE_X 0 TILEPROP INT_L_X0Y110 INT_TILE_Y 39 TILEPROP INT_L_X0Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y110 IS_DCM_TILE 0 TILEPROP INT_L_X0Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y110 NAME INT_L_X0Y110 TILEPROP INT_L_X0Y110 NUM_ARCS 3737 TILEPROP INT_L_X0Y110 NUM_SITES 1 TILEPROP INT_L_X0Y110 ROW 41 TILEPROP INT_L_X0Y110 SLR_REGION_ID 0 TILEPROP INT_L_X0Y110 TILE_PATTERN_IDX 5059 TILEPROP INT_L_X0Y110 TILE_TYPE INT_L TILEPROP INT_L_X0Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y110 TILE_X -97166 TILEPROP INT_L_X0Y110 TILE_Y 114872 TILEPROP INT_L_X0Y110 TYPE INT_L TILEPROP INT_L_X0Y111 CLASS tile TILEPROP INT_L_X0Y111 COLUMN 4 TILEPROP INT_L_X0Y111 DEVICE_ID 0 TILEPROP INT_L_X0Y111 FIRST_SITE_ID 3952 TILEPROP INT_L_X0Y111 GRID_POINT_X 4 TILEPROP INT_L_X0Y111 GRID_POINT_Y 40 TILEPROP INT_L_X0Y111 INDEX 4604 TILEPROP INT_L_X0Y111 INT_TILE_X 0 TILEPROP INT_L_X0Y111 INT_TILE_Y 38 TILEPROP INT_L_X0Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y111 IS_DCM_TILE 0 TILEPROP INT_L_X0Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y111 NAME INT_L_X0Y111 TILEPROP INT_L_X0Y111 NUM_ARCS 3737 TILEPROP INT_L_X0Y111 NUM_SITES 1 TILEPROP INT_L_X0Y111 ROW 40 TILEPROP INT_L_X0Y111 SLR_REGION_ID 0 TILEPROP INT_L_X0Y111 TILE_PATTERN_IDX 5023 TILEPROP INT_L_X0Y111 TILE_TYPE INT_L TILEPROP INT_L_X0Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y111 TILE_X -97166 TILEPROP INT_L_X0Y111 TILE_Y 118072 TILEPROP INT_L_X0Y111 TYPE INT_L TILEPROP INT_L_X0Y112 CLASS tile TILEPROP INT_L_X0Y112 COLUMN 4 TILEPROP INT_L_X0Y112 DEVICE_ID 0 TILEPROP INT_L_X0Y112 FIRST_SITE_ID 3824 TILEPROP INT_L_X0Y112 GRID_POINT_X 4 TILEPROP INT_L_X0Y112 GRID_POINT_Y 39 TILEPROP INT_L_X0Y112 INDEX 4489 TILEPROP INT_L_X0Y112 INT_TILE_X 0 TILEPROP INT_L_X0Y112 INT_TILE_Y 37 TILEPROP INT_L_X0Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y112 IS_DCM_TILE 0 TILEPROP INT_L_X0Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y112 NAME INT_L_X0Y112 TILEPROP INT_L_X0Y112 NUM_ARCS 3737 TILEPROP INT_L_X0Y112 NUM_SITES 1 TILEPROP INT_L_X0Y112 ROW 39 TILEPROP INT_L_X0Y112 SLR_REGION_ID 0 TILEPROP INT_L_X0Y112 TILE_PATTERN_IDX 4985 TILEPROP INT_L_X0Y112 TILE_TYPE INT_L TILEPROP INT_L_X0Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y112 TILE_X -97166 TILEPROP INT_L_X0Y112 TILE_Y 121272 TILEPROP INT_L_X0Y112 TYPE INT_L TILEPROP INT_L_X0Y113 CLASS tile TILEPROP INT_L_X0Y113 COLUMN 4 TILEPROP INT_L_X0Y113 DEVICE_ID 0 TILEPROP INT_L_X0Y113 FIRST_SITE_ID 3736 TILEPROP INT_L_X0Y113 GRID_POINT_X 4 TILEPROP INT_L_X0Y113 GRID_POINT_Y 38 TILEPROP INT_L_X0Y113 INDEX 4374 TILEPROP INT_L_X0Y113 INT_TILE_X 0 TILEPROP INT_L_X0Y113 INT_TILE_Y 36 TILEPROP INT_L_X0Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y113 IS_DCM_TILE 0 TILEPROP INT_L_X0Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y113 NAME INT_L_X0Y113 TILEPROP INT_L_X0Y113 NUM_ARCS 3737 TILEPROP INT_L_X0Y113 NUM_SITES 1 TILEPROP INT_L_X0Y113 ROW 38 TILEPROP INT_L_X0Y113 SLR_REGION_ID 0 TILEPROP INT_L_X0Y113 TILE_PATTERN_IDX 4949 TILEPROP INT_L_X0Y113 TILE_TYPE INT_L TILEPROP INT_L_X0Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y113 TILE_X -97166 TILEPROP INT_L_X0Y113 TILE_Y 124472 TILEPROP INT_L_X0Y113 TYPE INT_L TILEPROP INT_L_X0Y114 CLASS tile TILEPROP INT_L_X0Y114 COLUMN 4 TILEPROP INT_L_X0Y114 DEVICE_ID 0 TILEPROP INT_L_X0Y114 FIRST_SITE_ID 3640 TILEPROP INT_L_X0Y114 GRID_POINT_X 4 TILEPROP INT_L_X0Y114 GRID_POINT_Y 37 TILEPROP INT_L_X0Y114 INDEX 4259 TILEPROP INT_L_X0Y114 INT_TILE_X 0 TILEPROP INT_L_X0Y114 INT_TILE_Y 35 TILEPROP INT_L_X0Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y114 IS_DCM_TILE 0 TILEPROP INT_L_X0Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y114 NAME INT_L_X0Y114 TILEPROP INT_L_X0Y114 NUM_ARCS 3737 TILEPROP INT_L_X0Y114 NUM_SITES 1 TILEPROP INT_L_X0Y114 ROW 37 TILEPROP INT_L_X0Y114 SLR_REGION_ID 0 TILEPROP INT_L_X0Y114 TILE_PATTERN_IDX 4912 TILEPROP INT_L_X0Y114 TILE_TYPE INT_L TILEPROP INT_L_X0Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y114 TILE_X -97166 TILEPROP INT_L_X0Y114 TILE_Y 127672 TILEPROP INT_L_X0Y114 TYPE INT_L TILEPROP INT_L_X0Y115 CLASS tile TILEPROP INT_L_X0Y115 COLUMN 4 TILEPROP INT_L_X0Y115 DEVICE_ID 0 TILEPROP INT_L_X0Y115 FIRST_SITE_ID 3543 TILEPROP INT_L_X0Y115 GRID_POINT_X 4 TILEPROP INT_L_X0Y115 GRID_POINT_Y 36 TILEPROP INT_L_X0Y115 INDEX 4144 TILEPROP INT_L_X0Y115 INT_TILE_X 0 TILEPROP INT_L_X0Y115 INT_TILE_Y 34 TILEPROP INT_L_X0Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y115 IS_DCM_TILE 0 TILEPROP INT_L_X0Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y115 NAME INT_L_X0Y115 TILEPROP INT_L_X0Y115 NUM_ARCS 3737 TILEPROP INT_L_X0Y115 NUM_SITES 1 TILEPROP INT_L_X0Y115 ROW 36 TILEPROP INT_L_X0Y115 SLR_REGION_ID 0 TILEPROP INT_L_X0Y115 TILE_PATTERN_IDX 4876 TILEPROP INT_L_X0Y115 TILE_TYPE INT_L TILEPROP INT_L_X0Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y115 TILE_X -97166 TILEPROP INT_L_X0Y115 TILE_Y 130872 TILEPROP INT_L_X0Y115 TYPE INT_L TILEPROP INT_L_X0Y116 CLASS tile TILEPROP INT_L_X0Y116 COLUMN 4 TILEPROP INT_L_X0Y116 DEVICE_ID 0 TILEPROP INT_L_X0Y116 FIRST_SITE_ID 3442 TILEPROP INT_L_X0Y116 GRID_POINT_X 4 TILEPROP INT_L_X0Y116 GRID_POINT_Y 35 TILEPROP INT_L_X0Y116 INDEX 4029 TILEPROP INT_L_X0Y116 INT_TILE_X 0 TILEPROP INT_L_X0Y116 INT_TILE_Y 33 TILEPROP INT_L_X0Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y116 IS_DCM_TILE 0 TILEPROP INT_L_X0Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y116 NAME INT_L_X0Y116 TILEPROP INT_L_X0Y116 NUM_ARCS 3737 TILEPROP INT_L_X0Y116 NUM_SITES 1 TILEPROP INT_L_X0Y116 ROW 35 TILEPROP INT_L_X0Y116 SLR_REGION_ID 0 TILEPROP INT_L_X0Y116 TILE_PATTERN_IDX 4839 TILEPROP INT_L_X0Y116 TILE_TYPE INT_L TILEPROP INT_L_X0Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y116 TILE_X -97166 TILEPROP INT_L_X0Y116 TILE_Y 134072 TILEPROP INT_L_X0Y116 TYPE INT_L TILEPROP INT_L_X0Y117 CLASS tile TILEPROP INT_L_X0Y117 COLUMN 4 TILEPROP INT_L_X0Y117 DEVICE_ID 0 TILEPROP INT_L_X0Y117 FIRST_SITE_ID 3350 TILEPROP INT_L_X0Y117 GRID_POINT_X 4 TILEPROP INT_L_X0Y117 GRID_POINT_Y 34 TILEPROP INT_L_X0Y117 INDEX 3914 TILEPROP INT_L_X0Y117 INT_TILE_X 0 TILEPROP INT_L_X0Y117 INT_TILE_Y 32 TILEPROP INT_L_X0Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y117 IS_DCM_TILE 0 TILEPROP INT_L_X0Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y117 NAME INT_L_X0Y117 TILEPROP INT_L_X0Y117 NUM_ARCS 3737 TILEPROP INT_L_X0Y117 NUM_SITES 1 TILEPROP INT_L_X0Y117 ROW 34 TILEPROP INT_L_X0Y117 SLR_REGION_ID 0 TILEPROP INT_L_X0Y117 TILE_PATTERN_IDX 4802 TILEPROP INT_L_X0Y117 TILE_TYPE INT_L TILEPROP INT_L_X0Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y117 TILE_X -97166 TILEPROP INT_L_X0Y117 TILE_Y 137272 TILEPROP INT_L_X0Y117 TYPE INT_L TILEPROP INT_L_X0Y118 CLASS tile TILEPROP INT_L_X0Y118 COLUMN 4 TILEPROP INT_L_X0Y118 DEVICE_ID 0 TILEPROP INT_L_X0Y118 FIRST_SITE_ID 3254 TILEPROP INT_L_X0Y118 GRID_POINT_X 4 TILEPROP INT_L_X0Y118 GRID_POINT_Y 33 TILEPROP INT_L_X0Y118 INDEX 3799 TILEPROP INT_L_X0Y118 INT_TILE_X 0 TILEPROP INT_L_X0Y118 INT_TILE_Y 31 TILEPROP INT_L_X0Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y118 IS_DCM_TILE 0 TILEPROP INT_L_X0Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y118 NAME INT_L_X0Y118 TILEPROP INT_L_X0Y118 NUM_ARCS 3737 TILEPROP INT_L_X0Y118 NUM_SITES 1 TILEPROP INT_L_X0Y118 ROW 33 TILEPROP INT_L_X0Y118 SLR_REGION_ID 0 TILEPROP INT_L_X0Y118 TILE_PATTERN_IDX 4765 TILEPROP INT_L_X0Y118 TILE_TYPE INT_L TILEPROP INT_L_X0Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y118 TILE_X -97166 TILEPROP INT_L_X0Y118 TILE_Y 140472 TILEPROP INT_L_X0Y118 TYPE INT_L TILEPROP INT_L_X0Y119 CLASS tile TILEPROP INT_L_X0Y119 COLUMN 4 TILEPROP INT_L_X0Y119 DEVICE_ID 0 TILEPROP INT_L_X0Y119 FIRST_SITE_ID 3164 TILEPROP INT_L_X0Y119 GRID_POINT_X 4 TILEPROP INT_L_X0Y119 GRID_POINT_Y 32 TILEPROP INT_L_X0Y119 INDEX 3684 TILEPROP INT_L_X0Y119 INT_TILE_X 0 TILEPROP INT_L_X0Y119 INT_TILE_Y 30 TILEPROP INT_L_X0Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y119 IS_DCM_TILE 0 TILEPROP INT_L_X0Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y119 NAME INT_L_X0Y119 TILEPROP INT_L_X0Y119 NUM_ARCS 3737 TILEPROP INT_L_X0Y119 NUM_SITES 1 TILEPROP INT_L_X0Y119 ROW 32 TILEPROP INT_L_X0Y119 SLR_REGION_ID 0 TILEPROP INT_L_X0Y119 TILE_PATTERN_IDX 4729 TILEPROP INT_L_X0Y119 TILE_TYPE INT_L TILEPROP INT_L_X0Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y119 TILE_X -97166 TILEPROP INT_L_X0Y119 TILE_Y 143672 TILEPROP INT_L_X0Y119 TYPE INT_L TILEPROP INT_L_X0Y120 CLASS tile TILEPROP INT_L_X0Y120 COLUMN 4 TILEPROP INT_L_X0Y120 DEVICE_ID 0 TILEPROP INT_L_X0Y120 FIRST_SITE_ID 3059 TILEPROP INT_L_X0Y120 GRID_POINT_X 4 TILEPROP INT_L_X0Y120 GRID_POINT_Y 31 TILEPROP INT_L_X0Y120 INDEX 3569 TILEPROP INT_L_X0Y120 INT_TILE_X 0 TILEPROP INT_L_X0Y120 INT_TILE_Y 29 TILEPROP INT_L_X0Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y120 IS_DCM_TILE 0 TILEPROP INT_L_X0Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y120 NAME INT_L_X0Y120 TILEPROP INT_L_X0Y120 NUM_ARCS 3737 TILEPROP INT_L_X0Y120 NUM_SITES 1 TILEPROP INT_L_X0Y120 ROW 31 TILEPROP INT_L_X0Y120 SLR_REGION_ID 0 TILEPROP INT_L_X0Y120 TILE_PATTERN_IDX 4692 TILEPROP INT_L_X0Y120 TILE_TYPE INT_L TILEPROP INT_L_X0Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y120 TILE_X -97166 TILEPROP INT_L_X0Y120 TILE_Y 146872 TILEPROP INT_L_X0Y120 TYPE INT_L TILEPROP INT_L_X0Y121 CLASS tile TILEPROP INT_L_X0Y121 COLUMN 4 TILEPROP INT_L_X0Y121 DEVICE_ID 0 TILEPROP INT_L_X0Y121 FIRST_SITE_ID 2971 TILEPROP INT_L_X0Y121 GRID_POINT_X 4 TILEPROP INT_L_X0Y121 GRID_POINT_Y 30 TILEPROP INT_L_X0Y121 INDEX 3454 TILEPROP INT_L_X0Y121 INT_TILE_X 0 TILEPROP INT_L_X0Y121 INT_TILE_Y 28 TILEPROP INT_L_X0Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y121 IS_DCM_TILE 0 TILEPROP INT_L_X0Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y121 NAME INT_L_X0Y121 TILEPROP INT_L_X0Y121 NUM_ARCS 3737 TILEPROP INT_L_X0Y121 NUM_SITES 1 TILEPROP INT_L_X0Y121 ROW 30 TILEPROP INT_L_X0Y121 SLR_REGION_ID 0 TILEPROP INT_L_X0Y121 TILE_PATTERN_IDX 4656 TILEPROP INT_L_X0Y121 TILE_TYPE INT_L TILEPROP INT_L_X0Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y121 TILE_X -97166 TILEPROP INT_L_X0Y121 TILE_Y 150072 TILEPROP INT_L_X0Y121 TYPE INT_L TILEPROP INT_L_X0Y122 CLASS tile TILEPROP INT_L_X0Y122 COLUMN 4 TILEPROP INT_L_X0Y122 DEVICE_ID 0 TILEPROP INT_L_X0Y122 FIRST_SITE_ID 2868 TILEPROP INT_L_X0Y122 GRID_POINT_X 4 TILEPROP INT_L_X0Y122 GRID_POINT_Y 29 TILEPROP INT_L_X0Y122 INDEX 3339 TILEPROP INT_L_X0Y122 INT_TILE_X 0 TILEPROP INT_L_X0Y122 INT_TILE_Y 27 TILEPROP INT_L_X0Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y122 IS_DCM_TILE 0 TILEPROP INT_L_X0Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y122 NAME INT_L_X0Y122 TILEPROP INT_L_X0Y122 NUM_ARCS 3737 TILEPROP INT_L_X0Y122 NUM_SITES 1 TILEPROP INT_L_X0Y122 ROW 29 TILEPROP INT_L_X0Y122 SLR_REGION_ID 0 TILEPROP INT_L_X0Y122 TILE_PATTERN_IDX 4618 TILEPROP INT_L_X0Y122 TILE_TYPE INT_L TILEPROP INT_L_X0Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y122 TILE_X -97166 TILEPROP INT_L_X0Y122 TILE_Y 153272 TILEPROP INT_L_X0Y122 TYPE INT_L TILEPROP INT_L_X0Y123 CLASS tile TILEPROP INT_L_X0Y123 COLUMN 4 TILEPROP INT_L_X0Y123 DEVICE_ID 0 TILEPROP INT_L_X0Y123 FIRST_SITE_ID 2780 TILEPROP INT_L_X0Y123 GRID_POINT_X 4 TILEPROP INT_L_X0Y123 GRID_POINT_Y 28 TILEPROP INT_L_X0Y123 INDEX 3224 TILEPROP INT_L_X0Y123 INT_TILE_X 0 TILEPROP INT_L_X0Y123 INT_TILE_Y 26 TILEPROP INT_L_X0Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y123 IS_DCM_TILE 0 TILEPROP INT_L_X0Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y123 NAME INT_L_X0Y123 TILEPROP INT_L_X0Y123 NUM_ARCS 3737 TILEPROP INT_L_X0Y123 NUM_SITES 1 TILEPROP INT_L_X0Y123 ROW 28 TILEPROP INT_L_X0Y123 SLR_REGION_ID 0 TILEPROP INT_L_X0Y123 TILE_PATTERN_IDX 4582 TILEPROP INT_L_X0Y123 TILE_TYPE INT_L TILEPROP INT_L_X0Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y123 TILE_X -97166 TILEPROP INT_L_X0Y123 TILE_Y 156472 TILEPROP INT_L_X0Y123 TYPE INT_L TILEPROP INT_L_X0Y124 CLASS tile TILEPROP INT_L_X0Y124 COLUMN 4 TILEPROP INT_L_X0Y124 DEVICE_ID 0 TILEPROP INT_L_X0Y124 FIRST_SITE_ID 2684 TILEPROP INT_L_X0Y124 GRID_POINT_X 4 TILEPROP INT_L_X0Y124 GRID_POINT_Y 27 TILEPROP INT_L_X0Y124 INDEX 3109 TILEPROP INT_L_X0Y124 INT_TILE_X 0 TILEPROP INT_L_X0Y124 INT_TILE_Y 25 TILEPROP INT_L_X0Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y124 IS_DCM_TILE 0 TILEPROP INT_L_X0Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y124 NAME INT_L_X0Y124 TILEPROP INT_L_X0Y124 NUM_ARCS 3737 TILEPROP INT_L_X0Y124 NUM_SITES 1 TILEPROP INT_L_X0Y124 ROW 27 TILEPROP INT_L_X0Y124 SLR_REGION_ID 0 TILEPROP INT_L_X0Y124 TILE_PATTERN_IDX 4545 TILEPROP INT_L_X0Y124 TILE_TYPE INT_L TILEPROP INT_L_X0Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y124 TILE_X -97166 TILEPROP INT_L_X0Y124 TILE_Y 159672 TILEPROP INT_L_X0Y124 TYPE INT_L TILEPROP INT_L_X0Y125 CLASS tile TILEPROP INT_L_X0Y125 COLUMN 4 TILEPROP INT_L_X0Y125 DEVICE_ID 0 TILEPROP INT_L_X0Y125 FIRST_SITE_ID 2505 TILEPROP INT_L_X0Y125 GRID_POINT_X 4 TILEPROP INT_L_X0Y125 GRID_POINT_Y 25 TILEPROP INT_L_X0Y125 INDEX 2879 TILEPROP INT_L_X0Y125 INT_TILE_X 0 TILEPROP INT_L_X0Y125 INT_TILE_Y 24 TILEPROP INT_L_X0Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y125 IS_DCM_TILE 0 TILEPROP INT_L_X0Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y125 NAME INT_L_X0Y125 TILEPROP INT_L_X0Y125 NUM_ARCS 3737 TILEPROP INT_L_X0Y125 NUM_SITES 1 TILEPROP INT_L_X0Y125 ROW 25 TILEPROP INT_L_X0Y125 SLR_REGION_ID 0 TILEPROP INT_L_X0Y125 TILE_PATTERN_IDX 4466 TILEPROP INT_L_X0Y125 TILE_TYPE INT_L TILEPROP INT_L_X0Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y125 TILE_X -97166 TILEPROP INT_L_X0Y125 TILE_Y 163896 TILEPROP INT_L_X0Y125 TYPE INT_L TILEPROP INT_L_X0Y126 CLASS tile TILEPROP INT_L_X0Y126 COLUMN 4 TILEPROP INT_L_X0Y126 DEVICE_ID 0 TILEPROP INT_L_X0Y126 FIRST_SITE_ID 2401 TILEPROP INT_L_X0Y126 GRID_POINT_X 4 TILEPROP INT_L_X0Y126 GRID_POINT_Y 24 TILEPROP INT_L_X0Y126 INDEX 2764 TILEPROP INT_L_X0Y126 INT_TILE_X 0 TILEPROP INT_L_X0Y126 INT_TILE_Y 23 TILEPROP INT_L_X0Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y126 IS_DCM_TILE 0 TILEPROP INT_L_X0Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y126 NAME INT_L_X0Y126 TILEPROP INT_L_X0Y126 NUM_ARCS 3737 TILEPROP INT_L_X0Y126 NUM_SITES 1 TILEPROP INT_L_X0Y126 ROW 24 TILEPROP INT_L_X0Y126 SLR_REGION_ID 0 TILEPROP INT_L_X0Y126 TILE_PATTERN_IDX 4427 TILEPROP INT_L_X0Y126 TILE_TYPE INT_L TILEPROP INT_L_X0Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y126 TILE_X -97166 TILEPROP INT_L_X0Y126 TILE_Y 167096 TILEPROP INT_L_X0Y126 TYPE INT_L TILEPROP INT_L_X0Y127 CLASS tile TILEPROP INT_L_X0Y127 COLUMN 4 TILEPROP INT_L_X0Y127 DEVICE_ID 0 TILEPROP INT_L_X0Y127 FIRST_SITE_ID 2305 TILEPROP INT_L_X0Y127 GRID_POINT_X 4 TILEPROP INT_L_X0Y127 GRID_POINT_Y 23 TILEPROP INT_L_X0Y127 INDEX 2649 TILEPROP INT_L_X0Y127 INT_TILE_X 0 TILEPROP INT_L_X0Y127 INT_TILE_Y 22 TILEPROP INT_L_X0Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y127 IS_DCM_TILE 0 TILEPROP INT_L_X0Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y127 NAME INT_L_X0Y127 TILEPROP INT_L_X0Y127 NUM_ARCS 3737 TILEPROP INT_L_X0Y127 NUM_SITES 1 TILEPROP INT_L_X0Y127 ROW 23 TILEPROP INT_L_X0Y127 SLR_REGION_ID 0 TILEPROP INT_L_X0Y127 TILE_PATTERN_IDX 4389 TILEPROP INT_L_X0Y127 TILE_TYPE INT_L TILEPROP INT_L_X0Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y127 TILE_X -97166 TILEPROP INT_L_X0Y127 TILE_Y 170296 TILEPROP INT_L_X0Y127 TYPE INT_L TILEPROP INT_L_X0Y128 CLASS tile TILEPROP INT_L_X0Y128 COLUMN 4 TILEPROP INT_L_X0Y128 DEVICE_ID 0 TILEPROP INT_L_X0Y128 FIRST_SITE_ID 2201 TILEPROP INT_L_X0Y128 GRID_POINT_X 4 TILEPROP INT_L_X0Y128 GRID_POINT_Y 22 TILEPROP INT_L_X0Y128 INDEX 2534 TILEPROP INT_L_X0Y128 INT_TILE_X 0 TILEPROP INT_L_X0Y128 INT_TILE_Y 21 TILEPROP INT_L_X0Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y128 IS_DCM_TILE 0 TILEPROP INT_L_X0Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y128 NAME INT_L_X0Y128 TILEPROP INT_L_X0Y128 NUM_ARCS 3737 TILEPROP INT_L_X0Y128 NUM_SITES 1 TILEPROP INT_L_X0Y128 ROW 22 TILEPROP INT_L_X0Y128 SLR_REGION_ID 0 TILEPROP INT_L_X0Y128 TILE_PATTERN_IDX 4350 TILEPROP INT_L_X0Y128 TILE_TYPE INT_L TILEPROP INT_L_X0Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y128 TILE_X -97166 TILEPROP INT_L_X0Y128 TILE_Y 173496 TILEPROP INT_L_X0Y128 TYPE INT_L TILEPROP INT_L_X0Y129 CLASS tile TILEPROP INT_L_X0Y129 COLUMN 4 TILEPROP INT_L_X0Y129 DEVICE_ID 0 TILEPROP INT_L_X0Y129 FIRST_SITE_ID 2099 TILEPROP INT_L_X0Y129 GRID_POINT_X 4 TILEPROP INT_L_X0Y129 GRID_POINT_Y 21 TILEPROP INT_L_X0Y129 INDEX 2419 TILEPROP INT_L_X0Y129 INT_TILE_X 0 TILEPROP INT_L_X0Y129 INT_TILE_Y 20 TILEPROP INT_L_X0Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y129 IS_DCM_TILE 0 TILEPROP INT_L_X0Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y129 NAME INT_L_X0Y129 TILEPROP INT_L_X0Y129 NUM_ARCS 3737 TILEPROP INT_L_X0Y129 NUM_SITES 1 TILEPROP INT_L_X0Y129 ROW 21 TILEPROP INT_L_X0Y129 SLR_REGION_ID 0 TILEPROP INT_L_X0Y129 TILE_PATTERN_IDX 4311 TILEPROP INT_L_X0Y129 TILE_TYPE INT_L TILEPROP INT_L_X0Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y129 TILE_X -97166 TILEPROP INT_L_X0Y129 TILE_Y 176696 TILEPROP INT_L_X0Y129 TYPE INT_L TILEPROP INT_L_X0Y130 CLASS tile TILEPROP INT_L_X0Y130 COLUMN 4 TILEPROP INT_L_X0Y130 DEVICE_ID 0 TILEPROP INT_L_X0Y130 FIRST_SITE_ID 1983 TILEPROP INT_L_X0Y130 GRID_POINT_X 4 TILEPROP INT_L_X0Y130 GRID_POINT_Y 20 TILEPROP INT_L_X0Y130 INDEX 2304 TILEPROP INT_L_X0Y130 INT_TILE_X 0 TILEPROP INT_L_X0Y130 INT_TILE_Y 19 TILEPROP INT_L_X0Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y130 IS_DCM_TILE 0 TILEPROP INT_L_X0Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y130 NAME INT_L_X0Y130 TILEPROP INT_L_X0Y130 NUM_ARCS 3737 TILEPROP INT_L_X0Y130 NUM_SITES 1 TILEPROP INT_L_X0Y130 ROW 20 TILEPROP INT_L_X0Y130 SLR_REGION_ID 0 TILEPROP INT_L_X0Y130 TILE_PATTERN_IDX 4272 TILEPROP INT_L_X0Y130 TILE_TYPE INT_L TILEPROP INT_L_X0Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y130 TILE_X -97166 TILEPROP INT_L_X0Y130 TILE_Y 179896 TILEPROP INT_L_X0Y130 TYPE INT_L TILEPROP INT_L_X0Y131 CLASS tile TILEPROP INT_L_X0Y131 COLUMN 4 TILEPROP INT_L_X0Y131 DEVICE_ID 0 TILEPROP INT_L_X0Y131 FIRST_SITE_ID 1885 TILEPROP INT_L_X0Y131 GRID_POINT_X 4 TILEPROP INT_L_X0Y131 GRID_POINT_Y 19 TILEPROP INT_L_X0Y131 INDEX 2189 TILEPROP INT_L_X0Y131 INT_TILE_X 0 TILEPROP INT_L_X0Y131 INT_TILE_Y 18 TILEPROP INT_L_X0Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y131 IS_DCM_TILE 0 TILEPROP INT_L_X0Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y131 NAME INT_L_X0Y131 TILEPROP INT_L_X0Y131 NUM_ARCS 3737 TILEPROP INT_L_X0Y131 NUM_SITES 1 TILEPROP INT_L_X0Y131 ROW 19 TILEPROP INT_L_X0Y131 SLR_REGION_ID 0 TILEPROP INT_L_X0Y131 TILE_PATTERN_IDX 4234 TILEPROP INT_L_X0Y131 TILE_TYPE INT_L TILEPROP INT_L_X0Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y131 TILE_X -97166 TILEPROP INT_L_X0Y131 TILE_Y 183096 TILEPROP INT_L_X0Y131 TYPE INT_L TILEPROP INT_L_X0Y132 CLASS tile TILEPROP INT_L_X0Y132 COLUMN 4 TILEPROP INT_L_X0Y132 DEVICE_ID 0 TILEPROP INT_L_X0Y132 FIRST_SITE_ID 1781 TILEPROP INT_L_X0Y132 GRID_POINT_X 4 TILEPROP INT_L_X0Y132 GRID_POINT_Y 18 TILEPROP INT_L_X0Y132 INDEX 2074 TILEPROP INT_L_X0Y132 INT_TILE_X 0 TILEPROP INT_L_X0Y132 INT_TILE_Y 17 TILEPROP INT_L_X0Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y132 IS_DCM_TILE 0 TILEPROP INT_L_X0Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y132 NAME INT_L_X0Y132 TILEPROP INT_L_X0Y132 NUM_ARCS 3737 TILEPROP INT_L_X0Y132 NUM_SITES 1 TILEPROP INT_L_X0Y132 ROW 18 TILEPROP INT_L_X0Y132 SLR_REGION_ID 0 TILEPROP INT_L_X0Y132 TILE_PATTERN_IDX 4195 TILEPROP INT_L_X0Y132 TILE_TYPE INT_L TILEPROP INT_L_X0Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y132 TILE_X -97166 TILEPROP INT_L_X0Y132 TILE_Y 186296 TILEPROP INT_L_X0Y132 TYPE INT_L TILEPROP INT_L_X0Y133 CLASS tile TILEPROP INT_L_X0Y133 COLUMN 4 TILEPROP INT_L_X0Y133 DEVICE_ID 0 TILEPROP INT_L_X0Y133 FIRST_SITE_ID 1680 TILEPROP INT_L_X0Y133 GRID_POINT_X 4 TILEPROP INT_L_X0Y133 GRID_POINT_Y 17 TILEPROP INT_L_X0Y133 INDEX 1959 TILEPROP INT_L_X0Y133 INT_TILE_X 0 TILEPROP INT_L_X0Y133 INT_TILE_Y 16 TILEPROP INT_L_X0Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y133 IS_DCM_TILE 0 TILEPROP INT_L_X0Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y133 NAME INT_L_X0Y133 TILEPROP INT_L_X0Y133 NUM_ARCS 3737 TILEPROP INT_L_X0Y133 NUM_SITES 1 TILEPROP INT_L_X0Y133 ROW 17 TILEPROP INT_L_X0Y133 SLR_REGION_ID 0 TILEPROP INT_L_X0Y133 TILE_PATTERN_IDX 4157 TILEPROP INT_L_X0Y133 TILE_TYPE INT_L TILEPROP INT_L_X0Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y133 TILE_X -97166 TILEPROP INT_L_X0Y133 TILE_Y 189496 TILEPROP INT_L_X0Y133 TYPE INT_L TILEPROP INT_L_X0Y134 CLASS tile TILEPROP INT_L_X0Y134 COLUMN 4 TILEPROP INT_L_X0Y134 DEVICE_ID 0 TILEPROP INT_L_X0Y134 FIRST_SITE_ID 1576 TILEPROP INT_L_X0Y134 GRID_POINT_X 4 TILEPROP INT_L_X0Y134 GRID_POINT_Y 16 TILEPROP INT_L_X0Y134 INDEX 1844 TILEPROP INT_L_X0Y134 INT_TILE_X 0 TILEPROP INT_L_X0Y134 INT_TILE_Y 15 TILEPROP INT_L_X0Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y134 IS_DCM_TILE 0 TILEPROP INT_L_X0Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y134 NAME INT_L_X0Y134 TILEPROP INT_L_X0Y134 NUM_ARCS 3737 TILEPROP INT_L_X0Y134 NUM_SITES 1 TILEPROP INT_L_X0Y134 ROW 16 TILEPROP INT_L_X0Y134 SLR_REGION_ID 0 TILEPROP INT_L_X0Y134 TILE_PATTERN_IDX 4118 TILEPROP INT_L_X0Y134 TILE_TYPE INT_L TILEPROP INT_L_X0Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y134 TILE_X -97166 TILEPROP INT_L_X0Y134 TILE_Y 192696 TILEPROP INT_L_X0Y134 TYPE INT_L TILEPROP INT_L_X0Y135 CLASS tile TILEPROP INT_L_X0Y135 COLUMN 4 TILEPROP INT_L_X0Y135 DEVICE_ID 0 TILEPROP INT_L_X0Y135 FIRST_SITE_ID 1468 TILEPROP INT_L_X0Y135 GRID_POINT_X 4 TILEPROP INT_L_X0Y135 GRID_POINT_Y 15 TILEPROP INT_L_X0Y135 INDEX 1729 TILEPROP INT_L_X0Y135 INT_TILE_X 0 TILEPROP INT_L_X0Y135 INT_TILE_Y 14 TILEPROP INT_L_X0Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y135 IS_DCM_TILE 0 TILEPROP INT_L_X0Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y135 NAME INT_L_X0Y135 TILEPROP INT_L_X0Y135 NUM_ARCS 3737 TILEPROP INT_L_X0Y135 NUM_SITES 1 TILEPROP INT_L_X0Y135 ROW 15 TILEPROP INT_L_X0Y135 SLR_REGION_ID 0 TILEPROP INT_L_X0Y135 TILE_PATTERN_IDX 4080 TILEPROP INT_L_X0Y135 TILE_TYPE INT_L TILEPROP INT_L_X0Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y135 TILE_X -97166 TILEPROP INT_L_X0Y135 TILE_Y 195896 TILEPROP INT_L_X0Y135 TYPE INT_L TILEPROP INT_L_X0Y136 CLASS tile TILEPROP INT_L_X0Y136 COLUMN 4 TILEPROP INT_L_X0Y136 DEVICE_ID 0 TILEPROP INT_L_X0Y136 FIRST_SITE_ID 1332 TILEPROP INT_L_X0Y136 GRID_POINT_X 4 TILEPROP INT_L_X0Y136 GRID_POINT_Y 14 TILEPROP INT_L_X0Y136 INDEX 1614 TILEPROP INT_L_X0Y136 INT_TILE_X 0 TILEPROP INT_L_X0Y136 INT_TILE_Y 13 TILEPROP INT_L_X0Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y136 IS_DCM_TILE 0 TILEPROP INT_L_X0Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y136 NAME INT_L_X0Y136 TILEPROP INT_L_X0Y136 NUM_ARCS 3737 TILEPROP INT_L_X0Y136 NUM_SITES 1 TILEPROP INT_L_X0Y136 ROW 14 TILEPROP INT_L_X0Y136 SLR_REGION_ID 0 TILEPROP INT_L_X0Y136 TILE_PATTERN_IDX 4041 TILEPROP INT_L_X0Y136 TILE_TYPE INT_L TILEPROP INT_L_X0Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y136 TILE_X -97166 TILEPROP INT_L_X0Y136 TILE_Y 199096 TILEPROP INT_L_X0Y136 TYPE INT_L TILEPROP INT_L_X0Y137 CLASS tile TILEPROP INT_L_X0Y137 COLUMN 4 TILEPROP INT_L_X0Y137 DEVICE_ID 0 TILEPROP INT_L_X0Y137 FIRST_SITE_ID 1236 TILEPROP INT_L_X0Y137 GRID_POINT_X 4 TILEPROP INT_L_X0Y137 GRID_POINT_Y 13 TILEPROP INT_L_X0Y137 INDEX 1499 TILEPROP INT_L_X0Y137 INT_TILE_X 0 TILEPROP INT_L_X0Y137 INT_TILE_Y 12 TILEPROP INT_L_X0Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y137 IS_DCM_TILE 0 TILEPROP INT_L_X0Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y137 NAME INT_L_X0Y137 TILEPROP INT_L_X0Y137 NUM_ARCS 3737 TILEPROP INT_L_X0Y137 NUM_SITES 1 TILEPROP INT_L_X0Y137 ROW 13 TILEPROP INT_L_X0Y137 SLR_REGION_ID 0 TILEPROP INT_L_X0Y137 TILE_PATTERN_IDX 4003 TILEPROP INT_L_X0Y137 TILE_TYPE INT_L TILEPROP INT_L_X0Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y137 TILE_X -97166 TILEPROP INT_L_X0Y137 TILE_Y 202296 TILEPROP INT_L_X0Y137 TYPE INT_L TILEPROP INT_L_X0Y138 CLASS tile TILEPROP INT_L_X0Y138 COLUMN 4 TILEPROP INT_L_X0Y138 DEVICE_ID 0 TILEPROP INT_L_X0Y138 FIRST_SITE_ID 1132 TILEPROP INT_L_X0Y138 GRID_POINT_X 4 TILEPROP INT_L_X0Y138 GRID_POINT_Y 12 TILEPROP INT_L_X0Y138 INDEX 1384 TILEPROP INT_L_X0Y138 INT_TILE_X 0 TILEPROP INT_L_X0Y138 INT_TILE_Y 11 TILEPROP INT_L_X0Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y138 IS_DCM_TILE 0 TILEPROP INT_L_X0Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y138 NAME INT_L_X0Y138 TILEPROP INT_L_X0Y138 NUM_ARCS 3737 TILEPROP INT_L_X0Y138 NUM_SITES 1 TILEPROP INT_L_X0Y138 ROW 12 TILEPROP INT_L_X0Y138 SLR_REGION_ID 0 TILEPROP INT_L_X0Y138 TILE_PATTERN_IDX 3964 TILEPROP INT_L_X0Y138 TILE_TYPE INT_L TILEPROP INT_L_X0Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y138 TILE_X -97166 TILEPROP INT_L_X0Y138 TILE_Y 205496 TILEPROP INT_L_X0Y138 TYPE INT_L TILEPROP INT_L_X0Y139 CLASS tile TILEPROP INT_L_X0Y139 COLUMN 4 TILEPROP INT_L_X0Y139 DEVICE_ID 0 TILEPROP INT_L_X0Y139 FIRST_SITE_ID 1036 TILEPROP INT_L_X0Y139 GRID_POINT_X 4 TILEPROP INT_L_X0Y139 GRID_POINT_Y 11 TILEPROP INT_L_X0Y139 INDEX 1269 TILEPROP INT_L_X0Y139 INT_TILE_X 0 TILEPROP INT_L_X0Y139 INT_TILE_Y 10 TILEPROP INT_L_X0Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y139 IS_DCM_TILE 0 TILEPROP INT_L_X0Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y139 NAME INT_L_X0Y139 TILEPROP INT_L_X0Y139 NUM_ARCS 3737 TILEPROP INT_L_X0Y139 NUM_SITES 1 TILEPROP INT_L_X0Y139 ROW 11 TILEPROP INT_L_X0Y139 SLR_REGION_ID 0 TILEPROP INT_L_X0Y139 TILE_PATTERN_IDX 3926 TILEPROP INT_L_X0Y139 TILE_TYPE INT_L TILEPROP INT_L_X0Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y139 TILE_X -97166 TILEPROP INT_L_X0Y139 TILE_Y 208696 TILEPROP INT_L_X0Y139 TYPE INT_L TILEPROP INT_L_X0Y140 CLASS tile TILEPROP INT_L_X0Y140 COLUMN 4 TILEPROP INT_L_X0Y140 DEVICE_ID 0 TILEPROP INT_L_X0Y140 FIRST_SITE_ID 920 TILEPROP INT_L_X0Y140 GRID_POINT_X 4 TILEPROP INT_L_X0Y140 GRID_POINT_Y 10 TILEPROP INT_L_X0Y140 INDEX 1154 TILEPROP INT_L_X0Y140 INT_TILE_X 0 TILEPROP INT_L_X0Y140 INT_TILE_Y 9 TILEPROP INT_L_X0Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y140 IS_DCM_TILE 0 TILEPROP INT_L_X0Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y140 NAME INT_L_X0Y140 TILEPROP INT_L_X0Y140 NUM_ARCS 3737 TILEPROP INT_L_X0Y140 NUM_SITES 1 TILEPROP INT_L_X0Y140 ROW 10 TILEPROP INT_L_X0Y140 SLR_REGION_ID 0 TILEPROP INT_L_X0Y140 TILE_PATTERN_IDX 3887 TILEPROP INT_L_X0Y140 TILE_TYPE INT_L TILEPROP INT_L_X0Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y140 TILE_X -97166 TILEPROP INT_L_X0Y140 TILE_Y 211896 TILEPROP INT_L_X0Y140 TYPE INT_L TILEPROP INT_L_X0Y141 CLASS tile TILEPROP INT_L_X0Y141 COLUMN 4 TILEPROP INT_L_X0Y141 DEVICE_ID 0 TILEPROP INT_L_X0Y141 FIRST_SITE_ID 824 TILEPROP INT_L_X0Y141 GRID_POINT_X 4 TILEPROP INT_L_X0Y141 GRID_POINT_Y 9 TILEPROP INT_L_X0Y141 INDEX 1039 TILEPROP INT_L_X0Y141 INT_TILE_X 0 TILEPROP INT_L_X0Y141 INT_TILE_Y 8 TILEPROP INT_L_X0Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y141 IS_DCM_TILE 0 TILEPROP INT_L_X0Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y141 NAME INT_L_X0Y141 TILEPROP INT_L_X0Y141 NUM_ARCS 3737 TILEPROP INT_L_X0Y141 NUM_SITES 1 TILEPROP INT_L_X0Y141 ROW 9 TILEPROP INT_L_X0Y141 SLR_REGION_ID 0 TILEPROP INT_L_X0Y141 TILE_PATTERN_IDX 3849 TILEPROP INT_L_X0Y141 TILE_TYPE INT_L TILEPROP INT_L_X0Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y141 TILE_X -97166 TILEPROP INT_L_X0Y141 TILE_Y 215096 TILEPROP INT_L_X0Y141 TYPE INT_L TILEPROP INT_L_X0Y142 CLASS tile TILEPROP INT_L_X0Y142 COLUMN 4 TILEPROP INT_L_X0Y142 DEVICE_ID 0 TILEPROP INT_L_X0Y142 FIRST_SITE_ID 719 TILEPROP INT_L_X0Y142 GRID_POINT_X 4 TILEPROP INT_L_X0Y142 GRID_POINT_Y 8 TILEPROP INT_L_X0Y142 INDEX 924 TILEPROP INT_L_X0Y142 INT_TILE_X 0 TILEPROP INT_L_X0Y142 INT_TILE_Y 7 TILEPROP INT_L_X0Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y142 IS_DCM_TILE 0 TILEPROP INT_L_X0Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y142 NAME INT_L_X0Y142 TILEPROP INT_L_X0Y142 NUM_ARCS 3737 TILEPROP INT_L_X0Y142 NUM_SITES 1 TILEPROP INT_L_X0Y142 ROW 8 TILEPROP INT_L_X0Y142 SLR_REGION_ID 0 TILEPROP INT_L_X0Y142 TILE_PATTERN_IDX 3810 TILEPROP INT_L_X0Y142 TILE_TYPE INT_L TILEPROP INT_L_X0Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y142 TILE_X -97166 TILEPROP INT_L_X0Y142 TILE_Y 218296 TILEPROP INT_L_X0Y142 TYPE INT_L TILEPROP INT_L_X0Y143 CLASS tile TILEPROP INT_L_X0Y143 COLUMN 4 TILEPROP INT_L_X0Y143 DEVICE_ID 0 TILEPROP INT_L_X0Y143 FIRST_SITE_ID 621 TILEPROP INT_L_X0Y143 GRID_POINT_X 4 TILEPROP INT_L_X0Y143 GRID_POINT_Y 7 TILEPROP INT_L_X0Y143 INDEX 809 TILEPROP INT_L_X0Y143 INT_TILE_X 0 TILEPROP INT_L_X0Y143 INT_TILE_Y 6 TILEPROP INT_L_X0Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y143 IS_DCM_TILE 0 TILEPROP INT_L_X0Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y143 NAME INT_L_X0Y143 TILEPROP INT_L_X0Y143 NUM_ARCS 3737 TILEPROP INT_L_X0Y143 NUM_SITES 1 TILEPROP INT_L_X0Y143 ROW 7 TILEPROP INT_L_X0Y143 SLR_REGION_ID 0 TILEPROP INT_L_X0Y143 TILE_PATTERN_IDX 3772 TILEPROP INT_L_X0Y143 TILE_TYPE INT_L TILEPROP INT_L_X0Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y143 TILE_X -97166 TILEPROP INT_L_X0Y143 TILE_Y 221496 TILEPROP INT_L_X0Y143 TYPE INT_L TILEPROP INT_L_X0Y144 CLASS tile TILEPROP INT_L_X0Y144 COLUMN 4 TILEPROP INT_L_X0Y144 DEVICE_ID 0 TILEPROP INT_L_X0Y144 FIRST_SITE_ID 512 TILEPROP INT_L_X0Y144 GRID_POINT_X 4 TILEPROP INT_L_X0Y144 GRID_POINT_Y 6 TILEPROP INT_L_X0Y144 INDEX 694 TILEPROP INT_L_X0Y144 INT_TILE_X 0 TILEPROP INT_L_X0Y144 INT_TILE_Y 5 TILEPROP INT_L_X0Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y144 IS_DCM_TILE 0 TILEPROP INT_L_X0Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y144 NAME INT_L_X0Y144 TILEPROP INT_L_X0Y144 NUM_ARCS 3737 TILEPROP INT_L_X0Y144 NUM_SITES 1 TILEPROP INT_L_X0Y144 ROW 6 TILEPROP INT_L_X0Y144 SLR_REGION_ID 0 TILEPROP INT_L_X0Y144 TILE_PATTERN_IDX 3733 TILEPROP INT_L_X0Y144 TILE_TYPE INT_L TILEPROP INT_L_X0Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y144 TILE_X -97166 TILEPROP INT_L_X0Y144 TILE_Y 224696 TILEPROP INT_L_X0Y144 TYPE INT_L TILEPROP INT_L_X0Y145 CLASS tile TILEPROP INT_L_X0Y145 COLUMN 4 TILEPROP INT_L_X0Y145 DEVICE_ID 0 TILEPROP INT_L_X0Y145 FIRST_SITE_ID 404 TILEPROP INT_L_X0Y145 GRID_POINT_X 4 TILEPROP INT_L_X0Y145 GRID_POINT_Y 5 TILEPROP INT_L_X0Y145 INDEX 579 TILEPROP INT_L_X0Y145 INT_TILE_X 0 TILEPROP INT_L_X0Y145 INT_TILE_Y 4 TILEPROP INT_L_X0Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y145 IS_DCM_TILE 0 TILEPROP INT_L_X0Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y145 NAME INT_L_X0Y145 TILEPROP INT_L_X0Y145 NUM_ARCS 3737 TILEPROP INT_L_X0Y145 NUM_SITES 1 TILEPROP INT_L_X0Y145 ROW 5 TILEPROP INT_L_X0Y145 SLR_REGION_ID 0 TILEPROP INT_L_X0Y145 TILE_PATTERN_IDX 3695 TILEPROP INT_L_X0Y145 TILE_TYPE INT_L TILEPROP INT_L_X0Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y145 TILE_X -97166 TILEPROP INT_L_X0Y145 TILE_Y 227896 TILEPROP INT_L_X0Y145 TYPE INT_L TILEPROP INT_L_X0Y146 CLASS tile TILEPROP INT_L_X0Y146 COLUMN 4 TILEPROP INT_L_X0Y146 DEVICE_ID 0 TILEPROP INT_L_X0Y146 FIRST_SITE_ID 300 TILEPROP INT_L_X0Y146 GRID_POINT_X 4 TILEPROP INT_L_X0Y146 GRID_POINT_Y 4 TILEPROP INT_L_X0Y146 INDEX 464 TILEPROP INT_L_X0Y146 INT_TILE_X 0 TILEPROP INT_L_X0Y146 INT_TILE_Y 3 TILEPROP INT_L_X0Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y146 IS_DCM_TILE 0 TILEPROP INT_L_X0Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y146 NAME INT_L_X0Y146 TILEPROP INT_L_X0Y146 NUM_ARCS 3737 TILEPROP INT_L_X0Y146 NUM_SITES 1 TILEPROP INT_L_X0Y146 ROW 4 TILEPROP INT_L_X0Y146 SLR_REGION_ID 0 TILEPROP INT_L_X0Y146 TILE_PATTERN_IDX 3656 TILEPROP INT_L_X0Y146 TILE_TYPE INT_L TILEPROP INT_L_X0Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y146 TILE_X -97166 TILEPROP INT_L_X0Y146 TILE_Y 231096 TILEPROP INT_L_X0Y146 TYPE INT_L TILEPROP INT_L_X0Y147 CLASS tile TILEPROP INT_L_X0Y147 COLUMN 4 TILEPROP INT_L_X0Y147 DEVICE_ID 0 TILEPROP INT_L_X0Y147 FIRST_SITE_ID 204 TILEPROP INT_L_X0Y147 GRID_POINT_X 4 TILEPROP INT_L_X0Y147 GRID_POINT_Y 3 TILEPROP INT_L_X0Y147 INDEX 349 TILEPROP INT_L_X0Y147 INT_TILE_X 0 TILEPROP INT_L_X0Y147 INT_TILE_Y 2 TILEPROP INT_L_X0Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y147 IS_DCM_TILE 0 TILEPROP INT_L_X0Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y147 NAME INT_L_X0Y147 TILEPROP INT_L_X0Y147 NUM_ARCS 3737 TILEPROP INT_L_X0Y147 NUM_SITES 1 TILEPROP INT_L_X0Y147 ROW 3 TILEPROP INT_L_X0Y147 SLR_REGION_ID 0 TILEPROP INT_L_X0Y147 TILE_PATTERN_IDX 3618 TILEPROP INT_L_X0Y147 TILE_TYPE INT_L TILEPROP INT_L_X0Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y147 TILE_X -97166 TILEPROP INT_L_X0Y147 TILE_Y 234296 TILEPROP INT_L_X0Y147 TYPE INT_L TILEPROP INT_L_X0Y148 CLASS tile TILEPROP INT_L_X0Y148 COLUMN 4 TILEPROP INT_L_X0Y148 DEVICE_ID 0 TILEPROP INT_L_X0Y148 FIRST_SITE_ID 100 TILEPROP INT_L_X0Y148 GRID_POINT_X 4 TILEPROP INT_L_X0Y148 GRID_POINT_Y 2 TILEPROP INT_L_X0Y148 INDEX 234 TILEPROP INT_L_X0Y148 INT_TILE_X 0 TILEPROP INT_L_X0Y148 INT_TILE_Y 1 TILEPROP INT_L_X0Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y148 IS_DCM_TILE 0 TILEPROP INT_L_X0Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y148 NAME INT_L_X0Y148 TILEPROP INT_L_X0Y148 NUM_ARCS 3737 TILEPROP INT_L_X0Y148 NUM_SITES 1 TILEPROP INT_L_X0Y148 ROW 2 TILEPROP INT_L_X0Y148 SLR_REGION_ID 0 TILEPROP INT_L_X0Y148 TILE_PATTERN_IDX 3579 TILEPROP INT_L_X0Y148 TILE_TYPE INT_L TILEPROP INT_L_X0Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y148 TILE_X -97166 TILEPROP INT_L_X0Y148 TILE_Y 237496 TILEPROP INT_L_X0Y148 TYPE INT_L TILEPROP INT_L_X0Y149 CLASS tile TILEPROP INT_L_X0Y149 COLUMN 4 TILEPROP INT_L_X0Y149 DEVICE_ID 0 TILEPROP INT_L_X0Y149 FIRST_SITE_ID 4 TILEPROP INT_L_X0Y149 GRID_POINT_X 4 TILEPROP INT_L_X0Y149 GRID_POINT_Y 1 TILEPROP INT_L_X0Y149 INDEX 119 TILEPROP INT_L_X0Y149 INT_TILE_X 0 TILEPROP INT_L_X0Y149 INT_TILE_Y 0 TILEPROP INT_L_X0Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X0Y149 IS_DCM_TILE 0 TILEPROP INT_L_X0Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X0Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X0Y149 NAME INT_L_X0Y149 TILEPROP INT_L_X0Y149 NUM_ARCS 3737 TILEPROP INT_L_X0Y149 NUM_SITES 1 TILEPROP INT_L_X0Y149 ROW 1 TILEPROP INT_L_X0Y149 SLR_REGION_ID 0 TILEPROP INT_L_X0Y149 TILE_PATTERN_IDX 3541 TILEPROP INT_L_X0Y149 TILE_TYPE INT_L TILEPROP INT_L_X0Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X0Y149 TILE_X -97166 TILEPROP INT_L_X0Y149 TILE_Y 240696 TILEPROP INT_L_X0Y149 TYPE INT_L TILEPROP INT_L_X10Y0 CLASS tile TILEPROP INT_L_X10Y0 COLUMN 31 TILEPROP INT_L_X10Y0 DEVICE_ID 0 TILEPROP INT_L_X10Y0 FIRST_SITE_ID 15736 TILEPROP INT_L_X10Y0 GRID_POINT_X 31 TILEPROP INT_L_X10Y0 GRID_POINT_Y 155 TILEPROP INT_L_X10Y0 INDEX 17856 TILEPROP INT_L_X10Y0 INT_TILE_X 10 TILEPROP INT_L_X10Y0 INT_TILE_Y 149 TILEPROP INT_L_X10Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y0 IS_DCM_TILE 0 TILEPROP INT_L_X10Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y0 NAME INT_L_X10Y0 TILEPROP INT_L_X10Y0 NUM_ARCS 3737 TILEPROP INT_L_X10Y0 NUM_SITES 1 TILEPROP INT_L_X10Y0 ROW 155 TILEPROP INT_L_X10Y0 SLR_REGION_ID 0 TILEPROP INT_L_X10Y0 TILE_PATTERN_IDX 8743 TILEPROP INT_L_X10Y0 TILE_TYPE INT_L TILEPROP INT_L_X10Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y0 TILE_X -47592 TILEPROP INT_L_X10Y0 TILE_Y -239672 TILEPROP INT_L_X10Y0 TYPE INT_L TILEPROP INT_L_X10Y1 CLASS tile TILEPROP INT_L_X10Y1 COLUMN 31 TILEPROP INT_L_X10Y1 DEVICE_ID 0 TILEPROP INT_L_X10Y1 FIRST_SITE_ID 15625 TILEPROP INT_L_X10Y1 GRID_POINT_X 31 TILEPROP INT_L_X10Y1 GRID_POINT_Y 154 TILEPROP INT_L_X10Y1 INDEX 17741 TILEPROP INT_L_X10Y1 INT_TILE_X 10 TILEPROP INT_L_X10Y1 INT_TILE_Y 148 TILEPROP INT_L_X10Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y1 IS_DCM_TILE 0 TILEPROP INT_L_X10Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y1 NAME INT_L_X10Y1 TILEPROP INT_L_X10Y1 NUM_ARCS 3737 TILEPROP INT_L_X10Y1 NUM_SITES 1 TILEPROP INT_L_X10Y1 ROW 154 TILEPROP INT_L_X10Y1 SLR_REGION_ID 0 TILEPROP INT_L_X10Y1 TILE_PATTERN_IDX 8712 TILEPROP INT_L_X10Y1 TILE_TYPE INT_L TILEPROP INT_L_X10Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y1 TILE_X -47592 TILEPROP INT_L_X10Y1 TILE_Y -236472 TILEPROP INT_L_X10Y1 TYPE INT_L TILEPROP INT_L_X10Y2 CLASS tile TILEPROP INT_L_X10Y2 COLUMN 31 TILEPROP INT_L_X10Y2 DEVICE_ID 0 TILEPROP INT_L_X10Y2 FIRST_SITE_ID 15525 TILEPROP INT_L_X10Y2 GRID_POINT_X 31 TILEPROP INT_L_X10Y2 GRID_POINT_Y 153 TILEPROP INT_L_X10Y2 INDEX 17626 TILEPROP INT_L_X10Y2 INT_TILE_X 10 TILEPROP INT_L_X10Y2 INT_TILE_Y 147 TILEPROP INT_L_X10Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y2 IS_DCM_TILE 0 TILEPROP INT_L_X10Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y2 NAME INT_L_X10Y2 TILEPROP INT_L_X10Y2 NUM_ARCS 3737 TILEPROP INT_L_X10Y2 NUM_SITES 1 TILEPROP INT_L_X10Y2 ROW 153 TILEPROP INT_L_X10Y2 SLR_REGION_ID 0 TILEPROP INT_L_X10Y2 TILE_PATTERN_IDX 8682 TILEPROP INT_L_X10Y2 TILE_TYPE INT_L TILEPROP INT_L_X10Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y2 TILE_X -47592 TILEPROP INT_L_X10Y2 TILE_Y -233272 TILEPROP INT_L_X10Y2 TYPE INT_L TILEPROP INT_L_X10Y3 CLASS tile TILEPROP INT_L_X10Y3 COLUMN 31 TILEPROP INT_L_X10Y3 DEVICE_ID 0 TILEPROP INT_L_X10Y3 FIRST_SITE_ID 15425 TILEPROP INT_L_X10Y3 GRID_POINT_X 31 TILEPROP INT_L_X10Y3 GRID_POINT_Y 152 TILEPROP INT_L_X10Y3 INDEX 17511 TILEPROP INT_L_X10Y3 INT_TILE_X 10 TILEPROP INT_L_X10Y3 INT_TILE_Y 146 TILEPROP INT_L_X10Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y3 IS_DCM_TILE 0 TILEPROP INT_L_X10Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y3 NAME INT_L_X10Y3 TILEPROP INT_L_X10Y3 NUM_ARCS 3737 TILEPROP INT_L_X10Y3 NUM_SITES 1 TILEPROP INT_L_X10Y3 ROW 152 TILEPROP INT_L_X10Y3 SLR_REGION_ID 0 TILEPROP INT_L_X10Y3 TILE_PATTERN_IDX 8651 TILEPROP INT_L_X10Y3 TILE_TYPE INT_L TILEPROP INT_L_X10Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y3 TILE_X -47592 TILEPROP INT_L_X10Y3 TILE_Y -230072 TILEPROP INT_L_X10Y3 TYPE INT_L TILEPROP INT_L_X10Y4 CLASS tile TILEPROP INT_L_X10Y4 COLUMN 31 TILEPROP INT_L_X10Y4 DEVICE_ID 0 TILEPROP INT_L_X10Y4 FIRST_SITE_ID 15325 TILEPROP INT_L_X10Y4 GRID_POINT_X 31 TILEPROP INT_L_X10Y4 GRID_POINT_Y 151 TILEPROP INT_L_X10Y4 INDEX 17396 TILEPROP INT_L_X10Y4 INT_TILE_X 10 TILEPROP INT_L_X10Y4 INT_TILE_Y 145 TILEPROP INT_L_X10Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y4 IS_DCM_TILE 0 TILEPROP INT_L_X10Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y4 NAME INT_L_X10Y4 TILEPROP INT_L_X10Y4 NUM_ARCS 3737 TILEPROP INT_L_X10Y4 NUM_SITES 1 TILEPROP INT_L_X10Y4 ROW 151 TILEPROP INT_L_X10Y4 SLR_REGION_ID 0 TILEPROP INT_L_X10Y4 TILE_PATTERN_IDX 8621 TILEPROP INT_L_X10Y4 TILE_TYPE INT_L TILEPROP INT_L_X10Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y4 TILE_X -47592 TILEPROP INT_L_X10Y4 TILE_Y -226872 TILEPROP INT_L_X10Y4 TYPE INT_L TILEPROP INT_L_X10Y5 CLASS tile TILEPROP INT_L_X10Y5 COLUMN 31 TILEPROP INT_L_X10Y5 DEVICE_ID 0 TILEPROP INT_L_X10Y5 FIRST_SITE_ID 15216 TILEPROP INT_L_X10Y5 GRID_POINT_X 31 TILEPROP INT_L_X10Y5 GRID_POINT_Y 150 TILEPROP INT_L_X10Y5 INDEX 17281 TILEPROP INT_L_X10Y5 INT_TILE_X 10 TILEPROP INT_L_X10Y5 INT_TILE_Y 144 TILEPROP INT_L_X10Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y5 IS_DCM_TILE 0 TILEPROP INT_L_X10Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y5 NAME INT_L_X10Y5 TILEPROP INT_L_X10Y5 NUM_ARCS 3737 TILEPROP INT_L_X10Y5 NUM_SITES 1 TILEPROP INT_L_X10Y5 ROW 150 TILEPROP INT_L_X10Y5 SLR_REGION_ID 0 TILEPROP INT_L_X10Y5 TILE_PATTERN_IDX 8590 TILEPROP INT_L_X10Y5 TILE_TYPE INT_L TILEPROP INT_L_X10Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y5 TILE_X -47592 TILEPROP INT_L_X10Y5 TILE_Y -223672 TILEPROP INT_L_X10Y5 TYPE INT_L TILEPROP INT_L_X10Y6 CLASS tile TILEPROP INT_L_X10Y6 COLUMN 31 TILEPROP INT_L_X10Y6 DEVICE_ID 0 TILEPROP INT_L_X10Y6 FIRST_SITE_ID 15110 TILEPROP INT_L_X10Y6 GRID_POINT_X 31 TILEPROP INT_L_X10Y6 GRID_POINT_Y 149 TILEPROP INT_L_X10Y6 INDEX 17166 TILEPROP INT_L_X10Y6 INT_TILE_X 10 TILEPROP INT_L_X10Y6 INT_TILE_Y 143 TILEPROP INT_L_X10Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y6 IS_DCM_TILE 0 TILEPROP INT_L_X10Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y6 NAME INT_L_X10Y6 TILEPROP INT_L_X10Y6 NUM_ARCS 3737 TILEPROP INT_L_X10Y6 NUM_SITES 1 TILEPROP INT_L_X10Y6 ROW 149 TILEPROP INT_L_X10Y6 SLR_REGION_ID 0 TILEPROP INT_L_X10Y6 TILE_PATTERN_IDX 8560 TILEPROP INT_L_X10Y6 TILE_TYPE INT_L TILEPROP INT_L_X10Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y6 TILE_X -47592 TILEPROP INT_L_X10Y6 TILE_Y -220472 TILEPROP INT_L_X10Y6 TYPE INT_L TILEPROP INT_L_X10Y7 CLASS tile TILEPROP INT_L_X10Y7 COLUMN 31 TILEPROP INT_L_X10Y7 DEVICE_ID 0 TILEPROP INT_L_X10Y7 FIRST_SITE_ID 15008 TILEPROP INT_L_X10Y7 GRID_POINT_X 31 TILEPROP INT_L_X10Y7 GRID_POINT_Y 148 TILEPROP INT_L_X10Y7 INDEX 17051 TILEPROP INT_L_X10Y7 INT_TILE_X 10 TILEPROP INT_L_X10Y7 INT_TILE_Y 142 TILEPROP INT_L_X10Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y7 IS_DCM_TILE 0 TILEPROP INT_L_X10Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y7 NAME INT_L_X10Y7 TILEPROP INT_L_X10Y7 NUM_ARCS 3737 TILEPROP INT_L_X10Y7 NUM_SITES 1 TILEPROP INT_L_X10Y7 ROW 148 TILEPROP INT_L_X10Y7 SLR_REGION_ID 0 TILEPROP INT_L_X10Y7 TILE_PATTERN_IDX 8529 TILEPROP INT_L_X10Y7 TILE_TYPE INT_L TILEPROP INT_L_X10Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y7 TILE_X -47592 TILEPROP INT_L_X10Y7 TILE_Y -217272 TILEPROP INT_L_X10Y7 TYPE INT_L TILEPROP INT_L_X10Y8 CLASS tile TILEPROP INT_L_X10Y8 COLUMN 31 TILEPROP INT_L_X10Y8 DEVICE_ID 0 TILEPROP INT_L_X10Y8 FIRST_SITE_ID 14905 TILEPROP INT_L_X10Y8 GRID_POINT_X 31 TILEPROP INT_L_X10Y8 GRID_POINT_Y 147 TILEPROP INT_L_X10Y8 INDEX 16936 TILEPROP INT_L_X10Y8 INT_TILE_X 10 TILEPROP INT_L_X10Y8 INT_TILE_Y 141 TILEPROP INT_L_X10Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y8 IS_DCM_TILE 0 TILEPROP INT_L_X10Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y8 NAME INT_L_X10Y8 TILEPROP INT_L_X10Y8 NUM_ARCS 3737 TILEPROP INT_L_X10Y8 NUM_SITES 1 TILEPROP INT_L_X10Y8 ROW 147 TILEPROP INT_L_X10Y8 SLR_REGION_ID 0 TILEPROP INT_L_X10Y8 TILE_PATTERN_IDX 8499 TILEPROP INT_L_X10Y8 TILE_TYPE INT_L TILEPROP INT_L_X10Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y8 TILE_X -47592 TILEPROP INT_L_X10Y8 TILE_Y -214072 TILEPROP INT_L_X10Y8 TYPE INT_L TILEPROP INT_L_X10Y9 CLASS tile TILEPROP INT_L_X10Y9 COLUMN 31 TILEPROP INT_L_X10Y9 DEVICE_ID 0 TILEPROP INT_L_X10Y9 FIRST_SITE_ID 14804 TILEPROP INT_L_X10Y9 GRID_POINT_X 31 TILEPROP INT_L_X10Y9 GRID_POINT_Y 146 TILEPROP INT_L_X10Y9 INDEX 16821 TILEPROP INT_L_X10Y9 INT_TILE_X 10 TILEPROP INT_L_X10Y9 INT_TILE_Y 140 TILEPROP INT_L_X10Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y9 IS_DCM_TILE 0 TILEPROP INT_L_X10Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y9 NAME INT_L_X10Y9 TILEPROP INT_L_X10Y9 NUM_ARCS 3737 TILEPROP INT_L_X10Y9 NUM_SITES 1 TILEPROP INT_L_X10Y9 ROW 146 TILEPROP INT_L_X10Y9 SLR_REGION_ID 0 TILEPROP INT_L_X10Y9 TILE_PATTERN_IDX 8468 TILEPROP INT_L_X10Y9 TILE_TYPE INT_L TILEPROP INT_L_X10Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y9 TILE_X -47592 TILEPROP INT_L_X10Y9 TILE_Y -210872 TILEPROP INT_L_X10Y9 TYPE INT_L TILEPROP INT_L_X10Y10 CLASS tile TILEPROP INT_L_X10Y10 COLUMN 31 TILEPROP INT_L_X10Y10 DEVICE_ID 0 TILEPROP INT_L_X10Y10 FIRST_SITE_ID 14695 TILEPROP INT_L_X10Y10 GRID_POINT_X 31 TILEPROP INT_L_X10Y10 GRID_POINT_Y 145 TILEPROP INT_L_X10Y10 INDEX 16706 TILEPROP INT_L_X10Y10 INT_TILE_X 10 TILEPROP INT_L_X10Y10 INT_TILE_Y 139 TILEPROP INT_L_X10Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y10 IS_DCM_TILE 0 TILEPROP INT_L_X10Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y10 NAME INT_L_X10Y10 TILEPROP INT_L_X10Y10 NUM_ARCS 3737 TILEPROP INT_L_X10Y10 NUM_SITES 1 TILEPROP INT_L_X10Y10 ROW 145 TILEPROP INT_L_X10Y10 SLR_REGION_ID 0 TILEPROP INT_L_X10Y10 TILE_PATTERN_IDX 8438 TILEPROP INT_L_X10Y10 TILE_TYPE INT_L TILEPROP INT_L_X10Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y10 TILE_X -47592 TILEPROP INT_L_X10Y10 TILE_Y -207672 TILEPROP INT_L_X10Y10 TYPE INT_L TILEPROP INT_L_X10Y11 CLASS tile TILEPROP INT_L_X10Y11 COLUMN 31 TILEPROP INT_L_X10Y11 DEVICE_ID 0 TILEPROP INT_L_X10Y11 FIRST_SITE_ID 14589 TILEPROP INT_L_X10Y11 GRID_POINT_X 31 TILEPROP INT_L_X10Y11 GRID_POINT_Y 144 TILEPROP INT_L_X10Y11 INDEX 16591 TILEPROP INT_L_X10Y11 INT_TILE_X 10 TILEPROP INT_L_X10Y11 INT_TILE_Y 138 TILEPROP INT_L_X10Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y11 IS_DCM_TILE 0 TILEPROP INT_L_X10Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y11 NAME INT_L_X10Y11 TILEPROP INT_L_X10Y11 NUM_ARCS 3737 TILEPROP INT_L_X10Y11 NUM_SITES 1 TILEPROP INT_L_X10Y11 ROW 144 TILEPROP INT_L_X10Y11 SLR_REGION_ID 0 TILEPROP INT_L_X10Y11 TILE_PATTERN_IDX 8407 TILEPROP INT_L_X10Y11 TILE_TYPE INT_L TILEPROP INT_L_X10Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y11 TILE_X -47592 TILEPROP INT_L_X10Y11 TILE_Y -204472 TILEPROP INT_L_X10Y11 TYPE INT_L TILEPROP INT_L_X10Y12 CLASS tile TILEPROP INT_L_X10Y12 COLUMN 31 TILEPROP INT_L_X10Y12 DEVICE_ID 0 TILEPROP INT_L_X10Y12 FIRST_SITE_ID 14457 TILEPROP INT_L_X10Y12 GRID_POINT_X 31 TILEPROP INT_L_X10Y12 GRID_POINT_Y 143 TILEPROP INT_L_X10Y12 INDEX 16476 TILEPROP INT_L_X10Y12 INT_TILE_X 10 TILEPROP INT_L_X10Y12 INT_TILE_Y 137 TILEPROP INT_L_X10Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y12 IS_DCM_TILE 0 TILEPROP INT_L_X10Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y12 NAME INT_L_X10Y12 TILEPROP INT_L_X10Y12 NUM_ARCS 3737 TILEPROP INT_L_X10Y12 NUM_SITES 1 TILEPROP INT_L_X10Y12 ROW 143 TILEPROP INT_L_X10Y12 SLR_REGION_ID 0 TILEPROP INT_L_X10Y12 TILE_PATTERN_IDX 8377 TILEPROP INT_L_X10Y12 TILE_TYPE INT_L TILEPROP INT_L_X10Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y12 TILE_X -47592 TILEPROP INT_L_X10Y12 TILE_Y -201272 TILEPROP INT_L_X10Y12 TYPE INT_L TILEPROP INT_L_X10Y13 CLASS tile TILEPROP INT_L_X10Y13 COLUMN 31 TILEPROP INT_L_X10Y13 DEVICE_ID 0 TILEPROP INT_L_X10Y13 FIRST_SITE_ID 14357 TILEPROP INT_L_X10Y13 GRID_POINT_X 31 TILEPROP INT_L_X10Y13 GRID_POINT_Y 142 TILEPROP INT_L_X10Y13 INDEX 16361 TILEPROP INT_L_X10Y13 INT_TILE_X 10 TILEPROP INT_L_X10Y13 INT_TILE_Y 136 TILEPROP INT_L_X10Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y13 IS_DCM_TILE 0 TILEPROP INT_L_X10Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y13 NAME INT_L_X10Y13 TILEPROP INT_L_X10Y13 NUM_ARCS 3737 TILEPROP INT_L_X10Y13 NUM_SITES 1 TILEPROP INT_L_X10Y13 ROW 142 TILEPROP INT_L_X10Y13 SLR_REGION_ID 0 TILEPROP INT_L_X10Y13 TILE_PATTERN_IDX 8346 TILEPROP INT_L_X10Y13 TILE_TYPE INT_L TILEPROP INT_L_X10Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y13 TILE_X -47592 TILEPROP INT_L_X10Y13 TILE_Y -198072 TILEPROP INT_L_X10Y13 TYPE INT_L TILEPROP INT_L_X10Y14 CLASS tile TILEPROP INT_L_X10Y14 COLUMN 31 TILEPROP INT_L_X10Y14 DEVICE_ID 0 TILEPROP INT_L_X10Y14 FIRST_SITE_ID 14257 TILEPROP INT_L_X10Y14 GRID_POINT_X 31 TILEPROP INT_L_X10Y14 GRID_POINT_Y 141 TILEPROP INT_L_X10Y14 INDEX 16246 TILEPROP INT_L_X10Y14 INT_TILE_X 10 TILEPROP INT_L_X10Y14 INT_TILE_Y 135 TILEPROP INT_L_X10Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y14 IS_DCM_TILE 0 TILEPROP INT_L_X10Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y14 NAME INT_L_X10Y14 TILEPROP INT_L_X10Y14 NUM_ARCS 3737 TILEPROP INT_L_X10Y14 NUM_SITES 1 TILEPROP INT_L_X10Y14 ROW 141 TILEPROP INT_L_X10Y14 SLR_REGION_ID 0 TILEPROP INT_L_X10Y14 TILE_PATTERN_IDX 8316 TILEPROP INT_L_X10Y14 TILE_TYPE INT_L TILEPROP INT_L_X10Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y14 TILE_X -47592 TILEPROP INT_L_X10Y14 TILE_Y -194872 TILEPROP INT_L_X10Y14 TYPE INT_L TILEPROP INT_L_X10Y15 CLASS tile TILEPROP INT_L_X10Y15 COLUMN 31 TILEPROP INT_L_X10Y15 DEVICE_ID 0 TILEPROP INT_L_X10Y15 FIRST_SITE_ID 14148 TILEPROP INT_L_X10Y15 GRID_POINT_X 31 TILEPROP INT_L_X10Y15 GRID_POINT_Y 140 TILEPROP INT_L_X10Y15 INDEX 16131 TILEPROP INT_L_X10Y15 INT_TILE_X 10 TILEPROP INT_L_X10Y15 INT_TILE_Y 134 TILEPROP INT_L_X10Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y15 IS_DCM_TILE 0 TILEPROP INT_L_X10Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y15 NAME INT_L_X10Y15 TILEPROP INT_L_X10Y15 NUM_ARCS 3737 TILEPROP INT_L_X10Y15 NUM_SITES 1 TILEPROP INT_L_X10Y15 ROW 140 TILEPROP INT_L_X10Y15 SLR_REGION_ID 0 TILEPROP INT_L_X10Y15 TILE_PATTERN_IDX 8285 TILEPROP INT_L_X10Y15 TILE_TYPE INT_L TILEPROP INT_L_X10Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y15 TILE_X -47592 TILEPROP INT_L_X10Y15 TILE_Y -191672 TILEPROP INT_L_X10Y15 TYPE INT_L TILEPROP INT_L_X10Y16 CLASS tile TILEPROP INT_L_X10Y16 COLUMN 31 TILEPROP INT_L_X10Y16 DEVICE_ID 0 TILEPROP INT_L_X10Y16 FIRST_SITE_ID 14042 TILEPROP INT_L_X10Y16 GRID_POINT_X 31 TILEPROP INT_L_X10Y16 GRID_POINT_Y 139 TILEPROP INT_L_X10Y16 INDEX 16016 TILEPROP INT_L_X10Y16 INT_TILE_X 10 TILEPROP INT_L_X10Y16 INT_TILE_Y 133 TILEPROP INT_L_X10Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y16 IS_DCM_TILE 0 TILEPROP INT_L_X10Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y16 NAME INT_L_X10Y16 TILEPROP INT_L_X10Y16 NUM_ARCS 3737 TILEPROP INT_L_X10Y16 NUM_SITES 1 TILEPROP INT_L_X10Y16 ROW 139 TILEPROP INT_L_X10Y16 SLR_REGION_ID 0 TILEPROP INT_L_X10Y16 TILE_PATTERN_IDX 8255 TILEPROP INT_L_X10Y16 TILE_TYPE INT_L TILEPROP INT_L_X10Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y16 TILE_X -47592 TILEPROP INT_L_X10Y16 TILE_Y -188472 TILEPROP INT_L_X10Y16 TYPE INT_L TILEPROP INT_L_X10Y17 CLASS tile TILEPROP INT_L_X10Y17 COLUMN 31 TILEPROP INT_L_X10Y17 DEVICE_ID 0 TILEPROP INT_L_X10Y17 FIRST_SITE_ID 13937 TILEPROP INT_L_X10Y17 GRID_POINT_X 31 TILEPROP INT_L_X10Y17 GRID_POINT_Y 138 TILEPROP INT_L_X10Y17 INDEX 15901 TILEPROP INT_L_X10Y17 INT_TILE_X 10 TILEPROP INT_L_X10Y17 INT_TILE_Y 132 TILEPROP INT_L_X10Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y17 IS_DCM_TILE 0 TILEPROP INT_L_X10Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y17 NAME INT_L_X10Y17 TILEPROP INT_L_X10Y17 NUM_ARCS 3737 TILEPROP INT_L_X10Y17 NUM_SITES 1 TILEPROP INT_L_X10Y17 ROW 138 TILEPROP INT_L_X10Y17 SLR_REGION_ID 0 TILEPROP INT_L_X10Y17 TILE_PATTERN_IDX 8223 TILEPROP INT_L_X10Y17 TILE_TYPE INT_L TILEPROP INT_L_X10Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y17 TILE_X -47592 TILEPROP INT_L_X10Y17 TILE_Y -185272 TILEPROP INT_L_X10Y17 TYPE INT_L TILEPROP INT_L_X10Y18 CLASS tile TILEPROP INT_L_X10Y18 COLUMN 31 TILEPROP INT_L_X10Y18 DEVICE_ID 0 TILEPROP INT_L_X10Y18 FIRST_SITE_ID 13833 TILEPROP INT_L_X10Y18 GRID_POINT_X 31 TILEPROP INT_L_X10Y18 GRID_POINT_Y 137 TILEPROP INT_L_X10Y18 INDEX 15786 TILEPROP INT_L_X10Y18 INT_TILE_X 10 TILEPROP INT_L_X10Y18 INT_TILE_Y 131 TILEPROP INT_L_X10Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y18 IS_DCM_TILE 0 TILEPROP INT_L_X10Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y18 NAME INT_L_X10Y18 TILEPROP INT_L_X10Y18 NUM_ARCS 3737 TILEPROP INT_L_X10Y18 NUM_SITES 1 TILEPROP INT_L_X10Y18 ROW 137 TILEPROP INT_L_X10Y18 SLR_REGION_ID 0 TILEPROP INT_L_X10Y18 TILE_PATTERN_IDX 8200 TILEPROP INT_L_X10Y18 TILE_TYPE INT_L TILEPROP INT_L_X10Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y18 TILE_X -47592 TILEPROP INT_L_X10Y18 TILE_Y -182072 TILEPROP INT_L_X10Y18 TYPE INT_L TILEPROP INT_L_X10Y19 CLASS tile TILEPROP INT_L_X10Y19 COLUMN 31 TILEPROP INT_L_X10Y19 DEVICE_ID 0 TILEPROP INT_L_X10Y19 FIRST_SITE_ID 13731 TILEPROP INT_L_X10Y19 GRID_POINT_X 31 TILEPROP INT_L_X10Y19 GRID_POINT_Y 136 TILEPROP INT_L_X10Y19 INDEX 15671 TILEPROP INT_L_X10Y19 INT_TILE_X 10 TILEPROP INT_L_X10Y19 INT_TILE_Y 130 TILEPROP INT_L_X10Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y19 IS_DCM_TILE 0 TILEPROP INT_L_X10Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y19 NAME INT_L_X10Y19 TILEPROP INT_L_X10Y19 NUM_ARCS 3737 TILEPROP INT_L_X10Y19 NUM_SITES 1 TILEPROP INT_L_X10Y19 ROW 136 TILEPROP INT_L_X10Y19 SLR_REGION_ID 0 TILEPROP INT_L_X10Y19 TILE_PATTERN_IDX 8177 TILEPROP INT_L_X10Y19 TILE_TYPE INT_L TILEPROP INT_L_X10Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y19 TILE_X -47592 TILEPROP INT_L_X10Y19 TILE_Y -178872 TILEPROP INT_L_X10Y19 TYPE INT_L TILEPROP INT_L_X10Y20 CLASS tile TILEPROP INT_L_X10Y20 COLUMN 31 TILEPROP INT_L_X10Y20 DEVICE_ID 0 TILEPROP INT_L_X10Y20 FIRST_SITE_ID 13620 TILEPROP INT_L_X10Y20 GRID_POINT_X 31 TILEPROP INT_L_X10Y20 GRID_POINT_Y 135 TILEPROP INT_L_X10Y20 INDEX 15556 TILEPROP INT_L_X10Y20 INT_TILE_X 10 TILEPROP INT_L_X10Y20 INT_TILE_Y 129 TILEPROP INT_L_X10Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y20 IS_DCM_TILE 0 TILEPROP INT_L_X10Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y20 NAME INT_L_X10Y20 TILEPROP INT_L_X10Y20 NUM_ARCS 3737 TILEPROP INT_L_X10Y20 NUM_SITES 1 TILEPROP INT_L_X10Y20 ROW 135 TILEPROP INT_L_X10Y20 SLR_REGION_ID 0 TILEPROP INT_L_X10Y20 TILE_PATTERN_IDX 8155 TILEPROP INT_L_X10Y20 TILE_TYPE INT_L TILEPROP INT_L_X10Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y20 TILE_X -47592 TILEPROP INT_L_X10Y20 TILE_Y -175672 TILEPROP INT_L_X10Y20 TYPE INT_L TILEPROP INT_L_X10Y21 CLASS tile TILEPROP INT_L_X10Y21 COLUMN 31 TILEPROP INT_L_X10Y21 DEVICE_ID 0 TILEPROP INT_L_X10Y21 FIRST_SITE_ID 13514 TILEPROP INT_L_X10Y21 GRID_POINT_X 31 TILEPROP INT_L_X10Y21 GRID_POINT_Y 134 TILEPROP INT_L_X10Y21 INDEX 15441 TILEPROP INT_L_X10Y21 INT_TILE_X 10 TILEPROP INT_L_X10Y21 INT_TILE_Y 128 TILEPROP INT_L_X10Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y21 IS_DCM_TILE 0 TILEPROP INT_L_X10Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y21 NAME INT_L_X10Y21 TILEPROP INT_L_X10Y21 NUM_ARCS 3737 TILEPROP INT_L_X10Y21 NUM_SITES 1 TILEPROP INT_L_X10Y21 ROW 134 TILEPROP INT_L_X10Y21 SLR_REGION_ID 0 TILEPROP INT_L_X10Y21 TILE_PATTERN_IDX 8126 TILEPROP INT_L_X10Y21 TILE_TYPE INT_L TILEPROP INT_L_X10Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y21 TILE_X -47592 TILEPROP INT_L_X10Y21 TILE_Y -172472 TILEPROP INT_L_X10Y21 TYPE INT_L TILEPROP INT_L_X10Y22 CLASS tile TILEPROP INT_L_X10Y22 COLUMN 31 TILEPROP INT_L_X10Y22 DEVICE_ID 0 TILEPROP INT_L_X10Y22 FIRST_SITE_ID 13414 TILEPROP INT_L_X10Y22 GRID_POINT_X 31 TILEPROP INT_L_X10Y22 GRID_POINT_Y 133 TILEPROP INT_L_X10Y22 INDEX 15326 TILEPROP INT_L_X10Y22 INT_TILE_X 10 TILEPROP INT_L_X10Y22 INT_TILE_Y 127 TILEPROP INT_L_X10Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y22 IS_DCM_TILE 0 TILEPROP INT_L_X10Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y22 NAME INT_L_X10Y22 TILEPROP INT_L_X10Y22 NUM_ARCS 3737 TILEPROP INT_L_X10Y22 NUM_SITES 1 TILEPROP INT_L_X10Y22 ROW 133 TILEPROP INT_L_X10Y22 SLR_REGION_ID 0 TILEPROP INT_L_X10Y22 TILE_PATTERN_IDX 8098 TILEPROP INT_L_X10Y22 TILE_TYPE INT_L TILEPROP INT_L_X10Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y22 TILE_X -47592 TILEPROP INT_L_X10Y22 TILE_Y -169272 TILEPROP INT_L_X10Y22 TYPE INT_L TILEPROP INT_L_X10Y23 CLASS tile TILEPROP INT_L_X10Y23 COLUMN 31 TILEPROP INT_L_X10Y23 DEVICE_ID 0 TILEPROP INT_L_X10Y23 FIRST_SITE_ID 13314 TILEPROP INT_L_X10Y23 GRID_POINT_X 31 TILEPROP INT_L_X10Y23 GRID_POINT_Y 132 TILEPROP INT_L_X10Y23 INDEX 15211 TILEPROP INT_L_X10Y23 INT_TILE_X 10 TILEPROP INT_L_X10Y23 INT_TILE_Y 126 TILEPROP INT_L_X10Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y23 IS_DCM_TILE 0 TILEPROP INT_L_X10Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y23 NAME INT_L_X10Y23 TILEPROP INT_L_X10Y23 NUM_ARCS 3737 TILEPROP INT_L_X10Y23 NUM_SITES 1 TILEPROP INT_L_X10Y23 ROW 132 TILEPROP INT_L_X10Y23 SLR_REGION_ID 0 TILEPROP INT_L_X10Y23 TILE_PATTERN_IDX 8069 TILEPROP INT_L_X10Y23 TILE_TYPE INT_L TILEPROP INT_L_X10Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y23 TILE_X -47592 TILEPROP INT_L_X10Y23 TILE_Y -166072 TILEPROP INT_L_X10Y23 TYPE INT_L TILEPROP INT_L_X10Y24 CLASS tile TILEPROP INT_L_X10Y24 COLUMN 31 TILEPROP INT_L_X10Y24 DEVICE_ID 0 TILEPROP INT_L_X10Y24 FIRST_SITE_ID 13214 TILEPROP INT_L_X10Y24 GRID_POINT_X 31 TILEPROP INT_L_X10Y24 GRID_POINT_Y 131 TILEPROP INT_L_X10Y24 INDEX 15096 TILEPROP INT_L_X10Y24 INT_TILE_X 10 TILEPROP INT_L_X10Y24 INT_TILE_Y 125 TILEPROP INT_L_X10Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y24 IS_DCM_TILE 0 TILEPROP INT_L_X10Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y24 NAME INT_L_X10Y24 TILEPROP INT_L_X10Y24 NUM_ARCS 3737 TILEPROP INT_L_X10Y24 NUM_SITES 1 TILEPROP INT_L_X10Y24 ROW 131 TILEPROP INT_L_X10Y24 SLR_REGION_ID 0 TILEPROP INT_L_X10Y24 TILE_PATTERN_IDX 8041 TILEPROP INT_L_X10Y24 TILE_TYPE INT_L TILEPROP INT_L_X10Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y24 TILE_X -47592 TILEPROP INT_L_X10Y24 TILE_Y -162872 TILEPROP INT_L_X10Y24 TYPE INT_L TILEPROP INT_L_X10Y25 CLASS tile TILEPROP INT_L_X10Y25 COLUMN 31 TILEPROP INT_L_X10Y25 DEVICE_ID 0 TILEPROP INT_L_X10Y25 FIRST_SITE_ID 13022 TILEPROP INT_L_X10Y25 GRID_POINT_X 31 TILEPROP INT_L_X10Y25 GRID_POINT_Y 129 TILEPROP INT_L_X10Y25 INDEX 14866 TILEPROP INT_L_X10Y25 INT_TILE_X 10 TILEPROP INT_L_X10Y25 INT_TILE_Y 124 TILEPROP INT_L_X10Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y25 IS_DCM_TILE 0 TILEPROP INT_L_X10Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y25 NAME INT_L_X10Y25 TILEPROP INT_L_X10Y25 NUM_ARCS 3737 TILEPROP INT_L_X10Y25 NUM_SITES 1 TILEPROP INT_L_X10Y25 ROW 129 TILEPROP INT_L_X10Y25 SLR_REGION_ID 0 TILEPROP INT_L_X10Y25 TILE_PATTERN_IDX 7969 TILEPROP INT_L_X10Y25 TILE_TYPE INT_L TILEPROP INT_L_X10Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y25 TILE_X -47592 TILEPROP INT_L_X10Y25 TILE_Y -158648 TILEPROP INT_L_X10Y25 TYPE INT_L TILEPROP INT_L_X10Y26 CLASS tile TILEPROP INT_L_X10Y26 COLUMN 31 TILEPROP INT_L_X10Y26 DEVICE_ID 0 TILEPROP INT_L_X10Y26 FIRST_SITE_ID 12916 TILEPROP INT_L_X10Y26 GRID_POINT_X 31 TILEPROP INT_L_X10Y26 GRID_POINT_Y 128 TILEPROP INT_L_X10Y26 INDEX 14751 TILEPROP INT_L_X10Y26 INT_TILE_X 10 TILEPROP INT_L_X10Y26 INT_TILE_Y 123 TILEPROP INT_L_X10Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y26 IS_DCM_TILE 0 TILEPROP INT_L_X10Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y26 NAME INT_L_X10Y26 TILEPROP INT_L_X10Y26 NUM_ARCS 3737 TILEPROP INT_L_X10Y26 NUM_SITES 1 TILEPROP INT_L_X10Y26 ROW 128 TILEPROP INT_L_X10Y26 SLR_REGION_ID 0 TILEPROP INT_L_X10Y26 TILE_PATTERN_IDX 7941 TILEPROP INT_L_X10Y26 TILE_TYPE INT_L TILEPROP INT_L_X10Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y26 TILE_X -47592 TILEPROP INT_L_X10Y26 TILE_Y -155448 TILEPROP INT_L_X10Y26 TYPE INT_L TILEPROP INT_L_X10Y27 CLASS tile TILEPROP INT_L_X10Y27 COLUMN 31 TILEPROP INT_L_X10Y27 DEVICE_ID 0 TILEPROP INT_L_X10Y27 FIRST_SITE_ID 12816 TILEPROP INT_L_X10Y27 GRID_POINT_X 31 TILEPROP INT_L_X10Y27 GRID_POINT_Y 127 TILEPROP INT_L_X10Y27 INDEX 14636 TILEPROP INT_L_X10Y27 INT_TILE_X 10 TILEPROP INT_L_X10Y27 INT_TILE_Y 122 TILEPROP INT_L_X10Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y27 IS_DCM_TILE 0 TILEPROP INT_L_X10Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y27 NAME INT_L_X10Y27 TILEPROP INT_L_X10Y27 NUM_ARCS 3737 TILEPROP INT_L_X10Y27 NUM_SITES 1 TILEPROP INT_L_X10Y27 ROW 127 TILEPROP INT_L_X10Y27 SLR_REGION_ID 0 TILEPROP INT_L_X10Y27 TILE_PATTERN_IDX 7912 TILEPROP INT_L_X10Y27 TILE_TYPE INT_L TILEPROP INT_L_X10Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y27 TILE_X -47592 TILEPROP INT_L_X10Y27 TILE_Y -152248 TILEPROP INT_L_X10Y27 TYPE INT_L TILEPROP INT_L_X10Y28 CLASS tile TILEPROP INT_L_X10Y28 COLUMN 31 TILEPROP INT_L_X10Y28 DEVICE_ID 0 TILEPROP INT_L_X10Y28 FIRST_SITE_ID 12716 TILEPROP INT_L_X10Y28 GRID_POINT_X 31 TILEPROP INT_L_X10Y28 GRID_POINT_Y 126 TILEPROP INT_L_X10Y28 INDEX 14521 TILEPROP INT_L_X10Y28 INT_TILE_X 10 TILEPROP INT_L_X10Y28 INT_TILE_Y 121 TILEPROP INT_L_X10Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y28 IS_DCM_TILE 0 TILEPROP INT_L_X10Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y28 NAME INT_L_X10Y28 TILEPROP INT_L_X10Y28 NUM_ARCS 3737 TILEPROP INT_L_X10Y28 NUM_SITES 1 TILEPROP INT_L_X10Y28 ROW 126 TILEPROP INT_L_X10Y28 SLR_REGION_ID 0 TILEPROP INT_L_X10Y28 TILE_PATTERN_IDX 7884 TILEPROP INT_L_X10Y28 TILE_TYPE INT_L TILEPROP INT_L_X10Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y28 TILE_X -47592 TILEPROP INT_L_X10Y28 TILE_Y -149048 TILEPROP INT_L_X10Y28 TYPE INT_L TILEPROP INT_L_X10Y29 CLASS tile TILEPROP INT_L_X10Y29 COLUMN 31 TILEPROP INT_L_X10Y29 DEVICE_ID 0 TILEPROP INT_L_X10Y29 FIRST_SITE_ID 12610 TILEPROP INT_L_X10Y29 GRID_POINT_X 31 TILEPROP INT_L_X10Y29 GRID_POINT_Y 125 TILEPROP INT_L_X10Y29 INDEX 14406 TILEPROP INT_L_X10Y29 INT_TILE_X 10 TILEPROP INT_L_X10Y29 INT_TILE_Y 120 TILEPROP INT_L_X10Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y29 IS_DCM_TILE 0 TILEPROP INT_L_X10Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y29 NAME INT_L_X10Y29 TILEPROP INT_L_X10Y29 NUM_ARCS 3737 TILEPROP INT_L_X10Y29 NUM_SITES 1 TILEPROP INT_L_X10Y29 ROW 125 TILEPROP INT_L_X10Y29 SLR_REGION_ID 0 TILEPROP INT_L_X10Y29 TILE_PATTERN_IDX 7860 TILEPROP INT_L_X10Y29 TILE_TYPE INT_L TILEPROP INT_L_X10Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y29 TILE_X -47592 TILEPROP INT_L_X10Y29 TILE_Y -145848 TILEPROP INT_L_X10Y29 TYPE INT_L TILEPROP INT_L_X10Y30 CLASS tile TILEPROP INT_L_X10Y30 COLUMN 31 TILEPROP INT_L_X10Y30 DEVICE_ID 0 TILEPROP INT_L_X10Y30 FIRST_SITE_ID 12482 TILEPROP INT_L_X10Y30 GRID_POINT_X 31 TILEPROP INT_L_X10Y30 GRID_POINT_Y 124 TILEPROP INT_L_X10Y30 INDEX 14291 TILEPROP INT_L_X10Y30 INT_TILE_X 10 TILEPROP INT_L_X10Y30 INT_TILE_Y 119 TILEPROP INT_L_X10Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y30 IS_DCM_TILE 0 TILEPROP INT_L_X10Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y30 NAME INT_L_X10Y30 TILEPROP INT_L_X10Y30 NUM_ARCS 3737 TILEPROP INT_L_X10Y30 NUM_SITES 1 TILEPROP INT_L_X10Y30 ROW 124 TILEPROP INT_L_X10Y30 SLR_REGION_ID 0 TILEPROP INT_L_X10Y30 TILE_PATTERN_IDX 7837 TILEPROP INT_L_X10Y30 TILE_TYPE INT_L TILEPROP INT_L_X10Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y30 TILE_X -47592 TILEPROP INT_L_X10Y30 TILE_Y -142648 TILEPROP INT_L_X10Y30 TYPE INT_L TILEPROP INT_L_X10Y31 CLASS tile TILEPROP INT_L_X10Y31 COLUMN 31 TILEPROP INT_L_X10Y31 DEVICE_ID 0 TILEPROP INT_L_X10Y31 FIRST_SITE_ID 12374 TILEPROP INT_L_X10Y31 GRID_POINT_X 31 TILEPROP INT_L_X10Y31 GRID_POINT_Y 123 TILEPROP INT_L_X10Y31 INDEX 14176 TILEPROP INT_L_X10Y31 INT_TILE_X 10 TILEPROP INT_L_X10Y31 INT_TILE_Y 118 TILEPROP INT_L_X10Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y31 IS_DCM_TILE 0 TILEPROP INT_L_X10Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y31 NAME INT_L_X10Y31 TILEPROP INT_L_X10Y31 NUM_ARCS 3737 TILEPROP INT_L_X10Y31 NUM_SITES 1 TILEPROP INT_L_X10Y31 ROW 123 TILEPROP INT_L_X10Y31 SLR_REGION_ID 0 TILEPROP INT_L_X10Y31 TILE_PATTERN_IDX 7814 TILEPROP INT_L_X10Y31 TILE_TYPE INT_L TILEPROP INT_L_X10Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y31 TILE_X -47592 TILEPROP INT_L_X10Y31 TILE_Y -139448 TILEPROP INT_L_X10Y31 TYPE INT_L TILEPROP INT_L_X10Y32 CLASS tile TILEPROP INT_L_X10Y32 COLUMN 31 TILEPROP INT_L_X10Y32 DEVICE_ID 0 TILEPROP INT_L_X10Y32 FIRST_SITE_ID 12271 TILEPROP INT_L_X10Y32 GRID_POINT_X 31 TILEPROP INT_L_X10Y32 GRID_POINT_Y 122 TILEPROP INT_L_X10Y32 INDEX 14061 TILEPROP INT_L_X10Y32 INT_TILE_X 10 TILEPROP INT_L_X10Y32 INT_TILE_Y 117 TILEPROP INT_L_X10Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y32 IS_DCM_TILE 0 TILEPROP INT_L_X10Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y32 NAME INT_L_X10Y32 TILEPROP INT_L_X10Y32 NUM_ARCS 3737 TILEPROP INT_L_X10Y32 NUM_SITES 1 TILEPROP INT_L_X10Y32 ROW 122 TILEPROP INT_L_X10Y32 SLR_REGION_ID 0 TILEPROP INT_L_X10Y32 TILE_PATTERN_IDX 7780 TILEPROP INT_L_X10Y32 TILE_TYPE INT_L TILEPROP INT_L_X10Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y32 TILE_X -47592 TILEPROP INT_L_X10Y32 TILE_Y -136248 TILEPROP INT_L_X10Y32 TYPE INT_L TILEPROP INT_L_X10Y33 CLASS tile TILEPROP INT_L_X10Y33 COLUMN 31 TILEPROP INT_L_X10Y33 DEVICE_ID 0 TILEPROP INT_L_X10Y33 FIRST_SITE_ID 12171 TILEPROP INT_L_X10Y33 GRID_POINT_X 31 TILEPROP INT_L_X10Y33 GRID_POINT_Y 121 TILEPROP INT_L_X10Y33 INDEX 13946 TILEPROP INT_L_X10Y33 INT_TILE_X 10 TILEPROP INT_L_X10Y33 INT_TILE_Y 116 TILEPROP INT_L_X10Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y33 IS_DCM_TILE 0 TILEPROP INT_L_X10Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y33 NAME INT_L_X10Y33 TILEPROP INT_L_X10Y33 NUM_ARCS 3737 TILEPROP INT_L_X10Y33 NUM_SITES 1 TILEPROP INT_L_X10Y33 ROW 121 TILEPROP INT_L_X10Y33 SLR_REGION_ID 0 TILEPROP INT_L_X10Y33 TILE_PATTERN_IDX 7751 TILEPROP INT_L_X10Y33 TILE_TYPE INT_L TILEPROP INT_L_X10Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y33 TILE_X -47592 TILEPROP INT_L_X10Y33 TILE_Y -133048 TILEPROP INT_L_X10Y33 TYPE INT_L TILEPROP INT_L_X10Y34 CLASS tile TILEPROP INT_L_X10Y34 COLUMN 31 TILEPROP INT_L_X10Y34 DEVICE_ID 0 TILEPROP INT_L_X10Y34 FIRST_SITE_ID 12071 TILEPROP INT_L_X10Y34 GRID_POINT_X 31 TILEPROP INT_L_X10Y34 GRID_POINT_Y 120 TILEPROP INT_L_X10Y34 INDEX 13831 TILEPROP INT_L_X10Y34 INT_TILE_X 10 TILEPROP INT_L_X10Y34 INT_TILE_Y 115 TILEPROP INT_L_X10Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y34 IS_DCM_TILE 0 TILEPROP INT_L_X10Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y34 NAME INT_L_X10Y34 TILEPROP INT_L_X10Y34 NUM_ARCS 3737 TILEPROP INT_L_X10Y34 NUM_SITES 1 TILEPROP INT_L_X10Y34 ROW 120 TILEPROP INT_L_X10Y34 SLR_REGION_ID 0 TILEPROP INT_L_X10Y34 TILE_PATTERN_IDX 7723 TILEPROP INT_L_X10Y34 TILE_TYPE INT_L TILEPROP INT_L_X10Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y34 TILE_X -47592 TILEPROP INT_L_X10Y34 TILE_Y -129848 TILEPROP INT_L_X10Y34 TYPE INT_L TILEPROP INT_L_X10Y35 CLASS tile TILEPROP INT_L_X10Y35 COLUMN 31 TILEPROP INT_L_X10Y35 DEVICE_ID 0 TILEPROP INT_L_X10Y35 FIRST_SITE_ID 11962 TILEPROP INT_L_X10Y35 GRID_POINT_X 31 TILEPROP INT_L_X10Y35 GRID_POINT_Y 119 TILEPROP INT_L_X10Y35 INDEX 13716 TILEPROP INT_L_X10Y35 INT_TILE_X 10 TILEPROP INT_L_X10Y35 INT_TILE_Y 114 TILEPROP INT_L_X10Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y35 IS_DCM_TILE 0 TILEPROP INT_L_X10Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y35 NAME INT_L_X10Y35 TILEPROP INT_L_X10Y35 NUM_ARCS 3737 TILEPROP INT_L_X10Y35 NUM_SITES 1 TILEPROP INT_L_X10Y35 ROW 119 TILEPROP INT_L_X10Y35 SLR_REGION_ID 0 TILEPROP INT_L_X10Y35 TILE_PATTERN_IDX 7694 TILEPROP INT_L_X10Y35 TILE_TYPE INT_L TILEPROP INT_L_X10Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y35 TILE_X -47592 TILEPROP INT_L_X10Y35 TILE_Y -126648 TILEPROP INT_L_X10Y35 TYPE INT_L TILEPROP INT_L_X10Y36 CLASS tile TILEPROP INT_L_X10Y36 COLUMN 31 TILEPROP INT_L_X10Y36 DEVICE_ID 0 TILEPROP INT_L_X10Y36 FIRST_SITE_ID 11824 TILEPROP INT_L_X10Y36 GRID_POINT_X 31 TILEPROP INT_L_X10Y36 GRID_POINT_Y 118 TILEPROP INT_L_X10Y36 INDEX 13601 TILEPROP INT_L_X10Y36 INT_TILE_X 10 TILEPROP INT_L_X10Y36 INT_TILE_Y 113 TILEPROP INT_L_X10Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y36 IS_DCM_TILE 0 TILEPROP INT_L_X10Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y36 NAME INT_L_X10Y36 TILEPROP INT_L_X10Y36 NUM_ARCS 3737 TILEPROP INT_L_X10Y36 NUM_SITES 1 TILEPROP INT_L_X10Y36 ROW 118 TILEPROP INT_L_X10Y36 SLR_REGION_ID 0 TILEPROP INT_L_X10Y36 TILE_PATTERN_IDX 7666 TILEPROP INT_L_X10Y36 TILE_TYPE INT_L TILEPROP INT_L_X10Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y36 TILE_X -47592 TILEPROP INT_L_X10Y36 TILE_Y -123448 TILEPROP INT_L_X10Y36 TYPE INT_L TILEPROP INT_L_X10Y37 CLASS tile TILEPROP INT_L_X10Y37 COLUMN 31 TILEPROP INT_L_X10Y37 DEVICE_ID 0 TILEPROP INT_L_X10Y37 FIRST_SITE_ID 11724 TILEPROP INT_L_X10Y37 GRID_POINT_X 31 TILEPROP INT_L_X10Y37 GRID_POINT_Y 117 TILEPROP INT_L_X10Y37 INDEX 13486 TILEPROP INT_L_X10Y37 INT_TILE_X 10 TILEPROP INT_L_X10Y37 INT_TILE_Y 112 TILEPROP INT_L_X10Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y37 IS_DCM_TILE 0 TILEPROP INT_L_X10Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y37 NAME INT_L_X10Y37 TILEPROP INT_L_X10Y37 NUM_ARCS 3737 TILEPROP INT_L_X10Y37 NUM_SITES 1 TILEPROP INT_L_X10Y37 ROW 117 TILEPROP INT_L_X10Y37 SLR_REGION_ID 0 TILEPROP INT_L_X10Y37 TILE_PATTERN_IDX 7638 TILEPROP INT_L_X10Y37 TILE_TYPE INT_L TILEPROP INT_L_X10Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y37 TILE_X -47592 TILEPROP INT_L_X10Y37 TILE_Y -120248 TILEPROP INT_L_X10Y37 TYPE INT_L TILEPROP INT_L_X10Y38 CLASS tile TILEPROP INT_L_X10Y38 COLUMN 31 TILEPROP INT_L_X10Y38 DEVICE_ID 0 TILEPROP INT_L_X10Y38 FIRST_SITE_ID 11624 TILEPROP INT_L_X10Y38 GRID_POINT_X 31 TILEPROP INT_L_X10Y38 GRID_POINT_Y 116 TILEPROP INT_L_X10Y38 INDEX 13371 TILEPROP INT_L_X10Y38 INT_TILE_X 10 TILEPROP INT_L_X10Y38 INT_TILE_Y 111 TILEPROP INT_L_X10Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y38 IS_DCM_TILE 0 TILEPROP INT_L_X10Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y38 NAME INT_L_X10Y38 TILEPROP INT_L_X10Y38 NUM_ARCS 3737 TILEPROP INT_L_X10Y38 NUM_SITES 1 TILEPROP INT_L_X10Y38 ROW 116 TILEPROP INT_L_X10Y38 SLR_REGION_ID 0 TILEPROP INT_L_X10Y38 TILE_PATTERN_IDX 7610 TILEPROP INT_L_X10Y38 TILE_TYPE INT_L TILEPROP INT_L_X10Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y38 TILE_X -47592 TILEPROP INT_L_X10Y38 TILE_Y -117048 TILEPROP INT_L_X10Y38 TYPE INT_L TILEPROP INT_L_X10Y39 CLASS tile TILEPROP INT_L_X10Y39 COLUMN 31 TILEPROP INT_L_X10Y39 DEVICE_ID 0 TILEPROP INT_L_X10Y39 FIRST_SITE_ID 11524 TILEPROP INT_L_X10Y39 GRID_POINT_X 31 TILEPROP INT_L_X10Y39 GRID_POINT_Y 115 TILEPROP INT_L_X10Y39 INDEX 13256 TILEPROP INT_L_X10Y39 INT_TILE_X 10 TILEPROP INT_L_X10Y39 INT_TILE_Y 110 TILEPROP INT_L_X10Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y39 IS_DCM_TILE 0 TILEPROP INT_L_X10Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y39 NAME INT_L_X10Y39 TILEPROP INT_L_X10Y39 NUM_ARCS 3737 TILEPROP INT_L_X10Y39 NUM_SITES 1 TILEPROP INT_L_X10Y39 ROW 115 TILEPROP INT_L_X10Y39 SLR_REGION_ID 0 TILEPROP INT_L_X10Y39 TILE_PATTERN_IDX 7581 TILEPROP INT_L_X10Y39 TILE_TYPE INT_L TILEPROP INT_L_X10Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y39 TILE_X -47592 TILEPROP INT_L_X10Y39 TILE_Y -113848 TILEPROP INT_L_X10Y39 TYPE INT_L TILEPROP INT_L_X10Y40 CLASS tile TILEPROP INT_L_X10Y40 COLUMN 31 TILEPROP INT_L_X10Y40 DEVICE_ID 0 TILEPROP INT_L_X10Y40 FIRST_SITE_ID 11413 TILEPROP INT_L_X10Y40 GRID_POINT_X 31 TILEPROP INT_L_X10Y40 GRID_POINT_Y 114 TILEPROP INT_L_X10Y40 INDEX 13141 TILEPROP INT_L_X10Y40 INT_TILE_X 10 TILEPROP INT_L_X10Y40 INT_TILE_Y 109 TILEPROP INT_L_X10Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y40 IS_DCM_TILE 0 TILEPROP INT_L_X10Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y40 NAME INT_L_X10Y40 TILEPROP INT_L_X10Y40 NUM_ARCS 3737 TILEPROP INT_L_X10Y40 NUM_SITES 1 TILEPROP INT_L_X10Y40 ROW 114 TILEPROP INT_L_X10Y40 SLR_REGION_ID 0 TILEPROP INT_L_X10Y40 TILE_PATTERN_IDX 7552 TILEPROP INT_L_X10Y40 TILE_TYPE INT_L TILEPROP INT_L_X10Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y40 TILE_X -47592 TILEPROP INT_L_X10Y40 TILE_Y -110648 TILEPROP INT_L_X10Y40 TYPE INT_L TILEPROP INT_L_X10Y41 CLASS tile TILEPROP INT_L_X10Y41 COLUMN 31 TILEPROP INT_L_X10Y41 DEVICE_ID 0 TILEPROP INT_L_X10Y41 FIRST_SITE_ID 11306 TILEPROP INT_L_X10Y41 GRID_POINT_X 31 TILEPROP INT_L_X10Y41 GRID_POINT_Y 113 TILEPROP INT_L_X10Y41 INDEX 13026 TILEPROP INT_L_X10Y41 INT_TILE_X 10 TILEPROP INT_L_X10Y41 INT_TILE_Y 108 TILEPROP INT_L_X10Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y41 IS_DCM_TILE 0 TILEPROP INT_L_X10Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y41 NAME INT_L_X10Y41 TILEPROP INT_L_X10Y41 NUM_ARCS 3737 TILEPROP INT_L_X10Y41 NUM_SITES 1 TILEPROP INT_L_X10Y41 ROW 113 TILEPROP INT_L_X10Y41 SLR_REGION_ID 0 TILEPROP INT_L_X10Y41 TILE_PATTERN_IDX 7517 TILEPROP INT_L_X10Y41 TILE_TYPE INT_L TILEPROP INT_L_X10Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y41 TILE_X -47592 TILEPROP INT_L_X10Y41 TILE_Y -107448 TILEPROP INT_L_X10Y41 TYPE INT_L TILEPROP INT_L_X10Y42 CLASS tile TILEPROP INT_L_X10Y42 COLUMN 31 TILEPROP INT_L_X10Y42 DEVICE_ID 0 TILEPROP INT_L_X10Y42 FIRST_SITE_ID 11205 TILEPROP INT_L_X10Y42 GRID_POINT_X 31 TILEPROP INT_L_X10Y42 GRID_POINT_Y 112 TILEPROP INT_L_X10Y42 INDEX 12911 TILEPROP INT_L_X10Y42 INT_TILE_X 10 TILEPROP INT_L_X10Y42 INT_TILE_Y 107 TILEPROP INT_L_X10Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y42 IS_DCM_TILE 0 TILEPROP INT_L_X10Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y42 NAME INT_L_X10Y42 TILEPROP INT_L_X10Y42 NUM_ARCS 3737 TILEPROP INT_L_X10Y42 NUM_SITES 1 TILEPROP INT_L_X10Y42 ROW 112 TILEPROP INT_L_X10Y42 SLR_REGION_ID 0 TILEPROP INT_L_X10Y42 TILE_PATTERN_IDX 7488 TILEPROP INT_L_X10Y42 TILE_TYPE INT_L TILEPROP INT_L_X10Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y42 TILE_X -47592 TILEPROP INT_L_X10Y42 TILE_Y -104248 TILEPROP INT_L_X10Y42 TYPE INT_L TILEPROP INT_L_X10Y43 CLASS tile TILEPROP INT_L_X10Y43 COLUMN 31 TILEPROP INT_L_X10Y43 DEVICE_ID 0 TILEPROP INT_L_X10Y43 FIRST_SITE_ID 11102 TILEPROP INT_L_X10Y43 GRID_POINT_X 31 TILEPROP INT_L_X10Y43 GRID_POINT_Y 111 TILEPROP INT_L_X10Y43 INDEX 12796 TILEPROP INT_L_X10Y43 INT_TILE_X 10 TILEPROP INT_L_X10Y43 INT_TILE_Y 106 TILEPROP INT_L_X10Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y43 IS_DCM_TILE 0 TILEPROP INT_L_X10Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y43 NAME INT_L_X10Y43 TILEPROP INT_L_X10Y43 NUM_ARCS 3737 TILEPROP INT_L_X10Y43 NUM_SITES 1 TILEPROP INT_L_X10Y43 ROW 111 TILEPROP INT_L_X10Y43 SLR_REGION_ID 0 TILEPROP INT_L_X10Y43 TILE_PATTERN_IDX 7459 TILEPROP INT_L_X10Y43 TILE_TYPE INT_L TILEPROP INT_L_X10Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y43 TILE_X -47592 TILEPROP INT_L_X10Y43 TILE_Y -101048 TILEPROP INT_L_X10Y43 TYPE INT_L TILEPROP INT_L_X10Y44 CLASS tile TILEPROP INT_L_X10Y44 COLUMN 31 TILEPROP INT_L_X10Y44 DEVICE_ID 0 TILEPROP INT_L_X10Y44 FIRST_SITE_ID 11000 TILEPROP INT_L_X10Y44 GRID_POINT_X 31 TILEPROP INT_L_X10Y44 GRID_POINT_Y 110 TILEPROP INT_L_X10Y44 INDEX 12681 TILEPROP INT_L_X10Y44 INT_TILE_X 10 TILEPROP INT_L_X10Y44 INT_TILE_Y 105 TILEPROP INT_L_X10Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y44 IS_DCM_TILE 0 TILEPROP INT_L_X10Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y44 NAME INT_L_X10Y44 TILEPROP INT_L_X10Y44 NUM_ARCS 3737 TILEPROP INT_L_X10Y44 NUM_SITES 1 TILEPROP INT_L_X10Y44 ROW 110 TILEPROP INT_L_X10Y44 SLR_REGION_ID 0 TILEPROP INT_L_X10Y44 TILE_PATTERN_IDX 7431 TILEPROP INT_L_X10Y44 TILE_TYPE INT_L TILEPROP INT_L_X10Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y44 TILE_X -47592 TILEPROP INT_L_X10Y44 TILE_Y -97848 TILEPROP INT_L_X10Y44 TYPE INT_L TILEPROP INT_L_X10Y45 CLASS tile TILEPROP INT_L_X10Y45 COLUMN 31 TILEPROP INT_L_X10Y45 DEVICE_ID 0 TILEPROP INT_L_X10Y45 FIRST_SITE_ID 10890 TILEPROP INT_L_X10Y45 GRID_POINT_X 31 TILEPROP INT_L_X10Y45 GRID_POINT_Y 109 TILEPROP INT_L_X10Y45 INDEX 12566 TILEPROP INT_L_X10Y45 INT_TILE_X 10 TILEPROP INT_L_X10Y45 INT_TILE_Y 104 TILEPROP INT_L_X10Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y45 IS_DCM_TILE 0 TILEPROP INT_L_X10Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y45 NAME INT_L_X10Y45 TILEPROP INT_L_X10Y45 NUM_ARCS 3737 TILEPROP INT_L_X10Y45 NUM_SITES 1 TILEPROP INT_L_X10Y45 ROW 109 TILEPROP INT_L_X10Y45 SLR_REGION_ID 0 TILEPROP INT_L_X10Y45 TILE_PATTERN_IDX 7395 TILEPROP INT_L_X10Y45 TILE_TYPE INT_L TILEPROP INT_L_X10Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y45 TILE_X -47592 TILEPROP INT_L_X10Y45 TILE_Y -94648 TILEPROP INT_L_X10Y45 TYPE INT_L TILEPROP INT_L_X10Y46 CLASS tile TILEPROP INT_L_X10Y46 COLUMN 31 TILEPROP INT_L_X10Y46 DEVICE_ID 0 TILEPROP INT_L_X10Y46 FIRST_SITE_ID 10768 TILEPROP INT_L_X10Y46 GRID_POINT_X 31 TILEPROP INT_L_X10Y46 GRID_POINT_Y 108 TILEPROP INT_L_X10Y46 INDEX 12451 TILEPROP INT_L_X10Y46 INT_TILE_X 10 TILEPROP INT_L_X10Y46 INT_TILE_Y 103 TILEPROP INT_L_X10Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y46 IS_DCM_TILE 0 TILEPROP INT_L_X10Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y46 NAME INT_L_X10Y46 TILEPROP INT_L_X10Y46 NUM_ARCS 3737 TILEPROP INT_L_X10Y46 NUM_SITES 1 TILEPROP INT_L_X10Y46 ROW 108 TILEPROP INT_L_X10Y46 SLR_REGION_ID 0 TILEPROP INT_L_X10Y46 TILE_PATTERN_IDX 7359 TILEPROP INT_L_X10Y46 TILE_TYPE INT_L TILEPROP INT_L_X10Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y46 TILE_X -47592 TILEPROP INT_L_X10Y46 TILE_Y -91448 TILEPROP INT_L_X10Y46 TYPE INT_L TILEPROP INT_L_X10Y47 CLASS tile TILEPROP INT_L_X10Y47 COLUMN 31 TILEPROP INT_L_X10Y47 DEVICE_ID 0 TILEPROP INT_L_X10Y47 FIRST_SITE_ID 10668 TILEPROP INT_L_X10Y47 GRID_POINT_X 31 TILEPROP INT_L_X10Y47 GRID_POINT_Y 107 TILEPROP INT_L_X10Y47 INDEX 12336 TILEPROP INT_L_X10Y47 INT_TILE_X 10 TILEPROP INT_L_X10Y47 INT_TILE_Y 102 TILEPROP INT_L_X10Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y47 IS_DCM_TILE 0 TILEPROP INT_L_X10Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y47 NAME INT_L_X10Y47 TILEPROP INT_L_X10Y47 NUM_ARCS 3737 TILEPROP INT_L_X10Y47 NUM_SITES 1 TILEPROP INT_L_X10Y47 ROW 107 TILEPROP INT_L_X10Y47 SLR_REGION_ID 0 TILEPROP INT_L_X10Y47 TILE_PATTERN_IDX 7322 TILEPROP INT_L_X10Y47 TILE_TYPE INT_L TILEPROP INT_L_X10Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y47 TILE_X -47592 TILEPROP INT_L_X10Y47 TILE_Y -88248 TILEPROP INT_L_X10Y47 TYPE INT_L TILEPROP INT_L_X10Y48 CLASS tile TILEPROP INT_L_X10Y48 COLUMN 31 TILEPROP INT_L_X10Y48 DEVICE_ID 0 TILEPROP INT_L_X10Y48 FIRST_SITE_ID 10568 TILEPROP INT_L_X10Y48 GRID_POINT_X 31 TILEPROP INT_L_X10Y48 GRID_POINT_Y 106 TILEPROP INT_L_X10Y48 INDEX 12221 TILEPROP INT_L_X10Y48 INT_TILE_X 10 TILEPROP INT_L_X10Y48 INT_TILE_Y 101 TILEPROP INT_L_X10Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y48 IS_DCM_TILE 0 TILEPROP INT_L_X10Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y48 NAME INT_L_X10Y48 TILEPROP INT_L_X10Y48 NUM_ARCS 3737 TILEPROP INT_L_X10Y48 NUM_SITES 1 TILEPROP INT_L_X10Y48 ROW 106 TILEPROP INT_L_X10Y48 SLR_REGION_ID 0 TILEPROP INT_L_X10Y48 TILE_PATTERN_IDX 7286 TILEPROP INT_L_X10Y48 TILE_TYPE INT_L TILEPROP INT_L_X10Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y48 TILE_X -47592 TILEPROP INT_L_X10Y48 TILE_Y -85048 TILEPROP INT_L_X10Y48 TYPE INT_L TILEPROP INT_L_X10Y49 CLASS tile TILEPROP INT_L_X10Y49 COLUMN 31 TILEPROP INT_L_X10Y49 DEVICE_ID 0 TILEPROP INT_L_X10Y49 FIRST_SITE_ID 10472 TILEPROP INT_L_X10Y49 GRID_POINT_X 31 TILEPROP INT_L_X10Y49 GRID_POINT_Y 105 TILEPROP INT_L_X10Y49 INDEX 12106 TILEPROP INT_L_X10Y49 INT_TILE_X 10 TILEPROP INT_L_X10Y49 INT_TILE_Y 100 TILEPROP INT_L_X10Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y49 IS_DCM_TILE 0 TILEPROP INT_L_X10Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y49 NAME INT_L_X10Y49 TILEPROP INT_L_X10Y49 NUM_ARCS 3737 TILEPROP INT_L_X10Y49 NUM_SITES 1 TILEPROP INT_L_X10Y49 ROW 105 TILEPROP INT_L_X10Y49 SLR_REGION_ID 0 TILEPROP INT_L_X10Y49 TILE_PATTERN_IDX 7250 TILEPROP INT_L_X10Y49 TILE_TYPE INT_L TILEPROP INT_L_X10Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y49 TILE_X -47592 TILEPROP INT_L_X10Y49 TILE_Y -81848 TILEPROP INT_L_X10Y49 TYPE INT_L TILEPROP INT_L_X10Y50 CLASS tile TILEPROP INT_L_X10Y50 COLUMN 31 TILEPROP INT_L_X10Y50 DEVICE_ID 0 TILEPROP INT_L_X10Y50 FIRST_SITE_ID 10347 TILEPROP INT_L_X10Y50 GRID_POINT_X 31 TILEPROP INT_L_X10Y50 GRID_POINT_Y 103 TILEPROP INT_L_X10Y50 INDEX 11876 TILEPROP INT_L_X10Y50 INT_TILE_X 10 TILEPROP INT_L_X10Y50 INT_TILE_Y 99 TILEPROP INT_L_X10Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y50 IS_DCM_TILE 0 TILEPROP INT_L_X10Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y50 NAME INT_L_X10Y50 TILEPROP INT_L_X10Y50 NUM_ARCS 3737 TILEPROP INT_L_X10Y50 NUM_SITES 1 TILEPROP INT_L_X10Y50 ROW 103 TILEPROP INT_L_X10Y50 SLR_REGION_ID 0 TILEPROP INT_L_X10Y50 TILE_PATTERN_IDX 7213 TILEPROP INT_L_X10Y50 TILE_TYPE INT_L TILEPROP INT_L_X10Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y50 TILE_X -47592 TILEPROP INT_L_X10Y50 TILE_Y -78400 TILEPROP INT_L_X10Y50 TYPE INT_L TILEPROP INT_L_X10Y51 CLASS tile TILEPROP INT_L_X10Y51 COLUMN 31 TILEPROP INT_L_X10Y51 DEVICE_ID 0 TILEPROP INT_L_X10Y51 FIRST_SITE_ID 10237 TILEPROP INT_L_X10Y51 GRID_POINT_X 31 TILEPROP INT_L_X10Y51 GRID_POINT_Y 102 TILEPROP INT_L_X10Y51 INDEX 11761 TILEPROP INT_L_X10Y51 INT_TILE_X 10 TILEPROP INT_L_X10Y51 INT_TILE_Y 98 TILEPROP INT_L_X10Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y51 IS_DCM_TILE 0 TILEPROP INT_L_X10Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y51 NAME INT_L_X10Y51 TILEPROP INT_L_X10Y51 NUM_ARCS 3737 TILEPROP INT_L_X10Y51 NUM_SITES 1 TILEPROP INT_L_X10Y51 ROW 102 TILEPROP INT_L_X10Y51 SLR_REGION_ID 0 TILEPROP INT_L_X10Y51 TILE_PATTERN_IDX 7176 TILEPROP INT_L_X10Y51 TILE_TYPE INT_L TILEPROP INT_L_X10Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y51 TILE_X -47592 TILEPROP INT_L_X10Y51 TILE_Y -75200 TILEPROP INT_L_X10Y51 TYPE INT_L TILEPROP INT_L_X10Y52 CLASS tile TILEPROP INT_L_X10Y52 COLUMN 31 TILEPROP INT_L_X10Y52 DEVICE_ID 0 TILEPROP INT_L_X10Y52 FIRST_SITE_ID 10137 TILEPROP INT_L_X10Y52 GRID_POINT_X 31 TILEPROP INT_L_X10Y52 GRID_POINT_Y 101 TILEPROP INT_L_X10Y52 INDEX 11646 TILEPROP INT_L_X10Y52 INT_TILE_X 10 TILEPROP INT_L_X10Y52 INT_TILE_Y 97 TILEPROP INT_L_X10Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y52 IS_DCM_TILE 0 TILEPROP INT_L_X10Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y52 NAME INT_L_X10Y52 TILEPROP INT_L_X10Y52 NUM_ARCS 3737 TILEPROP INT_L_X10Y52 NUM_SITES 1 TILEPROP INT_L_X10Y52 ROW 101 TILEPROP INT_L_X10Y52 SLR_REGION_ID 0 TILEPROP INT_L_X10Y52 TILE_PATTERN_IDX 7139 TILEPROP INT_L_X10Y52 TILE_TYPE INT_L TILEPROP INT_L_X10Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y52 TILE_X -47592 TILEPROP INT_L_X10Y52 TILE_Y -72000 TILEPROP INT_L_X10Y52 TYPE INT_L TILEPROP INT_L_X10Y53 CLASS tile TILEPROP INT_L_X10Y53 COLUMN 31 TILEPROP INT_L_X10Y53 DEVICE_ID 0 TILEPROP INT_L_X10Y53 FIRST_SITE_ID 10037 TILEPROP INT_L_X10Y53 GRID_POINT_X 31 TILEPROP INT_L_X10Y53 GRID_POINT_Y 100 TILEPROP INT_L_X10Y53 INDEX 11531 TILEPROP INT_L_X10Y53 INT_TILE_X 10 TILEPROP INT_L_X10Y53 INT_TILE_Y 96 TILEPROP INT_L_X10Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y53 IS_DCM_TILE 0 TILEPROP INT_L_X10Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y53 NAME INT_L_X10Y53 TILEPROP INT_L_X10Y53 NUM_ARCS 3737 TILEPROP INT_L_X10Y53 NUM_SITES 1 TILEPROP INT_L_X10Y53 ROW 100 TILEPROP INT_L_X10Y53 SLR_REGION_ID 0 TILEPROP INT_L_X10Y53 TILE_PATTERN_IDX 7102 TILEPROP INT_L_X10Y53 TILE_TYPE INT_L TILEPROP INT_L_X10Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y53 TILE_X -47592 TILEPROP INT_L_X10Y53 TILE_Y -68800 TILEPROP INT_L_X10Y53 TYPE INT_L TILEPROP INT_L_X10Y54 CLASS tile TILEPROP INT_L_X10Y54 COLUMN 31 TILEPROP INT_L_X10Y54 DEVICE_ID 0 TILEPROP INT_L_X10Y54 FIRST_SITE_ID 9937 TILEPROP INT_L_X10Y54 GRID_POINT_X 31 TILEPROP INT_L_X10Y54 GRID_POINT_Y 99 TILEPROP INT_L_X10Y54 INDEX 11416 TILEPROP INT_L_X10Y54 INT_TILE_X 10 TILEPROP INT_L_X10Y54 INT_TILE_Y 95 TILEPROP INT_L_X10Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y54 IS_DCM_TILE 0 TILEPROP INT_L_X10Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y54 NAME INT_L_X10Y54 TILEPROP INT_L_X10Y54 NUM_ARCS 3737 TILEPROP INT_L_X10Y54 NUM_SITES 1 TILEPROP INT_L_X10Y54 ROW 99 TILEPROP INT_L_X10Y54 SLR_REGION_ID 0 TILEPROP INT_L_X10Y54 TILE_PATTERN_IDX 7065 TILEPROP INT_L_X10Y54 TILE_TYPE INT_L TILEPROP INT_L_X10Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y54 TILE_X -47592 TILEPROP INT_L_X10Y54 TILE_Y -65600 TILEPROP INT_L_X10Y54 TYPE INT_L TILEPROP INT_L_X10Y55 CLASS tile TILEPROP INT_L_X10Y55 COLUMN 31 TILEPROP INT_L_X10Y55 DEVICE_ID 0 TILEPROP INT_L_X10Y55 FIRST_SITE_ID 9828 TILEPROP INT_L_X10Y55 GRID_POINT_X 31 TILEPROP INT_L_X10Y55 GRID_POINT_Y 98 TILEPROP INT_L_X10Y55 INDEX 11301 TILEPROP INT_L_X10Y55 INT_TILE_X 10 TILEPROP INT_L_X10Y55 INT_TILE_Y 94 TILEPROP INT_L_X10Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y55 IS_DCM_TILE 0 TILEPROP INT_L_X10Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y55 NAME INT_L_X10Y55 TILEPROP INT_L_X10Y55 NUM_ARCS 3737 TILEPROP INT_L_X10Y55 NUM_SITES 1 TILEPROP INT_L_X10Y55 ROW 98 TILEPROP INT_L_X10Y55 SLR_REGION_ID 0 TILEPROP INT_L_X10Y55 TILE_PATTERN_IDX 7027 TILEPROP INT_L_X10Y55 TILE_TYPE INT_L TILEPROP INT_L_X10Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y55 TILE_X -47592 TILEPROP INT_L_X10Y55 TILE_Y -62400 TILEPROP INT_L_X10Y55 TYPE INT_L TILEPROP INT_L_X10Y56 CLASS tile TILEPROP INT_L_X10Y56 COLUMN 31 TILEPROP INT_L_X10Y56 DEVICE_ID 0 TILEPROP INT_L_X10Y56 FIRST_SITE_ID 9722 TILEPROP INT_L_X10Y56 GRID_POINT_X 31 TILEPROP INT_L_X10Y56 GRID_POINT_Y 97 TILEPROP INT_L_X10Y56 INDEX 11186 TILEPROP INT_L_X10Y56 INT_TILE_X 10 TILEPROP INT_L_X10Y56 INT_TILE_Y 93 TILEPROP INT_L_X10Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y56 IS_DCM_TILE 0 TILEPROP INT_L_X10Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y56 NAME INT_L_X10Y56 TILEPROP INT_L_X10Y56 NUM_ARCS 3737 TILEPROP INT_L_X10Y56 NUM_SITES 1 TILEPROP INT_L_X10Y56 ROW 97 TILEPROP INT_L_X10Y56 SLR_REGION_ID 0 TILEPROP INT_L_X10Y56 TILE_PATTERN_IDX 6990 TILEPROP INT_L_X10Y56 TILE_TYPE INT_L TILEPROP INT_L_X10Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y56 TILE_X -47592 TILEPROP INT_L_X10Y56 TILE_Y -59200 TILEPROP INT_L_X10Y56 TYPE INT_L TILEPROP INT_L_X10Y57 CLASS tile TILEPROP INT_L_X10Y57 COLUMN 31 TILEPROP INT_L_X10Y57 DEVICE_ID 0 TILEPROP INT_L_X10Y57 FIRST_SITE_ID 9620 TILEPROP INT_L_X10Y57 GRID_POINT_X 31 TILEPROP INT_L_X10Y57 GRID_POINT_Y 96 TILEPROP INT_L_X10Y57 INDEX 11071 TILEPROP INT_L_X10Y57 INT_TILE_X 10 TILEPROP INT_L_X10Y57 INT_TILE_Y 92 TILEPROP INT_L_X10Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y57 IS_DCM_TILE 0 TILEPROP INT_L_X10Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y57 NAME INT_L_X10Y57 TILEPROP INT_L_X10Y57 NUM_ARCS 3737 TILEPROP INT_L_X10Y57 NUM_SITES 1 TILEPROP INT_L_X10Y57 ROW 96 TILEPROP INT_L_X10Y57 SLR_REGION_ID 0 TILEPROP INT_L_X10Y57 TILE_PATTERN_IDX 6953 TILEPROP INT_L_X10Y57 TILE_TYPE INT_L TILEPROP INT_L_X10Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y57 TILE_X -47592 TILEPROP INT_L_X10Y57 TILE_Y -56000 TILEPROP INT_L_X10Y57 TYPE INT_L TILEPROP INT_L_X10Y58 CLASS tile TILEPROP INT_L_X10Y58 COLUMN 31 TILEPROP INT_L_X10Y58 DEVICE_ID 0 TILEPROP INT_L_X10Y58 FIRST_SITE_ID 9517 TILEPROP INT_L_X10Y58 GRID_POINT_X 31 TILEPROP INT_L_X10Y58 GRID_POINT_Y 95 TILEPROP INT_L_X10Y58 INDEX 10956 TILEPROP INT_L_X10Y58 INT_TILE_X 10 TILEPROP INT_L_X10Y58 INT_TILE_Y 91 TILEPROP INT_L_X10Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y58 IS_DCM_TILE 0 TILEPROP INT_L_X10Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y58 NAME INT_L_X10Y58 TILEPROP INT_L_X10Y58 NUM_ARCS 3737 TILEPROP INT_L_X10Y58 NUM_SITES 1 TILEPROP INT_L_X10Y58 ROW 95 TILEPROP INT_L_X10Y58 SLR_REGION_ID 0 TILEPROP INT_L_X10Y58 TILE_PATTERN_IDX 6915 TILEPROP INT_L_X10Y58 TILE_TYPE INT_L TILEPROP INT_L_X10Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y58 TILE_X -47592 TILEPROP INT_L_X10Y58 TILE_Y -52800 TILEPROP INT_L_X10Y58 TYPE INT_L TILEPROP INT_L_X10Y59 CLASS tile TILEPROP INT_L_X10Y59 COLUMN 31 TILEPROP INT_L_X10Y59 DEVICE_ID 0 TILEPROP INT_L_X10Y59 FIRST_SITE_ID 9416 TILEPROP INT_L_X10Y59 GRID_POINT_X 31 TILEPROP INT_L_X10Y59 GRID_POINT_Y 94 TILEPROP INT_L_X10Y59 INDEX 10841 TILEPROP INT_L_X10Y59 INT_TILE_X 10 TILEPROP INT_L_X10Y59 INT_TILE_Y 90 TILEPROP INT_L_X10Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y59 IS_DCM_TILE 0 TILEPROP INT_L_X10Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y59 NAME INT_L_X10Y59 TILEPROP INT_L_X10Y59 NUM_ARCS 3737 TILEPROP INT_L_X10Y59 NUM_SITES 1 TILEPROP INT_L_X10Y59 ROW 94 TILEPROP INT_L_X10Y59 SLR_REGION_ID 0 TILEPROP INT_L_X10Y59 TILE_PATTERN_IDX 6878 TILEPROP INT_L_X10Y59 TILE_TYPE INT_L TILEPROP INT_L_X10Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y59 TILE_X -47592 TILEPROP INT_L_X10Y59 TILE_Y -49600 TILEPROP INT_L_X10Y59 TYPE INT_L TILEPROP INT_L_X10Y60 CLASS tile TILEPROP INT_L_X10Y60 COLUMN 31 TILEPROP INT_L_X10Y60 DEVICE_ID 0 TILEPROP INT_L_X10Y60 FIRST_SITE_ID 9307 TILEPROP INT_L_X10Y60 GRID_POINT_X 31 TILEPROP INT_L_X10Y60 GRID_POINT_Y 93 TILEPROP INT_L_X10Y60 INDEX 10726 TILEPROP INT_L_X10Y60 INT_TILE_X 10 TILEPROP INT_L_X10Y60 INT_TILE_Y 89 TILEPROP INT_L_X10Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y60 IS_DCM_TILE 0 TILEPROP INT_L_X10Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y60 NAME INT_L_X10Y60 TILEPROP INT_L_X10Y60 NUM_ARCS 3737 TILEPROP INT_L_X10Y60 NUM_SITES 1 TILEPROP INT_L_X10Y60 ROW 93 TILEPROP INT_L_X10Y60 SLR_REGION_ID 0 TILEPROP INT_L_X10Y60 TILE_PATTERN_IDX 6841 TILEPROP INT_L_X10Y60 TILE_TYPE INT_L TILEPROP INT_L_X10Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y60 TILE_X -47592 TILEPROP INT_L_X10Y60 TILE_Y -46400 TILEPROP INT_L_X10Y60 TYPE INT_L TILEPROP INT_L_X10Y61 CLASS tile TILEPROP INT_L_X10Y61 COLUMN 31 TILEPROP INT_L_X10Y61 DEVICE_ID 0 TILEPROP INT_L_X10Y61 FIRST_SITE_ID 9201 TILEPROP INT_L_X10Y61 GRID_POINT_X 31 TILEPROP INT_L_X10Y61 GRID_POINT_Y 92 TILEPROP INT_L_X10Y61 INDEX 10611 TILEPROP INT_L_X10Y61 INT_TILE_X 10 TILEPROP INT_L_X10Y61 INT_TILE_Y 88 TILEPROP INT_L_X10Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y61 IS_DCM_TILE 0 TILEPROP INT_L_X10Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y61 NAME INT_L_X10Y61 TILEPROP INT_L_X10Y61 NUM_ARCS 3737 TILEPROP INT_L_X10Y61 NUM_SITES 1 TILEPROP INT_L_X10Y61 ROW 92 TILEPROP INT_L_X10Y61 SLR_REGION_ID 0 TILEPROP INT_L_X10Y61 TILE_PATTERN_IDX 6804 TILEPROP INT_L_X10Y61 TILE_TYPE INT_L TILEPROP INT_L_X10Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y61 TILE_X -47592 TILEPROP INT_L_X10Y61 TILE_Y -43200 TILEPROP INT_L_X10Y61 TYPE INT_L TILEPROP INT_L_X10Y62 CLASS tile TILEPROP INT_L_X10Y62 COLUMN 31 TILEPROP INT_L_X10Y62 DEVICE_ID 0 TILEPROP INT_L_X10Y62 FIRST_SITE_ID 9069 TILEPROP INT_L_X10Y62 GRID_POINT_X 31 TILEPROP INT_L_X10Y62 GRID_POINT_Y 91 TILEPROP INT_L_X10Y62 INDEX 10496 TILEPROP INT_L_X10Y62 INT_TILE_X 10 TILEPROP INT_L_X10Y62 INT_TILE_Y 87 TILEPROP INT_L_X10Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y62 IS_DCM_TILE 0 TILEPROP INT_L_X10Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y62 NAME INT_L_X10Y62 TILEPROP INT_L_X10Y62 NUM_ARCS 3737 TILEPROP INT_L_X10Y62 NUM_SITES 1 TILEPROP INT_L_X10Y62 ROW 91 TILEPROP INT_L_X10Y62 SLR_REGION_ID 0 TILEPROP INT_L_X10Y62 TILE_PATTERN_IDX 6767 TILEPROP INT_L_X10Y62 TILE_TYPE INT_L TILEPROP INT_L_X10Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y62 TILE_X -47592 TILEPROP INT_L_X10Y62 TILE_Y -40000 TILEPROP INT_L_X10Y62 TYPE INT_L TILEPROP INT_L_X10Y63 CLASS tile TILEPROP INT_L_X10Y63 COLUMN 31 TILEPROP INT_L_X10Y63 DEVICE_ID 0 TILEPROP INT_L_X10Y63 FIRST_SITE_ID 8969 TILEPROP INT_L_X10Y63 GRID_POINT_X 31 TILEPROP INT_L_X10Y63 GRID_POINT_Y 90 TILEPROP INT_L_X10Y63 INDEX 10381 TILEPROP INT_L_X10Y63 INT_TILE_X 10 TILEPROP INT_L_X10Y63 INT_TILE_Y 86 TILEPROP INT_L_X10Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y63 IS_DCM_TILE 0 TILEPROP INT_L_X10Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y63 NAME INT_L_X10Y63 TILEPROP INT_L_X10Y63 NUM_ARCS 3737 TILEPROP INT_L_X10Y63 NUM_SITES 1 TILEPROP INT_L_X10Y63 ROW 90 TILEPROP INT_L_X10Y63 SLR_REGION_ID 0 TILEPROP INT_L_X10Y63 TILE_PATTERN_IDX 6730 TILEPROP INT_L_X10Y63 TILE_TYPE INT_L TILEPROP INT_L_X10Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y63 TILE_X -47592 TILEPROP INT_L_X10Y63 TILE_Y -36800 TILEPROP INT_L_X10Y63 TYPE INT_L TILEPROP INT_L_X10Y64 CLASS tile TILEPROP INT_L_X10Y64 COLUMN 31 TILEPROP INT_L_X10Y64 DEVICE_ID 0 TILEPROP INT_L_X10Y64 FIRST_SITE_ID 8869 TILEPROP INT_L_X10Y64 GRID_POINT_X 31 TILEPROP INT_L_X10Y64 GRID_POINT_Y 89 TILEPROP INT_L_X10Y64 INDEX 10266 TILEPROP INT_L_X10Y64 INT_TILE_X 10 TILEPROP INT_L_X10Y64 INT_TILE_Y 85 TILEPROP INT_L_X10Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y64 IS_DCM_TILE 0 TILEPROP INT_L_X10Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y64 NAME INT_L_X10Y64 TILEPROP INT_L_X10Y64 NUM_ARCS 3737 TILEPROP INT_L_X10Y64 NUM_SITES 1 TILEPROP INT_L_X10Y64 ROW 89 TILEPROP INT_L_X10Y64 SLR_REGION_ID 0 TILEPROP INT_L_X10Y64 TILE_PATTERN_IDX 6693 TILEPROP INT_L_X10Y64 TILE_TYPE INT_L TILEPROP INT_L_X10Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y64 TILE_X -47592 TILEPROP INT_L_X10Y64 TILE_Y -33600 TILEPROP INT_L_X10Y64 TYPE INT_L TILEPROP INT_L_X10Y65 CLASS tile TILEPROP INT_L_X10Y65 COLUMN 31 TILEPROP INT_L_X10Y65 DEVICE_ID 0 TILEPROP INT_L_X10Y65 FIRST_SITE_ID 8760 TILEPROP INT_L_X10Y65 GRID_POINT_X 31 TILEPROP INT_L_X10Y65 GRID_POINT_Y 88 TILEPROP INT_L_X10Y65 INDEX 10151 TILEPROP INT_L_X10Y65 INT_TILE_X 10 TILEPROP INT_L_X10Y65 INT_TILE_Y 84 TILEPROP INT_L_X10Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y65 IS_DCM_TILE 0 TILEPROP INT_L_X10Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y65 NAME INT_L_X10Y65 TILEPROP INT_L_X10Y65 NUM_ARCS 3737 TILEPROP INT_L_X10Y65 NUM_SITES 1 TILEPROP INT_L_X10Y65 ROW 88 TILEPROP INT_L_X10Y65 SLR_REGION_ID 0 TILEPROP INT_L_X10Y65 TILE_PATTERN_IDX 6656 TILEPROP INT_L_X10Y65 TILE_TYPE INT_L TILEPROP INT_L_X10Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y65 TILE_X -47592 TILEPROP INT_L_X10Y65 TILE_Y -30400 TILEPROP INT_L_X10Y65 TYPE INT_L TILEPROP INT_L_X10Y66 CLASS tile TILEPROP INT_L_X10Y66 COLUMN 31 TILEPROP INT_L_X10Y66 DEVICE_ID 0 TILEPROP INT_L_X10Y66 FIRST_SITE_ID 8654 TILEPROP INT_L_X10Y66 GRID_POINT_X 31 TILEPROP INT_L_X10Y66 GRID_POINT_Y 87 TILEPROP INT_L_X10Y66 INDEX 10036 TILEPROP INT_L_X10Y66 INT_TILE_X 10 TILEPROP INT_L_X10Y66 INT_TILE_Y 83 TILEPROP INT_L_X10Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y66 IS_DCM_TILE 0 TILEPROP INT_L_X10Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y66 NAME INT_L_X10Y66 TILEPROP INT_L_X10Y66 NUM_ARCS 3737 TILEPROP INT_L_X10Y66 NUM_SITES 1 TILEPROP INT_L_X10Y66 ROW 87 TILEPROP INT_L_X10Y66 SLR_REGION_ID 0 TILEPROP INT_L_X10Y66 TILE_PATTERN_IDX 6619 TILEPROP INT_L_X10Y66 TILE_TYPE INT_L TILEPROP INT_L_X10Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y66 TILE_X -47592 TILEPROP INT_L_X10Y66 TILE_Y -27200 TILEPROP INT_L_X10Y66 TYPE INT_L TILEPROP INT_L_X10Y67 CLASS tile TILEPROP INT_L_X10Y67 COLUMN 31 TILEPROP INT_L_X10Y67 DEVICE_ID 0 TILEPROP INT_L_X10Y67 FIRST_SITE_ID 8550 TILEPROP INT_L_X10Y67 GRID_POINT_X 31 TILEPROP INT_L_X10Y67 GRID_POINT_Y 86 TILEPROP INT_L_X10Y67 INDEX 9921 TILEPROP INT_L_X10Y67 INT_TILE_X 10 TILEPROP INT_L_X10Y67 INT_TILE_Y 82 TILEPROP INT_L_X10Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y67 IS_DCM_TILE 0 TILEPROP INT_L_X10Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y67 NAME INT_L_X10Y67 TILEPROP INT_L_X10Y67 NUM_ARCS 3737 TILEPROP INT_L_X10Y67 NUM_SITES 1 TILEPROP INT_L_X10Y67 ROW 86 TILEPROP INT_L_X10Y67 SLR_REGION_ID 0 TILEPROP INT_L_X10Y67 TILE_PATTERN_IDX 6581 TILEPROP INT_L_X10Y67 TILE_TYPE INT_L TILEPROP INT_L_X10Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y67 TILE_X -47592 TILEPROP INT_L_X10Y67 TILE_Y -24000 TILEPROP INT_L_X10Y67 TYPE INT_L TILEPROP INT_L_X10Y68 CLASS tile TILEPROP INT_L_X10Y68 COLUMN 31 TILEPROP INT_L_X10Y68 DEVICE_ID 0 TILEPROP INT_L_X10Y68 FIRST_SITE_ID 8446 TILEPROP INT_L_X10Y68 GRID_POINT_X 31 TILEPROP INT_L_X10Y68 GRID_POINT_Y 85 TILEPROP INT_L_X10Y68 INDEX 9806 TILEPROP INT_L_X10Y68 INT_TILE_X 10 TILEPROP INT_L_X10Y68 INT_TILE_Y 81 TILEPROP INT_L_X10Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y68 IS_DCM_TILE 0 TILEPROP INT_L_X10Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y68 NAME INT_L_X10Y68 TILEPROP INT_L_X10Y68 NUM_ARCS 3737 TILEPROP INT_L_X10Y68 NUM_SITES 1 TILEPROP INT_L_X10Y68 ROW 85 TILEPROP INT_L_X10Y68 SLR_REGION_ID 0 TILEPROP INT_L_X10Y68 TILE_PATTERN_IDX 6549 TILEPROP INT_L_X10Y68 TILE_TYPE INT_L TILEPROP INT_L_X10Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y68 TILE_X -47592 TILEPROP INT_L_X10Y68 TILE_Y -20800 TILEPROP INT_L_X10Y68 TYPE INT_L TILEPROP INT_L_X10Y69 CLASS tile TILEPROP INT_L_X10Y69 COLUMN 31 TILEPROP INT_L_X10Y69 DEVICE_ID 0 TILEPROP INT_L_X10Y69 FIRST_SITE_ID 8344 TILEPROP INT_L_X10Y69 GRID_POINT_X 31 TILEPROP INT_L_X10Y69 GRID_POINT_Y 84 TILEPROP INT_L_X10Y69 INDEX 9691 TILEPROP INT_L_X10Y69 INT_TILE_X 10 TILEPROP INT_L_X10Y69 INT_TILE_Y 80 TILEPROP INT_L_X10Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y69 IS_DCM_TILE 0 TILEPROP INT_L_X10Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y69 NAME INT_L_X10Y69 TILEPROP INT_L_X10Y69 NUM_ARCS 3737 TILEPROP INT_L_X10Y69 NUM_SITES 1 TILEPROP INT_L_X10Y69 ROW 84 TILEPROP INT_L_X10Y69 SLR_REGION_ID 0 TILEPROP INT_L_X10Y69 TILE_PATTERN_IDX 6518 TILEPROP INT_L_X10Y69 TILE_TYPE INT_L TILEPROP INT_L_X10Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y69 TILE_X -47592 TILEPROP INT_L_X10Y69 TILE_Y -17600 TILEPROP INT_L_X10Y69 TYPE INT_L TILEPROP INT_L_X10Y70 CLASS tile TILEPROP INT_L_X10Y70 COLUMN 31 TILEPROP INT_L_X10Y70 DEVICE_ID 0 TILEPROP INT_L_X10Y70 FIRST_SITE_ID 8233 TILEPROP INT_L_X10Y70 GRID_POINT_X 31 TILEPROP INT_L_X10Y70 GRID_POINT_Y 83 TILEPROP INT_L_X10Y70 INDEX 9576 TILEPROP INT_L_X10Y70 INT_TILE_X 10 TILEPROP INT_L_X10Y70 INT_TILE_Y 79 TILEPROP INT_L_X10Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y70 IS_DCM_TILE 0 TILEPROP INT_L_X10Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y70 NAME INT_L_X10Y70 TILEPROP INT_L_X10Y70 NUM_ARCS 3737 TILEPROP INT_L_X10Y70 NUM_SITES 1 TILEPROP INT_L_X10Y70 ROW 83 TILEPROP INT_L_X10Y70 SLR_REGION_ID 0 TILEPROP INT_L_X10Y70 TILE_PATTERN_IDX 6487 TILEPROP INT_L_X10Y70 TILE_TYPE INT_L TILEPROP INT_L_X10Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y70 TILE_X -47592 TILEPROP INT_L_X10Y70 TILE_Y -14400 TILEPROP INT_L_X10Y70 TYPE INT_L TILEPROP INT_L_X10Y71 CLASS tile TILEPROP INT_L_X10Y71 COLUMN 31 TILEPROP INT_L_X10Y71 DEVICE_ID 0 TILEPROP INT_L_X10Y71 FIRST_SITE_ID 8127 TILEPROP INT_L_X10Y71 GRID_POINT_X 31 TILEPROP INT_L_X10Y71 GRID_POINT_Y 82 TILEPROP INT_L_X10Y71 INDEX 9461 TILEPROP INT_L_X10Y71 INT_TILE_X 10 TILEPROP INT_L_X10Y71 INT_TILE_Y 78 TILEPROP INT_L_X10Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y71 IS_DCM_TILE 0 TILEPROP INT_L_X10Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y71 NAME INT_L_X10Y71 TILEPROP INT_L_X10Y71 NUM_ARCS 3737 TILEPROP INT_L_X10Y71 NUM_SITES 1 TILEPROP INT_L_X10Y71 ROW 82 TILEPROP INT_L_X10Y71 SLR_REGION_ID 0 TILEPROP INT_L_X10Y71 TILE_PATTERN_IDX 6456 TILEPROP INT_L_X10Y71 TILE_TYPE INT_L TILEPROP INT_L_X10Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y71 TILE_X -47592 TILEPROP INT_L_X10Y71 TILE_Y -11200 TILEPROP INT_L_X10Y71 TYPE INT_L TILEPROP INT_L_X10Y72 CLASS tile TILEPROP INT_L_X10Y72 COLUMN 31 TILEPROP INT_L_X10Y72 DEVICE_ID 0 TILEPROP INT_L_X10Y72 FIRST_SITE_ID 8027 TILEPROP INT_L_X10Y72 GRID_POINT_X 31 TILEPROP INT_L_X10Y72 GRID_POINT_Y 81 TILEPROP INT_L_X10Y72 INDEX 9346 TILEPROP INT_L_X10Y72 INT_TILE_X 10 TILEPROP INT_L_X10Y72 INT_TILE_Y 77 TILEPROP INT_L_X10Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y72 IS_DCM_TILE 0 TILEPROP INT_L_X10Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y72 NAME INT_L_X10Y72 TILEPROP INT_L_X10Y72 NUM_ARCS 3737 TILEPROP INT_L_X10Y72 NUM_SITES 1 TILEPROP INT_L_X10Y72 ROW 81 TILEPROP INT_L_X10Y72 SLR_REGION_ID 0 TILEPROP INT_L_X10Y72 TILE_PATTERN_IDX 6425 TILEPROP INT_L_X10Y72 TILE_TYPE INT_L TILEPROP INT_L_X10Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y72 TILE_X -47592 TILEPROP INT_L_X10Y72 TILE_Y -8000 TILEPROP INT_L_X10Y72 TYPE INT_L TILEPROP INT_L_X10Y73 CLASS tile TILEPROP INT_L_X10Y73 COLUMN 31 TILEPROP INT_L_X10Y73 DEVICE_ID 0 TILEPROP INT_L_X10Y73 FIRST_SITE_ID 7927 TILEPROP INT_L_X10Y73 GRID_POINT_X 31 TILEPROP INT_L_X10Y73 GRID_POINT_Y 80 TILEPROP INT_L_X10Y73 INDEX 9231 TILEPROP INT_L_X10Y73 INT_TILE_X 10 TILEPROP INT_L_X10Y73 INT_TILE_Y 76 TILEPROP INT_L_X10Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y73 IS_DCM_TILE 0 TILEPROP INT_L_X10Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y73 NAME INT_L_X10Y73 TILEPROP INT_L_X10Y73 NUM_ARCS 3737 TILEPROP INT_L_X10Y73 NUM_SITES 1 TILEPROP INT_L_X10Y73 ROW 80 TILEPROP INT_L_X10Y73 SLR_REGION_ID 0 TILEPROP INT_L_X10Y73 TILE_PATTERN_IDX 6394 TILEPROP INT_L_X10Y73 TILE_TYPE INT_L TILEPROP INT_L_X10Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y73 TILE_X -47592 TILEPROP INT_L_X10Y73 TILE_Y -4800 TILEPROP INT_L_X10Y73 TYPE INT_L TILEPROP INT_L_X10Y74 CLASS tile TILEPROP INT_L_X10Y74 COLUMN 31 TILEPROP INT_L_X10Y74 DEVICE_ID 0 TILEPROP INT_L_X10Y74 FIRST_SITE_ID 7827 TILEPROP INT_L_X10Y74 GRID_POINT_X 31 TILEPROP INT_L_X10Y74 GRID_POINT_Y 79 TILEPROP INT_L_X10Y74 INDEX 9116 TILEPROP INT_L_X10Y74 INT_TILE_X 10 TILEPROP INT_L_X10Y74 INT_TILE_Y 75 TILEPROP INT_L_X10Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y74 IS_DCM_TILE 0 TILEPROP INT_L_X10Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y74 NAME INT_L_X10Y74 TILEPROP INT_L_X10Y74 NUM_ARCS 3737 TILEPROP INT_L_X10Y74 NUM_SITES 1 TILEPROP INT_L_X10Y74 ROW 79 TILEPROP INT_L_X10Y74 SLR_REGION_ID 0 TILEPROP INT_L_X10Y74 TILE_PATTERN_IDX 6363 TILEPROP INT_L_X10Y74 TILE_TYPE INT_L TILEPROP INT_L_X10Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y74 TILE_X -47592 TILEPROP INT_L_X10Y74 TILE_Y -1600 TILEPROP INT_L_X10Y74 TYPE INT_L TILEPROP INT_L_X10Y75 CLASS tile TILEPROP INT_L_X10Y75 COLUMN 31 TILEPROP INT_L_X10Y75 DEVICE_ID 0 TILEPROP INT_L_X10Y75 FIRST_SITE_ID 7632 TILEPROP INT_L_X10Y75 GRID_POINT_X 31 TILEPROP INT_L_X10Y75 GRID_POINT_Y 77 TILEPROP INT_L_X10Y75 INDEX 8886 TILEPROP INT_L_X10Y75 INT_TILE_X 10 TILEPROP INT_L_X10Y75 INT_TILE_Y 74 TILEPROP INT_L_X10Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y75 IS_DCM_TILE 0 TILEPROP INT_L_X10Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y75 NAME INT_L_X10Y75 TILEPROP INT_L_X10Y75 NUM_ARCS 3737 TILEPROP INT_L_X10Y75 NUM_SITES 1 TILEPROP INT_L_X10Y75 ROW 77 TILEPROP INT_L_X10Y75 SLR_REGION_ID 0 TILEPROP INT_L_X10Y75 TILE_PATTERN_IDX 6287 TILEPROP INT_L_X10Y75 TILE_TYPE INT_L TILEPROP INT_L_X10Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y75 TILE_X -47592 TILEPROP INT_L_X10Y75 TILE_Y 2624 TILEPROP INT_L_X10Y75 TYPE INT_L TILEPROP INT_L_X10Y76 CLASS tile TILEPROP INT_L_X10Y76 COLUMN 31 TILEPROP INT_L_X10Y76 DEVICE_ID 0 TILEPROP INT_L_X10Y76 FIRST_SITE_ID 7526 TILEPROP INT_L_X10Y76 GRID_POINT_X 31 TILEPROP INT_L_X10Y76 GRID_POINT_Y 76 TILEPROP INT_L_X10Y76 INDEX 8771 TILEPROP INT_L_X10Y76 INT_TILE_X 10 TILEPROP INT_L_X10Y76 INT_TILE_Y 73 TILEPROP INT_L_X10Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y76 IS_DCM_TILE 0 TILEPROP INT_L_X10Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y76 NAME INT_L_X10Y76 TILEPROP INT_L_X10Y76 NUM_ARCS 3737 TILEPROP INT_L_X10Y76 NUM_SITES 1 TILEPROP INT_L_X10Y76 ROW 76 TILEPROP INT_L_X10Y76 SLR_REGION_ID 0 TILEPROP INT_L_X10Y76 TILE_PATTERN_IDX 6254 TILEPROP INT_L_X10Y76 TILE_TYPE INT_L TILEPROP INT_L_X10Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y76 TILE_X -47592 TILEPROP INT_L_X10Y76 TILE_Y 5824 TILEPROP INT_L_X10Y76 TYPE INT_L TILEPROP INT_L_X10Y77 CLASS tile TILEPROP INT_L_X10Y77 COLUMN 31 TILEPROP INT_L_X10Y77 DEVICE_ID 0 TILEPROP INT_L_X10Y77 FIRST_SITE_ID 7426 TILEPROP INT_L_X10Y77 GRID_POINT_X 31 TILEPROP INT_L_X10Y77 GRID_POINT_Y 75 TILEPROP INT_L_X10Y77 INDEX 8656 TILEPROP INT_L_X10Y77 INT_TILE_X 10 TILEPROP INT_L_X10Y77 INT_TILE_Y 72 TILEPROP INT_L_X10Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y77 IS_DCM_TILE 0 TILEPROP INT_L_X10Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y77 NAME INT_L_X10Y77 TILEPROP INT_L_X10Y77 NUM_ARCS 3737 TILEPROP INT_L_X10Y77 NUM_SITES 1 TILEPROP INT_L_X10Y77 ROW 75 TILEPROP INT_L_X10Y77 SLR_REGION_ID 0 TILEPROP INT_L_X10Y77 TILE_PATTERN_IDX 6220 TILEPROP INT_L_X10Y77 TILE_TYPE INT_L TILEPROP INT_L_X10Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y77 TILE_X -47592 TILEPROP INT_L_X10Y77 TILE_Y 9024 TILEPROP INT_L_X10Y77 TYPE INT_L TILEPROP INT_L_X10Y78 CLASS tile TILEPROP INT_L_X10Y78 COLUMN 31 TILEPROP INT_L_X10Y78 DEVICE_ID 0 TILEPROP INT_L_X10Y78 FIRST_SITE_ID 7326 TILEPROP INT_L_X10Y78 GRID_POINT_X 31 TILEPROP INT_L_X10Y78 GRID_POINT_Y 74 TILEPROP INT_L_X10Y78 INDEX 8541 TILEPROP INT_L_X10Y78 INT_TILE_X 10 TILEPROP INT_L_X10Y78 INT_TILE_Y 71 TILEPROP INT_L_X10Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y78 IS_DCM_TILE 0 TILEPROP INT_L_X10Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y78 NAME INT_L_X10Y78 TILEPROP INT_L_X10Y78 NUM_ARCS 3737 TILEPROP INT_L_X10Y78 NUM_SITES 1 TILEPROP INT_L_X10Y78 ROW 74 TILEPROP INT_L_X10Y78 SLR_REGION_ID 0 TILEPROP INT_L_X10Y78 TILE_PATTERN_IDX 6187 TILEPROP INT_L_X10Y78 TILE_TYPE INT_L TILEPROP INT_L_X10Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y78 TILE_X -47592 TILEPROP INT_L_X10Y78 TILE_Y 12224 TILEPROP INT_L_X10Y78 TYPE INT_L TILEPROP INT_L_X10Y79 CLASS tile TILEPROP INT_L_X10Y79 COLUMN 31 TILEPROP INT_L_X10Y79 DEVICE_ID 0 TILEPROP INT_L_X10Y79 FIRST_SITE_ID 7220 TILEPROP INT_L_X10Y79 GRID_POINT_X 31 TILEPROP INT_L_X10Y79 GRID_POINT_Y 73 TILEPROP INT_L_X10Y79 INDEX 8426 TILEPROP INT_L_X10Y79 INT_TILE_X 10 TILEPROP INT_L_X10Y79 INT_TILE_Y 70 TILEPROP INT_L_X10Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y79 IS_DCM_TILE 0 TILEPROP INT_L_X10Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y79 NAME INT_L_X10Y79 TILEPROP INT_L_X10Y79 NUM_ARCS 3737 TILEPROP INT_L_X10Y79 NUM_SITES 1 TILEPROP INT_L_X10Y79 ROW 73 TILEPROP INT_L_X10Y79 SLR_REGION_ID 0 TILEPROP INT_L_X10Y79 TILE_PATTERN_IDX 6152 TILEPROP INT_L_X10Y79 TILE_TYPE INT_L TILEPROP INT_L_X10Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y79 TILE_X -47592 TILEPROP INT_L_X10Y79 TILE_Y 15424 TILEPROP INT_L_X10Y79 TYPE INT_L TILEPROP INT_L_X10Y80 CLASS tile TILEPROP INT_L_X10Y80 COLUMN 31 TILEPROP INT_L_X10Y80 DEVICE_ID 0 TILEPROP INT_L_X10Y80 FIRST_SITE_ID 7105 TILEPROP INT_L_X10Y80 GRID_POINT_X 31 TILEPROP INT_L_X10Y80 GRID_POINT_Y 72 TILEPROP INT_L_X10Y80 INDEX 8311 TILEPROP INT_L_X10Y80 INT_TILE_X 10 TILEPROP INT_L_X10Y80 INT_TILE_Y 69 TILEPROP INT_L_X10Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y80 IS_DCM_TILE 0 TILEPROP INT_L_X10Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y80 NAME INT_L_X10Y80 TILEPROP INT_L_X10Y80 NUM_ARCS 3737 TILEPROP INT_L_X10Y80 NUM_SITES 1 TILEPROP INT_L_X10Y80 ROW 72 TILEPROP INT_L_X10Y80 SLR_REGION_ID 0 TILEPROP INT_L_X10Y80 TILE_PATTERN_IDX 6117 TILEPROP INT_L_X10Y80 TILE_TYPE INT_L TILEPROP INT_L_X10Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y80 TILE_X -47592 TILEPROP INT_L_X10Y80 TILE_Y 18624 TILEPROP INT_L_X10Y80 TYPE INT_L TILEPROP INT_L_X10Y81 CLASS tile TILEPROP INT_L_X10Y81 COLUMN 31 TILEPROP INT_L_X10Y81 DEVICE_ID 0 TILEPROP INT_L_X10Y81 FIRST_SITE_ID 6997 TILEPROP INT_L_X10Y81 GRID_POINT_X 31 TILEPROP INT_L_X10Y81 GRID_POINT_Y 71 TILEPROP INT_L_X10Y81 INDEX 8196 TILEPROP INT_L_X10Y81 INT_TILE_X 10 TILEPROP INT_L_X10Y81 INT_TILE_Y 68 TILEPROP INT_L_X10Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y81 IS_DCM_TILE 0 TILEPROP INT_L_X10Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y81 NAME INT_L_X10Y81 TILEPROP INT_L_X10Y81 NUM_ARCS 3737 TILEPROP INT_L_X10Y81 NUM_SITES 1 TILEPROP INT_L_X10Y81 ROW 71 TILEPROP INT_L_X10Y81 SLR_REGION_ID 0 TILEPROP INT_L_X10Y81 TILE_PATTERN_IDX 6083 TILEPROP INT_L_X10Y81 TILE_TYPE INT_L TILEPROP INT_L_X10Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y81 TILE_X -47592 TILEPROP INT_L_X10Y81 TILE_Y 21824 TILEPROP INT_L_X10Y81 TYPE INT_L TILEPROP INT_L_X10Y82 CLASS tile TILEPROP INT_L_X10Y82 COLUMN 31 TILEPROP INT_L_X10Y82 DEVICE_ID 0 TILEPROP INT_L_X10Y82 FIRST_SITE_ID 6895 TILEPROP INT_L_X10Y82 GRID_POINT_X 31 TILEPROP INT_L_X10Y82 GRID_POINT_Y 70 TILEPROP INT_L_X10Y82 INDEX 8081 TILEPROP INT_L_X10Y82 INT_TILE_X 10 TILEPROP INT_L_X10Y82 INT_TILE_Y 67 TILEPROP INT_L_X10Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y82 IS_DCM_TILE 0 TILEPROP INT_L_X10Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y82 NAME INT_L_X10Y82 TILEPROP INT_L_X10Y82 NUM_ARCS 3737 TILEPROP INT_L_X10Y82 NUM_SITES 1 TILEPROP INT_L_X10Y82 ROW 70 TILEPROP INT_L_X10Y82 SLR_REGION_ID 0 TILEPROP INT_L_X10Y82 TILE_PATTERN_IDX 6049 TILEPROP INT_L_X10Y82 TILE_TYPE INT_L TILEPROP INT_L_X10Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y82 TILE_X -47592 TILEPROP INT_L_X10Y82 TILE_Y 25024 TILEPROP INT_L_X10Y82 TYPE INT_L TILEPROP INT_L_X10Y83 CLASS tile TILEPROP INT_L_X10Y83 COLUMN 31 TILEPROP INT_L_X10Y83 DEVICE_ID 0 TILEPROP INT_L_X10Y83 FIRST_SITE_ID 6795 TILEPROP INT_L_X10Y83 GRID_POINT_X 31 TILEPROP INT_L_X10Y83 GRID_POINT_Y 69 TILEPROP INT_L_X10Y83 INDEX 7966 TILEPROP INT_L_X10Y83 INT_TILE_X 10 TILEPROP INT_L_X10Y83 INT_TILE_Y 66 TILEPROP INT_L_X10Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y83 IS_DCM_TILE 0 TILEPROP INT_L_X10Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y83 NAME INT_L_X10Y83 TILEPROP INT_L_X10Y83 NUM_ARCS 3737 TILEPROP INT_L_X10Y83 NUM_SITES 1 TILEPROP INT_L_X10Y83 ROW 69 TILEPROP INT_L_X10Y83 SLR_REGION_ID 0 TILEPROP INT_L_X10Y83 TILE_PATTERN_IDX 6015 TILEPROP INT_L_X10Y83 TILE_TYPE INT_L TILEPROP INT_L_X10Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y83 TILE_X -47592 TILEPROP INT_L_X10Y83 TILE_Y 28224 TILEPROP INT_L_X10Y83 TYPE INT_L TILEPROP INT_L_X10Y84 CLASS tile TILEPROP INT_L_X10Y84 COLUMN 31 TILEPROP INT_L_X10Y84 DEVICE_ID 0 TILEPROP INT_L_X10Y84 FIRST_SITE_ID 6695 TILEPROP INT_L_X10Y84 GRID_POINT_X 31 TILEPROP INT_L_X10Y84 GRID_POINT_Y 68 TILEPROP INT_L_X10Y84 INDEX 7851 TILEPROP INT_L_X10Y84 INT_TILE_X 10 TILEPROP INT_L_X10Y84 INT_TILE_Y 65 TILEPROP INT_L_X10Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y84 IS_DCM_TILE 0 TILEPROP INT_L_X10Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y84 NAME INT_L_X10Y84 TILEPROP INT_L_X10Y84 NUM_ARCS 3737 TILEPROP INT_L_X10Y84 NUM_SITES 1 TILEPROP INT_L_X10Y84 ROW 68 TILEPROP INT_L_X10Y84 SLR_REGION_ID 0 TILEPROP INT_L_X10Y84 TILE_PATTERN_IDX 5981 TILEPROP INT_L_X10Y84 TILE_TYPE INT_L TILEPROP INT_L_X10Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y84 TILE_X -47592 TILEPROP INT_L_X10Y84 TILE_Y 31424 TILEPROP INT_L_X10Y84 TYPE INT_L TILEPROP INT_L_X10Y85 CLASS tile TILEPROP INT_L_X10Y85 COLUMN 31 TILEPROP INT_L_X10Y85 DEVICE_ID 0 TILEPROP INT_L_X10Y85 FIRST_SITE_ID 6586 TILEPROP INT_L_X10Y85 GRID_POINT_X 31 TILEPROP INT_L_X10Y85 GRID_POINT_Y 67 TILEPROP INT_L_X10Y85 INDEX 7736 TILEPROP INT_L_X10Y85 INT_TILE_X 10 TILEPROP INT_L_X10Y85 INT_TILE_Y 64 TILEPROP INT_L_X10Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y85 IS_DCM_TILE 0 TILEPROP INT_L_X10Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y85 NAME INT_L_X10Y85 TILEPROP INT_L_X10Y85 NUM_ARCS 3737 TILEPROP INT_L_X10Y85 NUM_SITES 1 TILEPROP INT_L_X10Y85 ROW 67 TILEPROP INT_L_X10Y85 SLR_REGION_ID 0 TILEPROP INT_L_X10Y85 TILE_PATTERN_IDX 5946 TILEPROP INT_L_X10Y85 TILE_TYPE INT_L TILEPROP INT_L_X10Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y85 TILE_X -47592 TILEPROP INT_L_X10Y85 TILE_Y 34624 TILEPROP INT_L_X10Y85 TYPE INT_L TILEPROP INT_L_X10Y86 CLASS tile TILEPROP INT_L_X10Y86 COLUMN 31 TILEPROP INT_L_X10Y86 DEVICE_ID 0 TILEPROP INT_L_X10Y86 FIRST_SITE_ID 6448 TILEPROP INT_L_X10Y86 GRID_POINT_X 31 TILEPROP INT_L_X10Y86 GRID_POINT_Y 66 TILEPROP INT_L_X10Y86 INDEX 7621 TILEPROP INT_L_X10Y86 INT_TILE_X 10 TILEPROP INT_L_X10Y86 INT_TILE_Y 63 TILEPROP INT_L_X10Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y86 IS_DCM_TILE 0 TILEPROP INT_L_X10Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y86 NAME INT_L_X10Y86 TILEPROP INT_L_X10Y86 NUM_ARCS 3737 TILEPROP INT_L_X10Y86 NUM_SITES 1 TILEPROP INT_L_X10Y86 ROW 66 TILEPROP INT_L_X10Y86 SLR_REGION_ID 0 TILEPROP INT_L_X10Y86 TILE_PATTERN_IDX 5911 TILEPROP INT_L_X10Y86 TILE_TYPE INT_L TILEPROP INT_L_X10Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y86 TILE_X -47592 TILEPROP INT_L_X10Y86 TILE_Y 37824 TILEPROP INT_L_X10Y86 TYPE INT_L TILEPROP INT_L_X10Y87 CLASS tile TILEPROP INT_L_X10Y87 COLUMN 31 TILEPROP INT_L_X10Y87 DEVICE_ID 0 TILEPROP INT_L_X10Y87 FIRST_SITE_ID 6348 TILEPROP INT_L_X10Y87 GRID_POINT_X 31 TILEPROP INT_L_X10Y87 GRID_POINT_Y 65 TILEPROP INT_L_X10Y87 INDEX 7506 TILEPROP INT_L_X10Y87 INT_TILE_X 10 TILEPROP INT_L_X10Y87 INT_TILE_Y 62 TILEPROP INT_L_X10Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y87 IS_DCM_TILE 0 TILEPROP INT_L_X10Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y87 NAME INT_L_X10Y87 TILEPROP INT_L_X10Y87 NUM_ARCS 3737 TILEPROP INT_L_X10Y87 NUM_SITES 1 TILEPROP INT_L_X10Y87 ROW 65 TILEPROP INT_L_X10Y87 SLR_REGION_ID 0 TILEPROP INT_L_X10Y87 TILE_PATTERN_IDX 5877 TILEPROP INT_L_X10Y87 TILE_TYPE INT_L TILEPROP INT_L_X10Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y87 TILE_X -47592 TILEPROP INT_L_X10Y87 TILE_Y 41024 TILEPROP INT_L_X10Y87 TYPE INT_L TILEPROP INT_L_X10Y88 CLASS tile TILEPROP INT_L_X10Y88 COLUMN 31 TILEPROP INT_L_X10Y88 DEVICE_ID 0 TILEPROP INT_L_X10Y88 FIRST_SITE_ID 6248 TILEPROP INT_L_X10Y88 GRID_POINT_X 31 TILEPROP INT_L_X10Y88 GRID_POINT_Y 64 TILEPROP INT_L_X10Y88 INDEX 7391 TILEPROP INT_L_X10Y88 INT_TILE_X 10 TILEPROP INT_L_X10Y88 INT_TILE_Y 61 TILEPROP INT_L_X10Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y88 IS_DCM_TILE 0 TILEPROP INT_L_X10Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y88 NAME INT_L_X10Y88 TILEPROP INT_L_X10Y88 NUM_ARCS 3737 TILEPROP INT_L_X10Y88 NUM_SITES 1 TILEPROP INT_L_X10Y88 ROW 64 TILEPROP INT_L_X10Y88 SLR_REGION_ID 0 TILEPROP INT_L_X10Y88 TILE_PATTERN_IDX 5844 TILEPROP INT_L_X10Y88 TILE_TYPE INT_L TILEPROP INT_L_X10Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y88 TILE_X -47592 TILEPROP INT_L_X10Y88 TILE_Y 44224 TILEPROP INT_L_X10Y88 TYPE INT_L TILEPROP INT_L_X10Y89 CLASS tile TILEPROP INT_L_X10Y89 COLUMN 31 TILEPROP INT_L_X10Y89 DEVICE_ID 0 TILEPROP INT_L_X10Y89 FIRST_SITE_ID 6148 TILEPROP INT_L_X10Y89 GRID_POINT_X 31 TILEPROP INT_L_X10Y89 GRID_POINT_Y 63 TILEPROP INT_L_X10Y89 INDEX 7276 TILEPROP INT_L_X10Y89 INT_TILE_X 10 TILEPROP INT_L_X10Y89 INT_TILE_Y 60 TILEPROP INT_L_X10Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y89 IS_DCM_TILE 0 TILEPROP INT_L_X10Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y89 NAME INT_L_X10Y89 TILEPROP INT_L_X10Y89 NUM_ARCS 3737 TILEPROP INT_L_X10Y89 NUM_SITES 1 TILEPROP INT_L_X10Y89 ROW 63 TILEPROP INT_L_X10Y89 SLR_REGION_ID 0 TILEPROP INT_L_X10Y89 TILE_PATTERN_IDX 5810 TILEPROP INT_L_X10Y89 TILE_TYPE INT_L TILEPROP INT_L_X10Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y89 TILE_X -47592 TILEPROP INT_L_X10Y89 TILE_Y 47424 TILEPROP INT_L_X10Y89 TYPE INT_L TILEPROP INT_L_X10Y90 CLASS tile TILEPROP INT_L_X10Y90 COLUMN 31 TILEPROP INT_L_X10Y90 DEVICE_ID 0 TILEPROP INT_L_X10Y90 FIRST_SITE_ID 6039 TILEPROP INT_L_X10Y90 GRID_POINT_X 31 TILEPROP INT_L_X10Y90 GRID_POINT_Y 62 TILEPROP INT_L_X10Y90 INDEX 7161 TILEPROP INT_L_X10Y90 INT_TILE_X 10 TILEPROP INT_L_X10Y90 INT_TILE_Y 59 TILEPROP INT_L_X10Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y90 IS_DCM_TILE 0 TILEPROP INT_L_X10Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y90 NAME INT_L_X10Y90 TILEPROP INT_L_X10Y90 NUM_ARCS 3737 TILEPROP INT_L_X10Y90 NUM_SITES 1 TILEPROP INT_L_X10Y90 ROW 62 TILEPROP INT_L_X10Y90 SLR_REGION_ID 0 TILEPROP INT_L_X10Y90 TILE_PATTERN_IDX 5776 TILEPROP INT_L_X10Y90 TILE_TYPE INT_L TILEPROP INT_L_X10Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y90 TILE_X -47592 TILEPROP INT_L_X10Y90 TILE_Y 50624 TILEPROP INT_L_X10Y90 TYPE INT_L TILEPROP INT_L_X10Y91 CLASS tile TILEPROP INT_L_X10Y91 COLUMN 31 TILEPROP INT_L_X10Y91 DEVICE_ID 0 TILEPROP INT_L_X10Y91 FIRST_SITE_ID 5933 TILEPROP INT_L_X10Y91 GRID_POINT_X 31 TILEPROP INT_L_X10Y91 GRID_POINT_Y 61 TILEPROP INT_L_X10Y91 INDEX 7046 TILEPROP INT_L_X10Y91 INT_TILE_X 10 TILEPROP INT_L_X10Y91 INT_TILE_Y 58 TILEPROP INT_L_X10Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y91 IS_DCM_TILE 0 TILEPROP INT_L_X10Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y91 NAME INT_L_X10Y91 TILEPROP INT_L_X10Y91 NUM_ARCS 3737 TILEPROP INT_L_X10Y91 NUM_SITES 1 TILEPROP INT_L_X10Y91 ROW 61 TILEPROP INT_L_X10Y91 SLR_REGION_ID 0 TILEPROP INT_L_X10Y91 TILE_PATTERN_IDX 5742 TILEPROP INT_L_X10Y91 TILE_TYPE INT_L TILEPROP INT_L_X10Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y91 TILE_X -47592 TILEPROP INT_L_X10Y91 TILE_Y 53824 TILEPROP INT_L_X10Y91 TYPE INT_L TILEPROP INT_L_X10Y92 CLASS tile TILEPROP INT_L_X10Y92 COLUMN 31 TILEPROP INT_L_X10Y92 DEVICE_ID 0 TILEPROP INT_L_X10Y92 FIRST_SITE_ID 5832 TILEPROP INT_L_X10Y92 GRID_POINT_X 31 TILEPROP INT_L_X10Y92 GRID_POINT_Y 60 TILEPROP INT_L_X10Y92 INDEX 6931 TILEPROP INT_L_X10Y92 INT_TILE_X 10 TILEPROP INT_L_X10Y92 INT_TILE_Y 57 TILEPROP INT_L_X10Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y92 IS_DCM_TILE 0 TILEPROP INT_L_X10Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y92 NAME INT_L_X10Y92 TILEPROP INT_L_X10Y92 NUM_ARCS 3737 TILEPROP INT_L_X10Y92 NUM_SITES 1 TILEPROP INT_L_X10Y92 ROW 60 TILEPROP INT_L_X10Y92 SLR_REGION_ID 0 TILEPROP INT_L_X10Y92 TILE_PATTERN_IDX 5709 TILEPROP INT_L_X10Y92 TILE_TYPE INT_L TILEPROP INT_L_X10Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y92 TILE_X -47592 TILEPROP INT_L_X10Y92 TILE_Y 57024 TILEPROP INT_L_X10Y92 TYPE INT_L TILEPROP INT_L_X10Y93 CLASS tile TILEPROP INT_L_X10Y93 COLUMN 31 TILEPROP INT_L_X10Y93 DEVICE_ID 0 TILEPROP INT_L_X10Y93 FIRST_SITE_ID 5729 TILEPROP INT_L_X10Y93 GRID_POINT_X 31 TILEPROP INT_L_X10Y93 GRID_POINT_Y 59 TILEPROP INT_L_X10Y93 INDEX 6816 TILEPROP INT_L_X10Y93 INT_TILE_X 10 TILEPROP INT_L_X10Y93 INT_TILE_Y 56 TILEPROP INT_L_X10Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y93 IS_DCM_TILE 0 TILEPROP INT_L_X10Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y93 NAME INT_L_X10Y93 TILEPROP INT_L_X10Y93 NUM_ARCS 3737 TILEPROP INT_L_X10Y93 NUM_SITES 1 TILEPROP INT_L_X10Y93 ROW 59 TILEPROP INT_L_X10Y93 SLR_REGION_ID 0 TILEPROP INT_L_X10Y93 TILE_PATTERN_IDX 5675 TILEPROP INT_L_X10Y93 TILE_TYPE INT_L TILEPROP INT_L_X10Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y93 TILE_X -47592 TILEPROP INT_L_X10Y93 TILE_Y 60224 TILEPROP INT_L_X10Y93 TYPE INT_L TILEPROP INT_L_X10Y94 CLASS tile TILEPROP INT_L_X10Y94 COLUMN 31 TILEPROP INT_L_X10Y94 DEVICE_ID 0 TILEPROP INT_L_X10Y94 FIRST_SITE_ID 5627 TILEPROP INT_L_X10Y94 GRID_POINT_X 31 TILEPROP INT_L_X10Y94 GRID_POINT_Y 58 TILEPROP INT_L_X10Y94 INDEX 6701 TILEPROP INT_L_X10Y94 INT_TILE_X 10 TILEPROP INT_L_X10Y94 INT_TILE_Y 55 TILEPROP INT_L_X10Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y94 IS_DCM_TILE 0 TILEPROP INT_L_X10Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y94 NAME INT_L_X10Y94 TILEPROP INT_L_X10Y94 NUM_ARCS 3737 TILEPROP INT_L_X10Y94 NUM_SITES 1 TILEPROP INT_L_X10Y94 ROW 58 TILEPROP INT_L_X10Y94 SLR_REGION_ID 0 TILEPROP INT_L_X10Y94 TILE_PATTERN_IDX 5641 TILEPROP INT_L_X10Y94 TILE_TYPE INT_L TILEPROP INT_L_X10Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y94 TILE_X -47592 TILEPROP INT_L_X10Y94 TILE_Y 63424 TILEPROP INT_L_X10Y94 TYPE INT_L TILEPROP INT_L_X10Y95 CLASS tile TILEPROP INT_L_X10Y95 COLUMN 31 TILEPROP INT_L_X10Y95 DEVICE_ID 0 TILEPROP INT_L_X10Y95 FIRST_SITE_ID 5518 TILEPROP INT_L_X10Y95 GRID_POINT_X 31 TILEPROP INT_L_X10Y95 GRID_POINT_Y 57 TILEPROP INT_L_X10Y95 INDEX 6586 TILEPROP INT_L_X10Y95 INT_TILE_X 10 TILEPROP INT_L_X10Y95 INT_TILE_Y 54 TILEPROP INT_L_X10Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y95 IS_DCM_TILE 0 TILEPROP INT_L_X10Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y95 NAME INT_L_X10Y95 TILEPROP INT_L_X10Y95 NUM_ARCS 3737 TILEPROP INT_L_X10Y95 NUM_SITES 1 TILEPROP INT_L_X10Y95 ROW 57 TILEPROP INT_L_X10Y95 SLR_REGION_ID 0 TILEPROP INT_L_X10Y95 TILE_PATTERN_IDX 5606 TILEPROP INT_L_X10Y95 TILE_TYPE INT_L TILEPROP INT_L_X10Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y95 TILE_X -47592 TILEPROP INT_L_X10Y95 TILE_Y 66624 TILEPROP INT_L_X10Y95 TYPE INT_L TILEPROP INT_L_X10Y96 CLASS tile TILEPROP INT_L_X10Y96 COLUMN 31 TILEPROP INT_L_X10Y96 DEVICE_ID 0 TILEPROP INT_L_X10Y96 FIRST_SITE_ID 5412 TILEPROP INT_L_X10Y96 GRID_POINT_X 31 TILEPROP INT_L_X10Y96 GRID_POINT_Y 56 TILEPROP INT_L_X10Y96 INDEX 6471 TILEPROP INT_L_X10Y96 INT_TILE_X 10 TILEPROP INT_L_X10Y96 INT_TILE_Y 53 TILEPROP INT_L_X10Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y96 IS_DCM_TILE 0 TILEPROP INT_L_X10Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y96 NAME INT_L_X10Y96 TILEPROP INT_L_X10Y96 NUM_ARCS 3737 TILEPROP INT_L_X10Y96 NUM_SITES 1 TILEPROP INT_L_X10Y96 ROW 56 TILEPROP INT_L_X10Y96 SLR_REGION_ID 0 TILEPROP INT_L_X10Y96 TILE_PATTERN_IDX 5572 TILEPROP INT_L_X10Y96 TILE_TYPE INT_L TILEPROP INT_L_X10Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y96 TILE_X -47592 TILEPROP INT_L_X10Y96 TILE_Y 69824 TILEPROP INT_L_X10Y96 TYPE INT_L TILEPROP INT_L_X10Y97 CLASS tile TILEPROP INT_L_X10Y97 COLUMN 31 TILEPROP INT_L_X10Y97 DEVICE_ID 0 TILEPROP INT_L_X10Y97 FIRST_SITE_ID 5312 TILEPROP INT_L_X10Y97 GRID_POINT_X 31 TILEPROP INT_L_X10Y97 GRID_POINT_Y 55 TILEPROP INT_L_X10Y97 INDEX 6356 TILEPROP INT_L_X10Y97 INT_TILE_X 10 TILEPROP INT_L_X10Y97 INT_TILE_Y 52 TILEPROP INT_L_X10Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y97 IS_DCM_TILE 0 TILEPROP INT_L_X10Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y97 NAME INT_L_X10Y97 TILEPROP INT_L_X10Y97 NUM_ARCS 3737 TILEPROP INT_L_X10Y97 NUM_SITES 1 TILEPROP INT_L_X10Y97 ROW 55 TILEPROP INT_L_X10Y97 SLR_REGION_ID 0 TILEPROP INT_L_X10Y97 TILE_PATTERN_IDX 5538 TILEPROP INT_L_X10Y97 TILE_TYPE INT_L TILEPROP INT_L_X10Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y97 TILE_X -47592 TILEPROP INT_L_X10Y97 TILE_Y 73024 TILEPROP INT_L_X10Y97 TYPE INT_L TILEPROP INT_L_X10Y98 CLASS tile TILEPROP INT_L_X10Y98 COLUMN 31 TILEPROP INT_L_X10Y98 DEVICE_ID 0 TILEPROP INT_L_X10Y98 FIRST_SITE_ID 5212 TILEPROP INT_L_X10Y98 GRID_POINT_X 31 TILEPROP INT_L_X10Y98 GRID_POINT_Y 54 TILEPROP INT_L_X10Y98 INDEX 6241 TILEPROP INT_L_X10Y98 INT_TILE_X 10 TILEPROP INT_L_X10Y98 INT_TILE_Y 51 TILEPROP INT_L_X10Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y98 IS_DCM_TILE 0 TILEPROP INT_L_X10Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y98 NAME INT_L_X10Y98 TILEPROP INT_L_X10Y98 NUM_ARCS 3737 TILEPROP INT_L_X10Y98 NUM_SITES 1 TILEPROP INT_L_X10Y98 ROW 54 TILEPROP INT_L_X10Y98 SLR_REGION_ID 0 TILEPROP INT_L_X10Y98 TILE_PATTERN_IDX 5504 TILEPROP INT_L_X10Y98 TILE_TYPE INT_L TILEPROP INT_L_X10Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y98 TILE_X -47592 TILEPROP INT_L_X10Y98 TILE_Y 76224 TILEPROP INT_L_X10Y98 TYPE INT_L TILEPROP INT_L_X10Y99 CLASS tile TILEPROP INT_L_X10Y99 COLUMN 31 TILEPROP INT_L_X10Y99 DEVICE_ID 0 TILEPROP INT_L_X10Y99 FIRST_SITE_ID 5116 TILEPROP INT_L_X10Y99 GRID_POINT_X 31 TILEPROP INT_L_X10Y99 GRID_POINT_Y 53 TILEPROP INT_L_X10Y99 INDEX 6126 TILEPROP INT_L_X10Y99 INT_TILE_X 10 TILEPROP INT_L_X10Y99 INT_TILE_Y 50 TILEPROP INT_L_X10Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y99 IS_DCM_TILE 0 TILEPROP INT_L_X10Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y99 NAME INT_L_X10Y99 TILEPROP INT_L_X10Y99 NUM_ARCS 3737 TILEPROP INT_L_X10Y99 NUM_SITES 1 TILEPROP INT_L_X10Y99 ROW 53 TILEPROP INT_L_X10Y99 SLR_REGION_ID 0 TILEPROP INT_L_X10Y99 TILE_PATTERN_IDX 5471 TILEPROP INT_L_X10Y99 TILE_TYPE INT_L TILEPROP INT_L_X10Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y99 TILE_X -47592 TILEPROP INT_L_X10Y99 TILE_Y 79424 TILEPROP INT_L_X10Y99 TYPE INT_L TILEPROP INT_L_X10Y100 CLASS tile TILEPROP INT_L_X10Y100 COLUMN 31 TILEPROP INT_L_X10Y100 DEVICE_ID 0 TILEPROP INT_L_X10Y100 FIRST_SITE_ID 5021 TILEPROP INT_L_X10Y100 GRID_POINT_X 31 TILEPROP INT_L_X10Y100 GRID_POINT_Y 51 TILEPROP INT_L_X10Y100 INDEX 5896 TILEPROP INT_L_X10Y100 INT_TILE_X 10 TILEPROP INT_L_X10Y100 INT_TILE_Y 49 TILEPROP INT_L_X10Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y100 IS_DCM_TILE 0 TILEPROP INT_L_X10Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y100 NAME INT_L_X10Y100 TILEPROP INT_L_X10Y100 NUM_ARCS 3737 TILEPROP INT_L_X10Y100 NUM_SITES 1 TILEPROP INT_L_X10Y100 ROW 51 TILEPROP INT_L_X10Y100 SLR_REGION_ID 0 TILEPROP INT_L_X10Y100 TILE_PATTERN_IDX 5434 TILEPROP INT_L_X10Y100 TILE_TYPE INT_L TILEPROP INT_L_X10Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y100 TILE_X -47592 TILEPROP INT_L_X10Y100 TILE_Y 82872 TILEPROP INT_L_X10Y100 TYPE INT_L TILEPROP INT_L_X10Y101 CLASS tile TILEPROP INT_L_X10Y101 COLUMN 31 TILEPROP INT_L_X10Y101 DEVICE_ID 0 TILEPROP INT_L_X10Y101 FIRST_SITE_ID 4923 TILEPROP INT_L_X10Y101 GRID_POINT_X 31 TILEPROP INT_L_X10Y101 GRID_POINT_Y 50 TILEPROP INT_L_X10Y101 INDEX 5781 TILEPROP INT_L_X10Y101 INT_TILE_X 10 TILEPROP INT_L_X10Y101 INT_TILE_Y 48 TILEPROP INT_L_X10Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y101 IS_DCM_TILE 0 TILEPROP INT_L_X10Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y101 NAME INT_L_X10Y101 TILEPROP INT_L_X10Y101 NUM_ARCS 3737 TILEPROP INT_L_X10Y101 NUM_SITES 1 TILEPROP INT_L_X10Y101 ROW 50 TILEPROP INT_L_X10Y101 SLR_REGION_ID 0 TILEPROP INT_L_X10Y101 TILE_PATTERN_IDX 5398 TILEPROP INT_L_X10Y101 TILE_TYPE INT_L TILEPROP INT_L_X10Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y101 TILE_X -47592 TILEPROP INT_L_X10Y101 TILE_Y 86072 TILEPROP INT_L_X10Y101 TYPE INT_L TILEPROP INT_L_X10Y102 CLASS tile TILEPROP INT_L_X10Y102 COLUMN 31 TILEPROP INT_L_X10Y102 DEVICE_ID 0 TILEPROP INT_L_X10Y102 FIRST_SITE_ID 4827 TILEPROP INT_L_X10Y102 GRID_POINT_X 31 TILEPROP INT_L_X10Y102 GRID_POINT_Y 49 TILEPROP INT_L_X10Y102 INDEX 5666 TILEPROP INT_L_X10Y102 INT_TILE_X 10 TILEPROP INT_L_X10Y102 INT_TILE_Y 47 TILEPROP INT_L_X10Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y102 IS_DCM_TILE 0 TILEPROP INT_L_X10Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y102 NAME INT_L_X10Y102 TILEPROP INT_L_X10Y102 NUM_ARCS 3737 TILEPROP INT_L_X10Y102 NUM_SITES 1 TILEPROP INT_L_X10Y102 ROW 49 TILEPROP INT_L_X10Y102 SLR_REGION_ID 0 TILEPROP INT_L_X10Y102 TILE_PATTERN_IDX 5361 TILEPROP INT_L_X10Y102 TILE_TYPE INT_L TILEPROP INT_L_X10Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y102 TILE_X -47592 TILEPROP INT_L_X10Y102 TILE_Y 89272 TILEPROP INT_L_X10Y102 TYPE INT_L TILEPROP INT_L_X10Y103 CLASS tile TILEPROP INT_L_X10Y103 COLUMN 31 TILEPROP INT_L_X10Y103 DEVICE_ID 0 TILEPROP INT_L_X10Y103 FIRST_SITE_ID 4739 TILEPROP INT_L_X10Y103 GRID_POINT_X 31 TILEPROP INT_L_X10Y103 GRID_POINT_Y 48 TILEPROP INT_L_X10Y103 INDEX 5551 TILEPROP INT_L_X10Y103 INT_TILE_X 10 TILEPROP INT_L_X10Y103 INT_TILE_Y 46 TILEPROP INT_L_X10Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y103 IS_DCM_TILE 0 TILEPROP INT_L_X10Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y103 NAME INT_L_X10Y103 TILEPROP INT_L_X10Y103 NUM_ARCS 3737 TILEPROP INT_L_X10Y103 NUM_SITES 1 TILEPROP INT_L_X10Y103 ROW 48 TILEPROP INT_L_X10Y103 SLR_REGION_ID 0 TILEPROP INT_L_X10Y103 TILE_PATTERN_IDX 5325 TILEPROP INT_L_X10Y103 TILE_TYPE INT_L TILEPROP INT_L_X10Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y103 TILE_X -47592 TILEPROP INT_L_X10Y103 TILE_Y 92472 TILEPROP INT_L_X10Y103 TYPE INT_L TILEPROP INT_L_X10Y104 CLASS tile TILEPROP INT_L_X10Y104 COLUMN 31 TILEPROP INT_L_X10Y104 DEVICE_ID 0 TILEPROP INT_L_X10Y104 FIRST_SITE_ID 4643 TILEPROP INT_L_X10Y104 GRID_POINT_X 31 TILEPROP INT_L_X10Y104 GRID_POINT_Y 47 TILEPROP INT_L_X10Y104 INDEX 5436 TILEPROP INT_L_X10Y104 INT_TILE_X 10 TILEPROP INT_L_X10Y104 INT_TILE_Y 45 TILEPROP INT_L_X10Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y104 IS_DCM_TILE 0 TILEPROP INT_L_X10Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y104 NAME INT_L_X10Y104 TILEPROP INT_L_X10Y104 NUM_ARCS 3737 TILEPROP INT_L_X10Y104 NUM_SITES 1 TILEPROP INT_L_X10Y104 ROW 47 TILEPROP INT_L_X10Y104 SLR_REGION_ID 0 TILEPROP INT_L_X10Y104 TILE_PATTERN_IDX 5288 TILEPROP INT_L_X10Y104 TILE_TYPE INT_L TILEPROP INT_L_X10Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y104 TILE_X -47592 TILEPROP INT_L_X10Y104 TILE_Y 95672 TILEPROP INT_L_X10Y104 TYPE INT_L TILEPROP INT_L_X10Y105 CLASS tile TILEPROP INT_L_X10Y105 COLUMN 31 TILEPROP INT_L_X10Y105 DEVICE_ID 0 TILEPROP INT_L_X10Y105 FIRST_SITE_ID 4547 TILEPROP INT_L_X10Y105 GRID_POINT_X 31 TILEPROP INT_L_X10Y105 GRID_POINT_Y 46 TILEPROP INT_L_X10Y105 INDEX 5321 TILEPROP INT_L_X10Y105 INT_TILE_X 10 TILEPROP INT_L_X10Y105 INT_TILE_Y 44 TILEPROP INT_L_X10Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y105 IS_DCM_TILE 0 TILEPROP INT_L_X10Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y105 NAME INT_L_X10Y105 TILEPROP INT_L_X10Y105 NUM_ARCS 3737 TILEPROP INT_L_X10Y105 NUM_SITES 1 TILEPROP INT_L_X10Y105 ROW 46 TILEPROP INT_L_X10Y105 SLR_REGION_ID 0 TILEPROP INT_L_X10Y105 TILE_PATTERN_IDX 5252 TILEPROP INT_L_X10Y105 TILE_TYPE INT_L TILEPROP INT_L_X10Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y105 TILE_X -47592 TILEPROP INT_L_X10Y105 TILE_Y 98872 TILEPROP INT_L_X10Y105 TYPE INT_L TILEPROP INT_L_X10Y106 CLASS tile TILEPROP INT_L_X10Y106 COLUMN 31 TILEPROP INT_L_X10Y106 DEVICE_ID 0 TILEPROP INT_L_X10Y106 FIRST_SITE_ID 4445 TILEPROP INT_L_X10Y106 GRID_POINT_X 31 TILEPROP INT_L_X10Y106 GRID_POINT_Y 45 TILEPROP INT_L_X10Y106 INDEX 5206 TILEPROP INT_L_X10Y106 INT_TILE_X 10 TILEPROP INT_L_X10Y106 INT_TILE_Y 43 TILEPROP INT_L_X10Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y106 IS_DCM_TILE 0 TILEPROP INT_L_X10Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y106 NAME INT_L_X10Y106 TILEPROP INT_L_X10Y106 NUM_ARCS 3737 TILEPROP INT_L_X10Y106 NUM_SITES 1 TILEPROP INT_L_X10Y106 ROW 45 TILEPROP INT_L_X10Y106 SLR_REGION_ID 0 TILEPROP INT_L_X10Y106 TILE_PATTERN_IDX 5215 TILEPROP INT_L_X10Y106 TILE_TYPE INT_L TILEPROP INT_L_X10Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y106 TILE_X -47592 TILEPROP INT_L_X10Y106 TILE_Y 102072 TILEPROP INT_L_X10Y106 TYPE INT_L TILEPROP INT_L_X10Y107 CLASS tile TILEPROP INT_L_X10Y107 COLUMN 31 TILEPROP INT_L_X10Y107 DEVICE_ID 0 TILEPROP INT_L_X10Y107 FIRST_SITE_ID 4357 TILEPROP INT_L_X10Y107 GRID_POINT_X 31 TILEPROP INT_L_X10Y107 GRID_POINT_Y 44 TILEPROP INT_L_X10Y107 INDEX 5091 TILEPROP INT_L_X10Y107 INT_TILE_X 10 TILEPROP INT_L_X10Y107 INT_TILE_Y 42 TILEPROP INT_L_X10Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y107 IS_DCM_TILE 0 TILEPROP INT_L_X10Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y107 NAME INT_L_X10Y107 TILEPROP INT_L_X10Y107 NUM_ARCS 3737 TILEPROP INT_L_X10Y107 NUM_SITES 1 TILEPROP INT_L_X10Y107 ROW 44 TILEPROP INT_L_X10Y107 SLR_REGION_ID 0 TILEPROP INT_L_X10Y107 TILE_PATTERN_IDX 5179 TILEPROP INT_L_X10Y107 TILE_TYPE INT_L TILEPROP INT_L_X10Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y107 TILE_X -47592 TILEPROP INT_L_X10Y107 TILE_Y 105272 TILEPROP INT_L_X10Y107 TYPE INT_L TILEPROP INT_L_X10Y108 CLASS tile TILEPROP INT_L_X10Y108 COLUMN 31 TILEPROP INT_L_X10Y108 DEVICE_ID 0 TILEPROP INT_L_X10Y108 FIRST_SITE_ID 4259 TILEPROP INT_L_X10Y108 GRID_POINT_X 31 TILEPROP INT_L_X10Y108 GRID_POINT_Y 43 TILEPROP INT_L_X10Y108 INDEX 4976 TILEPROP INT_L_X10Y108 INT_TILE_X 10 TILEPROP INT_L_X10Y108 INT_TILE_Y 41 TILEPROP INT_L_X10Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y108 IS_DCM_TILE 0 TILEPROP INT_L_X10Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y108 NAME INT_L_X10Y108 TILEPROP INT_L_X10Y108 NUM_ARCS 3737 TILEPROP INT_L_X10Y108 NUM_SITES 1 TILEPROP INT_L_X10Y108 ROW 43 TILEPROP INT_L_X10Y108 SLR_REGION_ID 0 TILEPROP INT_L_X10Y108 TILE_PATTERN_IDX 5142 TILEPROP INT_L_X10Y108 TILE_TYPE INT_L TILEPROP INT_L_X10Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y108 TILE_X -47592 TILEPROP INT_L_X10Y108 TILE_Y 108472 TILEPROP INT_L_X10Y108 TYPE INT_L TILEPROP INT_L_X10Y109 CLASS tile TILEPROP INT_L_X10Y109 COLUMN 31 TILEPROP INT_L_X10Y109 DEVICE_ID 0 TILEPROP INT_L_X10Y109 FIRST_SITE_ID 4170 TILEPROP INT_L_X10Y109 GRID_POINT_X 31 TILEPROP INT_L_X10Y109 GRID_POINT_Y 42 TILEPROP INT_L_X10Y109 INDEX 4861 TILEPROP INT_L_X10Y109 INT_TILE_X 10 TILEPROP INT_L_X10Y109 INT_TILE_Y 40 TILEPROP INT_L_X10Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y109 IS_DCM_TILE 0 TILEPROP INT_L_X10Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y109 NAME INT_L_X10Y109 TILEPROP INT_L_X10Y109 NUM_ARCS 3737 TILEPROP INT_L_X10Y109 NUM_SITES 1 TILEPROP INT_L_X10Y109 ROW 42 TILEPROP INT_L_X10Y109 SLR_REGION_ID 0 TILEPROP INT_L_X10Y109 TILE_PATTERN_IDX 5106 TILEPROP INT_L_X10Y109 TILE_TYPE INT_L TILEPROP INT_L_X10Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y109 TILE_X -47592 TILEPROP INT_L_X10Y109 TILE_Y 111672 TILEPROP INT_L_X10Y109 TYPE INT_L TILEPROP INT_L_X10Y110 CLASS tile TILEPROP INT_L_X10Y110 COLUMN 31 TILEPROP INT_L_X10Y110 DEVICE_ID 0 TILEPROP INT_L_X10Y110 FIRST_SITE_ID 4070 TILEPROP INT_L_X10Y110 GRID_POINT_X 31 TILEPROP INT_L_X10Y110 GRID_POINT_Y 41 TILEPROP INT_L_X10Y110 INDEX 4746 TILEPROP INT_L_X10Y110 INT_TILE_X 10 TILEPROP INT_L_X10Y110 INT_TILE_Y 39 TILEPROP INT_L_X10Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y110 IS_DCM_TILE 0 TILEPROP INT_L_X10Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y110 NAME INT_L_X10Y110 TILEPROP INT_L_X10Y110 NUM_ARCS 3737 TILEPROP INT_L_X10Y110 NUM_SITES 1 TILEPROP INT_L_X10Y110 ROW 41 TILEPROP INT_L_X10Y110 SLR_REGION_ID 0 TILEPROP INT_L_X10Y110 TILE_PATTERN_IDX 5069 TILEPROP INT_L_X10Y110 TILE_TYPE INT_L TILEPROP INT_L_X10Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y110 TILE_X -47592 TILEPROP INT_L_X10Y110 TILE_Y 114872 TILEPROP INT_L_X10Y110 TYPE INT_L TILEPROP INT_L_X10Y111 CLASS tile TILEPROP INT_L_X10Y111 COLUMN 31 TILEPROP INT_L_X10Y111 DEVICE_ID 0 TILEPROP INT_L_X10Y111 FIRST_SITE_ID 3976 TILEPROP INT_L_X10Y111 GRID_POINT_X 31 TILEPROP INT_L_X10Y111 GRID_POINT_Y 40 TILEPROP INT_L_X10Y111 INDEX 4631 TILEPROP INT_L_X10Y111 INT_TILE_X 10 TILEPROP INT_L_X10Y111 INT_TILE_Y 38 TILEPROP INT_L_X10Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y111 IS_DCM_TILE 0 TILEPROP INT_L_X10Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y111 NAME INT_L_X10Y111 TILEPROP INT_L_X10Y111 NUM_ARCS 3737 TILEPROP INT_L_X10Y111 NUM_SITES 1 TILEPROP INT_L_X10Y111 ROW 40 TILEPROP INT_L_X10Y111 SLR_REGION_ID 0 TILEPROP INT_L_X10Y111 TILE_PATTERN_IDX 5033 TILEPROP INT_L_X10Y111 TILE_TYPE INT_L TILEPROP INT_L_X10Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y111 TILE_X -47592 TILEPROP INT_L_X10Y111 TILE_Y 118072 TILEPROP INT_L_X10Y111 TYPE INT_L TILEPROP INT_L_X10Y112 CLASS tile TILEPROP INT_L_X10Y112 COLUMN 31 TILEPROP INT_L_X10Y112 DEVICE_ID 0 TILEPROP INT_L_X10Y112 FIRST_SITE_ID 3848 TILEPROP INT_L_X10Y112 GRID_POINT_X 31 TILEPROP INT_L_X10Y112 GRID_POINT_Y 39 TILEPROP INT_L_X10Y112 INDEX 4516 TILEPROP INT_L_X10Y112 INT_TILE_X 10 TILEPROP INT_L_X10Y112 INT_TILE_Y 37 TILEPROP INT_L_X10Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y112 IS_DCM_TILE 0 TILEPROP INT_L_X10Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y112 NAME INT_L_X10Y112 TILEPROP INT_L_X10Y112 NUM_ARCS 3737 TILEPROP INT_L_X10Y112 NUM_SITES 1 TILEPROP INT_L_X10Y112 ROW 39 TILEPROP INT_L_X10Y112 SLR_REGION_ID 0 TILEPROP INT_L_X10Y112 TILE_PATTERN_IDX 4995 TILEPROP INT_L_X10Y112 TILE_TYPE INT_L TILEPROP INT_L_X10Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y112 TILE_X -47592 TILEPROP INT_L_X10Y112 TILE_Y 121272 TILEPROP INT_L_X10Y112 TYPE INT_L TILEPROP INT_L_X10Y113 CLASS tile TILEPROP INT_L_X10Y113 COLUMN 31 TILEPROP INT_L_X10Y113 DEVICE_ID 0 TILEPROP INT_L_X10Y113 FIRST_SITE_ID 3760 TILEPROP INT_L_X10Y113 GRID_POINT_X 31 TILEPROP INT_L_X10Y113 GRID_POINT_Y 38 TILEPROP INT_L_X10Y113 INDEX 4401 TILEPROP INT_L_X10Y113 INT_TILE_X 10 TILEPROP INT_L_X10Y113 INT_TILE_Y 36 TILEPROP INT_L_X10Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y113 IS_DCM_TILE 0 TILEPROP INT_L_X10Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y113 NAME INT_L_X10Y113 TILEPROP INT_L_X10Y113 NUM_ARCS 3737 TILEPROP INT_L_X10Y113 NUM_SITES 1 TILEPROP INT_L_X10Y113 ROW 38 TILEPROP INT_L_X10Y113 SLR_REGION_ID 0 TILEPROP INT_L_X10Y113 TILE_PATTERN_IDX 4959 TILEPROP INT_L_X10Y113 TILE_TYPE INT_L TILEPROP INT_L_X10Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y113 TILE_X -47592 TILEPROP INT_L_X10Y113 TILE_Y 124472 TILEPROP INT_L_X10Y113 TYPE INT_L TILEPROP INT_L_X10Y114 CLASS tile TILEPROP INT_L_X10Y114 COLUMN 31 TILEPROP INT_L_X10Y114 DEVICE_ID 0 TILEPROP INT_L_X10Y114 FIRST_SITE_ID 3664 TILEPROP INT_L_X10Y114 GRID_POINT_X 31 TILEPROP INT_L_X10Y114 GRID_POINT_Y 37 TILEPROP INT_L_X10Y114 INDEX 4286 TILEPROP INT_L_X10Y114 INT_TILE_X 10 TILEPROP INT_L_X10Y114 INT_TILE_Y 35 TILEPROP INT_L_X10Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y114 IS_DCM_TILE 0 TILEPROP INT_L_X10Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y114 NAME INT_L_X10Y114 TILEPROP INT_L_X10Y114 NUM_ARCS 3737 TILEPROP INT_L_X10Y114 NUM_SITES 1 TILEPROP INT_L_X10Y114 ROW 37 TILEPROP INT_L_X10Y114 SLR_REGION_ID 0 TILEPROP INT_L_X10Y114 TILE_PATTERN_IDX 4922 TILEPROP INT_L_X10Y114 TILE_TYPE INT_L TILEPROP INT_L_X10Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y114 TILE_X -47592 TILEPROP INT_L_X10Y114 TILE_Y 127672 TILEPROP INT_L_X10Y114 TYPE INT_L TILEPROP INT_L_X10Y115 CLASS tile TILEPROP INT_L_X10Y115 COLUMN 31 TILEPROP INT_L_X10Y115 DEVICE_ID 0 TILEPROP INT_L_X10Y115 FIRST_SITE_ID 3573 TILEPROP INT_L_X10Y115 GRID_POINT_X 31 TILEPROP INT_L_X10Y115 GRID_POINT_Y 36 TILEPROP INT_L_X10Y115 INDEX 4171 TILEPROP INT_L_X10Y115 INT_TILE_X 10 TILEPROP INT_L_X10Y115 INT_TILE_Y 34 TILEPROP INT_L_X10Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y115 IS_DCM_TILE 0 TILEPROP INT_L_X10Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y115 NAME INT_L_X10Y115 TILEPROP INT_L_X10Y115 NUM_ARCS 3737 TILEPROP INT_L_X10Y115 NUM_SITES 1 TILEPROP INT_L_X10Y115 ROW 36 TILEPROP INT_L_X10Y115 SLR_REGION_ID 0 TILEPROP INT_L_X10Y115 TILE_PATTERN_IDX 4886 TILEPROP INT_L_X10Y115 TILE_TYPE INT_L TILEPROP INT_L_X10Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y115 TILE_X -47592 TILEPROP INT_L_X10Y115 TILE_Y 130872 TILEPROP INT_L_X10Y115 TYPE INT_L TILEPROP INT_L_X10Y116 CLASS tile TILEPROP INT_L_X10Y116 COLUMN 31 TILEPROP INT_L_X10Y116 DEVICE_ID 0 TILEPROP INT_L_X10Y116 FIRST_SITE_ID 3466 TILEPROP INT_L_X10Y116 GRID_POINT_X 31 TILEPROP INT_L_X10Y116 GRID_POINT_Y 35 TILEPROP INT_L_X10Y116 INDEX 4056 TILEPROP INT_L_X10Y116 INT_TILE_X 10 TILEPROP INT_L_X10Y116 INT_TILE_Y 33 TILEPROP INT_L_X10Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y116 IS_DCM_TILE 0 TILEPROP INT_L_X10Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y116 NAME INT_L_X10Y116 TILEPROP INT_L_X10Y116 NUM_ARCS 3737 TILEPROP INT_L_X10Y116 NUM_SITES 1 TILEPROP INT_L_X10Y116 ROW 35 TILEPROP INT_L_X10Y116 SLR_REGION_ID 0 TILEPROP INT_L_X10Y116 TILE_PATTERN_IDX 4849 TILEPROP INT_L_X10Y116 TILE_TYPE INT_L TILEPROP INT_L_X10Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y116 TILE_X -47592 TILEPROP INT_L_X10Y116 TILE_Y 134072 TILEPROP INT_L_X10Y116 TYPE INT_L TILEPROP INT_L_X10Y117 CLASS tile TILEPROP INT_L_X10Y117 COLUMN 31 TILEPROP INT_L_X10Y117 DEVICE_ID 0 TILEPROP INT_L_X10Y117 FIRST_SITE_ID 3378 TILEPROP INT_L_X10Y117 GRID_POINT_X 31 TILEPROP INT_L_X10Y117 GRID_POINT_Y 34 TILEPROP INT_L_X10Y117 INDEX 3941 TILEPROP INT_L_X10Y117 INT_TILE_X 10 TILEPROP INT_L_X10Y117 INT_TILE_Y 32 TILEPROP INT_L_X10Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y117 IS_DCM_TILE 0 TILEPROP INT_L_X10Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y117 NAME INT_L_X10Y117 TILEPROP INT_L_X10Y117 NUM_ARCS 3737 TILEPROP INT_L_X10Y117 NUM_SITES 1 TILEPROP INT_L_X10Y117 ROW 34 TILEPROP INT_L_X10Y117 SLR_REGION_ID 0 TILEPROP INT_L_X10Y117 TILE_PATTERN_IDX 4813 TILEPROP INT_L_X10Y117 TILE_TYPE INT_L TILEPROP INT_L_X10Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y117 TILE_X -47592 TILEPROP INT_L_X10Y117 TILE_Y 137272 TILEPROP INT_L_X10Y117 TYPE INT_L TILEPROP INT_L_X10Y118 CLASS tile TILEPROP INT_L_X10Y118 COLUMN 31 TILEPROP INT_L_X10Y118 DEVICE_ID 0 TILEPROP INT_L_X10Y118 FIRST_SITE_ID 3278 TILEPROP INT_L_X10Y118 GRID_POINT_X 31 TILEPROP INT_L_X10Y118 GRID_POINT_Y 33 TILEPROP INT_L_X10Y118 INDEX 3826 TILEPROP INT_L_X10Y118 INT_TILE_X 10 TILEPROP INT_L_X10Y118 INT_TILE_Y 31 TILEPROP INT_L_X10Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y118 IS_DCM_TILE 0 TILEPROP INT_L_X10Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y118 NAME INT_L_X10Y118 TILEPROP INT_L_X10Y118 NUM_ARCS 3737 TILEPROP INT_L_X10Y118 NUM_SITES 1 TILEPROP INT_L_X10Y118 ROW 33 TILEPROP INT_L_X10Y118 SLR_REGION_ID 0 TILEPROP INT_L_X10Y118 TILE_PATTERN_IDX 4775 TILEPROP INT_L_X10Y118 TILE_TYPE INT_L TILEPROP INT_L_X10Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y118 TILE_X -47592 TILEPROP INT_L_X10Y118 TILE_Y 140472 TILEPROP INT_L_X10Y118 TYPE INT_L TILEPROP INT_L_X10Y119 CLASS tile TILEPROP INT_L_X10Y119 COLUMN 31 TILEPROP INT_L_X10Y119 DEVICE_ID 0 TILEPROP INT_L_X10Y119 FIRST_SITE_ID 3190 TILEPROP INT_L_X10Y119 GRID_POINT_X 31 TILEPROP INT_L_X10Y119 GRID_POINT_Y 32 TILEPROP INT_L_X10Y119 INDEX 3711 TILEPROP INT_L_X10Y119 INT_TILE_X 10 TILEPROP INT_L_X10Y119 INT_TILE_Y 30 TILEPROP INT_L_X10Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y119 IS_DCM_TILE 0 TILEPROP INT_L_X10Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y119 NAME INT_L_X10Y119 TILEPROP INT_L_X10Y119 NUM_ARCS 3737 TILEPROP INT_L_X10Y119 NUM_SITES 1 TILEPROP INT_L_X10Y119 ROW 32 TILEPROP INT_L_X10Y119 SLR_REGION_ID 0 TILEPROP INT_L_X10Y119 TILE_PATTERN_IDX 4739 TILEPROP INT_L_X10Y119 TILE_TYPE INT_L TILEPROP INT_L_X10Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y119 TILE_X -47592 TILEPROP INT_L_X10Y119 TILE_Y 143672 TILEPROP INT_L_X10Y119 TYPE INT_L TILEPROP INT_L_X10Y120 CLASS tile TILEPROP INT_L_X10Y120 COLUMN 31 TILEPROP INT_L_X10Y120 DEVICE_ID 0 TILEPROP INT_L_X10Y120 FIRST_SITE_ID 3089 TILEPROP INT_L_X10Y120 GRID_POINT_X 31 TILEPROP INT_L_X10Y120 GRID_POINT_Y 31 TILEPROP INT_L_X10Y120 INDEX 3596 TILEPROP INT_L_X10Y120 INT_TILE_X 10 TILEPROP INT_L_X10Y120 INT_TILE_Y 29 TILEPROP INT_L_X10Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y120 IS_DCM_TILE 0 TILEPROP INT_L_X10Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y120 NAME INT_L_X10Y120 TILEPROP INT_L_X10Y120 NUM_ARCS 3737 TILEPROP INT_L_X10Y120 NUM_SITES 1 TILEPROP INT_L_X10Y120 ROW 31 TILEPROP INT_L_X10Y120 SLR_REGION_ID 0 TILEPROP INT_L_X10Y120 TILE_PATTERN_IDX 4702 TILEPROP INT_L_X10Y120 TILE_TYPE INT_L TILEPROP INT_L_X10Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y120 TILE_X -47592 TILEPROP INT_L_X10Y120 TILE_Y 146872 TILEPROP INT_L_X10Y120 TYPE INT_L TILEPROP INT_L_X10Y121 CLASS tile TILEPROP INT_L_X10Y121 COLUMN 31 TILEPROP INT_L_X10Y121 DEVICE_ID 0 TILEPROP INT_L_X10Y121 FIRST_SITE_ID 2995 TILEPROP INT_L_X10Y121 GRID_POINT_X 31 TILEPROP INT_L_X10Y121 GRID_POINT_Y 30 TILEPROP INT_L_X10Y121 INDEX 3481 TILEPROP INT_L_X10Y121 INT_TILE_X 10 TILEPROP INT_L_X10Y121 INT_TILE_Y 28 TILEPROP INT_L_X10Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y121 IS_DCM_TILE 0 TILEPROP INT_L_X10Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y121 NAME INT_L_X10Y121 TILEPROP INT_L_X10Y121 NUM_ARCS 3737 TILEPROP INT_L_X10Y121 NUM_SITES 1 TILEPROP INT_L_X10Y121 ROW 30 TILEPROP INT_L_X10Y121 SLR_REGION_ID 0 TILEPROP INT_L_X10Y121 TILE_PATTERN_IDX 4666 TILEPROP INT_L_X10Y121 TILE_TYPE INT_L TILEPROP INT_L_X10Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y121 TILE_X -47592 TILEPROP INT_L_X10Y121 TILE_Y 150072 TILEPROP INT_L_X10Y121 TYPE INT_L TILEPROP INT_L_X10Y122 CLASS tile TILEPROP INT_L_X10Y122 COLUMN 31 TILEPROP INT_L_X10Y122 DEVICE_ID 0 TILEPROP INT_L_X10Y122 FIRST_SITE_ID 2892 TILEPROP INT_L_X10Y122 GRID_POINT_X 31 TILEPROP INT_L_X10Y122 GRID_POINT_Y 29 TILEPROP INT_L_X10Y122 INDEX 3366 TILEPROP INT_L_X10Y122 INT_TILE_X 10 TILEPROP INT_L_X10Y122 INT_TILE_Y 27 TILEPROP INT_L_X10Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y122 IS_DCM_TILE 0 TILEPROP INT_L_X10Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y122 NAME INT_L_X10Y122 TILEPROP INT_L_X10Y122 NUM_ARCS 3737 TILEPROP INT_L_X10Y122 NUM_SITES 1 TILEPROP INT_L_X10Y122 ROW 29 TILEPROP INT_L_X10Y122 SLR_REGION_ID 0 TILEPROP INT_L_X10Y122 TILE_PATTERN_IDX 4628 TILEPROP INT_L_X10Y122 TILE_TYPE INT_L TILEPROP INT_L_X10Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y122 TILE_X -47592 TILEPROP INT_L_X10Y122 TILE_Y 153272 TILEPROP INT_L_X10Y122 TYPE INT_L TILEPROP INT_L_X10Y123 CLASS tile TILEPROP INT_L_X10Y123 COLUMN 31 TILEPROP INT_L_X10Y123 DEVICE_ID 0 TILEPROP INT_L_X10Y123 FIRST_SITE_ID 2804 TILEPROP INT_L_X10Y123 GRID_POINT_X 31 TILEPROP INT_L_X10Y123 GRID_POINT_Y 28 TILEPROP INT_L_X10Y123 INDEX 3251 TILEPROP INT_L_X10Y123 INT_TILE_X 10 TILEPROP INT_L_X10Y123 INT_TILE_Y 26 TILEPROP INT_L_X10Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y123 IS_DCM_TILE 0 TILEPROP INT_L_X10Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y123 NAME INT_L_X10Y123 TILEPROP INT_L_X10Y123 NUM_ARCS 3737 TILEPROP INT_L_X10Y123 NUM_SITES 1 TILEPROP INT_L_X10Y123 ROW 28 TILEPROP INT_L_X10Y123 SLR_REGION_ID 0 TILEPROP INT_L_X10Y123 TILE_PATTERN_IDX 4592 TILEPROP INT_L_X10Y123 TILE_TYPE INT_L TILEPROP INT_L_X10Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y123 TILE_X -47592 TILEPROP INT_L_X10Y123 TILE_Y 156472 TILEPROP INT_L_X10Y123 TYPE INT_L TILEPROP INT_L_X10Y124 CLASS tile TILEPROP INT_L_X10Y124 COLUMN 31 TILEPROP INT_L_X10Y124 DEVICE_ID 0 TILEPROP INT_L_X10Y124 FIRST_SITE_ID 2708 TILEPROP INT_L_X10Y124 GRID_POINT_X 31 TILEPROP INT_L_X10Y124 GRID_POINT_Y 27 TILEPROP INT_L_X10Y124 INDEX 3136 TILEPROP INT_L_X10Y124 INT_TILE_X 10 TILEPROP INT_L_X10Y124 INT_TILE_Y 25 TILEPROP INT_L_X10Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y124 IS_DCM_TILE 0 TILEPROP INT_L_X10Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y124 NAME INT_L_X10Y124 TILEPROP INT_L_X10Y124 NUM_ARCS 3737 TILEPROP INT_L_X10Y124 NUM_SITES 1 TILEPROP INT_L_X10Y124 ROW 27 TILEPROP INT_L_X10Y124 SLR_REGION_ID 0 TILEPROP INT_L_X10Y124 TILE_PATTERN_IDX 4555 TILEPROP INT_L_X10Y124 TILE_TYPE INT_L TILEPROP INT_L_X10Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y124 TILE_X -47592 TILEPROP INT_L_X10Y124 TILE_Y 159672 TILEPROP INT_L_X10Y124 TYPE INT_L TILEPROP INT_L_X10Y125 CLASS tile TILEPROP INT_L_X10Y125 COLUMN 31 TILEPROP INT_L_X10Y125 DEVICE_ID 0 TILEPROP INT_L_X10Y125 FIRST_SITE_ID 2535 TILEPROP INT_L_X10Y125 GRID_POINT_X 31 TILEPROP INT_L_X10Y125 GRID_POINT_Y 25 TILEPROP INT_L_X10Y125 INDEX 2906 TILEPROP INT_L_X10Y125 INT_TILE_X 10 TILEPROP INT_L_X10Y125 INT_TILE_Y 24 TILEPROP INT_L_X10Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y125 IS_DCM_TILE 0 TILEPROP INT_L_X10Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y125 NAME INT_L_X10Y125 TILEPROP INT_L_X10Y125 NUM_ARCS 3737 TILEPROP INT_L_X10Y125 NUM_SITES 1 TILEPROP INT_L_X10Y125 ROW 25 TILEPROP INT_L_X10Y125 SLR_REGION_ID 0 TILEPROP INT_L_X10Y125 TILE_PATTERN_IDX 4476 TILEPROP INT_L_X10Y125 TILE_TYPE INT_L TILEPROP INT_L_X10Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y125 TILE_X -47592 TILEPROP INT_L_X10Y125 TILE_Y 163896 TILEPROP INT_L_X10Y125 TYPE INT_L TILEPROP INT_L_X10Y126 CLASS tile TILEPROP INT_L_X10Y126 COLUMN 31 TILEPROP INT_L_X10Y126 DEVICE_ID 0 TILEPROP INT_L_X10Y126 FIRST_SITE_ID 2425 TILEPROP INT_L_X10Y126 GRID_POINT_X 31 TILEPROP INT_L_X10Y126 GRID_POINT_Y 24 TILEPROP INT_L_X10Y126 INDEX 2791 TILEPROP INT_L_X10Y126 INT_TILE_X 10 TILEPROP INT_L_X10Y126 INT_TILE_Y 23 TILEPROP INT_L_X10Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y126 IS_DCM_TILE 0 TILEPROP INT_L_X10Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y126 NAME INT_L_X10Y126 TILEPROP INT_L_X10Y126 NUM_ARCS 3737 TILEPROP INT_L_X10Y126 NUM_SITES 1 TILEPROP INT_L_X10Y126 ROW 24 TILEPROP INT_L_X10Y126 SLR_REGION_ID 0 TILEPROP INT_L_X10Y126 TILE_PATTERN_IDX 4437 TILEPROP INT_L_X10Y126 TILE_TYPE INT_L TILEPROP INT_L_X10Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y126 TILE_X -47592 TILEPROP INT_L_X10Y126 TILE_Y 167096 TILEPROP INT_L_X10Y126 TYPE INT_L TILEPROP INT_L_X10Y127 CLASS tile TILEPROP INT_L_X10Y127 COLUMN 31 TILEPROP INT_L_X10Y127 DEVICE_ID 0 TILEPROP INT_L_X10Y127 FIRST_SITE_ID 2329 TILEPROP INT_L_X10Y127 GRID_POINT_X 31 TILEPROP INT_L_X10Y127 GRID_POINT_Y 23 TILEPROP INT_L_X10Y127 INDEX 2676 TILEPROP INT_L_X10Y127 INT_TILE_X 10 TILEPROP INT_L_X10Y127 INT_TILE_Y 22 TILEPROP INT_L_X10Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y127 IS_DCM_TILE 0 TILEPROP INT_L_X10Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y127 NAME INT_L_X10Y127 TILEPROP INT_L_X10Y127 NUM_ARCS 3737 TILEPROP INT_L_X10Y127 NUM_SITES 1 TILEPROP INT_L_X10Y127 ROW 23 TILEPROP INT_L_X10Y127 SLR_REGION_ID 0 TILEPROP INT_L_X10Y127 TILE_PATTERN_IDX 4399 TILEPROP INT_L_X10Y127 TILE_TYPE INT_L TILEPROP INT_L_X10Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y127 TILE_X -47592 TILEPROP INT_L_X10Y127 TILE_Y 170296 TILEPROP INT_L_X10Y127 TYPE INT_L TILEPROP INT_L_X10Y128 CLASS tile TILEPROP INT_L_X10Y128 COLUMN 31 TILEPROP INT_L_X10Y128 DEVICE_ID 0 TILEPROP INT_L_X10Y128 FIRST_SITE_ID 2225 TILEPROP INT_L_X10Y128 GRID_POINT_X 31 TILEPROP INT_L_X10Y128 GRID_POINT_Y 22 TILEPROP INT_L_X10Y128 INDEX 2561 TILEPROP INT_L_X10Y128 INT_TILE_X 10 TILEPROP INT_L_X10Y128 INT_TILE_Y 21 TILEPROP INT_L_X10Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y128 IS_DCM_TILE 0 TILEPROP INT_L_X10Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y128 NAME INT_L_X10Y128 TILEPROP INT_L_X10Y128 NUM_ARCS 3737 TILEPROP INT_L_X10Y128 NUM_SITES 1 TILEPROP INT_L_X10Y128 ROW 22 TILEPROP INT_L_X10Y128 SLR_REGION_ID 0 TILEPROP INT_L_X10Y128 TILE_PATTERN_IDX 4360 TILEPROP INT_L_X10Y128 TILE_TYPE INT_L TILEPROP INT_L_X10Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y128 TILE_X -47592 TILEPROP INT_L_X10Y128 TILE_Y 173496 TILEPROP INT_L_X10Y128 TYPE INT_L TILEPROP INT_L_X10Y129 CLASS tile TILEPROP INT_L_X10Y129 COLUMN 31 TILEPROP INT_L_X10Y129 DEVICE_ID 0 TILEPROP INT_L_X10Y129 FIRST_SITE_ID 2129 TILEPROP INT_L_X10Y129 GRID_POINT_X 31 TILEPROP INT_L_X10Y129 GRID_POINT_Y 21 TILEPROP INT_L_X10Y129 INDEX 2446 TILEPROP INT_L_X10Y129 INT_TILE_X 10 TILEPROP INT_L_X10Y129 INT_TILE_Y 20 TILEPROP INT_L_X10Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y129 IS_DCM_TILE 0 TILEPROP INT_L_X10Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y129 NAME INT_L_X10Y129 TILEPROP INT_L_X10Y129 NUM_ARCS 3737 TILEPROP INT_L_X10Y129 NUM_SITES 1 TILEPROP INT_L_X10Y129 ROW 21 TILEPROP INT_L_X10Y129 SLR_REGION_ID 0 TILEPROP INT_L_X10Y129 TILE_PATTERN_IDX 4322 TILEPROP INT_L_X10Y129 TILE_TYPE INT_L TILEPROP INT_L_X10Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y129 TILE_X -47592 TILEPROP INT_L_X10Y129 TILE_Y 176696 TILEPROP INT_L_X10Y129 TYPE INT_L TILEPROP INT_L_X10Y130 CLASS tile TILEPROP INT_L_X10Y130 COLUMN 31 TILEPROP INT_L_X10Y130 DEVICE_ID 0 TILEPROP INT_L_X10Y130 FIRST_SITE_ID 2013 TILEPROP INT_L_X10Y130 GRID_POINT_X 31 TILEPROP INT_L_X10Y130 GRID_POINT_Y 20 TILEPROP INT_L_X10Y130 INDEX 2331 TILEPROP INT_L_X10Y130 INT_TILE_X 10 TILEPROP INT_L_X10Y130 INT_TILE_Y 19 TILEPROP INT_L_X10Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y130 IS_DCM_TILE 0 TILEPROP INT_L_X10Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y130 NAME INT_L_X10Y130 TILEPROP INT_L_X10Y130 NUM_ARCS 3737 TILEPROP INT_L_X10Y130 NUM_SITES 1 TILEPROP INT_L_X10Y130 ROW 20 TILEPROP INT_L_X10Y130 SLR_REGION_ID 0 TILEPROP INT_L_X10Y130 TILE_PATTERN_IDX 4282 TILEPROP INT_L_X10Y130 TILE_TYPE INT_L TILEPROP INT_L_X10Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y130 TILE_X -47592 TILEPROP INT_L_X10Y130 TILE_Y 179896 TILEPROP INT_L_X10Y130 TYPE INT_L TILEPROP INT_L_X10Y131 CLASS tile TILEPROP INT_L_X10Y131 COLUMN 31 TILEPROP INT_L_X10Y131 DEVICE_ID 0 TILEPROP INT_L_X10Y131 FIRST_SITE_ID 1911 TILEPROP INT_L_X10Y131 GRID_POINT_X 31 TILEPROP INT_L_X10Y131 GRID_POINT_Y 19 TILEPROP INT_L_X10Y131 INDEX 2216 TILEPROP INT_L_X10Y131 INT_TILE_X 10 TILEPROP INT_L_X10Y131 INT_TILE_Y 18 TILEPROP INT_L_X10Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y131 IS_DCM_TILE 0 TILEPROP INT_L_X10Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y131 NAME INT_L_X10Y131 TILEPROP INT_L_X10Y131 NUM_ARCS 3737 TILEPROP INT_L_X10Y131 NUM_SITES 1 TILEPROP INT_L_X10Y131 ROW 19 TILEPROP INT_L_X10Y131 SLR_REGION_ID 0 TILEPROP INT_L_X10Y131 TILE_PATTERN_IDX 4244 TILEPROP INT_L_X10Y131 TILE_TYPE INT_L TILEPROP INT_L_X10Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y131 TILE_X -47592 TILEPROP INT_L_X10Y131 TILE_Y 183096 TILEPROP INT_L_X10Y131 TYPE INT_L TILEPROP INT_L_X10Y132 CLASS tile TILEPROP INT_L_X10Y132 COLUMN 31 TILEPROP INT_L_X10Y132 DEVICE_ID 0 TILEPROP INT_L_X10Y132 FIRST_SITE_ID 1805 TILEPROP INT_L_X10Y132 GRID_POINT_X 31 TILEPROP INT_L_X10Y132 GRID_POINT_Y 18 TILEPROP INT_L_X10Y132 INDEX 2101 TILEPROP INT_L_X10Y132 INT_TILE_X 10 TILEPROP INT_L_X10Y132 INT_TILE_Y 17 TILEPROP INT_L_X10Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y132 IS_DCM_TILE 0 TILEPROP INT_L_X10Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y132 NAME INT_L_X10Y132 TILEPROP INT_L_X10Y132 NUM_ARCS 3737 TILEPROP INT_L_X10Y132 NUM_SITES 1 TILEPROP INT_L_X10Y132 ROW 18 TILEPROP INT_L_X10Y132 SLR_REGION_ID 0 TILEPROP INT_L_X10Y132 TILE_PATTERN_IDX 4205 TILEPROP INT_L_X10Y132 TILE_TYPE INT_L TILEPROP INT_L_X10Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y132 TILE_X -47592 TILEPROP INT_L_X10Y132 TILE_Y 186296 TILEPROP INT_L_X10Y132 TYPE INT_L TILEPROP INT_L_X10Y133 CLASS tile TILEPROP INT_L_X10Y133 COLUMN 31 TILEPROP INT_L_X10Y133 DEVICE_ID 0 TILEPROP INT_L_X10Y133 FIRST_SITE_ID 1704 TILEPROP INT_L_X10Y133 GRID_POINT_X 31 TILEPROP INT_L_X10Y133 GRID_POINT_Y 17 TILEPROP INT_L_X10Y133 INDEX 1986 TILEPROP INT_L_X10Y133 INT_TILE_X 10 TILEPROP INT_L_X10Y133 INT_TILE_Y 16 TILEPROP INT_L_X10Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y133 IS_DCM_TILE 0 TILEPROP INT_L_X10Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y133 NAME INT_L_X10Y133 TILEPROP INT_L_X10Y133 NUM_ARCS 3737 TILEPROP INT_L_X10Y133 NUM_SITES 1 TILEPROP INT_L_X10Y133 ROW 17 TILEPROP INT_L_X10Y133 SLR_REGION_ID 0 TILEPROP INT_L_X10Y133 TILE_PATTERN_IDX 4167 TILEPROP INT_L_X10Y133 TILE_TYPE INT_L TILEPROP INT_L_X10Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y133 TILE_X -47592 TILEPROP INT_L_X10Y133 TILE_Y 189496 TILEPROP INT_L_X10Y133 TYPE INT_L TILEPROP INT_L_X10Y134 CLASS tile TILEPROP INT_L_X10Y134 COLUMN 31 TILEPROP INT_L_X10Y134 DEVICE_ID 0 TILEPROP INT_L_X10Y134 FIRST_SITE_ID 1600 TILEPROP INT_L_X10Y134 GRID_POINT_X 31 TILEPROP INT_L_X10Y134 GRID_POINT_Y 16 TILEPROP INT_L_X10Y134 INDEX 1871 TILEPROP INT_L_X10Y134 INT_TILE_X 10 TILEPROP INT_L_X10Y134 INT_TILE_Y 15 TILEPROP INT_L_X10Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y134 IS_DCM_TILE 0 TILEPROP INT_L_X10Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y134 NAME INT_L_X10Y134 TILEPROP INT_L_X10Y134 NUM_ARCS 3737 TILEPROP INT_L_X10Y134 NUM_SITES 1 TILEPROP INT_L_X10Y134 ROW 16 TILEPROP INT_L_X10Y134 SLR_REGION_ID 0 TILEPROP INT_L_X10Y134 TILE_PATTERN_IDX 4128 TILEPROP INT_L_X10Y134 TILE_TYPE INT_L TILEPROP INT_L_X10Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y134 TILE_X -47592 TILEPROP INT_L_X10Y134 TILE_Y 192696 TILEPROP INT_L_X10Y134 TYPE INT_L TILEPROP INT_L_X10Y135 CLASS tile TILEPROP INT_L_X10Y135 COLUMN 31 TILEPROP INT_L_X10Y135 DEVICE_ID 0 TILEPROP INT_L_X10Y135 FIRST_SITE_ID 1498 TILEPROP INT_L_X10Y135 GRID_POINT_X 31 TILEPROP INT_L_X10Y135 GRID_POINT_Y 15 TILEPROP INT_L_X10Y135 INDEX 1756 TILEPROP INT_L_X10Y135 INT_TILE_X 10 TILEPROP INT_L_X10Y135 INT_TILE_Y 14 TILEPROP INT_L_X10Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y135 IS_DCM_TILE 0 TILEPROP INT_L_X10Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y135 NAME INT_L_X10Y135 TILEPROP INT_L_X10Y135 NUM_ARCS 3737 TILEPROP INT_L_X10Y135 NUM_SITES 1 TILEPROP INT_L_X10Y135 ROW 15 TILEPROP INT_L_X10Y135 SLR_REGION_ID 0 TILEPROP INT_L_X10Y135 TILE_PATTERN_IDX 4090 TILEPROP INT_L_X10Y135 TILE_TYPE INT_L TILEPROP INT_L_X10Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y135 TILE_X -47592 TILEPROP INT_L_X10Y135 TILE_Y 195896 TILEPROP INT_L_X10Y135 TYPE INT_L TILEPROP INT_L_X10Y136 CLASS tile TILEPROP INT_L_X10Y136 COLUMN 31 TILEPROP INT_L_X10Y136 DEVICE_ID 0 TILEPROP INT_L_X10Y136 FIRST_SITE_ID 1356 TILEPROP INT_L_X10Y136 GRID_POINT_X 31 TILEPROP INT_L_X10Y136 GRID_POINT_Y 14 TILEPROP INT_L_X10Y136 INDEX 1641 TILEPROP INT_L_X10Y136 INT_TILE_X 10 TILEPROP INT_L_X10Y136 INT_TILE_Y 13 TILEPROP INT_L_X10Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y136 IS_DCM_TILE 0 TILEPROP INT_L_X10Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y136 NAME INT_L_X10Y136 TILEPROP INT_L_X10Y136 NUM_ARCS 3737 TILEPROP INT_L_X10Y136 NUM_SITES 1 TILEPROP INT_L_X10Y136 ROW 14 TILEPROP INT_L_X10Y136 SLR_REGION_ID 0 TILEPROP INT_L_X10Y136 TILE_PATTERN_IDX 4051 TILEPROP INT_L_X10Y136 TILE_TYPE INT_L TILEPROP INT_L_X10Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y136 TILE_X -47592 TILEPROP INT_L_X10Y136 TILE_Y 199096 TILEPROP INT_L_X10Y136 TYPE INT_L TILEPROP INT_L_X10Y137 CLASS tile TILEPROP INT_L_X10Y137 COLUMN 31 TILEPROP INT_L_X10Y137 DEVICE_ID 0 TILEPROP INT_L_X10Y137 FIRST_SITE_ID 1260 TILEPROP INT_L_X10Y137 GRID_POINT_X 31 TILEPROP INT_L_X10Y137 GRID_POINT_Y 13 TILEPROP INT_L_X10Y137 INDEX 1526 TILEPROP INT_L_X10Y137 INT_TILE_X 10 TILEPROP INT_L_X10Y137 INT_TILE_Y 12 TILEPROP INT_L_X10Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y137 IS_DCM_TILE 0 TILEPROP INT_L_X10Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y137 NAME INT_L_X10Y137 TILEPROP INT_L_X10Y137 NUM_ARCS 3737 TILEPROP INT_L_X10Y137 NUM_SITES 1 TILEPROP INT_L_X10Y137 ROW 13 TILEPROP INT_L_X10Y137 SLR_REGION_ID 0 TILEPROP INT_L_X10Y137 TILE_PATTERN_IDX 4013 TILEPROP INT_L_X10Y137 TILE_TYPE INT_L TILEPROP INT_L_X10Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y137 TILE_X -47592 TILEPROP INT_L_X10Y137 TILE_Y 202296 TILEPROP INT_L_X10Y137 TYPE INT_L TILEPROP INT_L_X10Y138 CLASS tile TILEPROP INT_L_X10Y138 COLUMN 31 TILEPROP INT_L_X10Y138 DEVICE_ID 0 TILEPROP INT_L_X10Y138 FIRST_SITE_ID 1156 TILEPROP INT_L_X10Y138 GRID_POINT_X 31 TILEPROP INT_L_X10Y138 GRID_POINT_Y 12 TILEPROP INT_L_X10Y138 INDEX 1411 TILEPROP INT_L_X10Y138 INT_TILE_X 10 TILEPROP INT_L_X10Y138 INT_TILE_Y 11 TILEPROP INT_L_X10Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y138 IS_DCM_TILE 0 TILEPROP INT_L_X10Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y138 NAME INT_L_X10Y138 TILEPROP INT_L_X10Y138 NUM_ARCS 3737 TILEPROP INT_L_X10Y138 NUM_SITES 1 TILEPROP INT_L_X10Y138 ROW 12 TILEPROP INT_L_X10Y138 SLR_REGION_ID 0 TILEPROP INT_L_X10Y138 TILE_PATTERN_IDX 3974 TILEPROP INT_L_X10Y138 TILE_TYPE INT_L TILEPROP INT_L_X10Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y138 TILE_X -47592 TILEPROP INT_L_X10Y138 TILE_Y 205496 TILEPROP INT_L_X10Y138 TYPE INT_L TILEPROP INT_L_X10Y139 CLASS tile TILEPROP INT_L_X10Y139 COLUMN 31 TILEPROP INT_L_X10Y139 DEVICE_ID 0 TILEPROP INT_L_X10Y139 FIRST_SITE_ID 1060 TILEPROP INT_L_X10Y139 GRID_POINT_X 31 TILEPROP INT_L_X10Y139 GRID_POINT_Y 11 TILEPROP INT_L_X10Y139 INDEX 1296 TILEPROP INT_L_X10Y139 INT_TILE_X 10 TILEPROP INT_L_X10Y139 INT_TILE_Y 10 TILEPROP INT_L_X10Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y139 IS_DCM_TILE 0 TILEPROP INT_L_X10Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y139 NAME INT_L_X10Y139 TILEPROP INT_L_X10Y139 NUM_ARCS 3737 TILEPROP INT_L_X10Y139 NUM_SITES 1 TILEPROP INT_L_X10Y139 ROW 11 TILEPROP INT_L_X10Y139 SLR_REGION_ID 0 TILEPROP INT_L_X10Y139 TILE_PATTERN_IDX 3936 TILEPROP INT_L_X10Y139 TILE_TYPE INT_L TILEPROP INT_L_X10Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y139 TILE_X -47592 TILEPROP INT_L_X10Y139 TILE_Y 208696 TILEPROP INT_L_X10Y139 TYPE INT_L TILEPROP INT_L_X10Y140 CLASS tile TILEPROP INT_L_X10Y140 COLUMN 31 TILEPROP INT_L_X10Y140 DEVICE_ID 0 TILEPROP INT_L_X10Y140 FIRST_SITE_ID 950 TILEPROP INT_L_X10Y140 GRID_POINT_X 31 TILEPROP INT_L_X10Y140 GRID_POINT_Y 10 TILEPROP INT_L_X10Y140 INDEX 1181 TILEPROP INT_L_X10Y140 INT_TILE_X 10 TILEPROP INT_L_X10Y140 INT_TILE_Y 9 TILEPROP INT_L_X10Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y140 IS_DCM_TILE 0 TILEPROP INT_L_X10Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y140 NAME INT_L_X10Y140 TILEPROP INT_L_X10Y140 NUM_ARCS 3737 TILEPROP INT_L_X10Y140 NUM_SITES 1 TILEPROP INT_L_X10Y140 ROW 10 TILEPROP INT_L_X10Y140 SLR_REGION_ID 0 TILEPROP INT_L_X10Y140 TILE_PATTERN_IDX 3897 TILEPROP INT_L_X10Y140 TILE_TYPE INT_L TILEPROP INT_L_X10Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y140 TILE_X -47592 TILEPROP INT_L_X10Y140 TILE_Y 211896 TILEPROP INT_L_X10Y140 TYPE INT_L TILEPROP INT_L_X10Y141 CLASS tile TILEPROP INT_L_X10Y141 COLUMN 31 TILEPROP INT_L_X10Y141 DEVICE_ID 0 TILEPROP INT_L_X10Y141 FIRST_SITE_ID 848 TILEPROP INT_L_X10Y141 GRID_POINT_X 31 TILEPROP INT_L_X10Y141 GRID_POINT_Y 9 TILEPROP INT_L_X10Y141 INDEX 1066 TILEPROP INT_L_X10Y141 INT_TILE_X 10 TILEPROP INT_L_X10Y141 INT_TILE_Y 8 TILEPROP INT_L_X10Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y141 IS_DCM_TILE 0 TILEPROP INT_L_X10Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y141 NAME INT_L_X10Y141 TILEPROP INT_L_X10Y141 NUM_ARCS 3737 TILEPROP INT_L_X10Y141 NUM_SITES 1 TILEPROP INT_L_X10Y141 ROW 9 TILEPROP INT_L_X10Y141 SLR_REGION_ID 0 TILEPROP INT_L_X10Y141 TILE_PATTERN_IDX 3859 TILEPROP INT_L_X10Y141 TILE_TYPE INT_L TILEPROP INT_L_X10Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y141 TILE_X -47592 TILEPROP INT_L_X10Y141 TILE_Y 215096 TILEPROP INT_L_X10Y141 TYPE INT_L TILEPROP INT_L_X10Y142 CLASS tile TILEPROP INT_L_X10Y142 COLUMN 31 TILEPROP INT_L_X10Y142 DEVICE_ID 0 TILEPROP INT_L_X10Y142 FIRST_SITE_ID 744 TILEPROP INT_L_X10Y142 GRID_POINT_X 31 TILEPROP INT_L_X10Y142 GRID_POINT_Y 8 TILEPROP INT_L_X10Y142 INDEX 951 TILEPROP INT_L_X10Y142 INT_TILE_X 10 TILEPROP INT_L_X10Y142 INT_TILE_Y 7 TILEPROP INT_L_X10Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y142 IS_DCM_TILE 0 TILEPROP INT_L_X10Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y142 NAME INT_L_X10Y142 TILEPROP INT_L_X10Y142 NUM_ARCS 3737 TILEPROP INT_L_X10Y142 NUM_SITES 1 TILEPROP INT_L_X10Y142 ROW 8 TILEPROP INT_L_X10Y142 SLR_REGION_ID 0 TILEPROP INT_L_X10Y142 TILE_PATTERN_IDX 3820 TILEPROP INT_L_X10Y142 TILE_TYPE INT_L TILEPROP INT_L_X10Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y142 TILE_X -47592 TILEPROP INT_L_X10Y142 TILE_Y 218296 TILEPROP INT_L_X10Y142 TYPE INT_L TILEPROP INT_L_X10Y143 CLASS tile TILEPROP INT_L_X10Y143 COLUMN 31 TILEPROP INT_L_X10Y143 DEVICE_ID 0 TILEPROP INT_L_X10Y143 FIRST_SITE_ID 647 TILEPROP INT_L_X10Y143 GRID_POINT_X 31 TILEPROP INT_L_X10Y143 GRID_POINT_Y 7 TILEPROP INT_L_X10Y143 INDEX 836 TILEPROP INT_L_X10Y143 INT_TILE_X 10 TILEPROP INT_L_X10Y143 INT_TILE_Y 6 TILEPROP INT_L_X10Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y143 IS_DCM_TILE 0 TILEPROP INT_L_X10Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y143 NAME INT_L_X10Y143 TILEPROP INT_L_X10Y143 NUM_ARCS 3737 TILEPROP INT_L_X10Y143 NUM_SITES 1 TILEPROP INT_L_X10Y143 ROW 7 TILEPROP INT_L_X10Y143 SLR_REGION_ID 0 TILEPROP INT_L_X10Y143 TILE_PATTERN_IDX 3782 TILEPROP INT_L_X10Y143 TILE_TYPE INT_L TILEPROP INT_L_X10Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y143 TILE_X -47592 TILEPROP INT_L_X10Y143 TILE_Y 221496 TILEPROP INT_L_X10Y143 TYPE INT_L TILEPROP INT_L_X10Y144 CLASS tile TILEPROP INT_L_X10Y144 COLUMN 31 TILEPROP INT_L_X10Y144 DEVICE_ID 0 TILEPROP INT_L_X10Y144 FIRST_SITE_ID 536 TILEPROP INT_L_X10Y144 GRID_POINT_X 31 TILEPROP INT_L_X10Y144 GRID_POINT_Y 6 TILEPROP INT_L_X10Y144 INDEX 721 TILEPROP INT_L_X10Y144 INT_TILE_X 10 TILEPROP INT_L_X10Y144 INT_TILE_Y 5 TILEPROP INT_L_X10Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y144 IS_DCM_TILE 0 TILEPROP INT_L_X10Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y144 NAME INT_L_X10Y144 TILEPROP INT_L_X10Y144 NUM_ARCS 3737 TILEPROP INT_L_X10Y144 NUM_SITES 1 TILEPROP INT_L_X10Y144 ROW 6 TILEPROP INT_L_X10Y144 SLR_REGION_ID 0 TILEPROP INT_L_X10Y144 TILE_PATTERN_IDX 3743 TILEPROP INT_L_X10Y144 TILE_TYPE INT_L TILEPROP INT_L_X10Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y144 TILE_X -47592 TILEPROP INT_L_X10Y144 TILE_Y 224696 TILEPROP INT_L_X10Y144 TYPE INT_L TILEPROP INT_L_X10Y145 CLASS tile TILEPROP INT_L_X10Y145 COLUMN 31 TILEPROP INT_L_X10Y145 DEVICE_ID 0 TILEPROP INT_L_X10Y145 FIRST_SITE_ID 434 TILEPROP INT_L_X10Y145 GRID_POINT_X 31 TILEPROP INT_L_X10Y145 GRID_POINT_Y 5 TILEPROP INT_L_X10Y145 INDEX 606 TILEPROP INT_L_X10Y145 INT_TILE_X 10 TILEPROP INT_L_X10Y145 INT_TILE_Y 4 TILEPROP INT_L_X10Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y145 IS_DCM_TILE 0 TILEPROP INT_L_X10Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y145 NAME INT_L_X10Y145 TILEPROP INT_L_X10Y145 NUM_ARCS 3737 TILEPROP INT_L_X10Y145 NUM_SITES 1 TILEPROP INT_L_X10Y145 ROW 5 TILEPROP INT_L_X10Y145 SLR_REGION_ID 0 TILEPROP INT_L_X10Y145 TILE_PATTERN_IDX 3705 TILEPROP INT_L_X10Y145 TILE_TYPE INT_L TILEPROP INT_L_X10Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y145 TILE_X -47592 TILEPROP INT_L_X10Y145 TILE_Y 227896 TILEPROP INT_L_X10Y145 TYPE INT_L TILEPROP INT_L_X10Y146 CLASS tile TILEPROP INT_L_X10Y146 COLUMN 31 TILEPROP INT_L_X10Y146 DEVICE_ID 0 TILEPROP INT_L_X10Y146 FIRST_SITE_ID 324 TILEPROP INT_L_X10Y146 GRID_POINT_X 31 TILEPROP INT_L_X10Y146 GRID_POINT_Y 4 TILEPROP INT_L_X10Y146 INDEX 491 TILEPROP INT_L_X10Y146 INT_TILE_X 10 TILEPROP INT_L_X10Y146 INT_TILE_Y 3 TILEPROP INT_L_X10Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y146 IS_DCM_TILE 0 TILEPROP INT_L_X10Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y146 NAME INT_L_X10Y146 TILEPROP INT_L_X10Y146 NUM_ARCS 3737 TILEPROP INT_L_X10Y146 NUM_SITES 1 TILEPROP INT_L_X10Y146 ROW 4 TILEPROP INT_L_X10Y146 SLR_REGION_ID 0 TILEPROP INT_L_X10Y146 TILE_PATTERN_IDX 3666 TILEPROP INT_L_X10Y146 TILE_TYPE INT_L TILEPROP INT_L_X10Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y146 TILE_X -47592 TILEPROP INT_L_X10Y146 TILE_Y 231096 TILEPROP INT_L_X10Y146 TYPE INT_L TILEPROP INT_L_X10Y147 CLASS tile TILEPROP INT_L_X10Y147 COLUMN 31 TILEPROP INT_L_X10Y147 DEVICE_ID 0 TILEPROP INT_L_X10Y147 FIRST_SITE_ID 228 TILEPROP INT_L_X10Y147 GRID_POINT_X 31 TILEPROP INT_L_X10Y147 GRID_POINT_Y 3 TILEPROP INT_L_X10Y147 INDEX 376 TILEPROP INT_L_X10Y147 INT_TILE_X 10 TILEPROP INT_L_X10Y147 INT_TILE_Y 2 TILEPROP INT_L_X10Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y147 IS_DCM_TILE 0 TILEPROP INT_L_X10Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y147 NAME INT_L_X10Y147 TILEPROP INT_L_X10Y147 NUM_ARCS 3737 TILEPROP INT_L_X10Y147 NUM_SITES 1 TILEPROP INT_L_X10Y147 ROW 3 TILEPROP INT_L_X10Y147 SLR_REGION_ID 0 TILEPROP INT_L_X10Y147 TILE_PATTERN_IDX 3628 TILEPROP INT_L_X10Y147 TILE_TYPE INT_L TILEPROP INT_L_X10Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y147 TILE_X -47592 TILEPROP INT_L_X10Y147 TILE_Y 234296 TILEPROP INT_L_X10Y147 TYPE INT_L TILEPROP INT_L_X10Y148 CLASS tile TILEPROP INT_L_X10Y148 COLUMN 31 TILEPROP INT_L_X10Y148 DEVICE_ID 0 TILEPROP INT_L_X10Y148 FIRST_SITE_ID 124 TILEPROP INT_L_X10Y148 GRID_POINT_X 31 TILEPROP INT_L_X10Y148 GRID_POINT_Y 2 TILEPROP INT_L_X10Y148 INDEX 261 TILEPROP INT_L_X10Y148 INT_TILE_X 10 TILEPROP INT_L_X10Y148 INT_TILE_Y 1 TILEPROP INT_L_X10Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y148 IS_DCM_TILE 0 TILEPROP INT_L_X10Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y148 NAME INT_L_X10Y148 TILEPROP INT_L_X10Y148 NUM_ARCS 3737 TILEPROP INT_L_X10Y148 NUM_SITES 1 TILEPROP INT_L_X10Y148 ROW 2 TILEPROP INT_L_X10Y148 SLR_REGION_ID 0 TILEPROP INT_L_X10Y148 TILE_PATTERN_IDX 3589 TILEPROP INT_L_X10Y148 TILE_TYPE INT_L TILEPROP INT_L_X10Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y148 TILE_X -47592 TILEPROP INT_L_X10Y148 TILE_Y 237496 TILEPROP INT_L_X10Y148 TYPE INT_L TILEPROP INT_L_X10Y149 CLASS tile TILEPROP INT_L_X10Y149 COLUMN 31 TILEPROP INT_L_X10Y149 DEVICE_ID 0 TILEPROP INT_L_X10Y149 FIRST_SITE_ID 28 TILEPROP INT_L_X10Y149 GRID_POINT_X 31 TILEPROP INT_L_X10Y149 GRID_POINT_Y 1 TILEPROP INT_L_X10Y149 INDEX 146 TILEPROP INT_L_X10Y149 INT_TILE_X 10 TILEPROP INT_L_X10Y149 INT_TILE_Y 0 TILEPROP INT_L_X10Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X10Y149 IS_DCM_TILE 0 TILEPROP INT_L_X10Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X10Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X10Y149 NAME INT_L_X10Y149 TILEPROP INT_L_X10Y149 NUM_ARCS 3737 TILEPROP INT_L_X10Y149 NUM_SITES 1 TILEPROP INT_L_X10Y149 ROW 1 TILEPROP INT_L_X10Y149 SLR_REGION_ID 0 TILEPROP INT_L_X10Y149 TILE_PATTERN_IDX 3551 TILEPROP INT_L_X10Y149 TILE_TYPE INT_L TILEPROP INT_L_X10Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X10Y149 TILE_X -47592 TILEPROP INT_L_X10Y149 TILE_Y 240696 TILEPROP INT_L_X10Y149 TYPE INT_L TILEPROP INT_L_X12Y100 CLASS tile TILEPROP INT_L_X12Y100 COLUMN 36 TILEPROP INT_L_X12Y100 DEVICE_ID 0 TILEPROP INT_L_X12Y100 FIRST_SITE_ID 5027 TILEPROP INT_L_X12Y100 GRID_POINT_X 36 TILEPROP INT_L_X12Y100 GRID_POINT_Y 51 TILEPROP INT_L_X12Y100 INDEX 5901 TILEPROP INT_L_X12Y100 INT_TILE_X 12 TILEPROP INT_L_X12Y100 INT_TILE_Y 49 TILEPROP INT_L_X12Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y100 IS_DCM_TILE 0 TILEPROP INT_L_X12Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y100 NAME INT_L_X12Y100 TILEPROP INT_L_X12Y100 NUM_ARCS 3737 TILEPROP INT_L_X12Y100 NUM_SITES 1 TILEPROP INT_L_X12Y100 ROW 51 TILEPROP INT_L_X12Y100 SLR_REGION_ID 0 TILEPROP INT_L_X12Y100 TILE_PATTERN_IDX 5436 TILEPROP INT_L_X12Y100 TILE_TYPE INT_L TILEPROP INT_L_X12Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y100 TILE_X -39224 TILEPROP INT_L_X12Y100 TILE_Y 82872 TILEPROP INT_L_X12Y100 TYPE INT_L TILEPROP INT_L_X12Y101 CLASS tile TILEPROP INT_L_X12Y101 COLUMN 36 TILEPROP INT_L_X12Y101 DEVICE_ID 0 TILEPROP INT_L_X12Y101 FIRST_SITE_ID 4929 TILEPROP INT_L_X12Y101 GRID_POINT_X 36 TILEPROP INT_L_X12Y101 GRID_POINT_Y 50 TILEPROP INT_L_X12Y101 INDEX 5786 TILEPROP INT_L_X12Y101 INT_TILE_X 12 TILEPROP INT_L_X12Y101 INT_TILE_Y 48 TILEPROP INT_L_X12Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y101 IS_DCM_TILE 0 TILEPROP INT_L_X12Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y101 NAME INT_L_X12Y101 TILEPROP INT_L_X12Y101 NUM_ARCS 3737 TILEPROP INT_L_X12Y101 NUM_SITES 1 TILEPROP INT_L_X12Y101 ROW 50 TILEPROP INT_L_X12Y101 SLR_REGION_ID 0 TILEPROP INT_L_X12Y101 TILE_PATTERN_IDX 5400 TILEPROP INT_L_X12Y101 TILE_TYPE INT_L TILEPROP INT_L_X12Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y101 TILE_X -39224 TILEPROP INT_L_X12Y101 TILE_Y 86072 TILEPROP INT_L_X12Y101 TYPE INT_L TILEPROP INT_L_X12Y102 CLASS tile TILEPROP INT_L_X12Y102 COLUMN 36 TILEPROP INT_L_X12Y102 DEVICE_ID 0 TILEPROP INT_L_X12Y102 FIRST_SITE_ID 4833 TILEPROP INT_L_X12Y102 GRID_POINT_X 36 TILEPROP INT_L_X12Y102 GRID_POINT_Y 49 TILEPROP INT_L_X12Y102 INDEX 5671 TILEPROP INT_L_X12Y102 INT_TILE_X 12 TILEPROP INT_L_X12Y102 INT_TILE_Y 47 TILEPROP INT_L_X12Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y102 IS_DCM_TILE 0 TILEPROP INT_L_X12Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y102 NAME INT_L_X12Y102 TILEPROP INT_L_X12Y102 NUM_ARCS 3737 TILEPROP INT_L_X12Y102 NUM_SITES 1 TILEPROP INT_L_X12Y102 ROW 49 TILEPROP INT_L_X12Y102 SLR_REGION_ID 0 TILEPROP INT_L_X12Y102 TILE_PATTERN_IDX 5363 TILEPROP INT_L_X12Y102 TILE_TYPE INT_L TILEPROP INT_L_X12Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y102 TILE_X -39224 TILEPROP INT_L_X12Y102 TILE_Y 89272 TILEPROP INT_L_X12Y102 TYPE INT_L TILEPROP INT_L_X12Y103 CLASS tile TILEPROP INT_L_X12Y103 COLUMN 36 TILEPROP INT_L_X12Y103 DEVICE_ID 0 TILEPROP INT_L_X12Y103 FIRST_SITE_ID 4745 TILEPROP INT_L_X12Y103 GRID_POINT_X 36 TILEPROP INT_L_X12Y103 GRID_POINT_Y 48 TILEPROP INT_L_X12Y103 INDEX 5556 TILEPROP INT_L_X12Y103 INT_TILE_X 12 TILEPROP INT_L_X12Y103 INT_TILE_Y 46 TILEPROP INT_L_X12Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y103 IS_DCM_TILE 0 TILEPROP INT_L_X12Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y103 NAME INT_L_X12Y103 TILEPROP INT_L_X12Y103 NUM_ARCS 3737 TILEPROP INT_L_X12Y103 NUM_SITES 1 TILEPROP INT_L_X12Y103 ROW 48 TILEPROP INT_L_X12Y103 SLR_REGION_ID 0 TILEPROP INT_L_X12Y103 TILE_PATTERN_IDX 5327 TILEPROP INT_L_X12Y103 TILE_TYPE INT_L TILEPROP INT_L_X12Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y103 TILE_X -39224 TILEPROP INT_L_X12Y103 TILE_Y 92472 TILEPROP INT_L_X12Y103 TYPE INT_L TILEPROP INT_L_X12Y104 CLASS tile TILEPROP INT_L_X12Y104 COLUMN 36 TILEPROP INT_L_X12Y104 DEVICE_ID 0 TILEPROP INT_L_X12Y104 FIRST_SITE_ID 4649 TILEPROP INT_L_X12Y104 GRID_POINT_X 36 TILEPROP INT_L_X12Y104 GRID_POINT_Y 47 TILEPROP INT_L_X12Y104 INDEX 5441 TILEPROP INT_L_X12Y104 INT_TILE_X 12 TILEPROP INT_L_X12Y104 INT_TILE_Y 45 TILEPROP INT_L_X12Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y104 IS_DCM_TILE 0 TILEPROP INT_L_X12Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y104 NAME INT_L_X12Y104 TILEPROP INT_L_X12Y104 NUM_ARCS 3737 TILEPROP INT_L_X12Y104 NUM_SITES 1 TILEPROP INT_L_X12Y104 ROW 47 TILEPROP INT_L_X12Y104 SLR_REGION_ID 0 TILEPROP INT_L_X12Y104 TILE_PATTERN_IDX 5290 TILEPROP INT_L_X12Y104 TILE_TYPE INT_L TILEPROP INT_L_X12Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y104 TILE_X -39224 TILEPROP INT_L_X12Y104 TILE_Y 95672 TILEPROP INT_L_X12Y104 TYPE INT_L TILEPROP INT_L_X12Y105 CLASS tile TILEPROP INT_L_X12Y105 COLUMN 36 TILEPROP INT_L_X12Y105 DEVICE_ID 0 TILEPROP INT_L_X12Y105 FIRST_SITE_ID 4553 TILEPROP INT_L_X12Y105 GRID_POINT_X 36 TILEPROP INT_L_X12Y105 GRID_POINT_Y 46 TILEPROP INT_L_X12Y105 INDEX 5326 TILEPROP INT_L_X12Y105 INT_TILE_X 12 TILEPROP INT_L_X12Y105 INT_TILE_Y 44 TILEPROP INT_L_X12Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y105 IS_DCM_TILE 0 TILEPROP INT_L_X12Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y105 NAME INT_L_X12Y105 TILEPROP INT_L_X12Y105 NUM_ARCS 3737 TILEPROP INT_L_X12Y105 NUM_SITES 1 TILEPROP INT_L_X12Y105 ROW 46 TILEPROP INT_L_X12Y105 SLR_REGION_ID 0 TILEPROP INT_L_X12Y105 TILE_PATTERN_IDX 5254 TILEPROP INT_L_X12Y105 TILE_TYPE INT_L TILEPROP INT_L_X12Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y105 TILE_X -39224 TILEPROP INT_L_X12Y105 TILE_Y 98872 TILEPROP INT_L_X12Y105 TYPE INT_L TILEPROP INT_L_X12Y106 CLASS tile TILEPROP INT_L_X12Y106 COLUMN 36 TILEPROP INT_L_X12Y106 DEVICE_ID 0 TILEPROP INT_L_X12Y106 FIRST_SITE_ID 4451 TILEPROP INT_L_X12Y106 GRID_POINT_X 36 TILEPROP INT_L_X12Y106 GRID_POINT_Y 45 TILEPROP INT_L_X12Y106 INDEX 5211 TILEPROP INT_L_X12Y106 INT_TILE_X 12 TILEPROP INT_L_X12Y106 INT_TILE_Y 43 TILEPROP INT_L_X12Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y106 IS_DCM_TILE 0 TILEPROP INT_L_X12Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y106 NAME INT_L_X12Y106 TILEPROP INT_L_X12Y106 NUM_ARCS 3737 TILEPROP INT_L_X12Y106 NUM_SITES 1 TILEPROP INT_L_X12Y106 ROW 45 TILEPROP INT_L_X12Y106 SLR_REGION_ID 0 TILEPROP INT_L_X12Y106 TILE_PATTERN_IDX 5217 TILEPROP INT_L_X12Y106 TILE_TYPE INT_L TILEPROP INT_L_X12Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y106 TILE_X -39224 TILEPROP INT_L_X12Y106 TILE_Y 102072 TILEPROP INT_L_X12Y106 TYPE INT_L TILEPROP INT_L_X12Y107 CLASS tile TILEPROP INT_L_X12Y107 COLUMN 36 TILEPROP INT_L_X12Y107 DEVICE_ID 0 TILEPROP INT_L_X12Y107 FIRST_SITE_ID 4363 TILEPROP INT_L_X12Y107 GRID_POINT_X 36 TILEPROP INT_L_X12Y107 GRID_POINT_Y 44 TILEPROP INT_L_X12Y107 INDEX 5096 TILEPROP INT_L_X12Y107 INT_TILE_X 12 TILEPROP INT_L_X12Y107 INT_TILE_Y 42 TILEPROP INT_L_X12Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y107 IS_DCM_TILE 0 TILEPROP INT_L_X12Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y107 NAME INT_L_X12Y107 TILEPROP INT_L_X12Y107 NUM_ARCS 3737 TILEPROP INT_L_X12Y107 NUM_SITES 1 TILEPROP INT_L_X12Y107 ROW 44 TILEPROP INT_L_X12Y107 SLR_REGION_ID 0 TILEPROP INT_L_X12Y107 TILE_PATTERN_IDX 5181 TILEPROP INT_L_X12Y107 TILE_TYPE INT_L TILEPROP INT_L_X12Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y107 TILE_X -39224 TILEPROP INT_L_X12Y107 TILE_Y 105272 TILEPROP INT_L_X12Y107 TYPE INT_L TILEPROP INT_L_X12Y108 CLASS tile TILEPROP INT_L_X12Y108 COLUMN 36 TILEPROP INT_L_X12Y108 DEVICE_ID 0 TILEPROP INT_L_X12Y108 FIRST_SITE_ID 4265 TILEPROP INT_L_X12Y108 GRID_POINT_X 36 TILEPROP INT_L_X12Y108 GRID_POINT_Y 43 TILEPROP INT_L_X12Y108 INDEX 4981 TILEPROP INT_L_X12Y108 INT_TILE_X 12 TILEPROP INT_L_X12Y108 INT_TILE_Y 41 TILEPROP INT_L_X12Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y108 IS_DCM_TILE 0 TILEPROP INT_L_X12Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y108 NAME INT_L_X12Y108 TILEPROP INT_L_X12Y108 NUM_ARCS 3737 TILEPROP INT_L_X12Y108 NUM_SITES 1 TILEPROP INT_L_X12Y108 ROW 43 TILEPROP INT_L_X12Y108 SLR_REGION_ID 0 TILEPROP INT_L_X12Y108 TILE_PATTERN_IDX 5144 TILEPROP INT_L_X12Y108 TILE_TYPE INT_L TILEPROP INT_L_X12Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y108 TILE_X -39224 TILEPROP INT_L_X12Y108 TILE_Y 108472 TILEPROP INT_L_X12Y108 TYPE INT_L TILEPROP INT_L_X12Y109 CLASS tile TILEPROP INT_L_X12Y109 COLUMN 36 TILEPROP INT_L_X12Y109 DEVICE_ID 0 TILEPROP INT_L_X12Y109 FIRST_SITE_ID 4176 TILEPROP INT_L_X12Y109 GRID_POINT_X 36 TILEPROP INT_L_X12Y109 GRID_POINT_Y 42 TILEPROP INT_L_X12Y109 INDEX 4866 TILEPROP INT_L_X12Y109 INT_TILE_X 12 TILEPROP INT_L_X12Y109 INT_TILE_Y 40 TILEPROP INT_L_X12Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y109 IS_DCM_TILE 0 TILEPROP INT_L_X12Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y109 NAME INT_L_X12Y109 TILEPROP INT_L_X12Y109 NUM_ARCS 3737 TILEPROP INT_L_X12Y109 NUM_SITES 1 TILEPROP INT_L_X12Y109 ROW 42 TILEPROP INT_L_X12Y109 SLR_REGION_ID 0 TILEPROP INT_L_X12Y109 TILE_PATTERN_IDX 5108 TILEPROP INT_L_X12Y109 TILE_TYPE INT_L TILEPROP INT_L_X12Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y109 TILE_X -39224 TILEPROP INT_L_X12Y109 TILE_Y 111672 TILEPROP INT_L_X12Y109 TYPE INT_L TILEPROP INT_L_X12Y110 CLASS tile TILEPROP INT_L_X12Y110 COLUMN 36 TILEPROP INT_L_X12Y110 DEVICE_ID 0 TILEPROP INT_L_X12Y110 FIRST_SITE_ID 4076 TILEPROP INT_L_X12Y110 GRID_POINT_X 36 TILEPROP INT_L_X12Y110 GRID_POINT_Y 41 TILEPROP INT_L_X12Y110 INDEX 4751 TILEPROP INT_L_X12Y110 INT_TILE_X 12 TILEPROP INT_L_X12Y110 INT_TILE_Y 39 TILEPROP INT_L_X12Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y110 IS_DCM_TILE 0 TILEPROP INT_L_X12Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y110 NAME INT_L_X12Y110 TILEPROP INT_L_X12Y110 NUM_ARCS 3737 TILEPROP INT_L_X12Y110 NUM_SITES 1 TILEPROP INT_L_X12Y110 ROW 41 TILEPROP INT_L_X12Y110 SLR_REGION_ID 0 TILEPROP INT_L_X12Y110 TILE_PATTERN_IDX 5071 TILEPROP INT_L_X12Y110 TILE_TYPE INT_L TILEPROP INT_L_X12Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y110 TILE_X -39224 TILEPROP INT_L_X12Y110 TILE_Y 114872 TILEPROP INT_L_X12Y110 TYPE INT_L TILEPROP INT_L_X12Y111 CLASS tile TILEPROP INT_L_X12Y111 COLUMN 36 TILEPROP INT_L_X12Y111 DEVICE_ID 0 TILEPROP INT_L_X12Y111 FIRST_SITE_ID 3982 TILEPROP INT_L_X12Y111 GRID_POINT_X 36 TILEPROP INT_L_X12Y111 GRID_POINT_Y 40 TILEPROP INT_L_X12Y111 INDEX 4636 TILEPROP INT_L_X12Y111 INT_TILE_X 12 TILEPROP INT_L_X12Y111 INT_TILE_Y 38 TILEPROP INT_L_X12Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y111 IS_DCM_TILE 0 TILEPROP INT_L_X12Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y111 NAME INT_L_X12Y111 TILEPROP INT_L_X12Y111 NUM_ARCS 3737 TILEPROP INT_L_X12Y111 NUM_SITES 1 TILEPROP INT_L_X12Y111 ROW 40 TILEPROP INT_L_X12Y111 SLR_REGION_ID 0 TILEPROP INT_L_X12Y111 TILE_PATTERN_IDX 5035 TILEPROP INT_L_X12Y111 TILE_TYPE INT_L TILEPROP INT_L_X12Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y111 TILE_X -39224 TILEPROP INT_L_X12Y111 TILE_Y 118072 TILEPROP INT_L_X12Y111 TYPE INT_L TILEPROP INT_L_X12Y112 CLASS tile TILEPROP INT_L_X12Y112 COLUMN 36 TILEPROP INT_L_X12Y112 DEVICE_ID 0 TILEPROP INT_L_X12Y112 FIRST_SITE_ID 3854 TILEPROP INT_L_X12Y112 GRID_POINT_X 36 TILEPROP INT_L_X12Y112 GRID_POINT_Y 39 TILEPROP INT_L_X12Y112 INDEX 4521 TILEPROP INT_L_X12Y112 INT_TILE_X 12 TILEPROP INT_L_X12Y112 INT_TILE_Y 37 TILEPROP INT_L_X12Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y112 IS_DCM_TILE 0 TILEPROP INT_L_X12Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y112 NAME INT_L_X12Y112 TILEPROP INT_L_X12Y112 NUM_ARCS 3737 TILEPROP INT_L_X12Y112 NUM_SITES 1 TILEPROP INT_L_X12Y112 ROW 39 TILEPROP INT_L_X12Y112 SLR_REGION_ID 0 TILEPROP INT_L_X12Y112 TILE_PATTERN_IDX 4997 TILEPROP INT_L_X12Y112 TILE_TYPE INT_L TILEPROP INT_L_X12Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y112 TILE_X -39224 TILEPROP INT_L_X12Y112 TILE_Y 121272 TILEPROP INT_L_X12Y112 TYPE INT_L TILEPROP INT_L_X12Y113 CLASS tile TILEPROP INT_L_X12Y113 COLUMN 36 TILEPROP INT_L_X12Y113 DEVICE_ID 0 TILEPROP INT_L_X12Y113 FIRST_SITE_ID 3766 TILEPROP INT_L_X12Y113 GRID_POINT_X 36 TILEPROP INT_L_X12Y113 GRID_POINT_Y 38 TILEPROP INT_L_X12Y113 INDEX 4406 TILEPROP INT_L_X12Y113 INT_TILE_X 12 TILEPROP INT_L_X12Y113 INT_TILE_Y 36 TILEPROP INT_L_X12Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y113 IS_DCM_TILE 0 TILEPROP INT_L_X12Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y113 NAME INT_L_X12Y113 TILEPROP INT_L_X12Y113 NUM_ARCS 3737 TILEPROP INT_L_X12Y113 NUM_SITES 1 TILEPROP INT_L_X12Y113 ROW 38 TILEPROP INT_L_X12Y113 SLR_REGION_ID 0 TILEPROP INT_L_X12Y113 TILE_PATTERN_IDX 4961 TILEPROP INT_L_X12Y113 TILE_TYPE INT_L TILEPROP INT_L_X12Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y113 TILE_X -39224 TILEPROP INT_L_X12Y113 TILE_Y 124472 TILEPROP INT_L_X12Y113 TYPE INT_L TILEPROP INT_L_X12Y114 CLASS tile TILEPROP INT_L_X12Y114 COLUMN 36 TILEPROP INT_L_X12Y114 DEVICE_ID 0 TILEPROP INT_L_X12Y114 FIRST_SITE_ID 3670 TILEPROP INT_L_X12Y114 GRID_POINT_X 36 TILEPROP INT_L_X12Y114 GRID_POINT_Y 37 TILEPROP INT_L_X12Y114 INDEX 4291 TILEPROP INT_L_X12Y114 INT_TILE_X 12 TILEPROP INT_L_X12Y114 INT_TILE_Y 35 TILEPROP INT_L_X12Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y114 IS_DCM_TILE 0 TILEPROP INT_L_X12Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y114 NAME INT_L_X12Y114 TILEPROP INT_L_X12Y114 NUM_ARCS 3737 TILEPROP INT_L_X12Y114 NUM_SITES 1 TILEPROP INT_L_X12Y114 ROW 37 TILEPROP INT_L_X12Y114 SLR_REGION_ID 0 TILEPROP INT_L_X12Y114 TILE_PATTERN_IDX 4924 TILEPROP INT_L_X12Y114 TILE_TYPE INT_L TILEPROP INT_L_X12Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y114 TILE_X -39224 TILEPROP INT_L_X12Y114 TILE_Y 127672 TILEPROP INT_L_X12Y114 TYPE INT_L TILEPROP INT_L_X12Y115 CLASS tile TILEPROP INT_L_X12Y115 COLUMN 36 TILEPROP INT_L_X12Y115 DEVICE_ID 0 TILEPROP INT_L_X12Y115 FIRST_SITE_ID 3579 TILEPROP INT_L_X12Y115 GRID_POINT_X 36 TILEPROP INT_L_X12Y115 GRID_POINT_Y 36 TILEPROP INT_L_X12Y115 INDEX 4176 TILEPROP INT_L_X12Y115 INT_TILE_X 12 TILEPROP INT_L_X12Y115 INT_TILE_Y 34 TILEPROP INT_L_X12Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y115 IS_DCM_TILE 0 TILEPROP INT_L_X12Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y115 NAME INT_L_X12Y115 TILEPROP INT_L_X12Y115 NUM_ARCS 3737 TILEPROP INT_L_X12Y115 NUM_SITES 1 TILEPROP INT_L_X12Y115 ROW 36 TILEPROP INT_L_X12Y115 SLR_REGION_ID 0 TILEPROP INT_L_X12Y115 TILE_PATTERN_IDX 4888 TILEPROP INT_L_X12Y115 TILE_TYPE INT_L TILEPROP INT_L_X12Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y115 TILE_X -39224 TILEPROP INT_L_X12Y115 TILE_Y 130872 TILEPROP INT_L_X12Y115 TYPE INT_L TILEPROP INT_L_X12Y116 CLASS tile TILEPROP INT_L_X12Y116 COLUMN 36 TILEPROP INT_L_X12Y116 DEVICE_ID 0 TILEPROP INT_L_X12Y116 FIRST_SITE_ID 3472 TILEPROP INT_L_X12Y116 GRID_POINT_X 36 TILEPROP INT_L_X12Y116 GRID_POINT_Y 35 TILEPROP INT_L_X12Y116 INDEX 4061 TILEPROP INT_L_X12Y116 INT_TILE_X 12 TILEPROP INT_L_X12Y116 INT_TILE_Y 33 TILEPROP INT_L_X12Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y116 IS_DCM_TILE 0 TILEPROP INT_L_X12Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y116 NAME INT_L_X12Y116 TILEPROP INT_L_X12Y116 NUM_ARCS 3737 TILEPROP INT_L_X12Y116 NUM_SITES 1 TILEPROP INT_L_X12Y116 ROW 35 TILEPROP INT_L_X12Y116 SLR_REGION_ID 0 TILEPROP INT_L_X12Y116 TILE_PATTERN_IDX 4851 TILEPROP INT_L_X12Y116 TILE_TYPE INT_L TILEPROP INT_L_X12Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y116 TILE_X -39224 TILEPROP INT_L_X12Y116 TILE_Y 134072 TILEPROP INT_L_X12Y116 TYPE INT_L TILEPROP INT_L_X12Y117 CLASS tile TILEPROP INT_L_X12Y117 COLUMN 36 TILEPROP INT_L_X12Y117 DEVICE_ID 0 TILEPROP INT_L_X12Y117 FIRST_SITE_ID 3384 TILEPROP INT_L_X12Y117 GRID_POINT_X 36 TILEPROP INT_L_X12Y117 GRID_POINT_Y 34 TILEPROP INT_L_X12Y117 INDEX 3946 TILEPROP INT_L_X12Y117 INT_TILE_X 12 TILEPROP INT_L_X12Y117 INT_TILE_Y 32 TILEPROP INT_L_X12Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y117 IS_DCM_TILE 0 TILEPROP INT_L_X12Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y117 NAME INT_L_X12Y117 TILEPROP INT_L_X12Y117 NUM_ARCS 3737 TILEPROP INT_L_X12Y117 NUM_SITES 1 TILEPROP INT_L_X12Y117 ROW 34 TILEPROP INT_L_X12Y117 SLR_REGION_ID 0 TILEPROP INT_L_X12Y117 TILE_PATTERN_IDX 4815 TILEPROP INT_L_X12Y117 TILE_TYPE INT_L TILEPROP INT_L_X12Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y117 TILE_X -39224 TILEPROP INT_L_X12Y117 TILE_Y 137272 TILEPROP INT_L_X12Y117 TYPE INT_L TILEPROP INT_L_X12Y118 CLASS tile TILEPROP INT_L_X12Y118 COLUMN 36 TILEPROP INT_L_X12Y118 DEVICE_ID 0 TILEPROP INT_L_X12Y118 FIRST_SITE_ID 3284 TILEPROP INT_L_X12Y118 GRID_POINT_X 36 TILEPROP INT_L_X12Y118 GRID_POINT_Y 33 TILEPROP INT_L_X12Y118 INDEX 3831 TILEPROP INT_L_X12Y118 INT_TILE_X 12 TILEPROP INT_L_X12Y118 INT_TILE_Y 31 TILEPROP INT_L_X12Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y118 IS_DCM_TILE 0 TILEPROP INT_L_X12Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y118 NAME INT_L_X12Y118 TILEPROP INT_L_X12Y118 NUM_ARCS 3737 TILEPROP INT_L_X12Y118 NUM_SITES 1 TILEPROP INT_L_X12Y118 ROW 33 TILEPROP INT_L_X12Y118 SLR_REGION_ID 0 TILEPROP INT_L_X12Y118 TILE_PATTERN_IDX 4777 TILEPROP INT_L_X12Y118 TILE_TYPE INT_L TILEPROP INT_L_X12Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y118 TILE_X -39224 TILEPROP INT_L_X12Y118 TILE_Y 140472 TILEPROP INT_L_X12Y118 TYPE INT_L TILEPROP INT_L_X12Y119 CLASS tile TILEPROP INT_L_X12Y119 COLUMN 36 TILEPROP INT_L_X12Y119 DEVICE_ID 0 TILEPROP INT_L_X12Y119 FIRST_SITE_ID 3196 TILEPROP INT_L_X12Y119 GRID_POINT_X 36 TILEPROP INT_L_X12Y119 GRID_POINT_Y 32 TILEPROP INT_L_X12Y119 INDEX 3716 TILEPROP INT_L_X12Y119 INT_TILE_X 12 TILEPROP INT_L_X12Y119 INT_TILE_Y 30 TILEPROP INT_L_X12Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y119 IS_DCM_TILE 0 TILEPROP INT_L_X12Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y119 NAME INT_L_X12Y119 TILEPROP INT_L_X12Y119 NUM_ARCS 3737 TILEPROP INT_L_X12Y119 NUM_SITES 1 TILEPROP INT_L_X12Y119 ROW 32 TILEPROP INT_L_X12Y119 SLR_REGION_ID 0 TILEPROP INT_L_X12Y119 TILE_PATTERN_IDX 4741 TILEPROP INT_L_X12Y119 TILE_TYPE INT_L TILEPROP INT_L_X12Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y119 TILE_X -39224 TILEPROP INT_L_X12Y119 TILE_Y 143672 TILEPROP INT_L_X12Y119 TYPE INT_L TILEPROP INT_L_X12Y120 CLASS tile TILEPROP INT_L_X12Y120 COLUMN 36 TILEPROP INT_L_X12Y120 DEVICE_ID 0 TILEPROP INT_L_X12Y120 FIRST_SITE_ID 3095 TILEPROP INT_L_X12Y120 GRID_POINT_X 36 TILEPROP INT_L_X12Y120 GRID_POINT_Y 31 TILEPROP INT_L_X12Y120 INDEX 3601 TILEPROP INT_L_X12Y120 INT_TILE_X 12 TILEPROP INT_L_X12Y120 INT_TILE_Y 29 TILEPROP INT_L_X12Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y120 IS_DCM_TILE 0 TILEPROP INT_L_X12Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y120 NAME INT_L_X12Y120 TILEPROP INT_L_X12Y120 NUM_ARCS 3737 TILEPROP INT_L_X12Y120 NUM_SITES 1 TILEPROP INT_L_X12Y120 ROW 31 TILEPROP INT_L_X12Y120 SLR_REGION_ID 0 TILEPROP INT_L_X12Y120 TILE_PATTERN_IDX 4704 TILEPROP INT_L_X12Y120 TILE_TYPE INT_L TILEPROP INT_L_X12Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y120 TILE_X -39224 TILEPROP INT_L_X12Y120 TILE_Y 146872 TILEPROP INT_L_X12Y120 TYPE INT_L TILEPROP INT_L_X12Y121 CLASS tile TILEPROP INT_L_X12Y121 COLUMN 36 TILEPROP INT_L_X12Y121 DEVICE_ID 0 TILEPROP INT_L_X12Y121 FIRST_SITE_ID 3001 TILEPROP INT_L_X12Y121 GRID_POINT_X 36 TILEPROP INT_L_X12Y121 GRID_POINT_Y 30 TILEPROP INT_L_X12Y121 INDEX 3486 TILEPROP INT_L_X12Y121 INT_TILE_X 12 TILEPROP INT_L_X12Y121 INT_TILE_Y 28 TILEPROP INT_L_X12Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y121 IS_DCM_TILE 0 TILEPROP INT_L_X12Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y121 NAME INT_L_X12Y121 TILEPROP INT_L_X12Y121 NUM_ARCS 3737 TILEPROP INT_L_X12Y121 NUM_SITES 1 TILEPROP INT_L_X12Y121 ROW 30 TILEPROP INT_L_X12Y121 SLR_REGION_ID 0 TILEPROP INT_L_X12Y121 TILE_PATTERN_IDX 4668 TILEPROP INT_L_X12Y121 TILE_TYPE INT_L TILEPROP INT_L_X12Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y121 TILE_X -39224 TILEPROP INT_L_X12Y121 TILE_Y 150072 TILEPROP INT_L_X12Y121 TYPE INT_L TILEPROP INT_L_X12Y122 CLASS tile TILEPROP INT_L_X12Y122 COLUMN 36 TILEPROP INT_L_X12Y122 DEVICE_ID 0 TILEPROP INT_L_X12Y122 FIRST_SITE_ID 2898 TILEPROP INT_L_X12Y122 GRID_POINT_X 36 TILEPROP INT_L_X12Y122 GRID_POINT_Y 29 TILEPROP INT_L_X12Y122 INDEX 3371 TILEPROP INT_L_X12Y122 INT_TILE_X 12 TILEPROP INT_L_X12Y122 INT_TILE_Y 27 TILEPROP INT_L_X12Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y122 IS_DCM_TILE 0 TILEPROP INT_L_X12Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y122 NAME INT_L_X12Y122 TILEPROP INT_L_X12Y122 NUM_ARCS 3737 TILEPROP INT_L_X12Y122 NUM_SITES 1 TILEPROP INT_L_X12Y122 ROW 29 TILEPROP INT_L_X12Y122 SLR_REGION_ID 0 TILEPROP INT_L_X12Y122 TILE_PATTERN_IDX 4630 TILEPROP INT_L_X12Y122 TILE_TYPE INT_L TILEPROP INT_L_X12Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y122 TILE_X -39224 TILEPROP INT_L_X12Y122 TILE_Y 153272 TILEPROP INT_L_X12Y122 TYPE INT_L TILEPROP INT_L_X12Y123 CLASS tile TILEPROP INT_L_X12Y123 COLUMN 36 TILEPROP INT_L_X12Y123 DEVICE_ID 0 TILEPROP INT_L_X12Y123 FIRST_SITE_ID 2810 TILEPROP INT_L_X12Y123 GRID_POINT_X 36 TILEPROP INT_L_X12Y123 GRID_POINT_Y 28 TILEPROP INT_L_X12Y123 INDEX 3256 TILEPROP INT_L_X12Y123 INT_TILE_X 12 TILEPROP INT_L_X12Y123 INT_TILE_Y 26 TILEPROP INT_L_X12Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y123 IS_DCM_TILE 0 TILEPROP INT_L_X12Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y123 NAME INT_L_X12Y123 TILEPROP INT_L_X12Y123 NUM_ARCS 3737 TILEPROP INT_L_X12Y123 NUM_SITES 1 TILEPROP INT_L_X12Y123 ROW 28 TILEPROP INT_L_X12Y123 SLR_REGION_ID 0 TILEPROP INT_L_X12Y123 TILE_PATTERN_IDX 4594 TILEPROP INT_L_X12Y123 TILE_TYPE INT_L TILEPROP INT_L_X12Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y123 TILE_X -39224 TILEPROP INT_L_X12Y123 TILE_Y 156472 TILEPROP INT_L_X12Y123 TYPE INT_L TILEPROP INT_L_X12Y124 CLASS tile TILEPROP INT_L_X12Y124 COLUMN 36 TILEPROP INT_L_X12Y124 DEVICE_ID 0 TILEPROP INT_L_X12Y124 FIRST_SITE_ID 2714 TILEPROP INT_L_X12Y124 GRID_POINT_X 36 TILEPROP INT_L_X12Y124 GRID_POINT_Y 27 TILEPROP INT_L_X12Y124 INDEX 3141 TILEPROP INT_L_X12Y124 INT_TILE_X 12 TILEPROP INT_L_X12Y124 INT_TILE_Y 25 TILEPROP INT_L_X12Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y124 IS_DCM_TILE 0 TILEPROP INT_L_X12Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y124 NAME INT_L_X12Y124 TILEPROP INT_L_X12Y124 NUM_ARCS 3737 TILEPROP INT_L_X12Y124 NUM_SITES 1 TILEPROP INT_L_X12Y124 ROW 27 TILEPROP INT_L_X12Y124 SLR_REGION_ID 0 TILEPROP INT_L_X12Y124 TILE_PATTERN_IDX 4557 TILEPROP INT_L_X12Y124 TILE_TYPE INT_L TILEPROP INT_L_X12Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y124 TILE_X -39224 TILEPROP INT_L_X12Y124 TILE_Y 159672 TILEPROP INT_L_X12Y124 TYPE INT_L TILEPROP INT_L_X12Y125 CLASS tile TILEPROP INT_L_X12Y125 COLUMN 36 TILEPROP INT_L_X12Y125 DEVICE_ID 0 TILEPROP INT_L_X12Y125 FIRST_SITE_ID 2541 TILEPROP INT_L_X12Y125 GRID_POINT_X 36 TILEPROP INT_L_X12Y125 GRID_POINT_Y 25 TILEPROP INT_L_X12Y125 INDEX 2911 TILEPROP INT_L_X12Y125 INT_TILE_X 12 TILEPROP INT_L_X12Y125 INT_TILE_Y 24 TILEPROP INT_L_X12Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y125 IS_DCM_TILE 0 TILEPROP INT_L_X12Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y125 NAME INT_L_X12Y125 TILEPROP INT_L_X12Y125 NUM_ARCS 3737 TILEPROP INT_L_X12Y125 NUM_SITES 1 TILEPROP INT_L_X12Y125 ROW 25 TILEPROP INT_L_X12Y125 SLR_REGION_ID 0 TILEPROP INT_L_X12Y125 TILE_PATTERN_IDX 4478 TILEPROP INT_L_X12Y125 TILE_TYPE INT_L TILEPROP INT_L_X12Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y125 TILE_X -39224 TILEPROP INT_L_X12Y125 TILE_Y 163896 TILEPROP INT_L_X12Y125 TYPE INT_L TILEPROP INT_L_X12Y126 CLASS tile TILEPROP INT_L_X12Y126 COLUMN 36 TILEPROP INT_L_X12Y126 DEVICE_ID 0 TILEPROP INT_L_X12Y126 FIRST_SITE_ID 2431 TILEPROP INT_L_X12Y126 GRID_POINT_X 36 TILEPROP INT_L_X12Y126 GRID_POINT_Y 24 TILEPROP INT_L_X12Y126 INDEX 2796 TILEPROP INT_L_X12Y126 INT_TILE_X 12 TILEPROP INT_L_X12Y126 INT_TILE_Y 23 TILEPROP INT_L_X12Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y126 IS_DCM_TILE 0 TILEPROP INT_L_X12Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y126 NAME INT_L_X12Y126 TILEPROP INT_L_X12Y126 NUM_ARCS 3737 TILEPROP INT_L_X12Y126 NUM_SITES 1 TILEPROP INT_L_X12Y126 ROW 24 TILEPROP INT_L_X12Y126 SLR_REGION_ID 0 TILEPROP INT_L_X12Y126 TILE_PATTERN_IDX 4439 TILEPROP INT_L_X12Y126 TILE_TYPE INT_L TILEPROP INT_L_X12Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y126 TILE_X -39224 TILEPROP INT_L_X12Y126 TILE_Y 167096 TILEPROP INT_L_X12Y126 TYPE INT_L TILEPROP INT_L_X12Y127 CLASS tile TILEPROP INT_L_X12Y127 COLUMN 36 TILEPROP INT_L_X12Y127 DEVICE_ID 0 TILEPROP INT_L_X12Y127 FIRST_SITE_ID 2335 TILEPROP INT_L_X12Y127 GRID_POINT_X 36 TILEPROP INT_L_X12Y127 GRID_POINT_Y 23 TILEPROP INT_L_X12Y127 INDEX 2681 TILEPROP INT_L_X12Y127 INT_TILE_X 12 TILEPROP INT_L_X12Y127 INT_TILE_Y 22 TILEPROP INT_L_X12Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y127 IS_DCM_TILE 0 TILEPROP INT_L_X12Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y127 NAME INT_L_X12Y127 TILEPROP INT_L_X12Y127 NUM_ARCS 3737 TILEPROP INT_L_X12Y127 NUM_SITES 1 TILEPROP INT_L_X12Y127 ROW 23 TILEPROP INT_L_X12Y127 SLR_REGION_ID 0 TILEPROP INT_L_X12Y127 TILE_PATTERN_IDX 4401 TILEPROP INT_L_X12Y127 TILE_TYPE INT_L TILEPROP INT_L_X12Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y127 TILE_X -39224 TILEPROP INT_L_X12Y127 TILE_Y 170296 TILEPROP INT_L_X12Y127 TYPE INT_L TILEPROP INT_L_X12Y128 CLASS tile TILEPROP INT_L_X12Y128 COLUMN 36 TILEPROP INT_L_X12Y128 DEVICE_ID 0 TILEPROP INT_L_X12Y128 FIRST_SITE_ID 2231 TILEPROP INT_L_X12Y128 GRID_POINT_X 36 TILEPROP INT_L_X12Y128 GRID_POINT_Y 22 TILEPROP INT_L_X12Y128 INDEX 2566 TILEPROP INT_L_X12Y128 INT_TILE_X 12 TILEPROP INT_L_X12Y128 INT_TILE_Y 21 TILEPROP INT_L_X12Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y128 IS_DCM_TILE 0 TILEPROP INT_L_X12Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y128 NAME INT_L_X12Y128 TILEPROP INT_L_X12Y128 NUM_ARCS 3737 TILEPROP INT_L_X12Y128 NUM_SITES 1 TILEPROP INT_L_X12Y128 ROW 22 TILEPROP INT_L_X12Y128 SLR_REGION_ID 0 TILEPROP INT_L_X12Y128 TILE_PATTERN_IDX 4362 TILEPROP INT_L_X12Y128 TILE_TYPE INT_L TILEPROP INT_L_X12Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y128 TILE_X -39224 TILEPROP INT_L_X12Y128 TILE_Y 173496 TILEPROP INT_L_X12Y128 TYPE INT_L TILEPROP INT_L_X12Y129 CLASS tile TILEPROP INT_L_X12Y129 COLUMN 36 TILEPROP INT_L_X12Y129 DEVICE_ID 0 TILEPROP INT_L_X12Y129 FIRST_SITE_ID 2135 TILEPROP INT_L_X12Y129 GRID_POINT_X 36 TILEPROP INT_L_X12Y129 GRID_POINT_Y 21 TILEPROP INT_L_X12Y129 INDEX 2451 TILEPROP INT_L_X12Y129 INT_TILE_X 12 TILEPROP INT_L_X12Y129 INT_TILE_Y 20 TILEPROP INT_L_X12Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y129 IS_DCM_TILE 0 TILEPROP INT_L_X12Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y129 NAME INT_L_X12Y129 TILEPROP INT_L_X12Y129 NUM_ARCS 3737 TILEPROP INT_L_X12Y129 NUM_SITES 1 TILEPROP INT_L_X12Y129 ROW 21 TILEPROP INT_L_X12Y129 SLR_REGION_ID 0 TILEPROP INT_L_X12Y129 TILE_PATTERN_IDX 4324 TILEPROP INT_L_X12Y129 TILE_TYPE INT_L TILEPROP INT_L_X12Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y129 TILE_X -39224 TILEPROP INT_L_X12Y129 TILE_Y 176696 TILEPROP INT_L_X12Y129 TYPE INT_L TILEPROP INT_L_X12Y130 CLASS tile TILEPROP INT_L_X12Y130 COLUMN 36 TILEPROP INT_L_X12Y130 DEVICE_ID 0 TILEPROP INT_L_X12Y130 FIRST_SITE_ID 2019 TILEPROP INT_L_X12Y130 GRID_POINT_X 36 TILEPROP INT_L_X12Y130 GRID_POINT_Y 20 TILEPROP INT_L_X12Y130 INDEX 2336 TILEPROP INT_L_X12Y130 INT_TILE_X 12 TILEPROP INT_L_X12Y130 INT_TILE_Y 19 TILEPROP INT_L_X12Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y130 IS_DCM_TILE 0 TILEPROP INT_L_X12Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y130 NAME INT_L_X12Y130 TILEPROP INT_L_X12Y130 NUM_ARCS 3737 TILEPROP INT_L_X12Y130 NUM_SITES 1 TILEPROP INT_L_X12Y130 ROW 20 TILEPROP INT_L_X12Y130 SLR_REGION_ID 0 TILEPROP INT_L_X12Y130 TILE_PATTERN_IDX 4284 TILEPROP INT_L_X12Y130 TILE_TYPE INT_L TILEPROP INT_L_X12Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y130 TILE_X -39224 TILEPROP INT_L_X12Y130 TILE_Y 179896 TILEPROP INT_L_X12Y130 TYPE INT_L TILEPROP INT_L_X12Y131 CLASS tile TILEPROP INT_L_X12Y131 COLUMN 36 TILEPROP INT_L_X12Y131 DEVICE_ID 0 TILEPROP INT_L_X12Y131 FIRST_SITE_ID 1917 TILEPROP INT_L_X12Y131 GRID_POINT_X 36 TILEPROP INT_L_X12Y131 GRID_POINT_Y 19 TILEPROP INT_L_X12Y131 INDEX 2221 TILEPROP INT_L_X12Y131 INT_TILE_X 12 TILEPROP INT_L_X12Y131 INT_TILE_Y 18 TILEPROP INT_L_X12Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y131 IS_DCM_TILE 0 TILEPROP INT_L_X12Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y131 NAME INT_L_X12Y131 TILEPROP INT_L_X12Y131 NUM_ARCS 3737 TILEPROP INT_L_X12Y131 NUM_SITES 1 TILEPROP INT_L_X12Y131 ROW 19 TILEPROP INT_L_X12Y131 SLR_REGION_ID 0 TILEPROP INT_L_X12Y131 TILE_PATTERN_IDX 4246 TILEPROP INT_L_X12Y131 TILE_TYPE INT_L TILEPROP INT_L_X12Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y131 TILE_X -39224 TILEPROP INT_L_X12Y131 TILE_Y 183096 TILEPROP INT_L_X12Y131 TYPE INT_L TILEPROP INT_L_X12Y132 CLASS tile TILEPROP INT_L_X12Y132 COLUMN 36 TILEPROP INT_L_X12Y132 DEVICE_ID 0 TILEPROP INT_L_X12Y132 FIRST_SITE_ID 1811 TILEPROP INT_L_X12Y132 GRID_POINT_X 36 TILEPROP INT_L_X12Y132 GRID_POINT_Y 18 TILEPROP INT_L_X12Y132 INDEX 2106 TILEPROP INT_L_X12Y132 INT_TILE_X 12 TILEPROP INT_L_X12Y132 INT_TILE_Y 17 TILEPROP INT_L_X12Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y132 IS_DCM_TILE 0 TILEPROP INT_L_X12Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y132 NAME INT_L_X12Y132 TILEPROP INT_L_X12Y132 NUM_ARCS 3737 TILEPROP INT_L_X12Y132 NUM_SITES 1 TILEPROP INT_L_X12Y132 ROW 18 TILEPROP INT_L_X12Y132 SLR_REGION_ID 0 TILEPROP INT_L_X12Y132 TILE_PATTERN_IDX 4207 TILEPROP INT_L_X12Y132 TILE_TYPE INT_L TILEPROP INT_L_X12Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y132 TILE_X -39224 TILEPROP INT_L_X12Y132 TILE_Y 186296 TILEPROP INT_L_X12Y132 TYPE INT_L TILEPROP INT_L_X12Y133 CLASS tile TILEPROP INT_L_X12Y133 COLUMN 36 TILEPROP INT_L_X12Y133 DEVICE_ID 0 TILEPROP INT_L_X12Y133 FIRST_SITE_ID 1710 TILEPROP INT_L_X12Y133 GRID_POINT_X 36 TILEPROP INT_L_X12Y133 GRID_POINT_Y 17 TILEPROP INT_L_X12Y133 INDEX 1991 TILEPROP INT_L_X12Y133 INT_TILE_X 12 TILEPROP INT_L_X12Y133 INT_TILE_Y 16 TILEPROP INT_L_X12Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y133 IS_DCM_TILE 0 TILEPROP INT_L_X12Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y133 NAME INT_L_X12Y133 TILEPROP INT_L_X12Y133 NUM_ARCS 3737 TILEPROP INT_L_X12Y133 NUM_SITES 1 TILEPROP INT_L_X12Y133 ROW 17 TILEPROP INT_L_X12Y133 SLR_REGION_ID 0 TILEPROP INT_L_X12Y133 TILE_PATTERN_IDX 4169 TILEPROP INT_L_X12Y133 TILE_TYPE INT_L TILEPROP INT_L_X12Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y133 TILE_X -39224 TILEPROP INT_L_X12Y133 TILE_Y 189496 TILEPROP INT_L_X12Y133 TYPE INT_L TILEPROP INT_L_X12Y134 CLASS tile TILEPROP INT_L_X12Y134 COLUMN 36 TILEPROP INT_L_X12Y134 DEVICE_ID 0 TILEPROP INT_L_X12Y134 FIRST_SITE_ID 1606 TILEPROP INT_L_X12Y134 GRID_POINT_X 36 TILEPROP INT_L_X12Y134 GRID_POINT_Y 16 TILEPROP INT_L_X12Y134 INDEX 1876 TILEPROP INT_L_X12Y134 INT_TILE_X 12 TILEPROP INT_L_X12Y134 INT_TILE_Y 15 TILEPROP INT_L_X12Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y134 IS_DCM_TILE 0 TILEPROP INT_L_X12Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y134 NAME INT_L_X12Y134 TILEPROP INT_L_X12Y134 NUM_ARCS 3737 TILEPROP INT_L_X12Y134 NUM_SITES 1 TILEPROP INT_L_X12Y134 ROW 16 TILEPROP INT_L_X12Y134 SLR_REGION_ID 0 TILEPROP INT_L_X12Y134 TILE_PATTERN_IDX 4130 TILEPROP INT_L_X12Y134 TILE_TYPE INT_L TILEPROP INT_L_X12Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y134 TILE_X -39224 TILEPROP INT_L_X12Y134 TILE_Y 192696 TILEPROP INT_L_X12Y134 TYPE INT_L TILEPROP INT_L_X12Y135 CLASS tile TILEPROP INT_L_X12Y135 COLUMN 36 TILEPROP INT_L_X12Y135 DEVICE_ID 0 TILEPROP INT_L_X12Y135 FIRST_SITE_ID 1504 TILEPROP INT_L_X12Y135 GRID_POINT_X 36 TILEPROP INT_L_X12Y135 GRID_POINT_Y 15 TILEPROP INT_L_X12Y135 INDEX 1761 TILEPROP INT_L_X12Y135 INT_TILE_X 12 TILEPROP INT_L_X12Y135 INT_TILE_Y 14 TILEPROP INT_L_X12Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y135 IS_DCM_TILE 0 TILEPROP INT_L_X12Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y135 NAME INT_L_X12Y135 TILEPROP INT_L_X12Y135 NUM_ARCS 3737 TILEPROP INT_L_X12Y135 NUM_SITES 1 TILEPROP INT_L_X12Y135 ROW 15 TILEPROP INT_L_X12Y135 SLR_REGION_ID 0 TILEPROP INT_L_X12Y135 TILE_PATTERN_IDX 4092 TILEPROP INT_L_X12Y135 TILE_TYPE INT_L TILEPROP INT_L_X12Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y135 TILE_X -39224 TILEPROP INT_L_X12Y135 TILE_Y 195896 TILEPROP INT_L_X12Y135 TYPE INT_L TILEPROP INT_L_X12Y136 CLASS tile TILEPROP INT_L_X12Y136 COLUMN 36 TILEPROP INT_L_X12Y136 DEVICE_ID 0 TILEPROP INT_L_X12Y136 FIRST_SITE_ID 1362 TILEPROP INT_L_X12Y136 GRID_POINT_X 36 TILEPROP INT_L_X12Y136 GRID_POINT_Y 14 TILEPROP INT_L_X12Y136 INDEX 1646 TILEPROP INT_L_X12Y136 INT_TILE_X 12 TILEPROP INT_L_X12Y136 INT_TILE_Y 13 TILEPROP INT_L_X12Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y136 IS_DCM_TILE 0 TILEPROP INT_L_X12Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y136 NAME INT_L_X12Y136 TILEPROP INT_L_X12Y136 NUM_ARCS 3737 TILEPROP INT_L_X12Y136 NUM_SITES 1 TILEPROP INT_L_X12Y136 ROW 14 TILEPROP INT_L_X12Y136 SLR_REGION_ID 0 TILEPROP INT_L_X12Y136 TILE_PATTERN_IDX 4053 TILEPROP INT_L_X12Y136 TILE_TYPE INT_L TILEPROP INT_L_X12Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y136 TILE_X -39224 TILEPROP INT_L_X12Y136 TILE_Y 199096 TILEPROP INT_L_X12Y136 TYPE INT_L TILEPROP INT_L_X12Y137 CLASS tile TILEPROP INT_L_X12Y137 COLUMN 36 TILEPROP INT_L_X12Y137 DEVICE_ID 0 TILEPROP INT_L_X12Y137 FIRST_SITE_ID 1266 TILEPROP INT_L_X12Y137 GRID_POINT_X 36 TILEPROP INT_L_X12Y137 GRID_POINT_Y 13 TILEPROP INT_L_X12Y137 INDEX 1531 TILEPROP INT_L_X12Y137 INT_TILE_X 12 TILEPROP INT_L_X12Y137 INT_TILE_Y 12 TILEPROP INT_L_X12Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y137 IS_DCM_TILE 0 TILEPROP INT_L_X12Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y137 NAME INT_L_X12Y137 TILEPROP INT_L_X12Y137 NUM_ARCS 3737 TILEPROP INT_L_X12Y137 NUM_SITES 1 TILEPROP INT_L_X12Y137 ROW 13 TILEPROP INT_L_X12Y137 SLR_REGION_ID 0 TILEPROP INT_L_X12Y137 TILE_PATTERN_IDX 4015 TILEPROP INT_L_X12Y137 TILE_TYPE INT_L TILEPROP INT_L_X12Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y137 TILE_X -39224 TILEPROP INT_L_X12Y137 TILE_Y 202296 TILEPROP INT_L_X12Y137 TYPE INT_L TILEPROP INT_L_X12Y138 CLASS tile TILEPROP INT_L_X12Y138 COLUMN 36 TILEPROP INT_L_X12Y138 DEVICE_ID 0 TILEPROP INT_L_X12Y138 FIRST_SITE_ID 1162 TILEPROP INT_L_X12Y138 GRID_POINT_X 36 TILEPROP INT_L_X12Y138 GRID_POINT_Y 12 TILEPROP INT_L_X12Y138 INDEX 1416 TILEPROP INT_L_X12Y138 INT_TILE_X 12 TILEPROP INT_L_X12Y138 INT_TILE_Y 11 TILEPROP INT_L_X12Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y138 IS_DCM_TILE 0 TILEPROP INT_L_X12Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y138 NAME INT_L_X12Y138 TILEPROP INT_L_X12Y138 NUM_ARCS 3737 TILEPROP INT_L_X12Y138 NUM_SITES 1 TILEPROP INT_L_X12Y138 ROW 12 TILEPROP INT_L_X12Y138 SLR_REGION_ID 0 TILEPROP INT_L_X12Y138 TILE_PATTERN_IDX 3976 TILEPROP INT_L_X12Y138 TILE_TYPE INT_L TILEPROP INT_L_X12Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y138 TILE_X -39224 TILEPROP INT_L_X12Y138 TILE_Y 205496 TILEPROP INT_L_X12Y138 TYPE INT_L TILEPROP INT_L_X12Y139 CLASS tile TILEPROP INT_L_X12Y139 COLUMN 36 TILEPROP INT_L_X12Y139 DEVICE_ID 0 TILEPROP INT_L_X12Y139 FIRST_SITE_ID 1066 TILEPROP INT_L_X12Y139 GRID_POINT_X 36 TILEPROP INT_L_X12Y139 GRID_POINT_Y 11 TILEPROP INT_L_X12Y139 INDEX 1301 TILEPROP INT_L_X12Y139 INT_TILE_X 12 TILEPROP INT_L_X12Y139 INT_TILE_Y 10 TILEPROP INT_L_X12Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y139 IS_DCM_TILE 0 TILEPROP INT_L_X12Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y139 NAME INT_L_X12Y139 TILEPROP INT_L_X12Y139 NUM_ARCS 3737 TILEPROP INT_L_X12Y139 NUM_SITES 1 TILEPROP INT_L_X12Y139 ROW 11 TILEPROP INT_L_X12Y139 SLR_REGION_ID 0 TILEPROP INT_L_X12Y139 TILE_PATTERN_IDX 3938 TILEPROP INT_L_X12Y139 TILE_TYPE INT_L TILEPROP INT_L_X12Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y139 TILE_X -39224 TILEPROP INT_L_X12Y139 TILE_Y 208696 TILEPROP INT_L_X12Y139 TYPE INT_L TILEPROP INT_L_X12Y140 CLASS tile TILEPROP INT_L_X12Y140 COLUMN 36 TILEPROP INT_L_X12Y140 DEVICE_ID 0 TILEPROP INT_L_X12Y140 FIRST_SITE_ID 956 TILEPROP INT_L_X12Y140 GRID_POINT_X 36 TILEPROP INT_L_X12Y140 GRID_POINT_Y 10 TILEPROP INT_L_X12Y140 INDEX 1186 TILEPROP INT_L_X12Y140 INT_TILE_X 12 TILEPROP INT_L_X12Y140 INT_TILE_Y 9 TILEPROP INT_L_X12Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y140 IS_DCM_TILE 0 TILEPROP INT_L_X12Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y140 NAME INT_L_X12Y140 TILEPROP INT_L_X12Y140 NUM_ARCS 3737 TILEPROP INT_L_X12Y140 NUM_SITES 1 TILEPROP INT_L_X12Y140 ROW 10 TILEPROP INT_L_X12Y140 SLR_REGION_ID 0 TILEPROP INT_L_X12Y140 TILE_PATTERN_IDX 3899 TILEPROP INT_L_X12Y140 TILE_TYPE INT_L TILEPROP INT_L_X12Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y140 TILE_X -39224 TILEPROP INT_L_X12Y140 TILE_Y 211896 TILEPROP INT_L_X12Y140 TYPE INT_L TILEPROP INT_L_X12Y141 CLASS tile TILEPROP INT_L_X12Y141 COLUMN 36 TILEPROP INT_L_X12Y141 DEVICE_ID 0 TILEPROP INT_L_X12Y141 FIRST_SITE_ID 854 TILEPROP INT_L_X12Y141 GRID_POINT_X 36 TILEPROP INT_L_X12Y141 GRID_POINT_Y 9 TILEPROP INT_L_X12Y141 INDEX 1071 TILEPROP INT_L_X12Y141 INT_TILE_X 12 TILEPROP INT_L_X12Y141 INT_TILE_Y 8 TILEPROP INT_L_X12Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y141 IS_DCM_TILE 0 TILEPROP INT_L_X12Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y141 NAME INT_L_X12Y141 TILEPROP INT_L_X12Y141 NUM_ARCS 3737 TILEPROP INT_L_X12Y141 NUM_SITES 1 TILEPROP INT_L_X12Y141 ROW 9 TILEPROP INT_L_X12Y141 SLR_REGION_ID 0 TILEPROP INT_L_X12Y141 TILE_PATTERN_IDX 3861 TILEPROP INT_L_X12Y141 TILE_TYPE INT_L TILEPROP INT_L_X12Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y141 TILE_X -39224 TILEPROP INT_L_X12Y141 TILE_Y 215096 TILEPROP INT_L_X12Y141 TYPE INT_L TILEPROP INT_L_X12Y142 CLASS tile TILEPROP INT_L_X12Y142 COLUMN 36 TILEPROP INT_L_X12Y142 DEVICE_ID 0 TILEPROP INT_L_X12Y142 FIRST_SITE_ID 750 TILEPROP INT_L_X12Y142 GRID_POINT_X 36 TILEPROP INT_L_X12Y142 GRID_POINT_Y 8 TILEPROP INT_L_X12Y142 INDEX 956 TILEPROP INT_L_X12Y142 INT_TILE_X 12 TILEPROP INT_L_X12Y142 INT_TILE_Y 7 TILEPROP INT_L_X12Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y142 IS_DCM_TILE 0 TILEPROP INT_L_X12Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y142 NAME INT_L_X12Y142 TILEPROP INT_L_X12Y142 NUM_ARCS 3737 TILEPROP INT_L_X12Y142 NUM_SITES 1 TILEPROP INT_L_X12Y142 ROW 8 TILEPROP INT_L_X12Y142 SLR_REGION_ID 0 TILEPROP INT_L_X12Y142 TILE_PATTERN_IDX 3822 TILEPROP INT_L_X12Y142 TILE_TYPE INT_L TILEPROP INT_L_X12Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y142 TILE_X -39224 TILEPROP INT_L_X12Y142 TILE_Y 218296 TILEPROP INT_L_X12Y142 TYPE INT_L TILEPROP INT_L_X12Y143 CLASS tile TILEPROP INT_L_X12Y143 COLUMN 36 TILEPROP INT_L_X12Y143 DEVICE_ID 0 TILEPROP INT_L_X12Y143 FIRST_SITE_ID 653 TILEPROP INT_L_X12Y143 GRID_POINT_X 36 TILEPROP INT_L_X12Y143 GRID_POINT_Y 7 TILEPROP INT_L_X12Y143 INDEX 841 TILEPROP INT_L_X12Y143 INT_TILE_X 12 TILEPROP INT_L_X12Y143 INT_TILE_Y 6 TILEPROP INT_L_X12Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y143 IS_DCM_TILE 0 TILEPROP INT_L_X12Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y143 NAME INT_L_X12Y143 TILEPROP INT_L_X12Y143 NUM_ARCS 3737 TILEPROP INT_L_X12Y143 NUM_SITES 1 TILEPROP INT_L_X12Y143 ROW 7 TILEPROP INT_L_X12Y143 SLR_REGION_ID 0 TILEPROP INT_L_X12Y143 TILE_PATTERN_IDX 3784 TILEPROP INT_L_X12Y143 TILE_TYPE INT_L TILEPROP INT_L_X12Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y143 TILE_X -39224 TILEPROP INT_L_X12Y143 TILE_Y 221496 TILEPROP INT_L_X12Y143 TYPE INT_L TILEPROP INT_L_X12Y144 CLASS tile TILEPROP INT_L_X12Y144 COLUMN 36 TILEPROP INT_L_X12Y144 DEVICE_ID 0 TILEPROP INT_L_X12Y144 FIRST_SITE_ID 542 TILEPROP INT_L_X12Y144 GRID_POINT_X 36 TILEPROP INT_L_X12Y144 GRID_POINT_Y 6 TILEPROP INT_L_X12Y144 INDEX 726 TILEPROP INT_L_X12Y144 INT_TILE_X 12 TILEPROP INT_L_X12Y144 INT_TILE_Y 5 TILEPROP INT_L_X12Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y144 IS_DCM_TILE 0 TILEPROP INT_L_X12Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y144 NAME INT_L_X12Y144 TILEPROP INT_L_X12Y144 NUM_ARCS 3737 TILEPROP INT_L_X12Y144 NUM_SITES 1 TILEPROP INT_L_X12Y144 ROW 6 TILEPROP INT_L_X12Y144 SLR_REGION_ID 0 TILEPROP INT_L_X12Y144 TILE_PATTERN_IDX 3745 TILEPROP INT_L_X12Y144 TILE_TYPE INT_L TILEPROP INT_L_X12Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y144 TILE_X -39224 TILEPROP INT_L_X12Y144 TILE_Y 224696 TILEPROP INT_L_X12Y144 TYPE INT_L TILEPROP INT_L_X12Y145 CLASS tile TILEPROP INT_L_X12Y145 COLUMN 36 TILEPROP INT_L_X12Y145 DEVICE_ID 0 TILEPROP INT_L_X12Y145 FIRST_SITE_ID 440 TILEPROP INT_L_X12Y145 GRID_POINT_X 36 TILEPROP INT_L_X12Y145 GRID_POINT_Y 5 TILEPROP INT_L_X12Y145 INDEX 611 TILEPROP INT_L_X12Y145 INT_TILE_X 12 TILEPROP INT_L_X12Y145 INT_TILE_Y 4 TILEPROP INT_L_X12Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y145 IS_DCM_TILE 0 TILEPROP INT_L_X12Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y145 NAME INT_L_X12Y145 TILEPROP INT_L_X12Y145 NUM_ARCS 3737 TILEPROP INT_L_X12Y145 NUM_SITES 1 TILEPROP INT_L_X12Y145 ROW 5 TILEPROP INT_L_X12Y145 SLR_REGION_ID 0 TILEPROP INT_L_X12Y145 TILE_PATTERN_IDX 3707 TILEPROP INT_L_X12Y145 TILE_TYPE INT_L TILEPROP INT_L_X12Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y145 TILE_X -39224 TILEPROP INT_L_X12Y145 TILE_Y 227896 TILEPROP INT_L_X12Y145 TYPE INT_L TILEPROP INT_L_X12Y146 CLASS tile TILEPROP INT_L_X12Y146 COLUMN 36 TILEPROP INT_L_X12Y146 DEVICE_ID 0 TILEPROP INT_L_X12Y146 FIRST_SITE_ID 330 TILEPROP INT_L_X12Y146 GRID_POINT_X 36 TILEPROP INT_L_X12Y146 GRID_POINT_Y 4 TILEPROP INT_L_X12Y146 INDEX 496 TILEPROP INT_L_X12Y146 INT_TILE_X 12 TILEPROP INT_L_X12Y146 INT_TILE_Y 3 TILEPROP INT_L_X12Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y146 IS_DCM_TILE 0 TILEPROP INT_L_X12Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y146 NAME INT_L_X12Y146 TILEPROP INT_L_X12Y146 NUM_ARCS 3737 TILEPROP INT_L_X12Y146 NUM_SITES 1 TILEPROP INT_L_X12Y146 ROW 4 TILEPROP INT_L_X12Y146 SLR_REGION_ID 0 TILEPROP INT_L_X12Y146 TILE_PATTERN_IDX 3668 TILEPROP INT_L_X12Y146 TILE_TYPE INT_L TILEPROP INT_L_X12Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y146 TILE_X -39224 TILEPROP INT_L_X12Y146 TILE_Y 231096 TILEPROP INT_L_X12Y146 TYPE INT_L TILEPROP INT_L_X12Y147 CLASS tile TILEPROP INT_L_X12Y147 COLUMN 36 TILEPROP INT_L_X12Y147 DEVICE_ID 0 TILEPROP INT_L_X12Y147 FIRST_SITE_ID 234 TILEPROP INT_L_X12Y147 GRID_POINT_X 36 TILEPROP INT_L_X12Y147 GRID_POINT_Y 3 TILEPROP INT_L_X12Y147 INDEX 381 TILEPROP INT_L_X12Y147 INT_TILE_X 12 TILEPROP INT_L_X12Y147 INT_TILE_Y 2 TILEPROP INT_L_X12Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y147 IS_DCM_TILE 0 TILEPROP INT_L_X12Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y147 NAME INT_L_X12Y147 TILEPROP INT_L_X12Y147 NUM_ARCS 3737 TILEPROP INT_L_X12Y147 NUM_SITES 1 TILEPROP INT_L_X12Y147 ROW 3 TILEPROP INT_L_X12Y147 SLR_REGION_ID 0 TILEPROP INT_L_X12Y147 TILE_PATTERN_IDX 3630 TILEPROP INT_L_X12Y147 TILE_TYPE INT_L TILEPROP INT_L_X12Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y147 TILE_X -39224 TILEPROP INT_L_X12Y147 TILE_Y 234296 TILEPROP INT_L_X12Y147 TYPE INT_L TILEPROP INT_L_X12Y148 CLASS tile TILEPROP INT_L_X12Y148 COLUMN 36 TILEPROP INT_L_X12Y148 DEVICE_ID 0 TILEPROP INT_L_X12Y148 FIRST_SITE_ID 130 TILEPROP INT_L_X12Y148 GRID_POINT_X 36 TILEPROP INT_L_X12Y148 GRID_POINT_Y 2 TILEPROP INT_L_X12Y148 INDEX 266 TILEPROP INT_L_X12Y148 INT_TILE_X 12 TILEPROP INT_L_X12Y148 INT_TILE_Y 1 TILEPROP INT_L_X12Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y148 IS_DCM_TILE 0 TILEPROP INT_L_X12Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y148 NAME INT_L_X12Y148 TILEPROP INT_L_X12Y148 NUM_ARCS 3737 TILEPROP INT_L_X12Y148 NUM_SITES 1 TILEPROP INT_L_X12Y148 ROW 2 TILEPROP INT_L_X12Y148 SLR_REGION_ID 0 TILEPROP INT_L_X12Y148 TILE_PATTERN_IDX 3591 TILEPROP INT_L_X12Y148 TILE_TYPE INT_L TILEPROP INT_L_X12Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y148 TILE_X -39224 TILEPROP INT_L_X12Y148 TILE_Y 237496 TILEPROP INT_L_X12Y148 TYPE INT_L TILEPROP INT_L_X12Y149 CLASS tile TILEPROP INT_L_X12Y149 COLUMN 36 TILEPROP INT_L_X12Y149 DEVICE_ID 0 TILEPROP INT_L_X12Y149 FIRST_SITE_ID 34 TILEPROP INT_L_X12Y149 GRID_POINT_X 36 TILEPROP INT_L_X12Y149 GRID_POINT_Y 1 TILEPROP INT_L_X12Y149 INDEX 151 TILEPROP INT_L_X12Y149 INT_TILE_X 12 TILEPROP INT_L_X12Y149 INT_TILE_Y 0 TILEPROP INT_L_X12Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X12Y149 IS_DCM_TILE 0 TILEPROP INT_L_X12Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X12Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X12Y149 NAME INT_L_X12Y149 TILEPROP INT_L_X12Y149 NUM_ARCS 3737 TILEPROP INT_L_X12Y149 NUM_SITES 1 TILEPROP INT_L_X12Y149 ROW 1 TILEPROP INT_L_X12Y149 SLR_REGION_ID 0 TILEPROP INT_L_X12Y149 TILE_PATTERN_IDX 3553 TILEPROP INT_L_X12Y149 TILE_TYPE INT_L TILEPROP INT_L_X12Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X12Y149 TILE_X -39224 TILEPROP INT_L_X12Y149 TILE_Y 240696 TILEPROP INT_L_X12Y149 TYPE INT_L TILEPROP INT_L_X14Y100 CLASS tile TILEPROP INT_L_X14Y100 COLUMN 40 TILEPROP INT_L_X14Y100 DEVICE_ID 0 TILEPROP INT_L_X14Y100 FIRST_SITE_ID 5033 TILEPROP INT_L_X14Y100 GRID_POINT_X 40 TILEPROP INT_L_X14Y100 GRID_POINT_Y 51 TILEPROP INT_L_X14Y100 INDEX 5905 TILEPROP INT_L_X14Y100 INT_TILE_X 14 TILEPROP INT_L_X14Y100 INT_TILE_Y 49 TILEPROP INT_L_X14Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y100 IS_DCM_TILE 0 TILEPROP INT_L_X14Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y100 NAME INT_L_X14Y100 TILEPROP INT_L_X14Y100 NUM_ARCS 3737 TILEPROP INT_L_X14Y100 NUM_SITES 1 TILEPROP INT_L_X14Y100 ROW 51 TILEPROP INT_L_X14Y100 SLR_REGION_ID 0 TILEPROP INT_L_X14Y100 TILE_PATTERN_IDX 5438 TILEPROP INT_L_X14Y100 TILE_TYPE INT_L TILEPROP INT_L_X14Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y100 TILE_X -30920 TILEPROP INT_L_X14Y100 TILE_Y 82872 TILEPROP INT_L_X14Y100 TYPE INT_L TILEPROP INT_L_X14Y101 CLASS tile TILEPROP INT_L_X14Y101 COLUMN 40 TILEPROP INT_L_X14Y101 DEVICE_ID 0 TILEPROP INT_L_X14Y101 FIRST_SITE_ID 4935 TILEPROP INT_L_X14Y101 GRID_POINT_X 40 TILEPROP INT_L_X14Y101 GRID_POINT_Y 50 TILEPROP INT_L_X14Y101 INDEX 5790 TILEPROP INT_L_X14Y101 INT_TILE_X 14 TILEPROP INT_L_X14Y101 INT_TILE_Y 48 TILEPROP INT_L_X14Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y101 IS_DCM_TILE 0 TILEPROP INT_L_X14Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y101 NAME INT_L_X14Y101 TILEPROP INT_L_X14Y101 NUM_ARCS 3737 TILEPROP INT_L_X14Y101 NUM_SITES 1 TILEPROP INT_L_X14Y101 ROW 50 TILEPROP INT_L_X14Y101 SLR_REGION_ID 0 TILEPROP INT_L_X14Y101 TILE_PATTERN_IDX 5402 TILEPROP INT_L_X14Y101 TILE_TYPE INT_L TILEPROP INT_L_X14Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y101 TILE_X -30920 TILEPROP INT_L_X14Y101 TILE_Y 86072 TILEPROP INT_L_X14Y101 TYPE INT_L TILEPROP INT_L_X14Y102 CLASS tile TILEPROP INT_L_X14Y102 COLUMN 40 TILEPROP INT_L_X14Y102 DEVICE_ID 0 TILEPROP INT_L_X14Y102 FIRST_SITE_ID 4839 TILEPROP INT_L_X14Y102 GRID_POINT_X 40 TILEPROP INT_L_X14Y102 GRID_POINT_Y 49 TILEPROP INT_L_X14Y102 INDEX 5675 TILEPROP INT_L_X14Y102 INT_TILE_X 14 TILEPROP INT_L_X14Y102 INT_TILE_Y 47 TILEPROP INT_L_X14Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y102 IS_DCM_TILE 0 TILEPROP INT_L_X14Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y102 NAME INT_L_X14Y102 TILEPROP INT_L_X14Y102 NUM_ARCS 3737 TILEPROP INT_L_X14Y102 NUM_SITES 1 TILEPROP INT_L_X14Y102 ROW 49 TILEPROP INT_L_X14Y102 SLR_REGION_ID 0 TILEPROP INT_L_X14Y102 TILE_PATTERN_IDX 5365 TILEPROP INT_L_X14Y102 TILE_TYPE INT_L TILEPROP INT_L_X14Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y102 TILE_X -30920 TILEPROP INT_L_X14Y102 TILE_Y 89272 TILEPROP INT_L_X14Y102 TYPE INT_L TILEPROP INT_L_X14Y103 CLASS tile TILEPROP INT_L_X14Y103 COLUMN 40 TILEPROP INT_L_X14Y103 DEVICE_ID 0 TILEPROP INT_L_X14Y103 FIRST_SITE_ID 4751 TILEPROP INT_L_X14Y103 GRID_POINT_X 40 TILEPROP INT_L_X14Y103 GRID_POINT_Y 48 TILEPROP INT_L_X14Y103 INDEX 5560 TILEPROP INT_L_X14Y103 INT_TILE_X 14 TILEPROP INT_L_X14Y103 INT_TILE_Y 46 TILEPROP INT_L_X14Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y103 IS_DCM_TILE 0 TILEPROP INT_L_X14Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y103 NAME INT_L_X14Y103 TILEPROP INT_L_X14Y103 NUM_ARCS 3737 TILEPROP INT_L_X14Y103 NUM_SITES 1 TILEPROP INT_L_X14Y103 ROW 48 TILEPROP INT_L_X14Y103 SLR_REGION_ID 0 TILEPROP INT_L_X14Y103 TILE_PATTERN_IDX 5329 TILEPROP INT_L_X14Y103 TILE_TYPE INT_L TILEPROP INT_L_X14Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y103 TILE_X -30920 TILEPROP INT_L_X14Y103 TILE_Y 92472 TILEPROP INT_L_X14Y103 TYPE INT_L TILEPROP INT_L_X14Y104 CLASS tile TILEPROP INT_L_X14Y104 COLUMN 40 TILEPROP INT_L_X14Y104 DEVICE_ID 0 TILEPROP INT_L_X14Y104 FIRST_SITE_ID 4655 TILEPROP INT_L_X14Y104 GRID_POINT_X 40 TILEPROP INT_L_X14Y104 GRID_POINT_Y 47 TILEPROP INT_L_X14Y104 INDEX 5445 TILEPROP INT_L_X14Y104 INT_TILE_X 14 TILEPROP INT_L_X14Y104 INT_TILE_Y 45 TILEPROP INT_L_X14Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y104 IS_DCM_TILE 0 TILEPROP INT_L_X14Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y104 NAME INT_L_X14Y104 TILEPROP INT_L_X14Y104 NUM_ARCS 3737 TILEPROP INT_L_X14Y104 NUM_SITES 1 TILEPROP INT_L_X14Y104 ROW 47 TILEPROP INT_L_X14Y104 SLR_REGION_ID 0 TILEPROP INT_L_X14Y104 TILE_PATTERN_IDX 5292 TILEPROP INT_L_X14Y104 TILE_TYPE INT_L TILEPROP INT_L_X14Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y104 TILE_X -30920 TILEPROP INT_L_X14Y104 TILE_Y 95672 TILEPROP INT_L_X14Y104 TYPE INT_L TILEPROP INT_L_X14Y105 CLASS tile TILEPROP INT_L_X14Y105 COLUMN 40 TILEPROP INT_L_X14Y105 DEVICE_ID 0 TILEPROP INT_L_X14Y105 FIRST_SITE_ID 4559 TILEPROP INT_L_X14Y105 GRID_POINT_X 40 TILEPROP INT_L_X14Y105 GRID_POINT_Y 46 TILEPROP INT_L_X14Y105 INDEX 5330 TILEPROP INT_L_X14Y105 INT_TILE_X 14 TILEPROP INT_L_X14Y105 INT_TILE_Y 44 TILEPROP INT_L_X14Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y105 IS_DCM_TILE 0 TILEPROP INT_L_X14Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y105 NAME INT_L_X14Y105 TILEPROP INT_L_X14Y105 NUM_ARCS 3737 TILEPROP INT_L_X14Y105 NUM_SITES 1 TILEPROP INT_L_X14Y105 ROW 46 TILEPROP INT_L_X14Y105 SLR_REGION_ID 0 TILEPROP INT_L_X14Y105 TILE_PATTERN_IDX 5256 TILEPROP INT_L_X14Y105 TILE_TYPE INT_L TILEPROP INT_L_X14Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y105 TILE_X -30920 TILEPROP INT_L_X14Y105 TILE_Y 98872 TILEPROP INT_L_X14Y105 TYPE INT_L TILEPROP INT_L_X14Y106 CLASS tile TILEPROP INT_L_X14Y106 COLUMN 40 TILEPROP INT_L_X14Y106 DEVICE_ID 0 TILEPROP INT_L_X14Y106 FIRST_SITE_ID 4457 TILEPROP INT_L_X14Y106 GRID_POINT_X 40 TILEPROP INT_L_X14Y106 GRID_POINT_Y 45 TILEPROP INT_L_X14Y106 INDEX 5215 TILEPROP INT_L_X14Y106 INT_TILE_X 14 TILEPROP INT_L_X14Y106 INT_TILE_Y 43 TILEPROP INT_L_X14Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y106 IS_DCM_TILE 0 TILEPROP INT_L_X14Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y106 NAME INT_L_X14Y106 TILEPROP INT_L_X14Y106 NUM_ARCS 3737 TILEPROP INT_L_X14Y106 NUM_SITES 1 TILEPROP INT_L_X14Y106 ROW 45 TILEPROP INT_L_X14Y106 SLR_REGION_ID 0 TILEPROP INT_L_X14Y106 TILE_PATTERN_IDX 5219 TILEPROP INT_L_X14Y106 TILE_TYPE INT_L TILEPROP INT_L_X14Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y106 TILE_X -30920 TILEPROP INT_L_X14Y106 TILE_Y 102072 TILEPROP INT_L_X14Y106 TYPE INT_L TILEPROP INT_L_X14Y107 CLASS tile TILEPROP INT_L_X14Y107 COLUMN 40 TILEPROP INT_L_X14Y107 DEVICE_ID 0 TILEPROP INT_L_X14Y107 FIRST_SITE_ID 4369 TILEPROP INT_L_X14Y107 GRID_POINT_X 40 TILEPROP INT_L_X14Y107 GRID_POINT_Y 44 TILEPROP INT_L_X14Y107 INDEX 5100 TILEPROP INT_L_X14Y107 INT_TILE_X 14 TILEPROP INT_L_X14Y107 INT_TILE_Y 42 TILEPROP INT_L_X14Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y107 IS_DCM_TILE 0 TILEPROP INT_L_X14Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y107 NAME INT_L_X14Y107 TILEPROP INT_L_X14Y107 NUM_ARCS 3737 TILEPROP INT_L_X14Y107 NUM_SITES 1 TILEPROP INT_L_X14Y107 ROW 44 TILEPROP INT_L_X14Y107 SLR_REGION_ID 0 TILEPROP INT_L_X14Y107 TILE_PATTERN_IDX 5183 TILEPROP INT_L_X14Y107 TILE_TYPE INT_L TILEPROP INT_L_X14Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y107 TILE_X -30920 TILEPROP INT_L_X14Y107 TILE_Y 105272 TILEPROP INT_L_X14Y107 TYPE INT_L TILEPROP INT_L_X14Y108 CLASS tile TILEPROP INT_L_X14Y108 COLUMN 40 TILEPROP INT_L_X14Y108 DEVICE_ID 0 TILEPROP INT_L_X14Y108 FIRST_SITE_ID 4271 TILEPROP INT_L_X14Y108 GRID_POINT_X 40 TILEPROP INT_L_X14Y108 GRID_POINT_Y 43 TILEPROP INT_L_X14Y108 INDEX 4985 TILEPROP INT_L_X14Y108 INT_TILE_X 14 TILEPROP INT_L_X14Y108 INT_TILE_Y 41 TILEPROP INT_L_X14Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y108 IS_DCM_TILE 0 TILEPROP INT_L_X14Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y108 NAME INT_L_X14Y108 TILEPROP INT_L_X14Y108 NUM_ARCS 3737 TILEPROP INT_L_X14Y108 NUM_SITES 1 TILEPROP INT_L_X14Y108 ROW 43 TILEPROP INT_L_X14Y108 SLR_REGION_ID 0 TILEPROP INT_L_X14Y108 TILE_PATTERN_IDX 5146 TILEPROP INT_L_X14Y108 TILE_TYPE INT_L TILEPROP INT_L_X14Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y108 TILE_X -30920 TILEPROP INT_L_X14Y108 TILE_Y 108472 TILEPROP INT_L_X14Y108 TYPE INT_L TILEPROP INT_L_X14Y109 CLASS tile TILEPROP INT_L_X14Y109 COLUMN 40 TILEPROP INT_L_X14Y109 DEVICE_ID 0 TILEPROP INT_L_X14Y109 FIRST_SITE_ID 4182 TILEPROP INT_L_X14Y109 GRID_POINT_X 40 TILEPROP INT_L_X14Y109 GRID_POINT_Y 42 TILEPROP INT_L_X14Y109 INDEX 4870 TILEPROP INT_L_X14Y109 INT_TILE_X 14 TILEPROP INT_L_X14Y109 INT_TILE_Y 40 TILEPROP INT_L_X14Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y109 IS_DCM_TILE 0 TILEPROP INT_L_X14Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y109 NAME INT_L_X14Y109 TILEPROP INT_L_X14Y109 NUM_ARCS 3737 TILEPROP INT_L_X14Y109 NUM_SITES 1 TILEPROP INT_L_X14Y109 ROW 42 TILEPROP INT_L_X14Y109 SLR_REGION_ID 0 TILEPROP INT_L_X14Y109 TILE_PATTERN_IDX 5110 TILEPROP INT_L_X14Y109 TILE_TYPE INT_L TILEPROP INT_L_X14Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y109 TILE_X -30920 TILEPROP INT_L_X14Y109 TILE_Y 111672 TILEPROP INT_L_X14Y109 TYPE INT_L TILEPROP INT_L_X14Y110 CLASS tile TILEPROP INT_L_X14Y110 COLUMN 40 TILEPROP INT_L_X14Y110 DEVICE_ID 0 TILEPROP INT_L_X14Y110 FIRST_SITE_ID 4082 TILEPROP INT_L_X14Y110 GRID_POINT_X 40 TILEPROP INT_L_X14Y110 GRID_POINT_Y 41 TILEPROP INT_L_X14Y110 INDEX 4755 TILEPROP INT_L_X14Y110 INT_TILE_X 14 TILEPROP INT_L_X14Y110 INT_TILE_Y 39 TILEPROP INT_L_X14Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y110 IS_DCM_TILE 0 TILEPROP INT_L_X14Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y110 NAME INT_L_X14Y110 TILEPROP INT_L_X14Y110 NUM_ARCS 3737 TILEPROP INT_L_X14Y110 NUM_SITES 1 TILEPROP INT_L_X14Y110 ROW 41 TILEPROP INT_L_X14Y110 SLR_REGION_ID 0 TILEPROP INT_L_X14Y110 TILE_PATTERN_IDX 5073 TILEPROP INT_L_X14Y110 TILE_TYPE INT_L TILEPROP INT_L_X14Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y110 TILE_X -30920 TILEPROP INT_L_X14Y110 TILE_Y 114872 TILEPROP INT_L_X14Y110 TYPE INT_L TILEPROP INT_L_X14Y111 CLASS tile TILEPROP INT_L_X14Y111 COLUMN 40 TILEPROP INT_L_X14Y111 DEVICE_ID 0 TILEPROP INT_L_X14Y111 FIRST_SITE_ID 3988 TILEPROP INT_L_X14Y111 GRID_POINT_X 40 TILEPROP INT_L_X14Y111 GRID_POINT_Y 40 TILEPROP INT_L_X14Y111 INDEX 4640 TILEPROP INT_L_X14Y111 INT_TILE_X 14 TILEPROP INT_L_X14Y111 INT_TILE_Y 38 TILEPROP INT_L_X14Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y111 IS_DCM_TILE 0 TILEPROP INT_L_X14Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y111 NAME INT_L_X14Y111 TILEPROP INT_L_X14Y111 NUM_ARCS 3737 TILEPROP INT_L_X14Y111 NUM_SITES 1 TILEPROP INT_L_X14Y111 ROW 40 TILEPROP INT_L_X14Y111 SLR_REGION_ID 0 TILEPROP INT_L_X14Y111 TILE_PATTERN_IDX 5037 TILEPROP INT_L_X14Y111 TILE_TYPE INT_L TILEPROP INT_L_X14Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y111 TILE_X -30920 TILEPROP INT_L_X14Y111 TILE_Y 118072 TILEPROP INT_L_X14Y111 TYPE INT_L TILEPROP INT_L_X14Y112 CLASS tile TILEPROP INT_L_X14Y112 COLUMN 40 TILEPROP INT_L_X14Y112 DEVICE_ID 0 TILEPROP INT_L_X14Y112 FIRST_SITE_ID 3860 TILEPROP INT_L_X14Y112 GRID_POINT_X 40 TILEPROP INT_L_X14Y112 GRID_POINT_Y 39 TILEPROP INT_L_X14Y112 INDEX 4525 TILEPROP INT_L_X14Y112 INT_TILE_X 14 TILEPROP INT_L_X14Y112 INT_TILE_Y 37 TILEPROP INT_L_X14Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y112 IS_DCM_TILE 0 TILEPROP INT_L_X14Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y112 NAME INT_L_X14Y112 TILEPROP INT_L_X14Y112 NUM_ARCS 3737 TILEPROP INT_L_X14Y112 NUM_SITES 1 TILEPROP INT_L_X14Y112 ROW 39 TILEPROP INT_L_X14Y112 SLR_REGION_ID 0 TILEPROP INT_L_X14Y112 TILE_PATTERN_IDX 4999 TILEPROP INT_L_X14Y112 TILE_TYPE INT_L TILEPROP INT_L_X14Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y112 TILE_X -30920 TILEPROP INT_L_X14Y112 TILE_Y 121272 TILEPROP INT_L_X14Y112 TYPE INT_L TILEPROP INT_L_X14Y113 CLASS tile TILEPROP INT_L_X14Y113 COLUMN 40 TILEPROP INT_L_X14Y113 DEVICE_ID 0 TILEPROP INT_L_X14Y113 FIRST_SITE_ID 3772 TILEPROP INT_L_X14Y113 GRID_POINT_X 40 TILEPROP INT_L_X14Y113 GRID_POINT_Y 38 TILEPROP INT_L_X14Y113 INDEX 4410 TILEPROP INT_L_X14Y113 INT_TILE_X 14 TILEPROP INT_L_X14Y113 INT_TILE_Y 36 TILEPROP INT_L_X14Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y113 IS_DCM_TILE 0 TILEPROP INT_L_X14Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y113 NAME INT_L_X14Y113 TILEPROP INT_L_X14Y113 NUM_ARCS 3737 TILEPROP INT_L_X14Y113 NUM_SITES 1 TILEPROP INT_L_X14Y113 ROW 38 TILEPROP INT_L_X14Y113 SLR_REGION_ID 0 TILEPROP INT_L_X14Y113 TILE_PATTERN_IDX 4963 TILEPROP INT_L_X14Y113 TILE_TYPE INT_L TILEPROP INT_L_X14Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y113 TILE_X -30920 TILEPROP INT_L_X14Y113 TILE_Y 124472 TILEPROP INT_L_X14Y113 TYPE INT_L TILEPROP INT_L_X14Y114 CLASS tile TILEPROP INT_L_X14Y114 COLUMN 40 TILEPROP INT_L_X14Y114 DEVICE_ID 0 TILEPROP INT_L_X14Y114 FIRST_SITE_ID 3676 TILEPROP INT_L_X14Y114 GRID_POINT_X 40 TILEPROP INT_L_X14Y114 GRID_POINT_Y 37 TILEPROP INT_L_X14Y114 INDEX 4295 TILEPROP INT_L_X14Y114 INT_TILE_X 14 TILEPROP INT_L_X14Y114 INT_TILE_Y 35 TILEPROP INT_L_X14Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y114 IS_DCM_TILE 0 TILEPROP INT_L_X14Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y114 NAME INT_L_X14Y114 TILEPROP INT_L_X14Y114 NUM_ARCS 3737 TILEPROP INT_L_X14Y114 NUM_SITES 1 TILEPROP INT_L_X14Y114 ROW 37 TILEPROP INT_L_X14Y114 SLR_REGION_ID 0 TILEPROP INT_L_X14Y114 TILE_PATTERN_IDX 4926 TILEPROP INT_L_X14Y114 TILE_TYPE INT_L TILEPROP INT_L_X14Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y114 TILE_X -30920 TILEPROP INT_L_X14Y114 TILE_Y 127672 TILEPROP INT_L_X14Y114 TYPE INT_L TILEPROP INT_L_X14Y115 CLASS tile TILEPROP INT_L_X14Y115 COLUMN 40 TILEPROP INT_L_X14Y115 DEVICE_ID 0 TILEPROP INT_L_X14Y115 FIRST_SITE_ID 3585 TILEPROP INT_L_X14Y115 GRID_POINT_X 40 TILEPROP INT_L_X14Y115 GRID_POINT_Y 36 TILEPROP INT_L_X14Y115 INDEX 4180 TILEPROP INT_L_X14Y115 INT_TILE_X 14 TILEPROP INT_L_X14Y115 INT_TILE_Y 34 TILEPROP INT_L_X14Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y115 IS_DCM_TILE 0 TILEPROP INT_L_X14Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y115 NAME INT_L_X14Y115 TILEPROP INT_L_X14Y115 NUM_ARCS 3737 TILEPROP INT_L_X14Y115 NUM_SITES 1 TILEPROP INT_L_X14Y115 ROW 36 TILEPROP INT_L_X14Y115 SLR_REGION_ID 0 TILEPROP INT_L_X14Y115 TILE_PATTERN_IDX 4890 TILEPROP INT_L_X14Y115 TILE_TYPE INT_L TILEPROP INT_L_X14Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y115 TILE_X -30920 TILEPROP INT_L_X14Y115 TILE_Y 130872 TILEPROP INT_L_X14Y115 TYPE INT_L TILEPROP INT_L_X14Y116 CLASS tile TILEPROP INT_L_X14Y116 COLUMN 40 TILEPROP INT_L_X14Y116 DEVICE_ID 0 TILEPROP INT_L_X14Y116 FIRST_SITE_ID 3478 TILEPROP INT_L_X14Y116 GRID_POINT_X 40 TILEPROP INT_L_X14Y116 GRID_POINT_Y 35 TILEPROP INT_L_X14Y116 INDEX 4065 TILEPROP INT_L_X14Y116 INT_TILE_X 14 TILEPROP INT_L_X14Y116 INT_TILE_Y 33 TILEPROP INT_L_X14Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y116 IS_DCM_TILE 0 TILEPROP INT_L_X14Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y116 NAME INT_L_X14Y116 TILEPROP INT_L_X14Y116 NUM_ARCS 3737 TILEPROP INT_L_X14Y116 NUM_SITES 1 TILEPROP INT_L_X14Y116 ROW 35 TILEPROP INT_L_X14Y116 SLR_REGION_ID 0 TILEPROP INT_L_X14Y116 TILE_PATTERN_IDX 4853 TILEPROP INT_L_X14Y116 TILE_TYPE INT_L TILEPROP INT_L_X14Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y116 TILE_X -30920 TILEPROP INT_L_X14Y116 TILE_Y 134072 TILEPROP INT_L_X14Y116 TYPE INT_L TILEPROP INT_L_X14Y117 CLASS tile TILEPROP INT_L_X14Y117 COLUMN 40 TILEPROP INT_L_X14Y117 DEVICE_ID 0 TILEPROP INT_L_X14Y117 FIRST_SITE_ID 3390 TILEPROP INT_L_X14Y117 GRID_POINT_X 40 TILEPROP INT_L_X14Y117 GRID_POINT_Y 34 TILEPROP INT_L_X14Y117 INDEX 3950 TILEPROP INT_L_X14Y117 INT_TILE_X 14 TILEPROP INT_L_X14Y117 INT_TILE_Y 32 TILEPROP INT_L_X14Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y117 IS_DCM_TILE 0 TILEPROP INT_L_X14Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y117 NAME INT_L_X14Y117 TILEPROP INT_L_X14Y117 NUM_ARCS 3737 TILEPROP INT_L_X14Y117 NUM_SITES 1 TILEPROP INT_L_X14Y117 ROW 34 TILEPROP INT_L_X14Y117 SLR_REGION_ID 0 TILEPROP INT_L_X14Y117 TILE_PATTERN_IDX 4817 TILEPROP INT_L_X14Y117 TILE_TYPE INT_L TILEPROP INT_L_X14Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y117 TILE_X -30920 TILEPROP INT_L_X14Y117 TILE_Y 137272 TILEPROP INT_L_X14Y117 TYPE INT_L TILEPROP INT_L_X14Y118 CLASS tile TILEPROP INT_L_X14Y118 COLUMN 40 TILEPROP INT_L_X14Y118 DEVICE_ID 0 TILEPROP INT_L_X14Y118 FIRST_SITE_ID 3290 TILEPROP INT_L_X14Y118 GRID_POINT_X 40 TILEPROP INT_L_X14Y118 GRID_POINT_Y 33 TILEPROP INT_L_X14Y118 INDEX 3835 TILEPROP INT_L_X14Y118 INT_TILE_X 14 TILEPROP INT_L_X14Y118 INT_TILE_Y 31 TILEPROP INT_L_X14Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y118 IS_DCM_TILE 0 TILEPROP INT_L_X14Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y118 NAME INT_L_X14Y118 TILEPROP INT_L_X14Y118 NUM_ARCS 3737 TILEPROP INT_L_X14Y118 NUM_SITES 1 TILEPROP INT_L_X14Y118 ROW 33 TILEPROP INT_L_X14Y118 SLR_REGION_ID 0 TILEPROP INT_L_X14Y118 TILE_PATTERN_IDX 4779 TILEPROP INT_L_X14Y118 TILE_TYPE INT_L TILEPROP INT_L_X14Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y118 TILE_X -30920 TILEPROP INT_L_X14Y118 TILE_Y 140472 TILEPROP INT_L_X14Y118 TYPE INT_L TILEPROP INT_L_X14Y119 CLASS tile TILEPROP INT_L_X14Y119 COLUMN 40 TILEPROP INT_L_X14Y119 DEVICE_ID 0 TILEPROP INT_L_X14Y119 FIRST_SITE_ID 3202 TILEPROP INT_L_X14Y119 GRID_POINT_X 40 TILEPROP INT_L_X14Y119 GRID_POINT_Y 32 TILEPROP INT_L_X14Y119 INDEX 3720 TILEPROP INT_L_X14Y119 INT_TILE_X 14 TILEPROP INT_L_X14Y119 INT_TILE_Y 30 TILEPROP INT_L_X14Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y119 IS_DCM_TILE 0 TILEPROP INT_L_X14Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y119 NAME INT_L_X14Y119 TILEPROP INT_L_X14Y119 NUM_ARCS 3737 TILEPROP INT_L_X14Y119 NUM_SITES 1 TILEPROP INT_L_X14Y119 ROW 32 TILEPROP INT_L_X14Y119 SLR_REGION_ID 0 TILEPROP INT_L_X14Y119 TILE_PATTERN_IDX 4743 TILEPROP INT_L_X14Y119 TILE_TYPE INT_L TILEPROP INT_L_X14Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y119 TILE_X -30920 TILEPROP INT_L_X14Y119 TILE_Y 143672 TILEPROP INT_L_X14Y119 TYPE INT_L TILEPROP INT_L_X14Y120 CLASS tile TILEPROP INT_L_X14Y120 COLUMN 40 TILEPROP INT_L_X14Y120 DEVICE_ID 0 TILEPROP INT_L_X14Y120 FIRST_SITE_ID 3101 TILEPROP INT_L_X14Y120 GRID_POINT_X 40 TILEPROP INT_L_X14Y120 GRID_POINT_Y 31 TILEPROP INT_L_X14Y120 INDEX 3605 TILEPROP INT_L_X14Y120 INT_TILE_X 14 TILEPROP INT_L_X14Y120 INT_TILE_Y 29 TILEPROP INT_L_X14Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y120 IS_DCM_TILE 0 TILEPROP INT_L_X14Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y120 NAME INT_L_X14Y120 TILEPROP INT_L_X14Y120 NUM_ARCS 3737 TILEPROP INT_L_X14Y120 NUM_SITES 1 TILEPROP INT_L_X14Y120 ROW 31 TILEPROP INT_L_X14Y120 SLR_REGION_ID 0 TILEPROP INT_L_X14Y120 TILE_PATTERN_IDX 4706 TILEPROP INT_L_X14Y120 TILE_TYPE INT_L TILEPROP INT_L_X14Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y120 TILE_X -30920 TILEPROP INT_L_X14Y120 TILE_Y 146872 TILEPROP INT_L_X14Y120 TYPE INT_L TILEPROP INT_L_X14Y121 CLASS tile TILEPROP INT_L_X14Y121 COLUMN 40 TILEPROP INT_L_X14Y121 DEVICE_ID 0 TILEPROP INT_L_X14Y121 FIRST_SITE_ID 3007 TILEPROP INT_L_X14Y121 GRID_POINT_X 40 TILEPROP INT_L_X14Y121 GRID_POINT_Y 30 TILEPROP INT_L_X14Y121 INDEX 3490 TILEPROP INT_L_X14Y121 INT_TILE_X 14 TILEPROP INT_L_X14Y121 INT_TILE_Y 28 TILEPROP INT_L_X14Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y121 IS_DCM_TILE 0 TILEPROP INT_L_X14Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y121 NAME INT_L_X14Y121 TILEPROP INT_L_X14Y121 NUM_ARCS 3737 TILEPROP INT_L_X14Y121 NUM_SITES 1 TILEPROP INT_L_X14Y121 ROW 30 TILEPROP INT_L_X14Y121 SLR_REGION_ID 0 TILEPROP INT_L_X14Y121 TILE_PATTERN_IDX 4670 TILEPROP INT_L_X14Y121 TILE_TYPE INT_L TILEPROP INT_L_X14Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y121 TILE_X -30920 TILEPROP INT_L_X14Y121 TILE_Y 150072 TILEPROP INT_L_X14Y121 TYPE INT_L TILEPROP INT_L_X14Y122 CLASS tile TILEPROP INT_L_X14Y122 COLUMN 40 TILEPROP INT_L_X14Y122 DEVICE_ID 0 TILEPROP INT_L_X14Y122 FIRST_SITE_ID 2904 TILEPROP INT_L_X14Y122 GRID_POINT_X 40 TILEPROP INT_L_X14Y122 GRID_POINT_Y 29 TILEPROP INT_L_X14Y122 INDEX 3375 TILEPROP INT_L_X14Y122 INT_TILE_X 14 TILEPROP INT_L_X14Y122 INT_TILE_Y 27 TILEPROP INT_L_X14Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y122 IS_DCM_TILE 0 TILEPROP INT_L_X14Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y122 NAME INT_L_X14Y122 TILEPROP INT_L_X14Y122 NUM_ARCS 3737 TILEPROP INT_L_X14Y122 NUM_SITES 1 TILEPROP INT_L_X14Y122 ROW 29 TILEPROP INT_L_X14Y122 SLR_REGION_ID 0 TILEPROP INT_L_X14Y122 TILE_PATTERN_IDX 4632 TILEPROP INT_L_X14Y122 TILE_TYPE INT_L TILEPROP INT_L_X14Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y122 TILE_X -30920 TILEPROP INT_L_X14Y122 TILE_Y 153272 TILEPROP INT_L_X14Y122 TYPE INT_L TILEPROP INT_L_X14Y123 CLASS tile TILEPROP INT_L_X14Y123 COLUMN 40 TILEPROP INT_L_X14Y123 DEVICE_ID 0 TILEPROP INT_L_X14Y123 FIRST_SITE_ID 2816 TILEPROP INT_L_X14Y123 GRID_POINT_X 40 TILEPROP INT_L_X14Y123 GRID_POINT_Y 28 TILEPROP INT_L_X14Y123 INDEX 3260 TILEPROP INT_L_X14Y123 INT_TILE_X 14 TILEPROP INT_L_X14Y123 INT_TILE_Y 26 TILEPROP INT_L_X14Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y123 IS_DCM_TILE 0 TILEPROP INT_L_X14Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y123 NAME INT_L_X14Y123 TILEPROP INT_L_X14Y123 NUM_ARCS 3737 TILEPROP INT_L_X14Y123 NUM_SITES 1 TILEPROP INT_L_X14Y123 ROW 28 TILEPROP INT_L_X14Y123 SLR_REGION_ID 0 TILEPROP INT_L_X14Y123 TILE_PATTERN_IDX 4596 TILEPROP INT_L_X14Y123 TILE_TYPE INT_L TILEPROP INT_L_X14Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y123 TILE_X -30920 TILEPROP INT_L_X14Y123 TILE_Y 156472 TILEPROP INT_L_X14Y123 TYPE INT_L TILEPROP INT_L_X14Y124 CLASS tile TILEPROP INT_L_X14Y124 COLUMN 40 TILEPROP INT_L_X14Y124 DEVICE_ID 0 TILEPROP INT_L_X14Y124 FIRST_SITE_ID 2720 TILEPROP INT_L_X14Y124 GRID_POINT_X 40 TILEPROP INT_L_X14Y124 GRID_POINT_Y 27 TILEPROP INT_L_X14Y124 INDEX 3145 TILEPROP INT_L_X14Y124 INT_TILE_X 14 TILEPROP INT_L_X14Y124 INT_TILE_Y 25 TILEPROP INT_L_X14Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y124 IS_DCM_TILE 0 TILEPROP INT_L_X14Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y124 NAME INT_L_X14Y124 TILEPROP INT_L_X14Y124 NUM_ARCS 3737 TILEPROP INT_L_X14Y124 NUM_SITES 1 TILEPROP INT_L_X14Y124 ROW 27 TILEPROP INT_L_X14Y124 SLR_REGION_ID 0 TILEPROP INT_L_X14Y124 TILE_PATTERN_IDX 4559 TILEPROP INT_L_X14Y124 TILE_TYPE INT_L TILEPROP INT_L_X14Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y124 TILE_X -30920 TILEPROP INT_L_X14Y124 TILE_Y 159672 TILEPROP INT_L_X14Y124 TYPE INT_L TILEPROP INT_L_X14Y125 CLASS tile TILEPROP INT_L_X14Y125 COLUMN 40 TILEPROP INT_L_X14Y125 DEVICE_ID 0 TILEPROP INT_L_X14Y125 FIRST_SITE_ID 2547 TILEPROP INT_L_X14Y125 GRID_POINT_X 40 TILEPROP INT_L_X14Y125 GRID_POINT_Y 25 TILEPROP INT_L_X14Y125 INDEX 2915 TILEPROP INT_L_X14Y125 INT_TILE_X 14 TILEPROP INT_L_X14Y125 INT_TILE_Y 24 TILEPROP INT_L_X14Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y125 IS_DCM_TILE 0 TILEPROP INT_L_X14Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y125 NAME INT_L_X14Y125 TILEPROP INT_L_X14Y125 NUM_ARCS 3737 TILEPROP INT_L_X14Y125 NUM_SITES 1 TILEPROP INT_L_X14Y125 ROW 25 TILEPROP INT_L_X14Y125 SLR_REGION_ID 0 TILEPROP INT_L_X14Y125 TILE_PATTERN_IDX 4480 TILEPROP INT_L_X14Y125 TILE_TYPE INT_L TILEPROP INT_L_X14Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y125 TILE_X -30920 TILEPROP INT_L_X14Y125 TILE_Y 163896 TILEPROP INT_L_X14Y125 TYPE INT_L TILEPROP INT_L_X14Y126 CLASS tile TILEPROP INT_L_X14Y126 COLUMN 40 TILEPROP INT_L_X14Y126 DEVICE_ID 0 TILEPROP INT_L_X14Y126 FIRST_SITE_ID 2437 TILEPROP INT_L_X14Y126 GRID_POINT_X 40 TILEPROP INT_L_X14Y126 GRID_POINT_Y 24 TILEPROP INT_L_X14Y126 INDEX 2800 TILEPROP INT_L_X14Y126 INT_TILE_X 14 TILEPROP INT_L_X14Y126 INT_TILE_Y 23 TILEPROP INT_L_X14Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y126 IS_DCM_TILE 0 TILEPROP INT_L_X14Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y126 NAME INT_L_X14Y126 TILEPROP INT_L_X14Y126 NUM_ARCS 3737 TILEPROP INT_L_X14Y126 NUM_SITES 1 TILEPROP INT_L_X14Y126 ROW 24 TILEPROP INT_L_X14Y126 SLR_REGION_ID 0 TILEPROP INT_L_X14Y126 TILE_PATTERN_IDX 4441 TILEPROP INT_L_X14Y126 TILE_TYPE INT_L TILEPROP INT_L_X14Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y126 TILE_X -30920 TILEPROP INT_L_X14Y126 TILE_Y 167096 TILEPROP INT_L_X14Y126 TYPE INT_L TILEPROP INT_L_X14Y127 CLASS tile TILEPROP INT_L_X14Y127 COLUMN 40 TILEPROP INT_L_X14Y127 DEVICE_ID 0 TILEPROP INT_L_X14Y127 FIRST_SITE_ID 2341 TILEPROP INT_L_X14Y127 GRID_POINT_X 40 TILEPROP INT_L_X14Y127 GRID_POINT_Y 23 TILEPROP INT_L_X14Y127 INDEX 2685 TILEPROP INT_L_X14Y127 INT_TILE_X 14 TILEPROP INT_L_X14Y127 INT_TILE_Y 22 TILEPROP INT_L_X14Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y127 IS_DCM_TILE 0 TILEPROP INT_L_X14Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y127 NAME INT_L_X14Y127 TILEPROP INT_L_X14Y127 NUM_ARCS 3737 TILEPROP INT_L_X14Y127 NUM_SITES 1 TILEPROP INT_L_X14Y127 ROW 23 TILEPROP INT_L_X14Y127 SLR_REGION_ID 0 TILEPROP INT_L_X14Y127 TILE_PATTERN_IDX 4403 TILEPROP INT_L_X14Y127 TILE_TYPE INT_L TILEPROP INT_L_X14Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y127 TILE_X -30920 TILEPROP INT_L_X14Y127 TILE_Y 170296 TILEPROP INT_L_X14Y127 TYPE INT_L TILEPROP INT_L_X14Y128 CLASS tile TILEPROP INT_L_X14Y128 COLUMN 40 TILEPROP INT_L_X14Y128 DEVICE_ID 0 TILEPROP INT_L_X14Y128 FIRST_SITE_ID 2237 TILEPROP INT_L_X14Y128 GRID_POINT_X 40 TILEPROP INT_L_X14Y128 GRID_POINT_Y 22 TILEPROP INT_L_X14Y128 INDEX 2570 TILEPROP INT_L_X14Y128 INT_TILE_X 14 TILEPROP INT_L_X14Y128 INT_TILE_Y 21 TILEPROP INT_L_X14Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y128 IS_DCM_TILE 0 TILEPROP INT_L_X14Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y128 NAME INT_L_X14Y128 TILEPROP INT_L_X14Y128 NUM_ARCS 3737 TILEPROP INT_L_X14Y128 NUM_SITES 1 TILEPROP INT_L_X14Y128 ROW 22 TILEPROP INT_L_X14Y128 SLR_REGION_ID 0 TILEPROP INT_L_X14Y128 TILE_PATTERN_IDX 4364 TILEPROP INT_L_X14Y128 TILE_TYPE INT_L TILEPROP INT_L_X14Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y128 TILE_X -30920 TILEPROP INT_L_X14Y128 TILE_Y 173496 TILEPROP INT_L_X14Y128 TYPE INT_L TILEPROP INT_L_X14Y129 CLASS tile TILEPROP INT_L_X14Y129 COLUMN 40 TILEPROP INT_L_X14Y129 DEVICE_ID 0 TILEPROP INT_L_X14Y129 FIRST_SITE_ID 2141 TILEPROP INT_L_X14Y129 GRID_POINT_X 40 TILEPROP INT_L_X14Y129 GRID_POINT_Y 21 TILEPROP INT_L_X14Y129 INDEX 2455 TILEPROP INT_L_X14Y129 INT_TILE_X 14 TILEPROP INT_L_X14Y129 INT_TILE_Y 20 TILEPROP INT_L_X14Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y129 IS_DCM_TILE 0 TILEPROP INT_L_X14Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y129 NAME INT_L_X14Y129 TILEPROP INT_L_X14Y129 NUM_ARCS 3737 TILEPROP INT_L_X14Y129 NUM_SITES 1 TILEPROP INT_L_X14Y129 ROW 21 TILEPROP INT_L_X14Y129 SLR_REGION_ID 0 TILEPROP INT_L_X14Y129 TILE_PATTERN_IDX 4326 TILEPROP INT_L_X14Y129 TILE_TYPE INT_L TILEPROP INT_L_X14Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y129 TILE_X -30920 TILEPROP INT_L_X14Y129 TILE_Y 176696 TILEPROP INT_L_X14Y129 TYPE INT_L TILEPROP INT_L_X14Y130 CLASS tile TILEPROP INT_L_X14Y130 COLUMN 40 TILEPROP INT_L_X14Y130 DEVICE_ID 0 TILEPROP INT_L_X14Y130 FIRST_SITE_ID 2025 TILEPROP INT_L_X14Y130 GRID_POINT_X 40 TILEPROP INT_L_X14Y130 GRID_POINT_Y 20 TILEPROP INT_L_X14Y130 INDEX 2340 TILEPROP INT_L_X14Y130 INT_TILE_X 14 TILEPROP INT_L_X14Y130 INT_TILE_Y 19 TILEPROP INT_L_X14Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y130 IS_DCM_TILE 0 TILEPROP INT_L_X14Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y130 NAME INT_L_X14Y130 TILEPROP INT_L_X14Y130 NUM_ARCS 3737 TILEPROP INT_L_X14Y130 NUM_SITES 1 TILEPROP INT_L_X14Y130 ROW 20 TILEPROP INT_L_X14Y130 SLR_REGION_ID 0 TILEPROP INT_L_X14Y130 TILE_PATTERN_IDX 4286 TILEPROP INT_L_X14Y130 TILE_TYPE INT_L TILEPROP INT_L_X14Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y130 TILE_X -30920 TILEPROP INT_L_X14Y130 TILE_Y 179896 TILEPROP INT_L_X14Y130 TYPE INT_L TILEPROP INT_L_X14Y131 CLASS tile TILEPROP INT_L_X14Y131 COLUMN 40 TILEPROP INT_L_X14Y131 DEVICE_ID 0 TILEPROP INT_L_X14Y131 FIRST_SITE_ID 1923 TILEPROP INT_L_X14Y131 GRID_POINT_X 40 TILEPROP INT_L_X14Y131 GRID_POINT_Y 19 TILEPROP INT_L_X14Y131 INDEX 2225 TILEPROP INT_L_X14Y131 INT_TILE_X 14 TILEPROP INT_L_X14Y131 INT_TILE_Y 18 TILEPROP INT_L_X14Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y131 IS_DCM_TILE 0 TILEPROP INT_L_X14Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y131 NAME INT_L_X14Y131 TILEPROP INT_L_X14Y131 NUM_ARCS 3737 TILEPROP INT_L_X14Y131 NUM_SITES 1 TILEPROP INT_L_X14Y131 ROW 19 TILEPROP INT_L_X14Y131 SLR_REGION_ID 0 TILEPROP INT_L_X14Y131 TILE_PATTERN_IDX 4248 TILEPROP INT_L_X14Y131 TILE_TYPE INT_L TILEPROP INT_L_X14Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y131 TILE_X -30920 TILEPROP INT_L_X14Y131 TILE_Y 183096 TILEPROP INT_L_X14Y131 TYPE INT_L TILEPROP INT_L_X14Y132 CLASS tile TILEPROP INT_L_X14Y132 COLUMN 40 TILEPROP INT_L_X14Y132 DEVICE_ID 0 TILEPROP INT_L_X14Y132 FIRST_SITE_ID 1817 TILEPROP INT_L_X14Y132 GRID_POINT_X 40 TILEPROP INT_L_X14Y132 GRID_POINT_Y 18 TILEPROP INT_L_X14Y132 INDEX 2110 TILEPROP INT_L_X14Y132 INT_TILE_X 14 TILEPROP INT_L_X14Y132 INT_TILE_Y 17 TILEPROP INT_L_X14Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y132 IS_DCM_TILE 0 TILEPROP INT_L_X14Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y132 NAME INT_L_X14Y132 TILEPROP INT_L_X14Y132 NUM_ARCS 3737 TILEPROP INT_L_X14Y132 NUM_SITES 1 TILEPROP INT_L_X14Y132 ROW 18 TILEPROP INT_L_X14Y132 SLR_REGION_ID 0 TILEPROP INT_L_X14Y132 TILE_PATTERN_IDX 4209 TILEPROP INT_L_X14Y132 TILE_TYPE INT_L TILEPROP INT_L_X14Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y132 TILE_X -30920 TILEPROP INT_L_X14Y132 TILE_Y 186296 TILEPROP INT_L_X14Y132 TYPE INT_L TILEPROP INT_L_X14Y133 CLASS tile TILEPROP INT_L_X14Y133 COLUMN 40 TILEPROP INT_L_X14Y133 DEVICE_ID 0 TILEPROP INT_L_X14Y133 FIRST_SITE_ID 1716 TILEPROP INT_L_X14Y133 GRID_POINT_X 40 TILEPROP INT_L_X14Y133 GRID_POINT_Y 17 TILEPROP INT_L_X14Y133 INDEX 1995 TILEPROP INT_L_X14Y133 INT_TILE_X 14 TILEPROP INT_L_X14Y133 INT_TILE_Y 16 TILEPROP INT_L_X14Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y133 IS_DCM_TILE 0 TILEPROP INT_L_X14Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y133 NAME INT_L_X14Y133 TILEPROP INT_L_X14Y133 NUM_ARCS 3737 TILEPROP INT_L_X14Y133 NUM_SITES 1 TILEPROP INT_L_X14Y133 ROW 17 TILEPROP INT_L_X14Y133 SLR_REGION_ID 0 TILEPROP INT_L_X14Y133 TILE_PATTERN_IDX 4171 TILEPROP INT_L_X14Y133 TILE_TYPE INT_L TILEPROP INT_L_X14Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y133 TILE_X -30920 TILEPROP INT_L_X14Y133 TILE_Y 189496 TILEPROP INT_L_X14Y133 TYPE INT_L TILEPROP INT_L_X14Y134 CLASS tile TILEPROP INT_L_X14Y134 COLUMN 40 TILEPROP INT_L_X14Y134 DEVICE_ID 0 TILEPROP INT_L_X14Y134 FIRST_SITE_ID 1612 TILEPROP INT_L_X14Y134 GRID_POINT_X 40 TILEPROP INT_L_X14Y134 GRID_POINT_Y 16 TILEPROP INT_L_X14Y134 INDEX 1880 TILEPROP INT_L_X14Y134 INT_TILE_X 14 TILEPROP INT_L_X14Y134 INT_TILE_Y 15 TILEPROP INT_L_X14Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y134 IS_DCM_TILE 0 TILEPROP INT_L_X14Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y134 NAME INT_L_X14Y134 TILEPROP INT_L_X14Y134 NUM_ARCS 3737 TILEPROP INT_L_X14Y134 NUM_SITES 1 TILEPROP INT_L_X14Y134 ROW 16 TILEPROP INT_L_X14Y134 SLR_REGION_ID 0 TILEPROP INT_L_X14Y134 TILE_PATTERN_IDX 4132 TILEPROP INT_L_X14Y134 TILE_TYPE INT_L TILEPROP INT_L_X14Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y134 TILE_X -30920 TILEPROP INT_L_X14Y134 TILE_Y 192696 TILEPROP INT_L_X14Y134 TYPE INT_L TILEPROP INT_L_X14Y135 CLASS tile TILEPROP INT_L_X14Y135 COLUMN 40 TILEPROP INT_L_X14Y135 DEVICE_ID 0 TILEPROP INT_L_X14Y135 FIRST_SITE_ID 1510 TILEPROP INT_L_X14Y135 GRID_POINT_X 40 TILEPROP INT_L_X14Y135 GRID_POINT_Y 15 TILEPROP INT_L_X14Y135 INDEX 1765 TILEPROP INT_L_X14Y135 INT_TILE_X 14 TILEPROP INT_L_X14Y135 INT_TILE_Y 14 TILEPROP INT_L_X14Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y135 IS_DCM_TILE 0 TILEPROP INT_L_X14Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y135 NAME INT_L_X14Y135 TILEPROP INT_L_X14Y135 NUM_ARCS 3737 TILEPROP INT_L_X14Y135 NUM_SITES 1 TILEPROP INT_L_X14Y135 ROW 15 TILEPROP INT_L_X14Y135 SLR_REGION_ID 0 TILEPROP INT_L_X14Y135 TILE_PATTERN_IDX 4094 TILEPROP INT_L_X14Y135 TILE_TYPE INT_L TILEPROP INT_L_X14Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y135 TILE_X -30920 TILEPROP INT_L_X14Y135 TILE_Y 195896 TILEPROP INT_L_X14Y135 TYPE INT_L TILEPROP INT_L_X14Y136 CLASS tile TILEPROP INT_L_X14Y136 COLUMN 40 TILEPROP INT_L_X14Y136 DEVICE_ID 0 TILEPROP INT_L_X14Y136 FIRST_SITE_ID 1368 TILEPROP INT_L_X14Y136 GRID_POINT_X 40 TILEPROP INT_L_X14Y136 GRID_POINT_Y 14 TILEPROP INT_L_X14Y136 INDEX 1650 TILEPROP INT_L_X14Y136 INT_TILE_X 14 TILEPROP INT_L_X14Y136 INT_TILE_Y 13 TILEPROP INT_L_X14Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y136 IS_DCM_TILE 0 TILEPROP INT_L_X14Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y136 NAME INT_L_X14Y136 TILEPROP INT_L_X14Y136 NUM_ARCS 3737 TILEPROP INT_L_X14Y136 NUM_SITES 1 TILEPROP INT_L_X14Y136 ROW 14 TILEPROP INT_L_X14Y136 SLR_REGION_ID 0 TILEPROP INT_L_X14Y136 TILE_PATTERN_IDX 4055 TILEPROP INT_L_X14Y136 TILE_TYPE INT_L TILEPROP INT_L_X14Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y136 TILE_X -30920 TILEPROP INT_L_X14Y136 TILE_Y 199096 TILEPROP INT_L_X14Y136 TYPE INT_L TILEPROP INT_L_X14Y137 CLASS tile TILEPROP INT_L_X14Y137 COLUMN 40 TILEPROP INT_L_X14Y137 DEVICE_ID 0 TILEPROP INT_L_X14Y137 FIRST_SITE_ID 1272 TILEPROP INT_L_X14Y137 GRID_POINT_X 40 TILEPROP INT_L_X14Y137 GRID_POINT_Y 13 TILEPROP INT_L_X14Y137 INDEX 1535 TILEPROP INT_L_X14Y137 INT_TILE_X 14 TILEPROP INT_L_X14Y137 INT_TILE_Y 12 TILEPROP INT_L_X14Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y137 IS_DCM_TILE 0 TILEPROP INT_L_X14Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y137 NAME INT_L_X14Y137 TILEPROP INT_L_X14Y137 NUM_ARCS 3737 TILEPROP INT_L_X14Y137 NUM_SITES 1 TILEPROP INT_L_X14Y137 ROW 13 TILEPROP INT_L_X14Y137 SLR_REGION_ID 0 TILEPROP INT_L_X14Y137 TILE_PATTERN_IDX 4017 TILEPROP INT_L_X14Y137 TILE_TYPE INT_L TILEPROP INT_L_X14Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y137 TILE_X -30920 TILEPROP INT_L_X14Y137 TILE_Y 202296 TILEPROP INT_L_X14Y137 TYPE INT_L TILEPROP INT_L_X14Y138 CLASS tile TILEPROP INT_L_X14Y138 COLUMN 40 TILEPROP INT_L_X14Y138 DEVICE_ID 0 TILEPROP INT_L_X14Y138 FIRST_SITE_ID 1168 TILEPROP INT_L_X14Y138 GRID_POINT_X 40 TILEPROP INT_L_X14Y138 GRID_POINT_Y 12 TILEPROP INT_L_X14Y138 INDEX 1420 TILEPROP INT_L_X14Y138 INT_TILE_X 14 TILEPROP INT_L_X14Y138 INT_TILE_Y 11 TILEPROP INT_L_X14Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y138 IS_DCM_TILE 0 TILEPROP INT_L_X14Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y138 NAME INT_L_X14Y138 TILEPROP INT_L_X14Y138 NUM_ARCS 3737 TILEPROP INT_L_X14Y138 NUM_SITES 1 TILEPROP INT_L_X14Y138 ROW 12 TILEPROP INT_L_X14Y138 SLR_REGION_ID 0 TILEPROP INT_L_X14Y138 TILE_PATTERN_IDX 3978 TILEPROP INT_L_X14Y138 TILE_TYPE INT_L TILEPROP INT_L_X14Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y138 TILE_X -30920 TILEPROP INT_L_X14Y138 TILE_Y 205496 TILEPROP INT_L_X14Y138 TYPE INT_L TILEPROP INT_L_X14Y139 CLASS tile TILEPROP INT_L_X14Y139 COLUMN 40 TILEPROP INT_L_X14Y139 DEVICE_ID 0 TILEPROP INT_L_X14Y139 FIRST_SITE_ID 1072 TILEPROP INT_L_X14Y139 GRID_POINT_X 40 TILEPROP INT_L_X14Y139 GRID_POINT_Y 11 TILEPROP INT_L_X14Y139 INDEX 1305 TILEPROP INT_L_X14Y139 INT_TILE_X 14 TILEPROP INT_L_X14Y139 INT_TILE_Y 10 TILEPROP INT_L_X14Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y139 IS_DCM_TILE 0 TILEPROP INT_L_X14Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y139 NAME INT_L_X14Y139 TILEPROP INT_L_X14Y139 NUM_ARCS 3737 TILEPROP INT_L_X14Y139 NUM_SITES 1 TILEPROP INT_L_X14Y139 ROW 11 TILEPROP INT_L_X14Y139 SLR_REGION_ID 0 TILEPROP INT_L_X14Y139 TILE_PATTERN_IDX 3940 TILEPROP INT_L_X14Y139 TILE_TYPE INT_L TILEPROP INT_L_X14Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y139 TILE_X -30920 TILEPROP INT_L_X14Y139 TILE_Y 208696 TILEPROP INT_L_X14Y139 TYPE INT_L TILEPROP INT_L_X14Y140 CLASS tile TILEPROP INT_L_X14Y140 COLUMN 40 TILEPROP INT_L_X14Y140 DEVICE_ID 0 TILEPROP INT_L_X14Y140 FIRST_SITE_ID 962 TILEPROP INT_L_X14Y140 GRID_POINT_X 40 TILEPROP INT_L_X14Y140 GRID_POINT_Y 10 TILEPROP INT_L_X14Y140 INDEX 1190 TILEPROP INT_L_X14Y140 INT_TILE_X 14 TILEPROP INT_L_X14Y140 INT_TILE_Y 9 TILEPROP INT_L_X14Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y140 IS_DCM_TILE 0 TILEPROP INT_L_X14Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y140 NAME INT_L_X14Y140 TILEPROP INT_L_X14Y140 NUM_ARCS 3737 TILEPROP INT_L_X14Y140 NUM_SITES 1 TILEPROP INT_L_X14Y140 ROW 10 TILEPROP INT_L_X14Y140 SLR_REGION_ID 0 TILEPROP INT_L_X14Y140 TILE_PATTERN_IDX 3901 TILEPROP INT_L_X14Y140 TILE_TYPE INT_L TILEPROP INT_L_X14Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y140 TILE_X -30920 TILEPROP INT_L_X14Y140 TILE_Y 211896 TILEPROP INT_L_X14Y140 TYPE INT_L TILEPROP INT_L_X14Y141 CLASS tile TILEPROP INT_L_X14Y141 COLUMN 40 TILEPROP INT_L_X14Y141 DEVICE_ID 0 TILEPROP INT_L_X14Y141 FIRST_SITE_ID 860 TILEPROP INT_L_X14Y141 GRID_POINT_X 40 TILEPROP INT_L_X14Y141 GRID_POINT_Y 9 TILEPROP INT_L_X14Y141 INDEX 1075 TILEPROP INT_L_X14Y141 INT_TILE_X 14 TILEPROP INT_L_X14Y141 INT_TILE_Y 8 TILEPROP INT_L_X14Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y141 IS_DCM_TILE 0 TILEPROP INT_L_X14Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y141 NAME INT_L_X14Y141 TILEPROP INT_L_X14Y141 NUM_ARCS 3737 TILEPROP INT_L_X14Y141 NUM_SITES 1 TILEPROP INT_L_X14Y141 ROW 9 TILEPROP INT_L_X14Y141 SLR_REGION_ID 0 TILEPROP INT_L_X14Y141 TILE_PATTERN_IDX 3863 TILEPROP INT_L_X14Y141 TILE_TYPE INT_L TILEPROP INT_L_X14Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y141 TILE_X -30920 TILEPROP INT_L_X14Y141 TILE_Y 215096 TILEPROP INT_L_X14Y141 TYPE INT_L TILEPROP INT_L_X14Y142 CLASS tile TILEPROP INT_L_X14Y142 COLUMN 40 TILEPROP INT_L_X14Y142 DEVICE_ID 0 TILEPROP INT_L_X14Y142 FIRST_SITE_ID 756 TILEPROP INT_L_X14Y142 GRID_POINT_X 40 TILEPROP INT_L_X14Y142 GRID_POINT_Y 8 TILEPROP INT_L_X14Y142 INDEX 960 TILEPROP INT_L_X14Y142 INT_TILE_X 14 TILEPROP INT_L_X14Y142 INT_TILE_Y 7 TILEPROP INT_L_X14Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y142 IS_DCM_TILE 0 TILEPROP INT_L_X14Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y142 NAME INT_L_X14Y142 TILEPROP INT_L_X14Y142 NUM_ARCS 3737 TILEPROP INT_L_X14Y142 NUM_SITES 1 TILEPROP INT_L_X14Y142 ROW 8 TILEPROP INT_L_X14Y142 SLR_REGION_ID 0 TILEPROP INT_L_X14Y142 TILE_PATTERN_IDX 3824 TILEPROP INT_L_X14Y142 TILE_TYPE INT_L TILEPROP INT_L_X14Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y142 TILE_X -30920 TILEPROP INT_L_X14Y142 TILE_Y 218296 TILEPROP INT_L_X14Y142 TYPE INT_L TILEPROP INT_L_X14Y143 CLASS tile TILEPROP INT_L_X14Y143 COLUMN 40 TILEPROP INT_L_X14Y143 DEVICE_ID 0 TILEPROP INT_L_X14Y143 FIRST_SITE_ID 659 TILEPROP INT_L_X14Y143 GRID_POINT_X 40 TILEPROP INT_L_X14Y143 GRID_POINT_Y 7 TILEPROP INT_L_X14Y143 INDEX 845 TILEPROP INT_L_X14Y143 INT_TILE_X 14 TILEPROP INT_L_X14Y143 INT_TILE_Y 6 TILEPROP INT_L_X14Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y143 IS_DCM_TILE 0 TILEPROP INT_L_X14Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y143 NAME INT_L_X14Y143 TILEPROP INT_L_X14Y143 NUM_ARCS 3737 TILEPROP INT_L_X14Y143 NUM_SITES 1 TILEPROP INT_L_X14Y143 ROW 7 TILEPROP INT_L_X14Y143 SLR_REGION_ID 0 TILEPROP INT_L_X14Y143 TILE_PATTERN_IDX 3786 TILEPROP INT_L_X14Y143 TILE_TYPE INT_L TILEPROP INT_L_X14Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y143 TILE_X -30920 TILEPROP INT_L_X14Y143 TILE_Y 221496 TILEPROP INT_L_X14Y143 TYPE INT_L TILEPROP INT_L_X14Y144 CLASS tile TILEPROP INT_L_X14Y144 COLUMN 40 TILEPROP INT_L_X14Y144 DEVICE_ID 0 TILEPROP INT_L_X14Y144 FIRST_SITE_ID 548 TILEPROP INT_L_X14Y144 GRID_POINT_X 40 TILEPROP INT_L_X14Y144 GRID_POINT_Y 6 TILEPROP INT_L_X14Y144 INDEX 730 TILEPROP INT_L_X14Y144 INT_TILE_X 14 TILEPROP INT_L_X14Y144 INT_TILE_Y 5 TILEPROP INT_L_X14Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y144 IS_DCM_TILE 0 TILEPROP INT_L_X14Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y144 NAME INT_L_X14Y144 TILEPROP INT_L_X14Y144 NUM_ARCS 3737 TILEPROP INT_L_X14Y144 NUM_SITES 1 TILEPROP INT_L_X14Y144 ROW 6 TILEPROP INT_L_X14Y144 SLR_REGION_ID 0 TILEPROP INT_L_X14Y144 TILE_PATTERN_IDX 3747 TILEPROP INT_L_X14Y144 TILE_TYPE INT_L TILEPROP INT_L_X14Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y144 TILE_X -30920 TILEPROP INT_L_X14Y144 TILE_Y 224696 TILEPROP INT_L_X14Y144 TYPE INT_L TILEPROP INT_L_X14Y145 CLASS tile TILEPROP INT_L_X14Y145 COLUMN 40 TILEPROP INT_L_X14Y145 DEVICE_ID 0 TILEPROP INT_L_X14Y145 FIRST_SITE_ID 446 TILEPROP INT_L_X14Y145 GRID_POINT_X 40 TILEPROP INT_L_X14Y145 GRID_POINT_Y 5 TILEPROP INT_L_X14Y145 INDEX 615 TILEPROP INT_L_X14Y145 INT_TILE_X 14 TILEPROP INT_L_X14Y145 INT_TILE_Y 4 TILEPROP INT_L_X14Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y145 IS_DCM_TILE 0 TILEPROP INT_L_X14Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y145 NAME INT_L_X14Y145 TILEPROP INT_L_X14Y145 NUM_ARCS 3737 TILEPROP INT_L_X14Y145 NUM_SITES 1 TILEPROP INT_L_X14Y145 ROW 5 TILEPROP INT_L_X14Y145 SLR_REGION_ID 0 TILEPROP INT_L_X14Y145 TILE_PATTERN_IDX 3709 TILEPROP INT_L_X14Y145 TILE_TYPE INT_L TILEPROP INT_L_X14Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y145 TILE_X -30920 TILEPROP INT_L_X14Y145 TILE_Y 227896 TILEPROP INT_L_X14Y145 TYPE INT_L TILEPROP INT_L_X14Y146 CLASS tile TILEPROP INT_L_X14Y146 COLUMN 40 TILEPROP INT_L_X14Y146 DEVICE_ID 0 TILEPROP INT_L_X14Y146 FIRST_SITE_ID 336 TILEPROP INT_L_X14Y146 GRID_POINT_X 40 TILEPROP INT_L_X14Y146 GRID_POINT_Y 4 TILEPROP INT_L_X14Y146 INDEX 500 TILEPROP INT_L_X14Y146 INT_TILE_X 14 TILEPROP INT_L_X14Y146 INT_TILE_Y 3 TILEPROP INT_L_X14Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y146 IS_DCM_TILE 0 TILEPROP INT_L_X14Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y146 NAME INT_L_X14Y146 TILEPROP INT_L_X14Y146 NUM_ARCS 3737 TILEPROP INT_L_X14Y146 NUM_SITES 1 TILEPROP INT_L_X14Y146 ROW 4 TILEPROP INT_L_X14Y146 SLR_REGION_ID 0 TILEPROP INT_L_X14Y146 TILE_PATTERN_IDX 3670 TILEPROP INT_L_X14Y146 TILE_TYPE INT_L TILEPROP INT_L_X14Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y146 TILE_X -30920 TILEPROP INT_L_X14Y146 TILE_Y 231096 TILEPROP INT_L_X14Y146 TYPE INT_L TILEPROP INT_L_X14Y147 CLASS tile TILEPROP INT_L_X14Y147 COLUMN 40 TILEPROP INT_L_X14Y147 DEVICE_ID 0 TILEPROP INT_L_X14Y147 FIRST_SITE_ID 240 TILEPROP INT_L_X14Y147 GRID_POINT_X 40 TILEPROP INT_L_X14Y147 GRID_POINT_Y 3 TILEPROP INT_L_X14Y147 INDEX 385 TILEPROP INT_L_X14Y147 INT_TILE_X 14 TILEPROP INT_L_X14Y147 INT_TILE_Y 2 TILEPROP INT_L_X14Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y147 IS_DCM_TILE 0 TILEPROP INT_L_X14Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y147 NAME INT_L_X14Y147 TILEPROP INT_L_X14Y147 NUM_ARCS 3737 TILEPROP INT_L_X14Y147 NUM_SITES 1 TILEPROP INT_L_X14Y147 ROW 3 TILEPROP INT_L_X14Y147 SLR_REGION_ID 0 TILEPROP INT_L_X14Y147 TILE_PATTERN_IDX 3632 TILEPROP INT_L_X14Y147 TILE_TYPE INT_L TILEPROP INT_L_X14Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y147 TILE_X -30920 TILEPROP INT_L_X14Y147 TILE_Y 234296 TILEPROP INT_L_X14Y147 TYPE INT_L TILEPROP INT_L_X14Y148 CLASS tile TILEPROP INT_L_X14Y148 COLUMN 40 TILEPROP INT_L_X14Y148 DEVICE_ID 0 TILEPROP INT_L_X14Y148 FIRST_SITE_ID 136 TILEPROP INT_L_X14Y148 GRID_POINT_X 40 TILEPROP INT_L_X14Y148 GRID_POINT_Y 2 TILEPROP INT_L_X14Y148 INDEX 270 TILEPROP INT_L_X14Y148 INT_TILE_X 14 TILEPROP INT_L_X14Y148 INT_TILE_Y 1 TILEPROP INT_L_X14Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y148 IS_DCM_TILE 0 TILEPROP INT_L_X14Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y148 NAME INT_L_X14Y148 TILEPROP INT_L_X14Y148 NUM_ARCS 3737 TILEPROP INT_L_X14Y148 NUM_SITES 1 TILEPROP INT_L_X14Y148 ROW 2 TILEPROP INT_L_X14Y148 SLR_REGION_ID 0 TILEPROP INT_L_X14Y148 TILE_PATTERN_IDX 3593 TILEPROP INT_L_X14Y148 TILE_TYPE INT_L TILEPROP INT_L_X14Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y148 TILE_X -30920 TILEPROP INT_L_X14Y148 TILE_Y 237496 TILEPROP INT_L_X14Y148 TYPE INT_L TILEPROP INT_L_X14Y149 CLASS tile TILEPROP INT_L_X14Y149 COLUMN 40 TILEPROP INT_L_X14Y149 DEVICE_ID 0 TILEPROP INT_L_X14Y149 FIRST_SITE_ID 40 TILEPROP INT_L_X14Y149 GRID_POINT_X 40 TILEPROP INT_L_X14Y149 GRID_POINT_Y 1 TILEPROP INT_L_X14Y149 INDEX 155 TILEPROP INT_L_X14Y149 INT_TILE_X 14 TILEPROP INT_L_X14Y149 INT_TILE_Y 0 TILEPROP INT_L_X14Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X14Y149 IS_DCM_TILE 0 TILEPROP INT_L_X14Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X14Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X14Y149 NAME INT_L_X14Y149 TILEPROP INT_L_X14Y149 NUM_ARCS 3737 TILEPROP INT_L_X14Y149 NUM_SITES 1 TILEPROP INT_L_X14Y149 ROW 1 TILEPROP INT_L_X14Y149 SLR_REGION_ID 0 TILEPROP INT_L_X14Y149 TILE_PATTERN_IDX 3555 TILEPROP INT_L_X14Y149 TILE_TYPE INT_L TILEPROP INT_L_X14Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X14Y149 TILE_X -30920 TILEPROP INT_L_X14Y149 TILE_Y 240696 TILEPROP INT_L_X14Y149 TYPE INT_L TILEPROP INT_L_X16Y100 CLASS tile TILEPROP INT_L_X16Y100 COLUMN 44 TILEPROP INT_L_X16Y100 DEVICE_ID 0 TILEPROP INT_L_X16Y100 FIRST_SITE_ID 5039 TILEPROP INT_L_X16Y100 GRID_POINT_X 44 TILEPROP INT_L_X16Y100 GRID_POINT_Y 51 TILEPROP INT_L_X16Y100 INDEX 5909 TILEPROP INT_L_X16Y100 INT_TILE_X 16 TILEPROP INT_L_X16Y100 INT_TILE_Y 49 TILEPROP INT_L_X16Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y100 IS_DCM_TILE 0 TILEPROP INT_L_X16Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y100 NAME INT_L_X16Y100 TILEPROP INT_L_X16Y100 NUM_ARCS 3737 TILEPROP INT_L_X16Y100 NUM_SITES 1 TILEPROP INT_L_X16Y100 ROW 51 TILEPROP INT_L_X16Y100 SLR_REGION_ID 0 TILEPROP INT_L_X16Y100 TILE_PATTERN_IDX 5440 TILEPROP INT_L_X16Y100 TILE_TYPE INT_L TILEPROP INT_L_X16Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y100 TILE_X -22616 TILEPROP INT_L_X16Y100 TILE_Y 82872 TILEPROP INT_L_X16Y100 TYPE INT_L TILEPROP INT_L_X16Y101 CLASS tile TILEPROP INT_L_X16Y101 COLUMN 44 TILEPROP INT_L_X16Y101 DEVICE_ID 0 TILEPROP INT_L_X16Y101 FIRST_SITE_ID 4941 TILEPROP INT_L_X16Y101 GRID_POINT_X 44 TILEPROP INT_L_X16Y101 GRID_POINT_Y 50 TILEPROP INT_L_X16Y101 INDEX 5794 TILEPROP INT_L_X16Y101 INT_TILE_X 16 TILEPROP INT_L_X16Y101 INT_TILE_Y 48 TILEPROP INT_L_X16Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y101 IS_DCM_TILE 0 TILEPROP INT_L_X16Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y101 NAME INT_L_X16Y101 TILEPROP INT_L_X16Y101 NUM_ARCS 3737 TILEPROP INT_L_X16Y101 NUM_SITES 1 TILEPROP INT_L_X16Y101 ROW 50 TILEPROP INT_L_X16Y101 SLR_REGION_ID 0 TILEPROP INT_L_X16Y101 TILE_PATTERN_IDX 5404 TILEPROP INT_L_X16Y101 TILE_TYPE INT_L TILEPROP INT_L_X16Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y101 TILE_X -22616 TILEPROP INT_L_X16Y101 TILE_Y 86072 TILEPROP INT_L_X16Y101 TYPE INT_L TILEPROP INT_L_X16Y102 CLASS tile TILEPROP INT_L_X16Y102 COLUMN 44 TILEPROP INT_L_X16Y102 DEVICE_ID 0 TILEPROP INT_L_X16Y102 FIRST_SITE_ID 4845 TILEPROP INT_L_X16Y102 GRID_POINT_X 44 TILEPROP INT_L_X16Y102 GRID_POINT_Y 49 TILEPROP INT_L_X16Y102 INDEX 5679 TILEPROP INT_L_X16Y102 INT_TILE_X 16 TILEPROP INT_L_X16Y102 INT_TILE_Y 47 TILEPROP INT_L_X16Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y102 IS_DCM_TILE 0 TILEPROP INT_L_X16Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y102 NAME INT_L_X16Y102 TILEPROP INT_L_X16Y102 NUM_ARCS 3737 TILEPROP INT_L_X16Y102 NUM_SITES 1 TILEPROP INT_L_X16Y102 ROW 49 TILEPROP INT_L_X16Y102 SLR_REGION_ID 0 TILEPROP INT_L_X16Y102 TILE_PATTERN_IDX 5367 TILEPROP INT_L_X16Y102 TILE_TYPE INT_L TILEPROP INT_L_X16Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y102 TILE_X -22616 TILEPROP INT_L_X16Y102 TILE_Y 89272 TILEPROP INT_L_X16Y102 TYPE INT_L TILEPROP INT_L_X16Y103 CLASS tile TILEPROP INT_L_X16Y103 COLUMN 44 TILEPROP INT_L_X16Y103 DEVICE_ID 0 TILEPROP INT_L_X16Y103 FIRST_SITE_ID 4757 TILEPROP INT_L_X16Y103 GRID_POINT_X 44 TILEPROP INT_L_X16Y103 GRID_POINT_Y 48 TILEPROP INT_L_X16Y103 INDEX 5564 TILEPROP INT_L_X16Y103 INT_TILE_X 16 TILEPROP INT_L_X16Y103 INT_TILE_Y 46 TILEPROP INT_L_X16Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y103 IS_DCM_TILE 0 TILEPROP INT_L_X16Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y103 NAME INT_L_X16Y103 TILEPROP INT_L_X16Y103 NUM_ARCS 3737 TILEPROP INT_L_X16Y103 NUM_SITES 1 TILEPROP INT_L_X16Y103 ROW 48 TILEPROP INT_L_X16Y103 SLR_REGION_ID 0 TILEPROP INT_L_X16Y103 TILE_PATTERN_IDX 5331 TILEPROP INT_L_X16Y103 TILE_TYPE INT_L TILEPROP INT_L_X16Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y103 TILE_X -22616 TILEPROP INT_L_X16Y103 TILE_Y 92472 TILEPROP INT_L_X16Y103 TYPE INT_L TILEPROP INT_L_X16Y104 CLASS tile TILEPROP INT_L_X16Y104 COLUMN 44 TILEPROP INT_L_X16Y104 DEVICE_ID 0 TILEPROP INT_L_X16Y104 FIRST_SITE_ID 4661 TILEPROP INT_L_X16Y104 GRID_POINT_X 44 TILEPROP INT_L_X16Y104 GRID_POINT_Y 47 TILEPROP INT_L_X16Y104 INDEX 5449 TILEPROP INT_L_X16Y104 INT_TILE_X 16 TILEPROP INT_L_X16Y104 INT_TILE_Y 45 TILEPROP INT_L_X16Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y104 IS_DCM_TILE 0 TILEPROP INT_L_X16Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y104 NAME INT_L_X16Y104 TILEPROP INT_L_X16Y104 NUM_ARCS 3737 TILEPROP INT_L_X16Y104 NUM_SITES 1 TILEPROP INT_L_X16Y104 ROW 47 TILEPROP INT_L_X16Y104 SLR_REGION_ID 0 TILEPROP INT_L_X16Y104 TILE_PATTERN_IDX 5294 TILEPROP INT_L_X16Y104 TILE_TYPE INT_L TILEPROP INT_L_X16Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y104 TILE_X -22616 TILEPROP INT_L_X16Y104 TILE_Y 95672 TILEPROP INT_L_X16Y104 TYPE INT_L TILEPROP INT_L_X16Y105 CLASS tile TILEPROP INT_L_X16Y105 COLUMN 44 TILEPROP INT_L_X16Y105 DEVICE_ID 0 TILEPROP INT_L_X16Y105 FIRST_SITE_ID 4565 TILEPROP INT_L_X16Y105 GRID_POINT_X 44 TILEPROP INT_L_X16Y105 GRID_POINT_Y 46 TILEPROP INT_L_X16Y105 INDEX 5334 TILEPROP INT_L_X16Y105 INT_TILE_X 16 TILEPROP INT_L_X16Y105 INT_TILE_Y 44 TILEPROP INT_L_X16Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y105 IS_DCM_TILE 0 TILEPROP INT_L_X16Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y105 NAME INT_L_X16Y105 TILEPROP INT_L_X16Y105 NUM_ARCS 3737 TILEPROP INT_L_X16Y105 NUM_SITES 1 TILEPROP INT_L_X16Y105 ROW 46 TILEPROP INT_L_X16Y105 SLR_REGION_ID 0 TILEPROP INT_L_X16Y105 TILE_PATTERN_IDX 5258 TILEPROP INT_L_X16Y105 TILE_TYPE INT_L TILEPROP INT_L_X16Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y105 TILE_X -22616 TILEPROP INT_L_X16Y105 TILE_Y 98872 TILEPROP INT_L_X16Y105 TYPE INT_L TILEPROP INT_L_X16Y106 CLASS tile TILEPROP INT_L_X16Y106 COLUMN 44 TILEPROP INT_L_X16Y106 DEVICE_ID 0 TILEPROP INT_L_X16Y106 FIRST_SITE_ID 4463 TILEPROP INT_L_X16Y106 GRID_POINT_X 44 TILEPROP INT_L_X16Y106 GRID_POINT_Y 45 TILEPROP INT_L_X16Y106 INDEX 5219 TILEPROP INT_L_X16Y106 INT_TILE_X 16 TILEPROP INT_L_X16Y106 INT_TILE_Y 43 TILEPROP INT_L_X16Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y106 IS_DCM_TILE 0 TILEPROP INT_L_X16Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y106 NAME INT_L_X16Y106 TILEPROP INT_L_X16Y106 NUM_ARCS 3737 TILEPROP INT_L_X16Y106 NUM_SITES 1 TILEPROP INT_L_X16Y106 ROW 45 TILEPROP INT_L_X16Y106 SLR_REGION_ID 0 TILEPROP INT_L_X16Y106 TILE_PATTERN_IDX 5221 TILEPROP INT_L_X16Y106 TILE_TYPE INT_L TILEPROP INT_L_X16Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y106 TILE_X -22616 TILEPROP INT_L_X16Y106 TILE_Y 102072 TILEPROP INT_L_X16Y106 TYPE INT_L TILEPROP INT_L_X16Y107 CLASS tile TILEPROP INT_L_X16Y107 COLUMN 44 TILEPROP INT_L_X16Y107 DEVICE_ID 0 TILEPROP INT_L_X16Y107 FIRST_SITE_ID 4375 TILEPROP INT_L_X16Y107 GRID_POINT_X 44 TILEPROP INT_L_X16Y107 GRID_POINT_Y 44 TILEPROP INT_L_X16Y107 INDEX 5104 TILEPROP INT_L_X16Y107 INT_TILE_X 16 TILEPROP INT_L_X16Y107 INT_TILE_Y 42 TILEPROP INT_L_X16Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y107 IS_DCM_TILE 0 TILEPROP INT_L_X16Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y107 NAME INT_L_X16Y107 TILEPROP INT_L_X16Y107 NUM_ARCS 3737 TILEPROP INT_L_X16Y107 NUM_SITES 1 TILEPROP INT_L_X16Y107 ROW 44 TILEPROP INT_L_X16Y107 SLR_REGION_ID 0 TILEPROP INT_L_X16Y107 TILE_PATTERN_IDX 5185 TILEPROP INT_L_X16Y107 TILE_TYPE INT_L TILEPROP INT_L_X16Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y107 TILE_X -22616 TILEPROP INT_L_X16Y107 TILE_Y 105272 TILEPROP INT_L_X16Y107 TYPE INT_L TILEPROP INT_L_X16Y108 CLASS tile TILEPROP INT_L_X16Y108 COLUMN 44 TILEPROP INT_L_X16Y108 DEVICE_ID 0 TILEPROP INT_L_X16Y108 FIRST_SITE_ID 4277 TILEPROP INT_L_X16Y108 GRID_POINT_X 44 TILEPROP INT_L_X16Y108 GRID_POINT_Y 43 TILEPROP INT_L_X16Y108 INDEX 4989 TILEPROP INT_L_X16Y108 INT_TILE_X 16 TILEPROP INT_L_X16Y108 INT_TILE_Y 41 TILEPROP INT_L_X16Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y108 IS_DCM_TILE 0 TILEPROP INT_L_X16Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y108 NAME INT_L_X16Y108 TILEPROP INT_L_X16Y108 NUM_ARCS 3737 TILEPROP INT_L_X16Y108 NUM_SITES 1 TILEPROP INT_L_X16Y108 ROW 43 TILEPROP INT_L_X16Y108 SLR_REGION_ID 0 TILEPROP INT_L_X16Y108 TILE_PATTERN_IDX 5148 TILEPROP INT_L_X16Y108 TILE_TYPE INT_L TILEPROP INT_L_X16Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y108 TILE_X -22616 TILEPROP INT_L_X16Y108 TILE_Y 108472 TILEPROP INT_L_X16Y108 TYPE INT_L TILEPROP INT_L_X16Y109 CLASS tile TILEPROP INT_L_X16Y109 COLUMN 44 TILEPROP INT_L_X16Y109 DEVICE_ID 0 TILEPROP INT_L_X16Y109 FIRST_SITE_ID 4188 TILEPROP INT_L_X16Y109 GRID_POINT_X 44 TILEPROP INT_L_X16Y109 GRID_POINT_Y 42 TILEPROP INT_L_X16Y109 INDEX 4874 TILEPROP INT_L_X16Y109 INT_TILE_X 16 TILEPROP INT_L_X16Y109 INT_TILE_Y 40 TILEPROP INT_L_X16Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y109 IS_DCM_TILE 0 TILEPROP INT_L_X16Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y109 NAME INT_L_X16Y109 TILEPROP INT_L_X16Y109 NUM_ARCS 3737 TILEPROP INT_L_X16Y109 NUM_SITES 1 TILEPROP INT_L_X16Y109 ROW 42 TILEPROP INT_L_X16Y109 SLR_REGION_ID 0 TILEPROP INT_L_X16Y109 TILE_PATTERN_IDX 5112 TILEPROP INT_L_X16Y109 TILE_TYPE INT_L TILEPROP INT_L_X16Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y109 TILE_X -22616 TILEPROP INT_L_X16Y109 TILE_Y 111672 TILEPROP INT_L_X16Y109 TYPE INT_L TILEPROP INT_L_X16Y110 CLASS tile TILEPROP INT_L_X16Y110 COLUMN 44 TILEPROP INT_L_X16Y110 DEVICE_ID 0 TILEPROP INT_L_X16Y110 FIRST_SITE_ID 4088 TILEPROP INT_L_X16Y110 GRID_POINT_X 44 TILEPROP INT_L_X16Y110 GRID_POINT_Y 41 TILEPROP INT_L_X16Y110 INDEX 4759 TILEPROP INT_L_X16Y110 INT_TILE_X 16 TILEPROP INT_L_X16Y110 INT_TILE_Y 39 TILEPROP INT_L_X16Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y110 IS_DCM_TILE 0 TILEPROP INT_L_X16Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y110 NAME INT_L_X16Y110 TILEPROP INT_L_X16Y110 NUM_ARCS 3737 TILEPROP INT_L_X16Y110 NUM_SITES 1 TILEPROP INT_L_X16Y110 ROW 41 TILEPROP INT_L_X16Y110 SLR_REGION_ID 0 TILEPROP INT_L_X16Y110 TILE_PATTERN_IDX 5075 TILEPROP INT_L_X16Y110 TILE_TYPE INT_L TILEPROP INT_L_X16Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y110 TILE_X -22616 TILEPROP INT_L_X16Y110 TILE_Y 114872 TILEPROP INT_L_X16Y110 TYPE INT_L TILEPROP INT_L_X16Y111 CLASS tile TILEPROP INT_L_X16Y111 COLUMN 44 TILEPROP INT_L_X16Y111 DEVICE_ID 0 TILEPROP INT_L_X16Y111 FIRST_SITE_ID 3994 TILEPROP INT_L_X16Y111 GRID_POINT_X 44 TILEPROP INT_L_X16Y111 GRID_POINT_Y 40 TILEPROP INT_L_X16Y111 INDEX 4644 TILEPROP INT_L_X16Y111 INT_TILE_X 16 TILEPROP INT_L_X16Y111 INT_TILE_Y 38 TILEPROP INT_L_X16Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y111 IS_DCM_TILE 0 TILEPROP INT_L_X16Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y111 NAME INT_L_X16Y111 TILEPROP INT_L_X16Y111 NUM_ARCS 3737 TILEPROP INT_L_X16Y111 NUM_SITES 1 TILEPROP INT_L_X16Y111 ROW 40 TILEPROP INT_L_X16Y111 SLR_REGION_ID 0 TILEPROP INT_L_X16Y111 TILE_PATTERN_IDX 5039 TILEPROP INT_L_X16Y111 TILE_TYPE INT_L TILEPROP INT_L_X16Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y111 TILE_X -22616 TILEPROP INT_L_X16Y111 TILE_Y 118072 TILEPROP INT_L_X16Y111 TYPE INT_L TILEPROP INT_L_X16Y112 CLASS tile TILEPROP INT_L_X16Y112 COLUMN 44 TILEPROP INT_L_X16Y112 DEVICE_ID 0 TILEPROP INT_L_X16Y112 FIRST_SITE_ID 3866 TILEPROP INT_L_X16Y112 GRID_POINT_X 44 TILEPROP INT_L_X16Y112 GRID_POINT_Y 39 TILEPROP INT_L_X16Y112 INDEX 4529 TILEPROP INT_L_X16Y112 INT_TILE_X 16 TILEPROP INT_L_X16Y112 INT_TILE_Y 37 TILEPROP INT_L_X16Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y112 IS_DCM_TILE 0 TILEPROP INT_L_X16Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y112 NAME INT_L_X16Y112 TILEPROP INT_L_X16Y112 NUM_ARCS 3737 TILEPROP INT_L_X16Y112 NUM_SITES 1 TILEPROP INT_L_X16Y112 ROW 39 TILEPROP INT_L_X16Y112 SLR_REGION_ID 0 TILEPROP INT_L_X16Y112 TILE_PATTERN_IDX 5001 TILEPROP INT_L_X16Y112 TILE_TYPE INT_L TILEPROP INT_L_X16Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y112 TILE_X -22616 TILEPROP INT_L_X16Y112 TILE_Y 121272 TILEPROP INT_L_X16Y112 TYPE INT_L TILEPROP INT_L_X16Y113 CLASS tile TILEPROP INT_L_X16Y113 COLUMN 44 TILEPROP INT_L_X16Y113 DEVICE_ID 0 TILEPROP INT_L_X16Y113 FIRST_SITE_ID 3778 TILEPROP INT_L_X16Y113 GRID_POINT_X 44 TILEPROP INT_L_X16Y113 GRID_POINT_Y 38 TILEPROP INT_L_X16Y113 INDEX 4414 TILEPROP INT_L_X16Y113 INT_TILE_X 16 TILEPROP INT_L_X16Y113 INT_TILE_Y 36 TILEPROP INT_L_X16Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y113 IS_DCM_TILE 0 TILEPROP INT_L_X16Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y113 NAME INT_L_X16Y113 TILEPROP INT_L_X16Y113 NUM_ARCS 3737 TILEPROP INT_L_X16Y113 NUM_SITES 1 TILEPROP INT_L_X16Y113 ROW 38 TILEPROP INT_L_X16Y113 SLR_REGION_ID 0 TILEPROP INT_L_X16Y113 TILE_PATTERN_IDX 4965 TILEPROP INT_L_X16Y113 TILE_TYPE INT_L TILEPROP INT_L_X16Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y113 TILE_X -22616 TILEPROP INT_L_X16Y113 TILE_Y 124472 TILEPROP INT_L_X16Y113 TYPE INT_L TILEPROP INT_L_X16Y114 CLASS tile TILEPROP INT_L_X16Y114 COLUMN 44 TILEPROP INT_L_X16Y114 DEVICE_ID 0 TILEPROP INT_L_X16Y114 FIRST_SITE_ID 3682 TILEPROP INT_L_X16Y114 GRID_POINT_X 44 TILEPROP INT_L_X16Y114 GRID_POINT_Y 37 TILEPROP INT_L_X16Y114 INDEX 4299 TILEPROP INT_L_X16Y114 INT_TILE_X 16 TILEPROP INT_L_X16Y114 INT_TILE_Y 35 TILEPROP INT_L_X16Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y114 IS_DCM_TILE 0 TILEPROP INT_L_X16Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y114 NAME INT_L_X16Y114 TILEPROP INT_L_X16Y114 NUM_ARCS 3737 TILEPROP INT_L_X16Y114 NUM_SITES 1 TILEPROP INT_L_X16Y114 ROW 37 TILEPROP INT_L_X16Y114 SLR_REGION_ID 0 TILEPROP INT_L_X16Y114 TILE_PATTERN_IDX 4928 TILEPROP INT_L_X16Y114 TILE_TYPE INT_L TILEPROP INT_L_X16Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y114 TILE_X -22616 TILEPROP INT_L_X16Y114 TILE_Y 127672 TILEPROP INT_L_X16Y114 TYPE INT_L TILEPROP INT_L_X16Y115 CLASS tile TILEPROP INT_L_X16Y115 COLUMN 44 TILEPROP INT_L_X16Y115 DEVICE_ID 0 TILEPROP INT_L_X16Y115 FIRST_SITE_ID 3591 TILEPROP INT_L_X16Y115 GRID_POINT_X 44 TILEPROP INT_L_X16Y115 GRID_POINT_Y 36 TILEPROP INT_L_X16Y115 INDEX 4184 TILEPROP INT_L_X16Y115 INT_TILE_X 16 TILEPROP INT_L_X16Y115 INT_TILE_Y 34 TILEPROP INT_L_X16Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y115 IS_DCM_TILE 0 TILEPROP INT_L_X16Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y115 NAME INT_L_X16Y115 TILEPROP INT_L_X16Y115 NUM_ARCS 3737 TILEPROP INT_L_X16Y115 NUM_SITES 1 TILEPROP INT_L_X16Y115 ROW 36 TILEPROP INT_L_X16Y115 SLR_REGION_ID 0 TILEPROP INT_L_X16Y115 TILE_PATTERN_IDX 4892 TILEPROP INT_L_X16Y115 TILE_TYPE INT_L TILEPROP INT_L_X16Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y115 TILE_X -22616 TILEPROP INT_L_X16Y115 TILE_Y 130872 TILEPROP INT_L_X16Y115 TYPE INT_L TILEPROP INT_L_X16Y116 CLASS tile TILEPROP INT_L_X16Y116 COLUMN 44 TILEPROP INT_L_X16Y116 DEVICE_ID 0 TILEPROP INT_L_X16Y116 FIRST_SITE_ID 3484 TILEPROP INT_L_X16Y116 GRID_POINT_X 44 TILEPROP INT_L_X16Y116 GRID_POINT_Y 35 TILEPROP INT_L_X16Y116 INDEX 4069 TILEPROP INT_L_X16Y116 INT_TILE_X 16 TILEPROP INT_L_X16Y116 INT_TILE_Y 33 TILEPROP INT_L_X16Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y116 IS_DCM_TILE 0 TILEPROP INT_L_X16Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y116 NAME INT_L_X16Y116 TILEPROP INT_L_X16Y116 NUM_ARCS 3737 TILEPROP INT_L_X16Y116 NUM_SITES 1 TILEPROP INT_L_X16Y116 ROW 35 TILEPROP INT_L_X16Y116 SLR_REGION_ID 0 TILEPROP INT_L_X16Y116 TILE_PATTERN_IDX 4855 TILEPROP INT_L_X16Y116 TILE_TYPE INT_L TILEPROP INT_L_X16Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y116 TILE_X -22616 TILEPROP INT_L_X16Y116 TILE_Y 134072 TILEPROP INT_L_X16Y116 TYPE INT_L TILEPROP INT_L_X16Y117 CLASS tile TILEPROP INT_L_X16Y117 COLUMN 44 TILEPROP INT_L_X16Y117 DEVICE_ID 0 TILEPROP INT_L_X16Y117 FIRST_SITE_ID 3396 TILEPROP INT_L_X16Y117 GRID_POINT_X 44 TILEPROP INT_L_X16Y117 GRID_POINT_Y 34 TILEPROP INT_L_X16Y117 INDEX 3954 TILEPROP INT_L_X16Y117 INT_TILE_X 16 TILEPROP INT_L_X16Y117 INT_TILE_Y 32 TILEPROP INT_L_X16Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y117 IS_DCM_TILE 0 TILEPROP INT_L_X16Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y117 NAME INT_L_X16Y117 TILEPROP INT_L_X16Y117 NUM_ARCS 3737 TILEPROP INT_L_X16Y117 NUM_SITES 1 TILEPROP INT_L_X16Y117 ROW 34 TILEPROP INT_L_X16Y117 SLR_REGION_ID 0 TILEPROP INT_L_X16Y117 TILE_PATTERN_IDX 4819 TILEPROP INT_L_X16Y117 TILE_TYPE INT_L TILEPROP INT_L_X16Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y117 TILE_X -22616 TILEPROP INT_L_X16Y117 TILE_Y 137272 TILEPROP INT_L_X16Y117 TYPE INT_L TILEPROP INT_L_X16Y118 CLASS tile TILEPROP INT_L_X16Y118 COLUMN 44 TILEPROP INT_L_X16Y118 DEVICE_ID 0 TILEPROP INT_L_X16Y118 FIRST_SITE_ID 3296 TILEPROP INT_L_X16Y118 GRID_POINT_X 44 TILEPROP INT_L_X16Y118 GRID_POINT_Y 33 TILEPROP INT_L_X16Y118 INDEX 3839 TILEPROP INT_L_X16Y118 INT_TILE_X 16 TILEPROP INT_L_X16Y118 INT_TILE_Y 31 TILEPROP INT_L_X16Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y118 IS_DCM_TILE 0 TILEPROP INT_L_X16Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y118 NAME INT_L_X16Y118 TILEPROP INT_L_X16Y118 NUM_ARCS 3737 TILEPROP INT_L_X16Y118 NUM_SITES 1 TILEPROP INT_L_X16Y118 ROW 33 TILEPROP INT_L_X16Y118 SLR_REGION_ID 0 TILEPROP INT_L_X16Y118 TILE_PATTERN_IDX 4781 TILEPROP INT_L_X16Y118 TILE_TYPE INT_L TILEPROP INT_L_X16Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y118 TILE_X -22616 TILEPROP INT_L_X16Y118 TILE_Y 140472 TILEPROP INT_L_X16Y118 TYPE INT_L TILEPROP INT_L_X16Y119 CLASS tile TILEPROP INT_L_X16Y119 COLUMN 44 TILEPROP INT_L_X16Y119 DEVICE_ID 0 TILEPROP INT_L_X16Y119 FIRST_SITE_ID 3208 TILEPROP INT_L_X16Y119 GRID_POINT_X 44 TILEPROP INT_L_X16Y119 GRID_POINT_Y 32 TILEPROP INT_L_X16Y119 INDEX 3724 TILEPROP INT_L_X16Y119 INT_TILE_X 16 TILEPROP INT_L_X16Y119 INT_TILE_Y 30 TILEPROP INT_L_X16Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y119 IS_DCM_TILE 0 TILEPROP INT_L_X16Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y119 NAME INT_L_X16Y119 TILEPROP INT_L_X16Y119 NUM_ARCS 3737 TILEPROP INT_L_X16Y119 NUM_SITES 1 TILEPROP INT_L_X16Y119 ROW 32 TILEPROP INT_L_X16Y119 SLR_REGION_ID 0 TILEPROP INT_L_X16Y119 TILE_PATTERN_IDX 4745 TILEPROP INT_L_X16Y119 TILE_TYPE INT_L TILEPROP INT_L_X16Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y119 TILE_X -22616 TILEPROP INT_L_X16Y119 TILE_Y 143672 TILEPROP INT_L_X16Y119 TYPE INT_L TILEPROP INT_L_X16Y120 CLASS tile TILEPROP INT_L_X16Y120 COLUMN 44 TILEPROP INT_L_X16Y120 DEVICE_ID 0 TILEPROP INT_L_X16Y120 FIRST_SITE_ID 3107 TILEPROP INT_L_X16Y120 GRID_POINT_X 44 TILEPROP INT_L_X16Y120 GRID_POINT_Y 31 TILEPROP INT_L_X16Y120 INDEX 3609 TILEPROP INT_L_X16Y120 INT_TILE_X 16 TILEPROP INT_L_X16Y120 INT_TILE_Y 29 TILEPROP INT_L_X16Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y120 IS_DCM_TILE 0 TILEPROP INT_L_X16Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y120 NAME INT_L_X16Y120 TILEPROP INT_L_X16Y120 NUM_ARCS 3737 TILEPROP INT_L_X16Y120 NUM_SITES 1 TILEPROP INT_L_X16Y120 ROW 31 TILEPROP INT_L_X16Y120 SLR_REGION_ID 0 TILEPROP INT_L_X16Y120 TILE_PATTERN_IDX 4708 TILEPROP INT_L_X16Y120 TILE_TYPE INT_L TILEPROP INT_L_X16Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y120 TILE_X -22616 TILEPROP INT_L_X16Y120 TILE_Y 146872 TILEPROP INT_L_X16Y120 TYPE INT_L TILEPROP INT_L_X16Y121 CLASS tile TILEPROP INT_L_X16Y121 COLUMN 44 TILEPROP INT_L_X16Y121 DEVICE_ID 0 TILEPROP INT_L_X16Y121 FIRST_SITE_ID 3013 TILEPROP INT_L_X16Y121 GRID_POINT_X 44 TILEPROP INT_L_X16Y121 GRID_POINT_Y 30 TILEPROP INT_L_X16Y121 INDEX 3494 TILEPROP INT_L_X16Y121 INT_TILE_X 16 TILEPROP INT_L_X16Y121 INT_TILE_Y 28 TILEPROP INT_L_X16Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y121 IS_DCM_TILE 0 TILEPROP INT_L_X16Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y121 NAME INT_L_X16Y121 TILEPROP INT_L_X16Y121 NUM_ARCS 3737 TILEPROP INT_L_X16Y121 NUM_SITES 1 TILEPROP INT_L_X16Y121 ROW 30 TILEPROP INT_L_X16Y121 SLR_REGION_ID 0 TILEPROP INT_L_X16Y121 TILE_PATTERN_IDX 4672 TILEPROP INT_L_X16Y121 TILE_TYPE INT_L TILEPROP INT_L_X16Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y121 TILE_X -22616 TILEPROP INT_L_X16Y121 TILE_Y 150072 TILEPROP INT_L_X16Y121 TYPE INT_L TILEPROP INT_L_X16Y122 CLASS tile TILEPROP INT_L_X16Y122 COLUMN 44 TILEPROP INT_L_X16Y122 DEVICE_ID 0 TILEPROP INT_L_X16Y122 FIRST_SITE_ID 2910 TILEPROP INT_L_X16Y122 GRID_POINT_X 44 TILEPROP INT_L_X16Y122 GRID_POINT_Y 29 TILEPROP INT_L_X16Y122 INDEX 3379 TILEPROP INT_L_X16Y122 INT_TILE_X 16 TILEPROP INT_L_X16Y122 INT_TILE_Y 27 TILEPROP INT_L_X16Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y122 IS_DCM_TILE 0 TILEPROP INT_L_X16Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y122 NAME INT_L_X16Y122 TILEPROP INT_L_X16Y122 NUM_ARCS 3737 TILEPROP INT_L_X16Y122 NUM_SITES 1 TILEPROP INT_L_X16Y122 ROW 29 TILEPROP INT_L_X16Y122 SLR_REGION_ID 0 TILEPROP INT_L_X16Y122 TILE_PATTERN_IDX 4634 TILEPROP INT_L_X16Y122 TILE_TYPE INT_L TILEPROP INT_L_X16Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y122 TILE_X -22616 TILEPROP INT_L_X16Y122 TILE_Y 153272 TILEPROP INT_L_X16Y122 TYPE INT_L TILEPROP INT_L_X16Y123 CLASS tile TILEPROP INT_L_X16Y123 COLUMN 44 TILEPROP INT_L_X16Y123 DEVICE_ID 0 TILEPROP INT_L_X16Y123 FIRST_SITE_ID 2822 TILEPROP INT_L_X16Y123 GRID_POINT_X 44 TILEPROP INT_L_X16Y123 GRID_POINT_Y 28 TILEPROP INT_L_X16Y123 INDEX 3264 TILEPROP INT_L_X16Y123 INT_TILE_X 16 TILEPROP INT_L_X16Y123 INT_TILE_Y 26 TILEPROP INT_L_X16Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y123 IS_DCM_TILE 0 TILEPROP INT_L_X16Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y123 NAME INT_L_X16Y123 TILEPROP INT_L_X16Y123 NUM_ARCS 3737 TILEPROP INT_L_X16Y123 NUM_SITES 1 TILEPROP INT_L_X16Y123 ROW 28 TILEPROP INT_L_X16Y123 SLR_REGION_ID 0 TILEPROP INT_L_X16Y123 TILE_PATTERN_IDX 4598 TILEPROP INT_L_X16Y123 TILE_TYPE INT_L TILEPROP INT_L_X16Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y123 TILE_X -22616 TILEPROP INT_L_X16Y123 TILE_Y 156472 TILEPROP INT_L_X16Y123 TYPE INT_L TILEPROP INT_L_X16Y124 CLASS tile TILEPROP INT_L_X16Y124 COLUMN 44 TILEPROP INT_L_X16Y124 DEVICE_ID 0 TILEPROP INT_L_X16Y124 FIRST_SITE_ID 2726 TILEPROP INT_L_X16Y124 GRID_POINT_X 44 TILEPROP INT_L_X16Y124 GRID_POINT_Y 27 TILEPROP INT_L_X16Y124 INDEX 3149 TILEPROP INT_L_X16Y124 INT_TILE_X 16 TILEPROP INT_L_X16Y124 INT_TILE_Y 25 TILEPROP INT_L_X16Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y124 IS_DCM_TILE 0 TILEPROP INT_L_X16Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y124 NAME INT_L_X16Y124 TILEPROP INT_L_X16Y124 NUM_ARCS 3737 TILEPROP INT_L_X16Y124 NUM_SITES 1 TILEPROP INT_L_X16Y124 ROW 27 TILEPROP INT_L_X16Y124 SLR_REGION_ID 0 TILEPROP INT_L_X16Y124 TILE_PATTERN_IDX 4561 TILEPROP INT_L_X16Y124 TILE_TYPE INT_L TILEPROP INT_L_X16Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y124 TILE_X -22616 TILEPROP INT_L_X16Y124 TILE_Y 159672 TILEPROP INT_L_X16Y124 TYPE INT_L TILEPROP INT_L_X16Y125 CLASS tile TILEPROP INT_L_X16Y125 COLUMN 44 TILEPROP INT_L_X16Y125 DEVICE_ID 0 TILEPROP INT_L_X16Y125 FIRST_SITE_ID 2553 TILEPROP INT_L_X16Y125 GRID_POINT_X 44 TILEPROP INT_L_X16Y125 GRID_POINT_Y 25 TILEPROP INT_L_X16Y125 INDEX 2919 TILEPROP INT_L_X16Y125 INT_TILE_X 16 TILEPROP INT_L_X16Y125 INT_TILE_Y 24 TILEPROP INT_L_X16Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y125 IS_DCM_TILE 0 TILEPROP INT_L_X16Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y125 NAME INT_L_X16Y125 TILEPROP INT_L_X16Y125 NUM_ARCS 3737 TILEPROP INT_L_X16Y125 NUM_SITES 1 TILEPROP INT_L_X16Y125 ROW 25 TILEPROP INT_L_X16Y125 SLR_REGION_ID 0 TILEPROP INT_L_X16Y125 TILE_PATTERN_IDX 4482 TILEPROP INT_L_X16Y125 TILE_TYPE INT_L TILEPROP INT_L_X16Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y125 TILE_X -22616 TILEPROP INT_L_X16Y125 TILE_Y 163896 TILEPROP INT_L_X16Y125 TYPE INT_L TILEPROP INT_L_X16Y126 CLASS tile TILEPROP INT_L_X16Y126 COLUMN 44 TILEPROP INT_L_X16Y126 DEVICE_ID 0 TILEPROP INT_L_X16Y126 FIRST_SITE_ID 2443 TILEPROP INT_L_X16Y126 GRID_POINT_X 44 TILEPROP INT_L_X16Y126 GRID_POINT_Y 24 TILEPROP INT_L_X16Y126 INDEX 2804 TILEPROP INT_L_X16Y126 INT_TILE_X 16 TILEPROP INT_L_X16Y126 INT_TILE_Y 23 TILEPROP INT_L_X16Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y126 IS_DCM_TILE 0 TILEPROP INT_L_X16Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y126 NAME INT_L_X16Y126 TILEPROP INT_L_X16Y126 NUM_ARCS 3737 TILEPROP INT_L_X16Y126 NUM_SITES 1 TILEPROP INT_L_X16Y126 ROW 24 TILEPROP INT_L_X16Y126 SLR_REGION_ID 0 TILEPROP INT_L_X16Y126 TILE_PATTERN_IDX 4443 TILEPROP INT_L_X16Y126 TILE_TYPE INT_L TILEPROP INT_L_X16Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y126 TILE_X -22616 TILEPROP INT_L_X16Y126 TILE_Y 167096 TILEPROP INT_L_X16Y126 TYPE INT_L TILEPROP INT_L_X16Y127 CLASS tile TILEPROP INT_L_X16Y127 COLUMN 44 TILEPROP INT_L_X16Y127 DEVICE_ID 0 TILEPROP INT_L_X16Y127 FIRST_SITE_ID 2347 TILEPROP INT_L_X16Y127 GRID_POINT_X 44 TILEPROP INT_L_X16Y127 GRID_POINT_Y 23 TILEPROP INT_L_X16Y127 INDEX 2689 TILEPROP INT_L_X16Y127 INT_TILE_X 16 TILEPROP INT_L_X16Y127 INT_TILE_Y 22 TILEPROP INT_L_X16Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y127 IS_DCM_TILE 0 TILEPROP INT_L_X16Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y127 NAME INT_L_X16Y127 TILEPROP INT_L_X16Y127 NUM_ARCS 3737 TILEPROP INT_L_X16Y127 NUM_SITES 1 TILEPROP INT_L_X16Y127 ROW 23 TILEPROP INT_L_X16Y127 SLR_REGION_ID 0 TILEPROP INT_L_X16Y127 TILE_PATTERN_IDX 4405 TILEPROP INT_L_X16Y127 TILE_TYPE INT_L TILEPROP INT_L_X16Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y127 TILE_X -22616 TILEPROP INT_L_X16Y127 TILE_Y 170296 TILEPROP INT_L_X16Y127 TYPE INT_L TILEPROP INT_L_X16Y128 CLASS tile TILEPROP INT_L_X16Y128 COLUMN 44 TILEPROP INT_L_X16Y128 DEVICE_ID 0 TILEPROP INT_L_X16Y128 FIRST_SITE_ID 2243 TILEPROP INT_L_X16Y128 GRID_POINT_X 44 TILEPROP INT_L_X16Y128 GRID_POINT_Y 22 TILEPROP INT_L_X16Y128 INDEX 2574 TILEPROP INT_L_X16Y128 INT_TILE_X 16 TILEPROP INT_L_X16Y128 INT_TILE_Y 21 TILEPROP INT_L_X16Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y128 IS_DCM_TILE 0 TILEPROP INT_L_X16Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y128 NAME INT_L_X16Y128 TILEPROP INT_L_X16Y128 NUM_ARCS 3737 TILEPROP INT_L_X16Y128 NUM_SITES 1 TILEPROP INT_L_X16Y128 ROW 22 TILEPROP INT_L_X16Y128 SLR_REGION_ID 0 TILEPROP INT_L_X16Y128 TILE_PATTERN_IDX 4366 TILEPROP INT_L_X16Y128 TILE_TYPE INT_L TILEPROP INT_L_X16Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y128 TILE_X -22616 TILEPROP INT_L_X16Y128 TILE_Y 173496 TILEPROP INT_L_X16Y128 TYPE INT_L TILEPROP INT_L_X16Y129 CLASS tile TILEPROP INT_L_X16Y129 COLUMN 44 TILEPROP INT_L_X16Y129 DEVICE_ID 0 TILEPROP INT_L_X16Y129 FIRST_SITE_ID 2147 TILEPROP INT_L_X16Y129 GRID_POINT_X 44 TILEPROP INT_L_X16Y129 GRID_POINT_Y 21 TILEPROP INT_L_X16Y129 INDEX 2459 TILEPROP INT_L_X16Y129 INT_TILE_X 16 TILEPROP INT_L_X16Y129 INT_TILE_Y 20 TILEPROP INT_L_X16Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y129 IS_DCM_TILE 0 TILEPROP INT_L_X16Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y129 NAME INT_L_X16Y129 TILEPROP INT_L_X16Y129 NUM_ARCS 3737 TILEPROP INT_L_X16Y129 NUM_SITES 1 TILEPROP INT_L_X16Y129 ROW 21 TILEPROP INT_L_X16Y129 SLR_REGION_ID 0 TILEPROP INT_L_X16Y129 TILE_PATTERN_IDX 4328 TILEPROP INT_L_X16Y129 TILE_TYPE INT_L TILEPROP INT_L_X16Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y129 TILE_X -22616 TILEPROP INT_L_X16Y129 TILE_Y 176696 TILEPROP INT_L_X16Y129 TYPE INT_L TILEPROP INT_L_X16Y130 CLASS tile TILEPROP INT_L_X16Y130 COLUMN 44 TILEPROP INT_L_X16Y130 DEVICE_ID 0 TILEPROP INT_L_X16Y130 FIRST_SITE_ID 2031 TILEPROP INT_L_X16Y130 GRID_POINT_X 44 TILEPROP INT_L_X16Y130 GRID_POINT_Y 20 TILEPROP INT_L_X16Y130 INDEX 2344 TILEPROP INT_L_X16Y130 INT_TILE_X 16 TILEPROP INT_L_X16Y130 INT_TILE_Y 19 TILEPROP INT_L_X16Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y130 IS_DCM_TILE 0 TILEPROP INT_L_X16Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y130 NAME INT_L_X16Y130 TILEPROP INT_L_X16Y130 NUM_ARCS 3737 TILEPROP INT_L_X16Y130 NUM_SITES 1 TILEPROP INT_L_X16Y130 ROW 20 TILEPROP INT_L_X16Y130 SLR_REGION_ID 0 TILEPROP INT_L_X16Y130 TILE_PATTERN_IDX 4288 TILEPROP INT_L_X16Y130 TILE_TYPE INT_L TILEPROP INT_L_X16Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y130 TILE_X -22616 TILEPROP INT_L_X16Y130 TILE_Y 179896 TILEPROP INT_L_X16Y130 TYPE INT_L TILEPROP INT_L_X16Y131 CLASS tile TILEPROP INT_L_X16Y131 COLUMN 44 TILEPROP INT_L_X16Y131 DEVICE_ID 0 TILEPROP INT_L_X16Y131 FIRST_SITE_ID 1929 TILEPROP INT_L_X16Y131 GRID_POINT_X 44 TILEPROP INT_L_X16Y131 GRID_POINT_Y 19 TILEPROP INT_L_X16Y131 INDEX 2229 TILEPROP INT_L_X16Y131 INT_TILE_X 16 TILEPROP INT_L_X16Y131 INT_TILE_Y 18 TILEPROP INT_L_X16Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y131 IS_DCM_TILE 0 TILEPROP INT_L_X16Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y131 NAME INT_L_X16Y131 TILEPROP INT_L_X16Y131 NUM_ARCS 3737 TILEPROP INT_L_X16Y131 NUM_SITES 1 TILEPROP INT_L_X16Y131 ROW 19 TILEPROP INT_L_X16Y131 SLR_REGION_ID 0 TILEPROP INT_L_X16Y131 TILE_PATTERN_IDX 4250 TILEPROP INT_L_X16Y131 TILE_TYPE INT_L TILEPROP INT_L_X16Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y131 TILE_X -22616 TILEPROP INT_L_X16Y131 TILE_Y 183096 TILEPROP INT_L_X16Y131 TYPE INT_L TILEPROP INT_L_X16Y132 CLASS tile TILEPROP INT_L_X16Y132 COLUMN 44 TILEPROP INT_L_X16Y132 DEVICE_ID 0 TILEPROP INT_L_X16Y132 FIRST_SITE_ID 1823 TILEPROP INT_L_X16Y132 GRID_POINT_X 44 TILEPROP INT_L_X16Y132 GRID_POINT_Y 18 TILEPROP INT_L_X16Y132 INDEX 2114 TILEPROP INT_L_X16Y132 INT_TILE_X 16 TILEPROP INT_L_X16Y132 INT_TILE_Y 17 TILEPROP INT_L_X16Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y132 IS_DCM_TILE 0 TILEPROP INT_L_X16Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y132 NAME INT_L_X16Y132 TILEPROP INT_L_X16Y132 NUM_ARCS 3737 TILEPROP INT_L_X16Y132 NUM_SITES 1 TILEPROP INT_L_X16Y132 ROW 18 TILEPROP INT_L_X16Y132 SLR_REGION_ID 0 TILEPROP INT_L_X16Y132 TILE_PATTERN_IDX 4211 TILEPROP INT_L_X16Y132 TILE_TYPE INT_L TILEPROP INT_L_X16Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y132 TILE_X -22616 TILEPROP INT_L_X16Y132 TILE_Y 186296 TILEPROP INT_L_X16Y132 TYPE INT_L TILEPROP INT_L_X16Y133 CLASS tile TILEPROP INT_L_X16Y133 COLUMN 44 TILEPROP INT_L_X16Y133 DEVICE_ID 0 TILEPROP INT_L_X16Y133 FIRST_SITE_ID 1722 TILEPROP INT_L_X16Y133 GRID_POINT_X 44 TILEPROP INT_L_X16Y133 GRID_POINT_Y 17 TILEPROP INT_L_X16Y133 INDEX 1999 TILEPROP INT_L_X16Y133 INT_TILE_X 16 TILEPROP INT_L_X16Y133 INT_TILE_Y 16 TILEPROP INT_L_X16Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y133 IS_DCM_TILE 0 TILEPROP INT_L_X16Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y133 NAME INT_L_X16Y133 TILEPROP INT_L_X16Y133 NUM_ARCS 3737 TILEPROP INT_L_X16Y133 NUM_SITES 1 TILEPROP INT_L_X16Y133 ROW 17 TILEPROP INT_L_X16Y133 SLR_REGION_ID 0 TILEPROP INT_L_X16Y133 TILE_PATTERN_IDX 4173 TILEPROP INT_L_X16Y133 TILE_TYPE INT_L TILEPROP INT_L_X16Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y133 TILE_X -22616 TILEPROP INT_L_X16Y133 TILE_Y 189496 TILEPROP INT_L_X16Y133 TYPE INT_L TILEPROP INT_L_X16Y134 CLASS tile TILEPROP INT_L_X16Y134 COLUMN 44 TILEPROP INT_L_X16Y134 DEVICE_ID 0 TILEPROP INT_L_X16Y134 FIRST_SITE_ID 1618 TILEPROP INT_L_X16Y134 GRID_POINT_X 44 TILEPROP INT_L_X16Y134 GRID_POINT_Y 16 TILEPROP INT_L_X16Y134 INDEX 1884 TILEPROP INT_L_X16Y134 INT_TILE_X 16 TILEPROP INT_L_X16Y134 INT_TILE_Y 15 TILEPROP INT_L_X16Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y134 IS_DCM_TILE 0 TILEPROP INT_L_X16Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y134 NAME INT_L_X16Y134 TILEPROP INT_L_X16Y134 NUM_ARCS 3737 TILEPROP INT_L_X16Y134 NUM_SITES 1 TILEPROP INT_L_X16Y134 ROW 16 TILEPROP INT_L_X16Y134 SLR_REGION_ID 0 TILEPROP INT_L_X16Y134 TILE_PATTERN_IDX 4134 TILEPROP INT_L_X16Y134 TILE_TYPE INT_L TILEPROP INT_L_X16Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y134 TILE_X -22616 TILEPROP INT_L_X16Y134 TILE_Y 192696 TILEPROP INT_L_X16Y134 TYPE INT_L TILEPROP INT_L_X16Y135 CLASS tile TILEPROP INT_L_X16Y135 COLUMN 44 TILEPROP INT_L_X16Y135 DEVICE_ID 0 TILEPROP INT_L_X16Y135 FIRST_SITE_ID 1516 TILEPROP INT_L_X16Y135 GRID_POINT_X 44 TILEPROP INT_L_X16Y135 GRID_POINT_Y 15 TILEPROP INT_L_X16Y135 INDEX 1769 TILEPROP INT_L_X16Y135 INT_TILE_X 16 TILEPROP INT_L_X16Y135 INT_TILE_Y 14 TILEPROP INT_L_X16Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y135 IS_DCM_TILE 0 TILEPROP INT_L_X16Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y135 NAME INT_L_X16Y135 TILEPROP INT_L_X16Y135 NUM_ARCS 3737 TILEPROP INT_L_X16Y135 NUM_SITES 1 TILEPROP INT_L_X16Y135 ROW 15 TILEPROP INT_L_X16Y135 SLR_REGION_ID 0 TILEPROP INT_L_X16Y135 TILE_PATTERN_IDX 4096 TILEPROP INT_L_X16Y135 TILE_TYPE INT_L TILEPROP INT_L_X16Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y135 TILE_X -22616 TILEPROP INT_L_X16Y135 TILE_Y 195896 TILEPROP INT_L_X16Y135 TYPE INT_L TILEPROP INT_L_X16Y136 CLASS tile TILEPROP INT_L_X16Y136 COLUMN 44 TILEPROP INT_L_X16Y136 DEVICE_ID 0 TILEPROP INT_L_X16Y136 FIRST_SITE_ID 1374 TILEPROP INT_L_X16Y136 GRID_POINT_X 44 TILEPROP INT_L_X16Y136 GRID_POINT_Y 14 TILEPROP INT_L_X16Y136 INDEX 1654 TILEPROP INT_L_X16Y136 INT_TILE_X 16 TILEPROP INT_L_X16Y136 INT_TILE_Y 13 TILEPROP INT_L_X16Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y136 IS_DCM_TILE 0 TILEPROP INT_L_X16Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y136 NAME INT_L_X16Y136 TILEPROP INT_L_X16Y136 NUM_ARCS 3737 TILEPROP INT_L_X16Y136 NUM_SITES 1 TILEPROP INT_L_X16Y136 ROW 14 TILEPROP INT_L_X16Y136 SLR_REGION_ID 0 TILEPROP INT_L_X16Y136 TILE_PATTERN_IDX 4057 TILEPROP INT_L_X16Y136 TILE_TYPE INT_L TILEPROP INT_L_X16Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y136 TILE_X -22616 TILEPROP INT_L_X16Y136 TILE_Y 199096 TILEPROP INT_L_X16Y136 TYPE INT_L TILEPROP INT_L_X16Y137 CLASS tile TILEPROP INT_L_X16Y137 COLUMN 44 TILEPROP INT_L_X16Y137 DEVICE_ID 0 TILEPROP INT_L_X16Y137 FIRST_SITE_ID 1278 TILEPROP INT_L_X16Y137 GRID_POINT_X 44 TILEPROP INT_L_X16Y137 GRID_POINT_Y 13 TILEPROP INT_L_X16Y137 INDEX 1539 TILEPROP INT_L_X16Y137 INT_TILE_X 16 TILEPROP INT_L_X16Y137 INT_TILE_Y 12 TILEPROP INT_L_X16Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y137 IS_DCM_TILE 0 TILEPROP INT_L_X16Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y137 NAME INT_L_X16Y137 TILEPROP INT_L_X16Y137 NUM_ARCS 3737 TILEPROP INT_L_X16Y137 NUM_SITES 1 TILEPROP INT_L_X16Y137 ROW 13 TILEPROP INT_L_X16Y137 SLR_REGION_ID 0 TILEPROP INT_L_X16Y137 TILE_PATTERN_IDX 4019 TILEPROP INT_L_X16Y137 TILE_TYPE INT_L TILEPROP INT_L_X16Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y137 TILE_X -22616 TILEPROP INT_L_X16Y137 TILE_Y 202296 TILEPROP INT_L_X16Y137 TYPE INT_L TILEPROP INT_L_X16Y138 CLASS tile TILEPROP INT_L_X16Y138 COLUMN 44 TILEPROP INT_L_X16Y138 DEVICE_ID 0 TILEPROP INT_L_X16Y138 FIRST_SITE_ID 1174 TILEPROP INT_L_X16Y138 GRID_POINT_X 44 TILEPROP INT_L_X16Y138 GRID_POINT_Y 12 TILEPROP INT_L_X16Y138 INDEX 1424 TILEPROP INT_L_X16Y138 INT_TILE_X 16 TILEPROP INT_L_X16Y138 INT_TILE_Y 11 TILEPROP INT_L_X16Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y138 IS_DCM_TILE 0 TILEPROP INT_L_X16Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y138 NAME INT_L_X16Y138 TILEPROP INT_L_X16Y138 NUM_ARCS 3737 TILEPROP INT_L_X16Y138 NUM_SITES 1 TILEPROP INT_L_X16Y138 ROW 12 TILEPROP INT_L_X16Y138 SLR_REGION_ID 0 TILEPROP INT_L_X16Y138 TILE_PATTERN_IDX 3980 TILEPROP INT_L_X16Y138 TILE_TYPE INT_L TILEPROP INT_L_X16Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y138 TILE_X -22616 TILEPROP INT_L_X16Y138 TILE_Y 205496 TILEPROP INT_L_X16Y138 TYPE INT_L TILEPROP INT_L_X16Y139 CLASS tile TILEPROP INT_L_X16Y139 COLUMN 44 TILEPROP INT_L_X16Y139 DEVICE_ID 0 TILEPROP INT_L_X16Y139 FIRST_SITE_ID 1078 TILEPROP INT_L_X16Y139 GRID_POINT_X 44 TILEPROP INT_L_X16Y139 GRID_POINT_Y 11 TILEPROP INT_L_X16Y139 INDEX 1309 TILEPROP INT_L_X16Y139 INT_TILE_X 16 TILEPROP INT_L_X16Y139 INT_TILE_Y 10 TILEPROP INT_L_X16Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y139 IS_DCM_TILE 0 TILEPROP INT_L_X16Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y139 NAME INT_L_X16Y139 TILEPROP INT_L_X16Y139 NUM_ARCS 3737 TILEPROP INT_L_X16Y139 NUM_SITES 1 TILEPROP INT_L_X16Y139 ROW 11 TILEPROP INT_L_X16Y139 SLR_REGION_ID 0 TILEPROP INT_L_X16Y139 TILE_PATTERN_IDX 3942 TILEPROP INT_L_X16Y139 TILE_TYPE INT_L TILEPROP INT_L_X16Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y139 TILE_X -22616 TILEPROP INT_L_X16Y139 TILE_Y 208696 TILEPROP INT_L_X16Y139 TYPE INT_L TILEPROP INT_L_X16Y140 CLASS tile TILEPROP INT_L_X16Y140 COLUMN 44 TILEPROP INT_L_X16Y140 DEVICE_ID 0 TILEPROP INT_L_X16Y140 FIRST_SITE_ID 968 TILEPROP INT_L_X16Y140 GRID_POINT_X 44 TILEPROP INT_L_X16Y140 GRID_POINT_Y 10 TILEPROP INT_L_X16Y140 INDEX 1194 TILEPROP INT_L_X16Y140 INT_TILE_X 16 TILEPROP INT_L_X16Y140 INT_TILE_Y 9 TILEPROP INT_L_X16Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y140 IS_DCM_TILE 0 TILEPROP INT_L_X16Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y140 NAME INT_L_X16Y140 TILEPROP INT_L_X16Y140 NUM_ARCS 3737 TILEPROP INT_L_X16Y140 NUM_SITES 1 TILEPROP INT_L_X16Y140 ROW 10 TILEPROP INT_L_X16Y140 SLR_REGION_ID 0 TILEPROP INT_L_X16Y140 TILE_PATTERN_IDX 3903 TILEPROP INT_L_X16Y140 TILE_TYPE INT_L TILEPROP INT_L_X16Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y140 TILE_X -22616 TILEPROP INT_L_X16Y140 TILE_Y 211896 TILEPROP INT_L_X16Y140 TYPE INT_L TILEPROP INT_L_X16Y141 CLASS tile TILEPROP INT_L_X16Y141 COLUMN 44 TILEPROP INT_L_X16Y141 DEVICE_ID 0 TILEPROP INT_L_X16Y141 FIRST_SITE_ID 866 TILEPROP INT_L_X16Y141 GRID_POINT_X 44 TILEPROP INT_L_X16Y141 GRID_POINT_Y 9 TILEPROP INT_L_X16Y141 INDEX 1079 TILEPROP INT_L_X16Y141 INT_TILE_X 16 TILEPROP INT_L_X16Y141 INT_TILE_Y 8 TILEPROP INT_L_X16Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y141 IS_DCM_TILE 0 TILEPROP INT_L_X16Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y141 NAME INT_L_X16Y141 TILEPROP INT_L_X16Y141 NUM_ARCS 3737 TILEPROP INT_L_X16Y141 NUM_SITES 1 TILEPROP INT_L_X16Y141 ROW 9 TILEPROP INT_L_X16Y141 SLR_REGION_ID 0 TILEPROP INT_L_X16Y141 TILE_PATTERN_IDX 3865 TILEPROP INT_L_X16Y141 TILE_TYPE INT_L TILEPROP INT_L_X16Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y141 TILE_X -22616 TILEPROP INT_L_X16Y141 TILE_Y 215096 TILEPROP INT_L_X16Y141 TYPE INT_L TILEPROP INT_L_X16Y142 CLASS tile TILEPROP INT_L_X16Y142 COLUMN 44 TILEPROP INT_L_X16Y142 DEVICE_ID 0 TILEPROP INT_L_X16Y142 FIRST_SITE_ID 762 TILEPROP INT_L_X16Y142 GRID_POINT_X 44 TILEPROP INT_L_X16Y142 GRID_POINT_Y 8 TILEPROP INT_L_X16Y142 INDEX 964 TILEPROP INT_L_X16Y142 INT_TILE_X 16 TILEPROP INT_L_X16Y142 INT_TILE_Y 7 TILEPROP INT_L_X16Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y142 IS_DCM_TILE 0 TILEPROP INT_L_X16Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y142 NAME INT_L_X16Y142 TILEPROP INT_L_X16Y142 NUM_ARCS 3737 TILEPROP INT_L_X16Y142 NUM_SITES 1 TILEPROP INT_L_X16Y142 ROW 8 TILEPROP INT_L_X16Y142 SLR_REGION_ID 0 TILEPROP INT_L_X16Y142 TILE_PATTERN_IDX 3826 TILEPROP INT_L_X16Y142 TILE_TYPE INT_L TILEPROP INT_L_X16Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y142 TILE_X -22616 TILEPROP INT_L_X16Y142 TILE_Y 218296 TILEPROP INT_L_X16Y142 TYPE INT_L TILEPROP INT_L_X16Y143 CLASS tile TILEPROP INT_L_X16Y143 COLUMN 44 TILEPROP INT_L_X16Y143 DEVICE_ID 0 TILEPROP INT_L_X16Y143 FIRST_SITE_ID 665 TILEPROP INT_L_X16Y143 GRID_POINT_X 44 TILEPROP INT_L_X16Y143 GRID_POINT_Y 7 TILEPROP INT_L_X16Y143 INDEX 849 TILEPROP INT_L_X16Y143 INT_TILE_X 16 TILEPROP INT_L_X16Y143 INT_TILE_Y 6 TILEPROP INT_L_X16Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y143 IS_DCM_TILE 0 TILEPROP INT_L_X16Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y143 NAME INT_L_X16Y143 TILEPROP INT_L_X16Y143 NUM_ARCS 3737 TILEPROP INT_L_X16Y143 NUM_SITES 1 TILEPROP INT_L_X16Y143 ROW 7 TILEPROP INT_L_X16Y143 SLR_REGION_ID 0 TILEPROP INT_L_X16Y143 TILE_PATTERN_IDX 3788 TILEPROP INT_L_X16Y143 TILE_TYPE INT_L TILEPROP INT_L_X16Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y143 TILE_X -22616 TILEPROP INT_L_X16Y143 TILE_Y 221496 TILEPROP INT_L_X16Y143 TYPE INT_L TILEPROP INT_L_X16Y144 CLASS tile TILEPROP INT_L_X16Y144 COLUMN 44 TILEPROP INT_L_X16Y144 DEVICE_ID 0 TILEPROP INT_L_X16Y144 FIRST_SITE_ID 554 TILEPROP INT_L_X16Y144 GRID_POINT_X 44 TILEPROP INT_L_X16Y144 GRID_POINT_Y 6 TILEPROP INT_L_X16Y144 INDEX 734 TILEPROP INT_L_X16Y144 INT_TILE_X 16 TILEPROP INT_L_X16Y144 INT_TILE_Y 5 TILEPROP INT_L_X16Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y144 IS_DCM_TILE 0 TILEPROP INT_L_X16Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y144 NAME INT_L_X16Y144 TILEPROP INT_L_X16Y144 NUM_ARCS 3737 TILEPROP INT_L_X16Y144 NUM_SITES 1 TILEPROP INT_L_X16Y144 ROW 6 TILEPROP INT_L_X16Y144 SLR_REGION_ID 0 TILEPROP INT_L_X16Y144 TILE_PATTERN_IDX 3749 TILEPROP INT_L_X16Y144 TILE_TYPE INT_L TILEPROP INT_L_X16Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y144 TILE_X -22616 TILEPROP INT_L_X16Y144 TILE_Y 224696 TILEPROP INT_L_X16Y144 TYPE INT_L TILEPROP INT_L_X16Y145 CLASS tile TILEPROP INT_L_X16Y145 COLUMN 44 TILEPROP INT_L_X16Y145 DEVICE_ID 0 TILEPROP INT_L_X16Y145 FIRST_SITE_ID 452 TILEPROP INT_L_X16Y145 GRID_POINT_X 44 TILEPROP INT_L_X16Y145 GRID_POINT_Y 5 TILEPROP INT_L_X16Y145 INDEX 619 TILEPROP INT_L_X16Y145 INT_TILE_X 16 TILEPROP INT_L_X16Y145 INT_TILE_Y 4 TILEPROP INT_L_X16Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y145 IS_DCM_TILE 0 TILEPROP INT_L_X16Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y145 NAME INT_L_X16Y145 TILEPROP INT_L_X16Y145 NUM_ARCS 3737 TILEPROP INT_L_X16Y145 NUM_SITES 1 TILEPROP INT_L_X16Y145 ROW 5 TILEPROP INT_L_X16Y145 SLR_REGION_ID 0 TILEPROP INT_L_X16Y145 TILE_PATTERN_IDX 3711 TILEPROP INT_L_X16Y145 TILE_TYPE INT_L TILEPROP INT_L_X16Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y145 TILE_X -22616 TILEPROP INT_L_X16Y145 TILE_Y 227896 TILEPROP INT_L_X16Y145 TYPE INT_L TILEPROP INT_L_X16Y146 CLASS tile TILEPROP INT_L_X16Y146 COLUMN 44 TILEPROP INT_L_X16Y146 DEVICE_ID 0 TILEPROP INT_L_X16Y146 FIRST_SITE_ID 342 TILEPROP INT_L_X16Y146 GRID_POINT_X 44 TILEPROP INT_L_X16Y146 GRID_POINT_Y 4 TILEPROP INT_L_X16Y146 INDEX 504 TILEPROP INT_L_X16Y146 INT_TILE_X 16 TILEPROP INT_L_X16Y146 INT_TILE_Y 3 TILEPROP INT_L_X16Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y146 IS_DCM_TILE 0 TILEPROP INT_L_X16Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y146 NAME INT_L_X16Y146 TILEPROP INT_L_X16Y146 NUM_ARCS 3737 TILEPROP INT_L_X16Y146 NUM_SITES 1 TILEPROP INT_L_X16Y146 ROW 4 TILEPROP INT_L_X16Y146 SLR_REGION_ID 0 TILEPROP INT_L_X16Y146 TILE_PATTERN_IDX 3672 TILEPROP INT_L_X16Y146 TILE_TYPE INT_L TILEPROP INT_L_X16Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y146 TILE_X -22616 TILEPROP INT_L_X16Y146 TILE_Y 231096 TILEPROP INT_L_X16Y146 TYPE INT_L TILEPROP INT_L_X16Y147 CLASS tile TILEPROP INT_L_X16Y147 COLUMN 44 TILEPROP INT_L_X16Y147 DEVICE_ID 0 TILEPROP INT_L_X16Y147 FIRST_SITE_ID 246 TILEPROP INT_L_X16Y147 GRID_POINT_X 44 TILEPROP INT_L_X16Y147 GRID_POINT_Y 3 TILEPROP INT_L_X16Y147 INDEX 389 TILEPROP INT_L_X16Y147 INT_TILE_X 16 TILEPROP INT_L_X16Y147 INT_TILE_Y 2 TILEPROP INT_L_X16Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y147 IS_DCM_TILE 0 TILEPROP INT_L_X16Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y147 NAME INT_L_X16Y147 TILEPROP INT_L_X16Y147 NUM_ARCS 3737 TILEPROP INT_L_X16Y147 NUM_SITES 1 TILEPROP INT_L_X16Y147 ROW 3 TILEPROP INT_L_X16Y147 SLR_REGION_ID 0 TILEPROP INT_L_X16Y147 TILE_PATTERN_IDX 3634 TILEPROP INT_L_X16Y147 TILE_TYPE INT_L TILEPROP INT_L_X16Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y147 TILE_X -22616 TILEPROP INT_L_X16Y147 TILE_Y 234296 TILEPROP INT_L_X16Y147 TYPE INT_L TILEPROP INT_L_X16Y148 CLASS tile TILEPROP INT_L_X16Y148 COLUMN 44 TILEPROP INT_L_X16Y148 DEVICE_ID 0 TILEPROP INT_L_X16Y148 FIRST_SITE_ID 142 TILEPROP INT_L_X16Y148 GRID_POINT_X 44 TILEPROP INT_L_X16Y148 GRID_POINT_Y 2 TILEPROP INT_L_X16Y148 INDEX 274 TILEPROP INT_L_X16Y148 INT_TILE_X 16 TILEPROP INT_L_X16Y148 INT_TILE_Y 1 TILEPROP INT_L_X16Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y148 IS_DCM_TILE 0 TILEPROP INT_L_X16Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y148 NAME INT_L_X16Y148 TILEPROP INT_L_X16Y148 NUM_ARCS 3737 TILEPROP INT_L_X16Y148 NUM_SITES 1 TILEPROP INT_L_X16Y148 ROW 2 TILEPROP INT_L_X16Y148 SLR_REGION_ID 0 TILEPROP INT_L_X16Y148 TILE_PATTERN_IDX 3595 TILEPROP INT_L_X16Y148 TILE_TYPE INT_L TILEPROP INT_L_X16Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y148 TILE_X -22616 TILEPROP INT_L_X16Y148 TILE_Y 237496 TILEPROP INT_L_X16Y148 TYPE INT_L TILEPROP INT_L_X16Y149 CLASS tile TILEPROP INT_L_X16Y149 COLUMN 44 TILEPROP INT_L_X16Y149 DEVICE_ID 0 TILEPROP INT_L_X16Y149 FIRST_SITE_ID 46 TILEPROP INT_L_X16Y149 GRID_POINT_X 44 TILEPROP INT_L_X16Y149 GRID_POINT_Y 1 TILEPROP INT_L_X16Y149 INDEX 159 TILEPROP INT_L_X16Y149 INT_TILE_X 16 TILEPROP INT_L_X16Y149 INT_TILE_Y 0 TILEPROP INT_L_X16Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X16Y149 IS_DCM_TILE 0 TILEPROP INT_L_X16Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X16Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X16Y149 NAME INT_L_X16Y149 TILEPROP INT_L_X16Y149 NUM_ARCS 3737 TILEPROP INT_L_X16Y149 NUM_SITES 1 TILEPROP INT_L_X16Y149 ROW 1 TILEPROP INT_L_X16Y149 SLR_REGION_ID 0 TILEPROP INT_L_X16Y149 TILE_PATTERN_IDX 3557 TILEPROP INT_L_X16Y149 TILE_TYPE INT_L TILEPROP INT_L_X16Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X16Y149 TILE_X -22616 TILEPROP INT_L_X16Y149 TILE_Y 240696 TILEPROP INT_L_X16Y149 TYPE INT_L TILEPROP INT_L_X18Y0 CLASS tile TILEPROP INT_L_X18Y0 COLUMN 49 TILEPROP INT_L_X18Y0 DEVICE_ID 0 TILEPROP INT_L_X18Y0 FIRST_SITE_ID 15740 TILEPROP INT_L_X18Y0 GRID_POINT_X 49 TILEPROP INT_L_X18Y0 GRID_POINT_Y 155 TILEPROP INT_L_X18Y0 INDEX 17874 TILEPROP INT_L_X18Y0 INT_TILE_X 18 TILEPROP INT_L_X18Y0 INT_TILE_Y 149 TILEPROP INT_L_X18Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y0 IS_DCM_TILE 0 TILEPROP INT_L_X18Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y0 NAME INT_L_X18Y0 TILEPROP INT_L_X18Y0 NUM_ARCS 3737 TILEPROP INT_L_X18Y0 NUM_SITES 1 TILEPROP INT_L_X18Y0 ROW 155 TILEPROP INT_L_X18Y0 SLR_REGION_ID 0 TILEPROP INT_L_X18Y0 TILE_PATTERN_IDX 8745 TILEPROP INT_L_X18Y0 TILE_TYPE INT_L TILEPROP INT_L_X18Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y0 TILE_X -14880 TILEPROP INT_L_X18Y0 TILE_Y -239672 TILEPROP INT_L_X18Y0 TYPE INT_L TILEPROP INT_L_X18Y1 CLASS tile TILEPROP INT_L_X18Y1 COLUMN 49 TILEPROP INT_L_X18Y1 DEVICE_ID 0 TILEPROP INT_L_X18Y1 FIRST_SITE_ID 15629 TILEPROP INT_L_X18Y1 GRID_POINT_X 49 TILEPROP INT_L_X18Y1 GRID_POINT_Y 154 TILEPROP INT_L_X18Y1 INDEX 17759 TILEPROP INT_L_X18Y1 INT_TILE_X 18 TILEPROP INT_L_X18Y1 INT_TILE_Y 148 TILEPROP INT_L_X18Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y1 IS_DCM_TILE 0 TILEPROP INT_L_X18Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y1 NAME INT_L_X18Y1 TILEPROP INT_L_X18Y1 NUM_ARCS 3737 TILEPROP INT_L_X18Y1 NUM_SITES 1 TILEPROP INT_L_X18Y1 ROW 154 TILEPROP INT_L_X18Y1 SLR_REGION_ID 0 TILEPROP INT_L_X18Y1 TILE_PATTERN_IDX 8714 TILEPROP INT_L_X18Y1 TILE_TYPE INT_L TILEPROP INT_L_X18Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y1 TILE_X -14880 TILEPROP INT_L_X18Y1 TILE_Y -236472 TILEPROP INT_L_X18Y1 TYPE INT_L TILEPROP INT_L_X18Y2 CLASS tile TILEPROP INT_L_X18Y2 COLUMN 49 TILEPROP INT_L_X18Y2 DEVICE_ID 0 TILEPROP INT_L_X18Y2 FIRST_SITE_ID 15529 TILEPROP INT_L_X18Y2 GRID_POINT_X 49 TILEPROP INT_L_X18Y2 GRID_POINT_Y 153 TILEPROP INT_L_X18Y2 INDEX 17644 TILEPROP INT_L_X18Y2 INT_TILE_X 18 TILEPROP INT_L_X18Y2 INT_TILE_Y 147 TILEPROP INT_L_X18Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y2 IS_DCM_TILE 0 TILEPROP INT_L_X18Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y2 NAME INT_L_X18Y2 TILEPROP INT_L_X18Y2 NUM_ARCS 3737 TILEPROP INT_L_X18Y2 NUM_SITES 1 TILEPROP INT_L_X18Y2 ROW 153 TILEPROP INT_L_X18Y2 SLR_REGION_ID 0 TILEPROP INT_L_X18Y2 TILE_PATTERN_IDX 8684 TILEPROP INT_L_X18Y2 TILE_TYPE INT_L TILEPROP INT_L_X18Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y2 TILE_X -14880 TILEPROP INT_L_X18Y2 TILE_Y -233272 TILEPROP INT_L_X18Y2 TYPE INT_L TILEPROP INT_L_X18Y3 CLASS tile TILEPROP INT_L_X18Y3 COLUMN 49 TILEPROP INT_L_X18Y3 DEVICE_ID 0 TILEPROP INT_L_X18Y3 FIRST_SITE_ID 15429 TILEPROP INT_L_X18Y3 GRID_POINT_X 49 TILEPROP INT_L_X18Y3 GRID_POINT_Y 152 TILEPROP INT_L_X18Y3 INDEX 17529 TILEPROP INT_L_X18Y3 INT_TILE_X 18 TILEPROP INT_L_X18Y3 INT_TILE_Y 146 TILEPROP INT_L_X18Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y3 IS_DCM_TILE 0 TILEPROP INT_L_X18Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y3 NAME INT_L_X18Y3 TILEPROP INT_L_X18Y3 NUM_ARCS 3737 TILEPROP INT_L_X18Y3 NUM_SITES 1 TILEPROP INT_L_X18Y3 ROW 152 TILEPROP INT_L_X18Y3 SLR_REGION_ID 0 TILEPROP INT_L_X18Y3 TILE_PATTERN_IDX 8653 TILEPROP INT_L_X18Y3 TILE_TYPE INT_L TILEPROP INT_L_X18Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y3 TILE_X -14880 TILEPROP INT_L_X18Y3 TILE_Y -230072 TILEPROP INT_L_X18Y3 TYPE INT_L TILEPROP INT_L_X18Y4 CLASS tile TILEPROP INT_L_X18Y4 COLUMN 49 TILEPROP INT_L_X18Y4 DEVICE_ID 0 TILEPROP INT_L_X18Y4 FIRST_SITE_ID 15329 TILEPROP INT_L_X18Y4 GRID_POINT_X 49 TILEPROP INT_L_X18Y4 GRID_POINT_Y 151 TILEPROP INT_L_X18Y4 INDEX 17414 TILEPROP INT_L_X18Y4 INT_TILE_X 18 TILEPROP INT_L_X18Y4 INT_TILE_Y 145 TILEPROP INT_L_X18Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y4 IS_DCM_TILE 0 TILEPROP INT_L_X18Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y4 NAME INT_L_X18Y4 TILEPROP INT_L_X18Y4 NUM_ARCS 3737 TILEPROP INT_L_X18Y4 NUM_SITES 1 TILEPROP INT_L_X18Y4 ROW 151 TILEPROP INT_L_X18Y4 SLR_REGION_ID 0 TILEPROP INT_L_X18Y4 TILE_PATTERN_IDX 8623 TILEPROP INT_L_X18Y4 TILE_TYPE INT_L TILEPROP INT_L_X18Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y4 TILE_X -14880 TILEPROP INT_L_X18Y4 TILE_Y -226872 TILEPROP INT_L_X18Y4 TYPE INT_L TILEPROP INT_L_X18Y5 CLASS tile TILEPROP INT_L_X18Y5 COLUMN 49 TILEPROP INT_L_X18Y5 DEVICE_ID 0 TILEPROP INT_L_X18Y5 FIRST_SITE_ID 15220 TILEPROP INT_L_X18Y5 GRID_POINT_X 49 TILEPROP INT_L_X18Y5 GRID_POINT_Y 150 TILEPROP INT_L_X18Y5 INDEX 17299 TILEPROP INT_L_X18Y5 INT_TILE_X 18 TILEPROP INT_L_X18Y5 INT_TILE_Y 144 TILEPROP INT_L_X18Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y5 IS_DCM_TILE 0 TILEPROP INT_L_X18Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y5 NAME INT_L_X18Y5 TILEPROP INT_L_X18Y5 NUM_ARCS 3737 TILEPROP INT_L_X18Y5 NUM_SITES 1 TILEPROP INT_L_X18Y5 ROW 150 TILEPROP INT_L_X18Y5 SLR_REGION_ID 0 TILEPROP INT_L_X18Y5 TILE_PATTERN_IDX 8592 TILEPROP INT_L_X18Y5 TILE_TYPE INT_L TILEPROP INT_L_X18Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y5 TILE_X -14880 TILEPROP INT_L_X18Y5 TILE_Y -223672 TILEPROP INT_L_X18Y5 TYPE INT_L TILEPROP INT_L_X18Y6 CLASS tile TILEPROP INT_L_X18Y6 COLUMN 49 TILEPROP INT_L_X18Y6 DEVICE_ID 0 TILEPROP INT_L_X18Y6 FIRST_SITE_ID 15114 TILEPROP INT_L_X18Y6 GRID_POINT_X 49 TILEPROP INT_L_X18Y6 GRID_POINT_Y 149 TILEPROP INT_L_X18Y6 INDEX 17184 TILEPROP INT_L_X18Y6 INT_TILE_X 18 TILEPROP INT_L_X18Y6 INT_TILE_Y 143 TILEPROP INT_L_X18Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y6 IS_DCM_TILE 0 TILEPROP INT_L_X18Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y6 NAME INT_L_X18Y6 TILEPROP INT_L_X18Y6 NUM_ARCS 3737 TILEPROP INT_L_X18Y6 NUM_SITES 1 TILEPROP INT_L_X18Y6 ROW 149 TILEPROP INT_L_X18Y6 SLR_REGION_ID 0 TILEPROP INT_L_X18Y6 TILE_PATTERN_IDX 8562 TILEPROP INT_L_X18Y6 TILE_TYPE INT_L TILEPROP INT_L_X18Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y6 TILE_X -14880 TILEPROP INT_L_X18Y6 TILE_Y -220472 TILEPROP INT_L_X18Y6 TYPE INT_L TILEPROP INT_L_X18Y7 CLASS tile TILEPROP INT_L_X18Y7 COLUMN 49 TILEPROP INT_L_X18Y7 DEVICE_ID 0 TILEPROP INT_L_X18Y7 FIRST_SITE_ID 15012 TILEPROP INT_L_X18Y7 GRID_POINT_X 49 TILEPROP INT_L_X18Y7 GRID_POINT_Y 148 TILEPROP INT_L_X18Y7 INDEX 17069 TILEPROP INT_L_X18Y7 INT_TILE_X 18 TILEPROP INT_L_X18Y7 INT_TILE_Y 142 TILEPROP INT_L_X18Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y7 IS_DCM_TILE 0 TILEPROP INT_L_X18Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y7 NAME INT_L_X18Y7 TILEPROP INT_L_X18Y7 NUM_ARCS 3737 TILEPROP INT_L_X18Y7 NUM_SITES 1 TILEPROP INT_L_X18Y7 ROW 148 TILEPROP INT_L_X18Y7 SLR_REGION_ID 0 TILEPROP INT_L_X18Y7 TILE_PATTERN_IDX 8531 TILEPROP INT_L_X18Y7 TILE_TYPE INT_L TILEPROP INT_L_X18Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y7 TILE_X -14880 TILEPROP INT_L_X18Y7 TILE_Y -217272 TILEPROP INT_L_X18Y7 TYPE INT_L TILEPROP INT_L_X18Y8 CLASS tile TILEPROP INT_L_X18Y8 COLUMN 49 TILEPROP INT_L_X18Y8 DEVICE_ID 0 TILEPROP INT_L_X18Y8 FIRST_SITE_ID 14909 TILEPROP INT_L_X18Y8 GRID_POINT_X 49 TILEPROP INT_L_X18Y8 GRID_POINT_Y 147 TILEPROP INT_L_X18Y8 INDEX 16954 TILEPROP INT_L_X18Y8 INT_TILE_X 18 TILEPROP INT_L_X18Y8 INT_TILE_Y 141 TILEPROP INT_L_X18Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y8 IS_DCM_TILE 0 TILEPROP INT_L_X18Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y8 NAME INT_L_X18Y8 TILEPROP INT_L_X18Y8 NUM_ARCS 3737 TILEPROP INT_L_X18Y8 NUM_SITES 1 TILEPROP INT_L_X18Y8 ROW 147 TILEPROP INT_L_X18Y8 SLR_REGION_ID 0 TILEPROP INT_L_X18Y8 TILE_PATTERN_IDX 8501 TILEPROP INT_L_X18Y8 TILE_TYPE INT_L TILEPROP INT_L_X18Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y8 TILE_X -14880 TILEPROP INT_L_X18Y8 TILE_Y -214072 TILEPROP INT_L_X18Y8 TYPE INT_L TILEPROP INT_L_X18Y9 CLASS tile TILEPROP INT_L_X18Y9 COLUMN 49 TILEPROP INT_L_X18Y9 DEVICE_ID 0 TILEPROP INT_L_X18Y9 FIRST_SITE_ID 14808 TILEPROP INT_L_X18Y9 GRID_POINT_X 49 TILEPROP INT_L_X18Y9 GRID_POINT_Y 146 TILEPROP INT_L_X18Y9 INDEX 16839 TILEPROP INT_L_X18Y9 INT_TILE_X 18 TILEPROP INT_L_X18Y9 INT_TILE_Y 140 TILEPROP INT_L_X18Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y9 IS_DCM_TILE 0 TILEPROP INT_L_X18Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y9 NAME INT_L_X18Y9 TILEPROP INT_L_X18Y9 NUM_ARCS 3737 TILEPROP INT_L_X18Y9 NUM_SITES 1 TILEPROP INT_L_X18Y9 ROW 146 TILEPROP INT_L_X18Y9 SLR_REGION_ID 0 TILEPROP INT_L_X18Y9 TILE_PATTERN_IDX 8470 TILEPROP INT_L_X18Y9 TILE_TYPE INT_L TILEPROP INT_L_X18Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y9 TILE_X -14880 TILEPROP INT_L_X18Y9 TILE_Y -210872 TILEPROP INT_L_X18Y9 TYPE INT_L TILEPROP INT_L_X18Y10 CLASS tile TILEPROP INT_L_X18Y10 COLUMN 49 TILEPROP INT_L_X18Y10 DEVICE_ID 0 TILEPROP INT_L_X18Y10 FIRST_SITE_ID 14699 TILEPROP INT_L_X18Y10 GRID_POINT_X 49 TILEPROP INT_L_X18Y10 GRID_POINT_Y 145 TILEPROP INT_L_X18Y10 INDEX 16724 TILEPROP INT_L_X18Y10 INT_TILE_X 18 TILEPROP INT_L_X18Y10 INT_TILE_Y 139 TILEPROP INT_L_X18Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y10 IS_DCM_TILE 0 TILEPROP INT_L_X18Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y10 NAME INT_L_X18Y10 TILEPROP INT_L_X18Y10 NUM_ARCS 3737 TILEPROP INT_L_X18Y10 NUM_SITES 1 TILEPROP INT_L_X18Y10 ROW 145 TILEPROP INT_L_X18Y10 SLR_REGION_ID 0 TILEPROP INT_L_X18Y10 TILE_PATTERN_IDX 8440 TILEPROP INT_L_X18Y10 TILE_TYPE INT_L TILEPROP INT_L_X18Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y10 TILE_X -14880 TILEPROP INT_L_X18Y10 TILE_Y -207672 TILEPROP INT_L_X18Y10 TYPE INT_L TILEPROP INT_L_X18Y11 CLASS tile TILEPROP INT_L_X18Y11 COLUMN 49 TILEPROP INT_L_X18Y11 DEVICE_ID 0 TILEPROP INT_L_X18Y11 FIRST_SITE_ID 14593 TILEPROP INT_L_X18Y11 GRID_POINT_X 49 TILEPROP INT_L_X18Y11 GRID_POINT_Y 144 TILEPROP INT_L_X18Y11 INDEX 16609 TILEPROP INT_L_X18Y11 INT_TILE_X 18 TILEPROP INT_L_X18Y11 INT_TILE_Y 138 TILEPROP INT_L_X18Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y11 IS_DCM_TILE 0 TILEPROP INT_L_X18Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y11 NAME INT_L_X18Y11 TILEPROP INT_L_X18Y11 NUM_ARCS 3737 TILEPROP INT_L_X18Y11 NUM_SITES 1 TILEPROP INT_L_X18Y11 ROW 144 TILEPROP INT_L_X18Y11 SLR_REGION_ID 0 TILEPROP INT_L_X18Y11 TILE_PATTERN_IDX 8409 TILEPROP INT_L_X18Y11 TILE_TYPE INT_L TILEPROP INT_L_X18Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y11 TILE_X -14880 TILEPROP INT_L_X18Y11 TILE_Y -204472 TILEPROP INT_L_X18Y11 TYPE INT_L TILEPROP INT_L_X18Y12 CLASS tile TILEPROP INT_L_X18Y12 COLUMN 49 TILEPROP INT_L_X18Y12 DEVICE_ID 0 TILEPROP INT_L_X18Y12 FIRST_SITE_ID 14461 TILEPROP INT_L_X18Y12 GRID_POINT_X 49 TILEPROP INT_L_X18Y12 GRID_POINT_Y 143 TILEPROP INT_L_X18Y12 INDEX 16494 TILEPROP INT_L_X18Y12 INT_TILE_X 18 TILEPROP INT_L_X18Y12 INT_TILE_Y 137 TILEPROP INT_L_X18Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y12 IS_DCM_TILE 0 TILEPROP INT_L_X18Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y12 NAME INT_L_X18Y12 TILEPROP INT_L_X18Y12 NUM_ARCS 3737 TILEPROP INT_L_X18Y12 NUM_SITES 1 TILEPROP INT_L_X18Y12 ROW 143 TILEPROP INT_L_X18Y12 SLR_REGION_ID 0 TILEPROP INT_L_X18Y12 TILE_PATTERN_IDX 8379 TILEPROP INT_L_X18Y12 TILE_TYPE INT_L TILEPROP INT_L_X18Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y12 TILE_X -14880 TILEPROP INT_L_X18Y12 TILE_Y -201272 TILEPROP INT_L_X18Y12 TYPE INT_L TILEPROP INT_L_X18Y13 CLASS tile TILEPROP INT_L_X18Y13 COLUMN 49 TILEPROP INT_L_X18Y13 DEVICE_ID 0 TILEPROP INT_L_X18Y13 FIRST_SITE_ID 14361 TILEPROP INT_L_X18Y13 GRID_POINT_X 49 TILEPROP INT_L_X18Y13 GRID_POINT_Y 142 TILEPROP INT_L_X18Y13 INDEX 16379 TILEPROP INT_L_X18Y13 INT_TILE_X 18 TILEPROP INT_L_X18Y13 INT_TILE_Y 136 TILEPROP INT_L_X18Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y13 IS_DCM_TILE 0 TILEPROP INT_L_X18Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y13 NAME INT_L_X18Y13 TILEPROP INT_L_X18Y13 NUM_ARCS 3737 TILEPROP INT_L_X18Y13 NUM_SITES 1 TILEPROP INT_L_X18Y13 ROW 142 TILEPROP INT_L_X18Y13 SLR_REGION_ID 0 TILEPROP INT_L_X18Y13 TILE_PATTERN_IDX 8348 TILEPROP INT_L_X18Y13 TILE_TYPE INT_L TILEPROP INT_L_X18Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y13 TILE_X -14880 TILEPROP INT_L_X18Y13 TILE_Y -198072 TILEPROP INT_L_X18Y13 TYPE INT_L TILEPROP INT_L_X18Y14 CLASS tile TILEPROP INT_L_X18Y14 COLUMN 49 TILEPROP INT_L_X18Y14 DEVICE_ID 0 TILEPROP INT_L_X18Y14 FIRST_SITE_ID 14261 TILEPROP INT_L_X18Y14 GRID_POINT_X 49 TILEPROP INT_L_X18Y14 GRID_POINT_Y 141 TILEPROP INT_L_X18Y14 INDEX 16264 TILEPROP INT_L_X18Y14 INT_TILE_X 18 TILEPROP INT_L_X18Y14 INT_TILE_Y 135 TILEPROP INT_L_X18Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y14 IS_DCM_TILE 0 TILEPROP INT_L_X18Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y14 NAME INT_L_X18Y14 TILEPROP INT_L_X18Y14 NUM_ARCS 3737 TILEPROP INT_L_X18Y14 NUM_SITES 1 TILEPROP INT_L_X18Y14 ROW 141 TILEPROP INT_L_X18Y14 SLR_REGION_ID 0 TILEPROP INT_L_X18Y14 TILE_PATTERN_IDX 8318 TILEPROP INT_L_X18Y14 TILE_TYPE INT_L TILEPROP INT_L_X18Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y14 TILE_X -14880 TILEPROP INT_L_X18Y14 TILE_Y -194872 TILEPROP INT_L_X18Y14 TYPE INT_L TILEPROP INT_L_X18Y15 CLASS tile TILEPROP INT_L_X18Y15 COLUMN 49 TILEPROP INT_L_X18Y15 DEVICE_ID 0 TILEPROP INT_L_X18Y15 FIRST_SITE_ID 14152 TILEPROP INT_L_X18Y15 GRID_POINT_X 49 TILEPROP INT_L_X18Y15 GRID_POINT_Y 140 TILEPROP INT_L_X18Y15 INDEX 16149 TILEPROP INT_L_X18Y15 INT_TILE_X 18 TILEPROP INT_L_X18Y15 INT_TILE_Y 134 TILEPROP INT_L_X18Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y15 IS_DCM_TILE 0 TILEPROP INT_L_X18Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y15 NAME INT_L_X18Y15 TILEPROP INT_L_X18Y15 NUM_ARCS 3737 TILEPROP INT_L_X18Y15 NUM_SITES 1 TILEPROP INT_L_X18Y15 ROW 140 TILEPROP INT_L_X18Y15 SLR_REGION_ID 0 TILEPROP INT_L_X18Y15 TILE_PATTERN_IDX 8287 TILEPROP INT_L_X18Y15 TILE_TYPE INT_L TILEPROP INT_L_X18Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y15 TILE_X -14880 TILEPROP INT_L_X18Y15 TILE_Y -191672 TILEPROP INT_L_X18Y15 TYPE INT_L TILEPROP INT_L_X18Y16 CLASS tile TILEPROP INT_L_X18Y16 COLUMN 49 TILEPROP INT_L_X18Y16 DEVICE_ID 0 TILEPROP INT_L_X18Y16 FIRST_SITE_ID 14046 TILEPROP INT_L_X18Y16 GRID_POINT_X 49 TILEPROP INT_L_X18Y16 GRID_POINT_Y 139 TILEPROP INT_L_X18Y16 INDEX 16034 TILEPROP INT_L_X18Y16 INT_TILE_X 18 TILEPROP INT_L_X18Y16 INT_TILE_Y 133 TILEPROP INT_L_X18Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y16 IS_DCM_TILE 0 TILEPROP INT_L_X18Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y16 NAME INT_L_X18Y16 TILEPROP INT_L_X18Y16 NUM_ARCS 3737 TILEPROP INT_L_X18Y16 NUM_SITES 1 TILEPROP INT_L_X18Y16 ROW 139 TILEPROP INT_L_X18Y16 SLR_REGION_ID 0 TILEPROP INT_L_X18Y16 TILE_PATTERN_IDX 8257 TILEPROP INT_L_X18Y16 TILE_TYPE INT_L TILEPROP INT_L_X18Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y16 TILE_X -14880 TILEPROP INT_L_X18Y16 TILE_Y -188472 TILEPROP INT_L_X18Y16 TYPE INT_L TILEPROP INT_L_X18Y17 CLASS tile TILEPROP INT_L_X18Y17 COLUMN 49 TILEPROP INT_L_X18Y17 DEVICE_ID 0 TILEPROP INT_L_X18Y17 FIRST_SITE_ID 13941 TILEPROP INT_L_X18Y17 GRID_POINT_X 49 TILEPROP INT_L_X18Y17 GRID_POINT_Y 138 TILEPROP INT_L_X18Y17 INDEX 15919 TILEPROP INT_L_X18Y17 INT_TILE_X 18 TILEPROP INT_L_X18Y17 INT_TILE_Y 132 TILEPROP INT_L_X18Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y17 IS_DCM_TILE 0 TILEPROP INT_L_X18Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y17 NAME INT_L_X18Y17 TILEPROP INT_L_X18Y17 NUM_ARCS 3737 TILEPROP INT_L_X18Y17 NUM_SITES 1 TILEPROP INT_L_X18Y17 ROW 138 TILEPROP INT_L_X18Y17 SLR_REGION_ID 0 TILEPROP INT_L_X18Y17 TILE_PATTERN_IDX 8225 TILEPROP INT_L_X18Y17 TILE_TYPE INT_L TILEPROP INT_L_X18Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y17 TILE_X -14880 TILEPROP INT_L_X18Y17 TILE_Y -185272 TILEPROP INT_L_X18Y17 TYPE INT_L TILEPROP INT_L_X18Y18 CLASS tile TILEPROP INT_L_X18Y18 COLUMN 49 TILEPROP INT_L_X18Y18 DEVICE_ID 0 TILEPROP INT_L_X18Y18 FIRST_SITE_ID 13837 TILEPROP INT_L_X18Y18 GRID_POINT_X 49 TILEPROP INT_L_X18Y18 GRID_POINT_Y 137 TILEPROP INT_L_X18Y18 INDEX 15804 TILEPROP INT_L_X18Y18 INT_TILE_X 18 TILEPROP INT_L_X18Y18 INT_TILE_Y 131 TILEPROP INT_L_X18Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y18 IS_DCM_TILE 0 TILEPROP INT_L_X18Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y18 NAME INT_L_X18Y18 TILEPROP INT_L_X18Y18 NUM_ARCS 3737 TILEPROP INT_L_X18Y18 NUM_SITES 1 TILEPROP INT_L_X18Y18 ROW 137 TILEPROP INT_L_X18Y18 SLR_REGION_ID 0 TILEPROP INT_L_X18Y18 TILE_PATTERN_IDX 8202 TILEPROP INT_L_X18Y18 TILE_TYPE INT_L TILEPROP INT_L_X18Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y18 TILE_X -14880 TILEPROP INT_L_X18Y18 TILE_Y -182072 TILEPROP INT_L_X18Y18 TYPE INT_L TILEPROP INT_L_X18Y19 CLASS tile TILEPROP INT_L_X18Y19 COLUMN 49 TILEPROP INT_L_X18Y19 DEVICE_ID 0 TILEPROP INT_L_X18Y19 FIRST_SITE_ID 13735 TILEPROP INT_L_X18Y19 GRID_POINT_X 49 TILEPROP INT_L_X18Y19 GRID_POINT_Y 136 TILEPROP INT_L_X18Y19 INDEX 15689 TILEPROP INT_L_X18Y19 INT_TILE_X 18 TILEPROP INT_L_X18Y19 INT_TILE_Y 130 TILEPROP INT_L_X18Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y19 IS_DCM_TILE 0 TILEPROP INT_L_X18Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y19 NAME INT_L_X18Y19 TILEPROP INT_L_X18Y19 NUM_ARCS 3737 TILEPROP INT_L_X18Y19 NUM_SITES 1 TILEPROP INT_L_X18Y19 ROW 136 TILEPROP INT_L_X18Y19 SLR_REGION_ID 0 TILEPROP INT_L_X18Y19 TILE_PATTERN_IDX 8179 TILEPROP INT_L_X18Y19 TILE_TYPE INT_L TILEPROP INT_L_X18Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y19 TILE_X -14880 TILEPROP INT_L_X18Y19 TILE_Y -178872 TILEPROP INT_L_X18Y19 TYPE INT_L TILEPROP INT_L_X18Y20 CLASS tile TILEPROP INT_L_X18Y20 COLUMN 49 TILEPROP INT_L_X18Y20 DEVICE_ID 0 TILEPROP INT_L_X18Y20 FIRST_SITE_ID 13624 TILEPROP INT_L_X18Y20 GRID_POINT_X 49 TILEPROP INT_L_X18Y20 GRID_POINT_Y 135 TILEPROP INT_L_X18Y20 INDEX 15574 TILEPROP INT_L_X18Y20 INT_TILE_X 18 TILEPROP INT_L_X18Y20 INT_TILE_Y 129 TILEPROP INT_L_X18Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y20 IS_DCM_TILE 0 TILEPROP INT_L_X18Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y20 NAME INT_L_X18Y20 TILEPROP INT_L_X18Y20 NUM_ARCS 3737 TILEPROP INT_L_X18Y20 NUM_SITES 1 TILEPROP INT_L_X18Y20 ROW 135 TILEPROP INT_L_X18Y20 SLR_REGION_ID 0 TILEPROP INT_L_X18Y20 TILE_PATTERN_IDX 8157 TILEPROP INT_L_X18Y20 TILE_TYPE INT_L TILEPROP INT_L_X18Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y20 TILE_X -14880 TILEPROP INT_L_X18Y20 TILE_Y -175672 TILEPROP INT_L_X18Y20 TYPE INT_L TILEPROP INT_L_X18Y21 CLASS tile TILEPROP INT_L_X18Y21 COLUMN 49 TILEPROP INT_L_X18Y21 DEVICE_ID 0 TILEPROP INT_L_X18Y21 FIRST_SITE_ID 13518 TILEPROP INT_L_X18Y21 GRID_POINT_X 49 TILEPROP INT_L_X18Y21 GRID_POINT_Y 134 TILEPROP INT_L_X18Y21 INDEX 15459 TILEPROP INT_L_X18Y21 INT_TILE_X 18 TILEPROP INT_L_X18Y21 INT_TILE_Y 128 TILEPROP INT_L_X18Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y21 IS_DCM_TILE 0 TILEPROP INT_L_X18Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y21 NAME INT_L_X18Y21 TILEPROP INT_L_X18Y21 NUM_ARCS 3737 TILEPROP INT_L_X18Y21 NUM_SITES 1 TILEPROP INT_L_X18Y21 ROW 134 TILEPROP INT_L_X18Y21 SLR_REGION_ID 0 TILEPROP INT_L_X18Y21 TILE_PATTERN_IDX 8128 TILEPROP INT_L_X18Y21 TILE_TYPE INT_L TILEPROP INT_L_X18Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y21 TILE_X -14880 TILEPROP INT_L_X18Y21 TILE_Y -172472 TILEPROP INT_L_X18Y21 TYPE INT_L TILEPROP INT_L_X18Y22 CLASS tile TILEPROP INT_L_X18Y22 COLUMN 49 TILEPROP INT_L_X18Y22 DEVICE_ID 0 TILEPROP INT_L_X18Y22 FIRST_SITE_ID 13418 TILEPROP INT_L_X18Y22 GRID_POINT_X 49 TILEPROP INT_L_X18Y22 GRID_POINT_Y 133 TILEPROP INT_L_X18Y22 INDEX 15344 TILEPROP INT_L_X18Y22 INT_TILE_X 18 TILEPROP INT_L_X18Y22 INT_TILE_Y 127 TILEPROP INT_L_X18Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y22 IS_DCM_TILE 0 TILEPROP INT_L_X18Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y22 NAME INT_L_X18Y22 TILEPROP INT_L_X18Y22 NUM_ARCS 3737 TILEPROP INT_L_X18Y22 NUM_SITES 1 TILEPROP INT_L_X18Y22 ROW 133 TILEPROP INT_L_X18Y22 SLR_REGION_ID 0 TILEPROP INT_L_X18Y22 TILE_PATTERN_IDX 8100 TILEPROP INT_L_X18Y22 TILE_TYPE INT_L TILEPROP INT_L_X18Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y22 TILE_X -14880 TILEPROP INT_L_X18Y22 TILE_Y -169272 TILEPROP INT_L_X18Y22 TYPE INT_L TILEPROP INT_L_X18Y23 CLASS tile TILEPROP INT_L_X18Y23 COLUMN 49 TILEPROP INT_L_X18Y23 DEVICE_ID 0 TILEPROP INT_L_X18Y23 FIRST_SITE_ID 13318 TILEPROP INT_L_X18Y23 GRID_POINT_X 49 TILEPROP INT_L_X18Y23 GRID_POINT_Y 132 TILEPROP INT_L_X18Y23 INDEX 15229 TILEPROP INT_L_X18Y23 INT_TILE_X 18 TILEPROP INT_L_X18Y23 INT_TILE_Y 126 TILEPROP INT_L_X18Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y23 IS_DCM_TILE 0 TILEPROP INT_L_X18Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y23 NAME INT_L_X18Y23 TILEPROP INT_L_X18Y23 NUM_ARCS 3737 TILEPROP INT_L_X18Y23 NUM_SITES 1 TILEPROP INT_L_X18Y23 ROW 132 TILEPROP INT_L_X18Y23 SLR_REGION_ID 0 TILEPROP INT_L_X18Y23 TILE_PATTERN_IDX 8071 TILEPROP INT_L_X18Y23 TILE_TYPE INT_L TILEPROP INT_L_X18Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y23 TILE_X -14880 TILEPROP INT_L_X18Y23 TILE_Y -166072 TILEPROP INT_L_X18Y23 TYPE INT_L TILEPROP INT_L_X18Y24 CLASS tile TILEPROP INT_L_X18Y24 COLUMN 49 TILEPROP INT_L_X18Y24 DEVICE_ID 0 TILEPROP INT_L_X18Y24 FIRST_SITE_ID 13218 TILEPROP INT_L_X18Y24 GRID_POINT_X 49 TILEPROP INT_L_X18Y24 GRID_POINT_Y 131 TILEPROP INT_L_X18Y24 INDEX 15114 TILEPROP INT_L_X18Y24 INT_TILE_X 18 TILEPROP INT_L_X18Y24 INT_TILE_Y 125 TILEPROP INT_L_X18Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y24 IS_DCM_TILE 0 TILEPROP INT_L_X18Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y24 NAME INT_L_X18Y24 TILEPROP INT_L_X18Y24 NUM_ARCS 3737 TILEPROP INT_L_X18Y24 NUM_SITES 1 TILEPROP INT_L_X18Y24 ROW 131 TILEPROP INT_L_X18Y24 SLR_REGION_ID 0 TILEPROP INT_L_X18Y24 TILE_PATTERN_IDX 8043 TILEPROP INT_L_X18Y24 TILE_TYPE INT_L TILEPROP INT_L_X18Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y24 TILE_X -14880 TILEPROP INT_L_X18Y24 TILE_Y -162872 TILEPROP INT_L_X18Y24 TYPE INT_L TILEPROP INT_L_X18Y25 CLASS tile TILEPROP INT_L_X18Y25 COLUMN 49 TILEPROP INT_L_X18Y25 DEVICE_ID 0 TILEPROP INT_L_X18Y25 FIRST_SITE_ID 13026 TILEPROP INT_L_X18Y25 GRID_POINT_X 49 TILEPROP INT_L_X18Y25 GRID_POINT_Y 129 TILEPROP INT_L_X18Y25 INDEX 14884 TILEPROP INT_L_X18Y25 INT_TILE_X 18 TILEPROP INT_L_X18Y25 INT_TILE_Y 124 TILEPROP INT_L_X18Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y25 IS_DCM_TILE 0 TILEPROP INT_L_X18Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y25 NAME INT_L_X18Y25 TILEPROP INT_L_X18Y25 NUM_ARCS 3737 TILEPROP INT_L_X18Y25 NUM_SITES 1 TILEPROP INT_L_X18Y25 ROW 129 TILEPROP INT_L_X18Y25 SLR_REGION_ID 0 TILEPROP INT_L_X18Y25 TILE_PATTERN_IDX 7971 TILEPROP INT_L_X18Y25 TILE_TYPE INT_L TILEPROP INT_L_X18Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y25 TILE_X -14880 TILEPROP INT_L_X18Y25 TILE_Y -158648 TILEPROP INT_L_X18Y25 TYPE INT_L TILEPROP INT_L_X18Y26 CLASS tile TILEPROP INT_L_X18Y26 COLUMN 49 TILEPROP INT_L_X18Y26 DEVICE_ID 0 TILEPROP INT_L_X18Y26 FIRST_SITE_ID 12920 TILEPROP INT_L_X18Y26 GRID_POINT_X 49 TILEPROP INT_L_X18Y26 GRID_POINT_Y 128 TILEPROP INT_L_X18Y26 INDEX 14769 TILEPROP INT_L_X18Y26 INT_TILE_X 18 TILEPROP INT_L_X18Y26 INT_TILE_Y 123 TILEPROP INT_L_X18Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y26 IS_DCM_TILE 0 TILEPROP INT_L_X18Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y26 NAME INT_L_X18Y26 TILEPROP INT_L_X18Y26 NUM_ARCS 3737 TILEPROP INT_L_X18Y26 NUM_SITES 1 TILEPROP INT_L_X18Y26 ROW 128 TILEPROP INT_L_X18Y26 SLR_REGION_ID 0 TILEPROP INT_L_X18Y26 TILE_PATTERN_IDX 7943 TILEPROP INT_L_X18Y26 TILE_TYPE INT_L TILEPROP INT_L_X18Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y26 TILE_X -14880 TILEPROP INT_L_X18Y26 TILE_Y -155448 TILEPROP INT_L_X18Y26 TYPE INT_L TILEPROP INT_L_X18Y27 CLASS tile TILEPROP INT_L_X18Y27 COLUMN 49 TILEPROP INT_L_X18Y27 DEVICE_ID 0 TILEPROP INT_L_X18Y27 FIRST_SITE_ID 12820 TILEPROP INT_L_X18Y27 GRID_POINT_X 49 TILEPROP INT_L_X18Y27 GRID_POINT_Y 127 TILEPROP INT_L_X18Y27 INDEX 14654 TILEPROP INT_L_X18Y27 INT_TILE_X 18 TILEPROP INT_L_X18Y27 INT_TILE_Y 122 TILEPROP INT_L_X18Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y27 IS_DCM_TILE 0 TILEPROP INT_L_X18Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y27 NAME INT_L_X18Y27 TILEPROP INT_L_X18Y27 NUM_ARCS 3737 TILEPROP INT_L_X18Y27 NUM_SITES 1 TILEPROP INT_L_X18Y27 ROW 127 TILEPROP INT_L_X18Y27 SLR_REGION_ID 0 TILEPROP INT_L_X18Y27 TILE_PATTERN_IDX 7914 TILEPROP INT_L_X18Y27 TILE_TYPE INT_L TILEPROP INT_L_X18Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y27 TILE_X -14880 TILEPROP INT_L_X18Y27 TILE_Y -152248 TILEPROP INT_L_X18Y27 TYPE INT_L TILEPROP INT_L_X18Y28 CLASS tile TILEPROP INT_L_X18Y28 COLUMN 49 TILEPROP INT_L_X18Y28 DEVICE_ID 0 TILEPROP INT_L_X18Y28 FIRST_SITE_ID 12720 TILEPROP INT_L_X18Y28 GRID_POINT_X 49 TILEPROP INT_L_X18Y28 GRID_POINT_Y 126 TILEPROP INT_L_X18Y28 INDEX 14539 TILEPROP INT_L_X18Y28 INT_TILE_X 18 TILEPROP INT_L_X18Y28 INT_TILE_Y 121 TILEPROP INT_L_X18Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y28 IS_DCM_TILE 0 TILEPROP INT_L_X18Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y28 NAME INT_L_X18Y28 TILEPROP INT_L_X18Y28 NUM_ARCS 3737 TILEPROP INT_L_X18Y28 NUM_SITES 1 TILEPROP INT_L_X18Y28 ROW 126 TILEPROP INT_L_X18Y28 SLR_REGION_ID 0 TILEPROP INT_L_X18Y28 TILE_PATTERN_IDX 7886 TILEPROP INT_L_X18Y28 TILE_TYPE INT_L TILEPROP INT_L_X18Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y28 TILE_X -14880 TILEPROP INT_L_X18Y28 TILE_Y -149048 TILEPROP INT_L_X18Y28 TYPE INT_L TILEPROP INT_L_X18Y29 CLASS tile TILEPROP INT_L_X18Y29 COLUMN 49 TILEPROP INT_L_X18Y29 DEVICE_ID 0 TILEPROP INT_L_X18Y29 FIRST_SITE_ID 12614 TILEPROP INT_L_X18Y29 GRID_POINT_X 49 TILEPROP INT_L_X18Y29 GRID_POINT_Y 125 TILEPROP INT_L_X18Y29 INDEX 14424 TILEPROP INT_L_X18Y29 INT_TILE_X 18 TILEPROP INT_L_X18Y29 INT_TILE_Y 120 TILEPROP INT_L_X18Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y29 IS_DCM_TILE 0 TILEPROP INT_L_X18Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y29 NAME INT_L_X18Y29 TILEPROP INT_L_X18Y29 NUM_ARCS 3737 TILEPROP INT_L_X18Y29 NUM_SITES 1 TILEPROP INT_L_X18Y29 ROW 125 TILEPROP INT_L_X18Y29 SLR_REGION_ID 0 TILEPROP INT_L_X18Y29 TILE_PATTERN_IDX 7862 TILEPROP INT_L_X18Y29 TILE_TYPE INT_L TILEPROP INT_L_X18Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y29 TILE_X -14880 TILEPROP INT_L_X18Y29 TILE_Y -145848 TILEPROP INT_L_X18Y29 TYPE INT_L TILEPROP INT_L_X18Y30 CLASS tile TILEPROP INT_L_X18Y30 COLUMN 49 TILEPROP INT_L_X18Y30 DEVICE_ID 0 TILEPROP INT_L_X18Y30 FIRST_SITE_ID 12499 TILEPROP INT_L_X18Y30 GRID_POINT_X 49 TILEPROP INT_L_X18Y30 GRID_POINT_Y 124 TILEPROP INT_L_X18Y30 INDEX 14309 TILEPROP INT_L_X18Y30 INT_TILE_X 18 TILEPROP INT_L_X18Y30 INT_TILE_Y 119 TILEPROP INT_L_X18Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y30 IS_DCM_TILE 0 TILEPROP INT_L_X18Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y30 NAME INT_L_X18Y30 TILEPROP INT_L_X18Y30 NUM_ARCS 3737 TILEPROP INT_L_X18Y30 NUM_SITES 1 TILEPROP INT_L_X18Y30 ROW 124 TILEPROP INT_L_X18Y30 SLR_REGION_ID 0 TILEPROP INT_L_X18Y30 TILE_PATTERN_IDX 7839 TILEPROP INT_L_X18Y30 TILE_TYPE INT_L TILEPROP INT_L_X18Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y30 TILE_X -14880 TILEPROP INT_L_X18Y30 TILE_Y -142648 TILEPROP INT_L_X18Y30 TYPE INT_L TILEPROP INT_L_X18Y31 CLASS tile TILEPROP INT_L_X18Y31 COLUMN 49 TILEPROP INT_L_X18Y31 DEVICE_ID 0 TILEPROP INT_L_X18Y31 FIRST_SITE_ID 12378 TILEPROP INT_L_X18Y31 GRID_POINT_X 49 TILEPROP INT_L_X18Y31 GRID_POINT_Y 123 TILEPROP INT_L_X18Y31 INDEX 14194 TILEPROP INT_L_X18Y31 INT_TILE_X 18 TILEPROP INT_L_X18Y31 INT_TILE_Y 118 TILEPROP INT_L_X18Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y31 IS_DCM_TILE 0 TILEPROP INT_L_X18Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y31 NAME INT_L_X18Y31 TILEPROP INT_L_X18Y31 NUM_ARCS 3737 TILEPROP INT_L_X18Y31 NUM_SITES 1 TILEPROP INT_L_X18Y31 ROW 123 TILEPROP INT_L_X18Y31 SLR_REGION_ID 0 TILEPROP INT_L_X18Y31 TILE_PATTERN_IDX 7816 TILEPROP INT_L_X18Y31 TILE_TYPE INT_L TILEPROP INT_L_X18Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y31 TILE_X -14880 TILEPROP INT_L_X18Y31 TILE_Y -139448 TILEPROP INT_L_X18Y31 TYPE INT_L TILEPROP INT_L_X18Y32 CLASS tile TILEPROP INT_L_X18Y32 COLUMN 49 TILEPROP INT_L_X18Y32 DEVICE_ID 0 TILEPROP INT_L_X18Y32 FIRST_SITE_ID 12275 TILEPROP INT_L_X18Y32 GRID_POINT_X 49 TILEPROP INT_L_X18Y32 GRID_POINT_Y 122 TILEPROP INT_L_X18Y32 INDEX 14079 TILEPROP INT_L_X18Y32 INT_TILE_X 18 TILEPROP INT_L_X18Y32 INT_TILE_Y 117 TILEPROP INT_L_X18Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y32 IS_DCM_TILE 0 TILEPROP INT_L_X18Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y32 NAME INT_L_X18Y32 TILEPROP INT_L_X18Y32 NUM_ARCS 3737 TILEPROP INT_L_X18Y32 NUM_SITES 1 TILEPROP INT_L_X18Y32 ROW 122 TILEPROP INT_L_X18Y32 SLR_REGION_ID 0 TILEPROP INT_L_X18Y32 TILE_PATTERN_IDX 7782 TILEPROP INT_L_X18Y32 TILE_TYPE INT_L TILEPROP INT_L_X18Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y32 TILE_X -14880 TILEPROP INT_L_X18Y32 TILE_Y -136248 TILEPROP INT_L_X18Y32 TYPE INT_L TILEPROP INT_L_X18Y33 CLASS tile TILEPROP INT_L_X18Y33 COLUMN 49 TILEPROP INT_L_X18Y33 DEVICE_ID 0 TILEPROP INT_L_X18Y33 FIRST_SITE_ID 12175 TILEPROP INT_L_X18Y33 GRID_POINT_X 49 TILEPROP INT_L_X18Y33 GRID_POINT_Y 121 TILEPROP INT_L_X18Y33 INDEX 13964 TILEPROP INT_L_X18Y33 INT_TILE_X 18 TILEPROP INT_L_X18Y33 INT_TILE_Y 116 TILEPROP INT_L_X18Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y33 IS_DCM_TILE 0 TILEPROP INT_L_X18Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y33 NAME INT_L_X18Y33 TILEPROP INT_L_X18Y33 NUM_ARCS 3737 TILEPROP INT_L_X18Y33 NUM_SITES 1 TILEPROP INT_L_X18Y33 ROW 121 TILEPROP INT_L_X18Y33 SLR_REGION_ID 0 TILEPROP INT_L_X18Y33 TILE_PATTERN_IDX 7753 TILEPROP INT_L_X18Y33 TILE_TYPE INT_L TILEPROP INT_L_X18Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y33 TILE_X -14880 TILEPROP INT_L_X18Y33 TILE_Y -133048 TILEPROP INT_L_X18Y33 TYPE INT_L TILEPROP INT_L_X18Y34 CLASS tile TILEPROP INT_L_X18Y34 COLUMN 49 TILEPROP INT_L_X18Y34 DEVICE_ID 0 TILEPROP INT_L_X18Y34 FIRST_SITE_ID 12075 TILEPROP INT_L_X18Y34 GRID_POINT_X 49 TILEPROP INT_L_X18Y34 GRID_POINT_Y 120 TILEPROP INT_L_X18Y34 INDEX 13849 TILEPROP INT_L_X18Y34 INT_TILE_X 18 TILEPROP INT_L_X18Y34 INT_TILE_Y 115 TILEPROP INT_L_X18Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y34 IS_DCM_TILE 0 TILEPROP INT_L_X18Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y34 NAME INT_L_X18Y34 TILEPROP INT_L_X18Y34 NUM_ARCS 3737 TILEPROP INT_L_X18Y34 NUM_SITES 1 TILEPROP INT_L_X18Y34 ROW 120 TILEPROP INT_L_X18Y34 SLR_REGION_ID 0 TILEPROP INT_L_X18Y34 TILE_PATTERN_IDX 7725 TILEPROP INT_L_X18Y34 TILE_TYPE INT_L TILEPROP INT_L_X18Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y34 TILE_X -14880 TILEPROP INT_L_X18Y34 TILE_Y -129848 TILEPROP INT_L_X18Y34 TYPE INT_L TILEPROP INT_L_X18Y35 CLASS tile TILEPROP INT_L_X18Y35 COLUMN 49 TILEPROP INT_L_X18Y35 DEVICE_ID 0 TILEPROP INT_L_X18Y35 FIRST_SITE_ID 11966 TILEPROP INT_L_X18Y35 GRID_POINT_X 49 TILEPROP INT_L_X18Y35 GRID_POINT_Y 119 TILEPROP INT_L_X18Y35 INDEX 13734 TILEPROP INT_L_X18Y35 INT_TILE_X 18 TILEPROP INT_L_X18Y35 INT_TILE_Y 114 TILEPROP INT_L_X18Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y35 IS_DCM_TILE 0 TILEPROP INT_L_X18Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y35 NAME INT_L_X18Y35 TILEPROP INT_L_X18Y35 NUM_ARCS 3737 TILEPROP INT_L_X18Y35 NUM_SITES 1 TILEPROP INT_L_X18Y35 ROW 119 TILEPROP INT_L_X18Y35 SLR_REGION_ID 0 TILEPROP INT_L_X18Y35 TILE_PATTERN_IDX 7696 TILEPROP INT_L_X18Y35 TILE_TYPE INT_L TILEPROP INT_L_X18Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y35 TILE_X -14880 TILEPROP INT_L_X18Y35 TILE_Y -126648 TILEPROP INT_L_X18Y35 TYPE INT_L TILEPROP INT_L_X18Y36 CLASS tile TILEPROP INT_L_X18Y36 COLUMN 49 TILEPROP INT_L_X18Y36 DEVICE_ID 0 TILEPROP INT_L_X18Y36 FIRST_SITE_ID 11828 TILEPROP INT_L_X18Y36 GRID_POINT_X 49 TILEPROP INT_L_X18Y36 GRID_POINT_Y 118 TILEPROP INT_L_X18Y36 INDEX 13619 TILEPROP INT_L_X18Y36 INT_TILE_X 18 TILEPROP INT_L_X18Y36 INT_TILE_Y 113 TILEPROP INT_L_X18Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y36 IS_DCM_TILE 0 TILEPROP INT_L_X18Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y36 NAME INT_L_X18Y36 TILEPROP INT_L_X18Y36 NUM_ARCS 3737 TILEPROP INT_L_X18Y36 NUM_SITES 1 TILEPROP INT_L_X18Y36 ROW 118 TILEPROP INT_L_X18Y36 SLR_REGION_ID 0 TILEPROP INT_L_X18Y36 TILE_PATTERN_IDX 7668 TILEPROP INT_L_X18Y36 TILE_TYPE INT_L TILEPROP INT_L_X18Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y36 TILE_X -14880 TILEPROP INT_L_X18Y36 TILE_Y -123448 TILEPROP INT_L_X18Y36 TYPE INT_L TILEPROP INT_L_X18Y37 CLASS tile TILEPROP INT_L_X18Y37 COLUMN 49 TILEPROP INT_L_X18Y37 DEVICE_ID 0 TILEPROP INT_L_X18Y37 FIRST_SITE_ID 11728 TILEPROP INT_L_X18Y37 GRID_POINT_X 49 TILEPROP INT_L_X18Y37 GRID_POINT_Y 117 TILEPROP INT_L_X18Y37 INDEX 13504 TILEPROP INT_L_X18Y37 INT_TILE_X 18 TILEPROP INT_L_X18Y37 INT_TILE_Y 112 TILEPROP INT_L_X18Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y37 IS_DCM_TILE 0 TILEPROP INT_L_X18Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y37 NAME INT_L_X18Y37 TILEPROP INT_L_X18Y37 NUM_ARCS 3737 TILEPROP INT_L_X18Y37 NUM_SITES 1 TILEPROP INT_L_X18Y37 ROW 117 TILEPROP INT_L_X18Y37 SLR_REGION_ID 0 TILEPROP INT_L_X18Y37 TILE_PATTERN_IDX 7640 TILEPROP INT_L_X18Y37 TILE_TYPE INT_L TILEPROP INT_L_X18Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y37 TILE_X -14880 TILEPROP INT_L_X18Y37 TILE_Y -120248 TILEPROP INT_L_X18Y37 TYPE INT_L TILEPROP INT_L_X18Y38 CLASS tile TILEPROP INT_L_X18Y38 COLUMN 49 TILEPROP INT_L_X18Y38 DEVICE_ID 0 TILEPROP INT_L_X18Y38 FIRST_SITE_ID 11628 TILEPROP INT_L_X18Y38 GRID_POINT_X 49 TILEPROP INT_L_X18Y38 GRID_POINT_Y 116 TILEPROP INT_L_X18Y38 INDEX 13389 TILEPROP INT_L_X18Y38 INT_TILE_X 18 TILEPROP INT_L_X18Y38 INT_TILE_Y 111 TILEPROP INT_L_X18Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y38 IS_DCM_TILE 0 TILEPROP INT_L_X18Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y38 NAME INT_L_X18Y38 TILEPROP INT_L_X18Y38 NUM_ARCS 3737 TILEPROP INT_L_X18Y38 NUM_SITES 1 TILEPROP INT_L_X18Y38 ROW 116 TILEPROP INT_L_X18Y38 SLR_REGION_ID 0 TILEPROP INT_L_X18Y38 TILE_PATTERN_IDX 7612 TILEPROP INT_L_X18Y38 TILE_TYPE INT_L TILEPROP INT_L_X18Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y38 TILE_X -14880 TILEPROP INT_L_X18Y38 TILE_Y -117048 TILEPROP INT_L_X18Y38 TYPE INT_L TILEPROP INT_L_X18Y39 CLASS tile TILEPROP INT_L_X18Y39 COLUMN 49 TILEPROP INT_L_X18Y39 DEVICE_ID 0 TILEPROP INT_L_X18Y39 FIRST_SITE_ID 11528 TILEPROP INT_L_X18Y39 GRID_POINT_X 49 TILEPROP INT_L_X18Y39 GRID_POINT_Y 115 TILEPROP INT_L_X18Y39 INDEX 13274 TILEPROP INT_L_X18Y39 INT_TILE_X 18 TILEPROP INT_L_X18Y39 INT_TILE_Y 110 TILEPROP INT_L_X18Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y39 IS_DCM_TILE 0 TILEPROP INT_L_X18Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y39 NAME INT_L_X18Y39 TILEPROP INT_L_X18Y39 NUM_ARCS 3737 TILEPROP INT_L_X18Y39 NUM_SITES 1 TILEPROP INT_L_X18Y39 ROW 115 TILEPROP INT_L_X18Y39 SLR_REGION_ID 0 TILEPROP INT_L_X18Y39 TILE_PATTERN_IDX 7583 TILEPROP INT_L_X18Y39 TILE_TYPE INT_L TILEPROP INT_L_X18Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y39 TILE_X -14880 TILEPROP INT_L_X18Y39 TILE_Y -113848 TILEPROP INT_L_X18Y39 TYPE INT_L TILEPROP INT_L_X18Y40 CLASS tile TILEPROP INT_L_X18Y40 COLUMN 49 TILEPROP INT_L_X18Y40 DEVICE_ID 0 TILEPROP INT_L_X18Y40 FIRST_SITE_ID 11419 TILEPROP INT_L_X18Y40 GRID_POINT_X 49 TILEPROP INT_L_X18Y40 GRID_POINT_Y 114 TILEPROP INT_L_X18Y40 INDEX 13159 TILEPROP INT_L_X18Y40 INT_TILE_X 18 TILEPROP INT_L_X18Y40 INT_TILE_Y 109 TILEPROP INT_L_X18Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y40 IS_DCM_TILE 0 TILEPROP INT_L_X18Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y40 NAME INT_L_X18Y40 TILEPROP INT_L_X18Y40 NUM_ARCS 3737 TILEPROP INT_L_X18Y40 NUM_SITES 1 TILEPROP INT_L_X18Y40 ROW 114 TILEPROP INT_L_X18Y40 SLR_REGION_ID 0 TILEPROP INT_L_X18Y40 TILE_PATTERN_IDX 7554 TILEPROP INT_L_X18Y40 TILE_TYPE INT_L TILEPROP INT_L_X18Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y40 TILE_X -14880 TILEPROP INT_L_X18Y40 TILE_Y -110648 TILEPROP INT_L_X18Y40 TYPE INT_L TILEPROP INT_L_X18Y41 CLASS tile TILEPROP INT_L_X18Y41 COLUMN 49 TILEPROP INT_L_X18Y41 DEVICE_ID 0 TILEPROP INT_L_X18Y41 FIRST_SITE_ID 11310 TILEPROP INT_L_X18Y41 GRID_POINT_X 49 TILEPROP INT_L_X18Y41 GRID_POINT_Y 113 TILEPROP INT_L_X18Y41 INDEX 13044 TILEPROP INT_L_X18Y41 INT_TILE_X 18 TILEPROP INT_L_X18Y41 INT_TILE_Y 108 TILEPROP INT_L_X18Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y41 IS_DCM_TILE 0 TILEPROP INT_L_X18Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y41 NAME INT_L_X18Y41 TILEPROP INT_L_X18Y41 NUM_ARCS 3737 TILEPROP INT_L_X18Y41 NUM_SITES 1 TILEPROP INT_L_X18Y41 ROW 113 TILEPROP INT_L_X18Y41 SLR_REGION_ID 0 TILEPROP INT_L_X18Y41 TILE_PATTERN_IDX 7519 TILEPROP INT_L_X18Y41 TILE_TYPE INT_L TILEPROP INT_L_X18Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y41 TILE_X -14880 TILEPROP INT_L_X18Y41 TILE_Y -107448 TILEPROP INT_L_X18Y41 TYPE INT_L TILEPROP INT_L_X18Y42 CLASS tile TILEPROP INT_L_X18Y42 COLUMN 49 TILEPROP INT_L_X18Y42 DEVICE_ID 0 TILEPROP INT_L_X18Y42 FIRST_SITE_ID 11209 TILEPROP INT_L_X18Y42 GRID_POINT_X 49 TILEPROP INT_L_X18Y42 GRID_POINT_Y 112 TILEPROP INT_L_X18Y42 INDEX 12929 TILEPROP INT_L_X18Y42 INT_TILE_X 18 TILEPROP INT_L_X18Y42 INT_TILE_Y 107 TILEPROP INT_L_X18Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y42 IS_DCM_TILE 0 TILEPROP INT_L_X18Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y42 NAME INT_L_X18Y42 TILEPROP INT_L_X18Y42 NUM_ARCS 3737 TILEPROP INT_L_X18Y42 NUM_SITES 1 TILEPROP INT_L_X18Y42 ROW 112 TILEPROP INT_L_X18Y42 SLR_REGION_ID 0 TILEPROP INT_L_X18Y42 TILE_PATTERN_IDX 7490 TILEPROP INT_L_X18Y42 TILE_TYPE INT_L TILEPROP INT_L_X18Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y42 TILE_X -14880 TILEPROP INT_L_X18Y42 TILE_Y -104248 TILEPROP INT_L_X18Y42 TYPE INT_L TILEPROP INT_L_X18Y43 CLASS tile TILEPROP INT_L_X18Y43 COLUMN 49 TILEPROP INT_L_X18Y43 DEVICE_ID 0 TILEPROP INT_L_X18Y43 FIRST_SITE_ID 11106 TILEPROP INT_L_X18Y43 GRID_POINT_X 49 TILEPROP INT_L_X18Y43 GRID_POINT_Y 111 TILEPROP INT_L_X18Y43 INDEX 12814 TILEPROP INT_L_X18Y43 INT_TILE_X 18 TILEPROP INT_L_X18Y43 INT_TILE_Y 106 TILEPROP INT_L_X18Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y43 IS_DCM_TILE 0 TILEPROP INT_L_X18Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y43 NAME INT_L_X18Y43 TILEPROP INT_L_X18Y43 NUM_ARCS 3737 TILEPROP INT_L_X18Y43 NUM_SITES 1 TILEPROP INT_L_X18Y43 ROW 111 TILEPROP INT_L_X18Y43 SLR_REGION_ID 0 TILEPROP INT_L_X18Y43 TILE_PATTERN_IDX 7461 TILEPROP INT_L_X18Y43 TILE_TYPE INT_L TILEPROP INT_L_X18Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y43 TILE_X -14880 TILEPROP INT_L_X18Y43 TILE_Y -101048 TILEPROP INT_L_X18Y43 TYPE INT_L TILEPROP INT_L_X18Y44 CLASS tile TILEPROP INT_L_X18Y44 COLUMN 49 TILEPROP INT_L_X18Y44 DEVICE_ID 0 TILEPROP INT_L_X18Y44 FIRST_SITE_ID 11004 TILEPROP INT_L_X18Y44 GRID_POINT_X 49 TILEPROP INT_L_X18Y44 GRID_POINT_Y 110 TILEPROP INT_L_X18Y44 INDEX 12699 TILEPROP INT_L_X18Y44 INT_TILE_X 18 TILEPROP INT_L_X18Y44 INT_TILE_Y 105 TILEPROP INT_L_X18Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y44 IS_DCM_TILE 0 TILEPROP INT_L_X18Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y44 NAME INT_L_X18Y44 TILEPROP INT_L_X18Y44 NUM_ARCS 3737 TILEPROP INT_L_X18Y44 NUM_SITES 1 TILEPROP INT_L_X18Y44 ROW 110 TILEPROP INT_L_X18Y44 SLR_REGION_ID 0 TILEPROP INT_L_X18Y44 TILE_PATTERN_IDX 7433 TILEPROP INT_L_X18Y44 TILE_TYPE INT_L TILEPROP INT_L_X18Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y44 TILE_X -14880 TILEPROP INT_L_X18Y44 TILE_Y -97848 TILEPROP INT_L_X18Y44 TYPE INT_L TILEPROP INT_L_X18Y45 CLASS tile TILEPROP INT_L_X18Y45 COLUMN 49 TILEPROP INT_L_X18Y45 DEVICE_ID 0 TILEPROP INT_L_X18Y45 FIRST_SITE_ID 10894 TILEPROP INT_L_X18Y45 GRID_POINT_X 49 TILEPROP INT_L_X18Y45 GRID_POINT_Y 109 TILEPROP INT_L_X18Y45 INDEX 12584 TILEPROP INT_L_X18Y45 INT_TILE_X 18 TILEPROP INT_L_X18Y45 INT_TILE_Y 104 TILEPROP INT_L_X18Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y45 IS_DCM_TILE 0 TILEPROP INT_L_X18Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y45 NAME INT_L_X18Y45 TILEPROP INT_L_X18Y45 NUM_ARCS 3737 TILEPROP INT_L_X18Y45 NUM_SITES 1 TILEPROP INT_L_X18Y45 ROW 109 TILEPROP INT_L_X18Y45 SLR_REGION_ID 0 TILEPROP INT_L_X18Y45 TILE_PATTERN_IDX 7397 TILEPROP INT_L_X18Y45 TILE_TYPE INT_L TILEPROP INT_L_X18Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y45 TILE_X -14880 TILEPROP INT_L_X18Y45 TILE_Y -94648 TILEPROP INT_L_X18Y45 TYPE INT_L TILEPROP INT_L_X18Y46 CLASS tile TILEPROP INT_L_X18Y46 COLUMN 49 TILEPROP INT_L_X18Y46 DEVICE_ID 0 TILEPROP INT_L_X18Y46 FIRST_SITE_ID 10772 TILEPROP INT_L_X18Y46 GRID_POINT_X 49 TILEPROP INT_L_X18Y46 GRID_POINT_Y 108 TILEPROP INT_L_X18Y46 INDEX 12469 TILEPROP INT_L_X18Y46 INT_TILE_X 18 TILEPROP INT_L_X18Y46 INT_TILE_Y 103 TILEPROP INT_L_X18Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y46 IS_DCM_TILE 0 TILEPROP INT_L_X18Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y46 NAME INT_L_X18Y46 TILEPROP INT_L_X18Y46 NUM_ARCS 3737 TILEPROP INT_L_X18Y46 NUM_SITES 1 TILEPROP INT_L_X18Y46 ROW 108 TILEPROP INT_L_X18Y46 SLR_REGION_ID 0 TILEPROP INT_L_X18Y46 TILE_PATTERN_IDX 7361 TILEPROP INT_L_X18Y46 TILE_TYPE INT_L TILEPROP INT_L_X18Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y46 TILE_X -14880 TILEPROP INT_L_X18Y46 TILE_Y -91448 TILEPROP INT_L_X18Y46 TYPE INT_L TILEPROP INT_L_X18Y47 CLASS tile TILEPROP INT_L_X18Y47 COLUMN 49 TILEPROP INT_L_X18Y47 DEVICE_ID 0 TILEPROP INT_L_X18Y47 FIRST_SITE_ID 10672 TILEPROP INT_L_X18Y47 GRID_POINT_X 49 TILEPROP INT_L_X18Y47 GRID_POINT_Y 107 TILEPROP INT_L_X18Y47 INDEX 12354 TILEPROP INT_L_X18Y47 INT_TILE_X 18 TILEPROP INT_L_X18Y47 INT_TILE_Y 102 TILEPROP INT_L_X18Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y47 IS_DCM_TILE 0 TILEPROP INT_L_X18Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y47 NAME INT_L_X18Y47 TILEPROP INT_L_X18Y47 NUM_ARCS 3737 TILEPROP INT_L_X18Y47 NUM_SITES 1 TILEPROP INT_L_X18Y47 ROW 107 TILEPROP INT_L_X18Y47 SLR_REGION_ID 0 TILEPROP INT_L_X18Y47 TILE_PATTERN_IDX 7324 TILEPROP INT_L_X18Y47 TILE_TYPE INT_L TILEPROP INT_L_X18Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y47 TILE_X -14880 TILEPROP INT_L_X18Y47 TILE_Y -88248 TILEPROP INT_L_X18Y47 TYPE INT_L TILEPROP INT_L_X18Y48 CLASS tile TILEPROP INT_L_X18Y48 COLUMN 49 TILEPROP INT_L_X18Y48 DEVICE_ID 0 TILEPROP INT_L_X18Y48 FIRST_SITE_ID 10572 TILEPROP INT_L_X18Y48 GRID_POINT_X 49 TILEPROP INT_L_X18Y48 GRID_POINT_Y 106 TILEPROP INT_L_X18Y48 INDEX 12239 TILEPROP INT_L_X18Y48 INT_TILE_X 18 TILEPROP INT_L_X18Y48 INT_TILE_Y 101 TILEPROP INT_L_X18Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y48 IS_DCM_TILE 0 TILEPROP INT_L_X18Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y48 NAME INT_L_X18Y48 TILEPROP INT_L_X18Y48 NUM_ARCS 3737 TILEPROP INT_L_X18Y48 NUM_SITES 1 TILEPROP INT_L_X18Y48 ROW 106 TILEPROP INT_L_X18Y48 SLR_REGION_ID 0 TILEPROP INT_L_X18Y48 TILE_PATTERN_IDX 7288 TILEPROP INT_L_X18Y48 TILE_TYPE INT_L TILEPROP INT_L_X18Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y48 TILE_X -14880 TILEPROP INT_L_X18Y48 TILE_Y -85048 TILEPROP INT_L_X18Y48 TYPE INT_L TILEPROP INT_L_X18Y49 CLASS tile TILEPROP INT_L_X18Y49 COLUMN 49 TILEPROP INT_L_X18Y49 DEVICE_ID 0 TILEPROP INT_L_X18Y49 FIRST_SITE_ID 10476 TILEPROP INT_L_X18Y49 GRID_POINT_X 49 TILEPROP INT_L_X18Y49 GRID_POINT_Y 105 TILEPROP INT_L_X18Y49 INDEX 12124 TILEPROP INT_L_X18Y49 INT_TILE_X 18 TILEPROP INT_L_X18Y49 INT_TILE_Y 100 TILEPROP INT_L_X18Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y49 IS_DCM_TILE 0 TILEPROP INT_L_X18Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y49 NAME INT_L_X18Y49 TILEPROP INT_L_X18Y49 NUM_ARCS 3737 TILEPROP INT_L_X18Y49 NUM_SITES 1 TILEPROP INT_L_X18Y49 ROW 105 TILEPROP INT_L_X18Y49 SLR_REGION_ID 0 TILEPROP INT_L_X18Y49 TILE_PATTERN_IDX 7252 TILEPROP INT_L_X18Y49 TILE_TYPE INT_L TILEPROP INT_L_X18Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y49 TILE_X -14880 TILEPROP INT_L_X18Y49 TILE_Y -81848 TILEPROP INT_L_X18Y49 TYPE INT_L TILEPROP INT_L_X18Y50 CLASS tile TILEPROP INT_L_X18Y50 COLUMN 49 TILEPROP INT_L_X18Y50 DEVICE_ID 0 TILEPROP INT_L_X18Y50 FIRST_SITE_ID 10351 TILEPROP INT_L_X18Y50 GRID_POINT_X 49 TILEPROP INT_L_X18Y50 GRID_POINT_Y 103 TILEPROP INT_L_X18Y50 INDEX 11894 TILEPROP INT_L_X18Y50 INT_TILE_X 18 TILEPROP INT_L_X18Y50 INT_TILE_Y 99 TILEPROP INT_L_X18Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y50 IS_DCM_TILE 0 TILEPROP INT_L_X18Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y50 NAME INT_L_X18Y50 TILEPROP INT_L_X18Y50 NUM_ARCS 3737 TILEPROP INT_L_X18Y50 NUM_SITES 1 TILEPROP INT_L_X18Y50 ROW 103 TILEPROP INT_L_X18Y50 SLR_REGION_ID 0 TILEPROP INT_L_X18Y50 TILE_PATTERN_IDX 7215 TILEPROP INT_L_X18Y50 TILE_TYPE INT_L TILEPROP INT_L_X18Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y50 TILE_X -14880 TILEPROP INT_L_X18Y50 TILE_Y -78400 TILEPROP INT_L_X18Y50 TYPE INT_L TILEPROP INT_L_X18Y51 CLASS tile TILEPROP INT_L_X18Y51 COLUMN 49 TILEPROP INT_L_X18Y51 DEVICE_ID 0 TILEPROP INT_L_X18Y51 FIRST_SITE_ID 10241 TILEPROP INT_L_X18Y51 GRID_POINT_X 49 TILEPROP INT_L_X18Y51 GRID_POINT_Y 102 TILEPROP INT_L_X18Y51 INDEX 11779 TILEPROP INT_L_X18Y51 INT_TILE_X 18 TILEPROP INT_L_X18Y51 INT_TILE_Y 98 TILEPROP INT_L_X18Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y51 IS_DCM_TILE 0 TILEPROP INT_L_X18Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y51 NAME INT_L_X18Y51 TILEPROP INT_L_X18Y51 NUM_ARCS 3737 TILEPROP INT_L_X18Y51 NUM_SITES 1 TILEPROP INT_L_X18Y51 ROW 102 TILEPROP INT_L_X18Y51 SLR_REGION_ID 0 TILEPROP INT_L_X18Y51 TILE_PATTERN_IDX 7178 TILEPROP INT_L_X18Y51 TILE_TYPE INT_L TILEPROP INT_L_X18Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y51 TILE_X -14880 TILEPROP INT_L_X18Y51 TILE_Y -75200 TILEPROP INT_L_X18Y51 TYPE INT_L TILEPROP INT_L_X18Y52 CLASS tile TILEPROP INT_L_X18Y52 COLUMN 49 TILEPROP INT_L_X18Y52 DEVICE_ID 0 TILEPROP INT_L_X18Y52 FIRST_SITE_ID 10141 TILEPROP INT_L_X18Y52 GRID_POINT_X 49 TILEPROP INT_L_X18Y52 GRID_POINT_Y 101 TILEPROP INT_L_X18Y52 INDEX 11664 TILEPROP INT_L_X18Y52 INT_TILE_X 18 TILEPROP INT_L_X18Y52 INT_TILE_Y 97 TILEPROP INT_L_X18Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y52 IS_DCM_TILE 0 TILEPROP INT_L_X18Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y52 NAME INT_L_X18Y52 TILEPROP INT_L_X18Y52 NUM_ARCS 3737 TILEPROP INT_L_X18Y52 NUM_SITES 1 TILEPROP INT_L_X18Y52 ROW 101 TILEPROP INT_L_X18Y52 SLR_REGION_ID 0 TILEPROP INT_L_X18Y52 TILE_PATTERN_IDX 7141 TILEPROP INT_L_X18Y52 TILE_TYPE INT_L TILEPROP INT_L_X18Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y52 TILE_X -14880 TILEPROP INT_L_X18Y52 TILE_Y -72000 TILEPROP INT_L_X18Y52 TYPE INT_L TILEPROP INT_L_X18Y53 CLASS tile TILEPROP INT_L_X18Y53 COLUMN 49 TILEPROP INT_L_X18Y53 DEVICE_ID 0 TILEPROP INT_L_X18Y53 FIRST_SITE_ID 10041 TILEPROP INT_L_X18Y53 GRID_POINT_X 49 TILEPROP INT_L_X18Y53 GRID_POINT_Y 100 TILEPROP INT_L_X18Y53 INDEX 11549 TILEPROP INT_L_X18Y53 INT_TILE_X 18 TILEPROP INT_L_X18Y53 INT_TILE_Y 96 TILEPROP INT_L_X18Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y53 IS_DCM_TILE 0 TILEPROP INT_L_X18Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y53 NAME INT_L_X18Y53 TILEPROP INT_L_X18Y53 NUM_ARCS 3737 TILEPROP INT_L_X18Y53 NUM_SITES 1 TILEPROP INT_L_X18Y53 ROW 100 TILEPROP INT_L_X18Y53 SLR_REGION_ID 0 TILEPROP INT_L_X18Y53 TILE_PATTERN_IDX 7104 TILEPROP INT_L_X18Y53 TILE_TYPE INT_L TILEPROP INT_L_X18Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y53 TILE_X -14880 TILEPROP INT_L_X18Y53 TILE_Y -68800 TILEPROP INT_L_X18Y53 TYPE INT_L TILEPROP INT_L_X18Y54 CLASS tile TILEPROP INT_L_X18Y54 COLUMN 49 TILEPROP INT_L_X18Y54 DEVICE_ID 0 TILEPROP INT_L_X18Y54 FIRST_SITE_ID 9941 TILEPROP INT_L_X18Y54 GRID_POINT_X 49 TILEPROP INT_L_X18Y54 GRID_POINT_Y 99 TILEPROP INT_L_X18Y54 INDEX 11434 TILEPROP INT_L_X18Y54 INT_TILE_X 18 TILEPROP INT_L_X18Y54 INT_TILE_Y 95 TILEPROP INT_L_X18Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y54 IS_DCM_TILE 0 TILEPROP INT_L_X18Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y54 NAME INT_L_X18Y54 TILEPROP INT_L_X18Y54 NUM_ARCS 3737 TILEPROP INT_L_X18Y54 NUM_SITES 1 TILEPROP INT_L_X18Y54 ROW 99 TILEPROP INT_L_X18Y54 SLR_REGION_ID 0 TILEPROP INT_L_X18Y54 TILE_PATTERN_IDX 7067 TILEPROP INT_L_X18Y54 TILE_TYPE INT_L TILEPROP INT_L_X18Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y54 TILE_X -14880 TILEPROP INT_L_X18Y54 TILE_Y -65600 TILEPROP INT_L_X18Y54 TYPE INT_L TILEPROP INT_L_X18Y55 CLASS tile TILEPROP INT_L_X18Y55 COLUMN 49 TILEPROP INT_L_X18Y55 DEVICE_ID 0 TILEPROP INT_L_X18Y55 FIRST_SITE_ID 9832 TILEPROP INT_L_X18Y55 GRID_POINT_X 49 TILEPROP INT_L_X18Y55 GRID_POINT_Y 98 TILEPROP INT_L_X18Y55 INDEX 11319 TILEPROP INT_L_X18Y55 INT_TILE_X 18 TILEPROP INT_L_X18Y55 INT_TILE_Y 94 TILEPROP INT_L_X18Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y55 IS_DCM_TILE 0 TILEPROP INT_L_X18Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y55 NAME INT_L_X18Y55 TILEPROP INT_L_X18Y55 NUM_ARCS 3737 TILEPROP INT_L_X18Y55 NUM_SITES 1 TILEPROP INT_L_X18Y55 ROW 98 TILEPROP INT_L_X18Y55 SLR_REGION_ID 0 TILEPROP INT_L_X18Y55 TILE_PATTERN_IDX 7029 TILEPROP INT_L_X18Y55 TILE_TYPE INT_L TILEPROP INT_L_X18Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y55 TILE_X -14880 TILEPROP INT_L_X18Y55 TILE_Y -62400 TILEPROP INT_L_X18Y55 TYPE INT_L TILEPROP INT_L_X18Y56 CLASS tile TILEPROP INT_L_X18Y56 COLUMN 49 TILEPROP INT_L_X18Y56 DEVICE_ID 0 TILEPROP INT_L_X18Y56 FIRST_SITE_ID 9726 TILEPROP INT_L_X18Y56 GRID_POINT_X 49 TILEPROP INT_L_X18Y56 GRID_POINT_Y 97 TILEPROP INT_L_X18Y56 INDEX 11204 TILEPROP INT_L_X18Y56 INT_TILE_X 18 TILEPROP INT_L_X18Y56 INT_TILE_Y 93 TILEPROP INT_L_X18Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y56 IS_DCM_TILE 0 TILEPROP INT_L_X18Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y56 NAME INT_L_X18Y56 TILEPROP INT_L_X18Y56 NUM_ARCS 3737 TILEPROP INT_L_X18Y56 NUM_SITES 1 TILEPROP INT_L_X18Y56 ROW 97 TILEPROP INT_L_X18Y56 SLR_REGION_ID 0 TILEPROP INT_L_X18Y56 TILE_PATTERN_IDX 6992 TILEPROP INT_L_X18Y56 TILE_TYPE INT_L TILEPROP INT_L_X18Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y56 TILE_X -14880 TILEPROP INT_L_X18Y56 TILE_Y -59200 TILEPROP INT_L_X18Y56 TYPE INT_L TILEPROP INT_L_X18Y57 CLASS tile TILEPROP INT_L_X18Y57 COLUMN 49 TILEPROP INT_L_X18Y57 DEVICE_ID 0 TILEPROP INT_L_X18Y57 FIRST_SITE_ID 9624 TILEPROP INT_L_X18Y57 GRID_POINT_X 49 TILEPROP INT_L_X18Y57 GRID_POINT_Y 96 TILEPROP INT_L_X18Y57 INDEX 11089 TILEPROP INT_L_X18Y57 INT_TILE_X 18 TILEPROP INT_L_X18Y57 INT_TILE_Y 92 TILEPROP INT_L_X18Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y57 IS_DCM_TILE 0 TILEPROP INT_L_X18Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y57 NAME INT_L_X18Y57 TILEPROP INT_L_X18Y57 NUM_ARCS 3737 TILEPROP INT_L_X18Y57 NUM_SITES 1 TILEPROP INT_L_X18Y57 ROW 96 TILEPROP INT_L_X18Y57 SLR_REGION_ID 0 TILEPROP INT_L_X18Y57 TILE_PATTERN_IDX 6955 TILEPROP INT_L_X18Y57 TILE_TYPE INT_L TILEPROP INT_L_X18Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y57 TILE_X -14880 TILEPROP INT_L_X18Y57 TILE_Y -56000 TILEPROP INT_L_X18Y57 TYPE INT_L TILEPROP INT_L_X18Y58 CLASS tile TILEPROP INT_L_X18Y58 COLUMN 49 TILEPROP INT_L_X18Y58 DEVICE_ID 0 TILEPROP INT_L_X18Y58 FIRST_SITE_ID 9521 TILEPROP INT_L_X18Y58 GRID_POINT_X 49 TILEPROP INT_L_X18Y58 GRID_POINT_Y 95 TILEPROP INT_L_X18Y58 INDEX 10974 TILEPROP INT_L_X18Y58 INT_TILE_X 18 TILEPROP INT_L_X18Y58 INT_TILE_Y 91 TILEPROP INT_L_X18Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y58 IS_DCM_TILE 0 TILEPROP INT_L_X18Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y58 NAME INT_L_X18Y58 TILEPROP INT_L_X18Y58 NUM_ARCS 3737 TILEPROP INT_L_X18Y58 NUM_SITES 1 TILEPROP INT_L_X18Y58 ROW 95 TILEPROP INT_L_X18Y58 SLR_REGION_ID 0 TILEPROP INT_L_X18Y58 TILE_PATTERN_IDX 6917 TILEPROP INT_L_X18Y58 TILE_TYPE INT_L TILEPROP INT_L_X18Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y58 TILE_X -14880 TILEPROP INT_L_X18Y58 TILE_Y -52800 TILEPROP INT_L_X18Y58 TYPE INT_L TILEPROP INT_L_X18Y59 CLASS tile TILEPROP INT_L_X18Y59 COLUMN 49 TILEPROP INT_L_X18Y59 DEVICE_ID 0 TILEPROP INT_L_X18Y59 FIRST_SITE_ID 9420 TILEPROP INT_L_X18Y59 GRID_POINT_X 49 TILEPROP INT_L_X18Y59 GRID_POINT_Y 94 TILEPROP INT_L_X18Y59 INDEX 10859 TILEPROP INT_L_X18Y59 INT_TILE_X 18 TILEPROP INT_L_X18Y59 INT_TILE_Y 90 TILEPROP INT_L_X18Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y59 IS_DCM_TILE 0 TILEPROP INT_L_X18Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y59 NAME INT_L_X18Y59 TILEPROP INT_L_X18Y59 NUM_ARCS 3737 TILEPROP INT_L_X18Y59 NUM_SITES 1 TILEPROP INT_L_X18Y59 ROW 94 TILEPROP INT_L_X18Y59 SLR_REGION_ID 0 TILEPROP INT_L_X18Y59 TILE_PATTERN_IDX 6880 TILEPROP INT_L_X18Y59 TILE_TYPE INT_L TILEPROP INT_L_X18Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y59 TILE_X -14880 TILEPROP INT_L_X18Y59 TILE_Y -49600 TILEPROP INT_L_X18Y59 TYPE INT_L TILEPROP INT_L_X18Y60 CLASS tile TILEPROP INT_L_X18Y60 COLUMN 49 TILEPROP INT_L_X18Y60 DEVICE_ID 0 TILEPROP INT_L_X18Y60 FIRST_SITE_ID 9311 TILEPROP INT_L_X18Y60 GRID_POINT_X 49 TILEPROP INT_L_X18Y60 GRID_POINT_Y 93 TILEPROP INT_L_X18Y60 INDEX 10744 TILEPROP INT_L_X18Y60 INT_TILE_X 18 TILEPROP INT_L_X18Y60 INT_TILE_Y 89 TILEPROP INT_L_X18Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y60 IS_DCM_TILE 0 TILEPROP INT_L_X18Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y60 NAME INT_L_X18Y60 TILEPROP INT_L_X18Y60 NUM_ARCS 3737 TILEPROP INT_L_X18Y60 NUM_SITES 1 TILEPROP INT_L_X18Y60 ROW 93 TILEPROP INT_L_X18Y60 SLR_REGION_ID 0 TILEPROP INT_L_X18Y60 TILE_PATTERN_IDX 6843 TILEPROP INT_L_X18Y60 TILE_TYPE INT_L TILEPROP INT_L_X18Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y60 TILE_X -14880 TILEPROP INT_L_X18Y60 TILE_Y -46400 TILEPROP INT_L_X18Y60 TYPE INT_L TILEPROP INT_L_X18Y61 CLASS tile TILEPROP INT_L_X18Y61 COLUMN 49 TILEPROP INT_L_X18Y61 DEVICE_ID 0 TILEPROP INT_L_X18Y61 FIRST_SITE_ID 9205 TILEPROP INT_L_X18Y61 GRID_POINT_X 49 TILEPROP INT_L_X18Y61 GRID_POINT_Y 92 TILEPROP INT_L_X18Y61 INDEX 10629 TILEPROP INT_L_X18Y61 INT_TILE_X 18 TILEPROP INT_L_X18Y61 INT_TILE_Y 88 TILEPROP INT_L_X18Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y61 IS_DCM_TILE 0 TILEPROP INT_L_X18Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y61 NAME INT_L_X18Y61 TILEPROP INT_L_X18Y61 NUM_ARCS 3737 TILEPROP INT_L_X18Y61 NUM_SITES 1 TILEPROP INT_L_X18Y61 ROW 92 TILEPROP INT_L_X18Y61 SLR_REGION_ID 0 TILEPROP INT_L_X18Y61 TILE_PATTERN_IDX 6806 TILEPROP INT_L_X18Y61 TILE_TYPE INT_L TILEPROP INT_L_X18Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y61 TILE_X -14880 TILEPROP INT_L_X18Y61 TILE_Y -43200 TILEPROP INT_L_X18Y61 TYPE INT_L TILEPROP INT_L_X18Y62 CLASS tile TILEPROP INT_L_X18Y62 COLUMN 49 TILEPROP INT_L_X18Y62 DEVICE_ID 0 TILEPROP INT_L_X18Y62 FIRST_SITE_ID 9073 TILEPROP INT_L_X18Y62 GRID_POINT_X 49 TILEPROP INT_L_X18Y62 GRID_POINT_Y 91 TILEPROP INT_L_X18Y62 INDEX 10514 TILEPROP INT_L_X18Y62 INT_TILE_X 18 TILEPROP INT_L_X18Y62 INT_TILE_Y 87 TILEPROP INT_L_X18Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y62 IS_DCM_TILE 0 TILEPROP INT_L_X18Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y62 NAME INT_L_X18Y62 TILEPROP INT_L_X18Y62 NUM_ARCS 3737 TILEPROP INT_L_X18Y62 NUM_SITES 1 TILEPROP INT_L_X18Y62 ROW 91 TILEPROP INT_L_X18Y62 SLR_REGION_ID 0 TILEPROP INT_L_X18Y62 TILE_PATTERN_IDX 6769 TILEPROP INT_L_X18Y62 TILE_TYPE INT_L TILEPROP INT_L_X18Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y62 TILE_X -14880 TILEPROP INT_L_X18Y62 TILE_Y -40000 TILEPROP INT_L_X18Y62 TYPE INT_L TILEPROP INT_L_X18Y63 CLASS tile TILEPROP INT_L_X18Y63 COLUMN 49 TILEPROP INT_L_X18Y63 DEVICE_ID 0 TILEPROP INT_L_X18Y63 FIRST_SITE_ID 8973 TILEPROP INT_L_X18Y63 GRID_POINT_X 49 TILEPROP INT_L_X18Y63 GRID_POINT_Y 90 TILEPROP INT_L_X18Y63 INDEX 10399 TILEPROP INT_L_X18Y63 INT_TILE_X 18 TILEPROP INT_L_X18Y63 INT_TILE_Y 86 TILEPROP INT_L_X18Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y63 IS_DCM_TILE 0 TILEPROP INT_L_X18Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y63 NAME INT_L_X18Y63 TILEPROP INT_L_X18Y63 NUM_ARCS 3737 TILEPROP INT_L_X18Y63 NUM_SITES 1 TILEPROP INT_L_X18Y63 ROW 90 TILEPROP INT_L_X18Y63 SLR_REGION_ID 0 TILEPROP INT_L_X18Y63 TILE_PATTERN_IDX 6732 TILEPROP INT_L_X18Y63 TILE_TYPE INT_L TILEPROP INT_L_X18Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y63 TILE_X -14880 TILEPROP INT_L_X18Y63 TILE_Y -36800 TILEPROP INT_L_X18Y63 TYPE INT_L TILEPROP INT_L_X18Y64 CLASS tile TILEPROP INT_L_X18Y64 COLUMN 49 TILEPROP INT_L_X18Y64 DEVICE_ID 0 TILEPROP INT_L_X18Y64 FIRST_SITE_ID 8873 TILEPROP INT_L_X18Y64 GRID_POINT_X 49 TILEPROP INT_L_X18Y64 GRID_POINT_Y 89 TILEPROP INT_L_X18Y64 INDEX 10284 TILEPROP INT_L_X18Y64 INT_TILE_X 18 TILEPROP INT_L_X18Y64 INT_TILE_Y 85 TILEPROP INT_L_X18Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y64 IS_DCM_TILE 0 TILEPROP INT_L_X18Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y64 NAME INT_L_X18Y64 TILEPROP INT_L_X18Y64 NUM_ARCS 3737 TILEPROP INT_L_X18Y64 NUM_SITES 1 TILEPROP INT_L_X18Y64 ROW 89 TILEPROP INT_L_X18Y64 SLR_REGION_ID 0 TILEPROP INT_L_X18Y64 TILE_PATTERN_IDX 6695 TILEPROP INT_L_X18Y64 TILE_TYPE INT_L TILEPROP INT_L_X18Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y64 TILE_X -14880 TILEPROP INT_L_X18Y64 TILE_Y -33600 TILEPROP INT_L_X18Y64 TYPE INT_L TILEPROP INT_L_X18Y65 CLASS tile TILEPROP INT_L_X18Y65 COLUMN 49 TILEPROP INT_L_X18Y65 DEVICE_ID 0 TILEPROP INT_L_X18Y65 FIRST_SITE_ID 8764 TILEPROP INT_L_X18Y65 GRID_POINT_X 49 TILEPROP INT_L_X18Y65 GRID_POINT_Y 88 TILEPROP INT_L_X18Y65 INDEX 10169 TILEPROP INT_L_X18Y65 INT_TILE_X 18 TILEPROP INT_L_X18Y65 INT_TILE_Y 84 TILEPROP INT_L_X18Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y65 IS_DCM_TILE 0 TILEPROP INT_L_X18Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y65 NAME INT_L_X18Y65 TILEPROP INT_L_X18Y65 NUM_ARCS 3737 TILEPROP INT_L_X18Y65 NUM_SITES 1 TILEPROP INT_L_X18Y65 ROW 88 TILEPROP INT_L_X18Y65 SLR_REGION_ID 0 TILEPROP INT_L_X18Y65 TILE_PATTERN_IDX 6658 TILEPROP INT_L_X18Y65 TILE_TYPE INT_L TILEPROP INT_L_X18Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y65 TILE_X -14880 TILEPROP INT_L_X18Y65 TILE_Y -30400 TILEPROP INT_L_X18Y65 TYPE INT_L TILEPROP INT_L_X18Y66 CLASS tile TILEPROP INT_L_X18Y66 COLUMN 49 TILEPROP INT_L_X18Y66 DEVICE_ID 0 TILEPROP INT_L_X18Y66 FIRST_SITE_ID 8658 TILEPROP INT_L_X18Y66 GRID_POINT_X 49 TILEPROP INT_L_X18Y66 GRID_POINT_Y 87 TILEPROP INT_L_X18Y66 INDEX 10054 TILEPROP INT_L_X18Y66 INT_TILE_X 18 TILEPROP INT_L_X18Y66 INT_TILE_Y 83 TILEPROP INT_L_X18Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y66 IS_DCM_TILE 0 TILEPROP INT_L_X18Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y66 NAME INT_L_X18Y66 TILEPROP INT_L_X18Y66 NUM_ARCS 3737 TILEPROP INT_L_X18Y66 NUM_SITES 1 TILEPROP INT_L_X18Y66 ROW 87 TILEPROP INT_L_X18Y66 SLR_REGION_ID 0 TILEPROP INT_L_X18Y66 TILE_PATTERN_IDX 6621 TILEPROP INT_L_X18Y66 TILE_TYPE INT_L TILEPROP INT_L_X18Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y66 TILE_X -14880 TILEPROP INT_L_X18Y66 TILE_Y -27200 TILEPROP INT_L_X18Y66 TYPE INT_L TILEPROP INT_L_X18Y67 CLASS tile TILEPROP INT_L_X18Y67 COLUMN 49 TILEPROP INT_L_X18Y67 DEVICE_ID 0 TILEPROP INT_L_X18Y67 FIRST_SITE_ID 8554 TILEPROP INT_L_X18Y67 GRID_POINT_X 49 TILEPROP INT_L_X18Y67 GRID_POINT_Y 86 TILEPROP INT_L_X18Y67 INDEX 9939 TILEPROP INT_L_X18Y67 INT_TILE_X 18 TILEPROP INT_L_X18Y67 INT_TILE_Y 82 TILEPROP INT_L_X18Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y67 IS_DCM_TILE 0 TILEPROP INT_L_X18Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y67 NAME INT_L_X18Y67 TILEPROP INT_L_X18Y67 NUM_ARCS 3737 TILEPROP INT_L_X18Y67 NUM_SITES 1 TILEPROP INT_L_X18Y67 ROW 86 TILEPROP INT_L_X18Y67 SLR_REGION_ID 0 TILEPROP INT_L_X18Y67 TILE_PATTERN_IDX 6583 TILEPROP INT_L_X18Y67 TILE_TYPE INT_L TILEPROP INT_L_X18Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y67 TILE_X -14880 TILEPROP INT_L_X18Y67 TILE_Y -24000 TILEPROP INT_L_X18Y67 TYPE INT_L TILEPROP INT_L_X18Y68 CLASS tile TILEPROP INT_L_X18Y68 COLUMN 49 TILEPROP INT_L_X18Y68 DEVICE_ID 0 TILEPROP INT_L_X18Y68 FIRST_SITE_ID 8450 TILEPROP INT_L_X18Y68 GRID_POINT_X 49 TILEPROP INT_L_X18Y68 GRID_POINT_Y 85 TILEPROP INT_L_X18Y68 INDEX 9824 TILEPROP INT_L_X18Y68 INT_TILE_X 18 TILEPROP INT_L_X18Y68 INT_TILE_Y 81 TILEPROP INT_L_X18Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y68 IS_DCM_TILE 0 TILEPROP INT_L_X18Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y68 NAME INT_L_X18Y68 TILEPROP INT_L_X18Y68 NUM_ARCS 3737 TILEPROP INT_L_X18Y68 NUM_SITES 1 TILEPROP INT_L_X18Y68 ROW 85 TILEPROP INT_L_X18Y68 SLR_REGION_ID 0 TILEPROP INT_L_X18Y68 TILE_PATTERN_IDX 6551 TILEPROP INT_L_X18Y68 TILE_TYPE INT_L TILEPROP INT_L_X18Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y68 TILE_X -14880 TILEPROP INT_L_X18Y68 TILE_Y -20800 TILEPROP INT_L_X18Y68 TYPE INT_L TILEPROP INT_L_X18Y69 CLASS tile TILEPROP INT_L_X18Y69 COLUMN 49 TILEPROP INT_L_X18Y69 DEVICE_ID 0 TILEPROP INT_L_X18Y69 FIRST_SITE_ID 8348 TILEPROP INT_L_X18Y69 GRID_POINT_X 49 TILEPROP INT_L_X18Y69 GRID_POINT_Y 84 TILEPROP INT_L_X18Y69 INDEX 9709 TILEPROP INT_L_X18Y69 INT_TILE_X 18 TILEPROP INT_L_X18Y69 INT_TILE_Y 80 TILEPROP INT_L_X18Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y69 IS_DCM_TILE 0 TILEPROP INT_L_X18Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y69 NAME INT_L_X18Y69 TILEPROP INT_L_X18Y69 NUM_ARCS 3737 TILEPROP INT_L_X18Y69 NUM_SITES 1 TILEPROP INT_L_X18Y69 ROW 84 TILEPROP INT_L_X18Y69 SLR_REGION_ID 0 TILEPROP INT_L_X18Y69 TILE_PATTERN_IDX 6520 TILEPROP INT_L_X18Y69 TILE_TYPE INT_L TILEPROP INT_L_X18Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y69 TILE_X -14880 TILEPROP INT_L_X18Y69 TILE_Y -17600 TILEPROP INT_L_X18Y69 TYPE INT_L TILEPROP INT_L_X18Y70 CLASS tile TILEPROP INT_L_X18Y70 COLUMN 49 TILEPROP INT_L_X18Y70 DEVICE_ID 0 TILEPROP INT_L_X18Y70 FIRST_SITE_ID 8237 TILEPROP INT_L_X18Y70 GRID_POINT_X 49 TILEPROP INT_L_X18Y70 GRID_POINT_Y 83 TILEPROP INT_L_X18Y70 INDEX 9594 TILEPROP INT_L_X18Y70 INT_TILE_X 18 TILEPROP INT_L_X18Y70 INT_TILE_Y 79 TILEPROP INT_L_X18Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y70 IS_DCM_TILE 0 TILEPROP INT_L_X18Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y70 NAME INT_L_X18Y70 TILEPROP INT_L_X18Y70 NUM_ARCS 3737 TILEPROP INT_L_X18Y70 NUM_SITES 1 TILEPROP INT_L_X18Y70 ROW 83 TILEPROP INT_L_X18Y70 SLR_REGION_ID 0 TILEPROP INT_L_X18Y70 TILE_PATTERN_IDX 6489 TILEPROP INT_L_X18Y70 TILE_TYPE INT_L TILEPROP INT_L_X18Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y70 TILE_X -14880 TILEPROP INT_L_X18Y70 TILE_Y -14400 TILEPROP INT_L_X18Y70 TYPE INT_L TILEPROP INT_L_X18Y71 CLASS tile TILEPROP INT_L_X18Y71 COLUMN 49 TILEPROP INT_L_X18Y71 DEVICE_ID 0 TILEPROP INT_L_X18Y71 FIRST_SITE_ID 8131 TILEPROP INT_L_X18Y71 GRID_POINT_X 49 TILEPROP INT_L_X18Y71 GRID_POINT_Y 82 TILEPROP INT_L_X18Y71 INDEX 9479 TILEPROP INT_L_X18Y71 INT_TILE_X 18 TILEPROP INT_L_X18Y71 INT_TILE_Y 78 TILEPROP INT_L_X18Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y71 IS_DCM_TILE 0 TILEPROP INT_L_X18Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y71 NAME INT_L_X18Y71 TILEPROP INT_L_X18Y71 NUM_ARCS 3737 TILEPROP INT_L_X18Y71 NUM_SITES 1 TILEPROP INT_L_X18Y71 ROW 82 TILEPROP INT_L_X18Y71 SLR_REGION_ID 0 TILEPROP INT_L_X18Y71 TILE_PATTERN_IDX 6458 TILEPROP INT_L_X18Y71 TILE_TYPE INT_L TILEPROP INT_L_X18Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y71 TILE_X -14880 TILEPROP INT_L_X18Y71 TILE_Y -11200 TILEPROP INT_L_X18Y71 TYPE INT_L TILEPROP INT_L_X18Y72 CLASS tile TILEPROP INT_L_X18Y72 COLUMN 49 TILEPROP INT_L_X18Y72 DEVICE_ID 0 TILEPROP INT_L_X18Y72 FIRST_SITE_ID 8031 TILEPROP INT_L_X18Y72 GRID_POINT_X 49 TILEPROP INT_L_X18Y72 GRID_POINT_Y 81 TILEPROP INT_L_X18Y72 INDEX 9364 TILEPROP INT_L_X18Y72 INT_TILE_X 18 TILEPROP INT_L_X18Y72 INT_TILE_Y 77 TILEPROP INT_L_X18Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y72 IS_DCM_TILE 0 TILEPROP INT_L_X18Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y72 NAME INT_L_X18Y72 TILEPROP INT_L_X18Y72 NUM_ARCS 3737 TILEPROP INT_L_X18Y72 NUM_SITES 1 TILEPROP INT_L_X18Y72 ROW 81 TILEPROP INT_L_X18Y72 SLR_REGION_ID 0 TILEPROP INT_L_X18Y72 TILE_PATTERN_IDX 6427 TILEPROP INT_L_X18Y72 TILE_TYPE INT_L TILEPROP INT_L_X18Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y72 TILE_X -14880 TILEPROP INT_L_X18Y72 TILE_Y -8000 TILEPROP INT_L_X18Y72 TYPE INT_L TILEPROP INT_L_X18Y73 CLASS tile TILEPROP INT_L_X18Y73 COLUMN 49 TILEPROP INT_L_X18Y73 DEVICE_ID 0 TILEPROP INT_L_X18Y73 FIRST_SITE_ID 7931 TILEPROP INT_L_X18Y73 GRID_POINT_X 49 TILEPROP INT_L_X18Y73 GRID_POINT_Y 80 TILEPROP INT_L_X18Y73 INDEX 9249 TILEPROP INT_L_X18Y73 INT_TILE_X 18 TILEPROP INT_L_X18Y73 INT_TILE_Y 76 TILEPROP INT_L_X18Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y73 IS_DCM_TILE 0 TILEPROP INT_L_X18Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y73 NAME INT_L_X18Y73 TILEPROP INT_L_X18Y73 NUM_ARCS 3737 TILEPROP INT_L_X18Y73 NUM_SITES 1 TILEPROP INT_L_X18Y73 ROW 80 TILEPROP INT_L_X18Y73 SLR_REGION_ID 0 TILEPROP INT_L_X18Y73 TILE_PATTERN_IDX 6396 TILEPROP INT_L_X18Y73 TILE_TYPE INT_L TILEPROP INT_L_X18Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y73 TILE_X -14880 TILEPROP INT_L_X18Y73 TILE_Y -4800 TILEPROP INT_L_X18Y73 TYPE INT_L TILEPROP INT_L_X18Y74 CLASS tile TILEPROP INT_L_X18Y74 COLUMN 49 TILEPROP INT_L_X18Y74 DEVICE_ID 0 TILEPROP INT_L_X18Y74 FIRST_SITE_ID 7831 TILEPROP INT_L_X18Y74 GRID_POINT_X 49 TILEPROP INT_L_X18Y74 GRID_POINT_Y 79 TILEPROP INT_L_X18Y74 INDEX 9134 TILEPROP INT_L_X18Y74 INT_TILE_X 18 TILEPROP INT_L_X18Y74 INT_TILE_Y 75 TILEPROP INT_L_X18Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y74 IS_DCM_TILE 0 TILEPROP INT_L_X18Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y74 NAME INT_L_X18Y74 TILEPROP INT_L_X18Y74 NUM_ARCS 3737 TILEPROP INT_L_X18Y74 NUM_SITES 1 TILEPROP INT_L_X18Y74 ROW 79 TILEPROP INT_L_X18Y74 SLR_REGION_ID 0 TILEPROP INT_L_X18Y74 TILE_PATTERN_IDX 6365 TILEPROP INT_L_X18Y74 TILE_TYPE INT_L TILEPROP INT_L_X18Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y74 TILE_X -14880 TILEPROP INT_L_X18Y74 TILE_Y -1600 TILEPROP INT_L_X18Y74 TYPE INT_L TILEPROP INT_L_X18Y75 CLASS tile TILEPROP INT_L_X18Y75 COLUMN 49 TILEPROP INT_L_X18Y75 DEVICE_ID 0 TILEPROP INT_L_X18Y75 FIRST_SITE_ID 7639 TILEPROP INT_L_X18Y75 GRID_POINT_X 49 TILEPROP INT_L_X18Y75 GRID_POINT_Y 77 TILEPROP INT_L_X18Y75 INDEX 8904 TILEPROP INT_L_X18Y75 INT_TILE_X 18 TILEPROP INT_L_X18Y75 INT_TILE_Y 74 TILEPROP INT_L_X18Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y75 IS_DCM_TILE 0 TILEPROP INT_L_X18Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y75 NAME INT_L_X18Y75 TILEPROP INT_L_X18Y75 NUM_ARCS 3737 TILEPROP INT_L_X18Y75 NUM_SITES 1 TILEPROP INT_L_X18Y75 ROW 77 TILEPROP INT_L_X18Y75 SLR_REGION_ID 0 TILEPROP INT_L_X18Y75 TILE_PATTERN_IDX 6291 TILEPROP INT_L_X18Y75 TILE_TYPE INT_L TILEPROP INT_L_X18Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y75 TILE_X -14880 TILEPROP INT_L_X18Y75 TILE_Y 2624 TILEPROP INT_L_X18Y75 TYPE INT_L TILEPROP INT_L_X18Y76 CLASS tile TILEPROP INT_L_X18Y76 COLUMN 49 TILEPROP INT_L_X18Y76 DEVICE_ID 0 TILEPROP INT_L_X18Y76 FIRST_SITE_ID 7530 TILEPROP INT_L_X18Y76 GRID_POINT_X 49 TILEPROP INT_L_X18Y76 GRID_POINT_Y 76 TILEPROP INT_L_X18Y76 INDEX 8789 TILEPROP INT_L_X18Y76 INT_TILE_X 18 TILEPROP INT_L_X18Y76 INT_TILE_Y 73 TILEPROP INT_L_X18Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y76 IS_DCM_TILE 0 TILEPROP INT_L_X18Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y76 NAME INT_L_X18Y76 TILEPROP INT_L_X18Y76 NUM_ARCS 3737 TILEPROP INT_L_X18Y76 NUM_SITES 1 TILEPROP INT_L_X18Y76 ROW 76 TILEPROP INT_L_X18Y76 SLR_REGION_ID 0 TILEPROP INT_L_X18Y76 TILE_PATTERN_IDX 6257 TILEPROP INT_L_X18Y76 TILE_TYPE INT_L TILEPROP INT_L_X18Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y76 TILE_X -14880 TILEPROP INT_L_X18Y76 TILE_Y 5824 TILEPROP INT_L_X18Y76 TYPE INT_L TILEPROP INT_L_X18Y77 CLASS tile TILEPROP INT_L_X18Y77 COLUMN 49 TILEPROP INT_L_X18Y77 DEVICE_ID 0 TILEPROP INT_L_X18Y77 FIRST_SITE_ID 7430 TILEPROP INT_L_X18Y77 GRID_POINT_X 49 TILEPROP INT_L_X18Y77 GRID_POINT_Y 75 TILEPROP INT_L_X18Y77 INDEX 8674 TILEPROP INT_L_X18Y77 INT_TILE_X 18 TILEPROP INT_L_X18Y77 INT_TILE_Y 72 TILEPROP INT_L_X18Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y77 IS_DCM_TILE 0 TILEPROP INT_L_X18Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y77 NAME INT_L_X18Y77 TILEPROP INT_L_X18Y77 NUM_ARCS 3737 TILEPROP INT_L_X18Y77 NUM_SITES 1 TILEPROP INT_L_X18Y77 ROW 75 TILEPROP INT_L_X18Y77 SLR_REGION_ID 0 TILEPROP INT_L_X18Y77 TILE_PATTERN_IDX 6223 TILEPROP INT_L_X18Y77 TILE_TYPE INT_L TILEPROP INT_L_X18Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y77 TILE_X -14880 TILEPROP INT_L_X18Y77 TILE_Y 9024 TILEPROP INT_L_X18Y77 TYPE INT_L TILEPROP INT_L_X18Y78 CLASS tile TILEPROP INT_L_X18Y78 COLUMN 49 TILEPROP INT_L_X18Y78 DEVICE_ID 0 TILEPROP INT_L_X18Y78 FIRST_SITE_ID 7330 TILEPROP INT_L_X18Y78 GRID_POINT_X 49 TILEPROP INT_L_X18Y78 GRID_POINT_Y 74 TILEPROP INT_L_X18Y78 INDEX 8559 TILEPROP INT_L_X18Y78 INT_TILE_X 18 TILEPROP INT_L_X18Y78 INT_TILE_Y 71 TILEPROP INT_L_X18Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y78 IS_DCM_TILE 0 TILEPROP INT_L_X18Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y78 NAME INT_L_X18Y78 TILEPROP INT_L_X18Y78 NUM_ARCS 3737 TILEPROP INT_L_X18Y78 NUM_SITES 1 TILEPROP INT_L_X18Y78 ROW 74 TILEPROP INT_L_X18Y78 SLR_REGION_ID 0 TILEPROP INT_L_X18Y78 TILE_PATTERN_IDX 6190 TILEPROP INT_L_X18Y78 TILE_TYPE INT_L TILEPROP INT_L_X18Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y78 TILE_X -14880 TILEPROP INT_L_X18Y78 TILE_Y 12224 TILEPROP INT_L_X18Y78 TYPE INT_L TILEPROP INT_L_X18Y79 CLASS tile TILEPROP INT_L_X18Y79 COLUMN 49 TILEPROP INT_L_X18Y79 DEVICE_ID 0 TILEPROP INT_L_X18Y79 FIRST_SITE_ID 7224 TILEPROP INT_L_X18Y79 GRID_POINT_X 49 TILEPROP INT_L_X18Y79 GRID_POINT_Y 73 TILEPROP INT_L_X18Y79 INDEX 8444 TILEPROP INT_L_X18Y79 INT_TILE_X 18 TILEPROP INT_L_X18Y79 INT_TILE_Y 70 TILEPROP INT_L_X18Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y79 IS_DCM_TILE 0 TILEPROP INT_L_X18Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y79 NAME INT_L_X18Y79 TILEPROP INT_L_X18Y79 NUM_ARCS 3737 TILEPROP INT_L_X18Y79 NUM_SITES 1 TILEPROP INT_L_X18Y79 ROW 73 TILEPROP INT_L_X18Y79 SLR_REGION_ID 0 TILEPROP INT_L_X18Y79 TILE_PATTERN_IDX 6155 TILEPROP INT_L_X18Y79 TILE_TYPE INT_L TILEPROP INT_L_X18Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y79 TILE_X -14880 TILEPROP INT_L_X18Y79 TILE_Y 15424 TILEPROP INT_L_X18Y79 TYPE INT_L TILEPROP INT_L_X18Y80 CLASS tile TILEPROP INT_L_X18Y80 COLUMN 49 TILEPROP INT_L_X18Y80 DEVICE_ID 0 TILEPROP INT_L_X18Y80 FIRST_SITE_ID 7109 TILEPROP INT_L_X18Y80 GRID_POINT_X 49 TILEPROP INT_L_X18Y80 GRID_POINT_Y 72 TILEPROP INT_L_X18Y80 INDEX 8329 TILEPROP INT_L_X18Y80 INT_TILE_X 18 TILEPROP INT_L_X18Y80 INT_TILE_Y 69 TILEPROP INT_L_X18Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y80 IS_DCM_TILE 0 TILEPROP INT_L_X18Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y80 NAME INT_L_X18Y80 TILEPROP INT_L_X18Y80 NUM_ARCS 3737 TILEPROP INT_L_X18Y80 NUM_SITES 1 TILEPROP INT_L_X18Y80 ROW 72 TILEPROP INT_L_X18Y80 SLR_REGION_ID 0 TILEPROP INT_L_X18Y80 TILE_PATTERN_IDX 6120 TILEPROP INT_L_X18Y80 TILE_TYPE INT_L TILEPROP INT_L_X18Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y80 TILE_X -14880 TILEPROP INT_L_X18Y80 TILE_Y 18624 TILEPROP INT_L_X18Y80 TYPE INT_L TILEPROP INT_L_X18Y81 CLASS tile TILEPROP INT_L_X18Y81 COLUMN 49 TILEPROP INT_L_X18Y81 DEVICE_ID 0 TILEPROP INT_L_X18Y81 FIRST_SITE_ID 7001 TILEPROP INT_L_X18Y81 GRID_POINT_X 49 TILEPROP INT_L_X18Y81 GRID_POINT_Y 71 TILEPROP INT_L_X18Y81 INDEX 8214 TILEPROP INT_L_X18Y81 INT_TILE_X 18 TILEPROP INT_L_X18Y81 INT_TILE_Y 68 TILEPROP INT_L_X18Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y81 IS_DCM_TILE 0 TILEPROP INT_L_X18Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y81 NAME INT_L_X18Y81 TILEPROP INT_L_X18Y81 NUM_ARCS 3737 TILEPROP INT_L_X18Y81 NUM_SITES 1 TILEPROP INT_L_X18Y81 ROW 71 TILEPROP INT_L_X18Y81 SLR_REGION_ID 0 TILEPROP INT_L_X18Y81 TILE_PATTERN_IDX 6086 TILEPROP INT_L_X18Y81 TILE_TYPE INT_L TILEPROP INT_L_X18Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y81 TILE_X -14880 TILEPROP INT_L_X18Y81 TILE_Y 21824 TILEPROP INT_L_X18Y81 TYPE INT_L TILEPROP INT_L_X18Y82 CLASS tile TILEPROP INT_L_X18Y82 COLUMN 49 TILEPROP INT_L_X18Y82 DEVICE_ID 0 TILEPROP INT_L_X18Y82 FIRST_SITE_ID 6899 TILEPROP INT_L_X18Y82 GRID_POINT_X 49 TILEPROP INT_L_X18Y82 GRID_POINT_Y 70 TILEPROP INT_L_X18Y82 INDEX 8099 TILEPROP INT_L_X18Y82 INT_TILE_X 18 TILEPROP INT_L_X18Y82 INT_TILE_Y 67 TILEPROP INT_L_X18Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y82 IS_DCM_TILE 0 TILEPROP INT_L_X18Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y82 NAME INT_L_X18Y82 TILEPROP INT_L_X18Y82 NUM_ARCS 3737 TILEPROP INT_L_X18Y82 NUM_SITES 1 TILEPROP INT_L_X18Y82 ROW 70 TILEPROP INT_L_X18Y82 SLR_REGION_ID 0 TILEPROP INT_L_X18Y82 TILE_PATTERN_IDX 6052 TILEPROP INT_L_X18Y82 TILE_TYPE INT_L TILEPROP INT_L_X18Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y82 TILE_X -14880 TILEPROP INT_L_X18Y82 TILE_Y 25024 TILEPROP INT_L_X18Y82 TYPE INT_L TILEPROP INT_L_X18Y83 CLASS tile TILEPROP INT_L_X18Y83 COLUMN 49 TILEPROP INT_L_X18Y83 DEVICE_ID 0 TILEPROP INT_L_X18Y83 FIRST_SITE_ID 6799 TILEPROP INT_L_X18Y83 GRID_POINT_X 49 TILEPROP INT_L_X18Y83 GRID_POINT_Y 69 TILEPROP INT_L_X18Y83 INDEX 7984 TILEPROP INT_L_X18Y83 INT_TILE_X 18 TILEPROP INT_L_X18Y83 INT_TILE_Y 66 TILEPROP INT_L_X18Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y83 IS_DCM_TILE 0 TILEPROP INT_L_X18Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y83 NAME INT_L_X18Y83 TILEPROP INT_L_X18Y83 NUM_ARCS 3737 TILEPROP INT_L_X18Y83 NUM_SITES 1 TILEPROP INT_L_X18Y83 ROW 69 TILEPROP INT_L_X18Y83 SLR_REGION_ID 0 TILEPROP INT_L_X18Y83 TILE_PATTERN_IDX 6018 TILEPROP INT_L_X18Y83 TILE_TYPE INT_L TILEPROP INT_L_X18Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y83 TILE_X -14880 TILEPROP INT_L_X18Y83 TILE_Y 28224 TILEPROP INT_L_X18Y83 TYPE INT_L TILEPROP INT_L_X18Y84 CLASS tile TILEPROP INT_L_X18Y84 COLUMN 49 TILEPROP INT_L_X18Y84 DEVICE_ID 0 TILEPROP INT_L_X18Y84 FIRST_SITE_ID 6699 TILEPROP INT_L_X18Y84 GRID_POINT_X 49 TILEPROP INT_L_X18Y84 GRID_POINT_Y 68 TILEPROP INT_L_X18Y84 INDEX 7869 TILEPROP INT_L_X18Y84 INT_TILE_X 18 TILEPROP INT_L_X18Y84 INT_TILE_Y 65 TILEPROP INT_L_X18Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y84 IS_DCM_TILE 0 TILEPROP INT_L_X18Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y84 NAME INT_L_X18Y84 TILEPROP INT_L_X18Y84 NUM_ARCS 3737 TILEPROP INT_L_X18Y84 NUM_SITES 1 TILEPROP INT_L_X18Y84 ROW 68 TILEPROP INT_L_X18Y84 SLR_REGION_ID 0 TILEPROP INT_L_X18Y84 TILE_PATTERN_IDX 5984 TILEPROP INT_L_X18Y84 TILE_TYPE INT_L TILEPROP INT_L_X18Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y84 TILE_X -14880 TILEPROP INT_L_X18Y84 TILE_Y 31424 TILEPROP INT_L_X18Y84 TYPE INT_L TILEPROP INT_L_X18Y85 CLASS tile TILEPROP INT_L_X18Y85 COLUMN 49 TILEPROP INT_L_X18Y85 DEVICE_ID 0 TILEPROP INT_L_X18Y85 FIRST_SITE_ID 6590 TILEPROP INT_L_X18Y85 GRID_POINT_X 49 TILEPROP INT_L_X18Y85 GRID_POINT_Y 67 TILEPROP INT_L_X18Y85 INDEX 7754 TILEPROP INT_L_X18Y85 INT_TILE_X 18 TILEPROP INT_L_X18Y85 INT_TILE_Y 64 TILEPROP INT_L_X18Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y85 IS_DCM_TILE 0 TILEPROP INT_L_X18Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y85 NAME INT_L_X18Y85 TILEPROP INT_L_X18Y85 NUM_ARCS 3737 TILEPROP INT_L_X18Y85 NUM_SITES 1 TILEPROP INT_L_X18Y85 ROW 67 TILEPROP INT_L_X18Y85 SLR_REGION_ID 0 TILEPROP INT_L_X18Y85 TILE_PATTERN_IDX 5950 TILEPROP INT_L_X18Y85 TILE_TYPE INT_L TILEPROP INT_L_X18Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y85 TILE_X -14880 TILEPROP INT_L_X18Y85 TILE_Y 34624 TILEPROP INT_L_X18Y85 TYPE INT_L TILEPROP INT_L_X18Y86 CLASS tile TILEPROP INT_L_X18Y86 COLUMN 49 TILEPROP INT_L_X18Y86 DEVICE_ID 0 TILEPROP INT_L_X18Y86 FIRST_SITE_ID 6452 TILEPROP INT_L_X18Y86 GRID_POINT_X 49 TILEPROP INT_L_X18Y86 GRID_POINT_Y 66 TILEPROP INT_L_X18Y86 INDEX 7639 TILEPROP INT_L_X18Y86 INT_TILE_X 18 TILEPROP INT_L_X18Y86 INT_TILE_Y 63 TILEPROP INT_L_X18Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y86 IS_DCM_TILE 0 TILEPROP INT_L_X18Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y86 NAME INT_L_X18Y86 TILEPROP INT_L_X18Y86 NUM_ARCS 3737 TILEPROP INT_L_X18Y86 NUM_SITES 1 TILEPROP INT_L_X18Y86 ROW 66 TILEPROP INT_L_X18Y86 SLR_REGION_ID 0 TILEPROP INT_L_X18Y86 TILE_PATTERN_IDX 5914 TILEPROP INT_L_X18Y86 TILE_TYPE INT_L TILEPROP INT_L_X18Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y86 TILE_X -14880 TILEPROP INT_L_X18Y86 TILE_Y 37824 TILEPROP INT_L_X18Y86 TYPE INT_L TILEPROP INT_L_X18Y87 CLASS tile TILEPROP INT_L_X18Y87 COLUMN 49 TILEPROP INT_L_X18Y87 DEVICE_ID 0 TILEPROP INT_L_X18Y87 FIRST_SITE_ID 6352 TILEPROP INT_L_X18Y87 GRID_POINT_X 49 TILEPROP INT_L_X18Y87 GRID_POINT_Y 65 TILEPROP INT_L_X18Y87 INDEX 7524 TILEPROP INT_L_X18Y87 INT_TILE_X 18 TILEPROP INT_L_X18Y87 INT_TILE_Y 62 TILEPROP INT_L_X18Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y87 IS_DCM_TILE 0 TILEPROP INT_L_X18Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y87 NAME INT_L_X18Y87 TILEPROP INT_L_X18Y87 NUM_ARCS 3737 TILEPROP INT_L_X18Y87 NUM_SITES 1 TILEPROP INT_L_X18Y87 ROW 65 TILEPROP INT_L_X18Y87 SLR_REGION_ID 0 TILEPROP INT_L_X18Y87 TILE_PATTERN_IDX 5880 TILEPROP INT_L_X18Y87 TILE_TYPE INT_L TILEPROP INT_L_X18Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y87 TILE_X -14880 TILEPROP INT_L_X18Y87 TILE_Y 41024 TILEPROP INT_L_X18Y87 TYPE INT_L TILEPROP INT_L_X18Y88 CLASS tile TILEPROP INT_L_X18Y88 COLUMN 49 TILEPROP INT_L_X18Y88 DEVICE_ID 0 TILEPROP INT_L_X18Y88 FIRST_SITE_ID 6252 TILEPROP INT_L_X18Y88 GRID_POINT_X 49 TILEPROP INT_L_X18Y88 GRID_POINT_Y 64 TILEPROP INT_L_X18Y88 INDEX 7409 TILEPROP INT_L_X18Y88 INT_TILE_X 18 TILEPROP INT_L_X18Y88 INT_TILE_Y 61 TILEPROP INT_L_X18Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y88 IS_DCM_TILE 0 TILEPROP INT_L_X18Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y88 NAME INT_L_X18Y88 TILEPROP INT_L_X18Y88 NUM_ARCS 3737 TILEPROP INT_L_X18Y88 NUM_SITES 1 TILEPROP INT_L_X18Y88 ROW 64 TILEPROP INT_L_X18Y88 SLR_REGION_ID 0 TILEPROP INT_L_X18Y88 TILE_PATTERN_IDX 5847 TILEPROP INT_L_X18Y88 TILE_TYPE INT_L TILEPROP INT_L_X18Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y88 TILE_X -14880 TILEPROP INT_L_X18Y88 TILE_Y 44224 TILEPROP INT_L_X18Y88 TYPE INT_L TILEPROP INT_L_X18Y89 CLASS tile TILEPROP INT_L_X18Y89 COLUMN 49 TILEPROP INT_L_X18Y89 DEVICE_ID 0 TILEPROP INT_L_X18Y89 FIRST_SITE_ID 6152 TILEPROP INT_L_X18Y89 GRID_POINT_X 49 TILEPROP INT_L_X18Y89 GRID_POINT_Y 63 TILEPROP INT_L_X18Y89 INDEX 7294 TILEPROP INT_L_X18Y89 INT_TILE_X 18 TILEPROP INT_L_X18Y89 INT_TILE_Y 60 TILEPROP INT_L_X18Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y89 IS_DCM_TILE 0 TILEPROP INT_L_X18Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y89 NAME INT_L_X18Y89 TILEPROP INT_L_X18Y89 NUM_ARCS 3737 TILEPROP INT_L_X18Y89 NUM_SITES 1 TILEPROP INT_L_X18Y89 ROW 63 TILEPROP INT_L_X18Y89 SLR_REGION_ID 0 TILEPROP INT_L_X18Y89 TILE_PATTERN_IDX 5813 TILEPROP INT_L_X18Y89 TILE_TYPE INT_L TILEPROP INT_L_X18Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y89 TILE_X -14880 TILEPROP INT_L_X18Y89 TILE_Y 47424 TILEPROP INT_L_X18Y89 TYPE INT_L TILEPROP INT_L_X18Y90 CLASS tile TILEPROP INT_L_X18Y90 COLUMN 49 TILEPROP INT_L_X18Y90 DEVICE_ID 0 TILEPROP INT_L_X18Y90 FIRST_SITE_ID 6043 TILEPROP INT_L_X18Y90 GRID_POINT_X 49 TILEPROP INT_L_X18Y90 GRID_POINT_Y 62 TILEPROP INT_L_X18Y90 INDEX 7179 TILEPROP INT_L_X18Y90 INT_TILE_X 18 TILEPROP INT_L_X18Y90 INT_TILE_Y 59 TILEPROP INT_L_X18Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y90 IS_DCM_TILE 0 TILEPROP INT_L_X18Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y90 NAME INT_L_X18Y90 TILEPROP INT_L_X18Y90 NUM_ARCS 3737 TILEPROP INT_L_X18Y90 NUM_SITES 1 TILEPROP INT_L_X18Y90 ROW 62 TILEPROP INT_L_X18Y90 SLR_REGION_ID 0 TILEPROP INT_L_X18Y90 TILE_PATTERN_IDX 5779 TILEPROP INT_L_X18Y90 TILE_TYPE INT_L TILEPROP INT_L_X18Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y90 TILE_X -14880 TILEPROP INT_L_X18Y90 TILE_Y 50624 TILEPROP INT_L_X18Y90 TYPE INT_L TILEPROP INT_L_X18Y91 CLASS tile TILEPROP INT_L_X18Y91 COLUMN 49 TILEPROP INT_L_X18Y91 DEVICE_ID 0 TILEPROP INT_L_X18Y91 FIRST_SITE_ID 5937 TILEPROP INT_L_X18Y91 GRID_POINT_X 49 TILEPROP INT_L_X18Y91 GRID_POINT_Y 61 TILEPROP INT_L_X18Y91 INDEX 7064 TILEPROP INT_L_X18Y91 INT_TILE_X 18 TILEPROP INT_L_X18Y91 INT_TILE_Y 58 TILEPROP INT_L_X18Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y91 IS_DCM_TILE 0 TILEPROP INT_L_X18Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y91 NAME INT_L_X18Y91 TILEPROP INT_L_X18Y91 NUM_ARCS 3737 TILEPROP INT_L_X18Y91 NUM_SITES 1 TILEPROP INT_L_X18Y91 ROW 61 TILEPROP INT_L_X18Y91 SLR_REGION_ID 0 TILEPROP INT_L_X18Y91 TILE_PATTERN_IDX 5745 TILEPROP INT_L_X18Y91 TILE_TYPE INT_L TILEPROP INT_L_X18Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y91 TILE_X -14880 TILEPROP INT_L_X18Y91 TILE_Y 53824 TILEPROP INT_L_X18Y91 TYPE INT_L TILEPROP INT_L_X18Y92 CLASS tile TILEPROP INT_L_X18Y92 COLUMN 49 TILEPROP INT_L_X18Y92 DEVICE_ID 0 TILEPROP INT_L_X18Y92 FIRST_SITE_ID 5836 TILEPROP INT_L_X18Y92 GRID_POINT_X 49 TILEPROP INT_L_X18Y92 GRID_POINT_Y 60 TILEPROP INT_L_X18Y92 INDEX 6949 TILEPROP INT_L_X18Y92 INT_TILE_X 18 TILEPROP INT_L_X18Y92 INT_TILE_Y 57 TILEPROP INT_L_X18Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y92 IS_DCM_TILE 0 TILEPROP INT_L_X18Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y92 NAME INT_L_X18Y92 TILEPROP INT_L_X18Y92 NUM_ARCS 3737 TILEPROP INT_L_X18Y92 NUM_SITES 1 TILEPROP INT_L_X18Y92 ROW 60 TILEPROP INT_L_X18Y92 SLR_REGION_ID 0 TILEPROP INT_L_X18Y92 TILE_PATTERN_IDX 5712 TILEPROP INT_L_X18Y92 TILE_TYPE INT_L TILEPROP INT_L_X18Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y92 TILE_X -14880 TILEPROP INT_L_X18Y92 TILE_Y 57024 TILEPROP INT_L_X18Y92 TYPE INT_L TILEPROP INT_L_X18Y93 CLASS tile TILEPROP INT_L_X18Y93 COLUMN 49 TILEPROP INT_L_X18Y93 DEVICE_ID 0 TILEPROP INT_L_X18Y93 FIRST_SITE_ID 5733 TILEPROP INT_L_X18Y93 GRID_POINT_X 49 TILEPROP INT_L_X18Y93 GRID_POINT_Y 59 TILEPROP INT_L_X18Y93 INDEX 6834 TILEPROP INT_L_X18Y93 INT_TILE_X 18 TILEPROP INT_L_X18Y93 INT_TILE_Y 56 TILEPROP INT_L_X18Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y93 IS_DCM_TILE 0 TILEPROP INT_L_X18Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y93 NAME INT_L_X18Y93 TILEPROP INT_L_X18Y93 NUM_ARCS 3737 TILEPROP INT_L_X18Y93 NUM_SITES 1 TILEPROP INT_L_X18Y93 ROW 59 TILEPROP INT_L_X18Y93 SLR_REGION_ID 0 TILEPROP INT_L_X18Y93 TILE_PATTERN_IDX 5678 TILEPROP INT_L_X18Y93 TILE_TYPE INT_L TILEPROP INT_L_X18Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y93 TILE_X -14880 TILEPROP INT_L_X18Y93 TILE_Y 60224 TILEPROP INT_L_X18Y93 TYPE INT_L TILEPROP INT_L_X18Y94 CLASS tile TILEPROP INT_L_X18Y94 COLUMN 49 TILEPROP INT_L_X18Y94 DEVICE_ID 0 TILEPROP INT_L_X18Y94 FIRST_SITE_ID 5631 TILEPROP INT_L_X18Y94 GRID_POINT_X 49 TILEPROP INT_L_X18Y94 GRID_POINT_Y 58 TILEPROP INT_L_X18Y94 INDEX 6719 TILEPROP INT_L_X18Y94 INT_TILE_X 18 TILEPROP INT_L_X18Y94 INT_TILE_Y 55 TILEPROP INT_L_X18Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y94 IS_DCM_TILE 0 TILEPROP INT_L_X18Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y94 NAME INT_L_X18Y94 TILEPROP INT_L_X18Y94 NUM_ARCS 3737 TILEPROP INT_L_X18Y94 NUM_SITES 1 TILEPROP INT_L_X18Y94 ROW 58 TILEPROP INT_L_X18Y94 SLR_REGION_ID 0 TILEPROP INT_L_X18Y94 TILE_PATTERN_IDX 5644 TILEPROP INT_L_X18Y94 TILE_TYPE INT_L TILEPROP INT_L_X18Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y94 TILE_X -14880 TILEPROP INT_L_X18Y94 TILE_Y 63424 TILEPROP INT_L_X18Y94 TYPE INT_L TILEPROP INT_L_X18Y95 CLASS tile TILEPROP INT_L_X18Y95 COLUMN 49 TILEPROP INT_L_X18Y95 DEVICE_ID 0 TILEPROP INT_L_X18Y95 FIRST_SITE_ID 5522 TILEPROP INT_L_X18Y95 GRID_POINT_X 49 TILEPROP INT_L_X18Y95 GRID_POINT_Y 57 TILEPROP INT_L_X18Y95 INDEX 6604 TILEPROP INT_L_X18Y95 INT_TILE_X 18 TILEPROP INT_L_X18Y95 INT_TILE_Y 54 TILEPROP INT_L_X18Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y95 IS_DCM_TILE 0 TILEPROP INT_L_X18Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y95 NAME INT_L_X18Y95 TILEPROP INT_L_X18Y95 NUM_ARCS 3737 TILEPROP INT_L_X18Y95 NUM_SITES 1 TILEPROP INT_L_X18Y95 ROW 57 TILEPROP INT_L_X18Y95 SLR_REGION_ID 0 TILEPROP INT_L_X18Y95 TILE_PATTERN_IDX 5610 TILEPROP INT_L_X18Y95 TILE_TYPE INT_L TILEPROP INT_L_X18Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y95 TILE_X -14880 TILEPROP INT_L_X18Y95 TILE_Y 66624 TILEPROP INT_L_X18Y95 TYPE INT_L TILEPROP INT_L_X18Y96 CLASS tile TILEPROP INT_L_X18Y96 COLUMN 49 TILEPROP INT_L_X18Y96 DEVICE_ID 0 TILEPROP INT_L_X18Y96 FIRST_SITE_ID 5416 TILEPROP INT_L_X18Y96 GRID_POINT_X 49 TILEPROP INT_L_X18Y96 GRID_POINT_Y 56 TILEPROP INT_L_X18Y96 INDEX 6489 TILEPROP INT_L_X18Y96 INT_TILE_X 18 TILEPROP INT_L_X18Y96 INT_TILE_Y 53 TILEPROP INT_L_X18Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y96 IS_DCM_TILE 0 TILEPROP INT_L_X18Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y96 NAME INT_L_X18Y96 TILEPROP INT_L_X18Y96 NUM_ARCS 3737 TILEPROP INT_L_X18Y96 NUM_SITES 1 TILEPROP INT_L_X18Y96 ROW 56 TILEPROP INT_L_X18Y96 SLR_REGION_ID 0 TILEPROP INT_L_X18Y96 TILE_PATTERN_IDX 5575 TILEPROP INT_L_X18Y96 TILE_TYPE INT_L TILEPROP INT_L_X18Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y96 TILE_X -14880 TILEPROP INT_L_X18Y96 TILE_Y 69824 TILEPROP INT_L_X18Y96 TYPE INT_L TILEPROP INT_L_X18Y97 CLASS tile TILEPROP INT_L_X18Y97 COLUMN 49 TILEPROP INT_L_X18Y97 DEVICE_ID 0 TILEPROP INT_L_X18Y97 FIRST_SITE_ID 5316 TILEPROP INT_L_X18Y97 GRID_POINT_X 49 TILEPROP INT_L_X18Y97 GRID_POINT_Y 55 TILEPROP INT_L_X18Y97 INDEX 6374 TILEPROP INT_L_X18Y97 INT_TILE_X 18 TILEPROP INT_L_X18Y97 INT_TILE_Y 52 TILEPROP INT_L_X18Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y97 IS_DCM_TILE 0 TILEPROP INT_L_X18Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y97 NAME INT_L_X18Y97 TILEPROP INT_L_X18Y97 NUM_ARCS 3737 TILEPROP INT_L_X18Y97 NUM_SITES 1 TILEPROP INT_L_X18Y97 ROW 55 TILEPROP INT_L_X18Y97 SLR_REGION_ID 0 TILEPROP INT_L_X18Y97 TILE_PATTERN_IDX 5541 TILEPROP INT_L_X18Y97 TILE_TYPE INT_L TILEPROP INT_L_X18Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y97 TILE_X -14880 TILEPROP INT_L_X18Y97 TILE_Y 73024 TILEPROP INT_L_X18Y97 TYPE INT_L TILEPROP INT_L_X18Y98 CLASS tile TILEPROP INT_L_X18Y98 COLUMN 49 TILEPROP INT_L_X18Y98 DEVICE_ID 0 TILEPROP INT_L_X18Y98 FIRST_SITE_ID 5216 TILEPROP INT_L_X18Y98 GRID_POINT_X 49 TILEPROP INT_L_X18Y98 GRID_POINT_Y 54 TILEPROP INT_L_X18Y98 INDEX 6259 TILEPROP INT_L_X18Y98 INT_TILE_X 18 TILEPROP INT_L_X18Y98 INT_TILE_Y 51 TILEPROP INT_L_X18Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y98 IS_DCM_TILE 0 TILEPROP INT_L_X18Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y98 NAME INT_L_X18Y98 TILEPROP INT_L_X18Y98 NUM_ARCS 3737 TILEPROP INT_L_X18Y98 NUM_SITES 1 TILEPROP INT_L_X18Y98 ROW 54 TILEPROP INT_L_X18Y98 SLR_REGION_ID 0 TILEPROP INT_L_X18Y98 TILE_PATTERN_IDX 5507 TILEPROP INT_L_X18Y98 TILE_TYPE INT_L TILEPROP INT_L_X18Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y98 TILE_X -14880 TILEPROP INT_L_X18Y98 TILE_Y 76224 TILEPROP INT_L_X18Y98 TYPE INT_L TILEPROP INT_L_X18Y99 CLASS tile TILEPROP INT_L_X18Y99 COLUMN 49 TILEPROP INT_L_X18Y99 DEVICE_ID 0 TILEPROP INT_L_X18Y99 FIRST_SITE_ID 5120 TILEPROP INT_L_X18Y99 GRID_POINT_X 49 TILEPROP INT_L_X18Y99 GRID_POINT_Y 53 TILEPROP INT_L_X18Y99 INDEX 6144 TILEPROP INT_L_X18Y99 INT_TILE_X 18 TILEPROP INT_L_X18Y99 INT_TILE_Y 50 TILEPROP INT_L_X18Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y99 IS_DCM_TILE 0 TILEPROP INT_L_X18Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y99 NAME INT_L_X18Y99 TILEPROP INT_L_X18Y99 NUM_ARCS 3737 TILEPROP INT_L_X18Y99 NUM_SITES 1 TILEPROP INT_L_X18Y99 ROW 53 TILEPROP INT_L_X18Y99 SLR_REGION_ID 0 TILEPROP INT_L_X18Y99 TILE_PATTERN_IDX 5474 TILEPROP INT_L_X18Y99 TILE_TYPE INT_L TILEPROP INT_L_X18Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y99 TILE_X -14880 TILEPROP INT_L_X18Y99 TILE_Y 79424 TILEPROP INT_L_X18Y99 TYPE INT_L TILEPROP INT_L_X18Y100 CLASS tile TILEPROP INT_L_X18Y100 COLUMN 49 TILEPROP INT_L_X18Y100 DEVICE_ID 0 TILEPROP INT_L_X18Y100 FIRST_SITE_ID 5043 TILEPROP INT_L_X18Y100 GRID_POINT_X 49 TILEPROP INT_L_X18Y100 GRID_POINT_Y 51 TILEPROP INT_L_X18Y100 INDEX 5914 TILEPROP INT_L_X18Y100 INT_TILE_X 18 TILEPROP INT_L_X18Y100 INT_TILE_Y 49 TILEPROP INT_L_X18Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y100 IS_DCM_TILE 0 TILEPROP INT_L_X18Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y100 NAME INT_L_X18Y100 TILEPROP INT_L_X18Y100 NUM_ARCS 3737 TILEPROP INT_L_X18Y100 NUM_SITES 1 TILEPROP INT_L_X18Y100 ROW 51 TILEPROP INT_L_X18Y100 SLR_REGION_ID 0 TILEPROP INT_L_X18Y100 TILE_PATTERN_IDX 5442 TILEPROP INT_L_X18Y100 TILE_TYPE INT_L TILEPROP INT_L_X18Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y100 TILE_X -14880 TILEPROP INT_L_X18Y100 TILE_Y 82872 TILEPROP INT_L_X18Y100 TYPE INT_L TILEPROP INT_L_X18Y101 CLASS tile TILEPROP INT_L_X18Y101 COLUMN 49 TILEPROP INT_L_X18Y101 DEVICE_ID 0 TILEPROP INT_L_X18Y101 FIRST_SITE_ID 4945 TILEPROP INT_L_X18Y101 GRID_POINT_X 49 TILEPROP INT_L_X18Y101 GRID_POINT_Y 50 TILEPROP INT_L_X18Y101 INDEX 5799 TILEPROP INT_L_X18Y101 INT_TILE_X 18 TILEPROP INT_L_X18Y101 INT_TILE_Y 48 TILEPROP INT_L_X18Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y101 IS_DCM_TILE 0 TILEPROP INT_L_X18Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y101 NAME INT_L_X18Y101 TILEPROP INT_L_X18Y101 NUM_ARCS 3737 TILEPROP INT_L_X18Y101 NUM_SITES 1 TILEPROP INT_L_X18Y101 ROW 50 TILEPROP INT_L_X18Y101 SLR_REGION_ID 0 TILEPROP INT_L_X18Y101 TILE_PATTERN_IDX 5406 TILEPROP INT_L_X18Y101 TILE_TYPE INT_L TILEPROP INT_L_X18Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y101 TILE_X -14880 TILEPROP INT_L_X18Y101 TILE_Y 86072 TILEPROP INT_L_X18Y101 TYPE INT_L TILEPROP INT_L_X18Y102 CLASS tile TILEPROP INT_L_X18Y102 COLUMN 49 TILEPROP INT_L_X18Y102 DEVICE_ID 0 TILEPROP INT_L_X18Y102 FIRST_SITE_ID 4849 TILEPROP INT_L_X18Y102 GRID_POINT_X 49 TILEPROP INT_L_X18Y102 GRID_POINT_Y 49 TILEPROP INT_L_X18Y102 INDEX 5684 TILEPROP INT_L_X18Y102 INT_TILE_X 18 TILEPROP INT_L_X18Y102 INT_TILE_Y 47 TILEPROP INT_L_X18Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y102 IS_DCM_TILE 0 TILEPROP INT_L_X18Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y102 NAME INT_L_X18Y102 TILEPROP INT_L_X18Y102 NUM_ARCS 3737 TILEPROP INT_L_X18Y102 NUM_SITES 1 TILEPROP INT_L_X18Y102 ROW 49 TILEPROP INT_L_X18Y102 SLR_REGION_ID 0 TILEPROP INT_L_X18Y102 TILE_PATTERN_IDX 5369 TILEPROP INT_L_X18Y102 TILE_TYPE INT_L TILEPROP INT_L_X18Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y102 TILE_X -14880 TILEPROP INT_L_X18Y102 TILE_Y 89272 TILEPROP INT_L_X18Y102 TYPE INT_L TILEPROP INT_L_X18Y103 CLASS tile TILEPROP INT_L_X18Y103 COLUMN 49 TILEPROP INT_L_X18Y103 DEVICE_ID 0 TILEPROP INT_L_X18Y103 FIRST_SITE_ID 4761 TILEPROP INT_L_X18Y103 GRID_POINT_X 49 TILEPROP INT_L_X18Y103 GRID_POINT_Y 48 TILEPROP INT_L_X18Y103 INDEX 5569 TILEPROP INT_L_X18Y103 INT_TILE_X 18 TILEPROP INT_L_X18Y103 INT_TILE_Y 46 TILEPROP INT_L_X18Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y103 IS_DCM_TILE 0 TILEPROP INT_L_X18Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y103 NAME INT_L_X18Y103 TILEPROP INT_L_X18Y103 NUM_ARCS 3737 TILEPROP INT_L_X18Y103 NUM_SITES 1 TILEPROP INT_L_X18Y103 ROW 48 TILEPROP INT_L_X18Y103 SLR_REGION_ID 0 TILEPROP INT_L_X18Y103 TILE_PATTERN_IDX 5333 TILEPROP INT_L_X18Y103 TILE_TYPE INT_L TILEPROP INT_L_X18Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y103 TILE_X -14880 TILEPROP INT_L_X18Y103 TILE_Y 92472 TILEPROP INT_L_X18Y103 TYPE INT_L TILEPROP INT_L_X18Y104 CLASS tile TILEPROP INT_L_X18Y104 COLUMN 49 TILEPROP INT_L_X18Y104 DEVICE_ID 0 TILEPROP INT_L_X18Y104 FIRST_SITE_ID 4665 TILEPROP INT_L_X18Y104 GRID_POINT_X 49 TILEPROP INT_L_X18Y104 GRID_POINT_Y 47 TILEPROP INT_L_X18Y104 INDEX 5454 TILEPROP INT_L_X18Y104 INT_TILE_X 18 TILEPROP INT_L_X18Y104 INT_TILE_Y 45 TILEPROP INT_L_X18Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y104 IS_DCM_TILE 0 TILEPROP INT_L_X18Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y104 NAME INT_L_X18Y104 TILEPROP INT_L_X18Y104 NUM_ARCS 3737 TILEPROP INT_L_X18Y104 NUM_SITES 1 TILEPROP INT_L_X18Y104 ROW 47 TILEPROP INT_L_X18Y104 SLR_REGION_ID 0 TILEPROP INT_L_X18Y104 TILE_PATTERN_IDX 5296 TILEPROP INT_L_X18Y104 TILE_TYPE INT_L TILEPROP INT_L_X18Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y104 TILE_X -14880 TILEPROP INT_L_X18Y104 TILE_Y 95672 TILEPROP INT_L_X18Y104 TYPE INT_L TILEPROP INT_L_X18Y105 CLASS tile TILEPROP INT_L_X18Y105 COLUMN 49 TILEPROP INT_L_X18Y105 DEVICE_ID 0 TILEPROP INT_L_X18Y105 FIRST_SITE_ID 4569 TILEPROP INT_L_X18Y105 GRID_POINT_X 49 TILEPROP INT_L_X18Y105 GRID_POINT_Y 46 TILEPROP INT_L_X18Y105 INDEX 5339 TILEPROP INT_L_X18Y105 INT_TILE_X 18 TILEPROP INT_L_X18Y105 INT_TILE_Y 44 TILEPROP INT_L_X18Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y105 IS_DCM_TILE 0 TILEPROP INT_L_X18Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y105 NAME INT_L_X18Y105 TILEPROP INT_L_X18Y105 NUM_ARCS 3737 TILEPROP INT_L_X18Y105 NUM_SITES 1 TILEPROP INT_L_X18Y105 ROW 46 TILEPROP INT_L_X18Y105 SLR_REGION_ID 0 TILEPROP INT_L_X18Y105 TILE_PATTERN_IDX 5260 TILEPROP INT_L_X18Y105 TILE_TYPE INT_L TILEPROP INT_L_X18Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y105 TILE_X -14880 TILEPROP INT_L_X18Y105 TILE_Y 98872 TILEPROP INT_L_X18Y105 TYPE INT_L TILEPROP INT_L_X18Y106 CLASS tile TILEPROP INT_L_X18Y106 COLUMN 49 TILEPROP INT_L_X18Y106 DEVICE_ID 0 TILEPROP INT_L_X18Y106 FIRST_SITE_ID 4467 TILEPROP INT_L_X18Y106 GRID_POINT_X 49 TILEPROP INT_L_X18Y106 GRID_POINT_Y 45 TILEPROP INT_L_X18Y106 INDEX 5224 TILEPROP INT_L_X18Y106 INT_TILE_X 18 TILEPROP INT_L_X18Y106 INT_TILE_Y 43 TILEPROP INT_L_X18Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y106 IS_DCM_TILE 0 TILEPROP INT_L_X18Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y106 NAME INT_L_X18Y106 TILEPROP INT_L_X18Y106 NUM_ARCS 3737 TILEPROP INT_L_X18Y106 NUM_SITES 1 TILEPROP INT_L_X18Y106 ROW 45 TILEPROP INT_L_X18Y106 SLR_REGION_ID 0 TILEPROP INT_L_X18Y106 TILE_PATTERN_IDX 5223 TILEPROP INT_L_X18Y106 TILE_TYPE INT_L TILEPROP INT_L_X18Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y106 TILE_X -14880 TILEPROP INT_L_X18Y106 TILE_Y 102072 TILEPROP INT_L_X18Y106 TYPE INT_L TILEPROP INT_L_X18Y107 CLASS tile TILEPROP INT_L_X18Y107 COLUMN 49 TILEPROP INT_L_X18Y107 DEVICE_ID 0 TILEPROP INT_L_X18Y107 FIRST_SITE_ID 4379 TILEPROP INT_L_X18Y107 GRID_POINT_X 49 TILEPROP INT_L_X18Y107 GRID_POINT_Y 44 TILEPROP INT_L_X18Y107 INDEX 5109 TILEPROP INT_L_X18Y107 INT_TILE_X 18 TILEPROP INT_L_X18Y107 INT_TILE_Y 42 TILEPROP INT_L_X18Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y107 IS_DCM_TILE 0 TILEPROP INT_L_X18Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y107 NAME INT_L_X18Y107 TILEPROP INT_L_X18Y107 NUM_ARCS 3737 TILEPROP INT_L_X18Y107 NUM_SITES 1 TILEPROP INT_L_X18Y107 ROW 44 TILEPROP INT_L_X18Y107 SLR_REGION_ID 0 TILEPROP INT_L_X18Y107 TILE_PATTERN_IDX 5187 TILEPROP INT_L_X18Y107 TILE_TYPE INT_L TILEPROP INT_L_X18Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y107 TILE_X -14880 TILEPROP INT_L_X18Y107 TILE_Y 105272 TILEPROP INT_L_X18Y107 TYPE INT_L TILEPROP INT_L_X18Y108 CLASS tile TILEPROP INT_L_X18Y108 COLUMN 49 TILEPROP INT_L_X18Y108 DEVICE_ID 0 TILEPROP INT_L_X18Y108 FIRST_SITE_ID 4281 TILEPROP INT_L_X18Y108 GRID_POINT_X 49 TILEPROP INT_L_X18Y108 GRID_POINT_Y 43 TILEPROP INT_L_X18Y108 INDEX 4994 TILEPROP INT_L_X18Y108 INT_TILE_X 18 TILEPROP INT_L_X18Y108 INT_TILE_Y 41 TILEPROP INT_L_X18Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y108 IS_DCM_TILE 0 TILEPROP INT_L_X18Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y108 NAME INT_L_X18Y108 TILEPROP INT_L_X18Y108 NUM_ARCS 3737 TILEPROP INT_L_X18Y108 NUM_SITES 1 TILEPROP INT_L_X18Y108 ROW 43 TILEPROP INT_L_X18Y108 SLR_REGION_ID 0 TILEPROP INT_L_X18Y108 TILE_PATTERN_IDX 5150 TILEPROP INT_L_X18Y108 TILE_TYPE INT_L TILEPROP INT_L_X18Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y108 TILE_X -14880 TILEPROP INT_L_X18Y108 TILE_Y 108472 TILEPROP INT_L_X18Y108 TYPE INT_L TILEPROP INT_L_X18Y109 CLASS tile TILEPROP INT_L_X18Y109 COLUMN 49 TILEPROP INT_L_X18Y109 DEVICE_ID 0 TILEPROP INT_L_X18Y109 FIRST_SITE_ID 4192 TILEPROP INT_L_X18Y109 GRID_POINT_X 49 TILEPROP INT_L_X18Y109 GRID_POINT_Y 42 TILEPROP INT_L_X18Y109 INDEX 4879 TILEPROP INT_L_X18Y109 INT_TILE_X 18 TILEPROP INT_L_X18Y109 INT_TILE_Y 40 TILEPROP INT_L_X18Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y109 IS_DCM_TILE 0 TILEPROP INT_L_X18Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y109 NAME INT_L_X18Y109 TILEPROP INT_L_X18Y109 NUM_ARCS 3737 TILEPROP INT_L_X18Y109 NUM_SITES 1 TILEPROP INT_L_X18Y109 ROW 42 TILEPROP INT_L_X18Y109 SLR_REGION_ID 0 TILEPROP INT_L_X18Y109 TILE_PATTERN_IDX 5114 TILEPROP INT_L_X18Y109 TILE_TYPE INT_L TILEPROP INT_L_X18Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y109 TILE_X -14880 TILEPROP INT_L_X18Y109 TILE_Y 111672 TILEPROP INT_L_X18Y109 TYPE INT_L TILEPROP INT_L_X18Y110 CLASS tile TILEPROP INT_L_X18Y110 COLUMN 49 TILEPROP INT_L_X18Y110 DEVICE_ID 0 TILEPROP INT_L_X18Y110 FIRST_SITE_ID 4092 TILEPROP INT_L_X18Y110 GRID_POINT_X 49 TILEPROP INT_L_X18Y110 GRID_POINT_Y 41 TILEPROP INT_L_X18Y110 INDEX 4764 TILEPROP INT_L_X18Y110 INT_TILE_X 18 TILEPROP INT_L_X18Y110 INT_TILE_Y 39 TILEPROP INT_L_X18Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y110 IS_DCM_TILE 0 TILEPROP INT_L_X18Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y110 NAME INT_L_X18Y110 TILEPROP INT_L_X18Y110 NUM_ARCS 3737 TILEPROP INT_L_X18Y110 NUM_SITES 1 TILEPROP INT_L_X18Y110 ROW 41 TILEPROP INT_L_X18Y110 SLR_REGION_ID 0 TILEPROP INT_L_X18Y110 TILE_PATTERN_IDX 5077 TILEPROP INT_L_X18Y110 TILE_TYPE INT_L TILEPROP INT_L_X18Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y110 TILE_X -14880 TILEPROP INT_L_X18Y110 TILE_Y 114872 TILEPROP INT_L_X18Y110 TYPE INT_L TILEPROP INT_L_X18Y111 CLASS tile TILEPROP INT_L_X18Y111 COLUMN 49 TILEPROP INT_L_X18Y111 DEVICE_ID 0 TILEPROP INT_L_X18Y111 FIRST_SITE_ID 3998 TILEPROP INT_L_X18Y111 GRID_POINT_X 49 TILEPROP INT_L_X18Y111 GRID_POINT_Y 40 TILEPROP INT_L_X18Y111 INDEX 4649 TILEPROP INT_L_X18Y111 INT_TILE_X 18 TILEPROP INT_L_X18Y111 INT_TILE_Y 38 TILEPROP INT_L_X18Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y111 IS_DCM_TILE 0 TILEPROP INT_L_X18Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y111 NAME INT_L_X18Y111 TILEPROP INT_L_X18Y111 NUM_ARCS 3737 TILEPROP INT_L_X18Y111 NUM_SITES 1 TILEPROP INT_L_X18Y111 ROW 40 TILEPROP INT_L_X18Y111 SLR_REGION_ID 0 TILEPROP INT_L_X18Y111 TILE_PATTERN_IDX 5041 TILEPROP INT_L_X18Y111 TILE_TYPE INT_L TILEPROP INT_L_X18Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y111 TILE_X -14880 TILEPROP INT_L_X18Y111 TILE_Y 118072 TILEPROP INT_L_X18Y111 TYPE INT_L TILEPROP INT_L_X18Y112 CLASS tile TILEPROP INT_L_X18Y112 COLUMN 49 TILEPROP INT_L_X18Y112 DEVICE_ID 0 TILEPROP INT_L_X18Y112 FIRST_SITE_ID 3870 TILEPROP INT_L_X18Y112 GRID_POINT_X 49 TILEPROP INT_L_X18Y112 GRID_POINT_Y 39 TILEPROP INT_L_X18Y112 INDEX 4534 TILEPROP INT_L_X18Y112 INT_TILE_X 18 TILEPROP INT_L_X18Y112 INT_TILE_Y 37 TILEPROP INT_L_X18Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y112 IS_DCM_TILE 0 TILEPROP INT_L_X18Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y112 NAME INT_L_X18Y112 TILEPROP INT_L_X18Y112 NUM_ARCS 3737 TILEPROP INT_L_X18Y112 NUM_SITES 1 TILEPROP INT_L_X18Y112 ROW 39 TILEPROP INT_L_X18Y112 SLR_REGION_ID 0 TILEPROP INT_L_X18Y112 TILE_PATTERN_IDX 5003 TILEPROP INT_L_X18Y112 TILE_TYPE INT_L TILEPROP INT_L_X18Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y112 TILE_X -14880 TILEPROP INT_L_X18Y112 TILE_Y 121272 TILEPROP INT_L_X18Y112 TYPE INT_L TILEPROP INT_L_X18Y113 CLASS tile TILEPROP INT_L_X18Y113 COLUMN 49 TILEPROP INT_L_X18Y113 DEVICE_ID 0 TILEPROP INT_L_X18Y113 FIRST_SITE_ID 3782 TILEPROP INT_L_X18Y113 GRID_POINT_X 49 TILEPROP INT_L_X18Y113 GRID_POINT_Y 38 TILEPROP INT_L_X18Y113 INDEX 4419 TILEPROP INT_L_X18Y113 INT_TILE_X 18 TILEPROP INT_L_X18Y113 INT_TILE_Y 36 TILEPROP INT_L_X18Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y113 IS_DCM_TILE 0 TILEPROP INT_L_X18Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y113 NAME INT_L_X18Y113 TILEPROP INT_L_X18Y113 NUM_ARCS 3737 TILEPROP INT_L_X18Y113 NUM_SITES 1 TILEPROP INT_L_X18Y113 ROW 38 TILEPROP INT_L_X18Y113 SLR_REGION_ID 0 TILEPROP INT_L_X18Y113 TILE_PATTERN_IDX 4967 TILEPROP INT_L_X18Y113 TILE_TYPE INT_L TILEPROP INT_L_X18Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y113 TILE_X -14880 TILEPROP INT_L_X18Y113 TILE_Y 124472 TILEPROP INT_L_X18Y113 TYPE INT_L TILEPROP INT_L_X18Y114 CLASS tile TILEPROP INT_L_X18Y114 COLUMN 49 TILEPROP INT_L_X18Y114 DEVICE_ID 0 TILEPROP INT_L_X18Y114 FIRST_SITE_ID 3686 TILEPROP INT_L_X18Y114 GRID_POINT_X 49 TILEPROP INT_L_X18Y114 GRID_POINT_Y 37 TILEPROP INT_L_X18Y114 INDEX 4304 TILEPROP INT_L_X18Y114 INT_TILE_X 18 TILEPROP INT_L_X18Y114 INT_TILE_Y 35 TILEPROP INT_L_X18Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y114 IS_DCM_TILE 0 TILEPROP INT_L_X18Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y114 NAME INT_L_X18Y114 TILEPROP INT_L_X18Y114 NUM_ARCS 3737 TILEPROP INT_L_X18Y114 NUM_SITES 1 TILEPROP INT_L_X18Y114 ROW 37 TILEPROP INT_L_X18Y114 SLR_REGION_ID 0 TILEPROP INT_L_X18Y114 TILE_PATTERN_IDX 4930 TILEPROP INT_L_X18Y114 TILE_TYPE INT_L TILEPROP INT_L_X18Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y114 TILE_X -14880 TILEPROP INT_L_X18Y114 TILE_Y 127672 TILEPROP INT_L_X18Y114 TYPE INT_L TILEPROP INT_L_X18Y115 CLASS tile TILEPROP INT_L_X18Y115 COLUMN 49 TILEPROP INT_L_X18Y115 DEVICE_ID 0 TILEPROP INT_L_X18Y115 FIRST_SITE_ID 3595 TILEPROP INT_L_X18Y115 GRID_POINT_X 49 TILEPROP INT_L_X18Y115 GRID_POINT_Y 36 TILEPROP INT_L_X18Y115 INDEX 4189 TILEPROP INT_L_X18Y115 INT_TILE_X 18 TILEPROP INT_L_X18Y115 INT_TILE_Y 34 TILEPROP INT_L_X18Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y115 IS_DCM_TILE 0 TILEPROP INT_L_X18Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y115 NAME INT_L_X18Y115 TILEPROP INT_L_X18Y115 NUM_ARCS 3737 TILEPROP INT_L_X18Y115 NUM_SITES 1 TILEPROP INT_L_X18Y115 ROW 36 TILEPROP INT_L_X18Y115 SLR_REGION_ID 0 TILEPROP INT_L_X18Y115 TILE_PATTERN_IDX 4894 TILEPROP INT_L_X18Y115 TILE_TYPE INT_L TILEPROP INT_L_X18Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y115 TILE_X -14880 TILEPROP INT_L_X18Y115 TILE_Y 130872 TILEPROP INT_L_X18Y115 TYPE INT_L TILEPROP INT_L_X18Y116 CLASS tile TILEPROP INT_L_X18Y116 COLUMN 49 TILEPROP INT_L_X18Y116 DEVICE_ID 0 TILEPROP INT_L_X18Y116 FIRST_SITE_ID 3488 TILEPROP INT_L_X18Y116 GRID_POINT_X 49 TILEPROP INT_L_X18Y116 GRID_POINT_Y 35 TILEPROP INT_L_X18Y116 INDEX 4074 TILEPROP INT_L_X18Y116 INT_TILE_X 18 TILEPROP INT_L_X18Y116 INT_TILE_Y 33 TILEPROP INT_L_X18Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y116 IS_DCM_TILE 0 TILEPROP INT_L_X18Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y116 NAME INT_L_X18Y116 TILEPROP INT_L_X18Y116 NUM_ARCS 3737 TILEPROP INT_L_X18Y116 NUM_SITES 1 TILEPROP INT_L_X18Y116 ROW 35 TILEPROP INT_L_X18Y116 SLR_REGION_ID 0 TILEPROP INT_L_X18Y116 TILE_PATTERN_IDX 4857 TILEPROP INT_L_X18Y116 TILE_TYPE INT_L TILEPROP INT_L_X18Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y116 TILE_X -14880 TILEPROP INT_L_X18Y116 TILE_Y 134072 TILEPROP INT_L_X18Y116 TYPE INT_L TILEPROP INT_L_X18Y117 CLASS tile TILEPROP INT_L_X18Y117 COLUMN 49 TILEPROP INT_L_X18Y117 DEVICE_ID 0 TILEPROP INT_L_X18Y117 FIRST_SITE_ID 3400 TILEPROP INT_L_X18Y117 GRID_POINT_X 49 TILEPROP INT_L_X18Y117 GRID_POINT_Y 34 TILEPROP INT_L_X18Y117 INDEX 3959 TILEPROP INT_L_X18Y117 INT_TILE_X 18 TILEPROP INT_L_X18Y117 INT_TILE_Y 32 TILEPROP INT_L_X18Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y117 IS_DCM_TILE 0 TILEPROP INT_L_X18Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y117 NAME INT_L_X18Y117 TILEPROP INT_L_X18Y117 NUM_ARCS 3737 TILEPROP INT_L_X18Y117 NUM_SITES 1 TILEPROP INT_L_X18Y117 ROW 34 TILEPROP INT_L_X18Y117 SLR_REGION_ID 0 TILEPROP INT_L_X18Y117 TILE_PATTERN_IDX 4821 TILEPROP INT_L_X18Y117 TILE_TYPE INT_L TILEPROP INT_L_X18Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y117 TILE_X -14880 TILEPROP INT_L_X18Y117 TILE_Y 137272 TILEPROP INT_L_X18Y117 TYPE INT_L TILEPROP INT_L_X18Y118 CLASS tile TILEPROP INT_L_X18Y118 COLUMN 49 TILEPROP INT_L_X18Y118 DEVICE_ID 0 TILEPROP INT_L_X18Y118 FIRST_SITE_ID 3300 TILEPROP INT_L_X18Y118 GRID_POINT_X 49 TILEPROP INT_L_X18Y118 GRID_POINT_Y 33 TILEPROP INT_L_X18Y118 INDEX 3844 TILEPROP INT_L_X18Y118 INT_TILE_X 18 TILEPROP INT_L_X18Y118 INT_TILE_Y 31 TILEPROP INT_L_X18Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y118 IS_DCM_TILE 0 TILEPROP INT_L_X18Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y118 NAME INT_L_X18Y118 TILEPROP INT_L_X18Y118 NUM_ARCS 3737 TILEPROP INT_L_X18Y118 NUM_SITES 1 TILEPROP INT_L_X18Y118 ROW 33 TILEPROP INT_L_X18Y118 SLR_REGION_ID 0 TILEPROP INT_L_X18Y118 TILE_PATTERN_IDX 4783 TILEPROP INT_L_X18Y118 TILE_TYPE INT_L TILEPROP INT_L_X18Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y118 TILE_X -14880 TILEPROP INT_L_X18Y118 TILE_Y 140472 TILEPROP INT_L_X18Y118 TYPE INT_L TILEPROP INT_L_X18Y119 CLASS tile TILEPROP INT_L_X18Y119 COLUMN 49 TILEPROP INT_L_X18Y119 DEVICE_ID 0 TILEPROP INT_L_X18Y119 FIRST_SITE_ID 3212 TILEPROP INT_L_X18Y119 GRID_POINT_X 49 TILEPROP INT_L_X18Y119 GRID_POINT_Y 32 TILEPROP INT_L_X18Y119 INDEX 3729 TILEPROP INT_L_X18Y119 INT_TILE_X 18 TILEPROP INT_L_X18Y119 INT_TILE_Y 30 TILEPROP INT_L_X18Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y119 IS_DCM_TILE 0 TILEPROP INT_L_X18Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y119 NAME INT_L_X18Y119 TILEPROP INT_L_X18Y119 NUM_ARCS 3737 TILEPROP INT_L_X18Y119 NUM_SITES 1 TILEPROP INT_L_X18Y119 ROW 32 TILEPROP INT_L_X18Y119 SLR_REGION_ID 0 TILEPROP INT_L_X18Y119 TILE_PATTERN_IDX 4747 TILEPROP INT_L_X18Y119 TILE_TYPE INT_L TILEPROP INT_L_X18Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y119 TILE_X -14880 TILEPROP INT_L_X18Y119 TILE_Y 143672 TILEPROP INT_L_X18Y119 TYPE INT_L TILEPROP INT_L_X18Y120 CLASS tile TILEPROP INT_L_X18Y120 COLUMN 49 TILEPROP INT_L_X18Y120 DEVICE_ID 0 TILEPROP INT_L_X18Y120 FIRST_SITE_ID 3111 TILEPROP INT_L_X18Y120 GRID_POINT_X 49 TILEPROP INT_L_X18Y120 GRID_POINT_Y 31 TILEPROP INT_L_X18Y120 INDEX 3614 TILEPROP INT_L_X18Y120 INT_TILE_X 18 TILEPROP INT_L_X18Y120 INT_TILE_Y 29 TILEPROP INT_L_X18Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y120 IS_DCM_TILE 0 TILEPROP INT_L_X18Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y120 NAME INT_L_X18Y120 TILEPROP INT_L_X18Y120 NUM_ARCS 3737 TILEPROP INT_L_X18Y120 NUM_SITES 1 TILEPROP INT_L_X18Y120 ROW 31 TILEPROP INT_L_X18Y120 SLR_REGION_ID 0 TILEPROP INT_L_X18Y120 TILE_PATTERN_IDX 4710 TILEPROP INT_L_X18Y120 TILE_TYPE INT_L TILEPROP INT_L_X18Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y120 TILE_X -14880 TILEPROP INT_L_X18Y120 TILE_Y 146872 TILEPROP INT_L_X18Y120 TYPE INT_L TILEPROP INT_L_X18Y121 CLASS tile TILEPROP INT_L_X18Y121 COLUMN 49 TILEPROP INT_L_X18Y121 DEVICE_ID 0 TILEPROP INT_L_X18Y121 FIRST_SITE_ID 3017 TILEPROP INT_L_X18Y121 GRID_POINT_X 49 TILEPROP INT_L_X18Y121 GRID_POINT_Y 30 TILEPROP INT_L_X18Y121 INDEX 3499 TILEPROP INT_L_X18Y121 INT_TILE_X 18 TILEPROP INT_L_X18Y121 INT_TILE_Y 28 TILEPROP INT_L_X18Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y121 IS_DCM_TILE 0 TILEPROP INT_L_X18Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y121 NAME INT_L_X18Y121 TILEPROP INT_L_X18Y121 NUM_ARCS 3737 TILEPROP INT_L_X18Y121 NUM_SITES 1 TILEPROP INT_L_X18Y121 ROW 30 TILEPROP INT_L_X18Y121 SLR_REGION_ID 0 TILEPROP INT_L_X18Y121 TILE_PATTERN_IDX 4674 TILEPROP INT_L_X18Y121 TILE_TYPE INT_L TILEPROP INT_L_X18Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y121 TILE_X -14880 TILEPROP INT_L_X18Y121 TILE_Y 150072 TILEPROP INT_L_X18Y121 TYPE INT_L TILEPROP INT_L_X18Y122 CLASS tile TILEPROP INT_L_X18Y122 COLUMN 49 TILEPROP INT_L_X18Y122 DEVICE_ID 0 TILEPROP INT_L_X18Y122 FIRST_SITE_ID 2914 TILEPROP INT_L_X18Y122 GRID_POINT_X 49 TILEPROP INT_L_X18Y122 GRID_POINT_Y 29 TILEPROP INT_L_X18Y122 INDEX 3384 TILEPROP INT_L_X18Y122 INT_TILE_X 18 TILEPROP INT_L_X18Y122 INT_TILE_Y 27 TILEPROP INT_L_X18Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y122 IS_DCM_TILE 0 TILEPROP INT_L_X18Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y122 NAME INT_L_X18Y122 TILEPROP INT_L_X18Y122 NUM_ARCS 3737 TILEPROP INT_L_X18Y122 NUM_SITES 1 TILEPROP INT_L_X18Y122 ROW 29 TILEPROP INT_L_X18Y122 SLR_REGION_ID 0 TILEPROP INT_L_X18Y122 TILE_PATTERN_IDX 4636 TILEPROP INT_L_X18Y122 TILE_TYPE INT_L TILEPROP INT_L_X18Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y122 TILE_X -14880 TILEPROP INT_L_X18Y122 TILE_Y 153272 TILEPROP INT_L_X18Y122 TYPE INT_L TILEPROP INT_L_X18Y123 CLASS tile TILEPROP INT_L_X18Y123 COLUMN 49 TILEPROP INT_L_X18Y123 DEVICE_ID 0 TILEPROP INT_L_X18Y123 FIRST_SITE_ID 2826 TILEPROP INT_L_X18Y123 GRID_POINT_X 49 TILEPROP INT_L_X18Y123 GRID_POINT_Y 28 TILEPROP INT_L_X18Y123 INDEX 3269 TILEPROP INT_L_X18Y123 INT_TILE_X 18 TILEPROP INT_L_X18Y123 INT_TILE_Y 26 TILEPROP INT_L_X18Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y123 IS_DCM_TILE 0 TILEPROP INT_L_X18Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y123 NAME INT_L_X18Y123 TILEPROP INT_L_X18Y123 NUM_ARCS 3737 TILEPROP INT_L_X18Y123 NUM_SITES 1 TILEPROP INT_L_X18Y123 ROW 28 TILEPROP INT_L_X18Y123 SLR_REGION_ID 0 TILEPROP INT_L_X18Y123 TILE_PATTERN_IDX 4600 TILEPROP INT_L_X18Y123 TILE_TYPE INT_L TILEPROP INT_L_X18Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y123 TILE_X -14880 TILEPROP INT_L_X18Y123 TILE_Y 156472 TILEPROP INT_L_X18Y123 TYPE INT_L TILEPROP INT_L_X18Y124 CLASS tile TILEPROP INT_L_X18Y124 COLUMN 49 TILEPROP INT_L_X18Y124 DEVICE_ID 0 TILEPROP INT_L_X18Y124 FIRST_SITE_ID 2730 TILEPROP INT_L_X18Y124 GRID_POINT_X 49 TILEPROP INT_L_X18Y124 GRID_POINT_Y 27 TILEPROP INT_L_X18Y124 INDEX 3154 TILEPROP INT_L_X18Y124 INT_TILE_X 18 TILEPROP INT_L_X18Y124 INT_TILE_Y 25 TILEPROP INT_L_X18Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y124 IS_DCM_TILE 0 TILEPROP INT_L_X18Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y124 NAME INT_L_X18Y124 TILEPROP INT_L_X18Y124 NUM_ARCS 3737 TILEPROP INT_L_X18Y124 NUM_SITES 1 TILEPROP INT_L_X18Y124 ROW 27 TILEPROP INT_L_X18Y124 SLR_REGION_ID 0 TILEPROP INT_L_X18Y124 TILE_PATTERN_IDX 4563 TILEPROP INT_L_X18Y124 TILE_TYPE INT_L TILEPROP INT_L_X18Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y124 TILE_X -14880 TILEPROP INT_L_X18Y124 TILE_Y 159672 TILEPROP INT_L_X18Y124 TYPE INT_L TILEPROP INT_L_X18Y125 CLASS tile TILEPROP INT_L_X18Y125 COLUMN 49 TILEPROP INT_L_X18Y125 DEVICE_ID 0 TILEPROP INT_L_X18Y125 FIRST_SITE_ID 2557 TILEPROP INT_L_X18Y125 GRID_POINT_X 49 TILEPROP INT_L_X18Y125 GRID_POINT_Y 25 TILEPROP INT_L_X18Y125 INDEX 2924 TILEPROP INT_L_X18Y125 INT_TILE_X 18 TILEPROP INT_L_X18Y125 INT_TILE_Y 24 TILEPROP INT_L_X18Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y125 IS_DCM_TILE 0 TILEPROP INT_L_X18Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y125 NAME INT_L_X18Y125 TILEPROP INT_L_X18Y125 NUM_ARCS 3737 TILEPROP INT_L_X18Y125 NUM_SITES 1 TILEPROP INT_L_X18Y125 ROW 25 TILEPROP INT_L_X18Y125 SLR_REGION_ID 0 TILEPROP INT_L_X18Y125 TILE_PATTERN_IDX 4484 TILEPROP INT_L_X18Y125 TILE_TYPE INT_L TILEPROP INT_L_X18Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y125 TILE_X -14880 TILEPROP INT_L_X18Y125 TILE_Y 163896 TILEPROP INT_L_X18Y125 TYPE INT_L TILEPROP INT_L_X18Y126 CLASS tile TILEPROP INT_L_X18Y126 COLUMN 49 TILEPROP INT_L_X18Y126 DEVICE_ID 0 TILEPROP INT_L_X18Y126 FIRST_SITE_ID 2447 TILEPROP INT_L_X18Y126 GRID_POINT_X 49 TILEPROP INT_L_X18Y126 GRID_POINT_Y 24 TILEPROP INT_L_X18Y126 INDEX 2809 TILEPROP INT_L_X18Y126 INT_TILE_X 18 TILEPROP INT_L_X18Y126 INT_TILE_Y 23 TILEPROP INT_L_X18Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y126 IS_DCM_TILE 0 TILEPROP INT_L_X18Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y126 NAME INT_L_X18Y126 TILEPROP INT_L_X18Y126 NUM_ARCS 3737 TILEPROP INT_L_X18Y126 NUM_SITES 1 TILEPROP INT_L_X18Y126 ROW 24 TILEPROP INT_L_X18Y126 SLR_REGION_ID 0 TILEPROP INT_L_X18Y126 TILE_PATTERN_IDX 4445 TILEPROP INT_L_X18Y126 TILE_TYPE INT_L TILEPROP INT_L_X18Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y126 TILE_X -14880 TILEPROP INT_L_X18Y126 TILE_Y 167096 TILEPROP INT_L_X18Y126 TYPE INT_L TILEPROP INT_L_X18Y127 CLASS tile TILEPROP INT_L_X18Y127 COLUMN 49 TILEPROP INT_L_X18Y127 DEVICE_ID 0 TILEPROP INT_L_X18Y127 FIRST_SITE_ID 2351 TILEPROP INT_L_X18Y127 GRID_POINT_X 49 TILEPROP INT_L_X18Y127 GRID_POINT_Y 23 TILEPROP INT_L_X18Y127 INDEX 2694 TILEPROP INT_L_X18Y127 INT_TILE_X 18 TILEPROP INT_L_X18Y127 INT_TILE_Y 22 TILEPROP INT_L_X18Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y127 IS_DCM_TILE 0 TILEPROP INT_L_X18Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y127 NAME INT_L_X18Y127 TILEPROP INT_L_X18Y127 NUM_ARCS 3737 TILEPROP INT_L_X18Y127 NUM_SITES 1 TILEPROP INT_L_X18Y127 ROW 23 TILEPROP INT_L_X18Y127 SLR_REGION_ID 0 TILEPROP INT_L_X18Y127 TILE_PATTERN_IDX 4407 TILEPROP INT_L_X18Y127 TILE_TYPE INT_L TILEPROP INT_L_X18Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y127 TILE_X -14880 TILEPROP INT_L_X18Y127 TILE_Y 170296 TILEPROP INT_L_X18Y127 TYPE INT_L TILEPROP INT_L_X18Y128 CLASS tile TILEPROP INT_L_X18Y128 COLUMN 49 TILEPROP INT_L_X18Y128 DEVICE_ID 0 TILEPROP INT_L_X18Y128 FIRST_SITE_ID 2247 TILEPROP INT_L_X18Y128 GRID_POINT_X 49 TILEPROP INT_L_X18Y128 GRID_POINT_Y 22 TILEPROP INT_L_X18Y128 INDEX 2579 TILEPROP INT_L_X18Y128 INT_TILE_X 18 TILEPROP INT_L_X18Y128 INT_TILE_Y 21 TILEPROP INT_L_X18Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y128 IS_DCM_TILE 0 TILEPROP INT_L_X18Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y128 NAME INT_L_X18Y128 TILEPROP INT_L_X18Y128 NUM_ARCS 3737 TILEPROP INT_L_X18Y128 NUM_SITES 1 TILEPROP INT_L_X18Y128 ROW 22 TILEPROP INT_L_X18Y128 SLR_REGION_ID 0 TILEPROP INT_L_X18Y128 TILE_PATTERN_IDX 4368 TILEPROP INT_L_X18Y128 TILE_TYPE INT_L TILEPROP INT_L_X18Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y128 TILE_X -14880 TILEPROP INT_L_X18Y128 TILE_Y 173496 TILEPROP INT_L_X18Y128 TYPE INT_L TILEPROP INT_L_X18Y129 CLASS tile TILEPROP INT_L_X18Y129 COLUMN 49 TILEPROP INT_L_X18Y129 DEVICE_ID 0 TILEPROP INT_L_X18Y129 FIRST_SITE_ID 2151 TILEPROP INT_L_X18Y129 GRID_POINT_X 49 TILEPROP INT_L_X18Y129 GRID_POINT_Y 21 TILEPROP INT_L_X18Y129 INDEX 2464 TILEPROP INT_L_X18Y129 INT_TILE_X 18 TILEPROP INT_L_X18Y129 INT_TILE_Y 20 TILEPROP INT_L_X18Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y129 IS_DCM_TILE 0 TILEPROP INT_L_X18Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y129 NAME INT_L_X18Y129 TILEPROP INT_L_X18Y129 NUM_ARCS 3737 TILEPROP INT_L_X18Y129 NUM_SITES 1 TILEPROP INT_L_X18Y129 ROW 21 TILEPROP INT_L_X18Y129 SLR_REGION_ID 0 TILEPROP INT_L_X18Y129 TILE_PATTERN_IDX 4330 TILEPROP INT_L_X18Y129 TILE_TYPE INT_L TILEPROP INT_L_X18Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y129 TILE_X -14880 TILEPROP INT_L_X18Y129 TILE_Y 176696 TILEPROP INT_L_X18Y129 TYPE INT_L TILEPROP INT_L_X18Y130 CLASS tile TILEPROP INT_L_X18Y130 COLUMN 49 TILEPROP INT_L_X18Y130 DEVICE_ID 0 TILEPROP INT_L_X18Y130 FIRST_SITE_ID 2035 TILEPROP INT_L_X18Y130 GRID_POINT_X 49 TILEPROP INT_L_X18Y130 GRID_POINT_Y 20 TILEPROP INT_L_X18Y130 INDEX 2349 TILEPROP INT_L_X18Y130 INT_TILE_X 18 TILEPROP INT_L_X18Y130 INT_TILE_Y 19 TILEPROP INT_L_X18Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y130 IS_DCM_TILE 0 TILEPROP INT_L_X18Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y130 NAME INT_L_X18Y130 TILEPROP INT_L_X18Y130 NUM_ARCS 3737 TILEPROP INT_L_X18Y130 NUM_SITES 1 TILEPROP INT_L_X18Y130 ROW 20 TILEPROP INT_L_X18Y130 SLR_REGION_ID 0 TILEPROP INT_L_X18Y130 TILE_PATTERN_IDX 4290 TILEPROP INT_L_X18Y130 TILE_TYPE INT_L TILEPROP INT_L_X18Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y130 TILE_X -14880 TILEPROP INT_L_X18Y130 TILE_Y 179896 TILEPROP INT_L_X18Y130 TYPE INT_L TILEPROP INT_L_X18Y131 CLASS tile TILEPROP INT_L_X18Y131 COLUMN 49 TILEPROP INT_L_X18Y131 DEVICE_ID 0 TILEPROP INT_L_X18Y131 FIRST_SITE_ID 1933 TILEPROP INT_L_X18Y131 GRID_POINT_X 49 TILEPROP INT_L_X18Y131 GRID_POINT_Y 19 TILEPROP INT_L_X18Y131 INDEX 2234 TILEPROP INT_L_X18Y131 INT_TILE_X 18 TILEPROP INT_L_X18Y131 INT_TILE_Y 18 TILEPROP INT_L_X18Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y131 IS_DCM_TILE 0 TILEPROP INT_L_X18Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y131 NAME INT_L_X18Y131 TILEPROP INT_L_X18Y131 NUM_ARCS 3737 TILEPROP INT_L_X18Y131 NUM_SITES 1 TILEPROP INT_L_X18Y131 ROW 19 TILEPROP INT_L_X18Y131 SLR_REGION_ID 0 TILEPROP INT_L_X18Y131 TILE_PATTERN_IDX 4252 TILEPROP INT_L_X18Y131 TILE_TYPE INT_L TILEPROP INT_L_X18Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y131 TILE_X -14880 TILEPROP INT_L_X18Y131 TILE_Y 183096 TILEPROP INT_L_X18Y131 TYPE INT_L TILEPROP INT_L_X18Y132 CLASS tile TILEPROP INT_L_X18Y132 COLUMN 49 TILEPROP INT_L_X18Y132 DEVICE_ID 0 TILEPROP INT_L_X18Y132 FIRST_SITE_ID 1827 TILEPROP INT_L_X18Y132 GRID_POINT_X 49 TILEPROP INT_L_X18Y132 GRID_POINT_Y 18 TILEPROP INT_L_X18Y132 INDEX 2119 TILEPROP INT_L_X18Y132 INT_TILE_X 18 TILEPROP INT_L_X18Y132 INT_TILE_Y 17 TILEPROP INT_L_X18Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y132 IS_DCM_TILE 0 TILEPROP INT_L_X18Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y132 NAME INT_L_X18Y132 TILEPROP INT_L_X18Y132 NUM_ARCS 3737 TILEPROP INT_L_X18Y132 NUM_SITES 1 TILEPROP INT_L_X18Y132 ROW 18 TILEPROP INT_L_X18Y132 SLR_REGION_ID 0 TILEPROP INT_L_X18Y132 TILE_PATTERN_IDX 4213 TILEPROP INT_L_X18Y132 TILE_TYPE INT_L TILEPROP INT_L_X18Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y132 TILE_X -14880 TILEPROP INT_L_X18Y132 TILE_Y 186296 TILEPROP INT_L_X18Y132 TYPE INT_L TILEPROP INT_L_X18Y133 CLASS tile TILEPROP INT_L_X18Y133 COLUMN 49 TILEPROP INT_L_X18Y133 DEVICE_ID 0 TILEPROP INT_L_X18Y133 FIRST_SITE_ID 1726 TILEPROP INT_L_X18Y133 GRID_POINT_X 49 TILEPROP INT_L_X18Y133 GRID_POINT_Y 17 TILEPROP INT_L_X18Y133 INDEX 2004 TILEPROP INT_L_X18Y133 INT_TILE_X 18 TILEPROP INT_L_X18Y133 INT_TILE_Y 16 TILEPROP INT_L_X18Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y133 IS_DCM_TILE 0 TILEPROP INT_L_X18Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y133 NAME INT_L_X18Y133 TILEPROP INT_L_X18Y133 NUM_ARCS 3737 TILEPROP INT_L_X18Y133 NUM_SITES 1 TILEPROP INT_L_X18Y133 ROW 17 TILEPROP INT_L_X18Y133 SLR_REGION_ID 0 TILEPROP INT_L_X18Y133 TILE_PATTERN_IDX 4175 TILEPROP INT_L_X18Y133 TILE_TYPE INT_L TILEPROP INT_L_X18Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y133 TILE_X -14880 TILEPROP INT_L_X18Y133 TILE_Y 189496 TILEPROP INT_L_X18Y133 TYPE INT_L TILEPROP INT_L_X18Y134 CLASS tile TILEPROP INT_L_X18Y134 COLUMN 49 TILEPROP INT_L_X18Y134 DEVICE_ID 0 TILEPROP INT_L_X18Y134 FIRST_SITE_ID 1622 TILEPROP INT_L_X18Y134 GRID_POINT_X 49 TILEPROP INT_L_X18Y134 GRID_POINT_Y 16 TILEPROP INT_L_X18Y134 INDEX 1889 TILEPROP INT_L_X18Y134 INT_TILE_X 18 TILEPROP INT_L_X18Y134 INT_TILE_Y 15 TILEPROP INT_L_X18Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y134 IS_DCM_TILE 0 TILEPROP INT_L_X18Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y134 NAME INT_L_X18Y134 TILEPROP INT_L_X18Y134 NUM_ARCS 3737 TILEPROP INT_L_X18Y134 NUM_SITES 1 TILEPROP INT_L_X18Y134 ROW 16 TILEPROP INT_L_X18Y134 SLR_REGION_ID 0 TILEPROP INT_L_X18Y134 TILE_PATTERN_IDX 4136 TILEPROP INT_L_X18Y134 TILE_TYPE INT_L TILEPROP INT_L_X18Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y134 TILE_X -14880 TILEPROP INT_L_X18Y134 TILE_Y 192696 TILEPROP INT_L_X18Y134 TYPE INT_L TILEPROP INT_L_X18Y135 CLASS tile TILEPROP INT_L_X18Y135 COLUMN 49 TILEPROP INT_L_X18Y135 DEVICE_ID 0 TILEPROP INT_L_X18Y135 FIRST_SITE_ID 1520 TILEPROP INT_L_X18Y135 GRID_POINT_X 49 TILEPROP INT_L_X18Y135 GRID_POINT_Y 15 TILEPROP INT_L_X18Y135 INDEX 1774 TILEPROP INT_L_X18Y135 INT_TILE_X 18 TILEPROP INT_L_X18Y135 INT_TILE_Y 14 TILEPROP INT_L_X18Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y135 IS_DCM_TILE 0 TILEPROP INT_L_X18Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y135 NAME INT_L_X18Y135 TILEPROP INT_L_X18Y135 NUM_ARCS 3737 TILEPROP INT_L_X18Y135 NUM_SITES 1 TILEPROP INT_L_X18Y135 ROW 15 TILEPROP INT_L_X18Y135 SLR_REGION_ID 0 TILEPROP INT_L_X18Y135 TILE_PATTERN_IDX 4098 TILEPROP INT_L_X18Y135 TILE_TYPE INT_L TILEPROP INT_L_X18Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y135 TILE_X -14880 TILEPROP INT_L_X18Y135 TILE_Y 195896 TILEPROP INT_L_X18Y135 TYPE INT_L TILEPROP INT_L_X18Y136 CLASS tile TILEPROP INT_L_X18Y136 COLUMN 49 TILEPROP INT_L_X18Y136 DEVICE_ID 0 TILEPROP INT_L_X18Y136 FIRST_SITE_ID 1378 TILEPROP INT_L_X18Y136 GRID_POINT_X 49 TILEPROP INT_L_X18Y136 GRID_POINT_Y 14 TILEPROP INT_L_X18Y136 INDEX 1659 TILEPROP INT_L_X18Y136 INT_TILE_X 18 TILEPROP INT_L_X18Y136 INT_TILE_Y 13 TILEPROP INT_L_X18Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y136 IS_DCM_TILE 0 TILEPROP INT_L_X18Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y136 NAME INT_L_X18Y136 TILEPROP INT_L_X18Y136 NUM_ARCS 3737 TILEPROP INT_L_X18Y136 NUM_SITES 1 TILEPROP INT_L_X18Y136 ROW 14 TILEPROP INT_L_X18Y136 SLR_REGION_ID 0 TILEPROP INT_L_X18Y136 TILE_PATTERN_IDX 4059 TILEPROP INT_L_X18Y136 TILE_TYPE INT_L TILEPROP INT_L_X18Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y136 TILE_X -14880 TILEPROP INT_L_X18Y136 TILE_Y 199096 TILEPROP INT_L_X18Y136 TYPE INT_L TILEPROP INT_L_X18Y137 CLASS tile TILEPROP INT_L_X18Y137 COLUMN 49 TILEPROP INT_L_X18Y137 DEVICE_ID 0 TILEPROP INT_L_X18Y137 FIRST_SITE_ID 1282 TILEPROP INT_L_X18Y137 GRID_POINT_X 49 TILEPROP INT_L_X18Y137 GRID_POINT_Y 13 TILEPROP INT_L_X18Y137 INDEX 1544 TILEPROP INT_L_X18Y137 INT_TILE_X 18 TILEPROP INT_L_X18Y137 INT_TILE_Y 12 TILEPROP INT_L_X18Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y137 IS_DCM_TILE 0 TILEPROP INT_L_X18Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y137 NAME INT_L_X18Y137 TILEPROP INT_L_X18Y137 NUM_ARCS 3737 TILEPROP INT_L_X18Y137 NUM_SITES 1 TILEPROP INT_L_X18Y137 ROW 13 TILEPROP INT_L_X18Y137 SLR_REGION_ID 0 TILEPROP INT_L_X18Y137 TILE_PATTERN_IDX 4021 TILEPROP INT_L_X18Y137 TILE_TYPE INT_L TILEPROP INT_L_X18Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y137 TILE_X -14880 TILEPROP INT_L_X18Y137 TILE_Y 202296 TILEPROP INT_L_X18Y137 TYPE INT_L TILEPROP INT_L_X18Y138 CLASS tile TILEPROP INT_L_X18Y138 COLUMN 49 TILEPROP INT_L_X18Y138 DEVICE_ID 0 TILEPROP INT_L_X18Y138 FIRST_SITE_ID 1178 TILEPROP INT_L_X18Y138 GRID_POINT_X 49 TILEPROP INT_L_X18Y138 GRID_POINT_Y 12 TILEPROP INT_L_X18Y138 INDEX 1429 TILEPROP INT_L_X18Y138 INT_TILE_X 18 TILEPROP INT_L_X18Y138 INT_TILE_Y 11 TILEPROP INT_L_X18Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y138 IS_DCM_TILE 0 TILEPROP INT_L_X18Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y138 NAME INT_L_X18Y138 TILEPROP INT_L_X18Y138 NUM_ARCS 3737 TILEPROP INT_L_X18Y138 NUM_SITES 1 TILEPROP INT_L_X18Y138 ROW 12 TILEPROP INT_L_X18Y138 SLR_REGION_ID 0 TILEPROP INT_L_X18Y138 TILE_PATTERN_IDX 3982 TILEPROP INT_L_X18Y138 TILE_TYPE INT_L TILEPROP INT_L_X18Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y138 TILE_X -14880 TILEPROP INT_L_X18Y138 TILE_Y 205496 TILEPROP INT_L_X18Y138 TYPE INT_L TILEPROP INT_L_X18Y139 CLASS tile TILEPROP INT_L_X18Y139 COLUMN 49 TILEPROP INT_L_X18Y139 DEVICE_ID 0 TILEPROP INT_L_X18Y139 FIRST_SITE_ID 1082 TILEPROP INT_L_X18Y139 GRID_POINT_X 49 TILEPROP INT_L_X18Y139 GRID_POINT_Y 11 TILEPROP INT_L_X18Y139 INDEX 1314 TILEPROP INT_L_X18Y139 INT_TILE_X 18 TILEPROP INT_L_X18Y139 INT_TILE_Y 10 TILEPROP INT_L_X18Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y139 IS_DCM_TILE 0 TILEPROP INT_L_X18Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y139 NAME INT_L_X18Y139 TILEPROP INT_L_X18Y139 NUM_ARCS 3737 TILEPROP INT_L_X18Y139 NUM_SITES 1 TILEPROP INT_L_X18Y139 ROW 11 TILEPROP INT_L_X18Y139 SLR_REGION_ID 0 TILEPROP INT_L_X18Y139 TILE_PATTERN_IDX 3944 TILEPROP INT_L_X18Y139 TILE_TYPE INT_L TILEPROP INT_L_X18Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y139 TILE_X -14880 TILEPROP INT_L_X18Y139 TILE_Y 208696 TILEPROP INT_L_X18Y139 TYPE INT_L TILEPROP INT_L_X18Y140 CLASS tile TILEPROP INT_L_X18Y140 COLUMN 49 TILEPROP INT_L_X18Y140 DEVICE_ID 0 TILEPROP INT_L_X18Y140 FIRST_SITE_ID 972 TILEPROP INT_L_X18Y140 GRID_POINT_X 49 TILEPROP INT_L_X18Y140 GRID_POINT_Y 10 TILEPROP INT_L_X18Y140 INDEX 1199 TILEPROP INT_L_X18Y140 INT_TILE_X 18 TILEPROP INT_L_X18Y140 INT_TILE_Y 9 TILEPROP INT_L_X18Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y140 IS_DCM_TILE 0 TILEPROP INT_L_X18Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y140 NAME INT_L_X18Y140 TILEPROP INT_L_X18Y140 NUM_ARCS 3737 TILEPROP INT_L_X18Y140 NUM_SITES 1 TILEPROP INT_L_X18Y140 ROW 10 TILEPROP INT_L_X18Y140 SLR_REGION_ID 0 TILEPROP INT_L_X18Y140 TILE_PATTERN_IDX 3905 TILEPROP INT_L_X18Y140 TILE_TYPE INT_L TILEPROP INT_L_X18Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y140 TILE_X -14880 TILEPROP INT_L_X18Y140 TILE_Y 211896 TILEPROP INT_L_X18Y140 TYPE INT_L TILEPROP INT_L_X18Y141 CLASS tile TILEPROP INT_L_X18Y141 COLUMN 49 TILEPROP INT_L_X18Y141 DEVICE_ID 0 TILEPROP INT_L_X18Y141 FIRST_SITE_ID 870 TILEPROP INT_L_X18Y141 GRID_POINT_X 49 TILEPROP INT_L_X18Y141 GRID_POINT_Y 9 TILEPROP INT_L_X18Y141 INDEX 1084 TILEPROP INT_L_X18Y141 INT_TILE_X 18 TILEPROP INT_L_X18Y141 INT_TILE_Y 8 TILEPROP INT_L_X18Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y141 IS_DCM_TILE 0 TILEPROP INT_L_X18Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y141 NAME INT_L_X18Y141 TILEPROP INT_L_X18Y141 NUM_ARCS 3737 TILEPROP INT_L_X18Y141 NUM_SITES 1 TILEPROP INT_L_X18Y141 ROW 9 TILEPROP INT_L_X18Y141 SLR_REGION_ID 0 TILEPROP INT_L_X18Y141 TILE_PATTERN_IDX 3867 TILEPROP INT_L_X18Y141 TILE_TYPE INT_L TILEPROP INT_L_X18Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y141 TILE_X -14880 TILEPROP INT_L_X18Y141 TILE_Y 215096 TILEPROP INT_L_X18Y141 TYPE INT_L TILEPROP INT_L_X18Y142 CLASS tile TILEPROP INT_L_X18Y142 COLUMN 49 TILEPROP INT_L_X18Y142 DEVICE_ID 0 TILEPROP INT_L_X18Y142 FIRST_SITE_ID 766 TILEPROP INT_L_X18Y142 GRID_POINT_X 49 TILEPROP INT_L_X18Y142 GRID_POINT_Y 8 TILEPROP INT_L_X18Y142 INDEX 969 TILEPROP INT_L_X18Y142 INT_TILE_X 18 TILEPROP INT_L_X18Y142 INT_TILE_Y 7 TILEPROP INT_L_X18Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y142 IS_DCM_TILE 0 TILEPROP INT_L_X18Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y142 NAME INT_L_X18Y142 TILEPROP INT_L_X18Y142 NUM_ARCS 3737 TILEPROP INT_L_X18Y142 NUM_SITES 1 TILEPROP INT_L_X18Y142 ROW 8 TILEPROP INT_L_X18Y142 SLR_REGION_ID 0 TILEPROP INT_L_X18Y142 TILE_PATTERN_IDX 3828 TILEPROP INT_L_X18Y142 TILE_TYPE INT_L TILEPROP INT_L_X18Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y142 TILE_X -14880 TILEPROP INT_L_X18Y142 TILE_Y 218296 TILEPROP INT_L_X18Y142 TYPE INT_L TILEPROP INT_L_X18Y143 CLASS tile TILEPROP INT_L_X18Y143 COLUMN 49 TILEPROP INT_L_X18Y143 DEVICE_ID 0 TILEPROP INT_L_X18Y143 FIRST_SITE_ID 669 TILEPROP INT_L_X18Y143 GRID_POINT_X 49 TILEPROP INT_L_X18Y143 GRID_POINT_Y 7 TILEPROP INT_L_X18Y143 INDEX 854 TILEPROP INT_L_X18Y143 INT_TILE_X 18 TILEPROP INT_L_X18Y143 INT_TILE_Y 6 TILEPROP INT_L_X18Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y143 IS_DCM_TILE 0 TILEPROP INT_L_X18Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y143 NAME INT_L_X18Y143 TILEPROP INT_L_X18Y143 NUM_ARCS 3737 TILEPROP INT_L_X18Y143 NUM_SITES 1 TILEPROP INT_L_X18Y143 ROW 7 TILEPROP INT_L_X18Y143 SLR_REGION_ID 0 TILEPROP INT_L_X18Y143 TILE_PATTERN_IDX 3790 TILEPROP INT_L_X18Y143 TILE_TYPE INT_L TILEPROP INT_L_X18Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y143 TILE_X -14880 TILEPROP INT_L_X18Y143 TILE_Y 221496 TILEPROP INT_L_X18Y143 TYPE INT_L TILEPROP INT_L_X18Y144 CLASS tile TILEPROP INT_L_X18Y144 COLUMN 49 TILEPROP INT_L_X18Y144 DEVICE_ID 0 TILEPROP INT_L_X18Y144 FIRST_SITE_ID 558 TILEPROP INT_L_X18Y144 GRID_POINT_X 49 TILEPROP INT_L_X18Y144 GRID_POINT_Y 6 TILEPROP INT_L_X18Y144 INDEX 739 TILEPROP INT_L_X18Y144 INT_TILE_X 18 TILEPROP INT_L_X18Y144 INT_TILE_Y 5 TILEPROP INT_L_X18Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y144 IS_DCM_TILE 0 TILEPROP INT_L_X18Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y144 NAME INT_L_X18Y144 TILEPROP INT_L_X18Y144 NUM_ARCS 3737 TILEPROP INT_L_X18Y144 NUM_SITES 1 TILEPROP INT_L_X18Y144 ROW 6 TILEPROP INT_L_X18Y144 SLR_REGION_ID 0 TILEPROP INT_L_X18Y144 TILE_PATTERN_IDX 3751 TILEPROP INT_L_X18Y144 TILE_TYPE INT_L TILEPROP INT_L_X18Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y144 TILE_X -14880 TILEPROP INT_L_X18Y144 TILE_Y 224696 TILEPROP INT_L_X18Y144 TYPE INT_L TILEPROP INT_L_X18Y145 CLASS tile TILEPROP INT_L_X18Y145 COLUMN 49 TILEPROP INT_L_X18Y145 DEVICE_ID 0 TILEPROP INT_L_X18Y145 FIRST_SITE_ID 456 TILEPROP INT_L_X18Y145 GRID_POINT_X 49 TILEPROP INT_L_X18Y145 GRID_POINT_Y 5 TILEPROP INT_L_X18Y145 INDEX 624 TILEPROP INT_L_X18Y145 INT_TILE_X 18 TILEPROP INT_L_X18Y145 INT_TILE_Y 4 TILEPROP INT_L_X18Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y145 IS_DCM_TILE 0 TILEPROP INT_L_X18Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y145 NAME INT_L_X18Y145 TILEPROP INT_L_X18Y145 NUM_ARCS 3737 TILEPROP INT_L_X18Y145 NUM_SITES 1 TILEPROP INT_L_X18Y145 ROW 5 TILEPROP INT_L_X18Y145 SLR_REGION_ID 0 TILEPROP INT_L_X18Y145 TILE_PATTERN_IDX 3713 TILEPROP INT_L_X18Y145 TILE_TYPE INT_L TILEPROP INT_L_X18Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y145 TILE_X -14880 TILEPROP INT_L_X18Y145 TILE_Y 227896 TILEPROP INT_L_X18Y145 TYPE INT_L TILEPROP INT_L_X18Y146 CLASS tile TILEPROP INT_L_X18Y146 COLUMN 49 TILEPROP INT_L_X18Y146 DEVICE_ID 0 TILEPROP INT_L_X18Y146 FIRST_SITE_ID 346 TILEPROP INT_L_X18Y146 GRID_POINT_X 49 TILEPROP INT_L_X18Y146 GRID_POINT_Y 4 TILEPROP INT_L_X18Y146 INDEX 509 TILEPROP INT_L_X18Y146 INT_TILE_X 18 TILEPROP INT_L_X18Y146 INT_TILE_Y 3 TILEPROP INT_L_X18Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y146 IS_DCM_TILE 0 TILEPROP INT_L_X18Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y146 NAME INT_L_X18Y146 TILEPROP INT_L_X18Y146 NUM_ARCS 3737 TILEPROP INT_L_X18Y146 NUM_SITES 1 TILEPROP INT_L_X18Y146 ROW 4 TILEPROP INT_L_X18Y146 SLR_REGION_ID 0 TILEPROP INT_L_X18Y146 TILE_PATTERN_IDX 3674 TILEPROP INT_L_X18Y146 TILE_TYPE INT_L TILEPROP INT_L_X18Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y146 TILE_X -14880 TILEPROP INT_L_X18Y146 TILE_Y 231096 TILEPROP INT_L_X18Y146 TYPE INT_L TILEPROP INT_L_X18Y147 CLASS tile TILEPROP INT_L_X18Y147 COLUMN 49 TILEPROP INT_L_X18Y147 DEVICE_ID 0 TILEPROP INT_L_X18Y147 FIRST_SITE_ID 250 TILEPROP INT_L_X18Y147 GRID_POINT_X 49 TILEPROP INT_L_X18Y147 GRID_POINT_Y 3 TILEPROP INT_L_X18Y147 INDEX 394 TILEPROP INT_L_X18Y147 INT_TILE_X 18 TILEPROP INT_L_X18Y147 INT_TILE_Y 2 TILEPROP INT_L_X18Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y147 IS_DCM_TILE 0 TILEPROP INT_L_X18Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y147 NAME INT_L_X18Y147 TILEPROP INT_L_X18Y147 NUM_ARCS 3737 TILEPROP INT_L_X18Y147 NUM_SITES 1 TILEPROP INT_L_X18Y147 ROW 3 TILEPROP INT_L_X18Y147 SLR_REGION_ID 0 TILEPROP INT_L_X18Y147 TILE_PATTERN_IDX 3636 TILEPROP INT_L_X18Y147 TILE_TYPE INT_L TILEPROP INT_L_X18Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y147 TILE_X -14880 TILEPROP INT_L_X18Y147 TILE_Y 234296 TILEPROP INT_L_X18Y147 TYPE INT_L TILEPROP INT_L_X18Y148 CLASS tile TILEPROP INT_L_X18Y148 COLUMN 49 TILEPROP INT_L_X18Y148 DEVICE_ID 0 TILEPROP INT_L_X18Y148 FIRST_SITE_ID 146 TILEPROP INT_L_X18Y148 GRID_POINT_X 49 TILEPROP INT_L_X18Y148 GRID_POINT_Y 2 TILEPROP INT_L_X18Y148 INDEX 279 TILEPROP INT_L_X18Y148 INT_TILE_X 18 TILEPROP INT_L_X18Y148 INT_TILE_Y 1 TILEPROP INT_L_X18Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y148 IS_DCM_TILE 0 TILEPROP INT_L_X18Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y148 NAME INT_L_X18Y148 TILEPROP INT_L_X18Y148 NUM_ARCS 3737 TILEPROP INT_L_X18Y148 NUM_SITES 1 TILEPROP INT_L_X18Y148 ROW 2 TILEPROP INT_L_X18Y148 SLR_REGION_ID 0 TILEPROP INT_L_X18Y148 TILE_PATTERN_IDX 3597 TILEPROP INT_L_X18Y148 TILE_TYPE INT_L TILEPROP INT_L_X18Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y148 TILE_X -14880 TILEPROP INT_L_X18Y148 TILE_Y 237496 TILEPROP INT_L_X18Y148 TYPE INT_L TILEPROP INT_L_X18Y149 CLASS tile TILEPROP INT_L_X18Y149 COLUMN 49 TILEPROP INT_L_X18Y149 DEVICE_ID 0 TILEPROP INT_L_X18Y149 FIRST_SITE_ID 50 TILEPROP INT_L_X18Y149 GRID_POINT_X 49 TILEPROP INT_L_X18Y149 GRID_POINT_Y 1 TILEPROP INT_L_X18Y149 INDEX 164 TILEPROP INT_L_X18Y149 INT_TILE_X 18 TILEPROP INT_L_X18Y149 INT_TILE_Y 0 TILEPROP INT_L_X18Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X18Y149 IS_DCM_TILE 0 TILEPROP INT_L_X18Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X18Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X18Y149 NAME INT_L_X18Y149 TILEPROP INT_L_X18Y149 NUM_ARCS 3737 TILEPROP INT_L_X18Y149 NUM_SITES 1 TILEPROP INT_L_X18Y149 ROW 1 TILEPROP INT_L_X18Y149 SLR_REGION_ID 0 TILEPROP INT_L_X18Y149 TILE_PATTERN_IDX 3559 TILEPROP INT_L_X18Y149 TILE_TYPE INT_L TILEPROP INT_L_X18Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X18Y149 TILE_X -14880 TILEPROP INT_L_X18Y149 TILE_Y 240696 TILEPROP INT_L_X18Y149 TYPE INT_L TILEPROP INT_L_X20Y0 CLASS tile TILEPROP INT_L_X20Y0 COLUMN 53 TILEPROP INT_L_X20Y0 DEVICE_ID 0 TILEPROP INT_L_X20Y0 FIRST_SITE_ID 15746 TILEPROP INT_L_X20Y0 GRID_POINT_X 53 TILEPROP INT_L_X20Y0 GRID_POINT_Y 155 TILEPROP INT_L_X20Y0 INDEX 17878 TILEPROP INT_L_X20Y0 INT_TILE_X 20 TILEPROP INT_L_X20Y0 INT_TILE_Y 149 TILEPROP INT_L_X20Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y0 IS_DCM_TILE 0 TILEPROP INT_L_X20Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y0 NAME INT_L_X20Y0 TILEPROP INT_L_X20Y0 NUM_ARCS 3737 TILEPROP INT_L_X20Y0 NUM_SITES 1 TILEPROP INT_L_X20Y0 ROW 155 TILEPROP INT_L_X20Y0 SLR_REGION_ID 0 TILEPROP INT_L_X20Y0 TILE_PATTERN_IDX 8747 TILEPROP INT_L_X20Y0 TILE_TYPE INT_L TILEPROP INT_L_X20Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y0 TILE_X -6576 TILEPROP INT_L_X20Y0 TILE_Y -239672 TILEPROP INT_L_X20Y0 TYPE INT_L TILEPROP INT_L_X20Y1 CLASS tile TILEPROP INT_L_X20Y1 COLUMN 53 TILEPROP INT_L_X20Y1 DEVICE_ID 0 TILEPROP INT_L_X20Y1 FIRST_SITE_ID 15635 TILEPROP INT_L_X20Y1 GRID_POINT_X 53 TILEPROP INT_L_X20Y1 GRID_POINT_Y 154 TILEPROP INT_L_X20Y1 INDEX 17763 TILEPROP INT_L_X20Y1 INT_TILE_X 20 TILEPROP INT_L_X20Y1 INT_TILE_Y 148 TILEPROP INT_L_X20Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y1 IS_DCM_TILE 0 TILEPROP INT_L_X20Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y1 NAME INT_L_X20Y1 TILEPROP INT_L_X20Y1 NUM_ARCS 3737 TILEPROP INT_L_X20Y1 NUM_SITES 1 TILEPROP INT_L_X20Y1 ROW 154 TILEPROP INT_L_X20Y1 SLR_REGION_ID 0 TILEPROP INT_L_X20Y1 TILE_PATTERN_IDX 8716 TILEPROP INT_L_X20Y1 TILE_TYPE INT_L TILEPROP INT_L_X20Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y1 TILE_X -6576 TILEPROP INT_L_X20Y1 TILE_Y -236472 TILEPROP INT_L_X20Y1 TYPE INT_L TILEPROP INT_L_X20Y2 CLASS tile TILEPROP INT_L_X20Y2 COLUMN 53 TILEPROP INT_L_X20Y2 DEVICE_ID 0 TILEPROP INT_L_X20Y2 FIRST_SITE_ID 15535 TILEPROP INT_L_X20Y2 GRID_POINT_X 53 TILEPROP INT_L_X20Y2 GRID_POINT_Y 153 TILEPROP INT_L_X20Y2 INDEX 17648 TILEPROP INT_L_X20Y2 INT_TILE_X 20 TILEPROP INT_L_X20Y2 INT_TILE_Y 147 TILEPROP INT_L_X20Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y2 IS_DCM_TILE 0 TILEPROP INT_L_X20Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y2 NAME INT_L_X20Y2 TILEPROP INT_L_X20Y2 NUM_ARCS 3737 TILEPROP INT_L_X20Y2 NUM_SITES 1 TILEPROP INT_L_X20Y2 ROW 153 TILEPROP INT_L_X20Y2 SLR_REGION_ID 0 TILEPROP INT_L_X20Y2 TILE_PATTERN_IDX 8686 TILEPROP INT_L_X20Y2 TILE_TYPE INT_L TILEPROP INT_L_X20Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y2 TILE_X -6576 TILEPROP INT_L_X20Y2 TILE_Y -233272 TILEPROP INT_L_X20Y2 TYPE INT_L TILEPROP INT_L_X20Y3 CLASS tile TILEPROP INT_L_X20Y3 COLUMN 53 TILEPROP INT_L_X20Y3 DEVICE_ID 0 TILEPROP INT_L_X20Y3 FIRST_SITE_ID 15435 TILEPROP INT_L_X20Y3 GRID_POINT_X 53 TILEPROP INT_L_X20Y3 GRID_POINT_Y 152 TILEPROP INT_L_X20Y3 INDEX 17533 TILEPROP INT_L_X20Y3 INT_TILE_X 20 TILEPROP INT_L_X20Y3 INT_TILE_Y 146 TILEPROP INT_L_X20Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y3 IS_DCM_TILE 0 TILEPROP INT_L_X20Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y3 NAME INT_L_X20Y3 TILEPROP INT_L_X20Y3 NUM_ARCS 3737 TILEPROP INT_L_X20Y3 NUM_SITES 1 TILEPROP INT_L_X20Y3 ROW 152 TILEPROP INT_L_X20Y3 SLR_REGION_ID 0 TILEPROP INT_L_X20Y3 TILE_PATTERN_IDX 8655 TILEPROP INT_L_X20Y3 TILE_TYPE INT_L TILEPROP INT_L_X20Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y3 TILE_X -6576 TILEPROP INT_L_X20Y3 TILE_Y -230072 TILEPROP INT_L_X20Y3 TYPE INT_L TILEPROP INT_L_X20Y4 CLASS tile TILEPROP INT_L_X20Y4 COLUMN 53 TILEPROP INT_L_X20Y4 DEVICE_ID 0 TILEPROP INT_L_X20Y4 FIRST_SITE_ID 15335 TILEPROP INT_L_X20Y4 GRID_POINT_X 53 TILEPROP INT_L_X20Y4 GRID_POINT_Y 151 TILEPROP INT_L_X20Y4 INDEX 17418 TILEPROP INT_L_X20Y4 INT_TILE_X 20 TILEPROP INT_L_X20Y4 INT_TILE_Y 145 TILEPROP INT_L_X20Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y4 IS_DCM_TILE 0 TILEPROP INT_L_X20Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y4 NAME INT_L_X20Y4 TILEPROP INT_L_X20Y4 NUM_ARCS 3737 TILEPROP INT_L_X20Y4 NUM_SITES 1 TILEPROP INT_L_X20Y4 ROW 151 TILEPROP INT_L_X20Y4 SLR_REGION_ID 0 TILEPROP INT_L_X20Y4 TILE_PATTERN_IDX 8625 TILEPROP INT_L_X20Y4 TILE_TYPE INT_L TILEPROP INT_L_X20Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y4 TILE_X -6576 TILEPROP INT_L_X20Y4 TILE_Y -226872 TILEPROP INT_L_X20Y4 TYPE INT_L TILEPROP INT_L_X20Y5 CLASS tile TILEPROP INT_L_X20Y5 COLUMN 53 TILEPROP INT_L_X20Y5 DEVICE_ID 0 TILEPROP INT_L_X20Y5 FIRST_SITE_ID 15226 TILEPROP INT_L_X20Y5 GRID_POINT_X 53 TILEPROP INT_L_X20Y5 GRID_POINT_Y 150 TILEPROP INT_L_X20Y5 INDEX 17303 TILEPROP INT_L_X20Y5 INT_TILE_X 20 TILEPROP INT_L_X20Y5 INT_TILE_Y 144 TILEPROP INT_L_X20Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y5 IS_DCM_TILE 0 TILEPROP INT_L_X20Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y5 NAME INT_L_X20Y5 TILEPROP INT_L_X20Y5 NUM_ARCS 3737 TILEPROP INT_L_X20Y5 NUM_SITES 1 TILEPROP INT_L_X20Y5 ROW 150 TILEPROP INT_L_X20Y5 SLR_REGION_ID 0 TILEPROP INT_L_X20Y5 TILE_PATTERN_IDX 8594 TILEPROP INT_L_X20Y5 TILE_TYPE INT_L TILEPROP INT_L_X20Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y5 TILE_X -6576 TILEPROP INT_L_X20Y5 TILE_Y -223672 TILEPROP INT_L_X20Y5 TYPE INT_L TILEPROP INT_L_X20Y6 CLASS tile TILEPROP INT_L_X20Y6 COLUMN 53 TILEPROP INT_L_X20Y6 DEVICE_ID 0 TILEPROP INT_L_X20Y6 FIRST_SITE_ID 15120 TILEPROP INT_L_X20Y6 GRID_POINT_X 53 TILEPROP INT_L_X20Y6 GRID_POINT_Y 149 TILEPROP INT_L_X20Y6 INDEX 17188 TILEPROP INT_L_X20Y6 INT_TILE_X 20 TILEPROP INT_L_X20Y6 INT_TILE_Y 143 TILEPROP INT_L_X20Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y6 IS_DCM_TILE 0 TILEPROP INT_L_X20Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y6 NAME INT_L_X20Y6 TILEPROP INT_L_X20Y6 NUM_ARCS 3737 TILEPROP INT_L_X20Y6 NUM_SITES 1 TILEPROP INT_L_X20Y6 ROW 149 TILEPROP INT_L_X20Y6 SLR_REGION_ID 0 TILEPROP INT_L_X20Y6 TILE_PATTERN_IDX 8564 TILEPROP INT_L_X20Y6 TILE_TYPE INT_L TILEPROP INT_L_X20Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y6 TILE_X -6576 TILEPROP INT_L_X20Y6 TILE_Y -220472 TILEPROP INT_L_X20Y6 TYPE INT_L TILEPROP INT_L_X20Y7 CLASS tile TILEPROP INT_L_X20Y7 COLUMN 53 TILEPROP INT_L_X20Y7 DEVICE_ID 0 TILEPROP INT_L_X20Y7 FIRST_SITE_ID 15018 TILEPROP INT_L_X20Y7 GRID_POINT_X 53 TILEPROP INT_L_X20Y7 GRID_POINT_Y 148 TILEPROP INT_L_X20Y7 INDEX 17073 TILEPROP INT_L_X20Y7 INT_TILE_X 20 TILEPROP INT_L_X20Y7 INT_TILE_Y 142 TILEPROP INT_L_X20Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y7 IS_DCM_TILE 0 TILEPROP INT_L_X20Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y7 NAME INT_L_X20Y7 TILEPROP INT_L_X20Y7 NUM_ARCS 3737 TILEPROP INT_L_X20Y7 NUM_SITES 1 TILEPROP INT_L_X20Y7 ROW 148 TILEPROP INT_L_X20Y7 SLR_REGION_ID 0 TILEPROP INT_L_X20Y7 TILE_PATTERN_IDX 8533 TILEPROP INT_L_X20Y7 TILE_TYPE INT_L TILEPROP INT_L_X20Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y7 TILE_X -6576 TILEPROP INT_L_X20Y7 TILE_Y -217272 TILEPROP INT_L_X20Y7 TYPE INT_L TILEPROP INT_L_X20Y8 CLASS tile TILEPROP INT_L_X20Y8 COLUMN 53 TILEPROP INT_L_X20Y8 DEVICE_ID 0 TILEPROP INT_L_X20Y8 FIRST_SITE_ID 14915 TILEPROP INT_L_X20Y8 GRID_POINT_X 53 TILEPROP INT_L_X20Y8 GRID_POINT_Y 147 TILEPROP INT_L_X20Y8 INDEX 16958 TILEPROP INT_L_X20Y8 INT_TILE_X 20 TILEPROP INT_L_X20Y8 INT_TILE_Y 141 TILEPROP INT_L_X20Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y8 IS_DCM_TILE 0 TILEPROP INT_L_X20Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y8 NAME INT_L_X20Y8 TILEPROP INT_L_X20Y8 NUM_ARCS 3737 TILEPROP INT_L_X20Y8 NUM_SITES 1 TILEPROP INT_L_X20Y8 ROW 147 TILEPROP INT_L_X20Y8 SLR_REGION_ID 0 TILEPROP INT_L_X20Y8 TILE_PATTERN_IDX 8503 TILEPROP INT_L_X20Y8 TILE_TYPE INT_L TILEPROP INT_L_X20Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y8 TILE_X -6576 TILEPROP INT_L_X20Y8 TILE_Y -214072 TILEPROP INT_L_X20Y8 TYPE INT_L TILEPROP INT_L_X20Y9 CLASS tile TILEPROP INT_L_X20Y9 COLUMN 53 TILEPROP INT_L_X20Y9 DEVICE_ID 0 TILEPROP INT_L_X20Y9 FIRST_SITE_ID 14814 TILEPROP INT_L_X20Y9 GRID_POINT_X 53 TILEPROP INT_L_X20Y9 GRID_POINT_Y 146 TILEPROP INT_L_X20Y9 INDEX 16843 TILEPROP INT_L_X20Y9 INT_TILE_X 20 TILEPROP INT_L_X20Y9 INT_TILE_Y 140 TILEPROP INT_L_X20Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y9 IS_DCM_TILE 0 TILEPROP INT_L_X20Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y9 NAME INT_L_X20Y9 TILEPROP INT_L_X20Y9 NUM_ARCS 3737 TILEPROP INT_L_X20Y9 NUM_SITES 1 TILEPROP INT_L_X20Y9 ROW 146 TILEPROP INT_L_X20Y9 SLR_REGION_ID 0 TILEPROP INT_L_X20Y9 TILE_PATTERN_IDX 8472 TILEPROP INT_L_X20Y9 TILE_TYPE INT_L TILEPROP INT_L_X20Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y9 TILE_X -6576 TILEPROP INT_L_X20Y9 TILE_Y -210872 TILEPROP INT_L_X20Y9 TYPE INT_L TILEPROP INT_L_X20Y10 CLASS tile TILEPROP INT_L_X20Y10 COLUMN 53 TILEPROP INT_L_X20Y10 DEVICE_ID 0 TILEPROP INT_L_X20Y10 FIRST_SITE_ID 14705 TILEPROP INT_L_X20Y10 GRID_POINT_X 53 TILEPROP INT_L_X20Y10 GRID_POINT_Y 145 TILEPROP INT_L_X20Y10 INDEX 16728 TILEPROP INT_L_X20Y10 INT_TILE_X 20 TILEPROP INT_L_X20Y10 INT_TILE_Y 139 TILEPROP INT_L_X20Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y10 IS_DCM_TILE 0 TILEPROP INT_L_X20Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y10 NAME INT_L_X20Y10 TILEPROP INT_L_X20Y10 NUM_ARCS 3737 TILEPROP INT_L_X20Y10 NUM_SITES 1 TILEPROP INT_L_X20Y10 ROW 145 TILEPROP INT_L_X20Y10 SLR_REGION_ID 0 TILEPROP INT_L_X20Y10 TILE_PATTERN_IDX 8442 TILEPROP INT_L_X20Y10 TILE_TYPE INT_L TILEPROP INT_L_X20Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y10 TILE_X -6576 TILEPROP INT_L_X20Y10 TILE_Y -207672 TILEPROP INT_L_X20Y10 TYPE INT_L TILEPROP INT_L_X20Y11 CLASS tile TILEPROP INT_L_X20Y11 COLUMN 53 TILEPROP INT_L_X20Y11 DEVICE_ID 0 TILEPROP INT_L_X20Y11 FIRST_SITE_ID 14599 TILEPROP INT_L_X20Y11 GRID_POINT_X 53 TILEPROP INT_L_X20Y11 GRID_POINT_Y 144 TILEPROP INT_L_X20Y11 INDEX 16613 TILEPROP INT_L_X20Y11 INT_TILE_X 20 TILEPROP INT_L_X20Y11 INT_TILE_Y 138 TILEPROP INT_L_X20Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y11 IS_DCM_TILE 0 TILEPROP INT_L_X20Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y11 NAME INT_L_X20Y11 TILEPROP INT_L_X20Y11 NUM_ARCS 3737 TILEPROP INT_L_X20Y11 NUM_SITES 1 TILEPROP INT_L_X20Y11 ROW 144 TILEPROP INT_L_X20Y11 SLR_REGION_ID 0 TILEPROP INT_L_X20Y11 TILE_PATTERN_IDX 8411 TILEPROP INT_L_X20Y11 TILE_TYPE INT_L TILEPROP INT_L_X20Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y11 TILE_X -6576 TILEPROP INT_L_X20Y11 TILE_Y -204472 TILEPROP INT_L_X20Y11 TYPE INT_L TILEPROP INT_L_X20Y12 CLASS tile TILEPROP INT_L_X20Y12 COLUMN 53 TILEPROP INT_L_X20Y12 DEVICE_ID 0 TILEPROP INT_L_X20Y12 FIRST_SITE_ID 14467 TILEPROP INT_L_X20Y12 GRID_POINT_X 53 TILEPROP INT_L_X20Y12 GRID_POINT_Y 143 TILEPROP INT_L_X20Y12 INDEX 16498 TILEPROP INT_L_X20Y12 INT_TILE_X 20 TILEPROP INT_L_X20Y12 INT_TILE_Y 137 TILEPROP INT_L_X20Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y12 IS_DCM_TILE 0 TILEPROP INT_L_X20Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y12 NAME INT_L_X20Y12 TILEPROP INT_L_X20Y12 NUM_ARCS 3737 TILEPROP INT_L_X20Y12 NUM_SITES 1 TILEPROP INT_L_X20Y12 ROW 143 TILEPROP INT_L_X20Y12 SLR_REGION_ID 0 TILEPROP INT_L_X20Y12 TILE_PATTERN_IDX 8381 TILEPROP INT_L_X20Y12 TILE_TYPE INT_L TILEPROP INT_L_X20Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y12 TILE_X -6576 TILEPROP INT_L_X20Y12 TILE_Y -201272 TILEPROP INT_L_X20Y12 TYPE INT_L TILEPROP INT_L_X20Y13 CLASS tile TILEPROP INT_L_X20Y13 COLUMN 53 TILEPROP INT_L_X20Y13 DEVICE_ID 0 TILEPROP INT_L_X20Y13 FIRST_SITE_ID 14367 TILEPROP INT_L_X20Y13 GRID_POINT_X 53 TILEPROP INT_L_X20Y13 GRID_POINT_Y 142 TILEPROP INT_L_X20Y13 INDEX 16383 TILEPROP INT_L_X20Y13 INT_TILE_X 20 TILEPROP INT_L_X20Y13 INT_TILE_Y 136 TILEPROP INT_L_X20Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y13 IS_DCM_TILE 0 TILEPROP INT_L_X20Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y13 NAME INT_L_X20Y13 TILEPROP INT_L_X20Y13 NUM_ARCS 3737 TILEPROP INT_L_X20Y13 NUM_SITES 1 TILEPROP INT_L_X20Y13 ROW 142 TILEPROP INT_L_X20Y13 SLR_REGION_ID 0 TILEPROP INT_L_X20Y13 TILE_PATTERN_IDX 8350 TILEPROP INT_L_X20Y13 TILE_TYPE INT_L TILEPROP INT_L_X20Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y13 TILE_X -6576 TILEPROP INT_L_X20Y13 TILE_Y -198072 TILEPROP INT_L_X20Y13 TYPE INT_L TILEPROP INT_L_X20Y14 CLASS tile TILEPROP INT_L_X20Y14 COLUMN 53 TILEPROP INT_L_X20Y14 DEVICE_ID 0 TILEPROP INT_L_X20Y14 FIRST_SITE_ID 14267 TILEPROP INT_L_X20Y14 GRID_POINT_X 53 TILEPROP INT_L_X20Y14 GRID_POINT_Y 141 TILEPROP INT_L_X20Y14 INDEX 16268 TILEPROP INT_L_X20Y14 INT_TILE_X 20 TILEPROP INT_L_X20Y14 INT_TILE_Y 135 TILEPROP INT_L_X20Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y14 IS_DCM_TILE 0 TILEPROP INT_L_X20Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y14 NAME INT_L_X20Y14 TILEPROP INT_L_X20Y14 NUM_ARCS 3737 TILEPROP INT_L_X20Y14 NUM_SITES 1 TILEPROP INT_L_X20Y14 ROW 141 TILEPROP INT_L_X20Y14 SLR_REGION_ID 0 TILEPROP INT_L_X20Y14 TILE_PATTERN_IDX 8320 TILEPROP INT_L_X20Y14 TILE_TYPE INT_L TILEPROP INT_L_X20Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y14 TILE_X -6576 TILEPROP INT_L_X20Y14 TILE_Y -194872 TILEPROP INT_L_X20Y14 TYPE INT_L TILEPROP INT_L_X20Y15 CLASS tile TILEPROP INT_L_X20Y15 COLUMN 53 TILEPROP INT_L_X20Y15 DEVICE_ID 0 TILEPROP INT_L_X20Y15 FIRST_SITE_ID 14158 TILEPROP INT_L_X20Y15 GRID_POINT_X 53 TILEPROP INT_L_X20Y15 GRID_POINT_Y 140 TILEPROP INT_L_X20Y15 INDEX 16153 TILEPROP INT_L_X20Y15 INT_TILE_X 20 TILEPROP INT_L_X20Y15 INT_TILE_Y 134 TILEPROP INT_L_X20Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y15 IS_DCM_TILE 0 TILEPROP INT_L_X20Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y15 NAME INT_L_X20Y15 TILEPROP INT_L_X20Y15 NUM_ARCS 3737 TILEPROP INT_L_X20Y15 NUM_SITES 1 TILEPROP INT_L_X20Y15 ROW 140 TILEPROP INT_L_X20Y15 SLR_REGION_ID 0 TILEPROP INT_L_X20Y15 TILE_PATTERN_IDX 8289 TILEPROP INT_L_X20Y15 TILE_TYPE INT_L TILEPROP INT_L_X20Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y15 TILE_X -6576 TILEPROP INT_L_X20Y15 TILE_Y -191672 TILEPROP INT_L_X20Y15 TYPE INT_L TILEPROP INT_L_X20Y16 CLASS tile TILEPROP INT_L_X20Y16 COLUMN 53 TILEPROP INT_L_X20Y16 DEVICE_ID 0 TILEPROP INT_L_X20Y16 FIRST_SITE_ID 14052 TILEPROP INT_L_X20Y16 GRID_POINT_X 53 TILEPROP INT_L_X20Y16 GRID_POINT_Y 139 TILEPROP INT_L_X20Y16 INDEX 16038 TILEPROP INT_L_X20Y16 INT_TILE_X 20 TILEPROP INT_L_X20Y16 INT_TILE_Y 133 TILEPROP INT_L_X20Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y16 IS_DCM_TILE 0 TILEPROP INT_L_X20Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y16 NAME INT_L_X20Y16 TILEPROP INT_L_X20Y16 NUM_ARCS 3737 TILEPROP INT_L_X20Y16 NUM_SITES 1 TILEPROP INT_L_X20Y16 ROW 139 TILEPROP INT_L_X20Y16 SLR_REGION_ID 0 TILEPROP INT_L_X20Y16 TILE_PATTERN_IDX 8259 TILEPROP INT_L_X20Y16 TILE_TYPE INT_L TILEPROP INT_L_X20Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y16 TILE_X -6576 TILEPROP INT_L_X20Y16 TILE_Y -188472 TILEPROP INT_L_X20Y16 TYPE INT_L TILEPROP INT_L_X20Y17 CLASS tile TILEPROP INT_L_X20Y17 COLUMN 53 TILEPROP INT_L_X20Y17 DEVICE_ID 0 TILEPROP INT_L_X20Y17 FIRST_SITE_ID 13947 TILEPROP INT_L_X20Y17 GRID_POINT_X 53 TILEPROP INT_L_X20Y17 GRID_POINT_Y 138 TILEPROP INT_L_X20Y17 INDEX 15923 TILEPROP INT_L_X20Y17 INT_TILE_X 20 TILEPROP INT_L_X20Y17 INT_TILE_Y 132 TILEPROP INT_L_X20Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y17 IS_DCM_TILE 0 TILEPROP INT_L_X20Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y17 NAME INT_L_X20Y17 TILEPROP INT_L_X20Y17 NUM_ARCS 3737 TILEPROP INT_L_X20Y17 NUM_SITES 1 TILEPROP INT_L_X20Y17 ROW 138 TILEPROP INT_L_X20Y17 SLR_REGION_ID 0 TILEPROP INT_L_X20Y17 TILE_PATTERN_IDX 8227 TILEPROP INT_L_X20Y17 TILE_TYPE INT_L TILEPROP INT_L_X20Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y17 TILE_X -6576 TILEPROP INT_L_X20Y17 TILE_Y -185272 TILEPROP INT_L_X20Y17 TYPE INT_L TILEPROP INT_L_X20Y18 CLASS tile TILEPROP INT_L_X20Y18 COLUMN 53 TILEPROP INT_L_X20Y18 DEVICE_ID 0 TILEPROP INT_L_X20Y18 FIRST_SITE_ID 13843 TILEPROP INT_L_X20Y18 GRID_POINT_X 53 TILEPROP INT_L_X20Y18 GRID_POINT_Y 137 TILEPROP INT_L_X20Y18 INDEX 15808 TILEPROP INT_L_X20Y18 INT_TILE_X 20 TILEPROP INT_L_X20Y18 INT_TILE_Y 131 TILEPROP INT_L_X20Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y18 IS_DCM_TILE 0 TILEPROP INT_L_X20Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y18 NAME INT_L_X20Y18 TILEPROP INT_L_X20Y18 NUM_ARCS 3737 TILEPROP INT_L_X20Y18 NUM_SITES 1 TILEPROP INT_L_X20Y18 ROW 137 TILEPROP INT_L_X20Y18 SLR_REGION_ID 0 TILEPROP INT_L_X20Y18 TILE_PATTERN_IDX 8204 TILEPROP INT_L_X20Y18 TILE_TYPE INT_L TILEPROP INT_L_X20Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y18 TILE_X -6576 TILEPROP INT_L_X20Y18 TILE_Y -182072 TILEPROP INT_L_X20Y18 TYPE INT_L TILEPROP INT_L_X20Y19 CLASS tile TILEPROP INT_L_X20Y19 COLUMN 53 TILEPROP INT_L_X20Y19 DEVICE_ID 0 TILEPROP INT_L_X20Y19 FIRST_SITE_ID 13741 TILEPROP INT_L_X20Y19 GRID_POINT_X 53 TILEPROP INT_L_X20Y19 GRID_POINT_Y 136 TILEPROP INT_L_X20Y19 INDEX 15693 TILEPROP INT_L_X20Y19 INT_TILE_X 20 TILEPROP INT_L_X20Y19 INT_TILE_Y 130 TILEPROP INT_L_X20Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y19 IS_DCM_TILE 0 TILEPROP INT_L_X20Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y19 NAME INT_L_X20Y19 TILEPROP INT_L_X20Y19 NUM_ARCS 3737 TILEPROP INT_L_X20Y19 NUM_SITES 1 TILEPROP INT_L_X20Y19 ROW 136 TILEPROP INT_L_X20Y19 SLR_REGION_ID 0 TILEPROP INT_L_X20Y19 TILE_PATTERN_IDX 8181 TILEPROP INT_L_X20Y19 TILE_TYPE INT_L TILEPROP INT_L_X20Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y19 TILE_X -6576 TILEPROP INT_L_X20Y19 TILE_Y -178872 TILEPROP INT_L_X20Y19 TYPE INT_L TILEPROP INT_L_X20Y20 CLASS tile TILEPROP INT_L_X20Y20 COLUMN 53 TILEPROP INT_L_X20Y20 DEVICE_ID 0 TILEPROP INT_L_X20Y20 FIRST_SITE_ID 13630 TILEPROP INT_L_X20Y20 GRID_POINT_X 53 TILEPROP INT_L_X20Y20 GRID_POINT_Y 135 TILEPROP INT_L_X20Y20 INDEX 15578 TILEPROP INT_L_X20Y20 INT_TILE_X 20 TILEPROP INT_L_X20Y20 INT_TILE_Y 129 TILEPROP INT_L_X20Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y20 IS_DCM_TILE 0 TILEPROP INT_L_X20Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y20 NAME INT_L_X20Y20 TILEPROP INT_L_X20Y20 NUM_ARCS 3737 TILEPROP INT_L_X20Y20 NUM_SITES 1 TILEPROP INT_L_X20Y20 ROW 135 TILEPROP INT_L_X20Y20 SLR_REGION_ID 0 TILEPROP INT_L_X20Y20 TILE_PATTERN_IDX 8159 TILEPROP INT_L_X20Y20 TILE_TYPE INT_L TILEPROP INT_L_X20Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y20 TILE_X -6576 TILEPROP INT_L_X20Y20 TILE_Y -175672 TILEPROP INT_L_X20Y20 TYPE INT_L TILEPROP INT_L_X20Y21 CLASS tile TILEPROP INT_L_X20Y21 COLUMN 53 TILEPROP INT_L_X20Y21 DEVICE_ID 0 TILEPROP INT_L_X20Y21 FIRST_SITE_ID 13524 TILEPROP INT_L_X20Y21 GRID_POINT_X 53 TILEPROP INT_L_X20Y21 GRID_POINT_Y 134 TILEPROP INT_L_X20Y21 INDEX 15463 TILEPROP INT_L_X20Y21 INT_TILE_X 20 TILEPROP INT_L_X20Y21 INT_TILE_Y 128 TILEPROP INT_L_X20Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y21 IS_DCM_TILE 0 TILEPROP INT_L_X20Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y21 NAME INT_L_X20Y21 TILEPROP INT_L_X20Y21 NUM_ARCS 3737 TILEPROP INT_L_X20Y21 NUM_SITES 1 TILEPROP INT_L_X20Y21 ROW 134 TILEPROP INT_L_X20Y21 SLR_REGION_ID 0 TILEPROP INT_L_X20Y21 TILE_PATTERN_IDX 8130 TILEPROP INT_L_X20Y21 TILE_TYPE INT_L TILEPROP INT_L_X20Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y21 TILE_X -6576 TILEPROP INT_L_X20Y21 TILE_Y -172472 TILEPROP INT_L_X20Y21 TYPE INT_L TILEPROP INT_L_X20Y22 CLASS tile TILEPROP INT_L_X20Y22 COLUMN 53 TILEPROP INT_L_X20Y22 DEVICE_ID 0 TILEPROP INT_L_X20Y22 FIRST_SITE_ID 13424 TILEPROP INT_L_X20Y22 GRID_POINT_X 53 TILEPROP INT_L_X20Y22 GRID_POINT_Y 133 TILEPROP INT_L_X20Y22 INDEX 15348 TILEPROP INT_L_X20Y22 INT_TILE_X 20 TILEPROP INT_L_X20Y22 INT_TILE_Y 127 TILEPROP INT_L_X20Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y22 IS_DCM_TILE 0 TILEPROP INT_L_X20Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y22 NAME INT_L_X20Y22 TILEPROP INT_L_X20Y22 NUM_ARCS 3737 TILEPROP INT_L_X20Y22 NUM_SITES 1 TILEPROP INT_L_X20Y22 ROW 133 TILEPROP INT_L_X20Y22 SLR_REGION_ID 0 TILEPROP INT_L_X20Y22 TILE_PATTERN_IDX 8102 TILEPROP INT_L_X20Y22 TILE_TYPE INT_L TILEPROP INT_L_X20Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y22 TILE_X -6576 TILEPROP INT_L_X20Y22 TILE_Y -169272 TILEPROP INT_L_X20Y22 TYPE INT_L TILEPROP INT_L_X20Y23 CLASS tile TILEPROP INT_L_X20Y23 COLUMN 53 TILEPROP INT_L_X20Y23 DEVICE_ID 0 TILEPROP INT_L_X20Y23 FIRST_SITE_ID 13324 TILEPROP INT_L_X20Y23 GRID_POINT_X 53 TILEPROP INT_L_X20Y23 GRID_POINT_Y 132 TILEPROP INT_L_X20Y23 INDEX 15233 TILEPROP INT_L_X20Y23 INT_TILE_X 20 TILEPROP INT_L_X20Y23 INT_TILE_Y 126 TILEPROP INT_L_X20Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y23 IS_DCM_TILE 0 TILEPROP INT_L_X20Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y23 NAME INT_L_X20Y23 TILEPROP INT_L_X20Y23 NUM_ARCS 3737 TILEPROP INT_L_X20Y23 NUM_SITES 1 TILEPROP INT_L_X20Y23 ROW 132 TILEPROP INT_L_X20Y23 SLR_REGION_ID 0 TILEPROP INT_L_X20Y23 TILE_PATTERN_IDX 8073 TILEPROP INT_L_X20Y23 TILE_TYPE INT_L TILEPROP INT_L_X20Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y23 TILE_X -6576 TILEPROP INT_L_X20Y23 TILE_Y -166072 TILEPROP INT_L_X20Y23 TYPE INT_L TILEPROP INT_L_X20Y24 CLASS tile TILEPROP INT_L_X20Y24 COLUMN 53 TILEPROP INT_L_X20Y24 DEVICE_ID 0 TILEPROP INT_L_X20Y24 FIRST_SITE_ID 13224 TILEPROP INT_L_X20Y24 GRID_POINT_X 53 TILEPROP INT_L_X20Y24 GRID_POINT_Y 131 TILEPROP INT_L_X20Y24 INDEX 15118 TILEPROP INT_L_X20Y24 INT_TILE_X 20 TILEPROP INT_L_X20Y24 INT_TILE_Y 125 TILEPROP INT_L_X20Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y24 IS_DCM_TILE 0 TILEPROP INT_L_X20Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y24 NAME INT_L_X20Y24 TILEPROP INT_L_X20Y24 NUM_ARCS 3737 TILEPROP INT_L_X20Y24 NUM_SITES 1 TILEPROP INT_L_X20Y24 ROW 131 TILEPROP INT_L_X20Y24 SLR_REGION_ID 0 TILEPROP INT_L_X20Y24 TILE_PATTERN_IDX 8045 TILEPROP INT_L_X20Y24 TILE_TYPE INT_L TILEPROP INT_L_X20Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y24 TILE_X -6576 TILEPROP INT_L_X20Y24 TILE_Y -162872 TILEPROP INT_L_X20Y24 TYPE INT_L TILEPROP INT_L_X20Y25 CLASS tile TILEPROP INT_L_X20Y25 COLUMN 53 TILEPROP INT_L_X20Y25 DEVICE_ID 0 TILEPROP INT_L_X20Y25 FIRST_SITE_ID 13032 TILEPROP INT_L_X20Y25 GRID_POINT_X 53 TILEPROP INT_L_X20Y25 GRID_POINT_Y 129 TILEPROP INT_L_X20Y25 INDEX 14888 TILEPROP INT_L_X20Y25 INT_TILE_X 20 TILEPROP INT_L_X20Y25 INT_TILE_Y 124 TILEPROP INT_L_X20Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y25 IS_DCM_TILE 0 TILEPROP INT_L_X20Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y25 NAME INT_L_X20Y25 TILEPROP INT_L_X20Y25 NUM_ARCS 3737 TILEPROP INT_L_X20Y25 NUM_SITES 1 TILEPROP INT_L_X20Y25 ROW 129 TILEPROP INT_L_X20Y25 SLR_REGION_ID 0 TILEPROP INT_L_X20Y25 TILE_PATTERN_IDX 7973 TILEPROP INT_L_X20Y25 TILE_TYPE INT_L TILEPROP INT_L_X20Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y25 TILE_X -6576 TILEPROP INT_L_X20Y25 TILE_Y -158648 TILEPROP INT_L_X20Y25 TYPE INT_L TILEPROP INT_L_X20Y26 CLASS tile TILEPROP INT_L_X20Y26 COLUMN 53 TILEPROP INT_L_X20Y26 DEVICE_ID 0 TILEPROP INT_L_X20Y26 FIRST_SITE_ID 12926 TILEPROP INT_L_X20Y26 GRID_POINT_X 53 TILEPROP INT_L_X20Y26 GRID_POINT_Y 128 TILEPROP INT_L_X20Y26 INDEX 14773 TILEPROP INT_L_X20Y26 INT_TILE_X 20 TILEPROP INT_L_X20Y26 INT_TILE_Y 123 TILEPROP INT_L_X20Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y26 IS_DCM_TILE 0 TILEPROP INT_L_X20Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y26 NAME INT_L_X20Y26 TILEPROP INT_L_X20Y26 NUM_ARCS 3737 TILEPROP INT_L_X20Y26 NUM_SITES 1 TILEPROP INT_L_X20Y26 ROW 128 TILEPROP INT_L_X20Y26 SLR_REGION_ID 0 TILEPROP INT_L_X20Y26 TILE_PATTERN_IDX 7945 TILEPROP INT_L_X20Y26 TILE_TYPE INT_L TILEPROP INT_L_X20Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y26 TILE_X -6576 TILEPROP INT_L_X20Y26 TILE_Y -155448 TILEPROP INT_L_X20Y26 TYPE INT_L TILEPROP INT_L_X20Y27 CLASS tile TILEPROP INT_L_X20Y27 COLUMN 53 TILEPROP INT_L_X20Y27 DEVICE_ID 0 TILEPROP INT_L_X20Y27 FIRST_SITE_ID 12826 TILEPROP INT_L_X20Y27 GRID_POINT_X 53 TILEPROP INT_L_X20Y27 GRID_POINT_Y 127 TILEPROP INT_L_X20Y27 INDEX 14658 TILEPROP INT_L_X20Y27 INT_TILE_X 20 TILEPROP INT_L_X20Y27 INT_TILE_Y 122 TILEPROP INT_L_X20Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y27 IS_DCM_TILE 0 TILEPROP INT_L_X20Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y27 NAME INT_L_X20Y27 TILEPROP INT_L_X20Y27 NUM_ARCS 3737 TILEPROP INT_L_X20Y27 NUM_SITES 1 TILEPROP INT_L_X20Y27 ROW 127 TILEPROP INT_L_X20Y27 SLR_REGION_ID 0 TILEPROP INT_L_X20Y27 TILE_PATTERN_IDX 7916 TILEPROP INT_L_X20Y27 TILE_TYPE INT_L TILEPROP INT_L_X20Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y27 TILE_X -6576 TILEPROP INT_L_X20Y27 TILE_Y -152248 TILEPROP INT_L_X20Y27 TYPE INT_L TILEPROP INT_L_X20Y28 CLASS tile TILEPROP INT_L_X20Y28 COLUMN 53 TILEPROP INT_L_X20Y28 DEVICE_ID 0 TILEPROP INT_L_X20Y28 FIRST_SITE_ID 12726 TILEPROP INT_L_X20Y28 GRID_POINT_X 53 TILEPROP INT_L_X20Y28 GRID_POINT_Y 126 TILEPROP INT_L_X20Y28 INDEX 14543 TILEPROP INT_L_X20Y28 INT_TILE_X 20 TILEPROP INT_L_X20Y28 INT_TILE_Y 121 TILEPROP INT_L_X20Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y28 IS_DCM_TILE 0 TILEPROP INT_L_X20Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y28 NAME INT_L_X20Y28 TILEPROP INT_L_X20Y28 NUM_ARCS 3737 TILEPROP INT_L_X20Y28 NUM_SITES 1 TILEPROP INT_L_X20Y28 ROW 126 TILEPROP INT_L_X20Y28 SLR_REGION_ID 0 TILEPROP INT_L_X20Y28 TILE_PATTERN_IDX 7888 TILEPROP INT_L_X20Y28 TILE_TYPE INT_L TILEPROP INT_L_X20Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y28 TILE_X -6576 TILEPROP INT_L_X20Y28 TILE_Y -149048 TILEPROP INT_L_X20Y28 TYPE INT_L TILEPROP INT_L_X20Y29 CLASS tile TILEPROP INT_L_X20Y29 COLUMN 53 TILEPROP INT_L_X20Y29 DEVICE_ID 0 TILEPROP INT_L_X20Y29 FIRST_SITE_ID 12620 TILEPROP INT_L_X20Y29 GRID_POINT_X 53 TILEPROP INT_L_X20Y29 GRID_POINT_Y 125 TILEPROP INT_L_X20Y29 INDEX 14428 TILEPROP INT_L_X20Y29 INT_TILE_X 20 TILEPROP INT_L_X20Y29 INT_TILE_Y 120 TILEPROP INT_L_X20Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y29 IS_DCM_TILE 0 TILEPROP INT_L_X20Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y29 NAME INT_L_X20Y29 TILEPROP INT_L_X20Y29 NUM_ARCS 3737 TILEPROP INT_L_X20Y29 NUM_SITES 1 TILEPROP INT_L_X20Y29 ROW 125 TILEPROP INT_L_X20Y29 SLR_REGION_ID 0 TILEPROP INT_L_X20Y29 TILE_PATTERN_IDX 7864 TILEPROP INT_L_X20Y29 TILE_TYPE INT_L TILEPROP INT_L_X20Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y29 TILE_X -6576 TILEPROP INT_L_X20Y29 TILE_Y -145848 TILEPROP INT_L_X20Y29 TYPE INT_L TILEPROP INT_L_X20Y30 CLASS tile TILEPROP INT_L_X20Y30 COLUMN 53 TILEPROP INT_L_X20Y30 DEVICE_ID 0 TILEPROP INT_L_X20Y30 FIRST_SITE_ID 12505 TILEPROP INT_L_X20Y30 GRID_POINT_X 53 TILEPROP INT_L_X20Y30 GRID_POINT_Y 124 TILEPROP INT_L_X20Y30 INDEX 14313 TILEPROP INT_L_X20Y30 INT_TILE_X 20 TILEPROP INT_L_X20Y30 INT_TILE_Y 119 TILEPROP INT_L_X20Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y30 IS_DCM_TILE 0 TILEPROP INT_L_X20Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y30 NAME INT_L_X20Y30 TILEPROP INT_L_X20Y30 NUM_ARCS 3737 TILEPROP INT_L_X20Y30 NUM_SITES 1 TILEPROP INT_L_X20Y30 ROW 124 TILEPROP INT_L_X20Y30 SLR_REGION_ID 0 TILEPROP INT_L_X20Y30 TILE_PATTERN_IDX 7841 TILEPROP INT_L_X20Y30 TILE_TYPE INT_L TILEPROP INT_L_X20Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y30 TILE_X -6576 TILEPROP INT_L_X20Y30 TILE_Y -142648 TILEPROP INT_L_X20Y30 TYPE INT_L TILEPROP INT_L_X20Y31 CLASS tile TILEPROP INT_L_X20Y31 COLUMN 53 TILEPROP INT_L_X20Y31 DEVICE_ID 0 TILEPROP INT_L_X20Y31 FIRST_SITE_ID 12384 TILEPROP INT_L_X20Y31 GRID_POINT_X 53 TILEPROP INT_L_X20Y31 GRID_POINT_Y 123 TILEPROP INT_L_X20Y31 INDEX 14198 TILEPROP INT_L_X20Y31 INT_TILE_X 20 TILEPROP INT_L_X20Y31 INT_TILE_Y 118 TILEPROP INT_L_X20Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y31 IS_DCM_TILE 0 TILEPROP INT_L_X20Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y31 NAME INT_L_X20Y31 TILEPROP INT_L_X20Y31 NUM_ARCS 3737 TILEPROP INT_L_X20Y31 NUM_SITES 1 TILEPROP INT_L_X20Y31 ROW 123 TILEPROP INT_L_X20Y31 SLR_REGION_ID 0 TILEPROP INT_L_X20Y31 TILE_PATTERN_IDX 7818 TILEPROP INT_L_X20Y31 TILE_TYPE INT_L TILEPROP INT_L_X20Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y31 TILE_X -6576 TILEPROP INT_L_X20Y31 TILE_Y -139448 TILEPROP INT_L_X20Y31 TYPE INT_L TILEPROP INT_L_X20Y32 CLASS tile TILEPROP INT_L_X20Y32 COLUMN 53 TILEPROP INT_L_X20Y32 DEVICE_ID 0 TILEPROP INT_L_X20Y32 FIRST_SITE_ID 12281 TILEPROP INT_L_X20Y32 GRID_POINT_X 53 TILEPROP INT_L_X20Y32 GRID_POINT_Y 122 TILEPROP INT_L_X20Y32 INDEX 14083 TILEPROP INT_L_X20Y32 INT_TILE_X 20 TILEPROP INT_L_X20Y32 INT_TILE_Y 117 TILEPROP INT_L_X20Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y32 IS_DCM_TILE 0 TILEPROP INT_L_X20Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y32 NAME INT_L_X20Y32 TILEPROP INT_L_X20Y32 NUM_ARCS 3737 TILEPROP INT_L_X20Y32 NUM_SITES 1 TILEPROP INT_L_X20Y32 ROW 122 TILEPROP INT_L_X20Y32 SLR_REGION_ID 0 TILEPROP INT_L_X20Y32 TILE_PATTERN_IDX 7784 TILEPROP INT_L_X20Y32 TILE_TYPE INT_L TILEPROP INT_L_X20Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y32 TILE_X -6576 TILEPROP INT_L_X20Y32 TILE_Y -136248 TILEPROP INT_L_X20Y32 TYPE INT_L TILEPROP INT_L_X20Y33 CLASS tile TILEPROP INT_L_X20Y33 COLUMN 53 TILEPROP INT_L_X20Y33 DEVICE_ID 0 TILEPROP INT_L_X20Y33 FIRST_SITE_ID 12181 TILEPROP INT_L_X20Y33 GRID_POINT_X 53 TILEPROP INT_L_X20Y33 GRID_POINT_Y 121 TILEPROP INT_L_X20Y33 INDEX 13968 TILEPROP INT_L_X20Y33 INT_TILE_X 20 TILEPROP INT_L_X20Y33 INT_TILE_Y 116 TILEPROP INT_L_X20Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y33 IS_DCM_TILE 0 TILEPROP INT_L_X20Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y33 NAME INT_L_X20Y33 TILEPROP INT_L_X20Y33 NUM_ARCS 3737 TILEPROP INT_L_X20Y33 NUM_SITES 1 TILEPROP INT_L_X20Y33 ROW 121 TILEPROP INT_L_X20Y33 SLR_REGION_ID 0 TILEPROP INT_L_X20Y33 TILE_PATTERN_IDX 7755 TILEPROP INT_L_X20Y33 TILE_TYPE INT_L TILEPROP INT_L_X20Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y33 TILE_X -6576 TILEPROP INT_L_X20Y33 TILE_Y -133048 TILEPROP INT_L_X20Y33 TYPE INT_L TILEPROP INT_L_X20Y34 CLASS tile TILEPROP INT_L_X20Y34 COLUMN 53 TILEPROP INT_L_X20Y34 DEVICE_ID 0 TILEPROP INT_L_X20Y34 FIRST_SITE_ID 12081 TILEPROP INT_L_X20Y34 GRID_POINT_X 53 TILEPROP INT_L_X20Y34 GRID_POINT_Y 120 TILEPROP INT_L_X20Y34 INDEX 13853 TILEPROP INT_L_X20Y34 INT_TILE_X 20 TILEPROP INT_L_X20Y34 INT_TILE_Y 115 TILEPROP INT_L_X20Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y34 IS_DCM_TILE 0 TILEPROP INT_L_X20Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y34 NAME INT_L_X20Y34 TILEPROP INT_L_X20Y34 NUM_ARCS 3737 TILEPROP INT_L_X20Y34 NUM_SITES 1 TILEPROP INT_L_X20Y34 ROW 120 TILEPROP INT_L_X20Y34 SLR_REGION_ID 0 TILEPROP INT_L_X20Y34 TILE_PATTERN_IDX 7727 TILEPROP INT_L_X20Y34 TILE_TYPE INT_L TILEPROP INT_L_X20Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y34 TILE_X -6576 TILEPROP INT_L_X20Y34 TILE_Y -129848 TILEPROP INT_L_X20Y34 TYPE INT_L TILEPROP INT_L_X20Y35 CLASS tile TILEPROP INT_L_X20Y35 COLUMN 53 TILEPROP INT_L_X20Y35 DEVICE_ID 0 TILEPROP INT_L_X20Y35 FIRST_SITE_ID 11972 TILEPROP INT_L_X20Y35 GRID_POINT_X 53 TILEPROP INT_L_X20Y35 GRID_POINT_Y 119 TILEPROP INT_L_X20Y35 INDEX 13738 TILEPROP INT_L_X20Y35 INT_TILE_X 20 TILEPROP INT_L_X20Y35 INT_TILE_Y 114 TILEPROP INT_L_X20Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y35 IS_DCM_TILE 0 TILEPROP INT_L_X20Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y35 NAME INT_L_X20Y35 TILEPROP INT_L_X20Y35 NUM_ARCS 3737 TILEPROP INT_L_X20Y35 NUM_SITES 1 TILEPROP INT_L_X20Y35 ROW 119 TILEPROP INT_L_X20Y35 SLR_REGION_ID 0 TILEPROP INT_L_X20Y35 TILE_PATTERN_IDX 7698 TILEPROP INT_L_X20Y35 TILE_TYPE INT_L TILEPROP INT_L_X20Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y35 TILE_X -6576 TILEPROP INT_L_X20Y35 TILE_Y -126648 TILEPROP INT_L_X20Y35 TYPE INT_L TILEPROP INT_L_X20Y36 CLASS tile TILEPROP INT_L_X20Y36 COLUMN 53 TILEPROP INT_L_X20Y36 DEVICE_ID 0 TILEPROP INT_L_X20Y36 FIRST_SITE_ID 11834 TILEPROP INT_L_X20Y36 GRID_POINT_X 53 TILEPROP INT_L_X20Y36 GRID_POINT_Y 118 TILEPROP INT_L_X20Y36 INDEX 13623 TILEPROP INT_L_X20Y36 INT_TILE_X 20 TILEPROP INT_L_X20Y36 INT_TILE_Y 113 TILEPROP INT_L_X20Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y36 IS_DCM_TILE 0 TILEPROP INT_L_X20Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y36 NAME INT_L_X20Y36 TILEPROP INT_L_X20Y36 NUM_ARCS 3737 TILEPROP INT_L_X20Y36 NUM_SITES 1 TILEPROP INT_L_X20Y36 ROW 118 TILEPROP INT_L_X20Y36 SLR_REGION_ID 0 TILEPROP INT_L_X20Y36 TILE_PATTERN_IDX 7670 TILEPROP INT_L_X20Y36 TILE_TYPE INT_L TILEPROP INT_L_X20Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y36 TILE_X -6576 TILEPROP INT_L_X20Y36 TILE_Y -123448 TILEPROP INT_L_X20Y36 TYPE INT_L TILEPROP INT_L_X20Y37 CLASS tile TILEPROP INT_L_X20Y37 COLUMN 53 TILEPROP INT_L_X20Y37 DEVICE_ID 0 TILEPROP INT_L_X20Y37 FIRST_SITE_ID 11734 TILEPROP INT_L_X20Y37 GRID_POINT_X 53 TILEPROP INT_L_X20Y37 GRID_POINT_Y 117 TILEPROP INT_L_X20Y37 INDEX 13508 TILEPROP INT_L_X20Y37 INT_TILE_X 20 TILEPROP INT_L_X20Y37 INT_TILE_Y 112 TILEPROP INT_L_X20Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y37 IS_DCM_TILE 0 TILEPROP INT_L_X20Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y37 NAME INT_L_X20Y37 TILEPROP INT_L_X20Y37 NUM_ARCS 3737 TILEPROP INT_L_X20Y37 NUM_SITES 1 TILEPROP INT_L_X20Y37 ROW 117 TILEPROP INT_L_X20Y37 SLR_REGION_ID 0 TILEPROP INT_L_X20Y37 TILE_PATTERN_IDX 7642 TILEPROP INT_L_X20Y37 TILE_TYPE INT_L TILEPROP INT_L_X20Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y37 TILE_X -6576 TILEPROP INT_L_X20Y37 TILE_Y -120248 TILEPROP INT_L_X20Y37 TYPE INT_L TILEPROP INT_L_X20Y38 CLASS tile TILEPROP INT_L_X20Y38 COLUMN 53 TILEPROP INT_L_X20Y38 DEVICE_ID 0 TILEPROP INT_L_X20Y38 FIRST_SITE_ID 11634 TILEPROP INT_L_X20Y38 GRID_POINT_X 53 TILEPROP INT_L_X20Y38 GRID_POINT_Y 116 TILEPROP INT_L_X20Y38 INDEX 13393 TILEPROP INT_L_X20Y38 INT_TILE_X 20 TILEPROP INT_L_X20Y38 INT_TILE_Y 111 TILEPROP INT_L_X20Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y38 IS_DCM_TILE 0 TILEPROP INT_L_X20Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y38 NAME INT_L_X20Y38 TILEPROP INT_L_X20Y38 NUM_ARCS 3737 TILEPROP INT_L_X20Y38 NUM_SITES 1 TILEPROP INT_L_X20Y38 ROW 116 TILEPROP INT_L_X20Y38 SLR_REGION_ID 0 TILEPROP INT_L_X20Y38 TILE_PATTERN_IDX 7614 TILEPROP INT_L_X20Y38 TILE_TYPE INT_L TILEPROP INT_L_X20Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y38 TILE_X -6576 TILEPROP INT_L_X20Y38 TILE_Y -117048 TILEPROP INT_L_X20Y38 TYPE INT_L TILEPROP INT_L_X20Y39 CLASS tile TILEPROP INT_L_X20Y39 COLUMN 53 TILEPROP INT_L_X20Y39 DEVICE_ID 0 TILEPROP INT_L_X20Y39 FIRST_SITE_ID 11534 TILEPROP INT_L_X20Y39 GRID_POINT_X 53 TILEPROP INT_L_X20Y39 GRID_POINT_Y 115 TILEPROP INT_L_X20Y39 INDEX 13278 TILEPROP INT_L_X20Y39 INT_TILE_X 20 TILEPROP INT_L_X20Y39 INT_TILE_Y 110 TILEPROP INT_L_X20Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y39 IS_DCM_TILE 0 TILEPROP INT_L_X20Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y39 NAME INT_L_X20Y39 TILEPROP INT_L_X20Y39 NUM_ARCS 3737 TILEPROP INT_L_X20Y39 NUM_SITES 1 TILEPROP INT_L_X20Y39 ROW 115 TILEPROP INT_L_X20Y39 SLR_REGION_ID 0 TILEPROP INT_L_X20Y39 TILE_PATTERN_IDX 7585 TILEPROP INT_L_X20Y39 TILE_TYPE INT_L TILEPROP INT_L_X20Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y39 TILE_X -6576 TILEPROP INT_L_X20Y39 TILE_Y -113848 TILEPROP INT_L_X20Y39 TYPE INT_L TILEPROP INT_L_X20Y40 CLASS tile TILEPROP INT_L_X20Y40 COLUMN 53 TILEPROP INT_L_X20Y40 DEVICE_ID 0 TILEPROP INT_L_X20Y40 FIRST_SITE_ID 11425 TILEPROP INT_L_X20Y40 GRID_POINT_X 53 TILEPROP INT_L_X20Y40 GRID_POINT_Y 114 TILEPROP INT_L_X20Y40 INDEX 13163 TILEPROP INT_L_X20Y40 INT_TILE_X 20 TILEPROP INT_L_X20Y40 INT_TILE_Y 109 TILEPROP INT_L_X20Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y40 IS_DCM_TILE 0 TILEPROP INT_L_X20Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y40 NAME INT_L_X20Y40 TILEPROP INT_L_X20Y40 NUM_ARCS 3737 TILEPROP INT_L_X20Y40 NUM_SITES 1 TILEPROP INT_L_X20Y40 ROW 114 TILEPROP INT_L_X20Y40 SLR_REGION_ID 0 TILEPROP INT_L_X20Y40 TILE_PATTERN_IDX 7556 TILEPROP INT_L_X20Y40 TILE_TYPE INT_L TILEPROP INT_L_X20Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y40 TILE_X -6576 TILEPROP INT_L_X20Y40 TILE_Y -110648 TILEPROP INT_L_X20Y40 TYPE INT_L TILEPROP INT_L_X20Y41 CLASS tile TILEPROP INT_L_X20Y41 COLUMN 53 TILEPROP INT_L_X20Y41 DEVICE_ID 0 TILEPROP INT_L_X20Y41 FIRST_SITE_ID 11316 TILEPROP INT_L_X20Y41 GRID_POINT_X 53 TILEPROP INT_L_X20Y41 GRID_POINT_Y 113 TILEPROP INT_L_X20Y41 INDEX 13048 TILEPROP INT_L_X20Y41 INT_TILE_X 20 TILEPROP INT_L_X20Y41 INT_TILE_Y 108 TILEPROP INT_L_X20Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y41 IS_DCM_TILE 0 TILEPROP INT_L_X20Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y41 NAME INT_L_X20Y41 TILEPROP INT_L_X20Y41 NUM_ARCS 3737 TILEPROP INT_L_X20Y41 NUM_SITES 1 TILEPROP INT_L_X20Y41 ROW 113 TILEPROP INT_L_X20Y41 SLR_REGION_ID 0 TILEPROP INT_L_X20Y41 TILE_PATTERN_IDX 7521 TILEPROP INT_L_X20Y41 TILE_TYPE INT_L TILEPROP INT_L_X20Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y41 TILE_X -6576 TILEPROP INT_L_X20Y41 TILE_Y -107448 TILEPROP INT_L_X20Y41 TYPE INT_L TILEPROP INT_L_X20Y42 CLASS tile TILEPROP INT_L_X20Y42 COLUMN 53 TILEPROP INT_L_X20Y42 DEVICE_ID 0 TILEPROP INT_L_X20Y42 FIRST_SITE_ID 11215 TILEPROP INT_L_X20Y42 GRID_POINT_X 53 TILEPROP INT_L_X20Y42 GRID_POINT_Y 112 TILEPROP INT_L_X20Y42 INDEX 12933 TILEPROP INT_L_X20Y42 INT_TILE_X 20 TILEPROP INT_L_X20Y42 INT_TILE_Y 107 TILEPROP INT_L_X20Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y42 IS_DCM_TILE 0 TILEPROP INT_L_X20Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y42 NAME INT_L_X20Y42 TILEPROP INT_L_X20Y42 NUM_ARCS 3737 TILEPROP INT_L_X20Y42 NUM_SITES 1 TILEPROP INT_L_X20Y42 ROW 112 TILEPROP INT_L_X20Y42 SLR_REGION_ID 0 TILEPROP INT_L_X20Y42 TILE_PATTERN_IDX 7492 TILEPROP INT_L_X20Y42 TILE_TYPE INT_L TILEPROP INT_L_X20Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y42 TILE_X -6576 TILEPROP INT_L_X20Y42 TILE_Y -104248 TILEPROP INT_L_X20Y42 TYPE INT_L TILEPROP INT_L_X20Y43 CLASS tile TILEPROP INT_L_X20Y43 COLUMN 53 TILEPROP INT_L_X20Y43 DEVICE_ID 0 TILEPROP INT_L_X20Y43 FIRST_SITE_ID 11112 TILEPROP INT_L_X20Y43 GRID_POINT_X 53 TILEPROP INT_L_X20Y43 GRID_POINT_Y 111 TILEPROP INT_L_X20Y43 INDEX 12818 TILEPROP INT_L_X20Y43 INT_TILE_X 20 TILEPROP INT_L_X20Y43 INT_TILE_Y 106 TILEPROP INT_L_X20Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y43 IS_DCM_TILE 0 TILEPROP INT_L_X20Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y43 NAME INT_L_X20Y43 TILEPROP INT_L_X20Y43 NUM_ARCS 3737 TILEPROP INT_L_X20Y43 NUM_SITES 1 TILEPROP INT_L_X20Y43 ROW 111 TILEPROP INT_L_X20Y43 SLR_REGION_ID 0 TILEPROP INT_L_X20Y43 TILE_PATTERN_IDX 7463 TILEPROP INT_L_X20Y43 TILE_TYPE INT_L TILEPROP INT_L_X20Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y43 TILE_X -6576 TILEPROP INT_L_X20Y43 TILE_Y -101048 TILEPROP INT_L_X20Y43 TYPE INT_L TILEPROP INT_L_X20Y44 CLASS tile TILEPROP INT_L_X20Y44 COLUMN 53 TILEPROP INT_L_X20Y44 DEVICE_ID 0 TILEPROP INT_L_X20Y44 FIRST_SITE_ID 11010 TILEPROP INT_L_X20Y44 GRID_POINT_X 53 TILEPROP INT_L_X20Y44 GRID_POINT_Y 110 TILEPROP INT_L_X20Y44 INDEX 12703 TILEPROP INT_L_X20Y44 INT_TILE_X 20 TILEPROP INT_L_X20Y44 INT_TILE_Y 105 TILEPROP INT_L_X20Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y44 IS_DCM_TILE 0 TILEPROP INT_L_X20Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y44 NAME INT_L_X20Y44 TILEPROP INT_L_X20Y44 NUM_ARCS 3737 TILEPROP INT_L_X20Y44 NUM_SITES 1 TILEPROP INT_L_X20Y44 ROW 110 TILEPROP INT_L_X20Y44 SLR_REGION_ID 0 TILEPROP INT_L_X20Y44 TILE_PATTERN_IDX 7435 TILEPROP INT_L_X20Y44 TILE_TYPE INT_L TILEPROP INT_L_X20Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y44 TILE_X -6576 TILEPROP INT_L_X20Y44 TILE_Y -97848 TILEPROP INT_L_X20Y44 TYPE INT_L TILEPROP INT_L_X20Y45 CLASS tile TILEPROP INT_L_X20Y45 COLUMN 53 TILEPROP INT_L_X20Y45 DEVICE_ID 0 TILEPROP INT_L_X20Y45 FIRST_SITE_ID 10900 TILEPROP INT_L_X20Y45 GRID_POINT_X 53 TILEPROP INT_L_X20Y45 GRID_POINT_Y 109 TILEPROP INT_L_X20Y45 INDEX 12588 TILEPROP INT_L_X20Y45 INT_TILE_X 20 TILEPROP INT_L_X20Y45 INT_TILE_Y 104 TILEPROP INT_L_X20Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y45 IS_DCM_TILE 0 TILEPROP INT_L_X20Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y45 NAME INT_L_X20Y45 TILEPROP INT_L_X20Y45 NUM_ARCS 3737 TILEPROP INT_L_X20Y45 NUM_SITES 1 TILEPROP INT_L_X20Y45 ROW 109 TILEPROP INT_L_X20Y45 SLR_REGION_ID 0 TILEPROP INT_L_X20Y45 TILE_PATTERN_IDX 7399 TILEPROP INT_L_X20Y45 TILE_TYPE INT_L TILEPROP INT_L_X20Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y45 TILE_X -6576 TILEPROP INT_L_X20Y45 TILE_Y -94648 TILEPROP INT_L_X20Y45 TYPE INT_L TILEPROP INT_L_X20Y46 CLASS tile TILEPROP INT_L_X20Y46 COLUMN 53 TILEPROP INT_L_X20Y46 DEVICE_ID 0 TILEPROP INT_L_X20Y46 FIRST_SITE_ID 10778 TILEPROP INT_L_X20Y46 GRID_POINT_X 53 TILEPROP INT_L_X20Y46 GRID_POINT_Y 108 TILEPROP INT_L_X20Y46 INDEX 12473 TILEPROP INT_L_X20Y46 INT_TILE_X 20 TILEPROP INT_L_X20Y46 INT_TILE_Y 103 TILEPROP INT_L_X20Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y46 IS_DCM_TILE 0 TILEPROP INT_L_X20Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y46 NAME INT_L_X20Y46 TILEPROP INT_L_X20Y46 NUM_ARCS 3737 TILEPROP INT_L_X20Y46 NUM_SITES 1 TILEPROP INT_L_X20Y46 ROW 108 TILEPROP INT_L_X20Y46 SLR_REGION_ID 0 TILEPROP INT_L_X20Y46 TILE_PATTERN_IDX 7363 TILEPROP INT_L_X20Y46 TILE_TYPE INT_L TILEPROP INT_L_X20Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y46 TILE_X -6576 TILEPROP INT_L_X20Y46 TILE_Y -91448 TILEPROP INT_L_X20Y46 TYPE INT_L TILEPROP INT_L_X20Y47 CLASS tile TILEPROP INT_L_X20Y47 COLUMN 53 TILEPROP INT_L_X20Y47 DEVICE_ID 0 TILEPROP INT_L_X20Y47 FIRST_SITE_ID 10678 TILEPROP INT_L_X20Y47 GRID_POINT_X 53 TILEPROP INT_L_X20Y47 GRID_POINT_Y 107 TILEPROP INT_L_X20Y47 INDEX 12358 TILEPROP INT_L_X20Y47 INT_TILE_X 20 TILEPROP INT_L_X20Y47 INT_TILE_Y 102 TILEPROP INT_L_X20Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y47 IS_DCM_TILE 0 TILEPROP INT_L_X20Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y47 NAME INT_L_X20Y47 TILEPROP INT_L_X20Y47 NUM_ARCS 3737 TILEPROP INT_L_X20Y47 NUM_SITES 1 TILEPROP INT_L_X20Y47 ROW 107 TILEPROP INT_L_X20Y47 SLR_REGION_ID 0 TILEPROP INT_L_X20Y47 TILE_PATTERN_IDX 7326 TILEPROP INT_L_X20Y47 TILE_TYPE INT_L TILEPROP INT_L_X20Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y47 TILE_X -6576 TILEPROP INT_L_X20Y47 TILE_Y -88248 TILEPROP INT_L_X20Y47 TYPE INT_L TILEPROP INT_L_X20Y48 CLASS tile TILEPROP INT_L_X20Y48 COLUMN 53 TILEPROP INT_L_X20Y48 DEVICE_ID 0 TILEPROP INT_L_X20Y48 FIRST_SITE_ID 10578 TILEPROP INT_L_X20Y48 GRID_POINT_X 53 TILEPROP INT_L_X20Y48 GRID_POINT_Y 106 TILEPROP INT_L_X20Y48 INDEX 12243 TILEPROP INT_L_X20Y48 INT_TILE_X 20 TILEPROP INT_L_X20Y48 INT_TILE_Y 101 TILEPROP INT_L_X20Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y48 IS_DCM_TILE 0 TILEPROP INT_L_X20Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y48 NAME INT_L_X20Y48 TILEPROP INT_L_X20Y48 NUM_ARCS 3737 TILEPROP INT_L_X20Y48 NUM_SITES 1 TILEPROP INT_L_X20Y48 ROW 106 TILEPROP INT_L_X20Y48 SLR_REGION_ID 0 TILEPROP INT_L_X20Y48 TILE_PATTERN_IDX 7290 TILEPROP INT_L_X20Y48 TILE_TYPE INT_L TILEPROP INT_L_X20Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y48 TILE_X -6576 TILEPROP INT_L_X20Y48 TILE_Y -85048 TILEPROP INT_L_X20Y48 TYPE INT_L TILEPROP INT_L_X20Y49 CLASS tile TILEPROP INT_L_X20Y49 COLUMN 53 TILEPROP INT_L_X20Y49 DEVICE_ID 0 TILEPROP INT_L_X20Y49 FIRST_SITE_ID 10482 TILEPROP INT_L_X20Y49 GRID_POINT_X 53 TILEPROP INT_L_X20Y49 GRID_POINT_Y 105 TILEPROP INT_L_X20Y49 INDEX 12128 TILEPROP INT_L_X20Y49 INT_TILE_X 20 TILEPROP INT_L_X20Y49 INT_TILE_Y 100 TILEPROP INT_L_X20Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y49 IS_DCM_TILE 0 TILEPROP INT_L_X20Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y49 NAME INT_L_X20Y49 TILEPROP INT_L_X20Y49 NUM_ARCS 3737 TILEPROP INT_L_X20Y49 NUM_SITES 1 TILEPROP INT_L_X20Y49 ROW 105 TILEPROP INT_L_X20Y49 SLR_REGION_ID 0 TILEPROP INT_L_X20Y49 TILE_PATTERN_IDX 7254 TILEPROP INT_L_X20Y49 TILE_TYPE INT_L TILEPROP INT_L_X20Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y49 TILE_X -6576 TILEPROP INT_L_X20Y49 TILE_Y -81848 TILEPROP INT_L_X20Y49 TYPE INT_L TILEPROP INT_L_X20Y50 CLASS tile TILEPROP INT_L_X20Y50 COLUMN 53 TILEPROP INT_L_X20Y50 DEVICE_ID 0 TILEPROP INT_L_X20Y50 FIRST_SITE_ID 10357 TILEPROP INT_L_X20Y50 GRID_POINT_X 53 TILEPROP INT_L_X20Y50 GRID_POINT_Y 103 TILEPROP INT_L_X20Y50 INDEX 11898 TILEPROP INT_L_X20Y50 INT_TILE_X 20 TILEPROP INT_L_X20Y50 INT_TILE_Y 99 TILEPROP INT_L_X20Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y50 IS_DCM_TILE 0 TILEPROP INT_L_X20Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y50 NAME INT_L_X20Y50 TILEPROP INT_L_X20Y50 NUM_ARCS 3737 TILEPROP INT_L_X20Y50 NUM_SITES 1 TILEPROP INT_L_X20Y50 ROW 103 TILEPROP INT_L_X20Y50 SLR_REGION_ID 0 TILEPROP INT_L_X20Y50 TILE_PATTERN_IDX 7217 TILEPROP INT_L_X20Y50 TILE_TYPE INT_L TILEPROP INT_L_X20Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y50 TILE_X -6576 TILEPROP INT_L_X20Y50 TILE_Y -78400 TILEPROP INT_L_X20Y50 TYPE INT_L TILEPROP INT_L_X20Y51 CLASS tile TILEPROP INT_L_X20Y51 COLUMN 53 TILEPROP INT_L_X20Y51 DEVICE_ID 0 TILEPROP INT_L_X20Y51 FIRST_SITE_ID 10247 TILEPROP INT_L_X20Y51 GRID_POINT_X 53 TILEPROP INT_L_X20Y51 GRID_POINT_Y 102 TILEPROP INT_L_X20Y51 INDEX 11783 TILEPROP INT_L_X20Y51 INT_TILE_X 20 TILEPROP INT_L_X20Y51 INT_TILE_Y 98 TILEPROP INT_L_X20Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y51 IS_DCM_TILE 0 TILEPROP INT_L_X20Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y51 NAME INT_L_X20Y51 TILEPROP INT_L_X20Y51 NUM_ARCS 3737 TILEPROP INT_L_X20Y51 NUM_SITES 1 TILEPROP INT_L_X20Y51 ROW 102 TILEPROP INT_L_X20Y51 SLR_REGION_ID 0 TILEPROP INT_L_X20Y51 TILE_PATTERN_IDX 7180 TILEPROP INT_L_X20Y51 TILE_TYPE INT_L TILEPROP INT_L_X20Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y51 TILE_X -6576 TILEPROP INT_L_X20Y51 TILE_Y -75200 TILEPROP INT_L_X20Y51 TYPE INT_L TILEPROP INT_L_X20Y52 CLASS tile TILEPROP INT_L_X20Y52 COLUMN 53 TILEPROP INT_L_X20Y52 DEVICE_ID 0 TILEPROP INT_L_X20Y52 FIRST_SITE_ID 10147 TILEPROP INT_L_X20Y52 GRID_POINT_X 53 TILEPROP INT_L_X20Y52 GRID_POINT_Y 101 TILEPROP INT_L_X20Y52 INDEX 11668 TILEPROP INT_L_X20Y52 INT_TILE_X 20 TILEPROP INT_L_X20Y52 INT_TILE_Y 97 TILEPROP INT_L_X20Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y52 IS_DCM_TILE 0 TILEPROP INT_L_X20Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y52 NAME INT_L_X20Y52 TILEPROP INT_L_X20Y52 NUM_ARCS 3737 TILEPROP INT_L_X20Y52 NUM_SITES 1 TILEPROP INT_L_X20Y52 ROW 101 TILEPROP INT_L_X20Y52 SLR_REGION_ID 0 TILEPROP INT_L_X20Y52 TILE_PATTERN_IDX 7143 TILEPROP INT_L_X20Y52 TILE_TYPE INT_L TILEPROP INT_L_X20Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y52 TILE_X -6576 TILEPROP INT_L_X20Y52 TILE_Y -72000 TILEPROP INT_L_X20Y52 TYPE INT_L TILEPROP INT_L_X20Y53 CLASS tile TILEPROP INT_L_X20Y53 COLUMN 53 TILEPROP INT_L_X20Y53 DEVICE_ID 0 TILEPROP INT_L_X20Y53 FIRST_SITE_ID 10047 TILEPROP INT_L_X20Y53 GRID_POINT_X 53 TILEPROP INT_L_X20Y53 GRID_POINT_Y 100 TILEPROP INT_L_X20Y53 INDEX 11553 TILEPROP INT_L_X20Y53 INT_TILE_X 20 TILEPROP INT_L_X20Y53 INT_TILE_Y 96 TILEPROP INT_L_X20Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y53 IS_DCM_TILE 0 TILEPROP INT_L_X20Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y53 NAME INT_L_X20Y53 TILEPROP INT_L_X20Y53 NUM_ARCS 3737 TILEPROP INT_L_X20Y53 NUM_SITES 1 TILEPROP INT_L_X20Y53 ROW 100 TILEPROP INT_L_X20Y53 SLR_REGION_ID 0 TILEPROP INT_L_X20Y53 TILE_PATTERN_IDX 7106 TILEPROP INT_L_X20Y53 TILE_TYPE INT_L TILEPROP INT_L_X20Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y53 TILE_X -6576 TILEPROP INT_L_X20Y53 TILE_Y -68800 TILEPROP INT_L_X20Y53 TYPE INT_L TILEPROP INT_L_X20Y54 CLASS tile TILEPROP INT_L_X20Y54 COLUMN 53 TILEPROP INT_L_X20Y54 DEVICE_ID 0 TILEPROP INT_L_X20Y54 FIRST_SITE_ID 9947 TILEPROP INT_L_X20Y54 GRID_POINT_X 53 TILEPROP INT_L_X20Y54 GRID_POINT_Y 99 TILEPROP INT_L_X20Y54 INDEX 11438 TILEPROP INT_L_X20Y54 INT_TILE_X 20 TILEPROP INT_L_X20Y54 INT_TILE_Y 95 TILEPROP INT_L_X20Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y54 IS_DCM_TILE 0 TILEPROP INT_L_X20Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y54 NAME INT_L_X20Y54 TILEPROP INT_L_X20Y54 NUM_ARCS 3737 TILEPROP INT_L_X20Y54 NUM_SITES 1 TILEPROP INT_L_X20Y54 ROW 99 TILEPROP INT_L_X20Y54 SLR_REGION_ID 0 TILEPROP INT_L_X20Y54 TILE_PATTERN_IDX 7069 TILEPROP INT_L_X20Y54 TILE_TYPE INT_L TILEPROP INT_L_X20Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y54 TILE_X -6576 TILEPROP INT_L_X20Y54 TILE_Y -65600 TILEPROP INT_L_X20Y54 TYPE INT_L TILEPROP INT_L_X20Y55 CLASS tile TILEPROP INT_L_X20Y55 COLUMN 53 TILEPROP INT_L_X20Y55 DEVICE_ID 0 TILEPROP INT_L_X20Y55 FIRST_SITE_ID 9838 TILEPROP INT_L_X20Y55 GRID_POINT_X 53 TILEPROP INT_L_X20Y55 GRID_POINT_Y 98 TILEPROP INT_L_X20Y55 INDEX 11323 TILEPROP INT_L_X20Y55 INT_TILE_X 20 TILEPROP INT_L_X20Y55 INT_TILE_Y 94 TILEPROP INT_L_X20Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y55 IS_DCM_TILE 0 TILEPROP INT_L_X20Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y55 NAME INT_L_X20Y55 TILEPROP INT_L_X20Y55 NUM_ARCS 3737 TILEPROP INT_L_X20Y55 NUM_SITES 1 TILEPROP INT_L_X20Y55 ROW 98 TILEPROP INT_L_X20Y55 SLR_REGION_ID 0 TILEPROP INT_L_X20Y55 TILE_PATTERN_IDX 7031 TILEPROP INT_L_X20Y55 TILE_TYPE INT_L TILEPROP INT_L_X20Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y55 TILE_X -6576 TILEPROP INT_L_X20Y55 TILE_Y -62400 TILEPROP INT_L_X20Y55 TYPE INT_L TILEPROP INT_L_X20Y56 CLASS tile TILEPROP INT_L_X20Y56 COLUMN 53 TILEPROP INT_L_X20Y56 DEVICE_ID 0 TILEPROP INT_L_X20Y56 FIRST_SITE_ID 9732 TILEPROP INT_L_X20Y56 GRID_POINT_X 53 TILEPROP INT_L_X20Y56 GRID_POINT_Y 97 TILEPROP INT_L_X20Y56 INDEX 11208 TILEPROP INT_L_X20Y56 INT_TILE_X 20 TILEPROP INT_L_X20Y56 INT_TILE_Y 93 TILEPROP INT_L_X20Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y56 IS_DCM_TILE 0 TILEPROP INT_L_X20Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y56 NAME INT_L_X20Y56 TILEPROP INT_L_X20Y56 NUM_ARCS 3737 TILEPROP INT_L_X20Y56 NUM_SITES 1 TILEPROP INT_L_X20Y56 ROW 97 TILEPROP INT_L_X20Y56 SLR_REGION_ID 0 TILEPROP INT_L_X20Y56 TILE_PATTERN_IDX 6994 TILEPROP INT_L_X20Y56 TILE_TYPE INT_L TILEPROP INT_L_X20Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y56 TILE_X -6576 TILEPROP INT_L_X20Y56 TILE_Y -59200 TILEPROP INT_L_X20Y56 TYPE INT_L TILEPROP INT_L_X20Y57 CLASS tile TILEPROP INT_L_X20Y57 COLUMN 53 TILEPROP INT_L_X20Y57 DEVICE_ID 0 TILEPROP INT_L_X20Y57 FIRST_SITE_ID 9630 TILEPROP INT_L_X20Y57 GRID_POINT_X 53 TILEPROP INT_L_X20Y57 GRID_POINT_Y 96 TILEPROP INT_L_X20Y57 INDEX 11093 TILEPROP INT_L_X20Y57 INT_TILE_X 20 TILEPROP INT_L_X20Y57 INT_TILE_Y 92 TILEPROP INT_L_X20Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y57 IS_DCM_TILE 0 TILEPROP INT_L_X20Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y57 NAME INT_L_X20Y57 TILEPROP INT_L_X20Y57 NUM_ARCS 3737 TILEPROP INT_L_X20Y57 NUM_SITES 1 TILEPROP INT_L_X20Y57 ROW 96 TILEPROP INT_L_X20Y57 SLR_REGION_ID 0 TILEPROP INT_L_X20Y57 TILE_PATTERN_IDX 6957 TILEPROP INT_L_X20Y57 TILE_TYPE INT_L TILEPROP INT_L_X20Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y57 TILE_X -6576 TILEPROP INT_L_X20Y57 TILE_Y -56000 TILEPROP INT_L_X20Y57 TYPE INT_L TILEPROP INT_L_X20Y58 CLASS tile TILEPROP INT_L_X20Y58 COLUMN 53 TILEPROP INT_L_X20Y58 DEVICE_ID 0 TILEPROP INT_L_X20Y58 FIRST_SITE_ID 9527 TILEPROP INT_L_X20Y58 GRID_POINT_X 53 TILEPROP INT_L_X20Y58 GRID_POINT_Y 95 TILEPROP INT_L_X20Y58 INDEX 10978 TILEPROP INT_L_X20Y58 INT_TILE_X 20 TILEPROP INT_L_X20Y58 INT_TILE_Y 91 TILEPROP INT_L_X20Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y58 IS_DCM_TILE 0 TILEPROP INT_L_X20Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y58 NAME INT_L_X20Y58 TILEPROP INT_L_X20Y58 NUM_ARCS 3737 TILEPROP INT_L_X20Y58 NUM_SITES 1 TILEPROP INT_L_X20Y58 ROW 95 TILEPROP INT_L_X20Y58 SLR_REGION_ID 0 TILEPROP INT_L_X20Y58 TILE_PATTERN_IDX 6919 TILEPROP INT_L_X20Y58 TILE_TYPE INT_L TILEPROP INT_L_X20Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y58 TILE_X -6576 TILEPROP INT_L_X20Y58 TILE_Y -52800 TILEPROP INT_L_X20Y58 TYPE INT_L TILEPROP INT_L_X20Y59 CLASS tile TILEPROP INT_L_X20Y59 COLUMN 53 TILEPROP INT_L_X20Y59 DEVICE_ID 0 TILEPROP INT_L_X20Y59 FIRST_SITE_ID 9426 TILEPROP INT_L_X20Y59 GRID_POINT_X 53 TILEPROP INT_L_X20Y59 GRID_POINT_Y 94 TILEPROP INT_L_X20Y59 INDEX 10863 TILEPROP INT_L_X20Y59 INT_TILE_X 20 TILEPROP INT_L_X20Y59 INT_TILE_Y 90 TILEPROP INT_L_X20Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y59 IS_DCM_TILE 0 TILEPROP INT_L_X20Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y59 NAME INT_L_X20Y59 TILEPROP INT_L_X20Y59 NUM_ARCS 3737 TILEPROP INT_L_X20Y59 NUM_SITES 1 TILEPROP INT_L_X20Y59 ROW 94 TILEPROP INT_L_X20Y59 SLR_REGION_ID 0 TILEPROP INT_L_X20Y59 TILE_PATTERN_IDX 6882 TILEPROP INT_L_X20Y59 TILE_TYPE INT_L TILEPROP INT_L_X20Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y59 TILE_X -6576 TILEPROP INT_L_X20Y59 TILE_Y -49600 TILEPROP INT_L_X20Y59 TYPE INT_L TILEPROP INT_L_X20Y60 CLASS tile TILEPROP INT_L_X20Y60 COLUMN 53 TILEPROP INT_L_X20Y60 DEVICE_ID 0 TILEPROP INT_L_X20Y60 FIRST_SITE_ID 9317 TILEPROP INT_L_X20Y60 GRID_POINT_X 53 TILEPROP INT_L_X20Y60 GRID_POINT_Y 93 TILEPROP INT_L_X20Y60 INDEX 10748 TILEPROP INT_L_X20Y60 INT_TILE_X 20 TILEPROP INT_L_X20Y60 INT_TILE_Y 89 TILEPROP INT_L_X20Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y60 IS_DCM_TILE 0 TILEPROP INT_L_X20Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y60 NAME INT_L_X20Y60 TILEPROP INT_L_X20Y60 NUM_ARCS 3737 TILEPROP INT_L_X20Y60 NUM_SITES 1 TILEPROP INT_L_X20Y60 ROW 93 TILEPROP INT_L_X20Y60 SLR_REGION_ID 0 TILEPROP INT_L_X20Y60 TILE_PATTERN_IDX 6845 TILEPROP INT_L_X20Y60 TILE_TYPE INT_L TILEPROP INT_L_X20Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y60 TILE_X -6576 TILEPROP INT_L_X20Y60 TILE_Y -46400 TILEPROP INT_L_X20Y60 TYPE INT_L TILEPROP INT_L_X20Y61 CLASS tile TILEPROP INT_L_X20Y61 COLUMN 53 TILEPROP INT_L_X20Y61 DEVICE_ID 0 TILEPROP INT_L_X20Y61 FIRST_SITE_ID 9211 TILEPROP INT_L_X20Y61 GRID_POINT_X 53 TILEPROP INT_L_X20Y61 GRID_POINT_Y 92 TILEPROP INT_L_X20Y61 INDEX 10633 TILEPROP INT_L_X20Y61 INT_TILE_X 20 TILEPROP INT_L_X20Y61 INT_TILE_Y 88 TILEPROP INT_L_X20Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y61 IS_DCM_TILE 0 TILEPROP INT_L_X20Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y61 NAME INT_L_X20Y61 TILEPROP INT_L_X20Y61 NUM_ARCS 3737 TILEPROP INT_L_X20Y61 NUM_SITES 1 TILEPROP INT_L_X20Y61 ROW 92 TILEPROP INT_L_X20Y61 SLR_REGION_ID 0 TILEPROP INT_L_X20Y61 TILE_PATTERN_IDX 6808 TILEPROP INT_L_X20Y61 TILE_TYPE INT_L TILEPROP INT_L_X20Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y61 TILE_X -6576 TILEPROP INT_L_X20Y61 TILE_Y -43200 TILEPROP INT_L_X20Y61 TYPE INT_L TILEPROP INT_L_X20Y62 CLASS tile TILEPROP INT_L_X20Y62 COLUMN 53 TILEPROP INT_L_X20Y62 DEVICE_ID 0 TILEPROP INT_L_X20Y62 FIRST_SITE_ID 9079 TILEPROP INT_L_X20Y62 GRID_POINT_X 53 TILEPROP INT_L_X20Y62 GRID_POINT_Y 91 TILEPROP INT_L_X20Y62 INDEX 10518 TILEPROP INT_L_X20Y62 INT_TILE_X 20 TILEPROP INT_L_X20Y62 INT_TILE_Y 87 TILEPROP INT_L_X20Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y62 IS_DCM_TILE 0 TILEPROP INT_L_X20Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y62 NAME INT_L_X20Y62 TILEPROP INT_L_X20Y62 NUM_ARCS 3737 TILEPROP INT_L_X20Y62 NUM_SITES 1 TILEPROP INT_L_X20Y62 ROW 91 TILEPROP INT_L_X20Y62 SLR_REGION_ID 0 TILEPROP INT_L_X20Y62 TILE_PATTERN_IDX 6771 TILEPROP INT_L_X20Y62 TILE_TYPE INT_L TILEPROP INT_L_X20Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y62 TILE_X -6576 TILEPROP INT_L_X20Y62 TILE_Y -40000 TILEPROP INT_L_X20Y62 TYPE INT_L TILEPROP INT_L_X20Y63 CLASS tile TILEPROP INT_L_X20Y63 COLUMN 53 TILEPROP INT_L_X20Y63 DEVICE_ID 0 TILEPROP INT_L_X20Y63 FIRST_SITE_ID 8979 TILEPROP INT_L_X20Y63 GRID_POINT_X 53 TILEPROP INT_L_X20Y63 GRID_POINT_Y 90 TILEPROP INT_L_X20Y63 INDEX 10403 TILEPROP INT_L_X20Y63 INT_TILE_X 20 TILEPROP INT_L_X20Y63 INT_TILE_Y 86 TILEPROP INT_L_X20Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y63 IS_DCM_TILE 0 TILEPROP INT_L_X20Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y63 NAME INT_L_X20Y63 TILEPROP INT_L_X20Y63 NUM_ARCS 3737 TILEPROP INT_L_X20Y63 NUM_SITES 1 TILEPROP INT_L_X20Y63 ROW 90 TILEPROP INT_L_X20Y63 SLR_REGION_ID 0 TILEPROP INT_L_X20Y63 TILE_PATTERN_IDX 6734 TILEPROP INT_L_X20Y63 TILE_TYPE INT_L TILEPROP INT_L_X20Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y63 TILE_X -6576 TILEPROP INT_L_X20Y63 TILE_Y -36800 TILEPROP INT_L_X20Y63 TYPE INT_L TILEPROP INT_L_X20Y64 CLASS tile TILEPROP INT_L_X20Y64 COLUMN 53 TILEPROP INT_L_X20Y64 DEVICE_ID 0 TILEPROP INT_L_X20Y64 FIRST_SITE_ID 8879 TILEPROP INT_L_X20Y64 GRID_POINT_X 53 TILEPROP INT_L_X20Y64 GRID_POINT_Y 89 TILEPROP INT_L_X20Y64 INDEX 10288 TILEPROP INT_L_X20Y64 INT_TILE_X 20 TILEPROP INT_L_X20Y64 INT_TILE_Y 85 TILEPROP INT_L_X20Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y64 IS_DCM_TILE 0 TILEPROP INT_L_X20Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y64 NAME INT_L_X20Y64 TILEPROP INT_L_X20Y64 NUM_ARCS 3737 TILEPROP INT_L_X20Y64 NUM_SITES 1 TILEPROP INT_L_X20Y64 ROW 89 TILEPROP INT_L_X20Y64 SLR_REGION_ID 0 TILEPROP INT_L_X20Y64 TILE_PATTERN_IDX 6697 TILEPROP INT_L_X20Y64 TILE_TYPE INT_L TILEPROP INT_L_X20Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y64 TILE_X -6576 TILEPROP INT_L_X20Y64 TILE_Y -33600 TILEPROP INT_L_X20Y64 TYPE INT_L TILEPROP INT_L_X20Y65 CLASS tile TILEPROP INT_L_X20Y65 COLUMN 53 TILEPROP INT_L_X20Y65 DEVICE_ID 0 TILEPROP INT_L_X20Y65 FIRST_SITE_ID 8770 TILEPROP INT_L_X20Y65 GRID_POINT_X 53 TILEPROP INT_L_X20Y65 GRID_POINT_Y 88 TILEPROP INT_L_X20Y65 INDEX 10173 TILEPROP INT_L_X20Y65 INT_TILE_X 20 TILEPROP INT_L_X20Y65 INT_TILE_Y 84 TILEPROP INT_L_X20Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y65 IS_DCM_TILE 0 TILEPROP INT_L_X20Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y65 NAME INT_L_X20Y65 TILEPROP INT_L_X20Y65 NUM_ARCS 3737 TILEPROP INT_L_X20Y65 NUM_SITES 1 TILEPROP INT_L_X20Y65 ROW 88 TILEPROP INT_L_X20Y65 SLR_REGION_ID 0 TILEPROP INT_L_X20Y65 TILE_PATTERN_IDX 6660 TILEPROP INT_L_X20Y65 TILE_TYPE INT_L TILEPROP INT_L_X20Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y65 TILE_X -6576 TILEPROP INT_L_X20Y65 TILE_Y -30400 TILEPROP INT_L_X20Y65 TYPE INT_L TILEPROP INT_L_X20Y66 CLASS tile TILEPROP INT_L_X20Y66 COLUMN 53 TILEPROP INT_L_X20Y66 DEVICE_ID 0 TILEPROP INT_L_X20Y66 FIRST_SITE_ID 8664 TILEPROP INT_L_X20Y66 GRID_POINT_X 53 TILEPROP INT_L_X20Y66 GRID_POINT_Y 87 TILEPROP INT_L_X20Y66 INDEX 10058 TILEPROP INT_L_X20Y66 INT_TILE_X 20 TILEPROP INT_L_X20Y66 INT_TILE_Y 83 TILEPROP INT_L_X20Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y66 IS_DCM_TILE 0 TILEPROP INT_L_X20Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y66 NAME INT_L_X20Y66 TILEPROP INT_L_X20Y66 NUM_ARCS 3737 TILEPROP INT_L_X20Y66 NUM_SITES 1 TILEPROP INT_L_X20Y66 ROW 87 TILEPROP INT_L_X20Y66 SLR_REGION_ID 0 TILEPROP INT_L_X20Y66 TILE_PATTERN_IDX 6623 TILEPROP INT_L_X20Y66 TILE_TYPE INT_L TILEPROP INT_L_X20Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y66 TILE_X -6576 TILEPROP INT_L_X20Y66 TILE_Y -27200 TILEPROP INT_L_X20Y66 TYPE INT_L TILEPROP INT_L_X20Y67 CLASS tile TILEPROP INT_L_X20Y67 COLUMN 53 TILEPROP INT_L_X20Y67 DEVICE_ID 0 TILEPROP INT_L_X20Y67 FIRST_SITE_ID 8560 TILEPROP INT_L_X20Y67 GRID_POINT_X 53 TILEPROP INT_L_X20Y67 GRID_POINT_Y 86 TILEPROP INT_L_X20Y67 INDEX 9943 TILEPROP INT_L_X20Y67 INT_TILE_X 20 TILEPROP INT_L_X20Y67 INT_TILE_Y 82 TILEPROP INT_L_X20Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y67 IS_DCM_TILE 0 TILEPROP INT_L_X20Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y67 NAME INT_L_X20Y67 TILEPROP INT_L_X20Y67 NUM_ARCS 3737 TILEPROP INT_L_X20Y67 NUM_SITES 1 TILEPROP INT_L_X20Y67 ROW 86 TILEPROP INT_L_X20Y67 SLR_REGION_ID 0 TILEPROP INT_L_X20Y67 TILE_PATTERN_IDX 6585 TILEPROP INT_L_X20Y67 TILE_TYPE INT_L TILEPROP INT_L_X20Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y67 TILE_X -6576 TILEPROP INT_L_X20Y67 TILE_Y -24000 TILEPROP INT_L_X20Y67 TYPE INT_L TILEPROP INT_L_X20Y68 CLASS tile TILEPROP INT_L_X20Y68 COLUMN 53 TILEPROP INT_L_X20Y68 DEVICE_ID 0 TILEPROP INT_L_X20Y68 FIRST_SITE_ID 8456 TILEPROP INT_L_X20Y68 GRID_POINT_X 53 TILEPROP INT_L_X20Y68 GRID_POINT_Y 85 TILEPROP INT_L_X20Y68 INDEX 9828 TILEPROP INT_L_X20Y68 INT_TILE_X 20 TILEPROP INT_L_X20Y68 INT_TILE_Y 81 TILEPROP INT_L_X20Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y68 IS_DCM_TILE 0 TILEPROP INT_L_X20Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y68 NAME INT_L_X20Y68 TILEPROP INT_L_X20Y68 NUM_ARCS 3737 TILEPROP INT_L_X20Y68 NUM_SITES 1 TILEPROP INT_L_X20Y68 ROW 85 TILEPROP INT_L_X20Y68 SLR_REGION_ID 0 TILEPROP INT_L_X20Y68 TILE_PATTERN_IDX 6553 TILEPROP INT_L_X20Y68 TILE_TYPE INT_L TILEPROP INT_L_X20Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y68 TILE_X -6576 TILEPROP INT_L_X20Y68 TILE_Y -20800 TILEPROP INT_L_X20Y68 TYPE INT_L TILEPROP INT_L_X20Y69 CLASS tile TILEPROP INT_L_X20Y69 COLUMN 53 TILEPROP INT_L_X20Y69 DEVICE_ID 0 TILEPROP INT_L_X20Y69 FIRST_SITE_ID 8354 TILEPROP INT_L_X20Y69 GRID_POINT_X 53 TILEPROP INT_L_X20Y69 GRID_POINT_Y 84 TILEPROP INT_L_X20Y69 INDEX 9713 TILEPROP INT_L_X20Y69 INT_TILE_X 20 TILEPROP INT_L_X20Y69 INT_TILE_Y 80 TILEPROP INT_L_X20Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y69 IS_DCM_TILE 0 TILEPROP INT_L_X20Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y69 NAME INT_L_X20Y69 TILEPROP INT_L_X20Y69 NUM_ARCS 3737 TILEPROP INT_L_X20Y69 NUM_SITES 1 TILEPROP INT_L_X20Y69 ROW 84 TILEPROP INT_L_X20Y69 SLR_REGION_ID 0 TILEPROP INT_L_X20Y69 TILE_PATTERN_IDX 6522 TILEPROP INT_L_X20Y69 TILE_TYPE INT_L TILEPROP INT_L_X20Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y69 TILE_X -6576 TILEPROP INT_L_X20Y69 TILE_Y -17600 TILEPROP INT_L_X20Y69 TYPE INT_L TILEPROP INT_L_X20Y70 CLASS tile TILEPROP INT_L_X20Y70 COLUMN 53 TILEPROP INT_L_X20Y70 DEVICE_ID 0 TILEPROP INT_L_X20Y70 FIRST_SITE_ID 8243 TILEPROP INT_L_X20Y70 GRID_POINT_X 53 TILEPROP INT_L_X20Y70 GRID_POINT_Y 83 TILEPROP INT_L_X20Y70 INDEX 9598 TILEPROP INT_L_X20Y70 INT_TILE_X 20 TILEPROP INT_L_X20Y70 INT_TILE_Y 79 TILEPROP INT_L_X20Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y70 IS_DCM_TILE 0 TILEPROP INT_L_X20Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y70 NAME INT_L_X20Y70 TILEPROP INT_L_X20Y70 NUM_ARCS 3737 TILEPROP INT_L_X20Y70 NUM_SITES 1 TILEPROP INT_L_X20Y70 ROW 83 TILEPROP INT_L_X20Y70 SLR_REGION_ID 0 TILEPROP INT_L_X20Y70 TILE_PATTERN_IDX 6491 TILEPROP INT_L_X20Y70 TILE_TYPE INT_L TILEPROP INT_L_X20Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y70 TILE_X -6576 TILEPROP INT_L_X20Y70 TILE_Y -14400 TILEPROP INT_L_X20Y70 TYPE INT_L TILEPROP INT_L_X20Y71 CLASS tile TILEPROP INT_L_X20Y71 COLUMN 53 TILEPROP INT_L_X20Y71 DEVICE_ID 0 TILEPROP INT_L_X20Y71 FIRST_SITE_ID 8137 TILEPROP INT_L_X20Y71 GRID_POINT_X 53 TILEPROP INT_L_X20Y71 GRID_POINT_Y 82 TILEPROP INT_L_X20Y71 INDEX 9483 TILEPROP INT_L_X20Y71 INT_TILE_X 20 TILEPROP INT_L_X20Y71 INT_TILE_Y 78 TILEPROP INT_L_X20Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y71 IS_DCM_TILE 0 TILEPROP INT_L_X20Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y71 NAME INT_L_X20Y71 TILEPROP INT_L_X20Y71 NUM_ARCS 3737 TILEPROP INT_L_X20Y71 NUM_SITES 1 TILEPROP INT_L_X20Y71 ROW 82 TILEPROP INT_L_X20Y71 SLR_REGION_ID 0 TILEPROP INT_L_X20Y71 TILE_PATTERN_IDX 6460 TILEPROP INT_L_X20Y71 TILE_TYPE INT_L TILEPROP INT_L_X20Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y71 TILE_X -6576 TILEPROP INT_L_X20Y71 TILE_Y -11200 TILEPROP INT_L_X20Y71 TYPE INT_L TILEPROP INT_L_X20Y72 CLASS tile TILEPROP INT_L_X20Y72 COLUMN 53 TILEPROP INT_L_X20Y72 DEVICE_ID 0 TILEPROP INT_L_X20Y72 FIRST_SITE_ID 8037 TILEPROP INT_L_X20Y72 GRID_POINT_X 53 TILEPROP INT_L_X20Y72 GRID_POINT_Y 81 TILEPROP INT_L_X20Y72 INDEX 9368 TILEPROP INT_L_X20Y72 INT_TILE_X 20 TILEPROP INT_L_X20Y72 INT_TILE_Y 77 TILEPROP INT_L_X20Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y72 IS_DCM_TILE 0 TILEPROP INT_L_X20Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y72 NAME INT_L_X20Y72 TILEPROP INT_L_X20Y72 NUM_ARCS 3737 TILEPROP INT_L_X20Y72 NUM_SITES 1 TILEPROP INT_L_X20Y72 ROW 81 TILEPROP INT_L_X20Y72 SLR_REGION_ID 0 TILEPROP INT_L_X20Y72 TILE_PATTERN_IDX 6429 TILEPROP INT_L_X20Y72 TILE_TYPE INT_L TILEPROP INT_L_X20Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y72 TILE_X -6576 TILEPROP INT_L_X20Y72 TILE_Y -8000 TILEPROP INT_L_X20Y72 TYPE INT_L TILEPROP INT_L_X20Y73 CLASS tile TILEPROP INT_L_X20Y73 COLUMN 53 TILEPROP INT_L_X20Y73 DEVICE_ID 0 TILEPROP INT_L_X20Y73 FIRST_SITE_ID 7937 TILEPROP INT_L_X20Y73 GRID_POINT_X 53 TILEPROP INT_L_X20Y73 GRID_POINT_Y 80 TILEPROP INT_L_X20Y73 INDEX 9253 TILEPROP INT_L_X20Y73 INT_TILE_X 20 TILEPROP INT_L_X20Y73 INT_TILE_Y 76 TILEPROP INT_L_X20Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y73 IS_DCM_TILE 0 TILEPROP INT_L_X20Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y73 NAME INT_L_X20Y73 TILEPROP INT_L_X20Y73 NUM_ARCS 3737 TILEPROP INT_L_X20Y73 NUM_SITES 1 TILEPROP INT_L_X20Y73 ROW 80 TILEPROP INT_L_X20Y73 SLR_REGION_ID 0 TILEPROP INT_L_X20Y73 TILE_PATTERN_IDX 6398 TILEPROP INT_L_X20Y73 TILE_TYPE INT_L TILEPROP INT_L_X20Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y73 TILE_X -6576 TILEPROP INT_L_X20Y73 TILE_Y -4800 TILEPROP INT_L_X20Y73 TYPE INT_L TILEPROP INT_L_X20Y74 CLASS tile TILEPROP INT_L_X20Y74 COLUMN 53 TILEPROP INT_L_X20Y74 DEVICE_ID 0 TILEPROP INT_L_X20Y74 FIRST_SITE_ID 7837 TILEPROP INT_L_X20Y74 GRID_POINT_X 53 TILEPROP INT_L_X20Y74 GRID_POINT_Y 79 TILEPROP INT_L_X20Y74 INDEX 9138 TILEPROP INT_L_X20Y74 INT_TILE_X 20 TILEPROP INT_L_X20Y74 INT_TILE_Y 75 TILEPROP INT_L_X20Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y74 IS_DCM_TILE 0 TILEPROP INT_L_X20Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y74 NAME INT_L_X20Y74 TILEPROP INT_L_X20Y74 NUM_ARCS 3737 TILEPROP INT_L_X20Y74 NUM_SITES 1 TILEPROP INT_L_X20Y74 ROW 79 TILEPROP INT_L_X20Y74 SLR_REGION_ID 0 TILEPROP INT_L_X20Y74 TILE_PATTERN_IDX 6367 TILEPROP INT_L_X20Y74 TILE_TYPE INT_L TILEPROP INT_L_X20Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y74 TILE_X -6576 TILEPROP INT_L_X20Y74 TILE_Y -1600 TILEPROP INT_L_X20Y74 TYPE INT_L TILEPROP INT_L_X20Y75 CLASS tile TILEPROP INT_L_X20Y75 COLUMN 53 TILEPROP INT_L_X20Y75 DEVICE_ID 0 TILEPROP INT_L_X20Y75 FIRST_SITE_ID 7645 TILEPROP INT_L_X20Y75 GRID_POINT_X 53 TILEPROP INT_L_X20Y75 GRID_POINT_Y 77 TILEPROP INT_L_X20Y75 INDEX 8908 TILEPROP INT_L_X20Y75 INT_TILE_X 20 TILEPROP INT_L_X20Y75 INT_TILE_Y 74 TILEPROP INT_L_X20Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y75 IS_DCM_TILE 0 TILEPROP INT_L_X20Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y75 NAME INT_L_X20Y75 TILEPROP INT_L_X20Y75 NUM_ARCS 3737 TILEPROP INT_L_X20Y75 NUM_SITES 1 TILEPROP INT_L_X20Y75 ROW 77 TILEPROP INT_L_X20Y75 SLR_REGION_ID 0 TILEPROP INT_L_X20Y75 TILE_PATTERN_IDX 6293 TILEPROP INT_L_X20Y75 TILE_TYPE INT_L TILEPROP INT_L_X20Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y75 TILE_X -6576 TILEPROP INT_L_X20Y75 TILE_Y 2624 TILEPROP INT_L_X20Y75 TYPE INT_L TILEPROP INT_L_X20Y76 CLASS tile TILEPROP INT_L_X20Y76 COLUMN 53 TILEPROP INT_L_X20Y76 DEVICE_ID 0 TILEPROP INT_L_X20Y76 FIRST_SITE_ID 7536 TILEPROP INT_L_X20Y76 GRID_POINT_X 53 TILEPROP INT_L_X20Y76 GRID_POINT_Y 76 TILEPROP INT_L_X20Y76 INDEX 8793 TILEPROP INT_L_X20Y76 INT_TILE_X 20 TILEPROP INT_L_X20Y76 INT_TILE_Y 73 TILEPROP INT_L_X20Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y76 IS_DCM_TILE 0 TILEPROP INT_L_X20Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y76 NAME INT_L_X20Y76 TILEPROP INT_L_X20Y76 NUM_ARCS 3737 TILEPROP INT_L_X20Y76 NUM_SITES 1 TILEPROP INT_L_X20Y76 ROW 76 TILEPROP INT_L_X20Y76 SLR_REGION_ID 0 TILEPROP INT_L_X20Y76 TILE_PATTERN_IDX 6259 TILEPROP INT_L_X20Y76 TILE_TYPE INT_L TILEPROP INT_L_X20Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y76 TILE_X -6576 TILEPROP INT_L_X20Y76 TILE_Y 5824 TILEPROP INT_L_X20Y76 TYPE INT_L TILEPROP INT_L_X20Y77 CLASS tile TILEPROP INT_L_X20Y77 COLUMN 53 TILEPROP INT_L_X20Y77 DEVICE_ID 0 TILEPROP INT_L_X20Y77 FIRST_SITE_ID 7436 TILEPROP INT_L_X20Y77 GRID_POINT_X 53 TILEPROP INT_L_X20Y77 GRID_POINT_Y 75 TILEPROP INT_L_X20Y77 INDEX 8678 TILEPROP INT_L_X20Y77 INT_TILE_X 20 TILEPROP INT_L_X20Y77 INT_TILE_Y 72 TILEPROP INT_L_X20Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y77 IS_DCM_TILE 0 TILEPROP INT_L_X20Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y77 NAME INT_L_X20Y77 TILEPROP INT_L_X20Y77 NUM_ARCS 3737 TILEPROP INT_L_X20Y77 NUM_SITES 1 TILEPROP INT_L_X20Y77 ROW 75 TILEPROP INT_L_X20Y77 SLR_REGION_ID 0 TILEPROP INT_L_X20Y77 TILE_PATTERN_IDX 6225 TILEPROP INT_L_X20Y77 TILE_TYPE INT_L TILEPROP INT_L_X20Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y77 TILE_X -6576 TILEPROP INT_L_X20Y77 TILE_Y 9024 TILEPROP INT_L_X20Y77 TYPE INT_L TILEPROP INT_L_X20Y78 CLASS tile TILEPROP INT_L_X20Y78 COLUMN 53 TILEPROP INT_L_X20Y78 DEVICE_ID 0 TILEPROP INT_L_X20Y78 FIRST_SITE_ID 7336 TILEPROP INT_L_X20Y78 GRID_POINT_X 53 TILEPROP INT_L_X20Y78 GRID_POINT_Y 74 TILEPROP INT_L_X20Y78 INDEX 8563 TILEPROP INT_L_X20Y78 INT_TILE_X 20 TILEPROP INT_L_X20Y78 INT_TILE_Y 71 TILEPROP INT_L_X20Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y78 IS_DCM_TILE 0 TILEPROP INT_L_X20Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y78 NAME INT_L_X20Y78 TILEPROP INT_L_X20Y78 NUM_ARCS 3737 TILEPROP INT_L_X20Y78 NUM_SITES 1 TILEPROP INT_L_X20Y78 ROW 74 TILEPROP INT_L_X20Y78 SLR_REGION_ID 0 TILEPROP INT_L_X20Y78 TILE_PATTERN_IDX 6192 TILEPROP INT_L_X20Y78 TILE_TYPE INT_L TILEPROP INT_L_X20Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y78 TILE_X -6576 TILEPROP INT_L_X20Y78 TILE_Y 12224 TILEPROP INT_L_X20Y78 TYPE INT_L TILEPROP INT_L_X20Y79 CLASS tile TILEPROP INT_L_X20Y79 COLUMN 53 TILEPROP INT_L_X20Y79 DEVICE_ID 0 TILEPROP INT_L_X20Y79 FIRST_SITE_ID 7230 TILEPROP INT_L_X20Y79 GRID_POINT_X 53 TILEPROP INT_L_X20Y79 GRID_POINT_Y 73 TILEPROP INT_L_X20Y79 INDEX 8448 TILEPROP INT_L_X20Y79 INT_TILE_X 20 TILEPROP INT_L_X20Y79 INT_TILE_Y 70 TILEPROP INT_L_X20Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y79 IS_DCM_TILE 0 TILEPROP INT_L_X20Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y79 NAME INT_L_X20Y79 TILEPROP INT_L_X20Y79 NUM_ARCS 3737 TILEPROP INT_L_X20Y79 NUM_SITES 1 TILEPROP INT_L_X20Y79 ROW 73 TILEPROP INT_L_X20Y79 SLR_REGION_ID 0 TILEPROP INT_L_X20Y79 TILE_PATTERN_IDX 6157 TILEPROP INT_L_X20Y79 TILE_TYPE INT_L TILEPROP INT_L_X20Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y79 TILE_X -6576 TILEPROP INT_L_X20Y79 TILE_Y 15424 TILEPROP INT_L_X20Y79 TYPE INT_L TILEPROP INT_L_X20Y80 CLASS tile TILEPROP INT_L_X20Y80 COLUMN 53 TILEPROP INT_L_X20Y80 DEVICE_ID 0 TILEPROP INT_L_X20Y80 FIRST_SITE_ID 7115 TILEPROP INT_L_X20Y80 GRID_POINT_X 53 TILEPROP INT_L_X20Y80 GRID_POINT_Y 72 TILEPROP INT_L_X20Y80 INDEX 8333 TILEPROP INT_L_X20Y80 INT_TILE_X 20 TILEPROP INT_L_X20Y80 INT_TILE_Y 69 TILEPROP INT_L_X20Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y80 IS_DCM_TILE 0 TILEPROP INT_L_X20Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y80 NAME INT_L_X20Y80 TILEPROP INT_L_X20Y80 NUM_ARCS 3737 TILEPROP INT_L_X20Y80 NUM_SITES 1 TILEPROP INT_L_X20Y80 ROW 72 TILEPROP INT_L_X20Y80 SLR_REGION_ID 0 TILEPROP INT_L_X20Y80 TILE_PATTERN_IDX 6122 TILEPROP INT_L_X20Y80 TILE_TYPE INT_L TILEPROP INT_L_X20Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y80 TILE_X -6576 TILEPROP INT_L_X20Y80 TILE_Y 18624 TILEPROP INT_L_X20Y80 TYPE INT_L TILEPROP INT_L_X20Y81 CLASS tile TILEPROP INT_L_X20Y81 COLUMN 53 TILEPROP INT_L_X20Y81 DEVICE_ID 0 TILEPROP INT_L_X20Y81 FIRST_SITE_ID 7007 TILEPROP INT_L_X20Y81 GRID_POINT_X 53 TILEPROP INT_L_X20Y81 GRID_POINT_Y 71 TILEPROP INT_L_X20Y81 INDEX 8218 TILEPROP INT_L_X20Y81 INT_TILE_X 20 TILEPROP INT_L_X20Y81 INT_TILE_Y 68 TILEPROP INT_L_X20Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y81 IS_DCM_TILE 0 TILEPROP INT_L_X20Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y81 NAME INT_L_X20Y81 TILEPROP INT_L_X20Y81 NUM_ARCS 3737 TILEPROP INT_L_X20Y81 NUM_SITES 1 TILEPROP INT_L_X20Y81 ROW 71 TILEPROP INT_L_X20Y81 SLR_REGION_ID 0 TILEPROP INT_L_X20Y81 TILE_PATTERN_IDX 6088 TILEPROP INT_L_X20Y81 TILE_TYPE INT_L TILEPROP INT_L_X20Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y81 TILE_X -6576 TILEPROP INT_L_X20Y81 TILE_Y 21824 TILEPROP INT_L_X20Y81 TYPE INT_L TILEPROP INT_L_X20Y82 CLASS tile TILEPROP INT_L_X20Y82 COLUMN 53 TILEPROP INT_L_X20Y82 DEVICE_ID 0 TILEPROP INT_L_X20Y82 FIRST_SITE_ID 6905 TILEPROP INT_L_X20Y82 GRID_POINT_X 53 TILEPROP INT_L_X20Y82 GRID_POINT_Y 70 TILEPROP INT_L_X20Y82 INDEX 8103 TILEPROP INT_L_X20Y82 INT_TILE_X 20 TILEPROP INT_L_X20Y82 INT_TILE_Y 67 TILEPROP INT_L_X20Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y82 IS_DCM_TILE 0 TILEPROP INT_L_X20Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y82 NAME INT_L_X20Y82 TILEPROP INT_L_X20Y82 NUM_ARCS 3737 TILEPROP INT_L_X20Y82 NUM_SITES 1 TILEPROP INT_L_X20Y82 ROW 70 TILEPROP INT_L_X20Y82 SLR_REGION_ID 0 TILEPROP INT_L_X20Y82 TILE_PATTERN_IDX 6054 TILEPROP INT_L_X20Y82 TILE_TYPE INT_L TILEPROP INT_L_X20Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y82 TILE_X -6576 TILEPROP INT_L_X20Y82 TILE_Y 25024 TILEPROP INT_L_X20Y82 TYPE INT_L TILEPROP INT_L_X20Y83 CLASS tile TILEPROP INT_L_X20Y83 COLUMN 53 TILEPROP INT_L_X20Y83 DEVICE_ID 0 TILEPROP INT_L_X20Y83 FIRST_SITE_ID 6805 TILEPROP INT_L_X20Y83 GRID_POINT_X 53 TILEPROP INT_L_X20Y83 GRID_POINT_Y 69 TILEPROP INT_L_X20Y83 INDEX 7988 TILEPROP INT_L_X20Y83 INT_TILE_X 20 TILEPROP INT_L_X20Y83 INT_TILE_Y 66 TILEPROP INT_L_X20Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y83 IS_DCM_TILE 0 TILEPROP INT_L_X20Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y83 NAME INT_L_X20Y83 TILEPROP INT_L_X20Y83 NUM_ARCS 3737 TILEPROP INT_L_X20Y83 NUM_SITES 1 TILEPROP INT_L_X20Y83 ROW 69 TILEPROP INT_L_X20Y83 SLR_REGION_ID 0 TILEPROP INT_L_X20Y83 TILE_PATTERN_IDX 6020 TILEPROP INT_L_X20Y83 TILE_TYPE INT_L TILEPROP INT_L_X20Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y83 TILE_X -6576 TILEPROP INT_L_X20Y83 TILE_Y 28224 TILEPROP INT_L_X20Y83 TYPE INT_L TILEPROP INT_L_X20Y84 CLASS tile TILEPROP INT_L_X20Y84 COLUMN 53 TILEPROP INT_L_X20Y84 DEVICE_ID 0 TILEPROP INT_L_X20Y84 FIRST_SITE_ID 6705 TILEPROP INT_L_X20Y84 GRID_POINT_X 53 TILEPROP INT_L_X20Y84 GRID_POINT_Y 68 TILEPROP INT_L_X20Y84 INDEX 7873 TILEPROP INT_L_X20Y84 INT_TILE_X 20 TILEPROP INT_L_X20Y84 INT_TILE_Y 65 TILEPROP INT_L_X20Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y84 IS_DCM_TILE 0 TILEPROP INT_L_X20Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y84 NAME INT_L_X20Y84 TILEPROP INT_L_X20Y84 NUM_ARCS 3737 TILEPROP INT_L_X20Y84 NUM_SITES 1 TILEPROP INT_L_X20Y84 ROW 68 TILEPROP INT_L_X20Y84 SLR_REGION_ID 0 TILEPROP INT_L_X20Y84 TILE_PATTERN_IDX 5986 TILEPROP INT_L_X20Y84 TILE_TYPE INT_L TILEPROP INT_L_X20Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y84 TILE_X -6576 TILEPROP INT_L_X20Y84 TILE_Y 31424 TILEPROP INT_L_X20Y84 TYPE INT_L TILEPROP INT_L_X20Y85 CLASS tile TILEPROP INT_L_X20Y85 COLUMN 53 TILEPROP INT_L_X20Y85 DEVICE_ID 0 TILEPROP INT_L_X20Y85 FIRST_SITE_ID 6596 TILEPROP INT_L_X20Y85 GRID_POINT_X 53 TILEPROP INT_L_X20Y85 GRID_POINT_Y 67 TILEPROP INT_L_X20Y85 INDEX 7758 TILEPROP INT_L_X20Y85 INT_TILE_X 20 TILEPROP INT_L_X20Y85 INT_TILE_Y 64 TILEPROP INT_L_X20Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y85 IS_DCM_TILE 0 TILEPROP INT_L_X20Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y85 NAME INT_L_X20Y85 TILEPROP INT_L_X20Y85 NUM_ARCS 3737 TILEPROP INT_L_X20Y85 NUM_SITES 1 TILEPROP INT_L_X20Y85 ROW 67 TILEPROP INT_L_X20Y85 SLR_REGION_ID 0 TILEPROP INT_L_X20Y85 TILE_PATTERN_IDX 5952 TILEPROP INT_L_X20Y85 TILE_TYPE INT_L TILEPROP INT_L_X20Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y85 TILE_X -6576 TILEPROP INT_L_X20Y85 TILE_Y 34624 TILEPROP INT_L_X20Y85 TYPE INT_L TILEPROP INT_L_X20Y86 CLASS tile TILEPROP INT_L_X20Y86 COLUMN 53 TILEPROP INT_L_X20Y86 DEVICE_ID 0 TILEPROP INT_L_X20Y86 FIRST_SITE_ID 6458 TILEPROP INT_L_X20Y86 GRID_POINT_X 53 TILEPROP INT_L_X20Y86 GRID_POINT_Y 66 TILEPROP INT_L_X20Y86 INDEX 7643 TILEPROP INT_L_X20Y86 INT_TILE_X 20 TILEPROP INT_L_X20Y86 INT_TILE_Y 63 TILEPROP INT_L_X20Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y86 IS_DCM_TILE 0 TILEPROP INT_L_X20Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y86 NAME INT_L_X20Y86 TILEPROP INT_L_X20Y86 NUM_ARCS 3737 TILEPROP INT_L_X20Y86 NUM_SITES 1 TILEPROP INT_L_X20Y86 ROW 66 TILEPROP INT_L_X20Y86 SLR_REGION_ID 0 TILEPROP INT_L_X20Y86 TILE_PATTERN_IDX 5916 TILEPROP INT_L_X20Y86 TILE_TYPE INT_L TILEPROP INT_L_X20Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y86 TILE_X -6576 TILEPROP INT_L_X20Y86 TILE_Y 37824 TILEPROP INT_L_X20Y86 TYPE INT_L TILEPROP INT_L_X20Y87 CLASS tile TILEPROP INT_L_X20Y87 COLUMN 53 TILEPROP INT_L_X20Y87 DEVICE_ID 0 TILEPROP INT_L_X20Y87 FIRST_SITE_ID 6358 TILEPROP INT_L_X20Y87 GRID_POINT_X 53 TILEPROP INT_L_X20Y87 GRID_POINT_Y 65 TILEPROP INT_L_X20Y87 INDEX 7528 TILEPROP INT_L_X20Y87 INT_TILE_X 20 TILEPROP INT_L_X20Y87 INT_TILE_Y 62 TILEPROP INT_L_X20Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y87 IS_DCM_TILE 0 TILEPROP INT_L_X20Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y87 NAME INT_L_X20Y87 TILEPROP INT_L_X20Y87 NUM_ARCS 3737 TILEPROP INT_L_X20Y87 NUM_SITES 1 TILEPROP INT_L_X20Y87 ROW 65 TILEPROP INT_L_X20Y87 SLR_REGION_ID 0 TILEPROP INT_L_X20Y87 TILE_PATTERN_IDX 5882 TILEPROP INT_L_X20Y87 TILE_TYPE INT_L TILEPROP INT_L_X20Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y87 TILE_X -6576 TILEPROP INT_L_X20Y87 TILE_Y 41024 TILEPROP INT_L_X20Y87 TYPE INT_L TILEPROP INT_L_X20Y88 CLASS tile TILEPROP INT_L_X20Y88 COLUMN 53 TILEPROP INT_L_X20Y88 DEVICE_ID 0 TILEPROP INT_L_X20Y88 FIRST_SITE_ID 6258 TILEPROP INT_L_X20Y88 GRID_POINT_X 53 TILEPROP INT_L_X20Y88 GRID_POINT_Y 64 TILEPROP INT_L_X20Y88 INDEX 7413 TILEPROP INT_L_X20Y88 INT_TILE_X 20 TILEPROP INT_L_X20Y88 INT_TILE_Y 61 TILEPROP INT_L_X20Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y88 IS_DCM_TILE 0 TILEPROP INT_L_X20Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y88 NAME INT_L_X20Y88 TILEPROP INT_L_X20Y88 NUM_ARCS 3737 TILEPROP INT_L_X20Y88 NUM_SITES 1 TILEPROP INT_L_X20Y88 ROW 64 TILEPROP INT_L_X20Y88 SLR_REGION_ID 0 TILEPROP INT_L_X20Y88 TILE_PATTERN_IDX 5849 TILEPROP INT_L_X20Y88 TILE_TYPE INT_L TILEPROP INT_L_X20Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y88 TILE_X -6576 TILEPROP INT_L_X20Y88 TILE_Y 44224 TILEPROP INT_L_X20Y88 TYPE INT_L TILEPROP INT_L_X20Y89 CLASS tile TILEPROP INT_L_X20Y89 COLUMN 53 TILEPROP INT_L_X20Y89 DEVICE_ID 0 TILEPROP INT_L_X20Y89 FIRST_SITE_ID 6158 TILEPROP INT_L_X20Y89 GRID_POINT_X 53 TILEPROP INT_L_X20Y89 GRID_POINT_Y 63 TILEPROP INT_L_X20Y89 INDEX 7298 TILEPROP INT_L_X20Y89 INT_TILE_X 20 TILEPROP INT_L_X20Y89 INT_TILE_Y 60 TILEPROP INT_L_X20Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y89 IS_DCM_TILE 0 TILEPROP INT_L_X20Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y89 NAME INT_L_X20Y89 TILEPROP INT_L_X20Y89 NUM_ARCS 3737 TILEPROP INT_L_X20Y89 NUM_SITES 1 TILEPROP INT_L_X20Y89 ROW 63 TILEPROP INT_L_X20Y89 SLR_REGION_ID 0 TILEPROP INT_L_X20Y89 TILE_PATTERN_IDX 5815 TILEPROP INT_L_X20Y89 TILE_TYPE INT_L TILEPROP INT_L_X20Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y89 TILE_X -6576 TILEPROP INT_L_X20Y89 TILE_Y 47424 TILEPROP INT_L_X20Y89 TYPE INT_L TILEPROP INT_L_X20Y90 CLASS tile TILEPROP INT_L_X20Y90 COLUMN 53 TILEPROP INT_L_X20Y90 DEVICE_ID 0 TILEPROP INT_L_X20Y90 FIRST_SITE_ID 6049 TILEPROP INT_L_X20Y90 GRID_POINT_X 53 TILEPROP INT_L_X20Y90 GRID_POINT_Y 62 TILEPROP INT_L_X20Y90 INDEX 7183 TILEPROP INT_L_X20Y90 INT_TILE_X 20 TILEPROP INT_L_X20Y90 INT_TILE_Y 59 TILEPROP INT_L_X20Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y90 IS_DCM_TILE 0 TILEPROP INT_L_X20Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y90 NAME INT_L_X20Y90 TILEPROP INT_L_X20Y90 NUM_ARCS 3737 TILEPROP INT_L_X20Y90 NUM_SITES 1 TILEPROP INT_L_X20Y90 ROW 62 TILEPROP INT_L_X20Y90 SLR_REGION_ID 0 TILEPROP INT_L_X20Y90 TILE_PATTERN_IDX 5781 TILEPROP INT_L_X20Y90 TILE_TYPE INT_L TILEPROP INT_L_X20Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y90 TILE_X -6576 TILEPROP INT_L_X20Y90 TILE_Y 50624 TILEPROP INT_L_X20Y90 TYPE INT_L TILEPROP INT_L_X20Y91 CLASS tile TILEPROP INT_L_X20Y91 COLUMN 53 TILEPROP INT_L_X20Y91 DEVICE_ID 0 TILEPROP INT_L_X20Y91 FIRST_SITE_ID 5943 TILEPROP INT_L_X20Y91 GRID_POINT_X 53 TILEPROP INT_L_X20Y91 GRID_POINT_Y 61 TILEPROP INT_L_X20Y91 INDEX 7068 TILEPROP INT_L_X20Y91 INT_TILE_X 20 TILEPROP INT_L_X20Y91 INT_TILE_Y 58 TILEPROP INT_L_X20Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y91 IS_DCM_TILE 0 TILEPROP INT_L_X20Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y91 NAME INT_L_X20Y91 TILEPROP INT_L_X20Y91 NUM_ARCS 3737 TILEPROP INT_L_X20Y91 NUM_SITES 1 TILEPROP INT_L_X20Y91 ROW 61 TILEPROP INT_L_X20Y91 SLR_REGION_ID 0 TILEPROP INT_L_X20Y91 TILE_PATTERN_IDX 5747 TILEPROP INT_L_X20Y91 TILE_TYPE INT_L TILEPROP INT_L_X20Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y91 TILE_X -6576 TILEPROP INT_L_X20Y91 TILE_Y 53824 TILEPROP INT_L_X20Y91 TYPE INT_L TILEPROP INT_L_X20Y92 CLASS tile TILEPROP INT_L_X20Y92 COLUMN 53 TILEPROP INT_L_X20Y92 DEVICE_ID 0 TILEPROP INT_L_X20Y92 FIRST_SITE_ID 5842 TILEPROP INT_L_X20Y92 GRID_POINT_X 53 TILEPROP INT_L_X20Y92 GRID_POINT_Y 60 TILEPROP INT_L_X20Y92 INDEX 6953 TILEPROP INT_L_X20Y92 INT_TILE_X 20 TILEPROP INT_L_X20Y92 INT_TILE_Y 57 TILEPROP INT_L_X20Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y92 IS_DCM_TILE 0 TILEPROP INT_L_X20Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y92 NAME INT_L_X20Y92 TILEPROP INT_L_X20Y92 NUM_ARCS 3737 TILEPROP INT_L_X20Y92 NUM_SITES 1 TILEPROP INT_L_X20Y92 ROW 60 TILEPROP INT_L_X20Y92 SLR_REGION_ID 0 TILEPROP INT_L_X20Y92 TILE_PATTERN_IDX 5714 TILEPROP INT_L_X20Y92 TILE_TYPE INT_L TILEPROP INT_L_X20Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y92 TILE_X -6576 TILEPROP INT_L_X20Y92 TILE_Y 57024 TILEPROP INT_L_X20Y92 TYPE INT_L TILEPROP INT_L_X20Y93 CLASS tile TILEPROP INT_L_X20Y93 COLUMN 53 TILEPROP INT_L_X20Y93 DEVICE_ID 0 TILEPROP INT_L_X20Y93 FIRST_SITE_ID 5739 TILEPROP INT_L_X20Y93 GRID_POINT_X 53 TILEPROP INT_L_X20Y93 GRID_POINT_Y 59 TILEPROP INT_L_X20Y93 INDEX 6838 TILEPROP INT_L_X20Y93 INT_TILE_X 20 TILEPROP INT_L_X20Y93 INT_TILE_Y 56 TILEPROP INT_L_X20Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y93 IS_DCM_TILE 0 TILEPROP INT_L_X20Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y93 NAME INT_L_X20Y93 TILEPROP INT_L_X20Y93 NUM_ARCS 3737 TILEPROP INT_L_X20Y93 NUM_SITES 1 TILEPROP INT_L_X20Y93 ROW 59 TILEPROP INT_L_X20Y93 SLR_REGION_ID 0 TILEPROP INT_L_X20Y93 TILE_PATTERN_IDX 5680 TILEPROP INT_L_X20Y93 TILE_TYPE INT_L TILEPROP INT_L_X20Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y93 TILE_X -6576 TILEPROP INT_L_X20Y93 TILE_Y 60224 TILEPROP INT_L_X20Y93 TYPE INT_L TILEPROP INT_L_X20Y94 CLASS tile TILEPROP INT_L_X20Y94 COLUMN 53 TILEPROP INT_L_X20Y94 DEVICE_ID 0 TILEPROP INT_L_X20Y94 FIRST_SITE_ID 5637 TILEPROP INT_L_X20Y94 GRID_POINT_X 53 TILEPROP INT_L_X20Y94 GRID_POINT_Y 58 TILEPROP INT_L_X20Y94 INDEX 6723 TILEPROP INT_L_X20Y94 INT_TILE_X 20 TILEPROP INT_L_X20Y94 INT_TILE_Y 55 TILEPROP INT_L_X20Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y94 IS_DCM_TILE 0 TILEPROP INT_L_X20Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y94 NAME INT_L_X20Y94 TILEPROP INT_L_X20Y94 NUM_ARCS 3737 TILEPROP INT_L_X20Y94 NUM_SITES 1 TILEPROP INT_L_X20Y94 ROW 58 TILEPROP INT_L_X20Y94 SLR_REGION_ID 0 TILEPROP INT_L_X20Y94 TILE_PATTERN_IDX 5646 TILEPROP INT_L_X20Y94 TILE_TYPE INT_L TILEPROP INT_L_X20Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y94 TILE_X -6576 TILEPROP INT_L_X20Y94 TILE_Y 63424 TILEPROP INT_L_X20Y94 TYPE INT_L TILEPROP INT_L_X20Y95 CLASS tile TILEPROP INT_L_X20Y95 COLUMN 53 TILEPROP INT_L_X20Y95 DEVICE_ID 0 TILEPROP INT_L_X20Y95 FIRST_SITE_ID 5528 TILEPROP INT_L_X20Y95 GRID_POINT_X 53 TILEPROP INT_L_X20Y95 GRID_POINT_Y 57 TILEPROP INT_L_X20Y95 INDEX 6608 TILEPROP INT_L_X20Y95 INT_TILE_X 20 TILEPROP INT_L_X20Y95 INT_TILE_Y 54 TILEPROP INT_L_X20Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y95 IS_DCM_TILE 0 TILEPROP INT_L_X20Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y95 NAME INT_L_X20Y95 TILEPROP INT_L_X20Y95 NUM_ARCS 3737 TILEPROP INT_L_X20Y95 NUM_SITES 1 TILEPROP INT_L_X20Y95 ROW 57 TILEPROP INT_L_X20Y95 SLR_REGION_ID 0 TILEPROP INT_L_X20Y95 TILE_PATTERN_IDX 5612 TILEPROP INT_L_X20Y95 TILE_TYPE INT_L TILEPROP INT_L_X20Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y95 TILE_X -6576 TILEPROP INT_L_X20Y95 TILE_Y 66624 TILEPROP INT_L_X20Y95 TYPE INT_L TILEPROP INT_L_X20Y96 CLASS tile TILEPROP INT_L_X20Y96 COLUMN 53 TILEPROP INT_L_X20Y96 DEVICE_ID 0 TILEPROP INT_L_X20Y96 FIRST_SITE_ID 5422 TILEPROP INT_L_X20Y96 GRID_POINT_X 53 TILEPROP INT_L_X20Y96 GRID_POINT_Y 56 TILEPROP INT_L_X20Y96 INDEX 6493 TILEPROP INT_L_X20Y96 INT_TILE_X 20 TILEPROP INT_L_X20Y96 INT_TILE_Y 53 TILEPROP INT_L_X20Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y96 IS_DCM_TILE 0 TILEPROP INT_L_X20Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y96 NAME INT_L_X20Y96 TILEPROP INT_L_X20Y96 NUM_ARCS 3737 TILEPROP INT_L_X20Y96 NUM_SITES 1 TILEPROP INT_L_X20Y96 ROW 56 TILEPROP INT_L_X20Y96 SLR_REGION_ID 0 TILEPROP INT_L_X20Y96 TILE_PATTERN_IDX 5577 TILEPROP INT_L_X20Y96 TILE_TYPE INT_L TILEPROP INT_L_X20Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y96 TILE_X -6576 TILEPROP INT_L_X20Y96 TILE_Y 69824 TILEPROP INT_L_X20Y96 TYPE INT_L TILEPROP INT_L_X20Y97 CLASS tile TILEPROP INT_L_X20Y97 COLUMN 53 TILEPROP INT_L_X20Y97 DEVICE_ID 0 TILEPROP INT_L_X20Y97 FIRST_SITE_ID 5322 TILEPROP INT_L_X20Y97 GRID_POINT_X 53 TILEPROP INT_L_X20Y97 GRID_POINT_Y 55 TILEPROP INT_L_X20Y97 INDEX 6378 TILEPROP INT_L_X20Y97 INT_TILE_X 20 TILEPROP INT_L_X20Y97 INT_TILE_Y 52 TILEPROP INT_L_X20Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y97 IS_DCM_TILE 0 TILEPROP INT_L_X20Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y97 NAME INT_L_X20Y97 TILEPROP INT_L_X20Y97 NUM_ARCS 3737 TILEPROP INT_L_X20Y97 NUM_SITES 1 TILEPROP INT_L_X20Y97 ROW 55 TILEPROP INT_L_X20Y97 SLR_REGION_ID 0 TILEPROP INT_L_X20Y97 TILE_PATTERN_IDX 5543 TILEPROP INT_L_X20Y97 TILE_TYPE INT_L TILEPROP INT_L_X20Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y97 TILE_X -6576 TILEPROP INT_L_X20Y97 TILE_Y 73024 TILEPROP INT_L_X20Y97 TYPE INT_L TILEPROP INT_L_X20Y98 CLASS tile TILEPROP INT_L_X20Y98 COLUMN 53 TILEPROP INT_L_X20Y98 DEVICE_ID 0 TILEPROP INT_L_X20Y98 FIRST_SITE_ID 5222 TILEPROP INT_L_X20Y98 GRID_POINT_X 53 TILEPROP INT_L_X20Y98 GRID_POINT_Y 54 TILEPROP INT_L_X20Y98 INDEX 6263 TILEPROP INT_L_X20Y98 INT_TILE_X 20 TILEPROP INT_L_X20Y98 INT_TILE_Y 51 TILEPROP INT_L_X20Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y98 IS_DCM_TILE 0 TILEPROP INT_L_X20Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y98 NAME INT_L_X20Y98 TILEPROP INT_L_X20Y98 NUM_ARCS 3737 TILEPROP INT_L_X20Y98 NUM_SITES 1 TILEPROP INT_L_X20Y98 ROW 54 TILEPROP INT_L_X20Y98 SLR_REGION_ID 0 TILEPROP INT_L_X20Y98 TILE_PATTERN_IDX 5509 TILEPROP INT_L_X20Y98 TILE_TYPE INT_L TILEPROP INT_L_X20Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y98 TILE_X -6576 TILEPROP INT_L_X20Y98 TILE_Y 76224 TILEPROP INT_L_X20Y98 TYPE INT_L TILEPROP INT_L_X20Y99 CLASS tile TILEPROP INT_L_X20Y99 COLUMN 53 TILEPROP INT_L_X20Y99 DEVICE_ID 0 TILEPROP INT_L_X20Y99 FIRST_SITE_ID 5126 TILEPROP INT_L_X20Y99 GRID_POINT_X 53 TILEPROP INT_L_X20Y99 GRID_POINT_Y 53 TILEPROP INT_L_X20Y99 INDEX 6148 TILEPROP INT_L_X20Y99 INT_TILE_X 20 TILEPROP INT_L_X20Y99 INT_TILE_Y 50 TILEPROP INT_L_X20Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y99 IS_DCM_TILE 0 TILEPROP INT_L_X20Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y99 NAME INT_L_X20Y99 TILEPROP INT_L_X20Y99 NUM_ARCS 3737 TILEPROP INT_L_X20Y99 NUM_SITES 1 TILEPROP INT_L_X20Y99 ROW 53 TILEPROP INT_L_X20Y99 SLR_REGION_ID 0 TILEPROP INT_L_X20Y99 TILE_PATTERN_IDX 5476 TILEPROP INT_L_X20Y99 TILE_TYPE INT_L TILEPROP INT_L_X20Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y99 TILE_X -6576 TILEPROP INT_L_X20Y99 TILE_Y 79424 TILEPROP INT_L_X20Y99 TYPE INT_L TILEPROP INT_L_X20Y100 CLASS tile TILEPROP INT_L_X20Y100 COLUMN 53 TILEPROP INT_L_X20Y100 DEVICE_ID 0 TILEPROP INT_L_X20Y100 FIRST_SITE_ID 5049 TILEPROP INT_L_X20Y100 GRID_POINT_X 53 TILEPROP INT_L_X20Y100 GRID_POINT_Y 51 TILEPROP INT_L_X20Y100 INDEX 5918 TILEPROP INT_L_X20Y100 INT_TILE_X 20 TILEPROP INT_L_X20Y100 INT_TILE_Y 49 TILEPROP INT_L_X20Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y100 IS_DCM_TILE 0 TILEPROP INT_L_X20Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y100 NAME INT_L_X20Y100 TILEPROP INT_L_X20Y100 NUM_ARCS 3737 TILEPROP INT_L_X20Y100 NUM_SITES 1 TILEPROP INT_L_X20Y100 ROW 51 TILEPROP INT_L_X20Y100 SLR_REGION_ID 0 TILEPROP INT_L_X20Y100 TILE_PATTERN_IDX 5444 TILEPROP INT_L_X20Y100 TILE_TYPE INT_L TILEPROP INT_L_X20Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y100 TILE_X -6576 TILEPROP INT_L_X20Y100 TILE_Y 82872 TILEPROP INT_L_X20Y100 TYPE INT_L TILEPROP INT_L_X20Y101 CLASS tile TILEPROP INT_L_X20Y101 COLUMN 53 TILEPROP INT_L_X20Y101 DEVICE_ID 0 TILEPROP INT_L_X20Y101 FIRST_SITE_ID 4951 TILEPROP INT_L_X20Y101 GRID_POINT_X 53 TILEPROP INT_L_X20Y101 GRID_POINT_Y 50 TILEPROP INT_L_X20Y101 INDEX 5803 TILEPROP INT_L_X20Y101 INT_TILE_X 20 TILEPROP INT_L_X20Y101 INT_TILE_Y 48 TILEPROP INT_L_X20Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y101 IS_DCM_TILE 0 TILEPROP INT_L_X20Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y101 NAME INT_L_X20Y101 TILEPROP INT_L_X20Y101 NUM_ARCS 3737 TILEPROP INT_L_X20Y101 NUM_SITES 1 TILEPROP INT_L_X20Y101 ROW 50 TILEPROP INT_L_X20Y101 SLR_REGION_ID 0 TILEPROP INT_L_X20Y101 TILE_PATTERN_IDX 5408 TILEPROP INT_L_X20Y101 TILE_TYPE INT_L TILEPROP INT_L_X20Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y101 TILE_X -6576 TILEPROP INT_L_X20Y101 TILE_Y 86072 TILEPROP INT_L_X20Y101 TYPE INT_L TILEPROP INT_L_X20Y102 CLASS tile TILEPROP INT_L_X20Y102 COLUMN 53 TILEPROP INT_L_X20Y102 DEVICE_ID 0 TILEPROP INT_L_X20Y102 FIRST_SITE_ID 4855 TILEPROP INT_L_X20Y102 GRID_POINT_X 53 TILEPROP INT_L_X20Y102 GRID_POINT_Y 49 TILEPROP INT_L_X20Y102 INDEX 5688 TILEPROP INT_L_X20Y102 INT_TILE_X 20 TILEPROP INT_L_X20Y102 INT_TILE_Y 47 TILEPROP INT_L_X20Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y102 IS_DCM_TILE 0 TILEPROP INT_L_X20Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y102 NAME INT_L_X20Y102 TILEPROP INT_L_X20Y102 NUM_ARCS 3737 TILEPROP INT_L_X20Y102 NUM_SITES 1 TILEPROP INT_L_X20Y102 ROW 49 TILEPROP INT_L_X20Y102 SLR_REGION_ID 0 TILEPROP INT_L_X20Y102 TILE_PATTERN_IDX 5371 TILEPROP INT_L_X20Y102 TILE_TYPE INT_L TILEPROP INT_L_X20Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y102 TILE_X -6576 TILEPROP INT_L_X20Y102 TILE_Y 89272 TILEPROP INT_L_X20Y102 TYPE INT_L TILEPROP INT_L_X20Y103 CLASS tile TILEPROP INT_L_X20Y103 COLUMN 53 TILEPROP INT_L_X20Y103 DEVICE_ID 0 TILEPROP INT_L_X20Y103 FIRST_SITE_ID 4767 TILEPROP INT_L_X20Y103 GRID_POINT_X 53 TILEPROP INT_L_X20Y103 GRID_POINT_Y 48 TILEPROP INT_L_X20Y103 INDEX 5573 TILEPROP INT_L_X20Y103 INT_TILE_X 20 TILEPROP INT_L_X20Y103 INT_TILE_Y 46 TILEPROP INT_L_X20Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y103 IS_DCM_TILE 0 TILEPROP INT_L_X20Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y103 NAME INT_L_X20Y103 TILEPROP INT_L_X20Y103 NUM_ARCS 3737 TILEPROP INT_L_X20Y103 NUM_SITES 1 TILEPROP INT_L_X20Y103 ROW 48 TILEPROP INT_L_X20Y103 SLR_REGION_ID 0 TILEPROP INT_L_X20Y103 TILE_PATTERN_IDX 5335 TILEPROP INT_L_X20Y103 TILE_TYPE INT_L TILEPROP INT_L_X20Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y103 TILE_X -6576 TILEPROP INT_L_X20Y103 TILE_Y 92472 TILEPROP INT_L_X20Y103 TYPE INT_L TILEPROP INT_L_X20Y104 CLASS tile TILEPROP INT_L_X20Y104 COLUMN 53 TILEPROP INT_L_X20Y104 DEVICE_ID 0 TILEPROP INT_L_X20Y104 FIRST_SITE_ID 4671 TILEPROP INT_L_X20Y104 GRID_POINT_X 53 TILEPROP INT_L_X20Y104 GRID_POINT_Y 47 TILEPROP INT_L_X20Y104 INDEX 5458 TILEPROP INT_L_X20Y104 INT_TILE_X 20 TILEPROP INT_L_X20Y104 INT_TILE_Y 45 TILEPROP INT_L_X20Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y104 IS_DCM_TILE 0 TILEPROP INT_L_X20Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y104 NAME INT_L_X20Y104 TILEPROP INT_L_X20Y104 NUM_ARCS 3737 TILEPROP INT_L_X20Y104 NUM_SITES 1 TILEPROP INT_L_X20Y104 ROW 47 TILEPROP INT_L_X20Y104 SLR_REGION_ID 0 TILEPROP INT_L_X20Y104 TILE_PATTERN_IDX 5298 TILEPROP INT_L_X20Y104 TILE_TYPE INT_L TILEPROP INT_L_X20Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y104 TILE_X -6576 TILEPROP INT_L_X20Y104 TILE_Y 95672 TILEPROP INT_L_X20Y104 TYPE INT_L TILEPROP INT_L_X20Y105 CLASS tile TILEPROP INT_L_X20Y105 COLUMN 53 TILEPROP INT_L_X20Y105 DEVICE_ID 0 TILEPROP INT_L_X20Y105 FIRST_SITE_ID 4575 TILEPROP INT_L_X20Y105 GRID_POINT_X 53 TILEPROP INT_L_X20Y105 GRID_POINT_Y 46 TILEPROP INT_L_X20Y105 INDEX 5343 TILEPROP INT_L_X20Y105 INT_TILE_X 20 TILEPROP INT_L_X20Y105 INT_TILE_Y 44 TILEPROP INT_L_X20Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y105 IS_DCM_TILE 0 TILEPROP INT_L_X20Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y105 NAME INT_L_X20Y105 TILEPROP INT_L_X20Y105 NUM_ARCS 3737 TILEPROP INT_L_X20Y105 NUM_SITES 1 TILEPROP INT_L_X20Y105 ROW 46 TILEPROP INT_L_X20Y105 SLR_REGION_ID 0 TILEPROP INT_L_X20Y105 TILE_PATTERN_IDX 5262 TILEPROP INT_L_X20Y105 TILE_TYPE INT_L TILEPROP INT_L_X20Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y105 TILE_X -6576 TILEPROP INT_L_X20Y105 TILE_Y 98872 TILEPROP INT_L_X20Y105 TYPE INT_L TILEPROP INT_L_X20Y106 CLASS tile TILEPROP INT_L_X20Y106 COLUMN 53 TILEPROP INT_L_X20Y106 DEVICE_ID 0 TILEPROP INT_L_X20Y106 FIRST_SITE_ID 4473 TILEPROP INT_L_X20Y106 GRID_POINT_X 53 TILEPROP INT_L_X20Y106 GRID_POINT_Y 45 TILEPROP INT_L_X20Y106 INDEX 5228 TILEPROP INT_L_X20Y106 INT_TILE_X 20 TILEPROP INT_L_X20Y106 INT_TILE_Y 43 TILEPROP INT_L_X20Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y106 IS_DCM_TILE 0 TILEPROP INT_L_X20Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y106 NAME INT_L_X20Y106 TILEPROP INT_L_X20Y106 NUM_ARCS 3737 TILEPROP INT_L_X20Y106 NUM_SITES 1 TILEPROP INT_L_X20Y106 ROW 45 TILEPROP INT_L_X20Y106 SLR_REGION_ID 0 TILEPROP INT_L_X20Y106 TILE_PATTERN_IDX 5225 TILEPROP INT_L_X20Y106 TILE_TYPE INT_L TILEPROP INT_L_X20Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y106 TILE_X -6576 TILEPROP INT_L_X20Y106 TILE_Y 102072 TILEPROP INT_L_X20Y106 TYPE INT_L TILEPROP INT_L_X20Y107 CLASS tile TILEPROP INT_L_X20Y107 COLUMN 53 TILEPROP INT_L_X20Y107 DEVICE_ID 0 TILEPROP INT_L_X20Y107 FIRST_SITE_ID 4385 TILEPROP INT_L_X20Y107 GRID_POINT_X 53 TILEPROP INT_L_X20Y107 GRID_POINT_Y 44 TILEPROP INT_L_X20Y107 INDEX 5113 TILEPROP INT_L_X20Y107 INT_TILE_X 20 TILEPROP INT_L_X20Y107 INT_TILE_Y 42 TILEPROP INT_L_X20Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y107 IS_DCM_TILE 0 TILEPROP INT_L_X20Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y107 NAME INT_L_X20Y107 TILEPROP INT_L_X20Y107 NUM_ARCS 3737 TILEPROP INT_L_X20Y107 NUM_SITES 1 TILEPROP INT_L_X20Y107 ROW 44 TILEPROP INT_L_X20Y107 SLR_REGION_ID 0 TILEPROP INT_L_X20Y107 TILE_PATTERN_IDX 5189 TILEPROP INT_L_X20Y107 TILE_TYPE INT_L TILEPROP INT_L_X20Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y107 TILE_X -6576 TILEPROP INT_L_X20Y107 TILE_Y 105272 TILEPROP INT_L_X20Y107 TYPE INT_L TILEPROP INT_L_X20Y108 CLASS tile TILEPROP INT_L_X20Y108 COLUMN 53 TILEPROP INT_L_X20Y108 DEVICE_ID 0 TILEPROP INT_L_X20Y108 FIRST_SITE_ID 4287 TILEPROP INT_L_X20Y108 GRID_POINT_X 53 TILEPROP INT_L_X20Y108 GRID_POINT_Y 43 TILEPROP INT_L_X20Y108 INDEX 4998 TILEPROP INT_L_X20Y108 INT_TILE_X 20 TILEPROP INT_L_X20Y108 INT_TILE_Y 41 TILEPROP INT_L_X20Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y108 IS_DCM_TILE 0 TILEPROP INT_L_X20Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y108 NAME INT_L_X20Y108 TILEPROP INT_L_X20Y108 NUM_ARCS 3737 TILEPROP INT_L_X20Y108 NUM_SITES 1 TILEPROP INT_L_X20Y108 ROW 43 TILEPROP INT_L_X20Y108 SLR_REGION_ID 0 TILEPROP INT_L_X20Y108 TILE_PATTERN_IDX 5152 TILEPROP INT_L_X20Y108 TILE_TYPE INT_L TILEPROP INT_L_X20Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y108 TILE_X -6576 TILEPROP INT_L_X20Y108 TILE_Y 108472 TILEPROP INT_L_X20Y108 TYPE INT_L TILEPROP INT_L_X20Y109 CLASS tile TILEPROP INT_L_X20Y109 COLUMN 53 TILEPROP INT_L_X20Y109 DEVICE_ID 0 TILEPROP INT_L_X20Y109 FIRST_SITE_ID 4198 TILEPROP INT_L_X20Y109 GRID_POINT_X 53 TILEPROP INT_L_X20Y109 GRID_POINT_Y 42 TILEPROP INT_L_X20Y109 INDEX 4883 TILEPROP INT_L_X20Y109 INT_TILE_X 20 TILEPROP INT_L_X20Y109 INT_TILE_Y 40 TILEPROP INT_L_X20Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y109 IS_DCM_TILE 0 TILEPROP INT_L_X20Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y109 NAME INT_L_X20Y109 TILEPROP INT_L_X20Y109 NUM_ARCS 3737 TILEPROP INT_L_X20Y109 NUM_SITES 1 TILEPROP INT_L_X20Y109 ROW 42 TILEPROP INT_L_X20Y109 SLR_REGION_ID 0 TILEPROP INT_L_X20Y109 TILE_PATTERN_IDX 5116 TILEPROP INT_L_X20Y109 TILE_TYPE INT_L TILEPROP INT_L_X20Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y109 TILE_X -6576 TILEPROP INT_L_X20Y109 TILE_Y 111672 TILEPROP INT_L_X20Y109 TYPE INT_L TILEPROP INT_L_X20Y110 CLASS tile TILEPROP INT_L_X20Y110 COLUMN 53 TILEPROP INT_L_X20Y110 DEVICE_ID 0 TILEPROP INT_L_X20Y110 FIRST_SITE_ID 4098 TILEPROP INT_L_X20Y110 GRID_POINT_X 53 TILEPROP INT_L_X20Y110 GRID_POINT_Y 41 TILEPROP INT_L_X20Y110 INDEX 4768 TILEPROP INT_L_X20Y110 INT_TILE_X 20 TILEPROP INT_L_X20Y110 INT_TILE_Y 39 TILEPROP INT_L_X20Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y110 IS_DCM_TILE 0 TILEPROP INT_L_X20Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y110 NAME INT_L_X20Y110 TILEPROP INT_L_X20Y110 NUM_ARCS 3737 TILEPROP INT_L_X20Y110 NUM_SITES 1 TILEPROP INT_L_X20Y110 ROW 41 TILEPROP INT_L_X20Y110 SLR_REGION_ID 0 TILEPROP INT_L_X20Y110 TILE_PATTERN_IDX 5079 TILEPROP INT_L_X20Y110 TILE_TYPE INT_L TILEPROP INT_L_X20Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y110 TILE_X -6576 TILEPROP INT_L_X20Y110 TILE_Y 114872 TILEPROP INT_L_X20Y110 TYPE INT_L TILEPROP INT_L_X20Y111 CLASS tile TILEPROP INT_L_X20Y111 COLUMN 53 TILEPROP INT_L_X20Y111 DEVICE_ID 0 TILEPROP INT_L_X20Y111 FIRST_SITE_ID 4004 TILEPROP INT_L_X20Y111 GRID_POINT_X 53 TILEPROP INT_L_X20Y111 GRID_POINT_Y 40 TILEPROP INT_L_X20Y111 INDEX 4653 TILEPROP INT_L_X20Y111 INT_TILE_X 20 TILEPROP INT_L_X20Y111 INT_TILE_Y 38 TILEPROP INT_L_X20Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y111 IS_DCM_TILE 0 TILEPROP INT_L_X20Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y111 NAME INT_L_X20Y111 TILEPROP INT_L_X20Y111 NUM_ARCS 3737 TILEPROP INT_L_X20Y111 NUM_SITES 1 TILEPROP INT_L_X20Y111 ROW 40 TILEPROP INT_L_X20Y111 SLR_REGION_ID 0 TILEPROP INT_L_X20Y111 TILE_PATTERN_IDX 5043 TILEPROP INT_L_X20Y111 TILE_TYPE INT_L TILEPROP INT_L_X20Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y111 TILE_X -6576 TILEPROP INT_L_X20Y111 TILE_Y 118072 TILEPROP INT_L_X20Y111 TYPE INT_L TILEPROP INT_L_X20Y112 CLASS tile TILEPROP INT_L_X20Y112 COLUMN 53 TILEPROP INT_L_X20Y112 DEVICE_ID 0 TILEPROP INT_L_X20Y112 FIRST_SITE_ID 3876 TILEPROP INT_L_X20Y112 GRID_POINT_X 53 TILEPROP INT_L_X20Y112 GRID_POINT_Y 39 TILEPROP INT_L_X20Y112 INDEX 4538 TILEPROP INT_L_X20Y112 INT_TILE_X 20 TILEPROP INT_L_X20Y112 INT_TILE_Y 37 TILEPROP INT_L_X20Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y112 IS_DCM_TILE 0 TILEPROP INT_L_X20Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y112 NAME INT_L_X20Y112 TILEPROP INT_L_X20Y112 NUM_ARCS 3737 TILEPROP INT_L_X20Y112 NUM_SITES 1 TILEPROP INT_L_X20Y112 ROW 39 TILEPROP INT_L_X20Y112 SLR_REGION_ID 0 TILEPROP INT_L_X20Y112 TILE_PATTERN_IDX 5005 TILEPROP INT_L_X20Y112 TILE_TYPE INT_L TILEPROP INT_L_X20Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y112 TILE_X -6576 TILEPROP INT_L_X20Y112 TILE_Y 121272 TILEPROP INT_L_X20Y112 TYPE INT_L TILEPROP INT_L_X20Y113 CLASS tile TILEPROP INT_L_X20Y113 COLUMN 53 TILEPROP INT_L_X20Y113 DEVICE_ID 0 TILEPROP INT_L_X20Y113 FIRST_SITE_ID 3788 TILEPROP INT_L_X20Y113 GRID_POINT_X 53 TILEPROP INT_L_X20Y113 GRID_POINT_Y 38 TILEPROP INT_L_X20Y113 INDEX 4423 TILEPROP INT_L_X20Y113 INT_TILE_X 20 TILEPROP INT_L_X20Y113 INT_TILE_Y 36 TILEPROP INT_L_X20Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y113 IS_DCM_TILE 0 TILEPROP INT_L_X20Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y113 NAME INT_L_X20Y113 TILEPROP INT_L_X20Y113 NUM_ARCS 3737 TILEPROP INT_L_X20Y113 NUM_SITES 1 TILEPROP INT_L_X20Y113 ROW 38 TILEPROP INT_L_X20Y113 SLR_REGION_ID 0 TILEPROP INT_L_X20Y113 TILE_PATTERN_IDX 4969 TILEPROP INT_L_X20Y113 TILE_TYPE INT_L TILEPROP INT_L_X20Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y113 TILE_X -6576 TILEPROP INT_L_X20Y113 TILE_Y 124472 TILEPROP INT_L_X20Y113 TYPE INT_L TILEPROP INT_L_X20Y114 CLASS tile TILEPROP INT_L_X20Y114 COLUMN 53 TILEPROP INT_L_X20Y114 DEVICE_ID 0 TILEPROP INT_L_X20Y114 FIRST_SITE_ID 3692 TILEPROP INT_L_X20Y114 GRID_POINT_X 53 TILEPROP INT_L_X20Y114 GRID_POINT_Y 37 TILEPROP INT_L_X20Y114 INDEX 4308 TILEPROP INT_L_X20Y114 INT_TILE_X 20 TILEPROP INT_L_X20Y114 INT_TILE_Y 35 TILEPROP INT_L_X20Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y114 IS_DCM_TILE 0 TILEPROP INT_L_X20Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y114 NAME INT_L_X20Y114 TILEPROP INT_L_X20Y114 NUM_ARCS 3737 TILEPROP INT_L_X20Y114 NUM_SITES 1 TILEPROP INT_L_X20Y114 ROW 37 TILEPROP INT_L_X20Y114 SLR_REGION_ID 0 TILEPROP INT_L_X20Y114 TILE_PATTERN_IDX 4932 TILEPROP INT_L_X20Y114 TILE_TYPE INT_L TILEPROP INT_L_X20Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y114 TILE_X -6576 TILEPROP INT_L_X20Y114 TILE_Y 127672 TILEPROP INT_L_X20Y114 TYPE INT_L TILEPROP INT_L_X20Y115 CLASS tile TILEPROP INT_L_X20Y115 COLUMN 53 TILEPROP INT_L_X20Y115 DEVICE_ID 0 TILEPROP INT_L_X20Y115 FIRST_SITE_ID 3601 TILEPROP INT_L_X20Y115 GRID_POINT_X 53 TILEPROP INT_L_X20Y115 GRID_POINT_Y 36 TILEPROP INT_L_X20Y115 INDEX 4193 TILEPROP INT_L_X20Y115 INT_TILE_X 20 TILEPROP INT_L_X20Y115 INT_TILE_Y 34 TILEPROP INT_L_X20Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y115 IS_DCM_TILE 0 TILEPROP INT_L_X20Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y115 NAME INT_L_X20Y115 TILEPROP INT_L_X20Y115 NUM_ARCS 3737 TILEPROP INT_L_X20Y115 NUM_SITES 1 TILEPROP INT_L_X20Y115 ROW 36 TILEPROP INT_L_X20Y115 SLR_REGION_ID 0 TILEPROP INT_L_X20Y115 TILE_PATTERN_IDX 4896 TILEPROP INT_L_X20Y115 TILE_TYPE INT_L TILEPROP INT_L_X20Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y115 TILE_X -6576 TILEPROP INT_L_X20Y115 TILE_Y 130872 TILEPROP INT_L_X20Y115 TYPE INT_L TILEPROP INT_L_X20Y116 CLASS tile TILEPROP INT_L_X20Y116 COLUMN 53 TILEPROP INT_L_X20Y116 DEVICE_ID 0 TILEPROP INT_L_X20Y116 FIRST_SITE_ID 3494 TILEPROP INT_L_X20Y116 GRID_POINT_X 53 TILEPROP INT_L_X20Y116 GRID_POINT_Y 35 TILEPROP INT_L_X20Y116 INDEX 4078 TILEPROP INT_L_X20Y116 INT_TILE_X 20 TILEPROP INT_L_X20Y116 INT_TILE_Y 33 TILEPROP INT_L_X20Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y116 IS_DCM_TILE 0 TILEPROP INT_L_X20Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y116 NAME INT_L_X20Y116 TILEPROP INT_L_X20Y116 NUM_ARCS 3737 TILEPROP INT_L_X20Y116 NUM_SITES 1 TILEPROP INT_L_X20Y116 ROW 35 TILEPROP INT_L_X20Y116 SLR_REGION_ID 0 TILEPROP INT_L_X20Y116 TILE_PATTERN_IDX 4859 TILEPROP INT_L_X20Y116 TILE_TYPE INT_L TILEPROP INT_L_X20Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y116 TILE_X -6576 TILEPROP INT_L_X20Y116 TILE_Y 134072 TILEPROP INT_L_X20Y116 TYPE INT_L TILEPROP INT_L_X20Y117 CLASS tile TILEPROP INT_L_X20Y117 COLUMN 53 TILEPROP INT_L_X20Y117 DEVICE_ID 0 TILEPROP INT_L_X20Y117 FIRST_SITE_ID 3406 TILEPROP INT_L_X20Y117 GRID_POINT_X 53 TILEPROP INT_L_X20Y117 GRID_POINT_Y 34 TILEPROP INT_L_X20Y117 INDEX 3963 TILEPROP INT_L_X20Y117 INT_TILE_X 20 TILEPROP INT_L_X20Y117 INT_TILE_Y 32 TILEPROP INT_L_X20Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y117 IS_DCM_TILE 0 TILEPROP INT_L_X20Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y117 NAME INT_L_X20Y117 TILEPROP INT_L_X20Y117 NUM_ARCS 3737 TILEPROP INT_L_X20Y117 NUM_SITES 1 TILEPROP INT_L_X20Y117 ROW 34 TILEPROP INT_L_X20Y117 SLR_REGION_ID 0 TILEPROP INT_L_X20Y117 TILE_PATTERN_IDX 4823 TILEPROP INT_L_X20Y117 TILE_TYPE INT_L TILEPROP INT_L_X20Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y117 TILE_X -6576 TILEPROP INT_L_X20Y117 TILE_Y 137272 TILEPROP INT_L_X20Y117 TYPE INT_L TILEPROP INT_L_X20Y118 CLASS tile TILEPROP INT_L_X20Y118 COLUMN 53 TILEPROP INT_L_X20Y118 DEVICE_ID 0 TILEPROP INT_L_X20Y118 FIRST_SITE_ID 3306 TILEPROP INT_L_X20Y118 GRID_POINT_X 53 TILEPROP INT_L_X20Y118 GRID_POINT_Y 33 TILEPROP INT_L_X20Y118 INDEX 3848 TILEPROP INT_L_X20Y118 INT_TILE_X 20 TILEPROP INT_L_X20Y118 INT_TILE_Y 31 TILEPROP INT_L_X20Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y118 IS_DCM_TILE 0 TILEPROP INT_L_X20Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y118 NAME INT_L_X20Y118 TILEPROP INT_L_X20Y118 NUM_ARCS 3737 TILEPROP INT_L_X20Y118 NUM_SITES 1 TILEPROP INT_L_X20Y118 ROW 33 TILEPROP INT_L_X20Y118 SLR_REGION_ID 0 TILEPROP INT_L_X20Y118 TILE_PATTERN_IDX 4785 TILEPROP INT_L_X20Y118 TILE_TYPE INT_L TILEPROP INT_L_X20Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y118 TILE_X -6576 TILEPROP INT_L_X20Y118 TILE_Y 140472 TILEPROP INT_L_X20Y118 TYPE INT_L TILEPROP INT_L_X20Y119 CLASS tile TILEPROP INT_L_X20Y119 COLUMN 53 TILEPROP INT_L_X20Y119 DEVICE_ID 0 TILEPROP INT_L_X20Y119 FIRST_SITE_ID 3218 TILEPROP INT_L_X20Y119 GRID_POINT_X 53 TILEPROP INT_L_X20Y119 GRID_POINT_Y 32 TILEPROP INT_L_X20Y119 INDEX 3733 TILEPROP INT_L_X20Y119 INT_TILE_X 20 TILEPROP INT_L_X20Y119 INT_TILE_Y 30 TILEPROP INT_L_X20Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y119 IS_DCM_TILE 0 TILEPROP INT_L_X20Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y119 NAME INT_L_X20Y119 TILEPROP INT_L_X20Y119 NUM_ARCS 3737 TILEPROP INT_L_X20Y119 NUM_SITES 1 TILEPROP INT_L_X20Y119 ROW 32 TILEPROP INT_L_X20Y119 SLR_REGION_ID 0 TILEPROP INT_L_X20Y119 TILE_PATTERN_IDX 4749 TILEPROP INT_L_X20Y119 TILE_TYPE INT_L TILEPROP INT_L_X20Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y119 TILE_X -6576 TILEPROP INT_L_X20Y119 TILE_Y 143672 TILEPROP INT_L_X20Y119 TYPE INT_L TILEPROP INT_L_X20Y120 CLASS tile TILEPROP INT_L_X20Y120 COLUMN 53 TILEPROP INT_L_X20Y120 DEVICE_ID 0 TILEPROP INT_L_X20Y120 FIRST_SITE_ID 3117 TILEPROP INT_L_X20Y120 GRID_POINT_X 53 TILEPROP INT_L_X20Y120 GRID_POINT_Y 31 TILEPROP INT_L_X20Y120 INDEX 3618 TILEPROP INT_L_X20Y120 INT_TILE_X 20 TILEPROP INT_L_X20Y120 INT_TILE_Y 29 TILEPROP INT_L_X20Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y120 IS_DCM_TILE 0 TILEPROP INT_L_X20Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y120 NAME INT_L_X20Y120 TILEPROP INT_L_X20Y120 NUM_ARCS 3737 TILEPROP INT_L_X20Y120 NUM_SITES 1 TILEPROP INT_L_X20Y120 ROW 31 TILEPROP INT_L_X20Y120 SLR_REGION_ID 0 TILEPROP INT_L_X20Y120 TILE_PATTERN_IDX 4712 TILEPROP INT_L_X20Y120 TILE_TYPE INT_L TILEPROP INT_L_X20Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y120 TILE_X -6576 TILEPROP INT_L_X20Y120 TILE_Y 146872 TILEPROP INT_L_X20Y120 TYPE INT_L TILEPROP INT_L_X20Y121 CLASS tile TILEPROP INT_L_X20Y121 COLUMN 53 TILEPROP INT_L_X20Y121 DEVICE_ID 0 TILEPROP INT_L_X20Y121 FIRST_SITE_ID 3023 TILEPROP INT_L_X20Y121 GRID_POINT_X 53 TILEPROP INT_L_X20Y121 GRID_POINT_Y 30 TILEPROP INT_L_X20Y121 INDEX 3503 TILEPROP INT_L_X20Y121 INT_TILE_X 20 TILEPROP INT_L_X20Y121 INT_TILE_Y 28 TILEPROP INT_L_X20Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y121 IS_DCM_TILE 0 TILEPROP INT_L_X20Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y121 NAME INT_L_X20Y121 TILEPROP INT_L_X20Y121 NUM_ARCS 3737 TILEPROP INT_L_X20Y121 NUM_SITES 1 TILEPROP INT_L_X20Y121 ROW 30 TILEPROP INT_L_X20Y121 SLR_REGION_ID 0 TILEPROP INT_L_X20Y121 TILE_PATTERN_IDX 4676 TILEPROP INT_L_X20Y121 TILE_TYPE INT_L TILEPROP INT_L_X20Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y121 TILE_X -6576 TILEPROP INT_L_X20Y121 TILE_Y 150072 TILEPROP INT_L_X20Y121 TYPE INT_L TILEPROP INT_L_X20Y122 CLASS tile TILEPROP INT_L_X20Y122 COLUMN 53 TILEPROP INT_L_X20Y122 DEVICE_ID 0 TILEPROP INT_L_X20Y122 FIRST_SITE_ID 2920 TILEPROP INT_L_X20Y122 GRID_POINT_X 53 TILEPROP INT_L_X20Y122 GRID_POINT_Y 29 TILEPROP INT_L_X20Y122 INDEX 3388 TILEPROP INT_L_X20Y122 INT_TILE_X 20 TILEPROP INT_L_X20Y122 INT_TILE_Y 27 TILEPROP INT_L_X20Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y122 IS_DCM_TILE 0 TILEPROP INT_L_X20Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y122 NAME INT_L_X20Y122 TILEPROP INT_L_X20Y122 NUM_ARCS 3737 TILEPROP INT_L_X20Y122 NUM_SITES 1 TILEPROP INT_L_X20Y122 ROW 29 TILEPROP INT_L_X20Y122 SLR_REGION_ID 0 TILEPROP INT_L_X20Y122 TILE_PATTERN_IDX 4638 TILEPROP INT_L_X20Y122 TILE_TYPE INT_L TILEPROP INT_L_X20Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y122 TILE_X -6576 TILEPROP INT_L_X20Y122 TILE_Y 153272 TILEPROP INT_L_X20Y122 TYPE INT_L TILEPROP INT_L_X20Y123 CLASS tile TILEPROP INT_L_X20Y123 COLUMN 53 TILEPROP INT_L_X20Y123 DEVICE_ID 0 TILEPROP INT_L_X20Y123 FIRST_SITE_ID 2832 TILEPROP INT_L_X20Y123 GRID_POINT_X 53 TILEPROP INT_L_X20Y123 GRID_POINT_Y 28 TILEPROP INT_L_X20Y123 INDEX 3273 TILEPROP INT_L_X20Y123 INT_TILE_X 20 TILEPROP INT_L_X20Y123 INT_TILE_Y 26 TILEPROP INT_L_X20Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y123 IS_DCM_TILE 0 TILEPROP INT_L_X20Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y123 NAME INT_L_X20Y123 TILEPROP INT_L_X20Y123 NUM_ARCS 3737 TILEPROP INT_L_X20Y123 NUM_SITES 1 TILEPROP INT_L_X20Y123 ROW 28 TILEPROP INT_L_X20Y123 SLR_REGION_ID 0 TILEPROP INT_L_X20Y123 TILE_PATTERN_IDX 4602 TILEPROP INT_L_X20Y123 TILE_TYPE INT_L TILEPROP INT_L_X20Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y123 TILE_X -6576 TILEPROP INT_L_X20Y123 TILE_Y 156472 TILEPROP INT_L_X20Y123 TYPE INT_L TILEPROP INT_L_X20Y124 CLASS tile TILEPROP INT_L_X20Y124 COLUMN 53 TILEPROP INT_L_X20Y124 DEVICE_ID 0 TILEPROP INT_L_X20Y124 FIRST_SITE_ID 2736 TILEPROP INT_L_X20Y124 GRID_POINT_X 53 TILEPROP INT_L_X20Y124 GRID_POINT_Y 27 TILEPROP INT_L_X20Y124 INDEX 3158 TILEPROP INT_L_X20Y124 INT_TILE_X 20 TILEPROP INT_L_X20Y124 INT_TILE_Y 25 TILEPROP INT_L_X20Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y124 IS_DCM_TILE 0 TILEPROP INT_L_X20Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y124 NAME INT_L_X20Y124 TILEPROP INT_L_X20Y124 NUM_ARCS 3737 TILEPROP INT_L_X20Y124 NUM_SITES 1 TILEPROP INT_L_X20Y124 ROW 27 TILEPROP INT_L_X20Y124 SLR_REGION_ID 0 TILEPROP INT_L_X20Y124 TILE_PATTERN_IDX 4565 TILEPROP INT_L_X20Y124 TILE_TYPE INT_L TILEPROP INT_L_X20Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y124 TILE_X -6576 TILEPROP INT_L_X20Y124 TILE_Y 159672 TILEPROP INT_L_X20Y124 TYPE INT_L TILEPROP INT_L_X20Y125 CLASS tile TILEPROP INT_L_X20Y125 COLUMN 53 TILEPROP INT_L_X20Y125 DEVICE_ID 0 TILEPROP INT_L_X20Y125 FIRST_SITE_ID 2563 TILEPROP INT_L_X20Y125 GRID_POINT_X 53 TILEPROP INT_L_X20Y125 GRID_POINT_Y 25 TILEPROP INT_L_X20Y125 INDEX 2928 TILEPROP INT_L_X20Y125 INT_TILE_X 20 TILEPROP INT_L_X20Y125 INT_TILE_Y 24 TILEPROP INT_L_X20Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y125 IS_DCM_TILE 0 TILEPROP INT_L_X20Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y125 NAME INT_L_X20Y125 TILEPROP INT_L_X20Y125 NUM_ARCS 3737 TILEPROP INT_L_X20Y125 NUM_SITES 1 TILEPROP INT_L_X20Y125 ROW 25 TILEPROP INT_L_X20Y125 SLR_REGION_ID 0 TILEPROP INT_L_X20Y125 TILE_PATTERN_IDX 4486 TILEPROP INT_L_X20Y125 TILE_TYPE INT_L TILEPROP INT_L_X20Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y125 TILE_X -6576 TILEPROP INT_L_X20Y125 TILE_Y 163896 TILEPROP INT_L_X20Y125 TYPE INT_L TILEPROP INT_L_X20Y126 CLASS tile TILEPROP INT_L_X20Y126 COLUMN 53 TILEPROP INT_L_X20Y126 DEVICE_ID 0 TILEPROP INT_L_X20Y126 FIRST_SITE_ID 2453 TILEPROP INT_L_X20Y126 GRID_POINT_X 53 TILEPROP INT_L_X20Y126 GRID_POINT_Y 24 TILEPROP INT_L_X20Y126 INDEX 2813 TILEPROP INT_L_X20Y126 INT_TILE_X 20 TILEPROP INT_L_X20Y126 INT_TILE_Y 23 TILEPROP INT_L_X20Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y126 IS_DCM_TILE 0 TILEPROP INT_L_X20Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y126 NAME INT_L_X20Y126 TILEPROP INT_L_X20Y126 NUM_ARCS 3737 TILEPROP INT_L_X20Y126 NUM_SITES 1 TILEPROP INT_L_X20Y126 ROW 24 TILEPROP INT_L_X20Y126 SLR_REGION_ID 0 TILEPROP INT_L_X20Y126 TILE_PATTERN_IDX 4447 TILEPROP INT_L_X20Y126 TILE_TYPE INT_L TILEPROP INT_L_X20Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y126 TILE_X -6576 TILEPROP INT_L_X20Y126 TILE_Y 167096 TILEPROP INT_L_X20Y126 TYPE INT_L TILEPROP INT_L_X20Y127 CLASS tile TILEPROP INT_L_X20Y127 COLUMN 53 TILEPROP INT_L_X20Y127 DEVICE_ID 0 TILEPROP INT_L_X20Y127 FIRST_SITE_ID 2357 TILEPROP INT_L_X20Y127 GRID_POINT_X 53 TILEPROP INT_L_X20Y127 GRID_POINT_Y 23 TILEPROP INT_L_X20Y127 INDEX 2698 TILEPROP INT_L_X20Y127 INT_TILE_X 20 TILEPROP INT_L_X20Y127 INT_TILE_Y 22 TILEPROP INT_L_X20Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y127 IS_DCM_TILE 0 TILEPROP INT_L_X20Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y127 NAME INT_L_X20Y127 TILEPROP INT_L_X20Y127 NUM_ARCS 3737 TILEPROP INT_L_X20Y127 NUM_SITES 1 TILEPROP INT_L_X20Y127 ROW 23 TILEPROP INT_L_X20Y127 SLR_REGION_ID 0 TILEPROP INT_L_X20Y127 TILE_PATTERN_IDX 4409 TILEPROP INT_L_X20Y127 TILE_TYPE INT_L TILEPROP INT_L_X20Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y127 TILE_X -6576 TILEPROP INT_L_X20Y127 TILE_Y 170296 TILEPROP INT_L_X20Y127 TYPE INT_L TILEPROP INT_L_X20Y128 CLASS tile TILEPROP INT_L_X20Y128 COLUMN 53 TILEPROP INT_L_X20Y128 DEVICE_ID 0 TILEPROP INT_L_X20Y128 FIRST_SITE_ID 2253 TILEPROP INT_L_X20Y128 GRID_POINT_X 53 TILEPROP INT_L_X20Y128 GRID_POINT_Y 22 TILEPROP INT_L_X20Y128 INDEX 2583 TILEPROP INT_L_X20Y128 INT_TILE_X 20 TILEPROP INT_L_X20Y128 INT_TILE_Y 21 TILEPROP INT_L_X20Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y128 IS_DCM_TILE 0 TILEPROP INT_L_X20Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y128 NAME INT_L_X20Y128 TILEPROP INT_L_X20Y128 NUM_ARCS 3737 TILEPROP INT_L_X20Y128 NUM_SITES 1 TILEPROP INT_L_X20Y128 ROW 22 TILEPROP INT_L_X20Y128 SLR_REGION_ID 0 TILEPROP INT_L_X20Y128 TILE_PATTERN_IDX 4370 TILEPROP INT_L_X20Y128 TILE_TYPE INT_L TILEPROP INT_L_X20Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y128 TILE_X -6576 TILEPROP INT_L_X20Y128 TILE_Y 173496 TILEPROP INT_L_X20Y128 TYPE INT_L TILEPROP INT_L_X20Y129 CLASS tile TILEPROP INT_L_X20Y129 COLUMN 53 TILEPROP INT_L_X20Y129 DEVICE_ID 0 TILEPROP INT_L_X20Y129 FIRST_SITE_ID 2157 TILEPROP INT_L_X20Y129 GRID_POINT_X 53 TILEPROP INT_L_X20Y129 GRID_POINT_Y 21 TILEPROP INT_L_X20Y129 INDEX 2468 TILEPROP INT_L_X20Y129 INT_TILE_X 20 TILEPROP INT_L_X20Y129 INT_TILE_Y 20 TILEPROP INT_L_X20Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y129 IS_DCM_TILE 0 TILEPROP INT_L_X20Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y129 NAME INT_L_X20Y129 TILEPROP INT_L_X20Y129 NUM_ARCS 3737 TILEPROP INT_L_X20Y129 NUM_SITES 1 TILEPROP INT_L_X20Y129 ROW 21 TILEPROP INT_L_X20Y129 SLR_REGION_ID 0 TILEPROP INT_L_X20Y129 TILE_PATTERN_IDX 4332 TILEPROP INT_L_X20Y129 TILE_TYPE INT_L TILEPROP INT_L_X20Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y129 TILE_X -6576 TILEPROP INT_L_X20Y129 TILE_Y 176696 TILEPROP INT_L_X20Y129 TYPE INT_L TILEPROP INT_L_X20Y130 CLASS tile TILEPROP INT_L_X20Y130 COLUMN 53 TILEPROP INT_L_X20Y130 DEVICE_ID 0 TILEPROP INT_L_X20Y130 FIRST_SITE_ID 2041 TILEPROP INT_L_X20Y130 GRID_POINT_X 53 TILEPROP INT_L_X20Y130 GRID_POINT_Y 20 TILEPROP INT_L_X20Y130 INDEX 2353 TILEPROP INT_L_X20Y130 INT_TILE_X 20 TILEPROP INT_L_X20Y130 INT_TILE_Y 19 TILEPROP INT_L_X20Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y130 IS_DCM_TILE 0 TILEPROP INT_L_X20Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y130 NAME INT_L_X20Y130 TILEPROP INT_L_X20Y130 NUM_ARCS 3737 TILEPROP INT_L_X20Y130 NUM_SITES 1 TILEPROP INT_L_X20Y130 ROW 20 TILEPROP INT_L_X20Y130 SLR_REGION_ID 0 TILEPROP INT_L_X20Y130 TILE_PATTERN_IDX 4292 TILEPROP INT_L_X20Y130 TILE_TYPE INT_L TILEPROP INT_L_X20Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y130 TILE_X -6576 TILEPROP INT_L_X20Y130 TILE_Y 179896 TILEPROP INT_L_X20Y130 TYPE INT_L TILEPROP INT_L_X20Y131 CLASS tile TILEPROP INT_L_X20Y131 COLUMN 53 TILEPROP INT_L_X20Y131 DEVICE_ID 0 TILEPROP INT_L_X20Y131 FIRST_SITE_ID 1939 TILEPROP INT_L_X20Y131 GRID_POINT_X 53 TILEPROP INT_L_X20Y131 GRID_POINT_Y 19 TILEPROP INT_L_X20Y131 INDEX 2238 TILEPROP INT_L_X20Y131 INT_TILE_X 20 TILEPROP INT_L_X20Y131 INT_TILE_Y 18 TILEPROP INT_L_X20Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y131 IS_DCM_TILE 0 TILEPROP INT_L_X20Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y131 NAME INT_L_X20Y131 TILEPROP INT_L_X20Y131 NUM_ARCS 3737 TILEPROP INT_L_X20Y131 NUM_SITES 1 TILEPROP INT_L_X20Y131 ROW 19 TILEPROP INT_L_X20Y131 SLR_REGION_ID 0 TILEPROP INT_L_X20Y131 TILE_PATTERN_IDX 4254 TILEPROP INT_L_X20Y131 TILE_TYPE INT_L TILEPROP INT_L_X20Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y131 TILE_X -6576 TILEPROP INT_L_X20Y131 TILE_Y 183096 TILEPROP INT_L_X20Y131 TYPE INT_L TILEPROP INT_L_X20Y132 CLASS tile TILEPROP INT_L_X20Y132 COLUMN 53 TILEPROP INT_L_X20Y132 DEVICE_ID 0 TILEPROP INT_L_X20Y132 FIRST_SITE_ID 1833 TILEPROP INT_L_X20Y132 GRID_POINT_X 53 TILEPROP INT_L_X20Y132 GRID_POINT_Y 18 TILEPROP INT_L_X20Y132 INDEX 2123 TILEPROP INT_L_X20Y132 INT_TILE_X 20 TILEPROP INT_L_X20Y132 INT_TILE_Y 17 TILEPROP INT_L_X20Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y132 IS_DCM_TILE 0 TILEPROP INT_L_X20Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y132 NAME INT_L_X20Y132 TILEPROP INT_L_X20Y132 NUM_ARCS 3737 TILEPROP INT_L_X20Y132 NUM_SITES 1 TILEPROP INT_L_X20Y132 ROW 18 TILEPROP INT_L_X20Y132 SLR_REGION_ID 0 TILEPROP INT_L_X20Y132 TILE_PATTERN_IDX 4215 TILEPROP INT_L_X20Y132 TILE_TYPE INT_L TILEPROP INT_L_X20Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y132 TILE_X -6576 TILEPROP INT_L_X20Y132 TILE_Y 186296 TILEPROP INT_L_X20Y132 TYPE INT_L TILEPROP INT_L_X20Y133 CLASS tile TILEPROP INT_L_X20Y133 COLUMN 53 TILEPROP INT_L_X20Y133 DEVICE_ID 0 TILEPROP INT_L_X20Y133 FIRST_SITE_ID 1732 TILEPROP INT_L_X20Y133 GRID_POINT_X 53 TILEPROP INT_L_X20Y133 GRID_POINT_Y 17 TILEPROP INT_L_X20Y133 INDEX 2008 TILEPROP INT_L_X20Y133 INT_TILE_X 20 TILEPROP INT_L_X20Y133 INT_TILE_Y 16 TILEPROP INT_L_X20Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y133 IS_DCM_TILE 0 TILEPROP INT_L_X20Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y133 NAME INT_L_X20Y133 TILEPROP INT_L_X20Y133 NUM_ARCS 3737 TILEPROP INT_L_X20Y133 NUM_SITES 1 TILEPROP INT_L_X20Y133 ROW 17 TILEPROP INT_L_X20Y133 SLR_REGION_ID 0 TILEPROP INT_L_X20Y133 TILE_PATTERN_IDX 4177 TILEPROP INT_L_X20Y133 TILE_TYPE INT_L TILEPROP INT_L_X20Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y133 TILE_X -6576 TILEPROP INT_L_X20Y133 TILE_Y 189496 TILEPROP INT_L_X20Y133 TYPE INT_L TILEPROP INT_L_X20Y134 CLASS tile TILEPROP INT_L_X20Y134 COLUMN 53 TILEPROP INT_L_X20Y134 DEVICE_ID 0 TILEPROP INT_L_X20Y134 FIRST_SITE_ID 1628 TILEPROP INT_L_X20Y134 GRID_POINT_X 53 TILEPROP INT_L_X20Y134 GRID_POINT_Y 16 TILEPROP INT_L_X20Y134 INDEX 1893 TILEPROP INT_L_X20Y134 INT_TILE_X 20 TILEPROP INT_L_X20Y134 INT_TILE_Y 15 TILEPROP INT_L_X20Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y134 IS_DCM_TILE 0 TILEPROP INT_L_X20Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y134 NAME INT_L_X20Y134 TILEPROP INT_L_X20Y134 NUM_ARCS 3737 TILEPROP INT_L_X20Y134 NUM_SITES 1 TILEPROP INT_L_X20Y134 ROW 16 TILEPROP INT_L_X20Y134 SLR_REGION_ID 0 TILEPROP INT_L_X20Y134 TILE_PATTERN_IDX 4138 TILEPROP INT_L_X20Y134 TILE_TYPE INT_L TILEPROP INT_L_X20Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y134 TILE_X -6576 TILEPROP INT_L_X20Y134 TILE_Y 192696 TILEPROP INT_L_X20Y134 TYPE INT_L TILEPROP INT_L_X20Y135 CLASS tile TILEPROP INT_L_X20Y135 COLUMN 53 TILEPROP INT_L_X20Y135 DEVICE_ID 0 TILEPROP INT_L_X20Y135 FIRST_SITE_ID 1526 TILEPROP INT_L_X20Y135 GRID_POINT_X 53 TILEPROP INT_L_X20Y135 GRID_POINT_Y 15 TILEPROP INT_L_X20Y135 INDEX 1778 TILEPROP INT_L_X20Y135 INT_TILE_X 20 TILEPROP INT_L_X20Y135 INT_TILE_Y 14 TILEPROP INT_L_X20Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y135 IS_DCM_TILE 0 TILEPROP INT_L_X20Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y135 NAME INT_L_X20Y135 TILEPROP INT_L_X20Y135 NUM_ARCS 3737 TILEPROP INT_L_X20Y135 NUM_SITES 1 TILEPROP INT_L_X20Y135 ROW 15 TILEPROP INT_L_X20Y135 SLR_REGION_ID 0 TILEPROP INT_L_X20Y135 TILE_PATTERN_IDX 4100 TILEPROP INT_L_X20Y135 TILE_TYPE INT_L TILEPROP INT_L_X20Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y135 TILE_X -6576 TILEPROP INT_L_X20Y135 TILE_Y 195896 TILEPROP INT_L_X20Y135 TYPE INT_L TILEPROP INT_L_X20Y136 CLASS tile TILEPROP INT_L_X20Y136 COLUMN 53 TILEPROP INT_L_X20Y136 DEVICE_ID 0 TILEPROP INT_L_X20Y136 FIRST_SITE_ID 1384 TILEPROP INT_L_X20Y136 GRID_POINT_X 53 TILEPROP INT_L_X20Y136 GRID_POINT_Y 14 TILEPROP INT_L_X20Y136 INDEX 1663 TILEPROP INT_L_X20Y136 INT_TILE_X 20 TILEPROP INT_L_X20Y136 INT_TILE_Y 13 TILEPROP INT_L_X20Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y136 IS_DCM_TILE 0 TILEPROP INT_L_X20Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y136 NAME INT_L_X20Y136 TILEPROP INT_L_X20Y136 NUM_ARCS 3737 TILEPROP INT_L_X20Y136 NUM_SITES 1 TILEPROP INT_L_X20Y136 ROW 14 TILEPROP INT_L_X20Y136 SLR_REGION_ID 0 TILEPROP INT_L_X20Y136 TILE_PATTERN_IDX 4061 TILEPROP INT_L_X20Y136 TILE_TYPE INT_L TILEPROP INT_L_X20Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y136 TILE_X -6576 TILEPROP INT_L_X20Y136 TILE_Y 199096 TILEPROP INT_L_X20Y136 TYPE INT_L TILEPROP INT_L_X20Y137 CLASS tile TILEPROP INT_L_X20Y137 COLUMN 53 TILEPROP INT_L_X20Y137 DEVICE_ID 0 TILEPROP INT_L_X20Y137 FIRST_SITE_ID 1288 TILEPROP INT_L_X20Y137 GRID_POINT_X 53 TILEPROP INT_L_X20Y137 GRID_POINT_Y 13 TILEPROP INT_L_X20Y137 INDEX 1548 TILEPROP INT_L_X20Y137 INT_TILE_X 20 TILEPROP INT_L_X20Y137 INT_TILE_Y 12 TILEPROP INT_L_X20Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y137 IS_DCM_TILE 0 TILEPROP INT_L_X20Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y137 NAME INT_L_X20Y137 TILEPROP INT_L_X20Y137 NUM_ARCS 3737 TILEPROP INT_L_X20Y137 NUM_SITES 1 TILEPROP INT_L_X20Y137 ROW 13 TILEPROP INT_L_X20Y137 SLR_REGION_ID 0 TILEPROP INT_L_X20Y137 TILE_PATTERN_IDX 4023 TILEPROP INT_L_X20Y137 TILE_TYPE INT_L TILEPROP INT_L_X20Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y137 TILE_X -6576 TILEPROP INT_L_X20Y137 TILE_Y 202296 TILEPROP INT_L_X20Y137 TYPE INT_L TILEPROP INT_L_X20Y138 CLASS tile TILEPROP INT_L_X20Y138 COLUMN 53 TILEPROP INT_L_X20Y138 DEVICE_ID 0 TILEPROP INT_L_X20Y138 FIRST_SITE_ID 1184 TILEPROP INT_L_X20Y138 GRID_POINT_X 53 TILEPROP INT_L_X20Y138 GRID_POINT_Y 12 TILEPROP INT_L_X20Y138 INDEX 1433 TILEPROP INT_L_X20Y138 INT_TILE_X 20 TILEPROP INT_L_X20Y138 INT_TILE_Y 11 TILEPROP INT_L_X20Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y138 IS_DCM_TILE 0 TILEPROP INT_L_X20Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y138 NAME INT_L_X20Y138 TILEPROP INT_L_X20Y138 NUM_ARCS 3737 TILEPROP INT_L_X20Y138 NUM_SITES 1 TILEPROP INT_L_X20Y138 ROW 12 TILEPROP INT_L_X20Y138 SLR_REGION_ID 0 TILEPROP INT_L_X20Y138 TILE_PATTERN_IDX 3984 TILEPROP INT_L_X20Y138 TILE_TYPE INT_L TILEPROP INT_L_X20Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y138 TILE_X -6576 TILEPROP INT_L_X20Y138 TILE_Y 205496 TILEPROP INT_L_X20Y138 TYPE INT_L TILEPROP INT_L_X20Y139 CLASS tile TILEPROP INT_L_X20Y139 COLUMN 53 TILEPROP INT_L_X20Y139 DEVICE_ID 0 TILEPROP INT_L_X20Y139 FIRST_SITE_ID 1088 TILEPROP INT_L_X20Y139 GRID_POINT_X 53 TILEPROP INT_L_X20Y139 GRID_POINT_Y 11 TILEPROP INT_L_X20Y139 INDEX 1318 TILEPROP INT_L_X20Y139 INT_TILE_X 20 TILEPROP INT_L_X20Y139 INT_TILE_Y 10 TILEPROP INT_L_X20Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y139 IS_DCM_TILE 0 TILEPROP INT_L_X20Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y139 NAME INT_L_X20Y139 TILEPROP INT_L_X20Y139 NUM_ARCS 3737 TILEPROP INT_L_X20Y139 NUM_SITES 1 TILEPROP INT_L_X20Y139 ROW 11 TILEPROP INT_L_X20Y139 SLR_REGION_ID 0 TILEPROP INT_L_X20Y139 TILE_PATTERN_IDX 3946 TILEPROP INT_L_X20Y139 TILE_TYPE INT_L TILEPROP INT_L_X20Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y139 TILE_X -6576 TILEPROP INT_L_X20Y139 TILE_Y 208696 TILEPROP INT_L_X20Y139 TYPE INT_L TILEPROP INT_L_X20Y140 CLASS tile TILEPROP INT_L_X20Y140 COLUMN 53 TILEPROP INT_L_X20Y140 DEVICE_ID 0 TILEPROP INT_L_X20Y140 FIRST_SITE_ID 978 TILEPROP INT_L_X20Y140 GRID_POINT_X 53 TILEPROP INT_L_X20Y140 GRID_POINT_Y 10 TILEPROP INT_L_X20Y140 INDEX 1203 TILEPROP INT_L_X20Y140 INT_TILE_X 20 TILEPROP INT_L_X20Y140 INT_TILE_Y 9 TILEPROP INT_L_X20Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y140 IS_DCM_TILE 0 TILEPROP INT_L_X20Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y140 NAME INT_L_X20Y140 TILEPROP INT_L_X20Y140 NUM_ARCS 3737 TILEPROP INT_L_X20Y140 NUM_SITES 1 TILEPROP INT_L_X20Y140 ROW 10 TILEPROP INT_L_X20Y140 SLR_REGION_ID 0 TILEPROP INT_L_X20Y140 TILE_PATTERN_IDX 3907 TILEPROP INT_L_X20Y140 TILE_TYPE INT_L TILEPROP INT_L_X20Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y140 TILE_X -6576 TILEPROP INT_L_X20Y140 TILE_Y 211896 TILEPROP INT_L_X20Y140 TYPE INT_L TILEPROP INT_L_X20Y141 CLASS tile TILEPROP INT_L_X20Y141 COLUMN 53 TILEPROP INT_L_X20Y141 DEVICE_ID 0 TILEPROP INT_L_X20Y141 FIRST_SITE_ID 876 TILEPROP INT_L_X20Y141 GRID_POINT_X 53 TILEPROP INT_L_X20Y141 GRID_POINT_Y 9 TILEPROP INT_L_X20Y141 INDEX 1088 TILEPROP INT_L_X20Y141 INT_TILE_X 20 TILEPROP INT_L_X20Y141 INT_TILE_Y 8 TILEPROP INT_L_X20Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y141 IS_DCM_TILE 0 TILEPROP INT_L_X20Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y141 NAME INT_L_X20Y141 TILEPROP INT_L_X20Y141 NUM_ARCS 3737 TILEPROP INT_L_X20Y141 NUM_SITES 1 TILEPROP INT_L_X20Y141 ROW 9 TILEPROP INT_L_X20Y141 SLR_REGION_ID 0 TILEPROP INT_L_X20Y141 TILE_PATTERN_IDX 3869 TILEPROP INT_L_X20Y141 TILE_TYPE INT_L TILEPROP INT_L_X20Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y141 TILE_X -6576 TILEPROP INT_L_X20Y141 TILE_Y 215096 TILEPROP INT_L_X20Y141 TYPE INT_L TILEPROP INT_L_X20Y142 CLASS tile TILEPROP INT_L_X20Y142 COLUMN 53 TILEPROP INT_L_X20Y142 DEVICE_ID 0 TILEPROP INT_L_X20Y142 FIRST_SITE_ID 772 TILEPROP INT_L_X20Y142 GRID_POINT_X 53 TILEPROP INT_L_X20Y142 GRID_POINT_Y 8 TILEPROP INT_L_X20Y142 INDEX 973 TILEPROP INT_L_X20Y142 INT_TILE_X 20 TILEPROP INT_L_X20Y142 INT_TILE_Y 7 TILEPROP INT_L_X20Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y142 IS_DCM_TILE 0 TILEPROP INT_L_X20Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y142 NAME INT_L_X20Y142 TILEPROP INT_L_X20Y142 NUM_ARCS 3737 TILEPROP INT_L_X20Y142 NUM_SITES 1 TILEPROP INT_L_X20Y142 ROW 8 TILEPROP INT_L_X20Y142 SLR_REGION_ID 0 TILEPROP INT_L_X20Y142 TILE_PATTERN_IDX 3830 TILEPROP INT_L_X20Y142 TILE_TYPE INT_L TILEPROP INT_L_X20Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y142 TILE_X -6576 TILEPROP INT_L_X20Y142 TILE_Y 218296 TILEPROP INT_L_X20Y142 TYPE INT_L TILEPROP INT_L_X20Y143 CLASS tile TILEPROP INT_L_X20Y143 COLUMN 53 TILEPROP INT_L_X20Y143 DEVICE_ID 0 TILEPROP INT_L_X20Y143 FIRST_SITE_ID 675 TILEPROP INT_L_X20Y143 GRID_POINT_X 53 TILEPROP INT_L_X20Y143 GRID_POINT_Y 7 TILEPROP INT_L_X20Y143 INDEX 858 TILEPROP INT_L_X20Y143 INT_TILE_X 20 TILEPROP INT_L_X20Y143 INT_TILE_Y 6 TILEPROP INT_L_X20Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y143 IS_DCM_TILE 0 TILEPROP INT_L_X20Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y143 NAME INT_L_X20Y143 TILEPROP INT_L_X20Y143 NUM_ARCS 3737 TILEPROP INT_L_X20Y143 NUM_SITES 1 TILEPROP INT_L_X20Y143 ROW 7 TILEPROP INT_L_X20Y143 SLR_REGION_ID 0 TILEPROP INT_L_X20Y143 TILE_PATTERN_IDX 3792 TILEPROP INT_L_X20Y143 TILE_TYPE INT_L TILEPROP INT_L_X20Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y143 TILE_X -6576 TILEPROP INT_L_X20Y143 TILE_Y 221496 TILEPROP INT_L_X20Y143 TYPE INT_L TILEPROP INT_L_X20Y144 CLASS tile TILEPROP INT_L_X20Y144 COLUMN 53 TILEPROP INT_L_X20Y144 DEVICE_ID 0 TILEPROP INT_L_X20Y144 FIRST_SITE_ID 564 TILEPROP INT_L_X20Y144 GRID_POINT_X 53 TILEPROP INT_L_X20Y144 GRID_POINT_Y 6 TILEPROP INT_L_X20Y144 INDEX 743 TILEPROP INT_L_X20Y144 INT_TILE_X 20 TILEPROP INT_L_X20Y144 INT_TILE_Y 5 TILEPROP INT_L_X20Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y144 IS_DCM_TILE 0 TILEPROP INT_L_X20Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y144 NAME INT_L_X20Y144 TILEPROP INT_L_X20Y144 NUM_ARCS 3737 TILEPROP INT_L_X20Y144 NUM_SITES 1 TILEPROP INT_L_X20Y144 ROW 6 TILEPROP INT_L_X20Y144 SLR_REGION_ID 0 TILEPROP INT_L_X20Y144 TILE_PATTERN_IDX 3753 TILEPROP INT_L_X20Y144 TILE_TYPE INT_L TILEPROP INT_L_X20Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y144 TILE_X -6576 TILEPROP INT_L_X20Y144 TILE_Y 224696 TILEPROP INT_L_X20Y144 TYPE INT_L TILEPROP INT_L_X20Y145 CLASS tile TILEPROP INT_L_X20Y145 COLUMN 53 TILEPROP INT_L_X20Y145 DEVICE_ID 0 TILEPROP INT_L_X20Y145 FIRST_SITE_ID 462 TILEPROP INT_L_X20Y145 GRID_POINT_X 53 TILEPROP INT_L_X20Y145 GRID_POINT_Y 5 TILEPROP INT_L_X20Y145 INDEX 628 TILEPROP INT_L_X20Y145 INT_TILE_X 20 TILEPROP INT_L_X20Y145 INT_TILE_Y 4 TILEPROP INT_L_X20Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y145 IS_DCM_TILE 0 TILEPROP INT_L_X20Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y145 NAME INT_L_X20Y145 TILEPROP INT_L_X20Y145 NUM_ARCS 3737 TILEPROP INT_L_X20Y145 NUM_SITES 1 TILEPROP INT_L_X20Y145 ROW 5 TILEPROP INT_L_X20Y145 SLR_REGION_ID 0 TILEPROP INT_L_X20Y145 TILE_PATTERN_IDX 3715 TILEPROP INT_L_X20Y145 TILE_TYPE INT_L TILEPROP INT_L_X20Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y145 TILE_X -6576 TILEPROP INT_L_X20Y145 TILE_Y 227896 TILEPROP INT_L_X20Y145 TYPE INT_L TILEPROP INT_L_X20Y146 CLASS tile TILEPROP INT_L_X20Y146 COLUMN 53 TILEPROP INT_L_X20Y146 DEVICE_ID 0 TILEPROP INT_L_X20Y146 FIRST_SITE_ID 352 TILEPROP INT_L_X20Y146 GRID_POINT_X 53 TILEPROP INT_L_X20Y146 GRID_POINT_Y 4 TILEPROP INT_L_X20Y146 INDEX 513 TILEPROP INT_L_X20Y146 INT_TILE_X 20 TILEPROP INT_L_X20Y146 INT_TILE_Y 3 TILEPROP INT_L_X20Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y146 IS_DCM_TILE 0 TILEPROP INT_L_X20Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y146 NAME INT_L_X20Y146 TILEPROP INT_L_X20Y146 NUM_ARCS 3737 TILEPROP INT_L_X20Y146 NUM_SITES 1 TILEPROP INT_L_X20Y146 ROW 4 TILEPROP INT_L_X20Y146 SLR_REGION_ID 0 TILEPROP INT_L_X20Y146 TILE_PATTERN_IDX 3676 TILEPROP INT_L_X20Y146 TILE_TYPE INT_L TILEPROP INT_L_X20Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y146 TILE_X -6576 TILEPROP INT_L_X20Y146 TILE_Y 231096 TILEPROP INT_L_X20Y146 TYPE INT_L TILEPROP INT_L_X20Y147 CLASS tile TILEPROP INT_L_X20Y147 COLUMN 53 TILEPROP INT_L_X20Y147 DEVICE_ID 0 TILEPROP INT_L_X20Y147 FIRST_SITE_ID 256 TILEPROP INT_L_X20Y147 GRID_POINT_X 53 TILEPROP INT_L_X20Y147 GRID_POINT_Y 3 TILEPROP INT_L_X20Y147 INDEX 398 TILEPROP INT_L_X20Y147 INT_TILE_X 20 TILEPROP INT_L_X20Y147 INT_TILE_Y 2 TILEPROP INT_L_X20Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y147 IS_DCM_TILE 0 TILEPROP INT_L_X20Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y147 NAME INT_L_X20Y147 TILEPROP INT_L_X20Y147 NUM_ARCS 3737 TILEPROP INT_L_X20Y147 NUM_SITES 1 TILEPROP INT_L_X20Y147 ROW 3 TILEPROP INT_L_X20Y147 SLR_REGION_ID 0 TILEPROP INT_L_X20Y147 TILE_PATTERN_IDX 3638 TILEPROP INT_L_X20Y147 TILE_TYPE INT_L TILEPROP INT_L_X20Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y147 TILE_X -6576 TILEPROP INT_L_X20Y147 TILE_Y 234296 TILEPROP INT_L_X20Y147 TYPE INT_L TILEPROP INT_L_X20Y148 CLASS tile TILEPROP INT_L_X20Y148 COLUMN 53 TILEPROP INT_L_X20Y148 DEVICE_ID 0 TILEPROP INT_L_X20Y148 FIRST_SITE_ID 152 TILEPROP INT_L_X20Y148 GRID_POINT_X 53 TILEPROP INT_L_X20Y148 GRID_POINT_Y 2 TILEPROP INT_L_X20Y148 INDEX 283 TILEPROP INT_L_X20Y148 INT_TILE_X 20 TILEPROP INT_L_X20Y148 INT_TILE_Y 1 TILEPROP INT_L_X20Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y148 IS_DCM_TILE 0 TILEPROP INT_L_X20Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y148 NAME INT_L_X20Y148 TILEPROP INT_L_X20Y148 NUM_ARCS 3737 TILEPROP INT_L_X20Y148 NUM_SITES 1 TILEPROP INT_L_X20Y148 ROW 2 TILEPROP INT_L_X20Y148 SLR_REGION_ID 0 TILEPROP INT_L_X20Y148 TILE_PATTERN_IDX 3599 TILEPROP INT_L_X20Y148 TILE_TYPE INT_L TILEPROP INT_L_X20Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y148 TILE_X -6576 TILEPROP INT_L_X20Y148 TILE_Y 237496 TILEPROP INT_L_X20Y148 TYPE INT_L TILEPROP INT_L_X20Y149 CLASS tile TILEPROP INT_L_X20Y149 COLUMN 53 TILEPROP INT_L_X20Y149 DEVICE_ID 0 TILEPROP INT_L_X20Y149 FIRST_SITE_ID 56 TILEPROP INT_L_X20Y149 GRID_POINT_X 53 TILEPROP INT_L_X20Y149 GRID_POINT_Y 1 TILEPROP INT_L_X20Y149 INDEX 168 TILEPROP INT_L_X20Y149 INT_TILE_X 20 TILEPROP INT_L_X20Y149 INT_TILE_Y 0 TILEPROP INT_L_X20Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X20Y149 IS_DCM_TILE 0 TILEPROP INT_L_X20Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X20Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X20Y149 NAME INT_L_X20Y149 TILEPROP INT_L_X20Y149 NUM_ARCS 3737 TILEPROP INT_L_X20Y149 NUM_SITES 1 TILEPROP INT_L_X20Y149 ROW 1 TILEPROP INT_L_X20Y149 SLR_REGION_ID 0 TILEPROP INT_L_X20Y149 TILE_PATTERN_IDX 3561 TILEPROP INT_L_X20Y149 TILE_TYPE INT_L TILEPROP INT_L_X20Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X20Y149 TILE_X -6576 TILEPROP INT_L_X20Y149 TILE_Y 240696 TILEPROP INT_L_X20Y149 TYPE INT_L TILEPROP INT_L_X22Y0 CLASS tile TILEPROP INT_L_X22Y0 COLUMN 57 TILEPROP INT_L_X22Y0 DEVICE_ID 0 TILEPROP INT_L_X22Y0 FIRST_SITE_ID 15752 TILEPROP INT_L_X22Y0 GRID_POINT_X 57 TILEPROP INT_L_X22Y0 GRID_POINT_Y 155 TILEPROP INT_L_X22Y0 INDEX 17882 TILEPROP INT_L_X22Y0 INT_TILE_X 22 TILEPROP INT_L_X22Y0 INT_TILE_Y 149 TILEPROP INT_L_X22Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y0 IS_DCM_TILE 0 TILEPROP INT_L_X22Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y0 NAME INT_L_X22Y0 TILEPROP INT_L_X22Y0 NUM_ARCS 3737 TILEPROP INT_L_X22Y0 NUM_SITES 1 TILEPROP INT_L_X22Y0 ROW 155 TILEPROP INT_L_X22Y0 SLR_REGION_ID 0 TILEPROP INT_L_X22Y0 TILE_PATTERN_IDX 8749 TILEPROP INT_L_X22Y0 TILE_TYPE INT_L TILEPROP INT_L_X22Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y0 TILE_X 1728 TILEPROP INT_L_X22Y0 TILE_Y -239672 TILEPROP INT_L_X22Y0 TYPE INT_L TILEPROP INT_L_X22Y1 CLASS tile TILEPROP INT_L_X22Y1 COLUMN 57 TILEPROP INT_L_X22Y1 DEVICE_ID 0 TILEPROP INT_L_X22Y1 FIRST_SITE_ID 15641 TILEPROP INT_L_X22Y1 GRID_POINT_X 57 TILEPROP INT_L_X22Y1 GRID_POINT_Y 154 TILEPROP INT_L_X22Y1 INDEX 17767 TILEPROP INT_L_X22Y1 INT_TILE_X 22 TILEPROP INT_L_X22Y1 INT_TILE_Y 148 TILEPROP INT_L_X22Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y1 IS_DCM_TILE 0 TILEPROP INT_L_X22Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y1 NAME INT_L_X22Y1 TILEPROP INT_L_X22Y1 NUM_ARCS 3737 TILEPROP INT_L_X22Y1 NUM_SITES 1 TILEPROP INT_L_X22Y1 ROW 154 TILEPROP INT_L_X22Y1 SLR_REGION_ID 0 TILEPROP INT_L_X22Y1 TILE_PATTERN_IDX 8718 TILEPROP INT_L_X22Y1 TILE_TYPE INT_L TILEPROP INT_L_X22Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y1 TILE_X 1728 TILEPROP INT_L_X22Y1 TILE_Y -236472 TILEPROP INT_L_X22Y1 TYPE INT_L TILEPROP INT_L_X22Y2 CLASS tile TILEPROP INT_L_X22Y2 COLUMN 57 TILEPROP INT_L_X22Y2 DEVICE_ID 0 TILEPROP INT_L_X22Y2 FIRST_SITE_ID 15541 TILEPROP INT_L_X22Y2 GRID_POINT_X 57 TILEPROP INT_L_X22Y2 GRID_POINT_Y 153 TILEPROP INT_L_X22Y2 INDEX 17652 TILEPROP INT_L_X22Y2 INT_TILE_X 22 TILEPROP INT_L_X22Y2 INT_TILE_Y 147 TILEPROP INT_L_X22Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y2 IS_DCM_TILE 0 TILEPROP INT_L_X22Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y2 NAME INT_L_X22Y2 TILEPROP INT_L_X22Y2 NUM_ARCS 3737 TILEPROP INT_L_X22Y2 NUM_SITES 1 TILEPROP INT_L_X22Y2 ROW 153 TILEPROP INT_L_X22Y2 SLR_REGION_ID 0 TILEPROP INT_L_X22Y2 TILE_PATTERN_IDX 8688 TILEPROP INT_L_X22Y2 TILE_TYPE INT_L TILEPROP INT_L_X22Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y2 TILE_X 1728 TILEPROP INT_L_X22Y2 TILE_Y -233272 TILEPROP INT_L_X22Y2 TYPE INT_L TILEPROP INT_L_X22Y3 CLASS tile TILEPROP INT_L_X22Y3 COLUMN 57 TILEPROP INT_L_X22Y3 DEVICE_ID 0 TILEPROP INT_L_X22Y3 FIRST_SITE_ID 15441 TILEPROP INT_L_X22Y3 GRID_POINT_X 57 TILEPROP INT_L_X22Y3 GRID_POINT_Y 152 TILEPROP INT_L_X22Y3 INDEX 17537 TILEPROP INT_L_X22Y3 INT_TILE_X 22 TILEPROP INT_L_X22Y3 INT_TILE_Y 146 TILEPROP INT_L_X22Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y3 IS_DCM_TILE 0 TILEPROP INT_L_X22Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y3 NAME INT_L_X22Y3 TILEPROP INT_L_X22Y3 NUM_ARCS 3737 TILEPROP INT_L_X22Y3 NUM_SITES 1 TILEPROP INT_L_X22Y3 ROW 152 TILEPROP INT_L_X22Y3 SLR_REGION_ID 0 TILEPROP INT_L_X22Y3 TILE_PATTERN_IDX 8657 TILEPROP INT_L_X22Y3 TILE_TYPE INT_L TILEPROP INT_L_X22Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y3 TILE_X 1728 TILEPROP INT_L_X22Y3 TILE_Y -230072 TILEPROP INT_L_X22Y3 TYPE INT_L TILEPROP INT_L_X22Y4 CLASS tile TILEPROP INT_L_X22Y4 COLUMN 57 TILEPROP INT_L_X22Y4 DEVICE_ID 0 TILEPROP INT_L_X22Y4 FIRST_SITE_ID 15341 TILEPROP INT_L_X22Y4 GRID_POINT_X 57 TILEPROP INT_L_X22Y4 GRID_POINT_Y 151 TILEPROP INT_L_X22Y4 INDEX 17422 TILEPROP INT_L_X22Y4 INT_TILE_X 22 TILEPROP INT_L_X22Y4 INT_TILE_Y 145 TILEPROP INT_L_X22Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y4 IS_DCM_TILE 0 TILEPROP INT_L_X22Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y4 NAME INT_L_X22Y4 TILEPROP INT_L_X22Y4 NUM_ARCS 3737 TILEPROP INT_L_X22Y4 NUM_SITES 1 TILEPROP INT_L_X22Y4 ROW 151 TILEPROP INT_L_X22Y4 SLR_REGION_ID 0 TILEPROP INT_L_X22Y4 TILE_PATTERN_IDX 8627 TILEPROP INT_L_X22Y4 TILE_TYPE INT_L TILEPROP INT_L_X22Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y4 TILE_X 1728 TILEPROP INT_L_X22Y4 TILE_Y -226872 TILEPROP INT_L_X22Y4 TYPE INT_L TILEPROP INT_L_X22Y5 CLASS tile TILEPROP INT_L_X22Y5 COLUMN 57 TILEPROP INT_L_X22Y5 DEVICE_ID 0 TILEPROP INT_L_X22Y5 FIRST_SITE_ID 15232 TILEPROP INT_L_X22Y5 GRID_POINT_X 57 TILEPROP INT_L_X22Y5 GRID_POINT_Y 150 TILEPROP INT_L_X22Y5 INDEX 17307 TILEPROP INT_L_X22Y5 INT_TILE_X 22 TILEPROP INT_L_X22Y5 INT_TILE_Y 144 TILEPROP INT_L_X22Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y5 IS_DCM_TILE 0 TILEPROP INT_L_X22Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y5 NAME INT_L_X22Y5 TILEPROP INT_L_X22Y5 NUM_ARCS 3737 TILEPROP INT_L_X22Y5 NUM_SITES 1 TILEPROP INT_L_X22Y5 ROW 150 TILEPROP INT_L_X22Y5 SLR_REGION_ID 0 TILEPROP INT_L_X22Y5 TILE_PATTERN_IDX 8596 TILEPROP INT_L_X22Y5 TILE_TYPE INT_L TILEPROP INT_L_X22Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y5 TILE_X 1728 TILEPROP INT_L_X22Y5 TILE_Y -223672 TILEPROP INT_L_X22Y5 TYPE INT_L TILEPROP INT_L_X22Y6 CLASS tile TILEPROP INT_L_X22Y6 COLUMN 57 TILEPROP INT_L_X22Y6 DEVICE_ID 0 TILEPROP INT_L_X22Y6 FIRST_SITE_ID 15126 TILEPROP INT_L_X22Y6 GRID_POINT_X 57 TILEPROP INT_L_X22Y6 GRID_POINT_Y 149 TILEPROP INT_L_X22Y6 INDEX 17192 TILEPROP INT_L_X22Y6 INT_TILE_X 22 TILEPROP INT_L_X22Y6 INT_TILE_Y 143 TILEPROP INT_L_X22Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y6 IS_DCM_TILE 0 TILEPROP INT_L_X22Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y6 NAME INT_L_X22Y6 TILEPROP INT_L_X22Y6 NUM_ARCS 3737 TILEPROP INT_L_X22Y6 NUM_SITES 1 TILEPROP INT_L_X22Y6 ROW 149 TILEPROP INT_L_X22Y6 SLR_REGION_ID 0 TILEPROP INT_L_X22Y6 TILE_PATTERN_IDX 8566 TILEPROP INT_L_X22Y6 TILE_TYPE INT_L TILEPROP INT_L_X22Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y6 TILE_X 1728 TILEPROP INT_L_X22Y6 TILE_Y -220472 TILEPROP INT_L_X22Y6 TYPE INT_L TILEPROP INT_L_X22Y7 CLASS tile TILEPROP INT_L_X22Y7 COLUMN 57 TILEPROP INT_L_X22Y7 DEVICE_ID 0 TILEPROP INT_L_X22Y7 FIRST_SITE_ID 15024 TILEPROP INT_L_X22Y7 GRID_POINT_X 57 TILEPROP INT_L_X22Y7 GRID_POINT_Y 148 TILEPROP INT_L_X22Y7 INDEX 17077 TILEPROP INT_L_X22Y7 INT_TILE_X 22 TILEPROP INT_L_X22Y7 INT_TILE_Y 142 TILEPROP INT_L_X22Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y7 IS_DCM_TILE 0 TILEPROP INT_L_X22Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y7 NAME INT_L_X22Y7 TILEPROP INT_L_X22Y7 NUM_ARCS 3737 TILEPROP INT_L_X22Y7 NUM_SITES 1 TILEPROP INT_L_X22Y7 ROW 148 TILEPROP INT_L_X22Y7 SLR_REGION_ID 0 TILEPROP INT_L_X22Y7 TILE_PATTERN_IDX 8535 TILEPROP INT_L_X22Y7 TILE_TYPE INT_L TILEPROP INT_L_X22Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y7 TILE_X 1728 TILEPROP INT_L_X22Y7 TILE_Y -217272 TILEPROP INT_L_X22Y7 TYPE INT_L TILEPROP INT_L_X22Y8 CLASS tile TILEPROP INT_L_X22Y8 COLUMN 57 TILEPROP INT_L_X22Y8 DEVICE_ID 0 TILEPROP INT_L_X22Y8 FIRST_SITE_ID 14921 TILEPROP INT_L_X22Y8 GRID_POINT_X 57 TILEPROP INT_L_X22Y8 GRID_POINT_Y 147 TILEPROP INT_L_X22Y8 INDEX 16962 TILEPROP INT_L_X22Y8 INT_TILE_X 22 TILEPROP INT_L_X22Y8 INT_TILE_Y 141 TILEPROP INT_L_X22Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y8 IS_DCM_TILE 0 TILEPROP INT_L_X22Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y8 NAME INT_L_X22Y8 TILEPROP INT_L_X22Y8 NUM_ARCS 3737 TILEPROP INT_L_X22Y8 NUM_SITES 1 TILEPROP INT_L_X22Y8 ROW 147 TILEPROP INT_L_X22Y8 SLR_REGION_ID 0 TILEPROP INT_L_X22Y8 TILE_PATTERN_IDX 8505 TILEPROP INT_L_X22Y8 TILE_TYPE INT_L TILEPROP INT_L_X22Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y8 TILE_X 1728 TILEPROP INT_L_X22Y8 TILE_Y -214072 TILEPROP INT_L_X22Y8 TYPE INT_L TILEPROP INT_L_X22Y9 CLASS tile TILEPROP INT_L_X22Y9 COLUMN 57 TILEPROP INT_L_X22Y9 DEVICE_ID 0 TILEPROP INT_L_X22Y9 FIRST_SITE_ID 14820 TILEPROP INT_L_X22Y9 GRID_POINT_X 57 TILEPROP INT_L_X22Y9 GRID_POINT_Y 146 TILEPROP INT_L_X22Y9 INDEX 16847 TILEPROP INT_L_X22Y9 INT_TILE_X 22 TILEPROP INT_L_X22Y9 INT_TILE_Y 140 TILEPROP INT_L_X22Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y9 IS_DCM_TILE 0 TILEPROP INT_L_X22Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y9 NAME INT_L_X22Y9 TILEPROP INT_L_X22Y9 NUM_ARCS 3737 TILEPROP INT_L_X22Y9 NUM_SITES 1 TILEPROP INT_L_X22Y9 ROW 146 TILEPROP INT_L_X22Y9 SLR_REGION_ID 0 TILEPROP INT_L_X22Y9 TILE_PATTERN_IDX 8474 TILEPROP INT_L_X22Y9 TILE_TYPE INT_L TILEPROP INT_L_X22Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y9 TILE_X 1728 TILEPROP INT_L_X22Y9 TILE_Y -210872 TILEPROP INT_L_X22Y9 TYPE INT_L TILEPROP INT_L_X22Y10 CLASS tile TILEPROP INT_L_X22Y10 COLUMN 57 TILEPROP INT_L_X22Y10 DEVICE_ID 0 TILEPROP INT_L_X22Y10 FIRST_SITE_ID 14711 TILEPROP INT_L_X22Y10 GRID_POINT_X 57 TILEPROP INT_L_X22Y10 GRID_POINT_Y 145 TILEPROP INT_L_X22Y10 INDEX 16732 TILEPROP INT_L_X22Y10 INT_TILE_X 22 TILEPROP INT_L_X22Y10 INT_TILE_Y 139 TILEPROP INT_L_X22Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y10 IS_DCM_TILE 0 TILEPROP INT_L_X22Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y10 NAME INT_L_X22Y10 TILEPROP INT_L_X22Y10 NUM_ARCS 3737 TILEPROP INT_L_X22Y10 NUM_SITES 1 TILEPROP INT_L_X22Y10 ROW 145 TILEPROP INT_L_X22Y10 SLR_REGION_ID 0 TILEPROP INT_L_X22Y10 TILE_PATTERN_IDX 8444 TILEPROP INT_L_X22Y10 TILE_TYPE INT_L TILEPROP INT_L_X22Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y10 TILE_X 1728 TILEPROP INT_L_X22Y10 TILE_Y -207672 TILEPROP INT_L_X22Y10 TYPE INT_L TILEPROP INT_L_X22Y11 CLASS tile TILEPROP INT_L_X22Y11 COLUMN 57 TILEPROP INT_L_X22Y11 DEVICE_ID 0 TILEPROP INT_L_X22Y11 FIRST_SITE_ID 14605 TILEPROP INT_L_X22Y11 GRID_POINT_X 57 TILEPROP INT_L_X22Y11 GRID_POINT_Y 144 TILEPROP INT_L_X22Y11 INDEX 16617 TILEPROP INT_L_X22Y11 INT_TILE_X 22 TILEPROP INT_L_X22Y11 INT_TILE_Y 138 TILEPROP INT_L_X22Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y11 IS_DCM_TILE 0 TILEPROP INT_L_X22Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y11 NAME INT_L_X22Y11 TILEPROP INT_L_X22Y11 NUM_ARCS 3737 TILEPROP INT_L_X22Y11 NUM_SITES 1 TILEPROP INT_L_X22Y11 ROW 144 TILEPROP INT_L_X22Y11 SLR_REGION_ID 0 TILEPROP INT_L_X22Y11 TILE_PATTERN_IDX 8413 TILEPROP INT_L_X22Y11 TILE_TYPE INT_L TILEPROP INT_L_X22Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y11 TILE_X 1728 TILEPROP INT_L_X22Y11 TILE_Y -204472 TILEPROP INT_L_X22Y11 TYPE INT_L TILEPROP INT_L_X22Y12 CLASS tile TILEPROP INT_L_X22Y12 COLUMN 57 TILEPROP INT_L_X22Y12 DEVICE_ID 0 TILEPROP INT_L_X22Y12 FIRST_SITE_ID 14473 TILEPROP INT_L_X22Y12 GRID_POINT_X 57 TILEPROP INT_L_X22Y12 GRID_POINT_Y 143 TILEPROP INT_L_X22Y12 INDEX 16502 TILEPROP INT_L_X22Y12 INT_TILE_X 22 TILEPROP INT_L_X22Y12 INT_TILE_Y 137 TILEPROP INT_L_X22Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y12 IS_DCM_TILE 0 TILEPROP INT_L_X22Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y12 NAME INT_L_X22Y12 TILEPROP INT_L_X22Y12 NUM_ARCS 3737 TILEPROP INT_L_X22Y12 NUM_SITES 1 TILEPROP INT_L_X22Y12 ROW 143 TILEPROP INT_L_X22Y12 SLR_REGION_ID 0 TILEPROP INT_L_X22Y12 TILE_PATTERN_IDX 8383 TILEPROP INT_L_X22Y12 TILE_TYPE INT_L TILEPROP INT_L_X22Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y12 TILE_X 1728 TILEPROP INT_L_X22Y12 TILE_Y -201272 TILEPROP INT_L_X22Y12 TYPE INT_L TILEPROP INT_L_X22Y13 CLASS tile TILEPROP INT_L_X22Y13 COLUMN 57 TILEPROP INT_L_X22Y13 DEVICE_ID 0 TILEPROP INT_L_X22Y13 FIRST_SITE_ID 14373 TILEPROP INT_L_X22Y13 GRID_POINT_X 57 TILEPROP INT_L_X22Y13 GRID_POINT_Y 142 TILEPROP INT_L_X22Y13 INDEX 16387 TILEPROP INT_L_X22Y13 INT_TILE_X 22 TILEPROP INT_L_X22Y13 INT_TILE_Y 136 TILEPROP INT_L_X22Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y13 IS_DCM_TILE 0 TILEPROP INT_L_X22Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y13 NAME INT_L_X22Y13 TILEPROP INT_L_X22Y13 NUM_ARCS 3737 TILEPROP INT_L_X22Y13 NUM_SITES 1 TILEPROP INT_L_X22Y13 ROW 142 TILEPROP INT_L_X22Y13 SLR_REGION_ID 0 TILEPROP INT_L_X22Y13 TILE_PATTERN_IDX 8352 TILEPROP INT_L_X22Y13 TILE_TYPE INT_L TILEPROP INT_L_X22Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y13 TILE_X 1728 TILEPROP INT_L_X22Y13 TILE_Y -198072 TILEPROP INT_L_X22Y13 TYPE INT_L TILEPROP INT_L_X22Y14 CLASS tile TILEPROP INT_L_X22Y14 COLUMN 57 TILEPROP INT_L_X22Y14 DEVICE_ID 0 TILEPROP INT_L_X22Y14 FIRST_SITE_ID 14273 TILEPROP INT_L_X22Y14 GRID_POINT_X 57 TILEPROP INT_L_X22Y14 GRID_POINT_Y 141 TILEPROP INT_L_X22Y14 INDEX 16272 TILEPROP INT_L_X22Y14 INT_TILE_X 22 TILEPROP INT_L_X22Y14 INT_TILE_Y 135 TILEPROP INT_L_X22Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y14 IS_DCM_TILE 0 TILEPROP INT_L_X22Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y14 NAME INT_L_X22Y14 TILEPROP INT_L_X22Y14 NUM_ARCS 3737 TILEPROP INT_L_X22Y14 NUM_SITES 1 TILEPROP INT_L_X22Y14 ROW 141 TILEPROP INT_L_X22Y14 SLR_REGION_ID 0 TILEPROP INT_L_X22Y14 TILE_PATTERN_IDX 8322 TILEPROP INT_L_X22Y14 TILE_TYPE INT_L TILEPROP INT_L_X22Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y14 TILE_X 1728 TILEPROP INT_L_X22Y14 TILE_Y -194872 TILEPROP INT_L_X22Y14 TYPE INT_L TILEPROP INT_L_X22Y15 CLASS tile TILEPROP INT_L_X22Y15 COLUMN 57 TILEPROP INT_L_X22Y15 DEVICE_ID 0 TILEPROP INT_L_X22Y15 FIRST_SITE_ID 14164 TILEPROP INT_L_X22Y15 GRID_POINT_X 57 TILEPROP INT_L_X22Y15 GRID_POINT_Y 140 TILEPROP INT_L_X22Y15 INDEX 16157 TILEPROP INT_L_X22Y15 INT_TILE_X 22 TILEPROP INT_L_X22Y15 INT_TILE_Y 134 TILEPROP INT_L_X22Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y15 IS_DCM_TILE 0 TILEPROP INT_L_X22Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y15 NAME INT_L_X22Y15 TILEPROP INT_L_X22Y15 NUM_ARCS 3737 TILEPROP INT_L_X22Y15 NUM_SITES 1 TILEPROP INT_L_X22Y15 ROW 140 TILEPROP INT_L_X22Y15 SLR_REGION_ID 0 TILEPROP INT_L_X22Y15 TILE_PATTERN_IDX 8291 TILEPROP INT_L_X22Y15 TILE_TYPE INT_L TILEPROP INT_L_X22Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y15 TILE_X 1728 TILEPROP INT_L_X22Y15 TILE_Y -191672 TILEPROP INT_L_X22Y15 TYPE INT_L TILEPROP INT_L_X22Y16 CLASS tile TILEPROP INT_L_X22Y16 COLUMN 57 TILEPROP INT_L_X22Y16 DEVICE_ID 0 TILEPROP INT_L_X22Y16 FIRST_SITE_ID 14058 TILEPROP INT_L_X22Y16 GRID_POINT_X 57 TILEPROP INT_L_X22Y16 GRID_POINT_Y 139 TILEPROP INT_L_X22Y16 INDEX 16042 TILEPROP INT_L_X22Y16 INT_TILE_X 22 TILEPROP INT_L_X22Y16 INT_TILE_Y 133 TILEPROP INT_L_X22Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y16 IS_DCM_TILE 0 TILEPROP INT_L_X22Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y16 NAME INT_L_X22Y16 TILEPROP INT_L_X22Y16 NUM_ARCS 3737 TILEPROP INT_L_X22Y16 NUM_SITES 1 TILEPROP INT_L_X22Y16 ROW 139 TILEPROP INT_L_X22Y16 SLR_REGION_ID 0 TILEPROP INT_L_X22Y16 TILE_PATTERN_IDX 8261 TILEPROP INT_L_X22Y16 TILE_TYPE INT_L TILEPROP INT_L_X22Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y16 TILE_X 1728 TILEPROP INT_L_X22Y16 TILE_Y -188472 TILEPROP INT_L_X22Y16 TYPE INT_L TILEPROP INT_L_X22Y17 CLASS tile TILEPROP INT_L_X22Y17 COLUMN 57 TILEPROP INT_L_X22Y17 DEVICE_ID 0 TILEPROP INT_L_X22Y17 FIRST_SITE_ID 13953 TILEPROP INT_L_X22Y17 GRID_POINT_X 57 TILEPROP INT_L_X22Y17 GRID_POINT_Y 138 TILEPROP INT_L_X22Y17 INDEX 15927 TILEPROP INT_L_X22Y17 INT_TILE_X 22 TILEPROP INT_L_X22Y17 INT_TILE_Y 132 TILEPROP INT_L_X22Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y17 IS_DCM_TILE 0 TILEPROP INT_L_X22Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y17 NAME INT_L_X22Y17 TILEPROP INT_L_X22Y17 NUM_ARCS 3737 TILEPROP INT_L_X22Y17 NUM_SITES 1 TILEPROP INT_L_X22Y17 ROW 138 TILEPROP INT_L_X22Y17 SLR_REGION_ID 0 TILEPROP INT_L_X22Y17 TILE_PATTERN_IDX 8229 TILEPROP INT_L_X22Y17 TILE_TYPE INT_L TILEPROP INT_L_X22Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y17 TILE_X 1728 TILEPROP INT_L_X22Y17 TILE_Y -185272 TILEPROP INT_L_X22Y17 TYPE INT_L TILEPROP INT_L_X22Y18 CLASS tile TILEPROP INT_L_X22Y18 COLUMN 57 TILEPROP INT_L_X22Y18 DEVICE_ID 0 TILEPROP INT_L_X22Y18 FIRST_SITE_ID 13849 TILEPROP INT_L_X22Y18 GRID_POINT_X 57 TILEPROP INT_L_X22Y18 GRID_POINT_Y 137 TILEPROP INT_L_X22Y18 INDEX 15812 TILEPROP INT_L_X22Y18 INT_TILE_X 22 TILEPROP INT_L_X22Y18 INT_TILE_Y 131 TILEPROP INT_L_X22Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y18 IS_DCM_TILE 0 TILEPROP INT_L_X22Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y18 NAME INT_L_X22Y18 TILEPROP INT_L_X22Y18 NUM_ARCS 3737 TILEPROP INT_L_X22Y18 NUM_SITES 1 TILEPROP INT_L_X22Y18 ROW 137 TILEPROP INT_L_X22Y18 SLR_REGION_ID 0 TILEPROP INT_L_X22Y18 TILE_PATTERN_IDX 8206 TILEPROP INT_L_X22Y18 TILE_TYPE INT_L TILEPROP INT_L_X22Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y18 TILE_X 1728 TILEPROP INT_L_X22Y18 TILE_Y -182072 TILEPROP INT_L_X22Y18 TYPE INT_L TILEPROP INT_L_X22Y19 CLASS tile TILEPROP INT_L_X22Y19 COLUMN 57 TILEPROP INT_L_X22Y19 DEVICE_ID 0 TILEPROP INT_L_X22Y19 FIRST_SITE_ID 13747 TILEPROP INT_L_X22Y19 GRID_POINT_X 57 TILEPROP INT_L_X22Y19 GRID_POINT_Y 136 TILEPROP INT_L_X22Y19 INDEX 15697 TILEPROP INT_L_X22Y19 INT_TILE_X 22 TILEPROP INT_L_X22Y19 INT_TILE_Y 130 TILEPROP INT_L_X22Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y19 IS_DCM_TILE 0 TILEPROP INT_L_X22Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y19 NAME INT_L_X22Y19 TILEPROP INT_L_X22Y19 NUM_ARCS 3737 TILEPROP INT_L_X22Y19 NUM_SITES 1 TILEPROP INT_L_X22Y19 ROW 136 TILEPROP INT_L_X22Y19 SLR_REGION_ID 0 TILEPROP INT_L_X22Y19 TILE_PATTERN_IDX 8183 TILEPROP INT_L_X22Y19 TILE_TYPE INT_L TILEPROP INT_L_X22Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y19 TILE_X 1728 TILEPROP INT_L_X22Y19 TILE_Y -178872 TILEPROP INT_L_X22Y19 TYPE INT_L TILEPROP INT_L_X22Y20 CLASS tile TILEPROP INT_L_X22Y20 COLUMN 57 TILEPROP INT_L_X22Y20 DEVICE_ID 0 TILEPROP INT_L_X22Y20 FIRST_SITE_ID 13636 TILEPROP INT_L_X22Y20 GRID_POINT_X 57 TILEPROP INT_L_X22Y20 GRID_POINT_Y 135 TILEPROP INT_L_X22Y20 INDEX 15582 TILEPROP INT_L_X22Y20 INT_TILE_X 22 TILEPROP INT_L_X22Y20 INT_TILE_Y 129 TILEPROP INT_L_X22Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y20 IS_DCM_TILE 0 TILEPROP INT_L_X22Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y20 NAME INT_L_X22Y20 TILEPROP INT_L_X22Y20 NUM_ARCS 3737 TILEPROP INT_L_X22Y20 NUM_SITES 1 TILEPROP INT_L_X22Y20 ROW 135 TILEPROP INT_L_X22Y20 SLR_REGION_ID 0 TILEPROP INT_L_X22Y20 TILE_PATTERN_IDX 8161 TILEPROP INT_L_X22Y20 TILE_TYPE INT_L TILEPROP INT_L_X22Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y20 TILE_X 1728 TILEPROP INT_L_X22Y20 TILE_Y -175672 TILEPROP INT_L_X22Y20 TYPE INT_L TILEPROP INT_L_X22Y21 CLASS tile TILEPROP INT_L_X22Y21 COLUMN 57 TILEPROP INT_L_X22Y21 DEVICE_ID 0 TILEPROP INT_L_X22Y21 FIRST_SITE_ID 13530 TILEPROP INT_L_X22Y21 GRID_POINT_X 57 TILEPROP INT_L_X22Y21 GRID_POINT_Y 134 TILEPROP INT_L_X22Y21 INDEX 15467 TILEPROP INT_L_X22Y21 INT_TILE_X 22 TILEPROP INT_L_X22Y21 INT_TILE_Y 128 TILEPROP INT_L_X22Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y21 IS_DCM_TILE 0 TILEPROP INT_L_X22Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y21 NAME INT_L_X22Y21 TILEPROP INT_L_X22Y21 NUM_ARCS 3737 TILEPROP INT_L_X22Y21 NUM_SITES 1 TILEPROP INT_L_X22Y21 ROW 134 TILEPROP INT_L_X22Y21 SLR_REGION_ID 0 TILEPROP INT_L_X22Y21 TILE_PATTERN_IDX 8132 TILEPROP INT_L_X22Y21 TILE_TYPE INT_L TILEPROP INT_L_X22Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y21 TILE_X 1728 TILEPROP INT_L_X22Y21 TILE_Y -172472 TILEPROP INT_L_X22Y21 TYPE INT_L TILEPROP INT_L_X22Y22 CLASS tile TILEPROP INT_L_X22Y22 COLUMN 57 TILEPROP INT_L_X22Y22 DEVICE_ID 0 TILEPROP INT_L_X22Y22 FIRST_SITE_ID 13430 TILEPROP INT_L_X22Y22 GRID_POINT_X 57 TILEPROP INT_L_X22Y22 GRID_POINT_Y 133 TILEPROP INT_L_X22Y22 INDEX 15352 TILEPROP INT_L_X22Y22 INT_TILE_X 22 TILEPROP INT_L_X22Y22 INT_TILE_Y 127 TILEPROP INT_L_X22Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y22 IS_DCM_TILE 0 TILEPROP INT_L_X22Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y22 NAME INT_L_X22Y22 TILEPROP INT_L_X22Y22 NUM_ARCS 3737 TILEPROP INT_L_X22Y22 NUM_SITES 1 TILEPROP INT_L_X22Y22 ROW 133 TILEPROP INT_L_X22Y22 SLR_REGION_ID 0 TILEPROP INT_L_X22Y22 TILE_PATTERN_IDX 8104 TILEPROP INT_L_X22Y22 TILE_TYPE INT_L TILEPROP INT_L_X22Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y22 TILE_X 1728 TILEPROP INT_L_X22Y22 TILE_Y -169272 TILEPROP INT_L_X22Y22 TYPE INT_L TILEPROP INT_L_X22Y23 CLASS tile TILEPROP INT_L_X22Y23 COLUMN 57 TILEPROP INT_L_X22Y23 DEVICE_ID 0 TILEPROP INT_L_X22Y23 FIRST_SITE_ID 13330 TILEPROP INT_L_X22Y23 GRID_POINT_X 57 TILEPROP INT_L_X22Y23 GRID_POINT_Y 132 TILEPROP INT_L_X22Y23 INDEX 15237 TILEPROP INT_L_X22Y23 INT_TILE_X 22 TILEPROP INT_L_X22Y23 INT_TILE_Y 126 TILEPROP INT_L_X22Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y23 IS_DCM_TILE 0 TILEPROP INT_L_X22Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y23 NAME INT_L_X22Y23 TILEPROP INT_L_X22Y23 NUM_ARCS 3737 TILEPROP INT_L_X22Y23 NUM_SITES 1 TILEPROP INT_L_X22Y23 ROW 132 TILEPROP INT_L_X22Y23 SLR_REGION_ID 0 TILEPROP INT_L_X22Y23 TILE_PATTERN_IDX 8075 TILEPROP INT_L_X22Y23 TILE_TYPE INT_L TILEPROP INT_L_X22Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y23 TILE_X 1728 TILEPROP INT_L_X22Y23 TILE_Y -166072 TILEPROP INT_L_X22Y23 TYPE INT_L TILEPROP INT_L_X22Y24 CLASS tile TILEPROP INT_L_X22Y24 COLUMN 57 TILEPROP INT_L_X22Y24 DEVICE_ID 0 TILEPROP INT_L_X22Y24 FIRST_SITE_ID 13230 TILEPROP INT_L_X22Y24 GRID_POINT_X 57 TILEPROP INT_L_X22Y24 GRID_POINT_Y 131 TILEPROP INT_L_X22Y24 INDEX 15122 TILEPROP INT_L_X22Y24 INT_TILE_X 22 TILEPROP INT_L_X22Y24 INT_TILE_Y 125 TILEPROP INT_L_X22Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y24 IS_DCM_TILE 0 TILEPROP INT_L_X22Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y24 NAME INT_L_X22Y24 TILEPROP INT_L_X22Y24 NUM_ARCS 3737 TILEPROP INT_L_X22Y24 NUM_SITES 1 TILEPROP INT_L_X22Y24 ROW 131 TILEPROP INT_L_X22Y24 SLR_REGION_ID 0 TILEPROP INT_L_X22Y24 TILE_PATTERN_IDX 8047 TILEPROP INT_L_X22Y24 TILE_TYPE INT_L TILEPROP INT_L_X22Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y24 TILE_X 1728 TILEPROP INT_L_X22Y24 TILE_Y -162872 TILEPROP INT_L_X22Y24 TYPE INT_L TILEPROP INT_L_X22Y25 CLASS tile TILEPROP INT_L_X22Y25 COLUMN 57 TILEPROP INT_L_X22Y25 DEVICE_ID 0 TILEPROP INT_L_X22Y25 FIRST_SITE_ID 13038 TILEPROP INT_L_X22Y25 GRID_POINT_X 57 TILEPROP INT_L_X22Y25 GRID_POINT_Y 129 TILEPROP INT_L_X22Y25 INDEX 14892 TILEPROP INT_L_X22Y25 INT_TILE_X 22 TILEPROP INT_L_X22Y25 INT_TILE_Y 124 TILEPROP INT_L_X22Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y25 IS_DCM_TILE 0 TILEPROP INT_L_X22Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y25 NAME INT_L_X22Y25 TILEPROP INT_L_X22Y25 NUM_ARCS 3737 TILEPROP INT_L_X22Y25 NUM_SITES 1 TILEPROP INT_L_X22Y25 ROW 129 TILEPROP INT_L_X22Y25 SLR_REGION_ID 0 TILEPROP INT_L_X22Y25 TILE_PATTERN_IDX 7975 TILEPROP INT_L_X22Y25 TILE_TYPE INT_L TILEPROP INT_L_X22Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y25 TILE_X 1728 TILEPROP INT_L_X22Y25 TILE_Y -158648 TILEPROP INT_L_X22Y25 TYPE INT_L TILEPROP INT_L_X22Y26 CLASS tile TILEPROP INT_L_X22Y26 COLUMN 57 TILEPROP INT_L_X22Y26 DEVICE_ID 0 TILEPROP INT_L_X22Y26 FIRST_SITE_ID 12932 TILEPROP INT_L_X22Y26 GRID_POINT_X 57 TILEPROP INT_L_X22Y26 GRID_POINT_Y 128 TILEPROP INT_L_X22Y26 INDEX 14777 TILEPROP INT_L_X22Y26 INT_TILE_X 22 TILEPROP INT_L_X22Y26 INT_TILE_Y 123 TILEPROP INT_L_X22Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y26 IS_DCM_TILE 0 TILEPROP INT_L_X22Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y26 NAME INT_L_X22Y26 TILEPROP INT_L_X22Y26 NUM_ARCS 3737 TILEPROP INT_L_X22Y26 NUM_SITES 1 TILEPROP INT_L_X22Y26 ROW 128 TILEPROP INT_L_X22Y26 SLR_REGION_ID 0 TILEPROP INT_L_X22Y26 TILE_PATTERN_IDX 7947 TILEPROP INT_L_X22Y26 TILE_TYPE INT_L TILEPROP INT_L_X22Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y26 TILE_X 1728 TILEPROP INT_L_X22Y26 TILE_Y -155448 TILEPROP INT_L_X22Y26 TYPE INT_L TILEPROP INT_L_X22Y27 CLASS tile TILEPROP INT_L_X22Y27 COLUMN 57 TILEPROP INT_L_X22Y27 DEVICE_ID 0 TILEPROP INT_L_X22Y27 FIRST_SITE_ID 12832 TILEPROP INT_L_X22Y27 GRID_POINT_X 57 TILEPROP INT_L_X22Y27 GRID_POINT_Y 127 TILEPROP INT_L_X22Y27 INDEX 14662 TILEPROP INT_L_X22Y27 INT_TILE_X 22 TILEPROP INT_L_X22Y27 INT_TILE_Y 122 TILEPROP INT_L_X22Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y27 IS_DCM_TILE 0 TILEPROP INT_L_X22Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y27 NAME INT_L_X22Y27 TILEPROP INT_L_X22Y27 NUM_ARCS 3737 TILEPROP INT_L_X22Y27 NUM_SITES 1 TILEPROP INT_L_X22Y27 ROW 127 TILEPROP INT_L_X22Y27 SLR_REGION_ID 0 TILEPROP INT_L_X22Y27 TILE_PATTERN_IDX 7918 TILEPROP INT_L_X22Y27 TILE_TYPE INT_L TILEPROP INT_L_X22Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y27 TILE_X 1728 TILEPROP INT_L_X22Y27 TILE_Y -152248 TILEPROP INT_L_X22Y27 TYPE INT_L TILEPROP INT_L_X22Y28 CLASS tile TILEPROP INT_L_X22Y28 COLUMN 57 TILEPROP INT_L_X22Y28 DEVICE_ID 0 TILEPROP INT_L_X22Y28 FIRST_SITE_ID 12732 TILEPROP INT_L_X22Y28 GRID_POINT_X 57 TILEPROP INT_L_X22Y28 GRID_POINT_Y 126 TILEPROP INT_L_X22Y28 INDEX 14547 TILEPROP INT_L_X22Y28 INT_TILE_X 22 TILEPROP INT_L_X22Y28 INT_TILE_Y 121 TILEPROP INT_L_X22Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y28 IS_DCM_TILE 0 TILEPROP INT_L_X22Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y28 NAME INT_L_X22Y28 TILEPROP INT_L_X22Y28 NUM_ARCS 3737 TILEPROP INT_L_X22Y28 NUM_SITES 1 TILEPROP INT_L_X22Y28 ROW 126 TILEPROP INT_L_X22Y28 SLR_REGION_ID 0 TILEPROP INT_L_X22Y28 TILE_PATTERN_IDX 7890 TILEPROP INT_L_X22Y28 TILE_TYPE INT_L TILEPROP INT_L_X22Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y28 TILE_X 1728 TILEPROP INT_L_X22Y28 TILE_Y -149048 TILEPROP INT_L_X22Y28 TYPE INT_L TILEPROP INT_L_X22Y29 CLASS tile TILEPROP INT_L_X22Y29 COLUMN 57 TILEPROP INT_L_X22Y29 DEVICE_ID 0 TILEPROP INT_L_X22Y29 FIRST_SITE_ID 12626 TILEPROP INT_L_X22Y29 GRID_POINT_X 57 TILEPROP INT_L_X22Y29 GRID_POINT_Y 125 TILEPROP INT_L_X22Y29 INDEX 14432 TILEPROP INT_L_X22Y29 INT_TILE_X 22 TILEPROP INT_L_X22Y29 INT_TILE_Y 120 TILEPROP INT_L_X22Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y29 IS_DCM_TILE 0 TILEPROP INT_L_X22Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y29 NAME INT_L_X22Y29 TILEPROP INT_L_X22Y29 NUM_ARCS 3737 TILEPROP INT_L_X22Y29 NUM_SITES 1 TILEPROP INT_L_X22Y29 ROW 125 TILEPROP INT_L_X22Y29 SLR_REGION_ID 0 TILEPROP INT_L_X22Y29 TILE_PATTERN_IDX 7866 TILEPROP INT_L_X22Y29 TILE_TYPE INT_L TILEPROP INT_L_X22Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y29 TILE_X 1728 TILEPROP INT_L_X22Y29 TILE_Y -145848 TILEPROP INT_L_X22Y29 TYPE INT_L TILEPROP INT_L_X22Y30 CLASS tile TILEPROP INT_L_X22Y30 COLUMN 57 TILEPROP INT_L_X22Y30 DEVICE_ID 0 TILEPROP INT_L_X22Y30 FIRST_SITE_ID 12511 TILEPROP INT_L_X22Y30 GRID_POINT_X 57 TILEPROP INT_L_X22Y30 GRID_POINT_Y 124 TILEPROP INT_L_X22Y30 INDEX 14317 TILEPROP INT_L_X22Y30 INT_TILE_X 22 TILEPROP INT_L_X22Y30 INT_TILE_Y 119 TILEPROP INT_L_X22Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y30 IS_DCM_TILE 0 TILEPROP INT_L_X22Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y30 NAME INT_L_X22Y30 TILEPROP INT_L_X22Y30 NUM_ARCS 3737 TILEPROP INT_L_X22Y30 NUM_SITES 1 TILEPROP INT_L_X22Y30 ROW 124 TILEPROP INT_L_X22Y30 SLR_REGION_ID 0 TILEPROP INT_L_X22Y30 TILE_PATTERN_IDX 7843 TILEPROP INT_L_X22Y30 TILE_TYPE INT_L TILEPROP INT_L_X22Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y30 TILE_X 1728 TILEPROP INT_L_X22Y30 TILE_Y -142648 TILEPROP INT_L_X22Y30 TYPE INT_L TILEPROP INT_L_X22Y31 CLASS tile TILEPROP INT_L_X22Y31 COLUMN 57 TILEPROP INT_L_X22Y31 DEVICE_ID 0 TILEPROP INT_L_X22Y31 FIRST_SITE_ID 12390 TILEPROP INT_L_X22Y31 GRID_POINT_X 57 TILEPROP INT_L_X22Y31 GRID_POINT_Y 123 TILEPROP INT_L_X22Y31 INDEX 14202 TILEPROP INT_L_X22Y31 INT_TILE_X 22 TILEPROP INT_L_X22Y31 INT_TILE_Y 118 TILEPROP INT_L_X22Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y31 IS_DCM_TILE 0 TILEPROP INT_L_X22Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y31 NAME INT_L_X22Y31 TILEPROP INT_L_X22Y31 NUM_ARCS 3737 TILEPROP INT_L_X22Y31 NUM_SITES 1 TILEPROP INT_L_X22Y31 ROW 123 TILEPROP INT_L_X22Y31 SLR_REGION_ID 0 TILEPROP INT_L_X22Y31 TILE_PATTERN_IDX 7820 TILEPROP INT_L_X22Y31 TILE_TYPE INT_L TILEPROP INT_L_X22Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y31 TILE_X 1728 TILEPROP INT_L_X22Y31 TILE_Y -139448 TILEPROP INT_L_X22Y31 TYPE INT_L TILEPROP INT_L_X22Y32 CLASS tile TILEPROP INT_L_X22Y32 COLUMN 57 TILEPROP INT_L_X22Y32 DEVICE_ID 0 TILEPROP INT_L_X22Y32 FIRST_SITE_ID 12287 TILEPROP INT_L_X22Y32 GRID_POINT_X 57 TILEPROP INT_L_X22Y32 GRID_POINT_Y 122 TILEPROP INT_L_X22Y32 INDEX 14087 TILEPROP INT_L_X22Y32 INT_TILE_X 22 TILEPROP INT_L_X22Y32 INT_TILE_Y 117 TILEPROP INT_L_X22Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y32 IS_DCM_TILE 0 TILEPROP INT_L_X22Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y32 NAME INT_L_X22Y32 TILEPROP INT_L_X22Y32 NUM_ARCS 3737 TILEPROP INT_L_X22Y32 NUM_SITES 1 TILEPROP INT_L_X22Y32 ROW 122 TILEPROP INT_L_X22Y32 SLR_REGION_ID 0 TILEPROP INT_L_X22Y32 TILE_PATTERN_IDX 7786 TILEPROP INT_L_X22Y32 TILE_TYPE INT_L TILEPROP INT_L_X22Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y32 TILE_X 1728 TILEPROP INT_L_X22Y32 TILE_Y -136248 TILEPROP INT_L_X22Y32 TYPE INT_L TILEPROP INT_L_X22Y33 CLASS tile TILEPROP INT_L_X22Y33 COLUMN 57 TILEPROP INT_L_X22Y33 DEVICE_ID 0 TILEPROP INT_L_X22Y33 FIRST_SITE_ID 12187 TILEPROP INT_L_X22Y33 GRID_POINT_X 57 TILEPROP INT_L_X22Y33 GRID_POINT_Y 121 TILEPROP INT_L_X22Y33 INDEX 13972 TILEPROP INT_L_X22Y33 INT_TILE_X 22 TILEPROP INT_L_X22Y33 INT_TILE_Y 116 TILEPROP INT_L_X22Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y33 IS_DCM_TILE 0 TILEPROP INT_L_X22Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y33 NAME INT_L_X22Y33 TILEPROP INT_L_X22Y33 NUM_ARCS 3737 TILEPROP INT_L_X22Y33 NUM_SITES 1 TILEPROP INT_L_X22Y33 ROW 121 TILEPROP INT_L_X22Y33 SLR_REGION_ID 0 TILEPROP INT_L_X22Y33 TILE_PATTERN_IDX 7757 TILEPROP INT_L_X22Y33 TILE_TYPE INT_L TILEPROP INT_L_X22Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y33 TILE_X 1728 TILEPROP INT_L_X22Y33 TILE_Y -133048 TILEPROP INT_L_X22Y33 TYPE INT_L TILEPROP INT_L_X22Y34 CLASS tile TILEPROP INT_L_X22Y34 COLUMN 57 TILEPROP INT_L_X22Y34 DEVICE_ID 0 TILEPROP INT_L_X22Y34 FIRST_SITE_ID 12087 TILEPROP INT_L_X22Y34 GRID_POINT_X 57 TILEPROP INT_L_X22Y34 GRID_POINT_Y 120 TILEPROP INT_L_X22Y34 INDEX 13857 TILEPROP INT_L_X22Y34 INT_TILE_X 22 TILEPROP INT_L_X22Y34 INT_TILE_Y 115 TILEPROP INT_L_X22Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y34 IS_DCM_TILE 0 TILEPROP INT_L_X22Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y34 NAME INT_L_X22Y34 TILEPROP INT_L_X22Y34 NUM_ARCS 3737 TILEPROP INT_L_X22Y34 NUM_SITES 1 TILEPROP INT_L_X22Y34 ROW 120 TILEPROP INT_L_X22Y34 SLR_REGION_ID 0 TILEPROP INT_L_X22Y34 TILE_PATTERN_IDX 7729 TILEPROP INT_L_X22Y34 TILE_TYPE INT_L TILEPROP INT_L_X22Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y34 TILE_X 1728 TILEPROP INT_L_X22Y34 TILE_Y -129848 TILEPROP INT_L_X22Y34 TYPE INT_L TILEPROP INT_L_X22Y35 CLASS tile TILEPROP INT_L_X22Y35 COLUMN 57 TILEPROP INT_L_X22Y35 DEVICE_ID 0 TILEPROP INT_L_X22Y35 FIRST_SITE_ID 11978 TILEPROP INT_L_X22Y35 GRID_POINT_X 57 TILEPROP INT_L_X22Y35 GRID_POINT_Y 119 TILEPROP INT_L_X22Y35 INDEX 13742 TILEPROP INT_L_X22Y35 INT_TILE_X 22 TILEPROP INT_L_X22Y35 INT_TILE_Y 114 TILEPROP INT_L_X22Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y35 IS_DCM_TILE 0 TILEPROP INT_L_X22Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y35 NAME INT_L_X22Y35 TILEPROP INT_L_X22Y35 NUM_ARCS 3737 TILEPROP INT_L_X22Y35 NUM_SITES 1 TILEPROP INT_L_X22Y35 ROW 119 TILEPROP INT_L_X22Y35 SLR_REGION_ID 0 TILEPROP INT_L_X22Y35 TILE_PATTERN_IDX 7700 TILEPROP INT_L_X22Y35 TILE_TYPE INT_L TILEPROP INT_L_X22Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y35 TILE_X 1728 TILEPROP INT_L_X22Y35 TILE_Y -126648 TILEPROP INT_L_X22Y35 TYPE INT_L TILEPROP INT_L_X22Y36 CLASS tile TILEPROP INT_L_X22Y36 COLUMN 57 TILEPROP INT_L_X22Y36 DEVICE_ID 0 TILEPROP INT_L_X22Y36 FIRST_SITE_ID 11840 TILEPROP INT_L_X22Y36 GRID_POINT_X 57 TILEPROP INT_L_X22Y36 GRID_POINT_Y 118 TILEPROP INT_L_X22Y36 INDEX 13627 TILEPROP INT_L_X22Y36 INT_TILE_X 22 TILEPROP INT_L_X22Y36 INT_TILE_Y 113 TILEPROP INT_L_X22Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y36 IS_DCM_TILE 0 TILEPROP INT_L_X22Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y36 NAME INT_L_X22Y36 TILEPROP INT_L_X22Y36 NUM_ARCS 3737 TILEPROP INT_L_X22Y36 NUM_SITES 1 TILEPROP INT_L_X22Y36 ROW 118 TILEPROP INT_L_X22Y36 SLR_REGION_ID 0 TILEPROP INT_L_X22Y36 TILE_PATTERN_IDX 7672 TILEPROP INT_L_X22Y36 TILE_TYPE INT_L TILEPROP INT_L_X22Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y36 TILE_X 1728 TILEPROP INT_L_X22Y36 TILE_Y -123448 TILEPROP INT_L_X22Y36 TYPE INT_L TILEPROP INT_L_X22Y37 CLASS tile TILEPROP INT_L_X22Y37 COLUMN 57 TILEPROP INT_L_X22Y37 DEVICE_ID 0 TILEPROP INT_L_X22Y37 FIRST_SITE_ID 11740 TILEPROP INT_L_X22Y37 GRID_POINT_X 57 TILEPROP INT_L_X22Y37 GRID_POINT_Y 117 TILEPROP INT_L_X22Y37 INDEX 13512 TILEPROP INT_L_X22Y37 INT_TILE_X 22 TILEPROP INT_L_X22Y37 INT_TILE_Y 112 TILEPROP INT_L_X22Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y37 IS_DCM_TILE 0 TILEPROP INT_L_X22Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y37 NAME INT_L_X22Y37 TILEPROP INT_L_X22Y37 NUM_ARCS 3737 TILEPROP INT_L_X22Y37 NUM_SITES 1 TILEPROP INT_L_X22Y37 ROW 117 TILEPROP INT_L_X22Y37 SLR_REGION_ID 0 TILEPROP INT_L_X22Y37 TILE_PATTERN_IDX 7644 TILEPROP INT_L_X22Y37 TILE_TYPE INT_L TILEPROP INT_L_X22Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y37 TILE_X 1728 TILEPROP INT_L_X22Y37 TILE_Y -120248 TILEPROP INT_L_X22Y37 TYPE INT_L TILEPROP INT_L_X22Y38 CLASS tile TILEPROP INT_L_X22Y38 COLUMN 57 TILEPROP INT_L_X22Y38 DEVICE_ID 0 TILEPROP INT_L_X22Y38 FIRST_SITE_ID 11640 TILEPROP INT_L_X22Y38 GRID_POINT_X 57 TILEPROP INT_L_X22Y38 GRID_POINT_Y 116 TILEPROP INT_L_X22Y38 INDEX 13397 TILEPROP INT_L_X22Y38 INT_TILE_X 22 TILEPROP INT_L_X22Y38 INT_TILE_Y 111 TILEPROP INT_L_X22Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y38 IS_DCM_TILE 0 TILEPROP INT_L_X22Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y38 NAME INT_L_X22Y38 TILEPROP INT_L_X22Y38 NUM_ARCS 3737 TILEPROP INT_L_X22Y38 NUM_SITES 1 TILEPROP INT_L_X22Y38 ROW 116 TILEPROP INT_L_X22Y38 SLR_REGION_ID 0 TILEPROP INT_L_X22Y38 TILE_PATTERN_IDX 7616 TILEPROP INT_L_X22Y38 TILE_TYPE INT_L TILEPROP INT_L_X22Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y38 TILE_X 1728 TILEPROP INT_L_X22Y38 TILE_Y -117048 TILEPROP INT_L_X22Y38 TYPE INT_L TILEPROP INT_L_X22Y39 CLASS tile TILEPROP INT_L_X22Y39 COLUMN 57 TILEPROP INT_L_X22Y39 DEVICE_ID 0 TILEPROP INT_L_X22Y39 FIRST_SITE_ID 11540 TILEPROP INT_L_X22Y39 GRID_POINT_X 57 TILEPROP INT_L_X22Y39 GRID_POINT_Y 115 TILEPROP INT_L_X22Y39 INDEX 13282 TILEPROP INT_L_X22Y39 INT_TILE_X 22 TILEPROP INT_L_X22Y39 INT_TILE_Y 110 TILEPROP INT_L_X22Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y39 IS_DCM_TILE 0 TILEPROP INT_L_X22Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y39 NAME INT_L_X22Y39 TILEPROP INT_L_X22Y39 NUM_ARCS 3737 TILEPROP INT_L_X22Y39 NUM_SITES 1 TILEPROP INT_L_X22Y39 ROW 115 TILEPROP INT_L_X22Y39 SLR_REGION_ID 0 TILEPROP INT_L_X22Y39 TILE_PATTERN_IDX 7587 TILEPROP INT_L_X22Y39 TILE_TYPE INT_L TILEPROP INT_L_X22Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y39 TILE_X 1728 TILEPROP INT_L_X22Y39 TILE_Y -113848 TILEPROP INT_L_X22Y39 TYPE INT_L TILEPROP INT_L_X22Y40 CLASS tile TILEPROP INT_L_X22Y40 COLUMN 57 TILEPROP INT_L_X22Y40 DEVICE_ID 0 TILEPROP INT_L_X22Y40 FIRST_SITE_ID 11431 TILEPROP INT_L_X22Y40 GRID_POINT_X 57 TILEPROP INT_L_X22Y40 GRID_POINT_Y 114 TILEPROP INT_L_X22Y40 INDEX 13167 TILEPROP INT_L_X22Y40 INT_TILE_X 22 TILEPROP INT_L_X22Y40 INT_TILE_Y 109 TILEPROP INT_L_X22Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y40 IS_DCM_TILE 0 TILEPROP INT_L_X22Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y40 NAME INT_L_X22Y40 TILEPROP INT_L_X22Y40 NUM_ARCS 3737 TILEPROP INT_L_X22Y40 NUM_SITES 1 TILEPROP INT_L_X22Y40 ROW 114 TILEPROP INT_L_X22Y40 SLR_REGION_ID 0 TILEPROP INT_L_X22Y40 TILE_PATTERN_IDX 7558 TILEPROP INT_L_X22Y40 TILE_TYPE INT_L TILEPROP INT_L_X22Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y40 TILE_X 1728 TILEPROP INT_L_X22Y40 TILE_Y -110648 TILEPROP INT_L_X22Y40 TYPE INT_L TILEPROP INT_L_X22Y41 CLASS tile TILEPROP INT_L_X22Y41 COLUMN 57 TILEPROP INT_L_X22Y41 DEVICE_ID 0 TILEPROP INT_L_X22Y41 FIRST_SITE_ID 11322 TILEPROP INT_L_X22Y41 GRID_POINT_X 57 TILEPROP INT_L_X22Y41 GRID_POINT_Y 113 TILEPROP INT_L_X22Y41 INDEX 13052 TILEPROP INT_L_X22Y41 INT_TILE_X 22 TILEPROP INT_L_X22Y41 INT_TILE_Y 108 TILEPROP INT_L_X22Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y41 IS_DCM_TILE 0 TILEPROP INT_L_X22Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y41 NAME INT_L_X22Y41 TILEPROP INT_L_X22Y41 NUM_ARCS 3737 TILEPROP INT_L_X22Y41 NUM_SITES 1 TILEPROP INT_L_X22Y41 ROW 113 TILEPROP INT_L_X22Y41 SLR_REGION_ID 0 TILEPROP INT_L_X22Y41 TILE_PATTERN_IDX 7523 TILEPROP INT_L_X22Y41 TILE_TYPE INT_L TILEPROP INT_L_X22Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y41 TILE_X 1728 TILEPROP INT_L_X22Y41 TILE_Y -107448 TILEPROP INT_L_X22Y41 TYPE INT_L TILEPROP INT_L_X22Y42 CLASS tile TILEPROP INT_L_X22Y42 COLUMN 57 TILEPROP INT_L_X22Y42 DEVICE_ID 0 TILEPROP INT_L_X22Y42 FIRST_SITE_ID 11221 TILEPROP INT_L_X22Y42 GRID_POINT_X 57 TILEPROP INT_L_X22Y42 GRID_POINT_Y 112 TILEPROP INT_L_X22Y42 INDEX 12937 TILEPROP INT_L_X22Y42 INT_TILE_X 22 TILEPROP INT_L_X22Y42 INT_TILE_Y 107 TILEPROP INT_L_X22Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y42 IS_DCM_TILE 0 TILEPROP INT_L_X22Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y42 NAME INT_L_X22Y42 TILEPROP INT_L_X22Y42 NUM_ARCS 3737 TILEPROP INT_L_X22Y42 NUM_SITES 1 TILEPROP INT_L_X22Y42 ROW 112 TILEPROP INT_L_X22Y42 SLR_REGION_ID 0 TILEPROP INT_L_X22Y42 TILE_PATTERN_IDX 7494 TILEPROP INT_L_X22Y42 TILE_TYPE INT_L TILEPROP INT_L_X22Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y42 TILE_X 1728 TILEPROP INT_L_X22Y42 TILE_Y -104248 TILEPROP INT_L_X22Y42 TYPE INT_L TILEPROP INT_L_X22Y43 CLASS tile TILEPROP INT_L_X22Y43 COLUMN 57 TILEPROP INT_L_X22Y43 DEVICE_ID 0 TILEPROP INT_L_X22Y43 FIRST_SITE_ID 11118 TILEPROP INT_L_X22Y43 GRID_POINT_X 57 TILEPROP INT_L_X22Y43 GRID_POINT_Y 111 TILEPROP INT_L_X22Y43 INDEX 12822 TILEPROP INT_L_X22Y43 INT_TILE_X 22 TILEPROP INT_L_X22Y43 INT_TILE_Y 106 TILEPROP INT_L_X22Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y43 IS_DCM_TILE 0 TILEPROP INT_L_X22Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y43 NAME INT_L_X22Y43 TILEPROP INT_L_X22Y43 NUM_ARCS 3737 TILEPROP INT_L_X22Y43 NUM_SITES 1 TILEPROP INT_L_X22Y43 ROW 111 TILEPROP INT_L_X22Y43 SLR_REGION_ID 0 TILEPROP INT_L_X22Y43 TILE_PATTERN_IDX 7465 TILEPROP INT_L_X22Y43 TILE_TYPE INT_L TILEPROP INT_L_X22Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y43 TILE_X 1728 TILEPROP INT_L_X22Y43 TILE_Y -101048 TILEPROP INT_L_X22Y43 TYPE INT_L TILEPROP INT_L_X22Y44 CLASS tile TILEPROP INT_L_X22Y44 COLUMN 57 TILEPROP INT_L_X22Y44 DEVICE_ID 0 TILEPROP INT_L_X22Y44 FIRST_SITE_ID 11016 TILEPROP INT_L_X22Y44 GRID_POINT_X 57 TILEPROP INT_L_X22Y44 GRID_POINT_Y 110 TILEPROP INT_L_X22Y44 INDEX 12707 TILEPROP INT_L_X22Y44 INT_TILE_X 22 TILEPROP INT_L_X22Y44 INT_TILE_Y 105 TILEPROP INT_L_X22Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y44 IS_DCM_TILE 0 TILEPROP INT_L_X22Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y44 NAME INT_L_X22Y44 TILEPROP INT_L_X22Y44 NUM_ARCS 3737 TILEPROP INT_L_X22Y44 NUM_SITES 1 TILEPROP INT_L_X22Y44 ROW 110 TILEPROP INT_L_X22Y44 SLR_REGION_ID 0 TILEPROP INT_L_X22Y44 TILE_PATTERN_IDX 7437 TILEPROP INT_L_X22Y44 TILE_TYPE INT_L TILEPROP INT_L_X22Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y44 TILE_X 1728 TILEPROP INT_L_X22Y44 TILE_Y -97848 TILEPROP INT_L_X22Y44 TYPE INT_L TILEPROP INT_L_X22Y45 CLASS tile TILEPROP INT_L_X22Y45 COLUMN 57 TILEPROP INT_L_X22Y45 DEVICE_ID 0 TILEPROP INT_L_X22Y45 FIRST_SITE_ID 10906 TILEPROP INT_L_X22Y45 GRID_POINT_X 57 TILEPROP INT_L_X22Y45 GRID_POINT_Y 109 TILEPROP INT_L_X22Y45 INDEX 12592 TILEPROP INT_L_X22Y45 INT_TILE_X 22 TILEPROP INT_L_X22Y45 INT_TILE_Y 104 TILEPROP INT_L_X22Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y45 IS_DCM_TILE 0 TILEPROP INT_L_X22Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y45 NAME INT_L_X22Y45 TILEPROP INT_L_X22Y45 NUM_ARCS 3737 TILEPROP INT_L_X22Y45 NUM_SITES 1 TILEPROP INT_L_X22Y45 ROW 109 TILEPROP INT_L_X22Y45 SLR_REGION_ID 0 TILEPROP INT_L_X22Y45 TILE_PATTERN_IDX 7401 TILEPROP INT_L_X22Y45 TILE_TYPE INT_L TILEPROP INT_L_X22Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y45 TILE_X 1728 TILEPROP INT_L_X22Y45 TILE_Y -94648 TILEPROP INT_L_X22Y45 TYPE INT_L TILEPROP INT_L_X22Y46 CLASS tile TILEPROP INT_L_X22Y46 COLUMN 57 TILEPROP INT_L_X22Y46 DEVICE_ID 0 TILEPROP INT_L_X22Y46 FIRST_SITE_ID 10784 TILEPROP INT_L_X22Y46 GRID_POINT_X 57 TILEPROP INT_L_X22Y46 GRID_POINT_Y 108 TILEPROP INT_L_X22Y46 INDEX 12477 TILEPROP INT_L_X22Y46 INT_TILE_X 22 TILEPROP INT_L_X22Y46 INT_TILE_Y 103 TILEPROP INT_L_X22Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y46 IS_DCM_TILE 0 TILEPROP INT_L_X22Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y46 NAME INT_L_X22Y46 TILEPROP INT_L_X22Y46 NUM_ARCS 3737 TILEPROP INT_L_X22Y46 NUM_SITES 1 TILEPROP INT_L_X22Y46 ROW 108 TILEPROP INT_L_X22Y46 SLR_REGION_ID 0 TILEPROP INT_L_X22Y46 TILE_PATTERN_IDX 7365 TILEPROP INT_L_X22Y46 TILE_TYPE INT_L TILEPROP INT_L_X22Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y46 TILE_X 1728 TILEPROP INT_L_X22Y46 TILE_Y -91448 TILEPROP INT_L_X22Y46 TYPE INT_L TILEPROP INT_L_X22Y47 CLASS tile TILEPROP INT_L_X22Y47 COLUMN 57 TILEPROP INT_L_X22Y47 DEVICE_ID 0 TILEPROP INT_L_X22Y47 FIRST_SITE_ID 10684 TILEPROP INT_L_X22Y47 GRID_POINT_X 57 TILEPROP INT_L_X22Y47 GRID_POINT_Y 107 TILEPROP INT_L_X22Y47 INDEX 12362 TILEPROP INT_L_X22Y47 INT_TILE_X 22 TILEPROP INT_L_X22Y47 INT_TILE_Y 102 TILEPROP INT_L_X22Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y47 IS_DCM_TILE 0 TILEPROP INT_L_X22Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y47 NAME INT_L_X22Y47 TILEPROP INT_L_X22Y47 NUM_ARCS 3737 TILEPROP INT_L_X22Y47 NUM_SITES 1 TILEPROP INT_L_X22Y47 ROW 107 TILEPROP INT_L_X22Y47 SLR_REGION_ID 0 TILEPROP INT_L_X22Y47 TILE_PATTERN_IDX 7328 TILEPROP INT_L_X22Y47 TILE_TYPE INT_L TILEPROP INT_L_X22Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y47 TILE_X 1728 TILEPROP INT_L_X22Y47 TILE_Y -88248 TILEPROP INT_L_X22Y47 TYPE INT_L TILEPROP INT_L_X22Y48 CLASS tile TILEPROP INT_L_X22Y48 COLUMN 57 TILEPROP INT_L_X22Y48 DEVICE_ID 0 TILEPROP INT_L_X22Y48 FIRST_SITE_ID 10584 TILEPROP INT_L_X22Y48 GRID_POINT_X 57 TILEPROP INT_L_X22Y48 GRID_POINT_Y 106 TILEPROP INT_L_X22Y48 INDEX 12247 TILEPROP INT_L_X22Y48 INT_TILE_X 22 TILEPROP INT_L_X22Y48 INT_TILE_Y 101 TILEPROP INT_L_X22Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y48 IS_DCM_TILE 0 TILEPROP INT_L_X22Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y48 NAME INT_L_X22Y48 TILEPROP INT_L_X22Y48 NUM_ARCS 3737 TILEPROP INT_L_X22Y48 NUM_SITES 1 TILEPROP INT_L_X22Y48 ROW 106 TILEPROP INT_L_X22Y48 SLR_REGION_ID 0 TILEPROP INT_L_X22Y48 TILE_PATTERN_IDX 7292 TILEPROP INT_L_X22Y48 TILE_TYPE INT_L TILEPROP INT_L_X22Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y48 TILE_X 1728 TILEPROP INT_L_X22Y48 TILE_Y -85048 TILEPROP INT_L_X22Y48 TYPE INT_L TILEPROP INT_L_X22Y49 CLASS tile TILEPROP INT_L_X22Y49 COLUMN 57 TILEPROP INT_L_X22Y49 DEVICE_ID 0 TILEPROP INT_L_X22Y49 FIRST_SITE_ID 10488 TILEPROP INT_L_X22Y49 GRID_POINT_X 57 TILEPROP INT_L_X22Y49 GRID_POINT_Y 105 TILEPROP INT_L_X22Y49 INDEX 12132 TILEPROP INT_L_X22Y49 INT_TILE_X 22 TILEPROP INT_L_X22Y49 INT_TILE_Y 100 TILEPROP INT_L_X22Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y49 IS_DCM_TILE 0 TILEPROP INT_L_X22Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y49 NAME INT_L_X22Y49 TILEPROP INT_L_X22Y49 NUM_ARCS 3737 TILEPROP INT_L_X22Y49 NUM_SITES 1 TILEPROP INT_L_X22Y49 ROW 105 TILEPROP INT_L_X22Y49 SLR_REGION_ID 0 TILEPROP INT_L_X22Y49 TILE_PATTERN_IDX 7256 TILEPROP INT_L_X22Y49 TILE_TYPE INT_L TILEPROP INT_L_X22Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y49 TILE_X 1728 TILEPROP INT_L_X22Y49 TILE_Y -81848 TILEPROP INT_L_X22Y49 TYPE INT_L TILEPROP INT_L_X22Y50 CLASS tile TILEPROP INT_L_X22Y50 COLUMN 57 TILEPROP INT_L_X22Y50 DEVICE_ID 0 TILEPROP INT_L_X22Y50 FIRST_SITE_ID 10363 TILEPROP INT_L_X22Y50 GRID_POINT_X 57 TILEPROP INT_L_X22Y50 GRID_POINT_Y 103 TILEPROP INT_L_X22Y50 INDEX 11902 TILEPROP INT_L_X22Y50 INT_TILE_X 22 TILEPROP INT_L_X22Y50 INT_TILE_Y 99 TILEPROP INT_L_X22Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y50 IS_DCM_TILE 0 TILEPROP INT_L_X22Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y50 NAME INT_L_X22Y50 TILEPROP INT_L_X22Y50 NUM_ARCS 3737 TILEPROP INT_L_X22Y50 NUM_SITES 1 TILEPROP INT_L_X22Y50 ROW 103 TILEPROP INT_L_X22Y50 SLR_REGION_ID 0 TILEPROP INT_L_X22Y50 TILE_PATTERN_IDX 7219 TILEPROP INT_L_X22Y50 TILE_TYPE INT_L TILEPROP INT_L_X22Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y50 TILE_X 1728 TILEPROP INT_L_X22Y50 TILE_Y -78400 TILEPROP INT_L_X22Y50 TYPE INT_L TILEPROP INT_L_X22Y51 CLASS tile TILEPROP INT_L_X22Y51 COLUMN 57 TILEPROP INT_L_X22Y51 DEVICE_ID 0 TILEPROP INT_L_X22Y51 FIRST_SITE_ID 10253 TILEPROP INT_L_X22Y51 GRID_POINT_X 57 TILEPROP INT_L_X22Y51 GRID_POINT_Y 102 TILEPROP INT_L_X22Y51 INDEX 11787 TILEPROP INT_L_X22Y51 INT_TILE_X 22 TILEPROP INT_L_X22Y51 INT_TILE_Y 98 TILEPROP INT_L_X22Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y51 IS_DCM_TILE 0 TILEPROP INT_L_X22Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y51 NAME INT_L_X22Y51 TILEPROP INT_L_X22Y51 NUM_ARCS 3737 TILEPROP INT_L_X22Y51 NUM_SITES 1 TILEPROP INT_L_X22Y51 ROW 102 TILEPROP INT_L_X22Y51 SLR_REGION_ID 0 TILEPROP INT_L_X22Y51 TILE_PATTERN_IDX 7182 TILEPROP INT_L_X22Y51 TILE_TYPE INT_L TILEPROP INT_L_X22Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y51 TILE_X 1728 TILEPROP INT_L_X22Y51 TILE_Y -75200 TILEPROP INT_L_X22Y51 TYPE INT_L TILEPROP INT_L_X22Y52 CLASS tile TILEPROP INT_L_X22Y52 COLUMN 57 TILEPROP INT_L_X22Y52 DEVICE_ID 0 TILEPROP INT_L_X22Y52 FIRST_SITE_ID 10153 TILEPROP INT_L_X22Y52 GRID_POINT_X 57 TILEPROP INT_L_X22Y52 GRID_POINT_Y 101 TILEPROP INT_L_X22Y52 INDEX 11672 TILEPROP INT_L_X22Y52 INT_TILE_X 22 TILEPROP INT_L_X22Y52 INT_TILE_Y 97 TILEPROP INT_L_X22Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y52 IS_DCM_TILE 0 TILEPROP INT_L_X22Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y52 NAME INT_L_X22Y52 TILEPROP INT_L_X22Y52 NUM_ARCS 3737 TILEPROP INT_L_X22Y52 NUM_SITES 1 TILEPROP INT_L_X22Y52 ROW 101 TILEPROP INT_L_X22Y52 SLR_REGION_ID 0 TILEPROP INT_L_X22Y52 TILE_PATTERN_IDX 7145 TILEPROP INT_L_X22Y52 TILE_TYPE INT_L TILEPROP INT_L_X22Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y52 TILE_X 1728 TILEPROP INT_L_X22Y52 TILE_Y -72000 TILEPROP INT_L_X22Y52 TYPE INT_L TILEPROP INT_L_X22Y53 CLASS tile TILEPROP INT_L_X22Y53 COLUMN 57 TILEPROP INT_L_X22Y53 DEVICE_ID 0 TILEPROP INT_L_X22Y53 FIRST_SITE_ID 10053 TILEPROP INT_L_X22Y53 GRID_POINT_X 57 TILEPROP INT_L_X22Y53 GRID_POINT_Y 100 TILEPROP INT_L_X22Y53 INDEX 11557 TILEPROP INT_L_X22Y53 INT_TILE_X 22 TILEPROP INT_L_X22Y53 INT_TILE_Y 96 TILEPROP INT_L_X22Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y53 IS_DCM_TILE 0 TILEPROP INT_L_X22Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y53 NAME INT_L_X22Y53 TILEPROP INT_L_X22Y53 NUM_ARCS 3737 TILEPROP INT_L_X22Y53 NUM_SITES 1 TILEPROP INT_L_X22Y53 ROW 100 TILEPROP INT_L_X22Y53 SLR_REGION_ID 0 TILEPROP INT_L_X22Y53 TILE_PATTERN_IDX 7108 TILEPROP INT_L_X22Y53 TILE_TYPE INT_L TILEPROP INT_L_X22Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y53 TILE_X 1728 TILEPROP INT_L_X22Y53 TILE_Y -68800 TILEPROP INT_L_X22Y53 TYPE INT_L TILEPROP INT_L_X22Y54 CLASS tile TILEPROP INT_L_X22Y54 COLUMN 57 TILEPROP INT_L_X22Y54 DEVICE_ID 0 TILEPROP INT_L_X22Y54 FIRST_SITE_ID 9953 TILEPROP INT_L_X22Y54 GRID_POINT_X 57 TILEPROP INT_L_X22Y54 GRID_POINT_Y 99 TILEPROP INT_L_X22Y54 INDEX 11442 TILEPROP INT_L_X22Y54 INT_TILE_X 22 TILEPROP INT_L_X22Y54 INT_TILE_Y 95 TILEPROP INT_L_X22Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y54 IS_DCM_TILE 0 TILEPROP INT_L_X22Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y54 NAME INT_L_X22Y54 TILEPROP INT_L_X22Y54 NUM_ARCS 3737 TILEPROP INT_L_X22Y54 NUM_SITES 1 TILEPROP INT_L_X22Y54 ROW 99 TILEPROP INT_L_X22Y54 SLR_REGION_ID 0 TILEPROP INT_L_X22Y54 TILE_PATTERN_IDX 7071 TILEPROP INT_L_X22Y54 TILE_TYPE INT_L TILEPROP INT_L_X22Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y54 TILE_X 1728 TILEPROP INT_L_X22Y54 TILE_Y -65600 TILEPROP INT_L_X22Y54 TYPE INT_L TILEPROP INT_L_X22Y55 CLASS tile TILEPROP INT_L_X22Y55 COLUMN 57 TILEPROP INT_L_X22Y55 DEVICE_ID 0 TILEPROP INT_L_X22Y55 FIRST_SITE_ID 9844 TILEPROP INT_L_X22Y55 GRID_POINT_X 57 TILEPROP INT_L_X22Y55 GRID_POINT_Y 98 TILEPROP INT_L_X22Y55 INDEX 11327 TILEPROP INT_L_X22Y55 INT_TILE_X 22 TILEPROP INT_L_X22Y55 INT_TILE_Y 94 TILEPROP INT_L_X22Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y55 IS_DCM_TILE 0 TILEPROP INT_L_X22Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y55 NAME INT_L_X22Y55 TILEPROP INT_L_X22Y55 NUM_ARCS 3737 TILEPROP INT_L_X22Y55 NUM_SITES 1 TILEPROP INT_L_X22Y55 ROW 98 TILEPROP INT_L_X22Y55 SLR_REGION_ID 0 TILEPROP INT_L_X22Y55 TILE_PATTERN_IDX 7033 TILEPROP INT_L_X22Y55 TILE_TYPE INT_L TILEPROP INT_L_X22Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y55 TILE_X 1728 TILEPROP INT_L_X22Y55 TILE_Y -62400 TILEPROP INT_L_X22Y55 TYPE INT_L TILEPROP INT_L_X22Y56 CLASS tile TILEPROP INT_L_X22Y56 COLUMN 57 TILEPROP INT_L_X22Y56 DEVICE_ID 0 TILEPROP INT_L_X22Y56 FIRST_SITE_ID 9738 TILEPROP INT_L_X22Y56 GRID_POINT_X 57 TILEPROP INT_L_X22Y56 GRID_POINT_Y 97 TILEPROP INT_L_X22Y56 INDEX 11212 TILEPROP INT_L_X22Y56 INT_TILE_X 22 TILEPROP INT_L_X22Y56 INT_TILE_Y 93 TILEPROP INT_L_X22Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y56 IS_DCM_TILE 0 TILEPROP INT_L_X22Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y56 NAME INT_L_X22Y56 TILEPROP INT_L_X22Y56 NUM_ARCS 3737 TILEPROP INT_L_X22Y56 NUM_SITES 1 TILEPROP INT_L_X22Y56 ROW 97 TILEPROP INT_L_X22Y56 SLR_REGION_ID 0 TILEPROP INT_L_X22Y56 TILE_PATTERN_IDX 6996 TILEPROP INT_L_X22Y56 TILE_TYPE INT_L TILEPROP INT_L_X22Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y56 TILE_X 1728 TILEPROP INT_L_X22Y56 TILE_Y -59200 TILEPROP INT_L_X22Y56 TYPE INT_L TILEPROP INT_L_X22Y57 CLASS tile TILEPROP INT_L_X22Y57 COLUMN 57 TILEPROP INT_L_X22Y57 DEVICE_ID 0 TILEPROP INT_L_X22Y57 FIRST_SITE_ID 9636 TILEPROP INT_L_X22Y57 GRID_POINT_X 57 TILEPROP INT_L_X22Y57 GRID_POINT_Y 96 TILEPROP INT_L_X22Y57 INDEX 11097 TILEPROP INT_L_X22Y57 INT_TILE_X 22 TILEPROP INT_L_X22Y57 INT_TILE_Y 92 TILEPROP INT_L_X22Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y57 IS_DCM_TILE 0 TILEPROP INT_L_X22Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y57 NAME INT_L_X22Y57 TILEPROP INT_L_X22Y57 NUM_ARCS 3737 TILEPROP INT_L_X22Y57 NUM_SITES 1 TILEPROP INT_L_X22Y57 ROW 96 TILEPROP INT_L_X22Y57 SLR_REGION_ID 0 TILEPROP INT_L_X22Y57 TILE_PATTERN_IDX 6959 TILEPROP INT_L_X22Y57 TILE_TYPE INT_L TILEPROP INT_L_X22Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y57 TILE_X 1728 TILEPROP INT_L_X22Y57 TILE_Y -56000 TILEPROP INT_L_X22Y57 TYPE INT_L TILEPROP INT_L_X22Y58 CLASS tile TILEPROP INT_L_X22Y58 COLUMN 57 TILEPROP INT_L_X22Y58 DEVICE_ID 0 TILEPROP INT_L_X22Y58 FIRST_SITE_ID 9533 TILEPROP INT_L_X22Y58 GRID_POINT_X 57 TILEPROP INT_L_X22Y58 GRID_POINT_Y 95 TILEPROP INT_L_X22Y58 INDEX 10982 TILEPROP INT_L_X22Y58 INT_TILE_X 22 TILEPROP INT_L_X22Y58 INT_TILE_Y 91 TILEPROP INT_L_X22Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y58 IS_DCM_TILE 0 TILEPROP INT_L_X22Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y58 NAME INT_L_X22Y58 TILEPROP INT_L_X22Y58 NUM_ARCS 3737 TILEPROP INT_L_X22Y58 NUM_SITES 1 TILEPROP INT_L_X22Y58 ROW 95 TILEPROP INT_L_X22Y58 SLR_REGION_ID 0 TILEPROP INT_L_X22Y58 TILE_PATTERN_IDX 6921 TILEPROP INT_L_X22Y58 TILE_TYPE INT_L TILEPROP INT_L_X22Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y58 TILE_X 1728 TILEPROP INT_L_X22Y58 TILE_Y -52800 TILEPROP INT_L_X22Y58 TYPE INT_L TILEPROP INT_L_X22Y59 CLASS tile TILEPROP INT_L_X22Y59 COLUMN 57 TILEPROP INT_L_X22Y59 DEVICE_ID 0 TILEPROP INT_L_X22Y59 FIRST_SITE_ID 9432 TILEPROP INT_L_X22Y59 GRID_POINT_X 57 TILEPROP INT_L_X22Y59 GRID_POINT_Y 94 TILEPROP INT_L_X22Y59 INDEX 10867 TILEPROP INT_L_X22Y59 INT_TILE_X 22 TILEPROP INT_L_X22Y59 INT_TILE_Y 90 TILEPROP INT_L_X22Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y59 IS_DCM_TILE 0 TILEPROP INT_L_X22Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y59 NAME INT_L_X22Y59 TILEPROP INT_L_X22Y59 NUM_ARCS 3737 TILEPROP INT_L_X22Y59 NUM_SITES 1 TILEPROP INT_L_X22Y59 ROW 94 TILEPROP INT_L_X22Y59 SLR_REGION_ID 0 TILEPROP INT_L_X22Y59 TILE_PATTERN_IDX 6884 TILEPROP INT_L_X22Y59 TILE_TYPE INT_L TILEPROP INT_L_X22Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y59 TILE_X 1728 TILEPROP INT_L_X22Y59 TILE_Y -49600 TILEPROP INT_L_X22Y59 TYPE INT_L TILEPROP INT_L_X22Y60 CLASS tile TILEPROP INT_L_X22Y60 COLUMN 57 TILEPROP INT_L_X22Y60 DEVICE_ID 0 TILEPROP INT_L_X22Y60 FIRST_SITE_ID 9323 TILEPROP INT_L_X22Y60 GRID_POINT_X 57 TILEPROP INT_L_X22Y60 GRID_POINT_Y 93 TILEPROP INT_L_X22Y60 INDEX 10752 TILEPROP INT_L_X22Y60 INT_TILE_X 22 TILEPROP INT_L_X22Y60 INT_TILE_Y 89 TILEPROP INT_L_X22Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y60 IS_DCM_TILE 0 TILEPROP INT_L_X22Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y60 NAME INT_L_X22Y60 TILEPROP INT_L_X22Y60 NUM_ARCS 3737 TILEPROP INT_L_X22Y60 NUM_SITES 1 TILEPROP INT_L_X22Y60 ROW 93 TILEPROP INT_L_X22Y60 SLR_REGION_ID 0 TILEPROP INT_L_X22Y60 TILE_PATTERN_IDX 6847 TILEPROP INT_L_X22Y60 TILE_TYPE INT_L TILEPROP INT_L_X22Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y60 TILE_X 1728 TILEPROP INT_L_X22Y60 TILE_Y -46400 TILEPROP INT_L_X22Y60 TYPE INT_L TILEPROP INT_L_X22Y61 CLASS tile TILEPROP INT_L_X22Y61 COLUMN 57 TILEPROP INT_L_X22Y61 DEVICE_ID 0 TILEPROP INT_L_X22Y61 FIRST_SITE_ID 9217 TILEPROP INT_L_X22Y61 GRID_POINT_X 57 TILEPROP INT_L_X22Y61 GRID_POINT_Y 92 TILEPROP INT_L_X22Y61 INDEX 10637 TILEPROP INT_L_X22Y61 INT_TILE_X 22 TILEPROP INT_L_X22Y61 INT_TILE_Y 88 TILEPROP INT_L_X22Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y61 IS_DCM_TILE 0 TILEPROP INT_L_X22Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y61 NAME INT_L_X22Y61 TILEPROP INT_L_X22Y61 NUM_ARCS 3737 TILEPROP INT_L_X22Y61 NUM_SITES 1 TILEPROP INT_L_X22Y61 ROW 92 TILEPROP INT_L_X22Y61 SLR_REGION_ID 0 TILEPROP INT_L_X22Y61 TILE_PATTERN_IDX 6810 TILEPROP INT_L_X22Y61 TILE_TYPE INT_L TILEPROP INT_L_X22Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y61 TILE_X 1728 TILEPROP INT_L_X22Y61 TILE_Y -43200 TILEPROP INT_L_X22Y61 TYPE INT_L TILEPROP INT_L_X22Y62 CLASS tile TILEPROP INT_L_X22Y62 COLUMN 57 TILEPROP INT_L_X22Y62 DEVICE_ID 0 TILEPROP INT_L_X22Y62 FIRST_SITE_ID 9085 TILEPROP INT_L_X22Y62 GRID_POINT_X 57 TILEPROP INT_L_X22Y62 GRID_POINT_Y 91 TILEPROP INT_L_X22Y62 INDEX 10522 TILEPROP INT_L_X22Y62 INT_TILE_X 22 TILEPROP INT_L_X22Y62 INT_TILE_Y 87 TILEPROP INT_L_X22Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y62 IS_DCM_TILE 0 TILEPROP INT_L_X22Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y62 NAME INT_L_X22Y62 TILEPROP INT_L_X22Y62 NUM_ARCS 3737 TILEPROP INT_L_X22Y62 NUM_SITES 1 TILEPROP INT_L_X22Y62 ROW 91 TILEPROP INT_L_X22Y62 SLR_REGION_ID 0 TILEPROP INT_L_X22Y62 TILE_PATTERN_IDX 6773 TILEPROP INT_L_X22Y62 TILE_TYPE INT_L TILEPROP INT_L_X22Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y62 TILE_X 1728 TILEPROP INT_L_X22Y62 TILE_Y -40000 TILEPROP INT_L_X22Y62 TYPE INT_L TILEPROP INT_L_X22Y63 CLASS tile TILEPROP INT_L_X22Y63 COLUMN 57 TILEPROP INT_L_X22Y63 DEVICE_ID 0 TILEPROP INT_L_X22Y63 FIRST_SITE_ID 8985 TILEPROP INT_L_X22Y63 GRID_POINT_X 57 TILEPROP INT_L_X22Y63 GRID_POINT_Y 90 TILEPROP INT_L_X22Y63 INDEX 10407 TILEPROP INT_L_X22Y63 INT_TILE_X 22 TILEPROP INT_L_X22Y63 INT_TILE_Y 86 TILEPROP INT_L_X22Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y63 IS_DCM_TILE 0 TILEPROP INT_L_X22Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y63 NAME INT_L_X22Y63 TILEPROP INT_L_X22Y63 NUM_ARCS 3737 TILEPROP INT_L_X22Y63 NUM_SITES 1 TILEPROP INT_L_X22Y63 ROW 90 TILEPROP INT_L_X22Y63 SLR_REGION_ID 0 TILEPROP INT_L_X22Y63 TILE_PATTERN_IDX 6736 TILEPROP INT_L_X22Y63 TILE_TYPE INT_L TILEPROP INT_L_X22Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y63 TILE_X 1728 TILEPROP INT_L_X22Y63 TILE_Y -36800 TILEPROP INT_L_X22Y63 TYPE INT_L TILEPROP INT_L_X22Y64 CLASS tile TILEPROP INT_L_X22Y64 COLUMN 57 TILEPROP INT_L_X22Y64 DEVICE_ID 0 TILEPROP INT_L_X22Y64 FIRST_SITE_ID 8885 TILEPROP INT_L_X22Y64 GRID_POINT_X 57 TILEPROP INT_L_X22Y64 GRID_POINT_Y 89 TILEPROP INT_L_X22Y64 INDEX 10292 TILEPROP INT_L_X22Y64 INT_TILE_X 22 TILEPROP INT_L_X22Y64 INT_TILE_Y 85 TILEPROP INT_L_X22Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y64 IS_DCM_TILE 0 TILEPROP INT_L_X22Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y64 NAME INT_L_X22Y64 TILEPROP INT_L_X22Y64 NUM_ARCS 3737 TILEPROP INT_L_X22Y64 NUM_SITES 1 TILEPROP INT_L_X22Y64 ROW 89 TILEPROP INT_L_X22Y64 SLR_REGION_ID 0 TILEPROP INT_L_X22Y64 TILE_PATTERN_IDX 6699 TILEPROP INT_L_X22Y64 TILE_TYPE INT_L TILEPROP INT_L_X22Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y64 TILE_X 1728 TILEPROP INT_L_X22Y64 TILE_Y -33600 TILEPROP INT_L_X22Y64 TYPE INT_L TILEPROP INT_L_X22Y65 CLASS tile TILEPROP INT_L_X22Y65 COLUMN 57 TILEPROP INT_L_X22Y65 DEVICE_ID 0 TILEPROP INT_L_X22Y65 FIRST_SITE_ID 8776 TILEPROP INT_L_X22Y65 GRID_POINT_X 57 TILEPROP INT_L_X22Y65 GRID_POINT_Y 88 TILEPROP INT_L_X22Y65 INDEX 10177 TILEPROP INT_L_X22Y65 INT_TILE_X 22 TILEPROP INT_L_X22Y65 INT_TILE_Y 84 TILEPROP INT_L_X22Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y65 IS_DCM_TILE 0 TILEPROP INT_L_X22Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y65 NAME INT_L_X22Y65 TILEPROP INT_L_X22Y65 NUM_ARCS 3737 TILEPROP INT_L_X22Y65 NUM_SITES 1 TILEPROP INT_L_X22Y65 ROW 88 TILEPROP INT_L_X22Y65 SLR_REGION_ID 0 TILEPROP INT_L_X22Y65 TILE_PATTERN_IDX 6662 TILEPROP INT_L_X22Y65 TILE_TYPE INT_L TILEPROP INT_L_X22Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y65 TILE_X 1728 TILEPROP INT_L_X22Y65 TILE_Y -30400 TILEPROP INT_L_X22Y65 TYPE INT_L TILEPROP INT_L_X22Y66 CLASS tile TILEPROP INT_L_X22Y66 COLUMN 57 TILEPROP INT_L_X22Y66 DEVICE_ID 0 TILEPROP INT_L_X22Y66 FIRST_SITE_ID 8670 TILEPROP INT_L_X22Y66 GRID_POINT_X 57 TILEPROP INT_L_X22Y66 GRID_POINT_Y 87 TILEPROP INT_L_X22Y66 INDEX 10062 TILEPROP INT_L_X22Y66 INT_TILE_X 22 TILEPROP INT_L_X22Y66 INT_TILE_Y 83 TILEPROP INT_L_X22Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y66 IS_DCM_TILE 0 TILEPROP INT_L_X22Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y66 NAME INT_L_X22Y66 TILEPROP INT_L_X22Y66 NUM_ARCS 3737 TILEPROP INT_L_X22Y66 NUM_SITES 1 TILEPROP INT_L_X22Y66 ROW 87 TILEPROP INT_L_X22Y66 SLR_REGION_ID 0 TILEPROP INT_L_X22Y66 TILE_PATTERN_IDX 6625 TILEPROP INT_L_X22Y66 TILE_TYPE INT_L TILEPROP INT_L_X22Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y66 TILE_X 1728 TILEPROP INT_L_X22Y66 TILE_Y -27200 TILEPROP INT_L_X22Y66 TYPE INT_L TILEPROP INT_L_X22Y67 CLASS tile TILEPROP INT_L_X22Y67 COLUMN 57 TILEPROP INT_L_X22Y67 DEVICE_ID 0 TILEPROP INT_L_X22Y67 FIRST_SITE_ID 8566 TILEPROP INT_L_X22Y67 GRID_POINT_X 57 TILEPROP INT_L_X22Y67 GRID_POINT_Y 86 TILEPROP INT_L_X22Y67 INDEX 9947 TILEPROP INT_L_X22Y67 INT_TILE_X 22 TILEPROP INT_L_X22Y67 INT_TILE_Y 82 TILEPROP INT_L_X22Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y67 IS_DCM_TILE 0 TILEPROP INT_L_X22Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y67 NAME INT_L_X22Y67 TILEPROP INT_L_X22Y67 NUM_ARCS 3737 TILEPROP INT_L_X22Y67 NUM_SITES 1 TILEPROP INT_L_X22Y67 ROW 86 TILEPROP INT_L_X22Y67 SLR_REGION_ID 0 TILEPROP INT_L_X22Y67 TILE_PATTERN_IDX 6587 TILEPROP INT_L_X22Y67 TILE_TYPE INT_L TILEPROP INT_L_X22Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y67 TILE_X 1728 TILEPROP INT_L_X22Y67 TILE_Y -24000 TILEPROP INT_L_X22Y67 TYPE INT_L TILEPROP INT_L_X22Y68 CLASS tile TILEPROP INT_L_X22Y68 COLUMN 57 TILEPROP INT_L_X22Y68 DEVICE_ID 0 TILEPROP INT_L_X22Y68 FIRST_SITE_ID 8462 TILEPROP INT_L_X22Y68 GRID_POINT_X 57 TILEPROP INT_L_X22Y68 GRID_POINT_Y 85 TILEPROP INT_L_X22Y68 INDEX 9832 TILEPROP INT_L_X22Y68 INT_TILE_X 22 TILEPROP INT_L_X22Y68 INT_TILE_Y 81 TILEPROP INT_L_X22Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y68 IS_DCM_TILE 0 TILEPROP INT_L_X22Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y68 NAME INT_L_X22Y68 TILEPROP INT_L_X22Y68 NUM_ARCS 3737 TILEPROP INT_L_X22Y68 NUM_SITES 1 TILEPROP INT_L_X22Y68 ROW 85 TILEPROP INT_L_X22Y68 SLR_REGION_ID 0 TILEPROP INT_L_X22Y68 TILE_PATTERN_IDX 6555 TILEPROP INT_L_X22Y68 TILE_TYPE INT_L TILEPROP INT_L_X22Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y68 TILE_X 1728 TILEPROP INT_L_X22Y68 TILE_Y -20800 TILEPROP INT_L_X22Y68 TYPE INT_L TILEPROP INT_L_X22Y69 CLASS tile TILEPROP INT_L_X22Y69 COLUMN 57 TILEPROP INT_L_X22Y69 DEVICE_ID 0 TILEPROP INT_L_X22Y69 FIRST_SITE_ID 8360 TILEPROP INT_L_X22Y69 GRID_POINT_X 57 TILEPROP INT_L_X22Y69 GRID_POINT_Y 84 TILEPROP INT_L_X22Y69 INDEX 9717 TILEPROP INT_L_X22Y69 INT_TILE_X 22 TILEPROP INT_L_X22Y69 INT_TILE_Y 80 TILEPROP INT_L_X22Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y69 IS_DCM_TILE 0 TILEPROP INT_L_X22Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y69 NAME INT_L_X22Y69 TILEPROP INT_L_X22Y69 NUM_ARCS 3737 TILEPROP INT_L_X22Y69 NUM_SITES 1 TILEPROP INT_L_X22Y69 ROW 84 TILEPROP INT_L_X22Y69 SLR_REGION_ID 0 TILEPROP INT_L_X22Y69 TILE_PATTERN_IDX 6524 TILEPROP INT_L_X22Y69 TILE_TYPE INT_L TILEPROP INT_L_X22Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y69 TILE_X 1728 TILEPROP INT_L_X22Y69 TILE_Y -17600 TILEPROP INT_L_X22Y69 TYPE INT_L TILEPROP INT_L_X22Y70 CLASS tile TILEPROP INT_L_X22Y70 COLUMN 57 TILEPROP INT_L_X22Y70 DEVICE_ID 0 TILEPROP INT_L_X22Y70 FIRST_SITE_ID 8249 TILEPROP INT_L_X22Y70 GRID_POINT_X 57 TILEPROP INT_L_X22Y70 GRID_POINT_Y 83 TILEPROP INT_L_X22Y70 INDEX 9602 TILEPROP INT_L_X22Y70 INT_TILE_X 22 TILEPROP INT_L_X22Y70 INT_TILE_Y 79 TILEPROP INT_L_X22Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y70 IS_DCM_TILE 0 TILEPROP INT_L_X22Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y70 NAME INT_L_X22Y70 TILEPROP INT_L_X22Y70 NUM_ARCS 3737 TILEPROP INT_L_X22Y70 NUM_SITES 1 TILEPROP INT_L_X22Y70 ROW 83 TILEPROP INT_L_X22Y70 SLR_REGION_ID 0 TILEPROP INT_L_X22Y70 TILE_PATTERN_IDX 6493 TILEPROP INT_L_X22Y70 TILE_TYPE INT_L TILEPROP INT_L_X22Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y70 TILE_X 1728 TILEPROP INT_L_X22Y70 TILE_Y -14400 TILEPROP INT_L_X22Y70 TYPE INT_L TILEPROP INT_L_X22Y71 CLASS tile TILEPROP INT_L_X22Y71 COLUMN 57 TILEPROP INT_L_X22Y71 DEVICE_ID 0 TILEPROP INT_L_X22Y71 FIRST_SITE_ID 8143 TILEPROP INT_L_X22Y71 GRID_POINT_X 57 TILEPROP INT_L_X22Y71 GRID_POINT_Y 82 TILEPROP INT_L_X22Y71 INDEX 9487 TILEPROP INT_L_X22Y71 INT_TILE_X 22 TILEPROP INT_L_X22Y71 INT_TILE_Y 78 TILEPROP INT_L_X22Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y71 IS_DCM_TILE 0 TILEPROP INT_L_X22Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y71 NAME INT_L_X22Y71 TILEPROP INT_L_X22Y71 NUM_ARCS 3737 TILEPROP INT_L_X22Y71 NUM_SITES 1 TILEPROP INT_L_X22Y71 ROW 82 TILEPROP INT_L_X22Y71 SLR_REGION_ID 0 TILEPROP INT_L_X22Y71 TILE_PATTERN_IDX 6462 TILEPROP INT_L_X22Y71 TILE_TYPE INT_L TILEPROP INT_L_X22Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y71 TILE_X 1728 TILEPROP INT_L_X22Y71 TILE_Y -11200 TILEPROP INT_L_X22Y71 TYPE INT_L TILEPROP INT_L_X22Y72 CLASS tile TILEPROP INT_L_X22Y72 COLUMN 57 TILEPROP INT_L_X22Y72 DEVICE_ID 0 TILEPROP INT_L_X22Y72 FIRST_SITE_ID 8043 TILEPROP INT_L_X22Y72 GRID_POINT_X 57 TILEPROP INT_L_X22Y72 GRID_POINT_Y 81 TILEPROP INT_L_X22Y72 INDEX 9372 TILEPROP INT_L_X22Y72 INT_TILE_X 22 TILEPROP INT_L_X22Y72 INT_TILE_Y 77 TILEPROP INT_L_X22Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y72 IS_DCM_TILE 0 TILEPROP INT_L_X22Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y72 NAME INT_L_X22Y72 TILEPROP INT_L_X22Y72 NUM_ARCS 3737 TILEPROP INT_L_X22Y72 NUM_SITES 1 TILEPROP INT_L_X22Y72 ROW 81 TILEPROP INT_L_X22Y72 SLR_REGION_ID 0 TILEPROP INT_L_X22Y72 TILE_PATTERN_IDX 6431 TILEPROP INT_L_X22Y72 TILE_TYPE INT_L TILEPROP INT_L_X22Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y72 TILE_X 1728 TILEPROP INT_L_X22Y72 TILE_Y -8000 TILEPROP INT_L_X22Y72 TYPE INT_L TILEPROP INT_L_X22Y73 CLASS tile TILEPROP INT_L_X22Y73 COLUMN 57 TILEPROP INT_L_X22Y73 DEVICE_ID 0 TILEPROP INT_L_X22Y73 FIRST_SITE_ID 7943 TILEPROP INT_L_X22Y73 GRID_POINT_X 57 TILEPROP INT_L_X22Y73 GRID_POINT_Y 80 TILEPROP INT_L_X22Y73 INDEX 9257 TILEPROP INT_L_X22Y73 INT_TILE_X 22 TILEPROP INT_L_X22Y73 INT_TILE_Y 76 TILEPROP INT_L_X22Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y73 IS_DCM_TILE 0 TILEPROP INT_L_X22Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y73 NAME INT_L_X22Y73 TILEPROP INT_L_X22Y73 NUM_ARCS 3737 TILEPROP INT_L_X22Y73 NUM_SITES 1 TILEPROP INT_L_X22Y73 ROW 80 TILEPROP INT_L_X22Y73 SLR_REGION_ID 0 TILEPROP INT_L_X22Y73 TILE_PATTERN_IDX 6400 TILEPROP INT_L_X22Y73 TILE_TYPE INT_L TILEPROP INT_L_X22Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y73 TILE_X 1728 TILEPROP INT_L_X22Y73 TILE_Y -4800 TILEPROP INT_L_X22Y73 TYPE INT_L TILEPROP INT_L_X22Y74 CLASS tile TILEPROP INT_L_X22Y74 COLUMN 57 TILEPROP INT_L_X22Y74 DEVICE_ID 0 TILEPROP INT_L_X22Y74 FIRST_SITE_ID 7843 TILEPROP INT_L_X22Y74 GRID_POINT_X 57 TILEPROP INT_L_X22Y74 GRID_POINT_Y 79 TILEPROP INT_L_X22Y74 INDEX 9142 TILEPROP INT_L_X22Y74 INT_TILE_X 22 TILEPROP INT_L_X22Y74 INT_TILE_Y 75 TILEPROP INT_L_X22Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y74 IS_DCM_TILE 0 TILEPROP INT_L_X22Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y74 NAME INT_L_X22Y74 TILEPROP INT_L_X22Y74 NUM_ARCS 3737 TILEPROP INT_L_X22Y74 NUM_SITES 1 TILEPROP INT_L_X22Y74 ROW 79 TILEPROP INT_L_X22Y74 SLR_REGION_ID 0 TILEPROP INT_L_X22Y74 TILE_PATTERN_IDX 6369 TILEPROP INT_L_X22Y74 TILE_TYPE INT_L TILEPROP INT_L_X22Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y74 TILE_X 1728 TILEPROP INT_L_X22Y74 TILE_Y -1600 TILEPROP INT_L_X22Y74 TYPE INT_L TILEPROP INT_L_X22Y75 CLASS tile TILEPROP INT_L_X22Y75 COLUMN 57 TILEPROP INT_L_X22Y75 DEVICE_ID 0 TILEPROP INT_L_X22Y75 FIRST_SITE_ID 7651 TILEPROP INT_L_X22Y75 GRID_POINT_X 57 TILEPROP INT_L_X22Y75 GRID_POINT_Y 77 TILEPROP INT_L_X22Y75 INDEX 8912 TILEPROP INT_L_X22Y75 INT_TILE_X 22 TILEPROP INT_L_X22Y75 INT_TILE_Y 74 TILEPROP INT_L_X22Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y75 IS_DCM_TILE 0 TILEPROP INT_L_X22Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y75 NAME INT_L_X22Y75 TILEPROP INT_L_X22Y75 NUM_ARCS 3737 TILEPROP INT_L_X22Y75 NUM_SITES 1 TILEPROP INT_L_X22Y75 ROW 77 TILEPROP INT_L_X22Y75 SLR_REGION_ID 0 TILEPROP INT_L_X22Y75 TILE_PATTERN_IDX 6295 TILEPROP INT_L_X22Y75 TILE_TYPE INT_L TILEPROP INT_L_X22Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y75 TILE_X 1728 TILEPROP INT_L_X22Y75 TILE_Y 2624 TILEPROP INT_L_X22Y75 TYPE INT_L TILEPROP INT_L_X22Y76 CLASS tile TILEPROP INT_L_X22Y76 COLUMN 57 TILEPROP INT_L_X22Y76 DEVICE_ID 0 TILEPROP INT_L_X22Y76 FIRST_SITE_ID 7542 TILEPROP INT_L_X22Y76 GRID_POINT_X 57 TILEPROP INT_L_X22Y76 GRID_POINT_Y 76 TILEPROP INT_L_X22Y76 INDEX 8797 TILEPROP INT_L_X22Y76 INT_TILE_X 22 TILEPROP INT_L_X22Y76 INT_TILE_Y 73 TILEPROP INT_L_X22Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y76 IS_DCM_TILE 0 TILEPROP INT_L_X22Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y76 NAME INT_L_X22Y76 TILEPROP INT_L_X22Y76 NUM_ARCS 3737 TILEPROP INT_L_X22Y76 NUM_SITES 1 TILEPROP INT_L_X22Y76 ROW 76 TILEPROP INT_L_X22Y76 SLR_REGION_ID 0 TILEPROP INT_L_X22Y76 TILE_PATTERN_IDX 6261 TILEPROP INT_L_X22Y76 TILE_TYPE INT_L TILEPROP INT_L_X22Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y76 TILE_X 1728 TILEPROP INT_L_X22Y76 TILE_Y 5824 TILEPROP INT_L_X22Y76 TYPE INT_L TILEPROP INT_L_X22Y77 CLASS tile TILEPROP INT_L_X22Y77 COLUMN 57 TILEPROP INT_L_X22Y77 DEVICE_ID 0 TILEPROP INT_L_X22Y77 FIRST_SITE_ID 7442 TILEPROP INT_L_X22Y77 GRID_POINT_X 57 TILEPROP INT_L_X22Y77 GRID_POINT_Y 75 TILEPROP INT_L_X22Y77 INDEX 8682 TILEPROP INT_L_X22Y77 INT_TILE_X 22 TILEPROP INT_L_X22Y77 INT_TILE_Y 72 TILEPROP INT_L_X22Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y77 IS_DCM_TILE 0 TILEPROP INT_L_X22Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y77 NAME INT_L_X22Y77 TILEPROP INT_L_X22Y77 NUM_ARCS 3737 TILEPROP INT_L_X22Y77 NUM_SITES 1 TILEPROP INT_L_X22Y77 ROW 75 TILEPROP INT_L_X22Y77 SLR_REGION_ID 0 TILEPROP INT_L_X22Y77 TILE_PATTERN_IDX 6227 TILEPROP INT_L_X22Y77 TILE_TYPE INT_L TILEPROP INT_L_X22Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y77 TILE_X 1728 TILEPROP INT_L_X22Y77 TILE_Y 9024 TILEPROP INT_L_X22Y77 TYPE INT_L TILEPROP INT_L_X22Y78 CLASS tile TILEPROP INT_L_X22Y78 COLUMN 57 TILEPROP INT_L_X22Y78 DEVICE_ID 0 TILEPROP INT_L_X22Y78 FIRST_SITE_ID 7342 TILEPROP INT_L_X22Y78 GRID_POINT_X 57 TILEPROP INT_L_X22Y78 GRID_POINT_Y 74 TILEPROP INT_L_X22Y78 INDEX 8567 TILEPROP INT_L_X22Y78 INT_TILE_X 22 TILEPROP INT_L_X22Y78 INT_TILE_Y 71 TILEPROP INT_L_X22Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y78 IS_DCM_TILE 0 TILEPROP INT_L_X22Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y78 NAME INT_L_X22Y78 TILEPROP INT_L_X22Y78 NUM_ARCS 3737 TILEPROP INT_L_X22Y78 NUM_SITES 1 TILEPROP INT_L_X22Y78 ROW 74 TILEPROP INT_L_X22Y78 SLR_REGION_ID 0 TILEPROP INT_L_X22Y78 TILE_PATTERN_IDX 6194 TILEPROP INT_L_X22Y78 TILE_TYPE INT_L TILEPROP INT_L_X22Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y78 TILE_X 1728 TILEPROP INT_L_X22Y78 TILE_Y 12224 TILEPROP INT_L_X22Y78 TYPE INT_L TILEPROP INT_L_X22Y79 CLASS tile TILEPROP INT_L_X22Y79 COLUMN 57 TILEPROP INT_L_X22Y79 DEVICE_ID 0 TILEPROP INT_L_X22Y79 FIRST_SITE_ID 7236 TILEPROP INT_L_X22Y79 GRID_POINT_X 57 TILEPROP INT_L_X22Y79 GRID_POINT_Y 73 TILEPROP INT_L_X22Y79 INDEX 8452 TILEPROP INT_L_X22Y79 INT_TILE_X 22 TILEPROP INT_L_X22Y79 INT_TILE_Y 70 TILEPROP INT_L_X22Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y79 IS_DCM_TILE 0 TILEPROP INT_L_X22Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y79 NAME INT_L_X22Y79 TILEPROP INT_L_X22Y79 NUM_ARCS 3737 TILEPROP INT_L_X22Y79 NUM_SITES 1 TILEPROP INT_L_X22Y79 ROW 73 TILEPROP INT_L_X22Y79 SLR_REGION_ID 0 TILEPROP INT_L_X22Y79 TILE_PATTERN_IDX 6159 TILEPROP INT_L_X22Y79 TILE_TYPE INT_L TILEPROP INT_L_X22Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y79 TILE_X 1728 TILEPROP INT_L_X22Y79 TILE_Y 15424 TILEPROP INT_L_X22Y79 TYPE INT_L TILEPROP INT_L_X22Y80 CLASS tile TILEPROP INT_L_X22Y80 COLUMN 57 TILEPROP INT_L_X22Y80 DEVICE_ID 0 TILEPROP INT_L_X22Y80 FIRST_SITE_ID 7121 TILEPROP INT_L_X22Y80 GRID_POINT_X 57 TILEPROP INT_L_X22Y80 GRID_POINT_Y 72 TILEPROP INT_L_X22Y80 INDEX 8337 TILEPROP INT_L_X22Y80 INT_TILE_X 22 TILEPROP INT_L_X22Y80 INT_TILE_Y 69 TILEPROP INT_L_X22Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y80 IS_DCM_TILE 0 TILEPROP INT_L_X22Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y80 NAME INT_L_X22Y80 TILEPROP INT_L_X22Y80 NUM_ARCS 3737 TILEPROP INT_L_X22Y80 NUM_SITES 1 TILEPROP INT_L_X22Y80 ROW 72 TILEPROP INT_L_X22Y80 SLR_REGION_ID 0 TILEPROP INT_L_X22Y80 TILE_PATTERN_IDX 6124 TILEPROP INT_L_X22Y80 TILE_TYPE INT_L TILEPROP INT_L_X22Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y80 TILE_X 1728 TILEPROP INT_L_X22Y80 TILE_Y 18624 TILEPROP INT_L_X22Y80 TYPE INT_L TILEPROP INT_L_X22Y81 CLASS tile TILEPROP INT_L_X22Y81 COLUMN 57 TILEPROP INT_L_X22Y81 DEVICE_ID 0 TILEPROP INT_L_X22Y81 FIRST_SITE_ID 7013 TILEPROP INT_L_X22Y81 GRID_POINT_X 57 TILEPROP INT_L_X22Y81 GRID_POINT_Y 71 TILEPROP INT_L_X22Y81 INDEX 8222 TILEPROP INT_L_X22Y81 INT_TILE_X 22 TILEPROP INT_L_X22Y81 INT_TILE_Y 68 TILEPROP INT_L_X22Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y81 IS_DCM_TILE 0 TILEPROP INT_L_X22Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y81 NAME INT_L_X22Y81 TILEPROP INT_L_X22Y81 NUM_ARCS 3737 TILEPROP INT_L_X22Y81 NUM_SITES 1 TILEPROP INT_L_X22Y81 ROW 71 TILEPROP INT_L_X22Y81 SLR_REGION_ID 0 TILEPROP INT_L_X22Y81 TILE_PATTERN_IDX 6090 TILEPROP INT_L_X22Y81 TILE_TYPE INT_L TILEPROP INT_L_X22Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y81 TILE_X 1728 TILEPROP INT_L_X22Y81 TILE_Y 21824 TILEPROP INT_L_X22Y81 TYPE INT_L TILEPROP INT_L_X22Y82 CLASS tile TILEPROP INT_L_X22Y82 COLUMN 57 TILEPROP INT_L_X22Y82 DEVICE_ID 0 TILEPROP INT_L_X22Y82 FIRST_SITE_ID 6911 TILEPROP INT_L_X22Y82 GRID_POINT_X 57 TILEPROP INT_L_X22Y82 GRID_POINT_Y 70 TILEPROP INT_L_X22Y82 INDEX 8107 TILEPROP INT_L_X22Y82 INT_TILE_X 22 TILEPROP INT_L_X22Y82 INT_TILE_Y 67 TILEPROP INT_L_X22Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y82 IS_DCM_TILE 0 TILEPROP INT_L_X22Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y82 NAME INT_L_X22Y82 TILEPROP INT_L_X22Y82 NUM_ARCS 3737 TILEPROP INT_L_X22Y82 NUM_SITES 1 TILEPROP INT_L_X22Y82 ROW 70 TILEPROP INT_L_X22Y82 SLR_REGION_ID 0 TILEPROP INT_L_X22Y82 TILE_PATTERN_IDX 6056 TILEPROP INT_L_X22Y82 TILE_TYPE INT_L TILEPROP INT_L_X22Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y82 TILE_X 1728 TILEPROP INT_L_X22Y82 TILE_Y 25024 TILEPROP INT_L_X22Y82 TYPE INT_L TILEPROP INT_L_X22Y83 CLASS tile TILEPROP INT_L_X22Y83 COLUMN 57 TILEPROP INT_L_X22Y83 DEVICE_ID 0 TILEPROP INT_L_X22Y83 FIRST_SITE_ID 6811 TILEPROP INT_L_X22Y83 GRID_POINT_X 57 TILEPROP INT_L_X22Y83 GRID_POINT_Y 69 TILEPROP INT_L_X22Y83 INDEX 7992 TILEPROP INT_L_X22Y83 INT_TILE_X 22 TILEPROP INT_L_X22Y83 INT_TILE_Y 66 TILEPROP INT_L_X22Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y83 IS_DCM_TILE 0 TILEPROP INT_L_X22Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y83 NAME INT_L_X22Y83 TILEPROP INT_L_X22Y83 NUM_ARCS 3737 TILEPROP INT_L_X22Y83 NUM_SITES 1 TILEPROP INT_L_X22Y83 ROW 69 TILEPROP INT_L_X22Y83 SLR_REGION_ID 0 TILEPROP INT_L_X22Y83 TILE_PATTERN_IDX 6022 TILEPROP INT_L_X22Y83 TILE_TYPE INT_L TILEPROP INT_L_X22Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y83 TILE_X 1728 TILEPROP INT_L_X22Y83 TILE_Y 28224 TILEPROP INT_L_X22Y83 TYPE INT_L TILEPROP INT_L_X22Y84 CLASS tile TILEPROP INT_L_X22Y84 COLUMN 57 TILEPROP INT_L_X22Y84 DEVICE_ID 0 TILEPROP INT_L_X22Y84 FIRST_SITE_ID 6711 TILEPROP INT_L_X22Y84 GRID_POINT_X 57 TILEPROP INT_L_X22Y84 GRID_POINT_Y 68 TILEPROP INT_L_X22Y84 INDEX 7877 TILEPROP INT_L_X22Y84 INT_TILE_X 22 TILEPROP INT_L_X22Y84 INT_TILE_Y 65 TILEPROP INT_L_X22Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y84 IS_DCM_TILE 0 TILEPROP INT_L_X22Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y84 NAME INT_L_X22Y84 TILEPROP INT_L_X22Y84 NUM_ARCS 3737 TILEPROP INT_L_X22Y84 NUM_SITES 1 TILEPROP INT_L_X22Y84 ROW 68 TILEPROP INT_L_X22Y84 SLR_REGION_ID 0 TILEPROP INT_L_X22Y84 TILE_PATTERN_IDX 5988 TILEPROP INT_L_X22Y84 TILE_TYPE INT_L TILEPROP INT_L_X22Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y84 TILE_X 1728 TILEPROP INT_L_X22Y84 TILE_Y 31424 TILEPROP INT_L_X22Y84 TYPE INT_L TILEPROP INT_L_X22Y85 CLASS tile TILEPROP INT_L_X22Y85 COLUMN 57 TILEPROP INT_L_X22Y85 DEVICE_ID 0 TILEPROP INT_L_X22Y85 FIRST_SITE_ID 6602 TILEPROP INT_L_X22Y85 GRID_POINT_X 57 TILEPROP INT_L_X22Y85 GRID_POINT_Y 67 TILEPROP INT_L_X22Y85 INDEX 7762 TILEPROP INT_L_X22Y85 INT_TILE_X 22 TILEPROP INT_L_X22Y85 INT_TILE_Y 64 TILEPROP INT_L_X22Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y85 IS_DCM_TILE 0 TILEPROP INT_L_X22Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y85 NAME INT_L_X22Y85 TILEPROP INT_L_X22Y85 NUM_ARCS 3737 TILEPROP INT_L_X22Y85 NUM_SITES 1 TILEPROP INT_L_X22Y85 ROW 67 TILEPROP INT_L_X22Y85 SLR_REGION_ID 0 TILEPROP INT_L_X22Y85 TILE_PATTERN_IDX 5954 TILEPROP INT_L_X22Y85 TILE_TYPE INT_L TILEPROP INT_L_X22Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y85 TILE_X 1728 TILEPROP INT_L_X22Y85 TILE_Y 34624 TILEPROP INT_L_X22Y85 TYPE INT_L TILEPROP INT_L_X22Y86 CLASS tile TILEPROP INT_L_X22Y86 COLUMN 57 TILEPROP INT_L_X22Y86 DEVICE_ID 0 TILEPROP INT_L_X22Y86 FIRST_SITE_ID 6464 TILEPROP INT_L_X22Y86 GRID_POINT_X 57 TILEPROP INT_L_X22Y86 GRID_POINT_Y 66 TILEPROP INT_L_X22Y86 INDEX 7647 TILEPROP INT_L_X22Y86 INT_TILE_X 22 TILEPROP INT_L_X22Y86 INT_TILE_Y 63 TILEPROP INT_L_X22Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y86 IS_DCM_TILE 0 TILEPROP INT_L_X22Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y86 NAME INT_L_X22Y86 TILEPROP INT_L_X22Y86 NUM_ARCS 3737 TILEPROP INT_L_X22Y86 NUM_SITES 1 TILEPROP INT_L_X22Y86 ROW 66 TILEPROP INT_L_X22Y86 SLR_REGION_ID 0 TILEPROP INT_L_X22Y86 TILE_PATTERN_IDX 5918 TILEPROP INT_L_X22Y86 TILE_TYPE INT_L TILEPROP INT_L_X22Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y86 TILE_X 1728 TILEPROP INT_L_X22Y86 TILE_Y 37824 TILEPROP INT_L_X22Y86 TYPE INT_L TILEPROP INT_L_X22Y87 CLASS tile TILEPROP INT_L_X22Y87 COLUMN 57 TILEPROP INT_L_X22Y87 DEVICE_ID 0 TILEPROP INT_L_X22Y87 FIRST_SITE_ID 6364 TILEPROP INT_L_X22Y87 GRID_POINT_X 57 TILEPROP INT_L_X22Y87 GRID_POINT_Y 65 TILEPROP INT_L_X22Y87 INDEX 7532 TILEPROP INT_L_X22Y87 INT_TILE_X 22 TILEPROP INT_L_X22Y87 INT_TILE_Y 62 TILEPROP INT_L_X22Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y87 IS_DCM_TILE 0 TILEPROP INT_L_X22Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y87 NAME INT_L_X22Y87 TILEPROP INT_L_X22Y87 NUM_ARCS 3737 TILEPROP INT_L_X22Y87 NUM_SITES 1 TILEPROP INT_L_X22Y87 ROW 65 TILEPROP INT_L_X22Y87 SLR_REGION_ID 0 TILEPROP INT_L_X22Y87 TILE_PATTERN_IDX 5884 TILEPROP INT_L_X22Y87 TILE_TYPE INT_L TILEPROP INT_L_X22Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y87 TILE_X 1728 TILEPROP INT_L_X22Y87 TILE_Y 41024 TILEPROP INT_L_X22Y87 TYPE INT_L TILEPROP INT_L_X22Y88 CLASS tile TILEPROP INT_L_X22Y88 COLUMN 57 TILEPROP INT_L_X22Y88 DEVICE_ID 0 TILEPROP INT_L_X22Y88 FIRST_SITE_ID 6264 TILEPROP INT_L_X22Y88 GRID_POINT_X 57 TILEPROP INT_L_X22Y88 GRID_POINT_Y 64 TILEPROP INT_L_X22Y88 INDEX 7417 TILEPROP INT_L_X22Y88 INT_TILE_X 22 TILEPROP INT_L_X22Y88 INT_TILE_Y 61 TILEPROP INT_L_X22Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y88 IS_DCM_TILE 0 TILEPROP INT_L_X22Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y88 NAME INT_L_X22Y88 TILEPROP INT_L_X22Y88 NUM_ARCS 3737 TILEPROP INT_L_X22Y88 NUM_SITES 1 TILEPROP INT_L_X22Y88 ROW 64 TILEPROP INT_L_X22Y88 SLR_REGION_ID 0 TILEPROP INT_L_X22Y88 TILE_PATTERN_IDX 5851 TILEPROP INT_L_X22Y88 TILE_TYPE INT_L TILEPROP INT_L_X22Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y88 TILE_X 1728 TILEPROP INT_L_X22Y88 TILE_Y 44224 TILEPROP INT_L_X22Y88 TYPE INT_L TILEPROP INT_L_X22Y89 CLASS tile TILEPROP INT_L_X22Y89 COLUMN 57 TILEPROP INT_L_X22Y89 DEVICE_ID 0 TILEPROP INT_L_X22Y89 FIRST_SITE_ID 6164 TILEPROP INT_L_X22Y89 GRID_POINT_X 57 TILEPROP INT_L_X22Y89 GRID_POINT_Y 63 TILEPROP INT_L_X22Y89 INDEX 7302 TILEPROP INT_L_X22Y89 INT_TILE_X 22 TILEPROP INT_L_X22Y89 INT_TILE_Y 60 TILEPROP INT_L_X22Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y89 IS_DCM_TILE 0 TILEPROP INT_L_X22Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y89 NAME INT_L_X22Y89 TILEPROP INT_L_X22Y89 NUM_ARCS 3737 TILEPROP INT_L_X22Y89 NUM_SITES 1 TILEPROP INT_L_X22Y89 ROW 63 TILEPROP INT_L_X22Y89 SLR_REGION_ID 0 TILEPROP INT_L_X22Y89 TILE_PATTERN_IDX 5817 TILEPROP INT_L_X22Y89 TILE_TYPE INT_L TILEPROP INT_L_X22Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y89 TILE_X 1728 TILEPROP INT_L_X22Y89 TILE_Y 47424 TILEPROP INT_L_X22Y89 TYPE INT_L TILEPROP INT_L_X22Y90 CLASS tile TILEPROP INT_L_X22Y90 COLUMN 57 TILEPROP INT_L_X22Y90 DEVICE_ID 0 TILEPROP INT_L_X22Y90 FIRST_SITE_ID 6055 TILEPROP INT_L_X22Y90 GRID_POINT_X 57 TILEPROP INT_L_X22Y90 GRID_POINT_Y 62 TILEPROP INT_L_X22Y90 INDEX 7187 TILEPROP INT_L_X22Y90 INT_TILE_X 22 TILEPROP INT_L_X22Y90 INT_TILE_Y 59 TILEPROP INT_L_X22Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y90 IS_DCM_TILE 0 TILEPROP INT_L_X22Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y90 NAME INT_L_X22Y90 TILEPROP INT_L_X22Y90 NUM_ARCS 3737 TILEPROP INT_L_X22Y90 NUM_SITES 1 TILEPROP INT_L_X22Y90 ROW 62 TILEPROP INT_L_X22Y90 SLR_REGION_ID 0 TILEPROP INT_L_X22Y90 TILE_PATTERN_IDX 5783 TILEPROP INT_L_X22Y90 TILE_TYPE INT_L TILEPROP INT_L_X22Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y90 TILE_X 1728 TILEPROP INT_L_X22Y90 TILE_Y 50624 TILEPROP INT_L_X22Y90 TYPE INT_L TILEPROP INT_L_X22Y91 CLASS tile TILEPROP INT_L_X22Y91 COLUMN 57 TILEPROP INT_L_X22Y91 DEVICE_ID 0 TILEPROP INT_L_X22Y91 FIRST_SITE_ID 5949 TILEPROP INT_L_X22Y91 GRID_POINT_X 57 TILEPROP INT_L_X22Y91 GRID_POINT_Y 61 TILEPROP INT_L_X22Y91 INDEX 7072 TILEPROP INT_L_X22Y91 INT_TILE_X 22 TILEPROP INT_L_X22Y91 INT_TILE_Y 58 TILEPROP INT_L_X22Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y91 IS_DCM_TILE 0 TILEPROP INT_L_X22Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y91 NAME INT_L_X22Y91 TILEPROP INT_L_X22Y91 NUM_ARCS 3737 TILEPROP INT_L_X22Y91 NUM_SITES 1 TILEPROP INT_L_X22Y91 ROW 61 TILEPROP INT_L_X22Y91 SLR_REGION_ID 0 TILEPROP INT_L_X22Y91 TILE_PATTERN_IDX 5749 TILEPROP INT_L_X22Y91 TILE_TYPE INT_L TILEPROP INT_L_X22Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y91 TILE_X 1728 TILEPROP INT_L_X22Y91 TILE_Y 53824 TILEPROP INT_L_X22Y91 TYPE INT_L TILEPROP INT_L_X22Y92 CLASS tile TILEPROP INT_L_X22Y92 COLUMN 57 TILEPROP INT_L_X22Y92 DEVICE_ID 0 TILEPROP INT_L_X22Y92 FIRST_SITE_ID 5848 TILEPROP INT_L_X22Y92 GRID_POINT_X 57 TILEPROP INT_L_X22Y92 GRID_POINT_Y 60 TILEPROP INT_L_X22Y92 INDEX 6957 TILEPROP INT_L_X22Y92 INT_TILE_X 22 TILEPROP INT_L_X22Y92 INT_TILE_Y 57 TILEPROP INT_L_X22Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y92 IS_DCM_TILE 0 TILEPROP INT_L_X22Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y92 NAME INT_L_X22Y92 TILEPROP INT_L_X22Y92 NUM_ARCS 3737 TILEPROP INT_L_X22Y92 NUM_SITES 1 TILEPROP INT_L_X22Y92 ROW 60 TILEPROP INT_L_X22Y92 SLR_REGION_ID 0 TILEPROP INT_L_X22Y92 TILE_PATTERN_IDX 5716 TILEPROP INT_L_X22Y92 TILE_TYPE INT_L TILEPROP INT_L_X22Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y92 TILE_X 1728 TILEPROP INT_L_X22Y92 TILE_Y 57024 TILEPROP INT_L_X22Y92 TYPE INT_L TILEPROP INT_L_X22Y93 CLASS tile TILEPROP INT_L_X22Y93 COLUMN 57 TILEPROP INT_L_X22Y93 DEVICE_ID 0 TILEPROP INT_L_X22Y93 FIRST_SITE_ID 5745 TILEPROP INT_L_X22Y93 GRID_POINT_X 57 TILEPROP INT_L_X22Y93 GRID_POINT_Y 59 TILEPROP INT_L_X22Y93 INDEX 6842 TILEPROP INT_L_X22Y93 INT_TILE_X 22 TILEPROP INT_L_X22Y93 INT_TILE_Y 56 TILEPROP INT_L_X22Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y93 IS_DCM_TILE 0 TILEPROP INT_L_X22Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y93 NAME INT_L_X22Y93 TILEPROP INT_L_X22Y93 NUM_ARCS 3737 TILEPROP INT_L_X22Y93 NUM_SITES 1 TILEPROP INT_L_X22Y93 ROW 59 TILEPROP INT_L_X22Y93 SLR_REGION_ID 0 TILEPROP INT_L_X22Y93 TILE_PATTERN_IDX 5682 TILEPROP INT_L_X22Y93 TILE_TYPE INT_L TILEPROP INT_L_X22Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y93 TILE_X 1728 TILEPROP INT_L_X22Y93 TILE_Y 60224 TILEPROP INT_L_X22Y93 TYPE INT_L TILEPROP INT_L_X22Y94 CLASS tile TILEPROP INT_L_X22Y94 COLUMN 57 TILEPROP INT_L_X22Y94 DEVICE_ID 0 TILEPROP INT_L_X22Y94 FIRST_SITE_ID 5643 TILEPROP INT_L_X22Y94 GRID_POINT_X 57 TILEPROP INT_L_X22Y94 GRID_POINT_Y 58 TILEPROP INT_L_X22Y94 INDEX 6727 TILEPROP INT_L_X22Y94 INT_TILE_X 22 TILEPROP INT_L_X22Y94 INT_TILE_Y 55 TILEPROP INT_L_X22Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y94 IS_DCM_TILE 0 TILEPROP INT_L_X22Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y94 NAME INT_L_X22Y94 TILEPROP INT_L_X22Y94 NUM_ARCS 3737 TILEPROP INT_L_X22Y94 NUM_SITES 1 TILEPROP INT_L_X22Y94 ROW 58 TILEPROP INT_L_X22Y94 SLR_REGION_ID 0 TILEPROP INT_L_X22Y94 TILE_PATTERN_IDX 5648 TILEPROP INT_L_X22Y94 TILE_TYPE INT_L TILEPROP INT_L_X22Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y94 TILE_X 1728 TILEPROP INT_L_X22Y94 TILE_Y 63424 TILEPROP INT_L_X22Y94 TYPE INT_L TILEPROP INT_L_X22Y95 CLASS tile TILEPROP INT_L_X22Y95 COLUMN 57 TILEPROP INT_L_X22Y95 DEVICE_ID 0 TILEPROP INT_L_X22Y95 FIRST_SITE_ID 5534 TILEPROP INT_L_X22Y95 GRID_POINT_X 57 TILEPROP INT_L_X22Y95 GRID_POINT_Y 57 TILEPROP INT_L_X22Y95 INDEX 6612 TILEPROP INT_L_X22Y95 INT_TILE_X 22 TILEPROP INT_L_X22Y95 INT_TILE_Y 54 TILEPROP INT_L_X22Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y95 IS_DCM_TILE 0 TILEPROP INT_L_X22Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y95 NAME INT_L_X22Y95 TILEPROP INT_L_X22Y95 NUM_ARCS 3737 TILEPROP INT_L_X22Y95 NUM_SITES 1 TILEPROP INT_L_X22Y95 ROW 57 TILEPROP INT_L_X22Y95 SLR_REGION_ID 0 TILEPROP INT_L_X22Y95 TILE_PATTERN_IDX 5614 TILEPROP INT_L_X22Y95 TILE_TYPE INT_L TILEPROP INT_L_X22Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y95 TILE_X 1728 TILEPROP INT_L_X22Y95 TILE_Y 66624 TILEPROP INT_L_X22Y95 TYPE INT_L TILEPROP INT_L_X22Y96 CLASS tile TILEPROP INT_L_X22Y96 COLUMN 57 TILEPROP INT_L_X22Y96 DEVICE_ID 0 TILEPROP INT_L_X22Y96 FIRST_SITE_ID 5428 TILEPROP INT_L_X22Y96 GRID_POINT_X 57 TILEPROP INT_L_X22Y96 GRID_POINT_Y 56 TILEPROP INT_L_X22Y96 INDEX 6497 TILEPROP INT_L_X22Y96 INT_TILE_X 22 TILEPROP INT_L_X22Y96 INT_TILE_Y 53 TILEPROP INT_L_X22Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y96 IS_DCM_TILE 0 TILEPROP INT_L_X22Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y96 NAME INT_L_X22Y96 TILEPROP INT_L_X22Y96 NUM_ARCS 3737 TILEPROP INT_L_X22Y96 NUM_SITES 1 TILEPROP INT_L_X22Y96 ROW 56 TILEPROP INT_L_X22Y96 SLR_REGION_ID 0 TILEPROP INT_L_X22Y96 TILE_PATTERN_IDX 5579 TILEPROP INT_L_X22Y96 TILE_TYPE INT_L TILEPROP INT_L_X22Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y96 TILE_X 1728 TILEPROP INT_L_X22Y96 TILE_Y 69824 TILEPROP INT_L_X22Y96 TYPE INT_L TILEPROP INT_L_X22Y97 CLASS tile TILEPROP INT_L_X22Y97 COLUMN 57 TILEPROP INT_L_X22Y97 DEVICE_ID 0 TILEPROP INT_L_X22Y97 FIRST_SITE_ID 5328 TILEPROP INT_L_X22Y97 GRID_POINT_X 57 TILEPROP INT_L_X22Y97 GRID_POINT_Y 55 TILEPROP INT_L_X22Y97 INDEX 6382 TILEPROP INT_L_X22Y97 INT_TILE_X 22 TILEPROP INT_L_X22Y97 INT_TILE_Y 52 TILEPROP INT_L_X22Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y97 IS_DCM_TILE 0 TILEPROP INT_L_X22Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y97 NAME INT_L_X22Y97 TILEPROP INT_L_X22Y97 NUM_ARCS 3737 TILEPROP INT_L_X22Y97 NUM_SITES 1 TILEPROP INT_L_X22Y97 ROW 55 TILEPROP INT_L_X22Y97 SLR_REGION_ID 0 TILEPROP INT_L_X22Y97 TILE_PATTERN_IDX 5545 TILEPROP INT_L_X22Y97 TILE_TYPE INT_L TILEPROP INT_L_X22Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y97 TILE_X 1728 TILEPROP INT_L_X22Y97 TILE_Y 73024 TILEPROP INT_L_X22Y97 TYPE INT_L TILEPROP INT_L_X22Y98 CLASS tile TILEPROP INT_L_X22Y98 COLUMN 57 TILEPROP INT_L_X22Y98 DEVICE_ID 0 TILEPROP INT_L_X22Y98 FIRST_SITE_ID 5228 TILEPROP INT_L_X22Y98 GRID_POINT_X 57 TILEPROP INT_L_X22Y98 GRID_POINT_Y 54 TILEPROP INT_L_X22Y98 INDEX 6267 TILEPROP INT_L_X22Y98 INT_TILE_X 22 TILEPROP INT_L_X22Y98 INT_TILE_Y 51 TILEPROP INT_L_X22Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y98 IS_DCM_TILE 0 TILEPROP INT_L_X22Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y98 NAME INT_L_X22Y98 TILEPROP INT_L_X22Y98 NUM_ARCS 3737 TILEPROP INT_L_X22Y98 NUM_SITES 1 TILEPROP INT_L_X22Y98 ROW 54 TILEPROP INT_L_X22Y98 SLR_REGION_ID 0 TILEPROP INT_L_X22Y98 TILE_PATTERN_IDX 5511 TILEPROP INT_L_X22Y98 TILE_TYPE INT_L TILEPROP INT_L_X22Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y98 TILE_X 1728 TILEPROP INT_L_X22Y98 TILE_Y 76224 TILEPROP INT_L_X22Y98 TYPE INT_L TILEPROP INT_L_X22Y99 CLASS tile TILEPROP INT_L_X22Y99 COLUMN 57 TILEPROP INT_L_X22Y99 DEVICE_ID 0 TILEPROP INT_L_X22Y99 FIRST_SITE_ID 5132 TILEPROP INT_L_X22Y99 GRID_POINT_X 57 TILEPROP INT_L_X22Y99 GRID_POINT_Y 53 TILEPROP INT_L_X22Y99 INDEX 6152 TILEPROP INT_L_X22Y99 INT_TILE_X 22 TILEPROP INT_L_X22Y99 INT_TILE_Y 50 TILEPROP INT_L_X22Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y99 IS_DCM_TILE 0 TILEPROP INT_L_X22Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y99 NAME INT_L_X22Y99 TILEPROP INT_L_X22Y99 NUM_ARCS 3737 TILEPROP INT_L_X22Y99 NUM_SITES 1 TILEPROP INT_L_X22Y99 ROW 53 TILEPROP INT_L_X22Y99 SLR_REGION_ID 0 TILEPROP INT_L_X22Y99 TILE_PATTERN_IDX 5478 TILEPROP INT_L_X22Y99 TILE_TYPE INT_L TILEPROP INT_L_X22Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y99 TILE_X 1728 TILEPROP INT_L_X22Y99 TILE_Y 79424 TILEPROP INT_L_X22Y99 TYPE INT_L TILEPROP INT_L_X22Y100 CLASS tile TILEPROP INT_L_X22Y100 COLUMN 57 TILEPROP INT_L_X22Y100 DEVICE_ID 0 TILEPROP INT_L_X22Y100 FIRST_SITE_ID 5055 TILEPROP INT_L_X22Y100 GRID_POINT_X 57 TILEPROP INT_L_X22Y100 GRID_POINT_Y 51 TILEPROP INT_L_X22Y100 INDEX 5922 TILEPROP INT_L_X22Y100 INT_TILE_X 22 TILEPROP INT_L_X22Y100 INT_TILE_Y 49 TILEPROP INT_L_X22Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y100 IS_DCM_TILE 0 TILEPROP INT_L_X22Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y100 NAME INT_L_X22Y100 TILEPROP INT_L_X22Y100 NUM_ARCS 3737 TILEPROP INT_L_X22Y100 NUM_SITES 1 TILEPROP INT_L_X22Y100 ROW 51 TILEPROP INT_L_X22Y100 SLR_REGION_ID 0 TILEPROP INT_L_X22Y100 TILE_PATTERN_IDX 5446 TILEPROP INT_L_X22Y100 TILE_TYPE INT_L TILEPROP INT_L_X22Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y100 TILE_X 1728 TILEPROP INT_L_X22Y100 TILE_Y 82872 TILEPROP INT_L_X22Y100 TYPE INT_L TILEPROP INT_L_X22Y101 CLASS tile TILEPROP INT_L_X22Y101 COLUMN 57 TILEPROP INT_L_X22Y101 DEVICE_ID 0 TILEPROP INT_L_X22Y101 FIRST_SITE_ID 4957 TILEPROP INT_L_X22Y101 GRID_POINT_X 57 TILEPROP INT_L_X22Y101 GRID_POINT_Y 50 TILEPROP INT_L_X22Y101 INDEX 5807 TILEPROP INT_L_X22Y101 INT_TILE_X 22 TILEPROP INT_L_X22Y101 INT_TILE_Y 48 TILEPROP INT_L_X22Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y101 IS_DCM_TILE 0 TILEPROP INT_L_X22Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y101 NAME INT_L_X22Y101 TILEPROP INT_L_X22Y101 NUM_ARCS 3737 TILEPROP INT_L_X22Y101 NUM_SITES 1 TILEPROP INT_L_X22Y101 ROW 50 TILEPROP INT_L_X22Y101 SLR_REGION_ID 0 TILEPROP INT_L_X22Y101 TILE_PATTERN_IDX 5410 TILEPROP INT_L_X22Y101 TILE_TYPE INT_L TILEPROP INT_L_X22Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y101 TILE_X 1728 TILEPROP INT_L_X22Y101 TILE_Y 86072 TILEPROP INT_L_X22Y101 TYPE INT_L TILEPROP INT_L_X22Y102 CLASS tile TILEPROP INT_L_X22Y102 COLUMN 57 TILEPROP INT_L_X22Y102 DEVICE_ID 0 TILEPROP INT_L_X22Y102 FIRST_SITE_ID 4861 TILEPROP INT_L_X22Y102 GRID_POINT_X 57 TILEPROP INT_L_X22Y102 GRID_POINT_Y 49 TILEPROP INT_L_X22Y102 INDEX 5692 TILEPROP INT_L_X22Y102 INT_TILE_X 22 TILEPROP INT_L_X22Y102 INT_TILE_Y 47 TILEPROP INT_L_X22Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y102 IS_DCM_TILE 0 TILEPROP INT_L_X22Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y102 NAME INT_L_X22Y102 TILEPROP INT_L_X22Y102 NUM_ARCS 3737 TILEPROP INT_L_X22Y102 NUM_SITES 1 TILEPROP INT_L_X22Y102 ROW 49 TILEPROP INT_L_X22Y102 SLR_REGION_ID 0 TILEPROP INT_L_X22Y102 TILE_PATTERN_IDX 5373 TILEPROP INT_L_X22Y102 TILE_TYPE INT_L TILEPROP INT_L_X22Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y102 TILE_X 1728 TILEPROP INT_L_X22Y102 TILE_Y 89272 TILEPROP INT_L_X22Y102 TYPE INT_L TILEPROP INT_L_X22Y103 CLASS tile TILEPROP INT_L_X22Y103 COLUMN 57 TILEPROP INT_L_X22Y103 DEVICE_ID 0 TILEPROP INT_L_X22Y103 FIRST_SITE_ID 4773 TILEPROP INT_L_X22Y103 GRID_POINT_X 57 TILEPROP INT_L_X22Y103 GRID_POINT_Y 48 TILEPROP INT_L_X22Y103 INDEX 5577 TILEPROP INT_L_X22Y103 INT_TILE_X 22 TILEPROP INT_L_X22Y103 INT_TILE_Y 46 TILEPROP INT_L_X22Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y103 IS_DCM_TILE 0 TILEPROP INT_L_X22Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y103 NAME INT_L_X22Y103 TILEPROP INT_L_X22Y103 NUM_ARCS 3737 TILEPROP INT_L_X22Y103 NUM_SITES 1 TILEPROP INT_L_X22Y103 ROW 48 TILEPROP INT_L_X22Y103 SLR_REGION_ID 0 TILEPROP INT_L_X22Y103 TILE_PATTERN_IDX 5337 TILEPROP INT_L_X22Y103 TILE_TYPE INT_L TILEPROP INT_L_X22Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y103 TILE_X 1728 TILEPROP INT_L_X22Y103 TILE_Y 92472 TILEPROP INT_L_X22Y103 TYPE INT_L TILEPROP INT_L_X22Y104 CLASS tile TILEPROP INT_L_X22Y104 COLUMN 57 TILEPROP INT_L_X22Y104 DEVICE_ID 0 TILEPROP INT_L_X22Y104 FIRST_SITE_ID 4677 TILEPROP INT_L_X22Y104 GRID_POINT_X 57 TILEPROP INT_L_X22Y104 GRID_POINT_Y 47 TILEPROP INT_L_X22Y104 INDEX 5462 TILEPROP INT_L_X22Y104 INT_TILE_X 22 TILEPROP INT_L_X22Y104 INT_TILE_Y 45 TILEPROP INT_L_X22Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y104 IS_DCM_TILE 0 TILEPROP INT_L_X22Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y104 NAME INT_L_X22Y104 TILEPROP INT_L_X22Y104 NUM_ARCS 3737 TILEPROP INT_L_X22Y104 NUM_SITES 1 TILEPROP INT_L_X22Y104 ROW 47 TILEPROP INT_L_X22Y104 SLR_REGION_ID 0 TILEPROP INT_L_X22Y104 TILE_PATTERN_IDX 5300 TILEPROP INT_L_X22Y104 TILE_TYPE INT_L TILEPROP INT_L_X22Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y104 TILE_X 1728 TILEPROP INT_L_X22Y104 TILE_Y 95672 TILEPROP INT_L_X22Y104 TYPE INT_L TILEPROP INT_L_X22Y105 CLASS tile TILEPROP INT_L_X22Y105 COLUMN 57 TILEPROP INT_L_X22Y105 DEVICE_ID 0 TILEPROP INT_L_X22Y105 FIRST_SITE_ID 4581 TILEPROP INT_L_X22Y105 GRID_POINT_X 57 TILEPROP INT_L_X22Y105 GRID_POINT_Y 46 TILEPROP INT_L_X22Y105 INDEX 5347 TILEPROP INT_L_X22Y105 INT_TILE_X 22 TILEPROP INT_L_X22Y105 INT_TILE_Y 44 TILEPROP INT_L_X22Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y105 IS_DCM_TILE 0 TILEPROP INT_L_X22Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y105 NAME INT_L_X22Y105 TILEPROP INT_L_X22Y105 NUM_ARCS 3737 TILEPROP INT_L_X22Y105 NUM_SITES 1 TILEPROP INT_L_X22Y105 ROW 46 TILEPROP INT_L_X22Y105 SLR_REGION_ID 0 TILEPROP INT_L_X22Y105 TILE_PATTERN_IDX 5264 TILEPROP INT_L_X22Y105 TILE_TYPE INT_L TILEPROP INT_L_X22Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y105 TILE_X 1728 TILEPROP INT_L_X22Y105 TILE_Y 98872 TILEPROP INT_L_X22Y105 TYPE INT_L TILEPROP INT_L_X22Y106 CLASS tile TILEPROP INT_L_X22Y106 COLUMN 57 TILEPROP INT_L_X22Y106 DEVICE_ID 0 TILEPROP INT_L_X22Y106 FIRST_SITE_ID 4479 TILEPROP INT_L_X22Y106 GRID_POINT_X 57 TILEPROP INT_L_X22Y106 GRID_POINT_Y 45 TILEPROP INT_L_X22Y106 INDEX 5232 TILEPROP INT_L_X22Y106 INT_TILE_X 22 TILEPROP INT_L_X22Y106 INT_TILE_Y 43 TILEPROP INT_L_X22Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y106 IS_DCM_TILE 0 TILEPROP INT_L_X22Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y106 NAME INT_L_X22Y106 TILEPROP INT_L_X22Y106 NUM_ARCS 3737 TILEPROP INT_L_X22Y106 NUM_SITES 1 TILEPROP INT_L_X22Y106 ROW 45 TILEPROP INT_L_X22Y106 SLR_REGION_ID 0 TILEPROP INT_L_X22Y106 TILE_PATTERN_IDX 5227 TILEPROP INT_L_X22Y106 TILE_TYPE INT_L TILEPROP INT_L_X22Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y106 TILE_X 1728 TILEPROP INT_L_X22Y106 TILE_Y 102072 TILEPROP INT_L_X22Y106 TYPE INT_L TILEPROP INT_L_X22Y107 CLASS tile TILEPROP INT_L_X22Y107 COLUMN 57 TILEPROP INT_L_X22Y107 DEVICE_ID 0 TILEPROP INT_L_X22Y107 FIRST_SITE_ID 4391 TILEPROP INT_L_X22Y107 GRID_POINT_X 57 TILEPROP INT_L_X22Y107 GRID_POINT_Y 44 TILEPROP INT_L_X22Y107 INDEX 5117 TILEPROP INT_L_X22Y107 INT_TILE_X 22 TILEPROP INT_L_X22Y107 INT_TILE_Y 42 TILEPROP INT_L_X22Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y107 IS_DCM_TILE 0 TILEPROP INT_L_X22Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y107 NAME INT_L_X22Y107 TILEPROP INT_L_X22Y107 NUM_ARCS 3737 TILEPROP INT_L_X22Y107 NUM_SITES 1 TILEPROP INT_L_X22Y107 ROW 44 TILEPROP INT_L_X22Y107 SLR_REGION_ID 0 TILEPROP INT_L_X22Y107 TILE_PATTERN_IDX 5191 TILEPROP INT_L_X22Y107 TILE_TYPE INT_L TILEPROP INT_L_X22Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y107 TILE_X 1728 TILEPROP INT_L_X22Y107 TILE_Y 105272 TILEPROP INT_L_X22Y107 TYPE INT_L TILEPROP INT_L_X22Y108 CLASS tile TILEPROP INT_L_X22Y108 COLUMN 57 TILEPROP INT_L_X22Y108 DEVICE_ID 0 TILEPROP INT_L_X22Y108 FIRST_SITE_ID 4293 TILEPROP INT_L_X22Y108 GRID_POINT_X 57 TILEPROP INT_L_X22Y108 GRID_POINT_Y 43 TILEPROP INT_L_X22Y108 INDEX 5002 TILEPROP INT_L_X22Y108 INT_TILE_X 22 TILEPROP INT_L_X22Y108 INT_TILE_Y 41 TILEPROP INT_L_X22Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y108 IS_DCM_TILE 0 TILEPROP INT_L_X22Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y108 NAME INT_L_X22Y108 TILEPROP INT_L_X22Y108 NUM_ARCS 3737 TILEPROP INT_L_X22Y108 NUM_SITES 1 TILEPROP INT_L_X22Y108 ROW 43 TILEPROP INT_L_X22Y108 SLR_REGION_ID 0 TILEPROP INT_L_X22Y108 TILE_PATTERN_IDX 5154 TILEPROP INT_L_X22Y108 TILE_TYPE INT_L TILEPROP INT_L_X22Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y108 TILE_X 1728 TILEPROP INT_L_X22Y108 TILE_Y 108472 TILEPROP INT_L_X22Y108 TYPE INT_L TILEPROP INT_L_X22Y109 CLASS tile TILEPROP INT_L_X22Y109 COLUMN 57 TILEPROP INT_L_X22Y109 DEVICE_ID 0 TILEPROP INT_L_X22Y109 FIRST_SITE_ID 4204 TILEPROP INT_L_X22Y109 GRID_POINT_X 57 TILEPROP INT_L_X22Y109 GRID_POINT_Y 42 TILEPROP INT_L_X22Y109 INDEX 4887 TILEPROP INT_L_X22Y109 INT_TILE_X 22 TILEPROP INT_L_X22Y109 INT_TILE_Y 40 TILEPROP INT_L_X22Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y109 IS_DCM_TILE 0 TILEPROP INT_L_X22Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y109 NAME INT_L_X22Y109 TILEPROP INT_L_X22Y109 NUM_ARCS 3737 TILEPROP INT_L_X22Y109 NUM_SITES 1 TILEPROP INT_L_X22Y109 ROW 42 TILEPROP INT_L_X22Y109 SLR_REGION_ID 0 TILEPROP INT_L_X22Y109 TILE_PATTERN_IDX 5118 TILEPROP INT_L_X22Y109 TILE_TYPE INT_L TILEPROP INT_L_X22Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y109 TILE_X 1728 TILEPROP INT_L_X22Y109 TILE_Y 111672 TILEPROP INT_L_X22Y109 TYPE INT_L TILEPROP INT_L_X22Y110 CLASS tile TILEPROP INT_L_X22Y110 COLUMN 57 TILEPROP INT_L_X22Y110 DEVICE_ID 0 TILEPROP INT_L_X22Y110 FIRST_SITE_ID 4104 TILEPROP INT_L_X22Y110 GRID_POINT_X 57 TILEPROP INT_L_X22Y110 GRID_POINT_Y 41 TILEPROP INT_L_X22Y110 INDEX 4772 TILEPROP INT_L_X22Y110 INT_TILE_X 22 TILEPROP INT_L_X22Y110 INT_TILE_Y 39 TILEPROP INT_L_X22Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y110 IS_DCM_TILE 0 TILEPROP INT_L_X22Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y110 NAME INT_L_X22Y110 TILEPROP INT_L_X22Y110 NUM_ARCS 3737 TILEPROP INT_L_X22Y110 NUM_SITES 1 TILEPROP INT_L_X22Y110 ROW 41 TILEPROP INT_L_X22Y110 SLR_REGION_ID 0 TILEPROP INT_L_X22Y110 TILE_PATTERN_IDX 5081 TILEPROP INT_L_X22Y110 TILE_TYPE INT_L TILEPROP INT_L_X22Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y110 TILE_X 1728 TILEPROP INT_L_X22Y110 TILE_Y 114872 TILEPROP INT_L_X22Y110 TYPE INT_L TILEPROP INT_L_X22Y111 CLASS tile TILEPROP INT_L_X22Y111 COLUMN 57 TILEPROP INT_L_X22Y111 DEVICE_ID 0 TILEPROP INT_L_X22Y111 FIRST_SITE_ID 4010 TILEPROP INT_L_X22Y111 GRID_POINT_X 57 TILEPROP INT_L_X22Y111 GRID_POINT_Y 40 TILEPROP INT_L_X22Y111 INDEX 4657 TILEPROP INT_L_X22Y111 INT_TILE_X 22 TILEPROP INT_L_X22Y111 INT_TILE_Y 38 TILEPROP INT_L_X22Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y111 IS_DCM_TILE 0 TILEPROP INT_L_X22Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y111 NAME INT_L_X22Y111 TILEPROP INT_L_X22Y111 NUM_ARCS 3737 TILEPROP INT_L_X22Y111 NUM_SITES 1 TILEPROP INT_L_X22Y111 ROW 40 TILEPROP INT_L_X22Y111 SLR_REGION_ID 0 TILEPROP INT_L_X22Y111 TILE_PATTERN_IDX 5045 TILEPROP INT_L_X22Y111 TILE_TYPE INT_L TILEPROP INT_L_X22Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y111 TILE_X 1728 TILEPROP INT_L_X22Y111 TILE_Y 118072 TILEPROP INT_L_X22Y111 TYPE INT_L TILEPROP INT_L_X22Y112 CLASS tile TILEPROP INT_L_X22Y112 COLUMN 57 TILEPROP INT_L_X22Y112 DEVICE_ID 0 TILEPROP INT_L_X22Y112 FIRST_SITE_ID 3882 TILEPROP INT_L_X22Y112 GRID_POINT_X 57 TILEPROP INT_L_X22Y112 GRID_POINT_Y 39 TILEPROP INT_L_X22Y112 INDEX 4542 TILEPROP INT_L_X22Y112 INT_TILE_X 22 TILEPROP INT_L_X22Y112 INT_TILE_Y 37 TILEPROP INT_L_X22Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y112 IS_DCM_TILE 0 TILEPROP INT_L_X22Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y112 NAME INT_L_X22Y112 TILEPROP INT_L_X22Y112 NUM_ARCS 3737 TILEPROP INT_L_X22Y112 NUM_SITES 1 TILEPROP INT_L_X22Y112 ROW 39 TILEPROP INT_L_X22Y112 SLR_REGION_ID 0 TILEPROP INT_L_X22Y112 TILE_PATTERN_IDX 5007 TILEPROP INT_L_X22Y112 TILE_TYPE INT_L TILEPROP INT_L_X22Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y112 TILE_X 1728 TILEPROP INT_L_X22Y112 TILE_Y 121272 TILEPROP INT_L_X22Y112 TYPE INT_L TILEPROP INT_L_X22Y113 CLASS tile TILEPROP INT_L_X22Y113 COLUMN 57 TILEPROP INT_L_X22Y113 DEVICE_ID 0 TILEPROP INT_L_X22Y113 FIRST_SITE_ID 3794 TILEPROP INT_L_X22Y113 GRID_POINT_X 57 TILEPROP INT_L_X22Y113 GRID_POINT_Y 38 TILEPROP INT_L_X22Y113 INDEX 4427 TILEPROP INT_L_X22Y113 INT_TILE_X 22 TILEPROP INT_L_X22Y113 INT_TILE_Y 36 TILEPROP INT_L_X22Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y113 IS_DCM_TILE 0 TILEPROP INT_L_X22Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y113 NAME INT_L_X22Y113 TILEPROP INT_L_X22Y113 NUM_ARCS 3737 TILEPROP INT_L_X22Y113 NUM_SITES 1 TILEPROP INT_L_X22Y113 ROW 38 TILEPROP INT_L_X22Y113 SLR_REGION_ID 0 TILEPROP INT_L_X22Y113 TILE_PATTERN_IDX 4971 TILEPROP INT_L_X22Y113 TILE_TYPE INT_L TILEPROP INT_L_X22Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y113 TILE_X 1728 TILEPROP INT_L_X22Y113 TILE_Y 124472 TILEPROP INT_L_X22Y113 TYPE INT_L TILEPROP INT_L_X22Y114 CLASS tile TILEPROP INT_L_X22Y114 COLUMN 57 TILEPROP INT_L_X22Y114 DEVICE_ID 0 TILEPROP INT_L_X22Y114 FIRST_SITE_ID 3698 TILEPROP INT_L_X22Y114 GRID_POINT_X 57 TILEPROP INT_L_X22Y114 GRID_POINT_Y 37 TILEPROP INT_L_X22Y114 INDEX 4312 TILEPROP INT_L_X22Y114 INT_TILE_X 22 TILEPROP INT_L_X22Y114 INT_TILE_Y 35 TILEPROP INT_L_X22Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y114 IS_DCM_TILE 0 TILEPROP INT_L_X22Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y114 NAME INT_L_X22Y114 TILEPROP INT_L_X22Y114 NUM_ARCS 3737 TILEPROP INT_L_X22Y114 NUM_SITES 1 TILEPROP INT_L_X22Y114 ROW 37 TILEPROP INT_L_X22Y114 SLR_REGION_ID 0 TILEPROP INT_L_X22Y114 TILE_PATTERN_IDX 4934 TILEPROP INT_L_X22Y114 TILE_TYPE INT_L TILEPROP INT_L_X22Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y114 TILE_X 1728 TILEPROP INT_L_X22Y114 TILE_Y 127672 TILEPROP INT_L_X22Y114 TYPE INT_L TILEPROP INT_L_X22Y115 CLASS tile TILEPROP INT_L_X22Y115 COLUMN 57 TILEPROP INT_L_X22Y115 DEVICE_ID 0 TILEPROP INT_L_X22Y115 FIRST_SITE_ID 3607 TILEPROP INT_L_X22Y115 GRID_POINT_X 57 TILEPROP INT_L_X22Y115 GRID_POINT_Y 36 TILEPROP INT_L_X22Y115 INDEX 4197 TILEPROP INT_L_X22Y115 INT_TILE_X 22 TILEPROP INT_L_X22Y115 INT_TILE_Y 34 TILEPROP INT_L_X22Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y115 IS_DCM_TILE 0 TILEPROP INT_L_X22Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y115 NAME INT_L_X22Y115 TILEPROP INT_L_X22Y115 NUM_ARCS 3737 TILEPROP INT_L_X22Y115 NUM_SITES 1 TILEPROP INT_L_X22Y115 ROW 36 TILEPROP INT_L_X22Y115 SLR_REGION_ID 0 TILEPROP INT_L_X22Y115 TILE_PATTERN_IDX 4898 TILEPROP INT_L_X22Y115 TILE_TYPE INT_L TILEPROP INT_L_X22Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y115 TILE_X 1728 TILEPROP INT_L_X22Y115 TILE_Y 130872 TILEPROP INT_L_X22Y115 TYPE INT_L TILEPROP INT_L_X22Y116 CLASS tile TILEPROP INT_L_X22Y116 COLUMN 57 TILEPROP INT_L_X22Y116 DEVICE_ID 0 TILEPROP INT_L_X22Y116 FIRST_SITE_ID 3500 TILEPROP INT_L_X22Y116 GRID_POINT_X 57 TILEPROP INT_L_X22Y116 GRID_POINT_Y 35 TILEPROP INT_L_X22Y116 INDEX 4082 TILEPROP INT_L_X22Y116 INT_TILE_X 22 TILEPROP INT_L_X22Y116 INT_TILE_Y 33 TILEPROP INT_L_X22Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y116 IS_DCM_TILE 0 TILEPROP INT_L_X22Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y116 NAME INT_L_X22Y116 TILEPROP INT_L_X22Y116 NUM_ARCS 3737 TILEPROP INT_L_X22Y116 NUM_SITES 1 TILEPROP INT_L_X22Y116 ROW 35 TILEPROP INT_L_X22Y116 SLR_REGION_ID 0 TILEPROP INT_L_X22Y116 TILE_PATTERN_IDX 4861 TILEPROP INT_L_X22Y116 TILE_TYPE INT_L TILEPROP INT_L_X22Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y116 TILE_X 1728 TILEPROP INT_L_X22Y116 TILE_Y 134072 TILEPROP INT_L_X22Y116 TYPE INT_L TILEPROP INT_L_X22Y117 CLASS tile TILEPROP INT_L_X22Y117 COLUMN 57 TILEPROP INT_L_X22Y117 DEVICE_ID 0 TILEPROP INT_L_X22Y117 FIRST_SITE_ID 3412 TILEPROP INT_L_X22Y117 GRID_POINT_X 57 TILEPROP INT_L_X22Y117 GRID_POINT_Y 34 TILEPROP INT_L_X22Y117 INDEX 3967 TILEPROP INT_L_X22Y117 INT_TILE_X 22 TILEPROP INT_L_X22Y117 INT_TILE_Y 32 TILEPROP INT_L_X22Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y117 IS_DCM_TILE 0 TILEPROP INT_L_X22Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y117 NAME INT_L_X22Y117 TILEPROP INT_L_X22Y117 NUM_ARCS 3737 TILEPROP INT_L_X22Y117 NUM_SITES 1 TILEPROP INT_L_X22Y117 ROW 34 TILEPROP INT_L_X22Y117 SLR_REGION_ID 0 TILEPROP INT_L_X22Y117 TILE_PATTERN_IDX 4825 TILEPROP INT_L_X22Y117 TILE_TYPE INT_L TILEPROP INT_L_X22Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y117 TILE_X 1728 TILEPROP INT_L_X22Y117 TILE_Y 137272 TILEPROP INT_L_X22Y117 TYPE INT_L TILEPROP INT_L_X22Y118 CLASS tile TILEPROP INT_L_X22Y118 COLUMN 57 TILEPROP INT_L_X22Y118 DEVICE_ID 0 TILEPROP INT_L_X22Y118 FIRST_SITE_ID 3312 TILEPROP INT_L_X22Y118 GRID_POINT_X 57 TILEPROP INT_L_X22Y118 GRID_POINT_Y 33 TILEPROP INT_L_X22Y118 INDEX 3852 TILEPROP INT_L_X22Y118 INT_TILE_X 22 TILEPROP INT_L_X22Y118 INT_TILE_Y 31 TILEPROP INT_L_X22Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y118 IS_DCM_TILE 0 TILEPROP INT_L_X22Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y118 NAME INT_L_X22Y118 TILEPROP INT_L_X22Y118 NUM_ARCS 3737 TILEPROP INT_L_X22Y118 NUM_SITES 1 TILEPROP INT_L_X22Y118 ROW 33 TILEPROP INT_L_X22Y118 SLR_REGION_ID 0 TILEPROP INT_L_X22Y118 TILE_PATTERN_IDX 4787 TILEPROP INT_L_X22Y118 TILE_TYPE INT_L TILEPROP INT_L_X22Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y118 TILE_X 1728 TILEPROP INT_L_X22Y118 TILE_Y 140472 TILEPROP INT_L_X22Y118 TYPE INT_L TILEPROP INT_L_X22Y119 CLASS tile TILEPROP INT_L_X22Y119 COLUMN 57 TILEPROP INT_L_X22Y119 DEVICE_ID 0 TILEPROP INT_L_X22Y119 FIRST_SITE_ID 3224 TILEPROP INT_L_X22Y119 GRID_POINT_X 57 TILEPROP INT_L_X22Y119 GRID_POINT_Y 32 TILEPROP INT_L_X22Y119 INDEX 3737 TILEPROP INT_L_X22Y119 INT_TILE_X 22 TILEPROP INT_L_X22Y119 INT_TILE_Y 30 TILEPROP INT_L_X22Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y119 IS_DCM_TILE 0 TILEPROP INT_L_X22Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y119 NAME INT_L_X22Y119 TILEPROP INT_L_X22Y119 NUM_ARCS 3737 TILEPROP INT_L_X22Y119 NUM_SITES 1 TILEPROP INT_L_X22Y119 ROW 32 TILEPROP INT_L_X22Y119 SLR_REGION_ID 0 TILEPROP INT_L_X22Y119 TILE_PATTERN_IDX 4751 TILEPROP INT_L_X22Y119 TILE_TYPE INT_L TILEPROP INT_L_X22Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y119 TILE_X 1728 TILEPROP INT_L_X22Y119 TILE_Y 143672 TILEPROP INT_L_X22Y119 TYPE INT_L TILEPROP INT_L_X22Y120 CLASS tile TILEPROP INT_L_X22Y120 COLUMN 57 TILEPROP INT_L_X22Y120 DEVICE_ID 0 TILEPROP INT_L_X22Y120 FIRST_SITE_ID 3123 TILEPROP INT_L_X22Y120 GRID_POINT_X 57 TILEPROP INT_L_X22Y120 GRID_POINT_Y 31 TILEPROP INT_L_X22Y120 INDEX 3622 TILEPROP INT_L_X22Y120 INT_TILE_X 22 TILEPROP INT_L_X22Y120 INT_TILE_Y 29 TILEPROP INT_L_X22Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y120 IS_DCM_TILE 0 TILEPROP INT_L_X22Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y120 NAME INT_L_X22Y120 TILEPROP INT_L_X22Y120 NUM_ARCS 3737 TILEPROP INT_L_X22Y120 NUM_SITES 1 TILEPROP INT_L_X22Y120 ROW 31 TILEPROP INT_L_X22Y120 SLR_REGION_ID 0 TILEPROP INT_L_X22Y120 TILE_PATTERN_IDX 4714 TILEPROP INT_L_X22Y120 TILE_TYPE INT_L TILEPROP INT_L_X22Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y120 TILE_X 1728 TILEPROP INT_L_X22Y120 TILE_Y 146872 TILEPROP INT_L_X22Y120 TYPE INT_L TILEPROP INT_L_X22Y121 CLASS tile TILEPROP INT_L_X22Y121 COLUMN 57 TILEPROP INT_L_X22Y121 DEVICE_ID 0 TILEPROP INT_L_X22Y121 FIRST_SITE_ID 3029 TILEPROP INT_L_X22Y121 GRID_POINT_X 57 TILEPROP INT_L_X22Y121 GRID_POINT_Y 30 TILEPROP INT_L_X22Y121 INDEX 3507 TILEPROP INT_L_X22Y121 INT_TILE_X 22 TILEPROP INT_L_X22Y121 INT_TILE_Y 28 TILEPROP INT_L_X22Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y121 IS_DCM_TILE 0 TILEPROP INT_L_X22Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y121 NAME INT_L_X22Y121 TILEPROP INT_L_X22Y121 NUM_ARCS 3737 TILEPROP INT_L_X22Y121 NUM_SITES 1 TILEPROP INT_L_X22Y121 ROW 30 TILEPROP INT_L_X22Y121 SLR_REGION_ID 0 TILEPROP INT_L_X22Y121 TILE_PATTERN_IDX 4678 TILEPROP INT_L_X22Y121 TILE_TYPE INT_L TILEPROP INT_L_X22Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y121 TILE_X 1728 TILEPROP INT_L_X22Y121 TILE_Y 150072 TILEPROP INT_L_X22Y121 TYPE INT_L TILEPROP INT_L_X22Y122 CLASS tile TILEPROP INT_L_X22Y122 COLUMN 57 TILEPROP INT_L_X22Y122 DEVICE_ID 0 TILEPROP INT_L_X22Y122 FIRST_SITE_ID 2926 TILEPROP INT_L_X22Y122 GRID_POINT_X 57 TILEPROP INT_L_X22Y122 GRID_POINT_Y 29 TILEPROP INT_L_X22Y122 INDEX 3392 TILEPROP INT_L_X22Y122 INT_TILE_X 22 TILEPROP INT_L_X22Y122 INT_TILE_Y 27 TILEPROP INT_L_X22Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y122 IS_DCM_TILE 0 TILEPROP INT_L_X22Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y122 NAME INT_L_X22Y122 TILEPROP INT_L_X22Y122 NUM_ARCS 3737 TILEPROP INT_L_X22Y122 NUM_SITES 1 TILEPROP INT_L_X22Y122 ROW 29 TILEPROP INT_L_X22Y122 SLR_REGION_ID 0 TILEPROP INT_L_X22Y122 TILE_PATTERN_IDX 4640 TILEPROP INT_L_X22Y122 TILE_TYPE INT_L TILEPROP INT_L_X22Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y122 TILE_X 1728 TILEPROP INT_L_X22Y122 TILE_Y 153272 TILEPROP INT_L_X22Y122 TYPE INT_L TILEPROP INT_L_X22Y123 CLASS tile TILEPROP INT_L_X22Y123 COLUMN 57 TILEPROP INT_L_X22Y123 DEVICE_ID 0 TILEPROP INT_L_X22Y123 FIRST_SITE_ID 2838 TILEPROP INT_L_X22Y123 GRID_POINT_X 57 TILEPROP INT_L_X22Y123 GRID_POINT_Y 28 TILEPROP INT_L_X22Y123 INDEX 3277 TILEPROP INT_L_X22Y123 INT_TILE_X 22 TILEPROP INT_L_X22Y123 INT_TILE_Y 26 TILEPROP INT_L_X22Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y123 IS_DCM_TILE 0 TILEPROP INT_L_X22Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y123 NAME INT_L_X22Y123 TILEPROP INT_L_X22Y123 NUM_ARCS 3737 TILEPROP INT_L_X22Y123 NUM_SITES 1 TILEPROP INT_L_X22Y123 ROW 28 TILEPROP INT_L_X22Y123 SLR_REGION_ID 0 TILEPROP INT_L_X22Y123 TILE_PATTERN_IDX 4604 TILEPROP INT_L_X22Y123 TILE_TYPE INT_L TILEPROP INT_L_X22Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y123 TILE_X 1728 TILEPROP INT_L_X22Y123 TILE_Y 156472 TILEPROP INT_L_X22Y123 TYPE INT_L TILEPROP INT_L_X22Y124 CLASS tile TILEPROP INT_L_X22Y124 COLUMN 57 TILEPROP INT_L_X22Y124 DEVICE_ID 0 TILEPROP INT_L_X22Y124 FIRST_SITE_ID 2742 TILEPROP INT_L_X22Y124 GRID_POINT_X 57 TILEPROP INT_L_X22Y124 GRID_POINT_Y 27 TILEPROP INT_L_X22Y124 INDEX 3162 TILEPROP INT_L_X22Y124 INT_TILE_X 22 TILEPROP INT_L_X22Y124 INT_TILE_Y 25 TILEPROP INT_L_X22Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y124 IS_DCM_TILE 0 TILEPROP INT_L_X22Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y124 NAME INT_L_X22Y124 TILEPROP INT_L_X22Y124 NUM_ARCS 3737 TILEPROP INT_L_X22Y124 NUM_SITES 1 TILEPROP INT_L_X22Y124 ROW 27 TILEPROP INT_L_X22Y124 SLR_REGION_ID 0 TILEPROP INT_L_X22Y124 TILE_PATTERN_IDX 4567 TILEPROP INT_L_X22Y124 TILE_TYPE INT_L TILEPROP INT_L_X22Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y124 TILE_X 1728 TILEPROP INT_L_X22Y124 TILE_Y 159672 TILEPROP INT_L_X22Y124 TYPE INT_L TILEPROP INT_L_X22Y125 CLASS tile TILEPROP INT_L_X22Y125 COLUMN 57 TILEPROP INT_L_X22Y125 DEVICE_ID 0 TILEPROP INT_L_X22Y125 FIRST_SITE_ID 2569 TILEPROP INT_L_X22Y125 GRID_POINT_X 57 TILEPROP INT_L_X22Y125 GRID_POINT_Y 25 TILEPROP INT_L_X22Y125 INDEX 2932 TILEPROP INT_L_X22Y125 INT_TILE_X 22 TILEPROP INT_L_X22Y125 INT_TILE_Y 24 TILEPROP INT_L_X22Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y125 IS_DCM_TILE 0 TILEPROP INT_L_X22Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y125 NAME INT_L_X22Y125 TILEPROP INT_L_X22Y125 NUM_ARCS 3737 TILEPROP INT_L_X22Y125 NUM_SITES 1 TILEPROP INT_L_X22Y125 ROW 25 TILEPROP INT_L_X22Y125 SLR_REGION_ID 0 TILEPROP INT_L_X22Y125 TILE_PATTERN_IDX 4488 TILEPROP INT_L_X22Y125 TILE_TYPE INT_L TILEPROP INT_L_X22Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y125 TILE_X 1728 TILEPROP INT_L_X22Y125 TILE_Y 163896 TILEPROP INT_L_X22Y125 TYPE INT_L TILEPROP INT_L_X22Y126 CLASS tile TILEPROP INT_L_X22Y126 COLUMN 57 TILEPROP INT_L_X22Y126 DEVICE_ID 0 TILEPROP INT_L_X22Y126 FIRST_SITE_ID 2459 TILEPROP INT_L_X22Y126 GRID_POINT_X 57 TILEPROP INT_L_X22Y126 GRID_POINT_Y 24 TILEPROP INT_L_X22Y126 INDEX 2817 TILEPROP INT_L_X22Y126 INT_TILE_X 22 TILEPROP INT_L_X22Y126 INT_TILE_Y 23 TILEPROP INT_L_X22Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y126 IS_DCM_TILE 0 TILEPROP INT_L_X22Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y126 NAME INT_L_X22Y126 TILEPROP INT_L_X22Y126 NUM_ARCS 3737 TILEPROP INT_L_X22Y126 NUM_SITES 1 TILEPROP INT_L_X22Y126 ROW 24 TILEPROP INT_L_X22Y126 SLR_REGION_ID 0 TILEPROP INT_L_X22Y126 TILE_PATTERN_IDX 4449 TILEPROP INT_L_X22Y126 TILE_TYPE INT_L TILEPROP INT_L_X22Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y126 TILE_X 1728 TILEPROP INT_L_X22Y126 TILE_Y 167096 TILEPROP INT_L_X22Y126 TYPE INT_L TILEPROP INT_L_X22Y127 CLASS tile TILEPROP INT_L_X22Y127 COLUMN 57 TILEPROP INT_L_X22Y127 DEVICE_ID 0 TILEPROP INT_L_X22Y127 FIRST_SITE_ID 2363 TILEPROP INT_L_X22Y127 GRID_POINT_X 57 TILEPROP INT_L_X22Y127 GRID_POINT_Y 23 TILEPROP INT_L_X22Y127 INDEX 2702 TILEPROP INT_L_X22Y127 INT_TILE_X 22 TILEPROP INT_L_X22Y127 INT_TILE_Y 22 TILEPROP INT_L_X22Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y127 IS_DCM_TILE 0 TILEPROP INT_L_X22Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y127 NAME INT_L_X22Y127 TILEPROP INT_L_X22Y127 NUM_ARCS 3737 TILEPROP INT_L_X22Y127 NUM_SITES 1 TILEPROP INT_L_X22Y127 ROW 23 TILEPROP INT_L_X22Y127 SLR_REGION_ID 0 TILEPROP INT_L_X22Y127 TILE_PATTERN_IDX 4411 TILEPROP INT_L_X22Y127 TILE_TYPE INT_L TILEPROP INT_L_X22Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y127 TILE_X 1728 TILEPROP INT_L_X22Y127 TILE_Y 170296 TILEPROP INT_L_X22Y127 TYPE INT_L TILEPROP INT_L_X22Y128 CLASS tile TILEPROP INT_L_X22Y128 COLUMN 57 TILEPROP INT_L_X22Y128 DEVICE_ID 0 TILEPROP INT_L_X22Y128 FIRST_SITE_ID 2259 TILEPROP INT_L_X22Y128 GRID_POINT_X 57 TILEPROP INT_L_X22Y128 GRID_POINT_Y 22 TILEPROP INT_L_X22Y128 INDEX 2587 TILEPROP INT_L_X22Y128 INT_TILE_X 22 TILEPROP INT_L_X22Y128 INT_TILE_Y 21 TILEPROP INT_L_X22Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y128 IS_DCM_TILE 0 TILEPROP INT_L_X22Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y128 NAME INT_L_X22Y128 TILEPROP INT_L_X22Y128 NUM_ARCS 3737 TILEPROP INT_L_X22Y128 NUM_SITES 1 TILEPROP INT_L_X22Y128 ROW 22 TILEPROP INT_L_X22Y128 SLR_REGION_ID 0 TILEPROP INT_L_X22Y128 TILE_PATTERN_IDX 4372 TILEPROP INT_L_X22Y128 TILE_TYPE INT_L TILEPROP INT_L_X22Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y128 TILE_X 1728 TILEPROP INT_L_X22Y128 TILE_Y 173496 TILEPROP INT_L_X22Y128 TYPE INT_L TILEPROP INT_L_X22Y129 CLASS tile TILEPROP INT_L_X22Y129 COLUMN 57 TILEPROP INT_L_X22Y129 DEVICE_ID 0 TILEPROP INT_L_X22Y129 FIRST_SITE_ID 2163 TILEPROP INT_L_X22Y129 GRID_POINT_X 57 TILEPROP INT_L_X22Y129 GRID_POINT_Y 21 TILEPROP INT_L_X22Y129 INDEX 2472 TILEPROP INT_L_X22Y129 INT_TILE_X 22 TILEPROP INT_L_X22Y129 INT_TILE_Y 20 TILEPROP INT_L_X22Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y129 IS_DCM_TILE 0 TILEPROP INT_L_X22Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y129 NAME INT_L_X22Y129 TILEPROP INT_L_X22Y129 NUM_ARCS 3737 TILEPROP INT_L_X22Y129 NUM_SITES 1 TILEPROP INT_L_X22Y129 ROW 21 TILEPROP INT_L_X22Y129 SLR_REGION_ID 0 TILEPROP INT_L_X22Y129 TILE_PATTERN_IDX 4334 TILEPROP INT_L_X22Y129 TILE_TYPE INT_L TILEPROP INT_L_X22Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y129 TILE_X 1728 TILEPROP INT_L_X22Y129 TILE_Y 176696 TILEPROP INT_L_X22Y129 TYPE INT_L TILEPROP INT_L_X22Y130 CLASS tile TILEPROP INT_L_X22Y130 COLUMN 57 TILEPROP INT_L_X22Y130 DEVICE_ID 0 TILEPROP INT_L_X22Y130 FIRST_SITE_ID 2047 TILEPROP INT_L_X22Y130 GRID_POINT_X 57 TILEPROP INT_L_X22Y130 GRID_POINT_Y 20 TILEPROP INT_L_X22Y130 INDEX 2357 TILEPROP INT_L_X22Y130 INT_TILE_X 22 TILEPROP INT_L_X22Y130 INT_TILE_Y 19 TILEPROP INT_L_X22Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y130 IS_DCM_TILE 0 TILEPROP INT_L_X22Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y130 NAME INT_L_X22Y130 TILEPROP INT_L_X22Y130 NUM_ARCS 3737 TILEPROP INT_L_X22Y130 NUM_SITES 1 TILEPROP INT_L_X22Y130 ROW 20 TILEPROP INT_L_X22Y130 SLR_REGION_ID 0 TILEPROP INT_L_X22Y130 TILE_PATTERN_IDX 4294 TILEPROP INT_L_X22Y130 TILE_TYPE INT_L TILEPROP INT_L_X22Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y130 TILE_X 1728 TILEPROP INT_L_X22Y130 TILE_Y 179896 TILEPROP INT_L_X22Y130 TYPE INT_L TILEPROP INT_L_X22Y131 CLASS tile TILEPROP INT_L_X22Y131 COLUMN 57 TILEPROP INT_L_X22Y131 DEVICE_ID 0 TILEPROP INT_L_X22Y131 FIRST_SITE_ID 1945 TILEPROP INT_L_X22Y131 GRID_POINT_X 57 TILEPROP INT_L_X22Y131 GRID_POINT_Y 19 TILEPROP INT_L_X22Y131 INDEX 2242 TILEPROP INT_L_X22Y131 INT_TILE_X 22 TILEPROP INT_L_X22Y131 INT_TILE_Y 18 TILEPROP INT_L_X22Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y131 IS_DCM_TILE 0 TILEPROP INT_L_X22Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y131 NAME INT_L_X22Y131 TILEPROP INT_L_X22Y131 NUM_ARCS 3737 TILEPROP INT_L_X22Y131 NUM_SITES 1 TILEPROP INT_L_X22Y131 ROW 19 TILEPROP INT_L_X22Y131 SLR_REGION_ID 0 TILEPROP INT_L_X22Y131 TILE_PATTERN_IDX 4256 TILEPROP INT_L_X22Y131 TILE_TYPE INT_L TILEPROP INT_L_X22Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y131 TILE_X 1728 TILEPROP INT_L_X22Y131 TILE_Y 183096 TILEPROP INT_L_X22Y131 TYPE INT_L TILEPROP INT_L_X22Y132 CLASS tile TILEPROP INT_L_X22Y132 COLUMN 57 TILEPROP INT_L_X22Y132 DEVICE_ID 0 TILEPROP INT_L_X22Y132 FIRST_SITE_ID 1839 TILEPROP INT_L_X22Y132 GRID_POINT_X 57 TILEPROP INT_L_X22Y132 GRID_POINT_Y 18 TILEPROP INT_L_X22Y132 INDEX 2127 TILEPROP INT_L_X22Y132 INT_TILE_X 22 TILEPROP INT_L_X22Y132 INT_TILE_Y 17 TILEPROP INT_L_X22Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y132 IS_DCM_TILE 0 TILEPROP INT_L_X22Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y132 NAME INT_L_X22Y132 TILEPROP INT_L_X22Y132 NUM_ARCS 3737 TILEPROP INT_L_X22Y132 NUM_SITES 1 TILEPROP INT_L_X22Y132 ROW 18 TILEPROP INT_L_X22Y132 SLR_REGION_ID 0 TILEPROP INT_L_X22Y132 TILE_PATTERN_IDX 4217 TILEPROP INT_L_X22Y132 TILE_TYPE INT_L TILEPROP INT_L_X22Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y132 TILE_X 1728 TILEPROP INT_L_X22Y132 TILE_Y 186296 TILEPROP INT_L_X22Y132 TYPE INT_L TILEPROP INT_L_X22Y133 CLASS tile TILEPROP INT_L_X22Y133 COLUMN 57 TILEPROP INT_L_X22Y133 DEVICE_ID 0 TILEPROP INT_L_X22Y133 FIRST_SITE_ID 1738 TILEPROP INT_L_X22Y133 GRID_POINT_X 57 TILEPROP INT_L_X22Y133 GRID_POINT_Y 17 TILEPROP INT_L_X22Y133 INDEX 2012 TILEPROP INT_L_X22Y133 INT_TILE_X 22 TILEPROP INT_L_X22Y133 INT_TILE_Y 16 TILEPROP INT_L_X22Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y133 IS_DCM_TILE 0 TILEPROP INT_L_X22Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y133 NAME INT_L_X22Y133 TILEPROP INT_L_X22Y133 NUM_ARCS 3737 TILEPROP INT_L_X22Y133 NUM_SITES 1 TILEPROP INT_L_X22Y133 ROW 17 TILEPROP INT_L_X22Y133 SLR_REGION_ID 0 TILEPROP INT_L_X22Y133 TILE_PATTERN_IDX 4179 TILEPROP INT_L_X22Y133 TILE_TYPE INT_L TILEPROP INT_L_X22Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y133 TILE_X 1728 TILEPROP INT_L_X22Y133 TILE_Y 189496 TILEPROP INT_L_X22Y133 TYPE INT_L TILEPROP INT_L_X22Y134 CLASS tile TILEPROP INT_L_X22Y134 COLUMN 57 TILEPROP INT_L_X22Y134 DEVICE_ID 0 TILEPROP INT_L_X22Y134 FIRST_SITE_ID 1634 TILEPROP INT_L_X22Y134 GRID_POINT_X 57 TILEPROP INT_L_X22Y134 GRID_POINT_Y 16 TILEPROP INT_L_X22Y134 INDEX 1897 TILEPROP INT_L_X22Y134 INT_TILE_X 22 TILEPROP INT_L_X22Y134 INT_TILE_Y 15 TILEPROP INT_L_X22Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y134 IS_DCM_TILE 0 TILEPROP INT_L_X22Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y134 NAME INT_L_X22Y134 TILEPROP INT_L_X22Y134 NUM_ARCS 3737 TILEPROP INT_L_X22Y134 NUM_SITES 1 TILEPROP INT_L_X22Y134 ROW 16 TILEPROP INT_L_X22Y134 SLR_REGION_ID 0 TILEPROP INT_L_X22Y134 TILE_PATTERN_IDX 4140 TILEPROP INT_L_X22Y134 TILE_TYPE INT_L TILEPROP INT_L_X22Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y134 TILE_X 1728 TILEPROP INT_L_X22Y134 TILE_Y 192696 TILEPROP INT_L_X22Y134 TYPE INT_L TILEPROP INT_L_X22Y135 CLASS tile TILEPROP INT_L_X22Y135 COLUMN 57 TILEPROP INT_L_X22Y135 DEVICE_ID 0 TILEPROP INT_L_X22Y135 FIRST_SITE_ID 1532 TILEPROP INT_L_X22Y135 GRID_POINT_X 57 TILEPROP INT_L_X22Y135 GRID_POINT_Y 15 TILEPROP INT_L_X22Y135 INDEX 1782 TILEPROP INT_L_X22Y135 INT_TILE_X 22 TILEPROP INT_L_X22Y135 INT_TILE_Y 14 TILEPROP INT_L_X22Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y135 IS_DCM_TILE 0 TILEPROP INT_L_X22Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y135 NAME INT_L_X22Y135 TILEPROP INT_L_X22Y135 NUM_ARCS 3737 TILEPROP INT_L_X22Y135 NUM_SITES 1 TILEPROP INT_L_X22Y135 ROW 15 TILEPROP INT_L_X22Y135 SLR_REGION_ID 0 TILEPROP INT_L_X22Y135 TILE_PATTERN_IDX 4102 TILEPROP INT_L_X22Y135 TILE_TYPE INT_L TILEPROP INT_L_X22Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y135 TILE_X 1728 TILEPROP INT_L_X22Y135 TILE_Y 195896 TILEPROP INT_L_X22Y135 TYPE INT_L TILEPROP INT_L_X22Y136 CLASS tile TILEPROP INT_L_X22Y136 COLUMN 57 TILEPROP INT_L_X22Y136 DEVICE_ID 0 TILEPROP INT_L_X22Y136 FIRST_SITE_ID 1390 TILEPROP INT_L_X22Y136 GRID_POINT_X 57 TILEPROP INT_L_X22Y136 GRID_POINT_Y 14 TILEPROP INT_L_X22Y136 INDEX 1667 TILEPROP INT_L_X22Y136 INT_TILE_X 22 TILEPROP INT_L_X22Y136 INT_TILE_Y 13 TILEPROP INT_L_X22Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y136 IS_DCM_TILE 0 TILEPROP INT_L_X22Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y136 NAME INT_L_X22Y136 TILEPROP INT_L_X22Y136 NUM_ARCS 3737 TILEPROP INT_L_X22Y136 NUM_SITES 1 TILEPROP INT_L_X22Y136 ROW 14 TILEPROP INT_L_X22Y136 SLR_REGION_ID 0 TILEPROP INT_L_X22Y136 TILE_PATTERN_IDX 4063 TILEPROP INT_L_X22Y136 TILE_TYPE INT_L TILEPROP INT_L_X22Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y136 TILE_X 1728 TILEPROP INT_L_X22Y136 TILE_Y 199096 TILEPROP INT_L_X22Y136 TYPE INT_L TILEPROP INT_L_X22Y137 CLASS tile TILEPROP INT_L_X22Y137 COLUMN 57 TILEPROP INT_L_X22Y137 DEVICE_ID 0 TILEPROP INT_L_X22Y137 FIRST_SITE_ID 1294 TILEPROP INT_L_X22Y137 GRID_POINT_X 57 TILEPROP INT_L_X22Y137 GRID_POINT_Y 13 TILEPROP INT_L_X22Y137 INDEX 1552 TILEPROP INT_L_X22Y137 INT_TILE_X 22 TILEPROP INT_L_X22Y137 INT_TILE_Y 12 TILEPROP INT_L_X22Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y137 IS_DCM_TILE 0 TILEPROP INT_L_X22Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y137 NAME INT_L_X22Y137 TILEPROP INT_L_X22Y137 NUM_ARCS 3737 TILEPROP INT_L_X22Y137 NUM_SITES 1 TILEPROP INT_L_X22Y137 ROW 13 TILEPROP INT_L_X22Y137 SLR_REGION_ID 0 TILEPROP INT_L_X22Y137 TILE_PATTERN_IDX 4025 TILEPROP INT_L_X22Y137 TILE_TYPE INT_L TILEPROP INT_L_X22Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y137 TILE_X 1728 TILEPROP INT_L_X22Y137 TILE_Y 202296 TILEPROP INT_L_X22Y137 TYPE INT_L TILEPROP INT_L_X22Y138 CLASS tile TILEPROP INT_L_X22Y138 COLUMN 57 TILEPROP INT_L_X22Y138 DEVICE_ID 0 TILEPROP INT_L_X22Y138 FIRST_SITE_ID 1190 TILEPROP INT_L_X22Y138 GRID_POINT_X 57 TILEPROP INT_L_X22Y138 GRID_POINT_Y 12 TILEPROP INT_L_X22Y138 INDEX 1437 TILEPROP INT_L_X22Y138 INT_TILE_X 22 TILEPROP INT_L_X22Y138 INT_TILE_Y 11 TILEPROP INT_L_X22Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y138 IS_DCM_TILE 0 TILEPROP INT_L_X22Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y138 NAME INT_L_X22Y138 TILEPROP INT_L_X22Y138 NUM_ARCS 3737 TILEPROP INT_L_X22Y138 NUM_SITES 1 TILEPROP INT_L_X22Y138 ROW 12 TILEPROP INT_L_X22Y138 SLR_REGION_ID 0 TILEPROP INT_L_X22Y138 TILE_PATTERN_IDX 3986 TILEPROP INT_L_X22Y138 TILE_TYPE INT_L TILEPROP INT_L_X22Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y138 TILE_X 1728 TILEPROP INT_L_X22Y138 TILE_Y 205496 TILEPROP INT_L_X22Y138 TYPE INT_L TILEPROP INT_L_X22Y139 CLASS tile TILEPROP INT_L_X22Y139 COLUMN 57 TILEPROP INT_L_X22Y139 DEVICE_ID 0 TILEPROP INT_L_X22Y139 FIRST_SITE_ID 1094 TILEPROP INT_L_X22Y139 GRID_POINT_X 57 TILEPROP INT_L_X22Y139 GRID_POINT_Y 11 TILEPROP INT_L_X22Y139 INDEX 1322 TILEPROP INT_L_X22Y139 INT_TILE_X 22 TILEPROP INT_L_X22Y139 INT_TILE_Y 10 TILEPROP INT_L_X22Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y139 IS_DCM_TILE 0 TILEPROP INT_L_X22Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y139 NAME INT_L_X22Y139 TILEPROP INT_L_X22Y139 NUM_ARCS 3737 TILEPROP INT_L_X22Y139 NUM_SITES 1 TILEPROP INT_L_X22Y139 ROW 11 TILEPROP INT_L_X22Y139 SLR_REGION_ID 0 TILEPROP INT_L_X22Y139 TILE_PATTERN_IDX 3948 TILEPROP INT_L_X22Y139 TILE_TYPE INT_L TILEPROP INT_L_X22Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y139 TILE_X 1728 TILEPROP INT_L_X22Y139 TILE_Y 208696 TILEPROP INT_L_X22Y139 TYPE INT_L TILEPROP INT_L_X22Y140 CLASS tile TILEPROP INT_L_X22Y140 COLUMN 57 TILEPROP INT_L_X22Y140 DEVICE_ID 0 TILEPROP INT_L_X22Y140 FIRST_SITE_ID 984 TILEPROP INT_L_X22Y140 GRID_POINT_X 57 TILEPROP INT_L_X22Y140 GRID_POINT_Y 10 TILEPROP INT_L_X22Y140 INDEX 1207 TILEPROP INT_L_X22Y140 INT_TILE_X 22 TILEPROP INT_L_X22Y140 INT_TILE_Y 9 TILEPROP INT_L_X22Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y140 IS_DCM_TILE 0 TILEPROP INT_L_X22Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y140 NAME INT_L_X22Y140 TILEPROP INT_L_X22Y140 NUM_ARCS 3737 TILEPROP INT_L_X22Y140 NUM_SITES 1 TILEPROP INT_L_X22Y140 ROW 10 TILEPROP INT_L_X22Y140 SLR_REGION_ID 0 TILEPROP INT_L_X22Y140 TILE_PATTERN_IDX 3909 TILEPROP INT_L_X22Y140 TILE_TYPE INT_L TILEPROP INT_L_X22Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y140 TILE_X 1728 TILEPROP INT_L_X22Y140 TILE_Y 211896 TILEPROP INT_L_X22Y140 TYPE INT_L TILEPROP INT_L_X22Y141 CLASS tile TILEPROP INT_L_X22Y141 COLUMN 57 TILEPROP INT_L_X22Y141 DEVICE_ID 0 TILEPROP INT_L_X22Y141 FIRST_SITE_ID 882 TILEPROP INT_L_X22Y141 GRID_POINT_X 57 TILEPROP INT_L_X22Y141 GRID_POINT_Y 9 TILEPROP INT_L_X22Y141 INDEX 1092 TILEPROP INT_L_X22Y141 INT_TILE_X 22 TILEPROP INT_L_X22Y141 INT_TILE_Y 8 TILEPROP INT_L_X22Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y141 IS_DCM_TILE 0 TILEPROP INT_L_X22Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y141 NAME INT_L_X22Y141 TILEPROP INT_L_X22Y141 NUM_ARCS 3737 TILEPROP INT_L_X22Y141 NUM_SITES 1 TILEPROP INT_L_X22Y141 ROW 9 TILEPROP INT_L_X22Y141 SLR_REGION_ID 0 TILEPROP INT_L_X22Y141 TILE_PATTERN_IDX 3871 TILEPROP INT_L_X22Y141 TILE_TYPE INT_L TILEPROP INT_L_X22Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y141 TILE_X 1728 TILEPROP INT_L_X22Y141 TILE_Y 215096 TILEPROP INT_L_X22Y141 TYPE INT_L TILEPROP INT_L_X22Y142 CLASS tile TILEPROP INT_L_X22Y142 COLUMN 57 TILEPROP INT_L_X22Y142 DEVICE_ID 0 TILEPROP INT_L_X22Y142 FIRST_SITE_ID 778 TILEPROP INT_L_X22Y142 GRID_POINT_X 57 TILEPROP INT_L_X22Y142 GRID_POINT_Y 8 TILEPROP INT_L_X22Y142 INDEX 977 TILEPROP INT_L_X22Y142 INT_TILE_X 22 TILEPROP INT_L_X22Y142 INT_TILE_Y 7 TILEPROP INT_L_X22Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y142 IS_DCM_TILE 0 TILEPROP INT_L_X22Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y142 NAME INT_L_X22Y142 TILEPROP INT_L_X22Y142 NUM_ARCS 3737 TILEPROP INT_L_X22Y142 NUM_SITES 1 TILEPROP INT_L_X22Y142 ROW 8 TILEPROP INT_L_X22Y142 SLR_REGION_ID 0 TILEPROP INT_L_X22Y142 TILE_PATTERN_IDX 3832 TILEPROP INT_L_X22Y142 TILE_TYPE INT_L TILEPROP INT_L_X22Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y142 TILE_X 1728 TILEPROP INT_L_X22Y142 TILE_Y 218296 TILEPROP INT_L_X22Y142 TYPE INT_L TILEPROP INT_L_X22Y143 CLASS tile TILEPROP INT_L_X22Y143 COLUMN 57 TILEPROP INT_L_X22Y143 DEVICE_ID 0 TILEPROP INT_L_X22Y143 FIRST_SITE_ID 681 TILEPROP INT_L_X22Y143 GRID_POINT_X 57 TILEPROP INT_L_X22Y143 GRID_POINT_Y 7 TILEPROP INT_L_X22Y143 INDEX 862 TILEPROP INT_L_X22Y143 INT_TILE_X 22 TILEPROP INT_L_X22Y143 INT_TILE_Y 6 TILEPROP INT_L_X22Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y143 IS_DCM_TILE 0 TILEPROP INT_L_X22Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y143 NAME INT_L_X22Y143 TILEPROP INT_L_X22Y143 NUM_ARCS 3737 TILEPROP INT_L_X22Y143 NUM_SITES 1 TILEPROP INT_L_X22Y143 ROW 7 TILEPROP INT_L_X22Y143 SLR_REGION_ID 0 TILEPROP INT_L_X22Y143 TILE_PATTERN_IDX 3794 TILEPROP INT_L_X22Y143 TILE_TYPE INT_L TILEPROP INT_L_X22Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y143 TILE_X 1728 TILEPROP INT_L_X22Y143 TILE_Y 221496 TILEPROP INT_L_X22Y143 TYPE INT_L TILEPROP INT_L_X22Y144 CLASS tile TILEPROP INT_L_X22Y144 COLUMN 57 TILEPROP INT_L_X22Y144 DEVICE_ID 0 TILEPROP INT_L_X22Y144 FIRST_SITE_ID 570 TILEPROP INT_L_X22Y144 GRID_POINT_X 57 TILEPROP INT_L_X22Y144 GRID_POINT_Y 6 TILEPROP INT_L_X22Y144 INDEX 747 TILEPROP INT_L_X22Y144 INT_TILE_X 22 TILEPROP INT_L_X22Y144 INT_TILE_Y 5 TILEPROP INT_L_X22Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y144 IS_DCM_TILE 0 TILEPROP INT_L_X22Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y144 NAME INT_L_X22Y144 TILEPROP INT_L_X22Y144 NUM_ARCS 3737 TILEPROP INT_L_X22Y144 NUM_SITES 1 TILEPROP INT_L_X22Y144 ROW 6 TILEPROP INT_L_X22Y144 SLR_REGION_ID 0 TILEPROP INT_L_X22Y144 TILE_PATTERN_IDX 3755 TILEPROP INT_L_X22Y144 TILE_TYPE INT_L TILEPROP INT_L_X22Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y144 TILE_X 1728 TILEPROP INT_L_X22Y144 TILE_Y 224696 TILEPROP INT_L_X22Y144 TYPE INT_L TILEPROP INT_L_X22Y145 CLASS tile TILEPROP INT_L_X22Y145 COLUMN 57 TILEPROP INT_L_X22Y145 DEVICE_ID 0 TILEPROP INT_L_X22Y145 FIRST_SITE_ID 468 TILEPROP INT_L_X22Y145 GRID_POINT_X 57 TILEPROP INT_L_X22Y145 GRID_POINT_Y 5 TILEPROP INT_L_X22Y145 INDEX 632 TILEPROP INT_L_X22Y145 INT_TILE_X 22 TILEPROP INT_L_X22Y145 INT_TILE_Y 4 TILEPROP INT_L_X22Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y145 IS_DCM_TILE 0 TILEPROP INT_L_X22Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y145 NAME INT_L_X22Y145 TILEPROP INT_L_X22Y145 NUM_ARCS 3737 TILEPROP INT_L_X22Y145 NUM_SITES 1 TILEPROP INT_L_X22Y145 ROW 5 TILEPROP INT_L_X22Y145 SLR_REGION_ID 0 TILEPROP INT_L_X22Y145 TILE_PATTERN_IDX 3717 TILEPROP INT_L_X22Y145 TILE_TYPE INT_L TILEPROP INT_L_X22Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y145 TILE_X 1728 TILEPROP INT_L_X22Y145 TILE_Y 227896 TILEPROP INT_L_X22Y145 TYPE INT_L TILEPROP INT_L_X22Y146 CLASS tile TILEPROP INT_L_X22Y146 COLUMN 57 TILEPROP INT_L_X22Y146 DEVICE_ID 0 TILEPROP INT_L_X22Y146 FIRST_SITE_ID 358 TILEPROP INT_L_X22Y146 GRID_POINT_X 57 TILEPROP INT_L_X22Y146 GRID_POINT_Y 4 TILEPROP INT_L_X22Y146 INDEX 517 TILEPROP INT_L_X22Y146 INT_TILE_X 22 TILEPROP INT_L_X22Y146 INT_TILE_Y 3 TILEPROP INT_L_X22Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y146 IS_DCM_TILE 0 TILEPROP INT_L_X22Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y146 NAME INT_L_X22Y146 TILEPROP INT_L_X22Y146 NUM_ARCS 3737 TILEPROP INT_L_X22Y146 NUM_SITES 1 TILEPROP INT_L_X22Y146 ROW 4 TILEPROP INT_L_X22Y146 SLR_REGION_ID 0 TILEPROP INT_L_X22Y146 TILE_PATTERN_IDX 3678 TILEPROP INT_L_X22Y146 TILE_TYPE INT_L TILEPROP INT_L_X22Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y146 TILE_X 1728 TILEPROP INT_L_X22Y146 TILE_Y 231096 TILEPROP INT_L_X22Y146 TYPE INT_L TILEPROP INT_L_X22Y147 CLASS tile TILEPROP INT_L_X22Y147 COLUMN 57 TILEPROP INT_L_X22Y147 DEVICE_ID 0 TILEPROP INT_L_X22Y147 FIRST_SITE_ID 262 TILEPROP INT_L_X22Y147 GRID_POINT_X 57 TILEPROP INT_L_X22Y147 GRID_POINT_Y 3 TILEPROP INT_L_X22Y147 INDEX 402 TILEPROP INT_L_X22Y147 INT_TILE_X 22 TILEPROP INT_L_X22Y147 INT_TILE_Y 2 TILEPROP INT_L_X22Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y147 IS_DCM_TILE 0 TILEPROP INT_L_X22Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y147 NAME INT_L_X22Y147 TILEPROP INT_L_X22Y147 NUM_ARCS 3737 TILEPROP INT_L_X22Y147 NUM_SITES 1 TILEPROP INT_L_X22Y147 ROW 3 TILEPROP INT_L_X22Y147 SLR_REGION_ID 0 TILEPROP INT_L_X22Y147 TILE_PATTERN_IDX 3640 TILEPROP INT_L_X22Y147 TILE_TYPE INT_L TILEPROP INT_L_X22Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y147 TILE_X 1728 TILEPROP INT_L_X22Y147 TILE_Y 234296 TILEPROP INT_L_X22Y147 TYPE INT_L TILEPROP INT_L_X22Y148 CLASS tile TILEPROP INT_L_X22Y148 COLUMN 57 TILEPROP INT_L_X22Y148 DEVICE_ID 0 TILEPROP INT_L_X22Y148 FIRST_SITE_ID 158 TILEPROP INT_L_X22Y148 GRID_POINT_X 57 TILEPROP INT_L_X22Y148 GRID_POINT_Y 2 TILEPROP INT_L_X22Y148 INDEX 287 TILEPROP INT_L_X22Y148 INT_TILE_X 22 TILEPROP INT_L_X22Y148 INT_TILE_Y 1 TILEPROP INT_L_X22Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y148 IS_DCM_TILE 0 TILEPROP INT_L_X22Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y148 NAME INT_L_X22Y148 TILEPROP INT_L_X22Y148 NUM_ARCS 3737 TILEPROP INT_L_X22Y148 NUM_SITES 1 TILEPROP INT_L_X22Y148 ROW 2 TILEPROP INT_L_X22Y148 SLR_REGION_ID 0 TILEPROP INT_L_X22Y148 TILE_PATTERN_IDX 3601 TILEPROP INT_L_X22Y148 TILE_TYPE INT_L TILEPROP INT_L_X22Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y148 TILE_X 1728 TILEPROP INT_L_X22Y148 TILE_Y 237496 TILEPROP INT_L_X22Y148 TYPE INT_L TILEPROP INT_L_X22Y149 CLASS tile TILEPROP INT_L_X22Y149 COLUMN 57 TILEPROP INT_L_X22Y149 DEVICE_ID 0 TILEPROP INT_L_X22Y149 FIRST_SITE_ID 62 TILEPROP INT_L_X22Y149 GRID_POINT_X 57 TILEPROP INT_L_X22Y149 GRID_POINT_Y 1 TILEPROP INT_L_X22Y149 INDEX 172 TILEPROP INT_L_X22Y149 INT_TILE_X 22 TILEPROP INT_L_X22Y149 INT_TILE_Y 0 TILEPROP INT_L_X22Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X22Y149 IS_DCM_TILE 0 TILEPROP INT_L_X22Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X22Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X22Y149 NAME INT_L_X22Y149 TILEPROP INT_L_X22Y149 NUM_ARCS 3737 TILEPROP INT_L_X22Y149 NUM_SITES 1 TILEPROP INT_L_X22Y149 ROW 1 TILEPROP INT_L_X22Y149 SLR_REGION_ID 0 TILEPROP INT_L_X22Y149 TILE_PATTERN_IDX 3563 TILEPROP INT_L_X22Y149 TILE_TYPE INT_L TILEPROP INT_L_X22Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X22Y149 TILE_X 1728 TILEPROP INT_L_X22Y149 TILE_Y 240696 TILEPROP INT_L_X22Y149 TYPE INT_L TILEPROP INT_L_X24Y0 CLASS tile TILEPROP INT_L_X24Y0 COLUMN 63 TILEPROP INT_L_X24Y0 DEVICE_ID 0 TILEPROP INT_L_X24Y0 FIRST_SITE_ID 15756 TILEPROP INT_L_X24Y0 GRID_POINT_X 63 TILEPROP INT_L_X24Y0 GRID_POINT_Y 155 TILEPROP INT_L_X24Y0 INDEX 17888 TILEPROP INT_L_X24Y0 INT_TILE_X 24 TILEPROP INT_L_X24Y0 INT_TILE_Y 149 TILEPROP INT_L_X24Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y0 IS_DCM_TILE 0 TILEPROP INT_L_X24Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y0 NAME INT_L_X24Y0 TILEPROP INT_L_X24Y0 NUM_ARCS 3737 TILEPROP INT_L_X24Y0 NUM_SITES 1 TILEPROP INT_L_X24Y0 ROW 155 TILEPROP INT_L_X24Y0 SLR_REGION_ID 0 TILEPROP INT_L_X24Y0 TILE_PATTERN_IDX 8751 TILEPROP INT_L_X24Y0 TILE_TYPE INT_L TILEPROP INT_L_X24Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y0 TILE_X 13064 TILEPROP INT_L_X24Y0 TILE_Y -239672 TILEPROP INT_L_X24Y0 TYPE INT_L TILEPROP INT_L_X24Y1 CLASS tile TILEPROP INT_L_X24Y1 COLUMN 63 TILEPROP INT_L_X24Y1 DEVICE_ID 0 TILEPROP INT_L_X24Y1 FIRST_SITE_ID 15646 TILEPROP INT_L_X24Y1 GRID_POINT_X 63 TILEPROP INT_L_X24Y1 GRID_POINT_Y 154 TILEPROP INT_L_X24Y1 INDEX 17773 TILEPROP INT_L_X24Y1 INT_TILE_X 24 TILEPROP INT_L_X24Y1 INT_TILE_Y 148 TILEPROP INT_L_X24Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y1 IS_DCM_TILE 0 TILEPROP INT_L_X24Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y1 NAME INT_L_X24Y1 TILEPROP INT_L_X24Y1 NUM_ARCS 3737 TILEPROP INT_L_X24Y1 NUM_SITES 1 TILEPROP INT_L_X24Y1 ROW 154 TILEPROP INT_L_X24Y1 SLR_REGION_ID 0 TILEPROP INT_L_X24Y1 TILE_PATTERN_IDX 8720 TILEPROP INT_L_X24Y1 TILE_TYPE INT_L TILEPROP INT_L_X24Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y1 TILE_X 13064 TILEPROP INT_L_X24Y1 TILE_Y -236472 TILEPROP INT_L_X24Y1 TYPE INT_L TILEPROP INT_L_X24Y2 CLASS tile TILEPROP INT_L_X24Y2 COLUMN 63 TILEPROP INT_L_X24Y2 DEVICE_ID 0 TILEPROP INT_L_X24Y2 FIRST_SITE_ID 15545 TILEPROP INT_L_X24Y2 GRID_POINT_X 63 TILEPROP INT_L_X24Y2 GRID_POINT_Y 153 TILEPROP INT_L_X24Y2 INDEX 17658 TILEPROP INT_L_X24Y2 INT_TILE_X 24 TILEPROP INT_L_X24Y2 INT_TILE_Y 147 TILEPROP INT_L_X24Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y2 IS_DCM_TILE 0 TILEPROP INT_L_X24Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y2 NAME INT_L_X24Y2 TILEPROP INT_L_X24Y2 NUM_ARCS 3737 TILEPROP INT_L_X24Y2 NUM_SITES 1 TILEPROP INT_L_X24Y2 ROW 153 TILEPROP INT_L_X24Y2 SLR_REGION_ID 0 TILEPROP INT_L_X24Y2 TILE_PATTERN_IDX 8690 TILEPROP INT_L_X24Y2 TILE_TYPE INT_L TILEPROP INT_L_X24Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y2 TILE_X 13064 TILEPROP INT_L_X24Y2 TILE_Y -233272 TILEPROP INT_L_X24Y2 TYPE INT_L TILEPROP INT_L_X24Y3 CLASS tile TILEPROP INT_L_X24Y3 COLUMN 63 TILEPROP INT_L_X24Y3 DEVICE_ID 0 TILEPROP INT_L_X24Y3 FIRST_SITE_ID 15445 TILEPROP INT_L_X24Y3 GRID_POINT_X 63 TILEPROP INT_L_X24Y3 GRID_POINT_Y 152 TILEPROP INT_L_X24Y3 INDEX 17543 TILEPROP INT_L_X24Y3 INT_TILE_X 24 TILEPROP INT_L_X24Y3 INT_TILE_Y 146 TILEPROP INT_L_X24Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y3 IS_DCM_TILE 0 TILEPROP INT_L_X24Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y3 NAME INT_L_X24Y3 TILEPROP INT_L_X24Y3 NUM_ARCS 3737 TILEPROP INT_L_X24Y3 NUM_SITES 1 TILEPROP INT_L_X24Y3 ROW 152 TILEPROP INT_L_X24Y3 SLR_REGION_ID 0 TILEPROP INT_L_X24Y3 TILE_PATTERN_IDX 8659 TILEPROP INT_L_X24Y3 TILE_TYPE INT_L TILEPROP INT_L_X24Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y3 TILE_X 13064 TILEPROP INT_L_X24Y3 TILE_Y -230072 TILEPROP INT_L_X24Y3 TYPE INT_L TILEPROP INT_L_X24Y4 CLASS tile TILEPROP INT_L_X24Y4 COLUMN 63 TILEPROP INT_L_X24Y4 DEVICE_ID 0 TILEPROP INT_L_X24Y4 FIRST_SITE_ID 15345 TILEPROP INT_L_X24Y4 GRID_POINT_X 63 TILEPROP INT_L_X24Y4 GRID_POINT_Y 151 TILEPROP INT_L_X24Y4 INDEX 17428 TILEPROP INT_L_X24Y4 INT_TILE_X 24 TILEPROP INT_L_X24Y4 INT_TILE_Y 145 TILEPROP INT_L_X24Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y4 IS_DCM_TILE 0 TILEPROP INT_L_X24Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y4 NAME INT_L_X24Y4 TILEPROP INT_L_X24Y4 NUM_ARCS 3737 TILEPROP INT_L_X24Y4 NUM_SITES 1 TILEPROP INT_L_X24Y4 ROW 151 TILEPROP INT_L_X24Y4 SLR_REGION_ID 0 TILEPROP INT_L_X24Y4 TILE_PATTERN_IDX 8629 TILEPROP INT_L_X24Y4 TILE_TYPE INT_L TILEPROP INT_L_X24Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y4 TILE_X 13064 TILEPROP INT_L_X24Y4 TILE_Y -226872 TILEPROP INT_L_X24Y4 TYPE INT_L TILEPROP INT_L_X24Y5 CLASS tile TILEPROP INT_L_X24Y5 COLUMN 63 TILEPROP INT_L_X24Y5 DEVICE_ID 0 TILEPROP INT_L_X24Y5 FIRST_SITE_ID 15236 TILEPROP INT_L_X24Y5 GRID_POINT_X 63 TILEPROP INT_L_X24Y5 GRID_POINT_Y 150 TILEPROP INT_L_X24Y5 INDEX 17313 TILEPROP INT_L_X24Y5 INT_TILE_X 24 TILEPROP INT_L_X24Y5 INT_TILE_Y 144 TILEPROP INT_L_X24Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y5 IS_DCM_TILE 0 TILEPROP INT_L_X24Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y5 NAME INT_L_X24Y5 TILEPROP INT_L_X24Y5 NUM_ARCS 3737 TILEPROP INT_L_X24Y5 NUM_SITES 1 TILEPROP INT_L_X24Y5 ROW 150 TILEPROP INT_L_X24Y5 SLR_REGION_ID 0 TILEPROP INT_L_X24Y5 TILE_PATTERN_IDX 8598 TILEPROP INT_L_X24Y5 TILE_TYPE INT_L TILEPROP INT_L_X24Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y5 TILE_X 13064 TILEPROP INT_L_X24Y5 TILE_Y -223672 TILEPROP INT_L_X24Y5 TYPE INT_L TILEPROP INT_L_X24Y6 CLASS tile TILEPROP INT_L_X24Y6 COLUMN 63 TILEPROP INT_L_X24Y6 DEVICE_ID 0 TILEPROP INT_L_X24Y6 FIRST_SITE_ID 15130 TILEPROP INT_L_X24Y6 GRID_POINT_X 63 TILEPROP INT_L_X24Y6 GRID_POINT_Y 149 TILEPROP INT_L_X24Y6 INDEX 17198 TILEPROP INT_L_X24Y6 INT_TILE_X 24 TILEPROP INT_L_X24Y6 INT_TILE_Y 143 TILEPROP INT_L_X24Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y6 IS_DCM_TILE 0 TILEPROP INT_L_X24Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y6 NAME INT_L_X24Y6 TILEPROP INT_L_X24Y6 NUM_ARCS 3737 TILEPROP INT_L_X24Y6 NUM_SITES 1 TILEPROP INT_L_X24Y6 ROW 149 TILEPROP INT_L_X24Y6 SLR_REGION_ID 0 TILEPROP INT_L_X24Y6 TILE_PATTERN_IDX 8568 TILEPROP INT_L_X24Y6 TILE_TYPE INT_L TILEPROP INT_L_X24Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y6 TILE_X 13064 TILEPROP INT_L_X24Y6 TILE_Y -220472 TILEPROP INT_L_X24Y6 TYPE INT_L TILEPROP INT_L_X24Y7 CLASS tile TILEPROP INT_L_X24Y7 COLUMN 63 TILEPROP INT_L_X24Y7 DEVICE_ID 0 TILEPROP INT_L_X24Y7 FIRST_SITE_ID 15028 TILEPROP INT_L_X24Y7 GRID_POINT_X 63 TILEPROP INT_L_X24Y7 GRID_POINT_Y 148 TILEPROP INT_L_X24Y7 INDEX 17083 TILEPROP INT_L_X24Y7 INT_TILE_X 24 TILEPROP INT_L_X24Y7 INT_TILE_Y 142 TILEPROP INT_L_X24Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y7 IS_DCM_TILE 0 TILEPROP INT_L_X24Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y7 NAME INT_L_X24Y7 TILEPROP INT_L_X24Y7 NUM_ARCS 3737 TILEPROP INT_L_X24Y7 NUM_SITES 1 TILEPROP INT_L_X24Y7 ROW 148 TILEPROP INT_L_X24Y7 SLR_REGION_ID 0 TILEPROP INT_L_X24Y7 TILE_PATTERN_IDX 8537 TILEPROP INT_L_X24Y7 TILE_TYPE INT_L TILEPROP INT_L_X24Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y7 TILE_X 13064 TILEPROP INT_L_X24Y7 TILE_Y -217272 TILEPROP INT_L_X24Y7 TYPE INT_L TILEPROP INT_L_X24Y8 CLASS tile TILEPROP INT_L_X24Y8 COLUMN 63 TILEPROP INT_L_X24Y8 DEVICE_ID 0 TILEPROP INT_L_X24Y8 FIRST_SITE_ID 14925 TILEPROP INT_L_X24Y8 GRID_POINT_X 63 TILEPROP INT_L_X24Y8 GRID_POINT_Y 147 TILEPROP INT_L_X24Y8 INDEX 16968 TILEPROP INT_L_X24Y8 INT_TILE_X 24 TILEPROP INT_L_X24Y8 INT_TILE_Y 141 TILEPROP INT_L_X24Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y8 IS_DCM_TILE 0 TILEPROP INT_L_X24Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y8 NAME INT_L_X24Y8 TILEPROP INT_L_X24Y8 NUM_ARCS 3737 TILEPROP INT_L_X24Y8 NUM_SITES 1 TILEPROP INT_L_X24Y8 ROW 147 TILEPROP INT_L_X24Y8 SLR_REGION_ID 0 TILEPROP INT_L_X24Y8 TILE_PATTERN_IDX 8507 TILEPROP INT_L_X24Y8 TILE_TYPE INT_L TILEPROP INT_L_X24Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y8 TILE_X 13064 TILEPROP INT_L_X24Y8 TILE_Y -214072 TILEPROP INT_L_X24Y8 TYPE INT_L TILEPROP INT_L_X24Y9 CLASS tile TILEPROP INT_L_X24Y9 COLUMN 63 TILEPROP INT_L_X24Y9 DEVICE_ID 0 TILEPROP INT_L_X24Y9 FIRST_SITE_ID 14824 TILEPROP INT_L_X24Y9 GRID_POINT_X 63 TILEPROP INT_L_X24Y9 GRID_POINT_Y 146 TILEPROP INT_L_X24Y9 INDEX 16853 TILEPROP INT_L_X24Y9 INT_TILE_X 24 TILEPROP INT_L_X24Y9 INT_TILE_Y 140 TILEPROP INT_L_X24Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y9 IS_DCM_TILE 0 TILEPROP INT_L_X24Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y9 NAME INT_L_X24Y9 TILEPROP INT_L_X24Y9 NUM_ARCS 3737 TILEPROP INT_L_X24Y9 NUM_SITES 1 TILEPROP INT_L_X24Y9 ROW 146 TILEPROP INT_L_X24Y9 SLR_REGION_ID 0 TILEPROP INT_L_X24Y9 TILE_PATTERN_IDX 8476 TILEPROP INT_L_X24Y9 TILE_TYPE INT_L TILEPROP INT_L_X24Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y9 TILE_X 13064 TILEPROP INT_L_X24Y9 TILE_Y -210872 TILEPROP INT_L_X24Y9 TYPE INT_L TILEPROP INT_L_X24Y10 CLASS tile TILEPROP INT_L_X24Y10 COLUMN 63 TILEPROP INT_L_X24Y10 DEVICE_ID 0 TILEPROP INT_L_X24Y10 FIRST_SITE_ID 14715 TILEPROP INT_L_X24Y10 GRID_POINT_X 63 TILEPROP INT_L_X24Y10 GRID_POINT_Y 145 TILEPROP INT_L_X24Y10 INDEX 16738 TILEPROP INT_L_X24Y10 INT_TILE_X 24 TILEPROP INT_L_X24Y10 INT_TILE_Y 139 TILEPROP INT_L_X24Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y10 IS_DCM_TILE 0 TILEPROP INT_L_X24Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y10 NAME INT_L_X24Y10 TILEPROP INT_L_X24Y10 NUM_ARCS 3737 TILEPROP INT_L_X24Y10 NUM_SITES 1 TILEPROP INT_L_X24Y10 ROW 145 TILEPROP INT_L_X24Y10 SLR_REGION_ID 0 TILEPROP INT_L_X24Y10 TILE_PATTERN_IDX 8446 TILEPROP INT_L_X24Y10 TILE_TYPE INT_L TILEPROP INT_L_X24Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y10 TILE_X 13064 TILEPROP INT_L_X24Y10 TILE_Y -207672 TILEPROP INT_L_X24Y10 TYPE INT_L TILEPROP INT_L_X24Y11 CLASS tile TILEPROP INT_L_X24Y11 COLUMN 63 TILEPROP INT_L_X24Y11 DEVICE_ID 0 TILEPROP INT_L_X24Y11 FIRST_SITE_ID 14609 TILEPROP INT_L_X24Y11 GRID_POINT_X 63 TILEPROP INT_L_X24Y11 GRID_POINT_Y 144 TILEPROP INT_L_X24Y11 INDEX 16623 TILEPROP INT_L_X24Y11 INT_TILE_X 24 TILEPROP INT_L_X24Y11 INT_TILE_Y 138 TILEPROP INT_L_X24Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y11 IS_DCM_TILE 0 TILEPROP INT_L_X24Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y11 NAME INT_L_X24Y11 TILEPROP INT_L_X24Y11 NUM_ARCS 3737 TILEPROP INT_L_X24Y11 NUM_SITES 1 TILEPROP INT_L_X24Y11 ROW 144 TILEPROP INT_L_X24Y11 SLR_REGION_ID 0 TILEPROP INT_L_X24Y11 TILE_PATTERN_IDX 8415 TILEPROP INT_L_X24Y11 TILE_TYPE INT_L TILEPROP INT_L_X24Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y11 TILE_X 13064 TILEPROP INT_L_X24Y11 TILE_Y -204472 TILEPROP INT_L_X24Y11 TYPE INT_L TILEPROP INT_L_X24Y12 CLASS tile TILEPROP INT_L_X24Y12 COLUMN 63 TILEPROP INT_L_X24Y12 DEVICE_ID 0 TILEPROP INT_L_X24Y12 FIRST_SITE_ID 14509 TILEPROP INT_L_X24Y12 GRID_POINT_X 63 TILEPROP INT_L_X24Y12 GRID_POINT_Y 143 TILEPROP INT_L_X24Y12 INDEX 16508 TILEPROP INT_L_X24Y12 INT_TILE_X 24 TILEPROP INT_L_X24Y12 INT_TILE_Y 137 TILEPROP INT_L_X24Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y12 IS_DCM_TILE 0 TILEPROP INT_L_X24Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y12 NAME INT_L_X24Y12 TILEPROP INT_L_X24Y12 NUM_ARCS 3737 TILEPROP INT_L_X24Y12 NUM_SITES 1 TILEPROP INT_L_X24Y12 ROW 143 TILEPROP INT_L_X24Y12 SLR_REGION_ID 0 TILEPROP INT_L_X24Y12 TILE_PATTERN_IDX 8385 TILEPROP INT_L_X24Y12 TILE_TYPE INT_L TILEPROP INT_L_X24Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y12 TILE_X 13064 TILEPROP INT_L_X24Y12 TILE_Y -201272 TILEPROP INT_L_X24Y12 TYPE INT_L TILEPROP INT_L_X24Y13 CLASS tile TILEPROP INT_L_X24Y13 COLUMN 63 TILEPROP INT_L_X24Y13 DEVICE_ID 0 TILEPROP INT_L_X24Y13 FIRST_SITE_ID 14377 TILEPROP INT_L_X24Y13 GRID_POINT_X 63 TILEPROP INT_L_X24Y13 GRID_POINT_Y 142 TILEPROP INT_L_X24Y13 INDEX 16393 TILEPROP INT_L_X24Y13 INT_TILE_X 24 TILEPROP INT_L_X24Y13 INT_TILE_Y 136 TILEPROP INT_L_X24Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y13 IS_DCM_TILE 0 TILEPROP INT_L_X24Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y13 NAME INT_L_X24Y13 TILEPROP INT_L_X24Y13 NUM_ARCS 3737 TILEPROP INT_L_X24Y13 NUM_SITES 1 TILEPROP INT_L_X24Y13 ROW 142 TILEPROP INT_L_X24Y13 SLR_REGION_ID 0 TILEPROP INT_L_X24Y13 TILE_PATTERN_IDX 8354 TILEPROP INT_L_X24Y13 TILE_TYPE INT_L TILEPROP INT_L_X24Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y13 TILE_X 13064 TILEPROP INT_L_X24Y13 TILE_Y -198072 TILEPROP INT_L_X24Y13 TYPE INT_L TILEPROP INT_L_X24Y14 CLASS tile TILEPROP INT_L_X24Y14 COLUMN 63 TILEPROP INT_L_X24Y14 DEVICE_ID 0 TILEPROP INT_L_X24Y14 FIRST_SITE_ID 14277 TILEPROP INT_L_X24Y14 GRID_POINT_X 63 TILEPROP INT_L_X24Y14 GRID_POINT_Y 141 TILEPROP INT_L_X24Y14 INDEX 16278 TILEPROP INT_L_X24Y14 INT_TILE_X 24 TILEPROP INT_L_X24Y14 INT_TILE_Y 135 TILEPROP INT_L_X24Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y14 IS_DCM_TILE 0 TILEPROP INT_L_X24Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y14 NAME INT_L_X24Y14 TILEPROP INT_L_X24Y14 NUM_ARCS 3737 TILEPROP INT_L_X24Y14 NUM_SITES 1 TILEPROP INT_L_X24Y14 ROW 141 TILEPROP INT_L_X24Y14 SLR_REGION_ID 0 TILEPROP INT_L_X24Y14 TILE_PATTERN_IDX 8324 TILEPROP INT_L_X24Y14 TILE_TYPE INT_L TILEPROP INT_L_X24Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y14 TILE_X 13064 TILEPROP INT_L_X24Y14 TILE_Y -194872 TILEPROP INT_L_X24Y14 TYPE INT_L TILEPROP INT_L_X24Y15 CLASS tile TILEPROP INT_L_X24Y15 COLUMN 63 TILEPROP INT_L_X24Y15 DEVICE_ID 0 TILEPROP INT_L_X24Y15 FIRST_SITE_ID 14168 TILEPROP INT_L_X24Y15 GRID_POINT_X 63 TILEPROP INT_L_X24Y15 GRID_POINT_Y 140 TILEPROP INT_L_X24Y15 INDEX 16163 TILEPROP INT_L_X24Y15 INT_TILE_X 24 TILEPROP INT_L_X24Y15 INT_TILE_Y 134 TILEPROP INT_L_X24Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y15 IS_DCM_TILE 0 TILEPROP INT_L_X24Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y15 NAME INT_L_X24Y15 TILEPROP INT_L_X24Y15 NUM_ARCS 3737 TILEPROP INT_L_X24Y15 NUM_SITES 1 TILEPROP INT_L_X24Y15 ROW 140 TILEPROP INT_L_X24Y15 SLR_REGION_ID 0 TILEPROP INT_L_X24Y15 TILE_PATTERN_IDX 8293 TILEPROP INT_L_X24Y15 TILE_TYPE INT_L TILEPROP INT_L_X24Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y15 TILE_X 13064 TILEPROP INT_L_X24Y15 TILE_Y -191672 TILEPROP INT_L_X24Y15 TYPE INT_L TILEPROP INT_L_X24Y16 CLASS tile TILEPROP INT_L_X24Y16 COLUMN 63 TILEPROP INT_L_X24Y16 DEVICE_ID 0 TILEPROP INT_L_X24Y16 FIRST_SITE_ID 14062 TILEPROP INT_L_X24Y16 GRID_POINT_X 63 TILEPROP INT_L_X24Y16 GRID_POINT_Y 139 TILEPROP INT_L_X24Y16 INDEX 16048 TILEPROP INT_L_X24Y16 INT_TILE_X 24 TILEPROP INT_L_X24Y16 INT_TILE_Y 133 TILEPROP INT_L_X24Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y16 IS_DCM_TILE 0 TILEPROP INT_L_X24Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y16 NAME INT_L_X24Y16 TILEPROP INT_L_X24Y16 NUM_ARCS 3737 TILEPROP INT_L_X24Y16 NUM_SITES 1 TILEPROP INT_L_X24Y16 ROW 139 TILEPROP INT_L_X24Y16 SLR_REGION_ID 0 TILEPROP INT_L_X24Y16 TILE_PATTERN_IDX 8263 TILEPROP INT_L_X24Y16 TILE_TYPE INT_L TILEPROP INT_L_X24Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y16 TILE_X 13064 TILEPROP INT_L_X24Y16 TILE_Y -188472 TILEPROP INT_L_X24Y16 TYPE INT_L TILEPROP INT_L_X24Y17 CLASS tile TILEPROP INT_L_X24Y17 COLUMN 63 TILEPROP INT_L_X24Y17 DEVICE_ID 0 TILEPROP INT_L_X24Y17 FIRST_SITE_ID 13958 TILEPROP INT_L_X24Y17 GRID_POINT_X 63 TILEPROP INT_L_X24Y17 GRID_POINT_Y 138 TILEPROP INT_L_X24Y17 INDEX 15933 TILEPROP INT_L_X24Y17 INT_TILE_X 24 TILEPROP INT_L_X24Y17 INT_TILE_Y 132 TILEPROP INT_L_X24Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y17 IS_DCM_TILE 0 TILEPROP INT_L_X24Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y17 NAME INT_L_X24Y17 TILEPROP INT_L_X24Y17 NUM_ARCS 3737 TILEPROP INT_L_X24Y17 NUM_SITES 1 TILEPROP INT_L_X24Y17 ROW 138 TILEPROP INT_L_X24Y17 SLR_REGION_ID 0 TILEPROP INT_L_X24Y17 TILE_PATTERN_IDX 8231 TILEPROP INT_L_X24Y17 TILE_TYPE INT_L TILEPROP INT_L_X24Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y17 TILE_X 13064 TILEPROP INT_L_X24Y17 TILE_Y -185272 TILEPROP INT_L_X24Y17 TYPE INT_L TILEPROP INT_L_X24Y18 CLASS tile TILEPROP INT_L_X24Y18 COLUMN 63 TILEPROP INT_L_X24Y18 DEVICE_ID 0 TILEPROP INT_L_X24Y18 FIRST_SITE_ID 13853 TILEPROP INT_L_X24Y18 GRID_POINT_X 63 TILEPROP INT_L_X24Y18 GRID_POINT_Y 137 TILEPROP INT_L_X24Y18 INDEX 15818 TILEPROP INT_L_X24Y18 INT_TILE_X 24 TILEPROP INT_L_X24Y18 INT_TILE_Y 131 TILEPROP INT_L_X24Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y18 IS_DCM_TILE 0 TILEPROP INT_L_X24Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y18 NAME INT_L_X24Y18 TILEPROP INT_L_X24Y18 NUM_ARCS 3737 TILEPROP INT_L_X24Y18 NUM_SITES 1 TILEPROP INT_L_X24Y18 ROW 137 TILEPROP INT_L_X24Y18 SLR_REGION_ID 0 TILEPROP INT_L_X24Y18 TILE_PATTERN_IDX 8208 TILEPROP INT_L_X24Y18 TILE_TYPE INT_L TILEPROP INT_L_X24Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y18 TILE_X 13064 TILEPROP INT_L_X24Y18 TILE_Y -182072 TILEPROP INT_L_X24Y18 TYPE INT_L TILEPROP INT_L_X24Y19 CLASS tile TILEPROP INT_L_X24Y19 COLUMN 63 TILEPROP INT_L_X24Y19 DEVICE_ID 0 TILEPROP INT_L_X24Y19 FIRST_SITE_ID 13751 TILEPROP INT_L_X24Y19 GRID_POINT_X 63 TILEPROP INT_L_X24Y19 GRID_POINT_Y 136 TILEPROP INT_L_X24Y19 INDEX 15703 TILEPROP INT_L_X24Y19 INT_TILE_X 24 TILEPROP INT_L_X24Y19 INT_TILE_Y 130 TILEPROP INT_L_X24Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y19 IS_DCM_TILE 0 TILEPROP INT_L_X24Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y19 NAME INT_L_X24Y19 TILEPROP INT_L_X24Y19 NUM_ARCS 3737 TILEPROP INT_L_X24Y19 NUM_SITES 1 TILEPROP INT_L_X24Y19 ROW 136 TILEPROP INT_L_X24Y19 SLR_REGION_ID 0 TILEPROP INT_L_X24Y19 TILE_PATTERN_IDX 8185 TILEPROP INT_L_X24Y19 TILE_TYPE INT_L TILEPROP INT_L_X24Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y19 TILE_X 13064 TILEPROP INT_L_X24Y19 TILE_Y -178872 TILEPROP INT_L_X24Y19 TYPE INT_L TILEPROP INT_L_X24Y20 CLASS tile TILEPROP INT_L_X24Y20 COLUMN 63 TILEPROP INT_L_X24Y20 DEVICE_ID 0 TILEPROP INT_L_X24Y20 FIRST_SITE_ID 13640 TILEPROP INT_L_X24Y20 GRID_POINT_X 63 TILEPROP INT_L_X24Y20 GRID_POINT_Y 135 TILEPROP INT_L_X24Y20 INDEX 15588 TILEPROP INT_L_X24Y20 INT_TILE_X 24 TILEPROP INT_L_X24Y20 INT_TILE_Y 129 TILEPROP INT_L_X24Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y20 IS_DCM_TILE 0 TILEPROP INT_L_X24Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y20 NAME INT_L_X24Y20 TILEPROP INT_L_X24Y20 NUM_ARCS 3737 TILEPROP INT_L_X24Y20 NUM_SITES 1 TILEPROP INT_L_X24Y20 ROW 135 TILEPROP INT_L_X24Y20 SLR_REGION_ID 0 TILEPROP INT_L_X24Y20 TILE_PATTERN_IDX 8163 TILEPROP INT_L_X24Y20 TILE_TYPE INT_L TILEPROP INT_L_X24Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y20 TILE_X 13064 TILEPROP INT_L_X24Y20 TILE_Y -175672 TILEPROP INT_L_X24Y20 TYPE INT_L TILEPROP INT_L_X24Y21 CLASS tile TILEPROP INT_L_X24Y21 COLUMN 63 TILEPROP INT_L_X24Y21 DEVICE_ID 0 TILEPROP INT_L_X24Y21 FIRST_SITE_ID 13534 TILEPROP INT_L_X24Y21 GRID_POINT_X 63 TILEPROP INT_L_X24Y21 GRID_POINT_Y 134 TILEPROP INT_L_X24Y21 INDEX 15473 TILEPROP INT_L_X24Y21 INT_TILE_X 24 TILEPROP INT_L_X24Y21 INT_TILE_Y 128 TILEPROP INT_L_X24Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y21 IS_DCM_TILE 0 TILEPROP INT_L_X24Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y21 NAME INT_L_X24Y21 TILEPROP INT_L_X24Y21 NUM_ARCS 3737 TILEPROP INT_L_X24Y21 NUM_SITES 1 TILEPROP INT_L_X24Y21 ROW 134 TILEPROP INT_L_X24Y21 SLR_REGION_ID 0 TILEPROP INT_L_X24Y21 TILE_PATTERN_IDX 8134 TILEPROP INT_L_X24Y21 TILE_TYPE INT_L TILEPROP INT_L_X24Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y21 TILE_X 13064 TILEPROP INT_L_X24Y21 TILE_Y -172472 TILEPROP INT_L_X24Y21 TYPE INT_L TILEPROP INT_L_X24Y22 CLASS tile TILEPROP INT_L_X24Y22 COLUMN 63 TILEPROP INT_L_X24Y22 DEVICE_ID 0 TILEPROP INT_L_X24Y22 FIRST_SITE_ID 13434 TILEPROP INT_L_X24Y22 GRID_POINT_X 63 TILEPROP INT_L_X24Y22 GRID_POINT_Y 133 TILEPROP INT_L_X24Y22 INDEX 15358 TILEPROP INT_L_X24Y22 INT_TILE_X 24 TILEPROP INT_L_X24Y22 INT_TILE_Y 127 TILEPROP INT_L_X24Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y22 IS_DCM_TILE 0 TILEPROP INT_L_X24Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y22 NAME INT_L_X24Y22 TILEPROP INT_L_X24Y22 NUM_ARCS 3737 TILEPROP INT_L_X24Y22 NUM_SITES 1 TILEPROP INT_L_X24Y22 ROW 133 TILEPROP INT_L_X24Y22 SLR_REGION_ID 0 TILEPROP INT_L_X24Y22 TILE_PATTERN_IDX 8106 TILEPROP INT_L_X24Y22 TILE_TYPE INT_L TILEPROP INT_L_X24Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y22 TILE_X 13064 TILEPROP INT_L_X24Y22 TILE_Y -169272 TILEPROP INT_L_X24Y22 TYPE INT_L TILEPROP INT_L_X24Y23 CLASS tile TILEPROP INT_L_X24Y23 COLUMN 63 TILEPROP INT_L_X24Y23 DEVICE_ID 0 TILEPROP INT_L_X24Y23 FIRST_SITE_ID 13334 TILEPROP INT_L_X24Y23 GRID_POINT_X 63 TILEPROP INT_L_X24Y23 GRID_POINT_Y 132 TILEPROP INT_L_X24Y23 INDEX 15243 TILEPROP INT_L_X24Y23 INT_TILE_X 24 TILEPROP INT_L_X24Y23 INT_TILE_Y 126 TILEPROP INT_L_X24Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y23 IS_DCM_TILE 0 TILEPROP INT_L_X24Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y23 NAME INT_L_X24Y23 TILEPROP INT_L_X24Y23 NUM_ARCS 3737 TILEPROP INT_L_X24Y23 NUM_SITES 1 TILEPROP INT_L_X24Y23 ROW 132 TILEPROP INT_L_X24Y23 SLR_REGION_ID 0 TILEPROP INT_L_X24Y23 TILE_PATTERN_IDX 8077 TILEPROP INT_L_X24Y23 TILE_TYPE INT_L TILEPROP INT_L_X24Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y23 TILE_X 13064 TILEPROP INT_L_X24Y23 TILE_Y -166072 TILEPROP INT_L_X24Y23 TYPE INT_L TILEPROP INT_L_X24Y24 CLASS tile TILEPROP INT_L_X24Y24 COLUMN 63 TILEPROP INT_L_X24Y24 DEVICE_ID 0 TILEPROP INT_L_X24Y24 FIRST_SITE_ID 13234 TILEPROP INT_L_X24Y24 GRID_POINT_X 63 TILEPROP INT_L_X24Y24 GRID_POINT_Y 131 TILEPROP INT_L_X24Y24 INDEX 15128 TILEPROP INT_L_X24Y24 INT_TILE_X 24 TILEPROP INT_L_X24Y24 INT_TILE_Y 125 TILEPROP INT_L_X24Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y24 IS_DCM_TILE 0 TILEPROP INT_L_X24Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y24 NAME INT_L_X24Y24 TILEPROP INT_L_X24Y24 NUM_ARCS 3737 TILEPROP INT_L_X24Y24 NUM_SITES 1 TILEPROP INT_L_X24Y24 ROW 131 TILEPROP INT_L_X24Y24 SLR_REGION_ID 0 TILEPROP INT_L_X24Y24 TILE_PATTERN_IDX 8049 TILEPROP INT_L_X24Y24 TILE_TYPE INT_L TILEPROP INT_L_X24Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y24 TILE_X 13064 TILEPROP INT_L_X24Y24 TILE_Y -162872 TILEPROP INT_L_X24Y24 TYPE INT_L TILEPROP INT_L_X24Y25 CLASS tile TILEPROP INT_L_X24Y25 COLUMN 63 TILEPROP INT_L_X24Y25 DEVICE_ID 0 TILEPROP INT_L_X24Y25 FIRST_SITE_ID 13042 TILEPROP INT_L_X24Y25 GRID_POINT_X 63 TILEPROP INT_L_X24Y25 GRID_POINT_Y 129 TILEPROP INT_L_X24Y25 INDEX 14898 TILEPROP INT_L_X24Y25 INT_TILE_X 24 TILEPROP INT_L_X24Y25 INT_TILE_Y 124 TILEPROP INT_L_X24Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y25 IS_DCM_TILE 0 TILEPROP INT_L_X24Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y25 NAME INT_L_X24Y25 TILEPROP INT_L_X24Y25 NUM_ARCS 3737 TILEPROP INT_L_X24Y25 NUM_SITES 1 TILEPROP INT_L_X24Y25 ROW 129 TILEPROP INT_L_X24Y25 SLR_REGION_ID 0 TILEPROP INT_L_X24Y25 TILE_PATTERN_IDX 7977 TILEPROP INT_L_X24Y25 TILE_TYPE INT_L TILEPROP INT_L_X24Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y25 TILE_X 13064 TILEPROP INT_L_X24Y25 TILE_Y -158648 TILEPROP INT_L_X24Y25 TYPE INT_L TILEPROP INT_L_X24Y26 CLASS tile TILEPROP INT_L_X24Y26 COLUMN 63 TILEPROP INT_L_X24Y26 DEVICE_ID 0 TILEPROP INT_L_X24Y26 FIRST_SITE_ID 12936 TILEPROP INT_L_X24Y26 GRID_POINT_X 63 TILEPROP INT_L_X24Y26 GRID_POINT_Y 128 TILEPROP INT_L_X24Y26 INDEX 14783 TILEPROP INT_L_X24Y26 INT_TILE_X 24 TILEPROP INT_L_X24Y26 INT_TILE_Y 123 TILEPROP INT_L_X24Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y26 IS_DCM_TILE 0 TILEPROP INT_L_X24Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y26 NAME INT_L_X24Y26 TILEPROP INT_L_X24Y26 NUM_ARCS 3737 TILEPROP INT_L_X24Y26 NUM_SITES 1 TILEPROP INT_L_X24Y26 ROW 128 TILEPROP INT_L_X24Y26 SLR_REGION_ID 0 TILEPROP INT_L_X24Y26 TILE_PATTERN_IDX 7949 TILEPROP INT_L_X24Y26 TILE_TYPE INT_L TILEPROP INT_L_X24Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y26 TILE_X 13064 TILEPROP INT_L_X24Y26 TILE_Y -155448 TILEPROP INT_L_X24Y26 TYPE INT_L TILEPROP INT_L_X24Y27 CLASS tile TILEPROP INT_L_X24Y27 COLUMN 63 TILEPROP INT_L_X24Y27 DEVICE_ID 0 TILEPROP INT_L_X24Y27 FIRST_SITE_ID 12836 TILEPROP INT_L_X24Y27 GRID_POINT_X 63 TILEPROP INT_L_X24Y27 GRID_POINT_Y 127 TILEPROP INT_L_X24Y27 INDEX 14668 TILEPROP INT_L_X24Y27 INT_TILE_X 24 TILEPROP INT_L_X24Y27 INT_TILE_Y 122 TILEPROP INT_L_X24Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y27 IS_DCM_TILE 0 TILEPROP INT_L_X24Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y27 NAME INT_L_X24Y27 TILEPROP INT_L_X24Y27 NUM_ARCS 3737 TILEPROP INT_L_X24Y27 NUM_SITES 1 TILEPROP INT_L_X24Y27 ROW 127 TILEPROP INT_L_X24Y27 SLR_REGION_ID 0 TILEPROP INT_L_X24Y27 TILE_PATTERN_IDX 7920 TILEPROP INT_L_X24Y27 TILE_TYPE INT_L TILEPROP INT_L_X24Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y27 TILE_X 13064 TILEPROP INT_L_X24Y27 TILE_Y -152248 TILEPROP INT_L_X24Y27 TYPE INT_L TILEPROP INT_L_X24Y28 CLASS tile TILEPROP INT_L_X24Y28 COLUMN 63 TILEPROP INT_L_X24Y28 DEVICE_ID 0 TILEPROP INT_L_X24Y28 FIRST_SITE_ID 12736 TILEPROP INT_L_X24Y28 GRID_POINT_X 63 TILEPROP INT_L_X24Y28 GRID_POINT_Y 126 TILEPROP INT_L_X24Y28 INDEX 14553 TILEPROP INT_L_X24Y28 INT_TILE_X 24 TILEPROP INT_L_X24Y28 INT_TILE_Y 121 TILEPROP INT_L_X24Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y28 IS_DCM_TILE 0 TILEPROP INT_L_X24Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y28 NAME INT_L_X24Y28 TILEPROP INT_L_X24Y28 NUM_ARCS 3737 TILEPROP INT_L_X24Y28 NUM_SITES 1 TILEPROP INT_L_X24Y28 ROW 126 TILEPROP INT_L_X24Y28 SLR_REGION_ID 0 TILEPROP INT_L_X24Y28 TILE_PATTERN_IDX 7892 TILEPROP INT_L_X24Y28 TILE_TYPE INT_L TILEPROP INT_L_X24Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y28 TILE_X 13064 TILEPROP INT_L_X24Y28 TILE_Y -149048 TILEPROP INT_L_X24Y28 TYPE INT_L TILEPROP INT_L_X24Y29 CLASS tile TILEPROP INT_L_X24Y29 COLUMN 63 TILEPROP INT_L_X24Y29 DEVICE_ID 0 TILEPROP INT_L_X24Y29 FIRST_SITE_ID 12630 TILEPROP INT_L_X24Y29 GRID_POINT_X 63 TILEPROP INT_L_X24Y29 GRID_POINT_Y 125 TILEPROP INT_L_X24Y29 INDEX 14438 TILEPROP INT_L_X24Y29 INT_TILE_X 24 TILEPROP INT_L_X24Y29 INT_TILE_Y 120 TILEPROP INT_L_X24Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y29 IS_DCM_TILE 0 TILEPROP INT_L_X24Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y29 NAME INT_L_X24Y29 TILEPROP INT_L_X24Y29 NUM_ARCS 3737 TILEPROP INT_L_X24Y29 NUM_SITES 1 TILEPROP INT_L_X24Y29 ROW 125 TILEPROP INT_L_X24Y29 SLR_REGION_ID 0 TILEPROP INT_L_X24Y29 TILE_PATTERN_IDX 7868 TILEPROP INT_L_X24Y29 TILE_TYPE INT_L TILEPROP INT_L_X24Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y29 TILE_X 13064 TILEPROP INT_L_X24Y29 TILE_Y -145848 TILEPROP INT_L_X24Y29 TYPE INT_L TILEPROP INT_L_X24Y30 CLASS tile TILEPROP INT_L_X24Y30 COLUMN 63 TILEPROP INT_L_X24Y30 DEVICE_ID 0 TILEPROP INT_L_X24Y30 FIRST_SITE_ID 12515 TILEPROP INT_L_X24Y30 GRID_POINT_X 63 TILEPROP INT_L_X24Y30 GRID_POINT_Y 124 TILEPROP INT_L_X24Y30 INDEX 14323 TILEPROP INT_L_X24Y30 INT_TILE_X 24 TILEPROP INT_L_X24Y30 INT_TILE_Y 119 TILEPROP INT_L_X24Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y30 IS_DCM_TILE 0 TILEPROP INT_L_X24Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y30 NAME INT_L_X24Y30 TILEPROP INT_L_X24Y30 NUM_ARCS 3737 TILEPROP INT_L_X24Y30 NUM_SITES 1 TILEPROP INT_L_X24Y30 ROW 124 TILEPROP INT_L_X24Y30 SLR_REGION_ID 0 TILEPROP INT_L_X24Y30 TILE_PATTERN_IDX 7845 TILEPROP INT_L_X24Y30 TILE_TYPE INT_L TILEPROP INT_L_X24Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y30 TILE_X 13064 TILEPROP INT_L_X24Y30 TILE_Y -142648 TILEPROP INT_L_X24Y30 TYPE INT_L TILEPROP INT_L_X24Y31 CLASS tile TILEPROP INT_L_X24Y31 COLUMN 63 TILEPROP INT_L_X24Y31 DEVICE_ID 0 TILEPROP INT_L_X24Y31 FIRST_SITE_ID 12394 TILEPROP INT_L_X24Y31 GRID_POINT_X 63 TILEPROP INT_L_X24Y31 GRID_POINT_Y 123 TILEPROP INT_L_X24Y31 INDEX 14208 TILEPROP INT_L_X24Y31 INT_TILE_X 24 TILEPROP INT_L_X24Y31 INT_TILE_Y 118 TILEPROP INT_L_X24Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y31 IS_DCM_TILE 0 TILEPROP INT_L_X24Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y31 NAME INT_L_X24Y31 TILEPROP INT_L_X24Y31 NUM_ARCS 3737 TILEPROP INT_L_X24Y31 NUM_SITES 1 TILEPROP INT_L_X24Y31 ROW 123 TILEPROP INT_L_X24Y31 SLR_REGION_ID 0 TILEPROP INT_L_X24Y31 TILE_PATTERN_IDX 7822 TILEPROP INT_L_X24Y31 TILE_TYPE INT_L TILEPROP INT_L_X24Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y31 TILE_X 13064 TILEPROP INT_L_X24Y31 TILE_Y -139448 TILEPROP INT_L_X24Y31 TYPE INT_L TILEPROP INT_L_X24Y32 CLASS tile TILEPROP INT_L_X24Y32 COLUMN 63 TILEPROP INT_L_X24Y32 DEVICE_ID 0 TILEPROP INT_L_X24Y32 FIRST_SITE_ID 12292 TILEPROP INT_L_X24Y32 GRID_POINT_X 63 TILEPROP INT_L_X24Y32 GRID_POINT_Y 122 TILEPROP INT_L_X24Y32 INDEX 14093 TILEPROP INT_L_X24Y32 INT_TILE_X 24 TILEPROP INT_L_X24Y32 INT_TILE_Y 117 TILEPROP INT_L_X24Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y32 IS_DCM_TILE 0 TILEPROP INT_L_X24Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y32 NAME INT_L_X24Y32 TILEPROP INT_L_X24Y32 NUM_ARCS 3737 TILEPROP INT_L_X24Y32 NUM_SITES 1 TILEPROP INT_L_X24Y32 ROW 122 TILEPROP INT_L_X24Y32 SLR_REGION_ID 0 TILEPROP INT_L_X24Y32 TILE_PATTERN_IDX 7788 TILEPROP INT_L_X24Y32 TILE_TYPE INT_L TILEPROP INT_L_X24Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y32 TILE_X 13064 TILEPROP INT_L_X24Y32 TILE_Y -136248 TILEPROP INT_L_X24Y32 TYPE INT_L TILEPROP INT_L_X24Y33 CLASS tile TILEPROP INT_L_X24Y33 COLUMN 63 TILEPROP INT_L_X24Y33 DEVICE_ID 0 TILEPROP INT_L_X24Y33 FIRST_SITE_ID 12191 TILEPROP INT_L_X24Y33 GRID_POINT_X 63 TILEPROP INT_L_X24Y33 GRID_POINT_Y 121 TILEPROP INT_L_X24Y33 INDEX 13978 TILEPROP INT_L_X24Y33 INT_TILE_X 24 TILEPROP INT_L_X24Y33 INT_TILE_Y 116 TILEPROP INT_L_X24Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y33 IS_DCM_TILE 0 TILEPROP INT_L_X24Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y33 NAME INT_L_X24Y33 TILEPROP INT_L_X24Y33 NUM_ARCS 3737 TILEPROP INT_L_X24Y33 NUM_SITES 1 TILEPROP INT_L_X24Y33 ROW 121 TILEPROP INT_L_X24Y33 SLR_REGION_ID 0 TILEPROP INT_L_X24Y33 TILE_PATTERN_IDX 7759 TILEPROP INT_L_X24Y33 TILE_TYPE INT_L TILEPROP INT_L_X24Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y33 TILE_X 13064 TILEPROP INT_L_X24Y33 TILE_Y -133048 TILEPROP INT_L_X24Y33 TYPE INT_L TILEPROP INT_L_X24Y34 CLASS tile TILEPROP INT_L_X24Y34 COLUMN 63 TILEPROP INT_L_X24Y34 DEVICE_ID 0 TILEPROP INT_L_X24Y34 FIRST_SITE_ID 12091 TILEPROP INT_L_X24Y34 GRID_POINT_X 63 TILEPROP INT_L_X24Y34 GRID_POINT_Y 120 TILEPROP INT_L_X24Y34 INDEX 13863 TILEPROP INT_L_X24Y34 INT_TILE_X 24 TILEPROP INT_L_X24Y34 INT_TILE_Y 115 TILEPROP INT_L_X24Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y34 IS_DCM_TILE 0 TILEPROP INT_L_X24Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y34 NAME INT_L_X24Y34 TILEPROP INT_L_X24Y34 NUM_ARCS 3737 TILEPROP INT_L_X24Y34 NUM_SITES 1 TILEPROP INT_L_X24Y34 ROW 120 TILEPROP INT_L_X24Y34 SLR_REGION_ID 0 TILEPROP INT_L_X24Y34 TILE_PATTERN_IDX 7731 TILEPROP INT_L_X24Y34 TILE_TYPE INT_L TILEPROP INT_L_X24Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y34 TILE_X 13064 TILEPROP INT_L_X24Y34 TILE_Y -129848 TILEPROP INT_L_X24Y34 TYPE INT_L TILEPROP INT_L_X24Y35 CLASS tile TILEPROP INT_L_X24Y35 COLUMN 63 TILEPROP INT_L_X24Y35 DEVICE_ID 0 TILEPROP INT_L_X24Y35 FIRST_SITE_ID 11982 TILEPROP INT_L_X24Y35 GRID_POINT_X 63 TILEPROP INT_L_X24Y35 GRID_POINT_Y 119 TILEPROP INT_L_X24Y35 INDEX 13748 TILEPROP INT_L_X24Y35 INT_TILE_X 24 TILEPROP INT_L_X24Y35 INT_TILE_Y 114 TILEPROP INT_L_X24Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y35 IS_DCM_TILE 0 TILEPROP INT_L_X24Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y35 NAME INT_L_X24Y35 TILEPROP INT_L_X24Y35 NUM_ARCS 3737 TILEPROP INT_L_X24Y35 NUM_SITES 1 TILEPROP INT_L_X24Y35 ROW 119 TILEPROP INT_L_X24Y35 SLR_REGION_ID 0 TILEPROP INT_L_X24Y35 TILE_PATTERN_IDX 7702 TILEPROP INT_L_X24Y35 TILE_TYPE INT_L TILEPROP INT_L_X24Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y35 TILE_X 13064 TILEPROP INT_L_X24Y35 TILE_Y -126648 TILEPROP INT_L_X24Y35 TYPE INT_L TILEPROP INT_L_X24Y36 CLASS tile TILEPROP INT_L_X24Y36 COLUMN 63 TILEPROP INT_L_X24Y36 DEVICE_ID 0 TILEPROP INT_L_X24Y36 FIRST_SITE_ID 11876 TILEPROP INT_L_X24Y36 GRID_POINT_X 63 TILEPROP INT_L_X24Y36 GRID_POINT_Y 118 TILEPROP INT_L_X24Y36 INDEX 13633 TILEPROP INT_L_X24Y36 INT_TILE_X 24 TILEPROP INT_L_X24Y36 INT_TILE_Y 113 TILEPROP INT_L_X24Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y36 IS_DCM_TILE 0 TILEPROP INT_L_X24Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y36 NAME INT_L_X24Y36 TILEPROP INT_L_X24Y36 NUM_ARCS 3737 TILEPROP INT_L_X24Y36 NUM_SITES 1 TILEPROP INT_L_X24Y36 ROW 118 TILEPROP INT_L_X24Y36 SLR_REGION_ID 0 TILEPROP INT_L_X24Y36 TILE_PATTERN_IDX 7674 TILEPROP INT_L_X24Y36 TILE_TYPE INT_L TILEPROP INT_L_X24Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y36 TILE_X 13064 TILEPROP INT_L_X24Y36 TILE_Y -123448 TILEPROP INT_L_X24Y36 TYPE INT_L TILEPROP INT_L_X24Y37 CLASS tile TILEPROP INT_L_X24Y37 COLUMN 63 TILEPROP INT_L_X24Y37 DEVICE_ID 0 TILEPROP INT_L_X24Y37 FIRST_SITE_ID 11744 TILEPROP INT_L_X24Y37 GRID_POINT_X 63 TILEPROP INT_L_X24Y37 GRID_POINT_Y 117 TILEPROP INT_L_X24Y37 INDEX 13518 TILEPROP INT_L_X24Y37 INT_TILE_X 24 TILEPROP INT_L_X24Y37 INT_TILE_Y 112 TILEPROP INT_L_X24Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y37 IS_DCM_TILE 0 TILEPROP INT_L_X24Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y37 NAME INT_L_X24Y37 TILEPROP INT_L_X24Y37 NUM_ARCS 3737 TILEPROP INT_L_X24Y37 NUM_SITES 1 TILEPROP INT_L_X24Y37 ROW 117 TILEPROP INT_L_X24Y37 SLR_REGION_ID 0 TILEPROP INT_L_X24Y37 TILE_PATTERN_IDX 7646 TILEPROP INT_L_X24Y37 TILE_TYPE INT_L TILEPROP INT_L_X24Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y37 TILE_X 13064 TILEPROP INT_L_X24Y37 TILE_Y -120248 TILEPROP INT_L_X24Y37 TYPE INT_L TILEPROP INT_L_X24Y38 CLASS tile TILEPROP INT_L_X24Y38 COLUMN 63 TILEPROP INT_L_X24Y38 DEVICE_ID 0 TILEPROP INT_L_X24Y38 FIRST_SITE_ID 11644 TILEPROP INT_L_X24Y38 GRID_POINT_X 63 TILEPROP INT_L_X24Y38 GRID_POINT_Y 116 TILEPROP INT_L_X24Y38 INDEX 13403 TILEPROP INT_L_X24Y38 INT_TILE_X 24 TILEPROP INT_L_X24Y38 INT_TILE_Y 111 TILEPROP INT_L_X24Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y38 IS_DCM_TILE 0 TILEPROP INT_L_X24Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y38 NAME INT_L_X24Y38 TILEPROP INT_L_X24Y38 NUM_ARCS 3737 TILEPROP INT_L_X24Y38 NUM_SITES 1 TILEPROP INT_L_X24Y38 ROW 116 TILEPROP INT_L_X24Y38 SLR_REGION_ID 0 TILEPROP INT_L_X24Y38 TILE_PATTERN_IDX 7618 TILEPROP INT_L_X24Y38 TILE_TYPE INT_L TILEPROP INT_L_X24Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y38 TILE_X 13064 TILEPROP INT_L_X24Y38 TILE_Y -117048 TILEPROP INT_L_X24Y38 TYPE INT_L TILEPROP INT_L_X24Y39 CLASS tile TILEPROP INT_L_X24Y39 COLUMN 63 TILEPROP INT_L_X24Y39 DEVICE_ID 0 TILEPROP INT_L_X24Y39 FIRST_SITE_ID 11544 TILEPROP INT_L_X24Y39 GRID_POINT_X 63 TILEPROP INT_L_X24Y39 GRID_POINT_Y 115 TILEPROP INT_L_X24Y39 INDEX 13288 TILEPROP INT_L_X24Y39 INT_TILE_X 24 TILEPROP INT_L_X24Y39 INT_TILE_Y 110 TILEPROP INT_L_X24Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y39 IS_DCM_TILE 0 TILEPROP INT_L_X24Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y39 NAME INT_L_X24Y39 TILEPROP INT_L_X24Y39 NUM_ARCS 3737 TILEPROP INT_L_X24Y39 NUM_SITES 1 TILEPROP INT_L_X24Y39 ROW 115 TILEPROP INT_L_X24Y39 SLR_REGION_ID 0 TILEPROP INT_L_X24Y39 TILE_PATTERN_IDX 7589 TILEPROP INT_L_X24Y39 TILE_TYPE INT_L TILEPROP INT_L_X24Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y39 TILE_X 13064 TILEPROP INT_L_X24Y39 TILE_Y -113848 TILEPROP INT_L_X24Y39 TYPE INT_L TILEPROP INT_L_X24Y40 CLASS tile TILEPROP INT_L_X24Y40 COLUMN 63 TILEPROP INT_L_X24Y40 DEVICE_ID 0 TILEPROP INT_L_X24Y40 FIRST_SITE_ID 11435 TILEPROP INT_L_X24Y40 GRID_POINT_X 63 TILEPROP INT_L_X24Y40 GRID_POINT_Y 114 TILEPROP INT_L_X24Y40 INDEX 13173 TILEPROP INT_L_X24Y40 INT_TILE_X 24 TILEPROP INT_L_X24Y40 INT_TILE_Y 109 TILEPROP INT_L_X24Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y40 IS_DCM_TILE 0 TILEPROP INT_L_X24Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y40 NAME INT_L_X24Y40 TILEPROP INT_L_X24Y40 NUM_ARCS 3737 TILEPROP INT_L_X24Y40 NUM_SITES 1 TILEPROP INT_L_X24Y40 ROW 114 TILEPROP INT_L_X24Y40 SLR_REGION_ID 0 TILEPROP INT_L_X24Y40 TILE_PATTERN_IDX 7560 TILEPROP INT_L_X24Y40 TILE_TYPE INT_L TILEPROP INT_L_X24Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y40 TILE_X 13064 TILEPROP INT_L_X24Y40 TILE_Y -110648 TILEPROP INT_L_X24Y40 TYPE INT_L TILEPROP INT_L_X24Y41 CLASS tile TILEPROP INT_L_X24Y41 COLUMN 63 TILEPROP INT_L_X24Y41 DEVICE_ID 0 TILEPROP INT_L_X24Y41 FIRST_SITE_ID 11327 TILEPROP INT_L_X24Y41 GRID_POINT_X 63 TILEPROP INT_L_X24Y41 GRID_POINT_Y 113 TILEPROP INT_L_X24Y41 INDEX 13058 TILEPROP INT_L_X24Y41 INT_TILE_X 24 TILEPROP INT_L_X24Y41 INT_TILE_Y 108 TILEPROP INT_L_X24Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y41 IS_DCM_TILE 0 TILEPROP INT_L_X24Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y41 NAME INT_L_X24Y41 TILEPROP INT_L_X24Y41 NUM_ARCS 3737 TILEPROP INT_L_X24Y41 NUM_SITES 1 TILEPROP INT_L_X24Y41 ROW 113 TILEPROP INT_L_X24Y41 SLR_REGION_ID 0 TILEPROP INT_L_X24Y41 TILE_PATTERN_IDX 7525 TILEPROP INT_L_X24Y41 TILE_TYPE INT_L TILEPROP INT_L_X24Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y41 TILE_X 13064 TILEPROP INT_L_X24Y41 TILE_Y -107448 TILEPROP INT_L_X24Y41 TYPE INT_L TILEPROP INT_L_X24Y42 CLASS tile TILEPROP INT_L_X24Y42 COLUMN 63 TILEPROP INT_L_X24Y42 DEVICE_ID 0 TILEPROP INT_L_X24Y42 FIRST_SITE_ID 11225 TILEPROP INT_L_X24Y42 GRID_POINT_X 63 TILEPROP INT_L_X24Y42 GRID_POINT_Y 112 TILEPROP INT_L_X24Y42 INDEX 12943 TILEPROP INT_L_X24Y42 INT_TILE_X 24 TILEPROP INT_L_X24Y42 INT_TILE_Y 107 TILEPROP INT_L_X24Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y42 IS_DCM_TILE 0 TILEPROP INT_L_X24Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y42 NAME INT_L_X24Y42 TILEPROP INT_L_X24Y42 NUM_ARCS 3737 TILEPROP INT_L_X24Y42 NUM_SITES 1 TILEPROP INT_L_X24Y42 ROW 112 TILEPROP INT_L_X24Y42 SLR_REGION_ID 0 TILEPROP INT_L_X24Y42 TILE_PATTERN_IDX 7496 TILEPROP INT_L_X24Y42 TILE_TYPE INT_L TILEPROP INT_L_X24Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y42 TILE_X 13064 TILEPROP INT_L_X24Y42 TILE_Y -104248 TILEPROP INT_L_X24Y42 TYPE INT_L TILEPROP INT_L_X24Y43 CLASS tile TILEPROP INT_L_X24Y43 COLUMN 63 TILEPROP INT_L_X24Y43 DEVICE_ID 0 TILEPROP INT_L_X24Y43 FIRST_SITE_ID 11122 TILEPROP INT_L_X24Y43 GRID_POINT_X 63 TILEPROP INT_L_X24Y43 GRID_POINT_Y 111 TILEPROP INT_L_X24Y43 INDEX 12828 TILEPROP INT_L_X24Y43 INT_TILE_X 24 TILEPROP INT_L_X24Y43 INT_TILE_Y 106 TILEPROP INT_L_X24Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y43 IS_DCM_TILE 0 TILEPROP INT_L_X24Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y43 NAME INT_L_X24Y43 TILEPROP INT_L_X24Y43 NUM_ARCS 3737 TILEPROP INT_L_X24Y43 NUM_SITES 1 TILEPROP INT_L_X24Y43 ROW 111 TILEPROP INT_L_X24Y43 SLR_REGION_ID 0 TILEPROP INT_L_X24Y43 TILE_PATTERN_IDX 7467 TILEPROP INT_L_X24Y43 TILE_TYPE INT_L TILEPROP INT_L_X24Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y43 TILE_X 13064 TILEPROP INT_L_X24Y43 TILE_Y -101048 TILEPROP INT_L_X24Y43 TYPE INT_L TILEPROP INT_L_X24Y44 CLASS tile TILEPROP INT_L_X24Y44 COLUMN 63 TILEPROP INT_L_X24Y44 DEVICE_ID 0 TILEPROP INT_L_X24Y44 FIRST_SITE_ID 11020 TILEPROP INT_L_X24Y44 GRID_POINT_X 63 TILEPROP INT_L_X24Y44 GRID_POINT_Y 110 TILEPROP INT_L_X24Y44 INDEX 12713 TILEPROP INT_L_X24Y44 INT_TILE_X 24 TILEPROP INT_L_X24Y44 INT_TILE_Y 105 TILEPROP INT_L_X24Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y44 IS_DCM_TILE 0 TILEPROP INT_L_X24Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y44 NAME INT_L_X24Y44 TILEPROP INT_L_X24Y44 NUM_ARCS 3737 TILEPROP INT_L_X24Y44 NUM_SITES 1 TILEPROP INT_L_X24Y44 ROW 110 TILEPROP INT_L_X24Y44 SLR_REGION_ID 0 TILEPROP INT_L_X24Y44 TILE_PATTERN_IDX 7439 TILEPROP INT_L_X24Y44 TILE_TYPE INT_L TILEPROP INT_L_X24Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y44 TILE_X 13064 TILEPROP INT_L_X24Y44 TILE_Y -97848 TILEPROP INT_L_X24Y44 TYPE INT_L TILEPROP INT_L_X24Y45 CLASS tile TILEPROP INT_L_X24Y45 COLUMN 63 TILEPROP INT_L_X24Y45 DEVICE_ID 0 TILEPROP INT_L_X24Y45 FIRST_SITE_ID 10911 TILEPROP INT_L_X24Y45 GRID_POINT_X 63 TILEPROP INT_L_X24Y45 GRID_POINT_Y 109 TILEPROP INT_L_X24Y45 INDEX 12598 TILEPROP INT_L_X24Y45 INT_TILE_X 24 TILEPROP INT_L_X24Y45 INT_TILE_Y 104 TILEPROP INT_L_X24Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y45 IS_DCM_TILE 0 TILEPROP INT_L_X24Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y45 NAME INT_L_X24Y45 TILEPROP INT_L_X24Y45 NUM_ARCS 3737 TILEPROP INT_L_X24Y45 NUM_SITES 1 TILEPROP INT_L_X24Y45 ROW 109 TILEPROP INT_L_X24Y45 SLR_REGION_ID 0 TILEPROP INT_L_X24Y45 TILE_PATTERN_IDX 7403 TILEPROP INT_L_X24Y45 TILE_TYPE INT_L TILEPROP INT_L_X24Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y45 TILE_X 13064 TILEPROP INT_L_X24Y45 TILE_Y -94648 TILEPROP INT_L_X24Y45 TYPE INT_L TILEPROP INT_L_X24Y46 CLASS tile TILEPROP INT_L_X24Y46 COLUMN 63 TILEPROP INT_L_X24Y46 DEVICE_ID 0 TILEPROP INT_L_X24Y46 FIRST_SITE_ID 10804 TILEPROP INT_L_X24Y46 GRID_POINT_X 63 TILEPROP INT_L_X24Y46 GRID_POINT_Y 108 TILEPROP INT_L_X24Y46 INDEX 12483 TILEPROP INT_L_X24Y46 INT_TILE_X 24 TILEPROP INT_L_X24Y46 INT_TILE_Y 103 TILEPROP INT_L_X24Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y46 IS_DCM_TILE 0 TILEPROP INT_L_X24Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y46 NAME INT_L_X24Y46 TILEPROP INT_L_X24Y46 NUM_ARCS 3737 TILEPROP INT_L_X24Y46 NUM_SITES 1 TILEPROP INT_L_X24Y46 ROW 108 TILEPROP INT_L_X24Y46 SLR_REGION_ID 0 TILEPROP INT_L_X24Y46 TILE_PATTERN_IDX 7367 TILEPROP INT_L_X24Y46 TILE_TYPE INT_L TILEPROP INT_L_X24Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y46 TILE_X 13064 TILEPROP INT_L_X24Y46 TILE_Y -91448 TILEPROP INT_L_X24Y46 TYPE INT_L TILEPROP INT_L_X24Y47 CLASS tile TILEPROP INT_L_X24Y47 COLUMN 63 TILEPROP INT_L_X24Y47 DEVICE_ID 0 TILEPROP INT_L_X24Y47 FIRST_SITE_ID 10688 TILEPROP INT_L_X24Y47 GRID_POINT_X 63 TILEPROP INT_L_X24Y47 GRID_POINT_Y 107 TILEPROP INT_L_X24Y47 INDEX 12368 TILEPROP INT_L_X24Y47 INT_TILE_X 24 TILEPROP INT_L_X24Y47 INT_TILE_Y 102 TILEPROP INT_L_X24Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y47 IS_DCM_TILE 0 TILEPROP INT_L_X24Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y47 NAME INT_L_X24Y47 TILEPROP INT_L_X24Y47 NUM_ARCS 3737 TILEPROP INT_L_X24Y47 NUM_SITES 1 TILEPROP INT_L_X24Y47 ROW 107 TILEPROP INT_L_X24Y47 SLR_REGION_ID 0 TILEPROP INT_L_X24Y47 TILE_PATTERN_IDX 7330 TILEPROP INT_L_X24Y47 TILE_TYPE INT_L TILEPROP INT_L_X24Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y47 TILE_X 13064 TILEPROP INT_L_X24Y47 TILE_Y -88248 TILEPROP INT_L_X24Y47 TYPE INT_L TILEPROP INT_L_X24Y48 CLASS tile TILEPROP INT_L_X24Y48 COLUMN 63 TILEPROP INT_L_X24Y48 DEVICE_ID 0 TILEPROP INT_L_X24Y48 FIRST_SITE_ID 10588 TILEPROP INT_L_X24Y48 GRID_POINT_X 63 TILEPROP INT_L_X24Y48 GRID_POINT_Y 106 TILEPROP INT_L_X24Y48 INDEX 12253 TILEPROP INT_L_X24Y48 INT_TILE_X 24 TILEPROP INT_L_X24Y48 INT_TILE_Y 101 TILEPROP INT_L_X24Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y48 IS_DCM_TILE 0 TILEPROP INT_L_X24Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y48 NAME INT_L_X24Y48 TILEPROP INT_L_X24Y48 NUM_ARCS 3737 TILEPROP INT_L_X24Y48 NUM_SITES 1 TILEPROP INT_L_X24Y48 ROW 106 TILEPROP INT_L_X24Y48 SLR_REGION_ID 0 TILEPROP INT_L_X24Y48 TILE_PATTERN_IDX 7294 TILEPROP INT_L_X24Y48 TILE_TYPE INT_L TILEPROP INT_L_X24Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y48 TILE_X 13064 TILEPROP INT_L_X24Y48 TILE_Y -85048 TILEPROP INT_L_X24Y48 TYPE INT_L TILEPROP INT_L_X24Y49 CLASS tile TILEPROP INT_L_X24Y49 COLUMN 63 TILEPROP INT_L_X24Y49 DEVICE_ID 0 TILEPROP INT_L_X24Y49 FIRST_SITE_ID 10492 TILEPROP INT_L_X24Y49 GRID_POINT_X 63 TILEPROP INT_L_X24Y49 GRID_POINT_Y 105 TILEPROP INT_L_X24Y49 INDEX 12138 TILEPROP INT_L_X24Y49 INT_TILE_X 24 TILEPROP INT_L_X24Y49 INT_TILE_Y 100 TILEPROP INT_L_X24Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y49 IS_DCM_TILE 0 TILEPROP INT_L_X24Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y49 NAME INT_L_X24Y49 TILEPROP INT_L_X24Y49 NUM_ARCS 3737 TILEPROP INT_L_X24Y49 NUM_SITES 1 TILEPROP INT_L_X24Y49 ROW 105 TILEPROP INT_L_X24Y49 SLR_REGION_ID 0 TILEPROP INT_L_X24Y49 TILE_PATTERN_IDX 7258 TILEPROP INT_L_X24Y49 TILE_TYPE INT_L TILEPROP INT_L_X24Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y49 TILE_X 13064 TILEPROP INT_L_X24Y49 TILE_Y -81848 TILEPROP INT_L_X24Y49 TYPE INT_L TILEPROP INT_L_X24Y50 CLASS tile TILEPROP INT_L_X24Y50 COLUMN 63 TILEPROP INT_L_X24Y50 DEVICE_ID 0 TILEPROP INT_L_X24Y50 FIRST_SITE_ID 10383 TILEPROP INT_L_X24Y50 GRID_POINT_X 63 TILEPROP INT_L_X24Y50 GRID_POINT_Y 103 TILEPROP INT_L_X24Y50 INDEX 11908 TILEPROP INT_L_X24Y50 INT_TILE_X 24 TILEPROP INT_L_X24Y50 INT_TILE_Y 99 TILEPROP INT_L_X24Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y50 IS_DCM_TILE 0 TILEPROP INT_L_X24Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y50 NAME INT_L_X24Y50 TILEPROP INT_L_X24Y50 NUM_ARCS 3737 TILEPROP INT_L_X24Y50 NUM_SITES 1 TILEPROP INT_L_X24Y50 ROW 103 TILEPROP INT_L_X24Y50 SLR_REGION_ID 0 TILEPROP INT_L_X24Y50 TILE_PATTERN_IDX 7221 TILEPROP INT_L_X24Y50 TILE_TYPE INT_L TILEPROP INT_L_X24Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y50 TILE_X 13064 TILEPROP INT_L_X24Y50 TILE_Y -78400 TILEPROP INT_L_X24Y50 TYPE INT_L TILEPROP INT_L_X24Y51 CLASS tile TILEPROP INT_L_X24Y51 COLUMN 63 TILEPROP INT_L_X24Y51 DEVICE_ID 0 TILEPROP INT_L_X24Y51 FIRST_SITE_ID 10257 TILEPROP INT_L_X24Y51 GRID_POINT_X 63 TILEPROP INT_L_X24Y51 GRID_POINT_Y 102 TILEPROP INT_L_X24Y51 INDEX 11793 TILEPROP INT_L_X24Y51 INT_TILE_X 24 TILEPROP INT_L_X24Y51 INT_TILE_Y 98 TILEPROP INT_L_X24Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y51 IS_DCM_TILE 0 TILEPROP INT_L_X24Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y51 NAME INT_L_X24Y51 TILEPROP INT_L_X24Y51 NUM_ARCS 3737 TILEPROP INT_L_X24Y51 NUM_SITES 1 TILEPROP INT_L_X24Y51 ROW 102 TILEPROP INT_L_X24Y51 SLR_REGION_ID 0 TILEPROP INT_L_X24Y51 TILE_PATTERN_IDX 7184 TILEPROP INT_L_X24Y51 TILE_TYPE INT_L TILEPROP INT_L_X24Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y51 TILE_X 13064 TILEPROP INT_L_X24Y51 TILE_Y -75200 TILEPROP INT_L_X24Y51 TYPE INT_L TILEPROP INT_L_X24Y52 CLASS tile TILEPROP INT_L_X24Y52 COLUMN 63 TILEPROP INT_L_X24Y52 DEVICE_ID 0 TILEPROP INT_L_X24Y52 FIRST_SITE_ID 10157 TILEPROP INT_L_X24Y52 GRID_POINT_X 63 TILEPROP INT_L_X24Y52 GRID_POINT_Y 101 TILEPROP INT_L_X24Y52 INDEX 11678 TILEPROP INT_L_X24Y52 INT_TILE_X 24 TILEPROP INT_L_X24Y52 INT_TILE_Y 97 TILEPROP INT_L_X24Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y52 IS_DCM_TILE 0 TILEPROP INT_L_X24Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y52 NAME INT_L_X24Y52 TILEPROP INT_L_X24Y52 NUM_ARCS 3737 TILEPROP INT_L_X24Y52 NUM_SITES 1 TILEPROP INT_L_X24Y52 ROW 101 TILEPROP INT_L_X24Y52 SLR_REGION_ID 0 TILEPROP INT_L_X24Y52 TILE_PATTERN_IDX 7147 TILEPROP INT_L_X24Y52 TILE_TYPE INT_L TILEPROP INT_L_X24Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y52 TILE_X 13064 TILEPROP INT_L_X24Y52 TILE_Y -72000 TILEPROP INT_L_X24Y52 TYPE INT_L TILEPROP INT_L_X24Y53 CLASS tile TILEPROP INT_L_X24Y53 COLUMN 63 TILEPROP INT_L_X24Y53 DEVICE_ID 0 TILEPROP INT_L_X24Y53 FIRST_SITE_ID 10057 TILEPROP INT_L_X24Y53 GRID_POINT_X 63 TILEPROP INT_L_X24Y53 GRID_POINT_Y 100 TILEPROP INT_L_X24Y53 INDEX 11563 TILEPROP INT_L_X24Y53 INT_TILE_X 24 TILEPROP INT_L_X24Y53 INT_TILE_Y 96 TILEPROP INT_L_X24Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y53 IS_DCM_TILE 0 TILEPROP INT_L_X24Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y53 NAME INT_L_X24Y53 TILEPROP INT_L_X24Y53 NUM_ARCS 3737 TILEPROP INT_L_X24Y53 NUM_SITES 1 TILEPROP INT_L_X24Y53 ROW 100 TILEPROP INT_L_X24Y53 SLR_REGION_ID 0 TILEPROP INT_L_X24Y53 TILE_PATTERN_IDX 7110 TILEPROP INT_L_X24Y53 TILE_TYPE INT_L TILEPROP INT_L_X24Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y53 TILE_X 13064 TILEPROP INT_L_X24Y53 TILE_Y -68800 TILEPROP INT_L_X24Y53 TYPE INT_L TILEPROP INT_L_X24Y54 CLASS tile TILEPROP INT_L_X24Y54 COLUMN 63 TILEPROP INT_L_X24Y54 DEVICE_ID 0 TILEPROP INT_L_X24Y54 FIRST_SITE_ID 9957 TILEPROP INT_L_X24Y54 GRID_POINT_X 63 TILEPROP INT_L_X24Y54 GRID_POINT_Y 99 TILEPROP INT_L_X24Y54 INDEX 11448 TILEPROP INT_L_X24Y54 INT_TILE_X 24 TILEPROP INT_L_X24Y54 INT_TILE_Y 95 TILEPROP INT_L_X24Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y54 IS_DCM_TILE 0 TILEPROP INT_L_X24Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y54 NAME INT_L_X24Y54 TILEPROP INT_L_X24Y54 NUM_ARCS 3737 TILEPROP INT_L_X24Y54 NUM_SITES 1 TILEPROP INT_L_X24Y54 ROW 99 TILEPROP INT_L_X24Y54 SLR_REGION_ID 0 TILEPROP INT_L_X24Y54 TILE_PATTERN_IDX 7073 TILEPROP INT_L_X24Y54 TILE_TYPE INT_L TILEPROP INT_L_X24Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y54 TILE_X 13064 TILEPROP INT_L_X24Y54 TILE_Y -65600 TILEPROP INT_L_X24Y54 TYPE INT_L TILEPROP INT_L_X24Y55 CLASS tile TILEPROP INT_L_X24Y55 COLUMN 63 TILEPROP INT_L_X24Y55 DEVICE_ID 0 TILEPROP INT_L_X24Y55 FIRST_SITE_ID 9848 TILEPROP INT_L_X24Y55 GRID_POINT_X 63 TILEPROP INT_L_X24Y55 GRID_POINT_Y 98 TILEPROP INT_L_X24Y55 INDEX 11333 TILEPROP INT_L_X24Y55 INT_TILE_X 24 TILEPROP INT_L_X24Y55 INT_TILE_Y 94 TILEPROP INT_L_X24Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y55 IS_DCM_TILE 0 TILEPROP INT_L_X24Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y55 NAME INT_L_X24Y55 TILEPROP INT_L_X24Y55 NUM_ARCS 3737 TILEPROP INT_L_X24Y55 NUM_SITES 1 TILEPROP INT_L_X24Y55 ROW 98 TILEPROP INT_L_X24Y55 SLR_REGION_ID 0 TILEPROP INT_L_X24Y55 TILE_PATTERN_IDX 7035 TILEPROP INT_L_X24Y55 TILE_TYPE INT_L TILEPROP INT_L_X24Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y55 TILE_X 13064 TILEPROP INT_L_X24Y55 TILE_Y -62400 TILEPROP INT_L_X24Y55 TYPE INT_L TILEPROP INT_L_X24Y56 CLASS tile TILEPROP INT_L_X24Y56 COLUMN 63 TILEPROP INT_L_X24Y56 DEVICE_ID 0 TILEPROP INT_L_X24Y56 FIRST_SITE_ID 9742 TILEPROP INT_L_X24Y56 GRID_POINT_X 63 TILEPROP INT_L_X24Y56 GRID_POINT_Y 97 TILEPROP INT_L_X24Y56 INDEX 11218 TILEPROP INT_L_X24Y56 INT_TILE_X 24 TILEPROP INT_L_X24Y56 INT_TILE_Y 93 TILEPROP INT_L_X24Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y56 IS_DCM_TILE 0 TILEPROP INT_L_X24Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y56 NAME INT_L_X24Y56 TILEPROP INT_L_X24Y56 NUM_ARCS 3737 TILEPROP INT_L_X24Y56 NUM_SITES 1 TILEPROP INT_L_X24Y56 ROW 97 TILEPROP INT_L_X24Y56 SLR_REGION_ID 0 TILEPROP INT_L_X24Y56 TILE_PATTERN_IDX 6998 TILEPROP INT_L_X24Y56 TILE_TYPE INT_L TILEPROP INT_L_X24Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y56 TILE_X 13064 TILEPROP INT_L_X24Y56 TILE_Y -59200 TILEPROP INT_L_X24Y56 TYPE INT_L TILEPROP INT_L_X24Y57 CLASS tile TILEPROP INT_L_X24Y57 COLUMN 63 TILEPROP INT_L_X24Y57 DEVICE_ID 0 TILEPROP INT_L_X24Y57 FIRST_SITE_ID 9640 TILEPROP INT_L_X24Y57 GRID_POINT_X 63 TILEPROP INT_L_X24Y57 GRID_POINT_Y 96 TILEPROP INT_L_X24Y57 INDEX 11103 TILEPROP INT_L_X24Y57 INT_TILE_X 24 TILEPROP INT_L_X24Y57 INT_TILE_Y 92 TILEPROP INT_L_X24Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y57 IS_DCM_TILE 0 TILEPROP INT_L_X24Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y57 NAME INT_L_X24Y57 TILEPROP INT_L_X24Y57 NUM_ARCS 3737 TILEPROP INT_L_X24Y57 NUM_SITES 1 TILEPROP INT_L_X24Y57 ROW 96 TILEPROP INT_L_X24Y57 SLR_REGION_ID 0 TILEPROP INT_L_X24Y57 TILE_PATTERN_IDX 6961 TILEPROP INT_L_X24Y57 TILE_TYPE INT_L TILEPROP INT_L_X24Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y57 TILE_X 13064 TILEPROP INT_L_X24Y57 TILE_Y -56000 TILEPROP INT_L_X24Y57 TYPE INT_L TILEPROP INT_L_X24Y58 CLASS tile TILEPROP INT_L_X24Y58 COLUMN 63 TILEPROP INT_L_X24Y58 DEVICE_ID 0 TILEPROP INT_L_X24Y58 FIRST_SITE_ID 9537 TILEPROP INT_L_X24Y58 GRID_POINT_X 63 TILEPROP INT_L_X24Y58 GRID_POINT_Y 95 TILEPROP INT_L_X24Y58 INDEX 10988 TILEPROP INT_L_X24Y58 INT_TILE_X 24 TILEPROP INT_L_X24Y58 INT_TILE_Y 91 TILEPROP INT_L_X24Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y58 IS_DCM_TILE 0 TILEPROP INT_L_X24Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y58 NAME INT_L_X24Y58 TILEPROP INT_L_X24Y58 NUM_ARCS 3737 TILEPROP INT_L_X24Y58 NUM_SITES 1 TILEPROP INT_L_X24Y58 ROW 95 TILEPROP INT_L_X24Y58 SLR_REGION_ID 0 TILEPROP INT_L_X24Y58 TILE_PATTERN_IDX 6923 TILEPROP INT_L_X24Y58 TILE_TYPE INT_L TILEPROP INT_L_X24Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y58 TILE_X 13064 TILEPROP INT_L_X24Y58 TILE_Y -52800 TILEPROP INT_L_X24Y58 TYPE INT_L TILEPROP INT_L_X24Y59 CLASS tile TILEPROP INT_L_X24Y59 COLUMN 63 TILEPROP INT_L_X24Y59 DEVICE_ID 0 TILEPROP INT_L_X24Y59 FIRST_SITE_ID 9436 TILEPROP INT_L_X24Y59 GRID_POINT_X 63 TILEPROP INT_L_X24Y59 GRID_POINT_Y 94 TILEPROP INT_L_X24Y59 INDEX 10873 TILEPROP INT_L_X24Y59 INT_TILE_X 24 TILEPROP INT_L_X24Y59 INT_TILE_Y 90 TILEPROP INT_L_X24Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y59 IS_DCM_TILE 0 TILEPROP INT_L_X24Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y59 NAME INT_L_X24Y59 TILEPROP INT_L_X24Y59 NUM_ARCS 3737 TILEPROP INT_L_X24Y59 NUM_SITES 1 TILEPROP INT_L_X24Y59 ROW 94 TILEPROP INT_L_X24Y59 SLR_REGION_ID 0 TILEPROP INT_L_X24Y59 TILE_PATTERN_IDX 6886 TILEPROP INT_L_X24Y59 TILE_TYPE INT_L TILEPROP INT_L_X24Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y59 TILE_X 13064 TILEPROP INT_L_X24Y59 TILE_Y -49600 TILEPROP INT_L_X24Y59 TYPE INT_L TILEPROP INT_L_X24Y60 CLASS tile TILEPROP INT_L_X24Y60 COLUMN 63 TILEPROP INT_L_X24Y60 DEVICE_ID 0 TILEPROP INT_L_X24Y60 FIRST_SITE_ID 9327 TILEPROP INT_L_X24Y60 GRID_POINT_X 63 TILEPROP INT_L_X24Y60 GRID_POINT_Y 93 TILEPROP INT_L_X24Y60 INDEX 10758 TILEPROP INT_L_X24Y60 INT_TILE_X 24 TILEPROP INT_L_X24Y60 INT_TILE_Y 89 TILEPROP INT_L_X24Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y60 IS_DCM_TILE 0 TILEPROP INT_L_X24Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y60 NAME INT_L_X24Y60 TILEPROP INT_L_X24Y60 NUM_ARCS 3737 TILEPROP INT_L_X24Y60 NUM_SITES 1 TILEPROP INT_L_X24Y60 ROW 93 TILEPROP INT_L_X24Y60 SLR_REGION_ID 0 TILEPROP INT_L_X24Y60 TILE_PATTERN_IDX 6849 TILEPROP INT_L_X24Y60 TILE_TYPE INT_L TILEPROP INT_L_X24Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y60 TILE_X 13064 TILEPROP INT_L_X24Y60 TILE_Y -46400 TILEPROP INT_L_X24Y60 TYPE INT_L TILEPROP INT_L_X24Y61 CLASS tile TILEPROP INT_L_X24Y61 COLUMN 63 TILEPROP INT_L_X24Y61 DEVICE_ID 0 TILEPROP INT_L_X24Y61 FIRST_SITE_ID 9221 TILEPROP INT_L_X24Y61 GRID_POINT_X 63 TILEPROP INT_L_X24Y61 GRID_POINT_Y 92 TILEPROP INT_L_X24Y61 INDEX 10643 TILEPROP INT_L_X24Y61 INT_TILE_X 24 TILEPROP INT_L_X24Y61 INT_TILE_Y 88 TILEPROP INT_L_X24Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y61 IS_DCM_TILE 0 TILEPROP INT_L_X24Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y61 NAME INT_L_X24Y61 TILEPROP INT_L_X24Y61 NUM_ARCS 3737 TILEPROP INT_L_X24Y61 NUM_SITES 1 TILEPROP INT_L_X24Y61 ROW 92 TILEPROP INT_L_X24Y61 SLR_REGION_ID 0 TILEPROP INT_L_X24Y61 TILE_PATTERN_IDX 6812 TILEPROP INT_L_X24Y61 TILE_TYPE INT_L TILEPROP INT_L_X24Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y61 TILE_X 13064 TILEPROP INT_L_X24Y61 TILE_Y -43200 TILEPROP INT_L_X24Y61 TYPE INT_L TILEPROP INT_L_X24Y62 CLASS tile TILEPROP INT_L_X24Y62 COLUMN 63 TILEPROP INT_L_X24Y62 DEVICE_ID 0 TILEPROP INT_L_X24Y62 FIRST_SITE_ID 9121 TILEPROP INT_L_X24Y62 GRID_POINT_X 63 TILEPROP INT_L_X24Y62 GRID_POINT_Y 91 TILEPROP INT_L_X24Y62 INDEX 10528 TILEPROP INT_L_X24Y62 INT_TILE_X 24 TILEPROP INT_L_X24Y62 INT_TILE_Y 87 TILEPROP INT_L_X24Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y62 IS_DCM_TILE 0 TILEPROP INT_L_X24Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y62 NAME INT_L_X24Y62 TILEPROP INT_L_X24Y62 NUM_ARCS 3737 TILEPROP INT_L_X24Y62 NUM_SITES 1 TILEPROP INT_L_X24Y62 ROW 91 TILEPROP INT_L_X24Y62 SLR_REGION_ID 0 TILEPROP INT_L_X24Y62 TILE_PATTERN_IDX 6775 TILEPROP INT_L_X24Y62 TILE_TYPE INT_L TILEPROP INT_L_X24Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y62 TILE_X 13064 TILEPROP INT_L_X24Y62 TILE_Y -40000 TILEPROP INT_L_X24Y62 TYPE INT_L TILEPROP INT_L_X24Y63 CLASS tile TILEPROP INT_L_X24Y63 COLUMN 63 TILEPROP INT_L_X24Y63 DEVICE_ID 0 TILEPROP INT_L_X24Y63 FIRST_SITE_ID 8989 TILEPROP INT_L_X24Y63 GRID_POINT_X 63 TILEPROP INT_L_X24Y63 GRID_POINT_Y 90 TILEPROP INT_L_X24Y63 INDEX 10413 TILEPROP INT_L_X24Y63 INT_TILE_X 24 TILEPROP INT_L_X24Y63 INT_TILE_Y 86 TILEPROP INT_L_X24Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y63 IS_DCM_TILE 0 TILEPROP INT_L_X24Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y63 NAME INT_L_X24Y63 TILEPROP INT_L_X24Y63 NUM_ARCS 3737 TILEPROP INT_L_X24Y63 NUM_SITES 1 TILEPROP INT_L_X24Y63 ROW 90 TILEPROP INT_L_X24Y63 SLR_REGION_ID 0 TILEPROP INT_L_X24Y63 TILE_PATTERN_IDX 6738 TILEPROP INT_L_X24Y63 TILE_TYPE INT_L TILEPROP INT_L_X24Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y63 TILE_X 13064 TILEPROP INT_L_X24Y63 TILE_Y -36800 TILEPROP INT_L_X24Y63 TYPE INT_L TILEPROP INT_L_X24Y64 CLASS tile TILEPROP INT_L_X24Y64 COLUMN 63 TILEPROP INT_L_X24Y64 DEVICE_ID 0 TILEPROP INT_L_X24Y64 FIRST_SITE_ID 8889 TILEPROP INT_L_X24Y64 GRID_POINT_X 63 TILEPROP INT_L_X24Y64 GRID_POINT_Y 89 TILEPROP INT_L_X24Y64 INDEX 10298 TILEPROP INT_L_X24Y64 INT_TILE_X 24 TILEPROP INT_L_X24Y64 INT_TILE_Y 85 TILEPROP INT_L_X24Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y64 IS_DCM_TILE 0 TILEPROP INT_L_X24Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y64 NAME INT_L_X24Y64 TILEPROP INT_L_X24Y64 NUM_ARCS 3737 TILEPROP INT_L_X24Y64 NUM_SITES 1 TILEPROP INT_L_X24Y64 ROW 89 TILEPROP INT_L_X24Y64 SLR_REGION_ID 0 TILEPROP INT_L_X24Y64 TILE_PATTERN_IDX 6701 TILEPROP INT_L_X24Y64 TILE_TYPE INT_L TILEPROP INT_L_X24Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y64 TILE_X 13064 TILEPROP INT_L_X24Y64 TILE_Y -33600 TILEPROP INT_L_X24Y64 TYPE INT_L TILEPROP INT_L_X24Y65 CLASS tile TILEPROP INT_L_X24Y65 COLUMN 63 TILEPROP INT_L_X24Y65 DEVICE_ID 0 TILEPROP INT_L_X24Y65 FIRST_SITE_ID 8780 TILEPROP INT_L_X24Y65 GRID_POINT_X 63 TILEPROP INT_L_X24Y65 GRID_POINT_Y 88 TILEPROP INT_L_X24Y65 INDEX 10183 TILEPROP INT_L_X24Y65 INT_TILE_X 24 TILEPROP INT_L_X24Y65 INT_TILE_Y 84 TILEPROP INT_L_X24Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y65 IS_DCM_TILE 0 TILEPROP INT_L_X24Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y65 NAME INT_L_X24Y65 TILEPROP INT_L_X24Y65 NUM_ARCS 3737 TILEPROP INT_L_X24Y65 NUM_SITES 1 TILEPROP INT_L_X24Y65 ROW 88 TILEPROP INT_L_X24Y65 SLR_REGION_ID 0 TILEPROP INT_L_X24Y65 TILE_PATTERN_IDX 6664 TILEPROP INT_L_X24Y65 TILE_TYPE INT_L TILEPROP INT_L_X24Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y65 TILE_X 13064 TILEPROP INT_L_X24Y65 TILE_Y -30400 TILEPROP INT_L_X24Y65 TYPE INT_L TILEPROP INT_L_X24Y66 CLASS tile TILEPROP INT_L_X24Y66 COLUMN 63 TILEPROP INT_L_X24Y66 DEVICE_ID 0 TILEPROP INT_L_X24Y66 FIRST_SITE_ID 8674 TILEPROP INT_L_X24Y66 GRID_POINT_X 63 TILEPROP INT_L_X24Y66 GRID_POINT_Y 87 TILEPROP INT_L_X24Y66 INDEX 10068 TILEPROP INT_L_X24Y66 INT_TILE_X 24 TILEPROP INT_L_X24Y66 INT_TILE_Y 83 TILEPROP INT_L_X24Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y66 IS_DCM_TILE 0 TILEPROP INT_L_X24Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y66 NAME INT_L_X24Y66 TILEPROP INT_L_X24Y66 NUM_ARCS 3737 TILEPROP INT_L_X24Y66 NUM_SITES 1 TILEPROP INT_L_X24Y66 ROW 87 TILEPROP INT_L_X24Y66 SLR_REGION_ID 0 TILEPROP INT_L_X24Y66 TILE_PATTERN_IDX 6627 TILEPROP INT_L_X24Y66 TILE_TYPE INT_L TILEPROP INT_L_X24Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y66 TILE_X 13064 TILEPROP INT_L_X24Y66 TILE_Y -27200 TILEPROP INT_L_X24Y66 TYPE INT_L TILEPROP INT_L_X24Y67 CLASS tile TILEPROP INT_L_X24Y67 COLUMN 63 TILEPROP INT_L_X24Y67 DEVICE_ID 0 TILEPROP INT_L_X24Y67 FIRST_SITE_ID 8570 TILEPROP INT_L_X24Y67 GRID_POINT_X 63 TILEPROP INT_L_X24Y67 GRID_POINT_Y 86 TILEPROP INT_L_X24Y67 INDEX 9953 TILEPROP INT_L_X24Y67 INT_TILE_X 24 TILEPROP INT_L_X24Y67 INT_TILE_Y 82 TILEPROP INT_L_X24Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y67 IS_DCM_TILE 0 TILEPROP INT_L_X24Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y67 NAME INT_L_X24Y67 TILEPROP INT_L_X24Y67 NUM_ARCS 3737 TILEPROP INT_L_X24Y67 NUM_SITES 1 TILEPROP INT_L_X24Y67 ROW 86 TILEPROP INT_L_X24Y67 SLR_REGION_ID 0 TILEPROP INT_L_X24Y67 TILE_PATTERN_IDX 6589 TILEPROP INT_L_X24Y67 TILE_TYPE INT_L TILEPROP INT_L_X24Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y67 TILE_X 13064 TILEPROP INT_L_X24Y67 TILE_Y -24000 TILEPROP INT_L_X24Y67 TYPE INT_L TILEPROP INT_L_X24Y68 CLASS tile TILEPROP INT_L_X24Y68 COLUMN 63 TILEPROP INT_L_X24Y68 DEVICE_ID 0 TILEPROP INT_L_X24Y68 FIRST_SITE_ID 8466 TILEPROP INT_L_X24Y68 GRID_POINT_X 63 TILEPROP INT_L_X24Y68 GRID_POINT_Y 85 TILEPROP INT_L_X24Y68 INDEX 9838 TILEPROP INT_L_X24Y68 INT_TILE_X 24 TILEPROP INT_L_X24Y68 INT_TILE_Y 81 TILEPROP INT_L_X24Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y68 IS_DCM_TILE 0 TILEPROP INT_L_X24Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y68 NAME INT_L_X24Y68 TILEPROP INT_L_X24Y68 NUM_ARCS 3737 TILEPROP INT_L_X24Y68 NUM_SITES 1 TILEPROP INT_L_X24Y68 ROW 85 TILEPROP INT_L_X24Y68 SLR_REGION_ID 0 TILEPROP INT_L_X24Y68 TILE_PATTERN_IDX 6557 TILEPROP INT_L_X24Y68 TILE_TYPE INT_L TILEPROP INT_L_X24Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y68 TILE_X 13064 TILEPROP INT_L_X24Y68 TILE_Y -20800 TILEPROP INT_L_X24Y68 TYPE INT_L TILEPROP INT_L_X24Y69 CLASS tile TILEPROP INT_L_X24Y69 COLUMN 63 TILEPROP INT_L_X24Y69 DEVICE_ID 0 TILEPROP INT_L_X24Y69 FIRST_SITE_ID 8364 TILEPROP INT_L_X24Y69 GRID_POINT_X 63 TILEPROP INT_L_X24Y69 GRID_POINT_Y 84 TILEPROP INT_L_X24Y69 INDEX 9723 TILEPROP INT_L_X24Y69 INT_TILE_X 24 TILEPROP INT_L_X24Y69 INT_TILE_Y 80 TILEPROP INT_L_X24Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y69 IS_DCM_TILE 0 TILEPROP INT_L_X24Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y69 NAME INT_L_X24Y69 TILEPROP INT_L_X24Y69 NUM_ARCS 3737 TILEPROP INT_L_X24Y69 NUM_SITES 1 TILEPROP INT_L_X24Y69 ROW 84 TILEPROP INT_L_X24Y69 SLR_REGION_ID 0 TILEPROP INT_L_X24Y69 TILE_PATTERN_IDX 6526 TILEPROP INT_L_X24Y69 TILE_TYPE INT_L TILEPROP INT_L_X24Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y69 TILE_X 13064 TILEPROP INT_L_X24Y69 TILE_Y -17600 TILEPROP INT_L_X24Y69 TYPE INT_L TILEPROP INT_L_X24Y70 CLASS tile TILEPROP INT_L_X24Y70 COLUMN 63 TILEPROP INT_L_X24Y70 DEVICE_ID 0 TILEPROP INT_L_X24Y70 FIRST_SITE_ID 8253 TILEPROP INT_L_X24Y70 GRID_POINT_X 63 TILEPROP INT_L_X24Y70 GRID_POINT_Y 83 TILEPROP INT_L_X24Y70 INDEX 9608 TILEPROP INT_L_X24Y70 INT_TILE_X 24 TILEPROP INT_L_X24Y70 INT_TILE_Y 79 TILEPROP INT_L_X24Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y70 IS_DCM_TILE 0 TILEPROP INT_L_X24Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y70 NAME INT_L_X24Y70 TILEPROP INT_L_X24Y70 NUM_ARCS 3737 TILEPROP INT_L_X24Y70 NUM_SITES 1 TILEPROP INT_L_X24Y70 ROW 83 TILEPROP INT_L_X24Y70 SLR_REGION_ID 0 TILEPROP INT_L_X24Y70 TILE_PATTERN_IDX 6495 TILEPROP INT_L_X24Y70 TILE_TYPE INT_L TILEPROP INT_L_X24Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y70 TILE_X 13064 TILEPROP INT_L_X24Y70 TILE_Y -14400 TILEPROP INT_L_X24Y70 TYPE INT_L TILEPROP INT_L_X24Y71 CLASS tile TILEPROP INT_L_X24Y71 COLUMN 63 TILEPROP INT_L_X24Y71 DEVICE_ID 0 TILEPROP INT_L_X24Y71 FIRST_SITE_ID 8147 TILEPROP INT_L_X24Y71 GRID_POINT_X 63 TILEPROP INT_L_X24Y71 GRID_POINT_Y 82 TILEPROP INT_L_X24Y71 INDEX 9493 TILEPROP INT_L_X24Y71 INT_TILE_X 24 TILEPROP INT_L_X24Y71 INT_TILE_Y 78 TILEPROP INT_L_X24Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y71 IS_DCM_TILE 0 TILEPROP INT_L_X24Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y71 NAME INT_L_X24Y71 TILEPROP INT_L_X24Y71 NUM_ARCS 3737 TILEPROP INT_L_X24Y71 NUM_SITES 1 TILEPROP INT_L_X24Y71 ROW 82 TILEPROP INT_L_X24Y71 SLR_REGION_ID 0 TILEPROP INT_L_X24Y71 TILE_PATTERN_IDX 6464 TILEPROP INT_L_X24Y71 TILE_TYPE INT_L TILEPROP INT_L_X24Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y71 TILE_X 13064 TILEPROP INT_L_X24Y71 TILE_Y -11200 TILEPROP INT_L_X24Y71 TYPE INT_L TILEPROP INT_L_X24Y72 CLASS tile TILEPROP INT_L_X24Y72 COLUMN 63 TILEPROP INT_L_X24Y72 DEVICE_ID 0 TILEPROP INT_L_X24Y72 FIRST_SITE_ID 8047 TILEPROP INT_L_X24Y72 GRID_POINT_X 63 TILEPROP INT_L_X24Y72 GRID_POINT_Y 81 TILEPROP INT_L_X24Y72 INDEX 9378 TILEPROP INT_L_X24Y72 INT_TILE_X 24 TILEPROP INT_L_X24Y72 INT_TILE_Y 77 TILEPROP INT_L_X24Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y72 IS_DCM_TILE 0 TILEPROP INT_L_X24Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y72 NAME INT_L_X24Y72 TILEPROP INT_L_X24Y72 NUM_ARCS 3737 TILEPROP INT_L_X24Y72 NUM_SITES 1 TILEPROP INT_L_X24Y72 ROW 81 TILEPROP INT_L_X24Y72 SLR_REGION_ID 0 TILEPROP INT_L_X24Y72 TILE_PATTERN_IDX 6433 TILEPROP INT_L_X24Y72 TILE_TYPE INT_L TILEPROP INT_L_X24Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y72 TILE_X 13064 TILEPROP INT_L_X24Y72 TILE_Y -8000 TILEPROP INT_L_X24Y72 TYPE INT_L TILEPROP INT_L_X24Y73 CLASS tile TILEPROP INT_L_X24Y73 COLUMN 63 TILEPROP INT_L_X24Y73 DEVICE_ID 0 TILEPROP INT_L_X24Y73 FIRST_SITE_ID 7947 TILEPROP INT_L_X24Y73 GRID_POINT_X 63 TILEPROP INT_L_X24Y73 GRID_POINT_Y 80 TILEPROP INT_L_X24Y73 INDEX 9263 TILEPROP INT_L_X24Y73 INT_TILE_X 24 TILEPROP INT_L_X24Y73 INT_TILE_Y 76 TILEPROP INT_L_X24Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y73 IS_DCM_TILE 0 TILEPROP INT_L_X24Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y73 NAME INT_L_X24Y73 TILEPROP INT_L_X24Y73 NUM_ARCS 3737 TILEPROP INT_L_X24Y73 NUM_SITES 1 TILEPROP INT_L_X24Y73 ROW 80 TILEPROP INT_L_X24Y73 SLR_REGION_ID 0 TILEPROP INT_L_X24Y73 TILE_PATTERN_IDX 6402 TILEPROP INT_L_X24Y73 TILE_TYPE INT_L TILEPROP INT_L_X24Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y73 TILE_X 13064 TILEPROP INT_L_X24Y73 TILE_Y -4800 TILEPROP INT_L_X24Y73 TYPE INT_L TILEPROP INT_L_X24Y74 CLASS tile TILEPROP INT_L_X24Y74 COLUMN 63 TILEPROP INT_L_X24Y74 DEVICE_ID 0 TILEPROP INT_L_X24Y74 FIRST_SITE_ID 7847 TILEPROP INT_L_X24Y74 GRID_POINT_X 63 TILEPROP INT_L_X24Y74 GRID_POINT_Y 79 TILEPROP INT_L_X24Y74 INDEX 9148 TILEPROP INT_L_X24Y74 INT_TILE_X 24 TILEPROP INT_L_X24Y74 INT_TILE_Y 75 TILEPROP INT_L_X24Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y74 IS_DCM_TILE 0 TILEPROP INT_L_X24Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y74 NAME INT_L_X24Y74 TILEPROP INT_L_X24Y74 NUM_ARCS 3737 TILEPROP INT_L_X24Y74 NUM_SITES 1 TILEPROP INT_L_X24Y74 ROW 79 TILEPROP INT_L_X24Y74 SLR_REGION_ID 0 TILEPROP INT_L_X24Y74 TILE_PATTERN_IDX 6371 TILEPROP INT_L_X24Y74 TILE_TYPE INT_L TILEPROP INT_L_X24Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y74 TILE_X 13064 TILEPROP INT_L_X24Y74 TILE_Y -1600 TILEPROP INT_L_X24Y74 TYPE INT_L TILEPROP INT_L_X24Y75 CLASS tile TILEPROP INT_L_X24Y75 COLUMN 63 TILEPROP INT_L_X24Y75 DEVICE_ID 0 TILEPROP INT_L_X24Y75 FIRST_SITE_ID 7655 TILEPROP INT_L_X24Y75 GRID_POINT_X 63 TILEPROP INT_L_X24Y75 GRID_POINT_Y 77 TILEPROP INT_L_X24Y75 INDEX 8918 TILEPROP INT_L_X24Y75 INT_TILE_X 24 TILEPROP INT_L_X24Y75 INT_TILE_Y 74 TILEPROP INT_L_X24Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y75 IS_DCM_TILE 0 TILEPROP INT_L_X24Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y75 NAME INT_L_X24Y75 TILEPROP INT_L_X24Y75 NUM_ARCS 3737 TILEPROP INT_L_X24Y75 NUM_SITES 1 TILEPROP INT_L_X24Y75 ROW 77 TILEPROP INT_L_X24Y75 SLR_REGION_ID 0 TILEPROP INT_L_X24Y75 TILE_PATTERN_IDX 6297 TILEPROP INT_L_X24Y75 TILE_TYPE INT_L TILEPROP INT_L_X24Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y75 TILE_X 13064 TILEPROP INT_L_X24Y75 TILE_Y 2624 TILEPROP INT_L_X24Y75 TYPE INT_L TILEPROP INT_L_X24Y76 CLASS tile TILEPROP INT_L_X24Y76 COLUMN 63 TILEPROP INT_L_X24Y76 DEVICE_ID 0 TILEPROP INT_L_X24Y76 FIRST_SITE_ID 7546 TILEPROP INT_L_X24Y76 GRID_POINT_X 63 TILEPROP INT_L_X24Y76 GRID_POINT_Y 76 TILEPROP INT_L_X24Y76 INDEX 8803 TILEPROP INT_L_X24Y76 INT_TILE_X 24 TILEPROP INT_L_X24Y76 INT_TILE_Y 73 TILEPROP INT_L_X24Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y76 IS_DCM_TILE 0 TILEPROP INT_L_X24Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y76 NAME INT_L_X24Y76 TILEPROP INT_L_X24Y76 NUM_ARCS 3737 TILEPROP INT_L_X24Y76 NUM_SITES 1 TILEPROP INT_L_X24Y76 ROW 76 TILEPROP INT_L_X24Y76 SLR_REGION_ID 0 TILEPROP INT_L_X24Y76 TILE_PATTERN_IDX 6263 TILEPROP INT_L_X24Y76 TILE_TYPE INT_L TILEPROP INT_L_X24Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y76 TILE_X 13064 TILEPROP INT_L_X24Y76 TILE_Y 5824 TILEPROP INT_L_X24Y76 TYPE INT_L TILEPROP INT_L_X24Y77 CLASS tile TILEPROP INT_L_X24Y77 COLUMN 63 TILEPROP INT_L_X24Y77 DEVICE_ID 0 TILEPROP INT_L_X24Y77 FIRST_SITE_ID 7446 TILEPROP INT_L_X24Y77 GRID_POINT_X 63 TILEPROP INT_L_X24Y77 GRID_POINT_Y 75 TILEPROP INT_L_X24Y77 INDEX 8688 TILEPROP INT_L_X24Y77 INT_TILE_X 24 TILEPROP INT_L_X24Y77 INT_TILE_Y 72 TILEPROP INT_L_X24Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y77 IS_DCM_TILE 0 TILEPROP INT_L_X24Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y77 NAME INT_L_X24Y77 TILEPROP INT_L_X24Y77 NUM_ARCS 3737 TILEPROP INT_L_X24Y77 NUM_SITES 1 TILEPROP INT_L_X24Y77 ROW 75 TILEPROP INT_L_X24Y77 SLR_REGION_ID 0 TILEPROP INT_L_X24Y77 TILE_PATTERN_IDX 6229 TILEPROP INT_L_X24Y77 TILE_TYPE INT_L TILEPROP INT_L_X24Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y77 TILE_X 13064 TILEPROP INT_L_X24Y77 TILE_Y 9024 TILEPROP INT_L_X24Y77 TYPE INT_L TILEPROP INT_L_X24Y78 CLASS tile TILEPROP INT_L_X24Y78 COLUMN 63 TILEPROP INT_L_X24Y78 DEVICE_ID 0 TILEPROP INT_L_X24Y78 FIRST_SITE_ID 7346 TILEPROP INT_L_X24Y78 GRID_POINT_X 63 TILEPROP INT_L_X24Y78 GRID_POINT_Y 74 TILEPROP INT_L_X24Y78 INDEX 8573 TILEPROP INT_L_X24Y78 INT_TILE_X 24 TILEPROP INT_L_X24Y78 INT_TILE_Y 71 TILEPROP INT_L_X24Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y78 IS_DCM_TILE 0 TILEPROP INT_L_X24Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y78 NAME INT_L_X24Y78 TILEPROP INT_L_X24Y78 NUM_ARCS 3737 TILEPROP INT_L_X24Y78 NUM_SITES 1 TILEPROP INT_L_X24Y78 ROW 74 TILEPROP INT_L_X24Y78 SLR_REGION_ID 0 TILEPROP INT_L_X24Y78 TILE_PATTERN_IDX 6196 TILEPROP INT_L_X24Y78 TILE_TYPE INT_L TILEPROP INT_L_X24Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y78 TILE_X 13064 TILEPROP INT_L_X24Y78 TILE_Y 12224 TILEPROP INT_L_X24Y78 TYPE INT_L TILEPROP INT_L_X24Y79 CLASS tile TILEPROP INT_L_X24Y79 COLUMN 63 TILEPROP INT_L_X24Y79 DEVICE_ID 0 TILEPROP INT_L_X24Y79 FIRST_SITE_ID 7240 TILEPROP INT_L_X24Y79 GRID_POINT_X 63 TILEPROP INT_L_X24Y79 GRID_POINT_Y 73 TILEPROP INT_L_X24Y79 INDEX 8458 TILEPROP INT_L_X24Y79 INT_TILE_X 24 TILEPROP INT_L_X24Y79 INT_TILE_Y 70 TILEPROP INT_L_X24Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y79 IS_DCM_TILE 0 TILEPROP INT_L_X24Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y79 NAME INT_L_X24Y79 TILEPROP INT_L_X24Y79 NUM_ARCS 3737 TILEPROP INT_L_X24Y79 NUM_SITES 1 TILEPROP INT_L_X24Y79 ROW 73 TILEPROP INT_L_X24Y79 SLR_REGION_ID 0 TILEPROP INT_L_X24Y79 TILE_PATTERN_IDX 6161 TILEPROP INT_L_X24Y79 TILE_TYPE INT_L TILEPROP INT_L_X24Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y79 TILE_X 13064 TILEPROP INT_L_X24Y79 TILE_Y 15424 TILEPROP INT_L_X24Y79 TYPE INT_L TILEPROP INT_L_X24Y80 CLASS tile TILEPROP INT_L_X24Y80 COLUMN 63 TILEPROP INT_L_X24Y80 DEVICE_ID 0 TILEPROP INT_L_X24Y80 FIRST_SITE_ID 7125 TILEPROP INT_L_X24Y80 GRID_POINT_X 63 TILEPROP INT_L_X24Y80 GRID_POINT_Y 72 TILEPROP INT_L_X24Y80 INDEX 8343 TILEPROP INT_L_X24Y80 INT_TILE_X 24 TILEPROP INT_L_X24Y80 INT_TILE_Y 69 TILEPROP INT_L_X24Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y80 IS_DCM_TILE 0 TILEPROP INT_L_X24Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y80 NAME INT_L_X24Y80 TILEPROP INT_L_X24Y80 NUM_ARCS 3737 TILEPROP INT_L_X24Y80 NUM_SITES 1 TILEPROP INT_L_X24Y80 ROW 72 TILEPROP INT_L_X24Y80 SLR_REGION_ID 0 TILEPROP INT_L_X24Y80 TILE_PATTERN_IDX 6126 TILEPROP INT_L_X24Y80 TILE_TYPE INT_L TILEPROP INT_L_X24Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y80 TILE_X 13064 TILEPROP INT_L_X24Y80 TILE_Y 18624 TILEPROP INT_L_X24Y80 TYPE INT_L TILEPROP INT_L_X24Y81 CLASS tile TILEPROP INT_L_X24Y81 COLUMN 63 TILEPROP INT_L_X24Y81 DEVICE_ID 0 TILEPROP INT_L_X24Y81 FIRST_SITE_ID 7017 TILEPROP INT_L_X24Y81 GRID_POINT_X 63 TILEPROP INT_L_X24Y81 GRID_POINT_Y 71 TILEPROP INT_L_X24Y81 INDEX 8228 TILEPROP INT_L_X24Y81 INT_TILE_X 24 TILEPROP INT_L_X24Y81 INT_TILE_Y 68 TILEPROP INT_L_X24Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y81 IS_DCM_TILE 0 TILEPROP INT_L_X24Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y81 NAME INT_L_X24Y81 TILEPROP INT_L_X24Y81 NUM_ARCS 3737 TILEPROP INT_L_X24Y81 NUM_SITES 1 TILEPROP INT_L_X24Y81 ROW 71 TILEPROP INT_L_X24Y81 SLR_REGION_ID 0 TILEPROP INT_L_X24Y81 TILE_PATTERN_IDX 6092 TILEPROP INT_L_X24Y81 TILE_TYPE INT_L TILEPROP INT_L_X24Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y81 TILE_X 13064 TILEPROP INT_L_X24Y81 TILE_Y 21824 TILEPROP INT_L_X24Y81 TYPE INT_L TILEPROP INT_L_X24Y82 CLASS tile TILEPROP INT_L_X24Y82 COLUMN 63 TILEPROP INT_L_X24Y82 DEVICE_ID 0 TILEPROP INT_L_X24Y82 FIRST_SITE_ID 6915 TILEPROP INT_L_X24Y82 GRID_POINT_X 63 TILEPROP INT_L_X24Y82 GRID_POINT_Y 70 TILEPROP INT_L_X24Y82 INDEX 8113 TILEPROP INT_L_X24Y82 INT_TILE_X 24 TILEPROP INT_L_X24Y82 INT_TILE_Y 67 TILEPROP INT_L_X24Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y82 IS_DCM_TILE 0 TILEPROP INT_L_X24Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y82 NAME INT_L_X24Y82 TILEPROP INT_L_X24Y82 NUM_ARCS 3737 TILEPROP INT_L_X24Y82 NUM_SITES 1 TILEPROP INT_L_X24Y82 ROW 70 TILEPROP INT_L_X24Y82 SLR_REGION_ID 0 TILEPROP INT_L_X24Y82 TILE_PATTERN_IDX 6058 TILEPROP INT_L_X24Y82 TILE_TYPE INT_L TILEPROP INT_L_X24Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y82 TILE_X 13064 TILEPROP INT_L_X24Y82 TILE_Y 25024 TILEPROP INT_L_X24Y82 TYPE INT_L TILEPROP INT_L_X24Y83 CLASS tile TILEPROP INT_L_X24Y83 COLUMN 63 TILEPROP INT_L_X24Y83 DEVICE_ID 0 TILEPROP INT_L_X24Y83 FIRST_SITE_ID 6815 TILEPROP INT_L_X24Y83 GRID_POINT_X 63 TILEPROP INT_L_X24Y83 GRID_POINT_Y 69 TILEPROP INT_L_X24Y83 INDEX 7998 TILEPROP INT_L_X24Y83 INT_TILE_X 24 TILEPROP INT_L_X24Y83 INT_TILE_Y 66 TILEPROP INT_L_X24Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y83 IS_DCM_TILE 0 TILEPROP INT_L_X24Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y83 NAME INT_L_X24Y83 TILEPROP INT_L_X24Y83 NUM_ARCS 3737 TILEPROP INT_L_X24Y83 NUM_SITES 1 TILEPROP INT_L_X24Y83 ROW 69 TILEPROP INT_L_X24Y83 SLR_REGION_ID 0 TILEPROP INT_L_X24Y83 TILE_PATTERN_IDX 6024 TILEPROP INT_L_X24Y83 TILE_TYPE INT_L TILEPROP INT_L_X24Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y83 TILE_X 13064 TILEPROP INT_L_X24Y83 TILE_Y 28224 TILEPROP INT_L_X24Y83 TYPE INT_L TILEPROP INT_L_X24Y84 CLASS tile TILEPROP INT_L_X24Y84 COLUMN 63 TILEPROP INT_L_X24Y84 DEVICE_ID 0 TILEPROP INT_L_X24Y84 FIRST_SITE_ID 6715 TILEPROP INT_L_X24Y84 GRID_POINT_X 63 TILEPROP INT_L_X24Y84 GRID_POINT_Y 68 TILEPROP INT_L_X24Y84 INDEX 7883 TILEPROP INT_L_X24Y84 INT_TILE_X 24 TILEPROP INT_L_X24Y84 INT_TILE_Y 65 TILEPROP INT_L_X24Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y84 IS_DCM_TILE 0 TILEPROP INT_L_X24Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y84 NAME INT_L_X24Y84 TILEPROP INT_L_X24Y84 NUM_ARCS 3737 TILEPROP INT_L_X24Y84 NUM_SITES 1 TILEPROP INT_L_X24Y84 ROW 68 TILEPROP INT_L_X24Y84 SLR_REGION_ID 0 TILEPROP INT_L_X24Y84 TILE_PATTERN_IDX 5990 TILEPROP INT_L_X24Y84 TILE_TYPE INT_L TILEPROP INT_L_X24Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y84 TILE_X 13064 TILEPROP INT_L_X24Y84 TILE_Y 31424 TILEPROP INT_L_X24Y84 TYPE INT_L TILEPROP INT_L_X24Y85 CLASS tile TILEPROP INT_L_X24Y85 COLUMN 63 TILEPROP INT_L_X24Y85 DEVICE_ID 0 TILEPROP INT_L_X24Y85 FIRST_SITE_ID 6606 TILEPROP INT_L_X24Y85 GRID_POINT_X 63 TILEPROP INT_L_X24Y85 GRID_POINT_Y 67 TILEPROP INT_L_X24Y85 INDEX 7768 TILEPROP INT_L_X24Y85 INT_TILE_X 24 TILEPROP INT_L_X24Y85 INT_TILE_Y 64 TILEPROP INT_L_X24Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y85 IS_DCM_TILE 0 TILEPROP INT_L_X24Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y85 NAME INT_L_X24Y85 TILEPROP INT_L_X24Y85 NUM_ARCS 3737 TILEPROP INT_L_X24Y85 NUM_SITES 1 TILEPROP INT_L_X24Y85 ROW 67 TILEPROP INT_L_X24Y85 SLR_REGION_ID 0 TILEPROP INT_L_X24Y85 TILE_PATTERN_IDX 5956 TILEPROP INT_L_X24Y85 TILE_TYPE INT_L TILEPROP INT_L_X24Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y85 TILE_X 13064 TILEPROP INT_L_X24Y85 TILE_Y 34624 TILEPROP INT_L_X24Y85 TYPE INT_L TILEPROP INT_L_X24Y86 CLASS tile TILEPROP INT_L_X24Y86 COLUMN 63 TILEPROP INT_L_X24Y86 DEVICE_ID 0 TILEPROP INT_L_X24Y86 FIRST_SITE_ID 6500 TILEPROP INT_L_X24Y86 GRID_POINT_X 63 TILEPROP INT_L_X24Y86 GRID_POINT_Y 66 TILEPROP INT_L_X24Y86 INDEX 7653 TILEPROP INT_L_X24Y86 INT_TILE_X 24 TILEPROP INT_L_X24Y86 INT_TILE_Y 63 TILEPROP INT_L_X24Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y86 IS_DCM_TILE 0 TILEPROP INT_L_X24Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y86 NAME INT_L_X24Y86 TILEPROP INT_L_X24Y86 NUM_ARCS 3737 TILEPROP INT_L_X24Y86 NUM_SITES 1 TILEPROP INT_L_X24Y86 ROW 66 TILEPROP INT_L_X24Y86 SLR_REGION_ID 0 TILEPROP INT_L_X24Y86 TILE_PATTERN_IDX 5921 TILEPROP INT_L_X24Y86 TILE_TYPE INT_L TILEPROP INT_L_X24Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y86 TILE_X 13064 TILEPROP INT_L_X24Y86 TILE_Y 37824 TILEPROP INT_L_X24Y86 TYPE INT_L TILEPROP INT_L_X24Y87 CLASS tile TILEPROP INT_L_X24Y87 COLUMN 63 TILEPROP INT_L_X24Y87 DEVICE_ID 0 TILEPROP INT_L_X24Y87 FIRST_SITE_ID 6368 TILEPROP INT_L_X24Y87 GRID_POINT_X 63 TILEPROP INT_L_X24Y87 GRID_POINT_Y 65 TILEPROP INT_L_X24Y87 INDEX 7538 TILEPROP INT_L_X24Y87 INT_TILE_X 24 TILEPROP INT_L_X24Y87 INT_TILE_Y 62 TILEPROP INT_L_X24Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y87 IS_DCM_TILE 0 TILEPROP INT_L_X24Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y87 NAME INT_L_X24Y87 TILEPROP INT_L_X24Y87 NUM_ARCS 3737 TILEPROP INT_L_X24Y87 NUM_SITES 1 TILEPROP INT_L_X24Y87 ROW 65 TILEPROP INT_L_X24Y87 SLR_REGION_ID 0 TILEPROP INT_L_X24Y87 TILE_PATTERN_IDX 5886 TILEPROP INT_L_X24Y87 TILE_TYPE INT_L TILEPROP INT_L_X24Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y87 TILE_X 13064 TILEPROP INT_L_X24Y87 TILE_Y 41024 TILEPROP INT_L_X24Y87 TYPE INT_L TILEPROP INT_L_X24Y88 CLASS tile TILEPROP INT_L_X24Y88 COLUMN 63 TILEPROP INT_L_X24Y88 DEVICE_ID 0 TILEPROP INT_L_X24Y88 FIRST_SITE_ID 6268 TILEPROP INT_L_X24Y88 GRID_POINT_X 63 TILEPROP INT_L_X24Y88 GRID_POINT_Y 64 TILEPROP INT_L_X24Y88 INDEX 7423 TILEPROP INT_L_X24Y88 INT_TILE_X 24 TILEPROP INT_L_X24Y88 INT_TILE_Y 61 TILEPROP INT_L_X24Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y88 IS_DCM_TILE 0 TILEPROP INT_L_X24Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y88 NAME INT_L_X24Y88 TILEPROP INT_L_X24Y88 NUM_ARCS 3737 TILEPROP INT_L_X24Y88 NUM_SITES 1 TILEPROP INT_L_X24Y88 ROW 64 TILEPROP INT_L_X24Y88 SLR_REGION_ID 0 TILEPROP INT_L_X24Y88 TILE_PATTERN_IDX 5853 TILEPROP INT_L_X24Y88 TILE_TYPE INT_L TILEPROP INT_L_X24Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y88 TILE_X 13064 TILEPROP INT_L_X24Y88 TILE_Y 44224 TILEPROP INT_L_X24Y88 TYPE INT_L TILEPROP INT_L_X24Y89 CLASS tile TILEPROP INT_L_X24Y89 COLUMN 63 TILEPROP INT_L_X24Y89 DEVICE_ID 0 TILEPROP INT_L_X24Y89 FIRST_SITE_ID 6168 TILEPROP INT_L_X24Y89 GRID_POINT_X 63 TILEPROP INT_L_X24Y89 GRID_POINT_Y 63 TILEPROP INT_L_X24Y89 INDEX 7308 TILEPROP INT_L_X24Y89 INT_TILE_X 24 TILEPROP INT_L_X24Y89 INT_TILE_Y 60 TILEPROP INT_L_X24Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y89 IS_DCM_TILE 0 TILEPROP INT_L_X24Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y89 NAME INT_L_X24Y89 TILEPROP INT_L_X24Y89 NUM_ARCS 3737 TILEPROP INT_L_X24Y89 NUM_SITES 1 TILEPROP INT_L_X24Y89 ROW 63 TILEPROP INT_L_X24Y89 SLR_REGION_ID 0 TILEPROP INT_L_X24Y89 TILE_PATTERN_IDX 5819 TILEPROP INT_L_X24Y89 TILE_TYPE INT_L TILEPROP INT_L_X24Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y89 TILE_X 13064 TILEPROP INT_L_X24Y89 TILE_Y 47424 TILEPROP INT_L_X24Y89 TYPE INT_L TILEPROP INT_L_X24Y90 CLASS tile TILEPROP INT_L_X24Y90 COLUMN 63 TILEPROP INT_L_X24Y90 DEVICE_ID 0 TILEPROP INT_L_X24Y90 FIRST_SITE_ID 6059 TILEPROP INT_L_X24Y90 GRID_POINT_X 63 TILEPROP INT_L_X24Y90 GRID_POINT_Y 62 TILEPROP INT_L_X24Y90 INDEX 7193 TILEPROP INT_L_X24Y90 INT_TILE_X 24 TILEPROP INT_L_X24Y90 INT_TILE_Y 59 TILEPROP INT_L_X24Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y90 IS_DCM_TILE 0 TILEPROP INT_L_X24Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y90 NAME INT_L_X24Y90 TILEPROP INT_L_X24Y90 NUM_ARCS 3737 TILEPROP INT_L_X24Y90 NUM_SITES 1 TILEPROP INT_L_X24Y90 ROW 62 TILEPROP INT_L_X24Y90 SLR_REGION_ID 0 TILEPROP INT_L_X24Y90 TILE_PATTERN_IDX 5785 TILEPROP INT_L_X24Y90 TILE_TYPE INT_L TILEPROP INT_L_X24Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y90 TILE_X 13064 TILEPROP INT_L_X24Y90 TILE_Y 50624 TILEPROP INT_L_X24Y90 TYPE INT_L TILEPROP INT_L_X24Y91 CLASS tile TILEPROP INT_L_X24Y91 COLUMN 63 TILEPROP INT_L_X24Y91 DEVICE_ID 0 TILEPROP INT_L_X24Y91 FIRST_SITE_ID 5953 TILEPROP INT_L_X24Y91 GRID_POINT_X 63 TILEPROP INT_L_X24Y91 GRID_POINT_Y 61 TILEPROP INT_L_X24Y91 INDEX 7078 TILEPROP INT_L_X24Y91 INT_TILE_X 24 TILEPROP INT_L_X24Y91 INT_TILE_Y 58 TILEPROP INT_L_X24Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y91 IS_DCM_TILE 0 TILEPROP INT_L_X24Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y91 NAME INT_L_X24Y91 TILEPROP INT_L_X24Y91 NUM_ARCS 3737 TILEPROP INT_L_X24Y91 NUM_SITES 1 TILEPROP INT_L_X24Y91 ROW 61 TILEPROP INT_L_X24Y91 SLR_REGION_ID 0 TILEPROP INT_L_X24Y91 TILE_PATTERN_IDX 5751 TILEPROP INT_L_X24Y91 TILE_TYPE INT_L TILEPROP INT_L_X24Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y91 TILE_X 13064 TILEPROP INT_L_X24Y91 TILE_Y 53824 TILEPROP INT_L_X24Y91 TYPE INT_L TILEPROP INT_L_X24Y92 CLASS tile TILEPROP INT_L_X24Y92 COLUMN 63 TILEPROP INT_L_X24Y92 DEVICE_ID 0 TILEPROP INT_L_X24Y92 FIRST_SITE_ID 5852 TILEPROP INT_L_X24Y92 GRID_POINT_X 63 TILEPROP INT_L_X24Y92 GRID_POINT_Y 60 TILEPROP INT_L_X24Y92 INDEX 6963 TILEPROP INT_L_X24Y92 INT_TILE_X 24 TILEPROP INT_L_X24Y92 INT_TILE_Y 57 TILEPROP INT_L_X24Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y92 IS_DCM_TILE 0 TILEPROP INT_L_X24Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y92 NAME INT_L_X24Y92 TILEPROP INT_L_X24Y92 NUM_ARCS 3737 TILEPROP INT_L_X24Y92 NUM_SITES 1 TILEPROP INT_L_X24Y92 ROW 60 TILEPROP INT_L_X24Y92 SLR_REGION_ID 0 TILEPROP INT_L_X24Y92 TILE_PATTERN_IDX 5718 TILEPROP INT_L_X24Y92 TILE_TYPE INT_L TILEPROP INT_L_X24Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y92 TILE_X 13064 TILEPROP INT_L_X24Y92 TILE_Y 57024 TILEPROP INT_L_X24Y92 TYPE INT_L TILEPROP INT_L_X24Y93 CLASS tile TILEPROP INT_L_X24Y93 COLUMN 63 TILEPROP INT_L_X24Y93 DEVICE_ID 0 TILEPROP INT_L_X24Y93 FIRST_SITE_ID 5749 TILEPROP INT_L_X24Y93 GRID_POINT_X 63 TILEPROP INT_L_X24Y93 GRID_POINT_Y 59 TILEPROP INT_L_X24Y93 INDEX 6848 TILEPROP INT_L_X24Y93 INT_TILE_X 24 TILEPROP INT_L_X24Y93 INT_TILE_Y 56 TILEPROP INT_L_X24Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y93 IS_DCM_TILE 0 TILEPROP INT_L_X24Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y93 NAME INT_L_X24Y93 TILEPROP INT_L_X24Y93 NUM_ARCS 3737 TILEPROP INT_L_X24Y93 NUM_SITES 1 TILEPROP INT_L_X24Y93 ROW 59 TILEPROP INT_L_X24Y93 SLR_REGION_ID 0 TILEPROP INT_L_X24Y93 TILE_PATTERN_IDX 5684 TILEPROP INT_L_X24Y93 TILE_TYPE INT_L TILEPROP INT_L_X24Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y93 TILE_X 13064 TILEPROP INT_L_X24Y93 TILE_Y 60224 TILEPROP INT_L_X24Y93 TYPE INT_L TILEPROP INT_L_X24Y94 CLASS tile TILEPROP INT_L_X24Y94 COLUMN 63 TILEPROP INT_L_X24Y94 DEVICE_ID 0 TILEPROP INT_L_X24Y94 FIRST_SITE_ID 5647 TILEPROP INT_L_X24Y94 GRID_POINT_X 63 TILEPROP INT_L_X24Y94 GRID_POINT_Y 58 TILEPROP INT_L_X24Y94 INDEX 6733 TILEPROP INT_L_X24Y94 INT_TILE_X 24 TILEPROP INT_L_X24Y94 INT_TILE_Y 55 TILEPROP INT_L_X24Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y94 IS_DCM_TILE 0 TILEPROP INT_L_X24Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y94 NAME INT_L_X24Y94 TILEPROP INT_L_X24Y94 NUM_ARCS 3737 TILEPROP INT_L_X24Y94 NUM_SITES 1 TILEPROP INT_L_X24Y94 ROW 58 TILEPROP INT_L_X24Y94 SLR_REGION_ID 0 TILEPROP INT_L_X24Y94 TILE_PATTERN_IDX 5650 TILEPROP INT_L_X24Y94 TILE_TYPE INT_L TILEPROP INT_L_X24Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y94 TILE_X 13064 TILEPROP INT_L_X24Y94 TILE_Y 63424 TILEPROP INT_L_X24Y94 TYPE INT_L TILEPROP INT_L_X24Y95 CLASS tile TILEPROP INT_L_X24Y95 COLUMN 63 TILEPROP INT_L_X24Y95 DEVICE_ID 0 TILEPROP INT_L_X24Y95 FIRST_SITE_ID 5538 TILEPROP INT_L_X24Y95 GRID_POINT_X 63 TILEPROP INT_L_X24Y95 GRID_POINT_Y 57 TILEPROP INT_L_X24Y95 INDEX 6618 TILEPROP INT_L_X24Y95 INT_TILE_X 24 TILEPROP INT_L_X24Y95 INT_TILE_Y 54 TILEPROP INT_L_X24Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y95 IS_DCM_TILE 0 TILEPROP INT_L_X24Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y95 NAME INT_L_X24Y95 TILEPROP INT_L_X24Y95 NUM_ARCS 3737 TILEPROP INT_L_X24Y95 NUM_SITES 1 TILEPROP INT_L_X24Y95 ROW 57 TILEPROP INT_L_X24Y95 SLR_REGION_ID 0 TILEPROP INT_L_X24Y95 TILE_PATTERN_IDX 5616 TILEPROP INT_L_X24Y95 TILE_TYPE INT_L TILEPROP INT_L_X24Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y95 TILE_X 13064 TILEPROP INT_L_X24Y95 TILE_Y 66624 TILEPROP INT_L_X24Y95 TYPE INT_L TILEPROP INT_L_X24Y96 CLASS tile TILEPROP INT_L_X24Y96 COLUMN 63 TILEPROP INT_L_X24Y96 DEVICE_ID 0 TILEPROP INT_L_X24Y96 FIRST_SITE_ID 5432 TILEPROP INT_L_X24Y96 GRID_POINT_X 63 TILEPROP INT_L_X24Y96 GRID_POINT_Y 56 TILEPROP INT_L_X24Y96 INDEX 6503 TILEPROP INT_L_X24Y96 INT_TILE_X 24 TILEPROP INT_L_X24Y96 INT_TILE_Y 53 TILEPROP INT_L_X24Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y96 IS_DCM_TILE 0 TILEPROP INT_L_X24Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y96 NAME INT_L_X24Y96 TILEPROP INT_L_X24Y96 NUM_ARCS 3737 TILEPROP INT_L_X24Y96 NUM_SITES 1 TILEPROP INT_L_X24Y96 ROW 56 TILEPROP INT_L_X24Y96 SLR_REGION_ID 0 TILEPROP INT_L_X24Y96 TILE_PATTERN_IDX 5581 TILEPROP INT_L_X24Y96 TILE_TYPE INT_L TILEPROP INT_L_X24Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y96 TILE_X 13064 TILEPROP INT_L_X24Y96 TILE_Y 69824 TILEPROP INT_L_X24Y96 TYPE INT_L TILEPROP INT_L_X24Y97 CLASS tile TILEPROP INT_L_X24Y97 COLUMN 63 TILEPROP INT_L_X24Y97 DEVICE_ID 0 TILEPROP INT_L_X24Y97 FIRST_SITE_ID 5332 TILEPROP INT_L_X24Y97 GRID_POINT_X 63 TILEPROP INT_L_X24Y97 GRID_POINT_Y 55 TILEPROP INT_L_X24Y97 INDEX 6388 TILEPROP INT_L_X24Y97 INT_TILE_X 24 TILEPROP INT_L_X24Y97 INT_TILE_Y 52 TILEPROP INT_L_X24Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y97 IS_DCM_TILE 0 TILEPROP INT_L_X24Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y97 NAME INT_L_X24Y97 TILEPROP INT_L_X24Y97 NUM_ARCS 3737 TILEPROP INT_L_X24Y97 NUM_SITES 1 TILEPROP INT_L_X24Y97 ROW 55 TILEPROP INT_L_X24Y97 SLR_REGION_ID 0 TILEPROP INT_L_X24Y97 TILE_PATTERN_IDX 5547 TILEPROP INT_L_X24Y97 TILE_TYPE INT_L TILEPROP INT_L_X24Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y97 TILE_X 13064 TILEPROP INT_L_X24Y97 TILE_Y 73024 TILEPROP INT_L_X24Y97 TYPE INT_L TILEPROP INT_L_X24Y98 CLASS tile TILEPROP INT_L_X24Y98 COLUMN 63 TILEPROP INT_L_X24Y98 DEVICE_ID 0 TILEPROP INT_L_X24Y98 FIRST_SITE_ID 5232 TILEPROP INT_L_X24Y98 GRID_POINT_X 63 TILEPROP INT_L_X24Y98 GRID_POINT_Y 54 TILEPROP INT_L_X24Y98 INDEX 6273 TILEPROP INT_L_X24Y98 INT_TILE_X 24 TILEPROP INT_L_X24Y98 INT_TILE_Y 51 TILEPROP INT_L_X24Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y98 IS_DCM_TILE 0 TILEPROP INT_L_X24Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y98 NAME INT_L_X24Y98 TILEPROP INT_L_X24Y98 NUM_ARCS 3737 TILEPROP INT_L_X24Y98 NUM_SITES 1 TILEPROP INT_L_X24Y98 ROW 54 TILEPROP INT_L_X24Y98 SLR_REGION_ID 0 TILEPROP INT_L_X24Y98 TILE_PATTERN_IDX 5513 TILEPROP INT_L_X24Y98 TILE_TYPE INT_L TILEPROP INT_L_X24Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y98 TILE_X 13064 TILEPROP INT_L_X24Y98 TILE_Y 76224 TILEPROP INT_L_X24Y98 TYPE INT_L TILEPROP INT_L_X24Y99 CLASS tile TILEPROP INT_L_X24Y99 COLUMN 63 TILEPROP INT_L_X24Y99 DEVICE_ID 0 TILEPROP INT_L_X24Y99 FIRST_SITE_ID 5136 TILEPROP INT_L_X24Y99 GRID_POINT_X 63 TILEPROP INT_L_X24Y99 GRID_POINT_Y 53 TILEPROP INT_L_X24Y99 INDEX 6158 TILEPROP INT_L_X24Y99 INT_TILE_X 24 TILEPROP INT_L_X24Y99 INT_TILE_Y 50 TILEPROP INT_L_X24Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y99 IS_DCM_TILE 0 TILEPROP INT_L_X24Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y99 NAME INT_L_X24Y99 TILEPROP INT_L_X24Y99 NUM_ARCS 3737 TILEPROP INT_L_X24Y99 NUM_SITES 1 TILEPROP INT_L_X24Y99 ROW 53 TILEPROP INT_L_X24Y99 SLR_REGION_ID 0 TILEPROP INT_L_X24Y99 TILE_PATTERN_IDX 5480 TILEPROP INT_L_X24Y99 TILE_TYPE INT_L TILEPROP INT_L_X24Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y99 TILE_X 13064 TILEPROP INT_L_X24Y99 TILE_Y 79424 TILEPROP INT_L_X24Y99 TYPE INT_L TILEPROP INT_L_X24Y100 CLASS tile TILEPROP INT_L_X24Y100 COLUMN 63 TILEPROP INT_L_X24Y100 DEVICE_ID 0 TILEPROP INT_L_X24Y100 FIRST_SITE_ID 5059 TILEPROP INT_L_X24Y100 GRID_POINT_X 63 TILEPROP INT_L_X24Y100 GRID_POINT_Y 51 TILEPROP INT_L_X24Y100 INDEX 5928 TILEPROP INT_L_X24Y100 INT_TILE_X 24 TILEPROP INT_L_X24Y100 INT_TILE_Y 49 TILEPROP INT_L_X24Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y100 IS_DCM_TILE 0 TILEPROP INT_L_X24Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y100 NAME INT_L_X24Y100 TILEPROP INT_L_X24Y100 NUM_ARCS 3737 TILEPROP INT_L_X24Y100 NUM_SITES 1 TILEPROP INT_L_X24Y100 ROW 51 TILEPROP INT_L_X24Y100 SLR_REGION_ID 0 TILEPROP INT_L_X24Y100 TILE_PATTERN_IDX 5448 TILEPROP INT_L_X24Y100 TILE_TYPE INT_L TILEPROP INT_L_X24Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y100 TILE_X 13064 TILEPROP INT_L_X24Y100 TILE_Y 82872 TILEPROP INT_L_X24Y100 TYPE INT_L TILEPROP INT_L_X24Y101 CLASS tile TILEPROP INT_L_X24Y101 COLUMN 63 TILEPROP INT_L_X24Y101 DEVICE_ID 0 TILEPROP INT_L_X24Y101 FIRST_SITE_ID 4961 TILEPROP INT_L_X24Y101 GRID_POINT_X 63 TILEPROP INT_L_X24Y101 GRID_POINT_Y 50 TILEPROP INT_L_X24Y101 INDEX 5813 TILEPROP INT_L_X24Y101 INT_TILE_X 24 TILEPROP INT_L_X24Y101 INT_TILE_Y 48 TILEPROP INT_L_X24Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y101 IS_DCM_TILE 0 TILEPROP INT_L_X24Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y101 NAME INT_L_X24Y101 TILEPROP INT_L_X24Y101 NUM_ARCS 3737 TILEPROP INT_L_X24Y101 NUM_SITES 1 TILEPROP INT_L_X24Y101 ROW 50 TILEPROP INT_L_X24Y101 SLR_REGION_ID 0 TILEPROP INT_L_X24Y101 TILE_PATTERN_IDX 5412 TILEPROP INT_L_X24Y101 TILE_TYPE INT_L TILEPROP INT_L_X24Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y101 TILE_X 13064 TILEPROP INT_L_X24Y101 TILE_Y 86072 TILEPROP INT_L_X24Y101 TYPE INT_L TILEPROP INT_L_X24Y102 CLASS tile TILEPROP INT_L_X24Y102 COLUMN 63 TILEPROP INT_L_X24Y102 DEVICE_ID 0 TILEPROP INT_L_X24Y102 FIRST_SITE_ID 4865 TILEPROP INT_L_X24Y102 GRID_POINT_X 63 TILEPROP INT_L_X24Y102 GRID_POINT_Y 49 TILEPROP INT_L_X24Y102 INDEX 5698 TILEPROP INT_L_X24Y102 INT_TILE_X 24 TILEPROP INT_L_X24Y102 INT_TILE_Y 47 TILEPROP INT_L_X24Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y102 IS_DCM_TILE 0 TILEPROP INT_L_X24Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y102 NAME INT_L_X24Y102 TILEPROP INT_L_X24Y102 NUM_ARCS 3737 TILEPROP INT_L_X24Y102 NUM_SITES 1 TILEPROP INT_L_X24Y102 ROW 49 TILEPROP INT_L_X24Y102 SLR_REGION_ID 0 TILEPROP INT_L_X24Y102 TILE_PATTERN_IDX 5375 TILEPROP INT_L_X24Y102 TILE_TYPE INT_L TILEPROP INT_L_X24Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y102 TILE_X 13064 TILEPROP INT_L_X24Y102 TILE_Y 89272 TILEPROP INT_L_X24Y102 TYPE INT_L TILEPROP INT_L_X24Y103 CLASS tile TILEPROP INT_L_X24Y103 COLUMN 63 TILEPROP INT_L_X24Y103 DEVICE_ID 0 TILEPROP INT_L_X24Y103 FIRST_SITE_ID 4777 TILEPROP INT_L_X24Y103 GRID_POINT_X 63 TILEPROP INT_L_X24Y103 GRID_POINT_Y 48 TILEPROP INT_L_X24Y103 INDEX 5583 TILEPROP INT_L_X24Y103 INT_TILE_X 24 TILEPROP INT_L_X24Y103 INT_TILE_Y 46 TILEPROP INT_L_X24Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y103 IS_DCM_TILE 0 TILEPROP INT_L_X24Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y103 NAME INT_L_X24Y103 TILEPROP INT_L_X24Y103 NUM_ARCS 3737 TILEPROP INT_L_X24Y103 NUM_SITES 1 TILEPROP INT_L_X24Y103 ROW 48 TILEPROP INT_L_X24Y103 SLR_REGION_ID 0 TILEPROP INT_L_X24Y103 TILE_PATTERN_IDX 5339 TILEPROP INT_L_X24Y103 TILE_TYPE INT_L TILEPROP INT_L_X24Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y103 TILE_X 13064 TILEPROP INT_L_X24Y103 TILE_Y 92472 TILEPROP INT_L_X24Y103 TYPE INT_L TILEPROP INT_L_X24Y104 CLASS tile TILEPROP INT_L_X24Y104 COLUMN 63 TILEPROP INT_L_X24Y104 DEVICE_ID 0 TILEPROP INT_L_X24Y104 FIRST_SITE_ID 4681 TILEPROP INT_L_X24Y104 GRID_POINT_X 63 TILEPROP INT_L_X24Y104 GRID_POINT_Y 47 TILEPROP INT_L_X24Y104 INDEX 5468 TILEPROP INT_L_X24Y104 INT_TILE_X 24 TILEPROP INT_L_X24Y104 INT_TILE_Y 45 TILEPROP INT_L_X24Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y104 IS_DCM_TILE 0 TILEPROP INT_L_X24Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y104 NAME INT_L_X24Y104 TILEPROP INT_L_X24Y104 NUM_ARCS 3737 TILEPROP INT_L_X24Y104 NUM_SITES 1 TILEPROP INT_L_X24Y104 ROW 47 TILEPROP INT_L_X24Y104 SLR_REGION_ID 0 TILEPROP INT_L_X24Y104 TILE_PATTERN_IDX 5302 TILEPROP INT_L_X24Y104 TILE_TYPE INT_L TILEPROP INT_L_X24Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y104 TILE_X 13064 TILEPROP INT_L_X24Y104 TILE_Y 95672 TILEPROP INT_L_X24Y104 TYPE INT_L TILEPROP INT_L_X24Y105 CLASS tile TILEPROP INT_L_X24Y105 COLUMN 63 TILEPROP INT_L_X24Y105 DEVICE_ID 0 TILEPROP INT_L_X24Y105 FIRST_SITE_ID 4585 TILEPROP INT_L_X24Y105 GRID_POINT_X 63 TILEPROP INT_L_X24Y105 GRID_POINT_Y 46 TILEPROP INT_L_X24Y105 INDEX 5353 TILEPROP INT_L_X24Y105 INT_TILE_X 24 TILEPROP INT_L_X24Y105 INT_TILE_Y 44 TILEPROP INT_L_X24Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y105 IS_DCM_TILE 0 TILEPROP INT_L_X24Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y105 NAME INT_L_X24Y105 TILEPROP INT_L_X24Y105 NUM_ARCS 3737 TILEPROP INT_L_X24Y105 NUM_SITES 1 TILEPROP INT_L_X24Y105 ROW 46 TILEPROP INT_L_X24Y105 SLR_REGION_ID 0 TILEPROP INT_L_X24Y105 TILE_PATTERN_IDX 5266 TILEPROP INT_L_X24Y105 TILE_TYPE INT_L TILEPROP INT_L_X24Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y105 TILE_X 13064 TILEPROP INT_L_X24Y105 TILE_Y 98872 TILEPROP INT_L_X24Y105 TYPE INT_L TILEPROP INT_L_X24Y106 CLASS tile TILEPROP INT_L_X24Y106 COLUMN 63 TILEPROP INT_L_X24Y106 DEVICE_ID 0 TILEPROP INT_L_X24Y106 FIRST_SITE_ID 4483 TILEPROP INT_L_X24Y106 GRID_POINT_X 63 TILEPROP INT_L_X24Y106 GRID_POINT_Y 45 TILEPROP INT_L_X24Y106 INDEX 5238 TILEPROP INT_L_X24Y106 INT_TILE_X 24 TILEPROP INT_L_X24Y106 INT_TILE_Y 43 TILEPROP INT_L_X24Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y106 IS_DCM_TILE 0 TILEPROP INT_L_X24Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y106 NAME INT_L_X24Y106 TILEPROP INT_L_X24Y106 NUM_ARCS 3737 TILEPROP INT_L_X24Y106 NUM_SITES 1 TILEPROP INT_L_X24Y106 ROW 45 TILEPROP INT_L_X24Y106 SLR_REGION_ID 0 TILEPROP INT_L_X24Y106 TILE_PATTERN_IDX 5229 TILEPROP INT_L_X24Y106 TILE_TYPE INT_L TILEPROP INT_L_X24Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y106 TILE_X 13064 TILEPROP INT_L_X24Y106 TILE_Y 102072 TILEPROP INT_L_X24Y106 TYPE INT_L TILEPROP INT_L_X24Y107 CLASS tile TILEPROP INT_L_X24Y107 COLUMN 63 TILEPROP INT_L_X24Y107 DEVICE_ID 0 TILEPROP INT_L_X24Y107 FIRST_SITE_ID 4395 TILEPROP INT_L_X24Y107 GRID_POINT_X 63 TILEPROP INT_L_X24Y107 GRID_POINT_Y 44 TILEPROP INT_L_X24Y107 INDEX 5123 TILEPROP INT_L_X24Y107 INT_TILE_X 24 TILEPROP INT_L_X24Y107 INT_TILE_Y 42 TILEPROP INT_L_X24Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y107 IS_DCM_TILE 0 TILEPROP INT_L_X24Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y107 NAME INT_L_X24Y107 TILEPROP INT_L_X24Y107 NUM_ARCS 3737 TILEPROP INT_L_X24Y107 NUM_SITES 1 TILEPROP INT_L_X24Y107 ROW 44 TILEPROP INT_L_X24Y107 SLR_REGION_ID 0 TILEPROP INT_L_X24Y107 TILE_PATTERN_IDX 5193 TILEPROP INT_L_X24Y107 TILE_TYPE INT_L TILEPROP INT_L_X24Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y107 TILE_X 13064 TILEPROP INT_L_X24Y107 TILE_Y 105272 TILEPROP INT_L_X24Y107 TYPE INT_L TILEPROP INT_L_X24Y108 CLASS tile TILEPROP INT_L_X24Y108 COLUMN 63 TILEPROP INT_L_X24Y108 DEVICE_ID 0 TILEPROP INT_L_X24Y108 FIRST_SITE_ID 4297 TILEPROP INT_L_X24Y108 GRID_POINT_X 63 TILEPROP INT_L_X24Y108 GRID_POINT_Y 43 TILEPROP INT_L_X24Y108 INDEX 5008 TILEPROP INT_L_X24Y108 INT_TILE_X 24 TILEPROP INT_L_X24Y108 INT_TILE_Y 41 TILEPROP INT_L_X24Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y108 IS_DCM_TILE 0 TILEPROP INT_L_X24Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y108 NAME INT_L_X24Y108 TILEPROP INT_L_X24Y108 NUM_ARCS 3737 TILEPROP INT_L_X24Y108 NUM_SITES 1 TILEPROP INT_L_X24Y108 ROW 43 TILEPROP INT_L_X24Y108 SLR_REGION_ID 0 TILEPROP INT_L_X24Y108 TILE_PATTERN_IDX 5156 TILEPROP INT_L_X24Y108 TILE_TYPE INT_L TILEPROP INT_L_X24Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y108 TILE_X 13064 TILEPROP INT_L_X24Y108 TILE_Y 108472 TILEPROP INT_L_X24Y108 TYPE INT_L TILEPROP INT_L_X24Y109 CLASS tile TILEPROP INT_L_X24Y109 COLUMN 63 TILEPROP INT_L_X24Y109 DEVICE_ID 0 TILEPROP INT_L_X24Y109 FIRST_SITE_ID 4208 TILEPROP INT_L_X24Y109 GRID_POINT_X 63 TILEPROP INT_L_X24Y109 GRID_POINT_Y 42 TILEPROP INT_L_X24Y109 INDEX 4893 TILEPROP INT_L_X24Y109 INT_TILE_X 24 TILEPROP INT_L_X24Y109 INT_TILE_Y 40 TILEPROP INT_L_X24Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y109 IS_DCM_TILE 0 TILEPROP INT_L_X24Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y109 NAME INT_L_X24Y109 TILEPROP INT_L_X24Y109 NUM_ARCS 3737 TILEPROP INT_L_X24Y109 NUM_SITES 1 TILEPROP INT_L_X24Y109 ROW 42 TILEPROP INT_L_X24Y109 SLR_REGION_ID 0 TILEPROP INT_L_X24Y109 TILE_PATTERN_IDX 5120 TILEPROP INT_L_X24Y109 TILE_TYPE INT_L TILEPROP INT_L_X24Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y109 TILE_X 13064 TILEPROP INT_L_X24Y109 TILE_Y 111672 TILEPROP INT_L_X24Y109 TYPE INT_L TILEPROP INT_L_X24Y110 CLASS tile TILEPROP INT_L_X24Y110 COLUMN 63 TILEPROP INT_L_X24Y110 DEVICE_ID 0 TILEPROP INT_L_X24Y110 FIRST_SITE_ID 4108 TILEPROP INT_L_X24Y110 GRID_POINT_X 63 TILEPROP INT_L_X24Y110 GRID_POINT_Y 41 TILEPROP INT_L_X24Y110 INDEX 4778 TILEPROP INT_L_X24Y110 INT_TILE_X 24 TILEPROP INT_L_X24Y110 INT_TILE_Y 39 TILEPROP INT_L_X24Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y110 IS_DCM_TILE 0 TILEPROP INT_L_X24Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y110 NAME INT_L_X24Y110 TILEPROP INT_L_X24Y110 NUM_ARCS 3737 TILEPROP INT_L_X24Y110 NUM_SITES 1 TILEPROP INT_L_X24Y110 ROW 41 TILEPROP INT_L_X24Y110 SLR_REGION_ID 0 TILEPROP INT_L_X24Y110 TILE_PATTERN_IDX 5083 TILEPROP INT_L_X24Y110 TILE_TYPE INT_L TILEPROP INT_L_X24Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y110 TILE_X 13064 TILEPROP INT_L_X24Y110 TILE_Y 114872 TILEPROP INT_L_X24Y110 TYPE INT_L TILEPROP INT_L_X24Y111 CLASS tile TILEPROP INT_L_X24Y111 COLUMN 63 TILEPROP INT_L_X24Y111 DEVICE_ID 0 TILEPROP INT_L_X24Y111 FIRST_SITE_ID 4014 TILEPROP INT_L_X24Y111 GRID_POINT_X 63 TILEPROP INT_L_X24Y111 GRID_POINT_Y 40 TILEPROP INT_L_X24Y111 INDEX 4663 TILEPROP INT_L_X24Y111 INT_TILE_X 24 TILEPROP INT_L_X24Y111 INT_TILE_Y 38 TILEPROP INT_L_X24Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y111 IS_DCM_TILE 0 TILEPROP INT_L_X24Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y111 NAME INT_L_X24Y111 TILEPROP INT_L_X24Y111 NUM_ARCS 3737 TILEPROP INT_L_X24Y111 NUM_SITES 1 TILEPROP INT_L_X24Y111 ROW 40 TILEPROP INT_L_X24Y111 SLR_REGION_ID 0 TILEPROP INT_L_X24Y111 TILE_PATTERN_IDX 5047 TILEPROP INT_L_X24Y111 TILE_TYPE INT_L TILEPROP INT_L_X24Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y111 TILE_X 13064 TILEPROP INT_L_X24Y111 TILE_Y 118072 TILEPROP INT_L_X24Y111 TYPE INT_L TILEPROP INT_L_X24Y112 CLASS tile TILEPROP INT_L_X24Y112 COLUMN 63 TILEPROP INT_L_X24Y112 DEVICE_ID 0 TILEPROP INT_L_X24Y112 FIRST_SITE_ID 3918 TILEPROP INT_L_X24Y112 GRID_POINT_X 63 TILEPROP INT_L_X24Y112 GRID_POINT_Y 39 TILEPROP INT_L_X24Y112 INDEX 4548 TILEPROP INT_L_X24Y112 INT_TILE_X 24 TILEPROP INT_L_X24Y112 INT_TILE_Y 37 TILEPROP INT_L_X24Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y112 IS_DCM_TILE 0 TILEPROP INT_L_X24Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y112 NAME INT_L_X24Y112 TILEPROP INT_L_X24Y112 NUM_ARCS 3737 TILEPROP INT_L_X24Y112 NUM_SITES 1 TILEPROP INT_L_X24Y112 ROW 39 TILEPROP INT_L_X24Y112 SLR_REGION_ID 0 TILEPROP INT_L_X24Y112 TILE_PATTERN_IDX 5010 TILEPROP INT_L_X24Y112 TILE_TYPE INT_L TILEPROP INT_L_X24Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y112 TILE_X 13064 TILEPROP INT_L_X24Y112 TILE_Y 121272 TILEPROP INT_L_X24Y112 TYPE INT_L TILEPROP INT_L_X24Y113 CLASS tile TILEPROP INT_L_X24Y113 COLUMN 63 TILEPROP INT_L_X24Y113 DEVICE_ID 0 TILEPROP INT_L_X24Y113 FIRST_SITE_ID 3798 TILEPROP INT_L_X24Y113 GRID_POINT_X 63 TILEPROP INT_L_X24Y113 GRID_POINT_Y 38 TILEPROP INT_L_X24Y113 INDEX 4433 TILEPROP INT_L_X24Y113 INT_TILE_X 24 TILEPROP INT_L_X24Y113 INT_TILE_Y 36 TILEPROP INT_L_X24Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y113 IS_DCM_TILE 0 TILEPROP INT_L_X24Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y113 NAME INT_L_X24Y113 TILEPROP INT_L_X24Y113 NUM_ARCS 3737 TILEPROP INT_L_X24Y113 NUM_SITES 1 TILEPROP INT_L_X24Y113 ROW 38 TILEPROP INT_L_X24Y113 SLR_REGION_ID 0 TILEPROP INT_L_X24Y113 TILE_PATTERN_IDX 4973 TILEPROP INT_L_X24Y113 TILE_TYPE INT_L TILEPROP INT_L_X24Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y113 TILE_X 13064 TILEPROP INT_L_X24Y113 TILE_Y 124472 TILEPROP INT_L_X24Y113 TYPE INT_L TILEPROP INT_L_X24Y114 CLASS tile TILEPROP INT_L_X24Y114 COLUMN 63 TILEPROP INT_L_X24Y114 DEVICE_ID 0 TILEPROP INT_L_X24Y114 FIRST_SITE_ID 3702 TILEPROP INT_L_X24Y114 GRID_POINT_X 63 TILEPROP INT_L_X24Y114 GRID_POINT_Y 37 TILEPROP INT_L_X24Y114 INDEX 4318 TILEPROP INT_L_X24Y114 INT_TILE_X 24 TILEPROP INT_L_X24Y114 INT_TILE_Y 35 TILEPROP INT_L_X24Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y114 IS_DCM_TILE 0 TILEPROP INT_L_X24Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y114 NAME INT_L_X24Y114 TILEPROP INT_L_X24Y114 NUM_ARCS 3737 TILEPROP INT_L_X24Y114 NUM_SITES 1 TILEPROP INT_L_X24Y114 ROW 37 TILEPROP INT_L_X24Y114 SLR_REGION_ID 0 TILEPROP INT_L_X24Y114 TILE_PATTERN_IDX 4936 TILEPROP INT_L_X24Y114 TILE_TYPE INT_L TILEPROP INT_L_X24Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y114 TILE_X 13064 TILEPROP INT_L_X24Y114 TILE_Y 127672 TILEPROP INT_L_X24Y114 TYPE INT_L TILEPROP INT_L_X24Y115 CLASS tile TILEPROP INT_L_X24Y115 COLUMN 63 TILEPROP INT_L_X24Y115 DEVICE_ID 0 TILEPROP INT_L_X24Y115 FIRST_SITE_ID 3611 TILEPROP INT_L_X24Y115 GRID_POINT_X 63 TILEPROP INT_L_X24Y115 GRID_POINT_Y 36 TILEPROP INT_L_X24Y115 INDEX 4203 TILEPROP INT_L_X24Y115 INT_TILE_X 24 TILEPROP INT_L_X24Y115 INT_TILE_Y 34 TILEPROP INT_L_X24Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y115 IS_DCM_TILE 0 TILEPROP INT_L_X24Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y115 NAME INT_L_X24Y115 TILEPROP INT_L_X24Y115 NUM_ARCS 3737 TILEPROP INT_L_X24Y115 NUM_SITES 1 TILEPROP INT_L_X24Y115 ROW 36 TILEPROP INT_L_X24Y115 SLR_REGION_ID 0 TILEPROP INT_L_X24Y115 TILE_PATTERN_IDX 4900 TILEPROP INT_L_X24Y115 TILE_TYPE INT_L TILEPROP INT_L_X24Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y115 TILE_X 13064 TILEPROP INT_L_X24Y115 TILE_Y 130872 TILEPROP INT_L_X24Y115 TYPE INT_L TILEPROP INT_L_X24Y116 CLASS tile TILEPROP INT_L_X24Y116 COLUMN 63 TILEPROP INT_L_X24Y116 DEVICE_ID 0 TILEPROP INT_L_X24Y116 FIRST_SITE_ID 3504 TILEPROP INT_L_X24Y116 GRID_POINT_X 63 TILEPROP INT_L_X24Y116 GRID_POINT_Y 35 TILEPROP INT_L_X24Y116 INDEX 4088 TILEPROP INT_L_X24Y116 INT_TILE_X 24 TILEPROP INT_L_X24Y116 INT_TILE_Y 33 TILEPROP INT_L_X24Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y116 IS_DCM_TILE 0 TILEPROP INT_L_X24Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y116 NAME INT_L_X24Y116 TILEPROP INT_L_X24Y116 NUM_ARCS 3737 TILEPROP INT_L_X24Y116 NUM_SITES 1 TILEPROP INT_L_X24Y116 ROW 35 TILEPROP INT_L_X24Y116 SLR_REGION_ID 0 TILEPROP INT_L_X24Y116 TILE_PATTERN_IDX 4863 TILEPROP INT_L_X24Y116 TILE_TYPE INT_L TILEPROP INT_L_X24Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y116 TILE_X 13064 TILEPROP INT_L_X24Y116 TILE_Y 134072 TILEPROP INT_L_X24Y116 TYPE INT_L TILEPROP INT_L_X24Y117 CLASS tile TILEPROP INT_L_X24Y117 COLUMN 63 TILEPROP INT_L_X24Y117 DEVICE_ID 0 TILEPROP INT_L_X24Y117 FIRST_SITE_ID 3416 TILEPROP INT_L_X24Y117 GRID_POINT_X 63 TILEPROP INT_L_X24Y117 GRID_POINT_Y 34 TILEPROP INT_L_X24Y117 INDEX 3973 TILEPROP INT_L_X24Y117 INT_TILE_X 24 TILEPROP INT_L_X24Y117 INT_TILE_Y 32 TILEPROP INT_L_X24Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y117 IS_DCM_TILE 0 TILEPROP INT_L_X24Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y117 NAME INT_L_X24Y117 TILEPROP INT_L_X24Y117 NUM_ARCS 3737 TILEPROP INT_L_X24Y117 NUM_SITES 1 TILEPROP INT_L_X24Y117 ROW 34 TILEPROP INT_L_X24Y117 SLR_REGION_ID 0 TILEPROP INT_L_X24Y117 TILE_PATTERN_IDX 4827 TILEPROP INT_L_X24Y117 TILE_TYPE INT_L TILEPROP INT_L_X24Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y117 TILE_X 13064 TILEPROP INT_L_X24Y117 TILE_Y 137272 TILEPROP INT_L_X24Y117 TYPE INT_L TILEPROP INT_L_X24Y118 CLASS tile TILEPROP INT_L_X24Y118 COLUMN 63 TILEPROP INT_L_X24Y118 DEVICE_ID 0 TILEPROP INT_L_X24Y118 FIRST_SITE_ID 3316 TILEPROP INT_L_X24Y118 GRID_POINT_X 63 TILEPROP INT_L_X24Y118 GRID_POINT_Y 33 TILEPROP INT_L_X24Y118 INDEX 3858 TILEPROP INT_L_X24Y118 INT_TILE_X 24 TILEPROP INT_L_X24Y118 INT_TILE_Y 31 TILEPROP INT_L_X24Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y118 IS_DCM_TILE 0 TILEPROP INT_L_X24Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y118 NAME INT_L_X24Y118 TILEPROP INT_L_X24Y118 NUM_ARCS 3737 TILEPROP INT_L_X24Y118 NUM_SITES 1 TILEPROP INT_L_X24Y118 ROW 33 TILEPROP INT_L_X24Y118 SLR_REGION_ID 0 TILEPROP INT_L_X24Y118 TILE_PATTERN_IDX 4789 TILEPROP INT_L_X24Y118 TILE_TYPE INT_L TILEPROP INT_L_X24Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y118 TILE_X 13064 TILEPROP INT_L_X24Y118 TILE_Y 140472 TILEPROP INT_L_X24Y118 TYPE INT_L TILEPROP INT_L_X24Y119 CLASS tile TILEPROP INT_L_X24Y119 COLUMN 63 TILEPROP INT_L_X24Y119 DEVICE_ID 0 TILEPROP INT_L_X24Y119 FIRST_SITE_ID 3228 TILEPROP INT_L_X24Y119 GRID_POINT_X 63 TILEPROP INT_L_X24Y119 GRID_POINT_Y 32 TILEPROP INT_L_X24Y119 INDEX 3743 TILEPROP INT_L_X24Y119 INT_TILE_X 24 TILEPROP INT_L_X24Y119 INT_TILE_Y 30 TILEPROP INT_L_X24Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y119 IS_DCM_TILE 0 TILEPROP INT_L_X24Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y119 NAME INT_L_X24Y119 TILEPROP INT_L_X24Y119 NUM_ARCS 3737 TILEPROP INT_L_X24Y119 NUM_SITES 1 TILEPROP INT_L_X24Y119 ROW 32 TILEPROP INT_L_X24Y119 SLR_REGION_ID 0 TILEPROP INT_L_X24Y119 TILE_PATTERN_IDX 4753 TILEPROP INT_L_X24Y119 TILE_TYPE INT_L TILEPROP INT_L_X24Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y119 TILE_X 13064 TILEPROP INT_L_X24Y119 TILE_Y 143672 TILEPROP INT_L_X24Y119 TYPE INT_L TILEPROP INT_L_X24Y120 CLASS tile TILEPROP INT_L_X24Y120 COLUMN 63 TILEPROP INT_L_X24Y120 DEVICE_ID 0 TILEPROP INT_L_X24Y120 FIRST_SITE_ID 3127 TILEPROP INT_L_X24Y120 GRID_POINT_X 63 TILEPROP INT_L_X24Y120 GRID_POINT_Y 31 TILEPROP INT_L_X24Y120 INDEX 3628 TILEPROP INT_L_X24Y120 INT_TILE_X 24 TILEPROP INT_L_X24Y120 INT_TILE_Y 29 TILEPROP INT_L_X24Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y120 IS_DCM_TILE 0 TILEPROP INT_L_X24Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y120 NAME INT_L_X24Y120 TILEPROP INT_L_X24Y120 NUM_ARCS 3737 TILEPROP INT_L_X24Y120 NUM_SITES 1 TILEPROP INT_L_X24Y120 ROW 31 TILEPROP INT_L_X24Y120 SLR_REGION_ID 0 TILEPROP INT_L_X24Y120 TILE_PATTERN_IDX 4716 TILEPROP INT_L_X24Y120 TILE_TYPE INT_L TILEPROP INT_L_X24Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y120 TILE_X 13064 TILEPROP INT_L_X24Y120 TILE_Y 146872 TILEPROP INT_L_X24Y120 TYPE INT_L TILEPROP INT_L_X24Y121 CLASS tile TILEPROP INT_L_X24Y121 COLUMN 63 TILEPROP INT_L_X24Y121 DEVICE_ID 0 TILEPROP INT_L_X24Y121 FIRST_SITE_ID 3033 TILEPROP INT_L_X24Y121 GRID_POINT_X 63 TILEPROP INT_L_X24Y121 GRID_POINT_Y 30 TILEPROP INT_L_X24Y121 INDEX 3513 TILEPROP INT_L_X24Y121 INT_TILE_X 24 TILEPROP INT_L_X24Y121 INT_TILE_Y 28 TILEPROP INT_L_X24Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y121 IS_DCM_TILE 0 TILEPROP INT_L_X24Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y121 NAME INT_L_X24Y121 TILEPROP INT_L_X24Y121 NUM_ARCS 3737 TILEPROP INT_L_X24Y121 NUM_SITES 1 TILEPROP INT_L_X24Y121 ROW 30 TILEPROP INT_L_X24Y121 SLR_REGION_ID 0 TILEPROP INT_L_X24Y121 TILE_PATTERN_IDX 4680 TILEPROP INT_L_X24Y121 TILE_TYPE INT_L TILEPROP INT_L_X24Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y121 TILE_X 13064 TILEPROP INT_L_X24Y121 TILE_Y 150072 TILEPROP INT_L_X24Y121 TYPE INT_L TILEPROP INT_L_X24Y122 CLASS tile TILEPROP INT_L_X24Y122 COLUMN 63 TILEPROP INT_L_X24Y122 DEVICE_ID 0 TILEPROP INT_L_X24Y122 FIRST_SITE_ID 2930 TILEPROP INT_L_X24Y122 GRID_POINT_X 63 TILEPROP INT_L_X24Y122 GRID_POINT_Y 29 TILEPROP INT_L_X24Y122 INDEX 3398 TILEPROP INT_L_X24Y122 INT_TILE_X 24 TILEPROP INT_L_X24Y122 INT_TILE_Y 27 TILEPROP INT_L_X24Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y122 IS_DCM_TILE 0 TILEPROP INT_L_X24Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y122 NAME INT_L_X24Y122 TILEPROP INT_L_X24Y122 NUM_ARCS 3737 TILEPROP INT_L_X24Y122 NUM_SITES 1 TILEPROP INT_L_X24Y122 ROW 29 TILEPROP INT_L_X24Y122 SLR_REGION_ID 0 TILEPROP INT_L_X24Y122 TILE_PATTERN_IDX 4642 TILEPROP INT_L_X24Y122 TILE_TYPE INT_L TILEPROP INT_L_X24Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y122 TILE_X 13064 TILEPROP INT_L_X24Y122 TILE_Y 153272 TILEPROP INT_L_X24Y122 TYPE INT_L TILEPROP INT_L_X24Y123 CLASS tile TILEPROP INT_L_X24Y123 COLUMN 63 TILEPROP INT_L_X24Y123 DEVICE_ID 0 TILEPROP INT_L_X24Y123 FIRST_SITE_ID 2842 TILEPROP INT_L_X24Y123 GRID_POINT_X 63 TILEPROP INT_L_X24Y123 GRID_POINT_Y 28 TILEPROP INT_L_X24Y123 INDEX 3283 TILEPROP INT_L_X24Y123 INT_TILE_X 24 TILEPROP INT_L_X24Y123 INT_TILE_Y 26 TILEPROP INT_L_X24Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y123 IS_DCM_TILE 0 TILEPROP INT_L_X24Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y123 NAME INT_L_X24Y123 TILEPROP INT_L_X24Y123 NUM_ARCS 3737 TILEPROP INT_L_X24Y123 NUM_SITES 1 TILEPROP INT_L_X24Y123 ROW 28 TILEPROP INT_L_X24Y123 SLR_REGION_ID 0 TILEPROP INT_L_X24Y123 TILE_PATTERN_IDX 4606 TILEPROP INT_L_X24Y123 TILE_TYPE INT_L TILEPROP INT_L_X24Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y123 TILE_X 13064 TILEPROP INT_L_X24Y123 TILE_Y 156472 TILEPROP INT_L_X24Y123 TYPE INT_L TILEPROP INT_L_X24Y124 CLASS tile TILEPROP INT_L_X24Y124 COLUMN 63 TILEPROP INT_L_X24Y124 DEVICE_ID 0 TILEPROP INT_L_X24Y124 FIRST_SITE_ID 2746 TILEPROP INT_L_X24Y124 GRID_POINT_X 63 TILEPROP INT_L_X24Y124 GRID_POINT_Y 27 TILEPROP INT_L_X24Y124 INDEX 3168 TILEPROP INT_L_X24Y124 INT_TILE_X 24 TILEPROP INT_L_X24Y124 INT_TILE_Y 25 TILEPROP INT_L_X24Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y124 IS_DCM_TILE 0 TILEPROP INT_L_X24Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y124 NAME INT_L_X24Y124 TILEPROP INT_L_X24Y124 NUM_ARCS 3737 TILEPROP INT_L_X24Y124 NUM_SITES 1 TILEPROP INT_L_X24Y124 ROW 27 TILEPROP INT_L_X24Y124 SLR_REGION_ID 0 TILEPROP INT_L_X24Y124 TILE_PATTERN_IDX 4569 TILEPROP INT_L_X24Y124 TILE_TYPE INT_L TILEPROP INT_L_X24Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y124 TILE_X 13064 TILEPROP INT_L_X24Y124 TILE_Y 159672 TILEPROP INT_L_X24Y124 TYPE INT_L TILEPROP INT_L_X24Y125 CLASS tile TILEPROP INT_L_X24Y125 COLUMN 63 TILEPROP INT_L_X24Y125 DEVICE_ID 0 TILEPROP INT_L_X24Y125 FIRST_SITE_ID 2573 TILEPROP INT_L_X24Y125 GRID_POINT_X 63 TILEPROP INT_L_X24Y125 GRID_POINT_Y 25 TILEPROP INT_L_X24Y125 INDEX 2938 TILEPROP INT_L_X24Y125 INT_TILE_X 24 TILEPROP INT_L_X24Y125 INT_TILE_Y 24 TILEPROP INT_L_X24Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y125 IS_DCM_TILE 0 TILEPROP INT_L_X24Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y125 NAME INT_L_X24Y125 TILEPROP INT_L_X24Y125 NUM_ARCS 3737 TILEPROP INT_L_X24Y125 NUM_SITES 1 TILEPROP INT_L_X24Y125 ROW 25 TILEPROP INT_L_X24Y125 SLR_REGION_ID 0 TILEPROP INT_L_X24Y125 TILE_PATTERN_IDX 4490 TILEPROP INT_L_X24Y125 TILE_TYPE INT_L TILEPROP INT_L_X24Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y125 TILE_X 13064 TILEPROP INT_L_X24Y125 TILE_Y 163896 TILEPROP INT_L_X24Y125 TYPE INT_L TILEPROP INT_L_X24Y126 CLASS tile TILEPROP INT_L_X24Y126 COLUMN 63 TILEPROP INT_L_X24Y126 DEVICE_ID 0 TILEPROP INT_L_X24Y126 FIRST_SITE_ID 2463 TILEPROP INT_L_X24Y126 GRID_POINT_X 63 TILEPROP INT_L_X24Y126 GRID_POINT_Y 24 TILEPROP INT_L_X24Y126 INDEX 2823 TILEPROP INT_L_X24Y126 INT_TILE_X 24 TILEPROP INT_L_X24Y126 INT_TILE_Y 23 TILEPROP INT_L_X24Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y126 IS_DCM_TILE 0 TILEPROP INT_L_X24Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y126 NAME INT_L_X24Y126 TILEPROP INT_L_X24Y126 NUM_ARCS 3737 TILEPROP INT_L_X24Y126 NUM_SITES 1 TILEPROP INT_L_X24Y126 ROW 24 TILEPROP INT_L_X24Y126 SLR_REGION_ID 0 TILEPROP INT_L_X24Y126 TILE_PATTERN_IDX 4451 TILEPROP INT_L_X24Y126 TILE_TYPE INT_L TILEPROP INT_L_X24Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y126 TILE_X 13064 TILEPROP INT_L_X24Y126 TILE_Y 167096 TILEPROP INT_L_X24Y126 TYPE INT_L TILEPROP INT_L_X24Y127 CLASS tile TILEPROP INT_L_X24Y127 COLUMN 63 TILEPROP INT_L_X24Y127 DEVICE_ID 0 TILEPROP INT_L_X24Y127 FIRST_SITE_ID 2367 TILEPROP INT_L_X24Y127 GRID_POINT_X 63 TILEPROP INT_L_X24Y127 GRID_POINT_Y 23 TILEPROP INT_L_X24Y127 INDEX 2708 TILEPROP INT_L_X24Y127 INT_TILE_X 24 TILEPROP INT_L_X24Y127 INT_TILE_Y 22 TILEPROP INT_L_X24Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y127 IS_DCM_TILE 0 TILEPROP INT_L_X24Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y127 NAME INT_L_X24Y127 TILEPROP INT_L_X24Y127 NUM_ARCS 3737 TILEPROP INT_L_X24Y127 NUM_SITES 1 TILEPROP INT_L_X24Y127 ROW 23 TILEPROP INT_L_X24Y127 SLR_REGION_ID 0 TILEPROP INT_L_X24Y127 TILE_PATTERN_IDX 4413 TILEPROP INT_L_X24Y127 TILE_TYPE INT_L TILEPROP INT_L_X24Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y127 TILE_X 13064 TILEPROP INT_L_X24Y127 TILE_Y 170296 TILEPROP INT_L_X24Y127 TYPE INT_L TILEPROP INT_L_X24Y128 CLASS tile TILEPROP INT_L_X24Y128 COLUMN 63 TILEPROP INT_L_X24Y128 DEVICE_ID 0 TILEPROP INT_L_X24Y128 FIRST_SITE_ID 2263 TILEPROP INT_L_X24Y128 GRID_POINT_X 63 TILEPROP INT_L_X24Y128 GRID_POINT_Y 22 TILEPROP INT_L_X24Y128 INDEX 2593 TILEPROP INT_L_X24Y128 INT_TILE_X 24 TILEPROP INT_L_X24Y128 INT_TILE_Y 21 TILEPROP INT_L_X24Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y128 IS_DCM_TILE 0 TILEPROP INT_L_X24Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y128 NAME INT_L_X24Y128 TILEPROP INT_L_X24Y128 NUM_ARCS 3737 TILEPROP INT_L_X24Y128 NUM_SITES 1 TILEPROP INT_L_X24Y128 ROW 22 TILEPROP INT_L_X24Y128 SLR_REGION_ID 0 TILEPROP INT_L_X24Y128 TILE_PATTERN_IDX 4374 TILEPROP INT_L_X24Y128 TILE_TYPE INT_L TILEPROP INT_L_X24Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y128 TILE_X 13064 TILEPROP INT_L_X24Y128 TILE_Y 173496 TILEPROP INT_L_X24Y128 TYPE INT_L TILEPROP INT_L_X24Y129 CLASS tile TILEPROP INT_L_X24Y129 COLUMN 63 TILEPROP INT_L_X24Y129 DEVICE_ID 0 TILEPROP INT_L_X24Y129 FIRST_SITE_ID 2167 TILEPROP INT_L_X24Y129 GRID_POINT_X 63 TILEPROP INT_L_X24Y129 GRID_POINT_Y 21 TILEPROP INT_L_X24Y129 INDEX 2478 TILEPROP INT_L_X24Y129 INT_TILE_X 24 TILEPROP INT_L_X24Y129 INT_TILE_Y 20 TILEPROP INT_L_X24Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y129 IS_DCM_TILE 0 TILEPROP INT_L_X24Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y129 NAME INT_L_X24Y129 TILEPROP INT_L_X24Y129 NUM_ARCS 3737 TILEPROP INT_L_X24Y129 NUM_SITES 1 TILEPROP INT_L_X24Y129 ROW 21 TILEPROP INT_L_X24Y129 SLR_REGION_ID 0 TILEPROP INT_L_X24Y129 TILE_PATTERN_IDX 4336 TILEPROP INT_L_X24Y129 TILE_TYPE INT_L TILEPROP INT_L_X24Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y129 TILE_X 13064 TILEPROP INT_L_X24Y129 TILE_Y 176696 TILEPROP INT_L_X24Y129 TYPE INT_L TILEPROP INT_L_X24Y130 CLASS tile TILEPROP INT_L_X24Y130 COLUMN 63 TILEPROP INT_L_X24Y130 DEVICE_ID 0 TILEPROP INT_L_X24Y130 FIRST_SITE_ID 2051 TILEPROP INT_L_X24Y130 GRID_POINT_X 63 TILEPROP INT_L_X24Y130 GRID_POINT_Y 20 TILEPROP INT_L_X24Y130 INDEX 2363 TILEPROP INT_L_X24Y130 INT_TILE_X 24 TILEPROP INT_L_X24Y130 INT_TILE_Y 19 TILEPROP INT_L_X24Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y130 IS_DCM_TILE 0 TILEPROP INT_L_X24Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y130 NAME INT_L_X24Y130 TILEPROP INT_L_X24Y130 NUM_ARCS 3737 TILEPROP INT_L_X24Y130 NUM_SITES 1 TILEPROP INT_L_X24Y130 ROW 20 TILEPROP INT_L_X24Y130 SLR_REGION_ID 0 TILEPROP INT_L_X24Y130 TILE_PATTERN_IDX 4296 TILEPROP INT_L_X24Y130 TILE_TYPE INT_L TILEPROP INT_L_X24Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y130 TILE_X 13064 TILEPROP INT_L_X24Y130 TILE_Y 179896 TILEPROP INT_L_X24Y130 TYPE INT_L TILEPROP INT_L_X24Y131 CLASS tile TILEPROP INT_L_X24Y131 COLUMN 63 TILEPROP INT_L_X24Y131 DEVICE_ID 0 TILEPROP INT_L_X24Y131 FIRST_SITE_ID 1949 TILEPROP INT_L_X24Y131 GRID_POINT_X 63 TILEPROP INT_L_X24Y131 GRID_POINT_Y 19 TILEPROP INT_L_X24Y131 INDEX 2248 TILEPROP INT_L_X24Y131 INT_TILE_X 24 TILEPROP INT_L_X24Y131 INT_TILE_Y 18 TILEPROP INT_L_X24Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y131 IS_DCM_TILE 0 TILEPROP INT_L_X24Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y131 NAME INT_L_X24Y131 TILEPROP INT_L_X24Y131 NUM_ARCS 3737 TILEPROP INT_L_X24Y131 NUM_SITES 1 TILEPROP INT_L_X24Y131 ROW 19 TILEPROP INT_L_X24Y131 SLR_REGION_ID 0 TILEPROP INT_L_X24Y131 TILE_PATTERN_IDX 4258 TILEPROP INT_L_X24Y131 TILE_TYPE INT_L TILEPROP INT_L_X24Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y131 TILE_X 13064 TILEPROP INT_L_X24Y131 TILE_Y 183096 TILEPROP INT_L_X24Y131 TYPE INT_L TILEPROP INT_L_X24Y132 CLASS tile TILEPROP INT_L_X24Y132 COLUMN 63 TILEPROP INT_L_X24Y132 DEVICE_ID 0 TILEPROP INT_L_X24Y132 FIRST_SITE_ID 1843 TILEPROP INT_L_X24Y132 GRID_POINT_X 63 TILEPROP INT_L_X24Y132 GRID_POINT_Y 18 TILEPROP INT_L_X24Y132 INDEX 2133 TILEPROP INT_L_X24Y132 INT_TILE_X 24 TILEPROP INT_L_X24Y132 INT_TILE_Y 17 TILEPROP INT_L_X24Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y132 IS_DCM_TILE 0 TILEPROP INT_L_X24Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y132 NAME INT_L_X24Y132 TILEPROP INT_L_X24Y132 NUM_ARCS 3737 TILEPROP INT_L_X24Y132 NUM_SITES 1 TILEPROP INT_L_X24Y132 ROW 18 TILEPROP INT_L_X24Y132 SLR_REGION_ID 0 TILEPROP INT_L_X24Y132 TILE_PATTERN_IDX 4219 TILEPROP INT_L_X24Y132 TILE_TYPE INT_L TILEPROP INT_L_X24Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y132 TILE_X 13064 TILEPROP INT_L_X24Y132 TILE_Y 186296 TILEPROP INT_L_X24Y132 TYPE INT_L TILEPROP INT_L_X24Y133 CLASS tile TILEPROP INT_L_X24Y133 COLUMN 63 TILEPROP INT_L_X24Y133 DEVICE_ID 0 TILEPROP INT_L_X24Y133 FIRST_SITE_ID 1742 TILEPROP INT_L_X24Y133 GRID_POINT_X 63 TILEPROP INT_L_X24Y133 GRID_POINT_Y 17 TILEPROP INT_L_X24Y133 INDEX 2018 TILEPROP INT_L_X24Y133 INT_TILE_X 24 TILEPROP INT_L_X24Y133 INT_TILE_Y 16 TILEPROP INT_L_X24Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y133 IS_DCM_TILE 0 TILEPROP INT_L_X24Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y133 NAME INT_L_X24Y133 TILEPROP INT_L_X24Y133 NUM_ARCS 3737 TILEPROP INT_L_X24Y133 NUM_SITES 1 TILEPROP INT_L_X24Y133 ROW 17 TILEPROP INT_L_X24Y133 SLR_REGION_ID 0 TILEPROP INT_L_X24Y133 TILE_PATTERN_IDX 4181 TILEPROP INT_L_X24Y133 TILE_TYPE INT_L TILEPROP INT_L_X24Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y133 TILE_X 13064 TILEPROP INT_L_X24Y133 TILE_Y 189496 TILEPROP INT_L_X24Y133 TYPE INT_L TILEPROP INT_L_X24Y134 CLASS tile TILEPROP INT_L_X24Y134 COLUMN 63 TILEPROP INT_L_X24Y134 DEVICE_ID 0 TILEPROP INT_L_X24Y134 FIRST_SITE_ID 1638 TILEPROP INT_L_X24Y134 GRID_POINT_X 63 TILEPROP INT_L_X24Y134 GRID_POINT_Y 16 TILEPROP INT_L_X24Y134 INDEX 1903 TILEPROP INT_L_X24Y134 INT_TILE_X 24 TILEPROP INT_L_X24Y134 INT_TILE_Y 15 TILEPROP INT_L_X24Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y134 IS_DCM_TILE 0 TILEPROP INT_L_X24Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y134 NAME INT_L_X24Y134 TILEPROP INT_L_X24Y134 NUM_ARCS 3737 TILEPROP INT_L_X24Y134 NUM_SITES 1 TILEPROP INT_L_X24Y134 ROW 16 TILEPROP INT_L_X24Y134 SLR_REGION_ID 0 TILEPROP INT_L_X24Y134 TILE_PATTERN_IDX 4142 TILEPROP INT_L_X24Y134 TILE_TYPE INT_L TILEPROP INT_L_X24Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y134 TILE_X 13064 TILEPROP INT_L_X24Y134 TILE_Y 192696 TILEPROP INT_L_X24Y134 TYPE INT_L TILEPROP INT_L_X24Y135 CLASS tile TILEPROP INT_L_X24Y135 COLUMN 63 TILEPROP INT_L_X24Y135 DEVICE_ID 0 TILEPROP INT_L_X24Y135 FIRST_SITE_ID 1536 TILEPROP INT_L_X24Y135 GRID_POINT_X 63 TILEPROP INT_L_X24Y135 GRID_POINT_Y 15 TILEPROP INT_L_X24Y135 INDEX 1788 TILEPROP INT_L_X24Y135 INT_TILE_X 24 TILEPROP INT_L_X24Y135 INT_TILE_Y 14 TILEPROP INT_L_X24Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y135 IS_DCM_TILE 0 TILEPROP INT_L_X24Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y135 NAME INT_L_X24Y135 TILEPROP INT_L_X24Y135 NUM_ARCS 3737 TILEPROP INT_L_X24Y135 NUM_SITES 1 TILEPROP INT_L_X24Y135 ROW 15 TILEPROP INT_L_X24Y135 SLR_REGION_ID 0 TILEPROP INT_L_X24Y135 TILE_PATTERN_IDX 4104 TILEPROP INT_L_X24Y135 TILE_TYPE INT_L TILEPROP INT_L_X24Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y135 TILE_X 13064 TILEPROP INT_L_X24Y135 TILE_Y 195896 TILEPROP INT_L_X24Y135 TYPE INT_L TILEPROP INT_L_X24Y136 CLASS tile TILEPROP INT_L_X24Y136 COLUMN 63 TILEPROP INT_L_X24Y136 DEVICE_ID 0 TILEPROP INT_L_X24Y136 FIRST_SITE_ID 1426 TILEPROP INT_L_X24Y136 GRID_POINT_X 63 TILEPROP INT_L_X24Y136 GRID_POINT_Y 14 TILEPROP INT_L_X24Y136 INDEX 1673 TILEPROP INT_L_X24Y136 INT_TILE_X 24 TILEPROP INT_L_X24Y136 INT_TILE_Y 13 TILEPROP INT_L_X24Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y136 IS_DCM_TILE 0 TILEPROP INT_L_X24Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y136 NAME INT_L_X24Y136 TILEPROP INT_L_X24Y136 NUM_ARCS 3737 TILEPROP INT_L_X24Y136 NUM_SITES 1 TILEPROP INT_L_X24Y136 ROW 14 TILEPROP INT_L_X24Y136 SLR_REGION_ID 0 TILEPROP INT_L_X24Y136 TILE_PATTERN_IDX 4065 TILEPROP INT_L_X24Y136 TILE_TYPE INT_L TILEPROP INT_L_X24Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y136 TILE_X 13064 TILEPROP INT_L_X24Y136 TILE_Y 199096 TILEPROP INT_L_X24Y136 TYPE INT_L TILEPROP INT_L_X24Y137 CLASS tile TILEPROP INT_L_X24Y137 COLUMN 63 TILEPROP INT_L_X24Y137 DEVICE_ID 0 TILEPROP INT_L_X24Y137 FIRST_SITE_ID 1298 TILEPROP INT_L_X24Y137 GRID_POINT_X 63 TILEPROP INT_L_X24Y137 GRID_POINT_Y 13 TILEPROP INT_L_X24Y137 INDEX 1558 TILEPROP INT_L_X24Y137 INT_TILE_X 24 TILEPROP INT_L_X24Y137 INT_TILE_Y 12 TILEPROP INT_L_X24Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y137 IS_DCM_TILE 0 TILEPROP INT_L_X24Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y137 NAME INT_L_X24Y137 TILEPROP INT_L_X24Y137 NUM_ARCS 3737 TILEPROP INT_L_X24Y137 NUM_SITES 1 TILEPROP INT_L_X24Y137 ROW 13 TILEPROP INT_L_X24Y137 SLR_REGION_ID 0 TILEPROP INT_L_X24Y137 TILE_PATTERN_IDX 4027 TILEPROP INT_L_X24Y137 TILE_TYPE INT_L TILEPROP INT_L_X24Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y137 TILE_X 13064 TILEPROP INT_L_X24Y137 TILE_Y 202296 TILEPROP INT_L_X24Y137 TYPE INT_L TILEPROP INT_L_X24Y138 CLASS tile TILEPROP INT_L_X24Y138 COLUMN 63 TILEPROP INT_L_X24Y138 DEVICE_ID 0 TILEPROP INT_L_X24Y138 FIRST_SITE_ID 1194 TILEPROP INT_L_X24Y138 GRID_POINT_X 63 TILEPROP INT_L_X24Y138 GRID_POINT_Y 12 TILEPROP INT_L_X24Y138 INDEX 1443 TILEPROP INT_L_X24Y138 INT_TILE_X 24 TILEPROP INT_L_X24Y138 INT_TILE_Y 11 TILEPROP INT_L_X24Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y138 IS_DCM_TILE 0 TILEPROP INT_L_X24Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y138 NAME INT_L_X24Y138 TILEPROP INT_L_X24Y138 NUM_ARCS 3737 TILEPROP INT_L_X24Y138 NUM_SITES 1 TILEPROP INT_L_X24Y138 ROW 12 TILEPROP INT_L_X24Y138 SLR_REGION_ID 0 TILEPROP INT_L_X24Y138 TILE_PATTERN_IDX 3988 TILEPROP INT_L_X24Y138 TILE_TYPE INT_L TILEPROP INT_L_X24Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y138 TILE_X 13064 TILEPROP INT_L_X24Y138 TILE_Y 205496 TILEPROP INT_L_X24Y138 TYPE INT_L TILEPROP INT_L_X24Y139 CLASS tile TILEPROP INT_L_X24Y139 COLUMN 63 TILEPROP INT_L_X24Y139 DEVICE_ID 0 TILEPROP INT_L_X24Y139 FIRST_SITE_ID 1098 TILEPROP INT_L_X24Y139 GRID_POINT_X 63 TILEPROP INT_L_X24Y139 GRID_POINT_Y 11 TILEPROP INT_L_X24Y139 INDEX 1328 TILEPROP INT_L_X24Y139 INT_TILE_X 24 TILEPROP INT_L_X24Y139 INT_TILE_Y 10 TILEPROP INT_L_X24Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y139 IS_DCM_TILE 0 TILEPROP INT_L_X24Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y139 NAME INT_L_X24Y139 TILEPROP INT_L_X24Y139 NUM_ARCS 3737 TILEPROP INT_L_X24Y139 NUM_SITES 1 TILEPROP INT_L_X24Y139 ROW 11 TILEPROP INT_L_X24Y139 SLR_REGION_ID 0 TILEPROP INT_L_X24Y139 TILE_PATTERN_IDX 3950 TILEPROP INT_L_X24Y139 TILE_TYPE INT_L TILEPROP INT_L_X24Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y139 TILE_X 13064 TILEPROP INT_L_X24Y139 TILE_Y 208696 TILEPROP INT_L_X24Y139 TYPE INT_L TILEPROP INT_L_X24Y140 CLASS tile TILEPROP INT_L_X24Y140 COLUMN 63 TILEPROP INT_L_X24Y140 DEVICE_ID 0 TILEPROP INT_L_X24Y140 FIRST_SITE_ID 988 TILEPROP INT_L_X24Y140 GRID_POINT_X 63 TILEPROP INT_L_X24Y140 GRID_POINT_Y 10 TILEPROP INT_L_X24Y140 INDEX 1213 TILEPROP INT_L_X24Y140 INT_TILE_X 24 TILEPROP INT_L_X24Y140 INT_TILE_Y 9 TILEPROP INT_L_X24Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y140 IS_DCM_TILE 0 TILEPROP INT_L_X24Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y140 NAME INT_L_X24Y140 TILEPROP INT_L_X24Y140 NUM_ARCS 3737 TILEPROP INT_L_X24Y140 NUM_SITES 1 TILEPROP INT_L_X24Y140 ROW 10 TILEPROP INT_L_X24Y140 SLR_REGION_ID 0 TILEPROP INT_L_X24Y140 TILE_PATTERN_IDX 3911 TILEPROP INT_L_X24Y140 TILE_TYPE INT_L TILEPROP INT_L_X24Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y140 TILE_X 13064 TILEPROP INT_L_X24Y140 TILE_Y 211896 TILEPROP INT_L_X24Y140 TYPE INT_L TILEPROP INT_L_X24Y141 CLASS tile TILEPROP INT_L_X24Y141 COLUMN 63 TILEPROP INT_L_X24Y141 DEVICE_ID 0 TILEPROP INT_L_X24Y141 FIRST_SITE_ID 886 TILEPROP INT_L_X24Y141 GRID_POINT_X 63 TILEPROP INT_L_X24Y141 GRID_POINT_Y 9 TILEPROP INT_L_X24Y141 INDEX 1098 TILEPROP INT_L_X24Y141 INT_TILE_X 24 TILEPROP INT_L_X24Y141 INT_TILE_Y 8 TILEPROP INT_L_X24Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y141 IS_DCM_TILE 0 TILEPROP INT_L_X24Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y141 NAME INT_L_X24Y141 TILEPROP INT_L_X24Y141 NUM_ARCS 3737 TILEPROP INT_L_X24Y141 NUM_SITES 1 TILEPROP INT_L_X24Y141 ROW 9 TILEPROP INT_L_X24Y141 SLR_REGION_ID 0 TILEPROP INT_L_X24Y141 TILE_PATTERN_IDX 3873 TILEPROP INT_L_X24Y141 TILE_TYPE INT_L TILEPROP INT_L_X24Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y141 TILE_X 13064 TILEPROP INT_L_X24Y141 TILE_Y 215096 TILEPROP INT_L_X24Y141 TYPE INT_L TILEPROP INT_L_X24Y142 CLASS tile TILEPROP INT_L_X24Y142 COLUMN 63 TILEPROP INT_L_X24Y142 DEVICE_ID 0 TILEPROP INT_L_X24Y142 FIRST_SITE_ID 782 TILEPROP INT_L_X24Y142 GRID_POINT_X 63 TILEPROP INT_L_X24Y142 GRID_POINT_Y 8 TILEPROP INT_L_X24Y142 INDEX 983 TILEPROP INT_L_X24Y142 INT_TILE_X 24 TILEPROP INT_L_X24Y142 INT_TILE_Y 7 TILEPROP INT_L_X24Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y142 IS_DCM_TILE 0 TILEPROP INT_L_X24Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y142 NAME INT_L_X24Y142 TILEPROP INT_L_X24Y142 NUM_ARCS 3737 TILEPROP INT_L_X24Y142 NUM_SITES 1 TILEPROP INT_L_X24Y142 ROW 8 TILEPROP INT_L_X24Y142 SLR_REGION_ID 0 TILEPROP INT_L_X24Y142 TILE_PATTERN_IDX 3834 TILEPROP INT_L_X24Y142 TILE_TYPE INT_L TILEPROP INT_L_X24Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y142 TILE_X 13064 TILEPROP INT_L_X24Y142 TILE_Y 218296 TILEPROP INT_L_X24Y142 TYPE INT_L TILEPROP INT_L_X24Y143 CLASS tile TILEPROP INT_L_X24Y143 COLUMN 63 TILEPROP INT_L_X24Y143 DEVICE_ID 0 TILEPROP INT_L_X24Y143 FIRST_SITE_ID 685 TILEPROP INT_L_X24Y143 GRID_POINT_X 63 TILEPROP INT_L_X24Y143 GRID_POINT_Y 7 TILEPROP INT_L_X24Y143 INDEX 868 TILEPROP INT_L_X24Y143 INT_TILE_X 24 TILEPROP INT_L_X24Y143 INT_TILE_Y 6 TILEPROP INT_L_X24Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y143 IS_DCM_TILE 0 TILEPROP INT_L_X24Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y143 NAME INT_L_X24Y143 TILEPROP INT_L_X24Y143 NUM_ARCS 3737 TILEPROP INT_L_X24Y143 NUM_SITES 1 TILEPROP INT_L_X24Y143 ROW 7 TILEPROP INT_L_X24Y143 SLR_REGION_ID 0 TILEPROP INT_L_X24Y143 TILE_PATTERN_IDX 3796 TILEPROP INT_L_X24Y143 TILE_TYPE INT_L TILEPROP INT_L_X24Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y143 TILE_X 13064 TILEPROP INT_L_X24Y143 TILE_Y 221496 TILEPROP INT_L_X24Y143 TYPE INT_L TILEPROP INT_L_X24Y144 CLASS tile TILEPROP INT_L_X24Y144 COLUMN 63 TILEPROP INT_L_X24Y144 DEVICE_ID 0 TILEPROP INT_L_X24Y144 FIRST_SITE_ID 574 TILEPROP INT_L_X24Y144 GRID_POINT_X 63 TILEPROP INT_L_X24Y144 GRID_POINT_Y 6 TILEPROP INT_L_X24Y144 INDEX 753 TILEPROP INT_L_X24Y144 INT_TILE_X 24 TILEPROP INT_L_X24Y144 INT_TILE_Y 5 TILEPROP INT_L_X24Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y144 IS_DCM_TILE 0 TILEPROP INT_L_X24Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y144 NAME INT_L_X24Y144 TILEPROP INT_L_X24Y144 NUM_ARCS 3737 TILEPROP INT_L_X24Y144 NUM_SITES 1 TILEPROP INT_L_X24Y144 ROW 6 TILEPROP INT_L_X24Y144 SLR_REGION_ID 0 TILEPROP INT_L_X24Y144 TILE_PATTERN_IDX 3757 TILEPROP INT_L_X24Y144 TILE_TYPE INT_L TILEPROP INT_L_X24Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y144 TILE_X 13064 TILEPROP INT_L_X24Y144 TILE_Y 224696 TILEPROP INT_L_X24Y144 TYPE INT_L TILEPROP INT_L_X24Y145 CLASS tile TILEPROP INT_L_X24Y145 COLUMN 63 TILEPROP INT_L_X24Y145 DEVICE_ID 0 TILEPROP INT_L_X24Y145 FIRST_SITE_ID 472 TILEPROP INT_L_X24Y145 GRID_POINT_X 63 TILEPROP INT_L_X24Y145 GRID_POINT_Y 5 TILEPROP INT_L_X24Y145 INDEX 638 TILEPROP INT_L_X24Y145 INT_TILE_X 24 TILEPROP INT_L_X24Y145 INT_TILE_Y 4 TILEPROP INT_L_X24Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y145 IS_DCM_TILE 0 TILEPROP INT_L_X24Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y145 NAME INT_L_X24Y145 TILEPROP INT_L_X24Y145 NUM_ARCS 3737 TILEPROP INT_L_X24Y145 NUM_SITES 1 TILEPROP INT_L_X24Y145 ROW 5 TILEPROP INT_L_X24Y145 SLR_REGION_ID 0 TILEPROP INT_L_X24Y145 TILE_PATTERN_IDX 3719 TILEPROP INT_L_X24Y145 TILE_TYPE INT_L TILEPROP INT_L_X24Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y145 TILE_X 13064 TILEPROP INT_L_X24Y145 TILE_Y 227896 TILEPROP INT_L_X24Y145 TYPE INT_L TILEPROP INT_L_X24Y146 CLASS tile TILEPROP INT_L_X24Y146 COLUMN 63 TILEPROP INT_L_X24Y146 DEVICE_ID 0 TILEPROP INT_L_X24Y146 FIRST_SITE_ID 362 TILEPROP INT_L_X24Y146 GRID_POINT_X 63 TILEPROP INT_L_X24Y146 GRID_POINT_Y 4 TILEPROP INT_L_X24Y146 INDEX 523 TILEPROP INT_L_X24Y146 INT_TILE_X 24 TILEPROP INT_L_X24Y146 INT_TILE_Y 3 TILEPROP INT_L_X24Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y146 IS_DCM_TILE 0 TILEPROP INT_L_X24Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y146 NAME INT_L_X24Y146 TILEPROP INT_L_X24Y146 NUM_ARCS 3737 TILEPROP INT_L_X24Y146 NUM_SITES 1 TILEPROP INT_L_X24Y146 ROW 4 TILEPROP INT_L_X24Y146 SLR_REGION_ID 0 TILEPROP INT_L_X24Y146 TILE_PATTERN_IDX 3680 TILEPROP INT_L_X24Y146 TILE_TYPE INT_L TILEPROP INT_L_X24Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y146 TILE_X 13064 TILEPROP INT_L_X24Y146 TILE_Y 231096 TILEPROP INT_L_X24Y146 TYPE INT_L TILEPROP INT_L_X24Y147 CLASS tile TILEPROP INT_L_X24Y147 COLUMN 63 TILEPROP INT_L_X24Y147 DEVICE_ID 0 TILEPROP INT_L_X24Y147 FIRST_SITE_ID 266 TILEPROP INT_L_X24Y147 GRID_POINT_X 63 TILEPROP INT_L_X24Y147 GRID_POINT_Y 3 TILEPROP INT_L_X24Y147 INDEX 408 TILEPROP INT_L_X24Y147 INT_TILE_X 24 TILEPROP INT_L_X24Y147 INT_TILE_Y 2 TILEPROP INT_L_X24Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y147 IS_DCM_TILE 0 TILEPROP INT_L_X24Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y147 NAME INT_L_X24Y147 TILEPROP INT_L_X24Y147 NUM_ARCS 3737 TILEPROP INT_L_X24Y147 NUM_SITES 1 TILEPROP INT_L_X24Y147 ROW 3 TILEPROP INT_L_X24Y147 SLR_REGION_ID 0 TILEPROP INT_L_X24Y147 TILE_PATTERN_IDX 3642 TILEPROP INT_L_X24Y147 TILE_TYPE INT_L TILEPROP INT_L_X24Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y147 TILE_X 13064 TILEPROP INT_L_X24Y147 TILE_Y 234296 TILEPROP INT_L_X24Y147 TYPE INT_L TILEPROP INT_L_X24Y148 CLASS tile TILEPROP INT_L_X24Y148 COLUMN 63 TILEPROP INT_L_X24Y148 DEVICE_ID 0 TILEPROP INT_L_X24Y148 FIRST_SITE_ID 162 TILEPROP INT_L_X24Y148 GRID_POINT_X 63 TILEPROP INT_L_X24Y148 GRID_POINT_Y 2 TILEPROP INT_L_X24Y148 INDEX 293 TILEPROP INT_L_X24Y148 INT_TILE_X 24 TILEPROP INT_L_X24Y148 INT_TILE_Y 1 TILEPROP INT_L_X24Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y148 IS_DCM_TILE 0 TILEPROP INT_L_X24Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y148 NAME INT_L_X24Y148 TILEPROP INT_L_X24Y148 NUM_ARCS 3737 TILEPROP INT_L_X24Y148 NUM_SITES 1 TILEPROP INT_L_X24Y148 ROW 2 TILEPROP INT_L_X24Y148 SLR_REGION_ID 0 TILEPROP INT_L_X24Y148 TILE_PATTERN_IDX 3603 TILEPROP INT_L_X24Y148 TILE_TYPE INT_L TILEPROP INT_L_X24Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y148 TILE_X 13064 TILEPROP INT_L_X24Y148 TILE_Y 237496 TILEPROP INT_L_X24Y148 TYPE INT_L TILEPROP INT_L_X24Y149 CLASS tile TILEPROP INT_L_X24Y149 COLUMN 63 TILEPROP INT_L_X24Y149 DEVICE_ID 0 TILEPROP INT_L_X24Y149 FIRST_SITE_ID 66 TILEPROP INT_L_X24Y149 GRID_POINT_X 63 TILEPROP INT_L_X24Y149 GRID_POINT_Y 1 TILEPROP INT_L_X24Y149 INDEX 178 TILEPROP INT_L_X24Y149 INT_TILE_X 24 TILEPROP INT_L_X24Y149 INT_TILE_Y 0 TILEPROP INT_L_X24Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X24Y149 IS_DCM_TILE 0 TILEPROP INT_L_X24Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X24Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X24Y149 NAME INT_L_X24Y149 TILEPROP INT_L_X24Y149 NUM_ARCS 3737 TILEPROP INT_L_X24Y149 NUM_SITES 1 TILEPROP INT_L_X24Y149 ROW 1 TILEPROP INT_L_X24Y149 SLR_REGION_ID 0 TILEPROP INT_L_X24Y149 TILE_PATTERN_IDX 3565 TILEPROP INT_L_X24Y149 TILE_TYPE INT_L TILEPROP INT_L_X24Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X24Y149 TILE_X 13064 TILEPROP INT_L_X24Y149 TILE_Y 240696 TILEPROP INT_L_X24Y149 TYPE INT_L TILEPROP INT_L_X26Y0 CLASS tile TILEPROP INT_L_X26Y0 COLUMN 68 TILEPROP INT_L_X26Y0 DEVICE_ID 0 TILEPROP INT_L_X26Y0 FIRST_SITE_ID 15762 TILEPROP INT_L_X26Y0 GRID_POINT_X 68 TILEPROP INT_L_X26Y0 GRID_POINT_Y 155 TILEPROP INT_L_X26Y0 INDEX 17893 TILEPROP INT_L_X26Y0 INT_TILE_X 26 TILEPROP INT_L_X26Y0 INT_TILE_Y 149 TILEPROP INT_L_X26Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y0 IS_DCM_TILE 0 TILEPROP INT_L_X26Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y0 NAME INT_L_X26Y0 TILEPROP INT_L_X26Y0 NUM_ARCS 3737 TILEPROP INT_L_X26Y0 NUM_SITES 1 TILEPROP INT_L_X26Y0 ROW 155 TILEPROP INT_L_X26Y0 SLR_REGION_ID 0 TILEPROP INT_L_X26Y0 TILE_PATTERN_IDX 8753 TILEPROP INT_L_X26Y0 TILE_TYPE INT_L TILEPROP INT_L_X26Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y0 TILE_X 21432 TILEPROP INT_L_X26Y0 TILE_Y -239672 TILEPROP INT_L_X26Y0 TYPE INT_L TILEPROP INT_L_X26Y1 CLASS tile TILEPROP INT_L_X26Y1 COLUMN 68 TILEPROP INT_L_X26Y1 DEVICE_ID 0 TILEPROP INT_L_X26Y1 FIRST_SITE_ID 15652 TILEPROP INT_L_X26Y1 GRID_POINT_X 68 TILEPROP INT_L_X26Y1 GRID_POINT_Y 154 TILEPROP INT_L_X26Y1 INDEX 17778 TILEPROP INT_L_X26Y1 INT_TILE_X 26 TILEPROP INT_L_X26Y1 INT_TILE_Y 148 TILEPROP INT_L_X26Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y1 IS_DCM_TILE 0 TILEPROP INT_L_X26Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y1 NAME INT_L_X26Y1 TILEPROP INT_L_X26Y1 NUM_ARCS 3737 TILEPROP INT_L_X26Y1 NUM_SITES 1 TILEPROP INT_L_X26Y1 ROW 154 TILEPROP INT_L_X26Y1 SLR_REGION_ID 0 TILEPROP INT_L_X26Y1 TILE_PATTERN_IDX 8722 TILEPROP INT_L_X26Y1 TILE_TYPE INT_L TILEPROP INT_L_X26Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y1 TILE_X 21432 TILEPROP INT_L_X26Y1 TILE_Y -236472 TILEPROP INT_L_X26Y1 TYPE INT_L TILEPROP INT_L_X26Y2 CLASS tile TILEPROP INT_L_X26Y2 COLUMN 68 TILEPROP INT_L_X26Y2 DEVICE_ID 0 TILEPROP INT_L_X26Y2 FIRST_SITE_ID 15551 TILEPROP INT_L_X26Y2 GRID_POINT_X 68 TILEPROP INT_L_X26Y2 GRID_POINT_Y 153 TILEPROP INT_L_X26Y2 INDEX 17663 TILEPROP INT_L_X26Y2 INT_TILE_X 26 TILEPROP INT_L_X26Y2 INT_TILE_Y 147 TILEPROP INT_L_X26Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y2 IS_DCM_TILE 0 TILEPROP INT_L_X26Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y2 NAME INT_L_X26Y2 TILEPROP INT_L_X26Y2 NUM_ARCS 3737 TILEPROP INT_L_X26Y2 NUM_SITES 1 TILEPROP INT_L_X26Y2 ROW 153 TILEPROP INT_L_X26Y2 SLR_REGION_ID 0 TILEPROP INT_L_X26Y2 TILE_PATTERN_IDX 8692 TILEPROP INT_L_X26Y2 TILE_TYPE INT_L TILEPROP INT_L_X26Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y2 TILE_X 21432 TILEPROP INT_L_X26Y2 TILE_Y -233272 TILEPROP INT_L_X26Y2 TYPE INT_L TILEPROP INT_L_X26Y3 CLASS tile TILEPROP INT_L_X26Y3 COLUMN 68 TILEPROP INT_L_X26Y3 DEVICE_ID 0 TILEPROP INT_L_X26Y3 FIRST_SITE_ID 15451 TILEPROP INT_L_X26Y3 GRID_POINT_X 68 TILEPROP INT_L_X26Y3 GRID_POINT_Y 152 TILEPROP INT_L_X26Y3 INDEX 17548 TILEPROP INT_L_X26Y3 INT_TILE_X 26 TILEPROP INT_L_X26Y3 INT_TILE_Y 146 TILEPROP INT_L_X26Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y3 IS_DCM_TILE 0 TILEPROP INT_L_X26Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y3 NAME INT_L_X26Y3 TILEPROP INT_L_X26Y3 NUM_ARCS 3737 TILEPROP INT_L_X26Y3 NUM_SITES 1 TILEPROP INT_L_X26Y3 ROW 152 TILEPROP INT_L_X26Y3 SLR_REGION_ID 0 TILEPROP INT_L_X26Y3 TILE_PATTERN_IDX 8661 TILEPROP INT_L_X26Y3 TILE_TYPE INT_L TILEPROP INT_L_X26Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y3 TILE_X 21432 TILEPROP INT_L_X26Y3 TILE_Y -230072 TILEPROP INT_L_X26Y3 TYPE INT_L TILEPROP INT_L_X26Y4 CLASS tile TILEPROP INT_L_X26Y4 COLUMN 68 TILEPROP INT_L_X26Y4 DEVICE_ID 0 TILEPROP INT_L_X26Y4 FIRST_SITE_ID 15351 TILEPROP INT_L_X26Y4 GRID_POINT_X 68 TILEPROP INT_L_X26Y4 GRID_POINT_Y 151 TILEPROP INT_L_X26Y4 INDEX 17433 TILEPROP INT_L_X26Y4 INT_TILE_X 26 TILEPROP INT_L_X26Y4 INT_TILE_Y 145 TILEPROP INT_L_X26Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y4 IS_DCM_TILE 0 TILEPROP INT_L_X26Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y4 NAME INT_L_X26Y4 TILEPROP INT_L_X26Y4 NUM_ARCS 3737 TILEPROP INT_L_X26Y4 NUM_SITES 1 TILEPROP INT_L_X26Y4 ROW 151 TILEPROP INT_L_X26Y4 SLR_REGION_ID 0 TILEPROP INT_L_X26Y4 TILE_PATTERN_IDX 8631 TILEPROP INT_L_X26Y4 TILE_TYPE INT_L TILEPROP INT_L_X26Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y4 TILE_X 21432 TILEPROP INT_L_X26Y4 TILE_Y -226872 TILEPROP INT_L_X26Y4 TYPE INT_L TILEPROP INT_L_X26Y5 CLASS tile TILEPROP INT_L_X26Y5 COLUMN 68 TILEPROP INT_L_X26Y5 DEVICE_ID 0 TILEPROP INT_L_X26Y5 FIRST_SITE_ID 15242 TILEPROP INT_L_X26Y5 GRID_POINT_X 68 TILEPROP INT_L_X26Y5 GRID_POINT_Y 150 TILEPROP INT_L_X26Y5 INDEX 17318 TILEPROP INT_L_X26Y5 INT_TILE_X 26 TILEPROP INT_L_X26Y5 INT_TILE_Y 144 TILEPROP INT_L_X26Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y5 IS_DCM_TILE 0 TILEPROP INT_L_X26Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y5 NAME INT_L_X26Y5 TILEPROP INT_L_X26Y5 NUM_ARCS 3737 TILEPROP INT_L_X26Y5 NUM_SITES 1 TILEPROP INT_L_X26Y5 ROW 150 TILEPROP INT_L_X26Y5 SLR_REGION_ID 0 TILEPROP INT_L_X26Y5 TILE_PATTERN_IDX 8600 TILEPROP INT_L_X26Y5 TILE_TYPE INT_L TILEPROP INT_L_X26Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y5 TILE_X 21432 TILEPROP INT_L_X26Y5 TILE_Y -223672 TILEPROP INT_L_X26Y5 TYPE INT_L TILEPROP INT_L_X26Y6 CLASS tile TILEPROP INT_L_X26Y6 COLUMN 68 TILEPROP INT_L_X26Y6 DEVICE_ID 0 TILEPROP INT_L_X26Y6 FIRST_SITE_ID 15136 TILEPROP INT_L_X26Y6 GRID_POINT_X 68 TILEPROP INT_L_X26Y6 GRID_POINT_Y 149 TILEPROP INT_L_X26Y6 INDEX 17203 TILEPROP INT_L_X26Y6 INT_TILE_X 26 TILEPROP INT_L_X26Y6 INT_TILE_Y 143 TILEPROP INT_L_X26Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y6 IS_DCM_TILE 0 TILEPROP INT_L_X26Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y6 NAME INT_L_X26Y6 TILEPROP INT_L_X26Y6 NUM_ARCS 3737 TILEPROP INT_L_X26Y6 NUM_SITES 1 TILEPROP INT_L_X26Y6 ROW 149 TILEPROP INT_L_X26Y6 SLR_REGION_ID 0 TILEPROP INT_L_X26Y6 TILE_PATTERN_IDX 8570 TILEPROP INT_L_X26Y6 TILE_TYPE INT_L TILEPROP INT_L_X26Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y6 TILE_X 21432 TILEPROP INT_L_X26Y6 TILE_Y -220472 TILEPROP INT_L_X26Y6 TYPE INT_L TILEPROP INT_L_X26Y7 CLASS tile TILEPROP INT_L_X26Y7 COLUMN 68 TILEPROP INT_L_X26Y7 DEVICE_ID 0 TILEPROP INT_L_X26Y7 FIRST_SITE_ID 15034 TILEPROP INT_L_X26Y7 GRID_POINT_X 68 TILEPROP INT_L_X26Y7 GRID_POINT_Y 148 TILEPROP INT_L_X26Y7 INDEX 17088 TILEPROP INT_L_X26Y7 INT_TILE_X 26 TILEPROP INT_L_X26Y7 INT_TILE_Y 142 TILEPROP INT_L_X26Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y7 IS_DCM_TILE 0 TILEPROP INT_L_X26Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y7 NAME INT_L_X26Y7 TILEPROP INT_L_X26Y7 NUM_ARCS 3737 TILEPROP INT_L_X26Y7 NUM_SITES 1 TILEPROP INT_L_X26Y7 ROW 148 TILEPROP INT_L_X26Y7 SLR_REGION_ID 0 TILEPROP INT_L_X26Y7 TILE_PATTERN_IDX 8539 TILEPROP INT_L_X26Y7 TILE_TYPE INT_L TILEPROP INT_L_X26Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y7 TILE_X 21432 TILEPROP INT_L_X26Y7 TILE_Y -217272 TILEPROP INT_L_X26Y7 TYPE INT_L TILEPROP INT_L_X26Y8 CLASS tile TILEPROP INT_L_X26Y8 COLUMN 68 TILEPROP INT_L_X26Y8 DEVICE_ID 0 TILEPROP INT_L_X26Y8 FIRST_SITE_ID 14931 TILEPROP INT_L_X26Y8 GRID_POINT_X 68 TILEPROP INT_L_X26Y8 GRID_POINT_Y 147 TILEPROP INT_L_X26Y8 INDEX 16973 TILEPROP INT_L_X26Y8 INT_TILE_X 26 TILEPROP INT_L_X26Y8 INT_TILE_Y 141 TILEPROP INT_L_X26Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y8 IS_DCM_TILE 0 TILEPROP INT_L_X26Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y8 NAME INT_L_X26Y8 TILEPROP INT_L_X26Y8 NUM_ARCS 3737 TILEPROP INT_L_X26Y8 NUM_SITES 1 TILEPROP INT_L_X26Y8 ROW 147 TILEPROP INT_L_X26Y8 SLR_REGION_ID 0 TILEPROP INT_L_X26Y8 TILE_PATTERN_IDX 8509 TILEPROP INT_L_X26Y8 TILE_TYPE INT_L TILEPROP INT_L_X26Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y8 TILE_X 21432 TILEPROP INT_L_X26Y8 TILE_Y -214072 TILEPROP INT_L_X26Y8 TYPE INT_L TILEPROP INT_L_X26Y9 CLASS tile TILEPROP INT_L_X26Y9 COLUMN 68 TILEPROP INT_L_X26Y9 DEVICE_ID 0 TILEPROP INT_L_X26Y9 FIRST_SITE_ID 14830 TILEPROP INT_L_X26Y9 GRID_POINT_X 68 TILEPROP INT_L_X26Y9 GRID_POINT_Y 146 TILEPROP INT_L_X26Y9 INDEX 16858 TILEPROP INT_L_X26Y9 INT_TILE_X 26 TILEPROP INT_L_X26Y9 INT_TILE_Y 140 TILEPROP INT_L_X26Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y9 IS_DCM_TILE 0 TILEPROP INT_L_X26Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y9 NAME INT_L_X26Y9 TILEPROP INT_L_X26Y9 NUM_ARCS 3737 TILEPROP INT_L_X26Y9 NUM_SITES 1 TILEPROP INT_L_X26Y9 ROW 146 TILEPROP INT_L_X26Y9 SLR_REGION_ID 0 TILEPROP INT_L_X26Y9 TILE_PATTERN_IDX 8478 TILEPROP INT_L_X26Y9 TILE_TYPE INT_L TILEPROP INT_L_X26Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y9 TILE_X 21432 TILEPROP INT_L_X26Y9 TILE_Y -210872 TILEPROP INT_L_X26Y9 TYPE INT_L TILEPROP INT_L_X26Y10 CLASS tile TILEPROP INT_L_X26Y10 COLUMN 68 TILEPROP INT_L_X26Y10 DEVICE_ID 0 TILEPROP INT_L_X26Y10 FIRST_SITE_ID 14721 TILEPROP INT_L_X26Y10 GRID_POINT_X 68 TILEPROP INT_L_X26Y10 GRID_POINT_Y 145 TILEPROP INT_L_X26Y10 INDEX 16743 TILEPROP INT_L_X26Y10 INT_TILE_X 26 TILEPROP INT_L_X26Y10 INT_TILE_Y 139 TILEPROP INT_L_X26Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y10 IS_DCM_TILE 0 TILEPROP INT_L_X26Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y10 NAME INT_L_X26Y10 TILEPROP INT_L_X26Y10 NUM_ARCS 3737 TILEPROP INT_L_X26Y10 NUM_SITES 1 TILEPROP INT_L_X26Y10 ROW 145 TILEPROP INT_L_X26Y10 SLR_REGION_ID 0 TILEPROP INT_L_X26Y10 TILE_PATTERN_IDX 8448 TILEPROP INT_L_X26Y10 TILE_TYPE INT_L TILEPROP INT_L_X26Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y10 TILE_X 21432 TILEPROP INT_L_X26Y10 TILE_Y -207672 TILEPROP INT_L_X26Y10 TYPE INT_L TILEPROP INT_L_X26Y11 CLASS tile TILEPROP INT_L_X26Y11 COLUMN 68 TILEPROP INT_L_X26Y11 DEVICE_ID 0 TILEPROP INT_L_X26Y11 FIRST_SITE_ID 14615 TILEPROP INT_L_X26Y11 GRID_POINT_X 68 TILEPROP INT_L_X26Y11 GRID_POINT_Y 144 TILEPROP INT_L_X26Y11 INDEX 16628 TILEPROP INT_L_X26Y11 INT_TILE_X 26 TILEPROP INT_L_X26Y11 INT_TILE_Y 138 TILEPROP INT_L_X26Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y11 IS_DCM_TILE 0 TILEPROP INT_L_X26Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y11 NAME INT_L_X26Y11 TILEPROP INT_L_X26Y11 NUM_ARCS 3737 TILEPROP INT_L_X26Y11 NUM_SITES 1 TILEPROP INT_L_X26Y11 ROW 144 TILEPROP INT_L_X26Y11 SLR_REGION_ID 0 TILEPROP INT_L_X26Y11 TILE_PATTERN_IDX 8417 TILEPROP INT_L_X26Y11 TILE_TYPE INT_L TILEPROP INT_L_X26Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y11 TILE_X 21432 TILEPROP INT_L_X26Y11 TILE_Y -204472 TILEPROP INT_L_X26Y11 TYPE INT_L TILEPROP INT_L_X26Y12 CLASS tile TILEPROP INT_L_X26Y12 COLUMN 68 TILEPROP INT_L_X26Y12 DEVICE_ID 0 TILEPROP INT_L_X26Y12 FIRST_SITE_ID 14515 TILEPROP INT_L_X26Y12 GRID_POINT_X 68 TILEPROP INT_L_X26Y12 GRID_POINT_Y 143 TILEPROP INT_L_X26Y12 INDEX 16513 TILEPROP INT_L_X26Y12 INT_TILE_X 26 TILEPROP INT_L_X26Y12 INT_TILE_Y 137 TILEPROP INT_L_X26Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y12 IS_DCM_TILE 0 TILEPROP INT_L_X26Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y12 NAME INT_L_X26Y12 TILEPROP INT_L_X26Y12 NUM_ARCS 3737 TILEPROP INT_L_X26Y12 NUM_SITES 1 TILEPROP INT_L_X26Y12 ROW 143 TILEPROP INT_L_X26Y12 SLR_REGION_ID 0 TILEPROP INT_L_X26Y12 TILE_PATTERN_IDX 8387 TILEPROP INT_L_X26Y12 TILE_TYPE INT_L TILEPROP INT_L_X26Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y12 TILE_X 21432 TILEPROP INT_L_X26Y12 TILE_Y -201272 TILEPROP INT_L_X26Y12 TYPE INT_L TILEPROP INT_L_X26Y13 CLASS tile TILEPROP INT_L_X26Y13 COLUMN 68 TILEPROP INT_L_X26Y13 DEVICE_ID 0 TILEPROP INT_L_X26Y13 FIRST_SITE_ID 14383 TILEPROP INT_L_X26Y13 GRID_POINT_X 68 TILEPROP INT_L_X26Y13 GRID_POINT_Y 142 TILEPROP INT_L_X26Y13 INDEX 16398 TILEPROP INT_L_X26Y13 INT_TILE_X 26 TILEPROP INT_L_X26Y13 INT_TILE_Y 136 TILEPROP INT_L_X26Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y13 IS_DCM_TILE 0 TILEPROP INT_L_X26Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y13 NAME INT_L_X26Y13 TILEPROP INT_L_X26Y13 NUM_ARCS 3737 TILEPROP INT_L_X26Y13 NUM_SITES 1 TILEPROP INT_L_X26Y13 ROW 142 TILEPROP INT_L_X26Y13 SLR_REGION_ID 0 TILEPROP INT_L_X26Y13 TILE_PATTERN_IDX 8356 TILEPROP INT_L_X26Y13 TILE_TYPE INT_L TILEPROP INT_L_X26Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y13 TILE_X 21432 TILEPROP INT_L_X26Y13 TILE_Y -198072 TILEPROP INT_L_X26Y13 TYPE INT_L TILEPROP INT_L_X26Y14 CLASS tile TILEPROP INT_L_X26Y14 COLUMN 68 TILEPROP INT_L_X26Y14 DEVICE_ID 0 TILEPROP INT_L_X26Y14 FIRST_SITE_ID 14283 TILEPROP INT_L_X26Y14 GRID_POINT_X 68 TILEPROP INT_L_X26Y14 GRID_POINT_Y 141 TILEPROP INT_L_X26Y14 INDEX 16283 TILEPROP INT_L_X26Y14 INT_TILE_X 26 TILEPROP INT_L_X26Y14 INT_TILE_Y 135 TILEPROP INT_L_X26Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y14 IS_DCM_TILE 0 TILEPROP INT_L_X26Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y14 NAME INT_L_X26Y14 TILEPROP INT_L_X26Y14 NUM_ARCS 3737 TILEPROP INT_L_X26Y14 NUM_SITES 1 TILEPROP INT_L_X26Y14 ROW 141 TILEPROP INT_L_X26Y14 SLR_REGION_ID 0 TILEPROP INT_L_X26Y14 TILE_PATTERN_IDX 8326 TILEPROP INT_L_X26Y14 TILE_TYPE INT_L TILEPROP INT_L_X26Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y14 TILE_X 21432 TILEPROP INT_L_X26Y14 TILE_Y -194872 TILEPROP INT_L_X26Y14 TYPE INT_L TILEPROP INT_L_X26Y15 CLASS tile TILEPROP INT_L_X26Y15 COLUMN 68 TILEPROP INT_L_X26Y15 DEVICE_ID 0 TILEPROP INT_L_X26Y15 FIRST_SITE_ID 14174 TILEPROP INT_L_X26Y15 GRID_POINT_X 68 TILEPROP INT_L_X26Y15 GRID_POINT_Y 140 TILEPROP INT_L_X26Y15 INDEX 16168 TILEPROP INT_L_X26Y15 INT_TILE_X 26 TILEPROP INT_L_X26Y15 INT_TILE_Y 134 TILEPROP INT_L_X26Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y15 IS_DCM_TILE 0 TILEPROP INT_L_X26Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y15 NAME INT_L_X26Y15 TILEPROP INT_L_X26Y15 NUM_ARCS 3737 TILEPROP INT_L_X26Y15 NUM_SITES 1 TILEPROP INT_L_X26Y15 ROW 140 TILEPROP INT_L_X26Y15 SLR_REGION_ID 0 TILEPROP INT_L_X26Y15 TILE_PATTERN_IDX 8295 TILEPROP INT_L_X26Y15 TILE_TYPE INT_L TILEPROP INT_L_X26Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y15 TILE_X 21432 TILEPROP INT_L_X26Y15 TILE_Y -191672 TILEPROP INT_L_X26Y15 TYPE INT_L TILEPROP INT_L_X26Y16 CLASS tile TILEPROP INT_L_X26Y16 COLUMN 68 TILEPROP INT_L_X26Y16 DEVICE_ID 0 TILEPROP INT_L_X26Y16 FIRST_SITE_ID 14068 TILEPROP INT_L_X26Y16 GRID_POINT_X 68 TILEPROP INT_L_X26Y16 GRID_POINT_Y 139 TILEPROP INT_L_X26Y16 INDEX 16053 TILEPROP INT_L_X26Y16 INT_TILE_X 26 TILEPROP INT_L_X26Y16 INT_TILE_Y 133 TILEPROP INT_L_X26Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y16 IS_DCM_TILE 0 TILEPROP INT_L_X26Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y16 NAME INT_L_X26Y16 TILEPROP INT_L_X26Y16 NUM_ARCS 3737 TILEPROP INT_L_X26Y16 NUM_SITES 1 TILEPROP INT_L_X26Y16 ROW 139 TILEPROP INT_L_X26Y16 SLR_REGION_ID 0 TILEPROP INT_L_X26Y16 TILE_PATTERN_IDX 8265 TILEPROP INT_L_X26Y16 TILE_TYPE INT_L TILEPROP INT_L_X26Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y16 TILE_X 21432 TILEPROP INT_L_X26Y16 TILE_Y -188472 TILEPROP INT_L_X26Y16 TYPE INT_L TILEPROP INT_L_X26Y17 CLASS tile TILEPROP INT_L_X26Y17 COLUMN 68 TILEPROP INT_L_X26Y17 DEVICE_ID 0 TILEPROP INT_L_X26Y17 FIRST_SITE_ID 13964 TILEPROP INT_L_X26Y17 GRID_POINT_X 68 TILEPROP INT_L_X26Y17 GRID_POINT_Y 138 TILEPROP INT_L_X26Y17 INDEX 15938 TILEPROP INT_L_X26Y17 INT_TILE_X 26 TILEPROP INT_L_X26Y17 INT_TILE_Y 132 TILEPROP INT_L_X26Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y17 IS_DCM_TILE 0 TILEPROP INT_L_X26Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y17 NAME INT_L_X26Y17 TILEPROP INT_L_X26Y17 NUM_ARCS 3737 TILEPROP INT_L_X26Y17 NUM_SITES 1 TILEPROP INT_L_X26Y17 ROW 138 TILEPROP INT_L_X26Y17 SLR_REGION_ID 0 TILEPROP INT_L_X26Y17 TILE_PATTERN_IDX 8233 TILEPROP INT_L_X26Y17 TILE_TYPE INT_L TILEPROP INT_L_X26Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y17 TILE_X 21432 TILEPROP INT_L_X26Y17 TILE_Y -185272 TILEPROP INT_L_X26Y17 TYPE INT_L TILEPROP INT_L_X26Y18 CLASS tile TILEPROP INT_L_X26Y18 COLUMN 68 TILEPROP INT_L_X26Y18 DEVICE_ID 0 TILEPROP INT_L_X26Y18 FIRST_SITE_ID 13859 TILEPROP INT_L_X26Y18 GRID_POINT_X 68 TILEPROP INT_L_X26Y18 GRID_POINT_Y 137 TILEPROP INT_L_X26Y18 INDEX 15823 TILEPROP INT_L_X26Y18 INT_TILE_X 26 TILEPROP INT_L_X26Y18 INT_TILE_Y 131 TILEPROP INT_L_X26Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y18 IS_DCM_TILE 0 TILEPROP INT_L_X26Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y18 NAME INT_L_X26Y18 TILEPROP INT_L_X26Y18 NUM_ARCS 3737 TILEPROP INT_L_X26Y18 NUM_SITES 1 TILEPROP INT_L_X26Y18 ROW 137 TILEPROP INT_L_X26Y18 SLR_REGION_ID 0 TILEPROP INT_L_X26Y18 TILE_PATTERN_IDX 8210 TILEPROP INT_L_X26Y18 TILE_TYPE INT_L TILEPROP INT_L_X26Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y18 TILE_X 21432 TILEPROP INT_L_X26Y18 TILE_Y -182072 TILEPROP INT_L_X26Y18 TYPE INT_L TILEPROP INT_L_X26Y19 CLASS tile TILEPROP INT_L_X26Y19 COLUMN 68 TILEPROP INT_L_X26Y19 DEVICE_ID 0 TILEPROP INT_L_X26Y19 FIRST_SITE_ID 13757 TILEPROP INT_L_X26Y19 GRID_POINT_X 68 TILEPROP INT_L_X26Y19 GRID_POINT_Y 136 TILEPROP INT_L_X26Y19 INDEX 15708 TILEPROP INT_L_X26Y19 INT_TILE_X 26 TILEPROP INT_L_X26Y19 INT_TILE_Y 130 TILEPROP INT_L_X26Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y19 IS_DCM_TILE 0 TILEPROP INT_L_X26Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y19 NAME INT_L_X26Y19 TILEPROP INT_L_X26Y19 NUM_ARCS 3737 TILEPROP INT_L_X26Y19 NUM_SITES 1 TILEPROP INT_L_X26Y19 ROW 136 TILEPROP INT_L_X26Y19 SLR_REGION_ID 0 TILEPROP INT_L_X26Y19 TILE_PATTERN_IDX 8187 TILEPROP INT_L_X26Y19 TILE_TYPE INT_L TILEPROP INT_L_X26Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y19 TILE_X 21432 TILEPROP INT_L_X26Y19 TILE_Y -178872 TILEPROP INT_L_X26Y19 TYPE INT_L TILEPROP INT_L_X26Y20 CLASS tile TILEPROP INT_L_X26Y20 COLUMN 68 TILEPROP INT_L_X26Y20 DEVICE_ID 0 TILEPROP INT_L_X26Y20 FIRST_SITE_ID 13646 TILEPROP INT_L_X26Y20 GRID_POINT_X 68 TILEPROP INT_L_X26Y20 GRID_POINT_Y 135 TILEPROP INT_L_X26Y20 INDEX 15593 TILEPROP INT_L_X26Y20 INT_TILE_X 26 TILEPROP INT_L_X26Y20 INT_TILE_Y 129 TILEPROP INT_L_X26Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y20 IS_DCM_TILE 0 TILEPROP INT_L_X26Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y20 NAME INT_L_X26Y20 TILEPROP INT_L_X26Y20 NUM_ARCS 3737 TILEPROP INT_L_X26Y20 NUM_SITES 1 TILEPROP INT_L_X26Y20 ROW 135 TILEPROP INT_L_X26Y20 SLR_REGION_ID 0 TILEPROP INT_L_X26Y20 TILE_PATTERN_IDX 8165 TILEPROP INT_L_X26Y20 TILE_TYPE INT_L TILEPROP INT_L_X26Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y20 TILE_X 21432 TILEPROP INT_L_X26Y20 TILE_Y -175672 TILEPROP INT_L_X26Y20 TYPE INT_L TILEPROP INT_L_X26Y21 CLASS tile TILEPROP INT_L_X26Y21 COLUMN 68 TILEPROP INT_L_X26Y21 DEVICE_ID 0 TILEPROP INT_L_X26Y21 FIRST_SITE_ID 13540 TILEPROP INT_L_X26Y21 GRID_POINT_X 68 TILEPROP INT_L_X26Y21 GRID_POINT_Y 134 TILEPROP INT_L_X26Y21 INDEX 15478 TILEPROP INT_L_X26Y21 INT_TILE_X 26 TILEPROP INT_L_X26Y21 INT_TILE_Y 128 TILEPROP INT_L_X26Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y21 IS_DCM_TILE 0 TILEPROP INT_L_X26Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y21 NAME INT_L_X26Y21 TILEPROP INT_L_X26Y21 NUM_ARCS 3737 TILEPROP INT_L_X26Y21 NUM_SITES 1 TILEPROP INT_L_X26Y21 ROW 134 TILEPROP INT_L_X26Y21 SLR_REGION_ID 0 TILEPROP INT_L_X26Y21 TILE_PATTERN_IDX 8136 TILEPROP INT_L_X26Y21 TILE_TYPE INT_L TILEPROP INT_L_X26Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y21 TILE_X 21432 TILEPROP INT_L_X26Y21 TILE_Y -172472 TILEPROP INT_L_X26Y21 TYPE INT_L TILEPROP INT_L_X26Y22 CLASS tile TILEPROP INT_L_X26Y22 COLUMN 68 TILEPROP INT_L_X26Y22 DEVICE_ID 0 TILEPROP INT_L_X26Y22 FIRST_SITE_ID 13440 TILEPROP INT_L_X26Y22 GRID_POINT_X 68 TILEPROP INT_L_X26Y22 GRID_POINT_Y 133 TILEPROP INT_L_X26Y22 INDEX 15363 TILEPROP INT_L_X26Y22 INT_TILE_X 26 TILEPROP INT_L_X26Y22 INT_TILE_Y 127 TILEPROP INT_L_X26Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y22 IS_DCM_TILE 0 TILEPROP INT_L_X26Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y22 NAME INT_L_X26Y22 TILEPROP INT_L_X26Y22 NUM_ARCS 3737 TILEPROP INT_L_X26Y22 NUM_SITES 1 TILEPROP INT_L_X26Y22 ROW 133 TILEPROP INT_L_X26Y22 SLR_REGION_ID 0 TILEPROP INT_L_X26Y22 TILE_PATTERN_IDX 8108 TILEPROP INT_L_X26Y22 TILE_TYPE INT_L TILEPROP INT_L_X26Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y22 TILE_X 21432 TILEPROP INT_L_X26Y22 TILE_Y -169272 TILEPROP INT_L_X26Y22 TYPE INT_L TILEPROP INT_L_X26Y23 CLASS tile TILEPROP INT_L_X26Y23 COLUMN 68 TILEPROP INT_L_X26Y23 DEVICE_ID 0 TILEPROP INT_L_X26Y23 FIRST_SITE_ID 13340 TILEPROP INT_L_X26Y23 GRID_POINT_X 68 TILEPROP INT_L_X26Y23 GRID_POINT_Y 132 TILEPROP INT_L_X26Y23 INDEX 15248 TILEPROP INT_L_X26Y23 INT_TILE_X 26 TILEPROP INT_L_X26Y23 INT_TILE_Y 126 TILEPROP INT_L_X26Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y23 IS_DCM_TILE 0 TILEPROP INT_L_X26Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y23 NAME INT_L_X26Y23 TILEPROP INT_L_X26Y23 NUM_ARCS 3737 TILEPROP INT_L_X26Y23 NUM_SITES 1 TILEPROP INT_L_X26Y23 ROW 132 TILEPROP INT_L_X26Y23 SLR_REGION_ID 0 TILEPROP INT_L_X26Y23 TILE_PATTERN_IDX 8079 TILEPROP INT_L_X26Y23 TILE_TYPE INT_L TILEPROP INT_L_X26Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y23 TILE_X 21432 TILEPROP INT_L_X26Y23 TILE_Y -166072 TILEPROP INT_L_X26Y23 TYPE INT_L TILEPROP INT_L_X26Y24 CLASS tile TILEPROP INT_L_X26Y24 COLUMN 68 TILEPROP INT_L_X26Y24 DEVICE_ID 0 TILEPROP INT_L_X26Y24 FIRST_SITE_ID 13240 TILEPROP INT_L_X26Y24 GRID_POINT_X 68 TILEPROP INT_L_X26Y24 GRID_POINT_Y 131 TILEPROP INT_L_X26Y24 INDEX 15133 TILEPROP INT_L_X26Y24 INT_TILE_X 26 TILEPROP INT_L_X26Y24 INT_TILE_Y 125 TILEPROP INT_L_X26Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y24 IS_DCM_TILE 0 TILEPROP INT_L_X26Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y24 NAME INT_L_X26Y24 TILEPROP INT_L_X26Y24 NUM_ARCS 3737 TILEPROP INT_L_X26Y24 NUM_SITES 1 TILEPROP INT_L_X26Y24 ROW 131 TILEPROP INT_L_X26Y24 SLR_REGION_ID 0 TILEPROP INT_L_X26Y24 TILE_PATTERN_IDX 8051 TILEPROP INT_L_X26Y24 TILE_TYPE INT_L TILEPROP INT_L_X26Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y24 TILE_X 21432 TILEPROP INT_L_X26Y24 TILE_Y -162872 TILEPROP INT_L_X26Y24 TYPE INT_L TILEPROP INT_L_X26Y25 CLASS tile TILEPROP INT_L_X26Y25 COLUMN 68 TILEPROP INT_L_X26Y25 DEVICE_ID 0 TILEPROP INT_L_X26Y25 FIRST_SITE_ID 13048 TILEPROP INT_L_X26Y25 GRID_POINT_X 68 TILEPROP INT_L_X26Y25 GRID_POINT_Y 129 TILEPROP INT_L_X26Y25 INDEX 14903 TILEPROP INT_L_X26Y25 INT_TILE_X 26 TILEPROP INT_L_X26Y25 INT_TILE_Y 124 TILEPROP INT_L_X26Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y25 IS_DCM_TILE 0 TILEPROP INT_L_X26Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y25 NAME INT_L_X26Y25 TILEPROP INT_L_X26Y25 NUM_ARCS 3737 TILEPROP INT_L_X26Y25 NUM_SITES 1 TILEPROP INT_L_X26Y25 ROW 129 TILEPROP INT_L_X26Y25 SLR_REGION_ID 0 TILEPROP INT_L_X26Y25 TILE_PATTERN_IDX 7979 TILEPROP INT_L_X26Y25 TILE_TYPE INT_L TILEPROP INT_L_X26Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y25 TILE_X 21432 TILEPROP INT_L_X26Y25 TILE_Y -158648 TILEPROP INT_L_X26Y25 TYPE INT_L TILEPROP INT_L_X26Y26 CLASS tile TILEPROP INT_L_X26Y26 COLUMN 68 TILEPROP INT_L_X26Y26 DEVICE_ID 0 TILEPROP INT_L_X26Y26 FIRST_SITE_ID 12942 TILEPROP INT_L_X26Y26 GRID_POINT_X 68 TILEPROP INT_L_X26Y26 GRID_POINT_Y 128 TILEPROP INT_L_X26Y26 INDEX 14788 TILEPROP INT_L_X26Y26 INT_TILE_X 26 TILEPROP INT_L_X26Y26 INT_TILE_Y 123 TILEPROP INT_L_X26Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y26 IS_DCM_TILE 0 TILEPROP INT_L_X26Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y26 NAME INT_L_X26Y26 TILEPROP INT_L_X26Y26 NUM_ARCS 3737 TILEPROP INT_L_X26Y26 NUM_SITES 1 TILEPROP INT_L_X26Y26 ROW 128 TILEPROP INT_L_X26Y26 SLR_REGION_ID 0 TILEPROP INT_L_X26Y26 TILE_PATTERN_IDX 7951 TILEPROP INT_L_X26Y26 TILE_TYPE INT_L TILEPROP INT_L_X26Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y26 TILE_X 21432 TILEPROP INT_L_X26Y26 TILE_Y -155448 TILEPROP INT_L_X26Y26 TYPE INT_L TILEPROP INT_L_X26Y27 CLASS tile TILEPROP INT_L_X26Y27 COLUMN 68 TILEPROP INT_L_X26Y27 DEVICE_ID 0 TILEPROP INT_L_X26Y27 FIRST_SITE_ID 12842 TILEPROP INT_L_X26Y27 GRID_POINT_X 68 TILEPROP INT_L_X26Y27 GRID_POINT_Y 127 TILEPROP INT_L_X26Y27 INDEX 14673 TILEPROP INT_L_X26Y27 INT_TILE_X 26 TILEPROP INT_L_X26Y27 INT_TILE_Y 122 TILEPROP INT_L_X26Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y27 IS_DCM_TILE 0 TILEPROP INT_L_X26Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y27 NAME INT_L_X26Y27 TILEPROP INT_L_X26Y27 NUM_ARCS 3737 TILEPROP INT_L_X26Y27 NUM_SITES 1 TILEPROP INT_L_X26Y27 ROW 127 TILEPROP INT_L_X26Y27 SLR_REGION_ID 0 TILEPROP INT_L_X26Y27 TILE_PATTERN_IDX 7922 TILEPROP INT_L_X26Y27 TILE_TYPE INT_L TILEPROP INT_L_X26Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y27 TILE_X 21432 TILEPROP INT_L_X26Y27 TILE_Y -152248 TILEPROP INT_L_X26Y27 TYPE INT_L TILEPROP INT_L_X26Y28 CLASS tile TILEPROP INT_L_X26Y28 COLUMN 68 TILEPROP INT_L_X26Y28 DEVICE_ID 0 TILEPROP INT_L_X26Y28 FIRST_SITE_ID 12742 TILEPROP INT_L_X26Y28 GRID_POINT_X 68 TILEPROP INT_L_X26Y28 GRID_POINT_Y 126 TILEPROP INT_L_X26Y28 INDEX 14558 TILEPROP INT_L_X26Y28 INT_TILE_X 26 TILEPROP INT_L_X26Y28 INT_TILE_Y 121 TILEPROP INT_L_X26Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y28 IS_DCM_TILE 0 TILEPROP INT_L_X26Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y28 NAME INT_L_X26Y28 TILEPROP INT_L_X26Y28 NUM_ARCS 3737 TILEPROP INT_L_X26Y28 NUM_SITES 1 TILEPROP INT_L_X26Y28 ROW 126 TILEPROP INT_L_X26Y28 SLR_REGION_ID 0 TILEPROP INT_L_X26Y28 TILE_PATTERN_IDX 7894 TILEPROP INT_L_X26Y28 TILE_TYPE INT_L TILEPROP INT_L_X26Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y28 TILE_X 21432 TILEPROP INT_L_X26Y28 TILE_Y -149048 TILEPROP INT_L_X26Y28 TYPE INT_L TILEPROP INT_L_X26Y29 CLASS tile TILEPROP INT_L_X26Y29 COLUMN 68 TILEPROP INT_L_X26Y29 DEVICE_ID 0 TILEPROP INT_L_X26Y29 FIRST_SITE_ID 12636 TILEPROP INT_L_X26Y29 GRID_POINT_X 68 TILEPROP INT_L_X26Y29 GRID_POINT_Y 125 TILEPROP INT_L_X26Y29 INDEX 14443 TILEPROP INT_L_X26Y29 INT_TILE_X 26 TILEPROP INT_L_X26Y29 INT_TILE_Y 120 TILEPROP INT_L_X26Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y29 IS_DCM_TILE 0 TILEPROP INT_L_X26Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y29 NAME INT_L_X26Y29 TILEPROP INT_L_X26Y29 NUM_ARCS 3737 TILEPROP INT_L_X26Y29 NUM_SITES 1 TILEPROP INT_L_X26Y29 ROW 125 TILEPROP INT_L_X26Y29 SLR_REGION_ID 0 TILEPROP INT_L_X26Y29 TILE_PATTERN_IDX 7870 TILEPROP INT_L_X26Y29 TILE_TYPE INT_L TILEPROP INT_L_X26Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y29 TILE_X 21432 TILEPROP INT_L_X26Y29 TILE_Y -145848 TILEPROP INT_L_X26Y29 TYPE INT_L TILEPROP INT_L_X26Y30 CLASS tile TILEPROP INT_L_X26Y30 COLUMN 68 TILEPROP INT_L_X26Y30 DEVICE_ID 0 TILEPROP INT_L_X26Y30 FIRST_SITE_ID 12521 TILEPROP INT_L_X26Y30 GRID_POINT_X 68 TILEPROP INT_L_X26Y30 GRID_POINT_Y 124 TILEPROP INT_L_X26Y30 INDEX 14328 TILEPROP INT_L_X26Y30 INT_TILE_X 26 TILEPROP INT_L_X26Y30 INT_TILE_Y 119 TILEPROP INT_L_X26Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y30 IS_DCM_TILE 0 TILEPROP INT_L_X26Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y30 NAME INT_L_X26Y30 TILEPROP INT_L_X26Y30 NUM_ARCS 3737 TILEPROP INT_L_X26Y30 NUM_SITES 1 TILEPROP INT_L_X26Y30 ROW 124 TILEPROP INT_L_X26Y30 SLR_REGION_ID 0 TILEPROP INT_L_X26Y30 TILE_PATTERN_IDX 7847 TILEPROP INT_L_X26Y30 TILE_TYPE INT_L TILEPROP INT_L_X26Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y30 TILE_X 21432 TILEPROP INT_L_X26Y30 TILE_Y -142648 TILEPROP INT_L_X26Y30 TYPE INT_L TILEPROP INT_L_X26Y31 CLASS tile TILEPROP INT_L_X26Y31 COLUMN 68 TILEPROP INT_L_X26Y31 DEVICE_ID 0 TILEPROP INT_L_X26Y31 FIRST_SITE_ID 12400 TILEPROP INT_L_X26Y31 GRID_POINT_X 68 TILEPROP INT_L_X26Y31 GRID_POINT_Y 123 TILEPROP INT_L_X26Y31 INDEX 14213 TILEPROP INT_L_X26Y31 INT_TILE_X 26 TILEPROP INT_L_X26Y31 INT_TILE_Y 118 TILEPROP INT_L_X26Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y31 IS_DCM_TILE 0 TILEPROP INT_L_X26Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y31 NAME INT_L_X26Y31 TILEPROP INT_L_X26Y31 NUM_ARCS 3737 TILEPROP INT_L_X26Y31 NUM_SITES 1 TILEPROP INT_L_X26Y31 ROW 123 TILEPROP INT_L_X26Y31 SLR_REGION_ID 0 TILEPROP INT_L_X26Y31 TILE_PATTERN_IDX 7824 TILEPROP INT_L_X26Y31 TILE_TYPE INT_L TILEPROP INT_L_X26Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y31 TILE_X 21432 TILEPROP INT_L_X26Y31 TILE_Y -139448 TILEPROP INT_L_X26Y31 TYPE INT_L TILEPROP INT_L_X26Y32 CLASS tile TILEPROP INT_L_X26Y32 COLUMN 68 TILEPROP INT_L_X26Y32 DEVICE_ID 0 TILEPROP INT_L_X26Y32 FIRST_SITE_ID 12298 TILEPROP INT_L_X26Y32 GRID_POINT_X 68 TILEPROP INT_L_X26Y32 GRID_POINT_Y 122 TILEPROP INT_L_X26Y32 INDEX 14098 TILEPROP INT_L_X26Y32 INT_TILE_X 26 TILEPROP INT_L_X26Y32 INT_TILE_Y 117 TILEPROP INT_L_X26Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y32 IS_DCM_TILE 0 TILEPROP INT_L_X26Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y32 NAME INT_L_X26Y32 TILEPROP INT_L_X26Y32 NUM_ARCS 3737 TILEPROP INT_L_X26Y32 NUM_SITES 1 TILEPROP INT_L_X26Y32 ROW 122 TILEPROP INT_L_X26Y32 SLR_REGION_ID 0 TILEPROP INT_L_X26Y32 TILE_PATTERN_IDX 7790 TILEPROP INT_L_X26Y32 TILE_TYPE INT_L TILEPROP INT_L_X26Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y32 TILE_X 21432 TILEPROP INT_L_X26Y32 TILE_Y -136248 TILEPROP INT_L_X26Y32 TYPE INT_L TILEPROP INT_L_X26Y33 CLASS tile TILEPROP INT_L_X26Y33 COLUMN 68 TILEPROP INT_L_X26Y33 DEVICE_ID 0 TILEPROP INT_L_X26Y33 FIRST_SITE_ID 12197 TILEPROP INT_L_X26Y33 GRID_POINT_X 68 TILEPROP INT_L_X26Y33 GRID_POINT_Y 121 TILEPROP INT_L_X26Y33 INDEX 13983 TILEPROP INT_L_X26Y33 INT_TILE_X 26 TILEPROP INT_L_X26Y33 INT_TILE_Y 116 TILEPROP INT_L_X26Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y33 IS_DCM_TILE 0 TILEPROP INT_L_X26Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y33 NAME INT_L_X26Y33 TILEPROP INT_L_X26Y33 NUM_ARCS 3737 TILEPROP INT_L_X26Y33 NUM_SITES 1 TILEPROP INT_L_X26Y33 ROW 121 TILEPROP INT_L_X26Y33 SLR_REGION_ID 0 TILEPROP INT_L_X26Y33 TILE_PATTERN_IDX 7761 TILEPROP INT_L_X26Y33 TILE_TYPE INT_L TILEPROP INT_L_X26Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y33 TILE_X 21432 TILEPROP INT_L_X26Y33 TILE_Y -133048 TILEPROP INT_L_X26Y33 TYPE INT_L TILEPROP INT_L_X26Y34 CLASS tile TILEPROP INT_L_X26Y34 COLUMN 68 TILEPROP INT_L_X26Y34 DEVICE_ID 0 TILEPROP INT_L_X26Y34 FIRST_SITE_ID 12097 TILEPROP INT_L_X26Y34 GRID_POINT_X 68 TILEPROP INT_L_X26Y34 GRID_POINT_Y 120 TILEPROP INT_L_X26Y34 INDEX 13868 TILEPROP INT_L_X26Y34 INT_TILE_X 26 TILEPROP INT_L_X26Y34 INT_TILE_Y 115 TILEPROP INT_L_X26Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y34 IS_DCM_TILE 0 TILEPROP INT_L_X26Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y34 NAME INT_L_X26Y34 TILEPROP INT_L_X26Y34 NUM_ARCS 3737 TILEPROP INT_L_X26Y34 NUM_SITES 1 TILEPROP INT_L_X26Y34 ROW 120 TILEPROP INT_L_X26Y34 SLR_REGION_ID 0 TILEPROP INT_L_X26Y34 TILE_PATTERN_IDX 7733 TILEPROP INT_L_X26Y34 TILE_TYPE INT_L TILEPROP INT_L_X26Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y34 TILE_X 21432 TILEPROP INT_L_X26Y34 TILE_Y -129848 TILEPROP INT_L_X26Y34 TYPE INT_L TILEPROP INT_L_X26Y35 CLASS tile TILEPROP INT_L_X26Y35 COLUMN 68 TILEPROP INT_L_X26Y35 DEVICE_ID 0 TILEPROP INT_L_X26Y35 FIRST_SITE_ID 11988 TILEPROP INT_L_X26Y35 GRID_POINT_X 68 TILEPROP INT_L_X26Y35 GRID_POINT_Y 119 TILEPROP INT_L_X26Y35 INDEX 13753 TILEPROP INT_L_X26Y35 INT_TILE_X 26 TILEPROP INT_L_X26Y35 INT_TILE_Y 114 TILEPROP INT_L_X26Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y35 IS_DCM_TILE 0 TILEPROP INT_L_X26Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y35 NAME INT_L_X26Y35 TILEPROP INT_L_X26Y35 NUM_ARCS 3737 TILEPROP INT_L_X26Y35 NUM_SITES 1 TILEPROP INT_L_X26Y35 ROW 119 TILEPROP INT_L_X26Y35 SLR_REGION_ID 0 TILEPROP INT_L_X26Y35 TILE_PATTERN_IDX 7704 TILEPROP INT_L_X26Y35 TILE_TYPE INT_L TILEPROP INT_L_X26Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y35 TILE_X 21432 TILEPROP INT_L_X26Y35 TILE_Y -126648 TILEPROP INT_L_X26Y35 TYPE INT_L TILEPROP INT_L_X26Y36 CLASS tile TILEPROP INT_L_X26Y36 COLUMN 68 TILEPROP INT_L_X26Y36 DEVICE_ID 0 TILEPROP INT_L_X26Y36 FIRST_SITE_ID 11882 TILEPROP INT_L_X26Y36 GRID_POINT_X 68 TILEPROP INT_L_X26Y36 GRID_POINT_Y 118 TILEPROP INT_L_X26Y36 INDEX 13638 TILEPROP INT_L_X26Y36 INT_TILE_X 26 TILEPROP INT_L_X26Y36 INT_TILE_Y 113 TILEPROP INT_L_X26Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y36 IS_DCM_TILE 0 TILEPROP INT_L_X26Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y36 NAME INT_L_X26Y36 TILEPROP INT_L_X26Y36 NUM_ARCS 3737 TILEPROP INT_L_X26Y36 NUM_SITES 1 TILEPROP INT_L_X26Y36 ROW 118 TILEPROP INT_L_X26Y36 SLR_REGION_ID 0 TILEPROP INT_L_X26Y36 TILE_PATTERN_IDX 7676 TILEPROP INT_L_X26Y36 TILE_TYPE INT_L TILEPROP INT_L_X26Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y36 TILE_X 21432 TILEPROP INT_L_X26Y36 TILE_Y -123448 TILEPROP INT_L_X26Y36 TYPE INT_L TILEPROP INT_L_X26Y37 CLASS tile TILEPROP INT_L_X26Y37 COLUMN 68 TILEPROP INT_L_X26Y37 DEVICE_ID 0 TILEPROP INT_L_X26Y37 FIRST_SITE_ID 11750 TILEPROP INT_L_X26Y37 GRID_POINT_X 68 TILEPROP INT_L_X26Y37 GRID_POINT_Y 117 TILEPROP INT_L_X26Y37 INDEX 13523 TILEPROP INT_L_X26Y37 INT_TILE_X 26 TILEPROP INT_L_X26Y37 INT_TILE_Y 112 TILEPROP INT_L_X26Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y37 IS_DCM_TILE 0 TILEPROP INT_L_X26Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y37 NAME INT_L_X26Y37 TILEPROP INT_L_X26Y37 NUM_ARCS 3737 TILEPROP INT_L_X26Y37 NUM_SITES 1 TILEPROP INT_L_X26Y37 ROW 117 TILEPROP INT_L_X26Y37 SLR_REGION_ID 0 TILEPROP INT_L_X26Y37 TILE_PATTERN_IDX 7648 TILEPROP INT_L_X26Y37 TILE_TYPE INT_L TILEPROP INT_L_X26Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y37 TILE_X 21432 TILEPROP INT_L_X26Y37 TILE_Y -120248 TILEPROP INT_L_X26Y37 TYPE INT_L TILEPROP INT_L_X26Y38 CLASS tile TILEPROP INT_L_X26Y38 COLUMN 68 TILEPROP INT_L_X26Y38 DEVICE_ID 0 TILEPROP INT_L_X26Y38 FIRST_SITE_ID 11650 TILEPROP INT_L_X26Y38 GRID_POINT_X 68 TILEPROP INT_L_X26Y38 GRID_POINT_Y 116 TILEPROP INT_L_X26Y38 INDEX 13408 TILEPROP INT_L_X26Y38 INT_TILE_X 26 TILEPROP INT_L_X26Y38 INT_TILE_Y 111 TILEPROP INT_L_X26Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y38 IS_DCM_TILE 0 TILEPROP INT_L_X26Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y38 NAME INT_L_X26Y38 TILEPROP INT_L_X26Y38 NUM_ARCS 3737 TILEPROP INT_L_X26Y38 NUM_SITES 1 TILEPROP INT_L_X26Y38 ROW 116 TILEPROP INT_L_X26Y38 SLR_REGION_ID 0 TILEPROP INT_L_X26Y38 TILE_PATTERN_IDX 7620 TILEPROP INT_L_X26Y38 TILE_TYPE INT_L TILEPROP INT_L_X26Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y38 TILE_X 21432 TILEPROP INT_L_X26Y38 TILE_Y -117048 TILEPROP INT_L_X26Y38 TYPE INT_L TILEPROP INT_L_X26Y39 CLASS tile TILEPROP INT_L_X26Y39 COLUMN 68 TILEPROP INT_L_X26Y39 DEVICE_ID 0 TILEPROP INT_L_X26Y39 FIRST_SITE_ID 11550 TILEPROP INT_L_X26Y39 GRID_POINT_X 68 TILEPROP INT_L_X26Y39 GRID_POINT_Y 115 TILEPROP INT_L_X26Y39 INDEX 13293 TILEPROP INT_L_X26Y39 INT_TILE_X 26 TILEPROP INT_L_X26Y39 INT_TILE_Y 110 TILEPROP INT_L_X26Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y39 IS_DCM_TILE 0 TILEPROP INT_L_X26Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y39 NAME INT_L_X26Y39 TILEPROP INT_L_X26Y39 NUM_ARCS 3737 TILEPROP INT_L_X26Y39 NUM_SITES 1 TILEPROP INT_L_X26Y39 ROW 115 TILEPROP INT_L_X26Y39 SLR_REGION_ID 0 TILEPROP INT_L_X26Y39 TILE_PATTERN_IDX 7591 TILEPROP INT_L_X26Y39 TILE_TYPE INT_L TILEPROP INT_L_X26Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y39 TILE_X 21432 TILEPROP INT_L_X26Y39 TILE_Y -113848 TILEPROP INT_L_X26Y39 TYPE INT_L TILEPROP INT_L_X26Y40 CLASS tile TILEPROP INT_L_X26Y40 COLUMN 68 TILEPROP INT_L_X26Y40 DEVICE_ID 0 TILEPROP INT_L_X26Y40 FIRST_SITE_ID 11441 TILEPROP INT_L_X26Y40 GRID_POINT_X 68 TILEPROP INT_L_X26Y40 GRID_POINT_Y 114 TILEPROP INT_L_X26Y40 INDEX 13178 TILEPROP INT_L_X26Y40 INT_TILE_X 26 TILEPROP INT_L_X26Y40 INT_TILE_Y 109 TILEPROP INT_L_X26Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y40 IS_DCM_TILE 0 TILEPROP INT_L_X26Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y40 NAME INT_L_X26Y40 TILEPROP INT_L_X26Y40 NUM_ARCS 3737 TILEPROP INT_L_X26Y40 NUM_SITES 1 TILEPROP INT_L_X26Y40 ROW 114 TILEPROP INT_L_X26Y40 SLR_REGION_ID 0 TILEPROP INT_L_X26Y40 TILE_PATTERN_IDX 7562 TILEPROP INT_L_X26Y40 TILE_TYPE INT_L TILEPROP INT_L_X26Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y40 TILE_X 21432 TILEPROP INT_L_X26Y40 TILE_Y -110648 TILEPROP INT_L_X26Y40 TYPE INT_L TILEPROP INT_L_X26Y41 CLASS tile TILEPROP INT_L_X26Y41 COLUMN 68 TILEPROP INT_L_X26Y41 DEVICE_ID 0 TILEPROP INT_L_X26Y41 FIRST_SITE_ID 11333 TILEPROP INT_L_X26Y41 GRID_POINT_X 68 TILEPROP INT_L_X26Y41 GRID_POINT_Y 113 TILEPROP INT_L_X26Y41 INDEX 13063 TILEPROP INT_L_X26Y41 INT_TILE_X 26 TILEPROP INT_L_X26Y41 INT_TILE_Y 108 TILEPROP INT_L_X26Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y41 IS_DCM_TILE 0 TILEPROP INT_L_X26Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y41 NAME INT_L_X26Y41 TILEPROP INT_L_X26Y41 NUM_ARCS 3737 TILEPROP INT_L_X26Y41 NUM_SITES 1 TILEPROP INT_L_X26Y41 ROW 113 TILEPROP INT_L_X26Y41 SLR_REGION_ID 0 TILEPROP INT_L_X26Y41 TILE_PATTERN_IDX 7527 TILEPROP INT_L_X26Y41 TILE_TYPE INT_L TILEPROP INT_L_X26Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y41 TILE_X 21432 TILEPROP INT_L_X26Y41 TILE_Y -107448 TILEPROP INT_L_X26Y41 TYPE INT_L TILEPROP INT_L_X26Y42 CLASS tile TILEPROP INT_L_X26Y42 COLUMN 68 TILEPROP INT_L_X26Y42 DEVICE_ID 0 TILEPROP INT_L_X26Y42 FIRST_SITE_ID 11231 TILEPROP INT_L_X26Y42 GRID_POINT_X 68 TILEPROP INT_L_X26Y42 GRID_POINT_Y 112 TILEPROP INT_L_X26Y42 INDEX 12948 TILEPROP INT_L_X26Y42 INT_TILE_X 26 TILEPROP INT_L_X26Y42 INT_TILE_Y 107 TILEPROP INT_L_X26Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y42 IS_DCM_TILE 0 TILEPROP INT_L_X26Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y42 NAME INT_L_X26Y42 TILEPROP INT_L_X26Y42 NUM_ARCS 3737 TILEPROP INT_L_X26Y42 NUM_SITES 1 TILEPROP INT_L_X26Y42 ROW 112 TILEPROP INT_L_X26Y42 SLR_REGION_ID 0 TILEPROP INT_L_X26Y42 TILE_PATTERN_IDX 7498 TILEPROP INT_L_X26Y42 TILE_TYPE INT_L TILEPROP INT_L_X26Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y42 TILE_X 21432 TILEPROP INT_L_X26Y42 TILE_Y -104248 TILEPROP INT_L_X26Y42 TYPE INT_L TILEPROP INT_L_X26Y43 CLASS tile TILEPROP INT_L_X26Y43 COLUMN 68 TILEPROP INT_L_X26Y43 DEVICE_ID 0 TILEPROP INT_L_X26Y43 FIRST_SITE_ID 11128 TILEPROP INT_L_X26Y43 GRID_POINT_X 68 TILEPROP INT_L_X26Y43 GRID_POINT_Y 111 TILEPROP INT_L_X26Y43 INDEX 12833 TILEPROP INT_L_X26Y43 INT_TILE_X 26 TILEPROP INT_L_X26Y43 INT_TILE_Y 106 TILEPROP INT_L_X26Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y43 IS_DCM_TILE 0 TILEPROP INT_L_X26Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y43 NAME INT_L_X26Y43 TILEPROP INT_L_X26Y43 NUM_ARCS 3737 TILEPROP INT_L_X26Y43 NUM_SITES 1 TILEPROP INT_L_X26Y43 ROW 111 TILEPROP INT_L_X26Y43 SLR_REGION_ID 0 TILEPROP INT_L_X26Y43 TILE_PATTERN_IDX 7469 TILEPROP INT_L_X26Y43 TILE_TYPE INT_L TILEPROP INT_L_X26Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y43 TILE_X 21432 TILEPROP INT_L_X26Y43 TILE_Y -101048 TILEPROP INT_L_X26Y43 TYPE INT_L TILEPROP INT_L_X26Y44 CLASS tile TILEPROP INT_L_X26Y44 COLUMN 68 TILEPROP INT_L_X26Y44 DEVICE_ID 0 TILEPROP INT_L_X26Y44 FIRST_SITE_ID 11026 TILEPROP INT_L_X26Y44 GRID_POINT_X 68 TILEPROP INT_L_X26Y44 GRID_POINT_Y 110 TILEPROP INT_L_X26Y44 INDEX 12718 TILEPROP INT_L_X26Y44 INT_TILE_X 26 TILEPROP INT_L_X26Y44 INT_TILE_Y 105 TILEPROP INT_L_X26Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y44 IS_DCM_TILE 0 TILEPROP INT_L_X26Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y44 NAME INT_L_X26Y44 TILEPROP INT_L_X26Y44 NUM_ARCS 3737 TILEPROP INT_L_X26Y44 NUM_SITES 1 TILEPROP INT_L_X26Y44 ROW 110 TILEPROP INT_L_X26Y44 SLR_REGION_ID 0 TILEPROP INT_L_X26Y44 TILE_PATTERN_IDX 7441 TILEPROP INT_L_X26Y44 TILE_TYPE INT_L TILEPROP INT_L_X26Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y44 TILE_X 21432 TILEPROP INT_L_X26Y44 TILE_Y -97848 TILEPROP INT_L_X26Y44 TYPE INT_L TILEPROP INT_L_X26Y45 CLASS tile TILEPROP INT_L_X26Y45 COLUMN 68 TILEPROP INT_L_X26Y45 DEVICE_ID 0 TILEPROP INT_L_X26Y45 FIRST_SITE_ID 10917 TILEPROP INT_L_X26Y45 GRID_POINT_X 68 TILEPROP INT_L_X26Y45 GRID_POINT_Y 109 TILEPROP INT_L_X26Y45 INDEX 12603 TILEPROP INT_L_X26Y45 INT_TILE_X 26 TILEPROP INT_L_X26Y45 INT_TILE_Y 104 TILEPROP INT_L_X26Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y45 IS_DCM_TILE 0 TILEPROP INT_L_X26Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y45 NAME INT_L_X26Y45 TILEPROP INT_L_X26Y45 NUM_ARCS 3737 TILEPROP INT_L_X26Y45 NUM_SITES 1 TILEPROP INT_L_X26Y45 ROW 109 TILEPROP INT_L_X26Y45 SLR_REGION_ID 0 TILEPROP INT_L_X26Y45 TILE_PATTERN_IDX 7405 TILEPROP INT_L_X26Y45 TILE_TYPE INT_L TILEPROP INT_L_X26Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y45 TILE_X 21432 TILEPROP INT_L_X26Y45 TILE_Y -94648 TILEPROP INT_L_X26Y45 TYPE INT_L TILEPROP INT_L_X26Y46 CLASS tile TILEPROP INT_L_X26Y46 COLUMN 68 TILEPROP INT_L_X26Y46 DEVICE_ID 0 TILEPROP INT_L_X26Y46 FIRST_SITE_ID 10810 TILEPROP INT_L_X26Y46 GRID_POINT_X 68 TILEPROP INT_L_X26Y46 GRID_POINT_Y 108 TILEPROP INT_L_X26Y46 INDEX 12488 TILEPROP INT_L_X26Y46 INT_TILE_X 26 TILEPROP INT_L_X26Y46 INT_TILE_Y 103 TILEPROP INT_L_X26Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y46 IS_DCM_TILE 0 TILEPROP INT_L_X26Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y46 NAME INT_L_X26Y46 TILEPROP INT_L_X26Y46 NUM_ARCS 3737 TILEPROP INT_L_X26Y46 NUM_SITES 1 TILEPROP INT_L_X26Y46 ROW 108 TILEPROP INT_L_X26Y46 SLR_REGION_ID 0 TILEPROP INT_L_X26Y46 TILE_PATTERN_IDX 7369 TILEPROP INT_L_X26Y46 TILE_TYPE INT_L TILEPROP INT_L_X26Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y46 TILE_X 21432 TILEPROP INT_L_X26Y46 TILE_Y -91448 TILEPROP INT_L_X26Y46 TYPE INT_L TILEPROP INT_L_X26Y47 CLASS tile TILEPROP INT_L_X26Y47 COLUMN 68 TILEPROP INT_L_X26Y47 DEVICE_ID 0 TILEPROP INT_L_X26Y47 FIRST_SITE_ID 10694 TILEPROP INT_L_X26Y47 GRID_POINT_X 68 TILEPROP INT_L_X26Y47 GRID_POINT_Y 107 TILEPROP INT_L_X26Y47 INDEX 12373 TILEPROP INT_L_X26Y47 INT_TILE_X 26 TILEPROP INT_L_X26Y47 INT_TILE_Y 102 TILEPROP INT_L_X26Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y47 IS_DCM_TILE 0 TILEPROP INT_L_X26Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y47 NAME INT_L_X26Y47 TILEPROP INT_L_X26Y47 NUM_ARCS 3737 TILEPROP INT_L_X26Y47 NUM_SITES 1 TILEPROP INT_L_X26Y47 ROW 107 TILEPROP INT_L_X26Y47 SLR_REGION_ID 0 TILEPROP INT_L_X26Y47 TILE_PATTERN_IDX 7332 TILEPROP INT_L_X26Y47 TILE_TYPE INT_L TILEPROP INT_L_X26Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y47 TILE_X 21432 TILEPROP INT_L_X26Y47 TILE_Y -88248 TILEPROP INT_L_X26Y47 TYPE INT_L TILEPROP INT_L_X26Y48 CLASS tile TILEPROP INT_L_X26Y48 COLUMN 68 TILEPROP INT_L_X26Y48 DEVICE_ID 0 TILEPROP INT_L_X26Y48 FIRST_SITE_ID 10594 TILEPROP INT_L_X26Y48 GRID_POINT_X 68 TILEPROP INT_L_X26Y48 GRID_POINT_Y 106 TILEPROP INT_L_X26Y48 INDEX 12258 TILEPROP INT_L_X26Y48 INT_TILE_X 26 TILEPROP INT_L_X26Y48 INT_TILE_Y 101 TILEPROP INT_L_X26Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y48 IS_DCM_TILE 0 TILEPROP INT_L_X26Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y48 NAME INT_L_X26Y48 TILEPROP INT_L_X26Y48 NUM_ARCS 3737 TILEPROP INT_L_X26Y48 NUM_SITES 1 TILEPROP INT_L_X26Y48 ROW 106 TILEPROP INT_L_X26Y48 SLR_REGION_ID 0 TILEPROP INT_L_X26Y48 TILE_PATTERN_IDX 7296 TILEPROP INT_L_X26Y48 TILE_TYPE INT_L TILEPROP INT_L_X26Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y48 TILE_X 21432 TILEPROP INT_L_X26Y48 TILE_Y -85048 TILEPROP INT_L_X26Y48 TYPE INT_L TILEPROP INT_L_X26Y49 CLASS tile TILEPROP INT_L_X26Y49 COLUMN 68 TILEPROP INT_L_X26Y49 DEVICE_ID 0 TILEPROP INT_L_X26Y49 FIRST_SITE_ID 10498 TILEPROP INT_L_X26Y49 GRID_POINT_X 68 TILEPROP INT_L_X26Y49 GRID_POINT_Y 105 TILEPROP INT_L_X26Y49 INDEX 12143 TILEPROP INT_L_X26Y49 INT_TILE_X 26 TILEPROP INT_L_X26Y49 INT_TILE_Y 100 TILEPROP INT_L_X26Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y49 IS_DCM_TILE 0 TILEPROP INT_L_X26Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y49 NAME INT_L_X26Y49 TILEPROP INT_L_X26Y49 NUM_ARCS 3737 TILEPROP INT_L_X26Y49 NUM_SITES 1 TILEPROP INT_L_X26Y49 ROW 105 TILEPROP INT_L_X26Y49 SLR_REGION_ID 0 TILEPROP INT_L_X26Y49 TILE_PATTERN_IDX 7260 TILEPROP INT_L_X26Y49 TILE_TYPE INT_L TILEPROP INT_L_X26Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y49 TILE_X 21432 TILEPROP INT_L_X26Y49 TILE_Y -81848 TILEPROP INT_L_X26Y49 TYPE INT_L TILEPROP INT_L_X26Y50 CLASS tile TILEPROP INT_L_X26Y50 COLUMN 68 TILEPROP INT_L_X26Y50 DEVICE_ID 0 TILEPROP INT_L_X26Y50 FIRST_SITE_ID 10389 TILEPROP INT_L_X26Y50 GRID_POINT_X 68 TILEPROP INT_L_X26Y50 GRID_POINT_Y 103 TILEPROP INT_L_X26Y50 INDEX 11913 TILEPROP INT_L_X26Y50 INT_TILE_X 26 TILEPROP INT_L_X26Y50 INT_TILE_Y 99 TILEPROP INT_L_X26Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y50 IS_DCM_TILE 0 TILEPROP INT_L_X26Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y50 NAME INT_L_X26Y50 TILEPROP INT_L_X26Y50 NUM_ARCS 3737 TILEPROP INT_L_X26Y50 NUM_SITES 1 TILEPROP INT_L_X26Y50 ROW 103 TILEPROP INT_L_X26Y50 SLR_REGION_ID 0 TILEPROP INT_L_X26Y50 TILE_PATTERN_IDX 7223 TILEPROP INT_L_X26Y50 TILE_TYPE INT_L TILEPROP INT_L_X26Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y50 TILE_X 21432 TILEPROP INT_L_X26Y50 TILE_Y -78400 TILEPROP INT_L_X26Y50 TYPE INT_L TILEPROP INT_L_X26Y51 CLASS tile TILEPROP INT_L_X26Y51 COLUMN 68 TILEPROP INT_L_X26Y51 DEVICE_ID 0 TILEPROP INT_L_X26Y51 FIRST_SITE_ID 10263 TILEPROP INT_L_X26Y51 GRID_POINT_X 68 TILEPROP INT_L_X26Y51 GRID_POINT_Y 102 TILEPROP INT_L_X26Y51 INDEX 11798 TILEPROP INT_L_X26Y51 INT_TILE_X 26 TILEPROP INT_L_X26Y51 INT_TILE_Y 98 TILEPROP INT_L_X26Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y51 IS_DCM_TILE 0 TILEPROP INT_L_X26Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y51 NAME INT_L_X26Y51 TILEPROP INT_L_X26Y51 NUM_ARCS 3737 TILEPROP INT_L_X26Y51 NUM_SITES 1 TILEPROP INT_L_X26Y51 ROW 102 TILEPROP INT_L_X26Y51 SLR_REGION_ID 0 TILEPROP INT_L_X26Y51 TILE_PATTERN_IDX 7186 TILEPROP INT_L_X26Y51 TILE_TYPE INT_L TILEPROP INT_L_X26Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y51 TILE_X 21432 TILEPROP INT_L_X26Y51 TILE_Y -75200 TILEPROP INT_L_X26Y51 TYPE INT_L TILEPROP INT_L_X26Y52 CLASS tile TILEPROP INT_L_X26Y52 COLUMN 68 TILEPROP INT_L_X26Y52 DEVICE_ID 0 TILEPROP INT_L_X26Y52 FIRST_SITE_ID 10163 TILEPROP INT_L_X26Y52 GRID_POINT_X 68 TILEPROP INT_L_X26Y52 GRID_POINT_Y 101 TILEPROP INT_L_X26Y52 INDEX 11683 TILEPROP INT_L_X26Y52 INT_TILE_X 26 TILEPROP INT_L_X26Y52 INT_TILE_Y 97 TILEPROP INT_L_X26Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y52 IS_DCM_TILE 0 TILEPROP INT_L_X26Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y52 NAME INT_L_X26Y52 TILEPROP INT_L_X26Y52 NUM_ARCS 3737 TILEPROP INT_L_X26Y52 NUM_SITES 1 TILEPROP INT_L_X26Y52 ROW 101 TILEPROP INT_L_X26Y52 SLR_REGION_ID 0 TILEPROP INT_L_X26Y52 TILE_PATTERN_IDX 7149 TILEPROP INT_L_X26Y52 TILE_TYPE INT_L TILEPROP INT_L_X26Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y52 TILE_X 21432 TILEPROP INT_L_X26Y52 TILE_Y -72000 TILEPROP INT_L_X26Y52 TYPE INT_L TILEPROP INT_L_X26Y53 CLASS tile TILEPROP INT_L_X26Y53 COLUMN 68 TILEPROP INT_L_X26Y53 DEVICE_ID 0 TILEPROP INT_L_X26Y53 FIRST_SITE_ID 10063 TILEPROP INT_L_X26Y53 GRID_POINT_X 68 TILEPROP INT_L_X26Y53 GRID_POINT_Y 100 TILEPROP INT_L_X26Y53 INDEX 11568 TILEPROP INT_L_X26Y53 INT_TILE_X 26 TILEPROP INT_L_X26Y53 INT_TILE_Y 96 TILEPROP INT_L_X26Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y53 IS_DCM_TILE 0 TILEPROP INT_L_X26Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y53 NAME INT_L_X26Y53 TILEPROP INT_L_X26Y53 NUM_ARCS 3737 TILEPROP INT_L_X26Y53 NUM_SITES 1 TILEPROP INT_L_X26Y53 ROW 100 TILEPROP INT_L_X26Y53 SLR_REGION_ID 0 TILEPROP INT_L_X26Y53 TILE_PATTERN_IDX 7112 TILEPROP INT_L_X26Y53 TILE_TYPE INT_L TILEPROP INT_L_X26Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y53 TILE_X 21432 TILEPROP INT_L_X26Y53 TILE_Y -68800 TILEPROP INT_L_X26Y53 TYPE INT_L TILEPROP INT_L_X26Y54 CLASS tile TILEPROP INT_L_X26Y54 COLUMN 68 TILEPROP INT_L_X26Y54 DEVICE_ID 0 TILEPROP INT_L_X26Y54 FIRST_SITE_ID 9963 TILEPROP INT_L_X26Y54 GRID_POINT_X 68 TILEPROP INT_L_X26Y54 GRID_POINT_Y 99 TILEPROP INT_L_X26Y54 INDEX 11453 TILEPROP INT_L_X26Y54 INT_TILE_X 26 TILEPROP INT_L_X26Y54 INT_TILE_Y 95 TILEPROP INT_L_X26Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y54 IS_DCM_TILE 0 TILEPROP INT_L_X26Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y54 NAME INT_L_X26Y54 TILEPROP INT_L_X26Y54 NUM_ARCS 3737 TILEPROP INT_L_X26Y54 NUM_SITES 1 TILEPROP INT_L_X26Y54 ROW 99 TILEPROP INT_L_X26Y54 SLR_REGION_ID 0 TILEPROP INT_L_X26Y54 TILE_PATTERN_IDX 7075 TILEPROP INT_L_X26Y54 TILE_TYPE INT_L TILEPROP INT_L_X26Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y54 TILE_X 21432 TILEPROP INT_L_X26Y54 TILE_Y -65600 TILEPROP INT_L_X26Y54 TYPE INT_L TILEPROP INT_L_X26Y55 CLASS tile TILEPROP INT_L_X26Y55 COLUMN 68 TILEPROP INT_L_X26Y55 DEVICE_ID 0 TILEPROP INT_L_X26Y55 FIRST_SITE_ID 9854 TILEPROP INT_L_X26Y55 GRID_POINT_X 68 TILEPROP INT_L_X26Y55 GRID_POINT_Y 98 TILEPROP INT_L_X26Y55 INDEX 11338 TILEPROP INT_L_X26Y55 INT_TILE_X 26 TILEPROP INT_L_X26Y55 INT_TILE_Y 94 TILEPROP INT_L_X26Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y55 IS_DCM_TILE 0 TILEPROP INT_L_X26Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y55 NAME INT_L_X26Y55 TILEPROP INT_L_X26Y55 NUM_ARCS 3737 TILEPROP INT_L_X26Y55 NUM_SITES 1 TILEPROP INT_L_X26Y55 ROW 98 TILEPROP INT_L_X26Y55 SLR_REGION_ID 0 TILEPROP INT_L_X26Y55 TILE_PATTERN_IDX 7037 TILEPROP INT_L_X26Y55 TILE_TYPE INT_L TILEPROP INT_L_X26Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y55 TILE_X 21432 TILEPROP INT_L_X26Y55 TILE_Y -62400 TILEPROP INT_L_X26Y55 TYPE INT_L TILEPROP INT_L_X26Y56 CLASS tile TILEPROP INT_L_X26Y56 COLUMN 68 TILEPROP INT_L_X26Y56 DEVICE_ID 0 TILEPROP INT_L_X26Y56 FIRST_SITE_ID 9748 TILEPROP INT_L_X26Y56 GRID_POINT_X 68 TILEPROP INT_L_X26Y56 GRID_POINT_Y 97 TILEPROP INT_L_X26Y56 INDEX 11223 TILEPROP INT_L_X26Y56 INT_TILE_X 26 TILEPROP INT_L_X26Y56 INT_TILE_Y 93 TILEPROP INT_L_X26Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y56 IS_DCM_TILE 0 TILEPROP INT_L_X26Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y56 NAME INT_L_X26Y56 TILEPROP INT_L_X26Y56 NUM_ARCS 3737 TILEPROP INT_L_X26Y56 NUM_SITES 1 TILEPROP INT_L_X26Y56 ROW 97 TILEPROP INT_L_X26Y56 SLR_REGION_ID 0 TILEPROP INT_L_X26Y56 TILE_PATTERN_IDX 7000 TILEPROP INT_L_X26Y56 TILE_TYPE INT_L TILEPROP INT_L_X26Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y56 TILE_X 21432 TILEPROP INT_L_X26Y56 TILE_Y -59200 TILEPROP INT_L_X26Y56 TYPE INT_L TILEPROP INT_L_X26Y57 CLASS tile TILEPROP INT_L_X26Y57 COLUMN 68 TILEPROP INT_L_X26Y57 DEVICE_ID 0 TILEPROP INT_L_X26Y57 FIRST_SITE_ID 9646 TILEPROP INT_L_X26Y57 GRID_POINT_X 68 TILEPROP INT_L_X26Y57 GRID_POINT_Y 96 TILEPROP INT_L_X26Y57 INDEX 11108 TILEPROP INT_L_X26Y57 INT_TILE_X 26 TILEPROP INT_L_X26Y57 INT_TILE_Y 92 TILEPROP INT_L_X26Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y57 IS_DCM_TILE 0 TILEPROP INT_L_X26Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y57 NAME INT_L_X26Y57 TILEPROP INT_L_X26Y57 NUM_ARCS 3737 TILEPROP INT_L_X26Y57 NUM_SITES 1 TILEPROP INT_L_X26Y57 ROW 96 TILEPROP INT_L_X26Y57 SLR_REGION_ID 0 TILEPROP INT_L_X26Y57 TILE_PATTERN_IDX 6963 TILEPROP INT_L_X26Y57 TILE_TYPE INT_L TILEPROP INT_L_X26Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y57 TILE_X 21432 TILEPROP INT_L_X26Y57 TILE_Y -56000 TILEPROP INT_L_X26Y57 TYPE INT_L TILEPROP INT_L_X26Y58 CLASS tile TILEPROP INT_L_X26Y58 COLUMN 68 TILEPROP INT_L_X26Y58 DEVICE_ID 0 TILEPROP INT_L_X26Y58 FIRST_SITE_ID 9543 TILEPROP INT_L_X26Y58 GRID_POINT_X 68 TILEPROP INT_L_X26Y58 GRID_POINT_Y 95 TILEPROP INT_L_X26Y58 INDEX 10993 TILEPROP INT_L_X26Y58 INT_TILE_X 26 TILEPROP INT_L_X26Y58 INT_TILE_Y 91 TILEPROP INT_L_X26Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y58 IS_DCM_TILE 0 TILEPROP INT_L_X26Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y58 NAME INT_L_X26Y58 TILEPROP INT_L_X26Y58 NUM_ARCS 3737 TILEPROP INT_L_X26Y58 NUM_SITES 1 TILEPROP INT_L_X26Y58 ROW 95 TILEPROP INT_L_X26Y58 SLR_REGION_ID 0 TILEPROP INT_L_X26Y58 TILE_PATTERN_IDX 6925 TILEPROP INT_L_X26Y58 TILE_TYPE INT_L TILEPROP INT_L_X26Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y58 TILE_X 21432 TILEPROP INT_L_X26Y58 TILE_Y -52800 TILEPROP INT_L_X26Y58 TYPE INT_L TILEPROP INT_L_X26Y59 CLASS tile TILEPROP INT_L_X26Y59 COLUMN 68 TILEPROP INT_L_X26Y59 DEVICE_ID 0 TILEPROP INT_L_X26Y59 FIRST_SITE_ID 9442 TILEPROP INT_L_X26Y59 GRID_POINT_X 68 TILEPROP INT_L_X26Y59 GRID_POINT_Y 94 TILEPROP INT_L_X26Y59 INDEX 10878 TILEPROP INT_L_X26Y59 INT_TILE_X 26 TILEPROP INT_L_X26Y59 INT_TILE_Y 90 TILEPROP INT_L_X26Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y59 IS_DCM_TILE 0 TILEPROP INT_L_X26Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y59 NAME INT_L_X26Y59 TILEPROP INT_L_X26Y59 NUM_ARCS 3737 TILEPROP INT_L_X26Y59 NUM_SITES 1 TILEPROP INT_L_X26Y59 ROW 94 TILEPROP INT_L_X26Y59 SLR_REGION_ID 0 TILEPROP INT_L_X26Y59 TILE_PATTERN_IDX 6888 TILEPROP INT_L_X26Y59 TILE_TYPE INT_L TILEPROP INT_L_X26Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y59 TILE_X 21432 TILEPROP INT_L_X26Y59 TILE_Y -49600 TILEPROP INT_L_X26Y59 TYPE INT_L TILEPROP INT_L_X26Y60 CLASS tile TILEPROP INT_L_X26Y60 COLUMN 68 TILEPROP INT_L_X26Y60 DEVICE_ID 0 TILEPROP INT_L_X26Y60 FIRST_SITE_ID 9333 TILEPROP INT_L_X26Y60 GRID_POINT_X 68 TILEPROP INT_L_X26Y60 GRID_POINT_Y 93 TILEPROP INT_L_X26Y60 INDEX 10763 TILEPROP INT_L_X26Y60 INT_TILE_X 26 TILEPROP INT_L_X26Y60 INT_TILE_Y 89 TILEPROP INT_L_X26Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y60 IS_DCM_TILE 0 TILEPROP INT_L_X26Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y60 NAME INT_L_X26Y60 TILEPROP INT_L_X26Y60 NUM_ARCS 3737 TILEPROP INT_L_X26Y60 NUM_SITES 1 TILEPROP INT_L_X26Y60 ROW 93 TILEPROP INT_L_X26Y60 SLR_REGION_ID 0 TILEPROP INT_L_X26Y60 TILE_PATTERN_IDX 6851 TILEPROP INT_L_X26Y60 TILE_TYPE INT_L TILEPROP INT_L_X26Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y60 TILE_X 21432 TILEPROP INT_L_X26Y60 TILE_Y -46400 TILEPROP INT_L_X26Y60 TYPE INT_L TILEPROP INT_L_X26Y61 CLASS tile TILEPROP INT_L_X26Y61 COLUMN 68 TILEPROP INT_L_X26Y61 DEVICE_ID 0 TILEPROP INT_L_X26Y61 FIRST_SITE_ID 9227 TILEPROP INT_L_X26Y61 GRID_POINT_X 68 TILEPROP INT_L_X26Y61 GRID_POINT_Y 92 TILEPROP INT_L_X26Y61 INDEX 10648 TILEPROP INT_L_X26Y61 INT_TILE_X 26 TILEPROP INT_L_X26Y61 INT_TILE_Y 88 TILEPROP INT_L_X26Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y61 IS_DCM_TILE 0 TILEPROP INT_L_X26Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y61 NAME INT_L_X26Y61 TILEPROP INT_L_X26Y61 NUM_ARCS 3737 TILEPROP INT_L_X26Y61 NUM_SITES 1 TILEPROP INT_L_X26Y61 ROW 92 TILEPROP INT_L_X26Y61 SLR_REGION_ID 0 TILEPROP INT_L_X26Y61 TILE_PATTERN_IDX 6814 TILEPROP INT_L_X26Y61 TILE_TYPE INT_L TILEPROP INT_L_X26Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y61 TILE_X 21432 TILEPROP INT_L_X26Y61 TILE_Y -43200 TILEPROP INT_L_X26Y61 TYPE INT_L TILEPROP INT_L_X26Y62 CLASS tile TILEPROP INT_L_X26Y62 COLUMN 68 TILEPROP INT_L_X26Y62 DEVICE_ID 0 TILEPROP INT_L_X26Y62 FIRST_SITE_ID 9127 TILEPROP INT_L_X26Y62 GRID_POINT_X 68 TILEPROP INT_L_X26Y62 GRID_POINT_Y 91 TILEPROP INT_L_X26Y62 INDEX 10533 TILEPROP INT_L_X26Y62 INT_TILE_X 26 TILEPROP INT_L_X26Y62 INT_TILE_Y 87 TILEPROP INT_L_X26Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y62 IS_DCM_TILE 0 TILEPROP INT_L_X26Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y62 NAME INT_L_X26Y62 TILEPROP INT_L_X26Y62 NUM_ARCS 3737 TILEPROP INT_L_X26Y62 NUM_SITES 1 TILEPROP INT_L_X26Y62 ROW 91 TILEPROP INT_L_X26Y62 SLR_REGION_ID 0 TILEPROP INT_L_X26Y62 TILE_PATTERN_IDX 6777 TILEPROP INT_L_X26Y62 TILE_TYPE INT_L TILEPROP INT_L_X26Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y62 TILE_X 21432 TILEPROP INT_L_X26Y62 TILE_Y -40000 TILEPROP INT_L_X26Y62 TYPE INT_L TILEPROP INT_L_X26Y63 CLASS tile TILEPROP INT_L_X26Y63 COLUMN 68 TILEPROP INT_L_X26Y63 DEVICE_ID 0 TILEPROP INT_L_X26Y63 FIRST_SITE_ID 8995 TILEPROP INT_L_X26Y63 GRID_POINT_X 68 TILEPROP INT_L_X26Y63 GRID_POINT_Y 90 TILEPROP INT_L_X26Y63 INDEX 10418 TILEPROP INT_L_X26Y63 INT_TILE_X 26 TILEPROP INT_L_X26Y63 INT_TILE_Y 86 TILEPROP INT_L_X26Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y63 IS_DCM_TILE 0 TILEPROP INT_L_X26Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y63 NAME INT_L_X26Y63 TILEPROP INT_L_X26Y63 NUM_ARCS 3737 TILEPROP INT_L_X26Y63 NUM_SITES 1 TILEPROP INT_L_X26Y63 ROW 90 TILEPROP INT_L_X26Y63 SLR_REGION_ID 0 TILEPROP INT_L_X26Y63 TILE_PATTERN_IDX 6740 TILEPROP INT_L_X26Y63 TILE_TYPE INT_L TILEPROP INT_L_X26Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y63 TILE_X 21432 TILEPROP INT_L_X26Y63 TILE_Y -36800 TILEPROP INT_L_X26Y63 TYPE INT_L TILEPROP INT_L_X26Y64 CLASS tile TILEPROP INT_L_X26Y64 COLUMN 68 TILEPROP INT_L_X26Y64 DEVICE_ID 0 TILEPROP INT_L_X26Y64 FIRST_SITE_ID 8895 TILEPROP INT_L_X26Y64 GRID_POINT_X 68 TILEPROP INT_L_X26Y64 GRID_POINT_Y 89 TILEPROP INT_L_X26Y64 INDEX 10303 TILEPROP INT_L_X26Y64 INT_TILE_X 26 TILEPROP INT_L_X26Y64 INT_TILE_Y 85 TILEPROP INT_L_X26Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y64 IS_DCM_TILE 0 TILEPROP INT_L_X26Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y64 NAME INT_L_X26Y64 TILEPROP INT_L_X26Y64 NUM_ARCS 3737 TILEPROP INT_L_X26Y64 NUM_SITES 1 TILEPROP INT_L_X26Y64 ROW 89 TILEPROP INT_L_X26Y64 SLR_REGION_ID 0 TILEPROP INT_L_X26Y64 TILE_PATTERN_IDX 6703 TILEPROP INT_L_X26Y64 TILE_TYPE INT_L TILEPROP INT_L_X26Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y64 TILE_X 21432 TILEPROP INT_L_X26Y64 TILE_Y -33600 TILEPROP INT_L_X26Y64 TYPE INT_L TILEPROP INT_L_X26Y65 CLASS tile TILEPROP INT_L_X26Y65 COLUMN 68 TILEPROP INT_L_X26Y65 DEVICE_ID 0 TILEPROP INT_L_X26Y65 FIRST_SITE_ID 8786 TILEPROP INT_L_X26Y65 GRID_POINT_X 68 TILEPROP INT_L_X26Y65 GRID_POINT_Y 88 TILEPROP INT_L_X26Y65 INDEX 10188 TILEPROP INT_L_X26Y65 INT_TILE_X 26 TILEPROP INT_L_X26Y65 INT_TILE_Y 84 TILEPROP INT_L_X26Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y65 IS_DCM_TILE 0 TILEPROP INT_L_X26Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y65 NAME INT_L_X26Y65 TILEPROP INT_L_X26Y65 NUM_ARCS 3737 TILEPROP INT_L_X26Y65 NUM_SITES 1 TILEPROP INT_L_X26Y65 ROW 88 TILEPROP INT_L_X26Y65 SLR_REGION_ID 0 TILEPROP INT_L_X26Y65 TILE_PATTERN_IDX 6666 TILEPROP INT_L_X26Y65 TILE_TYPE INT_L TILEPROP INT_L_X26Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y65 TILE_X 21432 TILEPROP INT_L_X26Y65 TILE_Y -30400 TILEPROP INT_L_X26Y65 TYPE INT_L TILEPROP INT_L_X26Y66 CLASS tile TILEPROP INT_L_X26Y66 COLUMN 68 TILEPROP INT_L_X26Y66 DEVICE_ID 0 TILEPROP INT_L_X26Y66 FIRST_SITE_ID 8680 TILEPROP INT_L_X26Y66 GRID_POINT_X 68 TILEPROP INT_L_X26Y66 GRID_POINT_Y 87 TILEPROP INT_L_X26Y66 INDEX 10073 TILEPROP INT_L_X26Y66 INT_TILE_X 26 TILEPROP INT_L_X26Y66 INT_TILE_Y 83 TILEPROP INT_L_X26Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y66 IS_DCM_TILE 0 TILEPROP INT_L_X26Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y66 NAME INT_L_X26Y66 TILEPROP INT_L_X26Y66 NUM_ARCS 3737 TILEPROP INT_L_X26Y66 NUM_SITES 1 TILEPROP INT_L_X26Y66 ROW 87 TILEPROP INT_L_X26Y66 SLR_REGION_ID 0 TILEPROP INT_L_X26Y66 TILE_PATTERN_IDX 6629 TILEPROP INT_L_X26Y66 TILE_TYPE INT_L TILEPROP INT_L_X26Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y66 TILE_X 21432 TILEPROP INT_L_X26Y66 TILE_Y -27200 TILEPROP INT_L_X26Y66 TYPE INT_L TILEPROP INT_L_X26Y67 CLASS tile TILEPROP INT_L_X26Y67 COLUMN 68 TILEPROP INT_L_X26Y67 DEVICE_ID 0 TILEPROP INT_L_X26Y67 FIRST_SITE_ID 8576 TILEPROP INT_L_X26Y67 GRID_POINT_X 68 TILEPROP INT_L_X26Y67 GRID_POINT_Y 86 TILEPROP INT_L_X26Y67 INDEX 9958 TILEPROP INT_L_X26Y67 INT_TILE_X 26 TILEPROP INT_L_X26Y67 INT_TILE_Y 82 TILEPROP INT_L_X26Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y67 IS_DCM_TILE 0 TILEPROP INT_L_X26Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y67 NAME INT_L_X26Y67 TILEPROP INT_L_X26Y67 NUM_ARCS 3737 TILEPROP INT_L_X26Y67 NUM_SITES 1 TILEPROP INT_L_X26Y67 ROW 86 TILEPROP INT_L_X26Y67 SLR_REGION_ID 0 TILEPROP INT_L_X26Y67 TILE_PATTERN_IDX 6591 TILEPROP INT_L_X26Y67 TILE_TYPE INT_L TILEPROP INT_L_X26Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y67 TILE_X 21432 TILEPROP INT_L_X26Y67 TILE_Y -24000 TILEPROP INT_L_X26Y67 TYPE INT_L TILEPROP INT_L_X26Y68 CLASS tile TILEPROP INT_L_X26Y68 COLUMN 68 TILEPROP INT_L_X26Y68 DEVICE_ID 0 TILEPROP INT_L_X26Y68 FIRST_SITE_ID 8472 TILEPROP INT_L_X26Y68 GRID_POINT_X 68 TILEPROP INT_L_X26Y68 GRID_POINT_Y 85 TILEPROP INT_L_X26Y68 INDEX 9843 TILEPROP INT_L_X26Y68 INT_TILE_X 26 TILEPROP INT_L_X26Y68 INT_TILE_Y 81 TILEPROP INT_L_X26Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y68 IS_DCM_TILE 0 TILEPROP INT_L_X26Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y68 NAME INT_L_X26Y68 TILEPROP INT_L_X26Y68 NUM_ARCS 3737 TILEPROP INT_L_X26Y68 NUM_SITES 1 TILEPROP INT_L_X26Y68 ROW 85 TILEPROP INT_L_X26Y68 SLR_REGION_ID 0 TILEPROP INT_L_X26Y68 TILE_PATTERN_IDX 6559 TILEPROP INT_L_X26Y68 TILE_TYPE INT_L TILEPROP INT_L_X26Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y68 TILE_X 21432 TILEPROP INT_L_X26Y68 TILE_Y -20800 TILEPROP INT_L_X26Y68 TYPE INT_L TILEPROP INT_L_X26Y69 CLASS tile TILEPROP INT_L_X26Y69 COLUMN 68 TILEPROP INT_L_X26Y69 DEVICE_ID 0 TILEPROP INT_L_X26Y69 FIRST_SITE_ID 8370 TILEPROP INT_L_X26Y69 GRID_POINT_X 68 TILEPROP INT_L_X26Y69 GRID_POINT_Y 84 TILEPROP INT_L_X26Y69 INDEX 9728 TILEPROP INT_L_X26Y69 INT_TILE_X 26 TILEPROP INT_L_X26Y69 INT_TILE_Y 80 TILEPROP INT_L_X26Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y69 IS_DCM_TILE 0 TILEPROP INT_L_X26Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y69 NAME INT_L_X26Y69 TILEPROP INT_L_X26Y69 NUM_ARCS 3737 TILEPROP INT_L_X26Y69 NUM_SITES 1 TILEPROP INT_L_X26Y69 ROW 84 TILEPROP INT_L_X26Y69 SLR_REGION_ID 0 TILEPROP INT_L_X26Y69 TILE_PATTERN_IDX 6528 TILEPROP INT_L_X26Y69 TILE_TYPE INT_L TILEPROP INT_L_X26Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y69 TILE_X 21432 TILEPROP INT_L_X26Y69 TILE_Y -17600 TILEPROP INT_L_X26Y69 TYPE INT_L TILEPROP INT_L_X26Y70 CLASS tile TILEPROP INT_L_X26Y70 COLUMN 68 TILEPROP INT_L_X26Y70 DEVICE_ID 0 TILEPROP INT_L_X26Y70 FIRST_SITE_ID 8259 TILEPROP INT_L_X26Y70 GRID_POINT_X 68 TILEPROP INT_L_X26Y70 GRID_POINT_Y 83 TILEPROP INT_L_X26Y70 INDEX 9613 TILEPROP INT_L_X26Y70 INT_TILE_X 26 TILEPROP INT_L_X26Y70 INT_TILE_Y 79 TILEPROP INT_L_X26Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y70 IS_DCM_TILE 0 TILEPROP INT_L_X26Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y70 NAME INT_L_X26Y70 TILEPROP INT_L_X26Y70 NUM_ARCS 3737 TILEPROP INT_L_X26Y70 NUM_SITES 1 TILEPROP INT_L_X26Y70 ROW 83 TILEPROP INT_L_X26Y70 SLR_REGION_ID 0 TILEPROP INT_L_X26Y70 TILE_PATTERN_IDX 6497 TILEPROP INT_L_X26Y70 TILE_TYPE INT_L TILEPROP INT_L_X26Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y70 TILE_X 21432 TILEPROP INT_L_X26Y70 TILE_Y -14400 TILEPROP INT_L_X26Y70 TYPE INT_L TILEPROP INT_L_X26Y71 CLASS tile TILEPROP INT_L_X26Y71 COLUMN 68 TILEPROP INT_L_X26Y71 DEVICE_ID 0 TILEPROP INT_L_X26Y71 FIRST_SITE_ID 8153 TILEPROP INT_L_X26Y71 GRID_POINT_X 68 TILEPROP INT_L_X26Y71 GRID_POINT_Y 82 TILEPROP INT_L_X26Y71 INDEX 9498 TILEPROP INT_L_X26Y71 INT_TILE_X 26 TILEPROP INT_L_X26Y71 INT_TILE_Y 78 TILEPROP INT_L_X26Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y71 IS_DCM_TILE 0 TILEPROP INT_L_X26Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y71 NAME INT_L_X26Y71 TILEPROP INT_L_X26Y71 NUM_ARCS 3737 TILEPROP INT_L_X26Y71 NUM_SITES 1 TILEPROP INT_L_X26Y71 ROW 82 TILEPROP INT_L_X26Y71 SLR_REGION_ID 0 TILEPROP INT_L_X26Y71 TILE_PATTERN_IDX 6466 TILEPROP INT_L_X26Y71 TILE_TYPE INT_L TILEPROP INT_L_X26Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y71 TILE_X 21432 TILEPROP INT_L_X26Y71 TILE_Y -11200 TILEPROP INT_L_X26Y71 TYPE INT_L TILEPROP INT_L_X26Y72 CLASS tile TILEPROP INT_L_X26Y72 COLUMN 68 TILEPROP INT_L_X26Y72 DEVICE_ID 0 TILEPROP INT_L_X26Y72 FIRST_SITE_ID 8053 TILEPROP INT_L_X26Y72 GRID_POINT_X 68 TILEPROP INT_L_X26Y72 GRID_POINT_Y 81 TILEPROP INT_L_X26Y72 INDEX 9383 TILEPROP INT_L_X26Y72 INT_TILE_X 26 TILEPROP INT_L_X26Y72 INT_TILE_Y 77 TILEPROP INT_L_X26Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y72 IS_DCM_TILE 0 TILEPROP INT_L_X26Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y72 NAME INT_L_X26Y72 TILEPROP INT_L_X26Y72 NUM_ARCS 3737 TILEPROP INT_L_X26Y72 NUM_SITES 1 TILEPROP INT_L_X26Y72 ROW 81 TILEPROP INT_L_X26Y72 SLR_REGION_ID 0 TILEPROP INT_L_X26Y72 TILE_PATTERN_IDX 6435 TILEPROP INT_L_X26Y72 TILE_TYPE INT_L TILEPROP INT_L_X26Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y72 TILE_X 21432 TILEPROP INT_L_X26Y72 TILE_Y -8000 TILEPROP INT_L_X26Y72 TYPE INT_L TILEPROP INT_L_X26Y73 CLASS tile TILEPROP INT_L_X26Y73 COLUMN 68 TILEPROP INT_L_X26Y73 DEVICE_ID 0 TILEPROP INT_L_X26Y73 FIRST_SITE_ID 7953 TILEPROP INT_L_X26Y73 GRID_POINT_X 68 TILEPROP INT_L_X26Y73 GRID_POINT_Y 80 TILEPROP INT_L_X26Y73 INDEX 9268 TILEPROP INT_L_X26Y73 INT_TILE_X 26 TILEPROP INT_L_X26Y73 INT_TILE_Y 76 TILEPROP INT_L_X26Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y73 IS_DCM_TILE 0 TILEPROP INT_L_X26Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y73 NAME INT_L_X26Y73 TILEPROP INT_L_X26Y73 NUM_ARCS 3737 TILEPROP INT_L_X26Y73 NUM_SITES 1 TILEPROP INT_L_X26Y73 ROW 80 TILEPROP INT_L_X26Y73 SLR_REGION_ID 0 TILEPROP INT_L_X26Y73 TILE_PATTERN_IDX 6404 TILEPROP INT_L_X26Y73 TILE_TYPE INT_L TILEPROP INT_L_X26Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y73 TILE_X 21432 TILEPROP INT_L_X26Y73 TILE_Y -4800 TILEPROP INT_L_X26Y73 TYPE INT_L TILEPROP INT_L_X26Y74 CLASS tile TILEPROP INT_L_X26Y74 COLUMN 68 TILEPROP INT_L_X26Y74 DEVICE_ID 0 TILEPROP INT_L_X26Y74 FIRST_SITE_ID 7853 TILEPROP INT_L_X26Y74 GRID_POINT_X 68 TILEPROP INT_L_X26Y74 GRID_POINT_Y 79 TILEPROP INT_L_X26Y74 INDEX 9153 TILEPROP INT_L_X26Y74 INT_TILE_X 26 TILEPROP INT_L_X26Y74 INT_TILE_Y 75 TILEPROP INT_L_X26Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y74 IS_DCM_TILE 0 TILEPROP INT_L_X26Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y74 NAME INT_L_X26Y74 TILEPROP INT_L_X26Y74 NUM_ARCS 3737 TILEPROP INT_L_X26Y74 NUM_SITES 1 TILEPROP INT_L_X26Y74 ROW 79 TILEPROP INT_L_X26Y74 SLR_REGION_ID 0 TILEPROP INT_L_X26Y74 TILE_PATTERN_IDX 6373 TILEPROP INT_L_X26Y74 TILE_TYPE INT_L TILEPROP INT_L_X26Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y74 TILE_X 21432 TILEPROP INT_L_X26Y74 TILE_Y -1600 TILEPROP INT_L_X26Y74 TYPE INT_L TILEPROP INT_L_X26Y75 CLASS tile TILEPROP INT_L_X26Y75 COLUMN 68 TILEPROP INT_L_X26Y75 DEVICE_ID 0 TILEPROP INT_L_X26Y75 FIRST_SITE_ID 7661 TILEPROP INT_L_X26Y75 GRID_POINT_X 68 TILEPROP INT_L_X26Y75 GRID_POINT_Y 77 TILEPROP INT_L_X26Y75 INDEX 8923 TILEPROP INT_L_X26Y75 INT_TILE_X 26 TILEPROP INT_L_X26Y75 INT_TILE_Y 74 TILEPROP INT_L_X26Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y75 IS_DCM_TILE 0 TILEPROP INT_L_X26Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y75 NAME INT_L_X26Y75 TILEPROP INT_L_X26Y75 NUM_ARCS 3737 TILEPROP INT_L_X26Y75 NUM_SITES 1 TILEPROP INT_L_X26Y75 ROW 77 TILEPROP INT_L_X26Y75 SLR_REGION_ID 0 TILEPROP INT_L_X26Y75 TILE_PATTERN_IDX 6299 TILEPROP INT_L_X26Y75 TILE_TYPE INT_L TILEPROP INT_L_X26Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y75 TILE_X 21432 TILEPROP INT_L_X26Y75 TILE_Y 2624 TILEPROP INT_L_X26Y75 TYPE INT_L TILEPROP INT_L_X26Y76 CLASS tile TILEPROP INT_L_X26Y76 COLUMN 68 TILEPROP INT_L_X26Y76 DEVICE_ID 0 TILEPROP INT_L_X26Y76 FIRST_SITE_ID 7552 TILEPROP INT_L_X26Y76 GRID_POINT_X 68 TILEPROP INT_L_X26Y76 GRID_POINT_Y 76 TILEPROP INT_L_X26Y76 INDEX 8808 TILEPROP INT_L_X26Y76 INT_TILE_X 26 TILEPROP INT_L_X26Y76 INT_TILE_Y 73 TILEPROP INT_L_X26Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y76 IS_DCM_TILE 0 TILEPROP INT_L_X26Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y76 NAME INT_L_X26Y76 TILEPROP INT_L_X26Y76 NUM_ARCS 3737 TILEPROP INT_L_X26Y76 NUM_SITES 1 TILEPROP INT_L_X26Y76 ROW 76 TILEPROP INT_L_X26Y76 SLR_REGION_ID 0 TILEPROP INT_L_X26Y76 TILE_PATTERN_IDX 6265 TILEPROP INT_L_X26Y76 TILE_TYPE INT_L TILEPROP INT_L_X26Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y76 TILE_X 21432 TILEPROP INT_L_X26Y76 TILE_Y 5824 TILEPROP INT_L_X26Y76 TYPE INT_L TILEPROP INT_L_X26Y77 CLASS tile TILEPROP INT_L_X26Y77 COLUMN 68 TILEPROP INT_L_X26Y77 DEVICE_ID 0 TILEPROP INT_L_X26Y77 FIRST_SITE_ID 7452 TILEPROP INT_L_X26Y77 GRID_POINT_X 68 TILEPROP INT_L_X26Y77 GRID_POINT_Y 75 TILEPROP INT_L_X26Y77 INDEX 8693 TILEPROP INT_L_X26Y77 INT_TILE_X 26 TILEPROP INT_L_X26Y77 INT_TILE_Y 72 TILEPROP INT_L_X26Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y77 IS_DCM_TILE 0 TILEPROP INT_L_X26Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y77 NAME INT_L_X26Y77 TILEPROP INT_L_X26Y77 NUM_ARCS 3737 TILEPROP INT_L_X26Y77 NUM_SITES 1 TILEPROP INT_L_X26Y77 ROW 75 TILEPROP INT_L_X26Y77 SLR_REGION_ID 0 TILEPROP INT_L_X26Y77 TILE_PATTERN_IDX 6231 TILEPROP INT_L_X26Y77 TILE_TYPE INT_L TILEPROP INT_L_X26Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y77 TILE_X 21432 TILEPROP INT_L_X26Y77 TILE_Y 9024 TILEPROP INT_L_X26Y77 TYPE INT_L TILEPROP INT_L_X26Y78 CLASS tile TILEPROP INT_L_X26Y78 COLUMN 68 TILEPROP INT_L_X26Y78 DEVICE_ID 0 TILEPROP INT_L_X26Y78 FIRST_SITE_ID 7352 TILEPROP INT_L_X26Y78 GRID_POINT_X 68 TILEPROP INT_L_X26Y78 GRID_POINT_Y 74 TILEPROP INT_L_X26Y78 INDEX 8578 TILEPROP INT_L_X26Y78 INT_TILE_X 26 TILEPROP INT_L_X26Y78 INT_TILE_Y 71 TILEPROP INT_L_X26Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y78 IS_DCM_TILE 0 TILEPROP INT_L_X26Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y78 NAME INT_L_X26Y78 TILEPROP INT_L_X26Y78 NUM_ARCS 3737 TILEPROP INT_L_X26Y78 NUM_SITES 1 TILEPROP INT_L_X26Y78 ROW 74 TILEPROP INT_L_X26Y78 SLR_REGION_ID 0 TILEPROP INT_L_X26Y78 TILE_PATTERN_IDX 6198 TILEPROP INT_L_X26Y78 TILE_TYPE INT_L TILEPROP INT_L_X26Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y78 TILE_X 21432 TILEPROP INT_L_X26Y78 TILE_Y 12224 TILEPROP INT_L_X26Y78 TYPE INT_L TILEPROP INT_L_X26Y79 CLASS tile TILEPROP INT_L_X26Y79 COLUMN 68 TILEPROP INT_L_X26Y79 DEVICE_ID 0 TILEPROP INT_L_X26Y79 FIRST_SITE_ID 7246 TILEPROP INT_L_X26Y79 GRID_POINT_X 68 TILEPROP INT_L_X26Y79 GRID_POINT_Y 73 TILEPROP INT_L_X26Y79 INDEX 8463 TILEPROP INT_L_X26Y79 INT_TILE_X 26 TILEPROP INT_L_X26Y79 INT_TILE_Y 70 TILEPROP INT_L_X26Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y79 IS_DCM_TILE 0 TILEPROP INT_L_X26Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y79 NAME INT_L_X26Y79 TILEPROP INT_L_X26Y79 NUM_ARCS 3737 TILEPROP INT_L_X26Y79 NUM_SITES 1 TILEPROP INT_L_X26Y79 ROW 73 TILEPROP INT_L_X26Y79 SLR_REGION_ID 0 TILEPROP INT_L_X26Y79 TILE_PATTERN_IDX 6163 TILEPROP INT_L_X26Y79 TILE_TYPE INT_L TILEPROP INT_L_X26Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y79 TILE_X 21432 TILEPROP INT_L_X26Y79 TILE_Y 15424 TILEPROP INT_L_X26Y79 TYPE INT_L TILEPROP INT_L_X26Y80 CLASS tile TILEPROP INT_L_X26Y80 COLUMN 68 TILEPROP INT_L_X26Y80 DEVICE_ID 0 TILEPROP INT_L_X26Y80 FIRST_SITE_ID 7131 TILEPROP INT_L_X26Y80 GRID_POINT_X 68 TILEPROP INT_L_X26Y80 GRID_POINT_Y 72 TILEPROP INT_L_X26Y80 INDEX 8348 TILEPROP INT_L_X26Y80 INT_TILE_X 26 TILEPROP INT_L_X26Y80 INT_TILE_Y 69 TILEPROP INT_L_X26Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y80 IS_DCM_TILE 0 TILEPROP INT_L_X26Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y80 NAME INT_L_X26Y80 TILEPROP INT_L_X26Y80 NUM_ARCS 3737 TILEPROP INT_L_X26Y80 NUM_SITES 1 TILEPROP INT_L_X26Y80 ROW 72 TILEPROP INT_L_X26Y80 SLR_REGION_ID 0 TILEPROP INT_L_X26Y80 TILE_PATTERN_IDX 6128 TILEPROP INT_L_X26Y80 TILE_TYPE INT_L TILEPROP INT_L_X26Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y80 TILE_X 21432 TILEPROP INT_L_X26Y80 TILE_Y 18624 TILEPROP INT_L_X26Y80 TYPE INT_L TILEPROP INT_L_X26Y81 CLASS tile TILEPROP INT_L_X26Y81 COLUMN 68 TILEPROP INT_L_X26Y81 DEVICE_ID 0 TILEPROP INT_L_X26Y81 FIRST_SITE_ID 7023 TILEPROP INT_L_X26Y81 GRID_POINT_X 68 TILEPROP INT_L_X26Y81 GRID_POINT_Y 71 TILEPROP INT_L_X26Y81 INDEX 8233 TILEPROP INT_L_X26Y81 INT_TILE_X 26 TILEPROP INT_L_X26Y81 INT_TILE_Y 68 TILEPROP INT_L_X26Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y81 IS_DCM_TILE 0 TILEPROP INT_L_X26Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y81 NAME INT_L_X26Y81 TILEPROP INT_L_X26Y81 NUM_ARCS 3737 TILEPROP INT_L_X26Y81 NUM_SITES 1 TILEPROP INT_L_X26Y81 ROW 71 TILEPROP INT_L_X26Y81 SLR_REGION_ID 0 TILEPROP INT_L_X26Y81 TILE_PATTERN_IDX 6094 TILEPROP INT_L_X26Y81 TILE_TYPE INT_L TILEPROP INT_L_X26Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y81 TILE_X 21432 TILEPROP INT_L_X26Y81 TILE_Y 21824 TILEPROP INT_L_X26Y81 TYPE INT_L TILEPROP INT_L_X26Y82 CLASS tile TILEPROP INT_L_X26Y82 COLUMN 68 TILEPROP INT_L_X26Y82 DEVICE_ID 0 TILEPROP INT_L_X26Y82 FIRST_SITE_ID 6921 TILEPROP INT_L_X26Y82 GRID_POINT_X 68 TILEPROP INT_L_X26Y82 GRID_POINT_Y 70 TILEPROP INT_L_X26Y82 INDEX 8118 TILEPROP INT_L_X26Y82 INT_TILE_X 26 TILEPROP INT_L_X26Y82 INT_TILE_Y 67 TILEPROP INT_L_X26Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y82 IS_DCM_TILE 0 TILEPROP INT_L_X26Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y82 NAME INT_L_X26Y82 TILEPROP INT_L_X26Y82 NUM_ARCS 3737 TILEPROP INT_L_X26Y82 NUM_SITES 1 TILEPROP INT_L_X26Y82 ROW 70 TILEPROP INT_L_X26Y82 SLR_REGION_ID 0 TILEPROP INT_L_X26Y82 TILE_PATTERN_IDX 6060 TILEPROP INT_L_X26Y82 TILE_TYPE INT_L TILEPROP INT_L_X26Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y82 TILE_X 21432 TILEPROP INT_L_X26Y82 TILE_Y 25024 TILEPROP INT_L_X26Y82 TYPE INT_L TILEPROP INT_L_X26Y83 CLASS tile TILEPROP INT_L_X26Y83 COLUMN 68 TILEPROP INT_L_X26Y83 DEVICE_ID 0 TILEPROP INT_L_X26Y83 FIRST_SITE_ID 6821 TILEPROP INT_L_X26Y83 GRID_POINT_X 68 TILEPROP INT_L_X26Y83 GRID_POINT_Y 69 TILEPROP INT_L_X26Y83 INDEX 8003 TILEPROP INT_L_X26Y83 INT_TILE_X 26 TILEPROP INT_L_X26Y83 INT_TILE_Y 66 TILEPROP INT_L_X26Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y83 IS_DCM_TILE 0 TILEPROP INT_L_X26Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y83 NAME INT_L_X26Y83 TILEPROP INT_L_X26Y83 NUM_ARCS 3737 TILEPROP INT_L_X26Y83 NUM_SITES 1 TILEPROP INT_L_X26Y83 ROW 69 TILEPROP INT_L_X26Y83 SLR_REGION_ID 0 TILEPROP INT_L_X26Y83 TILE_PATTERN_IDX 6026 TILEPROP INT_L_X26Y83 TILE_TYPE INT_L TILEPROP INT_L_X26Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y83 TILE_X 21432 TILEPROP INT_L_X26Y83 TILE_Y 28224 TILEPROP INT_L_X26Y83 TYPE INT_L TILEPROP INT_L_X26Y84 CLASS tile TILEPROP INT_L_X26Y84 COLUMN 68 TILEPROP INT_L_X26Y84 DEVICE_ID 0 TILEPROP INT_L_X26Y84 FIRST_SITE_ID 6721 TILEPROP INT_L_X26Y84 GRID_POINT_X 68 TILEPROP INT_L_X26Y84 GRID_POINT_Y 68 TILEPROP INT_L_X26Y84 INDEX 7888 TILEPROP INT_L_X26Y84 INT_TILE_X 26 TILEPROP INT_L_X26Y84 INT_TILE_Y 65 TILEPROP INT_L_X26Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y84 IS_DCM_TILE 0 TILEPROP INT_L_X26Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y84 NAME INT_L_X26Y84 TILEPROP INT_L_X26Y84 NUM_ARCS 3737 TILEPROP INT_L_X26Y84 NUM_SITES 1 TILEPROP INT_L_X26Y84 ROW 68 TILEPROP INT_L_X26Y84 SLR_REGION_ID 0 TILEPROP INT_L_X26Y84 TILE_PATTERN_IDX 5992 TILEPROP INT_L_X26Y84 TILE_TYPE INT_L TILEPROP INT_L_X26Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y84 TILE_X 21432 TILEPROP INT_L_X26Y84 TILE_Y 31424 TILEPROP INT_L_X26Y84 TYPE INT_L TILEPROP INT_L_X26Y85 CLASS tile TILEPROP INT_L_X26Y85 COLUMN 68 TILEPROP INT_L_X26Y85 DEVICE_ID 0 TILEPROP INT_L_X26Y85 FIRST_SITE_ID 6612 TILEPROP INT_L_X26Y85 GRID_POINT_X 68 TILEPROP INT_L_X26Y85 GRID_POINT_Y 67 TILEPROP INT_L_X26Y85 INDEX 7773 TILEPROP INT_L_X26Y85 INT_TILE_X 26 TILEPROP INT_L_X26Y85 INT_TILE_Y 64 TILEPROP INT_L_X26Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y85 IS_DCM_TILE 0 TILEPROP INT_L_X26Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y85 NAME INT_L_X26Y85 TILEPROP INT_L_X26Y85 NUM_ARCS 3737 TILEPROP INT_L_X26Y85 NUM_SITES 1 TILEPROP INT_L_X26Y85 ROW 67 TILEPROP INT_L_X26Y85 SLR_REGION_ID 0 TILEPROP INT_L_X26Y85 TILE_PATTERN_IDX 5958 TILEPROP INT_L_X26Y85 TILE_TYPE INT_L TILEPROP INT_L_X26Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y85 TILE_X 21432 TILEPROP INT_L_X26Y85 TILE_Y 34624 TILEPROP INT_L_X26Y85 TYPE INT_L TILEPROP INT_L_X26Y86 CLASS tile TILEPROP INT_L_X26Y86 COLUMN 68 TILEPROP INT_L_X26Y86 DEVICE_ID 0 TILEPROP INT_L_X26Y86 FIRST_SITE_ID 6506 TILEPROP INT_L_X26Y86 GRID_POINT_X 68 TILEPROP INT_L_X26Y86 GRID_POINT_Y 66 TILEPROP INT_L_X26Y86 INDEX 7658 TILEPROP INT_L_X26Y86 INT_TILE_X 26 TILEPROP INT_L_X26Y86 INT_TILE_Y 63 TILEPROP INT_L_X26Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y86 IS_DCM_TILE 0 TILEPROP INT_L_X26Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y86 NAME INT_L_X26Y86 TILEPROP INT_L_X26Y86 NUM_ARCS 3737 TILEPROP INT_L_X26Y86 NUM_SITES 1 TILEPROP INT_L_X26Y86 ROW 66 TILEPROP INT_L_X26Y86 SLR_REGION_ID 0 TILEPROP INT_L_X26Y86 TILE_PATTERN_IDX 5923 TILEPROP INT_L_X26Y86 TILE_TYPE INT_L TILEPROP INT_L_X26Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y86 TILE_X 21432 TILEPROP INT_L_X26Y86 TILE_Y 37824 TILEPROP INT_L_X26Y86 TYPE INT_L TILEPROP INT_L_X26Y87 CLASS tile TILEPROP INT_L_X26Y87 COLUMN 68 TILEPROP INT_L_X26Y87 DEVICE_ID 0 TILEPROP INT_L_X26Y87 FIRST_SITE_ID 6374 TILEPROP INT_L_X26Y87 GRID_POINT_X 68 TILEPROP INT_L_X26Y87 GRID_POINT_Y 65 TILEPROP INT_L_X26Y87 INDEX 7543 TILEPROP INT_L_X26Y87 INT_TILE_X 26 TILEPROP INT_L_X26Y87 INT_TILE_Y 62 TILEPROP INT_L_X26Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y87 IS_DCM_TILE 0 TILEPROP INT_L_X26Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y87 NAME INT_L_X26Y87 TILEPROP INT_L_X26Y87 NUM_ARCS 3737 TILEPROP INT_L_X26Y87 NUM_SITES 1 TILEPROP INT_L_X26Y87 ROW 65 TILEPROP INT_L_X26Y87 SLR_REGION_ID 0 TILEPROP INT_L_X26Y87 TILE_PATTERN_IDX 5888 TILEPROP INT_L_X26Y87 TILE_TYPE INT_L TILEPROP INT_L_X26Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y87 TILE_X 21432 TILEPROP INT_L_X26Y87 TILE_Y 41024 TILEPROP INT_L_X26Y87 TYPE INT_L TILEPROP INT_L_X26Y88 CLASS tile TILEPROP INT_L_X26Y88 COLUMN 68 TILEPROP INT_L_X26Y88 DEVICE_ID 0 TILEPROP INT_L_X26Y88 FIRST_SITE_ID 6274 TILEPROP INT_L_X26Y88 GRID_POINT_X 68 TILEPROP INT_L_X26Y88 GRID_POINT_Y 64 TILEPROP INT_L_X26Y88 INDEX 7428 TILEPROP INT_L_X26Y88 INT_TILE_X 26 TILEPROP INT_L_X26Y88 INT_TILE_Y 61 TILEPROP INT_L_X26Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y88 IS_DCM_TILE 0 TILEPROP INT_L_X26Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y88 NAME INT_L_X26Y88 TILEPROP INT_L_X26Y88 NUM_ARCS 3737 TILEPROP INT_L_X26Y88 NUM_SITES 1 TILEPROP INT_L_X26Y88 ROW 64 TILEPROP INT_L_X26Y88 SLR_REGION_ID 0 TILEPROP INT_L_X26Y88 TILE_PATTERN_IDX 5855 TILEPROP INT_L_X26Y88 TILE_TYPE INT_L TILEPROP INT_L_X26Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y88 TILE_X 21432 TILEPROP INT_L_X26Y88 TILE_Y 44224 TILEPROP INT_L_X26Y88 TYPE INT_L TILEPROP INT_L_X26Y89 CLASS tile TILEPROP INT_L_X26Y89 COLUMN 68 TILEPROP INT_L_X26Y89 DEVICE_ID 0 TILEPROP INT_L_X26Y89 FIRST_SITE_ID 6174 TILEPROP INT_L_X26Y89 GRID_POINT_X 68 TILEPROP INT_L_X26Y89 GRID_POINT_Y 63 TILEPROP INT_L_X26Y89 INDEX 7313 TILEPROP INT_L_X26Y89 INT_TILE_X 26 TILEPROP INT_L_X26Y89 INT_TILE_Y 60 TILEPROP INT_L_X26Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y89 IS_DCM_TILE 0 TILEPROP INT_L_X26Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y89 NAME INT_L_X26Y89 TILEPROP INT_L_X26Y89 NUM_ARCS 3737 TILEPROP INT_L_X26Y89 NUM_SITES 1 TILEPROP INT_L_X26Y89 ROW 63 TILEPROP INT_L_X26Y89 SLR_REGION_ID 0 TILEPROP INT_L_X26Y89 TILE_PATTERN_IDX 5821 TILEPROP INT_L_X26Y89 TILE_TYPE INT_L TILEPROP INT_L_X26Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y89 TILE_X 21432 TILEPROP INT_L_X26Y89 TILE_Y 47424 TILEPROP INT_L_X26Y89 TYPE INT_L TILEPROP INT_L_X26Y90 CLASS tile TILEPROP INT_L_X26Y90 COLUMN 68 TILEPROP INT_L_X26Y90 DEVICE_ID 0 TILEPROP INT_L_X26Y90 FIRST_SITE_ID 6065 TILEPROP INT_L_X26Y90 GRID_POINT_X 68 TILEPROP INT_L_X26Y90 GRID_POINT_Y 62 TILEPROP INT_L_X26Y90 INDEX 7198 TILEPROP INT_L_X26Y90 INT_TILE_X 26 TILEPROP INT_L_X26Y90 INT_TILE_Y 59 TILEPROP INT_L_X26Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y90 IS_DCM_TILE 0 TILEPROP INT_L_X26Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y90 NAME INT_L_X26Y90 TILEPROP INT_L_X26Y90 NUM_ARCS 3737 TILEPROP INT_L_X26Y90 NUM_SITES 1 TILEPROP INT_L_X26Y90 ROW 62 TILEPROP INT_L_X26Y90 SLR_REGION_ID 0 TILEPROP INT_L_X26Y90 TILE_PATTERN_IDX 5787 TILEPROP INT_L_X26Y90 TILE_TYPE INT_L TILEPROP INT_L_X26Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y90 TILE_X 21432 TILEPROP INT_L_X26Y90 TILE_Y 50624 TILEPROP INT_L_X26Y90 TYPE INT_L TILEPROP INT_L_X26Y91 CLASS tile TILEPROP INT_L_X26Y91 COLUMN 68 TILEPROP INT_L_X26Y91 DEVICE_ID 0 TILEPROP INT_L_X26Y91 FIRST_SITE_ID 5959 TILEPROP INT_L_X26Y91 GRID_POINT_X 68 TILEPROP INT_L_X26Y91 GRID_POINT_Y 61 TILEPROP INT_L_X26Y91 INDEX 7083 TILEPROP INT_L_X26Y91 INT_TILE_X 26 TILEPROP INT_L_X26Y91 INT_TILE_Y 58 TILEPROP INT_L_X26Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y91 IS_DCM_TILE 0 TILEPROP INT_L_X26Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y91 NAME INT_L_X26Y91 TILEPROP INT_L_X26Y91 NUM_ARCS 3737 TILEPROP INT_L_X26Y91 NUM_SITES 1 TILEPROP INT_L_X26Y91 ROW 61 TILEPROP INT_L_X26Y91 SLR_REGION_ID 0 TILEPROP INT_L_X26Y91 TILE_PATTERN_IDX 5753 TILEPROP INT_L_X26Y91 TILE_TYPE INT_L TILEPROP INT_L_X26Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y91 TILE_X 21432 TILEPROP INT_L_X26Y91 TILE_Y 53824 TILEPROP INT_L_X26Y91 TYPE INT_L TILEPROP INT_L_X26Y92 CLASS tile TILEPROP INT_L_X26Y92 COLUMN 68 TILEPROP INT_L_X26Y92 DEVICE_ID 0 TILEPROP INT_L_X26Y92 FIRST_SITE_ID 5858 TILEPROP INT_L_X26Y92 GRID_POINT_X 68 TILEPROP INT_L_X26Y92 GRID_POINT_Y 60 TILEPROP INT_L_X26Y92 INDEX 6968 TILEPROP INT_L_X26Y92 INT_TILE_X 26 TILEPROP INT_L_X26Y92 INT_TILE_Y 57 TILEPROP INT_L_X26Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y92 IS_DCM_TILE 0 TILEPROP INT_L_X26Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y92 NAME INT_L_X26Y92 TILEPROP INT_L_X26Y92 NUM_ARCS 3737 TILEPROP INT_L_X26Y92 NUM_SITES 1 TILEPROP INT_L_X26Y92 ROW 60 TILEPROP INT_L_X26Y92 SLR_REGION_ID 0 TILEPROP INT_L_X26Y92 TILE_PATTERN_IDX 5720 TILEPROP INT_L_X26Y92 TILE_TYPE INT_L TILEPROP INT_L_X26Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y92 TILE_X 21432 TILEPROP INT_L_X26Y92 TILE_Y 57024 TILEPROP INT_L_X26Y92 TYPE INT_L TILEPROP INT_L_X26Y93 CLASS tile TILEPROP INT_L_X26Y93 COLUMN 68 TILEPROP INT_L_X26Y93 DEVICE_ID 0 TILEPROP INT_L_X26Y93 FIRST_SITE_ID 5755 TILEPROP INT_L_X26Y93 GRID_POINT_X 68 TILEPROP INT_L_X26Y93 GRID_POINT_Y 59 TILEPROP INT_L_X26Y93 INDEX 6853 TILEPROP INT_L_X26Y93 INT_TILE_X 26 TILEPROP INT_L_X26Y93 INT_TILE_Y 56 TILEPROP INT_L_X26Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y93 IS_DCM_TILE 0 TILEPROP INT_L_X26Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y93 NAME INT_L_X26Y93 TILEPROP INT_L_X26Y93 NUM_ARCS 3737 TILEPROP INT_L_X26Y93 NUM_SITES 1 TILEPROP INT_L_X26Y93 ROW 59 TILEPROP INT_L_X26Y93 SLR_REGION_ID 0 TILEPROP INT_L_X26Y93 TILE_PATTERN_IDX 5686 TILEPROP INT_L_X26Y93 TILE_TYPE INT_L TILEPROP INT_L_X26Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y93 TILE_X 21432 TILEPROP INT_L_X26Y93 TILE_Y 60224 TILEPROP INT_L_X26Y93 TYPE INT_L TILEPROP INT_L_X26Y94 CLASS tile TILEPROP INT_L_X26Y94 COLUMN 68 TILEPROP INT_L_X26Y94 DEVICE_ID 0 TILEPROP INT_L_X26Y94 FIRST_SITE_ID 5653 TILEPROP INT_L_X26Y94 GRID_POINT_X 68 TILEPROP INT_L_X26Y94 GRID_POINT_Y 58 TILEPROP INT_L_X26Y94 INDEX 6738 TILEPROP INT_L_X26Y94 INT_TILE_X 26 TILEPROP INT_L_X26Y94 INT_TILE_Y 55 TILEPROP INT_L_X26Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y94 IS_DCM_TILE 0 TILEPROP INT_L_X26Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y94 NAME INT_L_X26Y94 TILEPROP INT_L_X26Y94 NUM_ARCS 3737 TILEPROP INT_L_X26Y94 NUM_SITES 1 TILEPROP INT_L_X26Y94 ROW 58 TILEPROP INT_L_X26Y94 SLR_REGION_ID 0 TILEPROP INT_L_X26Y94 TILE_PATTERN_IDX 5652 TILEPROP INT_L_X26Y94 TILE_TYPE INT_L TILEPROP INT_L_X26Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y94 TILE_X 21432 TILEPROP INT_L_X26Y94 TILE_Y 63424 TILEPROP INT_L_X26Y94 TYPE INT_L TILEPROP INT_L_X26Y95 CLASS tile TILEPROP INT_L_X26Y95 COLUMN 68 TILEPROP INT_L_X26Y95 DEVICE_ID 0 TILEPROP INT_L_X26Y95 FIRST_SITE_ID 5544 TILEPROP INT_L_X26Y95 GRID_POINT_X 68 TILEPROP INT_L_X26Y95 GRID_POINT_Y 57 TILEPROP INT_L_X26Y95 INDEX 6623 TILEPROP INT_L_X26Y95 INT_TILE_X 26 TILEPROP INT_L_X26Y95 INT_TILE_Y 54 TILEPROP INT_L_X26Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y95 IS_DCM_TILE 0 TILEPROP INT_L_X26Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y95 NAME INT_L_X26Y95 TILEPROP INT_L_X26Y95 NUM_ARCS 3737 TILEPROP INT_L_X26Y95 NUM_SITES 1 TILEPROP INT_L_X26Y95 ROW 57 TILEPROP INT_L_X26Y95 SLR_REGION_ID 0 TILEPROP INT_L_X26Y95 TILE_PATTERN_IDX 5618 TILEPROP INT_L_X26Y95 TILE_TYPE INT_L TILEPROP INT_L_X26Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y95 TILE_X 21432 TILEPROP INT_L_X26Y95 TILE_Y 66624 TILEPROP INT_L_X26Y95 TYPE INT_L TILEPROP INT_L_X26Y96 CLASS tile TILEPROP INT_L_X26Y96 COLUMN 68 TILEPROP INT_L_X26Y96 DEVICE_ID 0 TILEPROP INT_L_X26Y96 FIRST_SITE_ID 5438 TILEPROP INT_L_X26Y96 GRID_POINT_X 68 TILEPROP INT_L_X26Y96 GRID_POINT_Y 56 TILEPROP INT_L_X26Y96 INDEX 6508 TILEPROP INT_L_X26Y96 INT_TILE_X 26 TILEPROP INT_L_X26Y96 INT_TILE_Y 53 TILEPROP INT_L_X26Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y96 IS_DCM_TILE 0 TILEPROP INT_L_X26Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y96 NAME INT_L_X26Y96 TILEPROP INT_L_X26Y96 NUM_ARCS 3737 TILEPROP INT_L_X26Y96 NUM_SITES 1 TILEPROP INT_L_X26Y96 ROW 56 TILEPROP INT_L_X26Y96 SLR_REGION_ID 0 TILEPROP INT_L_X26Y96 TILE_PATTERN_IDX 5583 TILEPROP INT_L_X26Y96 TILE_TYPE INT_L TILEPROP INT_L_X26Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y96 TILE_X 21432 TILEPROP INT_L_X26Y96 TILE_Y 69824 TILEPROP INT_L_X26Y96 TYPE INT_L TILEPROP INT_L_X26Y97 CLASS tile TILEPROP INT_L_X26Y97 COLUMN 68 TILEPROP INT_L_X26Y97 DEVICE_ID 0 TILEPROP INT_L_X26Y97 FIRST_SITE_ID 5338 TILEPROP INT_L_X26Y97 GRID_POINT_X 68 TILEPROP INT_L_X26Y97 GRID_POINT_Y 55 TILEPROP INT_L_X26Y97 INDEX 6393 TILEPROP INT_L_X26Y97 INT_TILE_X 26 TILEPROP INT_L_X26Y97 INT_TILE_Y 52 TILEPROP INT_L_X26Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y97 IS_DCM_TILE 0 TILEPROP INT_L_X26Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y97 NAME INT_L_X26Y97 TILEPROP INT_L_X26Y97 NUM_ARCS 3737 TILEPROP INT_L_X26Y97 NUM_SITES 1 TILEPROP INT_L_X26Y97 ROW 55 TILEPROP INT_L_X26Y97 SLR_REGION_ID 0 TILEPROP INT_L_X26Y97 TILE_PATTERN_IDX 5549 TILEPROP INT_L_X26Y97 TILE_TYPE INT_L TILEPROP INT_L_X26Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y97 TILE_X 21432 TILEPROP INT_L_X26Y97 TILE_Y 73024 TILEPROP INT_L_X26Y97 TYPE INT_L TILEPROP INT_L_X26Y98 CLASS tile TILEPROP INT_L_X26Y98 COLUMN 68 TILEPROP INT_L_X26Y98 DEVICE_ID 0 TILEPROP INT_L_X26Y98 FIRST_SITE_ID 5238 TILEPROP INT_L_X26Y98 GRID_POINT_X 68 TILEPROP INT_L_X26Y98 GRID_POINT_Y 54 TILEPROP INT_L_X26Y98 INDEX 6278 TILEPROP INT_L_X26Y98 INT_TILE_X 26 TILEPROP INT_L_X26Y98 INT_TILE_Y 51 TILEPROP INT_L_X26Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y98 IS_DCM_TILE 0 TILEPROP INT_L_X26Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y98 NAME INT_L_X26Y98 TILEPROP INT_L_X26Y98 NUM_ARCS 3737 TILEPROP INT_L_X26Y98 NUM_SITES 1 TILEPROP INT_L_X26Y98 ROW 54 TILEPROP INT_L_X26Y98 SLR_REGION_ID 0 TILEPROP INT_L_X26Y98 TILE_PATTERN_IDX 5515 TILEPROP INT_L_X26Y98 TILE_TYPE INT_L TILEPROP INT_L_X26Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y98 TILE_X 21432 TILEPROP INT_L_X26Y98 TILE_Y 76224 TILEPROP INT_L_X26Y98 TYPE INT_L TILEPROP INT_L_X26Y99 CLASS tile TILEPROP INT_L_X26Y99 COLUMN 68 TILEPROP INT_L_X26Y99 DEVICE_ID 0 TILEPROP INT_L_X26Y99 FIRST_SITE_ID 5142 TILEPROP INT_L_X26Y99 GRID_POINT_X 68 TILEPROP INT_L_X26Y99 GRID_POINT_Y 53 TILEPROP INT_L_X26Y99 INDEX 6163 TILEPROP INT_L_X26Y99 INT_TILE_X 26 TILEPROP INT_L_X26Y99 INT_TILE_Y 50 TILEPROP INT_L_X26Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y99 IS_DCM_TILE 0 TILEPROP INT_L_X26Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y99 NAME INT_L_X26Y99 TILEPROP INT_L_X26Y99 NUM_ARCS 3737 TILEPROP INT_L_X26Y99 NUM_SITES 1 TILEPROP INT_L_X26Y99 ROW 53 TILEPROP INT_L_X26Y99 SLR_REGION_ID 0 TILEPROP INT_L_X26Y99 TILE_PATTERN_IDX 5482 TILEPROP INT_L_X26Y99 TILE_TYPE INT_L TILEPROP INT_L_X26Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y99 TILE_X 21432 TILEPROP INT_L_X26Y99 TILE_Y 79424 TILEPROP INT_L_X26Y99 TYPE INT_L TILEPROP INT_L_X26Y100 CLASS tile TILEPROP INT_L_X26Y100 COLUMN 68 TILEPROP INT_L_X26Y100 DEVICE_ID 0 TILEPROP INT_L_X26Y100 FIRST_SITE_ID 5065 TILEPROP INT_L_X26Y100 GRID_POINT_X 68 TILEPROP INT_L_X26Y100 GRID_POINT_Y 51 TILEPROP INT_L_X26Y100 INDEX 5933 TILEPROP INT_L_X26Y100 INT_TILE_X 26 TILEPROP INT_L_X26Y100 INT_TILE_Y 49 TILEPROP INT_L_X26Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y100 IS_DCM_TILE 0 TILEPROP INT_L_X26Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y100 NAME INT_L_X26Y100 TILEPROP INT_L_X26Y100 NUM_ARCS 3737 TILEPROP INT_L_X26Y100 NUM_SITES 1 TILEPROP INT_L_X26Y100 ROW 51 TILEPROP INT_L_X26Y100 SLR_REGION_ID 0 TILEPROP INT_L_X26Y100 TILE_PATTERN_IDX 5450 TILEPROP INT_L_X26Y100 TILE_TYPE INT_L TILEPROP INT_L_X26Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y100 TILE_X 21432 TILEPROP INT_L_X26Y100 TILE_Y 82872 TILEPROP INT_L_X26Y100 TYPE INT_L TILEPROP INT_L_X26Y101 CLASS tile TILEPROP INT_L_X26Y101 COLUMN 68 TILEPROP INT_L_X26Y101 DEVICE_ID 0 TILEPROP INT_L_X26Y101 FIRST_SITE_ID 4967 TILEPROP INT_L_X26Y101 GRID_POINT_X 68 TILEPROP INT_L_X26Y101 GRID_POINT_Y 50 TILEPROP INT_L_X26Y101 INDEX 5818 TILEPROP INT_L_X26Y101 INT_TILE_X 26 TILEPROP INT_L_X26Y101 INT_TILE_Y 48 TILEPROP INT_L_X26Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y101 IS_DCM_TILE 0 TILEPROP INT_L_X26Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y101 NAME INT_L_X26Y101 TILEPROP INT_L_X26Y101 NUM_ARCS 3737 TILEPROP INT_L_X26Y101 NUM_SITES 1 TILEPROP INT_L_X26Y101 ROW 50 TILEPROP INT_L_X26Y101 SLR_REGION_ID 0 TILEPROP INT_L_X26Y101 TILE_PATTERN_IDX 5414 TILEPROP INT_L_X26Y101 TILE_TYPE INT_L TILEPROP INT_L_X26Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y101 TILE_X 21432 TILEPROP INT_L_X26Y101 TILE_Y 86072 TILEPROP INT_L_X26Y101 TYPE INT_L TILEPROP INT_L_X26Y102 CLASS tile TILEPROP INT_L_X26Y102 COLUMN 68 TILEPROP INT_L_X26Y102 DEVICE_ID 0 TILEPROP INT_L_X26Y102 FIRST_SITE_ID 4871 TILEPROP INT_L_X26Y102 GRID_POINT_X 68 TILEPROP INT_L_X26Y102 GRID_POINT_Y 49 TILEPROP INT_L_X26Y102 INDEX 5703 TILEPROP INT_L_X26Y102 INT_TILE_X 26 TILEPROP INT_L_X26Y102 INT_TILE_Y 47 TILEPROP INT_L_X26Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y102 IS_DCM_TILE 0 TILEPROP INT_L_X26Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y102 NAME INT_L_X26Y102 TILEPROP INT_L_X26Y102 NUM_ARCS 3737 TILEPROP INT_L_X26Y102 NUM_SITES 1 TILEPROP INT_L_X26Y102 ROW 49 TILEPROP INT_L_X26Y102 SLR_REGION_ID 0 TILEPROP INT_L_X26Y102 TILE_PATTERN_IDX 5377 TILEPROP INT_L_X26Y102 TILE_TYPE INT_L TILEPROP INT_L_X26Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y102 TILE_X 21432 TILEPROP INT_L_X26Y102 TILE_Y 89272 TILEPROP INT_L_X26Y102 TYPE INT_L TILEPROP INT_L_X26Y103 CLASS tile TILEPROP INT_L_X26Y103 COLUMN 68 TILEPROP INT_L_X26Y103 DEVICE_ID 0 TILEPROP INT_L_X26Y103 FIRST_SITE_ID 4783 TILEPROP INT_L_X26Y103 GRID_POINT_X 68 TILEPROP INT_L_X26Y103 GRID_POINT_Y 48 TILEPROP INT_L_X26Y103 INDEX 5588 TILEPROP INT_L_X26Y103 INT_TILE_X 26 TILEPROP INT_L_X26Y103 INT_TILE_Y 46 TILEPROP INT_L_X26Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y103 IS_DCM_TILE 0 TILEPROP INT_L_X26Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y103 NAME INT_L_X26Y103 TILEPROP INT_L_X26Y103 NUM_ARCS 3737 TILEPROP INT_L_X26Y103 NUM_SITES 1 TILEPROP INT_L_X26Y103 ROW 48 TILEPROP INT_L_X26Y103 SLR_REGION_ID 0 TILEPROP INT_L_X26Y103 TILE_PATTERN_IDX 5341 TILEPROP INT_L_X26Y103 TILE_TYPE INT_L TILEPROP INT_L_X26Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y103 TILE_X 21432 TILEPROP INT_L_X26Y103 TILE_Y 92472 TILEPROP INT_L_X26Y103 TYPE INT_L TILEPROP INT_L_X26Y104 CLASS tile TILEPROP INT_L_X26Y104 COLUMN 68 TILEPROP INT_L_X26Y104 DEVICE_ID 0 TILEPROP INT_L_X26Y104 FIRST_SITE_ID 4687 TILEPROP INT_L_X26Y104 GRID_POINT_X 68 TILEPROP INT_L_X26Y104 GRID_POINT_Y 47 TILEPROP INT_L_X26Y104 INDEX 5473 TILEPROP INT_L_X26Y104 INT_TILE_X 26 TILEPROP INT_L_X26Y104 INT_TILE_Y 45 TILEPROP INT_L_X26Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y104 IS_DCM_TILE 0 TILEPROP INT_L_X26Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y104 NAME INT_L_X26Y104 TILEPROP INT_L_X26Y104 NUM_ARCS 3737 TILEPROP INT_L_X26Y104 NUM_SITES 1 TILEPROP INT_L_X26Y104 ROW 47 TILEPROP INT_L_X26Y104 SLR_REGION_ID 0 TILEPROP INT_L_X26Y104 TILE_PATTERN_IDX 5304 TILEPROP INT_L_X26Y104 TILE_TYPE INT_L TILEPROP INT_L_X26Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y104 TILE_X 21432 TILEPROP INT_L_X26Y104 TILE_Y 95672 TILEPROP INT_L_X26Y104 TYPE INT_L TILEPROP INT_L_X26Y105 CLASS tile TILEPROP INT_L_X26Y105 COLUMN 68 TILEPROP INT_L_X26Y105 DEVICE_ID 0 TILEPROP INT_L_X26Y105 FIRST_SITE_ID 4591 TILEPROP INT_L_X26Y105 GRID_POINT_X 68 TILEPROP INT_L_X26Y105 GRID_POINT_Y 46 TILEPROP INT_L_X26Y105 INDEX 5358 TILEPROP INT_L_X26Y105 INT_TILE_X 26 TILEPROP INT_L_X26Y105 INT_TILE_Y 44 TILEPROP INT_L_X26Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y105 IS_DCM_TILE 0 TILEPROP INT_L_X26Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y105 NAME INT_L_X26Y105 TILEPROP INT_L_X26Y105 NUM_ARCS 3737 TILEPROP INT_L_X26Y105 NUM_SITES 1 TILEPROP INT_L_X26Y105 ROW 46 TILEPROP INT_L_X26Y105 SLR_REGION_ID 0 TILEPROP INT_L_X26Y105 TILE_PATTERN_IDX 5268 TILEPROP INT_L_X26Y105 TILE_TYPE INT_L TILEPROP INT_L_X26Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y105 TILE_X 21432 TILEPROP INT_L_X26Y105 TILE_Y 98872 TILEPROP INT_L_X26Y105 TYPE INT_L TILEPROP INT_L_X26Y106 CLASS tile TILEPROP INT_L_X26Y106 COLUMN 68 TILEPROP INT_L_X26Y106 DEVICE_ID 0 TILEPROP INT_L_X26Y106 FIRST_SITE_ID 4489 TILEPROP INT_L_X26Y106 GRID_POINT_X 68 TILEPROP INT_L_X26Y106 GRID_POINT_Y 45 TILEPROP INT_L_X26Y106 INDEX 5243 TILEPROP INT_L_X26Y106 INT_TILE_X 26 TILEPROP INT_L_X26Y106 INT_TILE_Y 43 TILEPROP INT_L_X26Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y106 IS_DCM_TILE 0 TILEPROP INT_L_X26Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y106 NAME INT_L_X26Y106 TILEPROP INT_L_X26Y106 NUM_ARCS 3737 TILEPROP INT_L_X26Y106 NUM_SITES 1 TILEPROP INT_L_X26Y106 ROW 45 TILEPROP INT_L_X26Y106 SLR_REGION_ID 0 TILEPROP INT_L_X26Y106 TILE_PATTERN_IDX 5231 TILEPROP INT_L_X26Y106 TILE_TYPE INT_L TILEPROP INT_L_X26Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y106 TILE_X 21432 TILEPROP INT_L_X26Y106 TILE_Y 102072 TILEPROP INT_L_X26Y106 TYPE INT_L TILEPROP INT_L_X26Y107 CLASS tile TILEPROP INT_L_X26Y107 COLUMN 68 TILEPROP INT_L_X26Y107 DEVICE_ID 0 TILEPROP INT_L_X26Y107 FIRST_SITE_ID 4401 TILEPROP INT_L_X26Y107 GRID_POINT_X 68 TILEPROP INT_L_X26Y107 GRID_POINT_Y 44 TILEPROP INT_L_X26Y107 INDEX 5128 TILEPROP INT_L_X26Y107 INT_TILE_X 26 TILEPROP INT_L_X26Y107 INT_TILE_Y 42 TILEPROP INT_L_X26Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y107 IS_DCM_TILE 0 TILEPROP INT_L_X26Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y107 NAME INT_L_X26Y107 TILEPROP INT_L_X26Y107 NUM_ARCS 3737 TILEPROP INT_L_X26Y107 NUM_SITES 1 TILEPROP INT_L_X26Y107 ROW 44 TILEPROP INT_L_X26Y107 SLR_REGION_ID 0 TILEPROP INT_L_X26Y107 TILE_PATTERN_IDX 5195 TILEPROP INT_L_X26Y107 TILE_TYPE INT_L TILEPROP INT_L_X26Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y107 TILE_X 21432 TILEPROP INT_L_X26Y107 TILE_Y 105272 TILEPROP INT_L_X26Y107 TYPE INT_L TILEPROP INT_L_X26Y108 CLASS tile TILEPROP INT_L_X26Y108 COLUMN 68 TILEPROP INT_L_X26Y108 DEVICE_ID 0 TILEPROP INT_L_X26Y108 FIRST_SITE_ID 4303 TILEPROP INT_L_X26Y108 GRID_POINT_X 68 TILEPROP INT_L_X26Y108 GRID_POINT_Y 43 TILEPROP INT_L_X26Y108 INDEX 5013 TILEPROP INT_L_X26Y108 INT_TILE_X 26 TILEPROP INT_L_X26Y108 INT_TILE_Y 41 TILEPROP INT_L_X26Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y108 IS_DCM_TILE 0 TILEPROP INT_L_X26Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y108 NAME INT_L_X26Y108 TILEPROP INT_L_X26Y108 NUM_ARCS 3737 TILEPROP INT_L_X26Y108 NUM_SITES 1 TILEPROP INT_L_X26Y108 ROW 43 TILEPROP INT_L_X26Y108 SLR_REGION_ID 0 TILEPROP INT_L_X26Y108 TILE_PATTERN_IDX 5158 TILEPROP INT_L_X26Y108 TILE_TYPE INT_L TILEPROP INT_L_X26Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y108 TILE_X 21432 TILEPROP INT_L_X26Y108 TILE_Y 108472 TILEPROP INT_L_X26Y108 TYPE INT_L TILEPROP INT_L_X26Y109 CLASS tile TILEPROP INT_L_X26Y109 COLUMN 68 TILEPROP INT_L_X26Y109 DEVICE_ID 0 TILEPROP INT_L_X26Y109 FIRST_SITE_ID 4214 TILEPROP INT_L_X26Y109 GRID_POINT_X 68 TILEPROP INT_L_X26Y109 GRID_POINT_Y 42 TILEPROP INT_L_X26Y109 INDEX 4898 TILEPROP INT_L_X26Y109 INT_TILE_X 26 TILEPROP INT_L_X26Y109 INT_TILE_Y 40 TILEPROP INT_L_X26Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y109 IS_DCM_TILE 0 TILEPROP INT_L_X26Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y109 NAME INT_L_X26Y109 TILEPROP INT_L_X26Y109 NUM_ARCS 3737 TILEPROP INT_L_X26Y109 NUM_SITES 1 TILEPROP INT_L_X26Y109 ROW 42 TILEPROP INT_L_X26Y109 SLR_REGION_ID 0 TILEPROP INT_L_X26Y109 TILE_PATTERN_IDX 5122 TILEPROP INT_L_X26Y109 TILE_TYPE INT_L TILEPROP INT_L_X26Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y109 TILE_X 21432 TILEPROP INT_L_X26Y109 TILE_Y 111672 TILEPROP INT_L_X26Y109 TYPE INT_L TILEPROP INT_L_X26Y110 CLASS tile TILEPROP INT_L_X26Y110 COLUMN 68 TILEPROP INT_L_X26Y110 DEVICE_ID 0 TILEPROP INT_L_X26Y110 FIRST_SITE_ID 4114 TILEPROP INT_L_X26Y110 GRID_POINT_X 68 TILEPROP INT_L_X26Y110 GRID_POINT_Y 41 TILEPROP INT_L_X26Y110 INDEX 4783 TILEPROP INT_L_X26Y110 INT_TILE_X 26 TILEPROP INT_L_X26Y110 INT_TILE_Y 39 TILEPROP INT_L_X26Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y110 IS_DCM_TILE 0 TILEPROP INT_L_X26Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y110 NAME INT_L_X26Y110 TILEPROP INT_L_X26Y110 NUM_ARCS 3737 TILEPROP INT_L_X26Y110 NUM_SITES 1 TILEPROP INT_L_X26Y110 ROW 41 TILEPROP INT_L_X26Y110 SLR_REGION_ID 0 TILEPROP INT_L_X26Y110 TILE_PATTERN_IDX 5085 TILEPROP INT_L_X26Y110 TILE_TYPE INT_L TILEPROP INT_L_X26Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y110 TILE_X 21432 TILEPROP INT_L_X26Y110 TILE_Y 114872 TILEPROP INT_L_X26Y110 TYPE INT_L TILEPROP INT_L_X26Y111 CLASS tile TILEPROP INT_L_X26Y111 COLUMN 68 TILEPROP INT_L_X26Y111 DEVICE_ID 0 TILEPROP INT_L_X26Y111 FIRST_SITE_ID 4020 TILEPROP INT_L_X26Y111 GRID_POINT_X 68 TILEPROP INT_L_X26Y111 GRID_POINT_Y 40 TILEPROP INT_L_X26Y111 INDEX 4668 TILEPROP INT_L_X26Y111 INT_TILE_X 26 TILEPROP INT_L_X26Y111 INT_TILE_Y 38 TILEPROP INT_L_X26Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y111 IS_DCM_TILE 0 TILEPROP INT_L_X26Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y111 NAME INT_L_X26Y111 TILEPROP INT_L_X26Y111 NUM_ARCS 3737 TILEPROP INT_L_X26Y111 NUM_SITES 1 TILEPROP INT_L_X26Y111 ROW 40 TILEPROP INT_L_X26Y111 SLR_REGION_ID 0 TILEPROP INT_L_X26Y111 TILE_PATTERN_IDX 5049 TILEPROP INT_L_X26Y111 TILE_TYPE INT_L TILEPROP INT_L_X26Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y111 TILE_X 21432 TILEPROP INT_L_X26Y111 TILE_Y 118072 TILEPROP INT_L_X26Y111 TYPE INT_L TILEPROP INT_L_X26Y112 CLASS tile TILEPROP INT_L_X26Y112 COLUMN 68 TILEPROP INT_L_X26Y112 DEVICE_ID 0 TILEPROP INT_L_X26Y112 FIRST_SITE_ID 3924 TILEPROP INT_L_X26Y112 GRID_POINT_X 68 TILEPROP INT_L_X26Y112 GRID_POINT_Y 39 TILEPROP INT_L_X26Y112 INDEX 4553 TILEPROP INT_L_X26Y112 INT_TILE_X 26 TILEPROP INT_L_X26Y112 INT_TILE_Y 37 TILEPROP INT_L_X26Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y112 IS_DCM_TILE 0 TILEPROP INT_L_X26Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y112 NAME INT_L_X26Y112 TILEPROP INT_L_X26Y112 NUM_ARCS 3737 TILEPROP INT_L_X26Y112 NUM_SITES 1 TILEPROP INT_L_X26Y112 ROW 39 TILEPROP INT_L_X26Y112 SLR_REGION_ID 0 TILEPROP INT_L_X26Y112 TILE_PATTERN_IDX 5012 TILEPROP INT_L_X26Y112 TILE_TYPE INT_L TILEPROP INT_L_X26Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y112 TILE_X 21432 TILEPROP INT_L_X26Y112 TILE_Y 121272 TILEPROP INT_L_X26Y112 TYPE INT_L TILEPROP INT_L_X26Y113 CLASS tile TILEPROP INT_L_X26Y113 COLUMN 68 TILEPROP INT_L_X26Y113 DEVICE_ID 0 TILEPROP INT_L_X26Y113 FIRST_SITE_ID 3804 TILEPROP INT_L_X26Y113 GRID_POINT_X 68 TILEPROP INT_L_X26Y113 GRID_POINT_Y 38 TILEPROP INT_L_X26Y113 INDEX 4438 TILEPROP INT_L_X26Y113 INT_TILE_X 26 TILEPROP INT_L_X26Y113 INT_TILE_Y 36 TILEPROP INT_L_X26Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y113 IS_DCM_TILE 0 TILEPROP INT_L_X26Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y113 NAME INT_L_X26Y113 TILEPROP INT_L_X26Y113 NUM_ARCS 3737 TILEPROP INT_L_X26Y113 NUM_SITES 1 TILEPROP INT_L_X26Y113 ROW 38 TILEPROP INT_L_X26Y113 SLR_REGION_ID 0 TILEPROP INT_L_X26Y113 TILE_PATTERN_IDX 4975 TILEPROP INT_L_X26Y113 TILE_TYPE INT_L TILEPROP INT_L_X26Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y113 TILE_X 21432 TILEPROP INT_L_X26Y113 TILE_Y 124472 TILEPROP INT_L_X26Y113 TYPE INT_L TILEPROP INT_L_X26Y114 CLASS tile TILEPROP INT_L_X26Y114 COLUMN 68 TILEPROP INT_L_X26Y114 DEVICE_ID 0 TILEPROP INT_L_X26Y114 FIRST_SITE_ID 3708 TILEPROP INT_L_X26Y114 GRID_POINT_X 68 TILEPROP INT_L_X26Y114 GRID_POINT_Y 37 TILEPROP INT_L_X26Y114 INDEX 4323 TILEPROP INT_L_X26Y114 INT_TILE_X 26 TILEPROP INT_L_X26Y114 INT_TILE_Y 35 TILEPROP INT_L_X26Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y114 IS_DCM_TILE 0 TILEPROP INT_L_X26Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y114 NAME INT_L_X26Y114 TILEPROP INT_L_X26Y114 NUM_ARCS 3737 TILEPROP INT_L_X26Y114 NUM_SITES 1 TILEPROP INT_L_X26Y114 ROW 37 TILEPROP INT_L_X26Y114 SLR_REGION_ID 0 TILEPROP INT_L_X26Y114 TILE_PATTERN_IDX 4938 TILEPROP INT_L_X26Y114 TILE_TYPE INT_L TILEPROP INT_L_X26Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y114 TILE_X 21432 TILEPROP INT_L_X26Y114 TILE_Y 127672 TILEPROP INT_L_X26Y114 TYPE INT_L TILEPROP INT_L_X26Y115 CLASS tile TILEPROP INT_L_X26Y115 COLUMN 68 TILEPROP INT_L_X26Y115 DEVICE_ID 0 TILEPROP INT_L_X26Y115 FIRST_SITE_ID 3617 TILEPROP INT_L_X26Y115 GRID_POINT_X 68 TILEPROP INT_L_X26Y115 GRID_POINT_Y 36 TILEPROP INT_L_X26Y115 INDEX 4208 TILEPROP INT_L_X26Y115 INT_TILE_X 26 TILEPROP INT_L_X26Y115 INT_TILE_Y 34 TILEPROP INT_L_X26Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y115 IS_DCM_TILE 0 TILEPROP INT_L_X26Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y115 NAME INT_L_X26Y115 TILEPROP INT_L_X26Y115 NUM_ARCS 3737 TILEPROP INT_L_X26Y115 NUM_SITES 1 TILEPROP INT_L_X26Y115 ROW 36 TILEPROP INT_L_X26Y115 SLR_REGION_ID 0 TILEPROP INT_L_X26Y115 TILE_PATTERN_IDX 4902 TILEPROP INT_L_X26Y115 TILE_TYPE INT_L TILEPROP INT_L_X26Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y115 TILE_X 21432 TILEPROP INT_L_X26Y115 TILE_Y 130872 TILEPROP INT_L_X26Y115 TYPE INT_L TILEPROP INT_L_X26Y116 CLASS tile TILEPROP INT_L_X26Y116 COLUMN 68 TILEPROP INT_L_X26Y116 DEVICE_ID 0 TILEPROP INT_L_X26Y116 FIRST_SITE_ID 3510 TILEPROP INT_L_X26Y116 GRID_POINT_X 68 TILEPROP INT_L_X26Y116 GRID_POINT_Y 35 TILEPROP INT_L_X26Y116 INDEX 4093 TILEPROP INT_L_X26Y116 INT_TILE_X 26 TILEPROP INT_L_X26Y116 INT_TILE_Y 33 TILEPROP INT_L_X26Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y116 IS_DCM_TILE 0 TILEPROP INT_L_X26Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y116 NAME INT_L_X26Y116 TILEPROP INT_L_X26Y116 NUM_ARCS 3737 TILEPROP INT_L_X26Y116 NUM_SITES 1 TILEPROP INT_L_X26Y116 ROW 35 TILEPROP INT_L_X26Y116 SLR_REGION_ID 0 TILEPROP INT_L_X26Y116 TILE_PATTERN_IDX 4865 TILEPROP INT_L_X26Y116 TILE_TYPE INT_L TILEPROP INT_L_X26Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y116 TILE_X 21432 TILEPROP INT_L_X26Y116 TILE_Y 134072 TILEPROP INT_L_X26Y116 TYPE INT_L TILEPROP INT_L_X26Y117 CLASS tile TILEPROP INT_L_X26Y117 COLUMN 68 TILEPROP INT_L_X26Y117 DEVICE_ID 0 TILEPROP INT_L_X26Y117 FIRST_SITE_ID 3422 TILEPROP INT_L_X26Y117 GRID_POINT_X 68 TILEPROP INT_L_X26Y117 GRID_POINT_Y 34 TILEPROP INT_L_X26Y117 INDEX 3978 TILEPROP INT_L_X26Y117 INT_TILE_X 26 TILEPROP INT_L_X26Y117 INT_TILE_Y 32 TILEPROP INT_L_X26Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y117 IS_DCM_TILE 0 TILEPROP INT_L_X26Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y117 NAME INT_L_X26Y117 TILEPROP INT_L_X26Y117 NUM_ARCS 3737 TILEPROP INT_L_X26Y117 NUM_SITES 1 TILEPROP INT_L_X26Y117 ROW 34 TILEPROP INT_L_X26Y117 SLR_REGION_ID 0 TILEPROP INT_L_X26Y117 TILE_PATTERN_IDX 4829 TILEPROP INT_L_X26Y117 TILE_TYPE INT_L TILEPROP INT_L_X26Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y117 TILE_X 21432 TILEPROP INT_L_X26Y117 TILE_Y 137272 TILEPROP INT_L_X26Y117 TYPE INT_L TILEPROP INT_L_X26Y118 CLASS tile TILEPROP INT_L_X26Y118 COLUMN 68 TILEPROP INT_L_X26Y118 DEVICE_ID 0 TILEPROP INT_L_X26Y118 FIRST_SITE_ID 3322 TILEPROP INT_L_X26Y118 GRID_POINT_X 68 TILEPROP INT_L_X26Y118 GRID_POINT_Y 33 TILEPROP INT_L_X26Y118 INDEX 3863 TILEPROP INT_L_X26Y118 INT_TILE_X 26 TILEPROP INT_L_X26Y118 INT_TILE_Y 31 TILEPROP INT_L_X26Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y118 IS_DCM_TILE 0 TILEPROP INT_L_X26Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y118 NAME INT_L_X26Y118 TILEPROP INT_L_X26Y118 NUM_ARCS 3737 TILEPROP INT_L_X26Y118 NUM_SITES 1 TILEPROP INT_L_X26Y118 ROW 33 TILEPROP INT_L_X26Y118 SLR_REGION_ID 0 TILEPROP INT_L_X26Y118 TILE_PATTERN_IDX 4791 TILEPROP INT_L_X26Y118 TILE_TYPE INT_L TILEPROP INT_L_X26Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y118 TILE_X 21432 TILEPROP INT_L_X26Y118 TILE_Y 140472 TILEPROP INT_L_X26Y118 TYPE INT_L TILEPROP INT_L_X26Y119 CLASS tile TILEPROP INT_L_X26Y119 COLUMN 68 TILEPROP INT_L_X26Y119 DEVICE_ID 0 TILEPROP INT_L_X26Y119 FIRST_SITE_ID 3234 TILEPROP INT_L_X26Y119 GRID_POINT_X 68 TILEPROP INT_L_X26Y119 GRID_POINT_Y 32 TILEPROP INT_L_X26Y119 INDEX 3748 TILEPROP INT_L_X26Y119 INT_TILE_X 26 TILEPROP INT_L_X26Y119 INT_TILE_Y 30 TILEPROP INT_L_X26Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y119 IS_DCM_TILE 0 TILEPROP INT_L_X26Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y119 NAME INT_L_X26Y119 TILEPROP INT_L_X26Y119 NUM_ARCS 3737 TILEPROP INT_L_X26Y119 NUM_SITES 1 TILEPROP INT_L_X26Y119 ROW 32 TILEPROP INT_L_X26Y119 SLR_REGION_ID 0 TILEPROP INT_L_X26Y119 TILE_PATTERN_IDX 4755 TILEPROP INT_L_X26Y119 TILE_TYPE INT_L TILEPROP INT_L_X26Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y119 TILE_X 21432 TILEPROP INT_L_X26Y119 TILE_Y 143672 TILEPROP INT_L_X26Y119 TYPE INT_L TILEPROP INT_L_X26Y120 CLASS tile TILEPROP INT_L_X26Y120 COLUMN 68 TILEPROP INT_L_X26Y120 DEVICE_ID 0 TILEPROP INT_L_X26Y120 FIRST_SITE_ID 3133 TILEPROP INT_L_X26Y120 GRID_POINT_X 68 TILEPROP INT_L_X26Y120 GRID_POINT_Y 31 TILEPROP INT_L_X26Y120 INDEX 3633 TILEPROP INT_L_X26Y120 INT_TILE_X 26 TILEPROP INT_L_X26Y120 INT_TILE_Y 29 TILEPROP INT_L_X26Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y120 IS_DCM_TILE 0 TILEPROP INT_L_X26Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y120 NAME INT_L_X26Y120 TILEPROP INT_L_X26Y120 NUM_ARCS 3737 TILEPROP INT_L_X26Y120 NUM_SITES 1 TILEPROP INT_L_X26Y120 ROW 31 TILEPROP INT_L_X26Y120 SLR_REGION_ID 0 TILEPROP INT_L_X26Y120 TILE_PATTERN_IDX 4718 TILEPROP INT_L_X26Y120 TILE_TYPE INT_L TILEPROP INT_L_X26Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y120 TILE_X 21432 TILEPROP INT_L_X26Y120 TILE_Y 146872 TILEPROP INT_L_X26Y120 TYPE INT_L TILEPROP INT_L_X26Y121 CLASS tile TILEPROP INT_L_X26Y121 COLUMN 68 TILEPROP INT_L_X26Y121 DEVICE_ID 0 TILEPROP INT_L_X26Y121 FIRST_SITE_ID 3039 TILEPROP INT_L_X26Y121 GRID_POINT_X 68 TILEPROP INT_L_X26Y121 GRID_POINT_Y 30 TILEPROP INT_L_X26Y121 INDEX 3518 TILEPROP INT_L_X26Y121 INT_TILE_X 26 TILEPROP INT_L_X26Y121 INT_TILE_Y 28 TILEPROP INT_L_X26Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y121 IS_DCM_TILE 0 TILEPROP INT_L_X26Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y121 NAME INT_L_X26Y121 TILEPROP INT_L_X26Y121 NUM_ARCS 3737 TILEPROP INT_L_X26Y121 NUM_SITES 1 TILEPROP INT_L_X26Y121 ROW 30 TILEPROP INT_L_X26Y121 SLR_REGION_ID 0 TILEPROP INT_L_X26Y121 TILE_PATTERN_IDX 4682 TILEPROP INT_L_X26Y121 TILE_TYPE INT_L TILEPROP INT_L_X26Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y121 TILE_X 21432 TILEPROP INT_L_X26Y121 TILE_Y 150072 TILEPROP INT_L_X26Y121 TYPE INT_L TILEPROP INT_L_X26Y122 CLASS tile TILEPROP INT_L_X26Y122 COLUMN 68 TILEPROP INT_L_X26Y122 DEVICE_ID 0 TILEPROP INT_L_X26Y122 FIRST_SITE_ID 2936 TILEPROP INT_L_X26Y122 GRID_POINT_X 68 TILEPROP INT_L_X26Y122 GRID_POINT_Y 29 TILEPROP INT_L_X26Y122 INDEX 3403 TILEPROP INT_L_X26Y122 INT_TILE_X 26 TILEPROP INT_L_X26Y122 INT_TILE_Y 27 TILEPROP INT_L_X26Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y122 IS_DCM_TILE 0 TILEPROP INT_L_X26Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y122 NAME INT_L_X26Y122 TILEPROP INT_L_X26Y122 NUM_ARCS 3737 TILEPROP INT_L_X26Y122 NUM_SITES 1 TILEPROP INT_L_X26Y122 ROW 29 TILEPROP INT_L_X26Y122 SLR_REGION_ID 0 TILEPROP INT_L_X26Y122 TILE_PATTERN_IDX 4644 TILEPROP INT_L_X26Y122 TILE_TYPE INT_L TILEPROP INT_L_X26Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y122 TILE_X 21432 TILEPROP INT_L_X26Y122 TILE_Y 153272 TILEPROP INT_L_X26Y122 TYPE INT_L TILEPROP INT_L_X26Y123 CLASS tile TILEPROP INT_L_X26Y123 COLUMN 68 TILEPROP INT_L_X26Y123 DEVICE_ID 0 TILEPROP INT_L_X26Y123 FIRST_SITE_ID 2848 TILEPROP INT_L_X26Y123 GRID_POINT_X 68 TILEPROP INT_L_X26Y123 GRID_POINT_Y 28 TILEPROP INT_L_X26Y123 INDEX 3288 TILEPROP INT_L_X26Y123 INT_TILE_X 26 TILEPROP INT_L_X26Y123 INT_TILE_Y 26 TILEPROP INT_L_X26Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y123 IS_DCM_TILE 0 TILEPROP INT_L_X26Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y123 NAME INT_L_X26Y123 TILEPROP INT_L_X26Y123 NUM_ARCS 3737 TILEPROP INT_L_X26Y123 NUM_SITES 1 TILEPROP INT_L_X26Y123 ROW 28 TILEPROP INT_L_X26Y123 SLR_REGION_ID 0 TILEPROP INT_L_X26Y123 TILE_PATTERN_IDX 4608 TILEPROP INT_L_X26Y123 TILE_TYPE INT_L TILEPROP INT_L_X26Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y123 TILE_X 21432 TILEPROP INT_L_X26Y123 TILE_Y 156472 TILEPROP INT_L_X26Y123 TYPE INT_L TILEPROP INT_L_X26Y124 CLASS tile TILEPROP INT_L_X26Y124 COLUMN 68 TILEPROP INT_L_X26Y124 DEVICE_ID 0 TILEPROP INT_L_X26Y124 FIRST_SITE_ID 2752 TILEPROP INT_L_X26Y124 GRID_POINT_X 68 TILEPROP INT_L_X26Y124 GRID_POINT_Y 27 TILEPROP INT_L_X26Y124 INDEX 3173 TILEPROP INT_L_X26Y124 INT_TILE_X 26 TILEPROP INT_L_X26Y124 INT_TILE_Y 25 TILEPROP INT_L_X26Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y124 IS_DCM_TILE 0 TILEPROP INT_L_X26Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y124 NAME INT_L_X26Y124 TILEPROP INT_L_X26Y124 NUM_ARCS 3737 TILEPROP INT_L_X26Y124 NUM_SITES 1 TILEPROP INT_L_X26Y124 ROW 27 TILEPROP INT_L_X26Y124 SLR_REGION_ID 0 TILEPROP INT_L_X26Y124 TILE_PATTERN_IDX 4571 TILEPROP INT_L_X26Y124 TILE_TYPE INT_L TILEPROP INT_L_X26Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y124 TILE_X 21432 TILEPROP INT_L_X26Y124 TILE_Y 159672 TILEPROP INT_L_X26Y124 TYPE INT_L TILEPROP INT_L_X26Y125 CLASS tile TILEPROP INT_L_X26Y125 COLUMN 68 TILEPROP INT_L_X26Y125 DEVICE_ID 0 TILEPROP INT_L_X26Y125 FIRST_SITE_ID 2579 TILEPROP INT_L_X26Y125 GRID_POINT_X 68 TILEPROP INT_L_X26Y125 GRID_POINT_Y 25 TILEPROP INT_L_X26Y125 INDEX 2943 TILEPROP INT_L_X26Y125 INT_TILE_X 26 TILEPROP INT_L_X26Y125 INT_TILE_Y 24 TILEPROP INT_L_X26Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y125 IS_DCM_TILE 0 TILEPROP INT_L_X26Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y125 NAME INT_L_X26Y125 TILEPROP INT_L_X26Y125 NUM_ARCS 3737 TILEPROP INT_L_X26Y125 NUM_SITES 1 TILEPROP INT_L_X26Y125 ROW 25 TILEPROP INT_L_X26Y125 SLR_REGION_ID 0 TILEPROP INT_L_X26Y125 TILE_PATTERN_IDX 4492 TILEPROP INT_L_X26Y125 TILE_TYPE INT_L TILEPROP INT_L_X26Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y125 TILE_X 21432 TILEPROP INT_L_X26Y125 TILE_Y 163896 TILEPROP INT_L_X26Y125 TYPE INT_L TILEPROP INT_L_X26Y126 CLASS tile TILEPROP INT_L_X26Y126 COLUMN 68 TILEPROP INT_L_X26Y126 DEVICE_ID 0 TILEPROP INT_L_X26Y126 FIRST_SITE_ID 2469 TILEPROP INT_L_X26Y126 GRID_POINT_X 68 TILEPROP INT_L_X26Y126 GRID_POINT_Y 24 TILEPROP INT_L_X26Y126 INDEX 2828 TILEPROP INT_L_X26Y126 INT_TILE_X 26 TILEPROP INT_L_X26Y126 INT_TILE_Y 23 TILEPROP INT_L_X26Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y126 IS_DCM_TILE 0 TILEPROP INT_L_X26Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y126 NAME INT_L_X26Y126 TILEPROP INT_L_X26Y126 NUM_ARCS 3737 TILEPROP INT_L_X26Y126 NUM_SITES 1 TILEPROP INT_L_X26Y126 ROW 24 TILEPROP INT_L_X26Y126 SLR_REGION_ID 0 TILEPROP INT_L_X26Y126 TILE_PATTERN_IDX 4453 TILEPROP INT_L_X26Y126 TILE_TYPE INT_L TILEPROP INT_L_X26Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y126 TILE_X 21432 TILEPROP INT_L_X26Y126 TILE_Y 167096 TILEPROP INT_L_X26Y126 TYPE INT_L TILEPROP INT_L_X26Y127 CLASS tile TILEPROP INT_L_X26Y127 COLUMN 68 TILEPROP INT_L_X26Y127 DEVICE_ID 0 TILEPROP INT_L_X26Y127 FIRST_SITE_ID 2373 TILEPROP INT_L_X26Y127 GRID_POINT_X 68 TILEPROP INT_L_X26Y127 GRID_POINT_Y 23 TILEPROP INT_L_X26Y127 INDEX 2713 TILEPROP INT_L_X26Y127 INT_TILE_X 26 TILEPROP INT_L_X26Y127 INT_TILE_Y 22 TILEPROP INT_L_X26Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y127 IS_DCM_TILE 0 TILEPROP INT_L_X26Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y127 NAME INT_L_X26Y127 TILEPROP INT_L_X26Y127 NUM_ARCS 3737 TILEPROP INT_L_X26Y127 NUM_SITES 1 TILEPROP INT_L_X26Y127 ROW 23 TILEPROP INT_L_X26Y127 SLR_REGION_ID 0 TILEPROP INT_L_X26Y127 TILE_PATTERN_IDX 4415 TILEPROP INT_L_X26Y127 TILE_TYPE INT_L TILEPROP INT_L_X26Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y127 TILE_X 21432 TILEPROP INT_L_X26Y127 TILE_Y 170296 TILEPROP INT_L_X26Y127 TYPE INT_L TILEPROP INT_L_X26Y128 CLASS tile TILEPROP INT_L_X26Y128 COLUMN 68 TILEPROP INT_L_X26Y128 DEVICE_ID 0 TILEPROP INT_L_X26Y128 FIRST_SITE_ID 2269 TILEPROP INT_L_X26Y128 GRID_POINT_X 68 TILEPROP INT_L_X26Y128 GRID_POINT_Y 22 TILEPROP INT_L_X26Y128 INDEX 2598 TILEPROP INT_L_X26Y128 INT_TILE_X 26 TILEPROP INT_L_X26Y128 INT_TILE_Y 21 TILEPROP INT_L_X26Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y128 IS_DCM_TILE 0 TILEPROP INT_L_X26Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y128 NAME INT_L_X26Y128 TILEPROP INT_L_X26Y128 NUM_ARCS 3737 TILEPROP INT_L_X26Y128 NUM_SITES 1 TILEPROP INT_L_X26Y128 ROW 22 TILEPROP INT_L_X26Y128 SLR_REGION_ID 0 TILEPROP INT_L_X26Y128 TILE_PATTERN_IDX 4376 TILEPROP INT_L_X26Y128 TILE_TYPE INT_L TILEPROP INT_L_X26Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y128 TILE_X 21432 TILEPROP INT_L_X26Y128 TILE_Y 173496 TILEPROP INT_L_X26Y128 TYPE INT_L TILEPROP INT_L_X26Y129 CLASS tile TILEPROP INT_L_X26Y129 COLUMN 68 TILEPROP INT_L_X26Y129 DEVICE_ID 0 TILEPROP INT_L_X26Y129 FIRST_SITE_ID 2173 TILEPROP INT_L_X26Y129 GRID_POINT_X 68 TILEPROP INT_L_X26Y129 GRID_POINT_Y 21 TILEPROP INT_L_X26Y129 INDEX 2483 TILEPROP INT_L_X26Y129 INT_TILE_X 26 TILEPROP INT_L_X26Y129 INT_TILE_Y 20 TILEPROP INT_L_X26Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y129 IS_DCM_TILE 0 TILEPROP INT_L_X26Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y129 NAME INT_L_X26Y129 TILEPROP INT_L_X26Y129 NUM_ARCS 3737 TILEPROP INT_L_X26Y129 NUM_SITES 1 TILEPROP INT_L_X26Y129 ROW 21 TILEPROP INT_L_X26Y129 SLR_REGION_ID 0 TILEPROP INT_L_X26Y129 TILE_PATTERN_IDX 4338 TILEPROP INT_L_X26Y129 TILE_TYPE INT_L TILEPROP INT_L_X26Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y129 TILE_X 21432 TILEPROP INT_L_X26Y129 TILE_Y 176696 TILEPROP INT_L_X26Y129 TYPE INT_L TILEPROP INT_L_X26Y130 CLASS tile TILEPROP INT_L_X26Y130 COLUMN 68 TILEPROP INT_L_X26Y130 DEVICE_ID 0 TILEPROP INT_L_X26Y130 FIRST_SITE_ID 2057 TILEPROP INT_L_X26Y130 GRID_POINT_X 68 TILEPROP INT_L_X26Y130 GRID_POINT_Y 20 TILEPROP INT_L_X26Y130 INDEX 2368 TILEPROP INT_L_X26Y130 INT_TILE_X 26 TILEPROP INT_L_X26Y130 INT_TILE_Y 19 TILEPROP INT_L_X26Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y130 IS_DCM_TILE 0 TILEPROP INT_L_X26Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y130 NAME INT_L_X26Y130 TILEPROP INT_L_X26Y130 NUM_ARCS 3737 TILEPROP INT_L_X26Y130 NUM_SITES 1 TILEPROP INT_L_X26Y130 ROW 20 TILEPROP INT_L_X26Y130 SLR_REGION_ID 0 TILEPROP INT_L_X26Y130 TILE_PATTERN_IDX 4298 TILEPROP INT_L_X26Y130 TILE_TYPE INT_L TILEPROP INT_L_X26Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y130 TILE_X 21432 TILEPROP INT_L_X26Y130 TILE_Y 179896 TILEPROP INT_L_X26Y130 TYPE INT_L TILEPROP INT_L_X26Y131 CLASS tile TILEPROP INT_L_X26Y131 COLUMN 68 TILEPROP INT_L_X26Y131 DEVICE_ID 0 TILEPROP INT_L_X26Y131 FIRST_SITE_ID 1955 TILEPROP INT_L_X26Y131 GRID_POINT_X 68 TILEPROP INT_L_X26Y131 GRID_POINT_Y 19 TILEPROP INT_L_X26Y131 INDEX 2253 TILEPROP INT_L_X26Y131 INT_TILE_X 26 TILEPROP INT_L_X26Y131 INT_TILE_Y 18 TILEPROP INT_L_X26Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y131 IS_DCM_TILE 0 TILEPROP INT_L_X26Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y131 NAME INT_L_X26Y131 TILEPROP INT_L_X26Y131 NUM_ARCS 3737 TILEPROP INT_L_X26Y131 NUM_SITES 1 TILEPROP INT_L_X26Y131 ROW 19 TILEPROP INT_L_X26Y131 SLR_REGION_ID 0 TILEPROP INT_L_X26Y131 TILE_PATTERN_IDX 4260 TILEPROP INT_L_X26Y131 TILE_TYPE INT_L TILEPROP INT_L_X26Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y131 TILE_X 21432 TILEPROP INT_L_X26Y131 TILE_Y 183096 TILEPROP INT_L_X26Y131 TYPE INT_L TILEPROP INT_L_X26Y132 CLASS tile TILEPROP INT_L_X26Y132 COLUMN 68 TILEPROP INT_L_X26Y132 DEVICE_ID 0 TILEPROP INT_L_X26Y132 FIRST_SITE_ID 1849 TILEPROP INT_L_X26Y132 GRID_POINT_X 68 TILEPROP INT_L_X26Y132 GRID_POINT_Y 18 TILEPROP INT_L_X26Y132 INDEX 2138 TILEPROP INT_L_X26Y132 INT_TILE_X 26 TILEPROP INT_L_X26Y132 INT_TILE_Y 17 TILEPROP INT_L_X26Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y132 IS_DCM_TILE 0 TILEPROP INT_L_X26Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y132 NAME INT_L_X26Y132 TILEPROP INT_L_X26Y132 NUM_ARCS 3737 TILEPROP INT_L_X26Y132 NUM_SITES 1 TILEPROP INT_L_X26Y132 ROW 18 TILEPROP INT_L_X26Y132 SLR_REGION_ID 0 TILEPROP INT_L_X26Y132 TILE_PATTERN_IDX 4221 TILEPROP INT_L_X26Y132 TILE_TYPE INT_L TILEPROP INT_L_X26Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y132 TILE_X 21432 TILEPROP INT_L_X26Y132 TILE_Y 186296 TILEPROP INT_L_X26Y132 TYPE INT_L TILEPROP INT_L_X26Y133 CLASS tile TILEPROP INT_L_X26Y133 COLUMN 68 TILEPROP INT_L_X26Y133 DEVICE_ID 0 TILEPROP INT_L_X26Y133 FIRST_SITE_ID 1748 TILEPROP INT_L_X26Y133 GRID_POINT_X 68 TILEPROP INT_L_X26Y133 GRID_POINT_Y 17 TILEPROP INT_L_X26Y133 INDEX 2023 TILEPROP INT_L_X26Y133 INT_TILE_X 26 TILEPROP INT_L_X26Y133 INT_TILE_Y 16 TILEPROP INT_L_X26Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y133 IS_DCM_TILE 0 TILEPROP INT_L_X26Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y133 NAME INT_L_X26Y133 TILEPROP INT_L_X26Y133 NUM_ARCS 3737 TILEPROP INT_L_X26Y133 NUM_SITES 1 TILEPROP INT_L_X26Y133 ROW 17 TILEPROP INT_L_X26Y133 SLR_REGION_ID 0 TILEPROP INT_L_X26Y133 TILE_PATTERN_IDX 4183 TILEPROP INT_L_X26Y133 TILE_TYPE INT_L TILEPROP INT_L_X26Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y133 TILE_X 21432 TILEPROP INT_L_X26Y133 TILE_Y 189496 TILEPROP INT_L_X26Y133 TYPE INT_L TILEPROP INT_L_X26Y134 CLASS tile TILEPROP INT_L_X26Y134 COLUMN 68 TILEPROP INT_L_X26Y134 DEVICE_ID 0 TILEPROP INT_L_X26Y134 FIRST_SITE_ID 1644 TILEPROP INT_L_X26Y134 GRID_POINT_X 68 TILEPROP INT_L_X26Y134 GRID_POINT_Y 16 TILEPROP INT_L_X26Y134 INDEX 1908 TILEPROP INT_L_X26Y134 INT_TILE_X 26 TILEPROP INT_L_X26Y134 INT_TILE_Y 15 TILEPROP INT_L_X26Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y134 IS_DCM_TILE 0 TILEPROP INT_L_X26Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y134 NAME INT_L_X26Y134 TILEPROP INT_L_X26Y134 NUM_ARCS 3737 TILEPROP INT_L_X26Y134 NUM_SITES 1 TILEPROP INT_L_X26Y134 ROW 16 TILEPROP INT_L_X26Y134 SLR_REGION_ID 0 TILEPROP INT_L_X26Y134 TILE_PATTERN_IDX 4144 TILEPROP INT_L_X26Y134 TILE_TYPE INT_L TILEPROP INT_L_X26Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y134 TILE_X 21432 TILEPROP INT_L_X26Y134 TILE_Y 192696 TILEPROP INT_L_X26Y134 TYPE INT_L TILEPROP INT_L_X26Y135 CLASS tile TILEPROP INT_L_X26Y135 COLUMN 68 TILEPROP INT_L_X26Y135 DEVICE_ID 0 TILEPROP INT_L_X26Y135 FIRST_SITE_ID 1542 TILEPROP INT_L_X26Y135 GRID_POINT_X 68 TILEPROP INT_L_X26Y135 GRID_POINT_Y 15 TILEPROP INT_L_X26Y135 INDEX 1793 TILEPROP INT_L_X26Y135 INT_TILE_X 26 TILEPROP INT_L_X26Y135 INT_TILE_Y 14 TILEPROP INT_L_X26Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y135 IS_DCM_TILE 0 TILEPROP INT_L_X26Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y135 NAME INT_L_X26Y135 TILEPROP INT_L_X26Y135 NUM_ARCS 3737 TILEPROP INT_L_X26Y135 NUM_SITES 1 TILEPROP INT_L_X26Y135 ROW 15 TILEPROP INT_L_X26Y135 SLR_REGION_ID 0 TILEPROP INT_L_X26Y135 TILE_PATTERN_IDX 4106 TILEPROP INT_L_X26Y135 TILE_TYPE INT_L TILEPROP INT_L_X26Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y135 TILE_X 21432 TILEPROP INT_L_X26Y135 TILE_Y 195896 TILEPROP INT_L_X26Y135 TYPE INT_L TILEPROP INT_L_X26Y136 CLASS tile TILEPROP INT_L_X26Y136 COLUMN 68 TILEPROP INT_L_X26Y136 DEVICE_ID 0 TILEPROP INT_L_X26Y136 FIRST_SITE_ID 1432 TILEPROP INT_L_X26Y136 GRID_POINT_X 68 TILEPROP INT_L_X26Y136 GRID_POINT_Y 14 TILEPROP INT_L_X26Y136 INDEX 1678 TILEPROP INT_L_X26Y136 INT_TILE_X 26 TILEPROP INT_L_X26Y136 INT_TILE_Y 13 TILEPROP INT_L_X26Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y136 IS_DCM_TILE 0 TILEPROP INT_L_X26Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y136 NAME INT_L_X26Y136 TILEPROP INT_L_X26Y136 NUM_ARCS 3737 TILEPROP INT_L_X26Y136 NUM_SITES 1 TILEPROP INT_L_X26Y136 ROW 14 TILEPROP INT_L_X26Y136 SLR_REGION_ID 0 TILEPROP INT_L_X26Y136 TILE_PATTERN_IDX 4067 TILEPROP INT_L_X26Y136 TILE_TYPE INT_L TILEPROP INT_L_X26Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y136 TILE_X 21432 TILEPROP INT_L_X26Y136 TILE_Y 199096 TILEPROP INT_L_X26Y136 TYPE INT_L TILEPROP INT_L_X26Y137 CLASS tile TILEPROP INT_L_X26Y137 COLUMN 68 TILEPROP INT_L_X26Y137 DEVICE_ID 0 TILEPROP INT_L_X26Y137 FIRST_SITE_ID 1304 TILEPROP INT_L_X26Y137 GRID_POINT_X 68 TILEPROP INT_L_X26Y137 GRID_POINT_Y 13 TILEPROP INT_L_X26Y137 INDEX 1563 TILEPROP INT_L_X26Y137 INT_TILE_X 26 TILEPROP INT_L_X26Y137 INT_TILE_Y 12 TILEPROP INT_L_X26Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y137 IS_DCM_TILE 0 TILEPROP INT_L_X26Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y137 NAME INT_L_X26Y137 TILEPROP INT_L_X26Y137 NUM_ARCS 3737 TILEPROP INT_L_X26Y137 NUM_SITES 1 TILEPROP INT_L_X26Y137 ROW 13 TILEPROP INT_L_X26Y137 SLR_REGION_ID 0 TILEPROP INT_L_X26Y137 TILE_PATTERN_IDX 4029 TILEPROP INT_L_X26Y137 TILE_TYPE INT_L TILEPROP INT_L_X26Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y137 TILE_X 21432 TILEPROP INT_L_X26Y137 TILE_Y 202296 TILEPROP INT_L_X26Y137 TYPE INT_L TILEPROP INT_L_X26Y138 CLASS tile TILEPROP INT_L_X26Y138 COLUMN 68 TILEPROP INT_L_X26Y138 DEVICE_ID 0 TILEPROP INT_L_X26Y138 FIRST_SITE_ID 1200 TILEPROP INT_L_X26Y138 GRID_POINT_X 68 TILEPROP INT_L_X26Y138 GRID_POINT_Y 12 TILEPROP INT_L_X26Y138 INDEX 1448 TILEPROP INT_L_X26Y138 INT_TILE_X 26 TILEPROP INT_L_X26Y138 INT_TILE_Y 11 TILEPROP INT_L_X26Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y138 IS_DCM_TILE 0 TILEPROP INT_L_X26Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y138 NAME INT_L_X26Y138 TILEPROP INT_L_X26Y138 NUM_ARCS 3737 TILEPROP INT_L_X26Y138 NUM_SITES 1 TILEPROP INT_L_X26Y138 ROW 12 TILEPROP INT_L_X26Y138 SLR_REGION_ID 0 TILEPROP INT_L_X26Y138 TILE_PATTERN_IDX 3990 TILEPROP INT_L_X26Y138 TILE_TYPE INT_L TILEPROP INT_L_X26Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y138 TILE_X 21432 TILEPROP INT_L_X26Y138 TILE_Y 205496 TILEPROP INT_L_X26Y138 TYPE INT_L TILEPROP INT_L_X26Y139 CLASS tile TILEPROP INT_L_X26Y139 COLUMN 68 TILEPROP INT_L_X26Y139 DEVICE_ID 0 TILEPROP INT_L_X26Y139 FIRST_SITE_ID 1104 TILEPROP INT_L_X26Y139 GRID_POINT_X 68 TILEPROP INT_L_X26Y139 GRID_POINT_Y 11 TILEPROP INT_L_X26Y139 INDEX 1333 TILEPROP INT_L_X26Y139 INT_TILE_X 26 TILEPROP INT_L_X26Y139 INT_TILE_Y 10 TILEPROP INT_L_X26Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y139 IS_DCM_TILE 0 TILEPROP INT_L_X26Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y139 NAME INT_L_X26Y139 TILEPROP INT_L_X26Y139 NUM_ARCS 3737 TILEPROP INT_L_X26Y139 NUM_SITES 1 TILEPROP INT_L_X26Y139 ROW 11 TILEPROP INT_L_X26Y139 SLR_REGION_ID 0 TILEPROP INT_L_X26Y139 TILE_PATTERN_IDX 3952 TILEPROP INT_L_X26Y139 TILE_TYPE INT_L TILEPROP INT_L_X26Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y139 TILE_X 21432 TILEPROP INT_L_X26Y139 TILE_Y 208696 TILEPROP INT_L_X26Y139 TYPE INT_L TILEPROP INT_L_X26Y140 CLASS tile TILEPROP INT_L_X26Y140 COLUMN 68 TILEPROP INT_L_X26Y140 DEVICE_ID 0 TILEPROP INT_L_X26Y140 FIRST_SITE_ID 994 TILEPROP INT_L_X26Y140 GRID_POINT_X 68 TILEPROP INT_L_X26Y140 GRID_POINT_Y 10 TILEPROP INT_L_X26Y140 INDEX 1218 TILEPROP INT_L_X26Y140 INT_TILE_X 26 TILEPROP INT_L_X26Y140 INT_TILE_Y 9 TILEPROP INT_L_X26Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y140 IS_DCM_TILE 0 TILEPROP INT_L_X26Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y140 NAME INT_L_X26Y140 TILEPROP INT_L_X26Y140 NUM_ARCS 3737 TILEPROP INT_L_X26Y140 NUM_SITES 1 TILEPROP INT_L_X26Y140 ROW 10 TILEPROP INT_L_X26Y140 SLR_REGION_ID 0 TILEPROP INT_L_X26Y140 TILE_PATTERN_IDX 3913 TILEPROP INT_L_X26Y140 TILE_TYPE INT_L TILEPROP INT_L_X26Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y140 TILE_X 21432 TILEPROP INT_L_X26Y140 TILE_Y 211896 TILEPROP INT_L_X26Y140 TYPE INT_L TILEPROP INT_L_X26Y141 CLASS tile TILEPROP INT_L_X26Y141 COLUMN 68 TILEPROP INT_L_X26Y141 DEVICE_ID 0 TILEPROP INT_L_X26Y141 FIRST_SITE_ID 892 TILEPROP INT_L_X26Y141 GRID_POINT_X 68 TILEPROP INT_L_X26Y141 GRID_POINT_Y 9 TILEPROP INT_L_X26Y141 INDEX 1103 TILEPROP INT_L_X26Y141 INT_TILE_X 26 TILEPROP INT_L_X26Y141 INT_TILE_Y 8 TILEPROP INT_L_X26Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y141 IS_DCM_TILE 0 TILEPROP INT_L_X26Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y141 NAME INT_L_X26Y141 TILEPROP INT_L_X26Y141 NUM_ARCS 3737 TILEPROP INT_L_X26Y141 NUM_SITES 1 TILEPROP INT_L_X26Y141 ROW 9 TILEPROP INT_L_X26Y141 SLR_REGION_ID 0 TILEPROP INT_L_X26Y141 TILE_PATTERN_IDX 3875 TILEPROP INT_L_X26Y141 TILE_TYPE INT_L TILEPROP INT_L_X26Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y141 TILE_X 21432 TILEPROP INT_L_X26Y141 TILE_Y 215096 TILEPROP INT_L_X26Y141 TYPE INT_L TILEPROP INT_L_X26Y142 CLASS tile TILEPROP INT_L_X26Y142 COLUMN 68 TILEPROP INT_L_X26Y142 DEVICE_ID 0 TILEPROP INT_L_X26Y142 FIRST_SITE_ID 788 TILEPROP INT_L_X26Y142 GRID_POINT_X 68 TILEPROP INT_L_X26Y142 GRID_POINT_Y 8 TILEPROP INT_L_X26Y142 INDEX 988 TILEPROP INT_L_X26Y142 INT_TILE_X 26 TILEPROP INT_L_X26Y142 INT_TILE_Y 7 TILEPROP INT_L_X26Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y142 IS_DCM_TILE 0 TILEPROP INT_L_X26Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y142 NAME INT_L_X26Y142 TILEPROP INT_L_X26Y142 NUM_ARCS 3737 TILEPROP INT_L_X26Y142 NUM_SITES 1 TILEPROP INT_L_X26Y142 ROW 8 TILEPROP INT_L_X26Y142 SLR_REGION_ID 0 TILEPROP INT_L_X26Y142 TILE_PATTERN_IDX 3836 TILEPROP INT_L_X26Y142 TILE_TYPE INT_L TILEPROP INT_L_X26Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y142 TILE_X 21432 TILEPROP INT_L_X26Y142 TILE_Y 218296 TILEPROP INT_L_X26Y142 TYPE INT_L TILEPROP INT_L_X26Y143 CLASS tile TILEPROP INT_L_X26Y143 COLUMN 68 TILEPROP INT_L_X26Y143 DEVICE_ID 0 TILEPROP INT_L_X26Y143 FIRST_SITE_ID 691 TILEPROP INT_L_X26Y143 GRID_POINT_X 68 TILEPROP INT_L_X26Y143 GRID_POINT_Y 7 TILEPROP INT_L_X26Y143 INDEX 873 TILEPROP INT_L_X26Y143 INT_TILE_X 26 TILEPROP INT_L_X26Y143 INT_TILE_Y 6 TILEPROP INT_L_X26Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y143 IS_DCM_TILE 0 TILEPROP INT_L_X26Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y143 NAME INT_L_X26Y143 TILEPROP INT_L_X26Y143 NUM_ARCS 3737 TILEPROP INT_L_X26Y143 NUM_SITES 1 TILEPROP INT_L_X26Y143 ROW 7 TILEPROP INT_L_X26Y143 SLR_REGION_ID 0 TILEPROP INT_L_X26Y143 TILE_PATTERN_IDX 3798 TILEPROP INT_L_X26Y143 TILE_TYPE INT_L TILEPROP INT_L_X26Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y143 TILE_X 21432 TILEPROP INT_L_X26Y143 TILE_Y 221496 TILEPROP INT_L_X26Y143 TYPE INT_L TILEPROP INT_L_X26Y144 CLASS tile TILEPROP INT_L_X26Y144 COLUMN 68 TILEPROP INT_L_X26Y144 DEVICE_ID 0 TILEPROP INT_L_X26Y144 FIRST_SITE_ID 580 TILEPROP INT_L_X26Y144 GRID_POINT_X 68 TILEPROP INT_L_X26Y144 GRID_POINT_Y 6 TILEPROP INT_L_X26Y144 INDEX 758 TILEPROP INT_L_X26Y144 INT_TILE_X 26 TILEPROP INT_L_X26Y144 INT_TILE_Y 5 TILEPROP INT_L_X26Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y144 IS_DCM_TILE 0 TILEPROP INT_L_X26Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y144 NAME INT_L_X26Y144 TILEPROP INT_L_X26Y144 NUM_ARCS 3737 TILEPROP INT_L_X26Y144 NUM_SITES 1 TILEPROP INT_L_X26Y144 ROW 6 TILEPROP INT_L_X26Y144 SLR_REGION_ID 0 TILEPROP INT_L_X26Y144 TILE_PATTERN_IDX 3759 TILEPROP INT_L_X26Y144 TILE_TYPE INT_L TILEPROP INT_L_X26Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y144 TILE_X 21432 TILEPROP INT_L_X26Y144 TILE_Y 224696 TILEPROP INT_L_X26Y144 TYPE INT_L TILEPROP INT_L_X26Y145 CLASS tile TILEPROP INT_L_X26Y145 COLUMN 68 TILEPROP INT_L_X26Y145 DEVICE_ID 0 TILEPROP INT_L_X26Y145 FIRST_SITE_ID 478 TILEPROP INT_L_X26Y145 GRID_POINT_X 68 TILEPROP INT_L_X26Y145 GRID_POINT_Y 5 TILEPROP INT_L_X26Y145 INDEX 643 TILEPROP INT_L_X26Y145 INT_TILE_X 26 TILEPROP INT_L_X26Y145 INT_TILE_Y 4 TILEPROP INT_L_X26Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y145 IS_DCM_TILE 0 TILEPROP INT_L_X26Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y145 NAME INT_L_X26Y145 TILEPROP INT_L_X26Y145 NUM_ARCS 3737 TILEPROP INT_L_X26Y145 NUM_SITES 1 TILEPROP INT_L_X26Y145 ROW 5 TILEPROP INT_L_X26Y145 SLR_REGION_ID 0 TILEPROP INT_L_X26Y145 TILE_PATTERN_IDX 3721 TILEPROP INT_L_X26Y145 TILE_TYPE INT_L TILEPROP INT_L_X26Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y145 TILE_X 21432 TILEPROP INT_L_X26Y145 TILE_Y 227896 TILEPROP INT_L_X26Y145 TYPE INT_L TILEPROP INT_L_X26Y146 CLASS tile TILEPROP INT_L_X26Y146 COLUMN 68 TILEPROP INT_L_X26Y146 DEVICE_ID 0 TILEPROP INT_L_X26Y146 FIRST_SITE_ID 368 TILEPROP INT_L_X26Y146 GRID_POINT_X 68 TILEPROP INT_L_X26Y146 GRID_POINT_Y 4 TILEPROP INT_L_X26Y146 INDEX 528 TILEPROP INT_L_X26Y146 INT_TILE_X 26 TILEPROP INT_L_X26Y146 INT_TILE_Y 3 TILEPROP INT_L_X26Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y146 IS_DCM_TILE 0 TILEPROP INT_L_X26Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y146 NAME INT_L_X26Y146 TILEPROP INT_L_X26Y146 NUM_ARCS 3737 TILEPROP INT_L_X26Y146 NUM_SITES 1 TILEPROP INT_L_X26Y146 ROW 4 TILEPROP INT_L_X26Y146 SLR_REGION_ID 0 TILEPROP INT_L_X26Y146 TILE_PATTERN_IDX 3682 TILEPROP INT_L_X26Y146 TILE_TYPE INT_L TILEPROP INT_L_X26Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y146 TILE_X 21432 TILEPROP INT_L_X26Y146 TILE_Y 231096 TILEPROP INT_L_X26Y146 TYPE INT_L TILEPROP INT_L_X26Y147 CLASS tile TILEPROP INT_L_X26Y147 COLUMN 68 TILEPROP INT_L_X26Y147 DEVICE_ID 0 TILEPROP INT_L_X26Y147 FIRST_SITE_ID 272 TILEPROP INT_L_X26Y147 GRID_POINT_X 68 TILEPROP INT_L_X26Y147 GRID_POINT_Y 3 TILEPROP INT_L_X26Y147 INDEX 413 TILEPROP INT_L_X26Y147 INT_TILE_X 26 TILEPROP INT_L_X26Y147 INT_TILE_Y 2 TILEPROP INT_L_X26Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y147 IS_DCM_TILE 0 TILEPROP INT_L_X26Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y147 NAME INT_L_X26Y147 TILEPROP INT_L_X26Y147 NUM_ARCS 3737 TILEPROP INT_L_X26Y147 NUM_SITES 1 TILEPROP INT_L_X26Y147 ROW 3 TILEPROP INT_L_X26Y147 SLR_REGION_ID 0 TILEPROP INT_L_X26Y147 TILE_PATTERN_IDX 3644 TILEPROP INT_L_X26Y147 TILE_TYPE INT_L TILEPROP INT_L_X26Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y147 TILE_X 21432 TILEPROP INT_L_X26Y147 TILE_Y 234296 TILEPROP INT_L_X26Y147 TYPE INT_L TILEPROP INT_L_X26Y148 CLASS tile TILEPROP INT_L_X26Y148 COLUMN 68 TILEPROP INT_L_X26Y148 DEVICE_ID 0 TILEPROP INT_L_X26Y148 FIRST_SITE_ID 168 TILEPROP INT_L_X26Y148 GRID_POINT_X 68 TILEPROP INT_L_X26Y148 GRID_POINT_Y 2 TILEPROP INT_L_X26Y148 INDEX 298 TILEPROP INT_L_X26Y148 INT_TILE_X 26 TILEPROP INT_L_X26Y148 INT_TILE_Y 1 TILEPROP INT_L_X26Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y148 IS_DCM_TILE 0 TILEPROP INT_L_X26Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y148 NAME INT_L_X26Y148 TILEPROP INT_L_X26Y148 NUM_ARCS 3737 TILEPROP INT_L_X26Y148 NUM_SITES 1 TILEPROP INT_L_X26Y148 ROW 2 TILEPROP INT_L_X26Y148 SLR_REGION_ID 0 TILEPROP INT_L_X26Y148 TILE_PATTERN_IDX 3605 TILEPROP INT_L_X26Y148 TILE_TYPE INT_L TILEPROP INT_L_X26Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y148 TILE_X 21432 TILEPROP INT_L_X26Y148 TILE_Y 237496 TILEPROP INT_L_X26Y148 TYPE INT_L TILEPROP INT_L_X26Y149 CLASS tile TILEPROP INT_L_X26Y149 COLUMN 68 TILEPROP INT_L_X26Y149 DEVICE_ID 0 TILEPROP INT_L_X26Y149 FIRST_SITE_ID 72 TILEPROP INT_L_X26Y149 GRID_POINT_X 68 TILEPROP INT_L_X26Y149 GRID_POINT_Y 1 TILEPROP INT_L_X26Y149 INDEX 183 TILEPROP INT_L_X26Y149 INT_TILE_X 26 TILEPROP INT_L_X26Y149 INT_TILE_Y 0 TILEPROP INT_L_X26Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X26Y149 IS_DCM_TILE 0 TILEPROP INT_L_X26Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X26Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X26Y149 NAME INT_L_X26Y149 TILEPROP INT_L_X26Y149 NUM_ARCS 3737 TILEPROP INT_L_X26Y149 NUM_SITES 1 TILEPROP INT_L_X26Y149 ROW 1 TILEPROP INT_L_X26Y149 SLR_REGION_ID 0 TILEPROP INT_L_X26Y149 TILE_PATTERN_IDX 3567 TILEPROP INT_L_X26Y149 TILE_TYPE INT_L TILEPROP INT_L_X26Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X26Y149 TILE_X 21432 TILEPROP INT_L_X26Y149 TILE_Y 240696 TILEPROP INT_L_X26Y149 TYPE INT_L TILEPROP INT_L_X28Y0 CLASS tile TILEPROP INT_L_X28Y0 COLUMN 72 TILEPROP INT_L_X28Y0 DEVICE_ID 0 TILEPROP INT_L_X28Y0 FIRST_SITE_ID 15768 TILEPROP INT_L_X28Y0 GRID_POINT_X 72 TILEPROP INT_L_X28Y0 GRID_POINT_Y 155 TILEPROP INT_L_X28Y0 INDEX 17897 TILEPROP INT_L_X28Y0 INT_TILE_X 28 TILEPROP INT_L_X28Y0 INT_TILE_Y 149 TILEPROP INT_L_X28Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y0 IS_DCM_TILE 0 TILEPROP INT_L_X28Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y0 NAME INT_L_X28Y0 TILEPROP INT_L_X28Y0 NUM_ARCS 3737 TILEPROP INT_L_X28Y0 NUM_SITES 1 TILEPROP INT_L_X28Y0 ROW 155 TILEPROP INT_L_X28Y0 SLR_REGION_ID 0 TILEPROP INT_L_X28Y0 TILE_PATTERN_IDX 8755 TILEPROP INT_L_X28Y0 TILE_TYPE INT_L TILEPROP INT_L_X28Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y0 TILE_X 29736 TILEPROP INT_L_X28Y0 TILE_Y -239672 TILEPROP INT_L_X28Y0 TYPE INT_L TILEPROP INT_L_X28Y1 CLASS tile TILEPROP INT_L_X28Y1 COLUMN 72 TILEPROP INT_L_X28Y1 DEVICE_ID 0 TILEPROP INT_L_X28Y1 FIRST_SITE_ID 15658 TILEPROP INT_L_X28Y1 GRID_POINT_X 72 TILEPROP INT_L_X28Y1 GRID_POINT_Y 154 TILEPROP INT_L_X28Y1 INDEX 17782 TILEPROP INT_L_X28Y1 INT_TILE_X 28 TILEPROP INT_L_X28Y1 INT_TILE_Y 148 TILEPROP INT_L_X28Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y1 IS_DCM_TILE 0 TILEPROP INT_L_X28Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y1 NAME INT_L_X28Y1 TILEPROP INT_L_X28Y1 NUM_ARCS 3737 TILEPROP INT_L_X28Y1 NUM_SITES 1 TILEPROP INT_L_X28Y1 ROW 154 TILEPROP INT_L_X28Y1 SLR_REGION_ID 0 TILEPROP INT_L_X28Y1 TILE_PATTERN_IDX 8724 TILEPROP INT_L_X28Y1 TILE_TYPE INT_L TILEPROP INT_L_X28Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y1 TILE_X 29736 TILEPROP INT_L_X28Y1 TILE_Y -236472 TILEPROP INT_L_X28Y1 TYPE INT_L TILEPROP INT_L_X28Y2 CLASS tile TILEPROP INT_L_X28Y2 COLUMN 72 TILEPROP INT_L_X28Y2 DEVICE_ID 0 TILEPROP INT_L_X28Y2 FIRST_SITE_ID 15557 TILEPROP INT_L_X28Y2 GRID_POINT_X 72 TILEPROP INT_L_X28Y2 GRID_POINT_Y 153 TILEPROP INT_L_X28Y2 INDEX 17667 TILEPROP INT_L_X28Y2 INT_TILE_X 28 TILEPROP INT_L_X28Y2 INT_TILE_Y 147 TILEPROP INT_L_X28Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y2 IS_DCM_TILE 0 TILEPROP INT_L_X28Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y2 NAME INT_L_X28Y2 TILEPROP INT_L_X28Y2 NUM_ARCS 3737 TILEPROP INT_L_X28Y2 NUM_SITES 1 TILEPROP INT_L_X28Y2 ROW 153 TILEPROP INT_L_X28Y2 SLR_REGION_ID 0 TILEPROP INT_L_X28Y2 TILE_PATTERN_IDX 8694 TILEPROP INT_L_X28Y2 TILE_TYPE INT_L TILEPROP INT_L_X28Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y2 TILE_X 29736 TILEPROP INT_L_X28Y2 TILE_Y -233272 TILEPROP INT_L_X28Y2 TYPE INT_L TILEPROP INT_L_X28Y3 CLASS tile TILEPROP INT_L_X28Y3 COLUMN 72 TILEPROP INT_L_X28Y3 DEVICE_ID 0 TILEPROP INT_L_X28Y3 FIRST_SITE_ID 15457 TILEPROP INT_L_X28Y3 GRID_POINT_X 72 TILEPROP INT_L_X28Y3 GRID_POINT_Y 152 TILEPROP INT_L_X28Y3 INDEX 17552 TILEPROP INT_L_X28Y3 INT_TILE_X 28 TILEPROP INT_L_X28Y3 INT_TILE_Y 146 TILEPROP INT_L_X28Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y3 IS_DCM_TILE 0 TILEPROP INT_L_X28Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y3 NAME INT_L_X28Y3 TILEPROP INT_L_X28Y3 NUM_ARCS 3737 TILEPROP INT_L_X28Y3 NUM_SITES 1 TILEPROP INT_L_X28Y3 ROW 152 TILEPROP INT_L_X28Y3 SLR_REGION_ID 0 TILEPROP INT_L_X28Y3 TILE_PATTERN_IDX 8663 TILEPROP INT_L_X28Y3 TILE_TYPE INT_L TILEPROP INT_L_X28Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y3 TILE_X 29736 TILEPROP INT_L_X28Y3 TILE_Y -230072 TILEPROP INT_L_X28Y3 TYPE INT_L TILEPROP INT_L_X28Y4 CLASS tile TILEPROP INT_L_X28Y4 COLUMN 72 TILEPROP INT_L_X28Y4 DEVICE_ID 0 TILEPROP INT_L_X28Y4 FIRST_SITE_ID 15357 TILEPROP INT_L_X28Y4 GRID_POINT_X 72 TILEPROP INT_L_X28Y4 GRID_POINT_Y 151 TILEPROP INT_L_X28Y4 INDEX 17437 TILEPROP INT_L_X28Y4 INT_TILE_X 28 TILEPROP INT_L_X28Y4 INT_TILE_Y 145 TILEPROP INT_L_X28Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y4 IS_DCM_TILE 0 TILEPROP INT_L_X28Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y4 NAME INT_L_X28Y4 TILEPROP INT_L_X28Y4 NUM_ARCS 3737 TILEPROP INT_L_X28Y4 NUM_SITES 1 TILEPROP INT_L_X28Y4 ROW 151 TILEPROP INT_L_X28Y4 SLR_REGION_ID 0 TILEPROP INT_L_X28Y4 TILE_PATTERN_IDX 8633 TILEPROP INT_L_X28Y4 TILE_TYPE INT_L TILEPROP INT_L_X28Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y4 TILE_X 29736 TILEPROP INT_L_X28Y4 TILE_Y -226872 TILEPROP INT_L_X28Y4 TYPE INT_L TILEPROP INT_L_X28Y5 CLASS tile TILEPROP INT_L_X28Y5 COLUMN 72 TILEPROP INT_L_X28Y5 DEVICE_ID 0 TILEPROP INT_L_X28Y5 FIRST_SITE_ID 15248 TILEPROP INT_L_X28Y5 GRID_POINT_X 72 TILEPROP INT_L_X28Y5 GRID_POINT_Y 150 TILEPROP INT_L_X28Y5 INDEX 17322 TILEPROP INT_L_X28Y5 INT_TILE_X 28 TILEPROP INT_L_X28Y5 INT_TILE_Y 144 TILEPROP INT_L_X28Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y5 IS_DCM_TILE 0 TILEPROP INT_L_X28Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y5 NAME INT_L_X28Y5 TILEPROP INT_L_X28Y5 NUM_ARCS 3737 TILEPROP INT_L_X28Y5 NUM_SITES 1 TILEPROP INT_L_X28Y5 ROW 150 TILEPROP INT_L_X28Y5 SLR_REGION_ID 0 TILEPROP INT_L_X28Y5 TILE_PATTERN_IDX 8602 TILEPROP INT_L_X28Y5 TILE_TYPE INT_L TILEPROP INT_L_X28Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y5 TILE_X 29736 TILEPROP INT_L_X28Y5 TILE_Y -223672 TILEPROP INT_L_X28Y5 TYPE INT_L TILEPROP INT_L_X28Y6 CLASS tile TILEPROP INT_L_X28Y6 COLUMN 72 TILEPROP INT_L_X28Y6 DEVICE_ID 0 TILEPROP INT_L_X28Y6 FIRST_SITE_ID 15142 TILEPROP INT_L_X28Y6 GRID_POINT_X 72 TILEPROP INT_L_X28Y6 GRID_POINT_Y 149 TILEPROP INT_L_X28Y6 INDEX 17207 TILEPROP INT_L_X28Y6 INT_TILE_X 28 TILEPROP INT_L_X28Y6 INT_TILE_Y 143 TILEPROP INT_L_X28Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y6 IS_DCM_TILE 0 TILEPROP INT_L_X28Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y6 NAME INT_L_X28Y6 TILEPROP INT_L_X28Y6 NUM_ARCS 3737 TILEPROP INT_L_X28Y6 NUM_SITES 1 TILEPROP INT_L_X28Y6 ROW 149 TILEPROP INT_L_X28Y6 SLR_REGION_ID 0 TILEPROP INT_L_X28Y6 TILE_PATTERN_IDX 8572 TILEPROP INT_L_X28Y6 TILE_TYPE INT_L TILEPROP INT_L_X28Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y6 TILE_X 29736 TILEPROP INT_L_X28Y6 TILE_Y -220472 TILEPROP INT_L_X28Y6 TYPE INT_L TILEPROP INT_L_X28Y7 CLASS tile TILEPROP INT_L_X28Y7 COLUMN 72 TILEPROP INT_L_X28Y7 DEVICE_ID 0 TILEPROP INT_L_X28Y7 FIRST_SITE_ID 15040 TILEPROP INT_L_X28Y7 GRID_POINT_X 72 TILEPROP INT_L_X28Y7 GRID_POINT_Y 148 TILEPROP INT_L_X28Y7 INDEX 17092 TILEPROP INT_L_X28Y7 INT_TILE_X 28 TILEPROP INT_L_X28Y7 INT_TILE_Y 142 TILEPROP INT_L_X28Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y7 IS_DCM_TILE 0 TILEPROP INT_L_X28Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y7 NAME INT_L_X28Y7 TILEPROP INT_L_X28Y7 NUM_ARCS 3737 TILEPROP INT_L_X28Y7 NUM_SITES 1 TILEPROP INT_L_X28Y7 ROW 148 TILEPROP INT_L_X28Y7 SLR_REGION_ID 0 TILEPROP INT_L_X28Y7 TILE_PATTERN_IDX 8541 TILEPROP INT_L_X28Y7 TILE_TYPE INT_L TILEPROP INT_L_X28Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y7 TILE_X 29736 TILEPROP INT_L_X28Y7 TILE_Y -217272 TILEPROP INT_L_X28Y7 TYPE INT_L TILEPROP INT_L_X28Y8 CLASS tile TILEPROP INT_L_X28Y8 COLUMN 72 TILEPROP INT_L_X28Y8 DEVICE_ID 0 TILEPROP INT_L_X28Y8 FIRST_SITE_ID 14937 TILEPROP INT_L_X28Y8 GRID_POINT_X 72 TILEPROP INT_L_X28Y8 GRID_POINT_Y 147 TILEPROP INT_L_X28Y8 INDEX 16977 TILEPROP INT_L_X28Y8 INT_TILE_X 28 TILEPROP INT_L_X28Y8 INT_TILE_Y 141 TILEPROP INT_L_X28Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y8 IS_DCM_TILE 0 TILEPROP INT_L_X28Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y8 NAME INT_L_X28Y8 TILEPROP INT_L_X28Y8 NUM_ARCS 3737 TILEPROP INT_L_X28Y8 NUM_SITES 1 TILEPROP INT_L_X28Y8 ROW 147 TILEPROP INT_L_X28Y8 SLR_REGION_ID 0 TILEPROP INT_L_X28Y8 TILE_PATTERN_IDX 8511 TILEPROP INT_L_X28Y8 TILE_TYPE INT_L TILEPROP INT_L_X28Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y8 TILE_X 29736 TILEPROP INT_L_X28Y8 TILE_Y -214072 TILEPROP INT_L_X28Y8 TYPE INT_L TILEPROP INT_L_X28Y9 CLASS tile TILEPROP INT_L_X28Y9 COLUMN 72 TILEPROP INT_L_X28Y9 DEVICE_ID 0 TILEPROP INT_L_X28Y9 FIRST_SITE_ID 14836 TILEPROP INT_L_X28Y9 GRID_POINT_X 72 TILEPROP INT_L_X28Y9 GRID_POINT_Y 146 TILEPROP INT_L_X28Y9 INDEX 16862 TILEPROP INT_L_X28Y9 INT_TILE_X 28 TILEPROP INT_L_X28Y9 INT_TILE_Y 140 TILEPROP INT_L_X28Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y9 IS_DCM_TILE 0 TILEPROP INT_L_X28Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y9 NAME INT_L_X28Y9 TILEPROP INT_L_X28Y9 NUM_ARCS 3737 TILEPROP INT_L_X28Y9 NUM_SITES 1 TILEPROP INT_L_X28Y9 ROW 146 TILEPROP INT_L_X28Y9 SLR_REGION_ID 0 TILEPROP INT_L_X28Y9 TILE_PATTERN_IDX 8480 TILEPROP INT_L_X28Y9 TILE_TYPE INT_L TILEPROP INT_L_X28Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y9 TILE_X 29736 TILEPROP INT_L_X28Y9 TILE_Y -210872 TILEPROP INT_L_X28Y9 TYPE INT_L TILEPROP INT_L_X28Y10 CLASS tile TILEPROP INT_L_X28Y10 COLUMN 72 TILEPROP INT_L_X28Y10 DEVICE_ID 0 TILEPROP INT_L_X28Y10 FIRST_SITE_ID 14727 TILEPROP INT_L_X28Y10 GRID_POINT_X 72 TILEPROP INT_L_X28Y10 GRID_POINT_Y 145 TILEPROP INT_L_X28Y10 INDEX 16747 TILEPROP INT_L_X28Y10 INT_TILE_X 28 TILEPROP INT_L_X28Y10 INT_TILE_Y 139 TILEPROP INT_L_X28Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y10 IS_DCM_TILE 0 TILEPROP INT_L_X28Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y10 NAME INT_L_X28Y10 TILEPROP INT_L_X28Y10 NUM_ARCS 3737 TILEPROP INT_L_X28Y10 NUM_SITES 1 TILEPROP INT_L_X28Y10 ROW 145 TILEPROP INT_L_X28Y10 SLR_REGION_ID 0 TILEPROP INT_L_X28Y10 TILE_PATTERN_IDX 8450 TILEPROP INT_L_X28Y10 TILE_TYPE INT_L TILEPROP INT_L_X28Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y10 TILE_X 29736 TILEPROP INT_L_X28Y10 TILE_Y -207672 TILEPROP INT_L_X28Y10 TYPE INT_L TILEPROP INT_L_X28Y11 CLASS tile TILEPROP INT_L_X28Y11 COLUMN 72 TILEPROP INT_L_X28Y11 DEVICE_ID 0 TILEPROP INT_L_X28Y11 FIRST_SITE_ID 14621 TILEPROP INT_L_X28Y11 GRID_POINT_X 72 TILEPROP INT_L_X28Y11 GRID_POINT_Y 144 TILEPROP INT_L_X28Y11 INDEX 16632 TILEPROP INT_L_X28Y11 INT_TILE_X 28 TILEPROP INT_L_X28Y11 INT_TILE_Y 138 TILEPROP INT_L_X28Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y11 IS_DCM_TILE 0 TILEPROP INT_L_X28Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y11 NAME INT_L_X28Y11 TILEPROP INT_L_X28Y11 NUM_ARCS 3737 TILEPROP INT_L_X28Y11 NUM_SITES 1 TILEPROP INT_L_X28Y11 ROW 144 TILEPROP INT_L_X28Y11 SLR_REGION_ID 0 TILEPROP INT_L_X28Y11 TILE_PATTERN_IDX 8419 TILEPROP INT_L_X28Y11 TILE_TYPE INT_L TILEPROP INT_L_X28Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y11 TILE_X 29736 TILEPROP INT_L_X28Y11 TILE_Y -204472 TILEPROP INT_L_X28Y11 TYPE INT_L TILEPROP INT_L_X28Y12 CLASS tile TILEPROP INT_L_X28Y12 COLUMN 72 TILEPROP INT_L_X28Y12 DEVICE_ID 0 TILEPROP INT_L_X28Y12 FIRST_SITE_ID 14521 TILEPROP INT_L_X28Y12 GRID_POINT_X 72 TILEPROP INT_L_X28Y12 GRID_POINT_Y 143 TILEPROP INT_L_X28Y12 INDEX 16517 TILEPROP INT_L_X28Y12 INT_TILE_X 28 TILEPROP INT_L_X28Y12 INT_TILE_Y 137 TILEPROP INT_L_X28Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y12 IS_DCM_TILE 0 TILEPROP INT_L_X28Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y12 NAME INT_L_X28Y12 TILEPROP INT_L_X28Y12 NUM_ARCS 3737 TILEPROP INT_L_X28Y12 NUM_SITES 1 TILEPROP INT_L_X28Y12 ROW 143 TILEPROP INT_L_X28Y12 SLR_REGION_ID 0 TILEPROP INT_L_X28Y12 TILE_PATTERN_IDX 8389 TILEPROP INT_L_X28Y12 TILE_TYPE INT_L TILEPROP INT_L_X28Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y12 TILE_X 29736 TILEPROP INT_L_X28Y12 TILE_Y -201272 TILEPROP INT_L_X28Y12 TYPE INT_L TILEPROP INT_L_X28Y13 CLASS tile TILEPROP INT_L_X28Y13 COLUMN 72 TILEPROP INT_L_X28Y13 DEVICE_ID 0 TILEPROP INT_L_X28Y13 FIRST_SITE_ID 14389 TILEPROP INT_L_X28Y13 GRID_POINT_X 72 TILEPROP INT_L_X28Y13 GRID_POINT_Y 142 TILEPROP INT_L_X28Y13 INDEX 16402 TILEPROP INT_L_X28Y13 INT_TILE_X 28 TILEPROP INT_L_X28Y13 INT_TILE_Y 136 TILEPROP INT_L_X28Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y13 IS_DCM_TILE 0 TILEPROP INT_L_X28Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y13 NAME INT_L_X28Y13 TILEPROP INT_L_X28Y13 NUM_ARCS 3737 TILEPROP INT_L_X28Y13 NUM_SITES 1 TILEPROP INT_L_X28Y13 ROW 142 TILEPROP INT_L_X28Y13 SLR_REGION_ID 0 TILEPROP INT_L_X28Y13 TILE_PATTERN_IDX 8358 TILEPROP INT_L_X28Y13 TILE_TYPE INT_L TILEPROP INT_L_X28Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y13 TILE_X 29736 TILEPROP INT_L_X28Y13 TILE_Y -198072 TILEPROP INT_L_X28Y13 TYPE INT_L TILEPROP INT_L_X28Y14 CLASS tile TILEPROP INT_L_X28Y14 COLUMN 72 TILEPROP INT_L_X28Y14 DEVICE_ID 0 TILEPROP INT_L_X28Y14 FIRST_SITE_ID 14289 TILEPROP INT_L_X28Y14 GRID_POINT_X 72 TILEPROP INT_L_X28Y14 GRID_POINT_Y 141 TILEPROP INT_L_X28Y14 INDEX 16287 TILEPROP INT_L_X28Y14 INT_TILE_X 28 TILEPROP INT_L_X28Y14 INT_TILE_Y 135 TILEPROP INT_L_X28Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y14 IS_DCM_TILE 0 TILEPROP INT_L_X28Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y14 NAME INT_L_X28Y14 TILEPROP INT_L_X28Y14 NUM_ARCS 3737 TILEPROP INT_L_X28Y14 NUM_SITES 1 TILEPROP INT_L_X28Y14 ROW 141 TILEPROP INT_L_X28Y14 SLR_REGION_ID 0 TILEPROP INT_L_X28Y14 TILE_PATTERN_IDX 8328 TILEPROP INT_L_X28Y14 TILE_TYPE INT_L TILEPROP INT_L_X28Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y14 TILE_X 29736 TILEPROP INT_L_X28Y14 TILE_Y -194872 TILEPROP INT_L_X28Y14 TYPE INT_L TILEPROP INT_L_X28Y15 CLASS tile TILEPROP INT_L_X28Y15 COLUMN 72 TILEPROP INT_L_X28Y15 DEVICE_ID 0 TILEPROP INT_L_X28Y15 FIRST_SITE_ID 14180 TILEPROP INT_L_X28Y15 GRID_POINT_X 72 TILEPROP INT_L_X28Y15 GRID_POINT_Y 140 TILEPROP INT_L_X28Y15 INDEX 16172 TILEPROP INT_L_X28Y15 INT_TILE_X 28 TILEPROP INT_L_X28Y15 INT_TILE_Y 134 TILEPROP INT_L_X28Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y15 IS_DCM_TILE 0 TILEPROP INT_L_X28Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y15 NAME INT_L_X28Y15 TILEPROP INT_L_X28Y15 NUM_ARCS 3737 TILEPROP INT_L_X28Y15 NUM_SITES 1 TILEPROP INT_L_X28Y15 ROW 140 TILEPROP INT_L_X28Y15 SLR_REGION_ID 0 TILEPROP INT_L_X28Y15 TILE_PATTERN_IDX 8297 TILEPROP INT_L_X28Y15 TILE_TYPE INT_L TILEPROP INT_L_X28Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y15 TILE_X 29736 TILEPROP INT_L_X28Y15 TILE_Y -191672 TILEPROP INT_L_X28Y15 TYPE INT_L TILEPROP INT_L_X28Y16 CLASS tile TILEPROP INT_L_X28Y16 COLUMN 72 TILEPROP INT_L_X28Y16 DEVICE_ID 0 TILEPROP INT_L_X28Y16 FIRST_SITE_ID 14074 TILEPROP INT_L_X28Y16 GRID_POINT_X 72 TILEPROP INT_L_X28Y16 GRID_POINT_Y 139 TILEPROP INT_L_X28Y16 INDEX 16057 TILEPROP INT_L_X28Y16 INT_TILE_X 28 TILEPROP INT_L_X28Y16 INT_TILE_Y 133 TILEPROP INT_L_X28Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y16 IS_DCM_TILE 0 TILEPROP INT_L_X28Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y16 NAME INT_L_X28Y16 TILEPROP INT_L_X28Y16 NUM_ARCS 3737 TILEPROP INT_L_X28Y16 NUM_SITES 1 TILEPROP INT_L_X28Y16 ROW 139 TILEPROP INT_L_X28Y16 SLR_REGION_ID 0 TILEPROP INT_L_X28Y16 TILE_PATTERN_IDX 8267 TILEPROP INT_L_X28Y16 TILE_TYPE INT_L TILEPROP INT_L_X28Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y16 TILE_X 29736 TILEPROP INT_L_X28Y16 TILE_Y -188472 TILEPROP INT_L_X28Y16 TYPE INT_L TILEPROP INT_L_X28Y17 CLASS tile TILEPROP INT_L_X28Y17 COLUMN 72 TILEPROP INT_L_X28Y17 DEVICE_ID 0 TILEPROP INT_L_X28Y17 FIRST_SITE_ID 13970 TILEPROP INT_L_X28Y17 GRID_POINT_X 72 TILEPROP INT_L_X28Y17 GRID_POINT_Y 138 TILEPROP INT_L_X28Y17 INDEX 15942 TILEPROP INT_L_X28Y17 INT_TILE_X 28 TILEPROP INT_L_X28Y17 INT_TILE_Y 132 TILEPROP INT_L_X28Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y17 IS_DCM_TILE 0 TILEPROP INT_L_X28Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y17 NAME INT_L_X28Y17 TILEPROP INT_L_X28Y17 NUM_ARCS 3737 TILEPROP INT_L_X28Y17 NUM_SITES 1 TILEPROP INT_L_X28Y17 ROW 138 TILEPROP INT_L_X28Y17 SLR_REGION_ID 0 TILEPROP INT_L_X28Y17 TILE_PATTERN_IDX 8235 TILEPROP INT_L_X28Y17 TILE_TYPE INT_L TILEPROP INT_L_X28Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y17 TILE_X 29736 TILEPROP INT_L_X28Y17 TILE_Y -185272 TILEPROP INT_L_X28Y17 TYPE INT_L TILEPROP INT_L_X28Y18 CLASS tile TILEPROP INT_L_X28Y18 COLUMN 72 TILEPROP INT_L_X28Y18 DEVICE_ID 0 TILEPROP INT_L_X28Y18 FIRST_SITE_ID 13865 TILEPROP INT_L_X28Y18 GRID_POINT_X 72 TILEPROP INT_L_X28Y18 GRID_POINT_Y 137 TILEPROP INT_L_X28Y18 INDEX 15827 TILEPROP INT_L_X28Y18 INT_TILE_X 28 TILEPROP INT_L_X28Y18 INT_TILE_Y 131 TILEPROP INT_L_X28Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y18 IS_DCM_TILE 0 TILEPROP INT_L_X28Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y18 NAME INT_L_X28Y18 TILEPROP INT_L_X28Y18 NUM_ARCS 3737 TILEPROP INT_L_X28Y18 NUM_SITES 1 TILEPROP INT_L_X28Y18 ROW 137 TILEPROP INT_L_X28Y18 SLR_REGION_ID 0 TILEPROP INT_L_X28Y18 TILE_PATTERN_IDX 8212 TILEPROP INT_L_X28Y18 TILE_TYPE INT_L TILEPROP INT_L_X28Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y18 TILE_X 29736 TILEPROP INT_L_X28Y18 TILE_Y -182072 TILEPROP INT_L_X28Y18 TYPE INT_L TILEPROP INT_L_X28Y19 CLASS tile TILEPROP INT_L_X28Y19 COLUMN 72 TILEPROP INT_L_X28Y19 DEVICE_ID 0 TILEPROP INT_L_X28Y19 FIRST_SITE_ID 13763 TILEPROP INT_L_X28Y19 GRID_POINT_X 72 TILEPROP INT_L_X28Y19 GRID_POINT_Y 136 TILEPROP INT_L_X28Y19 INDEX 15712 TILEPROP INT_L_X28Y19 INT_TILE_X 28 TILEPROP INT_L_X28Y19 INT_TILE_Y 130 TILEPROP INT_L_X28Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y19 IS_DCM_TILE 0 TILEPROP INT_L_X28Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y19 NAME INT_L_X28Y19 TILEPROP INT_L_X28Y19 NUM_ARCS 3737 TILEPROP INT_L_X28Y19 NUM_SITES 1 TILEPROP INT_L_X28Y19 ROW 136 TILEPROP INT_L_X28Y19 SLR_REGION_ID 0 TILEPROP INT_L_X28Y19 TILE_PATTERN_IDX 8189 TILEPROP INT_L_X28Y19 TILE_TYPE INT_L TILEPROP INT_L_X28Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y19 TILE_X 29736 TILEPROP INT_L_X28Y19 TILE_Y -178872 TILEPROP INT_L_X28Y19 TYPE INT_L TILEPROP INT_L_X28Y20 CLASS tile TILEPROP INT_L_X28Y20 COLUMN 72 TILEPROP INT_L_X28Y20 DEVICE_ID 0 TILEPROP INT_L_X28Y20 FIRST_SITE_ID 13652 TILEPROP INT_L_X28Y20 GRID_POINT_X 72 TILEPROP INT_L_X28Y20 GRID_POINT_Y 135 TILEPROP INT_L_X28Y20 INDEX 15597 TILEPROP INT_L_X28Y20 INT_TILE_X 28 TILEPROP INT_L_X28Y20 INT_TILE_Y 129 TILEPROP INT_L_X28Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y20 IS_DCM_TILE 0 TILEPROP INT_L_X28Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y20 NAME INT_L_X28Y20 TILEPROP INT_L_X28Y20 NUM_ARCS 3737 TILEPROP INT_L_X28Y20 NUM_SITES 1 TILEPROP INT_L_X28Y20 ROW 135 TILEPROP INT_L_X28Y20 SLR_REGION_ID 0 TILEPROP INT_L_X28Y20 TILE_PATTERN_IDX 8167 TILEPROP INT_L_X28Y20 TILE_TYPE INT_L TILEPROP INT_L_X28Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y20 TILE_X 29736 TILEPROP INT_L_X28Y20 TILE_Y -175672 TILEPROP INT_L_X28Y20 TYPE INT_L TILEPROP INT_L_X28Y21 CLASS tile TILEPROP INT_L_X28Y21 COLUMN 72 TILEPROP INT_L_X28Y21 DEVICE_ID 0 TILEPROP INT_L_X28Y21 FIRST_SITE_ID 13546 TILEPROP INT_L_X28Y21 GRID_POINT_X 72 TILEPROP INT_L_X28Y21 GRID_POINT_Y 134 TILEPROP INT_L_X28Y21 INDEX 15482 TILEPROP INT_L_X28Y21 INT_TILE_X 28 TILEPROP INT_L_X28Y21 INT_TILE_Y 128 TILEPROP INT_L_X28Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y21 IS_DCM_TILE 0 TILEPROP INT_L_X28Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y21 NAME INT_L_X28Y21 TILEPROP INT_L_X28Y21 NUM_ARCS 3737 TILEPROP INT_L_X28Y21 NUM_SITES 1 TILEPROP INT_L_X28Y21 ROW 134 TILEPROP INT_L_X28Y21 SLR_REGION_ID 0 TILEPROP INT_L_X28Y21 TILE_PATTERN_IDX 8138 TILEPROP INT_L_X28Y21 TILE_TYPE INT_L TILEPROP INT_L_X28Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y21 TILE_X 29736 TILEPROP INT_L_X28Y21 TILE_Y -172472 TILEPROP INT_L_X28Y21 TYPE INT_L TILEPROP INT_L_X28Y22 CLASS tile TILEPROP INT_L_X28Y22 COLUMN 72 TILEPROP INT_L_X28Y22 DEVICE_ID 0 TILEPROP INT_L_X28Y22 FIRST_SITE_ID 13446 TILEPROP INT_L_X28Y22 GRID_POINT_X 72 TILEPROP INT_L_X28Y22 GRID_POINT_Y 133 TILEPROP INT_L_X28Y22 INDEX 15367 TILEPROP INT_L_X28Y22 INT_TILE_X 28 TILEPROP INT_L_X28Y22 INT_TILE_Y 127 TILEPROP INT_L_X28Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y22 IS_DCM_TILE 0 TILEPROP INT_L_X28Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y22 NAME INT_L_X28Y22 TILEPROP INT_L_X28Y22 NUM_ARCS 3737 TILEPROP INT_L_X28Y22 NUM_SITES 1 TILEPROP INT_L_X28Y22 ROW 133 TILEPROP INT_L_X28Y22 SLR_REGION_ID 0 TILEPROP INT_L_X28Y22 TILE_PATTERN_IDX 8110 TILEPROP INT_L_X28Y22 TILE_TYPE INT_L TILEPROP INT_L_X28Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y22 TILE_X 29736 TILEPROP INT_L_X28Y22 TILE_Y -169272 TILEPROP INT_L_X28Y22 TYPE INT_L TILEPROP INT_L_X28Y23 CLASS tile TILEPROP INT_L_X28Y23 COLUMN 72 TILEPROP INT_L_X28Y23 DEVICE_ID 0 TILEPROP INT_L_X28Y23 FIRST_SITE_ID 13346 TILEPROP INT_L_X28Y23 GRID_POINT_X 72 TILEPROP INT_L_X28Y23 GRID_POINT_Y 132 TILEPROP INT_L_X28Y23 INDEX 15252 TILEPROP INT_L_X28Y23 INT_TILE_X 28 TILEPROP INT_L_X28Y23 INT_TILE_Y 126 TILEPROP INT_L_X28Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y23 IS_DCM_TILE 0 TILEPROP INT_L_X28Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y23 NAME INT_L_X28Y23 TILEPROP INT_L_X28Y23 NUM_ARCS 3737 TILEPROP INT_L_X28Y23 NUM_SITES 1 TILEPROP INT_L_X28Y23 ROW 132 TILEPROP INT_L_X28Y23 SLR_REGION_ID 0 TILEPROP INT_L_X28Y23 TILE_PATTERN_IDX 8081 TILEPROP INT_L_X28Y23 TILE_TYPE INT_L TILEPROP INT_L_X28Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y23 TILE_X 29736 TILEPROP INT_L_X28Y23 TILE_Y -166072 TILEPROP INT_L_X28Y23 TYPE INT_L TILEPROP INT_L_X28Y24 CLASS tile TILEPROP INT_L_X28Y24 COLUMN 72 TILEPROP INT_L_X28Y24 DEVICE_ID 0 TILEPROP INT_L_X28Y24 FIRST_SITE_ID 13246 TILEPROP INT_L_X28Y24 GRID_POINT_X 72 TILEPROP INT_L_X28Y24 GRID_POINT_Y 131 TILEPROP INT_L_X28Y24 INDEX 15137 TILEPROP INT_L_X28Y24 INT_TILE_X 28 TILEPROP INT_L_X28Y24 INT_TILE_Y 125 TILEPROP INT_L_X28Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y24 IS_DCM_TILE 0 TILEPROP INT_L_X28Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y24 NAME INT_L_X28Y24 TILEPROP INT_L_X28Y24 NUM_ARCS 3737 TILEPROP INT_L_X28Y24 NUM_SITES 1 TILEPROP INT_L_X28Y24 ROW 131 TILEPROP INT_L_X28Y24 SLR_REGION_ID 0 TILEPROP INT_L_X28Y24 TILE_PATTERN_IDX 8053 TILEPROP INT_L_X28Y24 TILE_TYPE INT_L TILEPROP INT_L_X28Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y24 TILE_X 29736 TILEPROP INT_L_X28Y24 TILE_Y -162872 TILEPROP INT_L_X28Y24 TYPE INT_L TILEPROP INT_L_X28Y25 CLASS tile TILEPROP INT_L_X28Y25 COLUMN 72 TILEPROP INT_L_X28Y25 DEVICE_ID 0 TILEPROP INT_L_X28Y25 FIRST_SITE_ID 13054 TILEPROP INT_L_X28Y25 GRID_POINT_X 72 TILEPROP INT_L_X28Y25 GRID_POINT_Y 129 TILEPROP INT_L_X28Y25 INDEX 14907 TILEPROP INT_L_X28Y25 INT_TILE_X 28 TILEPROP INT_L_X28Y25 INT_TILE_Y 124 TILEPROP INT_L_X28Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y25 IS_DCM_TILE 0 TILEPROP INT_L_X28Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y25 NAME INT_L_X28Y25 TILEPROP INT_L_X28Y25 NUM_ARCS 3737 TILEPROP INT_L_X28Y25 NUM_SITES 1 TILEPROP INT_L_X28Y25 ROW 129 TILEPROP INT_L_X28Y25 SLR_REGION_ID 0 TILEPROP INT_L_X28Y25 TILE_PATTERN_IDX 7981 TILEPROP INT_L_X28Y25 TILE_TYPE INT_L TILEPROP INT_L_X28Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y25 TILE_X 29736 TILEPROP INT_L_X28Y25 TILE_Y -158648 TILEPROP INT_L_X28Y25 TYPE INT_L TILEPROP INT_L_X28Y26 CLASS tile TILEPROP INT_L_X28Y26 COLUMN 72 TILEPROP INT_L_X28Y26 DEVICE_ID 0 TILEPROP INT_L_X28Y26 FIRST_SITE_ID 12948 TILEPROP INT_L_X28Y26 GRID_POINT_X 72 TILEPROP INT_L_X28Y26 GRID_POINT_Y 128 TILEPROP INT_L_X28Y26 INDEX 14792 TILEPROP INT_L_X28Y26 INT_TILE_X 28 TILEPROP INT_L_X28Y26 INT_TILE_Y 123 TILEPROP INT_L_X28Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y26 IS_DCM_TILE 0 TILEPROP INT_L_X28Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y26 NAME INT_L_X28Y26 TILEPROP INT_L_X28Y26 NUM_ARCS 3737 TILEPROP INT_L_X28Y26 NUM_SITES 1 TILEPROP INT_L_X28Y26 ROW 128 TILEPROP INT_L_X28Y26 SLR_REGION_ID 0 TILEPROP INT_L_X28Y26 TILE_PATTERN_IDX 7953 TILEPROP INT_L_X28Y26 TILE_TYPE INT_L TILEPROP INT_L_X28Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y26 TILE_X 29736 TILEPROP INT_L_X28Y26 TILE_Y -155448 TILEPROP INT_L_X28Y26 TYPE INT_L TILEPROP INT_L_X28Y27 CLASS tile TILEPROP INT_L_X28Y27 COLUMN 72 TILEPROP INT_L_X28Y27 DEVICE_ID 0 TILEPROP INT_L_X28Y27 FIRST_SITE_ID 12848 TILEPROP INT_L_X28Y27 GRID_POINT_X 72 TILEPROP INT_L_X28Y27 GRID_POINT_Y 127 TILEPROP INT_L_X28Y27 INDEX 14677 TILEPROP INT_L_X28Y27 INT_TILE_X 28 TILEPROP INT_L_X28Y27 INT_TILE_Y 122 TILEPROP INT_L_X28Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y27 IS_DCM_TILE 0 TILEPROP INT_L_X28Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y27 NAME INT_L_X28Y27 TILEPROP INT_L_X28Y27 NUM_ARCS 3737 TILEPROP INT_L_X28Y27 NUM_SITES 1 TILEPROP INT_L_X28Y27 ROW 127 TILEPROP INT_L_X28Y27 SLR_REGION_ID 0 TILEPROP INT_L_X28Y27 TILE_PATTERN_IDX 7924 TILEPROP INT_L_X28Y27 TILE_TYPE INT_L TILEPROP INT_L_X28Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y27 TILE_X 29736 TILEPROP INT_L_X28Y27 TILE_Y -152248 TILEPROP INT_L_X28Y27 TYPE INT_L TILEPROP INT_L_X28Y28 CLASS tile TILEPROP INT_L_X28Y28 COLUMN 72 TILEPROP INT_L_X28Y28 DEVICE_ID 0 TILEPROP INT_L_X28Y28 FIRST_SITE_ID 12748 TILEPROP INT_L_X28Y28 GRID_POINT_X 72 TILEPROP INT_L_X28Y28 GRID_POINT_Y 126 TILEPROP INT_L_X28Y28 INDEX 14562 TILEPROP INT_L_X28Y28 INT_TILE_X 28 TILEPROP INT_L_X28Y28 INT_TILE_Y 121 TILEPROP INT_L_X28Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y28 IS_DCM_TILE 0 TILEPROP INT_L_X28Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y28 NAME INT_L_X28Y28 TILEPROP INT_L_X28Y28 NUM_ARCS 3737 TILEPROP INT_L_X28Y28 NUM_SITES 1 TILEPROP INT_L_X28Y28 ROW 126 TILEPROP INT_L_X28Y28 SLR_REGION_ID 0 TILEPROP INT_L_X28Y28 TILE_PATTERN_IDX 7896 TILEPROP INT_L_X28Y28 TILE_TYPE INT_L TILEPROP INT_L_X28Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y28 TILE_X 29736 TILEPROP INT_L_X28Y28 TILE_Y -149048 TILEPROP INT_L_X28Y28 TYPE INT_L TILEPROP INT_L_X28Y29 CLASS tile TILEPROP INT_L_X28Y29 COLUMN 72 TILEPROP INT_L_X28Y29 DEVICE_ID 0 TILEPROP INT_L_X28Y29 FIRST_SITE_ID 12642 TILEPROP INT_L_X28Y29 GRID_POINT_X 72 TILEPROP INT_L_X28Y29 GRID_POINT_Y 125 TILEPROP INT_L_X28Y29 INDEX 14447 TILEPROP INT_L_X28Y29 INT_TILE_X 28 TILEPROP INT_L_X28Y29 INT_TILE_Y 120 TILEPROP INT_L_X28Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y29 IS_DCM_TILE 0 TILEPROP INT_L_X28Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y29 NAME INT_L_X28Y29 TILEPROP INT_L_X28Y29 NUM_ARCS 3737 TILEPROP INT_L_X28Y29 NUM_SITES 1 TILEPROP INT_L_X28Y29 ROW 125 TILEPROP INT_L_X28Y29 SLR_REGION_ID 0 TILEPROP INT_L_X28Y29 TILE_PATTERN_IDX 7872 TILEPROP INT_L_X28Y29 TILE_TYPE INT_L TILEPROP INT_L_X28Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y29 TILE_X 29736 TILEPROP INT_L_X28Y29 TILE_Y -145848 TILEPROP INT_L_X28Y29 TYPE INT_L TILEPROP INT_L_X28Y30 CLASS tile TILEPROP INT_L_X28Y30 COLUMN 72 TILEPROP INT_L_X28Y30 DEVICE_ID 0 TILEPROP INT_L_X28Y30 FIRST_SITE_ID 12527 TILEPROP INT_L_X28Y30 GRID_POINT_X 72 TILEPROP INT_L_X28Y30 GRID_POINT_Y 124 TILEPROP INT_L_X28Y30 INDEX 14332 TILEPROP INT_L_X28Y30 INT_TILE_X 28 TILEPROP INT_L_X28Y30 INT_TILE_Y 119 TILEPROP INT_L_X28Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y30 IS_DCM_TILE 0 TILEPROP INT_L_X28Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y30 NAME INT_L_X28Y30 TILEPROP INT_L_X28Y30 NUM_ARCS 3737 TILEPROP INT_L_X28Y30 NUM_SITES 1 TILEPROP INT_L_X28Y30 ROW 124 TILEPROP INT_L_X28Y30 SLR_REGION_ID 0 TILEPROP INT_L_X28Y30 TILE_PATTERN_IDX 7849 TILEPROP INT_L_X28Y30 TILE_TYPE INT_L TILEPROP INT_L_X28Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y30 TILE_X 29736 TILEPROP INT_L_X28Y30 TILE_Y -142648 TILEPROP INT_L_X28Y30 TYPE INT_L TILEPROP INT_L_X28Y31 CLASS tile TILEPROP INT_L_X28Y31 COLUMN 72 TILEPROP INT_L_X28Y31 DEVICE_ID 0 TILEPROP INT_L_X28Y31 FIRST_SITE_ID 12406 TILEPROP INT_L_X28Y31 GRID_POINT_X 72 TILEPROP INT_L_X28Y31 GRID_POINT_Y 123 TILEPROP INT_L_X28Y31 INDEX 14217 TILEPROP INT_L_X28Y31 INT_TILE_X 28 TILEPROP INT_L_X28Y31 INT_TILE_Y 118 TILEPROP INT_L_X28Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y31 IS_DCM_TILE 0 TILEPROP INT_L_X28Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y31 NAME INT_L_X28Y31 TILEPROP INT_L_X28Y31 NUM_ARCS 3737 TILEPROP INT_L_X28Y31 NUM_SITES 1 TILEPROP INT_L_X28Y31 ROW 123 TILEPROP INT_L_X28Y31 SLR_REGION_ID 0 TILEPROP INT_L_X28Y31 TILE_PATTERN_IDX 7826 TILEPROP INT_L_X28Y31 TILE_TYPE INT_L TILEPROP INT_L_X28Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y31 TILE_X 29736 TILEPROP INT_L_X28Y31 TILE_Y -139448 TILEPROP INT_L_X28Y31 TYPE INT_L TILEPROP INT_L_X28Y32 CLASS tile TILEPROP INT_L_X28Y32 COLUMN 72 TILEPROP INT_L_X28Y32 DEVICE_ID 0 TILEPROP INT_L_X28Y32 FIRST_SITE_ID 12304 TILEPROP INT_L_X28Y32 GRID_POINT_X 72 TILEPROP INT_L_X28Y32 GRID_POINT_Y 122 TILEPROP INT_L_X28Y32 INDEX 14102 TILEPROP INT_L_X28Y32 INT_TILE_X 28 TILEPROP INT_L_X28Y32 INT_TILE_Y 117 TILEPROP INT_L_X28Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y32 IS_DCM_TILE 0 TILEPROP INT_L_X28Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y32 NAME INT_L_X28Y32 TILEPROP INT_L_X28Y32 NUM_ARCS 3737 TILEPROP INT_L_X28Y32 NUM_SITES 1 TILEPROP INT_L_X28Y32 ROW 122 TILEPROP INT_L_X28Y32 SLR_REGION_ID 0 TILEPROP INT_L_X28Y32 TILE_PATTERN_IDX 7792 TILEPROP INT_L_X28Y32 TILE_TYPE INT_L TILEPROP INT_L_X28Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y32 TILE_X 29736 TILEPROP INT_L_X28Y32 TILE_Y -136248 TILEPROP INT_L_X28Y32 TYPE INT_L TILEPROP INT_L_X28Y33 CLASS tile TILEPROP INT_L_X28Y33 COLUMN 72 TILEPROP INT_L_X28Y33 DEVICE_ID 0 TILEPROP INT_L_X28Y33 FIRST_SITE_ID 12203 TILEPROP INT_L_X28Y33 GRID_POINT_X 72 TILEPROP INT_L_X28Y33 GRID_POINT_Y 121 TILEPROP INT_L_X28Y33 INDEX 13987 TILEPROP INT_L_X28Y33 INT_TILE_X 28 TILEPROP INT_L_X28Y33 INT_TILE_Y 116 TILEPROP INT_L_X28Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y33 IS_DCM_TILE 0 TILEPROP INT_L_X28Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y33 NAME INT_L_X28Y33 TILEPROP INT_L_X28Y33 NUM_ARCS 3737 TILEPROP INT_L_X28Y33 NUM_SITES 1 TILEPROP INT_L_X28Y33 ROW 121 TILEPROP INT_L_X28Y33 SLR_REGION_ID 0 TILEPROP INT_L_X28Y33 TILE_PATTERN_IDX 7763 TILEPROP INT_L_X28Y33 TILE_TYPE INT_L TILEPROP INT_L_X28Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y33 TILE_X 29736 TILEPROP INT_L_X28Y33 TILE_Y -133048 TILEPROP INT_L_X28Y33 TYPE INT_L TILEPROP INT_L_X28Y34 CLASS tile TILEPROP INT_L_X28Y34 COLUMN 72 TILEPROP INT_L_X28Y34 DEVICE_ID 0 TILEPROP INT_L_X28Y34 FIRST_SITE_ID 12103 TILEPROP INT_L_X28Y34 GRID_POINT_X 72 TILEPROP INT_L_X28Y34 GRID_POINT_Y 120 TILEPROP INT_L_X28Y34 INDEX 13872 TILEPROP INT_L_X28Y34 INT_TILE_X 28 TILEPROP INT_L_X28Y34 INT_TILE_Y 115 TILEPROP INT_L_X28Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y34 IS_DCM_TILE 0 TILEPROP INT_L_X28Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y34 NAME INT_L_X28Y34 TILEPROP INT_L_X28Y34 NUM_ARCS 3737 TILEPROP INT_L_X28Y34 NUM_SITES 1 TILEPROP INT_L_X28Y34 ROW 120 TILEPROP INT_L_X28Y34 SLR_REGION_ID 0 TILEPROP INT_L_X28Y34 TILE_PATTERN_IDX 7735 TILEPROP INT_L_X28Y34 TILE_TYPE INT_L TILEPROP INT_L_X28Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y34 TILE_X 29736 TILEPROP INT_L_X28Y34 TILE_Y -129848 TILEPROP INT_L_X28Y34 TYPE INT_L TILEPROP INT_L_X28Y35 CLASS tile TILEPROP INT_L_X28Y35 COLUMN 72 TILEPROP INT_L_X28Y35 DEVICE_ID 0 TILEPROP INT_L_X28Y35 FIRST_SITE_ID 11994 TILEPROP INT_L_X28Y35 GRID_POINT_X 72 TILEPROP INT_L_X28Y35 GRID_POINT_Y 119 TILEPROP INT_L_X28Y35 INDEX 13757 TILEPROP INT_L_X28Y35 INT_TILE_X 28 TILEPROP INT_L_X28Y35 INT_TILE_Y 114 TILEPROP INT_L_X28Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y35 IS_DCM_TILE 0 TILEPROP INT_L_X28Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y35 NAME INT_L_X28Y35 TILEPROP INT_L_X28Y35 NUM_ARCS 3737 TILEPROP INT_L_X28Y35 NUM_SITES 1 TILEPROP INT_L_X28Y35 ROW 119 TILEPROP INT_L_X28Y35 SLR_REGION_ID 0 TILEPROP INT_L_X28Y35 TILE_PATTERN_IDX 7706 TILEPROP INT_L_X28Y35 TILE_TYPE INT_L TILEPROP INT_L_X28Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y35 TILE_X 29736 TILEPROP INT_L_X28Y35 TILE_Y -126648 TILEPROP INT_L_X28Y35 TYPE INT_L TILEPROP INT_L_X28Y36 CLASS tile TILEPROP INT_L_X28Y36 COLUMN 72 TILEPROP INT_L_X28Y36 DEVICE_ID 0 TILEPROP INT_L_X28Y36 FIRST_SITE_ID 11888 TILEPROP INT_L_X28Y36 GRID_POINT_X 72 TILEPROP INT_L_X28Y36 GRID_POINT_Y 118 TILEPROP INT_L_X28Y36 INDEX 13642 TILEPROP INT_L_X28Y36 INT_TILE_X 28 TILEPROP INT_L_X28Y36 INT_TILE_Y 113 TILEPROP INT_L_X28Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y36 IS_DCM_TILE 0 TILEPROP INT_L_X28Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y36 NAME INT_L_X28Y36 TILEPROP INT_L_X28Y36 NUM_ARCS 3737 TILEPROP INT_L_X28Y36 NUM_SITES 1 TILEPROP INT_L_X28Y36 ROW 118 TILEPROP INT_L_X28Y36 SLR_REGION_ID 0 TILEPROP INT_L_X28Y36 TILE_PATTERN_IDX 7678 TILEPROP INT_L_X28Y36 TILE_TYPE INT_L TILEPROP INT_L_X28Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y36 TILE_X 29736 TILEPROP INT_L_X28Y36 TILE_Y -123448 TILEPROP INT_L_X28Y36 TYPE INT_L TILEPROP INT_L_X28Y37 CLASS tile TILEPROP INT_L_X28Y37 COLUMN 72 TILEPROP INT_L_X28Y37 DEVICE_ID 0 TILEPROP INT_L_X28Y37 FIRST_SITE_ID 11756 TILEPROP INT_L_X28Y37 GRID_POINT_X 72 TILEPROP INT_L_X28Y37 GRID_POINT_Y 117 TILEPROP INT_L_X28Y37 INDEX 13527 TILEPROP INT_L_X28Y37 INT_TILE_X 28 TILEPROP INT_L_X28Y37 INT_TILE_Y 112 TILEPROP INT_L_X28Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y37 IS_DCM_TILE 0 TILEPROP INT_L_X28Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y37 NAME INT_L_X28Y37 TILEPROP INT_L_X28Y37 NUM_ARCS 3737 TILEPROP INT_L_X28Y37 NUM_SITES 1 TILEPROP INT_L_X28Y37 ROW 117 TILEPROP INT_L_X28Y37 SLR_REGION_ID 0 TILEPROP INT_L_X28Y37 TILE_PATTERN_IDX 7650 TILEPROP INT_L_X28Y37 TILE_TYPE INT_L TILEPROP INT_L_X28Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y37 TILE_X 29736 TILEPROP INT_L_X28Y37 TILE_Y -120248 TILEPROP INT_L_X28Y37 TYPE INT_L TILEPROP INT_L_X28Y38 CLASS tile TILEPROP INT_L_X28Y38 COLUMN 72 TILEPROP INT_L_X28Y38 DEVICE_ID 0 TILEPROP INT_L_X28Y38 FIRST_SITE_ID 11656 TILEPROP INT_L_X28Y38 GRID_POINT_X 72 TILEPROP INT_L_X28Y38 GRID_POINT_Y 116 TILEPROP INT_L_X28Y38 INDEX 13412 TILEPROP INT_L_X28Y38 INT_TILE_X 28 TILEPROP INT_L_X28Y38 INT_TILE_Y 111 TILEPROP INT_L_X28Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y38 IS_DCM_TILE 0 TILEPROP INT_L_X28Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y38 NAME INT_L_X28Y38 TILEPROP INT_L_X28Y38 NUM_ARCS 3737 TILEPROP INT_L_X28Y38 NUM_SITES 1 TILEPROP INT_L_X28Y38 ROW 116 TILEPROP INT_L_X28Y38 SLR_REGION_ID 0 TILEPROP INT_L_X28Y38 TILE_PATTERN_IDX 7622 TILEPROP INT_L_X28Y38 TILE_TYPE INT_L TILEPROP INT_L_X28Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y38 TILE_X 29736 TILEPROP INT_L_X28Y38 TILE_Y -117048 TILEPROP INT_L_X28Y38 TYPE INT_L TILEPROP INT_L_X28Y39 CLASS tile TILEPROP INT_L_X28Y39 COLUMN 72 TILEPROP INT_L_X28Y39 DEVICE_ID 0 TILEPROP INT_L_X28Y39 FIRST_SITE_ID 11556 TILEPROP INT_L_X28Y39 GRID_POINT_X 72 TILEPROP INT_L_X28Y39 GRID_POINT_Y 115 TILEPROP INT_L_X28Y39 INDEX 13297 TILEPROP INT_L_X28Y39 INT_TILE_X 28 TILEPROP INT_L_X28Y39 INT_TILE_Y 110 TILEPROP INT_L_X28Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y39 IS_DCM_TILE 0 TILEPROP INT_L_X28Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y39 NAME INT_L_X28Y39 TILEPROP INT_L_X28Y39 NUM_ARCS 3737 TILEPROP INT_L_X28Y39 NUM_SITES 1 TILEPROP INT_L_X28Y39 ROW 115 TILEPROP INT_L_X28Y39 SLR_REGION_ID 0 TILEPROP INT_L_X28Y39 TILE_PATTERN_IDX 7593 TILEPROP INT_L_X28Y39 TILE_TYPE INT_L TILEPROP INT_L_X28Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y39 TILE_X 29736 TILEPROP INT_L_X28Y39 TILE_Y -113848 TILEPROP INT_L_X28Y39 TYPE INT_L TILEPROP INT_L_X28Y40 CLASS tile TILEPROP INT_L_X28Y40 COLUMN 72 TILEPROP INT_L_X28Y40 DEVICE_ID 0 TILEPROP INT_L_X28Y40 FIRST_SITE_ID 11447 TILEPROP INT_L_X28Y40 GRID_POINT_X 72 TILEPROP INT_L_X28Y40 GRID_POINT_Y 114 TILEPROP INT_L_X28Y40 INDEX 13182 TILEPROP INT_L_X28Y40 INT_TILE_X 28 TILEPROP INT_L_X28Y40 INT_TILE_Y 109 TILEPROP INT_L_X28Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y40 IS_DCM_TILE 0 TILEPROP INT_L_X28Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y40 NAME INT_L_X28Y40 TILEPROP INT_L_X28Y40 NUM_ARCS 3737 TILEPROP INT_L_X28Y40 NUM_SITES 1 TILEPROP INT_L_X28Y40 ROW 114 TILEPROP INT_L_X28Y40 SLR_REGION_ID 0 TILEPROP INT_L_X28Y40 TILE_PATTERN_IDX 7564 TILEPROP INT_L_X28Y40 TILE_TYPE INT_L TILEPROP INT_L_X28Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y40 TILE_X 29736 TILEPROP INT_L_X28Y40 TILE_Y -110648 TILEPROP INT_L_X28Y40 TYPE INT_L TILEPROP INT_L_X28Y41 CLASS tile TILEPROP INT_L_X28Y41 COLUMN 72 TILEPROP INT_L_X28Y41 DEVICE_ID 0 TILEPROP INT_L_X28Y41 FIRST_SITE_ID 11339 TILEPROP INT_L_X28Y41 GRID_POINT_X 72 TILEPROP INT_L_X28Y41 GRID_POINT_Y 113 TILEPROP INT_L_X28Y41 INDEX 13067 TILEPROP INT_L_X28Y41 INT_TILE_X 28 TILEPROP INT_L_X28Y41 INT_TILE_Y 108 TILEPROP INT_L_X28Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y41 IS_DCM_TILE 0 TILEPROP INT_L_X28Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y41 NAME INT_L_X28Y41 TILEPROP INT_L_X28Y41 NUM_ARCS 3737 TILEPROP INT_L_X28Y41 NUM_SITES 1 TILEPROP INT_L_X28Y41 ROW 113 TILEPROP INT_L_X28Y41 SLR_REGION_ID 0 TILEPROP INT_L_X28Y41 TILE_PATTERN_IDX 7529 TILEPROP INT_L_X28Y41 TILE_TYPE INT_L TILEPROP INT_L_X28Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y41 TILE_X 29736 TILEPROP INT_L_X28Y41 TILE_Y -107448 TILEPROP INT_L_X28Y41 TYPE INT_L TILEPROP INT_L_X28Y42 CLASS tile TILEPROP INT_L_X28Y42 COLUMN 72 TILEPROP INT_L_X28Y42 DEVICE_ID 0 TILEPROP INT_L_X28Y42 FIRST_SITE_ID 11237 TILEPROP INT_L_X28Y42 GRID_POINT_X 72 TILEPROP INT_L_X28Y42 GRID_POINT_Y 112 TILEPROP INT_L_X28Y42 INDEX 12952 TILEPROP INT_L_X28Y42 INT_TILE_X 28 TILEPROP INT_L_X28Y42 INT_TILE_Y 107 TILEPROP INT_L_X28Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y42 IS_DCM_TILE 0 TILEPROP INT_L_X28Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y42 NAME INT_L_X28Y42 TILEPROP INT_L_X28Y42 NUM_ARCS 3737 TILEPROP INT_L_X28Y42 NUM_SITES 1 TILEPROP INT_L_X28Y42 ROW 112 TILEPROP INT_L_X28Y42 SLR_REGION_ID 0 TILEPROP INT_L_X28Y42 TILE_PATTERN_IDX 7500 TILEPROP INT_L_X28Y42 TILE_TYPE INT_L TILEPROP INT_L_X28Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y42 TILE_X 29736 TILEPROP INT_L_X28Y42 TILE_Y -104248 TILEPROP INT_L_X28Y42 TYPE INT_L TILEPROP INT_L_X28Y43 CLASS tile TILEPROP INT_L_X28Y43 COLUMN 72 TILEPROP INT_L_X28Y43 DEVICE_ID 0 TILEPROP INT_L_X28Y43 FIRST_SITE_ID 11134 TILEPROP INT_L_X28Y43 GRID_POINT_X 72 TILEPROP INT_L_X28Y43 GRID_POINT_Y 111 TILEPROP INT_L_X28Y43 INDEX 12837 TILEPROP INT_L_X28Y43 INT_TILE_X 28 TILEPROP INT_L_X28Y43 INT_TILE_Y 106 TILEPROP INT_L_X28Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y43 IS_DCM_TILE 0 TILEPROP INT_L_X28Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y43 NAME INT_L_X28Y43 TILEPROP INT_L_X28Y43 NUM_ARCS 3737 TILEPROP INT_L_X28Y43 NUM_SITES 1 TILEPROP INT_L_X28Y43 ROW 111 TILEPROP INT_L_X28Y43 SLR_REGION_ID 0 TILEPROP INT_L_X28Y43 TILE_PATTERN_IDX 7471 TILEPROP INT_L_X28Y43 TILE_TYPE INT_L TILEPROP INT_L_X28Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y43 TILE_X 29736 TILEPROP INT_L_X28Y43 TILE_Y -101048 TILEPROP INT_L_X28Y43 TYPE INT_L TILEPROP INT_L_X28Y44 CLASS tile TILEPROP INT_L_X28Y44 COLUMN 72 TILEPROP INT_L_X28Y44 DEVICE_ID 0 TILEPROP INT_L_X28Y44 FIRST_SITE_ID 11032 TILEPROP INT_L_X28Y44 GRID_POINT_X 72 TILEPROP INT_L_X28Y44 GRID_POINT_Y 110 TILEPROP INT_L_X28Y44 INDEX 12722 TILEPROP INT_L_X28Y44 INT_TILE_X 28 TILEPROP INT_L_X28Y44 INT_TILE_Y 105 TILEPROP INT_L_X28Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y44 IS_DCM_TILE 0 TILEPROP INT_L_X28Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y44 NAME INT_L_X28Y44 TILEPROP INT_L_X28Y44 NUM_ARCS 3737 TILEPROP INT_L_X28Y44 NUM_SITES 1 TILEPROP INT_L_X28Y44 ROW 110 TILEPROP INT_L_X28Y44 SLR_REGION_ID 0 TILEPROP INT_L_X28Y44 TILE_PATTERN_IDX 7443 TILEPROP INT_L_X28Y44 TILE_TYPE INT_L TILEPROP INT_L_X28Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y44 TILE_X 29736 TILEPROP INT_L_X28Y44 TILE_Y -97848 TILEPROP INT_L_X28Y44 TYPE INT_L TILEPROP INT_L_X28Y45 CLASS tile TILEPROP INT_L_X28Y45 COLUMN 72 TILEPROP INT_L_X28Y45 DEVICE_ID 0 TILEPROP INT_L_X28Y45 FIRST_SITE_ID 10923 TILEPROP INT_L_X28Y45 GRID_POINT_X 72 TILEPROP INT_L_X28Y45 GRID_POINT_Y 109 TILEPROP INT_L_X28Y45 INDEX 12607 TILEPROP INT_L_X28Y45 INT_TILE_X 28 TILEPROP INT_L_X28Y45 INT_TILE_Y 104 TILEPROP INT_L_X28Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y45 IS_DCM_TILE 0 TILEPROP INT_L_X28Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y45 NAME INT_L_X28Y45 TILEPROP INT_L_X28Y45 NUM_ARCS 3737 TILEPROP INT_L_X28Y45 NUM_SITES 1 TILEPROP INT_L_X28Y45 ROW 109 TILEPROP INT_L_X28Y45 SLR_REGION_ID 0 TILEPROP INT_L_X28Y45 TILE_PATTERN_IDX 7407 TILEPROP INT_L_X28Y45 TILE_TYPE INT_L TILEPROP INT_L_X28Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y45 TILE_X 29736 TILEPROP INT_L_X28Y45 TILE_Y -94648 TILEPROP INT_L_X28Y45 TYPE INT_L TILEPROP INT_L_X28Y46 CLASS tile TILEPROP INT_L_X28Y46 COLUMN 72 TILEPROP INT_L_X28Y46 DEVICE_ID 0 TILEPROP INT_L_X28Y46 FIRST_SITE_ID 10816 TILEPROP INT_L_X28Y46 GRID_POINT_X 72 TILEPROP INT_L_X28Y46 GRID_POINT_Y 108 TILEPROP INT_L_X28Y46 INDEX 12492 TILEPROP INT_L_X28Y46 INT_TILE_X 28 TILEPROP INT_L_X28Y46 INT_TILE_Y 103 TILEPROP INT_L_X28Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y46 IS_DCM_TILE 0 TILEPROP INT_L_X28Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y46 NAME INT_L_X28Y46 TILEPROP INT_L_X28Y46 NUM_ARCS 3737 TILEPROP INT_L_X28Y46 NUM_SITES 1 TILEPROP INT_L_X28Y46 ROW 108 TILEPROP INT_L_X28Y46 SLR_REGION_ID 0 TILEPROP INT_L_X28Y46 TILE_PATTERN_IDX 7371 TILEPROP INT_L_X28Y46 TILE_TYPE INT_L TILEPROP INT_L_X28Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y46 TILE_X 29736 TILEPROP INT_L_X28Y46 TILE_Y -91448 TILEPROP INT_L_X28Y46 TYPE INT_L TILEPROP INT_L_X28Y47 CLASS tile TILEPROP INT_L_X28Y47 COLUMN 72 TILEPROP INT_L_X28Y47 DEVICE_ID 0 TILEPROP INT_L_X28Y47 FIRST_SITE_ID 10700 TILEPROP INT_L_X28Y47 GRID_POINT_X 72 TILEPROP INT_L_X28Y47 GRID_POINT_Y 107 TILEPROP INT_L_X28Y47 INDEX 12377 TILEPROP INT_L_X28Y47 INT_TILE_X 28 TILEPROP INT_L_X28Y47 INT_TILE_Y 102 TILEPROP INT_L_X28Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y47 IS_DCM_TILE 0 TILEPROP INT_L_X28Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y47 NAME INT_L_X28Y47 TILEPROP INT_L_X28Y47 NUM_ARCS 3737 TILEPROP INT_L_X28Y47 NUM_SITES 1 TILEPROP INT_L_X28Y47 ROW 107 TILEPROP INT_L_X28Y47 SLR_REGION_ID 0 TILEPROP INT_L_X28Y47 TILE_PATTERN_IDX 7334 TILEPROP INT_L_X28Y47 TILE_TYPE INT_L TILEPROP INT_L_X28Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y47 TILE_X 29736 TILEPROP INT_L_X28Y47 TILE_Y -88248 TILEPROP INT_L_X28Y47 TYPE INT_L TILEPROP INT_L_X28Y48 CLASS tile TILEPROP INT_L_X28Y48 COLUMN 72 TILEPROP INT_L_X28Y48 DEVICE_ID 0 TILEPROP INT_L_X28Y48 FIRST_SITE_ID 10600 TILEPROP INT_L_X28Y48 GRID_POINT_X 72 TILEPROP INT_L_X28Y48 GRID_POINT_Y 106 TILEPROP INT_L_X28Y48 INDEX 12262 TILEPROP INT_L_X28Y48 INT_TILE_X 28 TILEPROP INT_L_X28Y48 INT_TILE_Y 101 TILEPROP INT_L_X28Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y48 IS_DCM_TILE 0 TILEPROP INT_L_X28Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y48 NAME INT_L_X28Y48 TILEPROP INT_L_X28Y48 NUM_ARCS 3737 TILEPROP INT_L_X28Y48 NUM_SITES 1 TILEPROP INT_L_X28Y48 ROW 106 TILEPROP INT_L_X28Y48 SLR_REGION_ID 0 TILEPROP INT_L_X28Y48 TILE_PATTERN_IDX 7298 TILEPROP INT_L_X28Y48 TILE_TYPE INT_L TILEPROP INT_L_X28Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y48 TILE_X 29736 TILEPROP INT_L_X28Y48 TILE_Y -85048 TILEPROP INT_L_X28Y48 TYPE INT_L TILEPROP INT_L_X28Y49 CLASS tile TILEPROP INT_L_X28Y49 COLUMN 72 TILEPROP INT_L_X28Y49 DEVICE_ID 0 TILEPROP INT_L_X28Y49 FIRST_SITE_ID 10504 TILEPROP INT_L_X28Y49 GRID_POINT_X 72 TILEPROP INT_L_X28Y49 GRID_POINT_Y 105 TILEPROP INT_L_X28Y49 INDEX 12147 TILEPROP INT_L_X28Y49 INT_TILE_X 28 TILEPROP INT_L_X28Y49 INT_TILE_Y 100 TILEPROP INT_L_X28Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y49 IS_DCM_TILE 0 TILEPROP INT_L_X28Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y49 NAME INT_L_X28Y49 TILEPROP INT_L_X28Y49 NUM_ARCS 3737 TILEPROP INT_L_X28Y49 NUM_SITES 1 TILEPROP INT_L_X28Y49 ROW 105 TILEPROP INT_L_X28Y49 SLR_REGION_ID 0 TILEPROP INT_L_X28Y49 TILE_PATTERN_IDX 7262 TILEPROP INT_L_X28Y49 TILE_TYPE INT_L TILEPROP INT_L_X28Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y49 TILE_X 29736 TILEPROP INT_L_X28Y49 TILE_Y -81848 TILEPROP INT_L_X28Y49 TYPE INT_L TILEPROP INT_L_X28Y50 CLASS tile TILEPROP INT_L_X28Y50 COLUMN 72 TILEPROP INT_L_X28Y50 DEVICE_ID 0 TILEPROP INT_L_X28Y50 FIRST_SITE_ID 10395 TILEPROP INT_L_X28Y50 GRID_POINT_X 72 TILEPROP INT_L_X28Y50 GRID_POINT_Y 103 TILEPROP INT_L_X28Y50 INDEX 11917 TILEPROP INT_L_X28Y50 INT_TILE_X 28 TILEPROP INT_L_X28Y50 INT_TILE_Y 99 TILEPROP INT_L_X28Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y50 IS_DCM_TILE 0 TILEPROP INT_L_X28Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y50 NAME INT_L_X28Y50 TILEPROP INT_L_X28Y50 NUM_ARCS 3737 TILEPROP INT_L_X28Y50 NUM_SITES 1 TILEPROP INT_L_X28Y50 ROW 103 TILEPROP INT_L_X28Y50 SLR_REGION_ID 0 TILEPROP INT_L_X28Y50 TILE_PATTERN_IDX 7225 TILEPROP INT_L_X28Y50 TILE_TYPE INT_L TILEPROP INT_L_X28Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y50 TILE_X 29736 TILEPROP INT_L_X28Y50 TILE_Y -78400 TILEPROP INT_L_X28Y50 TYPE INT_L TILEPROP INT_L_X28Y51 CLASS tile TILEPROP INT_L_X28Y51 COLUMN 72 TILEPROP INT_L_X28Y51 DEVICE_ID 0 TILEPROP INT_L_X28Y51 FIRST_SITE_ID 10269 TILEPROP INT_L_X28Y51 GRID_POINT_X 72 TILEPROP INT_L_X28Y51 GRID_POINT_Y 102 TILEPROP INT_L_X28Y51 INDEX 11802 TILEPROP INT_L_X28Y51 INT_TILE_X 28 TILEPROP INT_L_X28Y51 INT_TILE_Y 98 TILEPROP INT_L_X28Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y51 IS_DCM_TILE 0 TILEPROP INT_L_X28Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y51 NAME INT_L_X28Y51 TILEPROP INT_L_X28Y51 NUM_ARCS 3737 TILEPROP INT_L_X28Y51 NUM_SITES 1 TILEPROP INT_L_X28Y51 ROW 102 TILEPROP INT_L_X28Y51 SLR_REGION_ID 0 TILEPROP INT_L_X28Y51 TILE_PATTERN_IDX 7188 TILEPROP INT_L_X28Y51 TILE_TYPE INT_L TILEPROP INT_L_X28Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y51 TILE_X 29736 TILEPROP INT_L_X28Y51 TILE_Y -75200 TILEPROP INT_L_X28Y51 TYPE INT_L TILEPROP INT_L_X28Y52 CLASS tile TILEPROP INT_L_X28Y52 COLUMN 72 TILEPROP INT_L_X28Y52 DEVICE_ID 0 TILEPROP INT_L_X28Y52 FIRST_SITE_ID 10169 TILEPROP INT_L_X28Y52 GRID_POINT_X 72 TILEPROP INT_L_X28Y52 GRID_POINT_Y 101 TILEPROP INT_L_X28Y52 INDEX 11687 TILEPROP INT_L_X28Y52 INT_TILE_X 28 TILEPROP INT_L_X28Y52 INT_TILE_Y 97 TILEPROP INT_L_X28Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y52 IS_DCM_TILE 0 TILEPROP INT_L_X28Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y52 NAME INT_L_X28Y52 TILEPROP INT_L_X28Y52 NUM_ARCS 3737 TILEPROP INT_L_X28Y52 NUM_SITES 1 TILEPROP INT_L_X28Y52 ROW 101 TILEPROP INT_L_X28Y52 SLR_REGION_ID 0 TILEPROP INT_L_X28Y52 TILE_PATTERN_IDX 7151 TILEPROP INT_L_X28Y52 TILE_TYPE INT_L TILEPROP INT_L_X28Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y52 TILE_X 29736 TILEPROP INT_L_X28Y52 TILE_Y -72000 TILEPROP INT_L_X28Y52 TYPE INT_L TILEPROP INT_L_X28Y53 CLASS tile TILEPROP INT_L_X28Y53 COLUMN 72 TILEPROP INT_L_X28Y53 DEVICE_ID 0 TILEPROP INT_L_X28Y53 FIRST_SITE_ID 10069 TILEPROP INT_L_X28Y53 GRID_POINT_X 72 TILEPROP INT_L_X28Y53 GRID_POINT_Y 100 TILEPROP INT_L_X28Y53 INDEX 11572 TILEPROP INT_L_X28Y53 INT_TILE_X 28 TILEPROP INT_L_X28Y53 INT_TILE_Y 96 TILEPROP INT_L_X28Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y53 IS_DCM_TILE 0 TILEPROP INT_L_X28Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y53 NAME INT_L_X28Y53 TILEPROP INT_L_X28Y53 NUM_ARCS 3737 TILEPROP INT_L_X28Y53 NUM_SITES 1 TILEPROP INT_L_X28Y53 ROW 100 TILEPROP INT_L_X28Y53 SLR_REGION_ID 0 TILEPROP INT_L_X28Y53 TILE_PATTERN_IDX 7114 TILEPROP INT_L_X28Y53 TILE_TYPE INT_L TILEPROP INT_L_X28Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y53 TILE_X 29736 TILEPROP INT_L_X28Y53 TILE_Y -68800 TILEPROP INT_L_X28Y53 TYPE INT_L TILEPROP INT_L_X28Y54 CLASS tile TILEPROP INT_L_X28Y54 COLUMN 72 TILEPROP INT_L_X28Y54 DEVICE_ID 0 TILEPROP INT_L_X28Y54 FIRST_SITE_ID 9969 TILEPROP INT_L_X28Y54 GRID_POINT_X 72 TILEPROP INT_L_X28Y54 GRID_POINT_Y 99 TILEPROP INT_L_X28Y54 INDEX 11457 TILEPROP INT_L_X28Y54 INT_TILE_X 28 TILEPROP INT_L_X28Y54 INT_TILE_Y 95 TILEPROP INT_L_X28Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y54 IS_DCM_TILE 0 TILEPROP INT_L_X28Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y54 NAME INT_L_X28Y54 TILEPROP INT_L_X28Y54 NUM_ARCS 3737 TILEPROP INT_L_X28Y54 NUM_SITES 1 TILEPROP INT_L_X28Y54 ROW 99 TILEPROP INT_L_X28Y54 SLR_REGION_ID 0 TILEPROP INT_L_X28Y54 TILE_PATTERN_IDX 7077 TILEPROP INT_L_X28Y54 TILE_TYPE INT_L TILEPROP INT_L_X28Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y54 TILE_X 29736 TILEPROP INT_L_X28Y54 TILE_Y -65600 TILEPROP INT_L_X28Y54 TYPE INT_L TILEPROP INT_L_X28Y55 CLASS tile TILEPROP INT_L_X28Y55 COLUMN 72 TILEPROP INT_L_X28Y55 DEVICE_ID 0 TILEPROP INT_L_X28Y55 FIRST_SITE_ID 9860 TILEPROP INT_L_X28Y55 GRID_POINT_X 72 TILEPROP INT_L_X28Y55 GRID_POINT_Y 98 TILEPROP INT_L_X28Y55 INDEX 11342 TILEPROP INT_L_X28Y55 INT_TILE_X 28 TILEPROP INT_L_X28Y55 INT_TILE_Y 94 TILEPROP INT_L_X28Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y55 IS_DCM_TILE 0 TILEPROP INT_L_X28Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y55 NAME INT_L_X28Y55 TILEPROP INT_L_X28Y55 NUM_ARCS 3737 TILEPROP INT_L_X28Y55 NUM_SITES 1 TILEPROP INT_L_X28Y55 ROW 98 TILEPROP INT_L_X28Y55 SLR_REGION_ID 0 TILEPROP INT_L_X28Y55 TILE_PATTERN_IDX 7039 TILEPROP INT_L_X28Y55 TILE_TYPE INT_L TILEPROP INT_L_X28Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y55 TILE_X 29736 TILEPROP INT_L_X28Y55 TILE_Y -62400 TILEPROP INT_L_X28Y55 TYPE INT_L TILEPROP INT_L_X28Y56 CLASS tile TILEPROP INT_L_X28Y56 COLUMN 72 TILEPROP INT_L_X28Y56 DEVICE_ID 0 TILEPROP INT_L_X28Y56 FIRST_SITE_ID 9754 TILEPROP INT_L_X28Y56 GRID_POINT_X 72 TILEPROP INT_L_X28Y56 GRID_POINT_Y 97 TILEPROP INT_L_X28Y56 INDEX 11227 TILEPROP INT_L_X28Y56 INT_TILE_X 28 TILEPROP INT_L_X28Y56 INT_TILE_Y 93 TILEPROP INT_L_X28Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y56 IS_DCM_TILE 0 TILEPROP INT_L_X28Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y56 NAME INT_L_X28Y56 TILEPROP INT_L_X28Y56 NUM_ARCS 3737 TILEPROP INT_L_X28Y56 NUM_SITES 1 TILEPROP INT_L_X28Y56 ROW 97 TILEPROP INT_L_X28Y56 SLR_REGION_ID 0 TILEPROP INT_L_X28Y56 TILE_PATTERN_IDX 7002 TILEPROP INT_L_X28Y56 TILE_TYPE INT_L TILEPROP INT_L_X28Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y56 TILE_X 29736 TILEPROP INT_L_X28Y56 TILE_Y -59200 TILEPROP INT_L_X28Y56 TYPE INT_L TILEPROP INT_L_X28Y57 CLASS tile TILEPROP INT_L_X28Y57 COLUMN 72 TILEPROP INT_L_X28Y57 DEVICE_ID 0 TILEPROP INT_L_X28Y57 FIRST_SITE_ID 9652 TILEPROP INT_L_X28Y57 GRID_POINT_X 72 TILEPROP INT_L_X28Y57 GRID_POINT_Y 96 TILEPROP INT_L_X28Y57 INDEX 11112 TILEPROP INT_L_X28Y57 INT_TILE_X 28 TILEPROP INT_L_X28Y57 INT_TILE_Y 92 TILEPROP INT_L_X28Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y57 IS_DCM_TILE 0 TILEPROP INT_L_X28Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y57 NAME INT_L_X28Y57 TILEPROP INT_L_X28Y57 NUM_ARCS 3737 TILEPROP INT_L_X28Y57 NUM_SITES 1 TILEPROP INT_L_X28Y57 ROW 96 TILEPROP INT_L_X28Y57 SLR_REGION_ID 0 TILEPROP INT_L_X28Y57 TILE_PATTERN_IDX 6965 TILEPROP INT_L_X28Y57 TILE_TYPE INT_L TILEPROP INT_L_X28Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y57 TILE_X 29736 TILEPROP INT_L_X28Y57 TILE_Y -56000 TILEPROP INT_L_X28Y57 TYPE INT_L TILEPROP INT_L_X28Y58 CLASS tile TILEPROP INT_L_X28Y58 COLUMN 72 TILEPROP INT_L_X28Y58 DEVICE_ID 0 TILEPROP INT_L_X28Y58 FIRST_SITE_ID 9549 TILEPROP INT_L_X28Y58 GRID_POINT_X 72 TILEPROP INT_L_X28Y58 GRID_POINT_Y 95 TILEPROP INT_L_X28Y58 INDEX 10997 TILEPROP INT_L_X28Y58 INT_TILE_X 28 TILEPROP INT_L_X28Y58 INT_TILE_Y 91 TILEPROP INT_L_X28Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y58 IS_DCM_TILE 0 TILEPROP INT_L_X28Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y58 NAME INT_L_X28Y58 TILEPROP INT_L_X28Y58 NUM_ARCS 3737 TILEPROP INT_L_X28Y58 NUM_SITES 1 TILEPROP INT_L_X28Y58 ROW 95 TILEPROP INT_L_X28Y58 SLR_REGION_ID 0 TILEPROP INT_L_X28Y58 TILE_PATTERN_IDX 6927 TILEPROP INT_L_X28Y58 TILE_TYPE INT_L TILEPROP INT_L_X28Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y58 TILE_X 29736 TILEPROP INT_L_X28Y58 TILE_Y -52800 TILEPROP INT_L_X28Y58 TYPE INT_L TILEPROP INT_L_X28Y59 CLASS tile TILEPROP INT_L_X28Y59 COLUMN 72 TILEPROP INT_L_X28Y59 DEVICE_ID 0 TILEPROP INT_L_X28Y59 FIRST_SITE_ID 9448 TILEPROP INT_L_X28Y59 GRID_POINT_X 72 TILEPROP INT_L_X28Y59 GRID_POINT_Y 94 TILEPROP INT_L_X28Y59 INDEX 10882 TILEPROP INT_L_X28Y59 INT_TILE_X 28 TILEPROP INT_L_X28Y59 INT_TILE_Y 90 TILEPROP INT_L_X28Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y59 IS_DCM_TILE 0 TILEPROP INT_L_X28Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y59 NAME INT_L_X28Y59 TILEPROP INT_L_X28Y59 NUM_ARCS 3737 TILEPROP INT_L_X28Y59 NUM_SITES 1 TILEPROP INT_L_X28Y59 ROW 94 TILEPROP INT_L_X28Y59 SLR_REGION_ID 0 TILEPROP INT_L_X28Y59 TILE_PATTERN_IDX 6890 TILEPROP INT_L_X28Y59 TILE_TYPE INT_L TILEPROP INT_L_X28Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y59 TILE_X 29736 TILEPROP INT_L_X28Y59 TILE_Y -49600 TILEPROP INT_L_X28Y59 TYPE INT_L TILEPROP INT_L_X28Y60 CLASS tile TILEPROP INT_L_X28Y60 COLUMN 72 TILEPROP INT_L_X28Y60 DEVICE_ID 0 TILEPROP INT_L_X28Y60 FIRST_SITE_ID 9339 TILEPROP INT_L_X28Y60 GRID_POINT_X 72 TILEPROP INT_L_X28Y60 GRID_POINT_Y 93 TILEPROP INT_L_X28Y60 INDEX 10767 TILEPROP INT_L_X28Y60 INT_TILE_X 28 TILEPROP INT_L_X28Y60 INT_TILE_Y 89 TILEPROP INT_L_X28Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y60 IS_DCM_TILE 0 TILEPROP INT_L_X28Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y60 NAME INT_L_X28Y60 TILEPROP INT_L_X28Y60 NUM_ARCS 3737 TILEPROP INT_L_X28Y60 NUM_SITES 1 TILEPROP INT_L_X28Y60 ROW 93 TILEPROP INT_L_X28Y60 SLR_REGION_ID 0 TILEPROP INT_L_X28Y60 TILE_PATTERN_IDX 6853 TILEPROP INT_L_X28Y60 TILE_TYPE INT_L TILEPROP INT_L_X28Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y60 TILE_X 29736 TILEPROP INT_L_X28Y60 TILE_Y -46400 TILEPROP INT_L_X28Y60 TYPE INT_L TILEPROP INT_L_X28Y61 CLASS tile TILEPROP INT_L_X28Y61 COLUMN 72 TILEPROP INT_L_X28Y61 DEVICE_ID 0 TILEPROP INT_L_X28Y61 FIRST_SITE_ID 9233 TILEPROP INT_L_X28Y61 GRID_POINT_X 72 TILEPROP INT_L_X28Y61 GRID_POINT_Y 92 TILEPROP INT_L_X28Y61 INDEX 10652 TILEPROP INT_L_X28Y61 INT_TILE_X 28 TILEPROP INT_L_X28Y61 INT_TILE_Y 88 TILEPROP INT_L_X28Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y61 IS_DCM_TILE 0 TILEPROP INT_L_X28Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y61 NAME INT_L_X28Y61 TILEPROP INT_L_X28Y61 NUM_ARCS 3737 TILEPROP INT_L_X28Y61 NUM_SITES 1 TILEPROP INT_L_X28Y61 ROW 92 TILEPROP INT_L_X28Y61 SLR_REGION_ID 0 TILEPROP INT_L_X28Y61 TILE_PATTERN_IDX 6816 TILEPROP INT_L_X28Y61 TILE_TYPE INT_L TILEPROP INT_L_X28Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y61 TILE_X 29736 TILEPROP INT_L_X28Y61 TILE_Y -43200 TILEPROP INT_L_X28Y61 TYPE INT_L TILEPROP INT_L_X28Y62 CLASS tile TILEPROP INT_L_X28Y62 COLUMN 72 TILEPROP INT_L_X28Y62 DEVICE_ID 0 TILEPROP INT_L_X28Y62 FIRST_SITE_ID 9133 TILEPROP INT_L_X28Y62 GRID_POINT_X 72 TILEPROP INT_L_X28Y62 GRID_POINT_Y 91 TILEPROP INT_L_X28Y62 INDEX 10537 TILEPROP INT_L_X28Y62 INT_TILE_X 28 TILEPROP INT_L_X28Y62 INT_TILE_Y 87 TILEPROP INT_L_X28Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y62 IS_DCM_TILE 0 TILEPROP INT_L_X28Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y62 NAME INT_L_X28Y62 TILEPROP INT_L_X28Y62 NUM_ARCS 3737 TILEPROP INT_L_X28Y62 NUM_SITES 1 TILEPROP INT_L_X28Y62 ROW 91 TILEPROP INT_L_X28Y62 SLR_REGION_ID 0 TILEPROP INT_L_X28Y62 TILE_PATTERN_IDX 6779 TILEPROP INT_L_X28Y62 TILE_TYPE INT_L TILEPROP INT_L_X28Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y62 TILE_X 29736 TILEPROP INT_L_X28Y62 TILE_Y -40000 TILEPROP INT_L_X28Y62 TYPE INT_L TILEPROP INT_L_X28Y63 CLASS tile TILEPROP INT_L_X28Y63 COLUMN 72 TILEPROP INT_L_X28Y63 DEVICE_ID 0 TILEPROP INT_L_X28Y63 FIRST_SITE_ID 9001 TILEPROP INT_L_X28Y63 GRID_POINT_X 72 TILEPROP INT_L_X28Y63 GRID_POINT_Y 90 TILEPROP INT_L_X28Y63 INDEX 10422 TILEPROP INT_L_X28Y63 INT_TILE_X 28 TILEPROP INT_L_X28Y63 INT_TILE_Y 86 TILEPROP INT_L_X28Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y63 IS_DCM_TILE 0 TILEPROP INT_L_X28Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y63 NAME INT_L_X28Y63 TILEPROP INT_L_X28Y63 NUM_ARCS 3737 TILEPROP INT_L_X28Y63 NUM_SITES 1 TILEPROP INT_L_X28Y63 ROW 90 TILEPROP INT_L_X28Y63 SLR_REGION_ID 0 TILEPROP INT_L_X28Y63 TILE_PATTERN_IDX 6742 TILEPROP INT_L_X28Y63 TILE_TYPE INT_L TILEPROP INT_L_X28Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y63 TILE_X 29736 TILEPROP INT_L_X28Y63 TILE_Y -36800 TILEPROP INT_L_X28Y63 TYPE INT_L TILEPROP INT_L_X28Y64 CLASS tile TILEPROP INT_L_X28Y64 COLUMN 72 TILEPROP INT_L_X28Y64 DEVICE_ID 0 TILEPROP INT_L_X28Y64 FIRST_SITE_ID 8901 TILEPROP INT_L_X28Y64 GRID_POINT_X 72 TILEPROP INT_L_X28Y64 GRID_POINT_Y 89 TILEPROP INT_L_X28Y64 INDEX 10307 TILEPROP INT_L_X28Y64 INT_TILE_X 28 TILEPROP INT_L_X28Y64 INT_TILE_Y 85 TILEPROP INT_L_X28Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y64 IS_DCM_TILE 0 TILEPROP INT_L_X28Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y64 NAME INT_L_X28Y64 TILEPROP INT_L_X28Y64 NUM_ARCS 3737 TILEPROP INT_L_X28Y64 NUM_SITES 1 TILEPROP INT_L_X28Y64 ROW 89 TILEPROP INT_L_X28Y64 SLR_REGION_ID 0 TILEPROP INT_L_X28Y64 TILE_PATTERN_IDX 6705 TILEPROP INT_L_X28Y64 TILE_TYPE INT_L TILEPROP INT_L_X28Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y64 TILE_X 29736 TILEPROP INT_L_X28Y64 TILE_Y -33600 TILEPROP INT_L_X28Y64 TYPE INT_L TILEPROP INT_L_X28Y65 CLASS tile TILEPROP INT_L_X28Y65 COLUMN 72 TILEPROP INT_L_X28Y65 DEVICE_ID 0 TILEPROP INT_L_X28Y65 FIRST_SITE_ID 8792 TILEPROP INT_L_X28Y65 GRID_POINT_X 72 TILEPROP INT_L_X28Y65 GRID_POINT_Y 88 TILEPROP INT_L_X28Y65 INDEX 10192 TILEPROP INT_L_X28Y65 INT_TILE_X 28 TILEPROP INT_L_X28Y65 INT_TILE_Y 84 TILEPROP INT_L_X28Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y65 IS_DCM_TILE 0 TILEPROP INT_L_X28Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y65 NAME INT_L_X28Y65 TILEPROP INT_L_X28Y65 NUM_ARCS 3737 TILEPROP INT_L_X28Y65 NUM_SITES 1 TILEPROP INT_L_X28Y65 ROW 88 TILEPROP INT_L_X28Y65 SLR_REGION_ID 0 TILEPROP INT_L_X28Y65 TILE_PATTERN_IDX 6668 TILEPROP INT_L_X28Y65 TILE_TYPE INT_L TILEPROP INT_L_X28Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y65 TILE_X 29736 TILEPROP INT_L_X28Y65 TILE_Y -30400 TILEPROP INT_L_X28Y65 TYPE INT_L TILEPROP INT_L_X28Y66 CLASS tile TILEPROP INT_L_X28Y66 COLUMN 72 TILEPROP INT_L_X28Y66 DEVICE_ID 0 TILEPROP INT_L_X28Y66 FIRST_SITE_ID 8686 TILEPROP INT_L_X28Y66 GRID_POINT_X 72 TILEPROP INT_L_X28Y66 GRID_POINT_Y 87 TILEPROP INT_L_X28Y66 INDEX 10077 TILEPROP INT_L_X28Y66 INT_TILE_X 28 TILEPROP INT_L_X28Y66 INT_TILE_Y 83 TILEPROP INT_L_X28Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y66 IS_DCM_TILE 0 TILEPROP INT_L_X28Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y66 NAME INT_L_X28Y66 TILEPROP INT_L_X28Y66 NUM_ARCS 3737 TILEPROP INT_L_X28Y66 NUM_SITES 1 TILEPROP INT_L_X28Y66 ROW 87 TILEPROP INT_L_X28Y66 SLR_REGION_ID 0 TILEPROP INT_L_X28Y66 TILE_PATTERN_IDX 6631 TILEPROP INT_L_X28Y66 TILE_TYPE INT_L TILEPROP INT_L_X28Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y66 TILE_X 29736 TILEPROP INT_L_X28Y66 TILE_Y -27200 TILEPROP INT_L_X28Y66 TYPE INT_L TILEPROP INT_L_X28Y67 CLASS tile TILEPROP INT_L_X28Y67 COLUMN 72 TILEPROP INT_L_X28Y67 DEVICE_ID 0 TILEPROP INT_L_X28Y67 FIRST_SITE_ID 8582 TILEPROP INT_L_X28Y67 GRID_POINT_X 72 TILEPROP INT_L_X28Y67 GRID_POINT_Y 86 TILEPROP INT_L_X28Y67 INDEX 9962 TILEPROP INT_L_X28Y67 INT_TILE_X 28 TILEPROP INT_L_X28Y67 INT_TILE_Y 82 TILEPROP INT_L_X28Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y67 IS_DCM_TILE 0 TILEPROP INT_L_X28Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y67 NAME INT_L_X28Y67 TILEPROP INT_L_X28Y67 NUM_ARCS 3737 TILEPROP INT_L_X28Y67 NUM_SITES 1 TILEPROP INT_L_X28Y67 ROW 86 TILEPROP INT_L_X28Y67 SLR_REGION_ID 0 TILEPROP INT_L_X28Y67 TILE_PATTERN_IDX 6593 TILEPROP INT_L_X28Y67 TILE_TYPE INT_L TILEPROP INT_L_X28Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y67 TILE_X 29736 TILEPROP INT_L_X28Y67 TILE_Y -24000 TILEPROP INT_L_X28Y67 TYPE INT_L TILEPROP INT_L_X28Y68 CLASS tile TILEPROP INT_L_X28Y68 COLUMN 72 TILEPROP INT_L_X28Y68 DEVICE_ID 0 TILEPROP INT_L_X28Y68 FIRST_SITE_ID 8478 TILEPROP INT_L_X28Y68 GRID_POINT_X 72 TILEPROP INT_L_X28Y68 GRID_POINT_Y 85 TILEPROP INT_L_X28Y68 INDEX 9847 TILEPROP INT_L_X28Y68 INT_TILE_X 28 TILEPROP INT_L_X28Y68 INT_TILE_Y 81 TILEPROP INT_L_X28Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y68 IS_DCM_TILE 0 TILEPROP INT_L_X28Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y68 NAME INT_L_X28Y68 TILEPROP INT_L_X28Y68 NUM_ARCS 3737 TILEPROP INT_L_X28Y68 NUM_SITES 1 TILEPROP INT_L_X28Y68 ROW 85 TILEPROP INT_L_X28Y68 SLR_REGION_ID 0 TILEPROP INT_L_X28Y68 TILE_PATTERN_IDX 6561 TILEPROP INT_L_X28Y68 TILE_TYPE INT_L TILEPROP INT_L_X28Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y68 TILE_X 29736 TILEPROP INT_L_X28Y68 TILE_Y -20800 TILEPROP INT_L_X28Y68 TYPE INT_L TILEPROP INT_L_X28Y69 CLASS tile TILEPROP INT_L_X28Y69 COLUMN 72 TILEPROP INT_L_X28Y69 DEVICE_ID 0 TILEPROP INT_L_X28Y69 FIRST_SITE_ID 8376 TILEPROP INT_L_X28Y69 GRID_POINT_X 72 TILEPROP INT_L_X28Y69 GRID_POINT_Y 84 TILEPROP INT_L_X28Y69 INDEX 9732 TILEPROP INT_L_X28Y69 INT_TILE_X 28 TILEPROP INT_L_X28Y69 INT_TILE_Y 80 TILEPROP INT_L_X28Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y69 IS_DCM_TILE 0 TILEPROP INT_L_X28Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y69 NAME INT_L_X28Y69 TILEPROP INT_L_X28Y69 NUM_ARCS 3737 TILEPROP INT_L_X28Y69 NUM_SITES 1 TILEPROP INT_L_X28Y69 ROW 84 TILEPROP INT_L_X28Y69 SLR_REGION_ID 0 TILEPROP INT_L_X28Y69 TILE_PATTERN_IDX 6530 TILEPROP INT_L_X28Y69 TILE_TYPE INT_L TILEPROP INT_L_X28Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y69 TILE_X 29736 TILEPROP INT_L_X28Y69 TILE_Y -17600 TILEPROP INT_L_X28Y69 TYPE INT_L TILEPROP INT_L_X28Y70 CLASS tile TILEPROP INT_L_X28Y70 COLUMN 72 TILEPROP INT_L_X28Y70 DEVICE_ID 0 TILEPROP INT_L_X28Y70 FIRST_SITE_ID 8265 TILEPROP INT_L_X28Y70 GRID_POINT_X 72 TILEPROP INT_L_X28Y70 GRID_POINT_Y 83 TILEPROP INT_L_X28Y70 INDEX 9617 TILEPROP INT_L_X28Y70 INT_TILE_X 28 TILEPROP INT_L_X28Y70 INT_TILE_Y 79 TILEPROP INT_L_X28Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y70 IS_DCM_TILE 0 TILEPROP INT_L_X28Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y70 NAME INT_L_X28Y70 TILEPROP INT_L_X28Y70 NUM_ARCS 3737 TILEPROP INT_L_X28Y70 NUM_SITES 1 TILEPROP INT_L_X28Y70 ROW 83 TILEPROP INT_L_X28Y70 SLR_REGION_ID 0 TILEPROP INT_L_X28Y70 TILE_PATTERN_IDX 6499 TILEPROP INT_L_X28Y70 TILE_TYPE INT_L TILEPROP INT_L_X28Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y70 TILE_X 29736 TILEPROP INT_L_X28Y70 TILE_Y -14400 TILEPROP INT_L_X28Y70 TYPE INT_L TILEPROP INT_L_X28Y71 CLASS tile TILEPROP INT_L_X28Y71 COLUMN 72 TILEPROP INT_L_X28Y71 DEVICE_ID 0 TILEPROP INT_L_X28Y71 FIRST_SITE_ID 8159 TILEPROP INT_L_X28Y71 GRID_POINT_X 72 TILEPROP INT_L_X28Y71 GRID_POINT_Y 82 TILEPROP INT_L_X28Y71 INDEX 9502 TILEPROP INT_L_X28Y71 INT_TILE_X 28 TILEPROP INT_L_X28Y71 INT_TILE_Y 78 TILEPROP INT_L_X28Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y71 IS_DCM_TILE 0 TILEPROP INT_L_X28Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y71 NAME INT_L_X28Y71 TILEPROP INT_L_X28Y71 NUM_ARCS 3737 TILEPROP INT_L_X28Y71 NUM_SITES 1 TILEPROP INT_L_X28Y71 ROW 82 TILEPROP INT_L_X28Y71 SLR_REGION_ID 0 TILEPROP INT_L_X28Y71 TILE_PATTERN_IDX 6468 TILEPROP INT_L_X28Y71 TILE_TYPE INT_L TILEPROP INT_L_X28Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y71 TILE_X 29736 TILEPROP INT_L_X28Y71 TILE_Y -11200 TILEPROP INT_L_X28Y71 TYPE INT_L TILEPROP INT_L_X28Y72 CLASS tile TILEPROP INT_L_X28Y72 COLUMN 72 TILEPROP INT_L_X28Y72 DEVICE_ID 0 TILEPROP INT_L_X28Y72 FIRST_SITE_ID 8059 TILEPROP INT_L_X28Y72 GRID_POINT_X 72 TILEPROP INT_L_X28Y72 GRID_POINT_Y 81 TILEPROP INT_L_X28Y72 INDEX 9387 TILEPROP INT_L_X28Y72 INT_TILE_X 28 TILEPROP INT_L_X28Y72 INT_TILE_Y 77 TILEPROP INT_L_X28Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y72 IS_DCM_TILE 0 TILEPROP INT_L_X28Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y72 NAME INT_L_X28Y72 TILEPROP INT_L_X28Y72 NUM_ARCS 3737 TILEPROP INT_L_X28Y72 NUM_SITES 1 TILEPROP INT_L_X28Y72 ROW 81 TILEPROP INT_L_X28Y72 SLR_REGION_ID 0 TILEPROP INT_L_X28Y72 TILE_PATTERN_IDX 6437 TILEPROP INT_L_X28Y72 TILE_TYPE INT_L TILEPROP INT_L_X28Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y72 TILE_X 29736 TILEPROP INT_L_X28Y72 TILE_Y -8000 TILEPROP INT_L_X28Y72 TYPE INT_L TILEPROP INT_L_X28Y73 CLASS tile TILEPROP INT_L_X28Y73 COLUMN 72 TILEPROP INT_L_X28Y73 DEVICE_ID 0 TILEPROP INT_L_X28Y73 FIRST_SITE_ID 7959 TILEPROP INT_L_X28Y73 GRID_POINT_X 72 TILEPROP INT_L_X28Y73 GRID_POINT_Y 80 TILEPROP INT_L_X28Y73 INDEX 9272 TILEPROP INT_L_X28Y73 INT_TILE_X 28 TILEPROP INT_L_X28Y73 INT_TILE_Y 76 TILEPROP INT_L_X28Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y73 IS_DCM_TILE 0 TILEPROP INT_L_X28Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y73 NAME INT_L_X28Y73 TILEPROP INT_L_X28Y73 NUM_ARCS 3737 TILEPROP INT_L_X28Y73 NUM_SITES 1 TILEPROP INT_L_X28Y73 ROW 80 TILEPROP INT_L_X28Y73 SLR_REGION_ID 0 TILEPROP INT_L_X28Y73 TILE_PATTERN_IDX 6406 TILEPROP INT_L_X28Y73 TILE_TYPE INT_L TILEPROP INT_L_X28Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y73 TILE_X 29736 TILEPROP INT_L_X28Y73 TILE_Y -4800 TILEPROP INT_L_X28Y73 TYPE INT_L TILEPROP INT_L_X28Y74 CLASS tile TILEPROP INT_L_X28Y74 COLUMN 72 TILEPROP INT_L_X28Y74 DEVICE_ID 0 TILEPROP INT_L_X28Y74 FIRST_SITE_ID 7859 TILEPROP INT_L_X28Y74 GRID_POINT_X 72 TILEPROP INT_L_X28Y74 GRID_POINT_Y 79 TILEPROP INT_L_X28Y74 INDEX 9157 TILEPROP INT_L_X28Y74 INT_TILE_X 28 TILEPROP INT_L_X28Y74 INT_TILE_Y 75 TILEPROP INT_L_X28Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y74 IS_DCM_TILE 0 TILEPROP INT_L_X28Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y74 NAME INT_L_X28Y74 TILEPROP INT_L_X28Y74 NUM_ARCS 3737 TILEPROP INT_L_X28Y74 NUM_SITES 1 TILEPROP INT_L_X28Y74 ROW 79 TILEPROP INT_L_X28Y74 SLR_REGION_ID 0 TILEPROP INT_L_X28Y74 TILE_PATTERN_IDX 6375 TILEPROP INT_L_X28Y74 TILE_TYPE INT_L TILEPROP INT_L_X28Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y74 TILE_X 29736 TILEPROP INT_L_X28Y74 TILE_Y -1600 TILEPROP INT_L_X28Y74 TYPE INT_L TILEPROP INT_L_X28Y75 CLASS tile TILEPROP INT_L_X28Y75 COLUMN 72 TILEPROP INT_L_X28Y75 DEVICE_ID 0 TILEPROP INT_L_X28Y75 FIRST_SITE_ID 7667 TILEPROP INT_L_X28Y75 GRID_POINT_X 72 TILEPROP INT_L_X28Y75 GRID_POINT_Y 77 TILEPROP INT_L_X28Y75 INDEX 8927 TILEPROP INT_L_X28Y75 INT_TILE_X 28 TILEPROP INT_L_X28Y75 INT_TILE_Y 74 TILEPROP INT_L_X28Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y75 IS_DCM_TILE 0 TILEPROP INT_L_X28Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y75 NAME INT_L_X28Y75 TILEPROP INT_L_X28Y75 NUM_ARCS 3737 TILEPROP INT_L_X28Y75 NUM_SITES 1 TILEPROP INT_L_X28Y75 ROW 77 TILEPROP INT_L_X28Y75 SLR_REGION_ID 0 TILEPROP INT_L_X28Y75 TILE_PATTERN_IDX 6301 TILEPROP INT_L_X28Y75 TILE_TYPE INT_L TILEPROP INT_L_X28Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y75 TILE_X 29736 TILEPROP INT_L_X28Y75 TILE_Y 2624 TILEPROP INT_L_X28Y75 TYPE INT_L TILEPROP INT_L_X28Y76 CLASS tile TILEPROP INT_L_X28Y76 COLUMN 72 TILEPROP INT_L_X28Y76 DEVICE_ID 0 TILEPROP INT_L_X28Y76 FIRST_SITE_ID 7558 TILEPROP INT_L_X28Y76 GRID_POINT_X 72 TILEPROP INT_L_X28Y76 GRID_POINT_Y 76 TILEPROP INT_L_X28Y76 INDEX 8812 TILEPROP INT_L_X28Y76 INT_TILE_X 28 TILEPROP INT_L_X28Y76 INT_TILE_Y 73 TILEPROP INT_L_X28Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y76 IS_DCM_TILE 0 TILEPROP INT_L_X28Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y76 NAME INT_L_X28Y76 TILEPROP INT_L_X28Y76 NUM_ARCS 3737 TILEPROP INT_L_X28Y76 NUM_SITES 1 TILEPROP INT_L_X28Y76 ROW 76 TILEPROP INT_L_X28Y76 SLR_REGION_ID 0 TILEPROP INT_L_X28Y76 TILE_PATTERN_IDX 6267 TILEPROP INT_L_X28Y76 TILE_TYPE INT_L TILEPROP INT_L_X28Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y76 TILE_X 29736 TILEPROP INT_L_X28Y76 TILE_Y 5824 TILEPROP INT_L_X28Y76 TYPE INT_L TILEPROP INT_L_X28Y77 CLASS tile TILEPROP INT_L_X28Y77 COLUMN 72 TILEPROP INT_L_X28Y77 DEVICE_ID 0 TILEPROP INT_L_X28Y77 FIRST_SITE_ID 7458 TILEPROP INT_L_X28Y77 GRID_POINT_X 72 TILEPROP INT_L_X28Y77 GRID_POINT_Y 75 TILEPROP INT_L_X28Y77 INDEX 8697 TILEPROP INT_L_X28Y77 INT_TILE_X 28 TILEPROP INT_L_X28Y77 INT_TILE_Y 72 TILEPROP INT_L_X28Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y77 IS_DCM_TILE 0 TILEPROP INT_L_X28Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y77 NAME INT_L_X28Y77 TILEPROP INT_L_X28Y77 NUM_ARCS 3737 TILEPROP INT_L_X28Y77 NUM_SITES 1 TILEPROP INT_L_X28Y77 ROW 75 TILEPROP INT_L_X28Y77 SLR_REGION_ID 0 TILEPROP INT_L_X28Y77 TILE_PATTERN_IDX 6233 TILEPROP INT_L_X28Y77 TILE_TYPE INT_L TILEPROP INT_L_X28Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y77 TILE_X 29736 TILEPROP INT_L_X28Y77 TILE_Y 9024 TILEPROP INT_L_X28Y77 TYPE INT_L TILEPROP INT_L_X28Y78 CLASS tile TILEPROP INT_L_X28Y78 COLUMN 72 TILEPROP INT_L_X28Y78 DEVICE_ID 0 TILEPROP INT_L_X28Y78 FIRST_SITE_ID 7358 TILEPROP INT_L_X28Y78 GRID_POINT_X 72 TILEPROP INT_L_X28Y78 GRID_POINT_Y 74 TILEPROP INT_L_X28Y78 INDEX 8582 TILEPROP INT_L_X28Y78 INT_TILE_X 28 TILEPROP INT_L_X28Y78 INT_TILE_Y 71 TILEPROP INT_L_X28Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y78 IS_DCM_TILE 0 TILEPROP INT_L_X28Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y78 NAME INT_L_X28Y78 TILEPROP INT_L_X28Y78 NUM_ARCS 3737 TILEPROP INT_L_X28Y78 NUM_SITES 1 TILEPROP INT_L_X28Y78 ROW 74 TILEPROP INT_L_X28Y78 SLR_REGION_ID 0 TILEPROP INT_L_X28Y78 TILE_PATTERN_IDX 6200 TILEPROP INT_L_X28Y78 TILE_TYPE INT_L TILEPROP INT_L_X28Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y78 TILE_X 29736 TILEPROP INT_L_X28Y78 TILE_Y 12224 TILEPROP INT_L_X28Y78 TYPE INT_L TILEPROP INT_L_X28Y79 CLASS tile TILEPROP INT_L_X28Y79 COLUMN 72 TILEPROP INT_L_X28Y79 DEVICE_ID 0 TILEPROP INT_L_X28Y79 FIRST_SITE_ID 7252 TILEPROP INT_L_X28Y79 GRID_POINT_X 72 TILEPROP INT_L_X28Y79 GRID_POINT_Y 73 TILEPROP INT_L_X28Y79 INDEX 8467 TILEPROP INT_L_X28Y79 INT_TILE_X 28 TILEPROP INT_L_X28Y79 INT_TILE_Y 70 TILEPROP INT_L_X28Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y79 IS_DCM_TILE 0 TILEPROP INT_L_X28Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y79 NAME INT_L_X28Y79 TILEPROP INT_L_X28Y79 NUM_ARCS 3737 TILEPROP INT_L_X28Y79 NUM_SITES 1 TILEPROP INT_L_X28Y79 ROW 73 TILEPROP INT_L_X28Y79 SLR_REGION_ID 0 TILEPROP INT_L_X28Y79 TILE_PATTERN_IDX 6165 TILEPROP INT_L_X28Y79 TILE_TYPE INT_L TILEPROP INT_L_X28Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y79 TILE_X 29736 TILEPROP INT_L_X28Y79 TILE_Y 15424 TILEPROP INT_L_X28Y79 TYPE INT_L TILEPROP INT_L_X28Y80 CLASS tile TILEPROP INT_L_X28Y80 COLUMN 72 TILEPROP INT_L_X28Y80 DEVICE_ID 0 TILEPROP INT_L_X28Y80 FIRST_SITE_ID 7137 TILEPROP INT_L_X28Y80 GRID_POINT_X 72 TILEPROP INT_L_X28Y80 GRID_POINT_Y 72 TILEPROP INT_L_X28Y80 INDEX 8352 TILEPROP INT_L_X28Y80 INT_TILE_X 28 TILEPROP INT_L_X28Y80 INT_TILE_Y 69 TILEPROP INT_L_X28Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y80 IS_DCM_TILE 0 TILEPROP INT_L_X28Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y80 NAME INT_L_X28Y80 TILEPROP INT_L_X28Y80 NUM_ARCS 3737 TILEPROP INT_L_X28Y80 NUM_SITES 1 TILEPROP INT_L_X28Y80 ROW 72 TILEPROP INT_L_X28Y80 SLR_REGION_ID 0 TILEPROP INT_L_X28Y80 TILE_PATTERN_IDX 6130 TILEPROP INT_L_X28Y80 TILE_TYPE INT_L TILEPROP INT_L_X28Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y80 TILE_X 29736 TILEPROP INT_L_X28Y80 TILE_Y 18624 TILEPROP INT_L_X28Y80 TYPE INT_L TILEPROP INT_L_X28Y81 CLASS tile TILEPROP INT_L_X28Y81 COLUMN 72 TILEPROP INT_L_X28Y81 DEVICE_ID 0 TILEPROP INT_L_X28Y81 FIRST_SITE_ID 7029 TILEPROP INT_L_X28Y81 GRID_POINT_X 72 TILEPROP INT_L_X28Y81 GRID_POINT_Y 71 TILEPROP INT_L_X28Y81 INDEX 8237 TILEPROP INT_L_X28Y81 INT_TILE_X 28 TILEPROP INT_L_X28Y81 INT_TILE_Y 68 TILEPROP INT_L_X28Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y81 IS_DCM_TILE 0 TILEPROP INT_L_X28Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y81 NAME INT_L_X28Y81 TILEPROP INT_L_X28Y81 NUM_ARCS 3737 TILEPROP INT_L_X28Y81 NUM_SITES 1 TILEPROP INT_L_X28Y81 ROW 71 TILEPROP INT_L_X28Y81 SLR_REGION_ID 0 TILEPROP INT_L_X28Y81 TILE_PATTERN_IDX 6096 TILEPROP INT_L_X28Y81 TILE_TYPE INT_L TILEPROP INT_L_X28Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y81 TILE_X 29736 TILEPROP INT_L_X28Y81 TILE_Y 21824 TILEPROP INT_L_X28Y81 TYPE INT_L TILEPROP INT_L_X28Y82 CLASS tile TILEPROP INT_L_X28Y82 COLUMN 72 TILEPROP INT_L_X28Y82 DEVICE_ID 0 TILEPROP INT_L_X28Y82 FIRST_SITE_ID 6927 TILEPROP INT_L_X28Y82 GRID_POINT_X 72 TILEPROP INT_L_X28Y82 GRID_POINT_Y 70 TILEPROP INT_L_X28Y82 INDEX 8122 TILEPROP INT_L_X28Y82 INT_TILE_X 28 TILEPROP INT_L_X28Y82 INT_TILE_Y 67 TILEPROP INT_L_X28Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y82 IS_DCM_TILE 0 TILEPROP INT_L_X28Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y82 NAME INT_L_X28Y82 TILEPROP INT_L_X28Y82 NUM_ARCS 3737 TILEPROP INT_L_X28Y82 NUM_SITES 1 TILEPROP INT_L_X28Y82 ROW 70 TILEPROP INT_L_X28Y82 SLR_REGION_ID 0 TILEPROP INT_L_X28Y82 TILE_PATTERN_IDX 6062 TILEPROP INT_L_X28Y82 TILE_TYPE INT_L TILEPROP INT_L_X28Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y82 TILE_X 29736 TILEPROP INT_L_X28Y82 TILE_Y 25024 TILEPROP INT_L_X28Y82 TYPE INT_L TILEPROP INT_L_X28Y83 CLASS tile TILEPROP INT_L_X28Y83 COLUMN 72 TILEPROP INT_L_X28Y83 DEVICE_ID 0 TILEPROP INT_L_X28Y83 FIRST_SITE_ID 6827 TILEPROP INT_L_X28Y83 GRID_POINT_X 72 TILEPROP INT_L_X28Y83 GRID_POINT_Y 69 TILEPROP INT_L_X28Y83 INDEX 8007 TILEPROP INT_L_X28Y83 INT_TILE_X 28 TILEPROP INT_L_X28Y83 INT_TILE_Y 66 TILEPROP INT_L_X28Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y83 IS_DCM_TILE 0 TILEPROP INT_L_X28Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y83 NAME INT_L_X28Y83 TILEPROP INT_L_X28Y83 NUM_ARCS 3737 TILEPROP INT_L_X28Y83 NUM_SITES 1 TILEPROP INT_L_X28Y83 ROW 69 TILEPROP INT_L_X28Y83 SLR_REGION_ID 0 TILEPROP INT_L_X28Y83 TILE_PATTERN_IDX 6028 TILEPROP INT_L_X28Y83 TILE_TYPE INT_L TILEPROP INT_L_X28Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y83 TILE_X 29736 TILEPROP INT_L_X28Y83 TILE_Y 28224 TILEPROP INT_L_X28Y83 TYPE INT_L TILEPROP INT_L_X28Y84 CLASS tile TILEPROP INT_L_X28Y84 COLUMN 72 TILEPROP INT_L_X28Y84 DEVICE_ID 0 TILEPROP INT_L_X28Y84 FIRST_SITE_ID 6727 TILEPROP INT_L_X28Y84 GRID_POINT_X 72 TILEPROP INT_L_X28Y84 GRID_POINT_Y 68 TILEPROP INT_L_X28Y84 INDEX 7892 TILEPROP INT_L_X28Y84 INT_TILE_X 28 TILEPROP INT_L_X28Y84 INT_TILE_Y 65 TILEPROP INT_L_X28Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y84 IS_DCM_TILE 0 TILEPROP INT_L_X28Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y84 NAME INT_L_X28Y84 TILEPROP INT_L_X28Y84 NUM_ARCS 3737 TILEPROP INT_L_X28Y84 NUM_SITES 1 TILEPROP INT_L_X28Y84 ROW 68 TILEPROP INT_L_X28Y84 SLR_REGION_ID 0 TILEPROP INT_L_X28Y84 TILE_PATTERN_IDX 5994 TILEPROP INT_L_X28Y84 TILE_TYPE INT_L TILEPROP INT_L_X28Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y84 TILE_X 29736 TILEPROP INT_L_X28Y84 TILE_Y 31424 TILEPROP INT_L_X28Y84 TYPE INT_L TILEPROP INT_L_X28Y85 CLASS tile TILEPROP INT_L_X28Y85 COLUMN 72 TILEPROP INT_L_X28Y85 DEVICE_ID 0 TILEPROP INT_L_X28Y85 FIRST_SITE_ID 6618 TILEPROP INT_L_X28Y85 GRID_POINT_X 72 TILEPROP INT_L_X28Y85 GRID_POINT_Y 67 TILEPROP INT_L_X28Y85 INDEX 7777 TILEPROP INT_L_X28Y85 INT_TILE_X 28 TILEPROP INT_L_X28Y85 INT_TILE_Y 64 TILEPROP INT_L_X28Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y85 IS_DCM_TILE 0 TILEPROP INT_L_X28Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y85 NAME INT_L_X28Y85 TILEPROP INT_L_X28Y85 NUM_ARCS 3737 TILEPROP INT_L_X28Y85 NUM_SITES 1 TILEPROP INT_L_X28Y85 ROW 67 TILEPROP INT_L_X28Y85 SLR_REGION_ID 0 TILEPROP INT_L_X28Y85 TILE_PATTERN_IDX 5960 TILEPROP INT_L_X28Y85 TILE_TYPE INT_L TILEPROP INT_L_X28Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y85 TILE_X 29736 TILEPROP INT_L_X28Y85 TILE_Y 34624 TILEPROP INT_L_X28Y85 TYPE INT_L TILEPROP INT_L_X28Y86 CLASS tile TILEPROP INT_L_X28Y86 COLUMN 72 TILEPROP INT_L_X28Y86 DEVICE_ID 0 TILEPROP INT_L_X28Y86 FIRST_SITE_ID 6512 TILEPROP INT_L_X28Y86 GRID_POINT_X 72 TILEPROP INT_L_X28Y86 GRID_POINT_Y 66 TILEPROP INT_L_X28Y86 INDEX 7662 TILEPROP INT_L_X28Y86 INT_TILE_X 28 TILEPROP INT_L_X28Y86 INT_TILE_Y 63 TILEPROP INT_L_X28Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y86 IS_DCM_TILE 0 TILEPROP INT_L_X28Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y86 NAME INT_L_X28Y86 TILEPROP INT_L_X28Y86 NUM_ARCS 3737 TILEPROP INT_L_X28Y86 NUM_SITES 1 TILEPROP INT_L_X28Y86 ROW 66 TILEPROP INT_L_X28Y86 SLR_REGION_ID 0 TILEPROP INT_L_X28Y86 TILE_PATTERN_IDX 5925 TILEPROP INT_L_X28Y86 TILE_TYPE INT_L TILEPROP INT_L_X28Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y86 TILE_X 29736 TILEPROP INT_L_X28Y86 TILE_Y 37824 TILEPROP INT_L_X28Y86 TYPE INT_L TILEPROP INT_L_X28Y87 CLASS tile TILEPROP INT_L_X28Y87 COLUMN 72 TILEPROP INT_L_X28Y87 DEVICE_ID 0 TILEPROP INT_L_X28Y87 FIRST_SITE_ID 6380 TILEPROP INT_L_X28Y87 GRID_POINT_X 72 TILEPROP INT_L_X28Y87 GRID_POINT_Y 65 TILEPROP INT_L_X28Y87 INDEX 7547 TILEPROP INT_L_X28Y87 INT_TILE_X 28 TILEPROP INT_L_X28Y87 INT_TILE_Y 62 TILEPROP INT_L_X28Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y87 IS_DCM_TILE 0 TILEPROP INT_L_X28Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y87 NAME INT_L_X28Y87 TILEPROP INT_L_X28Y87 NUM_ARCS 3737 TILEPROP INT_L_X28Y87 NUM_SITES 1 TILEPROP INT_L_X28Y87 ROW 65 TILEPROP INT_L_X28Y87 SLR_REGION_ID 0 TILEPROP INT_L_X28Y87 TILE_PATTERN_IDX 5890 TILEPROP INT_L_X28Y87 TILE_TYPE INT_L TILEPROP INT_L_X28Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y87 TILE_X 29736 TILEPROP INT_L_X28Y87 TILE_Y 41024 TILEPROP INT_L_X28Y87 TYPE INT_L TILEPROP INT_L_X28Y88 CLASS tile TILEPROP INT_L_X28Y88 COLUMN 72 TILEPROP INT_L_X28Y88 DEVICE_ID 0 TILEPROP INT_L_X28Y88 FIRST_SITE_ID 6280 TILEPROP INT_L_X28Y88 GRID_POINT_X 72 TILEPROP INT_L_X28Y88 GRID_POINT_Y 64 TILEPROP INT_L_X28Y88 INDEX 7432 TILEPROP INT_L_X28Y88 INT_TILE_X 28 TILEPROP INT_L_X28Y88 INT_TILE_Y 61 TILEPROP INT_L_X28Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y88 IS_DCM_TILE 0 TILEPROP INT_L_X28Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y88 NAME INT_L_X28Y88 TILEPROP INT_L_X28Y88 NUM_ARCS 3737 TILEPROP INT_L_X28Y88 NUM_SITES 1 TILEPROP INT_L_X28Y88 ROW 64 TILEPROP INT_L_X28Y88 SLR_REGION_ID 0 TILEPROP INT_L_X28Y88 TILE_PATTERN_IDX 5857 TILEPROP INT_L_X28Y88 TILE_TYPE INT_L TILEPROP INT_L_X28Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y88 TILE_X 29736 TILEPROP INT_L_X28Y88 TILE_Y 44224 TILEPROP INT_L_X28Y88 TYPE INT_L TILEPROP INT_L_X28Y89 CLASS tile TILEPROP INT_L_X28Y89 COLUMN 72 TILEPROP INT_L_X28Y89 DEVICE_ID 0 TILEPROP INT_L_X28Y89 FIRST_SITE_ID 6180 TILEPROP INT_L_X28Y89 GRID_POINT_X 72 TILEPROP INT_L_X28Y89 GRID_POINT_Y 63 TILEPROP INT_L_X28Y89 INDEX 7317 TILEPROP INT_L_X28Y89 INT_TILE_X 28 TILEPROP INT_L_X28Y89 INT_TILE_Y 60 TILEPROP INT_L_X28Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y89 IS_DCM_TILE 0 TILEPROP INT_L_X28Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y89 NAME INT_L_X28Y89 TILEPROP INT_L_X28Y89 NUM_ARCS 3737 TILEPROP INT_L_X28Y89 NUM_SITES 1 TILEPROP INT_L_X28Y89 ROW 63 TILEPROP INT_L_X28Y89 SLR_REGION_ID 0 TILEPROP INT_L_X28Y89 TILE_PATTERN_IDX 5823 TILEPROP INT_L_X28Y89 TILE_TYPE INT_L TILEPROP INT_L_X28Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y89 TILE_X 29736 TILEPROP INT_L_X28Y89 TILE_Y 47424 TILEPROP INT_L_X28Y89 TYPE INT_L TILEPROP INT_L_X28Y90 CLASS tile TILEPROP INT_L_X28Y90 COLUMN 72 TILEPROP INT_L_X28Y90 DEVICE_ID 0 TILEPROP INT_L_X28Y90 FIRST_SITE_ID 6071 TILEPROP INT_L_X28Y90 GRID_POINT_X 72 TILEPROP INT_L_X28Y90 GRID_POINT_Y 62 TILEPROP INT_L_X28Y90 INDEX 7202 TILEPROP INT_L_X28Y90 INT_TILE_X 28 TILEPROP INT_L_X28Y90 INT_TILE_Y 59 TILEPROP INT_L_X28Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y90 IS_DCM_TILE 0 TILEPROP INT_L_X28Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y90 NAME INT_L_X28Y90 TILEPROP INT_L_X28Y90 NUM_ARCS 3737 TILEPROP INT_L_X28Y90 NUM_SITES 1 TILEPROP INT_L_X28Y90 ROW 62 TILEPROP INT_L_X28Y90 SLR_REGION_ID 0 TILEPROP INT_L_X28Y90 TILE_PATTERN_IDX 5789 TILEPROP INT_L_X28Y90 TILE_TYPE INT_L TILEPROP INT_L_X28Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y90 TILE_X 29736 TILEPROP INT_L_X28Y90 TILE_Y 50624 TILEPROP INT_L_X28Y90 TYPE INT_L TILEPROP INT_L_X28Y91 CLASS tile TILEPROP INT_L_X28Y91 COLUMN 72 TILEPROP INT_L_X28Y91 DEVICE_ID 0 TILEPROP INT_L_X28Y91 FIRST_SITE_ID 5965 TILEPROP INT_L_X28Y91 GRID_POINT_X 72 TILEPROP INT_L_X28Y91 GRID_POINT_Y 61 TILEPROP INT_L_X28Y91 INDEX 7087 TILEPROP INT_L_X28Y91 INT_TILE_X 28 TILEPROP INT_L_X28Y91 INT_TILE_Y 58 TILEPROP INT_L_X28Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y91 IS_DCM_TILE 0 TILEPROP INT_L_X28Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y91 NAME INT_L_X28Y91 TILEPROP INT_L_X28Y91 NUM_ARCS 3737 TILEPROP INT_L_X28Y91 NUM_SITES 1 TILEPROP INT_L_X28Y91 ROW 61 TILEPROP INT_L_X28Y91 SLR_REGION_ID 0 TILEPROP INT_L_X28Y91 TILE_PATTERN_IDX 5755 TILEPROP INT_L_X28Y91 TILE_TYPE INT_L TILEPROP INT_L_X28Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y91 TILE_X 29736 TILEPROP INT_L_X28Y91 TILE_Y 53824 TILEPROP INT_L_X28Y91 TYPE INT_L TILEPROP INT_L_X28Y92 CLASS tile TILEPROP INT_L_X28Y92 COLUMN 72 TILEPROP INT_L_X28Y92 DEVICE_ID 0 TILEPROP INT_L_X28Y92 FIRST_SITE_ID 5864 TILEPROP INT_L_X28Y92 GRID_POINT_X 72 TILEPROP INT_L_X28Y92 GRID_POINT_Y 60 TILEPROP INT_L_X28Y92 INDEX 6972 TILEPROP INT_L_X28Y92 INT_TILE_X 28 TILEPROP INT_L_X28Y92 INT_TILE_Y 57 TILEPROP INT_L_X28Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y92 IS_DCM_TILE 0 TILEPROP INT_L_X28Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y92 NAME INT_L_X28Y92 TILEPROP INT_L_X28Y92 NUM_ARCS 3737 TILEPROP INT_L_X28Y92 NUM_SITES 1 TILEPROP INT_L_X28Y92 ROW 60 TILEPROP INT_L_X28Y92 SLR_REGION_ID 0 TILEPROP INT_L_X28Y92 TILE_PATTERN_IDX 5722 TILEPROP INT_L_X28Y92 TILE_TYPE INT_L TILEPROP INT_L_X28Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y92 TILE_X 29736 TILEPROP INT_L_X28Y92 TILE_Y 57024 TILEPROP INT_L_X28Y92 TYPE INT_L TILEPROP INT_L_X28Y93 CLASS tile TILEPROP INT_L_X28Y93 COLUMN 72 TILEPROP INT_L_X28Y93 DEVICE_ID 0 TILEPROP INT_L_X28Y93 FIRST_SITE_ID 5761 TILEPROP INT_L_X28Y93 GRID_POINT_X 72 TILEPROP INT_L_X28Y93 GRID_POINT_Y 59 TILEPROP INT_L_X28Y93 INDEX 6857 TILEPROP INT_L_X28Y93 INT_TILE_X 28 TILEPROP INT_L_X28Y93 INT_TILE_Y 56 TILEPROP INT_L_X28Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y93 IS_DCM_TILE 0 TILEPROP INT_L_X28Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y93 NAME INT_L_X28Y93 TILEPROP INT_L_X28Y93 NUM_ARCS 3737 TILEPROP INT_L_X28Y93 NUM_SITES 1 TILEPROP INT_L_X28Y93 ROW 59 TILEPROP INT_L_X28Y93 SLR_REGION_ID 0 TILEPROP INT_L_X28Y93 TILE_PATTERN_IDX 5688 TILEPROP INT_L_X28Y93 TILE_TYPE INT_L TILEPROP INT_L_X28Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y93 TILE_X 29736 TILEPROP INT_L_X28Y93 TILE_Y 60224 TILEPROP INT_L_X28Y93 TYPE INT_L TILEPROP INT_L_X28Y94 CLASS tile TILEPROP INT_L_X28Y94 COLUMN 72 TILEPROP INT_L_X28Y94 DEVICE_ID 0 TILEPROP INT_L_X28Y94 FIRST_SITE_ID 5659 TILEPROP INT_L_X28Y94 GRID_POINT_X 72 TILEPROP INT_L_X28Y94 GRID_POINT_Y 58 TILEPROP INT_L_X28Y94 INDEX 6742 TILEPROP INT_L_X28Y94 INT_TILE_X 28 TILEPROP INT_L_X28Y94 INT_TILE_Y 55 TILEPROP INT_L_X28Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y94 IS_DCM_TILE 0 TILEPROP INT_L_X28Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y94 NAME INT_L_X28Y94 TILEPROP INT_L_X28Y94 NUM_ARCS 3737 TILEPROP INT_L_X28Y94 NUM_SITES 1 TILEPROP INT_L_X28Y94 ROW 58 TILEPROP INT_L_X28Y94 SLR_REGION_ID 0 TILEPROP INT_L_X28Y94 TILE_PATTERN_IDX 5654 TILEPROP INT_L_X28Y94 TILE_TYPE INT_L TILEPROP INT_L_X28Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y94 TILE_X 29736 TILEPROP INT_L_X28Y94 TILE_Y 63424 TILEPROP INT_L_X28Y94 TYPE INT_L TILEPROP INT_L_X28Y95 CLASS tile TILEPROP INT_L_X28Y95 COLUMN 72 TILEPROP INT_L_X28Y95 DEVICE_ID 0 TILEPROP INT_L_X28Y95 FIRST_SITE_ID 5550 TILEPROP INT_L_X28Y95 GRID_POINT_X 72 TILEPROP INT_L_X28Y95 GRID_POINT_Y 57 TILEPROP INT_L_X28Y95 INDEX 6627 TILEPROP INT_L_X28Y95 INT_TILE_X 28 TILEPROP INT_L_X28Y95 INT_TILE_Y 54 TILEPROP INT_L_X28Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y95 IS_DCM_TILE 0 TILEPROP INT_L_X28Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y95 NAME INT_L_X28Y95 TILEPROP INT_L_X28Y95 NUM_ARCS 3737 TILEPROP INT_L_X28Y95 NUM_SITES 1 TILEPROP INT_L_X28Y95 ROW 57 TILEPROP INT_L_X28Y95 SLR_REGION_ID 0 TILEPROP INT_L_X28Y95 TILE_PATTERN_IDX 5620 TILEPROP INT_L_X28Y95 TILE_TYPE INT_L TILEPROP INT_L_X28Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y95 TILE_X 29736 TILEPROP INT_L_X28Y95 TILE_Y 66624 TILEPROP INT_L_X28Y95 TYPE INT_L TILEPROP INT_L_X28Y96 CLASS tile TILEPROP INT_L_X28Y96 COLUMN 72 TILEPROP INT_L_X28Y96 DEVICE_ID 0 TILEPROP INT_L_X28Y96 FIRST_SITE_ID 5444 TILEPROP INT_L_X28Y96 GRID_POINT_X 72 TILEPROP INT_L_X28Y96 GRID_POINT_Y 56 TILEPROP INT_L_X28Y96 INDEX 6512 TILEPROP INT_L_X28Y96 INT_TILE_X 28 TILEPROP INT_L_X28Y96 INT_TILE_Y 53 TILEPROP INT_L_X28Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y96 IS_DCM_TILE 0 TILEPROP INT_L_X28Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y96 NAME INT_L_X28Y96 TILEPROP INT_L_X28Y96 NUM_ARCS 3737 TILEPROP INT_L_X28Y96 NUM_SITES 1 TILEPROP INT_L_X28Y96 ROW 56 TILEPROP INT_L_X28Y96 SLR_REGION_ID 0 TILEPROP INT_L_X28Y96 TILE_PATTERN_IDX 5585 TILEPROP INT_L_X28Y96 TILE_TYPE INT_L TILEPROP INT_L_X28Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y96 TILE_X 29736 TILEPROP INT_L_X28Y96 TILE_Y 69824 TILEPROP INT_L_X28Y96 TYPE INT_L TILEPROP INT_L_X28Y97 CLASS tile TILEPROP INT_L_X28Y97 COLUMN 72 TILEPROP INT_L_X28Y97 DEVICE_ID 0 TILEPROP INT_L_X28Y97 FIRST_SITE_ID 5344 TILEPROP INT_L_X28Y97 GRID_POINT_X 72 TILEPROP INT_L_X28Y97 GRID_POINT_Y 55 TILEPROP INT_L_X28Y97 INDEX 6397 TILEPROP INT_L_X28Y97 INT_TILE_X 28 TILEPROP INT_L_X28Y97 INT_TILE_Y 52 TILEPROP INT_L_X28Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y97 IS_DCM_TILE 0 TILEPROP INT_L_X28Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y97 NAME INT_L_X28Y97 TILEPROP INT_L_X28Y97 NUM_ARCS 3737 TILEPROP INT_L_X28Y97 NUM_SITES 1 TILEPROP INT_L_X28Y97 ROW 55 TILEPROP INT_L_X28Y97 SLR_REGION_ID 0 TILEPROP INT_L_X28Y97 TILE_PATTERN_IDX 5551 TILEPROP INT_L_X28Y97 TILE_TYPE INT_L TILEPROP INT_L_X28Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y97 TILE_X 29736 TILEPROP INT_L_X28Y97 TILE_Y 73024 TILEPROP INT_L_X28Y97 TYPE INT_L TILEPROP INT_L_X28Y98 CLASS tile TILEPROP INT_L_X28Y98 COLUMN 72 TILEPROP INT_L_X28Y98 DEVICE_ID 0 TILEPROP INT_L_X28Y98 FIRST_SITE_ID 5244 TILEPROP INT_L_X28Y98 GRID_POINT_X 72 TILEPROP INT_L_X28Y98 GRID_POINT_Y 54 TILEPROP INT_L_X28Y98 INDEX 6282 TILEPROP INT_L_X28Y98 INT_TILE_X 28 TILEPROP INT_L_X28Y98 INT_TILE_Y 51 TILEPROP INT_L_X28Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y98 IS_DCM_TILE 0 TILEPROP INT_L_X28Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y98 NAME INT_L_X28Y98 TILEPROP INT_L_X28Y98 NUM_ARCS 3737 TILEPROP INT_L_X28Y98 NUM_SITES 1 TILEPROP INT_L_X28Y98 ROW 54 TILEPROP INT_L_X28Y98 SLR_REGION_ID 0 TILEPROP INT_L_X28Y98 TILE_PATTERN_IDX 5517 TILEPROP INT_L_X28Y98 TILE_TYPE INT_L TILEPROP INT_L_X28Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y98 TILE_X 29736 TILEPROP INT_L_X28Y98 TILE_Y 76224 TILEPROP INT_L_X28Y98 TYPE INT_L TILEPROP INT_L_X28Y99 CLASS tile TILEPROP INT_L_X28Y99 COLUMN 72 TILEPROP INT_L_X28Y99 DEVICE_ID 0 TILEPROP INT_L_X28Y99 FIRST_SITE_ID 5148 TILEPROP INT_L_X28Y99 GRID_POINT_X 72 TILEPROP INT_L_X28Y99 GRID_POINT_Y 53 TILEPROP INT_L_X28Y99 INDEX 6167 TILEPROP INT_L_X28Y99 INT_TILE_X 28 TILEPROP INT_L_X28Y99 INT_TILE_Y 50 TILEPROP INT_L_X28Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y99 IS_DCM_TILE 0 TILEPROP INT_L_X28Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y99 NAME INT_L_X28Y99 TILEPROP INT_L_X28Y99 NUM_ARCS 3737 TILEPROP INT_L_X28Y99 NUM_SITES 1 TILEPROP INT_L_X28Y99 ROW 53 TILEPROP INT_L_X28Y99 SLR_REGION_ID 0 TILEPROP INT_L_X28Y99 TILE_PATTERN_IDX 5484 TILEPROP INT_L_X28Y99 TILE_TYPE INT_L TILEPROP INT_L_X28Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y99 TILE_X 29736 TILEPROP INT_L_X28Y99 TILE_Y 79424 TILEPROP INT_L_X28Y99 TYPE INT_L TILEPROP INT_L_X28Y125 CLASS tile TILEPROP INT_L_X28Y125 COLUMN 72 TILEPROP INT_L_X28Y125 DEVICE_ID 0 TILEPROP INT_L_X28Y125 FIRST_SITE_ID 2585 TILEPROP INT_L_X28Y125 GRID_POINT_X 72 TILEPROP INT_L_X28Y125 GRID_POINT_Y 25 TILEPROP INT_L_X28Y125 INDEX 2947 TILEPROP INT_L_X28Y125 INT_TILE_X 28 TILEPROP INT_L_X28Y125 INT_TILE_Y 24 TILEPROP INT_L_X28Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y125 IS_DCM_TILE 0 TILEPROP INT_L_X28Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y125 NAME INT_L_X28Y125 TILEPROP INT_L_X28Y125 NUM_ARCS 3737 TILEPROP INT_L_X28Y125 NUM_SITES 1 TILEPROP INT_L_X28Y125 ROW 25 TILEPROP INT_L_X28Y125 SLR_REGION_ID 0 TILEPROP INT_L_X28Y125 TILE_PATTERN_IDX 4494 TILEPROP INT_L_X28Y125 TILE_TYPE INT_L TILEPROP INT_L_X28Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y125 TILE_X 29736 TILEPROP INT_L_X28Y125 TILE_Y 163896 TILEPROP INT_L_X28Y125 TYPE INT_L TILEPROP INT_L_X28Y126 CLASS tile TILEPROP INT_L_X28Y126 COLUMN 72 TILEPROP INT_L_X28Y126 DEVICE_ID 0 TILEPROP INT_L_X28Y126 FIRST_SITE_ID 2475 TILEPROP INT_L_X28Y126 GRID_POINT_X 72 TILEPROP INT_L_X28Y126 GRID_POINT_Y 24 TILEPROP INT_L_X28Y126 INDEX 2832 TILEPROP INT_L_X28Y126 INT_TILE_X 28 TILEPROP INT_L_X28Y126 INT_TILE_Y 23 TILEPROP INT_L_X28Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y126 IS_DCM_TILE 0 TILEPROP INT_L_X28Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y126 NAME INT_L_X28Y126 TILEPROP INT_L_X28Y126 NUM_ARCS 3737 TILEPROP INT_L_X28Y126 NUM_SITES 1 TILEPROP INT_L_X28Y126 ROW 24 TILEPROP INT_L_X28Y126 SLR_REGION_ID 0 TILEPROP INT_L_X28Y126 TILE_PATTERN_IDX 4455 TILEPROP INT_L_X28Y126 TILE_TYPE INT_L TILEPROP INT_L_X28Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y126 TILE_X 29736 TILEPROP INT_L_X28Y126 TILE_Y 167096 TILEPROP INT_L_X28Y126 TYPE INT_L TILEPROP INT_L_X28Y127 CLASS tile TILEPROP INT_L_X28Y127 COLUMN 72 TILEPROP INT_L_X28Y127 DEVICE_ID 0 TILEPROP INT_L_X28Y127 FIRST_SITE_ID 2379 TILEPROP INT_L_X28Y127 GRID_POINT_X 72 TILEPROP INT_L_X28Y127 GRID_POINT_Y 23 TILEPROP INT_L_X28Y127 INDEX 2717 TILEPROP INT_L_X28Y127 INT_TILE_X 28 TILEPROP INT_L_X28Y127 INT_TILE_Y 22 TILEPROP INT_L_X28Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y127 IS_DCM_TILE 0 TILEPROP INT_L_X28Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y127 NAME INT_L_X28Y127 TILEPROP INT_L_X28Y127 NUM_ARCS 3737 TILEPROP INT_L_X28Y127 NUM_SITES 1 TILEPROP INT_L_X28Y127 ROW 23 TILEPROP INT_L_X28Y127 SLR_REGION_ID 0 TILEPROP INT_L_X28Y127 TILE_PATTERN_IDX 4417 TILEPROP INT_L_X28Y127 TILE_TYPE INT_L TILEPROP INT_L_X28Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y127 TILE_X 29736 TILEPROP INT_L_X28Y127 TILE_Y 170296 TILEPROP INT_L_X28Y127 TYPE INT_L TILEPROP INT_L_X28Y128 CLASS tile TILEPROP INT_L_X28Y128 COLUMN 72 TILEPROP INT_L_X28Y128 DEVICE_ID 0 TILEPROP INT_L_X28Y128 FIRST_SITE_ID 2275 TILEPROP INT_L_X28Y128 GRID_POINT_X 72 TILEPROP INT_L_X28Y128 GRID_POINT_Y 22 TILEPROP INT_L_X28Y128 INDEX 2602 TILEPROP INT_L_X28Y128 INT_TILE_X 28 TILEPROP INT_L_X28Y128 INT_TILE_Y 21 TILEPROP INT_L_X28Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y128 IS_DCM_TILE 0 TILEPROP INT_L_X28Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y128 NAME INT_L_X28Y128 TILEPROP INT_L_X28Y128 NUM_ARCS 3737 TILEPROP INT_L_X28Y128 NUM_SITES 1 TILEPROP INT_L_X28Y128 ROW 22 TILEPROP INT_L_X28Y128 SLR_REGION_ID 0 TILEPROP INT_L_X28Y128 TILE_PATTERN_IDX 4378 TILEPROP INT_L_X28Y128 TILE_TYPE INT_L TILEPROP INT_L_X28Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y128 TILE_X 29736 TILEPROP INT_L_X28Y128 TILE_Y 173496 TILEPROP INT_L_X28Y128 TYPE INT_L TILEPROP INT_L_X28Y129 CLASS tile TILEPROP INT_L_X28Y129 COLUMN 72 TILEPROP INT_L_X28Y129 DEVICE_ID 0 TILEPROP INT_L_X28Y129 FIRST_SITE_ID 2179 TILEPROP INT_L_X28Y129 GRID_POINT_X 72 TILEPROP INT_L_X28Y129 GRID_POINT_Y 21 TILEPROP INT_L_X28Y129 INDEX 2487 TILEPROP INT_L_X28Y129 INT_TILE_X 28 TILEPROP INT_L_X28Y129 INT_TILE_Y 20 TILEPROP INT_L_X28Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y129 IS_DCM_TILE 0 TILEPROP INT_L_X28Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y129 NAME INT_L_X28Y129 TILEPROP INT_L_X28Y129 NUM_ARCS 3737 TILEPROP INT_L_X28Y129 NUM_SITES 1 TILEPROP INT_L_X28Y129 ROW 21 TILEPROP INT_L_X28Y129 SLR_REGION_ID 0 TILEPROP INT_L_X28Y129 TILE_PATTERN_IDX 4340 TILEPROP INT_L_X28Y129 TILE_TYPE INT_L TILEPROP INT_L_X28Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y129 TILE_X 29736 TILEPROP INT_L_X28Y129 TILE_Y 176696 TILEPROP INT_L_X28Y129 TYPE INT_L TILEPROP INT_L_X28Y130 CLASS tile TILEPROP INT_L_X28Y130 COLUMN 72 TILEPROP INT_L_X28Y130 DEVICE_ID 0 TILEPROP INT_L_X28Y130 FIRST_SITE_ID 2063 TILEPROP INT_L_X28Y130 GRID_POINT_X 72 TILEPROP INT_L_X28Y130 GRID_POINT_Y 20 TILEPROP INT_L_X28Y130 INDEX 2372 TILEPROP INT_L_X28Y130 INT_TILE_X 28 TILEPROP INT_L_X28Y130 INT_TILE_Y 19 TILEPROP INT_L_X28Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y130 IS_DCM_TILE 0 TILEPROP INT_L_X28Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y130 NAME INT_L_X28Y130 TILEPROP INT_L_X28Y130 NUM_ARCS 3737 TILEPROP INT_L_X28Y130 NUM_SITES 1 TILEPROP INT_L_X28Y130 ROW 20 TILEPROP INT_L_X28Y130 SLR_REGION_ID 0 TILEPROP INT_L_X28Y130 TILE_PATTERN_IDX 4300 TILEPROP INT_L_X28Y130 TILE_TYPE INT_L TILEPROP INT_L_X28Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y130 TILE_X 29736 TILEPROP INT_L_X28Y130 TILE_Y 179896 TILEPROP INT_L_X28Y130 TYPE INT_L TILEPROP INT_L_X28Y131 CLASS tile TILEPROP INT_L_X28Y131 COLUMN 72 TILEPROP INT_L_X28Y131 DEVICE_ID 0 TILEPROP INT_L_X28Y131 FIRST_SITE_ID 1961 TILEPROP INT_L_X28Y131 GRID_POINT_X 72 TILEPROP INT_L_X28Y131 GRID_POINT_Y 19 TILEPROP INT_L_X28Y131 INDEX 2257 TILEPROP INT_L_X28Y131 INT_TILE_X 28 TILEPROP INT_L_X28Y131 INT_TILE_Y 18 TILEPROP INT_L_X28Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y131 IS_DCM_TILE 0 TILEPROP INT_L_X28Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y131 NAME INT_L_X28Y131 TILEPROP INT_L_X28Y131 NUM_ARCS 3737 TILEPROP INT_L_X28Y131 NUM_SITES 1 TILEPROP INT_L_X28Y131 ROW 19 TILEPROP INT_L_X28Y131 SLR_REGION_ID 0 TILEPROP INT_L_X28Y131 TILE_PATTERN_IDX 4262 TILEPROP INT_L_X28Y131 TILE_TYPE INT_L TILEPROP INT_L_X28Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y131 TILE_X 29736 TILEPROP INT_L_X28Y131 TILE_Y 183096 TILEPROP INT_L_X28Y131 TYPE INT_L TILEPROP INT_L_X28Y132 CLASS tile TILEPROP INT_L_X28Y132 COLUMN 72 TILEPROP INT_L_X28Y132 DEVICE_ID 0 TILEPROP INT_L_X28Y132 FIRST_SITE_ID 1855 TILEPROP INT_L_X28Y132 GRID_POINT_X 72 TILEPROP INT_L_X28Y132 GRID_POINT_Y 18 TILEPROP INT_L_X28Y132 INDEX 2142 TILEPROP INT_L_X28Y132 INT_TILE_X 28 TILEPROP INT_L_X28Y132 INT_TILE_Y 17 TILEPROP INT_L_X28Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y132 IS_DCM_TILE 0 TILEPROP INT_L_X28Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y132 NAME INT_L_X28Y132 TILEPROP INT_L_X28Y132 NUM_ARCS 3737 TILEPROP INT_L_X28Y132 NUM_SITES 1 TILEPROP INT_L_X28Y132 ROW 18 TILEPROP INT_L_X28Y132 SLR_REGION_ID 0 TILEPROP INT_L_X28Y132 TILE_PATTERN_IDX 4223 TILEPROP INT_L_X28Y132 TILE_TYPE INT_L TILEPROP INT_L_X28Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y132 TILE_X 29736 TILEPROP INT_L_X28Y132 TILE_Y 186296 TILEPROP INT_L_X28Y132 TYPE INT_L TILEPROP INT_L_X28Y133 CLASS tile TILEPROP INT_L_X28Y133 COLUMN 72 TILEPROP INT_L_X28Y133 DEVICE_ID 0 TILEPROP INT_L_X28Y133 FIRST_SITE_ID 1754 TILEPROP INT_L_X28Y133 GRID_POINT_X 72 TILEPROP INT_L_X28Y133 GRID_POINT_Y 17 TILEPROP INT_L_X28Y133 INDEX 2027 TILEPROP INT_L_X28Y133 INT_TILE_X 28 TILEPROP INT_L_X28Y133 INT_TILE_Y 16 TILEPROP INT_L_X28Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y133 IS_DCM_TILE 0 TILEPROP INT_L_X28Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y133 NAME INT_L_X28Y133 TILEPROP INT_L_X28Y133 NUM_ARCS 3737 TILEPROP INT_L_X28Y133 NUM_SITES 1 TILEPROP INT_L_X28Y133 ROW 17 TILEPROP INT_L_X28Y133 SLR_REGION_ID 0 TILEPROP INT_L_X28Y133 TILE_PATTERN_IDX 4185 TILEPROP INT_L_X28Y133 TILE_TYPE INT_L TILEPROP INT_L_X28Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y133 TILE_X 29736 TILEPROP INT_L_X28Y133 TILE_Y 189496 TILEPROP INT_L_X28Y133 TYPE INT_L TILEPROP INT_L_X28Y134 CLASS tile TILEPROP INT_L_X28Y134 COLUMN 72 TILEPROP INT_L_X28Y134 DEVICE_ID 0 TILEPROP INT_L_X28Y134 FIRST_SITE_ID 1650 TILEPROP INT_L_X28Y134 GRID_POINT_X 72 TILEPROP INT_L_X28Y134 GRID_POINT_Y 16 TILEPROP INT_L_X28Y134 INDEX 1912 TILEPROP INT_L_X28Y134 INT_TILE_X 28 TILEPROP INT_L_X28Y134 INT_TILE_Y 15 TILEPROP INT_L_X28Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y134 IS_DCM_TILE 0 TILEPROP INT_L_X28Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y134 NAME INT_L_X28Y134 TILEPROP INT_L_X28Y134 NUM_ARCS 3737 TILEPROP INT_L_X28Y134 NUM_SITES 1 TILEPROP INT_L_X28Y134 ROW 16 TILEPROP INT_L_X28Y134 SLR_REGION_ID 0 TILEPROP INT_L_X28Y134 TILE_PATTERN_IDX 4146 TILEPROP INT_L_X28Y134 TILE_TYPE INT_L TILEPROP INT_L_X28Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y134 TILE_X 29736 TILEPROP INT_L_X28Y134 TILE_Y 192696 TILEPROP INT_L_X28Y134 TYPE INT_L TILEPROP INT_L_X28Y135 CLASS tile TILEPROP INT_L_X28Y135 COLUMN 72 TILEPROP INT_L_X28Y135 DEVICE_ID 0 TILEPROP INT_L_X28Y135 FIRST_SITE_ID 1548 TILEPROP INT_L_X28Y135 GRID_POINT_X 72 TILEPROP INT_L_X28Y135 GRID_POINT_Y 15 TILEPROP INT_L_X28Y135 INDEX 1797 TILEPROP INT_L_X28Y135 INT_TILE_X 28 TILEPROP INT_L_X28Y135 INT_TILE_Y 14 TILEPROP INT_L_X28Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y135 IS_DCM_TILE 0 TILEPROP INT_L_X28Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y135 NAME INT_L_X28Y135 TILEPROP INT_L_X28Y135 NUM_ARCS 3737 TILEPROP INT_L_X28Y135 NUM_SITES 1 TILEPROP INT_L_X28Y135 ROW 15 TILEPROP INT_L_X28Y135 SLR_REGION_ID 0 TILEPROP INT_L_X28Y135 TILE_PATTERN_IDX 4108 TILEPROP INT_L_X28Y135 TILE_TYPE INT_L TILEPROP INT_L_X28Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y135 TILE_X 29736 TILEPROP INT_L_X28Y135 TILE_Y 195896 TILEPROP INT_L_X28Y135 TYPE INT_L TILEPROP INT_L_X28Y136 CLASS tile TILEPROP INT_L_X28Y136 COLUMN 72 TILEPROP INT_L_X28Y136 DEVICE_ID 0 TILEPROP INT_L_X28Y136 FIRST_SITE_ID 1438 TILEPROP INT_L_X28Y136 GRID_POINT_X 72 TILEPROP INT_L_X28Y136 GRID_POINT_Y 14 TILEPROP INT_L_X28Y136 INDEX 1682 TILEPROP INT_L_X28Y136 INT_TILE_X 28 TILEPROP INT_L_X28Y136 INT_TILE_Y 13 TILEPROP INT_L_X28Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y136 IS_DCM_TILE 0 TILEPROP INT_L_X28Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y136 NAME INT_L_X28Y136 TILEPROP INT_L_X28Y136 NUM_ARCS 3737 TILEPROP INT_L_X28Y136 NUM_SITES 1 TILEPROP INT_L_X28Y136 ROW 14 TILEPROP INT_L_X28Y136 SLR_REGION_ID 0 TILEPROP INT_L_X28Y136 TILE_PATTERN_IDX 4069 TILEPROP INT_L_X28Y136 TILE_TYPE INT_L TILEPROP INT_L_X28Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y136 TILE_X 29736 TILEPROP INT_L_X28Y136 TILE_Y 199096 TILEPROP INT_L_X28Y136 TYPE INT_L TILEPROP INT_L_X28Y137 CLASS tile TILEPROP INT_L_X28Y137 COLUMN 72 TILEPROP INT_L_X28Y137 DEVICE_ID 0 TILEPROP INT_L_X28Y137 FIRST_SITE_ID 1310 TILEPROP INT_L_X28Y137 GRID_POINT_X 72 TILEPROP INT_L_X28Y137 GRID_POINT_Y 13 TILEPROP INT_L_X28Y137 INDEX 1567 TILEPROP INT_L_X28Y137 INT_TILE_X 28 TILEPROP INT_L_X28Y137 INT_TILE_Y 12 TILEPROP INT_L_X28Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y137 IS_DCM_TILE 0 TILEPROP INT_L_X28Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y137 NAME INT_L_X28Y137 TILEPROP INT_L_X28Y137 NUM_ARCS 3737 TILEPROP INT_L_X28Y137 NUM_SITES 1 TILEPROP INT_L_X28Y137 ROW 13 TILEPROP INT_L_X28Y137 SLR_REGION_ID 0 TILEPROP INT_L_X28Y137 TILE_PATTERN_IDX 4031 TILEPROP INT_L_X28Y137 TILE_TYPE INT_L TILEPROP INT_L_X28Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y137 TILE_X 29736 TILEPROP INT_L_X28Y137 TILE_Y 202296 TILEPROP INT_L_X28Y137 TYPE INT_L TILEPROP INT_L_X28Y138 CLASS tile TILEPROP INT_L_X28Y138 COLUMN 72 TILEPROP INT_L_X28Y138 DEVICE_ID 0 TILEPROP INT_L_X28Y138 FIRST_SITE_ID 1206 TILEPROP INT_L_X28Y138 GRID_POINT_X 72 TILEPROP INT_L_X28Y138 GRID_POINT_Y 12 TILEPROP INT_L_X28Y138 INDEX 1452 TILEPROP INT_L_X28Y138 INT_TILE_X 28 TILEPROP INT_L_X28Y138 INT_TILE_Y 11 TILEPROP INT_L_X28Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y138 IS_DCM_TILE 0 TILEPROP INT_L_X28Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y138 NAME INT_L_X28Y138 TILEPROP INT_L_X28Y138 NUM_ARCS 3737 TILEPROP INT_L_X28Y138 NUM_SITES 1 TILEPROP INT_L_X28Y138 ROW 12 TILEPROP INT_L_X28Y138 SLR_REGION_ID 0 TILEPROP INT_L_X28Y138 TILE_PATTERN_IDX 3992 TILEPROP INT_L_X28Y138 TILE_TYPE INT_L TILEPROP INT_L_X28Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y138 TILE_X 29736 TILEPROP INT_L_X28Y138 TILE_Y 205496 TILEPROP INT_L_X28Y138 TYPE INT_L TILEPROP INT_L_X28Y139 CLASS tile TILEPROP INT_L_X28Y139 COLUMN 72 TILEPROP INT_L_X28Y139 DEVICE_ID 0 TILEPROP INT_L_X28Y139 FIRST_SITE_ID 1110 TILEPROP INT_L_X28Y139 GRID_POINT_X 72 TILEPROP INT_L_X28Y139 GRID_POINT_Y 11 TILEPROP INT_L_X28Y139 INDEX 1337 TILEPROP INT_L_X28Y139 INT_TILE_X 28 TILEPROP INT_L_X28Y139 INT_TILE_Y 10 TILEPROP INT_L_X28Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y139 IS_DCM_TILE 0 TILEPROP INT_L_X28Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y139 NAME INT_L_X28Y139 TILEPROP INT_L_X28Y139 NUM_ARCS 3737 TILEPROP INT_L_X28Y139 NUM_SITES 1 TILEPROP INT_L_X28Y139 ROW 11 TILEPROP INT_L_X28Y139 SLR_REGION_ID 0 TILEPROP INT_L_X28Y139 TILE_PATTERN_IDX 3954 TILEPROP INT_L_X28Y139 TILE_TYPE INT_L TILEPROP INT_L_X28Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y139 TILE_X 29736 TILEPROP INT_L_X28Y139 TILE_Y 208696 TILEPROP INT_L_X28Y139 TYPE INT_L TILEPROP INT_L_X28Y140 CLASS tile TILEPROP INT_L_X28Y140 COLUMN 72 TILEPROP INT_L_X28Y140 DEVICE_ID 0 TILEPROP INT_L_X28Y140 FIRST_SITE_ID 1000 TILEPROP INT_L_X28Y140 GRID_POINT_X 72 TILEPROP INT_L_X28Y140 GRID_POINT_Y 10 TILEPROP INT_L_X28Y140 INDEX 1222 TILEPROP INT_L_X28Y140 INT_TILE_X 28 TILEPROP INT_L_X28Y140 INT_TILE_Y 9 TILEPROP INT_L_X28Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y140 IS_DCM_TILE 0 TILEPROP INT_L_X28Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y140 NAME INT_L_X28Y140 TILEPROP INT_L_X28Y140 NUM_ARCS 3737 TILEPROP INT_L_X28Y140 NUM_SITES 1 TILEPROP INT_L_X28Y140 ROW 10 TILEPROP INT_L_X28Y140 SLR_REGION_ID 0 TILEPROP INT_L_X28Y140 TILE_PATTERN_IDX 3915 TILEPROP INT_L_X28Y140 TILE_TYPE INT_L TILEPROP INT_L_X28Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y140 TILE_X 29736 TILEPROP INT_L_X28Y140 TILE_Y 211896 TILEPROP INT_L_X28Y140 TYPE INT_L TILEPROP INT_L_X28Y141 CLASS tile TILEPROP INT_L_X28Y141 COLUMN 72 TILEPROP INT_L_X28Y141 DEVICE_ID 0 TILEPROP INT_L_X28Y141 FIRST_SITE_ID 898 TILEPROP INT_L_X28Y141 GRID_POINT_X 72 TILEPROP INT_L_X28Y141 GRID_POINT_Y 9 TILEPROP INT_L_X28Y141 INDEX 1107 TILEPROP INT_L_X28Y141 INT_TILE_X 28 TILEPROP INT_L_X28Y141 INT_TILE_Y 8 TILEPROP INT_L_X28Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y141 IS_DCM_TILE 0 TILEPROP INT_L_X28Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y141 NAME INT_L_X28Y141 TILEPROP INT_L_X28Y141 NUM_ARCS 3737 TILEPROP INT_L_X28Y141 NUM_SITES 1 TILEPROP INT_L_X28Y141 ROW 9 TILEPROP INT_L_X28Y141 SLR_REGION_ID 0 TILEPROP INT_L_X28Y141 TILE_PATTERN_IDX 3877 TILEPROP INT_L_X28Y141 TILE_TYPE INT_L TILEPROP INT_L_X28Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y141 TILE_X 29736 TILEPROP INT_L_X28Y141 TILE_Y 215096 TILEPROP INT_L_X28Y141 TYPE INT_L TILEPROP INT_L_X28Y142 CLASS tile TILEPROP INT_L_X28Y142 COLUMN 72 TILEPROP INT_L_X28Y142 DEVICE_ID 0 TILEPROP INT_L_X28Y142 FIRST_SITE_ID 794 TILEPROP INT_L_X28Y142 GRID_POINT_X 72 TILEPROP INT_L_X28Y142 GRID_POINT_Y 8 TILEPROP INT_L_X28Y142 INDEX 992 TILEPROP INT_L_X28Y142 INT_TILE_X 28 TILEPROP INT_L_X28Y142 INT_TILE_Y 7 TILEPROP INT_L_X28Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y142 IS_DCM_TILE 0 TILEPROP INT_L_X28Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y142 NAME INT_L_X28Y142 TILEPROP INT_L_X28Y142 NUM_ARCS 3737 TILEPROP INT_L_X28Y142 NUM_SITES 1 TILEPROP INT_L_X28Y142 ROW 8 TILEPROP INT_L_X28Y142 SLR_REGION_ID 0 TILEPROP INT_L_X28Y142 TILE_PATTERN_IDX 3838 TILEPROP INT_L_X28Y142 TILE_TYPE INT_L TILEPROP INT_L_X28Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y142 TILE_X 29736 TILEPROP INT_L_X28Y142 TILE_Y 218296 TILEPROP INT_L_X28Y142 TYPE INT_L TILEPROP INT_L_X28Y143 CLASS tile TILEPROP INT_L_X28Y143 COLUMN 72 TILEPROP INT_L_X28Y143 DEVICE_ID 0 TILEPROP INT_L_X28Y143 FIRST_SITE_ID 697 TILEPROP INT_L_X28Y143 GRID_POINT_X 72 TILEPROP INT_L_X28Y143 GRID_POINT_Y 7 TILEPROP INT_L_X28Y143 INDEX 877 TILEPROP INT_L_X28Y143 INT_TILE_X 28 TILEPROP INT_L_X28Y143 INT_TILE_Y 6 TILEPROP INT_L_X28Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y143 IS_DCM_TILE 0 TILEPROP INT_L_X28Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y143 NAME INT_L_X28Y143 TILEPROP INT_L_X28Y143 NUM_ARCS 3737 TILEPROP INT_L_X28Y143 NUM_SITES 1 TILEPROP INT_L_X28Y143 ROW 7 TILEPROP INT_L_X28Y143 SLR_REGION_ID 0 TILEPROP INT_L_X28Y143 TILE_PATTERN_IDX 3800 TILEPROP INT_L_X28Y143 TILE_TYPE INT_L TILEPROP INT_L_X28Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y143 TILE_X 29736 TILEPROP INT_L_X28Y143 TILE_Y 221496 TILEPROP INT_L_X28Y143 TYPE INT_L TILEPROP INT_L_X28Y144 CLASS tile TILEPROP INT_L_X28Y144 COLUMN 72 TILEPROP INT_L_X28Y144 DEVICE_ID 0 TILEPROP INT_L_X28Y144 FIRST_SITE_ID 586 TILEPROP INT_L_X28Y144 GRID_POINT_X 72 TILEPROP INT_L_X28Y144 GRID_POINT_Y 6 TILEPROP INT_L_X28Y144 INDEX 762 TILEPROP INT_L_X28Y144 INT_TILE_X 28 TILEPROP INT_L_X28Y144 INT_TILE_Y 5 TILEPROP INT_L_X28Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y144 IS_DCM_TILE 0 TILEPROP INT_L_X28Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y144 NAME INT_L_X28Y144 TILEPROP INT_L_X28Y144 NUM_ARCS 3737 TILEPROP INT_L_X28Y144 NUM_SITES 1 TILEPROP INT_L_X28Y144 ROW 6 TILEPROP INT_L_X28Y144 SLR_REGION_ID 0 TILEPROP INT_L_X28Y144 TILE_PATTERN_IDX 3761 TILEPROP INT_L_X28Y144 TILE_TYPE INT_L TILEPROP INT_L_X28Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y144 TILE_X 29736 TILEPROP INT_L_X28Y144 TILE_Y 224696 TILEPROP INT_L_X28Y144 TYPE INT_L TILEPROP INT_L_X28Y145 CLASS tile TILEPROP INT_L_X28Y145 COLUMN 72 TILEPROP INT_L_X28Y145 DEVICE_ID 0 TILEPROP INT_L_X28Y145 FIRST_SITE_ID 484 TILEPROP INT_L_X28Y145 GRID_POINT_X 72 TILEPROP INT_L_X28Y145 GRID_POINT_Y 5 TILEPROP INT_L_X28Y145 INDEX 647 TILEPROP INT_L_X28Y145 INT_TILE_X 28 TILEPROP INT_L_X28Y145 INT_TILE_Y 4 TILEPROP INT_L_X28Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y145 IS_DCM_TILE 0 TILEPROP INT_L_X28Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y145 NAME INT_L_X28Y145 TILEPROP INT_L_X28Y145 NUM_ARCS 3737 TILEPROP INT_L_X28Y145 NUM_SITES 1 TILEPROP INT_L_X28Y145 ROW 5 TILEPROP INT_L_X28Y145 SLR_REGION_ID 0 TILEPROP INT_L_X28Y145 TILE_PATTERN_IDX 3723 TILEPROP INT_L_X28Y145 TILE_TYPE INT_L TILEPROP INT_L_X28Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y145 TILE_X 29736 TILEPROP INT_L_X28Y145 TILE_Y 227896 TILEPROP INT_L_X28Y145 TYPE INT_L TILEPROP INT_L_X28Y146 CLASS tile TILEPROP INT_L_X28Y146 COLUMN 72 TILEPROP INT_L_X28Y146 DEVICE_ID 0 TILEPROP INT_L_X28Y146 FIRST_SITE_ID 374 TILEPROP INT_L_X28Y146 GRID_POINT_X 72 TILEPROP INT_L_X28Y146 GRID_POINT_Y 4 TILEPROP INT_L_X28Y146 INDEX 532 TILEPROP INT_L_X28Y146 INT_TILE_X 28 TILEPROP INT_L_X28Y146 INT_TILE_Y 3 TILEPROP INT_L_X28Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y146 IS_DCM_TILE 0 TILEPROP INT_L_X28Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y146 NAME INT_L_X28Y146 TILEPROP INT_L_X28Y146 NUM_ARCS 3737 TILEPROP INT_L_X28Y146 NUM_SITES 1 TILEPROP INT_L_X28Y146 ROW 4 TILEPROP INT_L_X28Y146 SLR_REGION_ID 0 TILEPROP INT_L_X28Y146 TILE_PATTERN_IDX 3684 TILEPROP INT_L_X28Y146 TILE_TYPE INT_L TILEPROP INT_L_X28Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y146 TILE_X 29736 TILEPROP INT_L_X28Y146 TILE_Y 231096 TILEPROP INT_L_X28Y146 TYPE INT_L TILEPROP INT_L_X28Y147 CLASS tile TILEPROP INT_L_X28Y147 COLUMN 72 TILEPROP INT_L_X28Y147 DEVICE_ID 0 TILEPROP INT_L_X28Y147 FIRST_SITE_ID 278 TILEPROP INT_L_X28Y147 GRID_POINT_X 72 TILEPROP INT_L_X28Y147 GRID_POINT_Y 3 TILEPROP INT_L_X28Y147 INDEX 417 TILEPROP INT_L_X28Y147 INT_TILE_X 28 TILEPROP INT_L_X28Y147 INT_TILE_Y 2 TILEPROP INT_L_X28Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y147 IS_DCM_TILE 0 TILEPROP INT_L_X28Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y147 NAME INT_L_X28Y147 TILEPROP INT_L_X28Y147 NUM_ARCS 3737 TILEPROP INT_L_X28Y147 NUM_SITES 1 TILEPROP INT_L_X28Y147 ROW 3 TILEPROP INT_L_X28Y147 SLR_REGION_ID 0 TILEPROP INT_L_X28Y147 TILE_PATTERN_IDX 3646 TILEPROP INT_L_X28Y147 TILE_TYPE INT_L TILEPROP INT_L_X28Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y147 TILE_X 29736 TILEPROP INT_L_X28Y147 TILE_Y 234296 TILEPROP INT_L_X28Y147 TYPE INT_L TILEPROP INT_L_X28Y148 CLASS tile TILEPROP INT_L_X28Y148 COLUMN 72 TILEPROP INT_L_X28Y148 DEVICE_ID 0 TILEPROP INT_L_X28Y148 FIRST_SITE_ID 174 TILEPROP INT_L_X28Y148 GRID_POINT_X 72 TILEPROP INT_L_X28Y148 GRID_POINT_Y 2 TILEPROP INT_L_X28Y148 INDEX 302 TILEPROP INT_L_X28Y148 INT_TILE_X 28 TILEPROP INT_L_X28Y148 INT_TILE_Y 1 TILEPROP INT_L_X28Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y148 IS_DCM_TILE 0 TILEPROP INT_L_X28Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y148 NAME INT_L_X28Y148 TILEPROP INT_L_X28Y148 NUM_ARCS 3737 TILEPROP INT_L_X28Y148 NUM_SITES 1 TILEPROP INT_L_X28Y148 ROW 2 TILEPROP INT_L_X28Y148 SLR_REGION_ID 0 TILEPROP INT_L_X28Y148 TILE_PATTERN_IDX 3607 TILEPROP INT_L_X28Y148 TILE_TYPE INT_L TILEPROP INT_L_X28Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y148 TILE_X 29736 TILEPROP INT_L_X28Y148 TILE_Y 237496 TILEPROP INT_L_X28Y148 TYPE INT_L TILEPROP INT_L_X28Y149 CLASS tile TILEPROP INT_L_X28Y149 COLUMN 72 TILEPROP INT_L_X28Y149 DEVICE_ID 0 TILEPROP INT_L_X28Y149 FIRST_SITE_ID 78 TILEPROP INT_L_X28Y149 GRID_POINT_X 72 TILEPROP INT_L_X28Y149 GRID_POINT_Y 1 TILEPROP INT_L_X28Y149 INDEX 187 TILEPROP INT_L_X28Y149 INT_TILE_X 28 TILEPROP INT_L_X28Y149 INT_TILE_Y 0 TILEPROP INT_L_X28Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X28Y149 IS_DCM_TILE 0 TILEPROP INT_L_X28Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X28Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X28Y149 NAME INT_L_X28Y149 TILEPROP INT_L_X28Y149 NUM_ARCS 3737 TILEPROP INT_L_X28Y149 NUM_SITES 1 TILEPROP INT_L_X28Y149 ROW 1 TILEPROP INT_L_X28Y149 SLR_REGION_ID 0 TILEPROP INT_L_X28Y149 TILE_PATTERN_IDX 3569 TILEPROP INT_L_X28Y149 TILE_TYPE INT_L TILEPROP INT_L_X28Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X28Y149 TILE_X 29736 TILEPROP INT_L_X28Y149 TILE_Y 240696 TILEPROP INT_L_X28Y149 TYPE INT_L TILEPROP INT_L_X2Y0 CLASS tile TILEPROP INT_L_X2Y0 COLUMN 11 TILEPROP INT_L_X2Y0 DEVICE_ID 0 TILEPROP INT_L_X2Y0 FIRST_SITE_ID 15710 TILEPROP INT_L_X2Y0 GRID_POINT_X 11 TILEPROP INT_L_X2Y0 GRID_POINT_Y 155 TILEPROP INT_L_X2Y0 INDEX 17836 TILEPROP INT_L_X2Y0 INT_TILE_X 2 TILEPROP INT_L_X2Y0 INT_TILE_Y 149 TILEPROP INT_L_X2Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y0 IS_DCM_TILE 0 TILEPROP INT_L_X2Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y0 NAME INT_L_X2Y0 TILEPROP INT_L_X2Y0 NUM_ARCS 3737 TILEPROP INT_L_X2Y0 NUM_SITES 1 TILEPROP INT_L_X2Y0 ROW 155 TILEPROP INT_L_X2Y0 SLR_REGION_ID 0 TILEPROP INT_L_X2Y0 TILE_PATTERN_IDX 8735 TILEPROP INT_L_X2Y0 TILE_TYPE INT_L TILEPROP INT_L_X2Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y0 TILE_X -85134 TILEPROP INT_L_X2Y0 TILE_Y -239672 TILEPROP INT_L_X2Y0 TYPE INT_L TILEPROP INT_L_X2Y1 CLASS tile TILEPROP INT_L_X2Y1 COLUMN 11 TILEPROP INT_L_X2Y1 DEVICE_ID 0 TILEPROP INT_L_X2Y1 FIRST_SITE_ID 15605 TILEPROP INT_L_X2Y1 GRID_POINT_X 11 TILEPROP INT_L_X2Y1 GRID_POINT_Y 154 TILEPROP INT_L_X2Y1 INDEX 17721 TILEPROP INT_L_X2Y1 INT_TILE_X 2 TILEPROP INT_L_X2Y1 INT_TILE_Y 148 TILEPROP INT_L_X2Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y1 IS_DCM_TILE 0 TILEPROP INT_L_X2Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y1 NAME INT_L_X2Y1 TILEPROP INT_L_X2Y1 NUM_ARCS 3737 TILEPROP INT_L_X2Y1 NUM_SITES 1 TILEPROP INT_L_X2Y1 ROW 154 TILEPROP INT_L_X2Y1 SLR_REGION_ID 0 TILEPROP INT_L_X2Y1 TILE_PATTERN_IDX 8704 TILEPROP INT_L_X2Y1 TILE_TYPE INT_L TILEPROP INT_L_X2Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y1 TILE_X -85134 TILEPROP INT_L_X2Y1 TILE_Y -236472 TILEPROP INT_L_X2Y1 TYPE INT_L TILEPROP INT_L_X2Y2 CLASS tile TILEPROP INT_L_X2Y2 COLUMN 11 TILEPROP INT_L_X2Y2 DEVICE_ID 0 TILEPROP INT_L_X2Y2 FIRST_SITE_ID 15505 TILEPROP INT_L_X2Y2 GRID_POINT_X 11 TILEPROP INT_L_X2Y2 GRID_POINT_Y 153 TILEPROP INT_L_X2Y2 INDEX 17606 TILEPROP INT_L_X2Y2 INT_TILE_X 2 TILEPROP INT_L_X2Y2 INT_TILE_Y 147 TILEPROP INT_L_X2Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y2 IS_DCM_TILE 0 TILEPROP INT_L_X2Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y2 NAME INT_L_X2Y2 TILEPROP INT_L_X2Y2 NUM_ARCS 3737 TILEPROP INT_L_X2Y2 NUM_SITES 1 TILEPROP INT_L_X2Y2 ROW 153 TILEPROP INT_L_X2Y2 SLR_REGION_ID 0 TILEPROP INT_L_X2Y2 TILE_PATTERN_IDX 8674 TILEPROP INT_L_X2Y2 TILE_TYPE INT_L TILEPROP INT_L_X2Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y2 TILE_X -85134 TILEPROP INT_L_X2Y2 TILE_Y -233272 TILEPROP INT_L_X2Y2 TYPE INT_L TILEPROP INT_L_X2Y3 CLASS tile TILEPROP INT_L_X2Y3 COLUMN 11 TILEPROP INT_L_X2Y3 DEVICE_ID 0 TILEPROP INT_L_X2Y3 FIRST_SITE_ID 15405 TILEPROP INT_L_X2Y3 GRID_POINT_X 11 TILEPROP INT_L_X2Y3 GRID_POINT_Y 152 TILEPROP INT_L_X2Y3 INDEX 17491 TILEPROP INT_L_X2Y3 INT_TILE_X 2 TILEPROP INT_L_X2Y3 INT_TILE_Y 146 TILEPROP INT_L_X2Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y3 IS_DCM_TILE 0 TILEPROP INT_L_X2Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y3 NAME INT_L_X2Y3 TILEPROP INT_L_X2Y3 NUM_ARCS 3737 TILEPROP INT_L_X2Y3 NUM_SITES 1 TILEPROP INT_L_X2Y3 ROW 152 TILEPROP INT_L_X2Y3 SLR_REGION_ID 0 TILEPROP INT_L_X2Y3 TILE_PATTERN_IDX 8643 TILEPROP INT_L_X2Y3 TILE_TYPE INT_L TILEPROP INT_L_X2Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y3 TILE_X -85134 TILEPROP INT_L_X2Y3 TILE_Y -230072 TILEPROP INT_L_X2Y3 TYPE INT_L TILEPROP INT_L_X2Y4 CLASS tile TILEPROP INT_L_X2Y4 COLUMN 11 TILEPROP INT_L_X2Y4 DEVICE_ID 0 TILEPROP INT_L_X2Y4 FIRST_SITE_ID 15305 TILEPROP INT_L_X2Y4 GRID_POINT_X 11 TILEPROP INT_L_X2Y4 GRID_POINT_Y 151 TILEPROP INT_L_X2Y4 INDEX 17376 TILEPROP INT_L_X2Y4 INT_TILE_X 2 TILEPROP INT_L_X2Y4 INT_TILE_Y 145 TILEPROP INT_L_X2Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y4 IS_DCM_TILE 0 TILEPROP INT_L_X2Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y4 NAME INT_L_X2Y4 TILEPROP INT_L_X2Y4 NUM_ARCS 3737 TILEPROP INT_L_X2Y4 NUM_SITES 1 TILEPROP INT_L_X2Y4 ROW 151 TILEPROP INT_L_X2Y4 SLR_REGION_ID 0 TILEPROP INT_L_X2Y4 TILE_PATTERN_IDX 8613 TILEPROP INT_L_X2Y4 TILE_TYPE INT_L TILEPROP INT_L_X2Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y4 TILE_X -85134 TILEPROP INT_L_X2Y4 TILE_Y -226872 TILEPROP INT_L_X2Y4 TYPE INT_L TILEPROP INT_L_X2Y5 CLASS tile TILEPROP INT_L_X2Y5 COLUMN 11 TILEPROP INT_L_X2Y5 DEVICE_ID 0 TILEPROP INT_L_X2Y5 FIRST_SITE_ID 15190 TILEPROP INT_L_X2Y5 GRID_POINT_X 11 TILEPROP INT_L_X2Y5 GRID_POINT_Y 150 TILEPROP INT_L_X2Y5 INDEX 17261 TILEPROP INT_L_X2Y5 INT_TILE_X 2 TILEPROP INT_L_X2Y5 INT_TILE_Y 144 TILEPROP INT_L_X2Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y5 IS_DCM_TILE 0 TILEPROP INT_L_X2Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y5 NAME INT_L_X2Y5 TILEPROP INT_L_X2Y5 NUM_ARCS 3737 TILEPROP INT_L_X2Y5 NUM_SITES 1 TILEPROP INT_L_X2Y5 ROW 150 TILEPROP INT_L_X2Y5 SLR_REGION_ID 0 TILEPROP INT_L_X2Y5 TILE_PATTERN_IDX 8582 TILEPROP INT_L_X2Y5 TILE_TYPE INT_L TILEPROP INT_L_X2Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y5 TILE_X -85134 TILEPROP INT_L_X2Y5 TILE_Y -223672 TILEPROP INT_L_X2Y5 TYPE INT_L TILEPROP INT_L_X2Y6 CLASS tile TILEPROP INT_L_X2Y6 COLUMN 11 TILEPROP INT_L_X2Y6 DEVICE_ID 0 TILEPROP INT_L_X2Y6 FIRST_SITE_ID 15090 TILEPROP INT_L_X2Y6 GRID_POINT_X 11 TILEPROP INT_L_X2Y6 GRID_POINT_Y 149 TILEPROP INT_L_X2Y6 INDEX 17146 TILEPROP INT_L_X2Y6 INT_TILE_X 2 TILEPROP INT_L_X2Y6 INT_TILE_Y 143 TILEPROP INT_L_X2Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y6 IS_DCM_TILE 0 TILEPROP INT_L_X2Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y6 NAME INT_L_X2Y6 TILEPROP INT_L_X2Y6 NUM_ARCS 3737 TILEPROP INT_L_X2Y6 NUM_SITES 1 TILEPROP INT_L_X2Y6 ROW 149 TILEPROP INT_L_X2Y6 SLR_REGION_ID 0 TILEPROP INT_L_X2Y6 TILE_PATTERN_IDX 8552 TILEPROP INT_L_X2Y6 TILE_TYPE INT_L TILEPROP INT_L_X2Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y6 TILE_X -85134 TILEPROP INT_L_X2Y6 TILE_Y -220472 TILEPROP INT_L_X2Y6 TYPE INT_L TILEPROP INT_L_X2Y7 CLASS tile TILEPROP INT_L_X2Y7 COLUMN 11 TILEPROP INT_L_X2Y7 DEVICE_ID 0 TILEPROP INT_L_X2Y7 FIRST_SITE_ID 14988 TILEPROP INT_L_X2Y7 GRID_POINT_X 11 TILEPROP INT_L_X2Y7 GRID_POINT_Y 148 TILEPROP INT_L_X2Y7 INDEX 17031 TILEPROP INT_L_X2Y7 INT_TILE_X 2 TILEPROP INT_L_X2Y7 INT_TILE_Y 142 TILEPROP INT_L_X2Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y7 IS_DCM_TILE 0 TILEPROP INT_L_X2Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y7 NAME INT_L_X2Y7 TILEPROP INT_L_X2Y7 NUM_ARCS 3737 TILEPROP INT_L_X2Y7 NUM_SITES 1 TILEPROP INT_L_X2Y7 ROW 148 TILEPROP INT_L_X2Y7 SLR_REGION_ID 0 TILEPROP INT_L_X2Y7 TILE_PATTERN_IDX 8521 TILEPROP INT_L_X2Y7 TILE_TYPE INT_L TILEPROP INT_L_X2Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y7 TILE_X -85134 TILEPROP INT_L_X2Y7 TILE_Y -217272 TILEPROP INT_L_X2Y7 TYPE INT_L TILEPROP INT_L_X2Y8 CLASS tile TILEPROP INT_L_X2Y8 COLUMN 11 TILEPROP INT_L_X2Y8 DEVICE_ID 0 TILEPROP INT_L_X2Y8 FIRST_SITE_ID 14885 TILEPROP INT_L_X2Y8 GRID_POINT_X 11 TILEPROP INT_L_X2Y8 GRID_POINT_Y 147 TILEPROP INT_L_X2Y8 INDEX 16916 TILEPROP INT_L_X2Y8 INT_TILE_X 2 TILEPROP INT_L_X2Y8 INT_TILE_Y 141 TILEPROP INT_L_X2Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y8 IS_DCM_TILE 0 TILEPROP INT_L_X2Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y8 NAME INT_L_X2Y8 TILEPROP INT_L_X2Y8 NUM_ARCS 3737 TILEPROP INT_L_X2Y8 NUM_SITES 1 TILEPROP INT_L_X2Y8 ROW 147 TILEPROP INT_L_X2Y8 SLR_REGION_ID 0 TILEPROP INT_L_X2Y8 TILE_PATTERN_IDX 8491 TILEPROP INT_L_X2Y8 TILE_TYPE INT_L TILEPROP INT_L_X2Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y8 TILE_X -85134 TILEPROP INT_L_X2Y8 TILE_Y -214072 TILEPROP INT_L_X2Y8 TYPE INT_L TILEPROP INT_L_X2Y9 CLASS tile TILEPROP INT_L_X2Y9 COLUMN 11 TILEPROP INT_L_X2Y9 DEVICE_ID 0 TILEPROP INT_L_X2Y9 FIRST_SITE_ID 14784 TILEPROP INT_L_X2Y9 GRID_POINT_X 11 TILEPROP INT_L_X2Y9 GRID_POINT_Y 146 TILEPROP INT_L_X2Y9 INDEX 16801 TILEPROP INT_L_X2Y9 INT_TILE_X 2 TILEPROP INT_L_X2Y9 INT_TILE_Y 140 TILEPROP INT_L_X2Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y9 IS_DCM_TILE 0 TILEPROP INT_L_X2Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y9 NAME INT_L_X2Y9 TILEPROP INT_L_X2Y9 NUM_ARCS 3737 TILEPROP INT_L_X2Y9 NUM_SITES 1 TILEPROP INT_L_X2Y9 ROW 146 TILEPROP INT_L_X2Y9 SLR_REGION_ID 0 TILEPROP INT_L_X2Y9 TILE_PATTERN_IDX 8460 TILEPROP INT_L_X2Y9 TILE_TYPE INT_L TILEPROP INT_L_X2Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y9 TILE_X -85134 TILEPROP INT_L_X2Y9 TILE_Y -210872 TILEPROP INT_L_X2Y9 TYPE INT_L TILEPROP INT_L_X2Y10 CLASS tile TILEPROP INT_L_X2Y10 COLUMN 11 TILEPROP INT_L_X2Y10 DEVICE_ID 0 TILEPROP INT_L_X2Y10 FIRST_SITE_ID 14669 TILEPROP INT_L_X2Y10 GRID_POINT_X 11 TILEPROP INT_L_X2Y10 GRID_POINT_Y 145 TILEPROP INT_L_X2Y10 INDEX 16686 TILEPROP INT_L_X2Y10 INT_TILE_X 2 TILEPROP INT_L_X2Y10 INT_TILE_Y 139 TILEPROP INT_L_X2Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y10 IS_DCM_TILE 0 TILEPROP INT_L_X2Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y10 NAME INT_L_X2Y10 TILEPROP INT_L_X2Y10 NUM_ARCS 3737 TILEPROP INT_L_X2Y10 NUM_SITES 1 TILEPROP INT_L_X2Y10 ROW 145 TILEPROP INT_L_X2Y10 SLR_REGION_ID 0 TILEPROP INT_L_X2Y10 TILE_PATTERN_IDX 8430 TILEPROP INT_L_X2Y10 TILE_TYPE INT_L TILEPROP INT_L_X2Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y10 TILE_X -85134 TILEPROP INT_L_X2Y10 TILE_Y -207672 TILEPROP INT_L_X2Y10 TYPE INT_L TILEPROP INT_L_X2Y11 CLASS tile TILEPROP INT_L_X2Y11 COLUMN 11 TILEPROP INT_L_X2Y11 DEVICE_ID 0 TILEPROP INT_L_X2Y11 FIRST_SITE_ID 14569 TILEPROP INT_L_X2Y11 GRID_POINT_X 11 TILEPROP INT_L_X2Y11 GRID_POINT_Y 144 TILEPROP INT_L_X2Y11 INDEX 16571 TILEPROP INT_L_X2Y11 INT_TILE_X 2 TILEPROP INT_L_X2Y11 INT_TILE_Y 138 TILEPROP INT_L_X2Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y11 IS_DCM_TILE 0 TILEPROP INT_L_X2Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y11 NAME INT_L_X2Y11 TILEPROP INT_L_X2Y11 NUM_ARCS 3737 TILEPROP INT_L_X2Y11 NUM_SITES 1 TILEPROP INT_L_X2Y11 ROW 144 TILEPROP INT_L_X2Y11 SLR_REGION_ID 0 TILEPROP INT_L_X2Y11 TILE_PATTERN_IDX 8399 TILEPROP INT_L_X2Y11 TILE_TYPE INT_L TILEPROP INT_L_X2Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y11 TILE_X -85134 TILEPROP INT_L_X2Y11 TILE_Y -204472 TILEPROP INT_L_X2Y11 TYPE INT_L TILEPROP INT_L_X2Y12 CLASS tile TILEPROP INT_L_X2Y12 COLUMN 11 TILEPROP INT_L_X2Y12 DEVICE_ID 0 TILEPROP INT_L_X2Y12 FIRST_SITE_ID 14437 TILEPROP INT_L_X2Y12 GRID_POINT_X 11 TILEPROP INT_L_X2Y12 GRID_POINT_Y 143 TILEPROP INT_L_X2Y12 INDEX 16456 TILEPROP INT_L_X2Y12 INT_TILE_X 2 TILEPROP INT_L_X2Y12 INT_TILE_Y 137 TILEPROP INT_L_X2Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y12 IS_DCM_TILE 0 TILEPROP INT_L_X2Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y12 NAME INT_L_X2Y12 TILEPROP INT_L_X2Y12 NUM_ARCS 3737 TILEPROP INT_L_X2Y12 NUM_SITES 1 TILEPROP INT_L_X2Y12 ROW 143 TILEPROP INT_L_X2Y12 SLR_REGION_ID 0 TILEPROP INT_L_X2Y12 TILE_PATTERN_IDX 8369 TILEPROP INT_L_X2Y12 TILE_TYPE INT_L TILEPROP INT_L_X2Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y12 TILE_X -85134 TILEPROP INT_L_X2Y12 TILE_Y -201272 TILEPROP INT_L_X2Y12 TYPE INT_L TILEPROP INT_L_X2Y13 CLASS tile TILEPROP INT_L_X2Y13 COLUMN 11 TILEPROP INT_L_X2Y13 DEVICE_ID 0 TILEPROP INT_L_X2Y13 FIRST_SITE_ID 14337 TILEPROP INT_L_X2Y13 GRID_POINT_X 11 TILEPROP INT_L_X2Y13 GRID_POINT_Y 142 TILEPROP INT_L_X2Y13 INDEX 16341 TILEPROP INT_L_X2Y13 INT_TILE_X 2 TILEPROP INT_L_X2Y13 INT_TILE_Y 136 TILEPROP INT_L_X2Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y13 IS_DCM_TILE 0 TILEPROP INT_L_X2Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y13 NAME INT_L_X2Y13 TILEPROP INT_L_X2Y13 NUM_ARCS 3737 TILEPROP INT_L_X2Y13 NUM_SITES 1 TILEPROP INT_L_X2Y13 ROW 142 TILEPROP INT_L_X2Y13 SLR_REGION_ID 0 TILEPROP INT_L_X2Y13 TILE_PATTERN_IDX 8338 TILEPROP INT_L_X2Y13 TILE_TYPE INT_L TILEPROP INT_L_X2Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y13 TILE_X -85134 TILEPROP INT_L_X2Y13 TILE_Y -198072 TILEPROP INT_L_X2Y13 TYPE INT_L TILEPROP INT_L_X2Y14 CLASS tile TILEPROP INT_L_X2Y14 COLUMN 11 TILEPROP INT_L_X2Y14 DEVICE_ID 0 TILEPROP INT_L_X2Y14 FIRST_SITE_ID 14237 TILEPROP INT_L_X2Y14 GRID_POINT_X 11 TILEPROP INT_L_X2Y14 GRID_POINT_Y 141 TILEPROP INT_L_X2Y14 INDEX 16226 TILEPROP INT_L_X2Y14 INT_TILE_X 2 TILEPROP INT_L_X2Y14 INT_TILE_Y 135 TILEPROP INT_L_X2Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y14 IS_DCM_TILE 0 TILEPROP INT_L_X2Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y14 NAME INT_L_X2Y14 TILEPROP INT_L_X2Y14 NUM_ARCS 3737 TILEPROP INT_L_X2Y14 NUM_SITES 1 TILEPROP INT_L_X2Y14 ROW 141 TILEPROP INT_L_X2Y14 SLR_REGION_ID 0 TILEPROP INT_L_X2Y14 TILE_PATTERN_IDX 8308 TILEPROP INT_L_X2Y14 TILE_TYPE INT_L TILEPROP INT_L_X2Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y14 TILE_X -85134 TILEPROP INT_L_X2Y14 TILE_Y -194872 TILEPROP INT_L_X2Y14 TYPE INT_L TILEPROP INT_L_X2Y15 CLASS tile TILEPROP INT_L_X2Y15 COLUMN 11 TILEPROP INT_L_X2Y15 DEVICE_ID 0 TILEPROP INT_L_X2Y15 FIRST_SITE_ID 14122 TILEPROP INT_L_X2Y15 GRID_POINT_X 11 TILEPROP INT_L_X2Y15 GRID_POINT_Y 140 TILEPROP INT_L_X2Y15 INDEX 16111 TILEPROP INT_L_X2Y15 INT_TILE_X 2 TILEPROP INT_L_X2Y15 INT_TILE_Y 134 TILEPROP INT_L_X2Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y15 IS_DCM_TILE 0 TILEPROP INT_L_X2Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y15 NAME INT_L_X2Y15 TILEPROP INT_L_X2Y15 NUM_ARCS 3737 TILEPROP INT_L_X2Y15 NUM_SITES 1 TILEPROP INT_L_X2Y15 ROW 140 TILEPROP INT_L_X2Y15 SLR_REGION_ID 0 TILEPROP INT_L_X2Y15 TILE_PATTERN_IDX 8277 TILEPROP INT_L_X2Y15 TILE_TYPE INT_L TILEPROP INT_L_X2Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y15 TILE_X -85134 TILEPROP INT_L_X2Y15 TILE_Y -191672 TILEPROP INT_L_X2Y15 TYPE INT_L TILEPROP INT_L_X2Y16 CLASS tile TILEPROP INT_L_X2Y16 COLUMN 11 TILEPROP INT_L_X2Y16 DEVICE_ID 0 TILEPROP INT_L_X2Y16 FIRST_SITE_ID 14022 TILEPROP INT_L_X2Y16 GRID_POINT_X 11 TILEPROP INT_L_X2Y16 GRID_POINT_Y 139 TILEPROP INT_L_X2Y16 INDEX 15996 TILEPROP INT_L_X2Y16 INT_TILE_X 2 TILEPROP INT_L_X2Y16 INT_TILE_Y 133 TILEPROP INT_L_X2Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y16 IS_DCM_TILE 0 TILEPROP INT_L_X2Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y16 NAME INT_L_X2Y16 TILEPROP INT_L_X2Y16 NUM_ARCS 3737 TILEPROP INT_L_X2Y16 NUM_SITES 1 TILEPROP INT_L_X2Y16 ROW 139 TILEPROP INT_L_X2Y16 SLR_REGION_ID 0 TILEPROP INT_L_X2Y16 TILE_PATTERN_IDX 8247 TILEPROP INT_L_X2Y16 TILE_TYPE INT_L TILEPROP INT_L_X2Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y16 TILE_X -85134 TILEPROP INT_L_X2Y16 TILE_Y -188472 TILEPROP INT_L_X2Y16 TYPE INT_L TILEPROP INT_L_X2Y17 CLASS tile TILEPROP INT_L_X2Y17 COLUMN 11 TILEPROP INT_L_X2Y17 DEVICE_ID 0 TILEPROP INT_L_X2Y17 FIRST_SITE_ID 13917 TILEPROP INT_L_X2Y17 GRID_POINT_X 11 TILEPROP INT_L_X2Y17 GRID_POINT_Y 138 TILEPROP INT_L_X2Y17 INDEX 15881 TILEPROP INT_L_X2Y17 INT_TILE_X 2 TILEPROP INT_L_X2Y17 INT_TILE_Y 132 TILEPROP INT_L_X2Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y17 IS_DCM_TILE 0 TILEPROP INT_L_X2Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y17 NAME INT_L_X2Y17 TILEPROP INT_L_X2Y17 NUM_ARCS 3737 TILEPROP INT_L_X2Y17 NUM_SITES 1 TILEPROP INT_L_X2Y17 ROW 138 TILEPROP INT_L_X2Y17 SLR_REGION_ID 0 TILEPROP INT_L_X2Y17 TILE_PATTERN_IDX 8215 TILEPROP INT_L_X2Y17 TILE_TYPE INT_L TILEPROP INT_L_X2Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y17 TILE_X -85134 TILEPROP INT_L_X2Y17 TILE_Y -185272 TILEPROP INT_L_X2Y17 TYPE INT_L TILEPROP INT_L_X2Y18 CLASS tile TILEPROP INT_L_X2Y18 COLUMN 11 TILEPROP INT_L_X2Y18 DEVICE_ID 0 TILEPROP INT_L_X2Y18 FIRST_SITE_ID 13813 TILEPROP INT_L_X2Y18 GRID_POINT_X 11 TILEPROP INT_L_X2Y18 GRID_POINT_Y 137 TILEPROP INT_L_X2Y18 INDEX 15766 TILEPROP INT_L_X2Y18 INT_TILE_X 2 TILEPROP INT_L_X2Y18 INT_TILE_Y 131 TILEPROP INT_L_X2Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y18 IS_DCM_TILE 0 TILEPROP INT_L_X2Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y18 NAME INT_L_X2Y18 TILEPROP INT_L_X2Y18 NUM_ARCS 3737 TILEPROP INT_L_X2Y18 NUM_SITES 1 TILEPROP INT_L_X2Y18 ROW 137 TILEPROP INT_L_X2Y18 SLR_REGION_ID 0 TILEPROP INT_L_X2Y18 TILE_PATTERN_IDX 8192 TILEPROP INT_L_X2Y18 TILE_TYPE INT_L TILEPROP INT_L_X2Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y18 TILE_X -85134 TILEPROP INT_L_X2Y18 TILE_Y -182072 TILEPROP INT_L_X2Y18 TYPE INT_L TILEPROP INT_L_X2Y19 CLASS tile TILEPROP INT_L_X2Y19 COLUMN 11 TILEPROP INT_L_X2Y19 DEVICE_ID 0 TILEPROP INT_L_X2Y19 FIRST_SITE_ID 13711 TILEPROP INT_L_X2Y19 GRID_POINT_X 11 TILEPROP INT_L_X2Y19 GRID_POINT_Y 136 TILEPROP INT_L_X2Y19 INDEX 15651 TILEPROP INT_L_X2Y19 INT_TILE_X 2 TILEPROP INT_L_X2Y19 INT_TILE_Y 130 TILEPROP INT_L_X2Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y19 IS_DCM_TILE 0 TILEPROP INT_L_X2Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y19 NAME INT_L_X2Y19 TILEPROP INT_L_X2Y19 NUM_ARCS 3737 TILEPROP INT_L_X2Y19 NUM_SITES 1 TILEPROP INT_L_X2Y19 ROW 136 TILEPROP INT_L_X2Y19 SLR_REGION_ID 0 TILEPROP INT_L_X2Y19 TILE_PATTERN_IDX 8169 TILEPROP INT_L_X2Y19 TILE_TYPE INT_L TILEPROP INT_L_X2Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y19 TILE_X -85134 TILEPROP INT_L_X2Y19 TILE_Y -178872 TILEPROP INT_L_X2Y19 TYPE INT_L TILEPROP INT_L_X2Y20 CLASS tile TILEPROP INT_L_X2Y20 COLUMN 11 TILEPROP INT_L_X2Y20 DEVICE_ID 0 TILEPROP INT_L_X2Y20 FIRST_SITE_ID 13594 TILEPROP INT_L_X2Y20 GRID_POINT_X 11 TILEPROP INT_L_X2Y20 GRID_POINT_Y 135 TILEPROP INT_L_X2Y20 INDEX 15536 TILEPROP INT_L_X2Y20 INT_TILE_X 2 TILEPROP INT_L_X2Y20 INT_TILE_Y 129 TILEPROP INT_L_X2Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y20 IS_DCM_TILE 0 TILEPROP INT_L_X2Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y20 NAME INT_L_X2Y20 TILEPROP INT_L_X2Y20 NUM_ARCS 3737 TILEPROP INT_L_X2Y20 NUM_SITES 1 TILEPROP INT_L_X2Y20 ROW 135 TILEPROP INT_L_X2Y20 SLR_REGION_ID 0 TILEPROP INT_L_X2Y20 TILE_PATTERN_IDX 8147 TILEPROP INT_L_X2Y20 TILE_TYPE INT_L TILEPROP INT_L_X2Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y20 TILE_X -85134 TILEPROP INT_L_X2Y20 TILE_Y -175672 TILEPROP INT_L_X2Y20 TYPE INT_L TILEPROP INT_L_X2Y21 CLASS tile TILEPROP INT_L_X2Y21 COLUMN 11 TILEPROP INT_L_X2Y21 DEVICE_ID 0 TILEPROP INT_L_X2Y21 FIRST_SITE_ID 13494 TILEPROP INT_L_X2Y21 GRID_POINT_X 11 TILEPROP INT_L_X2Y21 GRID_POINT_Y 134 TILEPROP INT_L_X2Y21 INDEX 15421 TILEPROP INT_L_X2Y21 INT_TILE_X 2 TILEPROP INT_L_X2Y21 INT_TILE_Y 128 TILEPROP INT_L_X2Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y21 IS_DCM_TILE 0 TILEPROP INT_L_X2Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y21 NAME INT_L_X2Y21 TILEPROP INT_L_X2Y21 NUM_ARCS 3737 TILEPROP INT_L_X2Y21 NUM_SITES 1 TILEPROP INT_L_X2Y21 ROW 134 TILEPROP INT_L_X2Y21 SLR_REGION_ID 0 TILEPROP INT_L_X2Y21 TILE_PATTERN_IDX 8118 TILEPROP INT_L_X2Y21 TILE_TYPE INT_L TILEPROP INT_L_X2Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y21 TILE_X -85134 TILEPROP INT_L_X2Y21 TILE_Y -172472 TILEPROP INT_L_X2Y21 TYPE INT_L TILEPROP INT_L_X2Y22 CLASS tile TILEPROP INT_L_X2Y22 COLUMN 11 TILEPROP INT_L_X2Y22 DEVICE_ID 0 TILEPROP INT_L_X2Y22 FIRST_SITE_ID 13394 TILEPROP INT_L_X2Y22 GRID_POINT_X 11 TILEPROP INT_L_X2Y22 GRID_POINT_Y 133 TILEPROP INT_L_X2Y22 INDEX 15306 TILEPROP INT_L_X2Y22 INT_TILE_X 2 TILEPROP INT_L_X2Y22 INT_TILE_Y 127 TILEPROP INT_L_X2Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y22 IS_DCM_TILE 0 TILEPROP INT_L_X2Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y22 NAME INT_L_X2Y22 TILEPROP INT_L_X2Y22 NUM_ARCS 3737 TILEPROP INT_L_X2Y22 NUM_SITES 1 TILEPROP INT_L_X2Y22 ROW 133 TILEPROP INT_L_X2Y22 SLR_REGION_ID 0 TILEPROP INT_L_X2Y22 TILE_PATTERN_IDX 8090 TILEPROP INT_L_X2Y22 TILE_TYPE INT_L TILEPROP INT_L_X2Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y22 TILE_X -85134 TILEPROP INT_L_X2Y22 TILE_Y -169272 TILEPROP INT_L_X2Y22 TYPE INT_L TILEPROP INT_L_X2Y23 CLASS tile TILEPROP INT_L_X2Y23 COLUMN 11 TILEPROP INT_L_X2Y23 DEVICE_ID 0 TILEPROP INT_L_X2Y23 FIRST_SITE_ID 13294 TILEPROP INT_L_X2Y23 GRID_POINT_X 11 TILEPROP INT_L_X2Y23 GRID_POINT_Y 132 TILEPROP INT_L_X2Y23 INDEX 15191 TILEPROP INT_L_X2Y23 INT_TILE_X 2 TILEPROP INT_L_X2Y23 INT_TILE_Y 126 TILEPROP INT_L_X2Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y23 IS_DCM_TILE 0 TILEPROP INT_L_X2Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y23 NAME INT_L_X2Y23 TILEPROP INT_L_X2Y23 NUM_ARCS 3737 TILEPROP INT_L_X2Y23 NUM_SITES 1 TILEPROP INT_L_X2Y23 ROW 132 TILEPROP INT_L_X2Y23 SLR_REGION_ID 0 TILEPROP INT_L_X2Y23 TILE_PATTERN_IDX 8061 TILEPROP INT_L_X2Y23 TILE_TYPE INT_L TILEPROP INT_L_X2Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y23 TILE_X -85134 TILEPROP INT_L_X2Y23 TILE_Y -166072 TILEPROP INT_L_X2Y23 TYPE INT_L TILEPROP INT_L_X2Y24 CLASS tile TILEPROP INT_L_X2Y24 COLUMN 11 TILEPROP INT_L_X2Y24 DEVICE_ID 0 TILEPROP INT_L_X2Y24 FIRST_SITE_ID 13194 TILEPROP INT_L_X2Y24 GRID_POINT_X 11 TILEPROP INT_L_X2Y24 GRID_POINT_Y 131 TILEPROP INT_L_X2Y24 INDEX 15076 TILEPROP INT_L_X2Y24 INT_TILE_X 2 TILEPROP INT_L_X2Y24 INT_TILE_Y 125 TILEPROP INT_L_X2Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y24 IS_DCM_TILE 0 TILEPROP INT_L_X2Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y24 NAME INT_L_X2Y24 TILEPROP INT_L_X2Y24 NUM_ARCS 3737 TILEPROP INT_L_X2Y24 NUM_SITES 1 TILEPROP INT_L_X2Y24 ROW 131 TILEPROP INT_L_X2Y24 SLR_REGION_ID 0 TILEPROP INT_L_X2Y24 TILE_PATTERN_IDX 8033 TILEPROP INT_L_X2Y24 TILE_TYPE INT_L TILEPROP INT_L_X2Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y24 TILE_X -85134 TILEPROP INT_L_X2Y24 TILE_Y -162872 TILEPROP INT_L_X2Y24 TYPE INT_L TILEPROP INT_L_X2Y25 CLASS tile TILEPROP INT_L_X2Y25 COLUMN 11 TILEPROP INT_L_X2Y25 DEVICE_ID 0 TILEPROP INT_L_X2Y25 FIRST_SITE_ID 12996 TILEPROP INT_L_X2Y25 GRID_POINT_X 11 TILEPROP INT_L_X2Y25 GRID_POINT_Y 129 TILEPROP INT_L_X2Y25 INDEX 14846 TILEPROP INT_L_X2Y25 INT_TILE_X 2 TILEPROP INT_L_X2Y25 INT_TILE_Y 124 TILEPROP INT_L_X2Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y25 IS_DCM_TILE 0 TILEPROP INT_L_X2Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y25 NAME INT_L_X2Y25 TILEPROP INT_L_X2Y25 NUM_ARCS 3737 TILEPROP INT_L_X2Y25 NUM_SITES 1 TILEPROP INT_L_X2Y25 ROW 129 TILEPROP INT_L_X2Y25 SLR_REGION_ID 0 TILEPROP INT_L_X2Y25 TILE_PATTERN_IDX 7961 TILEPROP INT_L_X2Y25 TILE_TYPE INT_L TILEPROP INT_L_X2Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y25 TILE_X -85134 TILEPROP INT_L_X2Y25 TILE_Y -158648 TILEPROP INT_L_X2Y25 TYPE INT_L TILEPROP INT_L_X2Y26 CLASS tile TILEPROP INT_L_X2Y26 COLUMN 11 TILEPROP INT_L_X2Y26 DEVICE_ID 0 TILEPROP INT_L_X2Y26 FIRST_SITE_ID 12896 TILEPROP INT_L_X2Y26 GRID_POINT_X 11 TILEPROP INT_L_X2Y26 GRID_POINT_Y 128 TILEPROP INT_L_X2Y26 INDEX 14731 TILEPROP INT_L_X2Y26 INT_TILE_X 2 TILEPROP INT_L_X2Y26 INT_TILE_Y 123 TILEPROP INT_L_X2Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y26 IS_DCM_TILE 0 TILEPROP INT_L_X2Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y26 NAME INT_L_X2Y26 TILEPROP INT_L_X2Y26 NUM_ARCS 3737 TILEPROP INT_L_X2Y26 NUM_SITES 1 TILEPROP INT_L_X2Y26 ROW 128 TILEPROP INT_L_X2Y26 SLR_REGION_ID 0 TILEPROP INT_L_X2Y26 TILE_PATTERN_IDX 7933 TILEPROP INT_L_X2Y26 TILE_TYPE INT_L TILEPROP INT_L_X2Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y26 TILE_X -85134 TILEPROP INT_L_X2Y26 TILE_Y -155448 TILEPROP INT_L_X2Y26 TYPE INT_L TILEPROP INT_L_X2Y27 CLASS tile TILEPROP INT_L_X2Y27 COLUMN 11 TILEPROP INT_L_X2Y27 DEVICE_ID 0 TILEPROP INT_L_X2Y27 FIRST_SITE_ID 12796 TILEPROP INT_L_X2Y27 GRID_POINT_X 11 TILEPROP INT_L_X2Y27 GRID_POINT_Y 127 TILEPROP INT_L_X2Y27 INDEX 14616 TILEPROP INT_L_X2Y27 INT_TILE_X 2 TILEPROP INT_L_X2Y27 INT_TILE_Y 122 TILEPROP INT_L_X2Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y27 IS_DCM_TILE 0 TILEPROP INT_L_X2Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y27 NAME INT_L_X2Y27 TILEPROP INT_L_X2Y27 NUM_ARCS 3737 TILEPROP INT_L_X2Y27 NUM_SITES 1 TILEPROP INT_L_X2Y27 ROW 127 TILEPROP INT_L_X2Y27 SLR_REGION_ID 0 TILEPROP INT_L_X2Y27 TILE_PATTERN_IDX 7904 TILEPROP INT_L_X2Y27 TILE_TYPE INT_L TILEPROP INT_L_X2Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y27 TILE_X -85134 TILEPROP INT_L_X2Y27 TILE_Y -152248 TILEPROP INT_L_X2Y27 TYPE INT_L TILEPROP INT_L_X2Y28 CLASS tile TILEPROP INT_L_X2Y28 COLUMN 11 TILEPROP INT_L_X2Y28 DEVICE_ID 0 TILEPROP INT_L_X2Y28 FIRST_SITE_ID 12696 TILEPROP INT_L_X2Y28 GRID_POINT_X 11 TILEPROP INT_L_X2Y28 GRID_POINT_Y 126 TILEPROP INT_L_X2Y28 INDEX 14501 TILEPROP INT_L_X2Y28 INT_TILE_X 2 TILEPROP INT_L_X2Y28 INT_TILE_Y 121 TILEPROP INT_L_X2Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y28 IS_DCM_TILE 0 TILEPROP INT_L_X2Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y28 NAME INT_L_X2Y28 TILEPROP INT_L_X2Y28 NUM_ARCS 3737 TILEPROP INT_L_X2Y28 NUM_SITES 1 TILEPROP INT_L_X2Y28 ROW 126 TILEPROP INT_L_X2Y28 SLR_REGION_ID 0 TILEPROP INT_L_X2Y28 TILE_PATTERN_IDX 7876 TILEPROP INT_L_X2Y28 TILE_TYPE INT_L TILEPROP INT_L_X2Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y28 TILE_X -85134 TILEPROP INT_L_X2Y28 TILE_Y -149048 TILEPROP INT_L_X2Y28 TYPE INT_L TILEPROP INT_L_X2Y29 CLASS tile TILEPROP INT_L_X2Y29 COLUMN 11 TILEPROP INT_L_X2Y29 DEVICE_ID 0 TILEPROP INT_L_X2Y29 FIRST_SITE_ID 12590 TILEPROP INT_L_X2Y29 GRID_POINT_X 11 TILEPROP INT_L_X2Y29 GRID_POINT_Y 125 TILEPROP INT_L_X2Y29 INDEX 14386 TILEPROP INT_L_X2Y29 INT_TILE_X 2 TILEPROP INT_L_X2Y29 INT_TILE_Y 120 TILEPROP INT_L_X2Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y29 IS_DCM_TILE 0 TILEPROP INT_L_X2Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y29 NAME INT_L_X2Y29 TILEPROP INT_L_X2Y29 NUM_ARCS 3737 TILEPROP INT_L_X2Y29 NUM_SITES 1 TILEPROP INT_L_X2Y29 ROW 125 TILEPROP INT_L_X2Y29 SLR_REGION_ID 0 TILEPROP INT_L_X2Y29 TILE_PATTERN_IDX 7852 TILEPROP INT_L_X2Y29 TILE_TYPE INT_L TILEPROP INT_L_X2Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y29 TILE_X -85134 TILEPROP INT_L_X2Y29 TILE_Y -145848 TILEPROP INT_L_X2Y29 TYPE INT_L TILEPROP INT_L_X2Y30 CLASS tile TILEPROP INT_L_X2Y30 COLUMN 11 TILEPROP INT_L_X2Y30 DEVICE_ID 0 TILEPROP INT_L_X2Y30 FIRST_SITE_ID 12456 TILEPROP INT_L_X2Y30 GRID_POINT_X 11 TILEPROP INT_L_X2Y30 GRID_POINT_Y 124 TILEPROP INT_L_X2Y30 INDEX 14271 TILEPROP INT_L_X2Y30 INT_TILE_X 2 TILEPROP INT_L_X2Y30 INT_TILE_Y 119 TILEPROP INT_L_X2Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y30 IS_DCM_TILE 0 TILEPROP INT_L_X2Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y30 NAME INT_L_X2Y30 TILEPROP INT_L_X2Y30 NUM_ARCS 3737 TILEPROP INT_L_X2Y30 NUM_SITES 1 TILEPROP INT_L_X2Y30 ROW 124 TILEPROP INT_L_X2Y30 SLR_REGION_ID 0 TILEPROP INT_L_X2Y30 TILE_PATTERN_IDX 7829 TILEPROP INT_L_X2Y30 TILE_TYPE INT_L TILEPROP INT_L_X2Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y30 TILE_X -85134 TILEPROP INT_L_X2Y30 TILE_Y -142648 TILEPROP INT_L_X2Y30 TYPE INT_L TILEPROP INT_L_X2Y31 CLASS tile TILEPROP INT_L_X2Y31 COLUMN 11 TILEPROP INT_L_X2Y31 DEVICE_ID 0 TILEPROP INT_L_X2Y31 FIRST_SITE_ID 12354 TILEPROP INT_L_X2Y31 GRID_POINT_X 11 TILEPROP INT_L_X2Y31 GRID_POINT_Y 123 TILEPROP INT_L_X2Y31 INDEX 14156 TILEPROP INT_L_X2Y31 INT_TILE_X 2 TILEPROP INT_L_X2Y31 INT_TILE_Y 118 TILEPROP INT_L_X2Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y31 IS_DCM_TILE 0 TILEPROP INT_L_X2Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y31 NAME INT_L_X2Y31 TILEPROP INT_L_X2Y31 NUM_ARCS 3737 TILEPROP INT_L_X2Y31 NUM_SITES 1 TILEPROP INT_L_X2Y31 ROW 123 TILEPROP INT_L_X2Y31 SLR_REGION_ID 0 TILEPROP INT_L_X2Y31 TILE_PATTERN_IDX 7806 TILEPROP INT_L_X2Y31 TILE_TYPE INT_L TILEPROP INT_L_X2Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y31 TILE_X -85134 TILEPROP INT_L_X2Y31 TILE_Y -139448 TILEPROP INT_L_X2Y31 TYPE INT_L TILEPROP INT_L_X2Y32 CLASS tile TILEPROP INT_L_X2Y32 COLUMN 11 TILEPROP INT_L_X2Y32 DEVICE_ID 0 TILEPROP INT_L_X2Y32 FIRST_SITE_ID 12251 TILEPROP INT_L_X2Y32 GRID_POINT_X 11 TILEPROP INT_L_X2Y32 GRID_POINT_Y 122 TILEPROP INT_L_X2Y32 INDEX 14041 TILEPROP INT_L_X2Y32 INT_TILE_X 2 TILEPROP INT_L_X2Y32 INT_TILE_Y 117 TILEPROP INT_L_X2Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y32 IS_DCM_TILE 0 TILEPROP INT_L_X2Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y32 NAME INT_L_X2Y32 TILEPROP INT_L_X2Y32 NUM_ARCS 3737 TILEPROP INT_L_X2Y32 NUM_SITES 1 TILEPROP INT_L_X2Y32 ROW 122 TILEPROP INT_L_X2Y32 SLR_REGION_ID 0 TILEPROP INT_L_X2Y32 TILE_PATTERN_IDX 7772 TILEPROP INT_L_X2Y32 TILE_TYPE INT_L TILEPROP INT_L_X2Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y32 TILE_X -85134 TILEPROP INT_L_X2Y32 TILE_Y -136248 TILEPROP INT_L_X2Y32 TYPE INT_L TILEPROP INT_L_X2Y33 CLASS tile TILEPROP INT_L_X2Y33 COLUMN 11 TILEPROP INT_L_X2Y33 DEVICE_ID 0 TILEPROP INT_L_X2Y33 FIRST_SITE_ID 12151 TILEPROP INT_L_X2Y33 GRID_POINT_X 11 TILEPROP INT_L_X2Y33 GRID_POINT_Y 121 TILEPROP INT_L_X2Y33 INDEX 13926 TILEPROP INT_L_X2Y33 INT_TILE_X 2 TILEPROP INT_L_X2Y33 INT_TILE_Y 116 TILEPROP INT_L_X2Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y33 IS_DCM_TILE 0 TILEPROP INT_L_X2Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y33 NAME INT_L_X2Y33 TILEPROP INT_L_X2Y33 NUM_ARCS 3737 TILEPROP INT_L_X2Y33 NUM_SITES 1 TILEPROP INT_L_X2Y33 ROW 121 TILEPROP INT_L_X2Y33 SLR_REGION_ID 0 TILEPROP INT_L_X2Y33 TILE_PATTERN_IDX 7743 TILEPROP INT_L_X2Y33 TILE_TYPE INT_L TILEPROP INT_L_X2Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y33 TILE_X -85134 TILEPROP INT_L_X2Y33 TILE_Y -133048 TILEPROP INT_L_X2Y33 TYPE INT_L TILEPROP INT_L_X2Y34 CLASS tile TILEPROP INT_L_X2Y34 COLUMN 11 TILEPROP INT_L_X2Y34 DEVICE_ID 0 TILEPROP INT_L_X2Y34 FIRST_SITE_ID 12051 TILEPROP INT_L_X2Y34 GRID_POINT_X 11 TILEPROP INT_L_X2Y34 GRID_POINT_Y 120 TILEPROP INT_L_X2Y34 INDEX 13811 TILEPROP INT_L_X2Y34 INT_TILE_X 2 TILEPROP INT_L_X2Y34 INT_TILE_Y 115 TILEPROP INT_L_X2Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y34 IS_DCM_TILE 0 TILEPROP INT_L_X2Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y34 NAME INT_L_X2Y34 TILEPROP INT_L_X2Y34 NUM_ARCS 3737 TILEPROP INT_L_X2Y34 NUM_SITES 1 TILEPROP INT_L_X2Y34 ROW 120 TILEPROP INT_L_X2Y34 SLR_REGION_ID 0 TILEPROP INT_L_X2Y34 TILE_PATTERN_IDX 7715 TILEPROP INT_L_X2Y34 TILE_TYPE INT_L TILEPROP INT_L_X2Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y34 TILE_X -85134 TILEPROP INT_L_X2Y34 TILE_Y -129848 TILEPROP INT_L_X2Y34 TYPE INT_L TILEPROP INT_L_X2Y35 CLASS tile TILEPROP INT_L_X2Y35 COLUMN 11 TILEPROP INT_L_X2Y35 DEVICE_ID 0 TILEPROP INT_L_X2Y35 FIRST_SITE_ID 11936 TILEPROP INT_L_X2Y35 GRID_POINT_X 11 TILEPROP INT_L_X2Y35 GRID_POINT_Y 119 TILEPROP INT_L_X2Y35 INDEX 13696 TILEPROP INT_L_X2Y35 INT_TILE_X 2 TILEPROP INT_L_X2Y35 INT_TILE_Y 114 TILEPROP INT_L_X2Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y35 IS_DCM_TILE 0 TILEPROP INT_L_X2Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y35 NAME INT_L_X2Y35 TILEPROP INT_L_X2Y35 NUM_ARCS 3737 TILEPROP INT_L_X2Y35 NUM_SITES 1 TILEPROP INT_L_X2Y35 ROW 119 TILEPROP INT_L_X2Y35 SLR_REGION_ID 0 TILEPROP INT_L_X2Y35 TILE_PATTERN_IDX 7686 TILEPROP INT_L_X2Y35 TILE_TYPE INT_L TILEPROP INT_L_X2Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y35 TILE_X -85134 TILEPROP INT_L_X2Y35 TILE_Y -126648 TILEPROP INT_L_X2Y35 TYPE INT_L TILEPROP INT_L_X2Y36 CLASS tile TILEPROP INT_L_X2Y36 COLUMN 11 TILEPROP INT_L_X2Y36 DEVICE_ID 0 TILEPROP INT_L_X2Y36 FIRST_SITE_ID 11804 TILEPROP INT_L_X2Y36 GRID_POINT_X 11 TILEPROP INT_L_X2Y36 GRID_POINT_Y 118 TILEPROP INT_L_X2Y36 INDEX 13581 TILEPROP INT_L_X2Y36 INT_TILE_X 2 TILEPROP INT_L_X2Y36 INT_TILE_Y 113 TILEPROP INT_L_X2Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y36 IS_DCM_TILE 0 TILEPROP INT_L_X2Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y36 NAME INT_L_X2Y36 TILEPROP INT_L_X2Y36 NUM_ARCS 3737 TILEPROP INT_L_X2Y36 NUM_SITES 1 TILEPROP INT_L_X2Y36 ROW 118 TILEPROP INT_L_X2Y36 SLR_REGION_ID 0 TILEPROP INT_L_X2Y36 TILE_PATTERN_IDX 7658 TILEPROP INT_L_X2Y36 TILE_TYPE INT_L TILEPROP INT_L_X2Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y36 TILE_X -85134 TILEPROP INT_L_X2Y36 TILE_Y -123448 TILEPROP INT_L_X2Y36 TYPE INT_L TILEPROP INT_L_X2Y37 CLASS tile TILEPROP INT_L_X2Y37 COLUMN 11 TILEPROP INT_L_X2Y37 DEVICE_ID 0 TILEPROP INT_L_X2Y37 FIRST_SITE_ID 11704 TILEPROP INT_L_X2Y37 GRID_POINT_X 11 TILEPROP INT_L_X2Y37 GRID_POINT_Y 117 TILEPROP INT_L_X2Y37 INDEX 13466 TILEPROP INT_L_X2Y37 INT_TILE_X 2 TILEPROP INT_L_X2Y37 INT_TILE_Y 112 TILEPROP INT_L_X2Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y37 IS_DCM_TILE 0 TILEPROP INT_L_X2Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y37 NAME INT_L_X2Y37 TILEPROP INT_L_X2Y37 NUM_ARCS 3737 TILEPROP INT_L_X2Y37 NUM_SITES 1 TILEPROP INT_L_X2Y37 ROW 117 TILEPROP INT_L_X2Y37 SLR_REGION_ID 0 TILEPROP INT_L_X2Y37 TILE_PATTERN_IDX 7630 TILEPROP INT_L_X2Y37 TILE_TYPE INT_L TILEPROP INT_L_X2Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y37 TILE_X -85134 TILEPROP INT_L_X2Y37 TILE_Y -120248 TILEPROP INT_L_X2Y37 TYPE INT_L TILEPROP INT_L_X2Y38 CLASS tile TILEPROP INT_L_X2Y38 COLUMN 11 TILEPROP INT_L_X2Y38 DEVICE_ID 0 TILEPROP INT_L_X2Y38 FIRST_SITE_ID 11604 TILEPROP INT_L_X2Y38 GRID_POINT_X 11 TILEPROP INT_L_X2Y38 GRID_POINT_Y 116 TILEPROP INT_L_X2Y38 INDEX 13351 TILEPROP INT_L_X2Y38 INT_TILE_X 2 TILEPROP INT_L_X2Y38 INT_TILE_Y 111 TILEPROP INT_L_X2Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y38 IS_DCM_TILE 0 TILEPROP INT_L_X2Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y38 NAME INT_L_X2Y38 TILEPROP INT_L_X2Y38 NUM_ARCS 3737 TILEPROP INT_L_X2Y38 NUM_SITES 1 TILEPROP INT_L_X2Y38 ROW 116 TILEPROP INT_L_X2Y38 SLR_REGION_ID 0 TILEPROP INT_L_X2Y38 TILE_PATTERN_IDX 7602 TILEPROP INT_L_X2Y38 TILE_TYPE INT_L TILEPROP INT_L_X2Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y38 TILE_X -85134 TILEPROP INT_L_X2Y38 TILE_Y -117048 TILEPROP INT_L_X2Y38 TYPE INT_L TILEPROP INT_L_X2Y39 CLASS tile TILEPROP INT_L_X2Y39 COLUMN 11 TILEPROP INT_L_X2Y39 DEVICE_ID 0 TILEPROP INT_L_X2Y39 FIRST_SITE_ID 11504 TILEPROP INT_L_X2Y39 GRID_POINT_X 11 TILEPROP INT_L_X2Y39 GRID_POINT_Y 115 TILEPROP INT_L_X2Y39 INDEX 13236 TILEPROP INT_L_X2Y39 INT_TILE_X 2 TILEPROP INT_L_X2Y39 INT_TILE_Y 110 TILEPROP INT_L_X2Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y39 IS_DCM_TILE 0 TILEPROP INT_L_X2Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y39 NAME INT_L_X2Y39 TILEPROP INT_L_X2Y39 NUM_ARCS 3737 TILEPROP INT_L_X2Y39 NUM_SITES 1 TILEPROP INT_L_X2Y39 ROW 115 TILEPROP INT_L_X2Y39 SLR_REGION_ID 0 TILEPROP INT_L_X2Y39 TILE_PATTERN_IDX 7573 TILEPROP INT_L_X2Y39 TILE_TYPE INT_L TILEPROP INT_L_X2Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y39 TILE_X -85134 TILEPROP INT_L_X2Y39 TILE_Y -113848 TILEPROP INT_L_X2Y39 TYPE INT_L TILEPROP INT_L_X2Y40 CLASS tile TILEPROP INT_L_X2Y40 COLUMN 11 TILEPROP INT_L_X2Y40 DEVICE_ID 0 TILEPROP INT_L_X2Y40 FIRST_SITE_ID 11387 TILEPROP INT_L_X2Y40 GRID_POINT_X 11 TILEPROP INT_L_X2Y40 GRID_POINT_Y 114 TILEPROP INT_L_X2Y40 INDEX 13121 TILEPROP INT_L_X2Y40 INT_TILE_X 2 TILEPROP INT_L_X2Y40 INT_TILE_Y 109 TILEPROP INT_L_X2Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y40 IS_DCM_TILE 0 TILEPROP INT_L_X2Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y40 NAME INT_L_X2Y40 TILEPROP INT_L_X2Y40 NUM_ARCS 3737 TILEPROP INT_L_X2Y40 NUM_SITES 1 TILEPROP INT_L_X2Y40 ROW 114 TILEPROP INT_L_X2Y40 SLR_REGION_ID 0 TILEPROP INT_L_X2Y40 TILE_PATTERN_IDX 7544 TILEPROP INT_L_X2Y40 TILE_TYPE INT_L TILEPROP INT_L_X2Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y40 TILE_X -85134 TILEPROP INT_L_X2Y40 TILE_Y -110648 TILEPROP INT_L_X2Y40 TYPE INT_L TILEPROP INT_L_X2Y41 CLASS tile TILEPROP INT_L_X2Y41 COLUMN 11 TILEPROP INT_L_X2Y41 DEVICE_ID 0 TILEPROP INT_L_X2Y41 FIRST_SITE_ID 11286 TILEPROP INT_L_X2Y41 GRID_POINT_X 11 TILEPROP INT_L_X2Y41 GRID_POINT_Y 113 TILEPROP INT_L_X2Y41 INDEX 13006 TILEPROP INT_L_X2Y41 INT_TILE_X 2 TILEPROP INT_L_X2Y41 INT_TILE_Y 108 TILEPROP INT_L_X2Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y41 IS_DCM_TILE 0 TILEPROP INT_L_X2Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y41 NAME INT_L_X2Y41 TILEPROP INT_L_X2Y41 NUM_ARCS 3737 TILEPROP INT_L_X2Y41 NUM_SITES 1 TILEPROP INT_L_X2Y41 ROW 113 TILEPROP INT_L_X2Y41 SLR_REGION_ID 0 TILEPROP INT_L_X2Y41 TILE_PATTERN_IDX 7509 TILEPROP INT_L_X2Y41 TILE_TYPE INT_L TILEPROP INT_L_X2Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y41 TILE_X -85134 TILEPROP INT_L_X2Y41 TILE_Y -107448 TILEPROP INT_L_X2Y41 TYPE INT_L TILEPROP INT_L_X2Y42 CLASS tile TILEPROP INT_L_X2Y42 COLUMN 11 TILEPROP INT_L_X2Y42 DEVICE_ID 0 TILEPROP INT_L_X2Y42 FIRST_SITE_ID 11185 TILEPROP INT_L_X2Y42 GRID_POINT_X 11 TILEPROP INT_L_X2Y42 GRID_POINT_Y 112 TILEPROP INT_L_X2Y42 INDEX 12891 TILEPROP INT_L_X2Y42 INT_TILE_X 2 TILEPROP INT_L_X2Y42 INT_TILE_Y 107 TILEPROP INT_L_X2Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y42 IS_DCM_TILE 0 TILEPROP INT_L_X2Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y42 NAME INT_L_X2Y42 TILEPROP INT_L_X2Y42 NUM_ARCS 3737 TILEPROP INT_L_X2Y42 NUM_SITES 1 TILEPROP INT_L_X2Y42 ROW 112 TILEPROP INT_L_X2Y42 SLR_REGION_ID 0 TILEPROP INT_L_X2Y42 TILE_PATTERN_IDX 7480 TILEPROP INT_L_X2Y42 TILE_TYPE INT_L TILEPROP INT_L_X2Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y42 TILE_X -85134 TILEPROP INT_L_X2Y42 TILE_Y -104248 TILEPROP INT_L_X2Y42 TYPE INT_L TILEPROP INT_L_X2Y43 CLASS tile TILEPROP INT_L_X2Y43 COLUMN 11 TILEPROP INT_L_X2Y43 DEVICE_ID 0 TILEPROP INT_L_X2Y43 FIRST_SITE_ID 11082 TILEPROP INT_L_X2Y43 GRID_POINT_X 11 TILEPROP INT_L_X2Y43 GRID_POINT_Y 111 TILEPROP INT_L_X2Y43 INDEX 12776 TILEPROP INT_L_X2Y43 INT_TILE_X 2 TILEPROP INT_L_X2Y43 INT_TILE_Y 106 TILEPROP INT_L_X2Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y43 IS_DCM_TILE 0 TILEPROP INT_L_X2Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y43 NAME INT_L_X2Y43 TILEPROP INT_L_X2Y43 NUM_ARCS 3737 TILEPROP INT_L_X2Y43 NUM_SITES 1 TILEPROP INT_L_X2Y43 ROW 111 TILEPROP INT_L_X2Y43 SLR_REGION_ID 0 TILEPROP INT_L_X2Y43 TILE_PATTERN_IDX 7451 TILEPROP INT_L_X2Y43 TILE_TYPE INT_L TILEPROP INT_L_X2Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y43 TILE_X -85134 TILEPROP INT_L_X2Y43 TILE_Y -101048 TILEPROP INT_L_X2Y43 TYPE INT_L TILEPROP INT_L_X2Y44 CLASS tile TILEPROP INT_L_X2Y44 COLUMN 11 TILEPROP INT_L_X2Y44 DEVICE_ID 0 TILEPROP INT_L_X2Y44 FIRST_SITE_ID 10980 TILEPROP INT_L_X2Y44 GRID_POINT_X 11 TILEPROP INT_L_X2Y44 GRID_POINT_Y 110 TILEPROP INT_L_X2Y44 INDEX 12661 TILEPROP INT_L_X2Y44 INT_TILE_X 2 TILEPROP INT_L_X2Y44 INT_TILE_Y 105 TILEPROP INT_L_X2Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y44 IS_DCM_TILE 0 TILEPROP INT_L_X2Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y44 NAME INT_L_X2Y44 TILEPROP INT_L_X2Y44 NUM_ARCS 3737 TILEPROP INT_L_X2Y44 NUM_SITES 1 TILEPROP INT_L_X2Y44 ROW 110 TILEPROP INT_L_X2Y44 SLR_REGION_ID 0 TILEPROP INT_L_X2Y44 TILE_PATTERN_IDX 7423 TILEPROP INT_L_X2Y44 TILE_TYPE INT_L TILEPROP INT_L_X2Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y44 TILE_X -85134 TILEPROP INT_L_X2Y44 TILE_Y -97848 TILEPROP INT_L_X2Y44 TYPE INT_L TILEPROP INT_L_X2Y45 CLASS tile TILEPROP INT_L_X2Y45 COLUMN 11 TILEPROP INT_L_X2Y45 DEVICE_ID 0 TILEPROP INT_L_X2Y45 FIRST_SITE_ID 10864 TILEPROP INT_L_X2Y45 GRID_POINT_X 11 TILEPROP INT_L_X2Y45 GRID_POINT_Y 109 TILEPROP INT_L_X2Y45 INDEX 12546 TILEPROP INT_L_X2Y45 INT_TILE_X 2 TILEPROP INT_L_X2Y45 INT_TILE_Y 104 TILEPROP INT_L_X2Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y45 IS_DCM_TILE 0 TILEPROP INT_L_X2Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y45 NAME INT_L_X2Y45 TILEPROP INT_L_X2Y45 NUM_ARCS 3737 TILEPROP INT_L_X2Y45 NUM_SITES 1 TILEPROP INT_L_X2Y45 ROW 109 TILEPROP INT_L_X2Y45 SLR_REGION_ID 0 TILEPROP INT_L_X2Y45 TILE_PATTERN_IDX 7387 TILEPROP INT_L_X2Y45 TILE_TYPE INT_L TILEPROP INT_L_X2Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y45 TILE_X -85134 TILEPROP INT_L_X2Y45 TILE_Y -94648 TILEPROP INT_L_X2Y45 TYPE INT_L TILEPROP INT_L_X2Y46 CLASS tile TILEPROP INT_L_X2Y46 COLUMN 11 TILEPROP INT_L_X2Y46 DEVICE_ID 0 TILEPROP INT_L_X2Y46 FIRST_SITE_ID 10748 TILEPROP INT_L_X2Y46 GRID_POINT_X 11 TILEPROP INT_L_X2Y46 GRID_POINT_Y 108 TILEPROP INT_L_X2Y46 INDEX 12431 TILEPROP INT_L_X2Y46 INT_TILE_X 2 TILEPROP INT_L_X2Y46 INT_TILE_Y 103 TILEPROP INT_L_X2Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y46 IS_DCM_TILE 0 TILEPROP INT_L_X2Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y46 NAME INT_L_X2Y46 TILEPROP INT_L_X2Y46 NUM_ARCS 3737 TILEPROP INT_L_X2Y46 NUM_SITES 1 TILEPROP INT_L_X2Y46 ROW 108 TILEPROP INT_L_X2Y46 SLR_REGION_ID 0 TILEPROP INT_L_X2Y46 TILE_PATTERN_IDX 7351 TILEPROP INT_L_X2Y46 TILE_TYPE INT_L TILEPROP INT_L_X2Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y46 TILE_X -85134 TILEPROP INT_L_X2Y46 TILE_Y -91448 TILEPROP INT_L_X2Y46 TYPE INT_L TILEPROP INT_L_X2Y47 CLASS tile TILEPROP INT_L_X2Y47 COLUMN 11 TILEPROP INT_L_X2Y47 DEVICE_ID 0 TILEPROP INT_L_X2Y47 FIRST_SITE_ID 10648 TILEPROP INT_L_X2Y47 GRID_POINT_X 11 TILEPROP INT_L_X2Y47 GRID_POINT_Y 107 TILEPROP INT_L_X2Y47 INDEX 12316 TILEPROP INT_L_X2Y47 INT_TILE_X 2 TILEPROP INT_L_X2Y47 INT_TILE_Y 102 TILEPROP INT_L_X2Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y47 IS_DCM_TILE 0 TILEPROP INT_L_X2Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y47 NAME INT_L_X2Y47 TILEPROP INT_L_X2Y47 NUM_ARCS 3737 TILEPROP INT_L_X2Y47 NUM_SITES 1 TILEPROP INT_L_X2Y47 ROW 107 TILEPROP INT_L_X2Y47 SLR_REGION_ID 0 TILEPROP INT_L_X2Y47 TILE_PATTERN_IDX 7314 TILEPROP INT_L_X2Y47 TILE_TYPE INT_L TILEPROP INT_L_X2Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y47 TILE_X -85134 TILEPROP INT_L_X2Y47 TILE_Y -88248 TILEPROP INT_L_X2Y47 TYPE INT_L TILEPROP INT_L_X2Y48 CLASS tile TILEPROP INT_L_X2Y48 COLUMN 11 TILEPROP INT_L_X2Y48 DEVICE_ID 0 TILEPROP INT_L_X2Y48 FIRST_SITE_ID 10548 TILEPROP INT_L_X2Y48 GRID_POINT_X 11 TILEPROP INT_L_X2Y48 GRID_POINT_Y 106 TILEPROP INT_L_X2Y48 INDEX 12201 TILEPROP INT_L_X2Y48 INT_TILE_X 2 TILEPROP INT_L_X2Y48 INT_TILE_Y 101 TILEPROP INT_L_X2Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y48 IS_DCM_TILE 0 TILEPROP INT_L_X2Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y48 NAME INT_L_X2Y48 TILEPROP INT_L_X2Y48 NUM_ARCS 3737 TILEPROP INT_L_X2Y48 NUM_SITES 1 TILEPROP INT_L_X2Y48 ROW 106 TILEPROP INT_L_X2Y48 SLR_REGION_ID 0 TILEPROP INT_L_X2Y48 TILE_PATTERN_IDX 7278 TILEPROP INT_L_X2Y48 TILE_TYPE INT_L TILEPROP INT_L_X2Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y48 TILE_X -85134 TILEPROP INT_L_X2Y48 TILE_Y -85048 TILEPROP INT_L_X2Y48 TYPE INT_L TILEPROP INT_L_X2Y49 CLASS tile TILEPROP INT_L_X2Y49 COLUMN 11 TILEPROP INT_L_X2Y49 DEVICE_ID 0 TILEPROP INT_L_X2Y49 FIRST_SITE_ID 10452 TILEPROP INT_L_X2Y49 GRID_POINT_X 11 TILEPROP INT_L_X2Y49 GRID_POINT_Y 105 TILEPROP INT_L_X2Y49 INDEX 12086 TILEPROP INT_L_X2Y49 INT_TILE_X 2 TILEPROP INT_L_X2Y49 INT_TILE_Y 100 TILEPROP INT_L_X2Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y49 IS_DCM_TILE 0 TILEPROP INT_L_X2Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y49 NAME INT_L_X2Y49 TILEPROP INT_L_X2Y49 NUM_ARCS 3737 TILEPROP INT_L_X2Y49 NUM_SITES 1 TILEPROP INT_L_X2Y49 ROW 105 TILEPROP INT_L_X2Y49 SLR_REGION_ID 0 TILEPROP INT_L_X2Y49 TILE_PATTERN_IDX 7242 TILEPROP INT_L_X2Y49 TILE_TYPE INT_L TILEPROP INT_L_X2Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y49 TILE_X -85134 TILEPROP INT_L_X2Y49 TILE_Y -81848 TILEPROP INT_L_X2Y49 TYPE INT_L TILEPROP INT_L_X2Y50 CLASS tile TILEPROP INT_L_X2Y50 COLUMN 11 TILEPROP INT_L_X2Y50 DEVICE_ID 0 TILEPROP INT_L_X2Y50 FIRST_SITE_ID 10321 TILEPROP INT_L_X2Y50 GRID_POINT_X 11 TILEPROP INT_L_X2Y50 GRID_POINT_Y 103 TILEPROP INT_L_X2Y50 INDEX 11856 TILEPROP INT_L_X2Y50 INT_TILE_X 2 TILEPROP INT_L_X2Y50 INT_TILE_Y 99 TILEPROP INT_L_X2Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y50 IS_DCM_TILE 0 TILEPROP INT_L_X2Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y50 NAME INT_L_X2Y50 TILEPROP INT_L_X2Y50 NUM_ARCS 3737 TILEPROP INT_L_X2Y50 NUM_SITES 1 TILEPROP INT_L_X2Y50 ROW 103 TILEPROP INT_L_X2Y50 SLR_REGION_ID 0 TILEPROP INT_L_X2Y50 TILE_PATTERN_IDX 7205 TILEPROP INT_L_X2Y50 TILE_TYPE INT_L TILEPROP INT_L_X2Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y50 TILE_X -85134 TILEPROP INT_L_X2Y50 TILE_Y -78400 TILEPROP INT_L_X2Y50 TYPE INT_L TILEPROP INT_L_X2Y51 CLASS tile TILEPROP INT_L_X2Y51 COLUMN 11 TILEPROP INT_L_X2Y51 DEVICE_ID 0 TILEPROP INT_L_X2Y51 FIRST_SITE_ID 10217 TILEPROP INT_L_X2Y51 GRID_POINT_X 11 TILEPROP INT_L_X2Y51 GRID_POINT_Y 102 TILEPROP INT_L_X2Y51 INDEX 11741 TILEPROP INT_L_X2Y51 INT_TILE_X 2 TILEPROP INT_L_X2Y51 INT_TILE_Y 98 TILEPROP INT_L_X2Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y51 IS_DCM_TILE 0 TILEPROP INT_L_X2Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y51 NAME INT_L_X2Y51 TILEPROP INT_L_X2Y51 NUM_ARCS 3737 TILEPROP INT_L_X2Y51 NUM_SITES 1 TILEPROP INT_L_X2Y51 ROW 102 TILEPROP INT_L_X2Y51 SLR_REGION_ID 0 TILEPROP INT_L_X2Y51 TILE_PATTERN_IDX 7168 TILEPROP INT_L_X2Y51 TILE_TYPE INT_L TILEPROP INT_L_X2Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y51 TILE_X -85134 TILEPROP INT_L_X2Y51 TILE_Y -75200 TILEPROP INT_L_X2Y51 TYPE INT_L TILEPROP INT_L_X2Y52 CLASS tile TILEPROP INT_L_X2Y52 COLUMN 11 TILEPROP INT_L_X2Y52 DEVICE_ID 0 TILEPROP INT_L_X2Y52 FIRST_SITE_ID 10117 TILEPROP INT_L_X2Y52 GRID_POINT_X 11 TILEPROP INT_L_X2Y52 GRID_POINT_Y 101 TILEPROP INT_L_X2Y52 INDEX 11626 TILEPROP INT_L_X2Y52 INT_TILE_X 2 TILEPROP INT_L_X2Y52 INT_TILE_Y 97 TILEPROP INT_L_X2Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y52 IS_DCM_TILE 0 TILEPROP INT_L_X2Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y52 NAME INT_L_X2Y52 TILEPROP INT_L_X2Y52 NUM_ARCS 3737 TILEPROP INT_L_X2Y52 NUM_SITES 1 TILEPROP INT_L_X2Y52 ROW 101 TILEPROP INT_L_X2Y52 SLR_REGION_ID 0 TILEPROP INT_L_X2Y52 TILE_PATTERN_IDX 7131 TILEPROP INT_L_X2Y52 TILE_TYPE INT_L TILEPROP INT_L_X2Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y52 TILE_X -85134 TILEPROP INT_L_X2Y52 TILE_Y -72000 TILEPROP INT_L_X2Y52 TYPE INT_L TILEPROP INT_L_X2Y53 CLASS tile TILEPROP INT_L_X2Y53 COLUMN 11 TILEPROP INT_L_X2Y53 DEVICE_ID 0 TILEPROP INT_L_X2Y53 FIRST_SITE_ID 10017 TILEPROP INT_L_X2Y53 GRID_POINT_X 11 TILEPROP INT_L_X2Y53 GRID_POINT_Y 100 TILEPROP INT_L_X2Y53 INDEX 11511 TILEPROP INT_L_X2Y53 INT_TILE_X 2 TILEPROP INT_L_X2Y53 INT_TILE_Y 96 TILEPROP INT_L_X2Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y53 IS_DCM_TILE 0 TILEPROP INT_L_X2Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y53 NAME INT_L_X2Y53 TILEPROP INT_L_X2Y53 NUM_ARCS 3737 TILEPROP INT_L_X2Y53 NUM_SITES 1 TILEPROP INT_L_X2Y53 ROW 100 TILEPROP INT_L_X2Y53 SLR_REGION_ID 0 TILEPROP INT_L_X2Y53 TILE_PATTERN_IDX 7094 TILEPROP INT_L_X2Y53 TILE_TYPE INT_L TILEPROP INT_L_X2Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y53 TILE_X -85134 TILEPROP INT_L_X2Y53 TILE_Y -68800 TILEPROP INT_L_X2Y53 TYPE INT_L TILEPROP INT_L_X2Y54 CLASS tile TILEPROP INT_L_X2Y54 COLUMN 11 TILEPROP INT_L_X2Y54 DEVICE_ID 0 TILEPROP INT_L_X2Y54 FIRST_SITE_ID 9917 TILEPROP INT_L_X2Y54 GRID_POINT_X 11 TILEPROP INT_L_X2Y54 GRID_POINT_Y 99 TILEPROP INT_L_X2Y54 INDEX 11396 TILEPROP INT_L_X2Y54 INT_TILE_X 2 TILEPROP INT_L_X2Y54 INT_TILE_Y 95 TILEPROP INT_L_X2Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y54 IS_DCM_TILE 0 TILEPROP INT_L_X2Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y54 NAME INT_L_X2Y54 TILEPROP INT_L_X2Y54 NUM_ARCS 3737 TILEPROP INT_L_X2Y54 NUM_SITES 1 TILEPROP INT_L_X2Y54 ROW 99 TILEPROP INT_L_X2Y54 SLR_REGION_ID 0 TILEPROP INT_L_X2Y54 TILE_PATTERN_IDX 7057 TILEPROP INT_L_X2Y54 TILE_TYPE INT_L TILEPROP INT_L_X2Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y54 TILE_X -85134 TILEPROP INT_L_X2Y54 TILE_Y -65600 TILEPROP INT_L_X2Y54 TYPE INT_L TILEPROP INT_L_X2Y55 CLASS tile TILEPROP INT_L_X2Y55 COLUMN 11 TILEPROP INT_L_X2Y55 DEVICE_ID 0 TILEPROP INT_L_X2Y55 FIRST_SITE_ID 9802 TILEPROP INT_L_X2Y55 GRID_POINT_X 11 TILEPROP INT_L_X2Y55 GRID_POINT_Y 98 TILEPROP INT_L_X2Y55 INDEX 11281 TILEPROP INT_L_X2Y55 INT_TILE_X 2 TILEPROP INT_L_X2Y55 INT_TILE_Y 94 TILEPROP INT_L_X2Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y55 IS_DCM_TILE 0 TILEPROP INT_L_X2Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y55 NAME INT_L_X2Y55 TILEPROP INT_L_X2Y55 NUM_ARCS 3737 TILEPROP INT_L_X2Y55 NUM_SITES 1 TILEPROP INT_L_X2Y55 ROW 98 TILEPROP INT_L_X2Y55 SLR_REGION_ID 0 TILEPROP INT_L_X2Y55 TILE_PATTERN_IDX 7019 TILEPROP INT_L_X2Y55 TILE_TYPE INT_L TILEPROP INT_L_X2Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y55 TILE_X -85134 TILEPROP INT_L_X2Y55 TILE_Y -62400 TILEPROP INT_L_X2Y55 TYPE INT_L TILEPROP INT_L_X2Y56 CLASS tile TILEPROP INT_L_X2Y56 COLUMN 11 TILEPROP INT_L_X2Y56 DEVICE_ID 0 TILEPROP INT_L_X2Y56 FIRST_SITE_ID 9702 TILEPROP INT_L_X2Y56 GRID_POINT_X 11 TILEPROP INT_L_X2Y56 GRID_POINT_Y 97 TILEPROP INT_L_X2Y56 INDEX 11166 TILEPROP INT_L_X2Y56 INT_TILE_X 2 TILEPROP INT_L_X2Y56 INT_TILE_Y 93 TILEPROP INT_L_X2Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y56 IS_DCM_TILE 0 TILEPROP INT_L_X2Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y56 NAME INT_L_X2Y56 TILEPROP INT_L_X2Y56 NUM_ARCS 3737 TILEPROP INT_L_X2Y56 NUM_SITES 1 TILEPROP INT_L_X2Y56 ROW 97 TILEPROP INT_L_X2Y56 SLR_REGION_ID 0 TILEPROP INT_L_X2Y56 TILE_PATTERN_IDX 6982 TILEPROP INT_L_X2Y56 TILE_TYPE INT_L TILEPROP INT_L_X2Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y56 TILE_X -85134 TILEPROP INT_L_X2Y56 TILE_Y -59200 TILEPROP INT_L_X2Y56 TYPE INT_L TILEPROP INT_L_X2Y57 CLASS tile TILEPROP INT_L_X2Y57 COLUMN 11 TILEPROP INT_L_X2Y57 DEVICE_ID 0 TILEPROP INT_L_X2Y57 FIRST_SITE_ID 9600 TILEPROP INT_L_X2Y57 GRID_POINT_X 11 TILEPROP INT_L_X2Y57 GRID_POINT_Y 96 TILEPROP INT_L_X2Y57 INDEX 11051 TILEPROP INT_L_X2Y57 INT_TILE_X 2 TILEPROP INT_L_X2Y57 INT_TILE_Y 92 TILEPROP INT_L_X2Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y57 IS_DCM_TILE 0 TILEPROP INT_L_X2Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y57 NAME INT_L_X2Y57 TILEPROP INT_L_X2Y57 NUM_ARCS 3737 TILEPROP INT_L_X2Y57 NUM_SITES 1 TILEPROP INT_L_X2Y57 ROW 96 TILEPROP INT_L_X2Y57 SLR_REGION_ID 0 TILEPROP INT_L_X2Y57 TILE_PATTERN_IDX 6945 TILEPROP INT_L_X2Y57 TILE_TYPE INT_L TILEPROP INT_L_X2Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y57 TILE_X -85134 TILEPROP INT_L_X2Y57 TILE_Y -56000 TILEPROP INT_L_X2Y57 TYPE INT_L TILEPROP INT_L_X2Y58 CLASS tile TILEPROP INT_L_X2Y58 COLUMN 11 TILEPROP INT_L_X2Y58 DEVICE_ID 0 TILEPROP INT_L_X2Y58 FIRST_SITE_ID 9497 TILEPROP INT_L_X2Y58 GRID_POINT_X 11 TILEPROP INT_L_X2Y58 GRID_POINT_Y 95 TILEPROP INT_L_X2Y58 INDEX 10936 TILEPROP INT_L_X2Y58 INT_TILE_X 2 TILEPROP INT_L_X2Y58 INT_TILE_Y 91 TILEPROP INT_L_X2Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y58 IS_DCM_TILE 0 TILEPROP INT_L_X2Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y58 NAME INT_L_X2Y58 TILEPROP INT_L_X2Y58 NUM_ARCS 3737 TILEPROP INT_L_X2Y58 NUM_SITES 1 TILEPROP INT_L_X2Y58 ROW 95 TILEPROP INT_L_X2Y58 SLR_REGION_ID 0 TILEPROP INT_L_X2Y58 TILE_PATTERN_IDX 6907 TILEPROP INT_L_X2Y58 TILE_TYPE INT_L TILEPROP INT_L_X2Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y58 TILE_X -85134 TILEPROP INT_L_X2Y58 TILE_Y -52800 TILEPROP INT_L_X2Y58 TYPE INT_L TILEPROP INT_L_X2Y59 CLASS tile TILEPROP INT_L_X2Y59 COLUMN 11 TILEPROP INT_L_X2Y59 DEVICE_ID 0 TILEPROP INT_L_X2Y59 FIRST_SITE_ID 9396 TILEPROP INT_L_X2Y59 GRID_POINT_X 11 TILEPROP INT_L_X2Y59 GRID_POINT_Y 94 TILEPROP INT_L_X2Y59 INDEX 10821 TILEPROP INT_L_X2Y59 INT_TILE_X 2 TILEPROP INT_L_X2Y59 INT_TILE_Y 90 TILEPROP INT_L_X2Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y59 IS_DCM_TILE 0 TILEPROP INT_L_X2Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y59 NAME INT_L_X2Y59 TILEPROP INT_L_X2Y59 NUM_ARCS 3737 TILEPROP INT_L_X2Y59 NUM_SITES 1 TILEPROP INT_L_X2Y59 ROW 94 TILEPROP INT_L_X2Y59 SLR_REGION_ID 0 TILEPROP INT_L_X2Y59 TILE_PATTERN_IDX 6870 TILEPROP INT_L_X2Y59 TILE_TYPE INT_L TILEPROP INT_L_X2Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y59 TILE_X -85134 TILEPROP INT_L_X2Y59 TILE_Y -49600 TILEPROP INT_L_X2Y59 TYPE INT_L TILEPROP INT_L_X2Y60 CLASS tile TILEPROP INT_L_X2Y60 COLUMN 11 TILEPROP INT_L_X2Y60 DEVICE_ID 0 TILEPROP INT_L_X2Y60 FIRST_SITE_ID 9281 TILEPROP INT_L_X2Y60 GRID_POINT_X 11 TILEPROP INT_L_X2Y60 GRID_POINT_Y 93 TILEPROP INT_L_X2Y60 INDEX 10706 TILEPROP INT_L_X2Y60 INT_TILE_X 2 TILEPROP INT_L_X2Y60 INT_TILE_Y 89 TILEPROP INT_L_X2Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y60 IS_DCM_TILE 0 TILEPROP INT_L_X2Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y60 NAME INT_L_X2Y60 TILEPROP INT_L_X2Y60 NUM_ARCS 3737 TILEPROP INT_L_X2Y60 NUM_SITES 1 TILEPROP INT_L_X2Y60 ROW 93 TILEPROP INT_L_X2Y60 SLR_REGION_ID 0 TILEPROP INT_L_X2Y60 TILE_PATTERN_IDX 6833 TILEPROP INT_L_X2Y60 TILE_TYPE INT_L TILEPROP INT_L_X2Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y60 TILE_X -85134 TILEPROP INT_L_X2Y60 TILE_Y -46400 TILEPROP INT_L_X2Y60 TYPE INT_L TILEPROP INT_L_X2Y61 CLASS tile TILEPROP INT_L_X2Y61 COLUMN 11 TILEPROP INT_L_X2Y61 DEVICE_ID 0 TILEPROP INT_L_X2Y61 FIRST_SITE_ID 9181 TILEPROP INT_L_X2Y61 GRID_POINT_X 11 TILEPROP INT_L_X2Y61 GRID_POINT_Y 92 TILEPROP INT_L_X2Y61 INDEX 10591 TILEPROP INT_L_X2Y61 INT_TILE_X 2 TILEPROP INT_L_X2Y61 INT_TILE_Y 88 TILEPROP INT_L_X2Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y61 IS_DCM_TILE 0 TILEPROP INT_L_X2Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y61 NAME INT_L_X2Y61 TILEPROP INT_L_X2Y61 NUM_ARCS 3737 TILEPROP INT_L_X2Y61 NUM_SITES 1 TILEPROP INT_L_X2Y61 ROW 92 TILEPROP INT_L_X2Y61 SLR_REGION_ID 0 TILEPROP INT_L_X2Y61 TILE_PATTERN_IDX 6796 TILEPROP INT_L_X2Y61 TILE_TYPE INT_L TILEPROP INT_L_X2Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y61 TILE_X -85134 TILEPROP INT_L_X2Y61 TILE_Y -43200 TILEPROP INT_L_X2Y61 TYPE INT_L TILEPROP INT_L_X2Y62 CLASS tile TILEPROP INT_L_X2Y62 COLUMN 11 TILEPROP INT_L_X2Y62 DEVICE_ID 0 TILEPROP INT_L_X2Y62 FIRST_SITE_ID 9049 TILEPROP INT_L_X2Y62 GRID_POINT_X 11 TILEPROP INT_L_X2Y62 GRID_POINT_Y 91 TILEPROP INT_L_X2Y62 INDEX 10476 TILEPROP INT_L_X2Y62 INT_TILE_X 2 TILEPROP INT_L_X2Y62 INT_TILE_Y 87 TILEPROP INT_L_X2Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y62 IS_DCM_TILE 0 TILEPROP INT_L_X2Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y62 NAME INT_L_X2Y62 TILEPROP INT_L_X2Y62 NUM_ARCS 3737 TILEPROP INT_L_X2Y62 NUM_SITES 1 TILEPROP INT_L_X2Y62 ROW 91 TILEPROP INT_L_X2Y62 SLR_REGION_ID 0 TILEPROP INT_L_X2Y62 TILE_PATTERN_IDX 6759 TILEPROP INT_L_X2Y62 TILE_TYPE INT_L TILEPROP INT_L_X2Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y62 TILE_X -85134 TILEPROP INT_L_X2Y62 TILE_Y -40000 TILEPROP INT_L_X2Y62 TYPE INT_L TILEPROP INT_L_X2Y63 CLASS tile TILEPROP INT_L_X2Y63 COLUMN 11 TILEPROP INT_L_X2Y63 DEVICE_ID 0 TILEPROP INT_L_X2Y63 FIRST_SITE_ID 8949 TILEPROP INT_L_X2Y63 GRID_POINT_X 11 TILEPROP INT_L_X2Y63 GRID_POINT_Y 90 TILEPROP INT_L_X2Y63 INDEX 10361 TILEPROP INT_L_X2Y63 INT_TILE_X 2 TILEPROP INT_L_X2Y63 INT_TILE_Y 86 TILEPROP INT_L_X2Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y63 IS_DCM_TILE 0 TILEPROP INT_L_X2Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y63 NAME INT_L_X2Y63 TILEPROP INT_L_X2Y63 NUM_ARCS 3737 TILEPROP INT_L_X2Y63 NUM_SITES 1 TILEPROP INT_L_X2Y63 ROW 90 TILEPROP INT_L_X2Y63 SLR_REGION_ID 0 TILEPROP INT_L_X2Y63 TILE_PATTERN_IDX 6722 TILEPROP INT_L_X2Y63 TILE_TYPE INT_L TILEPROP INT_L_X2Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y63 TILE_X -85134 TILEPROP INT_L_X2Y63 TILE_Y -36800 TILEPROP INT_L_X2Y63 TYPE INT_L TILEPROP INT_L_X2Y64 CLASS tile TILEPROP INT_L_X2Y64 COLUMN 11 TILEPROP INT_L_X2Y64 DEVICE_ID 0 TILEPROP INT_L_X2Y64 FIRST_SITE_ID 8849 TILEPROP INT_L_X2Y64 GRID_POINT_X 11 TILEPROP INT_L_X2Y64 GRID_POINT_Y 89 TILEPROP INT_L_X2Y64 INDEX 10246 TILEPROP INT_L_X2Y64 INT_TILE_X 2 TILEPROP INT_L_X2Y64 INT_TILE_Y 85 TILEPROP INT_L_X2Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y64 IS_DCM_TILE 0 TILEPROP INT_L_X2Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y64 NAME INT_L_X2Y64 TILEPROP INT_L_X2Y64 NUM_ARCS 3737 TILEPROP INT_L_X2Y64 NUM_SITES 1 TILEPROP INT_L_X2Y64 ROW 89 TILEPROP INT_L_X2Y64 SLR_REGION_ID 0 TILEPROP INT_L_X2Y64 TILE_PATTERN_IDX 6685 TILEPROP INT_L_X2Y64 TILE_TYPE INT_L TILEPROP INT_L_X2Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y64 TILE_X -85134 TILEPROP INT_L_X2Y64 TILE_Y -33600 TILEPROP INT_L_X2Y64 TYPE INT_L TILEPROP INT_L_X2Y65 CLASS tile TILEPROP INT_L_X2Y65 COLUMN 11 TILEPROP INT_L_X2Y65 DEVICE_ID 0 TILEPROP INT_L_X2Y65 FIRST_SITE_ID 8734 TILEPROP INT_L_X2Y65 GRID_POINT_X 11 TILEPROP INT_L_X2Y65 GRID_POINT_Y 88 TILEPROP INT_L_X2Y65 INDEX 10131 TILEPROP INT_L_X2Y65 INT_TILE_X 2 TILEPROP INT_L_X2Y65 INT_TILE_Y 84 TILEPROP INT_L_X2Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y65 IS_DCM_TILE 0 TILEPROP INT_L_X2Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y65 NAME INT_L_X2Y65 TILEPROP INT_L_X2Y65 NUM_ARCS 3737 TILEPROP INT_L_X2Y65 NUM_SITES 1 TILEPROP INT_L_X2Y65 ROW 88 TILEPROP INT_L_X2Y65 SLR_REGION_ID 0 TILEPROP INT_L_X2Y65 TILE_PATTERN_IDX 6648 TILEPROP INT_L_X2Y65 TILE_TYPE INT_L TILEPROP INT_L_X2Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y65 TILE_X -85134 TILEPROP INT_L_X2Y65 TILE_Y -30400 TILEPROP INT_L_X2Y65 TYPE INT_L TILEPROP INT_L_X2Y66 CLASS tile TILEPROP INT_L_X2Y66 COLUMN 11 TILEPROP INT_L_X2Y66 DEVICE_ID 0 TILEPROP INT_L_X2Y66 FIRST_SITE_ID 8634 TILEPROP INT_L_X2Y66 GRID_POINT_X 11 TILEPROP INT_L_X2Y66 GRID_POINT_Y 87 TILEPROP INT_L_X2Y66 INDEX 10016 TILEPROP INT_L_X2Y66 INT_TILE_X 2 TILEPROP INT_L_X2Y66 INT_TILE_Y 83 TILEPROP INT_L_X2Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y66 IS_DCM_TILE 0 TILEPROP INT_L_X2Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y66 NAME INT_L_X2Y66 TILEPROP INT_L_X2Y66 NUM_ARCS 3737 TILEPROP INT_L_X2Y66 NUM_SITES 1 TILEPROP INT_L_X2Y66 ROW 87 TILEPROP INT_L_X2Y66 SLR_REGION_ID 0 TILEPROP INT_L_X2Y66 TILE_PATTERN_IDX 6611 TILEPROP INT_L_X2Y66 TILE_TYPE INT_L TILEPROP INT_L_X2Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y66 TILE_X -85134 TILEPROP INT_L_X2Y66 TILE_Y -27200 TILEPROP INT_L_X2Y66 TYPE INT_L TILEPROP INT_L_X2Y67 CLASS tile TILEPROP INT_L_X2Y67 COLUMN 11 TILEPROP INT_L_X2Y67 DEVICE_ID 0 TILEPROP INT_L_X2Y67 FIRST_SITE_ID 8530 TILEPROP INT_L_X2Y67 GRID_POINT_X 11 TILEPROP INT_L_X2Y67 GRID_POINT_Y 86 TILEPROP INT_L_X2Y67 INDEX 9901 TILEPROP INT_L_X2Y67 INT_TILE_X 2 TILEPROP INT_L_X2Y67 INT_TILE_Y 82 TILEPROP INT_L_X2Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y67 IS_DCM_TILE 0 TILEPROP INT_L_X2Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y67 NAME INT_L_X2Y67 TILEPROP INT_L_X2Y67 NUM_ARCS 3737 TILEPROP INT_L_X2Y67 NUM_SITES 1 TILEPROP INT_L_X2Y67 ROW 86 TILEPROP INT_L_X2Y67 SLR_REGION_ID 0 TILEPROP INT_L_X2Y67 TILE_PATTERN_IDX 6573 TILEPROP INT_L_X2Y67 TILE_TYPE INT_L TILEPROP INT_L_X2Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y67 TILE_X -85134 TILEPROP INT_L_X2Y67 TILE_Y -24000 TILEPROP INT_L_X2Y67 TYPE INT_L TILEPROP INT_L_X2Y68 CLASS tile TILEPROP INT_L_X2Y68 COLUMN 11 TILEPROP INT_L_X2Y68 DEVICE_ID 0 TILEPROP INT_L_X2Y68 FIRST_SITE_ID 8426 TILEPROP INT_L_X2Y68 GRID_POINT_X 11 TILEPROP INT_L_X2Y68 GRID_POINT_Y 85 TILEPROP INT_L_X2Y68 INDEX 9786 TILEPROP INT_L_X2Y68 INT_TILE_X 2 TILEPROP INT_L_X2Y68 INT_TILE_Y 81 TILEPROP INT_L_X2Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y68 IS_DCM_TILE 0 TILEPROP INT_L_X2Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y68 NAME INT_L_X2Y68 TILEPROP INT_L_X2Y68 NUM_ARCS 3737 TILEPROP INT_L_X2Y68 NUM_SITES 1 TILEPROP INT_L_X2Y68 ROW 85 TILEPROP INT_L_X2Y68 SLR_REGION_ID 0 TILEPROP INT_L_X2Y68 TILE_PATTERN_IDX 6541 TILEPROP INT_L_X2Y68 TILE_TYPE INT_L TILEPROP INT_L_X2Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y68 TILE_X -85134 TILEPROP INT_L_X2Y68 TILE_Y -20800 TILEPROP INT_L_X2Y68 TYPE INT_L TILEPROP INT_L_X2Y69 CLASS tile TILEPROP INT_L_X2Y69 COLUMN 11 TILEPROP INT_L_X2Y69 DEVICE_ID 0 TILEPROP INT_L_X2Y69 FIRST_SITE_ID 8324 TILEPROP INT_L_X2Y69 GRID_POINT_X 11 TILEPROP INT_L_X2Y69 GRID_POINT_Y 84 TILEPROP INT_L_X2Y69 INDEX 9671 TILEPROP INT_L_X2Y69 INT_TILE_X 2 TILEPROP INT_L_X2Y69 INT_TILE_Y 80 TILEPROP INT_L_X2Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y69 IS_DCM_TILE 0 TILEPROP INT_L_X2Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y69 NAME INT_L_X2Y69 TILEPROP INT_L_X2Y69 NUM_ARCS 3737 TILEPROP INT_L_X2Y69 NUM_SITES 1 TILEPROP INT_L_X2Y69 ROW 84 TILEPROP INT_L_X2Y69 SLR_REGION_ID 0 TILEPROP INT_L_X2Y69 TILE_PATTERN_IDX 6510 TILEPROP INT_L_X2Y69 TILE_TYPE INT_L TILEPROP INT_L_X2Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y69 TILE_X -85134 TILEPROP INT_L_X2Y69 TILE_Y -17600 TILEPROP INT_L_X2Y69 TYPE INT_L TILEPROP INT_L_X2Y70 CLASS tile TILEPROP INT_L_X2Y70 COLUMN 11 TILEPROP INT_L_X2Y70 DEVICE_ID 0 TILEPROP INT_L_X2Y70 FIRST_SITE_ID 8207 TILEPROP INT_L_X2Y70 GRID_POINT_X 11 TILEPROP INT_L_X2Y70 GRID_POINT_Y 83 TILEPROP INT_L_X2Y70 INDEX 9556 TILEPROP INT_L_X2Y70 INT_TILE_X 2 TILEPROP INT_L_X2Y70 INT_TILE_Y 79 TILEPROP INT_L_X2Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y70 IS_DCM_TILE 0 TILEPROP INT_L_X2Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y70 NAME INT_L_X2Y70 TILEPROP INT_L_X2Y70 NUM_ARCS 3737 TILEPROP INT_L_X2Y70 NUM_SITES 1 TILEPROP INT_L_X2Y70 ROW 83 TILEPROP INT_L_X2Y70 SLR_REGION_ID 0 TILEPROP INT_L_X2Y70 TILE_PATTERN_IDX 6479 TILEPROP INT_L_X2Y70 TILE_TYPE INT_L TILEPROP INT_L_X2Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y70 TILE_X -85134 TILEPROP INT_L_X2Y70 TILE_Y -14400 TILEPROP INT_L_X2Y70 TYPE INT_L TILEPROP INT_L_X2Y71 CLASS tile TILEPROP INT_L_X2Y71 COLUMN 11 TILEPROP INT_L_X2Y71 DEVICE_ID 0 TILEPROP INT_L_X2Y71 FIRST_SITE_ID 8107 TILEPROP INT_L_X2Y71 GRID_POINT_X 11 TILEPROP INT_L_X2Y71 GRID_POINT_Y 82 TILEPROP INT_L_X2Y71 INDEX 9441 TILEPROP INT_L_X2Y71 INT_TILE_X 2 TILEPROP INT_L_X2Y71 INT_TILE_Y 78 TILEPROP INT_L_X2Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y71 IS_DCM_TILE 0 TILEPROP INT_L_X2Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y71 NAME INT_L_X2Y71 TILEPROP INT_L_X2Y71 NUM_ARCS 3737 TILEPROP INT_L_X2Y71 NUM_SITES 1 TILEPROP INT_L_X2Y71 ROW 82 TILEPROP INT_L_X2Y71 SLR_REGION_ID 0 TILEPROP INT_L_X2Y71 TILE_PATTERN_IDX 6448 TILEPROP INT_L_X2Y71 TILE_TYPE INT_L TILEPROP INT_L_X2Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y71 TILE_X -85134 TILEPROP INT_L_X2Y71 TILE_Y -11200 TILEPROP INT_L_X2Y71 TYPE INT_L TILEPROP INT_L_X2Y72 CLASS tile TILEPROP INT_L_X2Y72 COLUMN 11 TILEPROP INT_L_X2Y72 DEVICE_ID 0 TILEPROP INT_L_X2Y72 FIRST_SITE_ID 8007 TILEPROP INT_L_X2Y72 GRID_POINT_X 11 TILEPROP INT_L_X2Y72 GRID_POINT_Y 81 TILEPROP INT_L_X2Y72 INDEX 9326 TILEPROP INT_L_X2Y72 INT_TILE_X 2 TILEPROP INT_L_X2Y72 INT_TILE_Y 77 TILEPROP INT_L_X2Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y72 IS_DCM_TILE 0 TILEPROP INT_L_X2Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y72 NAME INT_L_X2Y72 TILEPROP INT_L_X2Y72 NUM_ARCS 3737 TILEPROP INT_L_X2Y72 NUM_SITES 1 TILEPROP INT_L_X2Y72 ROW 81 TILEPROP INT_L_X2Y72 SLR_REGION_ID 0 TILEPROP INT_L_X2Y72 TILE_PATTERN_IDX 6417 TILEPROP INT_L_X2Y72 TILE_TYPE INT_L TILEPROP INT_L_X2Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y72 TILE_X -85134 TILEPROP INT_L_X2Y72 TILE_Y -8000 TILEPROP INT_L_X2Y72 TYPE INT_L TILEPROP INT_L_X2Y73 CLASS tile TILEPROP INT_L_X2Y73 COLUMN 11 TILEPROP INT_L_X2Y73 DEVICE_ID 0 TILEPROP INT_L_X2Y73 FIRST_SITE_ID 7907 TILEPROP INT_L_X2Y73 GRID_POINT_X 11 TILEPROP INT_L_X2Y73 GRID_POINT_Y 80 TILEPROP INT_L_X2Y73 INDEX 9211 TILEPROP INT_L_X2Y73 INT_TILE_X 2 TILEPROP INT_L_X2Y73 INT_TILE_Y 76 TILEPROP INT_L_X2Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y73 IS_DCM_TILE 0 TILEPROP INT_L_X2Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y73 NAME INT_L_X2Y73 TILEPROP INT_L_X2Y73 NUM_ARCS 3737 TILEPROP INT_L_X2Y73 NUM_SITES 1 TILEPROP INT_L_X2Y73 ROW 80 TILEPROP INT_L_X2Y73 SLR_REGION_ID 0 TILEPROP INT_L_X2Y73 TILE_PATTERN_IDX 6386 TILEPROP INT_L_X2Y73 TILE_TYPE INT_L TILEPROP INT_L_X2Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y73 TILE_X -85134 TILEPROP INT_L_X2Y73 TILE_Y -4800 TILEPROP INT_L_X2Y73 TYPE INT_L TILEPROP INT_L_X2Y74 CLASS tile TILEPROP INT_L_X2Y74 COLUMN 11 TILEPROP INT_L_X2Y74 DEVICE_ID 0 TILEPROP INT_L_X2Y74 FIRST_SITE_ID 7807 TILEPROP INT_L_X2Y74 GRID_POINT_X 11 TILEPROP INT_L_X2Y74 GRID_POINT_Y 79 TILEPROP INT_L_X2Y74 INDEX 9096 TILEPROP INT_L_X2Y74 INT_TILE_X 2 TILEPROP INT_L_X2Y74 INT_TILE_Y 75 TILEPROP INT_L_X2Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y74 IS_DCM_TILE 0 TILEPROP INT_L_X2Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y74 NAME INT_L_X2Y74 TILEPROP INT_L_X2Y74 NUM_ARCS 3737 TILEPROP INT_L_X2Y74 NUM_SITES 1 TILEPROP INT_L_X2Y74 ROW 79 TILEPROP INT_L_X2Y74 SLR_REGION_ID 0 TILEPROP INT_L_X2Y74 TILE_PATTERN_IDX 6355 TILEPROP INT_L_X2Y74 TILE_TYPE INT_L TILEPROP INT_L_X2Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y74 TILE_X -85134 TILEPROP INT_L_X2Y74 TILE_Y -1600 TILEPROP INT_L_X2Y74 TYPE INT_L TILEPROP INT_L_X2Y75 CLASS tile TILEPROP INT_L_X2Y75 COLUMN 11 TILEPROP INT_L_X2Y75 DEVICE_ID 0 TILEPROP INT_L_X2Y75 FIRST_SITE_ID 7606 TILEPROP INT_L_X2Y75 GRID_POINT_X 11 TILEPROP INT_L_X2Y75 GRID_POINT_Y 77 TILEPROP INT_L_X2Y75 INDEX 8866 TILEPROP INT_L_X2Y75 INT_TILE_X 2 TILEPROP INT_L_X2Y75 INT_TILE_Y 74 TILEPROP INT_L_X2Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y75 IS_DCM_TILE 0 TILEPROP INT_L_X2Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y75 NAME INT_L_X2Y75 TILEPROP INT_L_X2Y75 NUM_ARCS 3737 TILEPROP INT_L_X2Y75 NUM_SITES 1 TILEPROP INT_L_X2Y75 ROW 77 TILEPROP INT_L_X2Y75 SLR_REGION_ID 0 TILEPROP INT_L_X2Y75 TILE_PATTERN_IDX 6279 TILEPROP INT_L_X2Y75 TILE_TYPE INT_L TILEPROP INT_L_X2Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y75 TILE_X -85134 TILEPROP INT_L_X2Y75 TILE_Y 2624 TILEPROP INT_L_X2Y75 TYPE INT_L TILEPROP INT_L_X2Y76 CLASS tile TILEPROP INT_L_X2Y76 COLUMN 11 TILEPROP INT_L_X2Y76 DEVICE_ID 0 TILEPROP INT_L_X2Y76 FIRST_SITE_ID 7506 TILEPROP INT_L_X2Y76 GRID_POINT_X 11 TILEPROP INT_L_X2Y76 GRID_POINT_Y 76 TILEPROP INT_L_X2Y76 INDEX 8751 TILEPROP INT_L_X2Y76 INT_TILE_X 2 TILEPROP INT_L_X2Y76 INT_TILE_Y 73 TILEPROP INT_L_X2Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y76 IS_DCM_TILE 0 TILEPROP INT_L_X2Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y76 NAME INT_L_X2Y76 TILEPROP INT_L_X2Y76 NUM_ARCS 3737 TILEPROP INT_L_X2Y76 NUM_SITES 1 TILEPROP INT_L_X2Y76 ROW 76 TILEPROP INT_L_X2Y76 SLR_REGION_ID 0 TILEPROP INT_L_X2Y76 TILE_PATTERN_IDX 6246 TILEPROP INT_L_X2Y76 TILE_TYPE INT_L TILEPROP INT_L_X2Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y76 TILE_X -85134 TILEPROP INT_L_X2Y76 TILE_Y 5824 TILEPROP INT_L_X2Y76 TYPE INT_L TILEPROP INT_L_X2Y77 CLASS tile TILEPROP INT_L_X2Y77 COLUMN 11 TILEPROP INT_L_X2Y77 DEVICE_ID 0 TILEPROP INT_L_X2Y77 FIRST_SITE_ID 7406 TILEPROP INT_L_X2Y77 GRID_POINT_X 11 TILEPROP INT_L_X2Y77 GRID_POINT_Y 75 TILEPROP INT_L_X2Y77 INDEX 8636 TILEPROP INT_L_X2Y77 INT_TILE_X 2 TILEPROP INT_L_X2Y77 INT_TILE_Y 72 TILEPROP INT_L_X2Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y77 IS_DCM_TILE 0 TILEPROP INT_L_X2Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y77 NAME INT_L_X2Y77 TILEPROP INT_L_X2Y77 NUM_ARCS 3737 TILEPROP INT_L_X2Y77 NUM_SITES 1 TILEPROP INT_L_X2Y77 ROW 75 TILEPROP INT_L_X2Y77 SLR_REGION_ID 0 TILEPROP INT_L_X2Y77 TILE_PATTERN_IDX 6212 TILEPROP INT_L_X2Y77 TILE_TYPE INT_L TILEPROP INT_L_X2Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y77 TILE_X -85134 TILEPROP INT_L_X2Y77 TILE_Y 9024 TILEPROP INT_L_X2Y77 TYPE INT_L TILEPROP INT_L_X2Y78 CLASS tile TILEPROP INT_L_X2Y78 COLUMN 11 TILEPROP INT_L_X2Y78 DEVICE_ID 0 TILEPROP INT_L_X2Y78 FIRST_SITE_ID 7306 TILEPROP INT_L_X2Y78 GRID_POINT_X 11 TILEPROP INT_L_X2Y78 GRID_POINT_Y 74 TILEPROP INT_L_X2Y78 INDEX 8521 TILEPROP INT_L_X2Y78 INT_TILE_X 2 TILEPROP INT_L_X2Y78 INT_TILE_Y 71 TILEPROP INT_L_X2Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y78 IS_DCM_TILE 0 TILEPROP INT_L_X2Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y78 NAME INT_L_X2Y78 TILEPROP INT_L_X2Y78 NUM_ARCS 3737 TILEPROP INT_L_X2Y78 NUM_SITES 1 TILEPROP INT_L_X2Y78 ROW 74 TILEPROP INT_L_X2Y78 SLR_REGION_ID 0 TILEPROP INT_L_X2Y78 TILE_PATTERN_IDX 6179 TILEPROP INT_L_X2Y78 TILE_TYPE INT_L TILEPROP INT_L_X2Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y78 TILE_X -85134 TILEPROP INT_L_X2Y78 TILE_Y 12224 TILEPROP INT_L_X2Y78 TYPE INT_L TILEPROP INT_L_X2Y79 CLASS tile TILEPROP INT_L_X2Y79 COLUMN 11 TILEPROP INT_L_X2Y79 DEVICE_ID 0 TILEPROP INT_L_X2Y79 FIRST_SITE_ID 7200 TILEPROP INT_L_X2Y79 GRID_POINT_X 11 TILEPROP INT_L_X2Y79 GRID_POINT_Y 73 TILEPROP INT_L_X2Y79 INDEX 8406 TILEPROP INT_L_X2Y79 INT_TILE_X 2 TILEPROP INT_L_X2Y79 INT_TILE_Y 70 TILEPROP INT_L_X2Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y79 IS_DCM_TILE 0 TILEPROP INT_L_X2Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y79 NAME INT_L_X2Y79 TILEPROP INT_L_X2Y79 NUM_ARCS 3737 TILEPROP INT_L_X2Y79 NUM_SITES 1 TILEPROP INT_L_X2Y79 ROW 73 TILEPROP INT_L_X2Y79 SLR_REGION_ID 0 TILEPROP INT_L_X2Y79 TILE_PATTERN_IDX 6144 TILEPROP INT_L_X2Y79 TILE_TYPE INT_L TILEPROP INT_L_X2Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y79 TILE_X -85134 TILEPROP INT_L_X2Y79 TILE_Y 15424 TILEPROP INT_L_X2Y79 TYPE INT_L TILEPROP INT_L_X2Y80 CLASS tile TILEPROP INT_L_X2Y80 COLUMN 11 TILEPROP INT_L_X2Y80 DEVICE_ID 0 TILEPROP INT_L_X2Y80 FIRST_SITE_ID 7079 TILEPROP INT_L_X2Y80 GRID_POINT_X 11 TILEPROP INT_L_X2Y80 GRID_POINT_Y 72 TILEPROP INT_L_X2Y80 INDEX 8291 TILEPROP INT_L_X2Y80 INT_TILE_X 2 TILEPROP INT_L_X2Y80 INT_TILE_Y 69 TILEPROP INT_L_X2Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y80 IS_DCM_TILE 0 TILEPROP INT_L_X2Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y80 NAME INT_L_X2Y80 TILEPROP INT_L_X2Y80 NUM_ARCS 3737 TILEPROP INT_L_X2Y80 NUM_SITES 1 TILEPROP INT_L_X2Y80 ROW 72 TILEPROP INT_L_X2Y80 SLR_REGION_ID 0 TILEPROP INT_L_X2Y80 TILE_PATTERN_IDX 6109 TILEPROP INT_L_X2Y80 TILE_TYPE INT_L TILEPROP INT_L_X2Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y80 TILE_X -85134 TILEPROP INT_L_X2Y80 TILE_Y 18624 TILEPROP INT_L_X2Y80 TYPE INT_L TILEPROP INT_L_X2Y81 CLASS tile TILEPROP INT_L_X2Y81 COLUMN 11 TILEPROP INT_L_X2Y81 DEVICE_ID 0 TILEPROP INT_L_X2Y81 FIRST_SITE_ID 6977 TILEPROP INT_L_X2Y81 GRID_POINT_X 11 TILEPROP INT_L_X2Y81 GRID_POINT_Y 71 TILEPROP INT_L_X2Y81 INDEX 8176 TILEPROP INT_L_X2Y81 INT_TILE_X 2 TILEPROP INT_L_X2Y81 INT_TILE_Y 68 TILEPROP INT_L_X2Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y81 IS_DCM_TILE 0 TILEPROP INT_L_X2Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y81 NAME INT_L_X2Y81 TILEPROP INT_L_X2Y81 NUM_ARCS 3737 TILEPROP INT_L_X2Y81 NUM_SITES 1 TILEPROP INT_L_X2Y81 ROW 71 TILEPROP INT_L_X2Y81 SLR_REGION_ID 0 TILEPROP INT_L_X2Y81 TILE_PATTERN_IDX 6075 TILEPROP INT_L_X2Y81 TILE_TYPE INT_L TILEPROP INT_L_X2Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y81 TILE_X -85134 TILEPROP INT_L_X2Y81 TILE_Y 21824 TILEPROP INT_L_X2Y81 TYPE INT_L TILEPROP INT_L_X2Y82 CLASS tile TILEPROP INT_L_X2Y82 COLUMN 11 TILEPROP INT_L_X2Y82 DEVICE_ID 0 TILEPROP INT_L_X2Y82 FIRST_SITE_ID 6875 TILEPROP INT_L_X2Y82 GRID_POINT_X 11 TILEPROP INT_L_X2Y82 GRID_POINT_Y 70 TILEPROP INT_L_X2Y82 INDEX 8061 TILEPROP INT_L_X2Y82 INT_TILE_X 2 TILEPROP INT_L_X2Y82 INT_TILE_Y 67 TILEPROP INT_L_X2Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y82 IS_DCM_TILE 0 TILEPROP INT_L_X2Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y82 NAME INT_L_X2Y82 TILEPROP INT_L_X2Y82 NUM_ARCS 3737 TILEPROP INT_L_X2Y82 NUM_SITES 1 TILEPROP INT_L_X2Y82 ROW 70 TILEPROP INT_L_X2Y82 SLR_REGION_ID 0 TILEPROP INT_L_X2Y82 TILE_PATTERN_IDX 6041 TILEPROP INT_L_X2Y82 TILE_TYPE INT_L TILEPROP INT_L_X2Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y82 TILE_X -85134 TILEPROP INT_L_X2Y82 TILE_Y 25024 TILEPROP INT_L_X2Y82 TYPE INT_L TILEPROP INT_L_X2Y83 CLASS tile TILEPROP INT_L_X2Y83 COLUMN 11 TILEPROP INT_L_X2Y83 DEVICE_ID 0 TILEPROP INT_L_X2Y83 FIRST_SITE_ID 6775 TILEPROP INT_L_X2Y83 GRID_POINT_X 11 TILEPROP INT_L_X2Y83 GRID_POINT_Y 69 TILEPROP INT_L_X2Y83 INDEX 7946 TILEPROP INT_L_X2Y83 INT_TILE_X 2 TILEPROP INT_L_X2Y83 INT_TILE_Y 66 TILEPROP INT_L_X2Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y83 IS_DCM_TILE 0 TILEPROP INT_L_X2Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y83 NAME INT_L_X2Y83 TILEPROP INT_L_X2Y83 NUM_ARCS 3737 TILEPROP INT_L_X2Y83 NUM_SITES 1 TILEPROP INT_L_X2Y83 ROW 69 TILEPROP INT_L_X2Y83 SLR_REGION_ID 0 TILEPROP INT_L_X2Y83 TILE_PATTERN_IDX 6007 TILEPROP INT_L_X2Y83 TILE_TYPE INT_L TILEPROP INT_L_X2Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y83 TILE_X -85134 TILEPROP INT_L_X2Y83 TILE_Y 28224 TILEPROP INT_L_X2Y83 TYPE INT_L TILEPROP INT_L_X2Y84 CLASS tile TILEPROP INT_L_X2Y84 COLUMN 11 TILEPROP INT_L_X2Y84 DEVICE_ID 0 TILEPROP INT_L_X2Y84 FIRST_SITE_ID 6675 TILEPROP INT_L_X2Y84 GRID_POINT_X 11 TILEPROP INT_L_X2Y84 GRID_POINT_Y 68 TILEPROP INT_L_X2Y84 INDEX 7831 TILEPROP INT_L_X2Y84 INT_TILE_X 2 TILEPROP INT_L_X2Y84 INT_TILE_Y 65 TILEPROP INT_L_X2Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y84 IS_DCM_TILE 0 TILEPROP INT_L_X2Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y84 NAME INT_L_X2Y84 TILEPROP INT_L_X2Y84 NUM_ARCS 3737 TILEPROP INT_L_X2Y84 NUM_SITES 1 TILEPROP INT_L_X2Y84 ROW 68 TILEPROP INT_L_X2Y84 SLR_REGION_ID 0 TILEPROP INT_L_X2Y84 TILE_PATTERN_IDX 5973 TILEPROP INT_L_X2Y84 TILE_TYPE INT_L TILEPROP INT_L_X2Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y84 TILE_X -85134 TILEPROP INT_L_X2Y84 TILE_Y 31424 TILEPROP INT_L_X2Y84 TYPE INT_L TILEPROP INT_L_X2Y85 CLASS tile TILEPROP INT_L_X2Y85 COLUMN 11 TILEPROP INT_L_X2Y85 DEVICE_ID 0 TILEPROP INT_L_X2Y85 FIRST_SITE_ID 6560 TILEPROP INT_L_X2Y85 GRID_POINT_X 11 TILEPROP INT_L_X2Y85 GRID_POINT_Y 67 TILEPROP INT_L_X2Y85 INDEX 7716 TILEPROP INT_L_X2Y85 INT_TILE_X 2 TILEPROP INT_L_X2Y85 INT_TILE_Y 64 TILEPROP INT_L_X2Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y85 IS_DCM_TILE 0 TILEPROP INT_L_X2Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y85 NAME INT_L_X2Y85 TILEPROP INT_L_X2Y85 NUM_ARCS 3737 TILEPROP INT_L_X2Y85 NUM_SITES 1 TILEPROP INT_L_X2Y85 ROW 67 TILEPROP INT_L_X2Y85 SLR_REGION_ID 0 TILEPROP INT_L_X2Y85 TILE_PATTERN_IDX 5938 TILEPROP INT_L_X2Y85 TILE_TYPE INT_L TILEPROP INT_L_X2Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y85 TILE_X -85134 TILEPROP INT_L_X2Y85 TILE_Y 34624 TILEPROP INT_L_X2Y85 TYPE INT_L TILEPROP INT_L_X2Y86 CLASS tile TILEPROP INT_L_X2Y86 COLUMN 11 TILEPROP INT_L_X2Y86 DEVICE_ID 0 TILEPROP INT_L_X2Y86 FIRST_SITE_ID 6428 TILEPROP INT_L_X2Y86 GRID_POINT_X 11 TILEPROP INT_L_X2Y86 GRID_POINT_Y 66 TILEPROP INT_L_X2Y86 INDEX 7601 TILEPROP INT_L_X2Y86 INT_TILE_X 2 TILEPROP INT_L_X2Y86 INT_TILE_Y 63 TILEPROP INT_L_X2Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y86 IS_DCM_TILE 0 TILEPROP INT_L_X2Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y86 NAME INT_L_X2Y86 TILEPROP INT_L_X2Y86 NUM_ARCS 3737 TILEPROP INT_L_X2Y86 NUM_SITES 1 TILEPROP INT_L_X2Y86 ROW 66 TILEPROP INT_L_X2Y86 SLR_REGION_ID 0 TILEPROP INT_L_X2Y86 TILE_PATTERN_IDX 5903 TILEPROP INT_L_X2Y86 TILE_TYPE INT_L TILEPROP INT_L_X2Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y86 TILE_X -85134 TILEPROP INT_L_X2Y86 TILE_Y 37824 TILEPROP INT_L_X2Y86 TYPE INT_L TILEPROP INT_L_X2Y87 CLASS tile TILEPROP INT_L_X2Y87 COLUMN 11 TILEPROP INT_L_X2Y87 DEVICE_ID 0 TILEPROP INT_L_X2Y87 FIRST_SITE_ID 6328 TILEPROP INT_L_X2Y87 GRID_POINT_X 11 TILEPROP INT_L_X2Y87 GRID_POINT_Y 65 TILEPROP INT_L_X2Y87 INDEX 7486 TILEPROP INT_L_X2Y87 INT_TILE_X 2 TILEPROP INT_L_X2Y87 INT_TILE_Y 62 TILEPROP INT_L_X2Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y87 IS_DCM_TILE 0 TILEPROP INT_L_X2Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y87 NAME INT_L_X2Y87 TILEPROP INT_L_X2Y87 NUM_ARCS 3737 TILEPROP INT_L_X2Y87 NUM_SITES 1 TILEPROP INT_L_X2Y87 ROW 65 TILEPROP INT_L_X2Y87 SLR_REGION_ID 0 TILEPROP INT_L_X2Y87 TILE_PATTERN_IDX 5869 TILEPROP INT_L_X2Y87 TILE_TYPE INT_L TILEPROP INT_L_X2Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y87 TILE_X -85134 TILEPROP INT_L_X2Y87 TILE_Y 41024 TILEPROP INT_L_X2Y87 TYPE INT_L TILEPROP INT_L_X2Y88 CLASS tile TILEPROP INT_L_X2Y88 COLUMN 11 TILEPROP INT_L_X2Y88 DEVICE_ID 0 TILEPROP INT_L_X2Y88 FIRST_SITE_ID 6228 TILEPROP INT_L_X2Y88 GRID_POINT_X 11 TILEPROP INT_L_X2Y88 GRID_POINT_Y 64 TILEPROP INT_L_X2Y88 INDEX 7371 TILEPROP INT_L_X2Y88 INT_TILE_X 2 TILEPROP INT_L_X2Y88 INT_TILE_Y 61 TILEPROP INT_L_X2Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y88 IS_DCM_TILE 0 TILEPROP INT_L_X2Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y88 NAME INT_L_X2Y88 TILEPROP INT_L_X2Y88 NUM_ARCS 3737 TILEPROP INT_L_X2Y88 NUM_SITES 1 TILEPROP INT_L_X2Y88 ROW 64 TILEPROP INT_L_X2Y88 SLR_REGION_ID 0 TILEPROP INT_L_X2Y88 TILE_PATTERN_IDX 5836 TILEPROP INT_L_X2Y88 TILE_TYPE INT_L TILEPROP INT_L_X2Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y88 TILE_X -85134 TILEPROP INT_L_X2Y88 TILE_Y 44224 TILEPROP INT_L_X2Y88 TYPE INT_L TILEPROP INT_L_X2Y89 CLASS tile TILEPROP INT_L_X2Y89 COLUMN 11 TILEPROP INT_L_X2Y89 DEVICE_ID 0 TILEPROP INT_L_X2Y89 FIRST_SITE_ID 6128 TILEPROP INT_L_X2Y89 GRID_POINT_X 11 TILEPROP INT_L_X2Y89 GRID_POINT_Y 63 TILEPROP INT_L_X2Y89 INDEX 7256 TILEPROP INT_L_X2Y89 INT_TILE_X 2 TILEPROP INT_L_X2Y89 INT_TILE_Y 60 TILEPROP INT_L_X2Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y89 IS_DCM_TILE 0 TILEPROP INT_L_X2Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y89 NAME INT_L_X2Y89 TILEPROP INT_L_X2Y89 NUM_ARCS 3737 TILEPROP INT_L_X2Y89 NUM_SITES 1 TILEPROP INT_L_X2Y89 ROW 63 TILEPROP INT_L_X2Y89 SLR_REGION_ID 0 TILEPROP INT_L_X2Y89 TILE_PATTERN_IDX 5802 TILEPROP INT_L_X2Y89 TILE_TYPE INT_L TILEPROP INT_L_X2Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y89 TILE_X -85134 TILEPROP INT_L_X2Y89 TILE_Y 47424 TILEPROP INT_L_X2Y89 TYPE INT_L TILEPROP INT_L_X2Y90 CLASS tile TILEPROP INT_L_X2Y90 COLUMN 11 TILEPROP INT_L_X2Y90 DEVICE_ID 0 TILEPROP INT_L_X2Y90 FIRST_SITE_ID 6013 TILEPROP INT_L_X2Y90 GRID_POINT_X 11 TILEPROP INT_L_X2Y90 GRID_POINT_Y 62 TILEPROP INT_L_X2Y90 INDEX 7141 TILEPROP INT_L_X2Y90 INT_TILE_X 2 TILEPROP INT_L_X2Y90 INT_TILE_Y 59 TILEPROP INT_L_X2Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y90 IS_DCM_TILE 0 TILEPROP INT_L_X2Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y90 NAME INT_L_X2Y90 TILEPROP INT_L_X2Y90 NUM_ARCS 3737 TILEPROP INT_L_X2Y90 NUM_SITES 1 TILEPROP INT_L_X2Y90 ROW 62 TILEPROP INT_L_X2Y90 SLR_REGION_ID 0 TILEPROP INT_L_X2Y90 TILE_PATTERN_IDX 5768 TILEPROP INT_L_X2Y90 TILE_TYPE INT_L TILEPROP INT_L_X2Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y90 TILE_X -85134 TILEPROP INT_L_X2Y90 TILE_Y 50624 TILEPROP INT_L_X2Y90 TYPE INT_L TILEPROP INT_L_X2Y91 CLASS tile TILEPROP INT_L_X2Y91 COLUMN 11 TILEPROP INT_L_X2Y91 DEVICE_ID 0 TILEPROP INT_L_X2Y91 FIRST_SITE_ID 5913 TILEPROP INT_L_X2Y91 GRID_POINT_X 11 TILEPROP INT_L_X2Y91 GRID_POINT_Y 61 TILEPROP INT_L_X2Y91 INDEX 7026 TILEPROP INT_L_X2Y91 INT_TILE_X 2 TILEPROP INT_L_X2Y91 INT_TILE_Y 58 TILEPROP INT_L_X2Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y91 IS_DCM_TILE 0 TILEPROP INT_L_X2Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y91 NAME INT_L_X2Y91 TILEPROP INT_L_X2Y91 NUM_ARCS 3737 TILEPROP INT_L_X2Y91 NUM_SITES 1 TILEPROP INT_L_X2Y91 ROW 61 TILEPROP INT_L_X2Y91 SLR_REGION_ID 0 TILEPROP INT_L_X2Y91 TILE_PATTERN_IDX 5734 TILEPROP INT_L_X2Y91 TILE_TYPE INT_L TILEPROP INT_L_X2Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y91 TILE_X -85134 TILEPROP INT_L_X2Y91 TILE_Y 53824 TILEPROP INT_L_X2Y91 TYPE INT_L TILEPROP INT_L_X2Y92 CLASS tile TILEPROP INT_L_X2Y92 COLUMN 11 TILEPROP INT_L_X2Y92 DEVICE_ID 0 TILEPROP INT_L_X2Y92 FIRST_SITE_ID 5812 TILEPROP INT_L_X2Y92 GRID_POINT_X 11 TILEPROP INT_L_X2Y92 GRID_POINT_Y 60 TILEPROP INT_L_X2Y92 INDEX 6911 TILEPROP INT_L_X2Y92 INT_TILE_X 2 TILEPROP INT_L_X2Y92 INT_TILE_Y 57 TILEPROP INT_L_X2Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y92 IS_DCM_TILE 0 TILEPROP INT_L_X2Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y92 NAME INT_L_X2Y92 TILEPROP INT_L_X2Y92 NUM_ARCS 3737 TILEPROP INT_L_X2Y92 NUM_SITES 1 TILEPROP INT_L_X2Y92 ROW 60 TILEPROP INT_L_X2Y92 SLR_REGION_ID 0 TILEPROP INT_L_X2Y92 TILE_PATTERN_IDX 5701 TILEPROP INT_L_X2Y92 TILE_TYPE INT_L TILEPROP INT_L_X2Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y92 TILE_X -85134 TILEPROP INT_L_X2Y92 TILE_Y 57024 TILEPROP INT_L_X2Y92 TYPE INT_L TILEPROP INT_L_X2Y93 CLASS tile TILEPROP INT_L_X2Y93 COLUMN 11 TILEPROP INT_L_X2Y93 DEVICE_ID 0 TILEPROP INT_L_X2Y93 FIRST_SITE_ID 5709 TILEPROP INT_L_X2Y93 GRID_POINT_X 11 TILEPROP INT_L_X2Y93 GRID_POINT_Y 59 TILEPROP INT_L_X2Y93 INDEX 6796 TILEPROP INT_L_X2Y93 INT_TILE_X 2 TILEPROP INT_L_X2Y93 INT_TILE_Y 56 TILEPROP INT_L_X2Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y93 IS_DCM_TILE 0 TILEPROP INT_L_X2Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y93 NAME INT_L_X2Y93 TILEPROP INT_L_X2Y93 NUM_ARCS 3737 TILEPROP INT_L_X2Y93 NUM_SITES 1 TILEPROP INT_L_X2Y93 ROW 59 TILEPROP INT_L_X2Y93 SLR_REGION_ID 0 TILEPROP INT_L_X2Y93 TILE_PATTERN_IDX 5667 TILEPROP INT_L_X2Y93 TILE_TYPE INT_L TILEPROP INT_L_X2Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y93 TILE_X -85134 TILEPROP INT_L_X2Y93 TILE_Y 60224 TILEPROP INT_L_X2Y93 TYPE INT_L TILEPROP INT_L_X2Y94 CLASS tile TILEPROP INT_L_X2Y94 COLUMN 11 TILEPROP INT_L_X2Y94 DEVICE_ID 0 TILEPROP INT_L_X2Y94 FIRST_SITE_ID 5607 TILEPROP INT_L_X2Y94 GRID_POINT_X 11 TILEPROP INT_L_X2Y94 GRID_POINT_Y 58 TILEPROP INT_L_X2Y94 INDEX 6681 TILEPROP INT_L_X2Y94 INT_TILE_X 2 TILEPROP INT_L_X2Y94 INT_TILE_Y 55 TILEPROP INT_L_X2Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y94 IS_DCM_TILE 0 TILEPROP INT_L_X2Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y94 NAME INT_L_X2Y94 TILEPROP INT_L_X2Y94 NUM_ARCS 3737 TILEPROP INT_L_X2Y94 NUM_SITES 1 TILEPROP INT_L_X2Y94 ROW 58 TILEPROP INT_L_X2Y94 SLR_REGION_ID 0 TILEPROP INT_L_X2Y94 TILE_PATTERN_IDX 5633 TILEPROP INT_L_X2Y94 TILE_TYPE INT_L TILEPROP INT_L_X2Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y94 TILE_X -85134 TILEPROP INT_L_X2Y94 TILE_Y 63424 TILEPROP INT_L_X2Y94 TYPE INT_L TILEPROP INT_L_X2Y95 CLASS tile TILEPROP INT_L_X2Y95 COLUMN 11 TILEPROP INT_L_X2Y95 DEVICE_ID 0 TILEPROP INT_L_X2Y95 FIRST_SITE_ID 5492 TILEPROP INT_L_X2Y95 GRID_POINT_X 11 TILEPROP INT_L_X2Y95 GRID_POINT_Y 57 TILEPROP INT_L_X2Y95 INDEX 6566 TILEPROP INT_L_X2Y95 INT_TILE_X 2 TILEPROP INT_L_X2Y95 INT_TILE_Y 54 TILEPROP INT_L_X2Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y95 IS_DCM_TILE 0 TILEPROP INT_L_X2Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y95 NAME INT_L_X2Y95 TILEPROP INT_L_X2Y95 NUM_ARCS 3737 TILEPROP INT_L_X2Y95 NUM_SITES 1 TILEPROP INT_L_X2Y95 ROW 57 TILEPROP INT_L_X2Y95 SLR_REGION_ID 0 TILEPROP INT_L_X2Y95 TILE_PATTERN_IDX 5598 TILEPROP INT_L_X2Y95 TILE_TYPE INT_L TILEPROP INT_L_X2Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y95 TILE_X -85134 TILEPROP INT_L_X2Y95 TILE_Y 66624 TILEPROP INT_L_X2Y95 TYPE INT_L TILEPROP INT_L_X2Y96 CLASS tile TILEPROP INT_L_X2Y96 COLUMN 11 TILEPROP INT_L_X2Y96 DEVICE_ID 0 TILEPROP INT_L_X2Y96 FIRST_SITE_ID 5392 TILEPROP INT_L_X2Y96 GRID_POINT_X 11 TILEPROP INT_L_X2Y96 GRID_POINT_Y 56 TILEPROP INT_L_X2Y96 INDEX 6451 TILEPROP INT_L_X2Y96 INT_TILE_X 2 TILEPROP INT_L_X2Y96 INT_TILE_Y 53 TILEPROP INT_L_X2Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y96 IS_DCM_TILE 0 TILEPROP INT_L_X2Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y96 NAME INT_L_X2Y96 TILEPROP INT_L_X2Y96 NUM_ARCS 3737 TILEPROP INT_L_X2Y96 NUM_SITES 1 TILEPROP INT_L_X2Y96 ROW 56 TILEPROP INT_L_X2Y96 SLR_REGION_ID 0 TILEPROP INT_L_X2Y96 TILE_PATTERN_IDX 5564 TILEPROP INT_L_X2Y96 TILE_TYPE INT_L TILEPROP INT_L_X2Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y96 TILE_X -85134 TILEPROP INT_L_X2Y96 TILE_Y 69824 TILEPROP INT_L_X2Y96 TYPE INT_L TILEPROP INT_L_X2Y97 CLASS tile TILEPROP INT_L_X2Y97 COLUMN 11 TILEPROP INT_L_X2Y97 DEVICE_ID 0 TILEPROP INT_L_X2Y97 FIRST_SITE_ID 5292 TILEPROP INT_L_X2Y97 GRID_POINT_X 11 TILEPROP INT_L_X2Y97 GRID_POINT_Y 55 TILEPROP INT_L_X2Y97 INDEX 6336 TILEPROP INT_L_X2Y97 INT_TILE_X 2 TILEPROP INT_L_X2Y97 INT_TILE_Y 52 TILEPROP INT_L_X2Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y97 IS_DCM_TILE 0 TILEPROP INT_L_X2Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y97 NAME INT_L_X2Y97 TILEPROP INT_L_X2Y97 NUM_ARCS 3737 TILEPROP INT_L_X2Y97 NUM_SITES 1 TILEPROP INT_L_X2Y97 ROW 55 TILEPROP INT_L_X2Y97 SLR_REGION_ID 0 TILEPROP INT_L_X2Y97 TILE_PATTERN_IDX 5530 TILEPROP INT_L_X2Y97 TILE_TYPE INT_L TILEPROP INT_L_X2Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y97 TILE_X -85134 TILEPROP INT_L_X2Y97 TILE_Y 73024 TILEPROP INT_L_X2Y97 TYPE INT_L TILEPROP INT_L_X2Y98 CLASS tile TILEPROP INT_L_X2Y98 COLUMN 11 TILEPROP INT_L_X2Y98 DEVICE_ID 0 TILEPROP INT_L_X2Y98 FIRST_SITE_ID 5192 TILEPROP INT_L_X2Y98 GRID_POINT_X 11 TILEPROP INT_L_X2Y98 GRID_POINT_Y 54 TILEPROP INT_L_X2Y98 INDEX 6221 TILEPROP INT_L_X2Y98 INT_TILE_X 2 TILEPROP INT_L_X2Y98 INT_TILE_Y 51 TILEPROP INT_L_X2Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y98 IS_DCM_TILE 0 TILEPROP INT_L_X2Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y98 NAME INT_L_X2Y98 TILEPROP INT_L_X2Y98 NUM_ARCS 3737 TILEPROP INT_L_X2Y98 NUM_SITES 1 TILEPROP INT_L_X2Y98 ROW 54 TILEPROP INT_L_X2Y98 SLR_REGION_ID 0 TILEPROP INT_L_X2Y98 TILE_PATTERN_IDX 5496 TILEPROP INT_L_X2Y98 TILE_TYPE INT_L TILEPROP INT_L_X2Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y98 TILE_X -85134 TILEPROP INT_L_X2Y98 TILE_Y 76224 TILEPROP INT_L_X2Y98 TYPE INT_L TILEPROP INT_L_X2Y99 CLASS tile TILEPROP INT_L_X2Y99 COLUMN 11 TILEPROP INT_L_X2Y99 DEVICE_ID 0 TILEPROP INT_L_X2Y99 FIRST_SITE_ID 5096 TILEPROP INT_L_X2Y99 GRID_POINT_X 11 TILEPROP INT_L_X2Y99 GRID_POINT_Y 53 TILEPROP INT_L_X2Y99 INDEX 6106 TILEPROP INT_L_X2Y99 INT_TILE_X 2 TILEPROP INT_L_X2Y99 INT_TILE_Y 50 TILEPROP INT_L_X2Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y99 IS_DCM_TILE 0 TILEPROP INT_L_X2Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y99 NAME INT_L_X2Y99 TILEPROP INT_L_X2Y99 NUM_ARCS 3737 TILEPROP INT_L_X2Y99 NUM_SITES 1 TILEPROP INT_L_X2Y99 ROW 53 TILEPROP INT_L_X2Y99 SLR_REGION_ID 0 TILEPROP INT_L_X2Y99 TILE_PATTERN_IDX 5463 TILEPROP INT_L_X2Y99 TILE_TYPE INT_L TILEPROP INT_L_X2Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y99 TILE_X -85134 TILEPROP INT_L_X2Y99 TILE_Y 79424 TILEPROP INT_L_X2Y99 TYPE INT_L TILEPROP INT_L_X2Y100 CLASS tile TILEPROP INT_L_X2Y100 COLUMN 11 TILEPROP INT_L_X2Y100 DEVICE_ID 0 TILEPROP INT_L_X2Y100 FIRST_SITE_ID 4995 TILEPROP INT_L_X2Y100 GRID_POINT_X 11 TILEPROP INT_L_X2Y100 GRID_POINT_Y 51 TILEPROP INT_L_X2Y100 INDEX 5876 TILEPROP INT_L_X2Y100 INT_TILE_X 2 TILEPROP INT_L_X2Y100 INT_TILE_Y 49 TILEPROP INT_L_X2Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y100 IS_DCM_TILE 0 TILEPROP INT_L_X2Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y100 NAME INT_L_X2Y100 TILEPROP INT_L_X2Y100 NUM_ARCS 3737 TILEPROP INT_L_X2Y100 NUM_SITES 1 TILEPROP INT_L_X2Y100 ROW 51 TILEPROP INT_L_X2Y100 SLR_REGION_ID 0 TILEPROP INT_L_X2Y100 TILE_PATTERN_IDX 5426 TILEPROP INT_L_X2Y100 TILE_TYPE INT_L TILEPROP INT_L_X2Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y100 TILE_X -85134 TILEPROP INT_L_X2Y100 TILE_Y 82872 TILEPROP INT_L_X2Y100 TYPE INT_L TILEPROP INT_L_X2Y101 CLASS tile TILEPROP INT_L_X2Y101 COLUMN 11 TILEPROP INT_L_X2Y101 DEVICE_ID 0 TILEPROP INT_L_X2Y101 FIRST_SITE_ID 4903 TILEPROP INT_L_X2Y101 GRID_POINT_X 11 TILEPROP INT_L_X2Y101 GRID_POINT_Y 50 TILEPROP INT_L_X2Y101 INDEX 5761 TILEPROP INT_L_X2Y101 INT_TILE_X 2 TILEPROP INT_L_X2Y101 INT_TILE_Y 48 TILEPROP INT_L_X2Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y101 IS_DCM_TILE 0 TILEPROP INT_L_X2Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y101 NAME INT_L_X2Y101 TILEPROP INT_L_X2Y101 NUM_ARCS 3737 TILEPROP INT_L_X2Y101 NUM_SITES 1 TILEPROP INT_L_X2Y101 ROW 50 TILEPROP INT_L_X2Y101 SLR_REGION_ID 0 TILEPROP INT_L_X2Y101 TILE_PATTERN_IDX 5390 TILEPROP INT_L_X2Y101 TILE_TYPE INT_L TILEPROP INT_L_X2Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y101 TILE_X -85134 TILEPROP INT_L_X2Y101 TILE_Y 86072 TILEPROP INT_L_X2Y101 TYPE INT_L TILEPROP INT_L_X2Y102 CLASS tile TILEPROP INT_L_X2Y102 COLUMN 11 TILEPROP INT_L_X2Y102 DEVICE_ID 0 TILEPROP INT_L_X2Y102 FIRST_SITE_ID 4807 TILEPROP INT_L_X2Y102 GRID_POINT_X 11 TILEPROP INT_L_X2Y102 GRID_POINT_Y 49 TILEPROP INT_L_X2Y102 INDEX 5646 TILEPROP INT_L_X2Y102 INT_TILE_X 2 TILEPROP INT_L_X2Y102 INT_TILE_Y 47 TILEPROP INT_L_X2Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y102 IS_DCM_TILE 0 TILEPROP INT_L_X2Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y102 NAME INT_L_X2Y102 TILEPROP INT_L_X2Y102 NUM_ARCS 3737 TILEPROP INT_L_X2Y102 NUM_SITES 1 TILEPROP INT_L_X2Y102 ROW 49 TILEPROP INT_L_X2Y102 SLR_REGION_ID 0 TILEPROP INT_L_X2Y102 TILE_PATTERN_IDX 5353 TILEPROP INT_L_X2Y102 TILE_TYPE INT_L TILEPROP INT_L_X2Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y102 TILE_X -85134 TILEPROP INT_L_X2Y102 TILE_Y 89272 TILEPROP INT_L_X2Y102 TYPE INT_L TILEPROP INT_L_X2Y103 CLASS tile TILEPROP INT_L_X2Y103 COLUMN 11 TILEPROP INT_L_X2Y103 DEVICE_ID 0 TILEPROP INT_L_X2Y103 FIRST_SITE_ID 4719 TILEPROP INT_L_X2Y103 GRID_POINT_X 11 TILEPROP INT_L_X2Y103 GRID_POINT_Y 48 TILEPROP INT_L_X2Y103 INDEX 5531 TILEPROP INT_L_X2Y103 INT_TILE_X 2 TILEPROP INT_L_X2Y103 INT_TILE_Y 46 TILEPROP INT_L_X2Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y103 IS_DCM_TILE 0 TILEPROP INT_L_X2Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y103 NAME INT_L_X2Y103 TILEPROP INT_L_X2Y103 NUM_ARCS 3737 TILEPROP INT_L_X2Y103 NUM_SITES 1 TILEPROP INT_L_X2Y103 ROW 48 TILEPROP INT_L_X2Y103 SLR_REGION_ID 0 TILEPROP INT_L_X2Y103 TILE_PATTERN_IDX 5317 TILEPROP INT_L_X2Y103 TILE_TYPE INT_L TILEPROP INT_L_X2Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y103 TILE_X -85134 TILEPROP INT_L_X2Y103 TILE_Y 92472 TILEPROP INT_L_X2Y103 TYPE INT_L TILEPROP INT_L_X2Y104 CLASS tile TILEPROP INT_L_X2Y104 COLUMN 11 TILEPROP INT_L_X2Y104 DEVICE_ID 0 TILEPROP INT_L_X2Y104 FIRST_SITE_ID 4623 TILEPROP INT_L_X2Y104 GRID_POINT_X 11 TILEPROP INT_L_X2Y104 GRID_POINT_Y 47 TILEPROP INT_L_X2Y104 INDEX 5416 TILEPROP INT_L_X2Y104 INT_TILE_X 2 TILEPROP INT_L_X2Y104 INT_TILE_Y 45 TILEPROP INT_L_X2Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y104 IS_DCM_TILE 0 TILEPROP INT_L_X2Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y104 NAME INT_L_X2Y104 TILEPROP INT_L_X2Y104 NUM_ARCS 3737 TILEPROP INT_L_X2Y104 NUM_SITES 1 TILEPROP INT_L_X2Y104 ROW 47 TILEPROP INT_L_X2Y104 SLR_REGION_ID 0 TILEPROP INT_L_X2Y104 TILE_PATTERN_IDX 5280 TILEPROP INT_L_X2Y104 TILE_TYPE INT_L TILEPROP INT_L_X2Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y104 TILE_X -85134 TILEPROP INT_L_X2Y104 TILE_Y 95672 TILEPROP INT_L_X2Y104 TYPE INT_L TILEPROP INT_L_X2Y105 CLASS tile TILEPROP INT_L_X2Y105 COLUMN 11 TILEPROP INT_L_X2Y105 DEVICE_ID 0 TILEPROP INT_L_X2Y105 FIRST_SITE_ID 4521 TILEPROP INT_L_X2Y105 GRID_POINT_X 11 TILEPROP INT_L_X2Y105 GRID_POINT_Y 46 TILEPROP INT_L_X2Y105 INDEX 5301 TILEPROP INT_L_X2Y105 INT_TILE_X 2 TILEPROP INT_L_X2Y105 INT_TILE_Y 44 TILEPROP INT_L_X2Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y105 IS_DCM_TILE 0 TILEPROP INT_L_X2Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y105 NAME INT_L_X2Y105 TILEPROP INT_L_X2Y105 NUM_ARCS 3737 TILEPROP INT_L_X2Y105 NUM_SITES 1 TILEPROP INT_L_X2Y105 ROW 46 TILEPROP INT_L_X2Y105 SLR_REGION_ID 0 TILEPROP INT_L_X2Y105 TILE_PATTERN_IDX 5244 TILEPROP INT_L_X2Y105 TILE_TYPE INT_L TILEPROP INT_L_X2Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y105 TILE_X -85134 TILEPROP INT_L_X2Y105 TILE_Y 98872 TILEPROP INT_L_X2Y105 TYPE INT_L TILEPROP INT_L_X2Y106 CLASS tile TILEPROP INT_L_X2Y106 COLUMN 11 TILEPROP INT_L_X2Y106 DEVICE_ID 0 TILEPROP INT_L_X2Y106 FIRST_SITE_ID 4425 TILEPROP INT_L_X2Y106 GRID_POINT_X 11 TILEPROP INT_L_X2Y106 GRID_POINT_Y 45 TILEPROP INT_L_X2Y106 INDEX 5186 TILEPROP INT_L_X2Y106 INT_TILE_X 2 TILEPROP INT_L_X2Y106 INT_TILE_Y 43 TILEPROP INT_L_X2Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y106 IS_DCM_TILE 0 TILEPROP INT_L_X2Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y106 NAME INT_L_X2Y106 TILEPROP INT_L_X2Y106 NUM_ARCS 3737 TILEPROP INT_L_X2Y106 NUM_SITES 1 TILEPROP INT_L_X2Y106 ROW 45 TILEPROP INT_L_X2Y106 SLR_REGION_ID 0 TILEPROP INT_L_X2Y106 TILE_PATTERN_IDX 5207 TILEPROP INT_L_X2Y106 TILE_TYPE INT_L TILEPROP INT_L_X2Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y106 TILE_X -85134 TILEPROP INT_L_X2Y106 TILE_Y 102072 TILEPROP INT_L_X2Y106 TYPE INT_L TILEPROP INT_L_X2Y107 CLASS tile TILEPROP INT_L_X2Y107 COLUMN 11 TILEPROP INT_L_X2Y107 DEVICE_ID 0 TILEPROP INT_L_X2Y107 FIRST_SITE_ID 4337 TILEPROP INT_L_X2Y107 GRID_POINT_X 11 TILEPROP INT_L_X2Y107 GRID_POINT_Y 44 TILEPROP INT_L_X2Y107 INDEX 5071 TILEPROP INT_L_X2Y107 INT_TILE_X 2 TILEPROP INT_L_X2Y107 INT_TILE_Y 42 TILEPROP INT_L_X2Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y107 IS_DCM_TILE 0 TILEPROP INT_L_X2Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y107 NAME INT_L_X2Y107 TILEPROP INT_L_X2Y107 NUM_ARCS 3737 TILEPROP INT_L_X2Y107 NUM_SITES 1 TILEPROP INT_L_X2Y107 ROW 44 TILEPROP INT_L_X2Y107 SLR_REGION_ID 0 TILEPROP INT_L_X2Y107 TILE_PATTERN_IDX 5171 TILEPROP INT_L_X2Y107 TILE_TYPE INT_L TILEPROP INT_L_X2Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y107 TILE_X -85134 TILEPROP INT_L_X2Y107 TILE_Y 105272 TILEPROP INT_L_X2Y107 TYPE INT_L TILEPROP INT_L_X2Y108 CLASS tile TILEPROP INT_L_X2Y108 COLUMN 11 TILEPROP INT_L_X2Y108 DEVICE_ID 0 TILEPROP INT_L_X2Y108 FIRST_SITE_ID 4239 TILEPROP INT_L_X2Y108 GRID_POINT_X 11 TILEPROP INT_L_X2Y108 GRID_POINT_Y 43 TILEPROP INT_L_X2Y108 INDEX 4956 TILEPROP INT_L_X2Y108 INT_TILE_X 2 TILEPROP INT_L_X2Y108 INT_TILE_Y 41 TILEPROP INT_L_X2Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y108 IS_DCM_TILE 0 TILEPROP INT_L_X2Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y108 NAME INT_L_X2Y108 TILEPROP INT_L_X2Y108 NUM_ARCS 3737 TILEPROP INT_L_X2Y108 NUM_SITES 1 TILEPROP INT_L_X2Y108 ROW 43 TILEPROP INT_L_X2Y108 SLR_REGION_ID 0 TILEPROP INT_L_X2Y108 TILE_PATTERN_IDX 5134 TILEPROP INT_L_X2Y108 TILE_TYPE INT_L TILEPROP INT_L_X2Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y108 TILE_X -85134 TILEPROP INT_L_X2Y108 TILE_Y 108472 TILEPROP INT_L_X2Y108 TYPE INT_L TILEPROP INT_L_X2Y109 CLASS tile TILEPROP INT_L_X2Y109 COLUMN 11 TILEPROP INT_L_X2Y109 DEVICE_ID 0 TILEPROP INT_L_X2Y109 FIRST_SITE_ID 4150 TILEPROP INT_L_X2Y109 GRID_POINT_X 11 TILEPROP INT_L_X2Y109 GRID_POINT_Y 42 TILEPROP INT_L_X2Y109 INDEX 4841 TILEPROP INT_L_X2Y109 INT_TILE_X 2 TILEPROP INT_L_X2Y109 INT_TILE_Y 40 TILEPROP INT_L_X2Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y109 IS_DCM_TILE 0 TILEPROP INT_L_X2Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y109 NAME INT_L_X2Y109 TILEPROP INT_L_X2Y109 NUM_ARCS 3737 TILEPROP INT_L_X2Y109 NUM_SITES 1 TILEPROP INT_L_X2Y109 ROW 42 TILEPROP INT_L_X2Y109 SLR_REGION_ID 0 TILEPROP INT_L_X2Y109 TILE_PATTERN_IDX 5098 TILEPROP INT_L_X2Y109 TILE_TYPE INT_L TILEPROP INT_L_X2Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y109 TILE_X -85134 TILEPROP INT_L_X2Y109 TILE_Y 111672 TILEPROP INT_L_X2Y109 TYPE INT_L TILEPROP INT_L_X2Y110 CLASS tile TILEPROP INT_L_X2Y110 COLUMN 11 TILEPROP INT_L_X2Y110 DEVICE_ID 0 TILEPROP INT_L_X2Y110 FIRST_SITE_ID 4044 TILEPROP INT_L_X2Y110 GRID_POINT_X 11 TILEPROP INT_L_X2Y110 GRID_POINT_Y 41 TILEPROP INT_L_X2Y110 INDEX 4726 TILEPROP INT_L_X2Y110 INT_TILE_X 2 TILEPROP INT_L_X2Y110 INT_TILE_Y 39 TILEPROP INT_L_X2Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y110 IS_DCM_TILE 0 TILEPROP INT_L_X2Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y110 NAME INT_L_X2Y110 TILEPROP INT_L_X2Y110 NUM_ARCS 3737 TILEPROP INT_L_X2Y110 NUM_SITES 1 TILEPROP INT_L_X2Y110 ROW 41 TILEPROP INT_L_X2Y110 SLR_REGION_ID 0 TILEPROP INT_L_X2Y110 TILE_PATTERN_IDX 5061 TILEPROP INT_L_X2Y110 TILE_TYPE INT_L TILEPROP INT_L_X2Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y110 TILE_X -85134 TILEPROP INT_L_X2Y110 TILE_Y 114872 TILEPROP INT_L_X2Y110 TYPE INT_L TILEPROP INT_L_X2Y111 CLASS tile TILEPROP INT_L_X2Y111 COLUMN 11 TILEPROP INT_L_X2Y111 DEVICE_ID 0 TILEPROP INT_L_X2Y111 FIRST_SITE_ID 3956 TILEPROP INT_L_X2Y111 GRID_POINT_X 11 TILEPROP INT_L_X2Y111 GRID_POINT_Y 40 TILEPROP INT_L_X2Y111 INDEX 4611 TILEPROP INT_L_X2Y111 INT_TILE_X 2 TILEPROP INT_L_X2Y111 INT_TILE_Y 38 TILEPROP INT_L_X2Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y111 IS_DCM_TILE 0 TILEPROP INT_L_X2Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y111 NAME INT_L_X2Y111 TILEPROP INT_L_X2Y111 NUM_ARCS 3737 TILEPROP INT_L_X2Y111 NUM_SITES 1 TILEPROP INT_L_X2Y111 ROW 40 TILEPROP INT_L_X2Y111 SLR_REGION_ID 0 TILEPROP INT_L_X2Y111 TILE_PATTERN_IDX 5025 TILEPROP INT_L_X2Y111 TILE_TYPE INT_L TILEPROP INT_L_X2Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y111 TILE_X -85134 TILEPROP INT_L_X2Y111 TILE_Y 118072 TILEPROP INT_L_X2Y111 TYPE INT_L TILEPROP INT_L_X2Y112 CLASS tile TILEPROP INT_L_X2Y112 COLUMN 11 TILEPROP INT_L_X2Y112 DEVICE_ID 0 TILEPROP INT_L_X2Y112 FIRST_SITE_ID 3828 TILEPROP INT_L_X2Y112 GRID_POINT_X 11 TILEPROP INT_L_X2Y112 GRID_POINT_Y 39 TILEPROP INT_L_X2Y112 INDEX 4496 TILEPROP INT_L_X2Y112 INT_TILE_X 2 TILEPROP INT_L_X2Y112 INT_TILE_Y 37 TILEPROP INT_L_X2Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y112 IS_DCM_TILE 0 TILEPROP INT_L_X2Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y112 NAME INT_L_X2Y112 TILEPROP INT_L_X2Y112 NUM_ARCS 3737 TILEPROP INT_L_X2Y112 NUM_SITES 1 TILEPROP INT_L_X2Y112 ROW 39 TILEPROP INT_L_X2Y112 SLR_REGION_ID 0 TILEPROP INT_L_X2Y112 TILE_PATTERN_IDX 4987 TILEPROP INT_L_X2Y112 TILE_TYPE INT_L TILEPROP INT_L_X2Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y112 TILE_X -85134 TILEPROP INT_L_X2Y112 TILE_Y 121272 TILEPROP INT_L_X2Y112 TYPE INT_L TILEPROP INT_L_X2Y113 CLASS tile TILEPROP INT_L_X2Y113 COLUMN 11 TILEPROP INT_L_X2Y113 DEVICE_ID 0 TILEPROP INT_L_X2Y113 FIRST_SITE_ID 3740 TILEPROP INT_L_X2Y113 GRID_POINT_X 11 TILEPROP INT_L_X2Y113 GRID_POINT_Y 38 TILEPROP INT_L_X2Y113 INDEX 4381 TILEPROP INT_L_X2Y113 INT_TILE_X 2 TILEPROP INT_L_X2Y113 INT_TILE_Y 36 TILEPROP INT_L_X2Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y113 IS_DCM_TILE 0 TILEPROP INT_L_X2Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y113 NAME INT_L_X2Y113 TILEPROP INT_L_X2Y113 NUM_ARCS 3737 TILEPROP INT_L_X2Y113 NUM_SITES 1 TILEPROP INT_L_X2Y113 ROW 38 TILEPROP INT_L_X2Y113 SLR_REGION_ID 0 TILEPROP INT_L_X2Y113 TILE_PATTERN_IDX 4951 TILEPROP INT_L_X2Y113 TILE_TYPE INT_L TILEPROP INT_L_X2Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y113 TILE_X -85134 TILEPROP INT_L_X2Y113 TILE_Y 124472 TILEPROP INT_L_X2Y113 TYPE INT_L TILEPROP INT_L_X2Y114 CLASS tile TILEPROP INT_L_X2Y114 COLUMN 11 TILEPROP INT_L_X2Y114 DEVICE_ID 0 TILEPROP INT_L_X2Y114 FIRST_SITE_ID 3644 TILEPROP INT_L_X2Y114 GRID_POINT_X 11 TILEPROP INT_L_X2Y114 GRID_POINT_Y 37 TILEPROP INT_L_X2Y114 INDEX 4266 TILEPROP INT_L_X2Y114 INT_TILE_X 2 TILEPROP INT_L_X2Y114 INT_TILE_Y 35 TILEPROP INT_L_X2Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y114 IS_DCM_TILE 0 TILEPROP INT_L_X2Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y114 NAME INT_L_X2Y114 TILEPROP INT_L_X2Y114 NUM_ARCS 3737 TILEPROP INT_L_X2Y114 NUM_SITES 1 TILEPROP INT_L_X2Y114 ROW 37 TILEPROP INT_L_X2Y114 SLR_REGION_ID 0 TILEPROP INT_L_X2Y114 TILE_PATTERN_IDX 4914 TILEPROP INT_L_X2Y114 TILE_TYPE INT_L TILEPROP INT_L_X2Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y114 TILE_X -85134 TILEPROP INT_L_X2Y114 TILE_Y 127672 TILEPROP INT_L_X2Y114 TYPE INT_L TILEPROP INT_L_X2Y115 CLASS tile TILEPROP INT_L_X2Y115 COLUMN 11 TILEPROP INT_L_X2Y115 DEVICE_ID 0 TILEPROP INT_L_X2Y115 FIRST_SITE_ID 3547 TILEPROP INT_L_X2Y115 GRID_POINT_X 11 TILEPROP INT_L_X2Y115 GRID_POINT_Y 36 TILEPROP INT_L_X2Y115 INDEX 4151 TILEPROP INT_L_X2Y115 INT_TILE_X 2 TILEPROP INT_L_X2Y115 INT_TILE_Y 34 TILEPROP INT_L_X2Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y115 IS_DCM_TILE 0 TILEPROP INT_L_X2Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y115 NAME INT_L_X2Y115 TILEPROP INT_L_X2Y115 NUM_ARCS 3737 TILEPROP INT_L_X2Y115 NUM_SITES 1 TILEPROP INT_L_X2Y115 ROW 36 TILEPROP INT_L_X2Y115 SLR_REGION_ID 0 TILEPROP INT_L_X2Y115 TILE_PATTERN_IDX 4878 TILEPROP INT_L_X2Y115 TILE_TYPE INT_L TILEPROP INT_L_X2Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y115 TILE_X -85134 TILEPROP INT_L_X2Y115 TILE_Y 130872 TILEPROP INT_L_X2Y115 TYPE INT_L TILEPROP INT_L_X2Y116 CLASS tile TILEPROP INT_L_X2Y116 COLUMN 11 TILEPROP INT_L_X2Y116 DEVICE_ID 0 TILEPROP INT_L_X2Y116 FIRST_SITE_ID 3446 TILEPROP INT_L_X2Y116 GRID_POINT_X 11 TILEPROP INT_L_X2Y116 GRID_POINT_Y 35 TILEPROP INT_L_X2Y116 INDEX 4036 TILEPROP INT_L_X2Y116 INT_TILE_X 2 TILEPROP INT_L_X2Y116 INT_TILE_Y 33 TILEPROP INT_L_X2Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y116 IS_DCM_TILE 0 TILEPROP INT_L_X2Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y116 NAME INT_L_X2Y116 TILEPROP INT_L_X2Y116 NUM_ARCS 3737 TILEPROP INT_L_X2Y116 NUM_SITES 1 TILEPROP INT_L_X2Y116 ROW 35 TILEPROP INT_L_X2Y116 SLR_REGION_ID 0 TILEPROP INT_L_X2Y116 TILE_PATTERN_IDX 4841 TILEPROP INT_L_X2Y116 TILE_TYPE INT_L TILEPROP INT_L_X2Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y116 TILE_X -85134 TILEPROP INT_L_X2Y116 TILE_Y 134072 TILEPROP INT_L_X2Y116 TYPE INT_L TILEPROP INT_L_X2Y117 CLASS tile TILEPROP INT_L_X2Y117 COLUMN 11 TILEPROP INT_L_X2Y117 DEVICE_ID 0 TILEPROP INT_L_X2Y117 FIRST_SITE_ID 3358 TILEPROP INT_L_X2Y117 GRID_POINT_X 11 TILEPROP INT_L_X2Y117 GRID_POINT_Y 34 TILEPROP INT_L_X2Y117 INDEX 3921 TILEPROP INT_L_X2Y117 INT_TILE_X 2 TILEPROP INT_L_X2Y117 INT_TILE_Y 32 TILEPROP INT_L_X2Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y117 IS_DCM_TILE 0 TILEPROP INT_L_X2Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y117 NAME INT_L_X2Y117 TILEPROP INT_L_X2Y117 NUM_ARCS 3737 TILEPROP INT_L_X2Y117 NUM_SITES 1 TILEPROP INT_L_X2Y117 ROW 34 TILEPROP INT_L_X2Y117 SLR_REGION_ID 0 TILEPROP INT_L_X2Y117 TILE_PATTERN_IDX 4805 TILEPROP INT_L_X2Y117 TILE_TYPE INT_L TILEPROP INT_L_X2Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y117 TILE_X -85134 TILEPROP INT_L_X2Y117 TILE_Y 137272 TILEPROP INT_L_X2Y117 TYPE INT_L TILEPROP INT_L_X2Y118 CLASS tile TILEPROP INT_L_X2Y118 COLUMN 11 TILEPROP INT_L_X2Y118 DEVICE_ID 0 TILEPROP INT_L_X2Y118 FIRST_SITE_ID 3258 TILEPROP INT_L_X2Y118 GRID_POINT_X 11 TILEPROP INT_L_X2Y118 GRID_POINT_Y 33 TILEPROP INT_L_X2Y118 INDEX 3806 TILEPROP INT_L_X2Y118 INT_TILE_X 2 TILEPROP INT_L_X2Y118 INT_TILE_Y 31 TILEPROP INT_L_X2Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y118 IS_DCM_TILE 0 TILEPROP INT_L_X2Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y118 NAME INT_L_X2Y118 TILEPROP INT_L_X2Y118 NUM_ARCS 3737 TILEPROP INT_L_X2Y118 NUM_SITES 1 TILEPROP INT_L_X2Y118 ROW 33 TILEPROP INT_L_X2Y118 SLR_REGION_ID 0 TILEPROP INT_L_X2Y118 TILE_PATTERN_IDX 4767 TILEPROP INT_L_X2Y118 TILE_TYPE INT_L TILEPROP INT_L_X2Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y118 TILE_X -85134 TILEPROP INT_L_X2Y118 TILE_Y 140472 TILEPROP INT_L_X2Y118 TYPE INT_L TILEPROP INT_L_X2Y119 CLASS tile TILEPROP INT_L_X2Y119 COLUMN 11 TILEPROP INT_L_X2Y119 DEVICE_ID 0 TILEPROP INT_L_X2Y119 FIRST_SITE_ID 3170 TILEPROP INT_L_X2Y119 GRID_POINT_X 11 TILEPROP INT_L_X2Y119 GRID_POINT_Y 32 TILEPROP INT_L_X2Y119 INDEX 3691 TILEPROP INT_L_X2Y119 INT_TILE_X 2 TILEPROP INT_L_X2Y119 INT_TILE_Y 30 TILEPROP INT_L_X2Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y119 IS_DCM_TILE 0 TILEPROP INT_L_X2Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y119 NAME INT_L_X2Y119 TILEPROP INT_L_X2Y119 NUM_ARCS 3737 TILEPROP INT_L_X2Y119 NUM_SITES 1 TILEPROP INT_L_X2Y119 ROW 32 TILEPROP INT_L_X2Y119 SLR_REGION_ID 0 TILEPROP INT_L_X2Y119 TILE_PATTERN_IDX 4731 TILEPROP INT_L_X2Y119 TILE_TYPE INT_L TILEPROP INT_L_X2Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y119 TILE_X -85134 TILEPROP INT_L_X2Y119 TILE_Y 143672 TILEPROP INT_L_X2Y119 TYPE INT_L TILEPROP INT_L_X2Y120 CLASS tile TILEPROP INT_L_X2Y120 COLUMN 11 TILEPROP INT_L_X2Y120 DEVICE_ID 0 TILEPROP INT_L_X2Y120 FIRST_SITE_ID 3063 TILEPROP INT_L_X2Y120 GRID_POINT_X 11 TILEPROP INT_L_X2Y120 GRID_POINT_Y 31 TILEPROP INT_L_X2Y120 INDEX 3576 TILEPROP INT_L_X2Y120 INT_TILE_X 2 TILEPROP INT_L_X2Y120 INT_TILE_Y 29 TILEPROP INT_L_X2Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y120 IS_DCM_TILE 0 TILEPROP INT_L_X2Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y120 NAME INT_L_X2Y120 TILEPROP INT_L_X2Y120 NUM_ARCS 3737 TILEPROP INT_L_X2Y120 NUM_SITES 1 TILEPROP INT_L_X2Y120 ROW 31 TILEPROP INT_L_X2Y120 SLR_REGION_ID 0 TILEPROP INT_L_X2Y120 TILE_PATTERN_IDX 4694 TILEPROP INT_L_X2Y120 TILE_TYPE INT_L TILEPROP INT_L_X2Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y120 TILE_X -85134 TILEPROP INT_L_X2Y120 TILE_Y 146872 TILEPROP INT_L_X2Y120 TYPE INT_L TILEPROP INT_L_X2Y121 CLASS tile TILEPROP INT_L_X2Y121 COLUMN 11 TILEPROP INT_L_X2Y121 DEVICE_ID 0 TILEPROP INT_L_X2Y121 FIRST_SITE_ID 2975 TILEPROP INT_L_X2Y121 GRID_POINT_X 11 TILEPROP INT_L_X2Y121 GRID_POINT_Y 30 TILEPROP INT_L_X2Y121 INDEX 3461 TILEPROP INT_L_X2Y121 INT_TILE_X 2 TILEPROP INT_L_X2Y121 INT_TILE_Y 28 TILEPROP INT_L_X2Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y121 IS_DCM_TILE 0 TILEPROP INT_L_X2Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y121 NAME INT_L_X2Y121 TILEPROP INT_L_X2Y121 NUM_ARCS 3737 TILEPROP INT_L_X2Y121 NUM_SITES 1 TILEPROP INT_L_X2Y121 ROW 30 TILEPROP INT_L_X2Y121 SLR_REGION_ID 0 TILEPROP INT_L_X2Y121 TILE_PATTERN_IDX 4658 TILEPROP INT_L_X2Y121 TILE_TYPE INT_L TILEPROP INT_L_X2Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y121 TILE_X -85134 TILEPROP INT_L_X2Y121 TILE_Y 150072 TILEPROP INT_L_X2Y121 TYPE INT_L TILEPROP INT_L_X2Y122 CLASS tile TILEPROP INT_L_X2Y122 COLUMN 11 TILEPROP INT_L_X2Y122 DEVICE_ID 0 TILEPROP INT_L_X2Y122 FIRST_SITE_ID 2872 TILEPROP INT_L_X2Y122 GRID_POINT_X 11 TILEPROP INT_L_X2Y122 GRID_POINT_Y 29 TILEPROP INT_L_X2Y122 INDEX 3346 TILEPROP INT_L_X2Y122 INT_TILE_X 2 TILEPROP INT_L_X2Y122 INT_TILE_Y 27 TILEPROP INT_L_X2Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y122 IS_DCM_TILE 0 TILEPROP INT_L_X2Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y122 NAME INT_L_X2Y122 TILEPROP INT_L_X2Y122 NUM_ARCS 3737 TILEPROP INT_L_X2Y122 NUM_SITES 1 TILEPROP INT_L_X2Y122 ROW 29 TILEPROP INT_L_X2Y122 SLR_REGION_ID 0 TILEPROP INT_L_X2Y122 TILE_PATTERN_IDX 4620 TILEPROP INT_L_X2Y122 TILE_TYPE INT_L TILEPROP INT_L_X2Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y122 TILE_X -85134 TILEPROP INT_L_X2Y122 TILE_Y 153272 TILEPROP INT_L_X2Y122 TYPE INT_L TILEPROP INT_L_X2Y123 CLASS tile TILEPROP INT_L_X2Y123 COLUMN 11 TILEPROP INT_L_X2Y123 DEVICE_ID 0 TILEPROP INT_L_X2Y123 FIRST_SITE_ID 2784 TILEPROP INT_L_X2Y123 GRID_POINT_X 11 TILEPROP INT_L_X2Y123 GRID_POINT_Y 28 TILEPROP INT_L_X2Y123 INDEX 3231 TILEPROP INT_L_X2Y123 INT_TILE_X 2 TILEPROP INT_L_X2Y123 INT_TILE_Y 26 TILEPROP INT_L_X2Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y123 IS_DCM_TILE 0 TILEPROP INT_L_X2Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y123 NAME INT_L_X2Y123 TILEPROP INT_L_X2Y123 NUM_ARCS 3737 TILEPROP INT_L_X2Y123 NUM_SITES 1 TILEPROP INT_L_X2Y123 ROW 28 TILEPROP INT_L_X2Y123 SLR_REGION_ID 0 TILEPROP INT_L_X2Y123 TILE_PATTERN_IDX 4584 TILEPROP INT_L_X2Y123 TILE_TYPE INT_L TILEPROP INT_L_X2Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y123 TILE_X -85134 TILEPROP INT_L_X2Y123 TILE_Y 156472 TILEPROP INT_L_X2Y123 TYPE INT_L TILEPROP INT_L_X2Y124 CLASS tile TILEPROP INT_L_X2Y124 COLUMN 11 TILEPROP INT_L_X2Y124 DEVICE_ID 0 TILEPROP INT_L_X2Y124 FIRST_SITE_ID 2688 TILEPROP INT_L_X2Y124 GRID_POINT_X 11 TILEPROP INT_L_X2Y124 GRID_POINT_Y 27 TILEPROP INT_L_X2Y124 INDEX 3116 TILEPROP INT_L_X2Y124 INT_TILE_X 2 TILEPROP INT_L_X2Y124 INT_TILE_Y 25 TILEPROP INT_L_X2Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y124 IS_DCM_TILE 0 TILEPROP INT_L_X2Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y124 NAME INT_L_X2Y124 TILEPROP INT_L_X2Y124 NUM_ARCS 3737 TILEPROP INT_L_X2Y124 NUM_SITES 1 TILEPROP INT_L_X2Y124 ROW 27 TILEPROP INT_L_X2Y124 SLR_REGION_ID 0 TILEPROP INT_L_X2Y124 TILE_PATTERN_IDX 4547 TILEPROP INT_L_X2Y124 TILE_TYPE INT_L TILEPROP INT_L_X2Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y124 TILE_X -85134 TILEPROP INT_L_X2Y124 TILE_Y 159672 TILEPROP INT_L_X2Y124 TYPE INT_L TILEPROP INT_L_X2Y125 CLASS tile TILEPROP INT_L_X2Y125 COLUMN 11 TILEPROP INT_L_X2Y125 DEVICE_ID 0 TILEPROP INT_L_X2Y125 FIRST_SITE_ID 2509 TILEPROP INT_L_X2Y125 GRID_POINT_X 11 TILEPROP INT_L_X2Y125 GRID_POINT_Y 25 TILEPROP INT_L_X2Y125 INDEX 2886 TILEPROP INT_L_X2Y125 INT_TILE_X 2 TILEPROP INT_L_X2Y125 INT_TILE_Y 24 TILEPROP INT_L_X2Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y125 IS_DCM_TILE 0 TILEPROP INT_L_X2Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y125 NAME INT_L_X2Y125 TILEPROP INT_L_X2Y125 NUM_ARCS 3737 TILEPROP INT_L_X2Y125 NUM_SITES 1 TILEPROP INT_L_X2Y125 ROW 25 TILEPROP INT_L_X2Y125 SLR_REGION_ID 0 TILEPROP INT_L_X2Y125 TILE_PATTERN_IDX 4468 TILEPROP INT_L_X2Y125 TILE_TYPE INT_L TILEPROP INT_L_X2Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y125 TILE_X -85134 TILEPROP INT_L_X2Y125 TILE_Y 163896 TILEPROP INT_L_X2Y125 TYPE INT_L TILEPROP INT_L_X2Y126 CLASS tile TILEPROP INT_L_X2Y126 COLUMN 11 TILEPROP INT_L_X2Y126 DEVICE_ID 0 TILEPROP INT_L_X2Y126 FIRST_SITE_ID 2405 TILEPROP INT_L_X2Y126 GRID_POINT_X 11 TILEPROP INT_L_X2Y126 GRID_POINT_Y 24 TILEPROP INT_L_X2Y126 INDEX 2771 TILEPROP INT_L_X2Y126 INT_TILE_X 2 TILEPROP INT_L_X2Y126 INT_TILE_Y 23 TILEPROP INT_L_X2Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y126 IS_DCM_TILE 0 TILEPROP INT_L_X2Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y126 NAME INT_L_X2Y126 TILEPROP INT_L_X2Y126 NUM_ARCS 3737 TILEPROP INT_L_X2Y126 NUM_SITES 1 TILEPROP INT_L_X2Y126 ROW 24 TILEPROP INT_L_X2Y126 SLR_REGION_ID 0 TILEPROP INT_L_X2Y126 TILE_PATTERN_IDX 4429 TILEPROP INT_L_X2Y126 TILE_TYPE INT_L TILEPROP INT_L_X2Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y126 TILE_X -85134 TILEPROP INT_L_X2Y126 TILE_Y 167096 TILEPROP INT_L_X2Y126 TYPE INT_L TILEPROP INT_L_X2Y127 CLASS tile TILEPROP INT_L_X2Y127 COLUMN 11 TILEPROP INT_L_X2Y127 DEVICE_ID 0 TILEPROP INT_L_X2Y127 FIRST_SITE_ID 2309 TILEPROP INT_L_X2Y127 GRID_POINT_X 11 TILEPROP INT_L_X2Y127 GRID_POINT_Y 23 TILEPROP INT_L_X2Y127 INDEX 2656 TILEPROP INT_L_X2Y127 INT_TILE_X 2 TILEPROP INT_L_X2Y127 INT_TILE_Y 22 TILEPROP INT_L_X2Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y127 IS_DCM_TILE 0 TILEPROP INT_L_X2Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y127 NAME INT_L_X2Y127 TILEPROP INT_L_X2Y127 NUM_ARCS 3737 TILEPROP INT_L_X2Y127 NUM_SITES 1 TILEPROP INT_L_X2Y127 ROW 23 TILEPROP INT_L_X2Y127 SLR_REGION_ID 0 TILEPROP INT_L_X2Y127 TILE_PATTERN_IDX 4391 TILEPROP INT_L_X2Y127 TILE_TYPE INT_L TILEPROP INT_L_X2Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y127 TILE_X -85134 TILEPROP INT_L_X2Y127 TILE_Y 170296 TILEPROP INT_L_X2Y127 TYPE INT_L TILEPROP INT_L_X2Y128 CLASS tile TILEPROP INT_L_X2Y128 COLUMN 11 TILEPROP INT_L_X2Y128 DEVICE_ID 0 TILEPROP INT_L_X2Y128 FIRST_SITE_ID 2205 TILEPROP INT_L_X2Y128 GRID_POINT_X 11 TILEPROP INT_L_X2Y128 GRID_POINT_Y 22 TILEPROP INT_L_X2Y128 INDEX 2541 TILEPROP INT_L_X2Y128 INT_TILE_X 2 TILEPROP INT_L_X2Y128 INT_TILE_Y 21 TILEPROP INT_L_X2Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y128 IS_DCM_TILE 0 TILEPROP INT_L_X2Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y128 NAME INT_L_X2Y128 TILEPROP INT_L_X2Y128 NUM_ARCS 3737 TILEPROP INT_L_X2Y128 NUM_SITES 1 TILEPROP INT_L_X2Y128 ROW 22 TILEPROP INT_L_X2Y128 SLR_REGION_ID 0 TILEPROP INT_L_X2Y128 TILE_PATTERN_IDX 4352 TILEPROP INT_L_X2Y128 TILE_TYPE INT_L TILEPROP INT_L_X2Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y128 TILE_X -85134 TILEPROP INT_L_X2Y128 TILE_Y 173496 TILEPROP INT_L_X2Y128 TYPE INT_L TILEPROP INT_L_X2Y129 CLASS tile TILEPROP INT_L_X2Y129 COLUMN 11 TILEPROP INT_L_X2Y129 DEVICE_ID 0 TILEPROP INT_L_X2Y129 FIRST_SITE_ID 2109 TILEPROP INT_L_X2Y129 GRID_POINT_X 11 TILEPROP INT_L_X2Y129 GRID_POINT_Y 21 TILEPROP INT_L_X2Y129 INDEX 2426 TILEPROP INT_L_X2Y129 INT_TILE_X 2 TILEPROP INT_L_X2Y129 INT_TILE_Y 20 TILEPROP INT_L_X2Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y129 IS_DCM_TILE 0 TILEPROP INT_L_X2Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y129 NAME INT_L_X2Y129 TILEPROP INT_L_X2Y129 NUM_ARCS 3737 TILEPROP INT_L_X2Y129 NUM_SITES 1 TILEPROP INT_L_X2Y129 ROW 21 TILEPROP INT_L_X2Y129 SLR_REGION_ID 0 TILEPROP INT_L_X2Y129 TILE_PATTERN_IDX 4314 TILEPROP INT_L_X2Y129 TILE_TYPE INT_L TILEPROP INT_L_X2Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y129 TILE_X -85134 TILEPROP INT_L_X2Y129 TILE_Y 176696 TILEPROP INT_L_X2Y129 TYPE INT_L TILEPROP INT_L_X2Y130 CLASS tile TILEPROP INT_L_X2Y130 COLUMN 11 TILEPROP INT_L_X2Y130 DEVICE_ID 0 TILEPROP INT_L_X2Y130 FIRST_SITE_ID 1987 TILEPROP INT_L_X2Y130 GRID_POINT_X 11 TILEPROP INT_L_X2Y130 GRID_POINT_Y 20 TILEPROP INT_L_X2Y130 INDEX 2311 TILEPROP INT_L_X2Y130 INT_TILE_X 2 TILEPROP INT_L_X2Y130 INT_TILE_Y 19 TILEPROP INT_L_X2Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y130 IS_DCM_TILE 0 TILEPROP INT_L_X2Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y130 NAME INT_L_X2Y130 TILEPROP INT_L_X2Y130 NUM_ARCS 3737 TILEPROP INT_L_X2Y130 NUM_SITES 1 TILEPROP INT_L_X2Y130 ROW 20 TILEPROP INT_L_X2Y130 SLR_REGION_ID 0 TILEPROP INT_L_X2Y130 TILE_PATTERN_IDX 4274 TILEPROP INT_L_X2Y130 TILE_TYPE INT_L TILEPROP INT_L_X2Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y130 TILE_X -85134 TILEPROP INT_L_X2Y130 TILE_Y 179896 TILEPROP INT_L_X2Y130 TYPE INT_L TILEPROP INT_L_X2Y131 CLASS tile TILEPROP INT_L_X2Y131 COLUMN 11 TILEPROP INT_L_X2Y131 DEVICE_ID 0 TILEPROP INT_L_X2Y131 FIRST_SITE_ID 1891 TILEPROP INT_L_X2Y131 GRID_POINT_X 11 TILEPROP INT_L_X2Y131 GRID_POINT_Y 19 TILEPROP INT_L_X2Y131 INDEX 2196 TILEPROP INT_L_X2Y131 INT_TILE_X 2 TILEPROP INT_L_X2Y131 INT_TILE_Y 18 TILEPROP INT_L_X2Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y131 IS_DCM_TILE 0 TILEPROP INT_L_X2Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y131 NAME INT_L_X2Y131 TILEPROP INT_L_X2Y131 NUM_ARCS 3737 TILEPROP INT_L_X2Y131 NUM_SITES 1 TILEPROP INT_L_X2Y131 ROW 19 TILEPROP INT_L_X2Y131 SLR_REGION_ID 0 TILEPROP INT_L_X2Y131 TILE_PATTERN_IDX 4236 TILEPROP INT_L_X2Y131 TILE_TYPE INT_L TILEPROP INT_L_X2Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y131 TILE_X -85134 TILEPROP INT_L_X2Y131 TILE_Y 183096 TILEPROP INT_L_X2Y131 TYPE INT_L TILEPROP INT_L_X2Y132 CLASS tile TILEPROP INT_L_X2Y132 COLUMN 11 TILEPROP INT_L_X2Y132 DEVICE_ID 0 TILEPROP INT_L_X2Y132 FIRST_SITE_ID 1785 TILEPROP INT_L_X2Y132 GRID_POINT_X 11 TILEPROP INT_L_X2Y132 GRID_POINT_Y 18 TILEPROP INT_L_X2Y132 INDEX 2081 TILEPROP INT_L_X2Y132 INT_TILE_X 2 TILEPROP INT_L_X2Y132 INT_TILE_Y 17 TILEPROP INT_L_X2Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y132 IS_DCM_TILE 0 TILEPROP INT_L_X2Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y132 NAME INT_L_X2Y132 TILEPROP INT_L_X2Y132 NUM_ARCS 3737 TILEPROP INT_L_X2Y132 NUM_SITES 1 TILEPROP INT_L_X2Y132 ROW 18 TILEPROP INT_L_X2Y132 SLR_REGION_ID 0 TILEPROP INT_L_X2Y132 TILE_PATTERN_IDX 4197 TILEPROP INT_L_X2Y132 TILE_TYPE INT_L TILEPROP INT_L_X2Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y132 TILE_X -85134 TILEPROP INT_L_X2Y132 TILE_Y 186296 TILEPROP INT_L_X2Y132 TYPE INT_L TILEPROP INT_L_X2Y133 CLASS tile TILEPROP INT_L_X2Y133 COLUMN 11 TILEPROP INT_L_X2Y133 DEVICE_ID 0 TILEPROP INT_L_X2Y133 FIRST_SITE_ID 1684 TILEPROP INT_L_X2Y133 GRID_POINT_X 11 TILEPROP INT_L_X2Y133 GRID_POINT_Y 17 TILEPROP INT_L_X2Y133 INDEX 1966 TILEPROP INT_L_X2Y133 INT_TILE_X 2 TILEPROP INT_L_X2Y133 INT_TILE_Y 16 TILEPROP INT_L_X2Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y133 IS_DCM_TILE 0 TILEPROP INT_L_X2Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y133 NAME INT_L_X2Y133 TILEPROP INT_L_X2Y133 NUM_ARCS 3737 TILEPROP INT_L_X2Y133 NUM_SITES 1 TILEPROP INT_L_X2Y133 ROW 17 TILEPROP INT_L_X2Y133 SLR_REGION_ID 0 TILEPROP INT_L_X2Y133 TILE_PATTERN_IDX 4159 TILEPROP INT_L_X2Y133 TILE_TYPE INT_L TILEPROP INT_L_X2Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y133 TILE_X -85134 TILEPROP INT_L_X2Y133 TILE_Y 189496 TILEPROP INT_L_X2Y133 TYPE INT_L TILEPROP INT_L_X2Y134 CLASS tile TILEPROP INT_L_X2Y134 COLUMN 11 TILEPROP INT_L_X2Y134 DEVICE_ID 0 TILEPROP INT_L_X2Y134 FIRST_SITE_ID 1580 TILEPROP INT_L_X2Y134 GRID_POINT_X 11 TILEPROP INT_L_X2Y134 GRID_POINT_Y 16 TILEPROP INT_L_X2Y134 INDEX 1851 TILEPROP INT_L_X2Y134 INT_TILE_X 2 TILEPROP INT_L_X2Y134 INT_TILE_Y 15 TILEPROP INT_L_X2Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y134 IS_DCM_TILE 0 TILEPROP INT_L_X2Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y134 NAME INT_L_X2Y134 TILEPROP INT_L_X2Y134 NUM_ARCS 3737 TILEPROP INT_L_X2Y134 NUM_SITES 1 TILEPROP INT_L_X2Y134 ROW 16 TILEPROP INT_L_X2Y134 SLR_REGION_ID 0 TILEPROP INT_L_X2Y134 TILE_PATTERN_IDX 4120 TILEPROP INT_L_X2Y134 TILE_TYPE INT_L TILEPROP INT_L_X2Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y134 TILE_X -85134 TILEPROP INT_L_X2Y134 TILE_Y 192696 TILEPROP INT_L_X2Y134 TYPE INT_L TILEPROP INT_L_X2Y135 CLASS tile TILEPROP INT_L_X2Y135 COLUMN 11 TILEPROP INT_L_X2Y135 DEVICE_ID 0 TILEPROP INT_L_X2Y135 FIRST_SITE_ID 1472 TILEPROP INT_L_X2Y135 GRID_POINT_X 11 TILEPROP INT_L_X2Y135 GRID_POINT_Y 15 TILEPROP INT_L_X2Y135 INDEX 1736 TILEPROP INT_L_X2Y135 INT_TILE_X 2 TILEPROP INT_L_X2Y135 INT_TILE_Y 14 TILEPROP INT_L_X2Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y135 IS_DCM_TILE 0 TILEPROP INT_L_X2Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y135 NAME INT_L_X2Y135 TILEPROP INT_L_X2Y135 NUM_ARCS 3737 TILEPROP INT_L_X2Y135 NUM_SITES 1 TILEPROP INT_L_X2Y135 ROW 15 TILEPROP INT_L_X2Y135 SLR_REGION_ID 0 TILEPROP INT_L_X2Y135 TILE_PATTERN_IDX 4082 TILEPROP INT_L_X2Y135 TILE_TYPE INT_L TILEPROP INT_L_X2Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y135 TILE_X -85134 TILEPROP INT_L_X2Y135 TILE_Y 195896 TILEPROP INT_L_X2Y135 TYPE INT_L TILEPROP INT_L_X2Y136 CLASS tile TILEPROP INT_L_X2Y136 COLUMN 11 TILEPROP INT_L_X2Y136 DEVICE_ID 0 TILEPROP INT_L_X2Y136 FIRST_SITE_ID 1336 TILEPROP INT_L_X2Y136 GRID_POINT_X 11 TILEPROP INT_L_X2Y136 GRID_POINT_Y 14 TILEPROP INT_L_X2Y136 INDEX 1621 TILEPROP INT_L_X2Y136 INT_TILE_X 2 TILEPROP INT_L_X2Y136 INT_TILE_Y 13 TILEPROP INT_L_X2Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y136 IS_DCM_TILE 0 TILEPROP INT_L_X2Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y136 NAME INT_L_X2Y136 TILEPROP INT_L_X2Y136 NUM_ARCS 3737 TILEPROP INT_L_X2Y136 NUM_SITES 1 TILEPROP INT_L_X2Y136 ROW 14 TILEPROP INT_L_X2Y136 SLR_REGION_ID 0 TILEPROP INT_L_X2Y136 TILE_PATTERN_IDX 4043 TILEPROP INT_L_X2Y136 TILE_TYPE INT_L TILEPROP INT_L_X2Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y136 TILE_X -85134 TILEPROP INT_L_X2Y136 TILE_Y 199096 TILEPROP INT_L_X2Y136 TYPE INT_L TILEPROP INT_L_X2Y137 CLASS tile TILEPROP INT_L_X2Y137 COLUMN 11 TILEPROP INT_L_X2Y137 DEVICE_ID 0 TILEPROP INT_L_X2Y137 FIRST_SITE_ID 1240 TILEPROP INT_L_X2Y137 GRID_POINT_X 11 TILEPROP INT_L_X2Y137 GRID_POINT_Y 13 TILEPROP INT_L_X2Y137 INDEX 1506 TILEPROP INT_L_X2Y137 INT_TILE_X 2 TILEPROP INT_L_X2Y137 INT_TILE_Y 12 TILEPROP INT_L_X2Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y137 IS_DCM_TILE 0 TILEPROP INT_L_X2Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y137 NAME INT_L_X2Y137 TILEPROP INT_L_X2Y137 NUM_ARCS 3737 TILEPROP INT_L_X2Y137 NUM_SITES 1 TILEPROP INT_L_X2Y137 ROW 13 TILEPROP INT_L_X2Y137 SLR_REGION_ID 0 TILEPROP INT_L_X2Y137 TILE_PATTERN_IDX 4005 TILEPROP INT_L_X2Y137 TILE_TYPE INT_L TILEPROP INT_L_X2Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y137 TILE_X -85134 TILEPROP INT_L_X2Y137 TILE_Y 202296 TILEPROP INT_L_X2Y137 TYPE INT_L TILEPROP INT_L_X2Y138 CLASS tile TILEPROP INT_L_X2Y138 COLUMN 11 TILEPROP INT_L_X2Y138 DEVICE_ID 0 TILEPROP INT_L_X2Y138 FIRST_SITE_ID 1136 TILEPROP INT_L_X2Y138 GRID_POINT_X 11 TILEPROP INT_L_X2Y138 GRID_POINT_Y 12 TILEPROP INT_L_X2Y138 INDEX 1391 TILEPROP INT_L_X2Y138 INT_TILE_X 2 TILEPROP INT_L_X2Y138 INT_TILE_Y 11 TILEPROP INT_L_X2Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y138 IS_DCM_TILE 0 TILEPROP INT_L_X2Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y138 NAME INT_L_X2Y138 TILEPROP INT_L_X2Y138 NUM_ARCS 3737 TILEPROP INT_L_X2Y138 NUM_SITES 1 TILEPROP INT_L_X2Y138 ROW 12 TILEPROP INT_L_X2Y138 SLR_REGION_ID 0 TILEPROP INT_L_X2Y138 TILE_PATTERN_IDX 3966 TILEPROP INT_L_X2Y138 TILE_TYPE INT_L TILEPROP INT_L_X2Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y138 TILE_X -85134 TILEPROP INT_L_X2Y138 TILE_Y 205496 TILEPROP INT_L_X2Y138 TYPE INT_L TILEPROP INT_L_X2Y139 CLASS tile TILEPROP INT_L_X2Y139 COLUMN 11 TILEPROP INT_L_X2Y139 DEVICE_ID 0 TILEPROP INT_L_X2Y139 FIRST_SITE_ID 1040 TILEPROP INT_L_X2Y139 GRID_POINT_X 11 TILEPROP INT_L_X2Y139 GRID_POINT_Y 11 TILEPROP INT_L_X2Y139 INDEX 1276 TILEPROP INT_L_X2Y139 INT_TILE_X 2 TILEPROP INT_L_X2Y139 INT_TILE_Y 10 TILEPROP INT_L_X2Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y139 IS_DCM_TILE 0 TILEPROP INT_L_X2Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y139 NAME INT_L_X2Y139 TILEPROP INT_L_X2Y139 NUM_ARCS 3737 TILEPROP INT_L_X2Y139 NUM_SITES 1 TILEPROP INT_L_X2Y139 ROW 11 TILEPROP INT_L_X2Y139 SLR_REGION_ID 0 TILEPROP INT_L_X2Y139 TILE_PATTERN_IDX 3928 TILEPROP INT_L_X2Y139 TILE_TYPE INT_L TILEPROP INT_L_X2Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y139 TILE_X -85134 TILEPROP INT_L_X2Y139 TILE_Y 208696 TILEPROP INT_L_X2Y139 TYPE INT_L TILEPROP INT_L_X2Y140 CLASS tile TILEPROP INT_L_X2Y140 COLUMN 11 TILEPROP INT_L_X2Y140 DEVICE_ID 0 TILEPROP INT_L_X2Y140 FIRST_SITE_ID 924 TILEPROP INT_L_X2Y140 GRID_POINT_X 11 TILEPROP INT_L_X2Y140 GRID_POINT_Y 10 TILEPROP INT_L_X2Y140 INDEX 1161 TILEPROP INT_L_X2Y140 INT_TILE_X 2 TILEPROP INT_L_X2Y140 INT_TILE_Y 9 TILEPROP INT_L_X2Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y140 IS_DCM_TILE 0 TILEPROP INT_L_X2Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y140 NAME INT_L_X2Y140 TILEPROP INT_L_X2Y140 NUM_ARCS 3737 TILEPROP INT_L_X2Y140 NUM_SITES 1 TILEPROP INT_L_X2Y140 ROW 10 TILEPROP INT_L_X2Y140 SLR_REGION_ID 0 TILEPROP INT_L_X2Y140 TILE_PATTERN_IDX 3889 TILEPROP INT_L_X2Y140 TILE_TYPE INT_L TILEPROP INT_L_X2Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y140 TILE_X -85134 TILEPROP INT_L_X2Y140 TILE_Y 211896 TILEPROP INT_L_X2Y140 TYPE INT_L TILEPROP INT_L_X2Y141 CLASS tile TILEPROP INT_L_X2Y141 COLUMN 11 TILEPROP INT_L_X2Y141 DEVICE_ID 0 TILEPROP INT_L_X2Y141 FIRST_SITE_ID 828 TILEPROP INT_L_X2Y141 GRID_POINT_X 11 TILEPROP INT_L_X2Y141 GRID_POINT_Y 9 TILEPROP INT_L_X2Y141 INDEX 1046 TILEPROP INT_L_X2Y141 INT_TILE_X 2 TILEPROP INT_L_X2Y141 INT_TILE_Y 8 TILEPROP INT_L_X2Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y141 IS_DCM_TILE 0 TILEPROP INT_L_X2Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y141 NAME INT_L_X2Y141 TILEPROP INT_L_X2Y141 NUM_ARCS 3737 TILEPROP INT_L_X2Y141 NUM_SITES 1 TILEPROP INT_L_X2Y141 ROW 9 TILEPROP INT_L_X2Y141 SLR_REGION_ID 0 TILEPROP INT_L_X2Y141 TILE_PATTERN_IDX 3851 TILEPROP INT_L_X2Y141 TILE_TYPE INT_L TILEPROP INT_L_X2Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y141 TILE_X -85134 TILEPROP INT_L_X2Y141 TILE_Y 215096 TILEPROP INT_L_X2Y141 TYPE INT_L TILEPROP INT_L_X2Y142 CLASS tile TILEPROP INT_L_X2Y142 COLUMN 11 TILEPROP INT_L_X2Y142 DEVICE_ID 0 TILEPROP INT_L_X2Y142 FIRST_SITE_ID 724 TILEPROP INT_L_X2Y142 GRID_POINT_X 11 TILEPROP INT_L_X2Y142 GRID_POINT_Y 8 TILEPROP INT_L_X2Y142 INDEX 931 TILEPROP INT_L_X2Y142 INT_TILE_X 2 TILEPROP INT_L_X2Y142 INT_TILE_Y 7 TILEPROP INT_L_X2Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y142 IS_DCM_TILE 0 TILEPROP INT_L_X2Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y142 NAME INT_L_X2Y142 TILEPROP INT_L_X2Y142 NUM_ARCS 3737 TILEPROP INT_L_X2Y142 NUM_SITES 1 TILEPROP INT_L_X2Y142 ROW 8 TILEPROP INT_L_X2Y142 SLR_REGION_ID 0 TILEPROP INT_L_X2Y142 TILE_PATTERN_IDX 3812 TILEPROP INT_L_X2Y142 TILE_TYPE INT_L TILEPROP INT_L_X2Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y142 TILE_X -85134 TILEPROP INT_L_X2Y142 TILE_Y 218296 TILEPROP INT_L_X2Y142 TYPE INT_L TILEPROP INT_L_X2Y143 CLASS tile TILEPROP INT_L_X2Y143 COLUMN 11 TILEPROP INT_L_X2Y143 DEVICE_ID 0 TILEPROP INT_L_X2Y143 FIRST_SITE_ID 627 TILEPROP INT_L_X2Y143 GRID_POINT_X 11 TILEPROP INT_L_X2Y143 GRID_POINT_Y 7 TILEPROP INT_L_X2Y143 INDEX 816 TILEPROP INT_L_X2Y143 INT_TILE_X 2 TILEPROP INT_L_X2Y143 INT_TILE_Y 6 TILEPROP INT_L_X2Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y143 IS_DCM_TILE 0 TILEPROP INT_L_X2Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y143 NAME INT_L_X2Y143 TILEPROP INT_L_X2Y143 NUM_ARCS 3737 TILEPROP INT_L_X2Y143 NUM_SITES 1 TILEPROP INT_L_X2Y143 ROW 7 TILEPROP INT_L_X2Y143 SLR_REGION_ID 0 TILEPROP INT_L_X2Y143 TILE_PATTERN_IDX 3774 TILEPROP INT_L_X2Y143 TILE_TYPE INT_L TILEPROP INT_L_X2Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y143 TILE_X -85134 TILEPROP INT_L_X2Y143 TILE_Y 221496 TILEPROP INT_L_X2Y143 TYPE INT_L TILEPROP INT_L_X2Y144 CLASS tile TILEPROP INT_L_X2Y144 COLUMN 11 TILEPROP INT_L_X2Y144 DEVICE_ID 0 TILEPROP INT_L_X2Y144 FIRST_SITE_ID 516 TILEPROP INT_L_X2Y144 GRID_POINT_X 11 TILEPROP INT_L_X2Y144 GRID_POINT_Y 6 TILEPROP INT_L_X2Y144 INDEX 701 TILEPROP INT_L_X2Y144 INT_TILE_X 2 TILEPROP INT_L_X2Y144 INT_TILE_Y 5 TILEPROP INT_L_X2Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y144 IS_DCM_TILE 0 TILEPROP INT_L_X2Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y144 NAME INT_L_X2Y144 TILEPROP INT_L_X2Y144 NUM_ARCS 3737 TILEPROP INT_L_X2Y144 NUM_SITES 1 TILEPROP INT_L_X2Y144 ROW 6 TILEPROP INT_L_X2Y144 SLR_REGION_ID 0 TILEPROP INT_L_X2Y144 TILE_PATTERN_IDX 3735 TILEPROP INT_L_X2Y144 TILE_TYPE INT_L TILEPROP INT_L_X2Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y144 TILE_X -85134 TILEPROP INT_L_X2Y144 TILE_Y 224696 TILEPROP INT_L_X2Y144 TYPE INT_L TILEPROP INT_L_X2Y145 CLASS tile TILEPROP INT_L_X2Y145 COLUMN 11 TILEPROP INT_L_X2Y145 DEVICE_ID 0 TILEPROP INT_L_X2Y145 FIRST_SITE_ID 408 TILEPROP INT_L_X2Y145 GRID_POINT_X 11 TILEPROP INT_L_X2Y145 GRID_POINT_Y 5 TILEPROP INT_L_X2Y145 INDEX 586 TILEPROP INT_L_X2Y145 INT_TILE_X 2 TILEPROP INT_L_X2Y145 INT_TILE_Y 4 TILEPROP INT_L_X2Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y145 IS_DCM_TILE 0 TILEPROP INT_L_X2Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y145 NAME INT_L_X2Y145 TILEPROP INT_L_X2Y145 NUM_ARCS 3737 TILEPROP INT_L_X2Y145 NUM_SITES 1 TILEPROP INT_L_X2Y145 ROW 5 TILEPROP INT_L_X2Y145 SLR_REGION_ID 0 TILEPROP INT_L_X2Y145 TILE_PATTERN_IDX 3697 TILEPROP INT_L_X2Y145 TILE_TYPE INT_L TILEPROP INT_L_X2Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y145 TILE_X -85134 TILEPROP INT_L_X2Y145 TILE_Y 227896 TILEPROP INT_L_X2Y145 TYPE INT_L TILEPROP INT_L_X2Y146 CLASS tile TILEPROP INT_L_X2Y146 COLUMN 11 TILEPROP INT_L_X2Y146 DEVICE_ID 0 TILEPROP INT_L_X2Y146 FIRST_SITE_ID 304 TILEPROP INT_L_X2Y146 GRID_POINT_X 11 TILEPROP INT_L_X2Y146 GRID_POINT_Y 4 TILEPROP INT_L_X2Y146 INDEX 471 TILEPROP INT_L_X2Y146 INT_TILE_X 2 TILEPROP INT_L_X2Y146 INT_TILE_Y 3 TILEPROP INT_L_X2Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y146 IS_DCM_TILE 0 TILEPROP INT_L_X2Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y146 NAME INT_L_X2Y146 TILEPROP INT_L_X2Y146 NUM_ARCS 3737 TILEPROP INT_L_X2Y146 NUM_SITES 1 TILEPROP INT_L_X2Y146 ROW 4 TILEPROP INT_L_X2Y146 SLR_REGION_ID 0 TILEPROP INT_L_X2Y146 TILE_PATTERN_IDX 3658 TILEPROP INT_L_X2Y146 TILE_TYPE INT_L TILEPROP INT_L_X2Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y146 TILE_X -85134 TILEPROP INT_L_X2Y146 TILE_Y 231096 TILEPROP INT_L_X2Y146 TYPE INT_L TILEPROP INT_L_X2Y147 CLASS tile TILEPROP INT_L_X2Y147 COLUMN 11 TILEPROP INT_L_X2Y147 DEVICE_ID 0 TILEPROP INT_L_X2Y147 FIRST_SITE_ID 208 TILEPROP INT_L_X2Y147 GRID_POINT_X 11 TILEPROP INT_L_X2Y147 GRID_POINT_Y 3 TILEPROP INT_L_X2Y147 INDEX 356 TILEPROP INT_L_X2Y147 INT_TILE_X 2 TILEPROP INT_L_X2Y147 INT_TILE_Y 2 TILEPROP INT_L_X2Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y147 IS_DCM_TILE 0 TILEPROP INT_L_X2Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y147 NAME INT_L_X2Y147 TILEPROP INT_L_X2Y147 NUM_ARCS 3737 TILEPROP INT_L_X2Y147 NUM_SITES 1 TILEPROP INT_L_X2Y147 ROW 3 TILEPROP INT_L_X2Y147 SLR_REGION_ID 0 TILEPROP INT_L_X2Y147 TILE_PATTERN_IDX 3620 TILEPROP INT_L_X2Y147 TILE_TYPE INT_L TILEPROP INT_L_X2Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y147 TILE_X -85134 TILEPROP INT_L_X2Y147 TILE_Y 234296 TILEPROP INT_L_X2Y147 TYPE INT_L TILEPROP INT_L_X2Y148 CLASS tile TILEPROP INT_L_X2Y148 COLUMN 11 TILEPROP INT_L_X2Y148 DEVICE_ID 0 TILEPROP INT_L_X2Y148 FIRST_SITE_ID 104 TILEPROP INT_L_X2Y148 GRID_POINT_X 11 TILEPROP INT_L_X2Y148 GRID_POINT_Y 2 TILEPROP INT_L_X2Y148 INDEX 241 TILEPROP INT_L_X2Y148 INT_TILE_X 2 TILEPROP INT_L_X2Y148 INT_TILE_Y 1 TILEPROP INT_L_X2Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y148 IS_DCM_TILE 0 TILEPROP INT_L_X2Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y148 NAME INT_L_X2Y148 TILEPROP INT_L_X2Y148 NUM_ARCS 3737 TILEPROP INT_L_X2Y148 NUM_SITES 1 TILEPROP INT_L_X2Y148 ROW 2 TILEPROP INT_L_X2Y148 SLR_REGION_ID 0 TILEPROP INT_L_X2Y148 TILE_PATTERN_IDX 3581 TILEPROP INT_L_X2Y148 TILE_TYPE INT_L TILEPROP INT_L_X2Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y148 TILE_X -85134 TILEPROP INT_L_X2Y148 TILE_Y 237496 TILEPROP INT_L_X2Y148 TYPE INT_L TILEPROP INT_L_X2Y149 CLASS tile TILEPROP INT_L_X2Y149 COLUMN 11 TILEPROP INT_L_X2Y149 DEVICE_ID 0 TILEPROP INT_L_X2Y149 FIRST_SITE_ID 8 TILEPROP INT_L_X2Y149 GRID_POINT_X 11 TILEPROP INT_L_X2Y149 GRID_POINT_Y 1 TILEPROP INT_L_X2Y149 INDEX 126 TILEPROP INT_L_X2Y149 INT_TILE_X 2 TILEPROP INT_L_X2Y149 INT_TILE_Y 0 TILEPROP INT_L_X2Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X2Y149 IS_DCM_TILE 0 TILEPROP INT_L_X2Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X2Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X2Y149 NAME INT_L_X2Y149 TILEPROP INT_L_X2Y149 NUM_ARCS 3737 TILEPROP INT_L_X2Y149 NUM_SITES 1 TILEPROP INT_L_X2Y149 ROW 1 TILEPROP INT_L_X2Y149 SLR_REGION_ID 0 TILEPROP INT_L_X2Y149 TILE_PATTERN_IDX 3543 TILEPROP INT_L_X2Y149 TILE_TYPE INT_L TILEPROP INT_L_X2Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X2Y149 TILE_X -85134 TILEPROP INT_L_X2Y149 TILE_Y 240696 TILEPROP INT_L_X2Y149 TYPE INT_L TILEPROP INT_L_X30Y0 CLASS tile TILEPROP INT_L_X30Y0 COLUMN 77 TILEPROP INT_L_X30Y0 DEVICE_ID 0 TILEPROP INT_L_X30Y0 FIRST_SITE_ID 15775 TILEPROP INT_L_X30Y0 GRID_POINT_X 77 TILEPROP INT_L_X30Y0 GRID_POINT_Y 155 TILEPROP INT_L_X30Y0 INDEX 17902 TILEPROP INT_L_X30Y0 INT_TILE_X 30 TILEPROP INT_L_X30Y0 INT_TILE_Y 149 TILEPROP INT_L_X30Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y0 IS_DCM_TILE 0 TILEPROP INT_L_X30Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y0 NAME INT_L_X30Y0 TILEPROP INT_L_X30Y0 NUM_ARCS 3737 TILEPROP INT_L_X30Y0 NUM_SITES 1 TILEPROP INT_L_X30Y0 ROW 155 TILEPROP INT_L_X30Y0 SLR_REGION_ID 0 TILEPROP INT_L_X30Y0 TILE_PATTERN_IDX 8757 TILEPROP INT_L_X30Y0 TILE_TYPE INT_L TILEPROP INT_L_X30Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y0 TILE_X 41784 TILEPROP INT_L_X30Y0 TILE_Y -239672 TILEPROP INT_L_X30Y0 TYPE INT_L TILEPROP INT_L_X30Y1 CLASS tile TILEPROP INT_L_X30Y1 COLUMN 77 TILEPROP INT_L_X30Y1 DEVICE_ID 0 TILEPROP INT_L_X30Y1 FIRST_SITE_ID 15662 TILEPROP INT_L_X30Y1 GRID_POINT_X 77 TILEPROP INT_L_X30Y1 GRID_POINT_Y 154 TILEPROP INT_L_X30Y1 INDEX 17787 TILEPROP INT_L_X30Y1 INT_TILE_X 30 TILEPROP INT_L_X30Y1 INT_TILE_Y 148 TILEPROP INT_L_X30Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y1 IS_DCM_TILE 0 TILEPROP INT_L_X30Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y1 NAME INT_L_X30Y1 TILEPROP INT_L_X30Y1 NUM_ARCS 3737 TILEPROP INT_L_X30Y1 NUM_SITES 1 TILEPROP INT_L_X30Y1 ROW 154 TILEPROP INT_L_X30Y1 SLR_REGION_ID 0 TILEPROP INT_L_X30Y1 TILE_PATTERN_IDX 8726 TILEPROP INT_L_X30Y1 TILE_TYPE INT_L TILEPROP INT_L_X30Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y1 TILE_X 41784 TILEPROP INT_L_X30Y1 TILE_Y -236472 TILEPROP INT_L_X30Y1 TYPE INT_L TILEPROP INT_L_X30Y2 CLASS tile TILEPROP INT_L_X30Y2 COLUMN 77 TILEPROP INT_L_X30Y2 DEVICE_ID 0 TILEPROP INT_L_X30Y2 FIRST_SITE_ID 15561 TILEPROP INT_L_X30Y2 GRID_POINT_X 77 TILEPROP INT_L_X30Y2 GRID_POINT_Y 153 TILEPROP INT_L_X30Y2 INDEX 17672 TILEPROP INT_L_X30Y2 INT_TILE_X 30 TILEPROP INT_L_X30Y2 INT_TILE_Y 147 TILEPROP INT_L_X30Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y2 IS_DCM_TILE 0 TILEPROP INT_L_X30Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y2 NAME INT_L_X30Y2 TILEPROP INT_L_X30Y2 NUM_ARCS 3737 TILEPROP INT_L_X30Y2 NUM_SITES 1 TILEPROP INT_L_X30Y2 ROW 153 TILEPROP INT_L_X30Y2 SLR_REGION_ID 0 TILEPROP INT_L_X30Y2 TILE_PATTERN_IDX 8696 TILEPROP INT_L_X30Y2 TILE_TYPE INT_L TILEPROP INT_L_X30Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y2 TILE_X 41784 TILEPROP INT_L_X30Y2 TILE_Y -233272 TILEPROP INT_L_X30Y2 TYPE INT_L TILEPROP INT_L_X30Y3 CLASS tile TILEPROP INT_L_X30Y3 COLUMN 77 TILEPROP INT_L_X30Y3 DEVICE_ID 0 TILEPROP INT_L_X30Y3 FIRST_SITE_ID 15461 TILEPROP INT_L_X30Y3 GRID_POINT_X 77 TILEPROP INT_L_X30Y3 GRID_POINT_Y 152 TILEPROP INT_L_X30Y3 INDEX 17557 TILEPROP INT_L_X30Y3 INT_TILE_X 30 TILEPROP INT_L_X30Y3 INT_TILE_Y 146 TILEPROP INT_L_X30Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y3 IS_DCM_TILE 0 TILEPROP INT_L_X30Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y3 NAME INT_L_X30Y3 TILEPROP INT_L_X30Y3 NUM_ARCS 3737 TILEPROP INT_L_X30Y3 NUM_SITES 1 TILEPROP INT_L_X30Y3 ROW 152 TILEPROP INT_L_X30Y3 SLR_REGION_ID 0 TILEPROP INT_L_X30Y3 TILE_PATTERN_IDX 8665 TILEPROP INT_L_X30Y3 TILE_TYPE INT_L TILEPROP INT_L_X30Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y3 TILE_X 41784 TILEPROP INT_L_X30Y3 TILE_Y -230072 TILEPROP INT_L_X30Y3 TYPE INT_L TILEPROP INT_L_X30Y4 CLASS tile TILEPROP INT_L_X30Y4 COLUMN 77 TILEPROP INT_L_X30Y4 DEVICE_ID 0 TILEPROP INT_L_X30Y4 FIRST_SITE_ID 15361 TILEPROP INT_L_X30Y4 GRID_POINT_X 77 TILEPROP INT_L_X30Y4 GRID_POINT_Y 151 TILEPROP INT_L_X30Y4 INDEX 17442 TILEPROP INT_L_X30Y4 INT_TILE_X 30 TILEPROP INT_L_X30Y4 INT_TILE_Y 145 TILEPROP INT_L_X30Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y4 IS_DCM_TILE 0 TILEPROP INT_L_X30Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y4 NAME INT_L_X30Y4 TILEPROP INT_L_X30Y4 NUM_ARCS 3737 TILEPROP INT_L_X30Y4 NUM_SITES 1 TILEPROP INT_L_X30Y4 ROW 151 TILEPROP INT_L_X30Y4 SLR_REGION_ID 0 TILEPROP INT_L_X30Y4 TILE_PATTERN_IDX 8635 TILEPROP INT_L_X30Y4 TILE_TYPE INT_L TILEPROP INT_L_X30Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y4 TILE_X 41784 TILEPROP INT_L_X30Y4 TILE_Y -226872 TILEPROP INT_L_X30Y4 TYPE INT_L TILEPROP INT_L_X30Y5 CLASS tile TILEPROP INT_L_X30Y5 COLUMN 77 TILEPROP INT_L_X30Y5 DEVICE_ID 0 TILEPROP INT_L_X30Y5 FIRST_SITE_ID 15255 TILEPROP INT_L_X30Y5 GRID_POINT_X 77 TILEPROP INT_L_X30Y5 GRID_POINT_Y 150 TILEPROP INT_L_X30Y5 INDEX 17327 TILEPROP INT_L_X30Y5 INT_TILE_X 30 TILEPROP INT_L_X30Y5 INT_TILE_Y 144 TILEPROP INT_L_X30Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y5 IS_DCM_TILE 0 TILEPROP INT_L_X30Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y5 NAME INT_L_X30Y5 TILEPROP INT_L_X30Y5 NUM_ARCS 3737 TILEPROP INT_L_X30Y5 NUM_SITES 1 TILEPROP INT_L_X30Y5 ROW 150 TILEPROP INT_L_X30Y5 SLR_REGION_ID 0 TILEPROP INT_L_X30Y5 TILE_PATTERN_IDX 8604 TILEPROP INT_L_X30Y5 TILE_TYPE INT_L TILEPROP INT_L_X30Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y5 TILE_X 41784 TILEPROP INT_L_X30Y5 TILE_Y -223672 TILEPROP INT_L_X30Y5 TYPE INT_L TILEPROP INT_L_X30Y6 CLASS tile TILEPROP INT_L_X30Y6 COLUMN 77 TILEPROP INT_L_X30Y6 DEVICE_ID 0 TILEPROP INT_L_X30Y6 FIRST_SITE_ID 15146 TILEPROP INT_L_X30Y6 GRID_POINT_X 77 TILEPROP INT_L_X30Y6 GRID_POINT_Y 149 TILEPROP INT_L_X30Y6 INDEX 17212 TILEPROP INT_L_X30Y6 INT_TILE_X 30 TILEPROP INT_L_X30Y6 INT_TILE_Y 143 TILEPROP INT_L_X30Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y6 IS_DCM_TILE 0 TILEPROP INT_L_X30Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y6 NAME INT_L_X30Y6 TILEPROP INT_L_X30Y6 NUM_ARCS 3737 TILEPROP INT_L_X30Y6 NUM_SITES 1 TILEPROP INT_L_X30Y6 ROW 149 TILEPROP INT_L_X30Y6 SLR_REGION_ID 0 TILEPROP INT_L_X30Y6 TILE_PATTERN_IDX 8574 TILEPROP INT_L_X30Y6 TILE_TYPE INT_L TILEPROP INT_L_X30Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y6 TILE_X 41784 TILEPROP INT_L_X30Y6 TILE_Y -220472 TILEPROP INT_L_X30Y6 TYPE INT_L TILEPROP INT_L_X30Y7 CLASS tile TILEPROP INT_L_X30Y7 COLUMN 77 TILEPROP INT_L_X30Y7 DEVICE_ID 0 TILEPROP INT_L_X30Y7 FIRST_SITE_ID 15044 TILEPROP INT_L_X30Y7 GRID_POINT_X 77 TILEPROP INT_L_X30Y7 GRID_POINT_Y 148 TILEPROP INT_L_X30Y7 INDEX 17097 TILEPROP INT_L_X30Y7 INT_TILE_X 30 TILEPROP INT_L_X30Y7 INT_TILE_Y 142 TILEPROP INT_L_X30Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y7 IS_DCM_TILE 0 TILEPROP INT_L_X30Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y7 NAME INT_L_X30Y7 TILEPROP INT_L_X30Y7 NUM_ARCS 3737 TILEPROP INT_L_X30Y7 NUM_SITES 1 TILEPROP INT_L_X30Y7 ROW 148 TILEPROP INT_L_X30Y7 SLR_REGION_ID 0 TILEPROP INT_L_X30Y7 TILE_PATTERN_IDX 8543 TILEPROP INT_L_X30Y7 TILE_TYPE INT_L TILEPROP INT_L_X30Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y7 TILE_X 41784 TILEPROP INT_L_X30Y7 TILE_Y -217272 TILEPROP INT_L_X30Y7 TYPE INT_L TILEPROP INT_L_X30Y8 CLASS tile TILEPROP INT_L_X30Y8 COLUMN 77 TILEPROP INT_L_X30Y8 DEVICE_ID 0 TILEPROP INT_L_X30Y8 FIRST_SITE_ID 14941 TILEPROP INT_L_X30Y8 GRID_POINT_X 77 TILEPROP INT_L_X30Y8 GRID_POINT_Y 147 TILEPROP INT_L_X30Y8 INDEX 16982 TILEPROP INT_L_X30Y8 INT_TILE_X 30 TILEPROP INT_L_X30Y8 INT_TILE_Y 141 TILEPROP INT_L_X30Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y8 IS_DCM_TILE 0 TILEPROP INT_L_X30Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y8 NAME INT_L_X30Y8 TILEPROP INT_L_X30Y8 NUM_ARCS 3737 TILEPROP INT_L_X30Y8 NUM_SITES 1 TILEPROP INT_L_X30Y8 ROW 147 TILEPROP INT_L_X30Y8 SLR_REGION_ID 0 TILEPROP INT_L_X30Y8 TILE_PATTERN_IDX 8513 TILEPROP INT_L_X30Y8 TILE_TYPE INT_L TILEPROP INT_L_X30Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y8 TILE_X 41784 TILEPROP INT_L_X30Y8 TILE_Y -214072 TILEPROP INT_L_X30Y8 TYPE INT_L TILEPROP INT_L_X30Y9 CLASS tile TILEPROP INT_L_X30Y9 COLUMN 77 TILEPROP INT_L_X30Y9 DEVICE_ID 0 TILEPROP INT_L_X30Y9 FIRST_SITE_ID 14840 TILEPROP INT_L_X30Y9 GRID_POINT_X 77 TILEPROP INT_L_X30Y9 GRID_POINT_Y 146 TILEPROP INT_L_X30Y9 INDEX 16867 TILEPROP INT_L_X30Y9 INT_TILE_X 30 TILEPROP INT_L_X30Y9 INT_TILE_Y 140 TILEPROP INT_L_X30Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y9 IS_DCM_TILE 0 TILEPROP INT_L_X30Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y9 NAME INT_L_X30Y9 TILEPROP INT_L_X30Y9 NUM_ARCS 3737 TILEPROP INT_L_X30Y9 NUM_SITES 1 TILEPROP INT_L_X30Y9 ROW 146 TILEPROP INT_L_X30Y9 SLR_REGION_ID 0 TILEPROP INT_L_X30Y9 TILE_PATTERN_IDX 8482 TILEPROP INT_L_X30Y9 TILE_TYPE INT_L TILEPROP INT_L_X30Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y9 TILE_X 41784 TILEPROP INT_L_X30Y9 TILE_Y -210872 TILEPROP INT_L_X30Y9 TYPE INT_L TILEPROP INT_L_X30Y10 CLASS tile TILEPROP INT_L_X30Y10 COLUMN 77 TILEPROP INT_L_X30Y10 DEVICE_ID 0 TILEPROP INT_L_X30Y10 FIRST_SITE_ID 14734 TILEPROP INT_L_X30Y10 GRID_POINT_X 77 TILEPROP INT_L_X30Y10 GRID_POINT_Y 145 TILEPROP INT_L_X30Y10 INDEX 16752 TILEPROP INT_L_X30Y10 INT_TILE_X 30 TILEPROP INT_L_X30Y10 INT_TILE_Y 139 TILEPROP INT_L_X30Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y10 IS_DCM_TILE 0 TILEPROP INT_L_X30Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y10 NAME INT_L_X30Y10 TILEPROP INT_L_X30Y10 NUM_ARCS 3737 TILEPROP INT_L_X30Y10 NUM_SITES 1 TILEPROP INT_L_X30Y10 ROW 145 TILEPROP INT_L_X30Y10 SLR_REGION_ID 0 TILEPROP INT_L_X30Y10 TILE_PATTERN_IDX 8452 TILEPROP INT_L_X30Y10 TILE_TYPE INT_L TILEPROP INT_L_X30Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y10 TILE_X 41784 TILEPROP INT_L_X30Y10 TILE_Y -207672 TILEPROP INT_L_X30Y10 TYPE INT_L TILEPROP INT_L_X30Y11 CLASS tile TILEPROP INT_L_X30Y11 COLUMN 77 TILEPROP INT_L_X30Y11 DEVICE_ID 0 TILEPROP INT_L_X30Y11 FIRST_SITE_ID 14625 TILEPROP INT_L_X30Y11 GRID_POINT_X 77 TILEPROP INT_L_X30Y11 GRID_POINT_Y 144 TILEPROP INT_L_X30Y11 INDEX 16637 TILEPROP INT_L_X30Y11 INT_TILE_X 30 TILEPROP INT_L_X30Y11 INT_TILE_Y 138 TILEPROP INT_L_X30Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y11 IS_DCM_TILE 0 TILEPROP INT_L_X30Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y11 NAME INT_L_X30Y11 TILEPROP INT_L_X30Y11 NUM_ARCS 3737 TILEPROP INT_L_X30Y11 NUM_SITES 1 TILEPROP INT_L_X30Y11 ROW 144 TILEPROP INT_L_X30Y11 SLR_REGION_ID 0 TILEPROP INT_L_X30Y11 TILE_PATTERN_IDX 8421 TILEPROP INT_L_X30Y11 TILE_TYPE INT_L TILEPROP INT_L_X30Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y11 TILE_X 41784 TILEPROP INT_L_X30Y11 TILE_Y -204472 TILEPROP INT_L_X30Y11 TYPE INT_L TILEPROP INT_L_X30Y12 CLASS tile TILEPROP INT_L_X30Y12 COLUMN 77 TILEPROP INT_L_X30Y12 DEVICE_ID 0 TILEPROP INT_L_X30Y12 FIRST_SITE_ID 14525 TILEPROP INT_L_X30Y12 GRID_POINT_X 77 TILEPROP INT_L_X30Y12 GRID_POINT_Y 143 TILEPROP INT_L_X30Y12 INDEX 16522 TILEPROP INT_L_X30Y12 INT_TILE_X 30 TILEPROP INT_L_X30Y12 INT_TILE_Y 137 TILEPROP INT_L_X30Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y12 IS_DCM_TILE 0 TILEPROP INT_L_X30Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y12 NAME INT_L_X30Y12 TILEPROP INT_L_X30Y12 NUM_ARCS 3737 TILEPROP INT_L_X30Y12 NUM_SITES 1 TILEPROP INT_L_X30Y12 ROW 143 TILEPROP INT_L_X30Y12 SLR_REGION_ID 0 TILEPROP INT_L_X30Y12 TILE_PATTERN_IDX 8391 TILEPROP INT_L_X30Y12 TILE_TYPE INT_L TILEPROP INT_L_X30Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y12 TILE_X 41784 TILEPROP INT_L_X30Y12 TILE_Y -201272 TILEPROP INT_L_X30Y12 TYPE INT_L TILEPROP INT_L_X30Y13 CLASS tile TILEPROP INT_L_X30Y13 COLUMN 77 TILEPROP INT_L_X30Y13 DEVICE_ID 0 TILEPROP INT_L_X30Y13 FIRST_SITE_ID 14393 TILEPROP INT_L_X30Y13 GRID_POINT_X 77 TILEPROP INT_L_X30Y13 GRID_POINT_Y 142 TILEPROP INT_L_X30Y13 INDEX 16407 TILEPROP INT_L_X30Y13 INT_TILE_X 30 TILEPROP INT_L_X30Y13 INT_TILE_Y 136 TILEPROP INT_L_X30Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y13 IS_DCM_TILE 0 TILEPROP INT_L_X30Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y13 NAME INT_L_X30Y13 TILEPROP INT_L_X30Y13 NUM_ARCS 3737 TILEPROP INT_L_X30Y13 NUM_SITES 1 TILEPROP INT_L_X30Y13 ROW 142 TILEPROP INT_L_X30Y13 SLR_REGION_ID 0 TILEPROP INT_L_X30Y13 TILE_PATTERN_IDX 8360 TILEPROP INT_L_X30Y13 TILE_TYPE INT_L TILEPROP INT_L_X30Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y13 TILE_X 41784 TILEPROP INT_L_X30Y13 TILE_Y -198072 TILEPROP INT_L_X30Y13 TYPE INT_L TILEPROP INT_L_X30Y14 CLASS tile TILEPROP INT_L_X30Y14 COLUMN 77 TILEPROP INT_L_X30Y14 DEVICE_ID 0 TILEPROP INT_L_X30Y14 FIRST_SITE_ID 14293 TILEPROP INT_L_X30Y14 GRID_POINT_X 77 TILEPROP INT_L_X30Y14 GRID_POINT_Y 141 TILEPROP INT_L_X30Y14 INDEX 16292 TILEPROP INT_L_X30Y14 INT_TILE_X 30 TILEPROP INT_L_X30Y14 INT_TILE_Y 135 TILEPROP INT_L_X30Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y14 IS_DCM_TILE 0 TILEPROP INT_L_X30Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y14 NAME INT_L_X30Y14 TILEPROP INT_L_X30Y14 NUM_ARCS 3737 TILEPROP INT_L_X30Y14 NUM_SITES 1 TILEPROP INT_L_X30Y14 ROW 141 TILEPROP INT_L_X30Y14 SLR_REGION_ID 0 TILEPROP INT_L_X30Y14 TILE_PATTERN_IDX 8330 TILEPROP INT_L_X30Y14 TILE_TYPE INT_L TILEPROP INT_L_X30Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y14 TILE_X 41784 TILEPROP INT_L_X30Y14 TILE_Y -194872 TILEPROP INT_L_X30Y14 TYPE INT_L TILEPROP INT_L_X30Y15 CLASS tile TILEPROP INT_L_X30Y15 COLUMN 77 TILEPROP INT_L_X30Y15 DEVICE_ID 0 TILEPROP INT_L_X30Y15 FIRST_SITE_ID 14187 TILEPROP INT_L_X30Y15 GRID_POINT_X 77 TILEPROP INT_L_X30Y15 GRID_POINT_Y 140 TILEPROP INT_L_X30Y15 INDEX 16177 TILEPROP INT_L_X30Y15 INT_TILE_X 30 TILEPROP INT_L_X30Y15 INT_TILE_Y 134 TILEPROP INT_L_X30Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y15 IS_DCM_TILE 0 TILEPROP INT_L_X30Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y15 NAME INT_L_X30Y15 TILEPROP INT_L_X30Y15 NUM_ARCS 3737 TILEPROP INT_L_X30Y15 NUM_SITES 1 TILEPROP INT_L_X30Y15 ROW 140 TILEPROP INT_L_X30Y15 SLR_REGION_ID 0 TILEPROP INT_L_X30Y15 TILE_PATTERN_IDX 8299 TILEPROP INT_L_X30Y15 TILE_TYPE INT_L TILEPROP INT_L_X30Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y15 TILE_X 41784 TILEPROP INT_L_X30Y15 TILE_Y -191672 TILEPROP INT_L_X30Y15 TYPE INT_L TILEPROP INT_L_X30Y16 CLASS tile TILEPROP INT_L_X30Y16 COLUMN 77 TILEPROP INT_L_X30Y16 DEVICE_ID 0 TILEPROP INT_L_X30Y16 FIRST_SITE_ID 14078 TILEPROP INT_L_X30Y16 GRID_POINT_X 77 TILEPROP INT_L_X30Y16 GRID_POINT_Y 139 TILEPROP INT_L_X30Y16 INDEX 16062 TILEPROP INT_L_X30Y16 INT_TILE_X 30 TILEPROP INT_L_X30Y16 INT_TILE_Y 133 TILEPROP INT_L_X30Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y16 IS_DCM_TILE 0 TILEPROP INT_L_X30Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y16 NAME INT_L_X30Y16 TILEPROP INT_L_X30Y16 NUM_ARCS 3737 TILEPROP INT_L_X30Y16 NUM_SITES 1 TILEPROP INT_L_X30Y16 ROW 139 TILEPROP INT_L_X30Y16 SLR_REGION_ID 0 TILEPROP INT_L_X30Y16 TILE_PATTERN_IDX 8269 TILEPROP INT_L_X30Y16 TILE_TYPE INT_L TILEPROP INT_L_X30Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y16 TILE_X 41784 TILEPROP INT_L_X30Y16 TILE_Y -188472 TILEPROP INT_L_X30Y16 TYPE INT_L TILEPROP INT_L_X30Y17 CLASS tile TILEPROP INT_L_X30Y17 COLUMN 77 TILEPROP INT_L_X30Y17 DEVICE_ID 0 TILEPROP INT_L_X30Y17 FIRST_SITE_ID 13974 TILEPROP INT_L_X30Y17 GRID_POINT_X 77 TILEPROP INT_L_X30Y17 GRID_POINT_Y 138 TILEPROP INT_L_X30Y17 INDEX 15947 TILEPROP INT_L_X30Y17 INT_TILE_X 30 TILEPROP INT_L_X30Y17 INT_TILE_Y 132 TILEPROP INT_L_X30Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y17 IS_DCM_TILE 0 TILEPROP INT_L_X30Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y17 NAME INT_L_X30Y17 TILEPROP INT_L_X30Y17 NUM_ARCS 3737 TILEPROP INT_L_X30Y17 NUM_SITES 1 TILEPROP INT_L_X30Y17 ROW 138 TILEPROP INT_L_X30Y17 SLR_REGION_ID 0 TILEPROP INT_L_X30Y17 TILE_PATTERN_IDX 8237 TILEPROP INT_L_X30Y17 TILE_TYPE INT_L TILEPROP INT_L_X30Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y17 TILE_X 41784 TILEPROP INT_L_X30Y17 TILE_Y -185272 TILEPROP INT_L_X30Y17 TYPE INT_L TILEPROP INT_L_X30Y18 CLASS tile TILEPROP INT_L_X30Y18 COLUMN 77 TILEPROP INT_L_X30Y18 DEVICE_ID 0 TILEPROP INT_L_X30Y18 FIRST_SITE_ID 13869 TILEPROP INT_L_X30Y18 GRID_POINT_X 77 TILEPROP INT_L_X30Y18 GRID_POINT_Y 137 TILEPROP INT_L_X30Y18 INDEX 15832 TILEPROP INT_L_X30Y18 INT_TILE_X 30 TILEPROP INT_L_X30Y18 INT_TILE_Y 131 TILEPROP INT_L_X30Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y18 IS_DCM_TILE 0 TILEPROP INT_L_X30Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y18 NAME INT_L_X30Y18 TILEPROP INT_L_X30Y18 NUM_ARCS 3737 TILEPROP INT_L_X30Y18 NUM_SITES 1 TILEPROP INT_L_X30Y18 ROW 137 TILEPROP INT_L_X30Y18 SLR_REGION_ID 0 TILEPROP INT_L_X30Y18 TILE_PATTERN_IDX 6563 TILEPROP INT_L_X30Y18 TILE_TYPE INT_L TILEPROP INT_L_X30Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y18 TILE_X 41784 TILEPROP INT_L_X30Y18 TILE_Y -182072 TILEPROP INT_L_X30Y18 TYPE INT_L TILEPROP INT_L_X30Y19 CLASS tile TILEPROP INT_L_X30Y19 COLUMN 77 TILEPROP INT_L_X30Y19 DEVICE_ID 0 TILEPROP INT_L_X30Y19 FIRST_SITE_ID 13767 TILEPROP INT_L_X30Y19 GRID_POINT_X 77 TILEPROP INT_L_X30Y19 GRID_POINT_Y 136 TILEPROP INT_L_X30Y19 INDEX 15717 TILEPROP INT_L_X30Y19 INT_TILE_X 30 TILEPROP INT_L_X30Y19 INT_TILE_Y 130 TILEPROP INT_L_X30Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y19 IS_DCM_TILE 0 TILEPROP INT_L_X30Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y19 NAME INT_L_X30Y19 TILEPROP INT_L_X30Y19 NUM_ARCS 3737 TILEPROP INT_L_X30Y19 NUM_SITES 1 TILEPROP INT_L_X30Y19 ROW 136 TILEPROP INT_L_X30Y19 SLR_REGION_ID 0 TILEPROP INT_L_X30Y19 TILE_PATTERN_IDX 6532 TILEPROP INT_L_X30Y19 TILE_TYPE INT_L TILEPROP INT_L_X30Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y19 TILE_X 41784 TILEPROP INT_L_X30Y19 TILE_Y -178872 TILEPROP INT_L_X30Y19 TYPE INT_L TILEPROP INT_L_X30Y20 CLASS tile TILEPROP INT_L_X30Y20 COLUMN 77 TILEPROP INT_L_X30Y20 DEVICE_ID 0 TILEPROP INT_L_X30Y20 FIRST_SITE_ID 13659 TILEPROP INT_L_X30Y20 GRID_POINT_X 77 TILEPROP INT_L_X30Y20 GRID_POINT_Y 135 TILEPROP INT_L_X30Y20 INDEX 15602 TILEPROP INT_L_X30Y20 INT_TILE_X 30 TILEPROP INT_L_X30Y20 INT_TILE_Y 129 TILEPROP INT_L_X30Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y20 IS_DCM_TILE 0 TILEPROP INT_L_X30Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y20 NAME INT_L_X30Y20 TILEPROP INT_L_X30Y20 NUM_ARCS 3737 TILEPROP INT_L_X30Y20 NUM_SITES 1 TILEPROP INT_L_X30Y20 ROW 135 TILEPROP INT_L_X30Y20 SLR_REGION_ID 0 TILEPROP INT_L_X30Y20 TILE_PATTERN_IDX 6501 TILEPROP INT_L_X30Y20 TILE_TYPE INT_L TILEPROP INT_L_X30Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y20 TILE_X 41784 TILEPROP INT_L_X30Y20 TILE_Y -175672 TILEPROP INT_L_X30Y20 TYPE INT_L TILEPROP INT_L_X30Y21 CLASS tile TILEPROP INT_L_X30Y21 COLUMN 77 TILEPROP INT_L_X30Y21 DEVICE_ID 0 TILEPROP INT_L_X30Y21 FIRST_SITE_ID 13550 TILEPROP INT_L_X30Y21 GRID_POINT_X 77 TILEPROP INT_L_X30Y21 GRID_POINT_Y 134 TILEPROP INT_L_X30Y21 INDEX 15487 TILEPROP INT_L_X30Y21 INT_TILE_X 30 TILEPROP INT_L_X30Y21 INT_TILE_Y 128 TILEPROP INT_L_X30Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y21 IS_DCM_TILE 0 TILEPROP INT_L_X30Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y21 NAME INT_L_X30Y21 TILEPROP INT_L_X30Y21 NUM_ARCS 3737 TILEPROP INT_L_X30Y21 NUM_SITES 1 TILEPROP INT_L_X30Y21 ROW 134 TILEPROP INT_L_X30Y21 SLR_REGION_ID 0 TILEPROP INT_L_X30Y21 TILE_PATTERN_IDX 8140 TILEPROP INT_L_X30Y21 TILE_TYPE INT_L TILEPROP INT_L_X30Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y21 TILE_X 41784 TILEPROP INT_L_X30Y21 TILE_Y -172472 TILEPROP INT_L_X30Y21 TYPE INT_L TILEPROP INT_L_X30Y22 CLASS tile TILEPROP INT_L_X30Y22 COLUMN 77 TILEPROP INT_L_X30Y22 DEVICE_ID 0 TILEPROP INT_L_X30Y22 FIRST_SITE_ID 13450 TILEPROP INT_L_X30Y22 GRID_POINT_X 77 TILEPROP INT_L_X30Y22 GRID_POINT_Y 133 TILEPROP INT_L_X30Y22 INDEX 15372 TILEPROP INT_L_X30Y22 INT_TILE_X 30 TILEPROP INT_L_X30Y22 INT_TILE_Y 127 TILEPROP INT_L_X30Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y22 IS_DCM_TILE 0 TILEPROP INT_L_X30Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y22 NAME INT_L_X30Y22 TILEPROP INT_L_X30Y22 NUM_ARCS 3737 TILEPROP INT_L_X30Y22 NUM_SITES 1 TILEPROP INT_L_X30Y22 ROW 133 TILEPROP INT_L_X30Y22 SLR_REGION_ID 0 TILEPROP INT_L_X30Y22 TILE_PATTERN_IDX 8112 TILEPROP INT_L_X30Y22 TILE_TYPE INT_L TILEPROP INT_L_X30Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y22 TILE_X 41784 TILEPROP INT_L_X30Y22 TILE_Y -169272 TILEPROP INT_L_X30Y22 TYPE INT_L TILEPROP INT_L_X30Y23 CLASS tile TILEPROP INT_L_X30Y23 COLUMN 77 TILEPROP INT_L_X30Y23 DEVICE_ID 0 TILEPROP INT_L_X30Y23 FIRST_SITE_ID 13350 TILEPROP INT_L_X30Y23 GRID_POINT_X 77 TILEPROP INT_L_X30Y23 GRID_POINT_Y 132 TILEPROP INT_L_X30Y23 INDEX 15257 TILEPROP INT_L_X30Y23 INT_TILE_X 30 TILEPROP INT_L_X30Y23 INT_TILE_Y 126 TILEPROP INT_L_X30Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y23 IS_DCM_TILE 0 TILEPROP INT_L_X30Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y23 NAME INT_L_X30Y23 TILEPROP INT_L_X30Y23 NUM_ARCS 3737 TILEPROP INT_L_X30Y23 NUM_SITES 1 TILEPROP INT_L_X30Y23 ROW 132 TILEPROP INT_L_X30Y23 SLR_REGION_ID 0 TILEPROP INT_L_X30Y23 TILE_PATTERN_IDX 8083 TILEPROP INT_L_X30Y23 TILE_TYPE INT_L TILEPROP INT_L_X30Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y23 TILE_X 41784 TILEPROP INT_L_X30Y23 TILE_Y -166072 TILEPROP INT_L_X30Y23 TYPE INT_L TILEPROP INT_L_X30Y24 CLASS tile TILEPROP INT_L_X30Y24 COLUMN 77 TILEPROP INT_L_X30Y24 DEVICE_ID 0 TILEPROP INT_L_X30Y24 FIRST_SITE_ID 13250 TILEPROP INT_L_X30Y24 GRID_POINT_X 77 TILEPROP INT_L_X30Y24 GRID_POINT_Y 131 TILEPROP INT_L_X30Y24 INDEX 15142 TILEPROP INT_L_X30Y24 INT_TILE_X 30 TILEPROP INT_L_X30Y24 INT_TILE_Y 125 TILEPROP INT_L_X30Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y24 IS_DCM_TILE 0 TILEPROP INT_L_X30Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y24 NAME INT_L_X30Y24 TILEPROP INT_L_X30Y24 NUM_ARCS 3737 TILEPROP INT_L_X30Y24 NUM_SITES 1 TILEPROP INT_L_X30Y24 ROW 131 TILEPROP INT_L_X30Y24 SLR_REGION_ID 0 TILEPROP INT_L_X30Y24 TILE_PATTERN_IDX 8055 TILEPROP INT_L_X30Y24 TILE_TYPE INT_L TILEPROP INT_L_X30Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y24 TILE_X 41784 TILEPROP INT_L_X30Y24 TILE_Y -162872 TILEPROP INT_L_X30Y24 TYPE INT_L TILEPROP INT_L_X30Y25 CLASS tile TILEPROP INT_L_X30Y25 COLUMN 77 TILEPROP INT_L_X30Y25 DEVICE_ID 0 TILEPROP INT_L_X30Y25 FIRST_SITE_ID 13061 TILEPROP INT_L_X30Y25 GRID_POINT_X 77 TILEPROP INT_L_X30Y25 GRID_POINT_Y 129 TILEPROP INT_L_X30Y25 INDEX 14912 TILEPROP INT_L_X30Y25 INT_TILE_X 30 TILEPROP INT_L_X30Y25 INT_TILE_Y 124 TILEPROP INT_L_X30Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y25 IS_DCM_TILE 0 TILEPROP INT_L_X30Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y25 NAME INT_L_X30Y25 TILEPROP INT_L_X30Y25 NUM_ARCS 3737 TILEPROP INT_L_X30Y25 NUM_SITES 1 TILEPROP INT_L_X30Y25 ROW 129 TILEPROP INT_L_X30Y25 SLR_REGION_ID 0 TILEPROP INT_L_X30Y25 TILE_PATTERN_IDX 7983 TILEPROP INT_L_X30Y25 TILE_TYPE INT_L TILEPROP INT_L_X30Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y25 TILE_X 41784 TILEPROP INT_L_X30Y25 TILE_Y -158648 TILEPROP INT_L_X30Y25 TYPE INT_L TILEPROP INT_L_X30Y26 CLASS tile TILEPROP INT_L_X30Y26 COLUMN 77 TILEPROP INT_L_X30Y26 DEVICE_ID 0 TILEPROP INT_L_X30Y26 FIRST_SITE_ID 12952 TILEPROP INT_L_X30Y26 GRID_POINT_X 77 TILEPROP INT_L_X30Y26 GRID_POINT_Y 128 TILEPROP INT_L_X30Y26 INDEX 14797 TILEPROP INT_L_X30Y26 INT_TILE_X 30 TILEPROP INT_L_X30Y26 INT_TILE_Y 123 TILEPROP INT_L_X30Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y26 IS_DCM_TILE 0 TILEPROP INT_L_X30Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y26 NAME INT_L_X30Y26 TILEPROP INT_L_X30Y26 NUM_ARCS 3737 TILEPROP INT_L_X30Y26 NUM_SITES 1 TILEPROP INT_L_X30Y26 ROW 128 TILEPROP INT_L_X30Y26 SLR_REGION_ID 0 TILEPROP INT_L_X30Y26 TILE_PATTERN_IDX 7955 TILEPROP INT_L_X30Y26 TILE_TYPE INT_L TILEPROP INT_L_X30Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y26 TILE_X 41784 TILEPROP INT_L_X30Y26 TILE_Y -155448 TILEPROP INT_L_X30Y26 TYPE INT_L TILEPROP INT_L_X30Y27 CLASS tile TILEPROP INT_L_X30Y27 COLUMN 77 TILEPROP INT_L_X30Y27 DEVICE_ID 0 TILEPROP INT_L_X30Y27 FIRST_SITE_ID 12852 TILEPROP INT_L_X30Y27 GRID_POINT_X 77 TILEPROP INT_L_X30Y27 GRID_POINT_Y 127 TILEPROP INT_L_X30Y27 INDEX 14682 TILEPROP INT_L_X30Y27 INT_TILE_X 30 TILEPROP INT_L_X30Y27 INT_TILE_Y 122 TILEPROP INT_L_X30Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y27 IS_DCM_TILE 0 TILEPROP INT_L_X30Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y27 NAME INT_L_X30Y27 TILEPROP INT_L_X30Y27 NUM_ARCS 3737 TILEPROP INT_L_X30Y27 NUM_SITES 1 TILEPROP INT_L_X30Y27 ROW 127 TILEPROP INT_L_X30Y27 SLR_REGION_ID 0 TILEPROP INT_L_X30Y27 TILE_PATTERN_IDX 7926 TILEPROP INT_L_X30Y27 TILE_TYPE INT_L TILEPROP INT_L_X30Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y27 TILE_X 41784 TILEPROP INT_L_X30Y27 TILE_Y -152248 TILEPROP INT_L_X30Y27 TYPE INT_L TILEPROP INT_L_X30Y28 CLASS tile TILEPROP INT_L_X30Y28 COLUMN 77 TILEPROP INT_L_X30Y28 DEVICE_ID 0 TILEPROP INT_L_X30Y28 FIRST_SITE_ID 12752 TILEPROP INT_L_X30Y28 GRID_POINT_X 77 TILEPROP INT_L_X30Y28 GRID_POINT_Y 126 TILEPROP INT_L_X30Y28 INDEX 14567 TILEPROP INT_L_X30Y28 INT_TILE_X 30 TILEPROP INT_L_X30Y28 INT_TILE_Y 121 TILEPROP INT_L_X30Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y28 IS_DCM_TILE 0 TILEPROP INT_L_X30Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y28 NAME INT_L_X30Y28 TILEPROP INT_L_X30Y28 NUM_ARCS 3737 TILEPROP INT_L_X30Y28 NUM_SITES 1 TILEPROP INT_L_X30Y28 ROW 126 TILEPROP INT_L_X30Y28 SLR_REGION_ID 0 TILEPROP INT_L_X30Y28 TILE_PATTERN_IDX 7898 TILEPROP INT_L_X30Y28 TILE_TYPE INT_L TILEPROP INT_L_X30Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y28 TILE_X 41784 TILEPROP INT_L_X30Y28 TILE_Y -149048 TILEPROP INT_L_X30Y28 TYPE INT_L TILEPROP INT_L_X30Y29 CLASS tile TILEPROP INT_L_X30Y29 COLUMN 77 TILEPROP INT_L_X30Y29 DEVICE_ID 0 TILEPROP INT_L_X30Y29 FIRST_SITE_ID 12646 TILEPROP INT_L_X30Y29 GRID_POINT_X 77 TILEPROP INT_L_X30Y29 GRID_POINT_Y 125 TILEPROP INT_L_X30Y29 INDEX 14452 TILEPROP INT_L_X30Y29 INT_TILE_X 30 TILEPROP INT_L_X30Y29 INT_TILE_Y 120 TILEPROP INT_L_X30Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y29 IS_DCM_TILE 0 TILEPROP INT_L_X30Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y29 NAME INT_L_X30Y29 TILEPROP INT_L_X30Y29 NUM_ARCS 3737 TILEPROP INT_L_X30Y29 NUM_SITES 1 TILEPROP INT_L_X30Y29 ROW 125 TILEPROP INT_L_X30Y29 SLR_REGION_ID 0 TILEPROP INT_L_X30Y29 TILE_PATTERN_IDX 6167 TILEPROP INT_L_X30Y29 TILE_TYPE INT_L TILEPROP INT_L_X30Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y29 TILE_X 41784 TILEPROP INT_L_X30Y29 TILE_Y -145848 TILEPROP INT_L_X30Y29 TYPE INT_L TILEPROP INT_L_X30Y30 CLASS tile TILEPROP INT_L_X30Y30 COLUMN 77 TILEPROP INT_L_X30Y30 DEVICE_ID 0 TILEPROP INT_L_X30Y30 FIRST_SITE_ID 12534 TILEPROP INT_L_X30Y30 GRID_POINT_X 77 TILEPROP INT_L_X30Y30 GRID_POINT_Y 124 TILEPROP INT_L_X30Y30 INDEX 14337 TILEPROP INT_L_X30Y30 INT_TILE_X 30 TILEPROP INT_L_X30Y30 INT_TILE_Y 119 TILEPROP INT_L_X30Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y30 IS_DCM_TILE 0 TILEPROP INT_L_X30Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y30 NAME INT_L_X30Y30 TILEPROP INT_L_X30Y30 NUM_ARCS 3737 TILEPROP INT_L_X30Y30 NUM_SITES 1 TILEPROP INT_L_X30Y30 ROW 124 TILEPROP INT_L_X30Y30 SLR_REGION_ID 0 TILEPROP INT_L_X30Y30 TILE_PATTERN_IDX 6132 TILEPROP INT_L_X30Y30 TILE_TYPE INT_L TILEPROP INT_L_X30Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y30 TILE_X 41784 TILEPROP INT_L_X30Y30 TILE_Y -142648 TILEPROP INT_L_X30Y30 TYPE INT_L TILEPROP INT_L_X30Y31 CLASS tile TILEPROP INT_L_X30Y31 COLUMN 77 TILEPROP INT_L_X30Y31 DEVICE_ID 0 TILEPROP INT_L_X30Y31 FIRST_SITE_ID 12410 TILEPROP INT_L_X30Y31 GRID_POINT_X 77 TILEPROP INT_L_X30Y31 GRID_POINT_Y 123 TILEPROP INT_L_X30Y31 INDEX 14222 TILEPROP INT_L_X30Y31 INT_TILE_X 30 TILEPROP INT_L_X30Y31 INT_TILE_Y 118 TILEPROP INT_L_X30Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y31 IS_DCM_TILE 0 TILEPROP INT_L_X30Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y31 NAME INT_L_X30Y31 TILEPROP INT_L_X30Y31 NUM_ARCS 3737 TILEPROP INT_L_X30Y31 NUM_SITES 1 TILEPROP INT_L_X30Y31 ROW 123 TILEPROP INT_L_X30Y31 SLR_REGION_ID 0 TILEPROP INT_L_X30Y31 TILE_PATTERN_IDX 6098 TILEPROP INT_L_X30Y31 TILE_TYPE INT_L TILEPROP INT_L_X30Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y31 TILE_X 41784 TILEPROP INT_L_X30Y31 TILE_Y -139448 TILEPROP INT_L_X30Y31 TYPE INT_L TILEPROP INT_L_X30Y32 CLASS tile TILEPROP INT_L_X30Y32 COLUMN 77 TILEPROP INT_L_X30Y32 DEVICE_ID 0 TILEPROP INT_L_X30Y32 FIRST_SITE_ID 12308 TILEPROP INT_L_X30Y32 GRID_POINT_X 77 TILEPROP INT_L_X30Y32 GRID_POINT_Y 122 TILEPROP INT_L_X30Y32 INDEX 14107 TILEPROP INT_L_X30Y32 INT_TILE_X 30 TILEPROP INT_L_X30Y32 INT_TILE_Y 117 TILEPROP INT_L_X30Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y32 IS_DCM_TILE 0 TILEPROP INT_L_X30Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y32 NAME INT_L_X30Y32 TILEPROP INT_L_X30Y32 NUM_ARCS 3737 TILEPROP INT_L_X30Y32 NUM_SITES 1 TILEPROP INT_L_X30Y32 ROW 122 TILEPROP INT_L_X30Y32 SLR_REGION_ID 0 TILEPROP INT_L_X30Y32 TILE_PATTERN_IDX 7794 TILEPROP INT_L_X30Y32 TILE_TYPE INT_L TILEPROP INT_L_X30Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y32 TILE_X 41784 TILEPROP INT_L_X30Y32 TILE_Y -136248 TILEPROP INT_L_X30Y32 TYPE INT_L TILEPROP INT_L_X30Y33 CLASS tile TILEPROP INT_L_X30Y33 COLUMN 77 TILEPROP INT_L_X30Y33 DEVICE_ID 0 TILEPROP INT_L_X30Y33 FIRST_SITE_ID 12207 TILEPROP INT_L_X30Y33 GRID_POINT_X 77 TILEPROP INT_L_X30Y33 GRID_POINT_Y 121 TILEPROP INT_L_X30Y33 INDEX 13992 TILEPROP INT_L_X30Y33 INT_TILE_X 30 TILEPROP INT_L_X30Y33 INT_TILE_Y 116 TILEPROP INT_L_X30Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y33 IS_DCM_TILE 0 TILEPROP INT_L_X30Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y33 NAME INT_L_X30Y33 TILEPROP INT_L_X30Y33 NUM_ARCS 3737 TILEPROP INT_L_X30Y33 NUM_SITES 1 TILEPROP INT_L_X30Y33 ROW 121 TILEPROP INT_L_X30Y33 SLR_REGION_ID 0 TILEPROP INT_L_X30Y33 TILE_PATTERN_IDX 6030 TILEPROP INT_L_X30Y33 TILE_TYPE INT_L TILEPROP INT_L_X30Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y33 TILE_X 41784 TILEPROP INT_L_X30Y33 TILE_Y -133048 TILEPROP INT_L_X30Y33 TYPE INT_L TILEPROP INT_L_X30Y34 CLASS tile TILEPROP INT_L_X30Y34 COLUMN 77 TILEPROP INT_L_X30Y34 DEVICE_ID 0 TILEPROP INT_L_X30Y34 FIRST_SITE_ID 12107 TILEPROP INT_L_X30Y34 GRID_POINT_X 77 TILEPROP INT_L_X30Y34 GRID_POINT_Y 120 TILEPROP INT_L_X30Y34 INDEX 13877 TILEPROP INT_L_X30Y34 INT_TILE_X 30 TILEPROP INT_L_X30Y34 INT_TILE_Y 115 TILEPROP INT_L_X30Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y34 IS_DCM_TILE 0 TILEPROP INT_L_X30Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y34 NAME INT_L_X30Y34 TILEPROP INT_L_X30Y34 NUM_ARCS 3737 TILEPROP INT_L_X30Y34 NUM_SITES 1 TILEPROP INT_L_X30Y34 ROW 120 TILEPROP INT_L_X30Y34 SLR_REGION_ID 0 TILEPROP INT_L_X30Y34 TILE_PATTERN_IDX 5996 TILEPROP INT_L_X30Y34 TILE_TYPE INT_L TILEPROP INT_L_X30Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y34 TILE_X 41784 TILEPROP INT_L_X30Y34 TILE_Y -129848 TILEPROP INT_L_X30Y34 TYPE INT_L TILEPROP INT_L_X30Y35 CLASS tile TILEPROP INT_L_X30Y35 COLUMN 77 TILEPROP INT_L_X30Y35 DEVICE_ID 0 TILEPROP INT_L_X30Y35 FIRST_SITE_ID 12001 TILEPROP INT_L_X30Y35 GRID_POINT_X 77 TILEPROP INT_L_X30Y35 GRID_POINT_Y 119 TILEPROP INT_L_X30Y35 INDEX 13762 TILEPROP INT_L_X30Y35 INT_TILE_X 30 TILEPROP INT_L_X30Y35 INT_TILE_Y 114 TILEPROP INT_L_X30Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y35 IS_DCM_TILE 0 TILEPROP INT_L_X30Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y35 NAME INT_L_X30Y35 TILEPROP INT_L_X30Y35 NUM_ARCS 3737 TILEPROP INT_L_X30Y35 NUM_SITES 1 TILEPROP INT_L_X30Y35 ROW 119 TILEPROP INT_L_X30Y35 SLR_REGION_ID 0 TILEPROP INT_L_X30Y35 TILE_PATTERN_IDX 5962 TILEPROP INT_L_X30Y35 TILE_TYPE INT_L TILEPROP INT_L_X30Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y35 TILE_X 41784 TILEPROP INT_L_X30Y35 TILE_Y -126648 TILEPROP INT_L_X30Y35 TYPE INT_L TILEPROP INT_L_X30Y36 CLASS tile TILEPROP INT_L_X30Y36 COLUMN 77 TILEPROP INT_L_X30Y36 DEVICE_ID 0 TILEPROP INT_L_X30Y36 FIRST_SITE_ID 11892 TILEPROP INT_L_X30Y36 GRID_POINT_X 77 TILEPROP INT_L_X30Y36 GRID_POINT_Y 118 TILEPROP INT_L_X30Y36 INDEX 13647 TILEPROP INT_L_X30Y36 INT_TILE_X 30 TILEPROP INT_L_X30Y36 INT_TILE_Y 113 TILEPROP INT_L_X30Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y36 IS_DCM_TILE 0 TILEPROP INT_L_X30Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y36 NAME INT_L_X30Y36 TILEPROP INT_L_X30Y36 NUM_ARCS 3737 TILEPROP INT_L_X30Y36 NUM_SITES 1 TILEPROP INT_L_X30Y36 ROW 118 TILEPROP INT_L_X30Y36 SLR_REGION_ID 0 TILEPROP INT_L_X30Y36 TILE_PATTERN_IDX 5927 TILEPROP INT_L_X30Y36 TILE_TYPE INT_L TILEPROP INT_L_X30Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y36 TILE_X 41784 TILEPROP INT_L_X30Y36 TILE_Y -123448 TILEPROP INT_L_X30Y36 TYPE INT_L TILEPROP INT_L_X30Y37 CLASS tile TILEPROP INT_L_X30Y37 COLUMN 77 TILEPROP INT_L_X30Y37 DEVICE_ID 0 TILEPROP INT_L_X30Y37 FIRST_SITE_ID 11760 TILEPROP INT_L_X30Y37 GRID_POINT_X 77 TILEPROP INT_L_X30Y37 GRID_POINT_Y 117 TILEPROP INT_L_X30Y37 INDEX 13532 TILEPROP INT_L_X30Y37 INT_TILE_X 30 TILEPROP INT_L_X30Y37 INT_TILE_Y 112 TILEPROP INT_L_X30Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y37 IS_DCM_TILE 0 TILEPROP INT_L_X30Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y37 NAME INT_L_X30Y37 TILEPROP INT_L_X30Y37 NUM_ARCS 3737 TILEPROP INT_L_X30Y37 NUM_SITES 1 TILEPROP INT_L_X30Y37 ROW 117 TILEPROP INT_L_X30Y37 SLR_REGION_ID 0 TILEPROP INT_L_X30Y37 TILE_PATTERN_IDX 5892 TILEPROP INT_L_X30Y37 TILE_TYPE INT_L TILEPROP INT_L_X30Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y37 TILE_X 41784 TILEPROP INT_L_X30Y37 TILE_Y -120248 TILEPROP INT_L_X30Y37 TYPE INT_L TILEPROP INT_L_X30Y38 CLASS tile TILEPROP INT_L_X30Y38 COLUMN 77 TILEPROP INT_L_X30Y38 DEVICE_ID 0 TILEPROP INT_L_X30Y38 FIRST_SITE_ID 11660 TILEPROP INT_L_X30Y38 GRID_POINT_X 77 TILEPROP INT_L_X30Y38 GRID_POINT_Y 116 TILEPROP INT_L_X30Y38 INDEX 13417 TILEPROP INT_L_X30Y38 INT_TILE_X 30 TILEPROP INT_L_X30Y38 INT_TILE_Y 111 TILEPROP INT_L_X30Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y38 IS_DCM_TILE 0 TILEPROP INT_L_X30Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y38 NAME INT_L_X30Y38 TILEPROP INT_L_X30Y38 NUM_ARCS 3737 TILEPROP INT_L_X30Y38 NUM_SITES 1 TILEPROP INT_L_X30Y38 ROW 116 TILEPROP INT_L_X30Y38 SLR_REGION_ID 0 TILEPROP INT_L_X30Y38 TILE_PATTERN_IDX 5859 TILEPROP INT_L_X30Y38 TILE_TYPE INT_L TILEPROP INT_L_X30Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y38 TILE_X 41784 TILEPROP INT_L_X30Y38 TILE_Y -117048 TILEPROP INT_L_X30Y38 TYPE INT_L TILEPROP INT_L_X30Y39 CLASS tile TILEPROP INT_L_X30Y39 COLUMN 77 TILEPROP INT_L_X30Y39 DEVICE_ID 0 TILEPROP INT_L_X30Y39 FIRST_SITE_ID 11560 TILEPROP INT_L_X30Y39 GRID_POINT_X 77 TILEPROP INT_L_X30Y39 GRID_POINT_Y 115 TILEPROP INT_L_X30Y39 INDEX 13302 TILEPROP INT_L_X30Y39 INT_TILE_X 30 TILEPROP INT_L_X30Y39 INT_TILE_Y 110 TILEPROP INT_L_X30Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y39 IS_DCM_TILE 0 TILEPROP INT_L_X30Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y39 NAME INT_L_X30Y39 TILEPROP INT_L_X30Y39 NUM_ARCS 3737 TILEPROP INT_L_X30Y39 NUM_SITES 1 TILEPROP INT_L_X30Y39 ROW 115 TILEPROP INT_L_X30Y39 SLR_REGION_ID 0 TILEPROP INT_L_X30Y39 TILE_PATTERN_IDX 5825 TILEPROP INT_L_X30Y39 TILE_TYPE INT_L TILEPROP INT_L_X30Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y39 TILE_X 41784 TILEPROP INT_L_X30Y39 TILE_Y -113848 TILEPROP INT_L_X30Y39 TYPE INT_L TILEPROP INT_L_X30Y40 CLASS tile TILEPROP INT_L_X30Y40 COLUMN 77 TILEPROP INT_L_X30Y40 DEVICE_ID 0 TILEPROP INT_L_X30Y40 FIRST_SITE_ID 11454 TILEPROP INT_L_X30Y40 GRID_POINT_X 77 TILEPROP INT_L_X30Y40 GRID_POINT_Y 114 TILEPROP INT_L_X30Y40 INDEX 13187 TILEPROP INT_L_X30Y40 INT_TILE_X 30 TILEPROP INT_L_X30Y40 INT_TILE_Y 109 TILEPROP INT_L_X30Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y40 IS_DCM_TILE 0 TILEPROP INT_L_X30Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y40 NAME INT_L_X30Y40 TILEPROP INT_L_X30Y40 NUM_ARCS 3737 TILEPROP INT_L_X30Y40 NUM_SITES 1 TILEPROP INT_L_X30Y40 ROW 114 TILEPROP INT_L_X30Y40 SLR_REGION_ID 0 TILEPROP INT_L_X30Y40 TILE_PATTERN_IDX 5791 TILEPROP INT_L_X30Y40 TILE_TYPE INT_L TILEPROP INT_L_X30Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y40 TILE_X 41784 TILEPROP INT_L_X30Y40 TILE_Y -110648 TILEPROP INT_L_X30Y40 TYPE INT_L TILEPROP INT_L_X30Y41 CLASS tile TILEPROP INT_L_X30Y41 COLUMN 77 TILEPROP INT_L_X30Y41 DEVICE_ID 0 TILEPROP INT_L_X30Y41 FIRST_SITE_ID 11343 TILEPROP INT_L_X30Y41 GRID_POINT_X 77 TILEPROP INT_L_X30Y41 GRID_POINT_Y 113 TILEPROP INT_L_X30Y41 INDEX 13072 TILEPROP INT_L_X30Y41 INT_TILE_X 30 TILEPROP INT_L_X30Y41 INT_TILE_Y 108 TILEPROP INT_L_X30Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y41 IS_DCM_TILE 0 TILEPROP INT_L_X30Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y41 NAME INT_L_X30Y41 TILEPROP INT_L_X30Y41 NUM_ARCS 3737 TILEPROP INT_L_X30Y41 NUM_SITES 1 TILEPROP INT_L_X30Y41 ROW 113 TILEPROP INT_L_X30Y41 SLR_REGION_ID 0 TILEPROP INT_L_X30Y41 TILE_PATTERN_IDX 7531 TILEPROP INT_L_X30Y41 TILE_TYPE INT_L TILEPROP INT_L_X30Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y41 TILE_X 41784 TILEPROP INT_L_X30Y41 TILE_Y -107448 TILEPROP INT_L_X30Y41 TYPE INT_L TILEPROP INT_L_X30Y42 CLASS tile TILEPROP INT_L_X30Y42 COLUMN 77 TILEPROP INT_L_X30Y42 DEVICE_ID 0 TILEPROP INT_L_X30Y42 FIRST_SITE_ID 11241 TILEPROP INT_L_X30Y42 GRID_POINT_X 77 TILEPROP INT_L_X30Y42 GRID_POINT_Y 112 TILEPROP INT_L_X30Y42 INDEX 12957 TILEPROP INT_L_X30Y42 INT_TILE_X 30 TILEPROP INT_L_X30Y42 INT_TILE_Y 107 TILEPROP INT_L_X30Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y42 IS_DCM_TILE 0 TILEPROP INT_L_X30Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y42 NAME INT_L_X30Y42 TILEPROP INT_L_X30Y42 NUM_ARCS 3737 TILEPROP INT_L_X30Y42 NUM_SITES 1 TILEPROP INT_L_X30Y42 ROW 112 TILEPROP INT_L_X30Y42 SLR_REGION_ID 0 TILEPROP INT_L_X30Y42 TILE_PATTERN_IDX 5724 TILEPROP INT_L_X30Y42 TILE_TYPE INT_L TILEPROP INT_L_X30Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y42 TILE_X 41784 TILEPROP INT_L_X30Y42 TILE_Y -104248 TILEPROP INT_L_X30Y42 TYPE INT_L TILEPROP INT_L_X30Y43 CLASS tile TILEPROP INT_L_X30Y43 COLUMN 77 TILEPROP INT_L_X30Y43 DEVICE_ID 0 TILEPROP INT_L_X30Y43 FIRST_SITE_ID 11138 TILEPROP INT_L_X30Y43 GRID_POINT_X 77 TILEPROP INT_L_X30Y43 GRID_POINT_Y 111 TILEPROP INT_L_X30Y43 INDEX 12842 TILEPROP INT_L_X30Y43 INT_TILE_X 30 TILEPROP INT_L_X30Y43 INT_TILE_Y 106 TILEPROP INT_L_X30Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y43 IS_DCM_TILE 0 TILEPROP INT_L_X30Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y43 NAME INT_L_X30Y43 TILEPROP INT_L_X30Y43 NUM_ARCS 3737 TILEPROP INT_L_X30Y43 NUM_SITES 1 TILEPROP INT_L_X30Y43 ROW 111 TILEPROP INT_L_X30Y43 SLR_REGION_ID 0 TILEPROP INT_L_X30Y43 TILE_PATTERN_IDX 5690 TILEPROP INT_L_X30Y43 TILE_TYPE INT_L TILEPROP INT_L_X30Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y43 TILE_X 41784 TILEPROP INT_L_X30Y43 TILE_Y -101048 TILEPROP INT_L_X30Y43 TYPE INT_L TILEPROP INT_L_X30Y44 CLASS tile TILEPROP INT_L_X30Y44 COLUMN 77 TILEPROP INT_L_X30Y44 DEVICE_ID 0 TILEPROP INT_L_X30Y44 FIRST_SITE_ID 11036 TILEPROP INT_L_X30Y44 GRID_POINT_X 77 TILEPROP INT_L_X30Y44 GRID_POINT_Y 110 TILEPROP INT_L_X30Y44 INDEX 12727 TILEPROP INT_L_X30Y44 INT_TILE_X 30 TILEPROP INT_L_X30Y44 INT_TILE_Y 105 TILEPROP INT_L_X30Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y44 IS_DCM_TILE 0 TILEPROP INT_L_X30Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y44 NAME INT_L_X30Y44 TILEPROP INT_L_X30Y44 NUM_ARCS 3737 TILEPROP INT_L_X30Y44 NUM_SITES 1 TILEPROP INT_L_X30Y44 ROW 110 TILEPROP INT_L_X30Y44 SLR_REGION_ID 0 TILEPROP INT_L_X30Y44 TILE_PATTERN_IDX 5656 TILEPROP INT_L_X30Y44 TILE_TYPE INT_L TILEPROP INT_L_X30Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y44 TILE_X 41784 TILEPROP INT_L_X30Y44 TILE_Y -97848 TILEPROP INT_L_X30Y44 TYPE INT_L TILEPROP INT_L_X30Y45 CLASS tile TILEPROP INT_L_X30Y45 COLUMN 77 TILEPROP INT_L_X30Y45 DEVICE_ID 0 TILEPROP INT_L_X30Y45 FIRST_SITE_ID 10930 TILEPROP INT_L_X30Y45 GRID_POINT_X 77 TILEPROP INT_L_X30Y45 GRID_POINT_Y 109 TILEPROP INT_L_X30Y45 INDEX 12612 TILEPROP INT_L_X30Y45 INT_TILE_X 30 TILEPROP INT_L_X30Y45 INT_TILE_Y 104 TILEPROP INT_L_X30Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y45 IS_DCM_TILE 0 TILEPROP INT_L_X30Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y45 NAME INT_L_X30Y45 TILEPROP INT_L_X30Y45 NUM_ARCS 3737 TILEPROP INT_L_X30Y45 NUM_SITES 1 TILEPROP INT_L_X30Y45 ROW 109 TILEPROP INT_L_X30Y45 SLR_REGION_ID 0 TILEPROP INT_L_X30Y45 TILE_PATTERN_IDX 7409 TILEPROP INT_L_X30Y45 TILE_TYPE INT_L TILEPROP INT_L_X30Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y45 TILE_X 41784 TILEPROP INT_L_X30Y45 TILE_Y -94648 TILEPROP INT_L_X30Y45 TYPE INT_L TILEPROP INT_L_X30Y46 CLASS tile TILEPROP INT_L_X30Y46 COLUMN 77 TILEPROP INT_L_X30Y46 DEVICE_ID 0 TILEPROP INT_L_X30Y46 FIRST_SITE_ID 10820 TILEPROP INT_L_X30Y46 GRID_POINT_X 77 TILEPROP INT_L_X30Y46 GRID_POINT_Y 108 TILEPROP INT_L_X30Y46 INDEX 12497 TILEPROP INT_L_X30Y46 INT_TILE_X 30 TILEPROP INT_L_X30Y46 INT_TILE_Y 103 TILEPROP INT_L_X30Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y46 IS_DCM_TILE 0 TILEPROP INT_L_X30Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y46 NAME INT_L_X30Y46 TILEPROP INT_L_X30Y46 NUM_ARCS 3737 TILEPROP INT_L_X30Y46 NUM_SITES 1 TILEPROP INT_L_X30Y46 ROW 108 TILEPROP INT_L_X30Y46 SLR_REGION_ID 0 TILEPROP INT_L_X30Y46 TILE_PATTERN_IDX 7373 TILEPROP INT_L_X30Y46 TILE_TYPE INT_L TILEPROP INT_L_X30Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y46 TILE_X 41784 TILEPROP INT_L_X30Y46 TILE_Y -91448 TILEPROP INT_L_X30Y46 TYPE INT_L TILEPROP INT_L_X30Y47 CLASS tile TILEPROP INT_L_X30Y47 COLUMN 77 TILEPROP INT_L_X30Y47 DEVICE_ID 0 TILEPROP INT_L_X30Y47 FIRST_SITE_ID 10704 TILEPROP INT_L_X30Y47 GRID_POINT_X 77 TILEPROP INT_L_X30Y47 GRID_POINT_Y 107 TILEPROP INT_L_X30Y47 INDEX 12382 TILEPROP INT_L_X30Y47 INT_TILE_X 30 TILEPROP INT_L_X30Y47 INT_TILE_Y 102 TILEPROP INT_L_X30Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y47 IS_DCM_TILE 0 TILEPROP INT_L_X30Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y47 NAME INT_L_X30Y47 TILEPROP INT_L_X30Y47 NUM_ARCS 3737 TILEPROP INT_L_X30Y47 NUM_SITES 1 TILEPROP INT_L_X30Y47 ROW 107 TILEPROP INT_L_X30Y47 SLR_REGION_ID 0 TILEPROP INT_L_X30Y47 TILE_PATTERN_IDX 7336 TILEPROP INT_L_X30Y47 TILE_TYPE INT_L TILEPROP INT_L_X30Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y47 TILE_X 41784 TILEPROP INT_L_X30Y47 TILE_Y -88248 TILEPROP INT_L_X30Y47 TYPE INT_L TILEPROP INT_L_X30Y48 CLASS tile TILEPROP INT_L_X30Y48 COLUMN 77 TILEPROP INT_L_X30Y48 DEVICE_ID 0 TILEPROP INT_L_X30Y48 FIRST_SITE_ID 10604 TILEPROP INT_L_X30Y48 GRID_POINT_X 77 TILEPROP INT_L_X30Y48 GRID_POINT_Y 106 TILEPROP INT_L_X30Y48 INDEX 12267 TILEPROP INT_L_X30Y48 INT_TILE_X 30 TILEPROP INT_L_X30Y48 INT_TILE_Y 101 TILEPROP INT_L_X30Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y48 IS_DCM_TILE 0 TILEPROP INT_L_X30Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y48 NAME INT_L_X30Y48 TILEPROP INT_L_X30Y48 NUM_ARCS 3737 TILEPROP INT_L_X30Y48 NUM_SITES 1 TILEPROP INT_L_X30Y48 ROW 106 TILEPROP INT_L_X30Y48 SLR_REGION_ID 0 TILEPROP INT_L_X30Y48 TILE_PATTERN_IDX 7300 TILEPROP INT_L_X30Y48 TILE_TYPE INT_L TILEPROP INT_L_X30Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y48 TILE_X 41784 TILEPROP INT_L_X30Y48 TILE_Y -85048 TILEPROP INT_L_X30Y48 TYPE INT_L TILEPROP INT_L_X30Y49 CLASS tile TILEPROP INT_L_X30Y49 COLUMN 77 TILEPROP INT_L_X30Y49 DEVICE_ID 0 TILEPROP INT_L_X30Y49 FIRST_SITE_ID 10508 TILEPROP INT_L_X30Y49 GRID_POINT_X 77 TILEPROP INT_L_X30Y49 GRID_POINT_Y 105 TILEPROP INT_L_X30Y49 INDEX 12152 TILEPROP INT_L_X30Y49 INT_TILE_X 30 TILEPROP INT_L_X30Y49 INT_TILE_Y 100 TILEPROP INT_L_X30Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y49 IS_DCM_TILE 0 TILEPROP INT_L_X30Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y49 NAME INT_L_X30Y49 TILEPROP INT_L_X30Y49 NUM_ARCS 3737 TILEPROP INT_L_X30Y49 NUM_SITES 1 TILEPROP INT_L_X30Y49 ROW 105 TILEPROP INT_L_X30Y49 SLR_REGION_ID 0 TILEPROP INT_L_X30Y49 TILE_PATTERN_IDX 7264 TILEPROP INT_L_X30Y49 TILE_TYPE INT_L TILEPROP INT_L_X30Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y49 TILE_X 41784 TILEPROP INT_L_X30Y49 TILE_Y -81848 TILEPROP INT_L_X30Y49 TYPE INT_L TILEPROP INT_L_X30Y50 CLASS tile TILEPROP INT_L_X30Y50 COLUMN 77 TILEPROP INT_L_X30Y50 DEVICE_ID 0 TILEPROP INT_L_X30Y50 FIRST_SITE_ID 10402 TILEPROP INT_L_X30Y50 GRID_POINT_X 77 TILEPROP INT_L_X30Y50 GRID_POINT_Y 103 TILEPROP INT_L_X30Y50 INDEX 11922 TILEPROP INT_L_X30Y50 INT_TILE_X 30 TILEPROP INT_L_X30Y50 INT_TILE_Y 99 TILEPROP INT_L_X30Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y50 IS_DCM_TILE 0 TILEPROP INT_L_X30Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y50 NAME INT_L_X30Y50 TILEPROP INT_L_X30Y50 NUM_ARCS 3737 TILEPROP INT_L_X30Y50 NUM_SITES 1 TILEPROP INT_L_X30Y50 ROW 103 TILEPROP INT_L_X30Y50 SLR_REGION_ID 0 TILEPROP INT_L_X30Y50 TILE_PATTERN_IDX 7227 TILEPROP INT_L_X30Y50 TILE_TYPE INT_L TILEPROP INT_L_X30Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y50 TILE_X 41784 TILEPROP INT_L_X30Y50 TILE_Y -78400 TILEPROP INT_L_X30Y50 TYPE INT_L TILEPROP INT_L_X30Y51 CLASS tile TILEPROP INT_L_X30Y51 COLUMN 77 TILEPROP INT_L_X30Y51 DEVICE_ID 0 TILEPROP INT_L_X30Y51 FIRST_SITE_ID 10273 TILEPROP INT_L_X30Y51 GRID_POINT_X 77 TILEPROP INT_L_X30Y51 GRID_POINT_Y 102 TILEPROP INT_L_X30Y51 INDEX 11807 TILEPROP INT_L_X30Y51 INT_TILE_X 30 TILEPROP INT_L_X30Y51 INT_TILE_Y 98 TILEPROP INT_L_X30Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y51 IS_DCM_TILE 0 TILEPROP INT_L_X30Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y51 NAME INT_L_X30Y51 TILEPROP INT_L_X30Y51 NUM_ARCS 3737 TILEPROP INT_L_X30Y51 NUM_SITES 1 TILEPROP INT_L_X30Y51 ROW 102 TILEPROP INT_L_X30Y51 SLR_REGION_ID 0 TILEPROP INT_L_X30Y51 TILE_PATTERN_IDX 7190 TILEPROP INT_L_X30Y51 TILE_TYPE INT_L TILEPROP INT_L_X30Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y51 TILE_X 41784 TILEPROP INT_L_X30Y51 TILE_Y -75200 TILEPROP INT_L_X30Y51 TYPE INT_L TILEPROP INT_L_X30Y52 CLASS tile TILEPROP INT_L_X30Y52 COLUMN 77 TILEPROP INT_L_X30Y52 DEVICE_ID 0 TILEPROP INT_L_X30Y52 FIRST_SITE_ID 10173 TILEPROP INT_L_X30Y52 GRID_POINT_X 77 TILEPROP INT_L_X30Y52 GRID_POINT_Y 101 TILEPROP INT_L_X30Y52 INDEX 11692 TILEPROP INT_L_X30Y52 INT_TILE_X 30 TILEPROP INT_L_X30Y52 INT_TILE_Y 97 TILEPROP INT_L_X30Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y52 IS_DCM_TILE 0 TILEPROP INT_L_X30Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y52 NAME INT_L_X30Y52 TILEPROP INT_L_X30Y52 NUM_ARCS 3737 TILEPROP INT_L_X30Y52 NUM_SITES 1 TILEPROP INT_L_X30Y52 ROW 101 TILEPROP INT_L_X30Y52 SLR_REGION_ID 0 TILEPROP INT_L_X30Y52 TILE_PATTERN_IDX 7153 TILEPROP INT_L_X30Y52 TILE_TYPE INT_L TILEPROP INT_L_X30Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y52 TILE_X 41784 TILEPROP INT_L_X30Y52 TILE_Y -72000 TILEPROP INT_L_X30Y52 TYPE INT_L TILEPROP INT_L_X30Y53 CLASS tile TILEPROP INT_L_X30Y53 COLUMN 77 TILEPROP INT_L_X30Y53 DEVICE_ID 0 TILEPROP INT_L_X30Y53 FIRST_SITE_ID 10073 TILEPROP INT_L_X30Y53 GRID_POINT_X 77 TILEPROP INT_L_X30Y53 GRID_POINT_Y 100 TILEPROP INT_L_X30Y53 INDEX 11577 TILEPROP INT_L_X30Y53 INT_TILE_X 30 TILEPROP INT_L_X30Y53 INT_TILE_Y 96 TILEPROP INT_L_X30Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y53 IS_DCM_TILE 0 TILEPROP INT_L_X30Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y53 NAME INT_L_X30Y53 TILEPROP INT_L_X30Y53 NUM_ARCS 3737 TILEPROP INT_L_X30Y53 NUM_SITES 1 TILEPROP INT_L_X30Y53 ROW 100 TILEPROP INT_L_X30Y53 SLR_REGION_ID 0 TILEPROP INT_L_X30Y53 TILE_PATTERN_IDX 7116 TILEPROP INT_L_X30Y53 TILE_TYPE INT_L TILEPROP INT_L_X30Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y53 TILE_X 41784 TILEPROP INT_L_X30Y53 TILE_Y -68800 TILEPROP INT_L_X30Y53 TYPE INT_L TILEPROP INT_L_X30Y54 CLASS tile TILEPROP INT_L_X30Y54 COLUMN 77 TILEPROP INT_L_X30Y54 DEVICE_ID 0 TILEPROP INT_L_X30Y54 FIRST_SITE_ID 9973 TILEPROP INT_L_X30Y54 GRID_POINT_X 77 TILEPROP INT_L_X30Y54 GRID_POINT_Y 99 TILEPROP INT_L_X30Y54 INDEX 11462 TILEPROP INT_L_X30Y54 INT_TILE_X 30 TILEPROP INT_L_X30Y54 INT_TILE_Y 95 TILEPROP INT_L_X30Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y54 IS_DCM_TILE 0 TILEPROP INT_L_X30Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y54 NAME INT_L_X30Y54 TILEPROP INT_L_X30Y54 NUM_ARCS 3737 TILEPROP INT_L_X30Y54 NUM_SITES 1 TILEPROP INT_L_X30Y54 ROW 99 TILEPROP INT_L_X30Y54 SLR_REGION_ID 0 TILEPROP INT_L_X30Y54 TILE_PATTERN_IDX 7079 TILEPROP INT_L_X30Y54 TILE_TYPE INT_L TILEPROP INT_L_X30Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y54 TILE_X 41784 TILEPROP INT_L_X30Y54 TILE_Y -65600 TILEPROP INT_L_X30Y54 TYPE INT_L TILEPROP INT_L_X30Y55 CLASS tile TILEPROP INT_L_X30Y55 COLUMN 77 TILEPROP INT_L_X30Y55 DEVICE_ID 0 TILEPROP INT_L_X30Y55 FIRST_SITE_ID 9867 TILEPROP INT_L_X30Y55 GRID_POINT_X 77 TILEPROP INT_L_X30Y55 GRID_POINT_Y 98 TILEPROP INT_L_X30Y55 INDEX 11347 TILEPROP INT_L_X30Y55 INT_TILE_X 30 TILEPROP INT_L_X30Y55 INT_TILE_Y 94 TILEPROP INT_L_X30Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y55 IS_DCM_TILE 0 TILEPROP INT_L_X30Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y55 NAME INT_L_X30Y55 TILEPROP INT_L_X30Y55 NUM_ARCS 3737 TILEPROP INT_L_X30Y55 NUM_SITES 1 TILEPROP INT_L_X30Y55 ROW 98 TILEPROP INT_L_X30Y55 SLR_REGION_ID 0 TILEPROP INT_L_X30Y55 TILE_PATTERN_IDX 7041 TILEPROP INT_L_X30Y55 TILE_TYPE INT_L TILEPROP INT_L_X30Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y55 TILE_X 41784 TILEPROP INT_L_X30Y55 TILE_Y -62400 TILEPROP INT_L_X30Y55 TYPE INT_L TILEPROP INT_L_X30Y56 CLASS tile TILEPROP INT_L_X30Y56 COLUMN 77 TILEPROP INT_L_X30Y56 DEVICE_ID 0 TILEPROP INT_L_X30Y56 FIRST_SITE_ID 9758 TILEPROP INT_L_X30Y56 GRID_POINT_X 77 TILEPROP INT_L_X30Y56 GRID_POINT_Y 97 TILEPROP INT_L_X30Y56 INDEX 11232 TILEPROP INT_L_X30Y56 INT_TILE_X 30 TILEPROP INT_L_X30Y56 INT_TILE_Y 93 TILEPROP INT_L_X30Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y56 IS_DCM_TILE 0 TILEPROP INT_L_X30Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y56 NAME INT_L_X30Y56 TILEPROP INT_L_X30Y56 NUM_ARCS 3737 TILEPROP INT_L_X30Y56 NUM_SITES 1 TILEPROP INT_L_X30Y56 ROW 97 TILEPROP INT_L_X30Y56 SLR_REGION_ID 0 TILEPROP INT_L_X30Y56 TILE_PATTERN_IDX 7004 TILEPROP INT_L_X30Y56 TILE_TYPE INT_L TILEPROP INT_L_X30Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y56 TILE_X 41784 TILEPROP INT_L_X30Y56 TILE_Y -59200 TILEPROP INT_L_X30Y56 TYPE INT_L TILEPROP INT_L_X30Y57 CLASS tile TILEPROP INT_L_X30Y57 COLUMN 77 TILEPROP INT_L_X30Y57 DEVICE_ID 0 TILEPROP INT_L_X30Y57 FIRST_SITE_ID 9656 TILEPROP INT_L_X30Y57 GRID_POINT_X 77 TILEPROP INT_L_X30Y57 GRID_POINT_Y 96 TILEPROP INT_L_X30Y57 INDEX 11117 TILEPROP INT_L_X30Y57 INT_TILE_X 30 TILEPROP INT_L_X30Y57 INT_TILE_Y 92 TILEPROP INT_L_X30Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y57 IS_DCM_TILE 0 TILEPROP INT_L_X30Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y57 NAME INT_L_X30Y57 TILEPROP INT_L_X30Y57 NUM_ARCS 3737 TILEPROP INT_L_X30Y57 NUM_SITES 1 TILEPROP INT_L_X30Y57 ROW 96 TILEPROP INT_L_X30Y57 SLR_REGION_ID 0 TILEPROP INT_L_X30Y57 TILE_PATTERN_IDX 6967 TILEPROP INT_L_X30Y57 TILE_TYPE INT_L TILEPROP INT_L_X30Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y57 TILE_X 41784 TILEPROP INT_L_X30Y57 TILE_Y -56000 TILEPROP INT_L_X30Y57 TYPE INT_L TILEPROP INT_L_X30Y58 CLASS tile TILEPROP INT_L_X30Y58 COLUMN 77 TILEPROP INT_L_X30Y58 DEVICE_ID 0 TILEPROP INT_L_X30Y58 FIRST_SITE_ID 9553 TILEPROP INT_L_X30Y58 GRID_POINT_X 77 TILEPROP INT_L_X30Y58 GRID_POINT_Y 95 TILEPROP INT_L_X30Y58 INDEX 11002 TILEPROP INT_L_X30Y58 INT_TILE_X 30 TILEPROP INT_L_X30Y58 INT_TILE_Y 91 TILEPROP INT_L_X30Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y58 IS_DCM_TILE 0 TILEPROP INT_L_X30Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y58 NAME INT_L_X30Y58 TILEPROP INT_L_X30Y58 NUM_ARCS 3737 TILEPROP INT_L_X30Y58 NUM_SITES 1 TILEPROP INT_L_X30Y58 ROW 95 TILEPROP INT_L_X30Y58 SLR_REGION_ID 0 TILEPROP INT_L_X30Y58 TILE_PATTERN_IDX 6929 TILEPROP INT_L_X30Y58 TILE_TYPE INT_L TILEPROP INT_L_X30Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y58 TILE_X 41784 TILEPROP INT_L_X30Y58 TILE_Y -52800 TILEPROP INT_L_X30Y58 TYPE INT_L TILEPROP INT_L_X30Y59 CLASS tile TILEPROP INT_L_X30Y59 COLUMN 77 TILEPROP INT_L_X30Y59 DEVICE_ID 0 TILEPROP INT_L_X30Y59 FIRST_SITE_ID 9452 TILEPROP INT_L_X30Y59 GRID_POINT_X 77 TILEPROP INT_L_X30Y59 GRID_POINT_Y 94 TILEPROP INT_L_X30Y59 INDEX 10887 TILEPROP INT_L_X30Y59 INT_TILE_X 30 TILEPROP INT_L_X30Y59 INT_TILE_Y 90 TILEPROP INT_L_X30Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y59 IS_DCM_TILE 0 TILEPROP INT_L_X30Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y59 NAME INT_L_X30Y59 TILEPROP INT_L_X30Y59 NUM_ARCS 3737 TILEPROP INT_L_X30Y59 NUM_SITES 1 TILEPROP INT_L_X30Y59 ROW 94 TILEPROP INT_L_X30Y59 SLR_REGION_ID 0 TILEPROP INT_L_X30Y59 TILE_PATTERN_IDX 6892 TILEPROP INT_L_X30Y59 TILE_TYPE INT_L TILEPROP INT_L_X30Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y59 TILE_X 41784 TILEPROP INT_L_X30Y59 TILE_Y -49600 TILEPROP INT_L_X30Y59 TYPE INT_L TILEPROP INT_L_X30Y60 CLASS tile TILEPROP INT_L_X30Y60 COLUMN 77 TILEPROP INT_L_X30Y60 DEVICE_ID 0 TILEPROP INT_L_X30Y60 FIRST_SITE_ID 9346 TILEPROP INT_L_X30Y60 GRID_POINT_X 77 TILEPROP INT_L_X30Y60 GRID_POINT_Y 93 TILEPROP INT_L_X30Y60 INDEX 10772 TILEPROP INT_L_X30Y60 INT_TILE_X 30 TILEPROP INT_L_X30Y60 INT_TILE_Y 89 TILEPROP INT_L_X30Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y60 IS_DCM_TILE 0 TILEPROP INT_L_X30Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y60 NAME INT_L_X30Y60 TILEPROP INT_L_X30Y60 NUM_ARCS 3737 TILEPROP INT_L_X30Y60 NUM_SITES 1 TILEPROP INT_L_X30Y60 ROW 93 TILEPROP INT_L_X30Y60 SLR_REGION_ID 0 TILEPROP INT_L_X30Y60 TILE_PATTERN_IDX 6855 TILEPROP INT_L_X30Y60 TILE_TYPE INT_L TILEPROP INT_L_X30Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y60 TILE_X 41784 TILEPROP INT_L_X30Y60 TILE_Y -46400 TILEPROP INT_L_X30Y60 TYPE INT_L TILEPROP INT_L_X30Y61 CLASS tile TILEPROP INT_L_X30Y61 COLUMN 77 TILEPROP INT_L_X30Y61 DEVICE_ID 0 TILEPROP INT_L_X30Y61 FIRST_SITE_ID 9237 TILEPROP INT_L_X30Y61 GRID_POINT_X 77 TILEPROP INT_L_X30Y61 GRID_POINT_Y 92 TILEPROP INT_L_X30Y61 INDEX 10657 TILEPROP INT_L_X30Y61 INT_TILE_X 30 TILEPROP INT_L_X30Y61 INT_TILE_Y 88 TILEPROP INT_L_X30Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y61 IS_DCM_TILE 0 TILEPROP INT_L_X30Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y61 NAME INT_L_X30Y61 TILEPROP INT_L_X30Y61 NUM_ARCS 3737 TILEPROP INT_L_X30Y61 NUM_SITES 1 TILEPROP INT_L_X30Y61 ROW 92 TILEPROP INT_L_X30Y61 SLR_REGION_ID 0 TILEPROP INT_L_X30Y61 TILE_PATTERN_IDX 6818 TILEPROP INT_L_X30Y61 TILE_TYPE INT_L TILEPROP INT_L_X30Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y61 TILE_X 41784 TILEPROP INT_L_X30Y61 TILE_Y -43200 TILEPROP INT_L_X30Y61 TYPE INT_L TILEPROP INT_L_X30Y62 CLASS tile TILEPROP INT_L_X30Y62 COLUMN 77 TILEPROP INT_L_X30Y62 DEVICE_ID 0 TILEPROP INT_L_X30Y62 FIRST_SITE_ID 9137 TILEPROP INT_L_X30Y62 GRID_POINT_X 77 TILEPROP INT_L_X30Y62 GRID_POINT_Y 91 TILEPROP INT_L_X30Y62 INDEX 10542 TILEPROP INT_L_X30Y62 INT_TILE_X 30 TILEPROP INT_L_X30Y62 INT_TILE_Y 87 TILEPROP INT_L_X30Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y62 IS_DCM_TILE 0 TILEPROP INT_L_X30Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y62 NAME INT_L_X30Y62 TILEPROP INT_L_X30Y62 NUM_ARCS 3737 TILEPROP INT_L_X30Y62 NUM_SITES 1 TILEPROP INT_L_X30Y62 ROW 91 TILEPROP INT_L_X30Y62 SLR_REGION_ID 0 TILEPROP INT_L_X30Y62 TILE_PATTERN_IDX 6781 TILEPROP INT_L_X30Y62 TILE_TYPE INT_L TILEPROP INT_L_X30Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y62 TILE_X 41784 TILEPROP INT_L_X30Y62 TILE_Y -40000 TILEPROP INT_L_X30Y62 TYPE INT_L TILEPROP INT_L_X30Y63 CLASS tile TILEPROP INT_L_X30Y63 COLUMN 77 TILEPROP INT_L_X30Y63 DEVICE_ID 0 TILEPROP INT_L_X30Y63 FIRST_SITE_ID 9005 TILEPROP INT_L_X30Y63 GRID_POINT_X 77 TILEPROP INT_L_X30Y63 GRID_POINT_Y 90 TILEPROP INT_L_X30Y63 INDEX 10427 TILEPROP INT_L_X30Y63 INT_TILE_X 30 TILEPROP INT_L_X30Y63 INT_TILE_Y 86 TILEPROP INT_L_X30Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y63 IS_DCM_TILE 0 TILEPROP INT_L_X30Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y63 NAME INT_L_X30Y63 TILEPROP INT_L_X30Y63 NUM_ARCS 3737 TILEPROP INT_L_X30Y63 NUM_SITES 1 TILEPROP INT_L_X30Y63 ROW 90 TILEPROP INT_L_X30Y63 SLR_REGION_ID 0 TILEPROP INT_L_X30Y63 TILE_PATTERN_IDX 6744 TILEPROP INT_L_X30Y63 TILE_TYPE INT_L TILEPROP INT_L_X30Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y63 TILE_X 41784 TILEPROP INT_L_X30Y63 TILE_Y -36800 TILEPROP INT_L_X30Y63 TYPE INT_L TILEPROP INT_L_X30Y64 CLASS tile TILEPROP INT_L_X30Y64 COLUMN 77 TILEPROP INT_L_X30Y64 DEVICE_ID 0 TILEPROP INT_L_X30Y64 FIRST_SITE_ID 8905 TILEPROP INT_L_X30Y64 GRID_POINT_X 77 TILEPROP INT_L_X30Y64 GRID_POINT_Y 89 TILEPROP INT_L_X30Y64 INDEX 10312 TILEPROP INT_L_X30Y64 INT_TILE_X 30 TILEPROP INT_L_X30Y64 INT_TILE_Y 85 TILEPROP INT_L_X30Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y64 IS_DCM_TILE 0 TILEPROP INT_L_X30Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y64 NAME INT_L_X30Y64 TILEPROP INT_L_X30Y64 NUM_ARCS 3737 TILEPROP INT_L_X30Y64 NUM_SITES 1 TILEPROP INT_L_X30Y64 ROW 89 TILEPROP INT_L_X30Y64 SLR_REGION_ID 0 TILEPROP INT_L_X30Y64 TILE_PATTERN_IDX 6707 TILEPROP INT_L_X30Y64 TILE_TYPE INT_L TILEPROP INT_L_X30Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y64 TILE_X 41784 TILEPROP INT_L_X30Y64 TILE_Y -33600 TILEPROP INT_L_X30Y64 TYPE INT_L TILEPROP INT_L_X30Y65 CLASS tile TILEPROP INT_L_X30Y65 COLUMN 77 TILEPROP INT_L_X30Y65 DEVICE_ID 0 TILEPROP INT_L_X30Y65 FIRST_SITE_ID 8799 TILEPROP INT_L_X30Y65 GRID_POINT_X 77 TILEPROP INT_L_X30Y65 GRID_POINT_Y 88 TILEPROP INT_L_X30Y65 INDEX 10197 TILEPROP INT_L_X30Y65 INT_TILE_X 30 TILEPROP INT_L_X30Y65 INT_TILE_Y 84 TILEPROP INT_L_X30Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y65 IS_DCM_TILE 0 TILEPROP INT_L_X30Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y65 NAME INT_L_X30Y65 TILEPROP INT_L_X30Y65 NUM_ARCS 3737 TILEPROP INT_L_X30Y65 NUM_SITES 1 TILEPROP INT_L_X30Y65 ROW 88 TILEPROP INT_L_X30Y65 SLR_REGION_ID 0 TILEPROP INT_L_X30Y65 TILE_PATTERN_IDX 6670 TILEPROP INT_L_X30Y65 TILE_TYPE INT_L TILEPROP INT_L_X30Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y65 TILE_X 41784 TILEPROP INT_L_X30Y65 TILE_Y -30400 TILEPROP INT_L_X30Y65 TYPE INT_L TILEPROP INT_L_X30Y66 CLASS tile TILEPROP INT_L_X30Y66 COLUMN 77 TILEPROP INT_L_X30Y66 DEVICE_ID 0 TILEPROP INT_L_X30Y66 FIRST_SITE_ID 8690 TILEPROP INT_L_X30Y66 GRID_POINT_X 77 TILEPROP INT_L_X30Y66 GRID_POINT_Y 87 TILEPROP INT_L_X30Y66 INDEX 10082 TILEPROP INT_L_X30Y66 INT_TILE_X 30 TILEPROP INT_L_X30Y66 INT_TILE_Y 83 TILEPROP INT_L_X30Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y66 IS_DCM_TILE 0 TILEPROP INT_L_X30Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y66 NAME INT_L_X30Y66 TILEPROP INT_L_X30Y66 NUM_ARCS 3737 TILEPROP INT_L_X30Y66 NUM_SITES 1 TILEPROP INT_L_X30Y66 ROW 87 TILEPROP INT_L_X30Y66 SLR_REGION_ID 0 TILEPROP INT_L_X30Y66 TILE_PATTERN_IDX 6633 TILEPROP INT_L_X30Y66 TILE_TYPE INT_L TILEPROP INT_L_X30Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y66 TILE_X 41784 TILEPROP INT_L_X30Y66 TILE_Y -27200 TILEPROP INT_L_X30Y66 TYPE INT_L TILEPROP INT_L_X30Y67 CLASS tile TILEPROP INT_L_X30Y67 COLUMN 77 TILEPROP INT_L_X30Y67 DEVICE_ID 0 TILEPROP INT_L_X30Y67 FIRST_SITE_ID 8586 TILEPROP INT_L_X30Y67 GRID_POINT_X 77 TILEPROP INT_L_X30Y67 GRID_POINT_Y 86 TILEPROP INT_L_X30Y67 INDEX 9967 TILEPROP INT_L_X30Y67 INT_TILE_X 30 TILEPROP INT_L_X30Y67 INT_TILE_Y 82 TILEPROP INT_L_X30Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y67 IS_DCM_TILE 0 TILEPROP INT_L_X30Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y67 NAME INT_L_X30Y67 TILEPROP INT_L_X30Y67 NUM_ARCS 3737 TILEPROP INT_L_X30Y67 NUM_SITES 1 TILEPROP INT_L_X30Y67 ROW 86 TILEPROP INT_L_X30Y67 SLR_REGION_ID 0 TILEPROP INT_L_X30Y67 TILE_PATTERN_IDX 6595 TILEPROP INT_L_X30Y67 TILE_TYPE INT_L TILEPROP INT_L_X30Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y67 TILE_X 41784 TILEPROP INT_L_X30Y67 TILE_Y -24000 TILEPROP INT_L_X30Y67 TYPE INT_L TILEPROP INT_L_X30Y68 CLASS tile TILEPROP INT_L_X30Y68 COLUMN 77 TILEPROP INT_L_X30Y68 DEVICE_ID 0 TILEPROP INT_L_X30Y68 FIRST_SITE_ID 8482 TILEPROP INT_L_X30Y68 GRID_POINT_X 77 TILEPROP INT_L_X30Y68 GRID_POINT_Y 85 TILEPROP INT_L_X30Y68 INDEX 9852 TILEPROP INT_L_X30Y68 INT_TILE_X 30 TILEPROP INT_L_X30Y68 INT_TILE_Y 81 TILEPROP INT_L_X30Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y68 IS_DCM_TILE 0 TILEPROP INT_L_X30Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y68 NAME INT_L_X30Y68 TILEPROP INT_L_X30Y68 NUM_ARCS 3737 TILEPROP INT_L_X30Y68 NUM_SITES 1 TILEPROP INT_L_X30Y68 ROW 85 TILEPROP INT_L_X30Y68 SLR_REGION_ID 0 TILEPROP INT_L_X30Y68 TILE_PATTERN_IDX 6563 TILEPROP INT_L_X30Y68 TILE_TYPE INT_L TILEPROP INT_L_X30Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y68 TILE_X 41784 TILEPROP INT_L_X30Y68 TILE_Y -20800 TILEPROP INT_L_X30Y68 TYPE INT_L TILEPROP INT_L_X30Y69 CLASS tile TILEPROP INT_L_X30Y69 COLUMN 77 TILEPROP INT_L_X30Y69 DEVICE_ID 0 TILEPROP INT_L_X30Y69 FIRST_SITE_ID 8380 TILEPROP INT_L_X30Y69 GRID_POINT_X 77 TILEPROP INT_L_X30Y69 GRID_POINT_Y 84 TILEPROP INT_L_X30Y69 INDEX 9737 TILEPROP INT_L_X30Y69 INT_TILE_X 30 TILEPROP INT_L_X30Y69 INT_TILE_Y 80 TILEPROP INT_L_X30Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y69 IS_DCM_TILE 0 TILEPROP INT_L_X30Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y69 NAME INT_L_X30Y69 TILEPROP INT_L_X30Y69 NUM_ARCS 3737 TILEPROP INT_L_X30Y69 NUM_SITES 1 TILEPROP INT_L_X30Y69 ROW 84 TILEPROP INT_L_X30Y69 SLR_REGION_ID 0 TILEPROP INT_L_X30Y69 TILE_PATTERN_IDX 6532 TILEPROP INT_L_X30Y69 TILE_TYPE INT_L TILEPROP INT_L_X30Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y69 TILE_X 41784 TILEPROP INT_L_X30Y69 TILE_Y -17600 TILEPROP INT_L_X30Y69 TYPE INT_L TILEPROP INT_L_X30Y70 CLASS tile TILEPROP INT_L_X30Y70 COLUMN 77 TILEPROP INT_L_X30Y70 DEVICE_ID 0 TILEPROP INT_L_X30Y70 FIRST_SITE_ID 8272 TILEPROP INT_L_X30Y70 GRID_POINT_X 77 TILEPROP INT_L_X30Y70 GRID_POINT_Y 83 TILEPROP INT_L_X30Y70 INDEX 9622 TILEPROP INT_L_X30Y70 INT_TILE_X 30 TILEPROP INT_L_X30Y70 INT_TILE_Y 79 TILEPROP INT_L_X30Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y70 IS_DCM_TILE 0 TILEPROP INT_L_X30Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y70 NAME INT_L_X30Y70 TILEPROP INT_L_X30Y70 NUM_ARCS 3737 TILEPROP INT_L_X30Y70 NUM_SITES 1 TILEPROP INT_L_X30Y70 ROW 83 TILEPROP INT_L_X30Y70 SLR_REGION_ID 0 TILEPROP INT_L_X30Y70 TILE_PATTERN_IDX 6501 TILEPROP INT_L_X30Y70 TILE_TYPE INT_L TILEPROP INT_L_X30Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y70 TILE_X 41784 TILEPROP INT_L_X30Y70 TILE_Y -14400 TILEPROP INT_L_X30Y70 TYPE INT_L TILEPROP INT_L_X30Y71 CLASS tile TILEPROP INT_L_X30Y71 COLUMN 77 TILEPROP INT_L_X30Y71 DEVICE_ID 0 TILEPROP INT_L_X30Y71 FIRST_SITE_ID 8163 TILEPROP INT_L_X30Y71 GRID_POINT_X 77 TILEPROP INT_L_X30Y71 GRID_POINT_Y 82 TILEPROP INT_L_X30Y71 INDEX 9507 TILEPROP INT_L_X30Y71 INT_TILE_X 30 TILEPROP INT_L_X30Y71 INT_TILE_Y 78 TILEPROP INT_L_X30Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y71 IS_DCM_TILE 0 TILEPROP INT_L_X30Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y71 NAME INT_L_X30Y71 TILEPROP INT_L_X30Y71 NUM_ARCS 3737 TILEPROP INT_L_X30Y71 NUM_SITES 1 TILEPROP INT_L_X30Y71 ROW 82 TILEPROP INT_L_X30Y71 SLR_REGION_ID 0 TILEPROP INT_L_X30Y71 TILE_PATTERN_IDX 6470 TILEPROP INT_L_X30Y71 TILE_TYPE INT_L TILEPROP INT_L_X30Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y71 TILE_X 41784 TILEPROP INT_L_X30Y71 TILE_Y -11200 TILEPROP INT_L_X30Y71 TYPE INT_L TILEPROP INT_L_X30Y72 CLASS tile TILEPROP INT_L_X30Y72 COLUMN 77 TILEPROP INT_L_X30Y72 DEVICE_ID 0 TILEPROP INT_L_X30Y72 FIRST_SITE_ID 8063 TILEPROP INT_L_X30Y72 GRID_POINT_X 77 TILEPROP INT_L_X30Y72 GRID_POINT_Y 81 TILEPROP INT_L_X30Y72 INDEX 9392 TILEPROP INT_L_X30Y72 INT_TILE_X 30 TILEPROP INT_L_X30Y72 INT_TILE_Y 77 TILEPROP INT_L_X30Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y72 IS_DCM_TILE 0 TILEPROP INT_L_X30Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y72 NAME INT_L_X30Y72 TILEPROP INT_L_X30Y72 NUM_ARCS 3737 TILEPROP INT_L_X30Y72 NUM_SITES 1 TILEPROP INT_L_X30Y72 ROW 81 TILEPROP INT_L_X30Y72 SLR_REGION_ID 0 TILEPROP INT_L_X30Y72 TILE_PATTERN_IDX 6439 TILEPROP INT_L_X30Y72 TILE_TYPE INT_L TILEPROP INT_L_X30Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y72 TILE_X 41784 TILEPROP INT_L_X30Y72 TILE_Y -8000 TILEPROP INT_L_X30Y72 TYPE INT_L TILEPROP INT_L_X30Y73 CLASS tile TILEPROP INT_L_X30Y73 COLUMN 77 TILEPROP INT_L_X30Y73 DEVICE_ID 0 TILEPROP INT_L_X30Y73 FIRST_SITE_ID 7963 TILEPROP INT_L_X30Y73 GRID_POINT_X 77 TILEPROP INT_L_X30Y73 GRID_POINT_Y 80 TILEPROP INT_L_X30Y73 INDEX 9277 TILEPROP INT_L_X30Y73 INT_TILE_X 30 TILEPROP INT_L_X30Y73 INT_TILE_Y 76 TILEPROP INT_L_X30Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y73 IS_DCM_TILE 0 TILEPROP INT_L_X30Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y73 NAME INT_L_X30Y73 TILEPROP INT_L_X30Y73 NUM_ARCS 3737 TILEPROP INT_L_X30Y73 NUM_SITES 1 TILEPROP INT_L_X30Y73 ROW 80 TILEPROP INT_L_X30Y73 SLR_REGION_ID 0 TILEPROP INT_L_X30Y73 TILE_PATTERN_IDX 6408 TILEPROP INT_L_X30Y73 TILE_TYPE INT_L TILEPROP INT_L_X30Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y73 TILE_X 41784 TILEPROP INT_L_X30Y73 TILE_Y -4800 TILEPROP INT_L_X30Y73 TYPE INT_L TILEPROP INT_L_X30Y74 CLASS tile TILEPROP INT_L_X30Y74 COLUMN 77 TILEPROP INT_L_X30Y74 DEVICE_ID 0 TILEPROP INT_L_X30Y74 FIRST_SITE_ID 7863 TILEPROP INT_L_X30Y74 GRID_POINT_X 77 TILEPROP INT_L_X30Y74 GRID_POINT_Y 79 TILEPROP INT_L_X30Y74 INDEX 9162 TILEPROP INT_L_X30Y74 INT_TILE_X 30 TILEPROP INT_L_X30Y74 INT_TILE_Y 75 TILEPROP INT_L_X30Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y74 IS_DCM_TILE 0 TILEPROP INT_L_X30Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y74 NAME INT_L_X30Y74 TILEPROP INT_L_X30Y74 NUM_ARCS 3737 TILEPROP INT_L_X30Y74 NUM_SITES 1 TILEPROP INT_L_X30Y74 ROW 79 TILEPROP INT_L_X30Y74 SLR_REGION_ID 0 TILEPROP INT_L_X30Y74 TILE_PATTERN_IDX 6377 TILEPROP INT_L_X30Y74 TILE_TYPE INT_L TILEPROP INT_L_X30Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y74 TILE_X 41784 TILEPROP INT_L_X30Y74 TILE_Y -1600 TILEPROP INT_L_X30Y74 TYPE INT_L TILEPROP INT_L_X30Y75 CLASS tile TILEPROP INT_L_X30Y75 COLUMN 77 TILEPROP INT_L_X30Y75 DEVICE_ID 0 TILEPROP INT_L_X30Y75 FIRST_SITE_ID 7674 TILEPROP INT_L_X30Y75 GRID_POINT_X 77 TILEPROP INT_L_X30Y75 GRID_POINT_Y 77 TILEPROP INT_L_X30Y75 INDEX 8932 TILEPROP INT_L_X30Y75 INT_TILE_X 30 TILEPROP INT_L_X30Y75 INT_TILE_Y 74 TILEPROP INT_L_X30Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y75 IS_DCM_TILE 0 TILEPROP INT_L_X30Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y75 NAME INT_L_X30Y75 TILEPROP INT_L_X30Y75 NUM_ARCS 3737 TILEPROP INT_L_X30Y75 NUM_SITES 1 TILEPROP INT_L_X30Y75 ROW 77 TILEPROP INT_L_X30Y75 SLR_REGION_ID 0 TILEPROP INT_L_X30Y75 TILE_PATTERN_IDX 6303 TILEPROP INT_L_X30Y75 TILE_TYPE INT_L TILEPROP INT_L_X30Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y75 TILE_X 41784 TILEPROP INT_L_X30Y75 TILE_Y 2624 TILEPROP INT_L_X30Y75 TYPE INT_L TILEPROP INT_L_X30Y76 CLASS tile TILEPROP INT_L_X30Y76 COLUMN 77 TILEPROP INT_L_X30Y76 DEVICE_ID 0 TILEPROP INT_L_X30Y76 FIRST_SITE_ID 7562 TILEPROP INT_L_X30Y76 GRID_POINT_X 77 TILEPROP INT_L_X30Y76 GRID_POINT_Y 76 TILEPROP INT_L_X30Y76 INDEX 8817 TILEPROP INT_L_X30Y76 INT_TILE_X 30 TILEPROP INT_L_X30Y76 INT_TILE_Y 73 TILEPROP INT_L_X30Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y76 IS_DCM_TILE 0 TILEPROP INT_L_X30Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y76 NAME INT_L_X30Y76 TILEPROP INT_L_X30Y76 NUM_ARCS 3737 TILEPROP INT_L_X30Y76 NUM_SITES 1 TILEPROP INT_L_X30Y76 ROW 76 TILEPROP INT_L_X30Y76 SLR_REGION_ID 0 TILEPROP INT_L_X30Y76 TILE_PATTERN_IDX 6269 TILEPROP INT_L_X30Y76 TILE_TYPE INT_L TILEPROP INT_L_X30Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y76 TILE_X 41784 TILEPROP INT_L_X30Y76 TILE_Y 5824 TILEPROP INT_L_X30Y76 TYPE INT_L TILEPROP INT_L_X30Y77 CLASS tile TILEPROP INT_L_X30Y77 COLUMN 77 TILEPROP INT_L_X30Y77 DEVICE_ID 0 TILEPROP INT_L_X30Y77 FIRST_SITE_ID 7462 TILEPROP INT_L_X30Y77 GRID_POINT_X 77 TILEPROP INT_L_X30Y77 GRID_POINT_Y 75 TILEPROP INT_L_X30Y77 INDEX 8702 TILEPROP INT_L_X30Y77 INT_TILE_X 30 TILEPROP INT_L_X30Y77 INT_TILE_Y 72 TILEPROP INT_L_X30Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y77 IS_DCM_TILE 0 TILEPROP INT_L_X30Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y77 NAME INT_L_X30Y77 TILEPROP INT_L_X30Y77 NUM_ARCS 3737 TILEPROP INT_L_X30Y77 NUM_SITES 1 TILEPROP INT_L_X30Y77 ROW 75 TILEPROP INT_L_X30Y77 SLR_REGION_ID 0 TILEPROP INT_L_X30Y77 TILE_PATTERN_IDX 6235 TILEPROP INT_L_X30Y77 TILE_TYPE INT_L TILEPROP INT_L_X30Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y77 TILE_X 41784 TILEPROP INT_L_X30Y77 TILE_Y 9024 TILEPROP INT_L_X30Y77 TYPE INT_L TILEPROP INT_L_X30Y78 CLASS tile TILEPROP INT_L_X30Y78 COLUMN 77 TILEPROP INT_L_X30Y78 DEVICE_ID 0 TILEPROP INT_L_X30Y78 FIRST_SITE_ID 7362 TILEPROP INT_L_X30Y78 GRID_POINT_X 77 TILEPROP INT_L_X30Y78 GRID_POINT_Y 74 TILEPROP INT_L_X30Y78 INDEX 8587 TILEPROP INT_L_X30Y78 INT_TILE_X 30 TILEPROP INT_L_X30Y78 INT_TILE_Y 71 TILEPROP INT_L_X30Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y78 IS_DCM_TILE 0 TILEPROP INT_L_X30Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y78 NAME INT_L_X30Y78 TILEPROP INT_L_X30Y78 NUM_ARCS 3737 TILEPROP INT_L_X30Y78 NUM_SITES 1 TILEPROP INT_L_X30Y78 ROW 74 TILEPROP INT_L_X30Y78 SLR_REGION_ID 0 TILEPROP INT_L_X30Y78 TILE_PATTERN_IDX 6202 TILEPROP INT_L_X30Y78 TILE_TYPE INT_L TILEPROP INT_L_X30Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y78 TILE_X 41784 TILEPROP INT_L_X30Y78 TILE_Y 12224 TILEPROP INT_L_X30Y78 TYPE INT_L TILEPROP INT_L_X30Y79 CLASS tile TILEPROP INT_L_X30Y79 COLUMN 77 TILEPROP INT_L_X30Y79 DEVICE_ID 0 TILEPROP INT_L_X30Y79 FIRST_SITE_ID 7256 TILEPROP INT_L_X30Y79 GRID_POINT_X 77 TILEPROP INT_L_X30Y79 GRID_POINT_Y 73 TILEPROP INT_L_X30Y79 INDEX 8472 TILEPROP INT_L_X30Y79 INT_TILE_X 30 TILEPROP INT_L_X30Y79 INT_TILE_Y 70 TILEPROP INT_L_X30Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y79 IS_DCM_TILE 0 TILEPROP INT_L_X30Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y79 NAME INT_L_X30Y79 TILEPROP INT_L_X30Y79 NUM_ARCS 3737 TILEPROP INT_L_X30Y79 NUM_SITES 1 TILEPROP INT_L_X30Y79 ROW 73 TILEPROP INT_L_X30Y79 SLR_REGION_ID 0 TILEPROP INT_L_X30Y79 TILE_PATTERN_IDX 6167 TILEPROP INT_L_X30Y79 TILE_TYPE INT_L TILEPROP INT_L_X30Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y79 TILE_X 41784 TILEPROP INT_L_X30Y79 TILE_Y 15424 TILEPROP INT_L_X30Y79 TYPE INT_L TILEPROP INT_L_X30Y80 CLASS tile TILEPROP INT_L_X30Y80 COLUMN 77 TILEPROP INT_L_X30Y80 DEVICE_ID 0 TILEPROP INT_L_X30Y80 FIRST_SITE_ID 7144 TILEPROP INT_L_X30Y80 GRID_POINT_X 77 TILEPROP INT_L_X30Y80 GRID_POINT_Y 72 TILEPROP INT_L_X30Y80 INDEX 8357 TILEPROP INT_L_X30Y80 INT_TILE_X 30 TILEPROP INT_L_X30Y80 INT_TILE_Y 69 TILEPROP INT_L_X30Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y80 IS_DCM_TILE 0 TILEPROP INT_L_X30Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y80 NAME INT_L_X30Y80 TILEPROP INT_L_X30Y80 NUM_ARCS 3737 TILEPROP INT_L_X30Y80 NUM_SITES 1 TILEPROP INT_L_X30Y80 ROW 72 TILEPROP INT_L_X30Y80 SLR_REGION_ID 0 TILEPROP INT_L_X30Y80 TILE_PATTERN_IDX 6132 TILEPROP INT_L_X30Y80 TILE_TYPE INT_L TILEPROP INT_L_X30Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y80 TILE_X 41784 TILEPROP INT_L_X30Y80 TILE_Y 18624 TILEPROP INT_L_X30Y80 TYPE INT_L TILEPROP INT_L_X30Y81 CLASS tile TILEPROP INT_L_X30Y81 COLUMN 77 TILEPROP INT_L_X30Y81 DEVICE_ID 0 TILEPROP INT_L_X30Y81 FIRST_SITE_ID 7033 TILEPROP INT_L_X30Y81 GRID_POINT_X 77 TILEPROP INT_L_X30Y81 GRID_POINT_Y 71 TILEPROP INT_L_X30Y81 INDEX 8242 TILEPROP INT_L_X30Y81 INT_TILE_X 30 TILEPROP INT_L_X30Y81 INT_TILE_Y 68 TILEPROP INT_L_X30Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y81 IS_DCM_TILE 0 TILEPROP INT_L_X30Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y81 NAME INT_L_X30Y81 TILEPROP INT_L_X30Y81 NUM_ARCS 3737 TILEPROP INT_L_X30Y81 NUM_SITES 1 TILEPROP INT_L_X30Y81 ROW 71 TILEPROP INT_L_X30Y81 SLR_REGION_ID 0 TILEPROP INT_L_X30Y81 TILE_PATTERN_IDX 6098 TILEPROP INT_L_X30Y81 TILE_TYPE INT_L TILEPROP INT_L_X30Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y81 TILE_X 41784 TILEPROP INT_L_X30Y81 TILE_Y 21824 TILEPROP INT_L_X30Y81 TYPE INT_L TILEPROP INT_L_X30Y82 CLASS tile TILEPROP INT_L_X30Y82 COLUMN 77 TILEPROP INT_L_X30Y82 DEVICE_ID 0 TILEPROP INT_L_X30Y82 FIRST_SITE_ID 6931 TILEPROP INT_L_X30Y82 GRID_POINT_X 77 TILEPROP INT_L_X30Y82 GRID_POINT_Y 70 TILEPROP INT_L_X30Y82 INDEX 8127 TILEPROP INT_L_X30Y82 INT_TILE_X 30 TILEPROP INT_L_X30Y82 INT_TILE_Y 67 TILEPROP INT_L_X30Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y82 IS_DCM_TILE 0 TILEPROP INT_L_X30Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y82 NAME INT_L_X30Y82 TILEPROP INT_L_X30Y82 NUM_ARCS 3737 TILEPROP INT_L_X30Y82 NUM_SITES 1 TILEPROP INT_L_X30Y82 ROW 70 TILEPROP INT_L_X30Y82 SLR_REGION_ID 0 TILEPROP INT_L_X30Y82 TILE_PATTERN_IDX 6064 TILEPROP INT_L_X30Y82 TILE_TYPE INT_L TILEPROP INT_L_X30Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y82 TILE_X 41784 TILEPROP INT_L_X30Y82 TILE_Y 25024 TILEPROP INT_L_X30Y82 TYPE INT_L TILEPROP INT_L_X30Y83 CLASS tile TILEPROP INT_L_X30Y83 COLUMN 77 TILEPROP INT_L_X30Y83 DEVICE_ID 0 TILEPROP INT_L_X30Y83 FIRST_SITE_ID 6831 TILEPROP INT_L_X30Y83 GRID_POINT_X 77 TILEPROP INT_L_X30Y83 GRID_POINT_Y 69 TILEPROP INT_L_X30Y83 INDEX 8012 TILEPROP INT_L_X30Y83 INT_TILE_X 30 TILEPROP INT_L_X30Y83 INT_TILE_Y 66 TILEPROP INT_L_X30Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y83 IS_DCM_TILE 0 TILEPROP INT_L_X30Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y83 NAME INT_L_X30Y83 TILEPROP INT_L_X30Y83 NUM_ARCS 3737 TILEPROP INT_L_X30Y83 NUM_SITES 1 TILEPROP INT_L_X30Y83 ROW 69 TILEPROP INT_L_X30Y83 SLR_REGION_ID 0 TILEPROP INT_L_X30Y83 TILE_PATTERN_IDX 6030 TILEPROP INT_L_X30Y83 TILE_TYPE INT_L TILEPROP INT_L_X30Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y83 TILE_X 41784 TILEPROP INT_L_X30Y83 TILE_Y 28224 TILEPROP INT_L_X30Y83 TYPE INT_L TILEPROP INT_L_X30Y84 CLASS tile TILEPROP INT_L_X30Y84 COLUMN 77 TILEPROP INT_L_X30Y84 DEVICE_ID 0 TILEPROP INT_L_X30Y84 FIRST_SITE_ID 6731 TILEPROP INT_L_X30Y84 GRID_POINT_X 77 TILEPROP INT_L_X30Y84 GRID_POINT_Y 68 TILEPROP INT_L_X30Y84 INDEX 7897 TILEPROP INT_L_X30Y84 INT_TILE_X 30 TILEPROP INT_L_X30Y84 INT_TILE_Y 65 TILEPROP INT_L_X30Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y84 IS_DCM_TILE 0 TILEPROP INT_L_X30Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y84 NAME INT_L_X30Y84 TILEPROP INT_L_X30Y84 NUM_ARCS 3737 TILEPROP INT_L_X30Y84 NUM_SITES 1 TILEPROP INT_L_X30Y84 ROW 68 TILEPROP INT_L_X30Y84 SLR_REGION_ID 0 TILEPROP INT_L_X30Y84 TILE_PATTERN_IDX 5996 TILEPROP INT_L_X30Y84 TILE_TYPE INT_L TILEPROP INT_L_X30Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y84 TILE_X 41784 TILEPROP INT_L_X30Y84 TILE_Y 31424 TILEPROP INT_L_X30Y84 TYPE INT_L TILEPROP INT_L_X30Y85 CLASS tile TILEPROP INT_L_X30Y85 COLUMN 77 TILEPROP INT_L_X30Y85 DEVICE_ID 0 TILEPROP INT_L_X30Y85 FIRST_SITE_ID 6625 TILEPROP INT_L_X30Y85 GRID_POINT_X 77 TILEPROP INT_L_X30Y85 GRID_POINT_Y 67 TILEPROP INT_L_X30Y85 INDEX 7782 TILEPROP INT_L_X30Y85 INT_TILE_X 30 TILEPROP INT_L_X30Y85 INT_TILE_Y 64 TILEPROP INT_L_X30Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y85 IS_DCM_TILE 0 TILEPROP INT_L_X30Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y85 NAME INT_L_X30Y85 TILEPROP INT_L_X30Y85 NUM_ARCS 3737 TILEPROP INT_L_X30Y85 NUM_SITES 1 TILEPROP INT_L_X30Y85 ROW 67 TILEPROP INT_L_X30Y85 SLR_REGION_ID 0 TILEPROP INT_L_X30Y85 TILE_PATTERN_IDX 5962 TILEPROP INT_L_X30Y85 TILE_TYPE INT_L TILEPROP INT_L_X30Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y85 TILE_X 41784 TILEPROP INT_L_X30Y85 TILE_Y 34624 TILEPROP INT_L_X30Y85 TYPE INT_L TILEPROP INT_L_X30Y86 CLASS tile TILEPROP INT_L_X30Y86 COLUMN 77 TILEPROP INT_L_X30Y86 DEVICE_ID 0 TILEPROP INT_L_X30Y86 FIRST_SITE_ID 6516 TILEPROP INT_L_X30Y86 GRID_POINT_X 77 TILEPROP INT_L_X30Y86 GRID_POINT_Y 66 TILEPROP INT_L_X30Y86 INDEX 7667 TILEPROP INT_L_X30Y86 INT_TILE_X 30 TILEPROP INT_L_X30Y86 INT_TILE_Y 63 TILEPROP INT_L_X30Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y86 IS_DCM_TILE 0 TILEPROP INT_L_X30Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y86 NAME INT_L_X30Y86 TILEPROP INT_L_X30Y86 NUM_ARCS 3737 TILEPROP INT_L_X30Y86 NUM_SITES 1 TILEPROP INT_L_X30Y86 ROW 66 TILEPROP INT_L_X30Y86 SLR_REGION_ID 0 TILEPROP INT_L_X30Y86 TILE_PATTERN_IDX 5927 TILEPROP INT_L_X30Y86 TILE_TYPE INT_L TILEPROP INT_L_X30Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y86 TILE_X 41784 TILEPROP INT_L_X30Y86 TILE_Y 37824 TILEPROP INT_L_X30Y86 TYPE INT_L TILEPROP INT_L_X30Y87 CLASS tile TILEPROP INT_L_X30Y87 COLUMN 77 TILEPROP INT_L_X30Y87 DEVICE_ID 0 TILEPROP INT_L_X30Y87 FIRST_SITE_ID 6384 TILEPROP INT_L_X30Y87 GRID_POINT_X 77 TILEPROP INT_L_X30Y87 GRID_POINT_Y 65 TILEPROP INT_L_X30Y87 INDEX 7552 TILEPROP INT_L_X30Y87 INT_TILE_X 30 TILEPROP INT_L_X30Y87 INT_TILE_Y 62 TILEPROP INT_L_X30Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y87 IS_DCM_TILE 0 TILEPROP INT_L_X30Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y87 NAME INT_L_X30Y87 TILEPROP INT_L_X30Y87 NUM_ARCS 3737 TILEPROP INT_L_X30Y87 NUM_SITES 1 TILEPROP INT_L_X30Y87 ROW 65 TILEPROP INT_L_X30Y87 SLR_REGION_ID 0 TILEPROP INT_L_X30Y87 TILE_PATTERN_IDX 5892 TILEPROP INT_L_X30Y87 TILE_TYPE INT_L TILEPROP INT_L_X30Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y87 TILE_X 41784 TILEPROP INT_L_X30Y87 TILE_Y 41024 TILEPROP INT_L_X30Y87 TYPE INT_L TILEPROP INT_L_X30Y88 CLASS tile TILEPROP INT_L_X30Y88 COLUMN 77 TILEPROP INT_L_X30Y88 DEVICE_ID 0 TILEPROP INT_L_X30Y88 FIRST_SITE_ID 6284 TILEPROP INT_L_X30Y88 GRID_POINT_X 77 TILEPROP INT_L_X30Y88 GRID_POINT_Y 64 TILEPROP INT_L_X30Y88 INDEX 7437 TILEPROP INT_L_X30Y88 INT_TILE_X 30 TILEPROP INT_L_X30Y88 INT_TILE_Y 61 TILEPROP INT_L_X30Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y88 IS_DCM_TILE 0 TILEPROP INT_L_X30Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y88 NAME INT_L_X30Y88 TILEPROP INT_L_X30Y88 NUM_ARCS 3737 TILEPROP INT_L_X30Y88 NUM_SITES 1 TILEPROP INT_L_X30Y88 ROW 64 TILEPROP INT_L_X30Y88 SLR_REGION_ID 0 TILEPROP INT_L_X30Y88 TILE_PATTERN_IDX 5859 TILEPROP INT_L_X30Y88 TILE_TYPE INT_L TILEPROP INT_L_X30Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y88 TILE_X 41784 TILEPROP INT_L_X30Y88 TILE_Y 44224 TILEPROP INT_L_X30Y88 TYPE INT_L TILEPROP INT_L_X30Y89 CLASS tile TILEPROP INT_L_X30Y89 COLUMN 77 TILEPROP INT_L_X30Y89 DEVICE_ID 0 TILEPROP INT_L_X30Y89 FIRST_SITE_ID 6184 TILEPROP INT_L_X30Y89 GRID_POINT_X 77 TILEPROP INT_L_X30Y89 GRID_POINT_Y 63 TILEPROP INT_L_X30Y89 INDEX 7322 TILEPROP INT_L_X30Y89 INT_TILE_X 30 TILEPROP INT_L_X30Y89 INT_TILE_Y 60 TILEPROP INT_L_X30Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y89 IS_DCM_TILE 0 TILEPROP INT_L_X30Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y89 NAME INT_L_X30Y89 TILEPROP INT_L_X30Y89 NUM_ARCS 3737 TILEPROP INT_L_X30Y89 NUM_SITES 1 TILEPROP INT_L_X30Y89 ROW 63 TILEPROP INT_L_X30Y89 SLR_REGION_ID 0 TILEPROP INT_L_X30Y89 TILE_PATTERN_IDX 5825 TILEPROP INT_L_X30Y89 TILE_TYPE INT_L TILEPROP INT_L_X30Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y89 TILE_X 41784 TILEPROP INT_L_X30Y89 TILE_Y 47424 TILEPROP INT_L_X30Y89 TYPE INT_L TILEPROP INT_L_X30Y90 CLASS tile TILEPROP INT_L_X30Y90 COLUMN 77 TILEPROP INT_L_X30Y90 DEVICE_ID 0 TILEPROP INT_L_X30Y90 FIRST_SITE_ID 6078 TILEPROP INT_L_X30Y90 GRID_POINT_X 77 TILEPROP INT_L_X30Y90 GRID_POINT_Y 62 TILEPROP INT_L_X30Y90 INDEX 7207 TILEPROP INT_L_X30Y90 INT_TILE_X 30 TILEPROP INT_L_X30Y90 INT_TILE_Y 59 TILEPROP INT_L_X30Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y90 IS_DCM_TILE 0 TILEPROP INT_L_X30Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y90 NAME INT_L_X30Y90 TILEPROP INT_L_X30Y90 NUM_ARCS 3737 TILEPROP INT_L_X30Y90 NUM_SITES 1 TILEPROP INT_L_X30Y90 ROW 62 TILEPROP INT_L_X30Y90 SLR_REGION_ID 0 TILEPROP INT_L_X30Y90 TILE_PATTERN_IDX 5791 TILEPROP INT_L_X30Y90 TILE_TYPE INT_L TILEPROP INT_L_X30Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y90 TILE_X 41784 TILEPROP INT_L_X30Y90 TILE_Y 50624 TILEPROP INT_L_X30Y90 TYPE INT_L TILEPROP INT_L_X30Y91 CLASS tile TILEPROP INT_L_X30Y91 COLUMN 77 TILEPROP INT_L_X30Y91 DEVICE_ID 0 TILEPROP INT_L_X30Y91 FIRST_SITE_ID 5969 TILEPROP INT_L_X30Y91 GRID_POINT_X 77 TILEPROP INT_L_X30Y91 GRID_POINT_Y 61 TILEPROP INT_L_X30Y91 INDEX 7092 TILEPROP INT_L_X30Y91 INT_TILE_X 30 TILEPROP INT_L_X30Y91 INT_TILE_Y 58 TILEPROP INT_L_X30Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y91 IS_DCM_TILE 0 TILEPROP INT_L_X30Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y91 NAME INT_L_X30Y91 TILEPROP INT_L_X30Y91 NUM_ARCS 3737 TILEPROP INT_L_X30Y91 NUM_SITES 1 TILEPROP INT_L_X30Y91 ROW 61 TILEPROP INT_L_X30Y91 SLR_REGION_ID 0 TILEPROP INT_L_X30Y91 TILE_PATTERN_IDX 5757 TILEPROP INT_L_X30Y91 TILE_TYPE INT_L TILEPROP INT_L_X30Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y91 TILE_X 41784 TILEPROP INT_L_X30Y91 TILE_Y 53824 TILEPROP INT_L_X30Y91 TYPE INT_L TILEPROP INT_L_X30Y92 CLASS tile TILEPROP INT_L_X30Y92 COLUMN 77 TILEPROP INT_L_X30Y92 DEVICE_ID 0 TILEPROP INT_L_X30Y92 FIRST_SITE_ID 5868 TILEPROP INT_L_X30Y92 GRID_POINT_X 77 TILEPROP INT_L_X30Y92 GRID_POINT_Y 60 TILEPROP INT_L_X30Y92 INDEX 6977 TILEPROP INT_L_X30Y92 INT_TILE_X 30 TILEPROP INT_L_X30Y92 INT_TILE_Y 57 TILEPROP INT_L_X30Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y92 IS_DCM_TILE 0 TILEPROP INT_L_X30Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y92 NAME INT_L_X30Y92 TILEPROP INT_L_X30Y92 NUM_ARCS 3737 TILEPROP INT_L_X30Y92 NUM_SITES 1 TILEPROP INT_L_X30Y92 ROW 60 TILEPROP INT_L_X30Y92 SLR_REGION_ID 0 TILEPROP INT_L_X30Y92 TILE_PATTERN_IDX 5724 TILEPROP INT_L_X30Y92 TILE_TYPE INT_L TILEPROP INT_L_X30Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y92 TILE_X 41784 TILEPROP INT_L_X30Y92 TILE_Y 57024 TILEPROP INT_L_X30Y92 TYPE INT_L TILEPROP INT_L_X30Y93 CLASS tile TILEPROP INT_L_X30Y93 COLUMN 77 TILEPROP INT_L_X30Y93 DEVICE_ID 0 TILEPROP INT_L_X30Y93 FIRST_SITE_ID 5765 TILEPROP INT_L_X30Y93 GRID_POINT_X 77 TILEPROP INT_L_X30Y93 GRID_POINT_Y 59 TILEPROP INT_L_X30Y93 INDEX 6862 TILEPROP INT_L_X30Y93 INT_TILE_X 30 TILEPROP INT_L_X30Y93 INT_TILE_Y 56 TILEPROP INT_L_X30Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y93 IS_DCM_TILE 0 TILEPROP INT_L_X30Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y93 NAME INT_L_X30Y93 TILEPROP INT_L_X30Y93 NUM_ARCS 3737 TILEPROP INT_L_X30Y93 NUM_SITES 1 TILEPROP INT_L_X30Y93 ROW 59 TILEPROP INT_L_X30Y93 SLR_REGION_ID 0 TILEPROP INT_L_X30Y93 TILE_PATTERN_IDX 5690 TILEPROP INT_L_X30Y93 TILE_TYPE INT_L TILEPROP INT_L_X30Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y93 TILE_X 41784 TILEPROP INT_L_X30Y93 TILE_Y 60224 TILEPROP INT_L_X30Y93 TYPE INT_L TILEPROP INT_L_X30Y94 CLASS tile TILEPROP INT_L_X30Y94 COLUMN 77 TILEPROP INT_L_X30Y94 DEVICE_ID 0 TILEPROP INT_L_X30Y94 FIRST_SITE_ID 5663 TILEPROP INT_L_X30Y94 GRID_POINT_X 77 TILEPROP INT_L_X30Y94 GRID_POINT_Y 58 TILEPROP INT_L_X30Y94 INDEX 6747 TILEPROP INT_L_X30Y94 INT_TILE_X 30 TILEPROP INT_L_X30Y94 INT_TILE_Y 55 TILEPROP INT_L_X30Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y94 IS_DCM_TILE 0 TILEPROP INT_L_X30Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y94 NAME INT_L_X30Y94 TILEPROP INT_L_X30Y94 NUM_ARCS 3737 TILEPROP INT_L_X30Y94 NUM_SITES 1 TILEPROP INT_L_X30Y94 ROW 58 TILEPROP INT_L_X30Y94 SLR_REGION_ID 0 TILEPROP INT_L_X30Y94 TILE_PATTERN_IDX 5656 TILEPROP INT_L_X30Y94 TILE_TYPE INT_L TILEPROP INT_L_X30Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y94 TILE_X 41784 TILEPROP INT_L_X30Y94 TILE_Y 63424 TILEPROP INT_L_X30Y94 TYPE INT_L TILEPROP INT_L_X30Y95 CLASS tile TILEPROP INT_L_X30Y95 COLUMN 77 TILEPROP INT_L_X30Y95 DEVICE_ID 0 TILEPROP INT_L_X30Y95 FIRST_SITE_ID 5557 TILEPROP INT_L_X30Y95 GRID_POINT_X 77 TILEPROP INT_L_X30Y95 GRID_POINT_Y 57 TILEPROP INT_L_X30Y95 INDEX 6632 TILEPROP INT_L_X30Y95 INT_TILE_X 30 TILEPROP INT_L_X30Y95 INT_TILE_Y 54 TILEPROP INT_L_X30Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y95 IS_DCM_TILE 0 TILEPROP INT_L_X30Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y95 NAME INT_L_X30Y95 TILEPROP INT_L_X30Y95 NUM_ARCS 3737 TILEPROP INT_L_X30Y95 NUM_SITES 1 TILEPROP INT_L_X30Y95 ROW 57 TILEPROP INT_L_X30Y95 SLR_REGION_ID 0 TILEPROP INT_L_X30Y95 TILE_PATTERN_IDX 5622 TILEPROP INT_L_X30Y95 TILE_TYPE INT_L TILEPROP INT_L_X30Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y95 TILE_X 41784 TILEPROP INT_L_X30Y95 TILE_Y 66624 TILEPROP INT_L_X30Y95 TYPE INT_L TILEPROP INT_L_X30Y96 CLASS tile TILEPROP INT_L_X30Y96 COLUMN 77 TILEPROP INT_L_X30Y96 DEVICE_ID 0 TILEPROP INT_L_X30Y96 FIRST_SITE_ID 5448 TILEPROP INT_L_X30Y96 GRID_POINT_X 77 TILEPROP INT_L_X30Y96 GRID_POINT_Y 56 TILEPROP INT_L_X30Y96 INDEX 6517 TILEPROP INT_L_X30Y96 INT_TILE_X 30 TILEPROP INT_L_X30Y96 INT_TILE_Y 53 TILEPROP INT_L_X30Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y96 IS_DCM_TILE 0 TILEPROP INT_L_X30Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y96 NAME INT_L_X30Y96 TILEPROP INT_L_X30Y96 NUM_ARCS 3737 TILEPROP INT_L_X30Y96 NUM_SITES 1 TILEPROP INT_L_X30Y96 ROW 56 TILEPROP INT_L_X30Y96 SLR_REGION_ID 0 TILEPROP INT_L_X30Y96 TILE_PATTERN_IDX 5587 TILEPROP INT_L_X30Y96 TILE_TYPE INT_L TILEPROP INT_L_X30Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y96 TILE_X 41784 TILEPROP INT_L_X30Y96 TILE_Y 69824 TILEPROP INT_L_X30Y96 TYPE INT_L TILEPROP INT_L_X30Y97 CLASS tile TILEPROP INT_L_X30Y97 COLUMN 77 TILEPROP INT_L_X30Y97 DEVICE_ID 0 TILEPROP INT_L_X30Y97 FIRST_SITE_ID 5348 TILEPROP INT_L_X30Y97 GRID_POINT_X 77 TILEPROP INT_L_X30Y97 GRID_POINT_Y 55 TILEPROP INT_L_X30Y97 INDEX 6402 TILEPROP INT_L_X30Y97 INT_TILE_X 30 TILEPROP INT_L_X30Y97 INT_TILE_Y 52 TILEPROP INT_L_X30Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y97 IS_DCM_TILE 0 TILEPROP INT_L_X30Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y97 NAME INT_L_X30Y97 TILEPROP INT_L_X30Y97 NUM_ARCS 3737 TILEPROP INT_L_X30Y97 NUM_SITES 1 TILEPROP INT_L_X30Y97 ROW 55 TILEPROP INT_L_X30Y97 SLR_REGION_ID 0 TILEPROP INT_L_X30Y97 TILE_PATTERN_IDX 5553 TILEPROP INT_L_X30Y97 TILE_TYPE INT_L TILEPROP INT_L_X30Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y97 TILE_X 41784 TILEPROP INT_L_X30Y97 TILE_Y 73024 TILEPROP INT_L_X30Y97 TYPE INT_L TILEPROP INT_L_X30Y98 CLASS tile TILEPROP INT_L_X30Y98 COLUMN 77 TILEPROP INT_L_X30Y98 DEVICE_ID 0 TILEPROP INT_L_X30Y98 FIRST_SITE_ID 5248 TILEPROP INT_L_X30Y98 GRID_POINT_X 77 TILEPROP INT_L_X30Y98 GRID_POINT_Y 54 TILEPROP INT_L_X30Y98 INDEX 6287 TILEPROP INT_L_X30Y98 INT_TILE_X 30 TILEPROP INT_L_X30Y98 INT_TILE_Y 51 TILEPROP INT_L_X30Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y98 IS_DCM_TILE 0 TILEPROP INT_L_X30Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y98 NAME INT_L_X30Y98 TILEPROP INT_L_X30Y98 NUM_ARCS 3737 TILEPROP INT_L_X30Y98 NUM_SITES 1 TILEPROP INT_L_X30Y98 ROW 54 TILEPROP INT_L_X30Y98 SLR_REGION_ID 0 TILEPROP INT_L_X30Y98 TILE_PATTERN_IDX 5519 TILEPROP INT_L_X30Y98 TILE_TYPE INT_L TILEPROP INT_L_X30Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y98 TILE_X 41784 TILEPROP INT_L_X30Y98 TILE_Y 76224 TILEPROP INT_L_X30Y98 TYPE INT_L TILEPROP INT_L_X30Y99 CLASS tile TILEPROP INT_L_X30Y99 COLUMN 77 TILEPROP INT_L_X30Y99 DEVICE_ID 0 TILEPROP INT_L_X30Y99 FIRST_SITE_ID 5152 TILEPROP INT_L_X30Y99 GRID_POINT_X 77 TILEPROP INT_L_X30Y99 GRID_POINT_Y 53 TILEPROP INT_L_X30Y99 INDEX 6172 TILEPROP INT_L_X30Y99 INT_TILE_X 30 TILEPROP INT_L_X30Y99 INT_TILE_Y 50 TILEPROP INT_L_X30Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y99 IS_DCM_TILE 0 TILEPROP INT_L_X30Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y99 NAME INT_L_X30Y99 TILEPROP INT_L_X30Y99 NUM_ARCS 3737 TILEPROP INT_L_X30Y99 NUM_SITES 1 TILEPROP INT_L_X30Y99 ROW 53 TILEPROP INT_L_X30Y99 SLR_REGION_ID 0 TILEPROP INT_L_X30Y99 TILE_PATTERN_IDX 5486 TILEPROP INT_L_X30Y99 TILE_TYPE INT_L TILEPROP INT_L_X30Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y99 TILE_X 41784 TILEPROP INT_L_X30Y99 TILE_Y 79424 TILEPROP INT_L_X30Y99 TYPE INT_L TILEPROP INT_L_X30Y100 CLASS tile TILEPROP INT_L_X30Y100 COLUMN 77 TILEPROP INT_L_X30Y100 DEVICE_ID 0 TILEPROP INT_L_X30Y100 FIRST_SITE_ID 5067 TILEPROP INT_L_X30Y100 GRID_POINT_X 77 TILEPROP INT_L_X30Y100 GRID_POINT_Y 51 TILEPROP INT_L_X30Y100 INDEX 5942 TILEPROP INT_L_X30Y100 INT_TILE_X 30 TILEPROP INT_L_X30Y100 INT_TILE_Y 49 TILEPROP INT_L_X30Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y100 IS_DCM_TILE 0 TILEPROP INT_L_X30Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y100 NAME INT_L_X30Y100 TILEPROP INT_L_X30Y100 NUM_ARCS 3737 TILEPROP INT_L_X30Y100 NUM_SITES 1 TILEPROP INT_L_X30Y100 ROW 51 TILEPROP INT_L_X30Y100 SLR_REGION_ID 0 TILEPROP INT_L_X30Y100 TILE_PATTERN_IDX 5452 TILEPROP INT_L_X30Y100 TILE_TYPE INT_L TILEPROP INT_L_X30Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y100 TILE_X 41784 TILEPROP INT_L_X30Y100 TILE_Y 82872 TILEPROP INT_L_X30Y100 TYPE INT_L TILEPROP INT_L_X30Y101 CLASS tile TILEPROP INT_L_X30Y101 COLUMN 77 TILEPROP INT_L_X30Y101 DEVICE_ID 0 TILEPROP INT_L_X30Y101 FIRST_SITE_ID 4969 TILEPROP INT_L_X30Y101 GRID_POINT_X 77 TILEPROP INT_L_X30Y101 GRID_POINT_Y 50 TILEPROP INT_L_X30Y101 INDEX 5827 TILEPROP INT_L_X30Y101 INT_TILE_X 30 TILEPROP INT_L_X30Y101 INT_TILE_Y 48 TILEPROP INT_L_X30Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y101 IS_DCM_TILE 0 TILEPROP INT_L_X30Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y101 NAME INT_L_X30Y101 TILEPROP INT_L_X30Y101 NUM_ARCS 3737 TILEPROP INT_L_X30Y101 NUM_SITES 1 TILEPROP INT_L_X30Y101 ROW 50 TILEPROP INT_L_X30Y101 SLR_REGION_ID 0 TILEPROP INT_L_X30Y101 TILE_PATTERN_IDX 5416 TILEPROP INT_L_X30Y101 TILE_TYPE INT_L TILEPROP INT_L_X30Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y101 TILE_X 41784 TILEPROP INT_L_X30Y101 TILE_Y 86072 TILEPROP INT_L_X30Y101 TYPE INT_L TILEPROP INT_L_X30Y102 CLASS tile TILEPROP INT_L_X30Y102 COLUMN 77 TILEPROP INT_L_X30Y102 DEVICE_ID 0 TILEPROP INT_L_X30Y102 FIRST_SITE_ID 4873 TILEPROP INT_L_X30Y102 GRID_POINT_X 77 TILEPROP INT_L_X30Y102 GRID_POINT_Y 49 TILEPROP INT_L_X30Y102 INDEX 5712 TILEPROP INT_L_X30Y102 INT_TILE_X 30 TILEPROP INT_L_X30Y102 INT_TILE_Y 47 TILEPROP INT_L_X30Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y102 IS_DCM_TILE 0 TILEPROP INT_L_X30Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y102 NAME INT_L_X30Y102 TILEPROP INT_L_X30Y102 NUM_ARCS 3737 TILEPROP INT_L_X30Y102 NUM_SITES 1 TILEPROP INT_L_X30Y102 ROW 49 TILEPROP INT_L_X30Y102 SLR_REGION_ID 0 TILEPROP INT_L_X30Y102 TILE_PATTERN_IDX 5379 TILEPROP INT_L_X30Y102 TILE_TYPE INT_L TILEPROP INT_L_X30Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y102 TILE_X 41784 TILEPROP INT_L_X30Y102 TILE_Y 89272 TILEPROP INT_L_X30Y102 TYPE INT_L TILEPROP INT_L_X30Y103 CLASS tile TILEPROP INT_L_X30Y103 COLUMN 77 TILEPROP INT_L_X30Y103 DEVICE_ID 0 TILEPROP INT_L_X30Y103 FIRST_SITE_ID 4785 TILEPROP INT_L_X30Y103 GRID_POINT_X 77 TILEPROP INT_L_X30Y103 GRID_POINT_Y 48 TILEPROP INT_L_X30Y103 INDEX 5597 TILEPROP INT_L_X30Y103 INT_TILE_X 30 TILEPROP INT_L_X30Y103 INT_TILE_Y 46 TILEPROP INT_L_X30Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y103 IS_DCM_TILE 0 TILEPROP INT_L_X30Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y103 NAME INT_L_X30Y103 TILEPROP INT_L_X30Y103 NUM_ARCS 3737 TILEPROP INT_L_X30Y103 NUM_SITES 1 TILEPROP INT_L_X30Y103 ROW 48 TILEPROP INT_L_X30Y103 SLR_REGION_ID 0 TILEPROP INT_L_X30Y103 TILE_PATTERN_IDX 5343 TILEPROP INT_L_X30Y103 TILE_TYPE INT_L TILEPROP INT_L_X30Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y103 TILE_X 41784 TILEPROP INT_L_X30Y103 TILE_Y 92472 TILEPROP INT_L_X30Y103 TYPE INT_L TILEPROP INT_L_X30Y104 CLASS tile TILEPROP INT_L_X30Y104 COLUMN 77 TILEPROP INT_L_X30Y104 DEVICE_ID 0 TILEPROP INT_L_X30Y104 FIRST_SITE_ID 4689 TILEPROP INT_L_X30Y104 GRID_POINT_X 77 TILEPROP INT_L_X30Y104 GRID_POINT_Y 47 TILEPROP INT_L_X30Y104 INDEX 5482 TILEPROP INT_L_X30Y104 INT_TILE_X 30 TILEPROP INT_L_X30Y104 INT_TILE_Y 45 TILEPROP INT_L_X30Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y104 IS_DCM_TILE 0 TILEPROP INT_L_X30Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y104 NAME INT_L_X30Y104 TILEPROP INT_L_X30Y104 NUM_ARCS 3737 TILEPROP INT_L_X30Y104 NUM_SITES 1 TILEPROP INT_L_X30Y104 ROW 47 TILEPROP INT_L_X30Y104 SLR_REGION_ID 0 TILEPROP INT_L_X30Y104 TILE_PATTERN_IDX 5306 TILEPROP INT_L_X30Y104 TILE_TYPE INT_L TILEPROP INT_L_X30Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y104 TILE_X 41784 TILEPROP INT_L_X30Y104 TILE_Y 95672 TILEPROP INT_L_X30Y104 TYPE INT_L TILEPROP INT_L_X30Y105 CLASS tile TILEPROP INT_L_X30Y105 COLUMN 77 TILEPROP INT_L_X30Y105 DEVICE_ID 0 TILEPROP INT_L_X30Y105 FIRST_SITE_ID 4593 TILEPROP INT_L_X30Y105 GRID_POINT_X 77 TILEPROP INT_L_X30Y105 GRID_POINT_Y 46 TILEPROP INT_L_X30Y105 INDEX 5367 TILEPROP INT_L_X30Y105 INT_TILE_X 30 TILEPROP INT_L_X30Y105 INT_TILE_Y 44 TILEPROP INT_L_X30Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y105 IS_DCM_TILE 0 TILEPROP INT_L_X30Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y105 NAME INT_L_X30Y105 TILEPROP INT_L_X30Y105 NUM_ARCS 3737 TILEPROP INT_L_X30Y105 NUM_SITES 1 TILEPROP INT_L_X30Y105 ROW 46 TILEPROP INT_L_X30Y105 SLR_REGION_ID 0 TILEPROP INT_L_X30Y105 TILE_PATTERN_IDX 5270 TILEPROP INT_L_X30Y105 TILE_TYPE INT_L TILEPROP INT_L_X30Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y105 TILE_X 41784 TILEPROP INT_L_X30Y105 TILE_Y 98872 TILEPROP INT_L_X30Y105 TYPE INT_L TILEPROP INT_L_X30Y106 CLASS tile TILEPROP INT_L_X30Y106 COLUMN 77 TILEPROP INT_L_X30Y106 DEVICE_ID 0 TILEPROP INT_L_X30Y106 FIRST_SITE_ID 4491 TILEPROP INT_L_X30Y106 GRID_POINT_X 77 TILEPROP INT_L_X30Y106 GRID_POINT_Y 45 TILEPROP INT_L_X30Y106 INDEX 5252 TILEPROP INT_L_X30Y106 INT_TILE_X 30 TILEPROP INT_L_X30Y106 INT_TILE_Y 43 TILEPROP INT_L_X30Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y106 IS_DCM_TILE 0 TILEPROP INT_L_X30Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y106 NAME INT_L_X30Y106 TILEPROP INT_L_X30Y106 NUM_ARCS 3737 TILEPROP INT_L_X30Y106 NUM_SITES 1 TILEPROP INT_L_X30Y106 ROW 45 TILEPROP INT_L_X30Y106 SLR_REGION_ID 0 TILEPROP INT_L_X30Y106 TILE_PATTERN_IDX 5233 TILEPROP INT_L_X30Y106 TILE_TYPE INT_L TILEPROP INT_L_X30Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y106 TILE_X 41784 TILEPROP INT_L_X30Y106 TILE_Y 102072 TILEPROP INT_L_X30Y106 TYPE INT_L TILEPROP INT_L_X30Y107 CLASS tile TILEPROP INT_L_X30Y107 COLUMN 77 TILEPROP INT_L_X30Y107 DEVICE_ID 0 TILEPROP INT_L_X30Y107 FIRST_SITE_ID 4403 TILEPROP INT_L_X30Y107 GRID_POINT_X 77 TILEPROP INT_L_X30Y107 GRID_POINT_Y 44 TILEPROP INT_L_X30Y107 INDEX 5137 TILEPROP INT_L_X30Y107 INT_TILE_X 30 TILEPROP INT_L_X30Y107 INT_TILE_Y 42 TILEPROP INT_L_X30Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y107 IS_DCM_TILE 0 TILEPROP INT_L_X30Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y107 NAME INT_L_X30Y107 TILEPROP INT_L_X30Y107 NUM_ARCS 3737 TILEPROP INT_L_X30Y107 NUM_SITES 1 TILEPROP INT_L_X30Y107 ROW 44 TILEPROP INT_L_X30Y107 SLR_REGION_ID 0 TILEPROP INT_L_X30Y107 TILE_PATTERN_IDX 5197 TILEPROP INT_L_X30Y107 TILE_TYPE INT_L TILEPROP INT_L_X30Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y107 TILE_X 41784 TILEPROP INT_L_X30Y107 TILE_Y 105272 TILEPROP INT_L_X30Y107 TYPE INT_L TILEPROP INT_L_X30Y108 CLASS tile TILEPROP INT_L_X30Y108 COLUMN 77 TILEPROP INT_L_X30Y108 DEVICE_ID 0 TILEPROP INT_L_X30Y108 FIRST_SITE_ID 4305 TILEPROP INT_L_X30Y108 GRID_POINT_X 77 TILEPROP INT_L_X30Y108 GRID_POINT_Y 43 TILEPROP INT_L_X30Y108 INDEX 5022 TILEPROP INT_L_X30Y108 INT_TILE_X 30 TILEPROP INT_L_X30Y108 INT_TILE_Y 41 TILEPROP INT_L_X30Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y108 IS_DCM_TILE 0 TILEPROP INT_L_X30Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y108 NAME INT_L_X30Y108 TILEPROP INT_L_X30Y108 NUM_ARCS 3737 TILEPROP INT_L_X30Y108 NUM_SITES 1 TILEPROP INT_L_X30Y108 ROW 43 TILEPROP INT_L_X30Y108 SLR_REGION_ID 0 TILEPROP INT_L_X30Y108 TILE_PATTERN_IDX 5160 TILEPROP INT_L_X30Y108 TILE_TYPE INT_L TILEPROP INT_L_X30Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y108 TILE_X 41784 TILEPROP INT_L_X30Y108 TILE_Y 108472 TILEPROP INT_L_X30Y108 TYPE INT_L TILEPROP INT_L_X30Y109 CLASS tile TILEPROP INT_L_X30Y109 COLUMN 77 TILEPROP INT_L_X30Y109 DEVICE_ID 0 TILEPROP INT_L_X30Y109 FIRST_SITE_ID 4216 TILEPROP INT_L_X30Y109 GRID_POINT_X 77 TILEPROP INT_L_X30Y109 GRID_POINT_Y 42 TILEPROP INT_L_X30Y109 INDEX 4907 TILEPROP INT_L_X30Y109 INT_TILE_X 30 TILEPROP INT_L_X30Y109 INT_TILE_Y 40 TILEPROP INT_L_X30Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y109 IS_DCM_TILE 0 TILEPROP INT_L_X30Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y109 NAME INT_L_X30Y109 TILEPROP INT_L_X30Y109 NUM_ARCS 3737 TILEPROP INT_L_X30Y109 NUM_SITES 1 TILEPROP INT_L_X30Y109 ROW 42 TILEPROP INT_L_X30Y109 SLR_REGION_ID 0 TILEPROP INT_L_X30Y109 TILE_PATTERN_IDX 5124 TILEPROP INT_L_X30Y109 TILE_TYPE INT_L TILEPROP INT_L_X30Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y109 TILE_X 41784 TILEPROP INT_L_X30Y109 TILE_Y 111672 TILEPROP INT_L_X30Y109 TYPE INT_L TILEPROP INT_L_X30Y110 CLASS tile TILEPROP INT_L_X30Y110 COLUMN 77 TILEPROP INT_L_X30Y110 DEVICE_ID 0 TILEPROP INT_L_X30Y110 FIRST_SITE_ID 4117 TILEPROP INT_L_X30Y110 GRID_POINT_X 77 TILEPROP INT_L_X30Y110 GRID_POINT_Y 41 TILEPROP INT_L_X30Y110 INDEX 4792 TILEPROP INT_L_X30Y110 INT_TILE_X 30 TILEPROP INT_L_X30Y110 INT_TILE_Y 39 TILEPROP INT_L_X30Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y110 IS_DCM_TILE 0 TILEPROP INT_L_X30Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y110 NAME INT_L_X30Y110 TILEPROP INT_L_X30Y110 NUM_ARCS 3737 TILEPROP INT_L_X30Y110 NUM_SITES 1 TILEPROP INT_L_X30Y110 ROW 41 TILEPROP INT_L_X30Y110 SLR_REGION_ID 0 TILEPROP INT_L_X30Y110 TILE_PATTERN_IDX 5087 TILEPROP INT_L_X30Y110 TILE_TYPE INT_L TILEPROP INT_L_X30Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y110 TILE_X 41784 TILEPROP INT_L_X30Y110 TILE_Y 114872 TILEPROP INT_L_X30Y110 TYPE INT_L TILEPROP INT_L_X30Y111 CLASS tile TILEPROP INT_L_X30Y111 COLUMN 77 TILEPROP INT_L_X30Y111 DEVICE_ID 0 TILEPROP INT_L_X30Y111 FIRST_SITE_ID 4022 TILEPROP INT_L_X30Y111 GRID_POINT_X 77 TILEPROP INT_L_X30Y111 GRID_POINT_Y 40 TILEPROP INT_L_X30Y111 INDEX 4677 TILEPROP INT_L_X30Y111 INT_TILE_X 30 TILEPROP INT_L_X30Y111 INT_TILE_Y 38 TILEPROP INT_L_X30Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y111 IS_DCM_TILE 0 TILEPROP INT_L_X30Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y111 NAME INT_L_X30Y111 TILEPROP INT_L_X30Y111 NUM_ARCS 3737 TILEPROP INT_L_X30Y111 NUM_SITES 1 TILEPROP INT_L_X30Y111 ROW 40 TILEPROP INT_L_X30Y111 SLR_REGION_ID 0 TILEPROP INT_L_X30Y111 TILE_PATTERN_IDX 5051 TILEPROP INT_L_X30Y111 TILE_TYPE INT_L TILEPROP INT_L_X30Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y111 TILE_X 41784 TILEPROP INT_L_X30Y111 TILE_Y 118072 TILEPROP INT_L_X30Y111 TYPE INT_L TILEPROP INT_L_X30Y112 CLASS tile TILEPROP INT_L_X30Y112 COLUMN 77 TILEPROP INT_L_X30Y112 DEVICE_ID 0 TILEPROP INT_L_X30Y112 FIRST_SITE_ID 3926 TILEPROP INT_L_X30Y112 GRID_POINT_X 77 TILEPROP INT_L_X30Y112 GRID_POINT_Y 39 TILEPROP INT_L_X30Y112 INDEX 4562 TILEPROP INT_L_X30Y112 INT_TILE_X 30 TILEPROP INT_L_X30Y112 INT_TILE_Y 37 TILEPROP INT_L_X30Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y112 IS_DCM_TILE 0 TILEPROP INT_L_X30Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y112 NAME INT_L_X30Y112 TILEPROP INT_L_X30Y112 NUM_ARCS 3737 TILEPROP INT_L_X30Y112 NUM_SITES 1 TILEPROP INT_L_X30Y112 ROW 39 TILEPROP INT_L_X30Y112 SLR_REGION_ID 0 TILEPROP INT_L_X30Y112 TILE_PATTERN_IDX 5014 TILEPROP INT_L_X30Y112 TILE_TYPE INT_L TILEPROP INT_L_X30Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y112 TILE_X 41784 TILEPROP INT_L_X30Y112 TILE_Y 121272 TILEPROP INT_L_X30Y112 TYPE INT_L TILEPROP INT_L_X30Y113 CLASS tile TILEPROP INT_L_X30Y113 COLUMN 77 TILEPROP INT_L_X30Y113 DEVICE_ID 0 TILEPROP INT_L_X30Y113 FIRST_SITE_ID 3806 TILEPROP INT_L_X30Y113 GRID_POINT_X 77 TILEPROP INT_L_X30Y113 GRID_POINT_Y 38 TILEPROP INT_L_X30Y113 INDEX 4447 TILEPROP INT_L_X30Y113 INT_TILE_X 30 TILEPROP INT_L_X30Y113 INT_TILE_Y 36 TILEPROP INT_L_X30Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y113 IS_DCM_TILE 0 TILEPROP INT_L_X30Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y113 NAME INT_L_X30Y113 TILEPROP INT_L_X30Y113 NUM_ARCS 3737 TILEPROP INT_L_X30Y113 NUM_SITES 1 TILEPROP INT_L_X30Y113 ROW 38 TILEPROP INT_L_X30Y113 SLR_REGION_ID 0 TILEPROP INT_L_X30Y113 TILE_PATTERN_IDX 4977 TILEPROP INT_L_X30Y113 TILE_TYPE INT_L TILEPROP INT_L_X30Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y113 TILE_X 41784 TILEPROP INT_L_X30Y113 TILE_Y 124472 TILEPROP INT_L_X30Y113 TYPE INT_L TILEPROP INT_L_X30Y114 CLASS tile TILEPROP INT_L_X30Y114 COLUMN 77 TILEPROP INT_L_X30Y114 DEVICE_ID 0 TILEPROP INT_L_X30Y114 FIRST_SITE_ID 3710 TILEPROP INT_L_X30Y114 GRID_POINT_X 77 TILEPROP INT_L_X30Y114 GRID_POINT_Y 37 TILEPROP INT_L_X30Y114 INDEX 4332 TILEPROP INT_L_X30Y114 INT_TILE_X 30 TILEPROP INT_L_X30Y114 INT_TILE_Y 35 TILEPROP INT_L_X30Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y114 IS_DCM_TILE 0 TILEPROP INT_L_X30Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y114 NAME INT_L_X30Y114 TILEPROP INT_L_X30Y114 NUM_ARCS 3737 TILEPROP INT_L_X30Y114 NUM_SITES 1 TILEPROP INT_L_X30Y114 ROW 37 TILEPROP INT_L_X30Y114 SLR_REGION_ID 0 TILEPROP INT_L_X30Y114 TILE_PATTERN_IDX 4940 TILEPROP INT_L_X30Y114 TILE_TYPE INT_L TILEPROP INT_L_X30Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y114 TILE_X 41784 TILEPROP INT_L_X30Y114 TILE_Y 127672 TILEPROP INT_L_X30Y114 TYPE INT_L TILEPROP INT_L_X30Y115 CLASS tile TILEPROP INT_L_X30Y115 COLUMN 77 TILEPROP INT_L_X30Y115 DEVICE_ID 0 TILEPROP INT_L_X30Y115 FIRST_SITE_ID 3619 TILEPROP INT_L_X30Y115 GRID_POINT_X 77 TILEPROP INT_L_X30Y115 GRID_POINT_Y 36 TILEPROP INT_L_X30Y115 INDEX 4217 TILEPROP INT_L_X30Y115 INT_TILE_X 30 TILEPROP INT_L_X30Y115 INT_TILE_Y 34 TILEPROP INT_L_X30Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y115 IS_DCM_TILE 0 TILEPROP INT_L_X30Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y115 NAME INT_L_X30Y115 TILEPROP INT_L_X30Y115 NUM_ARCS 3737 TILEPROP INT_L_X30Y115 NUM_SITES 1 TILEPROP INT_L_X30Y115 ROW 36 TILEPROP INT_L_X30Y115 SLR_REGION_ID 0 TILEPROP INT_L_X30Y115 TILE_PATTERN_IDX 4904 TILEPROP INT_L_X30Y115 TILE_TYPE INT_L TILEPROP INT_L_X30Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y115 TILE_X 41784 TILEPROP INT_L_X30Y115 TILE_Y 130872 TILEPROP INT_L_X30Y115 TYPE INT_L TILEPROP INT_L_X30Y116 CLASS tile TILEPROP INT_L_X30Y116 COLUMN 77 TILEPROP INT_L_X30Y116 DEVICE_ID 0 TILEPROP INT_L_X30Y116 FIRST_SITE_ID 3512 TILEPROP INT_L_X30Y116 GRID_POINT_X 77 TILEPROP INT_L_X30Y116 GRID_POINT_Y 35 TILEPROP INT_L_X30Y116 INDEX 4102 TILEPROP INT_L_X30Y116 INT_TILE_X 30 TILEPROP INT_L_X30Y116 INT_TILE_Y 33 TILEPROP INT_L_X30Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y116 IS_DCM_TILE 0 TILEPROP INT_L_X30Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y116 NAME INT_L_X30Y116 TILEPROP INT_L_X30Y116 NUM_ARCS 3737 TILEPROP INT_L_X30Y116 NUM_SITES 1 TILEPROP INT_L_X30Y116 ROW 35 TILEPROP INT_L_X30Y116 SLR_REGION_ID 0 TILEPROP INT_L_X30Y116 TILE_PATTERN_IDX 4867 TILEPROP INT_L_X30Y116 TILE_TYPE INT_L TILEPROP INT_L_X30Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y116 TILE_X 41784 TILEPROP INT_L_X30Y116 TILE_Y 134072 TILEPROP INT_L_X30Y116 TYPE INT_L TILEPROP INT_L_X30Y117 CLASS tile TILEPROP INT_L_X30Y117 COLUMN 77 TILEPROP INT_L_X30Y117 DEVICE_ID 0 TILEPROP INT_L_X30Y117 FIRST_SITE_ID 3424 TILEPROP INT_L_X30Y117 GRID_POINT_X 77 TILEPROP INT_L_X30Y117 GRID_POINT_Y 34 TILEPROP INT_L_X30Y117 INDEX 3987 TILEPROP INT_L_X30Y117 INT_TILE_X 30 TILEPROP INT_L_X30Y117 INT_TILE_Y 32 TILEPROP INT_L_X30Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y117 IS_DCM_TILE 0 TILEPROP INT_L_X30Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y117 NAME INT_L_X30Y117 TILEPROP INT_L_X30Y117 NUM_ARCS 3737 TILEPROP INT_L_X30Y117 NUM_SITES 1 TILEPROP INT_L_X30Y117 ROW 34 TILEPROP INT_L_X30Y117 SLR_REGION_ID 0 TILEPROP INT_L_X30Y117 TILE_PATTERN_IDX 4831 TILEPROP INT_L_X30Y117 TILE_TYPE INT_L TILEPROP INT_L_X30Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y117 TILE_X 41784 TILEPROP INT_L_X30Y117 TILE_Y 137272 TILEPROP INT_L_X30Y117 TYPE INT_L TILEPROP INT_L_X30Y118 CLASS tile TILEPROP INT_L_X30Y118 COLUMN 77 TILEPROP INT_L_X30Y118 DEVICE_ID 0 TILEPROP INT_L_X30Y118 FIRST_SITE_ID 3324 TILEPROP INT_L_X30Y118 GRID_POINT_X 77 TILEPROP INT_L_X30Y118 GRID_POINT_Y 33 TILEPROP INT_L_X30Y118 INDEX 3872 TILEPROP INT_L_X30Y118 INT_TILE_X 30 TILEPROP INT_L_X30Y118 INT_TILE_Y 31 TILEPROP INT_L_X30Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y118 IS_DCM_TILE 0 TILEPROP INT_L_X30Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y118 NAME INT_L_X30Y118 TILEPROP INT_L_X30Y118 NUM_ARCS 3737 TILEPROP INT_L_X30Y118 NUM_SITES 1 TILEPROP INT_L_X30Y118 ROW 33 TILEPROP INT_L_X30Y118 SLR_REGION_ID 0 TILEPROP INT_L_X30Y118 TILE_PATTERN_IDX 4793 TILEPROP INT_L_X30Y118 TILE_TYPE INT_L TILEPROP INT_L_X30Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y118 TILE_X 41784 TILEPROP INT_L_X30Y118 TILE_Y 140472 TILEPROP INT_L_X30Y118 TYPE INT_L TILEPROP INT_L_X30Y119 CLASS tile TILEPROP INT_L_X30Y119 COLUMN 77 TILEPROP INT_L_X30Y119 DEVICE_ID 0 TILEPROP INT_L_X30Y119 FIRST_SITE_ID 3236 TILEPROP INT_L_X30Y119 GRID_POINT_X 77 TILEPROP INT_L_X30Y119 GRID_POINT_Y 32 TILEPROP INT_L_X30Y119 INDEX 3757 TILEPROP INT_L_X30Y119 INT_TILE_X 30 TILEPROP INT_L_X30Y119 INT_TILE_Y 30 TILEPROP INT_L_X30Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y119 IS_DCM_TILE 0 TILEPROP INT_L_X30Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y119 NAME INT_L_X30Y119 TILEPROP INT_L_X30Y119 NUM_ARCS 3737 TILEPROP INT_L_X30Y119 NUM_SITES 1 TILEPROP INT_L_X30Y119 ROW 32 TILEPROP INT_L_X30Y119 SLR_REGION_ID 0 TILEPROP INT_L_X30Y119 TILE_PATTERN_IDX 4757 TILEPROP INT_L_X30Y119 TILE_TYPE INT_L TILEPROP INT_L_X30Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y119 TILE_X 41784 TILEPROP INT_L_X30Y119 TILE_Y 143672 TILEPROP INT_L_X30Y119 TYPE INT_L TILEPROP INT_L_X30Y120 CLASS tile TILEPROP INT_L_X30Y120 COLUMN 77 TILEPROP INT_L_X30Y120 DEVICE_ID 0 TILEPROP INT_L_X30Y120 FIRST_SITE_ID 3135 TILEPROP INT_L_X30Y120 GRID_POINT_X 77 TILEPROP INT_L_X30Y120 GRID_POINT_Y 31 TILEPROP INT_L_X30Y120 INDEX 3642 TILEPROP INT_L_X30Y120 INT_TILE_X 30 TILEPROP INT_L_X30Y120 INT_TILE_Y 29 TILEPROP INT_L_X30Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y120 IS_DCM_TILE 0 TILEPROP INT_L_X30Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y120 NAME INT_L_X30Y120 TILEPROP INT_L_X30Y120 NUM_ARCS 3737 TILEPROP INT_L_X30Y120 NUM_SITES 1 TILEPROP INT_L_X30Y120 ROW 31 TILEPROP INT_L_X30Y120 SLR_REGION_ID 0 TILEPROP INT_L_X30Y120 TILE_PATTERN_IDX 4720 TILEPROP INT_L_X30Y120 TILE_TYPE INT_L TILEPROP INT_L_X30Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y120 TILE_X 41784 TILEPROP INT_L_X30Y120 TILE_Y 146872 TILEPROP INT_L_X30Y120 TYPE INT_L TILEPROP INT_L_X30Y121 CLASS tile TILEPROP INT_L_X30Y121 COLUMN 77 TILEPROP INT_L_X30Y121 DEVICE_ID 0 TILEPROP INT_L_X30Y121 FIRST_SITE_ID 3041 TILEPROP INT_L_X30Y121 GRID_POINT_X 77 TILEPROP INT_L_X30Y121 GRID_POINT_Y 30 TILEPROP INT_L_X30Y121 INDEX 3527 TILEPROP INT_L_X30Y121 INT_TILE_X 30 TILEPROP INT_L_X30Y121 INT_TILE_Y 28 TILEPROP INT_L_X30Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y121 IS_DCM_TILE 0 TILEPROP INT_L_X30Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y121 NAME INT_L_X30Y121 TILEPROP INT_L_X30Y121 NUM_ARCS 3737 TILEPROP INT_L_X30Y121 NUM_SITES 1 TILEPROP INT_L_X30Y121 ROW 30 TILEPROP INT_L_X30Y121 SLR_REGION_ID 0 TILEPROP INT_L_X30Y121 TILE_PATTERN_IDX 4684 TILEPROP INT_L_X30Y121 TILE_TYPE INT_L TILEPROP INT_L_X30Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y121 TILE_X 41784 TILEPROP INT_L_X30Y121 TILE_Y 150072 TILEPROP INT_L_X30Y121 TYPE INT_L TILEPROP INT_L_X30Y122 CLASS tile TILEPROP INT_L_X30Y122 COLUMN 77 TILEPROP INT_L_X30Y122 DEVICE_ID 0 TILEPROP INT_L_X30Y122 FIRST_SITE_ID 2938 TILEPROP INT_L_X30Y122 GRID_POINT_X 77 TILEPROP INT_L_X30Y122 GRID_POINT_Y 29 TILEPROP INT_L_X30Y122 INDEX 3412 TILEPROP INT_L_X30Y122 INT_TILE_X 30 TILEPROP INT_L_X30Y122 INT_TILE_Y 27 TILEPROP INT_L_X30Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y122 IS_DCM_TILE 0 TILEPROP INT_L_X30Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y122 NAME INT_L_X30Y122 TILEPROP INT_L_X30Y122 NUM_ARCS 3737 TILEPROP INT_L_X30Y122 NUM_SITES 1 TILEPROP INT_L_X30Y122 ROW 29 TILEPROP INT_L_X30Y122 SLR_REGION_ID 0 TILEPROP INT_L_X30Y122 TILE_PATTERN_IDX 4646 TILEPROP INT_L_X30Y122 TILE_TYPE INT_L TILEPROP INT_L_X30Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y122 TILE_X 41784 TILEPROP INT_L_X30Y122 TILE_Y 153272 TILEPROP INT_L_X30Y122 TYPE INT_L TILEPROP INT_L_X30Y123 CLASS tile TILEPROP INT_L_X30Y123 COLUMN 77 TILEPROP INT_L_X30Y123 DEVICE_ID 0 TILEPROP INT_L_X30Y123 FIRST_SITE_ID 2850 TILEPROP INT_L_X30Y123 GRID_POINT_X 77 TILEPROP INT_L_X30Y123 GRID_POINT_Y 28 TILEPROP INT_L_X30Y123 INDEX 3297 TILEPROP INT_L_X30Y123 INT_TILE_X 30 TILEPROP INT_L_X30Y123 INT_TILE_Y 26 TILEPROP INT_L_X30Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y123 IS_DCM_TILE 0 TILEPROP INT_L_X30Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y123 NAME INT_L_X30Y123 TILEPROP INT_L_X30Y123 NUM_ARCS 3737 TILEPROP INT_L_X30Y123 NUM_SITES 1 TILEPROP INT_L_X30Y123 ROW 28 TILEPROP INT_L_X30Y123 SLR_REGION_ID 0 TILEPROP INT_L_X30Y123 TILE_PATTERN_IDX 4610 TILEPROP INT_L_X30Y123 TILE_TYPE INT_L TILEPROP INT_L_X30Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y123 TILE_X 41784 TILEPROP INT_L_X30Y123 TILE_Y 156472 TILEPROP INT_L_X30Y123 TYPE INT_L TILEPROP INT_L_X30Y124 CLASS tile TILEPROP INT_L_X30Y124 COLUMN 77 TILEPROP INT_L_X30Y124 DEVICE_ID 0 TILEPROP INT_L_X30Y124 FIRST_SITE_ID 2754 TILEPROP INT_L_X30Y124 GRID_POINT_X 77 TILEPROP INT_L_X30Y124 GRID_POINT_Y 27 TILEPROP INT_L_X30Y124 INDEX 3182 TILEPROP INT_L_X30Y124 INT_TILE_X 30 TILEPROP INT_L_X30Y124 INT_TILE_Y 25 TILEPROP INT_L_X30Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y124 IS_DCM_TILE 0 TILEPROP INT_L_X30Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y124 NAME INT_L_X30Y124 TILEPROP INT_L_X30Y124 NUM_ARCS 3737 TILEPROP INT_L_X30Y124 NUM_SITES 1 TILEPROP INT_L_X30Y124 ROW 27 TILEPROP INT_L_X30Y124 SLR_REGION_ID 0 TILEPROP INT_L_X30Y124 TILE_PATTERN_IDX 4573 TILEPROP INT_L_X30Y124 TILE_TYPE INT_L TILEPROP INT_L_X30Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y124 TILE_X 41784 TILEPROP INT_L_X30Y124 TILE_Y 159672 TILEPROP INT_L_X30Y124 TYPE INT_L TILEPROP INT_L_X30Y125 CLASS tile TILEPROP INT_L_X30Y125 COLUMN 77 TILEPROP INT_L_X30Y125 DEVICE_ID 0 TILEPROP INT_L_X30Y125 FIRST_SITE_ID 2592 TILEPROP INT_L_X30Y125 GRID_POINT_X 77 TILEPROP INT_L_X30Y125 GRID_POINT_Y 25 TILEPROP INT_L_X30Y125 INDEX 2952 TILEPROP INT_L_X30Y125 INT_TILE_X 30 TILEPROP INT_L_X30Y125 INT_TILE_Y 24 TILEPROP INT_L_X30Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y125 IS_DCM_TILE 0 TILEPROP INT_L_X30Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y125 NAME INT_L_X30Y125 TILEPROP INT_L_X30Y125 NUM_ARCS 3737 TILEPROP INT_L_X30Y125 NUM_SITES 1 TILEPROP INT_L_X30Y125 ROW 25 TILEPROP INT_L_X30Y125 SLR_REGION_ID 0 TILEPROP INT_L_X30Y125 TILE_PATTERN_IDX 4496 TILEPROP INT_L_X30Y125 TILE_TYPE INT_L TILEPROP INT_L_X30Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y125 TILE_X 41784 TILEPROP INT_L_X30Y125 TILE_Y 163896 TILEPROP INT_L_X30Y125 TYPE INT_L TILEPROP INT_L_X30Y126 CLASS tile TILEPROP INT_L_X30Y126 COLUMN 77 TILEPROP INT_L_X30Y126 DEVICE_ID 0 TILEPROP INT_L_X30Y126 FIRST_SITE_ID 2479 TILEPROP INT_L_X30Y126 GRID_POINT_X 77 TILEPROP INT_L_X30Y126 GRID_POINT_Y 24 TILEPROP INT_L_X30Y126 INDEX 2837 TILEPROP INT_L_X30Y126 INT_TILE_X 30 TILEPROP INT_L_X30Y126 INT_TILE_Y 23 TILEPROP INT_L_X30Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y126 IS_DCM_TILE 0 TILEPROP INT_L_X30Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y126 NAME INT_L_X30Y126 TILEPROP INT_L_X30Y126 NUM_ARCS 3737 TILEPROP INT_L_X30Y126 NUM_SITES 1 TILEPROP INT_L_X30Y126 ROW 24 TILEPROP INT_L_X30Y126 SLR_REGION_ID 0 TILEPROP INT_L_X30Y126 TILE_PATTERN_IDX 4457 TILEPROP INT_L_X30Y126 TILE_TYPE INT_L TILEPROP INT_L_X30Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y126 TILE_X 41784 TILEPROP INT_L_X30Y126 TILE_Y 167096 TILEPROP INT_L_X30Y126 TYPE INT_L TILEPROP INT_L_X30Y127 CLASS tile TILEPROP INT_L_X30Y127 COLUMN 77 TILEPROP INT_L_X30Y127 DEVICE_ID 0 TILEPROP INT_L_X30Y127 FIRST_SITE_ID 2383 TILEPROP INT_L_X30Y127 GRID_POINT_X 77 TILEPROP INT_L_X30Y127 GRID_POINT_Y 23 TILEPROP INT_L_X30Y127 INDEX 2722 TILEPROP INT_L_X30Y127 INT_TILE_X 30 TILEPROP INT_L_X30Y127 INT_TILE_Y 22 TILEPROP INT_L_X30Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y127 IS_DCM_TILE 0 TILEPROP INT_L_X30Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y127 NAME INT_L_X30Y127 TILEPROP INT_L_X30Y127 NUM_ARCS 3737 TILEPROP INT_L_X30Y127 NUM_SITES 1 TILEPROP INT_L_X30Y127 ROW 23 TILEPROP INT_L_X30Y127 SLR_REGION_ID 0 TILEPROP INT_L_X30Y127 TILE_PATTERN_IDX 4419 TILEPROP INT_L_X30Y127 TILE_TYPE INT_L TILEPROP INT_L_X30Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y127 TILE_X 41784 TILEPROP INT_L_X30Y127 TILE_Y 170296 TILEPROP INT_L_X30Y127 TYPE INT_L TILEPROP INT_L_X30Y128 CLASS tile TILEPROP INT_L_X30Y128 COLUMN 77 TILEPROP INT_L_X30Y128 DEVICE_ID 0 TILEPROP INT_L_X30Y128 FIRST_SITE_ID 2279 TILEPROP INT_L_X30Y128 GRID_POINT_X 77 TILEPROP INT_L_X30Y128 GRID_POINT_Y 22 TILEPROP INT_L_X30Y128 INDEX 2607 TILEPROP INT_L_X30Y128 INT_TILE_X 30 TILEPROP INT_L_X30Y128 INT_TILE_Y 21 TILEPROP INT_L_X30Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y128 IS_DCM_TILE 0 TILEPROP INT_L_X30Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y128 NAME INT_L_X30Y128 TILEPROP INT_L_X30Y128 NUM_ARCS 3737 TILEPROP INT_L_X30Y128 NUM_SITES 1 TILEPROP INT_L_X30Y128 ROW 22 TILEPROP INT_L_X30Y128 SLR_REGION_ID 0 TILEPROP INT_L_X30Y128 TILE_PATTERN_IDX 4380 TILEPROP INT_L_X30Y128 TILE_TYPE INT_L TILEPROP INT_L_X30Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y128 TILE_X 41784 TILEPROP INT_L_X30Y128 TILE_Y 173496 TILEPROP INT_L_X30Y128 TYPE INT_L TILEPROP INT_L_X30Y129 CLASS tile TILEPROP INT_L_X30Y129 COLUMN 77 TILEPROP INT_L_X30Y129 DEVICE_ID 0 TILEPROP INT_L_X30Y129 FIRST_SITE_ID 2183 TILEPROP INT_L_X30Y129 GRID_POINT_X 77 TILEPROP INT_L_X30Y129 GRID_POINT_Y 21 TILEPROP INT_L_X30Y129 INDEX 2492 TILEPROP INT_L_X30Y129 INT_TILE_X 30 TILEPROP INT_L_X30Y129 INT_TILE_Y 20 TILEPROP INT_L_X30Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y129 IS_DCM_TILE 0 TILEPROP INT_L_X30Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y129 NAME INT_L_X30Y129 TILEPROP INT_L_X30Y129 NUM_ARCS 3737 TILEPROP INT_L_X30Y129 NUM_SITES 1 TILEPROP INT_L_X30Y129 ROW 21 TILEPROP INT_L_X30Y129 SLR_REGION_ID 0 TILEPROP INT_L_X30Y129 TILE_PATTERN_IDX 4342 TILEPROP INT_L_X30Y129 TILE_TYPE INT_L TILEPROP INT_L_X30Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y129 TILE_X 41784 TILEPROP INT_L_X30Y129 TILE_Y 176696 TILEPROP INT_L_X30Y129 TYPE INT_L TILEPROP INT_L_X30Y130 CLASS tile TILEPROP INT_L_X30Y130 COLUMN 77 TILEPROP INT_L_X30Y130 DEVICE_ID 0 TILEPROP INT_L_X30Y130 FIRST_SITE_ID 2070 TILEPROP INT_L_X30Y130 GRID_POINT_X 77 TILEPROP INT_L_X30Y130 GRID_POINT_Y 20 TILEPROP INT_L_X30Y130 INDEX 2377 TILEPROP INT_L_X30Y130 INT_TILE_X 30 TILEPROP INT_L_X30Y130 INT_TILE_Y 19 TILEPROP INT_L_X30Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y130 IS_DCM_TILE 0 TILEPROP INT_L_X30Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y130 NAME INT_L_X30Y130 TILEPROP INT_L_X30Y130 NUM_ARCS 3737 TILEPROP INT_L_X30Y130 NUM_SITES 1 TILEPROP INT_L_X30Y130 ROW 20 TILEPROP INT_L_X30Y130 SLR_REGION_ID 0 TILEPROP INT_L_X30Y130 TILE_PATTERN_IDX 4302 TILEPROP INT_L_X30Y130 TILE_TYPE INT_L TILEPROP INT_L_X30Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y130 TILE_X 41784 TILEPROP INT_L_X30Y130 TILE_Y 179896 TILEPROP INT_L_X30Y130 TYPE INT_L TILEPROP INT_L_X30Y131 CLASS tile TILEPROP INT_L_X30Y131 COLUMN 77 TILEPROP INT_L_X30Y131 DEVICE_ID 0 TILEPROP INT_L_X30Y131 FIRST_SITE_ID 1965 TILEPROP INT_L_X30Y131 GRID_POINT_X 77 TILEPROP INT_L_X30Y131 GRID_POINT_Y 19 TILEPROP INT_L_X30Y131 INDEX 2262 TILEPROP INT_L_X30Y131 INT_TILE_X 30 TILEPROP INT_L_X30Y131 INT_TILE_Y 18 TILEPROP INT_L_X30Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y131 IS_DCM_TILE 0 TILEPROP INT_L_X30Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y131 NAME INT_L_X30Y131 TILEPROP INT_L_X30Y131 NUM_ARCS 3737 TILEPROP INT_L_X30Y131 NUM_SITES 1 TILEPROP INT_L_X30Y131 ROW 19 TILEPROP INT_L_X30Y131 SLR_REGION_ID 0 TILEPROP INT_L_X30Y131 TILE_PATTERN_IDX 4264 TILEPROP INT_L_X30Y131 TILE_TYPE INT_L TILEPROP INT_L_X30Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y131 TILE_X 41784 TILEPROP INT_L_X30Y131 TILE_Y 183096 TILEPROP INT_L_X30Y131 TYPE INT_L TILEPROP INT_L_X30Y132 CLASS tile TILEPROP INT_L_X30Y132 COLUMN 77 TILEPROP INT_L_X30Y132 DEVICE_ID 0 TILEPROP INT_L_X30Y132 FIRST_SITE_ID 1859 TILEPROP INT_L_X30Y132 GRID_POINT_X 77 TILEPROP INT_L_X30Y132 GRID_POINT_Y 18 TILEPROP INT_L_X30Y132 INDEX 2147 TILEPROP INT_L_X30Y132 INT_TILE_X 30 TILEPROP INT_L_X30Y132 INT_TILE_Y 17 TILEPROP INT_L_X30Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y132 IS_DCM_TILE 0 TILEPROP INT_L_X30Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y132 NAME INT_L_X30Y132 TILEPROP INT_L_X30Y132 NUM_ARCS 3737 TILEPROP INT_L_X30Y132 NUM_SITES 1 TILEPROP INT_L_X30Y132 ROW 18 TILEPROP INT_L_X30Y132 SLR_REGION_ID 0 TILEPROP INT_L_X30Y132 TILE_PATTERN_IDX 4225 TILEPROP INT_L_X30Y132 TILE_TYPE INT_L TILEPROP INT_L_X30Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y132 TILE_X 41784 TILEPROP INT_L_X30Y132 TILE_Y 186296 TILEPROP INT_L_X30Y132 TYPE INT_L TILEPROP INT_L_X30Y133 CLASS tile TILEPROP INT_L_X30Y133 COLUMN 77 TILEPROP INT_L_X30Y133 DEVICE_ID 0 TILEPROP INT_L_X30Y133 FIRST_SITE_ID 1758 TILEPROP INT_L_X30Y133 GRID_POINT_X 77 TILEPROP INT_L_X30Y133 GRID_POINT_Y 17 TILEPROP INT_L_X30Y133 INDEX 2032 TILEPROP INT_L_X30Y133 INT_TILE_X 30 TILEPROP INT_L_X30Y133 INT_TILE_Y 16 TILEPROP INT_L_X30Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y133 IS_DCM_TILE 0 TILEPROP INT_L_X30Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y133 NAME INT_L_X30Y133 TILEPROP INT_L_X30Y133 NUM_ARCS 3737 TILEPROP INT_L_X30Y133 NUM_SITES 1 TILEPROP INT_L_X30Y133 ROW 17 TILEPROP INT_L_X30Y133 SLR_REGION_ID 0 TILEPROP INT_L_X30Y133 TILE_PATTERN_IDX 4187 TILEPROP INT_L_X30Y133 TILE_TYPE INT_L TILEPROP INT_L_X30Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y133 TILE_X 41784 TILEPROP INT_L_X30Y133 TILE_Y 189496 TILEPROP INT_L_X30Y133 TYPE INT_L TILEPROP INT_L_X30Y134 CLASS tile TILEPROP INT_L_X30Y134 COLUMN 77 TILEPROP INT_L_X30Y134 DEVICE_ID 0 TILEPROP INT_L_X30Y134 FIRST_SITE_ID 1654 TILEPROP INT_L_X30Y134 GRID_POINT_X 77 TILEPROP INT_L_X30Y134 GRID_POINT_Y 16 TILEPROP INT_L_X30Y134 INDEX 1917 TILEPROP INT_L_X30Y134 INT_TILE_X 30 TILEPROP INT_L_X30Y134 INT_TILE_Y 15 TILEPROP INT_L_X30Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y134 IS_DCM_TILE 0 TILEPROP INT_L_X30Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y134 NAME INT_L_X30Y134 TILEPROP INT_L_X30Y134 NUM_ARCS 3737 TILEPROP INT_L_X30Y134 NUM_SITES 1 TILEPROP INT_L_X30Y134 ROW 16 TILEPROP INT_L_X30Y134 SLR_REGION_ID 0 TILEPROP INT_L_X30Y134 TILE_PATTERN_IDX 4148 TILEPROP INT_L_X30Y134 TILE_TYPE INT_L TILEPROP INT_L_X30Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y134 TILE_X 41784 TILEPROP INT_L_X30Y134 TILE_Y 192696 TILEPROP INT_L_X30Y134 TYPE INT_L TILEPROP INT_L_X30Y135 CLASS tile TILEPROP INT_L_X30Y135 COLUMN 77 TILEPROP INT_L_X30Y135 DEVICE_ID 0 TILEPROP INT_L_X30Y135 FIRST_SITE_ID 1555 TILEPROP INT_L_X30Y135 GRID_POINT_X 77 TILEPROP INT_L_X30Y135 GRID_POINT_Y 15 TILEPROP INT_L_X30Y135 INDEX 1802 TILEPROP INT_L_X30Y135 INT_TILE_X 30 TILEPROP INT_L_X30Y135 INT_TILE_Y 14 TILEPROP INT_L_X30Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y135 IS_DCM_TILE 0 TILEPROP INT_L_X30Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y135 NAME INT_L_X30Y135 TILEPROP INT_L_X30Y135 NUM_ARCS 3737 TILEPROP INT_L_X30Y135 NUM_SITES 1 TILEPROP INT_L_X30Y135 ROW 15 TILEPROP INT_L_X30Y135 SLR_REGION_ID 0 TILEPROP INT_L_X30Y135 TILE_PATTERN_IDX 4110 TILEPROP INT_L_X30Y135 TILE_TYPE INT_L TILEPROP INT_L_X30Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y135 TILE_X 41784 TILEPROP INT_L_X30Y135 TILE_Y 195896 TILEPROP INT_L_X30Y135 TYPE INT_L TILEPROP INT_L_X30Y136 CLASS tile TILEPROP INT_L_X30Y136 COLUMN 77 TILEPROP INT_L_X30Y136 DEVICE_ID 0 TILEPROP INT_L_X30Y136 FIRST_SITE_ID 1442 TILEPROP INT_L_X30Y136 GRID_POINT_X 77 TILEPROP INT_L_X30Y136 GRID_POINT_Y 14 TILEPROP INT_L_X30Y136 INDEX 1687 TILEPROP INT_L_X30Y136 INT_TILE_X 30 TILEPROP INT_L_X30Y136 INT_TILE_Y 13 TILEPROP INT_L_X30Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y136 IS_DCM_TILE 0 TILEPROP INT_L_X30Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y136 NAME INT_L_X30Y136 TILEPROP INT_L_X30Y136 NUM_ARCS 3737 TILEPROP INT_L_X30Y136 NUM_SITES 1 TILEPROP INT_L_X30Y136 ROW 14 TILEPROP INT_L_X30Y136 SLR_REGION_ID 0 TILEPROP INT_L_X30Y136 TILE_PATTERN_IDX 4071 TILEPROP INT_L_X30Y136 TILE_TYPE INT_L TILEPROP INT_L_X30Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y136 TILE_X 41784 TILEPROP INT_L_X30Y136 TILE_Y 199096 TILEPROP INT_L_X30Y136 TYPE INT_L TILEPROP INT_L_X30Y137 CLASS tile TILEPROP INT_L_X30Y137 COLUMN 77 TILEPROP INT_L_X30Y137 DEVICE_ID 0 TILEPROP INT_L_X30Y137 FIRST_SITE_ID 1314 TILEPROP INT_L_X30Y137 GRID_POINT_X 77 TILEPROP INT_L_X30Y137 GRID_POINT_Y 13 TILEPROP INT_L_X30Y137 INDEX 1572 TILEPROP INT_L_X30Y137 INT_TILE_X 30 TILEPROP INT_L_X30Y137 INT_TILE_Y 12 TILEPROP INT_L_X30Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y137 IS_DCM_TILE 0 TILEPROP INT_L_X30Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y137 NAME INT_L_X30Y137 TILEPROP INT_L_X30Y137 NUM_ARCS 3737 TILEPROP INT_L_X30Y137 NUM_SITES 1 TILEPROP INT_L_X30Y137 ROW 13 TILEPROP INT_L_X30Y137 SLR_REGION_ID 0 TILEPROP INT_L_X30Y137 TILE_PATTERN_IDX 4033 TILEPROP INT_L_X30Y137 TILE_TYPE INT_L TILEPROP INT_L_X30Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y137 TILE_X 41784 TILEPROP INT_L_X30Y137 TILE_Y 202296 TILEPROP INT_L_X30Y137 TYPE INT_L TILEPROP INT_L_X30Y138 CLASS tile TILEPROP INT_L_X30Y138 COLUMN 77 TILEPROP INT_L_X30Y138 DEVICE_ID 0 TILEPROP INT_L_X30Y138 FIRST_SITE_ID 1210 TILEPROP INT_L_X30Y138 GRID_POINT_X 77 TILEPROP INT_L_X30Y138 GRID_POINT_Y 12 TILEPROP INT_L_X30Y138 INDEX 1457 TILEPROP INT_L_X30Y138 INT_TILE_X 30 TILEPROP INT_L_X30Y138 INT_TILE_Y 11 TILEPROP INT_L_X30Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y138 IS_DCM_TILE 0 TILEPROP INT_L_X30Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y138 NAME INT_L_X30Y138 TILEPROP INT_L_X30Y138 NUM_ARCS 3737 TILEPROP INT_L_X30Y138 NUM_SITES 1 TILEPROP INT_L_X30Y138 ROW 12 TILEPROP INT_L_X30Y138 SLR_REGION_ID 0 TILEPROP INT_L_X30Y138 TILE_PATTERN_IDX 3994 TILEPROP INT_L_X30Y138 TILE_TYPE INT_L TILEPROP INT_L_X30Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y138 TILE_X 41784 TILEPROP INT_L_X30Y138 TILE_Y 205496 TILEPROP INT_L_X30Y138 TYPE INT_L TILEPROP INT_L_X30Y139 CLASS tile TILEPROP INT_L_X30Y139 COLUMN 77 TILEPROP INT_L_X30Y139 DEVICE_ID 0 TILEPROP INT_L_X30Y139 FIRST_SITE_ID 1114 TILEPROP INT_L_X30Y139 GRID_POINT_X 77 TILEPROP INT_L_X30Y139 GRID_POINT_Y 11 TILEPROP INT_L_X30Y139 INDEX 1342 TILEPROP INT_L_X30Y139 INT_TILE_X 30 TILEPROP INT_L_X30Y139 INT_TILE_Y 10 TILEPROP INT_L_X30Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y139 IS_DCM_TILE 0 TILEPROP INT_L_X30Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y139 NAME INT_L_X30Y139 TILEPROP INT_L_X30Y139 NUM_ARCS 3737 TILEPROP INT_L_X30Y139 NUM_SITES 1 TILEPROP INT_L_X30Y139 ROW 11 TILEPROP INT_L_X30Y139 SLR_REGION_ID 0 TILEPROP INT_L_X30Y139 TILE_PATTERN_IDX 3956 TILEPROP INT_L_X30Y139 TILE_TYPE INT_L TILEPROP INT_L_X30Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y139 TILE_X 41784 TILEPROP INT_L_X30Y139 TILE_Y 208696 TILEPROP INT_L_X30Y139 TYPE INT_L TILEPROP INT_L_X30Y140 CLASS tile TILEPROP INT_L_X30Y140 COLUMN 77 TILEPROP INT_L_X30Y140 DEVICE_ID 0 TILEPROP INT_L_X30Y140 FIRST_SITE_ID 1007 TILEPROP INT_L_X30Y140 GRID_POINT_X 77 TILEPROP INT_L_X30Y140 GRID_POINT_Y 10 TILEPROP INT_L_X30Y140 INDEX 1227 TILEPROP INT_L_X30Y140 INT_TILE_X 30 TILEPROP INT_L_X30Y140 INT_TILE_Y 9 TILEPROP INT_L_X30Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y140 IS_DCM_TILE 0 TILEPROP INT_L_X30Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y140 NAME INT_L_X30Y140 TILEPROP INT_L_X30Y140 NUM_ARCS 3737 TILEPROP INT_L_X30Y140 NUM_SITES 1 TILEPROP INT_L_X30Y140 ROW 10 TILEPROP INT_L_X30Y140 SLR_REGION_ID 0 TILEPROP INT_L_X30Y140 TILE_PATTERN_IDX 3917 TILEPROP INT_L_X30Y140 TILE_TYPE INT_L TILEPROP INT_L_X30Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y140 TILE_X 41784 TILEPROP INT_L_X30Y140 TILE_Y 211896 TILEPROP INT_L_X30Y140 TYPE INT_L TILEPROP INT_L_X30Y141 CLASS tile TILEPROP INT_L_X30Y141 COLUMN 77 TILEPROP INT_L_X30Y141 DEVICE_ID 0 TILEPROP INT_L_X30Y141 FIRST_SITE_ID 902 TILEPROP INT_L_X30Y141 GRID_POINT_X 77 TILEPROP INT_L_X30Y141 GRID_POINT_Y 9 TILEPROP INT_L_X30Y141 INDEX 1112 TILEPROP INT_L_X30Y141 INT_TILE_X 30 TILEPROP INT_L_X30Y141 INT_TILE_Y 8 TILEPROP INT_L_X30Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y141 IS_DCM_TILE 0 TILEPROP INT_L_X30Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y141 NAME INT_L_X30Y141 TILEPROP INT_L_X30Y141 NUM_ARCS 3737 TILEPROP INT_L_X30Y141 NUM_SITES 1 TILEPROP INT_L_X30Y141 ROW 9 TILEPROP INT_L_X30Y141 SLR_REGION_ID 0 TILEPROP INT_L_X30Y141 TILE_PATTERN_IDX 3879 TILEPROP INT_L_X30Y141 TILE_TYPE INT_L TILEPROP INT_L_X30Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y141 TILE_X 41784 TILEPROP INT_L_X30Y141 TILE_Y 215096 TILEPROP INT_L_X30Y141 TYPE INT_L TILEPROP INT_L_X30Y142 CLASS tile TILEPROP INT_L_X30Y142 COLUMN 77 TILEPROP INT_L_X30Y142 DEVICE_ID 0 TILEPROP INT_L_X30Y142 FIRST_SITE_ID 798 TILEPROP INT_L_X30Y142 GRID_POINT_X 77 TILEPROP INT_L_X30Y142 GRID_POINT_Y 8 TILEPROP INT_L_X30Y142 INDEX 997 TILEPROP INT_L_X30Y142 INT_TILE_X 30 TILEPROP INT_L_X30Y142 INT_TILE_Y 7 TILEPROP INT_L_X30Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y142 IS_DCM_TILE 0 TILEPROP INT_L_X30Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y142 NAME INT_L_X30Y142 TILEPROP INT_L_X30Y142 NUM_ARCS 3737 TILEPROP INT_L_X30Y142 NUM_SITES 1 TILEPROP INT_L_X30Y142 ROW 8 TILEPROP INT_L_X30Y142 SLR_REGION_ID 0 TILEPROP INT_L_X30Y142 TILE_PATTERN_IDX 3840 TILEPROP INT_L_X30Y142 TILE_TYPE INT_L TILEPROP INT_L_X30Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y142 TILE_X 41784 TILEPROP INT_L_X30Y142 TILE_Y 218296 TILEPROP INT_L_X30Y142 TYPE INT_L TILEPROP INT_L_X30Y143 CLASS tile TILEPROP INT_L_X30Y143 COLUMN 77 TILEPROP INT_L_X30Y143 DEVICE_ID 0 TILEPROP INT_L_X30Y143 FIRST_SITE_ID 701 TILEPROP INT_L_X30Y143 GRID_POINT_X 77 TILEPROP INT_L_X30Y143 GRID_POINT_Y 7 TILEPROP INT_L_X30Y143 INDEX 882 TILEPROP INT_L_X30Y143 INT_TILE_X 30 TILEPROP INT_L_X30Y143 INT_TILE_Y 6 TILEPROP INT_L_X30Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y143 IS_DCM_TILE 0 TILEPROP INT_L_X30Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y143 NAME INT_L_X30Y143 TILEPROP INT_L_X30Y143 NUM_ARCS 3737 TILEPROP INT_L_X30Y143 NUM_SITES 1 TILEPROP INT_L_X30Y143 ROW 7 TILEPROP INT_L_X30Y143 SLR_REGION_ID 0 TILEPROP INT_L_X30Y143 TILE_PATTERN_IDX 3802 TILEPROP INT_L_X30Y143 TILE_TYPE INT_L TILEPROP INT_L_X30Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y143 TILE_X 41784 TILEPROP INT_L_X30Y143 TILE_Y 221496 TILEPROP INT_L_X30Y143 TYPE INT_L TILEPROP INT_L_X30Y144 CLASS tile TILEPROP INT_L_X30Y144 COLUMN 77 TILEPROP INT_L_X30Y144 DEVICE_ID 0 TILEPROP INT_L_X30Y144 FIRST_SITE_ID 590 TILEPROP INT_L_X30Y144 GRID_POINT_X 77 TILEPROP INT_L_X30Y144 GRID_POINT_Y 6 TILEPROP INT_L_X30Y144 INDEX 767 TILEPROP INT_L_X30Y144 INT_TILE_X 30 TILEPROP INT_L_X30Y144 INT_TILE_Y 5 TILEPROP INT_L_X30Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y144 IS_DCM_TILE 0 TILEPROP INT_L_X30Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y144 NAME INT_L_X30Y144 TILEPROP INT_L_X30Y144 NUM_ARCS 3737 TILEPROP INT_L_X30Y144 NUM_SITES 1 TILEPROP INT_L_X30Y144 ROW 6 TILEPROP INT_L_X30Y144 SLR_REGION_ID 0 TILEPROP INT_L_X30Y144 TILE_PATTERN_IDX 3763 TILEPROP INT_L_X30Y144 TILE_TYPE INT_L TILEPROP INT_L_X30Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y144 TILE_X 41784 TILEPROP INT_L_X30Y144 TILE_Y 224696 TILEPROP INT_L_X30Y144 TYPE INT_L TILEPROP INT_L_X30Y145 CLASS tile TILEPROP INT_L_X30Y145 COLUMN 77 TILEPROP INT_L_X30Y145 DEVICE_ID 0 TILEPROP INT_L_X30Y145 FIRST_SITE_ID 491 TILEPROP INT_L_X30Y145 GRID_POINT_X 77 TILEPROP INT_L_X30Y145 GRID_POINT_Y 5 TILEPROP INT_L_X30Y145 INDEX 652 TILEPROP INT_L_X30Y145 INT_TILE_X 30 TILEPROP INT_L_X30Y145 INT_TILE_Y 4 TILEPROP INT_L_X30Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y145 IS_DCM_TILE 0 TILEPROP INT_L_X30Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y145 NAME INT_L_X30Y145 TILEPROP INT_L_X30Y145 NUM_ARCS 3737 TILEPROP INT_L_X30Y145 NUM_SITES 1 TILEPROP INT_L_X30Y145 ROW 5 TILEPROP INT_L_X30Y145 SLR_REGION_ID 0 TILEPROP INT_L_X30Y145 TILE_PATTERN_IDX 3725 TILEPROP INT_L_X30Y145 TILE_TYPE INT_L TILEPROP INT_L_X30Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y145 TILE_X 41784 TILEPROP INT_L_X30Y145 TILE_Y 227896 TILEPROP INT_L_X30Y145 TYPE INT_L TILEPROP INT_L_X30Y146 CLASS tile TILEPROP INT_L_X30Y146 COLUMN 77 TILEPROP INT_L_X30Y146 DEVICE_ID 0 TILEPROP INT_L_X30Y146 FIRST_SITE_ID 378 TILEPROP INT_L_X30Y146 GRID_POINT_X 77 TILEPROP INT_L_X30Y146 GRID_POINT_Y 4 TILEPROP INT_L_X30Y146 INDEX 537 TILEPROP INT_L_X30Y146 INT_TILE_X 30 TILEPROP INT_L_X30Y146 INT_TILE_Y 3 TILEPROP INT_L_X30Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y146 IS_DCM_TILE 0 TILEPROP INT_L_X30Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y146 NAME INT_L_X30Y146 TILEPROP INT_L_X30Y146 NUM_ARCS 3737 TILEPROP INT_L_X30Y146 NUM_SITES 1 TILEPROP INT_L_X30Y146 ROW 4 TILEPROP INT_L_X30Y146 SLR_REGION_ID 0 TILEPROP INT_L_X30Y146 TILE_PATTERN_IDX 3686 TILEPROP INT_L_X30Y146 TILE_TYPE INT_L TILEPROP INT_L_X30Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y146 TILE_X 41784 TILEPROP INT_L_X30Y146 TILE_Y 231096 TILEPROP INT_L_X30Y146 TYPE INT_L TILEPROP INT_L_X30Y147 CLASS tile TILEPROP INT_L_X30Y147 COLUMN 77 TILEPROP INT_L_X30Y147 DEVICE_ID 0 TILEPROP INT_L_X30Y147 FIRST_SITE_ID 282 TILEPROP INT_L_X30Y147 GRID_POINT_X 77 TILEPROP INT_L_X30Y147 GRID_POINT_Y 3 TILEPROP INT_L_X30Y147 INDEX 422 TILEPROP INT_L_X30Y147 INT_TILE_X 30 TILEPROP INT_L_X30Y147 INT_TILE_Y 2 TILEPROP INT_L_X30Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y147 IS_DCM_TILE 0 TILEPROP INT_L_X30Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y147 NAME INT_L_X30Y147 TILEPROP INT_L_X30Y147 NUM_ARCS 3737 TILEPROP INT_L_X30Y147 NUM_SITES 1 TILEPROP INT_L_X30Y147 ROW 3 TILEPROP INT_L_X30Y147 SLR_REGION_ID 0 TILEPROP INT_L_X30Y147 TILE_PATTERN_IDX 3648 TILEPROP INT_L_X30Y147 TILE_TYPE INT_L TILEPROP INT_L_X30Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y147 TILE_X 41784 TILEPROP INT_L_X30Y147 TILE_Y 234296 TILEPROP INT_L_X30Y147 TYPE INT_L TILEPROP INT_L_X30Y148 CLASS tile TILEPROP INT_L_X30Y148 COLUMN 77 TILEPROP INT_L_X30Y148 DEVICE_ID 0 TILEPROP INT_L_X30Y148 FIRST_SITE_ID 178 TILEPROP INT_L_X30Y148 GRID_POINT_X 77 TILEPROP INT_L_X30Y148 GRID_POINT_Y 2 TILEPROP INT_L_X30Y148 INDEX 307 TILEPROP INT_L_X30Y148 INT_TILE_X 30 TILEPROP INT_L_X30Y148 INT_TILE_Y 1 TILEPROP INT_L_X30Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y148 IS_DCM_TILE 0 TILEPROP INT_L_X30Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y148 NAME INT_L_X30Y148 TILEPROP INT_L_X30Y148 NUM_ARCS 3737 TILEPROP INT_L_X30Y148 NUM_SITES 1 TILEPROP INT_L_X30Y148 ROW 2 TILEPROP INT_L_X30Y148 SLR_REGION_ID 0 TILEPROP INT_L_X30Y148 TILE_PATTERN_IDX 3609 TILEPROP INT_L_X30Y148 TILE_TYPE INT_L TILEPROP INT_L_X30Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y148 TILE_X 41784 TILEPROP INT_L_X30Y148 TILE_Y 237496 TILEPROP INT_L_X30Y148 TYPE INT_L TILEPROP INT_L_X30Y149 CLASS tile TILEPROP INT_L_X30Y149 COLUMN 77 TILEPROP INT_L_X30Y149 DEVICE_ID 0 TILEPROP INT_L_X30Y149 FIRST_SITE_ID 82 TILEPROP INT_L_X30Y149 GRID_POINT_X 77 TILEPROP INT_L_X30Y149 GRID_POINT_Y 1 TILEPROP INT_L_X30Y149 INDEX 192 TILEPROP INT_L_X30Y149 INT_TILE_X 30 TILEPROP INT_L_X30Y149 INT_TILE_Y 0 TILEPROP INT_L_X30Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X30Y149 IS_DCM_TILE 0 TILEPROP INT_L_X30Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X30Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X30Y149 NAME INT_L_X30Y149 TILEPROP INT_L_X30Y149 NUM_ARCS 3737 TILEPROP INT_L_X30Y149 NUM_SITES 1 TILEPROP INT_L_X30Y149 ROW 1 TILEPROP INT_L_X30Y149 SLR_REGION_ID 0 TILEPROP INT_L_X30Y149 TILE_PATTERN_IDX 3571 TILEPROP INT_L_X30Y149 TILE_TYPE INT_L TILEPROP INT_L_X30Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X30Y149 TILE_X 41784 TILEPROP INT_L_X30Y149 TILE_Y 240696 TILEPROP INT_L_X30Y149 TYPE INT_L TILEPROP INT_L_X32Y0 CLASS tile TILEPROP INT_L_X32Y0 COLUMN 82 TILEPROP INT_L_X32Y0 DEVICE_ID 0 TILEPROP INT_L_X32Y0 FIRST_SITE_ID 15781 TILEPROP INT_L_X32Y0 GRID_POINT_X 82 TILEPROP INT_L_X32Y0 GRID_POINT_Y 155 TILEPROP INT_L_X32Y0 INDEX 17907 TILEPROP INT_L_X32Y0 INT_TILE_X 32 TILEPROP INT_L_X32Y0 INT_TILE_Y 149 TILEPROP INT_L_X32Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y0 IS_DCM_TILE 0 TILEPROP INT_L_X32Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y0 NAME INT_L_X32Y0 TILEPROP INT_L_X32Y0 NUM_ARCS 3737 TILEPROP INT_L_X32Y0 NUM_SITES 1 TILEPROP INT_L_X32Y0 ROW 155 TILEPROP INT_L_X32Y0 SLR_REGION_ID 0 TILEPROP INT_L_X32Y0 TILE_PATTERN_IDX 8759 TILEPROP INT_L_X32Y0 TILE_TYPE INT_L TILEPROP INT_L_X32Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y0 TILE_X 50152 TILEPROP INT_L_X32Y0 TILE_Y -239672 TILEPROP INT_L_X32Y0 TYPE INT_L TILEPROP INT_L_X32Y1 CLASS tile TILEPROP INT_L_X32Y1 COLUMN 82 TILEPROP INT_L_X32Y1 DEVICE_ID 0 TILEPROP INT_L_X32Y1 FIRST_SITE_ID 15668 TILEPROP INT_L_X32Y1 GRID_POINT_X 82 TILEPROP INT_L_X32Y1 GRID_POINT_Y 154 TILEPROP INT_L_X32Y1 INDEX 17792 TILEPROP INT_L_X32Y1 INT_TILE_X 32 TILEPROP INT_L_X32Y1 INT_TILE_Y 148 TILEPROP INT_L_X32Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y1 IS_DCM_TILE 0 TILEPROP INT_L_X32Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y1 NAME INT_L_X32Y1 TILEPROP INT_L_X32Y1 NUM_ARCS 3737 TILEPROP INT_L_X32Y1 NUM_SITES 1 TILEPROP INT_L_X32Y1 ROW 154 TILEPROP INT_L_X32Y1 SLR_REGION_ID 0 TILEPROP INT_L_X32Y1 TILE_PATTERN_IDX 8728 TILEPROP INT_L_X32Y1 TILE_TYPE INT_L TILEPROP INT_L_X32Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y1 TILE_X 50152 TILEPROP INT_L_X32Y1 TILE_Y -236472 TILEPROP INT_L_X32Y1 TYPE INT_L TILEPROP INT_L_X32Y2 CLASS tile TILEPROP INT_L_X32Y2 COLUMN 82 TILEPROP INT_L_X32Y2 DEVICE_ID 0 TILEPROP INT_L_X32Y2 FIRST_SITE_ID 15567 TILEPROP INT_L_X32Y2 GRID_POINT_X 82 TILEPROP INT_L_X32Y2 GRID_POINT_Y 153 TILEPROP INT_L_X32Y2 INDEX 17677 TILEPROP INT_L_X32Y2 INT_TILE_X 32 TILEPROP INT_L_X32Y2 INT_TILE_Y 147 TILEPROP INT_L_X32Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y2 IS_DCM_TILE 0 TILEPROP INT_L_X32Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y2 NAME INT_L_X32Y2 TILEPROP INT_L_X32Y2 NUM_ARCS 3737 TILEPROP INT_L_X32Y2 NUM_SITES 1 TILEPROP INT_L_X32Y2 ROW 153 TILEPROP INT_L_X32Y2 SLR_REGION_ID 0 TILEPROP INT_L_X32Y2 TILE_PATTERN_IDX 8698 TILEPROP INT_L_X32Y2 TILE_TYPE INT_L TILEPROP INT_L_X32Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y2 TILE_X 50152 TILEPROP INT_L_X32Y2 TILE_Y -233272 TILEPROP INT_L_X32Y2 TYPE INT_L TILEPROP INT_L_X32Y3 CLASS tile TILEPROP INT_L_X32Y3 COLUMN 82 TILEPROP INT_L_X32Y3 DEVICE_ID 0 TILEPROP INT_L_X32Y3 FIRST_SITE_ID 15467 TILEPROP INT_L_X32Y3 GRID_POINT_X 82 TILEPROP INT_L_X32Y3 GRID_POINT_Y 152 TILEPROP INT_L_X32Y3 INDEX 17562 TILEPROP INT_L_X32Y3 INT_TILE_X 32 TILEPROP INT_L_X32Y3 INT_TILE_Y 146 TILEPROP INT_L_X32Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y3 IS_DCM_TILE 0 TILEPROP INT_L_X32Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y3 NAME INT_L_X32Y3 TILEPROP INT_L_X32Y3 NUM_ARCS 3737 TILEPROP INT_L_X32Y3 NUM_SITES 1 TILEPROP INT_L_X32Y3 ROW 152 TILEPROP INT_L_X32Y3 SLR_REGION_ID 0 TILEPROP INT_L_X32Y3 TILE_PATTERN_IDX 8667 TILEPROP INT_L_X32Y3 TILE_TYPE INT_L TILEPROP INT_L_X32Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y3 TILE_X 50152 TILEPROP INT_L_X32Y3 TILE_Y -230072 TILEPROP INT_L_X32Y3 TYPE INT_L TILEPROP INT_L_X32Y4 CLASS tile TILEPROP INT_L_X32Y4 COLUMN 82 TILEPROP INT_L_X32Y4 DEVICE_ID 0 TILEPROP INT_L_X32Y4 FIRST_SITE_ID 15367 TILEPROP INT_L_X32Y4 GRID_POINT_X 82 TILEPROP INT_L_X32Y4 GRID_POINT_Y 151 TILEPROP INT_L_X32Y4 INDEX 17447 TILEPROP INT_L_X32Y4 INT_TILE_X 32 TILEPROP INT_L_X32Y4 INT_TILE_Y 145 TILEPROP INT_L_X32Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y4 IS_DCM_TILE 0 TILEPROP INT_L_X32Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y4 NAME INT_L_X32Y4 TILEPROP INT_L_X32Y4 NUM_ARCS 3737 TILEPROP INT_L_X32Y4 NUM_SITES 1 TILEPROP INT_L_X32Y4 ROW 151 TILEPROP INT_L_X32Y4 SLR_REGION_ID 0 TILEPROP INT_L_X32Y4 TILE_PATTERN_IDX 8637 TILEPROP INT_L_X32Y4 TILE_TYPE INT_L TILEPROP INT_L_X32Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y4 TILE_X 50152 TILEPROP INT_L_X32Y4 TILE_Y -226872 TILEPROP INT_L_X32Y4 TYPE INT_L TILEPROP INT_L_X32Y5 CLASS tile TILEPROP INT_L_X32Y5 COLUMN 82 TILEPROP INT_L_X32Y5 DEVICE_ID 0 TILEPROP INT_L_X32Y5 FIRST_SITE_ID 15261 TILEPROP INT_L_X32Y5 GRID_POINT_X 82 TILEPROP INT_L_X32Y5 GRID_POINT_Y 150 TILEPROP INT_L_X32Y5 INDEX 17332 TILEPROP INT_L_X32Y5 INT_TILE_X 32 TILEPROP INT_L_X32Y5 INT_TILE_Y 144 TILEPROP INT_L_X32Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y5 IS_DCM_TILE 0 TILEPROP INT_L_X32Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y5 NAME INT_L_X32Y5 TILEPROP INT_L_X32Y5 NUM_ARCS 3737 TILEPROP INT_L_X32Y5 NUM_SITES 1 TILEPROP INT_L_X32Y5 ROW 150 TILEPROP INT_L_X32Y5 SLR_REGION_ID 0 TILEPROP INT_L_X32Y5 TILE_PATTERN_IDX 8606 TILEPROP INT_L_X32Y5 TILE_TYPE INT_L TILEPROP INT_L_X32Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y5 TILE_X 50152 TILEPROP INT_L_X32Y5 TILE_Y -223672 TILEPROP INT_L_X32Y5 TYPE INT_L TILEPROP INT_L_X32Y6 CLASS tile TILEPROP INT_L_X32Y6 COLUMN 82 TILEPROP INT_L_X32Y6 DEVICE_ID 0 TILEPROP INT_L_X32Y6 FIRST_SITE_ID 15152 TILEPROP INT_L_X32Y6 GRID_POINT_X 82 TILEPROP INT_L_X32Y6 GRID_POINT_Y 149 TILEPROP INT_L_X32Y6 INDEX 17217 TILEPROP INT_L_X32Y6 INT_TILE_X 32 TILEPROP INT_L_X32Y6 INT_TILE_Y 143 TILEPROP INT_L_X32Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y6 IS_DCM_TILE 0 TILEPROP INT_L_X32Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y6 NAME INT_L_X32Y6 TILEPROP INT_L_X32Y6 NUM_ARCS 3737 TILEPROP INT_L_X32Y6 NUM_SITES 1 TILEPROP INT_L_X32Y6 ROW 149 TILEPROP INT_L_X32Y6 SLR_REGION_ID 0 TILEPROP INT_L_X32Y6 TILE_PATTERN_IDX 8576 TILEPROP INT_L_X32Y6 TILE_TYPE INT_L TILEPROP INT_L_X32Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y6 TILE_X 50152 TILEPROP INT_L_X32Y6 TILE_Y -220472 TILEPROP INT_L_X32Y6 TYPE INT_L TILEPROP INT_L_X32Y7 CLASS tile TILEPROP INT_L_X32Y7 COLUMN 82 TILEPROP INT_L_X32Y7 DEVICE_ID 0 TILEPROP INT_L_X32Y7 FIRST_SITE_ID 15050 TILEPROP INT_L_X32Y7 GRID_POINT_X 82 TILEPROP INT_L_X32Y7 GRID_POINT_Y 148 TILEPROP INT_L_X32Y7 INDEX 17102 TILEPROP INT_L_X32Y7 INT_TILE_X 32 TILEPROP INT_L_X32Y7 INT_TILE_Y 142 TILEPROP INT_L_X32Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y7 IS_DCM_TILE 0 TILEPROP INT_L_X32Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y7 NAME INT_L_X32Y7 TILEPROP INT_L_X32Y7 NUM_ARCS 3737 TILEPROP INT_L_X32Y7 NUM_SITES 1 TILEPROP INT_L_X32Y7 ROW 148 TILEPROP INT_L_X32Y7 SLR_REGION_ID 0 TILEPROP INT_L_X32Y7 TILE_PATTERN_IDX 8545 TILEPROP INT_L_X32Y7 TILE_TYPE INT_L TILEPROP INT_L_X32Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y7 TILE_X 50152 TILEPROP INT_L_X32Y7 TILE_Y -217272 TILEPROP INT_L_X32Y7 TYPE INT_L TILEPROP INT_L_X32Y8 CLASS tile TILEPROP INT_L_X32Y8 COLUMN 82 TILEPROP INT_L_X32Y8 DEVICE_ID 0 TILEPROP INT_L_X32Y8 FIRST_SITE_ID 14947 TILEPROP INT_L_X32Y8 GRID_POINT_X 82 TILEPROP INT_L_X32Y8 GRID_POINT_Y 147 TILEPROP INT_L_X32Y8 INDEX 16987 TILEPROP INT_L_X32Y8 INT_TILE_X 32 TILEPROP INT_L_X32Y8 INT_TILE_Y 141 TILEPROP INT_L_X32Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y8 IS_DCM_TILE 0 TILEPROP INT_L_X32Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y8 NAME INT_L_X32Y8 TILEPROP INT_L_X32Y8 NUM_ARCS 3737 TILEPROP INT_L_X32Y8 NUM_SITES 1 TILEPROP INT_L_X32Y8 ROW 147 TILEPROP INT_L_X32Y8 SLR_REGION_ID 0 TILEPROP INT_L_X32Y8 TILE_PATTERN_IDX 8515 TILEPROP INT_L_X32Y8 TILE_TYPE INT_L TILEPROP INT_L_X32Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y8 TILE_X 50152 TILEPROP INT_L_X32Y8 TILE_Y -214072 TILEPROP INT_L_X32Y8 TYPE INT_L TILEPROP INT_L_X32Y9 CLASS tile TILEPROP INT_L_X32Y9 COLUMN 82 TILEPROP INT_L_X32Y9 DEVICE_ID 0 TILEPROP INT_L_X32Y9 FIRST_SITE_ID 14846 TILEPROP INT_L_X32Y9 GRID_POINT_X 82 TILEPROP INT_L_X32Y9 GRID_POINT_Y 146 TILEPROP INT_L_X32Y9 INDEX 16872 TILEPROP INT_L_X32Y9 INT_TILE_X 32 TILEPROP INT_L_X32Y9 INT_TILE_Y 140 TILEPROP INT_L_X32Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y9 IS_DCM_TILE 0 TILEPROP INT_L_X32Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y9 NAME INT_L_X32Y9 TILEPROP INT_L_X32Y9 NUM_ARCS 3737 TILEPROP INT_L_X32Y9 NUM_SITES 1 TILEPROP INT_L_X32Y9 ROW 146 TILEPROP INT_L_X32Y9 SLR_REGION_ID 0 TILEPROP INT_L_X32Y9 TILE_PATTERN_IDX 8484 TILEPROP INT_L_X32Y9 TILE_TYPE INT_L TILEPROP INT_L_X32Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y9 TILE_X 50152 TILEPROP INT_L_X32Y9 TILE_Y -210872 TILEPROP INT_L_X32Y9 TYPE INT_L TILEPROP INT_L_X32Y10 CLASS tile TILEPROP INT_L_X32Y10 COLUMN 82 TILEPROP INT_L_X32Y10 DEVICE_ID 0 TILEPROP INT_L_X32Y10 FIRST_SITE_ID 14740 TILEPROP INT_L_X32Y10 GRID_POINT_X 82 TILEPROP INT_L_X32Y10 GRID_POINT_Y 145 TILEPROP INT_L_X32Y10 INDEX 16757 TILEPROP INT_L_X32Y10 INT_TILE_X 32 TILEPROP INT_L_X32Y10 INT_TILE_Y 139 TILEPROP INT_L_X32Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y10 IS_DCM_TILE 0 TILEPROP INT_L_X32Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y10 NAME INT_L_X32Y10 TILEPROP INT_L_X32Y10 NUM_ARCS 3737 TILEPROP INT_L_X32Y10 NUM_SITES 1 TILEPROP INT_L_X32Y10 ROW 145 TILEPROP INT_L_X32Y10 SLR_REGION_ID 0 TILEPROP INT_L_X32Y10 TILE_PATTERN_IDX 8454 TILEPROP INT_L_X32Y10 TILE_TYPE INT_L TILEPROP INT_L_X32Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y10 TILE_X 50152 TILEPROP INT_L_X32Y10 TILE_Y -207672 TILEPROP INT_L_X32Y10 TYPE INT_L TILEPROP INT_L_X32Y11 CLASS tile TILEPROP INT_L_X32Y11 COLUMN 82 TILEPROP INT_L_X32Y11 DEVICE_ID 0 TILEPROP INT_L_X32Y11 FIRST_SITE_ID 14631 TILEPROP INT_L_X32Y11 GRID_POINT_X 82 TILEPROP INT_L_X32Y11 GRID_POINT_Y 144 TILEPROP INT_L_X32Y11 INDEX 16642 TILEPROP INT_L_X32Y11 INT_TILE_X 32 TILEPROP INT_L_X32Y11 INT_TILE_Y 138 TILEPROP INT_L_X32Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y11 IS_DCM_TILE 0 TILEPROP INT_L_X32Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y11 NAME INT_L_X32Y11 TILEPROP INT_L_X32Y11 NUM_ARCS 3737 TILEPROP INT_L_X32Y11 NUM_SITES 1 TILEPROP INT_L_X32Y11 ROW 144 TILEPROP INT_L_X32Y11 SLR_REGION_ID 0 TILEPROP INT_L_X32Y11 TILE_PATTERN_IDX 8423 TILEPROP INT_L_X32Y11 TILE_TYPE INT_L TILEPROP INT_L_X32Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y11 TILE_X 50152 TILEPROP INT_L_X32Y11 TILE_Y -204472 TILEPROP INT_L_X32Y11 TYPE INT_L TILEPROP INT_L_X32Y12 CLASS tile TILEPROP INT_L_X32Y12 COLUMN 82 TILEPROP INT_L_X32Y12 DEVICE_ID 0 TILEPROP INT_L_X32Y12 FIRST_SITE_ID 14531 TILEPROP INT_L_X32Y12 GRID_POINT_X 82 TILEPROP INT_L_X32Y12 GRID_POINT_Y 143 TILEPROP INT_L_X32Y12 INDEX 16527 TILEPROP INT_L_X32Y12 INT_TILE_X 32 TILEPROP INT_L_X32Y12 INT_TILE_Y 137 TILEPROP INT_L_X32Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y12 IS_DCM_TILE 0 TILEPROP INT_L_X32Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y12 NAME INT_L_X32Y12 TILEPROP INT_L_X32Y12 NUM_ARCS 3737 TILEPROP INT_L_X32Y12 NUM_SITES 1 TILEPROP INT_L_X32Y12 ROW 143 TILEPROP INT_L_X32Y12 SLR_REGION_ID 0 TILEPROP INT_L_X32Y12 TILE_PATTERN_IDX 8393 TILEPROP INT_L_X32Y12 TILE_TYPE INT_L TILEPROP INT_L_X32Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y12 TILE_X 50152 TILEPROP INT_L_X32Y12 TILE_Y -201272 TILEPROP INT_L_X32Y12 TYPE INT_L TILEPROP INT_L_X32Y13 CLASS tile TILEPROP INT_L_X32Y13 COLUMN 82 TILEPROP INT_L_X32Y13 DEVICE_ID 0 TILEPROP INT_L_X32Y13 FIRST_SITE_ID 14399 TILEPROP INT_L_X32Y13 GRID_POINT_X 82 TILEPROP INT_L_X32Y13 GRID_POINT_Y 142 TILEPROP INT_L_X32Y13 INDEX 16412 TILEPROP INT_L_X32Y13 INT_TILE_X 32 TILEPROP INT_L_X32Y13 INT_TILE_Y 136 TILEPROP INT_L_X32Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y13 IS_DCM_TILE 0 TILEPROP INT_L_X32Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y13 NAME INT_L_X32Y13 TILEPROP INT_L_X32Y13 NUM_ARCS 3737 TILEPROP INT_L_X32Y13 NUM_SITES 1 TILEPROP INT_L_X32Y13 ROW 142 TILEPROP INT_L_X32Y13 SLR_REGION_ID 0 TILEPROP INT_L_X32Y13 TILE_PATTERN_IDX 8362 TILEPROP INT_L_X32Y13 TILE_TYPE INT_L TILEPROP INT_L_X32Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y13 TILE_X 50152 TILEPROP INT_L_X32Y13 TILE_Y -198072 TILEPROP INT_L_X32Y13 TYPE INT_L TILEPROP INT_L_X32Y14 CLASS tile TILEPROP INT_L_X32Y14 COLUMN 82 TILEPROP INT_L_X32Y14 DEVICE_ID 0 TILEPROP INT_L_X32Y14 FIRST_SITE_ID 14299 TILEPROP INT_L_X32Y14 GRID_POINT_X 82 TILEPROP INT_L_X32Y14 GRID_POINT_Y 141 TILEPROP INT_L_X32Y14 INDEX 16297 TILEPROP INT_L_X32Y14 INT_TILE_X 32 TILEPROP INT_L_X32Y14 INT_TILE_Y 135 TILEPROP INT_L_X32Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y14 IS_DCM_TILE 0 TILEPROP INT_L_X32Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y14 NAME INT_L_X32Y14 TILEPROP INT_L_X32Y14 NUM_ARCS 3737 TILEPROP INT_L_X32Y14 NUM_SITES 1 TILEPROP INT_L_X32Y14 ROW 141 TILEPROP INT_L_X32Y14 SLR_REGION_ID 0 TILEPROP INT_L_X32Y14 TILE_PATTERN_IDX 8332 TILEPROP INT_L_X32Y14 TILE_TYPE INT_L TILEPROP INT_L_X32Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y14 TILE_X 50152 TILEPROP INT_L_X32Y14 TILE_Y -194872 TILEPROP INT_L_X32Y14 TYPE INT_L TILEPROP INT_L_X32Y15 CLASS tile TILEPROP INT_L_X32Y15 COLUMN 82 TILEPROP INT_L_X32Y15 DEVICE_ID 0 TILEPROP INT_L_X32Y15 FIRST_SITE_ID 14193 TILEPROP INT_L_X32Y15 GRID_POINT_X 82 TILEPROP INT_L_X32Y15 GRID_POINT_Y 140 TILEPROP INT_L_X32Y15 INDEX 16182 TILEPROP INT_L_X32Y15 INT_TILE_X 32 TILEPROP INT_L_X32Y15 INT_TILE_Y 134 TILEPROP INT_L_X32Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y15 IS_DCM_TILE 0 TILEPROP INT_L_X32Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y15 NAME INT_L_X32Y15 TILEPROP INT_L_X32Y15 NUM_ARCS 3737 TILEPROP INT_L_X32Y15 NUM_SITES 1 TILEPROP INT_L_X32Y15 ROW 140 TILEPROP INT_L_X32Y15 SLR_REGION_ID 0 TILEPROP INT_L_X32Y15 TILE_PATTERN_IDX 8301 TILEPROP INT_L_X32Y15 TILE_TYPE INT_L TILEPROP INT_L_X32Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y15 TILE_X 50152 TILEPROP INT_L_X32Y15 TILE_Y -191672 TILEPROP INT_L_X32Y15 TYPE INT_L TILEPROP INT_L_X32Y16 CLASS tile TILEPROP INT_L_X32Y16 COLUMN 82 TILEPROP INT_L_X32Y16 DEVICE_ID 0 TILEPROP INT_L_X32Y16 FIRST_SITE_ID 14084 TILEPROP INT_L_X32Y16 GRID_POINT_X 82 TILEPROP INT_L_X32Y16 GRID_POINT_Y 139 TILEPROP INT_L_X32Y16 INDEX 16067 TILEPROP INT_L_X32Y16 INT_TILE_X 32 TILEPROP INT_L_X32Y16 INT_TILE_Y 133 TILEPROP INT_L_X32Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y16 IS_DCM_TILE 0 TILEPROP INT_L_X32Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y16 NAME INT_L_X32Y16 TILEPROP INT_L_X32Y16 NUM_ARCS 3737 TILEPROP INT_L_X32Y16 NUM_SITES 1 TILEPROP INT_L_X32Y16 ROW 139 TILEPROP INT_L_X32Y16 SLR_REGION_ID 0 TILEPROP INT_L_X32Y16 TILE_PATTERN_IDX 8271 TILEPROP INT_L_X32Y16 TILE_TYPE INT_L TILEPROP INT_L_X32Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y16 TILE_X 50152 TILEPROP INT_L_X32Y16 TILE_Y -188472 TILEPROP INT_L_X32Y16 TYPE INT_L TILEPROP INT_L_X32Y17 CLASS tile TILEPROP INT_L_X32Y17 COLUMN 82 TILEPROP INT_L_X32Y17 DEVICE_ID 0 TILEPROP INT_L_X32Y17 FIRST_SITE_ID 13980 TILEPROP INT_L_X32Y17 GRID_POINT_X 82 TILEPROP INT_L_X32Y17 GRID_POINT_Y 138 TILEPROP INT_L_X32Y17 INDEX 15952 TILEPROP INT_L_X32Y17 INT_TILE_X 32 TILEPROP INT_L_X32Y17 INT_TILE_Y 132 TILEPROP INT_L_X32Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y17 IS_DCM_TILE 0 TILEPROP INT_L_X32Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y17 NAME INT_L_X32Y17 TILEPROP INT_L_X32Y17 NUM_ARCS 3737 TILEPROP INT_L_X32Y17 NUM_SITES 1 TILEPROP INT_L_X32Y17 ROW 138 TILEPROP INT_L_X32Y17 SLR_REGION_ID 0 TILEPROP INT_L_X32Y17 TILE_PATTERN_IDX 8239 TILEPROP INT_L_X32Y17 TILE_TYPE INT_L TILEPROP INT_L_X32Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y17 TILE_X 50152 TILEPROP INT_L_X32Y17 TILE_Y -185272 TILEPROP INT_L_X32Y17 TYPE INT_L TILEPROP INT_L_X32Y18 CLASS tile TILEPROP INT_L_X32Y18 COLUMN 82 TILEPROP INT_L_X32Y18 DEVICE_ID 0 TILEPROP INT_L_X32Y18 FIRST_SITE_ID 13875 TILEPROP INT_L_X32Y18 GRID_POINT_X 82 TILEPROP INT_L_X32Y18 GRID_POINT_Y 137 TILEPROP INT_L_X32Y18 INDEX 15837 TILEPROP INT_L_X32Y18 INT_TILE_X 32 TILEPROP INT_L_X32Y18 INT_TILE_Y 131 TILEPROP INT_L_X32Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y18 IS_DCM_TILE 0 TILEPROP INT_L_X32Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y18 NAME INT_L_X32Y18 TILEPROP INT_L_X32Y18 NUM_ARCS 3737 TILEPROP INT_L_X32Y18 NUM_SITES 1 TILEPROP INT_L_X32Y18 ROW 137 TILEPROP INT_L_X32Y18 SLR_REGION_ID 0 TILEPROP INT_L_X32Y18 TILE_PATTERN_IDX 6565 TILEPROP INT_L_X32Y18 TILE_TYPE INT_L TILEPROP INT_L_X32Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y18 TILE_X 50152 TILEPROP INT_L_X32Y18 TILE_Y -182072 TILEPROP INT_L_X32Y18 TYPE INT_L TILEPROP INT_L_X32Y19 CLASS tile TILEPROP INT_L_X32Y19 COLUMN 82 TILEPROP INT_L_X32Y19 DEVICE_ID 0 TILEPROP INT_L_X32Y19 FIRST_SITE_ID 13773 TILEPROP INT_L_X32Y19 GRID_POINT_X 82 TILEPROP INT_L_X32Y19 GRID_POINT_Y 136 TILEPROP INT_L_X32Y19 INDEX 15722 TILEPROP INT_L_X32Y19 INT_TILE_X 32 TILEPROP INT_L_X32Y19 INT_TILE_Y 130 TILEPROP INT_L_X32Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y19 IS_DCM_TILE 0 TILEPROP INT_L_X32Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y19 NAME INT_L_X32Y19 TILEPROP INT_L_X32Y19 NUM_ARCS 3737 TILEPROP INT_L_X32Y19 NUM_SITES 1 TILEPROP INT_L_X32Y19 ROW 136 TILEPROP INT_L_X32Y19 SLR_REGION_ID 0 TILEPROP INT_L_X32Y19 TILE_PATTERN_IDX 6534 TILEPROP INT_L_X32Y19 TILE_TYPE INT_L TILEPROP INT_L_X32Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y19 TILE_X 50152 TILEPROP INT_L_X32Y19 TILE_Y -178872 TILEPROP INT_L_X32Y19 TYPE INT_L TILEPROP INT_L_X32Y20 CLASS tile TILEPROP INT_L_X32Y20 COLUMN 82 TILEPROP INT_L_X32Y20 DEVICE_ID 0 TILEPROP INT_L_X32Y20 FIRST_SITE_ID 13665 TILEPROP INT_L_X32Y20 GRID_POINT_X 82 TILEPROP INT_L_X32Y20 GRID_POINT_Y 135 TILEPROP INT_L_X32Y20 INDEX 15607 TILEPROP INT_L_X32Y20 INT_TILE_X 32 TILEPROP INT_L_X32Y20 INT_TILE_Y 129 TILEPROP INT_L_X32Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y20 IS_DCM_TILE 0 TILEPROP INT_L_X32Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y20 NAME INT_L_X32Y20 TILEPROP INT_L_X32Y20 NUM_ARCS 3737 TILEPROP INT_L_X32Y20 NUM_SITES 1 TILEPROP INT_L_X32Y20 ROW 135 TILEPROP INT_L_X32Y20 SLR_REGION_ID 0 TILEPROP INT_L_X32Y20 TILE_PATTERN_IDX 6503 TILEPROP INT_L_X32Y20 TILE_TYPE INT_L TILEPROP INT_L_X32Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y20 TILE_X 50152 TILEPROP INT_L_X32Y20 TILE_Y -175672 TILEPROP INT_L_X32Y20 TYPE INT_L TILEPROP INT_L_X32Y21 CLASS tile TILEPROP INT_L_X32Y21 COLUMN 82 TILEPROP INT_L_X32Y21 DEVICE_ID 0 TILEPROP INT_L_X32Y21 FIRST_SITE_ID 13556 TILEPROP INT_L_X32Y21 GRID_POINT_X 82 TILEPROP INT_L_X32Y21 GRID_POINT_Y 134 TILEPROP INT_L_X32Y21 INDEX 15492 TILEPROP INT_L_X32Y21 INT_TILE_X 32 TILEPROP INT_L_X32Y21 INT_TILE_Y 128 TILEPROP INT_L_X32Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y21 IS_DCM_TILE 0 TILEPROP INT_L_X32Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y21 NAME INT_L_X32Y21 TILEPROP INT_L_X32Y21 NUM_ARCS 3737 TILEPROP INT_L_X32Y21 NUM_SITES 1 TILEPROP INT_L_X32Y21 ROW 134 TILEPROP INT_L_X32Y21 SLR_REGION_ID 0 TILEPROP INT_L_X32Y21 TILE_PATTERN_IDX 8142 TILEPROP INT_L_X32Y21 TILE_TYPE INT_L TILEPROP INT_L_X32Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y21 TILE_X 50152 TILEPROP INT_L_X32Y21 TILE_Y -172472 TILEPROP INT_L_X32Y21 TYPE INT_L TILEPROP INT_L_X32Y22 CLASS tile TILEPROP INT_L_X32Y22 COLUMN 82 TILEPROP INT_L_X32Y22 DEVICE_ID 0 TILEPROP INT_L_X32Y22 FIRST_SITE_ID 13456 TILEPROP INT_L_X32Y22 GRID_POINT_X 82 TILEPROP INT_L_X32Y22 GRID_POINT_Y 133 TILEPROP INT_L_X32Y22 INDEX 15377 TILEPROP INT_L_X32Y22 INT_TILE_X 32 TILEPROP INT_L_X32Y22 INT_TILE_Y 127 TILEPROP INT_L_X32Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y22 IS_DCM_TILE 0 TILEPROP INT_L_X32Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y22 NAME INT_L_X32Y22 TILEPROP INT_L_X32Y22 NUM_ARCS 3737 TILEPROP INT_L_X32Y22 NUM_SITES 1 TILEPROP INT_L_X32Y22 ROW 133 TILEPROP INT_L_X32Y22 SLR_REGION_ID 0 TILEPROP INT_L_X32Y22 TILE_PATTERN_IDX 8114 TILEPROP INT_L_X32Y22 TILE_TYPE INT_L TILEPROP INT_L_X32Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y22 TILE_X 50152 TILEPROP INT_L_X32Y22 TILE_Y -169272 TILEPROP INT_L_X32Y22 TYPE INT_L TILEPROP INT_L_X32Y23 CLASS tile TILEPROP INT_L_X32Y23 COLUMN 82 TILEPROP INT_L_X32Y23 DEVICE_ID 0 TILEPROP INT_L_X32Y23 FIRST_SITE_ID 13356 TILEPROP INT_L_X32Y23 GRID_POINT_X 82 TILEPROP INT_L_X32Y23 GRID_POINT_Y 132 TILEPROP INT_L_X32Y23 INDEX 15262 TILEPROP INT_L_X32Y23 INT_TILE_X 32 TILEPROP INT_L_X32Y23 INT_TILE_Y 126 TILEPROP INT_L_X32Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y23 IS_DCM_TILE 0 TILEPROP INT_L_X32Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y23 NAME INT_L_X32Y23 TILEPROP INT_L_X32Y23 NUM_ARCS 3737 TILEPROP INT_L_X32Y23 NUM_SITES 1 TILEPROP INT_L_X32Y23 ROW 132 TILEPROP INT_L_X32Y23 SLR_REGION_ID 0 TILEPROP INT_L_X32Y23 TILE_PATTERN_IDX 8085 TILEPROP INT_L_X32Y23 TILE_TYPE INT_L TILEPROP INT_L_X32Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y23 TILE_X 50152 TILEPROP INT_L_X32Y23 TILE_Y -166072 TILEPROP INT_L_X32Y23 TYPE INT_L TILEPROP INT_L_X32Y24 CLASS tile TILEPROP INT_L_X32Y24 COLUMN 82 TILEPROP INT_L_X32Y24 DEVICE_ID 0 TILEPROP INT_L_X32Y24 FIRST_SITE_ID 13256 TILEPROP INT_L_X32Y24 GRID_POINT_X 82 TILEPROP INT_L_X32Y24 GRID_POINT_Y 131 TILEPROP INT_L_X32Y24 INDEX 15147 TILEPROP INT_L_X32Y24 INT_TILE_X 32 TILEPROP INT_L_X32Y24 INT_TILE_Y 125 TILEPROP INT_L_X32Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y24 IS_DCM_TILE 0 TILEPROP INT_L_X32Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y24 NAME INT_L_X32Y24 TILEPROP INT_L_X32Y24 NUM_ARCS 3737 TILEPROP INT_L_X32Y24 NUM_SITES 1 TILEPROP INT_L_X32Y24 ROW 131 TILEPROP INT_L_X32Y24 SLR_REGION_ID 0 TILEPROP INT_L_X32Y24 TILE_PATTERN_IDX 8057 TILEPROP INT_L_X32Y24 TILE_TYPE INT_L TILEPROP INT_L_X32Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y24 TILE_X 50152 TILEPROP INT_L_X32Y24 TILE_Y -162872 TILEPROP INT_L_X32Y24 TYPE INT_L TILEPROP INT_L_X32Y25 CLASS tile TILEPROP INT_L_X32Y25 COLUMN 82 TILEPROP INT_L_X32Y25 DEVICE_ID 0 TILEPROP INT_L_X32Y25 FIRST_SITE_ID 13067 TILEPROP INT_L_X32Y25 GRID_POINT_X 82 TILEPROP INT_L_X32Y25 GRID_POINT_Y 129 TILEPROP INT_L_X32Y25 INDEX 14917 TILEPROP INT_L_X32Y25 INT_TILE_X 32 TILEPROP INT_L_X32Y25 INT_TILE_Y 124 TILEPROP INT_L_X32Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y25 IS_DCM_TILE 0 TILEPROP INT_L_X32Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y25 NAME INT_L_X32Y25 TILEPROP INT_L_X32Y25 NUM_ARCS 3737 TILEPROP INT_L_X32Y25 NUM_SITES 1 TILEPROP INT_L_X32Y25 ROW 129 TILEPROP INT_L_X32Y25 SLR_REGION_ID 0 TILEPROP INT_L_X32Y25 TILE_PATTERN_IDX 7985 TILEPROP INT_L_X32Y25 TILE_TYPE INT_L TILEPROP INT_L_X32Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y25 TILE_X 50152 TILEPROP INT_L_X32Y25 TILE_Y -158648 TILEPROP INT_L_X32Y25 TYPE INT_L TILEPROP INT_L_X32Y26 CLASS tile TILEPROP INT_L_X32Y26 COLUMN 82 TILEPROP INT_L_X32Y26 DEVICE_ID 0 TILEPROP INT_L_X32Y26 FIRST_SITE_ID 12958 TILEPROP INT_L_X32Y26 GRID_POINT_X 82 TILEPROP INT_L_X32Y26 GRID_POINT_Y 128 TILEPROP INT_L_X32Y26 INDEX 14802 TILEPROP INT_L_X32Y26 INT_TILE_X 32 TILEPROP INT_L_X32Y26 INT_TILE_Y 123 TILEPROP INT_L_X32Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y26 IS_DCM_TILE 0 TILEPROP INT_L_X32Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y26 NAME INT_L_X32Y26 TILEPROP INT_L_X32Y26 NUM_ARCS 3737 TILEPROP INT_L_X32Y26 NUM_SITES 1 TILEPROP INT_L_X32Y26 ROW 128 TILEPROP INT_L_X32Y26 SLR_REGION_ID 0 TILEPROP INT_L_X32Y26 TILE_PATTERN_IDX 7957 TILEPROP INT_L_X32Y26 TILE_TYPE INT_L TILEPROP INT_L_X32Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y26 TILE_X 50152 TILEPROP INT_L_X32Y26 TILE_Y -155448 TILEPROP INT_L_X32Y26 TYPE INT_L TILEPROP INT_L_X32Y27 CLASS tile TILEPROP INT_L_X32Y27 COLUMN 82 TILEPROP INT_L_X32Y27 DEVICE_ID 0 TILEPROP INT_L_X32Y27 FIRST_SITE_ID 12858 TILEPROP INT_L_X32Y27 GRID_POINT_X 82 TILEPROP INT_L_X32Y27 GRID_POINT_Y 127 TILEPROP INT_L_X32Y27 INDEX 14687 TILEPROP INT_L_X32Y27 INT_TILE_X 32 TILEPROP INT_L_X32Y27 INT_TILE_Y 122 TILEPROP INT_L_X32Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y27 IS_DCM_TILE 0 TILEPROP INT_L_X32Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y27 NAME INT_L_X32Y27 TILEPROP INT_L_X32Y27 NUM_ARCS 3737 TILEPROP INT_L_X32Y27 NUM_SITES 1 TILEPROP INT_L_X32Y27 ROW 127 TILEPROP INT_L_X32Y27 SLR_REGION_ID 0 TILEPROP INT_L_X32Y27 TILE_PATTERN_IDX 7928 TILEPROP INT_L_X32Y27 TILE_TYPE INT_L TILEPROP INT_L_X32Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y27 TILE_X 50152 TILEPROP INT_L_X32Y27 TILE_Y -152248 TILEPROP INT_L_X32Y27 TYPE INT_L TILEPROP INT_L_X32Y28 CLASS tile TILEPROP INT_L_X32Y28 COLUMN 82 TILEPROP INT_L_X32Y28 DEVICE_ID 0 TILEPROP INT_L_X32Y28 FIRST_SITE_ID 12758 TILEPROP INT_L_X32Y28 GRID_POINT_X 82 TILEPROP INT_L_X32Y28 GRID_POINT_Y 126 TILEPROP INT_L_X32Y28 INDEX 14572 TILEPROP INT_L_X32Y28 INT_TILE_X 32 TILEPROP INT_L_X32Y28 INT_TILE_Y 121 TILEPROP INT_L_X32Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y28 IS_DCM_TILE 0 TILEPROP INT_L_X32Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y28 NAME INT_L_X32Y28 TILEPROP INT_L_X32Y28 NUM_ARCS 3737 TILEPROP INT_L_X32Y28 NUM_SITES 1 TILEPROP INT_L_X32Y28 ROW 126 TILEPROP INT_L_X32Y28 SLR_REGION_ID 0 TILEPROP INT_L_X32Y28 TILE_PATTERN_IDX 7900 TILEPROP INT_L_X32Y28 TILE_TYPE INT_L TILEPROP INT_L_X32Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y28 TILE_X 50152 TILEPROP INT_L_X32Y28 TILE_Y -149048 TILEPROP INT_L_X32Y28 TYPE INT_L TILEPROP INT_L_X32Y29 CLASS tile TILEPROP INT_L_X32Y29 COLUMN 82 TILEPROP INT_L_X32Y29 DEVICE_ID 0 TILEPROP INT_L_X32Y29 FIRST_SITE_ID 12652 TILEPROP INT_L_X32Y29 GRID_POINT_X 82 TILEPROP INT_L_X32Y29 GRID_POINT_Y 125 TILEPROP INT_L_X32Y29 INDEX 14457 TILEPROP INT_L_X32Y29 INT_TILE_X 32 TILEPROP INT_L_X32Y29 INT_TILE_Y 120 TILEPROP INT_L_X32Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y29 IS_DCM_TILE 0 TILEPROP INT_L_X32Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y29 NAME INT_L_X32Y29 TILEPROP INT_L_X32Y29 NUM_ARCS 3737 TILEPROP INT_L_X32Y29 NUM_SITES 1 TILEPROP INT_L_X32Y29 ROW 125 TILEPROP INT_L_X32Y29 SLR_REGION_ID 0 TILEPROP INT_L_X32Y29 TILE_PATTERN_IDX 6169 TILEPROP INT_L_X32Y29 TILE_TYPE INT_L TILEPROP INT_L_X32Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y29 TILE_X 50152 TILEPROP INT_L_X32Y29 TILE_Y -145848 TILEPROP INT_L_X32Y29 TYPE INT_L TILEPROP INT_L_X32Y30 CLASS tile TILEPROP INT_L_X32Y30 COLUMN 82 TILEPROP INT_L_X32Y30 DEVICE_ID 0 TILEPROP INT_L_X32Y30 FIRST_SITE_ID 12540 TILEPROP INT_L_X32Y30 GRID_POINT_X 82 TILEPROP INT_L_X32Y30 GRID_POINT_Y 124 TILEPROP INT_L_X32Y30 INDEX 14342 TILEPROP INT_L_X32Y30 INT_TILE_X 32 TILEPROP INT_L_X32Y30 INT_TILE_Y 119 TILEPROP INT_L_X32Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y30 IS_DCM_TILE 0 TILEPROP INT_L_X32Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y30 NAME INT_L_X32Y30 TILEPROP INT_L_X32Y30 NUM_ARCS 3737 TILEPROP INT_L_X32Y30 NUM_SITES 1 TILEPROP INT_L_X32Y30 ROW 124 TILEPROP INT_L_X32Y30 SLR_REGION_ID 0 TILEPROP INT_L_X32Y30 TILE_PATTERN_IDX 6134 TILEPROP INT_L_X32Y30 TILE_TYPE INT_L TILEPROP INT_L_X32Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y30 TILE_X 50152 TILEPROP INT_L_X32Y30 TILE_Y -142648 TILEPROP INT_L_X32Y30 TYPE INT_L TILEPROP INT_L_X32Y31 CLASS tile TILEPROP INT_L_X32Y31 COLUMN 82 TILEPROP INT_L_X32Y31 DEVICE_ID 0 TILEPROP INT_L_X32Y31 FIRST_SITE_ID 12416 TILEPROP INT_L_X32Y31 GRID_POINT_X 82 TILEPROP INT_L_X32Y31 GRID_POINT_Y 123 TILEPROP INT_L_X32Y31 INDEX 14227 TILEPROP INT_L_X32Y31 INT_TILE_X 32 TILEPROP INT_L_X32Y31 INT_TILE_Y 118 TILEPROP INT_L_X32Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y31 IS_DCM_TILE 0 TILEPROP INT_L_X32Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y31 NAME INT_L_X32Y31 TILEPROP INT_L_X32Y31 NUM_ARCS 3737 TILEPROP INT_L_X32Y31 NUM_SITES 1 TILEPROP INT_L_X32Y31 ROW 123 TILEPROP INT_L_X32Y31 SLR_REGION_ID 0 TILEPROP INT_L_X32Y31 TILE_PATTERN_IDX 6100 TILEPROP INT_L_X32Y31 TILE_TYPE INT_L TILEPROP INT_L_X32Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y31 TILE_X 50152 TILEPROP INT_L_X32Y31 TILE_Y -139448 TILEPROP INT_L_X32Y31 TYPE INT_L TILEPROP INT_L_X32Y32 CLASS tile TILEPROP INT_L_X32Y32 COLUMN 82 TILEPROP INT_L_X32Y32 DEVICE_ID 0 TILEPROP INT_L_X32Y32 FIRST_SITE_ID 12314 TILEPROP INT_L_X32Y32 GRID_POINT_X 82 TILEPROP INT_L_X32Y32 GRID_POINT_Y 122 TILEPROP INT_L_X32Y32 INDEX 14112 TILEPROP INT_L_X32Y32 INT_TILE_X 32 TILEPROP INT_L_X32Y32 INT_TILE_Y 117 TILEPROP INT_L_X32Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y32 IS_DCM_TILE 0 TILEPROP INT_L_X32Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y32 NAME INT_L_X32Y32 TILEPROP INT_L_X32Y32 NUM_ARCS 3737 TILEPROP INT_L_X32Y32 NUM_SITES 1 TILEPROP INT_L_X32Y32 ROW 122 TILEPROP INT_L_X32Y32 SLR_REGION_ID 0 TILEPROP INT_L_X32Y32 TILE_PATTERN_IDX 7796 TILEPROP INT_L_X32Y32 TILE_TYPE INT_L TILEPROP INT_L_X32Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y32 TILE_X 50152 TILEPROP INT_L_X32Y32 TILE_Y -136248 TILEPROP INT_L_X32Y32 TYPE INT_L TILEPROP INT_L_X32Y33 CLASS tile TILEPROP INT_L_X32Y33 COLUMN 82 TILEPROP INT_L_X32Y33 DEVICE_ID 0 TILEPROP INT_L_X32Y33 FIRST_SITE_ID 12213 TILEPROP INT_L_X32Y33 GRID_POINT_X 82 TILEPROP INT_L_X32Y33 GRID_POINT_Y 121 TILEPROP INT_L_X32Y33 INDEX 13997 TILEPROP INT_L_X32Y33 INT_TILE_X 32 TILEPROP INT_L_X32Y33 INT_TILE_Y 116 TILEPROP INT_L_X32Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y33 IS_DCM_TILE 0 TILEPROP INT_L_X32Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y33 NAME INT_L_X32Y33 TILEPROP INT_L_X32Y33 NUM_ARCS 3737 TILEPROP INT_L_X32Y33 NUM_SITES 1 TILEPROP INT_L_X32Y33 ROW 121 TILEPROP INT_L_X32Y33 SLR_REGION_ID 0 TILEPROP INT_L_X32Y33 TILE_PATTERN_IDX 6032 TILEPROP INT_L_X32Y33 TILE_TYPE INT_L TILEPROP INT_L_X32Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y33 TILE_X 50152 TILEPROP INT_L_X32Y33 TILE_Y -133048 TILEPROP INT_L_X32Y33 TYPE INT_L TILEPROP INT_L_X32Y34 CLASS tile TILEPROP INT_L_X32Y34 COLUMN 82 TILEPROP INT_L_X32Y34 DEVICE_ID 0 TILEPROP INT_L_X32Y34 FIRST_SITE_ID 12113 TILEPROP INT_L_X32Y34 GRID_POINT_X 82 TILEPROP INT_L_X32Y34 GRID_POINT_Y 120 TILEPROP INT_L_X32Y34 INDEX 13882 TILEPROP INT_L_X32Y34 INT_TILE_X 32 TILEPROP INT_L_X32Y34 INT_TILE_Y 115 TILEPROP INT_L_X32Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y34 IS_DCM_TILE 0 TILEPROP INT_L_X32Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y34 NAME INT_L_X32Y34 TILEPROP INT_L_X32Y34 NUM_ARCS 3737 TILEPROP INT_L_X32Y34 NUM_SITES 1 TILEPROP INT_L_X32Y34 ROW 120 TILEPROP INT_L_X32Y34 SLR_REGION_ID 0 TILEPROP INT_L_X32Y34 TILE_PATTERN_IDX 5998 TILEPROP INT_L_X32Y34 TILE_TYPE INT_L TILEPROP INT_L_X32Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y34 TILE_X 50152 TILEPROP INT_L_X32Y34 TILE_Y -129848 TILEPROP INT_L_X32Y34 TYPE INT_L TILEPROP INT_L_X32Y35 CLASS tile TILEPROP INT_L_X32Y35 COLUMN 82 TILEPROP INT_L_X32Y35 DEVICE_ID 0 TILEPROP INT_L_X32Y35 FIRST_SITE_ID 12007 TILEPROP INT_L_X32Y35 GRID_POINT_X 82 TILEPROP INT_L_X32Y35 GRID_POINT_Y 119 TILEPROP INT_L_X32Y35 INDEX 13767 TILEPROP INT_L_X32Y35 INT_TILE_X 32 TILEPROP INT_L_X32Y35 INT_TILE_Y 114 TILEPROP INT_L_X32Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y35 IS_DCM_TILE 0 TILEPROP INT_L_X32Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y35 NAME INT_L_X32Y35 TILEPROP INT_L_X32Y35 NUM_ARCS 3737 TILEPROP INT_L_X32Y35 NUM_SITES 1 TILEPROP INT_L_X32Y35 ROW 119 TILEPROP INT_L_X32Y35 SLR_REGION_ID 0 TILEPROP INT_L_X32Y35 TILE_PATTERN_IDX 5964 TILEPROP INT_L_X32Y35 TILE_TYPE INT_L TILEPROP INT_L_X32Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y35 TILE_X 50152 TILEPROP INT_L_X32Y35 TILE_Y -126648 TILEPROP INT_L_X32Y35 TYPE INT_L TILEPROP INT_L_X32Y36 CLASS tile TILEPROP INT_L_X32Y36 COLUMN 82 TILEPROP INT_L_X32Y36 DEVICE_ID 0 TILEPROP INT_L_X32Y36 FIRST_SITE_ID 11898 TILEPROP INT_L_X32Y36 GRID_POINT_X 82 TILEPROP INT_L_X32Y36 GRID_POINT_Y 118 TILEPROP INT_L_X32Y36 INDEX 13652 TILEPROP INT_L_X32Y36 INT_TILE_X 32 TILEPROP INT_L_X32Y36 INT_TILE_Y 113 TILEPROP INT_L_X32Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y36 IS_DCM_TILE 0 TILEPROP INT_L_X32Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y36 NAME INT_L_X32Y36 TILEPROP INT_L_X32Y36 NUM_ARCS 3737 TILEPROP INT_L_X32Y36 NUM_SITES 1 TILEPROP INT_L_X32Y36 ROW 118 TILEPROP INT_L_X32Y36 SLR_REGION_ID 0 TILEPROP INT_L_X32Y36 TILE_PATTERN_IDX 5929 TILEPROP INT_L_X32Y36 TILE_TYPE INT_L TILEPROP INT_L_X32Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y36 TILE_X 50152 TILEPROP INT_L_X32Y36 TILE_Y -123448 TILEPROP INT_L_X32Y36 TYPE INT_L TILEPROP INT_L_X32Y37 CLASS tile TILEPROP INT_L_X32Y37 COLUMN 82 TILEPROP INT_L_X32Y37 DEVICE_ID 0 TILEPROP INT_L_X32Y37 FIRST_SITE_ID 11766 TILEPROP INT_L_X32Y37 GRID_POINT_X 82 TILEPROP INT_L_X32Y37 GRID_POINT_Y 117 TILEPROP INT_L_X32Y37 INDEX 13537 TILEPROP INT_L_X32Y37 INT_TILE_X 32 TILEPROP INT_L_X32Y37 INT_TILE_Y 112 TILEPROP INT_L_X32Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y37 IS_DCM_TILE 0 TILEPROP INT_L_X32Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y37 NAME INT_L_X32Y37 TILEPROP INT_L_X32Y37 NUM_ARCS 3737 TILEPROP INT_L_X32Y37 NUM_SITES 1 TILEPROP INT_L_X32Y37 ROW 117 TILEPROP INT_L_X32Y37 SLR_REGION_ID 0 TILEPROP INT_L_X32Y37 TILE_PATTERN_IDX 5894 TILEPROP INT_L_X32Y37 TILE_TYPE INT_L TILEPROP INT_L_X32Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y37 TILE_X 50152 TILEPROP INT_L_X32Y37 TILE_Y -120248 TILEPROP INT_L_X32Y37 TYPE INT_L TILEPROP INT_L_X32Y38 CLASS tile TILEPROP INT_L_X32Y38 COLUMN 82 TILEPROP INT_L_X32Y38 DEVICE_ID 0 TILEPROP INT_L_X32Y38 FIRST_SITE_ID 11666 TILEPROP INT_L_X32Y38 GRID_POINT_X 82 TILEPROP INT_L_X32Y38 GRID_POINT_Y 116 TILEPROP INT_L_X32Y38 INDEX 13422 TILEPROP INT_L_X32Y38 INT_TILE_X 32 TILEPROP INT_L_X32Y38 INT_TILE_Y 111 TILEPROP INT_L_X32Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y38 IS_DCM_TILE 0 TILEPROP INT_L_X32Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y38 NAME INT_L_X32Y38 TILEPROP INT_L_X32Y38 NUM_ARCS 3737 TILEPROP INT_L_X32Y38 NUM_SITES 1 TILEPROP INT_L_X32Y38 ROW 116 TILEPROP INT_L_X32Y38 SLR_REGION_ID 0 TILEPROP INT_L_X32Y38 TILE_PATTERN_IDX 5861 TILEPROP INT_L_X32Y38 TILE_TYPE INT_L TILEPROP INT_L_X32Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y38 TILE_X 50152 TILEPROP INT_L_X32Y38 TILE_Y -117048 TILEPROP INT_L_X32Y38 TYPE INT_L TILEPROP INT_L_X32Y39 CLASS tile TILEPROP INT_L_X32Y39 COLUMN 82 TILEPROP INT_L_X32Y39 DEVICE_ID 0 TILEPROP INT_L_X32Y39 FIRST_SITE_ID 11566 TILEPROP INT_L_X32Y39 GRID_POINT_X 82 TILEPROP INT_L_X32Y39 GRID_POINT_Y 115 TILEPROP INT_L_X32Y39 INDEX 13307 TILEPROP INT_L_X32Y39 INT_TILE_X 32 TILEPROP INT_L_X32Y39 INT_TILE_Y 110 TILEPROP INT_L_X32Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y39 IS_DCM_TILE 0 TILEPROP INT_L_X32Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y39 NAME INT_L_X32Y39 TILEPROP INT_L_X32Y39 NUM_ARCS 3737 TILEPROP INT_L_X32Y39 NUM_SITES 1 TILEPROP INT_L_X32Y39 ROW 115 TILEPROP INT_L_X32Y39 SLR_REGION_ID 0 TILEPROP INT_L_X32Y39 TILE_PATTERN_IDX 5827 TILEPROP INT_L_X32Y39 TILE_TYPE INT_L TILEPROP INT_L_X32Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y39 TILE_X 50152 TILEPROP INT_L_X32Y39 TILE_Y -113848 TILEPROP INT_L_X32Y39 TYPE INT_L TILEPROP INT_L_X32Y40 CLASS tile TILEPROP INT_L_X32Y40 COLUMN 82 TILEPROP INT_L_X32Y40 DEVICE_ID 0 TILEPROP INT_L_X32Y40 FIRST_SITE_ID 11460 TILEPROP INT_L_X32Y40 GRID_POINT_X 82 TILEPROP INT_L_X32Y40 GRID_POINT_Y 114 TILEPROP INT_L_X32Y40 INDEX 13192 TILEPROP INT_L_X32Y40 INT_TILE_X 32 TILEPROP INT_L_X32Y40 INT_TILE_Y 109 TILEPROP INT_L_X32Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y40 IS_DCM_TILE 0 TILEPROP INT_L_X32Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y40 NAME INT_L_X32Y40 TILEPROP INT_L_X32Y40 NUM_ARCS 3737 TILEPROP INT_L_X32Y40 NUM_SITES 1 TILEPROP INT_L_X32Y40 ROW 114 TILEPROP INT_L_X32Y40 SLR_REGION_ID 0 TILEPROP INT_L_X32Y40 TILE_PATTERN_IDX 5793 TILEPROP INT_L_X32Y40 TILE_TYPE INT_L TILEPROP INT_L_X32Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y40 TILE_X 50152 TILEPROP INT_L_X32Y40 TILE_Y -110648 TILEPROP INT_L_X32Y40 TYPE INT_L TILEPROP INT_L_X32Y41 CLASS tile TILEPROP INT_L_X32Y41 COLUMN 82 TILEPROP INT_L_X32Y41 DEVICE_ID 0 TILEPROP INT_L_X32Y41 FIRST_SITE_ID 11349 TILEPROP INT_L_X32Y41 GRID_POINT_X 82 TILEPROP INT_L_X32Y41 GRID_POINT_Y 113 TILEPROP INT_L_X32Y41 INDEX 13077 TILEPROP INT_L_X32Y41 INT_TILE_X 32 TILEPROP INT_L_X32Y41 INT_TILE_Y 108 TILEPROP INT_L_X32Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y41 IS_DCM_TILE 0 TILEPROP INT_L_X32Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y41 NAME INT_L_X32Y41 TILEPROP INT_L_X32Y41 NUM_ARCS 3737 TILEPROP INT_L_X32Y41 NUM_SITES 1 TILEPROP INT_L_X32Y41 ROW 113 TILEPROP INT_L_X32Y41 SLR_REGION_ID 0 TILEPROP INT_L_X32Y41 TILE_PATTERN_IDX 7533 TILEPROP INT_L_X32Y41 TILE_TYPE INT_L TILEPROP INT_L_X32Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y41 TILE_X 50152 TILEPROP INT_L_X32Y41 TILE_Y -107448 TILEPROP INT_L_X32Y41 TYPE INT_L TILEPROP INT_L_X32Y42 CLASS tile TILEPROP INT_L_X32Y42 COLUMN 82 TILEPROP INT_L_X32Y42 DEVICE_ID 0 TILEPROP INT_L_X32Y42 FIRST_SITE_ID 11247 TILEPROP INT_L_X32Y42 GRID_POINT_X 82 TILEPROP INT_L_X32Y42 GRID_POINT_Y 112 TILEPROP INT_L_X32Y42 INDEX 12962 TILEPROP INT_L_X32Y42 INT_TILE_X 32 TILEPROP INT_L_X32Y42 INT_TILE_Y 107 TILEPROP INT_L_X32Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y42 IS_DCM_TILE 0 TILEPROP INT_L_X32Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y42 NAME INT_L_X32Y42 TILEPROP INT_L_X32Y42 NUM_ARCS 3737 TILEPROP INT_L_X32Y42 NUM_SITES 1 TILEPROP INT_L_X32Y42 ROW 112 TILEPROP INT_L_X32Y42 SLR_REGION_ID 0 TILEPROP INT_L_X32Y42 TILE_PATTERN_IDX 5726 TILEPROP INT_L_X32Y42 TILE_TYPE INT_L TILEPROP INT_L_X32Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y42 TILE_X 50152 TILEPROP INT_L_X32Y42 TILE_Y -104248 TILEPROP INT_L_X32Y42 TYPE INT_L TILEPROP INT_L_X32Y43 CLASS tile TILEPROP INT_L_X32Y43 COLUMN 82 TILEPROP INT_L_X32Y43 DEVICE_ID 0 TILEPROP INT_L_X32Y43 FIRST_SITE_ID 11144 TILEPROP INT_L_X32Y43 GRID_POINT_X 82 TILEPROP INT_L_X32Y43 GRID_POINT_Y 111 TILEPROP INT_L_X32Y43 INDEX 12847 TILEPROP INT_L_X32Y43 INT_TILE_X 32 TILEPROP INT_L_X32Y43 INT_TILE_Y 106 TILEPROP INT_L_X32Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y43 IS_DCM_TILE 0 TILEPROP INT_L_X32Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y43 NAME INT_L_X32Y43 TILEPROP INT_L_X32Y43 NUM_ARCS 3737 TILEPROP INT_L_X32Y43 NUM_SITES 1 TILEPROP INT_L_X32Y43 ROW 111 TILEPROP INT_L_X32Y43 SLR_REGION_ID 0 TILEPROP INT_L_X32Y43 TILE_PATTERN_IDX 5692 TILEPROP INT_L_X32Y43 TILE_TYPE INT_L TILEPROP INT_L_X32Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y43 TILE_X 50152 TILEPROP INT_L_X32Y43 TILE_Y -101048 TILEPROP INT_L_X32Y43 TYPE INT_L TILEPROP INT_L_X32Y44 CLASS tile TILEPROP INT_L_X32Y44 COLUMN 82 TILEPROP INT_L_X32Y44 DEVICE_ID 0 TILEPROP INT_L_X32Y44 FIRST_SITE_ID 11042 TILEPROP INT_L_X32Y44 GRID_POINT_X 82 TILEPROP INT_L_X32Y44 GRID_POINT_Y 110 TILEPROP INT_L_X32Y44 INDEX 12732 TILEPROP INT_L_X32Y44 INT_TILE_X 32 TILEPROP INT_L_X32Y44 INT_TILE_Y 105 TILEPROP INT_L_X32Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y44 IS_DCM_TILE 0 TILEPROP INT_L_X32Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y44 NAME INT_L_X32Y44 TILEPROP INT_L_X32Y44 NUM_ARCS 3737 TILEPROP INT_L_X32Y44 NUM_SITES 1 TILEPROP INT_L_X32Y44 ROW 110 TILEPROP INT_L_X32Y44 SLR_REGION_ID 0 TILEPROP INT_L_X32Y44 TILE_PATTERN_IDX 5658 TILEPROP INT_L_X32Y44 TILE_TYPE INT_L TILEPROP INT_L_X32Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y44 TILE_X 50152 TILEPROP INT_L_X32Y44 TILE_Y -97848 TILEPROP INT_L_X32Y44 TYPE INT_L TILEPROP INT_L_X32Y45 CLASS tile TILEPROP INT_L_X32Y45 COLUMN 82 TILEPROP INT_L_X32Y45 DEVICE_ID 0 TILEPROP INT_L_X32Y45 FIRST_SITE_ID 10936 TILEPROP INT_L_X32Y45 GRID_POINT_X 82 TILEPROP INT_L_X32Y45 GRID_POINT_Y 109 TILEPROP INT_L_X32Y45 INDEX 12617 TILEPROP INT_L_X32Y45 INT_TILE_X 32 TILEPROP INT_L_X32Y45 INT_TILE_Y 104 TILEPROP INT_L_X32Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y45 IS_DCM_TILE 0 TILEPROP INT_L_X32Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y45 NAME INT_L_X32Y45 TILEPROP INT_L_X32Y45 NUM_ARCS 3737 TILEPROP INT_L_X32Y45 NUM_SITES 1 TILEPROP INT_L_X32Y45 ROW 109 TILEPROP INT_L_X32Y45 SLR_REGION_ID 0 TILEPROP INT_L_X32Y45 TILE_PATTERN_IDX 7411 TILEPROP INT_L_X32Y45 TILE_TYPE INT_L TILEPROP INT_L_X32Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y45 TILE_X 50152 TILEPROP INT_L_X32Y45 TILE_Y -94648 TILEPROP INT_L_X32Y45 TYPE INT_L TILEPROP INT_L_X32Y46 CLASS tile TILEPROP INT_L_X32Y46 COLUMN 82 TILEPROP INT_L_X32Y46 DEVICE_ID 0 TILEPROP INT_L_X32Y46 FIRST_SITE_ID 10826 TILEPROP INT_L_X32Y46 GRID_POINT_X 82 TILEPROP INT_L_X32Y46 GRID_POINT_Y 108 TILEPROP INT_L_X32Y46 INDEX 12502 TILEPROP INT_L_X32Y46 INT_TILE_X 32 TILEPROP INT_L_X32Y46 INT_TILE_Y 103 TILEPROP INT_L_X32Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y46 IS_DCM_TILE 0 TILEPROP INT_L_X32Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y46 NAME INT_L_X32Y46 TILEPROP INT_L_X32Y46 NUM_ARCS 3737 TILEPROP INT_L_X32Y46 NUM_SITES 1 TILEPROP INT_L_X32Y46 ROW 108 TILEPROP INT_L_X32Y46 SLR_REGION_ID 0 TILEPROP INT_L_X32Y46 TILE_PATTERN_IDX 7375 TILEPROP INT_L_X32Y46 TILE_TYPE INT_L TILEPROP INT_L_X32Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y46 TILE_X 50152 TILEPROP INT_L_X32Y46 TILE_Y -91448 TILEPROP INT_L_X32Y46 TYPE INT_L TILEPROP INT_L_X32Y47 CLASS tile TILEPROP INT_L_X32Y47 COLUMN 82 TILEPROP INT_L_X32Y47 DEVICE_ID 0 TILEPROP INT_L_X32Y47 FIRST_SITE_ID 10710 TILEPROP INT_L_X32Y47 GRID_POINT_X 82 TILEPROP INT_L_X32Y47 GRID_POINT_Y 107 TILEPROP INT_L_X32Y47 INDEX 12387 TILEPROP INT_L_X32Y47 INT_TILE_X 32 TILEPROP INT_L_X32Y47 INT_TILE_Y 102 TILEPROP INT_L_X32Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y47 IS_DCM_TILE 0 TILEPROP INT_L_X32Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y47 NAME INT_L_X32Y47 TILEPROP INT_L_X32Y47 NUM_ARCS 3737 TILEPROP INT_L_X32Y47 NUM_SITES 1 TILEPROP INT_L_X32Y47 ROW 107 TILEPROP INT_L_X32Y47 SLR_REGION_ID 0 TILEPROP INT_L_X32Y47 TILE_PATTERN_IDX 7338 TILEPROP INT_L_X32Y47 TILE_TYPE INT_L TILEPROP INT_L_X32Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y47 TILE_X 50152 TILEPROP INT_L_X32Y47 TILE_Y -88248 TILEPROP INT_L_X32Y47 TYPE INT_L TILEPROP INT_L_X32Y48 CLASS tile TILEPROP INT_L_X32Y48 COLUMN 82 TILEPROP INT_L_X32Y48 DEVICE_ID 0 TILEPROP INT_L_X32Y48 FIRST_SITE_ID 10610 TILEPROP INT_L_X32Y48 GRID_POINT_X 82 TILEPROP INT_L_X32Y48 GRID_POINT_Y 106 TILEPROP INT_L_X32Y48 INDEX 12272 TILEPROP INT_L_X32Y48 INT_TILE_X 32 TILEPROP INT_L_X32Y48 INT_TILE_Y 101 TILEPROP INT_L_X32Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y48 IS_DCM_TILE 0 TILEPROP INT_L_X32Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y48 NAME INT_L_X32Y48 TILEPROP INT_L_X32Y48 NUM_ARCS 3737 TILEPROP INT_L_X32Y48 NUM_SITES 1 TILEPROP INT_L_X32Y48 ROW 106 TILEPROP INT_L_X32Y48 SLR_REGION_ID 0 TILEPROP INT_L_X32Y48 TILE_PATTERN_IDX 7302 TILEPROP INT_L_X32Y48 TILE_TYPE INT_L TILEPROP INT_L_X32Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y48 TILE_X 50152 TILEPROP INT_L_X32Y48 TILE_Y -85048 TILEPROP INT_L_X32Y48 TYPE INT_L TILEPROP INT_L_X32Y49 CLASS tile TILEPROP INT_L_X32Y49 COLUMN 82 TILEPROP INT_L_X32Y49 DEVICE_ID 0 TILEPROP INT_L_X32Y49 FIRST_SITE_ID 10514 TILEPROP INT_L_X32Y49 GRID_POINT_X 82 TILEPROP INT_L_X32Y49 GRID_POINT_Y 105 TILEPROP INT_L_X32Y49 INDEX 12157 TILEPROP INT_L_X32Y49 INT_TILE_X 32 TILEPROP INT_L_X32Y49 INT_TILE_Y 100 TILEPROP INT_L_X32Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y49 IS_DCM_TILE 0 TILEPROP INT_L_X32Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y49 NAME INT_L_X32Y49 TILEPROP INT_L_X32Y49 NUM_ARCS 3737 TILEPROP INT_L_X32Y49 NUM_SITES 1 TILEPROP INT_L_X32Y49 ROW 105 TILEPROP INT_L_X32Y49 SLR_REGION_ID 0 TILEPROP INT_L_X32Y49 TILE_PATTERN_IDX 7266 TILEPROP INT_L_X32Y49 TILE_TYPE INT_L TILEPROP INT_L_X32Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y49 TILE_X 50152 TILEPROP INT_L_X32Y49 TILE_Y -81848 TILEPROP INT_L_X32Y49 TYPE INT_L TILEPROP INT_L_X32Y50 CLASS tile TILEPROP INT_L_X32Y50 COLUMN 82 TILEPROP INT_L_X32Y50 DEVICE_ID 0 TILEPROP INT_L_X32Y50 FIRST_SITE_ID 10408 TILEPROP INT_L_X32Y50 GRID_POINT_X 82 TILEPROP INT_L_X32Y50 GRID_POINT_Y 103 TILEPROP INT_L_X32Y50 INDEX 11927 TILEPROP INT_L_X32Y50 INT_TILE_X 32 TILEPROP INT_L_X32Y50 INT_TILE_Y 99 TILEPROP INT_L_X32Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y50 IS_DCM_TILE 0 TILEPROP INT_L_X32Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y50 NAME INT_L_X32Y50 TILEPROP INT_L_X32Y50 NUM_ARCS 3737 TILEPROP INT_L_X32Y50 NUM_SITES 1 TILEPROP INT_L_X32Y50 ROW 103 TILEPROP INT_L_X32Y50 SLR_REGION_ID 0 TILEPROP INT_L_X32Y50 TILE_PATTERN_IDX 7229 TILEPROP INT_L_X32Y50 TILE_TYPE INT_L TILEPROP INT_L_X32Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y50 TILE_X 50152 TILEPROP INT_L_X32Y50 TILE_Y -78400 TILEPROP INT_L_X32Y50 TYPE INT_L TILEPROP INT_L_X32Y51 CLASS tile TILEPROP INT_L_X32Y51 COLUMN 82 TILEPROP INT_L_X32Y51 DEVICE_ID 0 TILEPROP INT_L_X32Y51 FIRST_SITE_ID 10279 TILEPROP INT_L_X32Y51 GRID_POINT_X 82 TILEPROP INT_L_X32Y51 GRID_POINT_Y 102 TILEPROP INT_L_X32Y51 INDEX 11812 TILEPROP INT_L_X32Y51 INT_TILE_X 32 TILEPROP INT_L_X32Y51 INT_TILE_Y 98 TILEPROP INT_L_X32Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y51 IS_DCM_TILE 0 TILEPROP INT_L_X32Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y51 NAME INT_L_X32Y51 TILEPROP INT_L_X32Y51 NUM_ARCS 3737 TILEPROP INT_L_X32Y51 NUM_SITES 1 TILEPROP INT_L_X32Y51 ROW 102 TILEPROP INT_L_X32Y51 SLR_REGION_ID 0 TILEPROP INT_L_X32Y51 TILE_PATTERN_IDX 7192 TILEPROP INT_L_X32Y51 TILE_TYPE INT_L TILEPROP INT_L_X32Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y51 TILE_X 50152 TILEPROP INT_L_X32Y51 TILE_Y -75200 TILEPROP INT_L_X32Y51 TYPE INT_L TILEPROP INT_L_X32Y52 CLASS tile TILEPROP INT_L_X32Y52 COLUMN 82 TILEPROP INT_L_X32Y52 DEVICE_ID 0 TILEPROP INT_L_X32Y52 FIRST_SITE_ID 10179 TILEPROP INT_L_X32Y52 GRID_POINT_X 82 TILEPROP INT_L_X32Y52 GRID_POINT_Y 101 TILEPROP INT_L_X32Y52 INDEX 11697 TILEPROP INT_L_X32Y52 INT_TILE_X 32 TILEPROP INT_L_X32Y52 INT_TILE_Y 97 TILEPROP INT_L_X32Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y52 IS_DCM_TILE 0 TILEPROP INT_L_X32Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y52 NAME INT_L_X32Y52 TILEPROP INT_L_X32Y52 NUM_ARCS 3737 TILEPROP INT_L_X32Y52 NUM_SITES 1 TILEPROP INT_L_X32Y52 ROW 101 TILEPROP INT_L_X32Y52 SLR_REGION_ID 0 TILEPROP INT_L_X32Y52 TILE_PATTERN_IDX 7155 TILEPROP INT_L_X32Y52 TILE_TYPE INT_L TILEPROP INT_L_X32Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y52 TILE_X 50152 TILEPROP INT_L_X32Y52 TILE_Y -72000 TILEPROP INT_L_X32Y52 TYPE INT_L TILEPROP INT_L_X32Y53 CLASS tile TILEPROP INT_L_X32Y53 COLUMN 82 TILEPROP INT_L_X32Y53 DEVICE_ID 0 TILEPROP INT_L_X32Y53 FIRST_SITE_ID 10079 TILEPROP INT_L_X32Y53 GRID_POINT_X 82 TILEPROP INT_L_X32Y53 GRID_POINT_Y 100 TILEPROP INT_L_X32Y53 INDEX 11582 TILEPROP INT_L_X32Y53 INT_TILE_X 32 TILEPROP INT_L_X32Y53 INT_TILE_Y 96 TILEPROP INT_L_X32Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y53 IS_DCM_TILE 0 TILEPROP INT_L_X32Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y53 NAME INT_L_X32Y53 TILEPROP INT_L_X32Y53 NUM_ARCS 3737 TILEPROP INT_L_X32Y53 NUM_SITES 1 TILEPROP INT_L_X32Y53 ROW 100 TILEPROP INT_L_X32Y53 SLR_REGION_ID 0 TILEPROP INT_L_X32Y53 TILE_PATTERN_IDX 7118 TILEPROP INT_L_X32Y53 TILE_TYPE INT_L TILEPROP INT_L_X32Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y53 TILE_X 50152 TILEPROP INT_L_X32Y53 TILE_Y -68800 TILEPROP INT_L_X32Y53 TYPE INT_L TILEPROP INT_L_X32Y54 CLASS tile TILEPROP INT_L_X32Y54 COLUMN 82 TILEPROP INT_L_X32Y54 DEVICE_ID 0 TILEPROP INT_L_X32Y54 FIRST_SITE_ID 9979 TILEPROP INT_L_X32Y54 GRID_POINT_X 82 TILEPROP INT_L_X32Y54 GRID_POINT_Y 99 TILEPROP INT_L_X32Y54 INDEX 11467 TILEPROP INT_L_X32Y54 INT_TILE_X 32 TILEPROP INT_L_X32Y54 INT_TILE_Y 95 TILEPROP INT_L_X32Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y54 IS_DCM_TILE 0 TILEPROP INT_L_X32Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y54 NAME INT_L_X32Y54 TILEPROP INT_L_X32Y54 NUM_ARCS 3737 TILEPROP INT_L_X32Y54 NUM_SITES 1 TILEPROP INT_L_X32Y54 ROW 99 TILEPROP INT_L_X32Y54 SLR_REGION_ID 0 TILEPROP INT_L_X32Y54 TILE_PATTERN_IDX 7081 TILEPROP INT_L_X32Y54 TILE_TYPE INT_L TILEPROP INT_L_X32Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y54 TILE_X 50152 TILEPROP INT_L_X32Y54 TILE_Y -65600 TILEPROP INT_L_X32Y54 TYPE INT_L TILEPROP INT_L_X32Y55 CLASS tile TILEPROP INT_L_X32Y55 COLUMN 82 TILEPROP INT_L_X32Y55 DEVICE_ID 0 TILEPROP INT_L_X32Y55 FIRST_SITE_ID 9873 TILEPROP INT_L_X32Y55 GRID_POINT_X 82 TILEPROP INT_L_X32Y55 GRID_POINT_Y 98 TILEPROP INT_L_X32Y55 INDEX 11352 TILEPROP INT_L_X32Y55 INT_TILE_X 32 TILEPROP INT_L_X32Y55 INT_TILE_Y 94 TILEPROP INT_L_X32Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y55 IS_DCM_TILE 0 TILEPROP INT_L_X32Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y55 NAME INT_L_X32Y55 TILEPROP INT_L_X32Y55 NUM_ARCS 3737 TILEPROP INT_L_X32Y55 NUM_SITES 1 TILEPROP INT_L_X32Y55 ROW 98 TILEPROP INT_L_X32Y55 SLR_REGION_ID 0 TILEPROP INT_L_X32Y55 TILE_PATTERN_IDX 7043 TILEPROP INT_L_X32Y55 TILE_TYPE INT_L TILEPROP INT_L_X32Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y55 TILE_X 50152 TILEPROP INT_L_X32Y55 TILE_Y -62400 TILEPROP INT_L_X32Y55 TYPE INT_L TILEPROP INT_L_X32Y56 CLASS tile TILEPROP INT_L_X32Y56 COLUMN 82 TILEPROP INT_L_X32Y56 DEVICE_ID 0 TILEPROP INT_L_X32Y56 FIRST_SITE_ID 9764 TILEPROP INT_L_X32Y56 GRID_POINT_X 82 TILEPROP INT_L_X32Y56 GRID_POINT_Y 97 TILEPROP INT_L_X32Y56 INDEX 11237 TILEPROP INT_L_X32Y56 INT_TILE_X 32 TILEPROP INT_L_X32Y56 INT_TILE_Y 93 TILEPROP INT_L_X32Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y56 IS_DCM_TILE 0 TILEPROP INT_L_X32Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y56 NAME INT_L_X32Y56 TILEPROP INT_L_X32Y56 NUM_ARCS 3737 TILEPROP INT_L_X32Y56 NUM_SITES 1 TILEPROP INT_L_X32Y56 ROW 97 TILEPROP INT_L_X32Y56 SLR_REGION_ID 0 TILEPROP INT_L_X32Y56 TILE_PATTERN_IDX 7006 TILEPROP INT_L_X32Y56 TILE_TYPE INT_L TILEPROP INT_L_X32Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y56 TILE_X 50152 TILEPROP INT_L_X32Y56 TILE_Y -59200 TILEPROP INT_L_X32Y56 TYPE INT_L TILEPROP INT_L_X32Y57 CLASS tile TILEPROP INT_L_X32Y57 COLUMN 82 TILEPROP INT_L_X32Y57 DEVICE_ID 0 TILEPROP INT_L_X32Y57 FIRST_SITE_ID 9662 TILEPROP INT_L_X32Y57 GRID_POINT_X 82 TILEPROP INT_L_X32Y57 GRID_POINT_Y 96 TILEPROP INT_L_X32Y57 INDEX 11122 TILEPROP INT_L_X32Y57 INT_TILE_X 32 TILEPROP INT_L_X32Y57 INT_TILE_Y 92 TILEPROP INT_L_X32Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y57 IS_DCM_TILE 0 TILEPROP INT_L_X32Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y57 NAME INT_L_X32Y57 TILEPROP INT_L_X32Y57 NUM_ARCS 3737 TILEPROP INT_L_X32Y57 NUM_SITES 1 TILEPROP INT_L_X32Y57 ROW 96 TILEPROP INT_L_X32Y57 SLR_REGION_ID 0 TILEPROP INT_L_X32Y57 TILE_PATTERN_IDX 6969 TILEPROP INT_L_X32Y57 TILE_TYPE INT_L TILEPROP INT_L_X32Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y57 TILE_X 50152 TILEPROP INT_L_X32Y57 TILE_Y -56000 TILEPROP INT_L_X32Y57 TYPE INT_L TILEPROP INT_L_X32Y58 CLASS tile TILEPROP INT_L_X32Y58 COLUMN 82 TILEPROP INT_L_X32Y58 DEVICE_ID 0 TILEPROP INT_L_X32Y58 FIRST_SITE_ID 9559 TILEPROP INT_L_X32Y58 GRID_POINT_X 82 TILEPROP INT_L_X32Y58 GRID_POINT_Y 95 TILEPROP INT_L_X32Y58 INDEX 11007 TILEPROP INT_L_X32Y58 INT_TILE_X 32 TILEPROP INT_L_X32Y58 INT_TILE_Y 91 TILEPROP INT_L_X32Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y58 IS_DCM_TILE 0 TILEPROP INT_L_X32Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y58 NAME INT_L_X32Y58 TILEPROP INT_L_X32Y58 NUM_ARCS 3737 TILEPROP INT_L_X32Y58 NUM_SITES 1 TILEPROP INT_L_X32Y58 ROW 95 TILEPROP INT_L_X32Y58 SLR_REGION_ID 0 TILEPROP INT_L_X32Y58 TILE_PATTERN_IDX 6931 TILEPROP INT_L_X32Y58 TILE_TYPE INT_L TILEPROP INT_L_X32Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y58 TILE_X 50152 TILEPROP INT_L_X32Y58 TILE_Y -52800 TILEPROP INT_L_X32Y58 TYPE INT_L TILEPROP INT_L_X32Y59 CLASS tile TILEPROP INT_L_X32Y59 COLUMN 82 TILEPROP INT_L_X32Y59 DEVICE_ID 0 TILEPROP INT_L_X32Y59 FIRST_SITE_ID 9458 TILEPROP INT_L_X32Y59 GRID_POINT_X 82 TILEPROP INT_L_X32Y59 GRID_POINT_Y 94 TILEPROP INT_L_X32Y59 INDEX 10892 TILEPROP INT_L_X32Y59 INT_TILE_X 32 TILEPROP INT_L_X32Y59 INT_TILE_Y 90 TILEPROP INT_L_X32Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y59 IS_DCM_TILE 0 TILEPROP INT_L_X32Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y59 NAME INT_L_X32Y59 TILEPROP INT_L_X32Y59 NUM_ARCS 3737 TILEPROP INT_L_X32Y59 NUM_SITES 1 TILEPROP INT_L_X32Y59 ROW 94 TILEPROP INT_L_X32Y59 SLR_REGION_ID 0 TILEPROP INT_L_X32Y59 TILE_PATTERN_IDX 6894 TILEPROP INT_L_X32Y59 TILE_TYPE INT_L TILEPROP INT_L_X32Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y59 TILE_X 50152 TILEPROP INT_L_X32Y59 TILE_Y -49600 TILEPROP INT_L_X32Y59 TYPE INT_L TILEPROP INT_L_X32Y60 CLASS tile TILEPROP INT_L_X32Y60 COLUMN 82 TILEPROP INT_L_X32Y60 DEVICE_ID 0 TILEPROP INT_L_X32Y60 FIRST_SITE_ID 9352 TILEPROP INT_L_X32Y60 GRID_POINT_X 82 TILEPROP INT_L_X32Y60 GRID_POINT_Y 93 TILEPROP INT_L_X32Y60 INDEX 10777 TILEPROP INT_L_X32Y60 INT_TILE_X 32 TILEPROP INT_L_X32Y60 INT_TILE_Y 89 TILEPROP INT_L_X32Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y60 IS_DCM_TILE 0 TILEPROP INT_L_X32Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y60 NAME INT_L_X32Y60 TILEPROP INT_L_X32Y60 NUM_ARCS 3737 TILEPROP INT_L_X32Y60 NUM_SITES 1 TILEPROP INT_L_X32Y60 ROW 93 TILEPROP INT_L_X32Y60 SLR_REGION_ID 0 TILEPROP INT_L_X32Y60 TILE_PATTERN_IDX 6857 TILEPROP INT_L_X32Y60 TILE_TYPE INT_L TILEPROP INT_L_X32Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y60 TILE_X 50152 TILEPROP INT_L_X32Y60 TILE_Y -46400 TILEPROP INT_L_X32Y60 TYPE INT_L TILEPROP INT_L_X32Y61 CLASS tile TILEPROP INT_L_X32Y61 COLUMN 82 TILEPROP INT_L_X32Y61 DEVICE_ID 0 TILEPROP INT_L_X32Y61 FIRST_SITE_ID 9243 TILEPROP INT_L_X32Y61 GRID_POINT_X 82 TILEPROP INT_L_X32Y61 GRID_POINT_Y 92 TILEPROP INT_L_X32Y61 INDEX 10662 TILEPROP INT_L_X32Y61 INT_TILE_X 32 TILEPROP INT_L_X32Y61 INT_TILE_Y 88 TILEPROP INT_L_X32Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y61 IS_DCM_TILE 0 TILEPROP INT_L_X32Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y61 NAME INT_L_X32Y61 TILEPROP INT_L_X32Y61 NUM_ARCS 3737 TILEPROP INT_L_X32Y61 NUM_SITES 1 TILEPROP INT_L_X32Y61 ROW 92 TILEPROP INT_L_X32Y61 SLR_REGION_ID 0 TILEPROP INT_L_X32Y61 TILE_PATTERN_IDX 6820 TILEPROP INT_L_X32Y61 TILE_TYPE INT_L TILEPROP INT_L_X32Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y61 TILE_X 50152 TILEPROP INT_L_X32Y61 TILE_Y -43200 TILEPROP INT_L_X32Y61 TYPE INT_L TILEPROP INT_L_X32Y62 CLASS tile TILEPROP INT_L_X32Y62 COLUMN 82 TILEPROP INT_L_X32Y62 DEVICE_ID 0 TILEPROP INT_L_X32Y62 FIRST_SITE_ID 9143 TILEPROP INT_L_X32Y62 GRID_POINT_X 82 TILEPROP INT_L_X32Y62 GRID_POINT_Y 91 TILEPROP INT_L_X32Y62 INDEX 10547 TILEPROP INT_L_X32Y62 INT_TILE_X 32 TILEPROP INT_L_X32Y62 INT_TILE_Y 87 TILEPROP INT_L_X32Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y62 IS_DCM_TILE 0 TILEPROP INT_L_X32Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y62 NAME INT_L_X32Y62 TILEPROP INT_L_X32Y62 NUM_ARCS 3737 TILEPROP INT_L_X32Y62 NUM_SITES 1 TILEPROP INT_L_X32Y62 ROW 91 TILEPROP INT_L_X32Y62 SLR_REGION_ID 0 TILEPROP INT_L_X32Y62 TILE_PATTERN_IDX 6783 TILEPROP INT_L_X32Y62 TILE_TYPE INT_L TILEPROP INT_L_X32Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y62 TILE_X 50152 TILEPROP INT_L_X32Y62 TILE_Y -40000 TILEPROP INT_L_X32Y62 TYPE INT_L TILEPROP INT_L_X32Y63 CLASS tile TILEPROP INT_L_X32Y63 COLUMN 82 TILEPROP INT_L_X32Y63 DEVICE_ID 0 TILEPROP INT_L_X32Y63 FIRST_SITE_ID 9011 TILEPROP INT_L_X32Y63 GRID_POINT_X 82 TILEPROP INT_L_X32Y63 GRID_POINT_Y 90 TILEPROP INT_L_X32Y63 INDEX 10432 TILEPROP INT_L_X32Y63 INT_TILE_X 32 TILEPROP INT_L_X32Y63 INT_TILE_Y 86 TILEPROP INT_L_X32Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y63 IS_DCM_TILE 0 TILEPROP INT_L_X32Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y63 NAME INT_L_X32Y63 TILEPROP INT_L_X32Y63 NUM_ARCS 3737 TILEPROP INT_L_X32Y63 NUM_SITES 1 TILEPROP INT_L_X32Y63 ROW 90 TILEPROP INT_L_X32Y63 SLR_REGION_ID 0 TILEPROP INT_L_X32Y63 TILE_PATTERN_IDX 6746 TILEPROP INT_L_X32Y63 TILE_TYPE INT_L TILEPROP INT_L_X32Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y63 TILE_X 50152 TILEPROP INT_L_X32Y63 TILE_Y -36800 TILEPROP INT_L_X32Y63 TYPE INT_L TILEPROP INT_L_X32Y64 CLASS tile TILEPROP INT_L_X32Y64 COLUMN 82 TILEPROP INT_L_X32Y64 DEVICE_ID 0 TILEPROP INT_L_X32Y64 FIRST_SITE_ID 8911 TILEPROP INT_L_X32Y64 GRID_POINT_X 82 TILEPROP INT_L_X32Y64 GRID_POINT_Y 89 TILEPROP INT_L_X32Y64 INDEX 10317 TILEPROP INT_L_X32Y64 INT_TILE_X 32 TILEPROP INT_L_X32Y64 INT_TILE_Y 85 TILEPROP INT_L_X32Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y64 IS_DCM_TILE 0 TILEPROP INT_L_X32Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y64 NAME INT_L_X32Y64 TILEPROP INT_L_X32Y64 NUM_ARCS 3737 TILEPROP INT_L_X32Y64 NUM_SITES 1 TILEPROP INT_L_X32Y64 ROW 89 TILEPROP INT_L_X32Y64 SLR_REGION_ID 0 TILEPROP INT_L_X32Y64 TILE_PATTERN_IDX 6709 TILEPROP INT_L_X32Y64 TILE_TYPE INT_L TILEPROP INT_L_X32Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y64 TILE_X 50152 TILEPROP INT_L_X32Y64 TILE_Y -33600 TILEPROP INT_L_X32Y64 TYPE INT_L TILEPROP INT_L_X32Y65 CLASS tile TILEPROP INT_L_X32Y65 COLUMN 82 TILEPROP INT_L_X32Y65 DEVICE_ID 0 TILEPROP INT_L_X32Y65 FIRST_SITE_ID 8805 TILEPROP INT_L_X32Y65 GRID_POINT_X 82 TILEPROP INT_L_X32Y65 GRID_POINT_Y 88 TILEPROP INT_L_X32Y65 INDEX 10202 TILEPROP INT_L_X32Y65 INT_TILE_X 32 TILEPROP INT_L_X32Y65 INT_TILE_Y 84 TILEPROP INT_L_X32Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y65 IS_DCM_TILE 0 TILEPROP INT_L_X32Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y65 NAME INT_L_X32Y65 TILEPROP INT_L_X32Y65 NUM_ARCS 3737 TILEPROP INT_L_X32Y65 NUM_SITES 1 TILEPROP INT_L_X32Y65 ROW 88 TILEPROP INT_L_X32Y65 SLR_REGION_ID 0 TILEPROP INT_L_X32Y65 TILE_PATTERN_IDX 6672 TILEPROP INT_L_X32Y65 TILE_TYPE INT_L TILEPROP INT_L_X32Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y65 TILE_X 50152 TILEPROP INT_L_X32Y65 TILE_Y -30400 TILEPROP INT_L_X32Y65 TYPE INT_L TILEPROP INT_L_X32Y66 CLASS tile TILEPROP INT_L_X32Y66 COLUMN 82 TILEPROP INT_L_X32Y66 DEVICE_ID 0 TILEPROP INT_L_X32Y66 FIRST_SITE_ID 8696 TILEPROP INT_L_X32Y66 GRID_POINT_X 82 TILEPROP INT_L_X32Y66 GRID_POINT_Y 87 TILEPROP INT_L_X32Y66 INDEX 10087 TILEPROP INT_L_X32Y66 INT_TILE_X 32 TILEPROP INT_L_X32Y66 INT_TILE_Y 83 TILEPROP INT_L_X32Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y66 IS_DCM_TILE 0 TILEPROP INT_L_X32Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y66 NAME INT_L_X32Y66 TILEPROP INT_L_X32Y66 NUM_ARCS 3737 TILEPROP INT_L_X32Y66 NUM_SITES 1 TILEPROP INT_L_X32Y66 ROW 87 TILEPROP INT_L_X32Y66 SLR_REGION_ID 0 TILEPROP INT_L_X32Y66 TILE_PATTERN_IDX 6635 TILEPROP INT_L_X32Y66 TILE_TYPE INT_L TILEPROP INT_L_X32Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y66 TILE_X 50152 TILEPROP INT_L_X32Y66 TILE_Y -27200 TILEPROP INT_L_X32Y66 TYPE INT_L TILEPROP INT_L_X32Y67 CLASS tile TILEPROP INT_L_X32Y67 COLUMN 82 TILEPROP INT_L_X32Y67 DEVICE_ID 0 TILEPROP INT_L_X32Y67 FIRST_SITE_ID 8592 TILEPROP INT_L_X32Y67 GRID_POINT_X 82 TILEPROP INT_L_X32Y67 GRID_POINT_Y 86 TILEPROP INT_L_X32Y67 INDEX 9972 TILEPROP INT_L_X32Y67 INT_TILE_X 32 TILEPROP INT_L_X32Y67 INT_TILE_Y 82 TILEPROP INT_L_X32Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y67 IS_DCM_TILE 0 TILEPROP INT_L_X32Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y67 NAME INT_L_X32Y67 TILEPROP INT_L_X32Y67 NUM_ARCS 3737 TILEPROP INT_L_X32Y67 NUM_SITES 1 TILEPROP INT_L_X32Y67 ROW 86 TILEPROP INT_L_X32Y67 SLR_REGION_ID 0 TILEPROP INT_L_X32Y67 TILE_PATTERN_IDX 6597 TILEPROP INT_L_X32Y67 TILE_TYPE INT_L TILEPROP INT_L_X32Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y67 TILE_X 50152 TILEPROP INT_L_X32Y67 TILE_Y -24000 TILEPROP INT_L_X32Y67 TYPE INT_L TILEPROP INT_L_X32Y68 CLASS tile TILEPROP INT_L_X32Y68 COLUMN 82 TILEPROP INT_L_X32Y68 DEVICE_ID 0 TILEPROP INT_L_X32Y68 FIRST_SITE_ID 8488 TILEPROP INT_L_X32Y68 GRID_POINT_X 82 TILEPROP INT_L_X32Y68 GRID_POINT_Y 85 TILEPROP INT_L_X32Y68 INDEX 9857 TILEPROP INT_L_X32Y68 INT_TILE_X 32 TILEPROP INT_L_X32Y68 INT_TILE_Y 81 TILEPROP INT_L_X32Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y68 IS_DCM_TILE 0 TILEPROP INT_L_X32Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y68 NAME INT_L_X32Y68 TILEPROP INT_L_X32Y68 NUM_ARCS 3737 TILEPROP INT_L_X32Y68 NUM_SITES 1 TILEPROP INT_L_X32Y68 ROW 85 TILEPROP INT_L_X32Y68 SLR_REGION_ID 0 TILEPROP INT_L_X32Y68 TILE_PATTERN_IDX 6565 TILEPROP INT_L_X32Y68 TILE_TYPE INT_L TILEPROP INT_L_X32Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y68 TILE_X 50152 TILEPROP INT_L_X32Y68 TILE_Y -20800 TILEPROP INT_L_X32Y68 TYPE INT_L TILEPROP INT_L_X32Y69 CLASS tile TILEPROP INT_L_X32Y69 COLUMN 82 TILEPROP INT_L_X32Y69 DEVICE_ID 0 TILEPROP INT_L_X32Y69 FIRST_SITE_ID 8386 TILEPROP INT_L_X32Y69 GRID_POINT_X 82 TILEPROP INT_L_X32Y69 GRID_POINT_Y 84 TILEPROP INT_L_X32Y69 INDEX 9742 TILEPROP INT_L_X32Y69 INT_TILE_X 32 TILEPROP INT_L_X32Y69 INT_TILE_Y 80 TILEPROP INT_L_X32Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y69 IS_DCM_TILE 0 TILEPROP INT_L_X32Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y69 NAME INT_L_X32Y69 TILEPROP INT_L_X32Y69 NUM_ARCS 3737 TILEPROP INT_L_X32Y69 NUM_SITES 1 TILEPROP INT_L_X32Y69 ROW 84 TILEPROP INT_L_X32Y69 SLR_REGION_ID 0 TILEPROP INT_L_X32Y69 TILE_PATTERN_IDX 6534 TILEPROP INT_L_X32Y69 TILE_TYPE INT_L TILEPROP INT_L_X32Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y69 TILE_X 50152 TILEPROP INT_L_X32Y69 TILE_Y -17600 TILEPROP INT_L_X32Y69 TYPE INT_L TILEPROP INT_L_X32Y70 CLASS tile TILEPROP INT_L_X32Y70 COLUMN 82 TILEPROP INT_L_X32Y70 DEVICE_ID 0 TILEPROP INT_L_X32Y70 FIRST_SITE_ID 8278 TILEPROP INT_L_X32Y70 GRID_POINT_X 82 TILEPROP INT_L_X32Y70 GRID_POINT_Y 83 TILEPROP INT_L_X32Y70 INDEX 9627 TILEPROP INT_L_X32Y70 INT_TILE_X 32 TILEPROP INT_L_X32Y70 INT_TILE_Y 79 TILEPROP INT_L_X32Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y70 IS_DCM_TILE 0 TILEPROP INT_L_X32Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y70 NAME INT_L_X32Y70 TILEPROP INT_L_X32Y70 NUM_ARCS 3737 TILEPROP INT_L_X32Y70 NUM_SITES 1 TILEPROP INT_L_X32Y70 ROW 83 TILEPROP INT_L_X32Y70 SLR_REGION_ID 0 TILEPROP INT_L_X32Y70 TILE_PATTERN_IDX 6503 TILEPROP INT_L_X32Y70 TILE_TYPE INT_L TILEPROP INT_L_X32Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y70 TILE_X 50152 TILEPROP INT_L_X32Y70 TILE_Y -14400 TILEPROP INT_L_X32Y70 TYPE INT_L TILEPROP INT_L_X32Y71 CLASS tile TILEPROP INT_L_X32Y71 COLUMN 82 TILEPROP INT_L_X32Y71 DEVICE_ID 0 TILEPROP INT_L_X32Y71 FIRST_SITE_ID 8169 TILEPROP INT_L_X32Y71 GRID_POINT_X 82 TILEPROP INT_L_X32Y71 GRID_POINT_Y 82 TILEPROP INT_L_X32Y71 INDEX 9512 TILEPROP INT_L_X32Y71 INT_TILE_X 32 TILEPROP INT_L_X32Y71 INT_TILE_Y 78 TILEPROP INT_L_X32Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y71 IS_DCM_TILE 0 TILEPROP INT_L_X32Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y71 NAME INT_L_X32Y71 TILEPROP INT_L_X32Y71 NUM_ARCS 3737 TILEPROP INT_L_X32Y71 NUM_SITES 1 TILEPROP INT_L_X32Y71 ROW 82 TILEPROP INT_L_X32Y71 SLR_REGION_ID 0 TILEPROP INT_L_X32Y71 TILE_PATTERN_IDX 6472 TILEPROP INT_L_X32Y71 TILE_TYPE INT_L TILEPROP INT_L_X32Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y71 TILE_X 50152 TILEPROP INT_L_X32Y71 TILE_Y -11200 TILEPROP INT_L_X32Y71 TYPE INT_L TILEPROP INT_L_X32Y72 CLASS tile TILEPROP INT_L_X32Y72 COLUMN 82 TILEPROP INT_L_X32Y72 DEVICE_ID 0 TILEPROP INT_L_X32Y72 FIRST_SITE_ID 8069 TILEPROP INT_L_X32Y72 GRID_POINT_X 82 TILEPROP INT_L_X32Y72 GRID_POINT_Y 81 TILEPROP INT_L_X32Y72 INDEX 9397 TILEPROP INT_L_X32Y72 INT_TILE_X 32 TILEPROP INT_L_X32Y72 INT_TILE_Y 77 TILEPROP INT_L_X32Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y72 IS_DCM_TILE 0 TILEPROP INT_L_X32Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y72 NAME INT_L_X32Y72 TILEPROP INT_L_X32Y72 NUM_ARCS 3737 TILEPROP INT_L_X32Y72 NUM_SITES 1 TILEPROP INT_L_X32Y72 ROW 81 TILEPROP INT_L_X32Y72 SLR_REGION_ID 0 TILEPROP INT_L_X32Y72 TILE_PATTERN_IDX 6441 TILEPROP INT_L_X32Y72 TILE_TYPE INT_L TILEPROP INT_L_X32Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y72 TILE_X 50152 TILEPROP INT_L_X32Y72 TILE_Y -8000 TILEPROP INT_L_X32Y72 TYPE INT_L TILEPROP INT_L_X32Y73 CLASS tile TILEPROP INT_L_X32Y73 COLUMN 82 TILEPROP INT_L_X32Y73 DEVICE_ID 0 TILEPROP INT_L_X32Y73 FIRST_SITE_ID 7969 TILEPROP INT_L_X32Y73 GRID_POINT_X 82 TILEPROP INT_L_X32Y73 GRID_POINT_Y 80 TILEPROP INT_L_X32Y73 INDEX 9282 TILEPROP INT_L_X32Y73 INT_TILE_X 32 TILEPROP INT_L_X32Y73 INT_TILE_Y 76 TILEPROP INT_L_X32Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y73 IS_DCM_TILE 0 TILEPROP INT_L_X32Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y73 NAME INT_L_X32Y73 TILEPROP INT_L_X32Y73 NUM_ARCS 3737 TILEPROP INT_L_X32Y73 NUM_SITES 1 TILEPROP INT_L_X32Y73 ROW 80 TILEPROP INT_L_X32Y73 SLR_REGION_ID 0 TILEPROP INT_L_X32Y73 TILE_PATTERN_IDX 6410 TILEPROP INT_L_X32Y73 TILE_TYPE INT_L TILEPROP INT_L_X32Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y73 TILE_X 50152 TILEPROP INT_L_X32Y73 TILE_Y -4800 TILEPROP INT_L_X32Y73 TYPE INT_L TILEPROP INT_L_X32Y74 CLASS tile TILEPROP INT_L_X32Y74 COLUMN 82 TILEPROP INT_L_X32Y74 DEVICE_ID 0 TILEPROP INT_L_X32Y74 FIRST_SITE_ID 7869 TILEPROP INT_L_X32Y74 GRID_POINT_X 82 TILEPROP INT_L_X32Y74 GRID_POINT_Y 79 TILEPROP INT_L_X32Y74 INDEX 9167 TILEPROP INT_L_X32Y74 INT_TILE_X 32 TILEPROP INT_L_X32Y74 INT_TILE_Y 75 TILEPROP INT_L_X32Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y74 IS_DCM_TILE 0 TILEPROP INT_L_X32Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y74 NAME INT_L_X32Y74 TILEPROP INT_L_X32Y74 NUM_ARCS 3737 TILEPROP INT_L_X32Y74 NUM_SITES 1 TILEPROP INT_L_X32Y74 ROW 79 TILEPROP INT_L_X32Y74 SLR_REGION_ID 0 TILEPROP INT_L_X32Y74 TILE_PATTERN_IDX 6379 TILEPROP INT_L_X32Y74 TILE_TYPE INT_L TILEPROP INT_L_X32Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y74 TILE_X 50152 TILEPROP INT_L_X32Y74 TILE_Y -1600 TILEPROP INT_L_X32Y74 TYPE INT_L TILEPROP INT_L_X32Y75 CLASS tile TILEPROP INT_L_X32Y75 COLUMN 82 TILEPROP INT_L_X32Y75 DEVICE_ID 0 TILEPROP INT_L_X32Y75 FIRST_SITE_ID 7680 TILEPROP INT_L_X32Y75 GRID_POINT_X 82 TILEPROP INT_L_X32Y75 GRID_POINT_Y 77 TILEPROP INT_L_X32Y75 INDEX 8937 TILEPROP INT_L_X32Y75 INT_TILE_X 32 TILEPROP INT_L_X32Y75 INT_TILE_Y 74 TILEPROP INT_L_X32Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y75 IS_DCM_TILE 0 TILEPROP INT_L_X32Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y75 NAME INT_L_X32Y75 TILEPROP INT_L_X32Y75 NUM_ARCS 3737 TILEPROP INT_L_X32Y75 NUM_SITES 1 TILEPROP INT_L_X32Y75 ROW 77 TILEPROP INT_L_X32Y75 SLR_REGION_ID 0 TILEPROP INT_L_X32Y75 TILE_PATTERN_IDX 6305 TILEPROP INT_L_X32Y75 TILE_TYPE INT_L TILEPROP INT_L_X32Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y75 TILE_X 50152 TILEPROP INT_L_X32Y75 TILE_Y 2624 TILEPROP INT_L_X32Y75 TYPE INT_L TILEPROP INT_L_X32Y76 CLASS tile TILEPROP INT_L_X32Y76 COLUMN 82 TILEPROP INT_L_X32Y76 DEVICE_ID 0 TILEPROP INT_L_X32Y76 FIRST_SITE_ID 7568 TILEPROP INT_L_X32Y76 GRID_POINT_X 82 TILEPROP INT_L_X32Y76 GRID_POINT_Y 76 TILEPROP INT_L_X32Y76 INDEX 8822 TILEPROP INT_L_X32Y76 INT_TILE_X 32 TILEPROP INT_L_X32Y76 INT_TILE_Y 73 TILEPROP INT_L_X32Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y76 IS_DCM_TILE 0 TILEPROP INT_L_X32Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y76 NAME INT_L_X32Y76 TILEPROP INT_L_X32Y76 NUM_ARCS 3737 TILEPROP INT_L_X32Y76 NUM_SITES 1 TILEPROP INT_L_X32Y76 ROW 76 TILEPROP INT_L_X32Y76 SLR_REGION_ID 0 TILEPROP INT_L_X32Y76 TILE_PATTERN_IDX 6271 TILEPROP INT_L_X32Y76 TILE_TYPE INT_L TILEPROP INT_L_X32Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y76 TILE_X 50152 TILEPROP INT_L_X32Y76 TILE_Y 5824 TILEPROP INT_L_X32Y76 TYPE INT_L TILEPROP INT_L_X32Y77 CLASS tile TILEPROP INT_L_X32Y77 COLUMN 82 TILEPROP INT_L_X32Y77 DEVICE_ID 0 TILEPROP INT_L_X32Y77 FIRST_SITE_ID 7468 TILEPROP INT_L_X32Y77 GRID_POINT_X 82 TILEPROP INT_L_X32Y77 GRID_POINT_Y 75 TILEPROP INT_L_X32Y77 INDEX 8707 TILEPROP INT_L_X32Y77 INT_TILE_X 32 TILEPROP INT_L_X32Y77 INT_TILE_Y 72 TILEPROP INT_L_X32Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y77 IS_DCM_TILE 0 TILEPROP INT_L_X32Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y77 NAME INT_L_X32Y77 TILEPROP INT_L_X32Y77 NUM_ARCS 3737 TILEPROP INT_L_X32Y77 NUM_SITES 1 TILEPROP INT_L_X32Y77 ROW 75 TILEPROP INT_L_X32Y77 SLR_REGION_ID 0 TILEPROP INT_L_X32Y77 TILE_PATTERN_IDX 6237 TILEPROP INT_L_X32Y77 TILE_TYPE INT_L TILEPROP INT_L_X32Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y77 TILE_X 50152 TILEPROP INT_L_X32Y77 TILE_Y 9024 TILEPROP INT_L_X32Y77 TYPE INT_L TILEPROP INT_L_X32Y78 CLASS tile TILEPROP INT_L_X32Y78 COLUMN 82 TILEPROP INT_L_X32Y78 DEVICE_ID 0 TILEPROP INT_L_X32Y78 FIRST_SITE_ID 7368 TILEPROP INT_L_X32Y78 GRID_POINT_X 82 TILEPROP INT_L_X32Y78 GRID_POINT_Y 74 TILEPROP INT_L_X32Y78 INDEX 8592 TILEPROP INT_L_X32Y78 INT_TILE_X 32 TILEPROP INT_L_X32Y78 INT_TILE_Y 71 TILEPROP INT_L_X32Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y78 IS_DCM_TILE 0 TILEPROP INT_L_X32Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y78 NAME INT_L_X32Y78 TILEPROP INT_L_X32Y78 NUM_ARCS 3737 TILEPROP INT_L_X32Y78 NUM_SITES 1 TILEPROP INT_L_X32Y78 ROW 74 TILEPROP INT_L_X32Y78 SLR_REGION_ID 0 TILEPROP INT_L_X32Y78 TILE_PATTERN_IDX 6204 TILEPROP INT_L_X32Y78 TILE_TYPE INT_L TILEPROP INT_L_X32Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y78 TILE_X 50152 TILEPROP INT_L_X32Y78 TILE_Y 12224 TILEPROP INT_L_X32Y78 TYPE INT_L TILEPROP INT_L_X32Y79 CLASS tile TILEPROP INT_L_X32Y79 COLUMN 82 TILEPROP INT_L_X32Y79 DEVICE_ID 0 TILEPROP INT_L_X32Y79 FIRST_SITE_ID 7262 TILEPROP INT_L_X32Y79 GRID_POINT_X 82 TILEPROP INT_L_X32Y79 GRID_POINT_Y 73 TILEPROP INT_L_X32Y79 INDEX 8477 TILEPROP INT_L_X32Y79 INT_TILE_X 32 TILEPROP INT_L_X32Y79 INT_TILE_Y 70 TILEPROP INT_L_X32Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y79 IS_DCM_TILE 0 TILEPROP INT_L_X32Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y79 NAME INT_L_X32Y79 TILEPROP INT_L_X32Y79 NUM_ARCS 3737 TILEPROP INT_L_X32Y79 NUM_SITES 1 TILEPROP INT_L_X32Y79 ROW 73 TILEPROP INT_L_X32Y79 SLR_REGION_ID 0 TILEPROP INT_L_X32Y79 TILE_PATTERN_IDX 6169 TILEPROP INT_L_X32Y79 TILE_TYPE INT_L TILEPROP INT_L_X32Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y79 TILE_X 50152 TILEPROP INT_L_X32Y79 TILE_Y 15424 TILEPROP INT_L_X32Y79 TYPE INT_L TILEPROP INT_L_X32Y80 CLASS tile TILEPROP INT_L_X32Y80 COLUMN 82 TILEPROP INT_L_X32Y80 DEVICE_ID 0 TILEPROP INT_L_X32Y80 FIRST_SITE_ID 7150 TILEPROP INT_L_X32Y80 GRID_POINT_X 82 TILEPROP INT_L_X32Y80 GRID_POINT_Y 72 TILEPROP INT_L_X32Y80 INDEX 8362 TILEPROP INT_L_X32Y80 INT_TILE_X 32 TILEPROP INT_L_X32Y80 INT_TILE_Y 69 TILEPROP INT_L_X32Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y80 IS_DCM_TILE 0 TILEPROP INT_L_X32Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y80 NAME INT_L_X32Y80 TILEPROP INT_L_X32Y80 NUM_ARCS 3737 TILEPROP INT_L_X32Y80 NUM_SITES 1 TILEPROP INT_L_X32Y80 ROW 72 TILEPROP INT_L_X32Y80 SLR_REGION_ID 0 TILEPROP INT_L_X32Y80 TILE_PATTERN_IDX 6134 TILEPROP INT_L_X32Y80 TILE_TYPE INT_L TILEPROP INT_L_X32Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y80 TILE_X 50152 TILEPROP INT_L_X32Y80 TILE_Y 18624 TILEPROP INT_L_X32Y80 TYPE INT_L TILEPROP INT_L_X32Y81 CLASS tile TILEPROP INT_L_X32Y81 COLUMN 82 TILEPROP INT_L_X32Y81 DEVICE_ID 0 TILEPROP INT_L_X32Y81 FIRST_SITE_ID 7039 TILEPROP INT_L_X32Y81 GRID_POINT_X 82 TILEPROP INT_L_X32Y81 GRID_POINT_Y 71 TILEPROP INT_L_X32Y81 INDEX 8247 TILEPROP INT_L_X32Y81 INT_TILE_X 32 TILEPROP INT_L_X32Y81 INT_TILE_Y 68 TILEPROP INT_L_X32Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y81 IS_DCM_TILE 0 TILEPROP INT_L_X32Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y81 NAME INT_L_X32Y81 TILEPROP INT_L_X32Y81 NUM_ARCS 3737 TILEPROP INT_L_X32Y81 NUM_SITES 1 TILEPROP INT_L_X32Y81 ROW 71 TILEPROP INT_L_X32Y81 SLR_REGION_ID 0 TILEPROP INT_L_X32Y81 TILE_PATTERN_IDX 6100 TILEPROP INT_L_X32Y81 TILE_TYPE INT_L TILEPROP INT_L_X32Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y81 TILE_X 50152 TILEPROP INT_L_X32Y81 TILE_Y 21824 TILEPROP INT_L_X32Y81 TYPE INT_L TILEPROP INT_L_X32Y82 CLASS tile TILEPROP INT_L_X32Y82 COLUMN 82 TILEPROP INT_L_X32Y82 DEVICE_ID 0 TILEPROP INT_L_X32Y82 FIRST_SITE_ID 6937 TILEPROP INT_L_X32Y82 GRID_POINT_X 82 TILEPROP INT_L_X32Y82 GRID_POINT_Y 70 TILEPROP INT_L_X32Y82 INDEX 8132 TILEPROP INT_L_X32Y82 INT_TILE_X 32 TILEPROP INT_L_X32Y82 INT_TILE_Y 67 TILEPROP INT_L_X32Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y82 IS_DCM_TILE 0 TILEPROP INT_L_X32Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y82 NAME INT_L_X32Y82 TILEPROP INT_L_X32Y82 NUM_ARCS 3737 TILEPROP INT_L_X32Y82 NUM_SITES 1 TILEPROP INT_L_X32Y82 ROW 70 TILEPROP INT_L_X32Y82 SLR_REGION_ID 0 TILEPROP INT_L_X32Y82 TILE_PATTERN_IDX 6066 TILEPROP INT_L_X32Y82 TILE_TYPE INT_L TILEPROP INT_L_X32Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y82 TILE_X 50152 TILEPROP INT_L_X32Y82 TILE_Y 25024 TILEPROP INT_L_X32Y82 TYPE INT_L TILEPROP INT_L_X32Y83 CLASS tile TILEPROP INT_L_X32Y83 COLUMN 82 TILEPROP INT_L_X32Y83 DEVICE_ID 0 TILEPROP INT_L_X32Y83 FIRST_SITE_ID 6837 TILEPROP INT_L_X32Y83 GRID_POINT_X 82 TILEPROP INT_L_X32Y83 GRID_POINT_Y 69 TILEPROP INT_L_X32Y83 INDEX 8017 TILEPROP INT_L_X32Y83 INT_TILE_X 32 TILEPROP INT_L_X32Y83 INT_TILE_Y 66 TILEPROP INT_L_X32Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y83 IS_DCM_TILE 0 TILEPROP INT_L_X32Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y83 NAME INT_L_X32Y83 TILEPROP INT_L_X32Y83 NUM_ARCS 3737 TILEPROP INT_L_X32Y83 NUM_SITES 1 TILEPROP INT_L_X32Y83 ROW 69 TILEPROP INT_L_X32Y83 SLR_REGION_ID 0 TILEPROP INT_L_X32Y83 TILE_PATTERN_IDX 6032 TILEPROP INT_L_X32Y83 TILE_TYPE INT_L TILEPROP INT_L_X32Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y83 TILE_X 50152 TILEPROP INT_L_X32Y83 TILE_Y 28224 TILEPROP INT_L_X32Y83 TYPE INT_L TILEPROP INT_L_X32Y84 CLASS tile TILEPROP INT_L_X32Y84 COLUMN 82 TILEPROP INT_L_X32Y84 DEVICE_ID 0 TILEPROP INT_L_X32Y84 FIRST_SITE_ID 6737 TILEPROP INT_L_X32Y84 GRID_POINT_X 82 TILEPROP INT_L_X32Y84 GRID_POINT_Y 68 TILEPROP INT_L_X32Y84 INDEX 7902 TILEPROP INT_L_X32Y84 INT_TILE_X 32 TILEPROP INT_L_X32Y84 INT_TILE_Y 65 TILEPROP INT_L_X32Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y84 IS_DCM_TILE 0 TILEPROP INT_L_X32Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y84 NAME INT_L_X32Y84 TILEPROP INT_L_X32Y84 NUM_ARCS 3737 TILEPROP INT_L_X32Y84 NUM_SITES 1 TILEPROP INT_L_X32Y84 ROW 68 TILEPROP INT_L_X32Y84 SLR_REGION_ID 0 TILEPROP INT_L_X32Y84 TILE_PATTERN_IDX 5998 TILEPROP INT_L_X32Y84 TILE_TYPE INT_L TILEPROP INT_L_X32Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y84 TILE_X 50152 TILEPROP INT_L_X32Y84 TILE_Y 31424 TILEPROP INT_L_X32Y84 TYPE INT_L TILEPROP INT_L_X32Y85 CLASS tile TILEPROP INT_L_X32Y85 COLUMN 82 TILEPROP INT_L_X32Y85 DEVICE_ID 0 TILEPROP INT_L_X32Y85 FIRST_SITE_ID 6631 TILEPROP INT_L_X32Y85 GRID_POINT_X 82 TILEPROP INT_L_X32Y85 GRID_POINT_Y 67 TILEPROP INT_L_X32Y85 INDEX 7787 TILEPROP INT_L_X32Y85 INT_TILE_X 32 TILEPROP INT_L_X32Y85 INT_TILE_Y 64 TILEPROP INT_L_X32Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y85 IS_DCM_TILE 0 TILEPROP INT_L_X32Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y85 NAME INT_L_X32Y85 TILEPROP INT_L_X32Y85 NUM_ARCS 3737 TILEPROP INT_L_X32Y85 NUM_SITES 1 TILEPROP INT_L_X32Y85 ROW 67 TILEPROP INT_L_X32Y85 SLR_REGION_ID 0 TILEPROP INT_L_X32Y85 TILE_PATTERN_IDX 5964 TILEPROP INT_L_X32Y85 TILE_TYPE INT_L TILEPROP INT_L_X32Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y85 TILE_X 50152 TILEPROP INT_L_X32Y85 TILE_Y 34624 TILEPROP INT_L_X32Y85 TYPE INT_L TILEPROP INT_L_X32Y86 CLASS tile TILEPROP INT_L_X32Y86 COLUMN 82 TILEPROP INT_L_X32Y86 DEVICE_ID 0 TILEPROP INT_L_X32Y86 FIRST_SITE_ID 6522 TILEPROP INT_L_X32Y86 GRID_POINT_X 82 TILEPROP INT_L_X32Y86 GRID_POINT_Y 66 TILEPROP INT_L_X32Y86 INDEX 7672 TILEPROP INT_L_X32Y86 INT_TILE_X 32 TILEPROP INT_L_X32Y86 INT_TILE_Y 63 TILEPROP INT_L_X32Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y86 IS_DCM_TILE 0 TILEPROP INT_L_X32Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y86 NAME INT_L_X32Y86 TILEPROP INT_L_X32Y86 NUM_ARCS 3737 TILEPROP INT_L_X32Y86 NUM_SITES 1 TILEPROP INT_L_X32Y86 ROW 66 TILEPROP INT_L_X32Y86 SLR_REGION_ID 0 TILEPROP INT_L_X32Y86 TILE_PATTERN_IDX 5929 TILEPROP INT_L_X32Y86 TILE_TYPE INT_L TILEPROP INT_L_X32Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y86 TILE_X 50152 TILEPROP INT_L_X32Y86 TILE_Y 37824 TILEPROP INT_L_X32Y86 TYPE INT_L TILEPROP INT_L_X32Y87 CLASS tile TILEPROP INT_L_X32Y87 COLUMN 82 TILEPROP INT_L_X32Y87 DEVICE_ID 0 TILEPROP INT_L_X32Y87 FIRST_SITE_ID 6390 TILEPROP INT_L_X32Y87 GRID_POINT_X 82 TILEPROP INT_L_X32Y87 GRID_POINT_Y 65 TILEPROP INT_L_X32Y87 INDEX 7557 TILEPROP INT_L_X32Y87 INT_TILE_X 32 TILEPROP INT_L_X32Y87 INT_TILE_Y 62 TILEPROP INT_L_X32Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y87 IS_DCM_TILE 0 TILEPROP INT_L_X32Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y87 NAME INT_L_X32Y87 TILEPROP INT_L_X32Y87 NUM_ARCS 3737 TILEPROP INT_L_X32Y87 NUM_SITES 1 TILEPROP INT_L_X32Y87 ROW 65 TILEPROP INT_L_X32Y87 SLR_REGION_ID 0 TILEPROP INT_L_X32Y87 TILE_PATTERN_IDX 5894 TILEPROP INT_L_X32Y87 TILE_TYPE INT_L TILEPROP INT_L_X32Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y87 TILE_X 50152 TILEPROP INT_L_X32Y87 TILE_Y 41024 TILEPROP INT_L_X32Y87 TYPE INT_L TILEPROP INT_L_X32Y88 CLASS tile TILEPROP INT_L_X32Y88 COLUMN 82 TILEPROP INT_L_X32Y88 DEVICE_ID 0 TILEPROP INT_L_X32Y88 FIRST_SITE_ID 6290 TILEPROP INT_L_X32Y88 GRID_POINT_X 82 TILEPROP INT_L_X32Y88 GRID_POINT_Y 64 TILEPROP INT_L_X32Y88 INDEX 7442 TILEPROP INT_L_X32Y88 INT_TILE_X 32 TILEPROP INT_L_X32Y88 INT_TILE_Y 61 TILEPROP INT_L_X32Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y88 IS_DCM_TILE 0 TILEPROP INT_L_X32Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y88 NAME INT_L_X32Y88 TILEPROP INT_L_X32Y88 NUM_ARCS 3737 TILEPROP INT_L_X32Y88 NUM_SITES 1 TILEPROP INT_L_X32Y88 ROW 64 TILEPROP INT_L_X32Y88 SLR_REGION_ID 0 TILEPROP INT_L_X32Y88 TILE_PATTERN_IDX 5861 TILEPROP INT_L_X32Y88 TILE_TYPE INT_L TILEPROP INT_L_X32Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y88 TILE_X 50152 TILEPROP INT_L_X32Y88 TILE_Y 44224 TILEPROP INT_L_X32Y88 TYPE INT_L TILEPROP INT_L_X32Y89 CLASS tile TILEPROP INT_L_X32Y89 COLUMN 82 TILEPROP INT_L_X32Y89 DEVICE_ID 0 TILEPROP INT_L_X32Y89 FIRST_SITE_ID 6190 TILEPROP INT_L_X32Y89 GRID_POINT_X 82 TILEPROP INT_L_X32Y89 GRID_POINT_Y 63 TILEPROP INT_L_X32Y89 INDEX 7327 TILEPROP INT_L_X32Y89 INT_TILE_X 32 TILEPROP INT_L_X32Y89 INT_TILE_Y 60 TILEPROP INT_L_X32Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y89 IS_DCM_TILE 0 TILEPROP INT_L_X32Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y89 NAME INT_L_X32Y89 TILEPROP INT_L_X32Y89 NUM_ARCS 3737 TILEPROP INT_L_X32Y89 NUM_SITES 1 TILEPROP INT_L_X32Y89 ROW 63 TILEPROP INT_L_X32Y89 SLR_REGION_ID 0 TILEPROP INT_L_X32Y89 TILE_PATTERN_IDX 5827 TILEPROP INT_L_X32Y89 TILE_TYPE INT_L TILEPROP INT_L_X32Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y89 TILE_X 50152 TILEPROP INT_L_X32Y89 TILE_Y 47424 TILEPROP INT_L_X32Y89 TYPE INT_L TILEPROP INT_L_X32Y90 CLASS tile TILEPROP INT_L_X32Y90 COLUMN 82 TILEPROP INT_L_X32Y90 DEVICE_ID 0 TILEPROP INT_L_X32Y90 FIRST_SITE_ID 6084 TILEPROP INT_L_X32Y90 GRID_POINT_X 82 TILEPROP INT_L_X32Y90 GRID_POINT_Y 62 TILEPROP INT_L_X32Y90 INDEX 7212 TILEPROP INT_L_X32Y90 INT_TILE_X 32 TILEPROP INT_L_X32Y90 INT_TILE_Y 59 TILEPROP INT_L_X32Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y90 IS_DCM_TILE 0 TILEPROP INT_L_X32Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y90 NAME INT_L_X32Y90 TILEPROP INT_L_X32Y90 NUM_ARCS 3737 TILEPROP INT_L_X32Y90 NUM_SITES 1 TILEPROP INT_L_X32Y90 ROW 62 TILEPROP INT_L_X32Y90 SLR_REGION_ID 0 TILEPROP INT_L_X32Y90 TILE_PATTERN_IDX 5793 TILEPROP INT_L_X32Y90 TILE_TYPE INT_L TILEPROP INT_L_X32Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y90 TILE_X 50152 TILEPROP INT_L_X32Y90 TILE_Y 50624 TILEPROP INT_L_X32Y90 TYPE INT_L TILEPROP INT_L_X32Y91 CLASS tile TILEPROP INT_L_X32Y91 COLUMN 82 TILEPROP INT_L_X32Y91 DEVICE_ID 0 TILEPROP INT_L_X32Y91 FIRST_SITE_ID 5975 TILEPROP INT_L_X32Y91 GRID_POINT_X 82 TILEPROP INT_L_X32Y91 GRID_POINT_Y 61 TILEPROP INT_L_X32Y91 INDEX 7097 TILEPROP INT_L_X32Y91 INT_TILE_X 32 TILEPROP INT_L_X32Y91 INT_TILE_Y 58 TILEPROP INT_L_X32Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y91 IS_DCM_TILE 0 TILEPROP INT_L_X32Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y91 NAME INT_L_X32Y91 TILEPROP INT_L_X32Y91 NUM_ARCS 3737 TILEPROP INT_L_X32Y91 NUM_SITES 1 TILEPROP INT_L_X32Y91 ROW 61 TILEPROP INT_L_X32Y91 SLR_REGION_ID 0 TILEPROP INT_L_X32Y91 TILE_PATTERN_IDX 5759 TILEPROP INT_L_X32Y91 TILE_TYPE INT_L TILEPROP INT_L_X32Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y91 TILE_X 50152 TILEPROP INT_L_X32Y91 TILE_Y 53824 TILEPROP INT_L_X32Y91 TYPE INT_L TILEPROP INT_L_X32Y92 CLASS tile TILEPROP INT_L_X32Y92 COLUMN 82 TILEPROP INT_L_X32Y92 DEVICE_ID 0 TILEPROP INT_L_X32Y92 FIRST_SITE_ID 5874 TILEPROP INT_L_X32Y92 GRID_POINT_X 82 TILEPROP INT_L_X32Y92 GRID_POINT_Y 60 TILEPROP INT_L_X32Y92 INDEX 6982 TILEPROP INT_L_X32Y92 INT_TILE_X 32 TILEPROP INT_L_X32Y92 INT_TILE_Y 57 TILEPROP INT_L_X32Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y92 IS_DCM_TILE 0 TILEPROP INT_L_X32Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y92 NAME INT_L_X32Y92 TILEPROP INT_L_X32Y92 NUM_ARCS 3737 TILEPROP INT_L_X32Y92 NUM_SITES 1 TILEPROP INT_L_X32Y92 ROW 60 TILEPROP INT_L_X32Y92 SLR_REGION_ID 0 TILEPROP INT_L_X32Y92 TILE_PATTERN_IDX 5726 TILEPROP INT_L_X32Y92 TILE_TYPE INT_L TILEPROP INT_L_X32Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y92 TILE_X 50152 TILEPROP INT_L_X32Y92 TILE_Y 57024 TILEPROP INT_L_X32Y92 TYPE INT_L TILEPROP INT_L_X32Y93 CLASS tile TILEPROP INT_L_X32Y93 COLUMN 82 TILEPROP INT_L_X32Y93 DEVICE_ID 0 TILEPROP INT_L_X32Y93 FIRST_SITE_ID 5771 TILEPROP INT_L_X32Y93 GRID_POINT_X 82 TILEPROP INT_L_X32Y93 GRID_POINT_Y 59 TILEPROP INT_L_X32Y93 INDEX 6867 TILEPROP INT_L_X32Y93 INT_TILE_X 32 TILEPROP INT_L_X32Y93 INT_TILE_Y 56 TILEPROP INT_L_X32Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y93 IS_DCM_TILE 0 TILEPROP INT_L_X32Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y93 NAME INT_L_X32Y93 TILEPROP INT_L_X32Y93 NUM_ARCS 3737 TILEPROP INT_L_X32Y93 NUM_SITES 1 TILEPROP INT_L_X32Y93 ROW 59 TILEPROP INT_L_X32Y93 SLR_REGION_ID 0 TILEPROP INT_L_X32Y93 TILE_PATTERN_IDX 5692 TILEPROP INT_L_X32Y93 TILE_TYPE INT_L TILEPROP INT_L_X32Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y93 TILE_X 50152 TILEPROP INT_L_X32Y93 TILE_Y 60224 TILEPROP INT_L_X32Y93 TYPE INT_L TILEPROP INT_L_X32Y94 CLASS tile TILEPROP INT_L_X32Y94 COLUMN 82 TILEPROP INT_L_X32Y94 DEVICE_ID 0 TILEPROP INT_L_X32Y94 FIRST_SITE_ID 5669 TILEPROP INT_L_X32Y94 GRID_POINT_X 82 TILEPROP INT_L_X32Y94 GRID_POINT_Y 58 TILEPROP INT_L_X32Y94 INDEX 6752 TILEPROP INT_L_X32Y94 INT_TILE_X 32 TILEPROP INT_L_X32Y94 INT_TILE_Y 55 TILEPROP INT_L_X32Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y94 IS_DCM_TILE 0 TILEPROP INT_L_X32Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y94 NAME INT_L_X32Y94 TILEPROP INT_L_X32Y94 NUM_ARCS 3737 TILEPROP INT_L_X32Y94 NUM_SITES 1 TILEPROP INT_L_X32Y94 ROW 58 TILEPROP INT_L_X32Y94 SLR_REGION_ID 0 TILEPROP INT_L_X32Y94 TILE_PATTERN_IDX 5658 TILEPROP INT_L_X32Y94 TILE_TYPE INT_L TILEPROP INT_L_X32Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y94 TILE_X 50152 TILEPROP INT_L_X32Y94 TILE_Y 63424 TILEPROP INT_L_X32Y94 TYPE INT_L TILEPROP INT_L_X32Y95 CLASS tile TILEPROP INT_L_X32Y95 COLUMN 82 TILEPROP INT_L_X32Y95 DEVICE_ID 0 TILEPROP INT_L_X32Y95 FIRST_SITE_ID 5563 TILEPROP INT_L_X32Y95 GRID_POINT_X 82 TILEPROP INT_L_X32Y95 GRID_POINT_Y 57 TILEPROP INT_L_X32Y95 INDEX 6637 TILEPROP INT_L_X32Y95 INT_TILE_X 32 TILEPROP INT_L_X32Y95 INT_TILE_Y 54 TILEPROP INT_L_X32Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y95 IS_DCM_TILE 0 TILEPROP INT_L_X32Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y95 NAME INT_L_X32Y95 TILEPROP INT_L_X32Y95 NUM_ARCS 3737 TILEPROP INT_L_X32Y95 NUM_SITES 1 TILEPROP INT_L_X32Y95 ROW 57 TILEPROP INT_L_X32Y95 SLR_REGION_ID 0 TILEPROP INT_L_X32Y95 TILE_PATTERN_IDX 5624 TILEPROP INT_L_X32Y95 TILE_TYPE INT_L TILEPROP INT_L_X32Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y95 TILE_X 50152 TILEPROP INT_L_X32Y95 TILE_Y 66624 TILEPROP INT_L_X32Y95 TYPE INT_L TILEPROP INT_L_X32Y96 CLASS tile TILEPROP INT_L_X32Y96 COLUMN 82 TILEPROP INT_L_X32Y96 DEVICE_ID 0 TILEPROP INT_L_X32Y96 FIRST_SITE_ID 5454 TILEPROP INT_L_X32Y96 GRID_POINT_X 82 TILEPROP INT_L_X32Y96 GRID_POINT_Y 56 TILEPROP INT_L_X32Y96 INDEX 6522 TILEPROP INT_L_X32Y96 INT_TILE_X 32 TILEPROP INT_L_X32Y96 INT_TILE_Y 53 TILEPROP INT_L_X32Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y96 IS_DCM_TILE 0 TILEPROP INT_L_X32Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y96 NAME INT_L_X32Y96 TILEPROP INT_L_X32Y96 NUM_ARCS 3737 TILEPROP INT_L_X32Y96 NUM_SITES 1 TILEPROP INT_L_X32Y96 ROW 56 TILEPROP INT_L_X32Y96 SLR_REGION_ID 0 TILEPROP INT_L_X32Y96 TILE_PATTERN_IDX 5589 TILEPROP INT_L_X32Y96 TILE_TYPE INT_L TILEPROP INT_L_X32Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y96 TILE_X 50152 TILEPROP INT_L_X32Y96 TILE_Y 69824 TILEPROP INT_L_X32Y96 TYPE INT_L TILEPROP INT_L_X32Y97 CLASS tile TILEPROP INT_L_X32Y97 COLUMN 82 TILEPROP INT_L_X32Y97 DEVICE_ID 0 TILEPROP INT_L_X32Y97 FIRST_SITE_ID 5354 TILEPROP INT_L_X32Y97 GRID_POINT_X 82 TILEPROP INT_L_X32Y97 GRID_POINT_Y 55 TILEPROP INT_L_X32Y97 INDEX 6407 TILEPROP INT_L_X32Y97 INT_TILE_X 32 TILEPROP INT_L_X32Y97 INT_TILE_Y 52 TILEPROP INT_L_X32Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y97 IS_DCM_TILE 0 TILEPROP INT_L_X32Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y97 NAME INT_L_X32Y97 TILEPROP INT_L_X32Y97 NUM_ARCS 3737 TILEPROP INT_L_X32Y97 NUM_SITES 1 TILEPROP INT_L_X32Y97 ROW 55 TILEPROP INT_L_X32Y97 SLR_REGION_ID 0 TILEPROP INT_L_X32Y97 TILE_PATTERN_IDX 5555 TILEPROP INT_L_X32Y97 TILE_TYPE INT_L TILEPROP INT_L_X32Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y97 TILE_X 50152 TILEPROP INT_L_X32Y97 TILE_Y 73024 TILEPROP INT_L_X32Y97 TYPE INT_L TILEPROP INT_L_X32Y98 CLASS tile TILEPROP INT_L_X32Y98 COLUMN 82 TILEPROP INT_L_X32Y98 DEVICE_ID 0 TILEPROP INT_L_X32Y98 FIRST_SITE_ID 5254 TILEPROP INT_L_X32Y98 GRID_POINT_X 82 TILEPROP INT_L_X32Y98 GRID_POINT_Y 54 TILEPROP INT_L_X32Y98 INDEX 6292 TILEPROP INT_L_X32Y98 INT_TILE_X 32 TILEPROP INT_L_X32Y98 INT_TILE_Y 51 TILEPROP INT_L_X32Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y98 IS_DCM_TILE 0 TILEPROP INT_L_X32Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y98 NAME INT_L_X32Y98 TILEPROP INT_L_X32Y98 NUM_ARCS 3737 TILEPROP INT_L_X32Y98 NUM_SITES 1 TILEPROP INT_L_X32Y98 ROW 54 TILEPROP INT_L_X32Y98 SLR_REGION_ID 0 TILEPROP INT_L_X32Y98 TILE_PATTERN_IDX 5521 TILEPROP INT_L_X32Y98 TILE_TYPE INT_L TILEPROP INT_L_X32Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y98 TILE_X 50152 TILEPROP INT_L_X32Y98 TILE_Y 76224 TILEPROP INT_L_X32Y98 TYPE INT_L TILEPROP INT_L_X32Y99 CLASS tile TILEPROP INT_L_X32Y99 COLUMN 82 TILEPROP INT_L_X32Y99 DEVICE_ID 0 TILEPROP INT_L_X32Y99 FIRST_SITE_ID 5158 TILEPROP INT_L_X32Y99 GRID_POINT_X 82 TILEPROP INT_L_X32Y99 GRID_POINT_Y 53 TILEPROP INT_L_X32Y99 INDEX 6177 TILEPROP INT_L_X32Y99 INT_TILE_X 32 TILEPROP INT_L_X32Y99 INT_TILE_Y 50 TILEPROP INT_L_X32Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y99 IS_DCM_TILE 0 TILEPROP INT_L_X32Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y99 NAME INT_L_X32Y99 TILEPROP INT_L_X32Y99 NUM_ARCS 3737 TILEPROP INT_L_X32Y99 NUM_SITES 1 TILEPROP INT_L_X32Y99 ROW 53 TILEPROP INT_L_X32Y99 SLR_REGION_ID 0 TILEPROP INT_L_X32Y99 TILE_PATTERN_IDX 5488 TILEPROP INT_L_X32Y99 TILE_TYPE INT_L TILEPROP INT_L_X32Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y99 TILE_X 50152 TILEPROP INT_L_X32Y99 TILE_Y 79424 TILEPROP INT_L_X32Y99 TYPE INT_L TILEPROP INT_L_X32Y100 CLASS tile TILEPROP INT_L_X32Y100 COLUMN 82 TILEPROP INT_L_X32Y100 DEVICE_ID 0 TILEPROP INT_L_X32Y100 FIRST_SITE_ID 5073 TILEPROP INT_L_X32Y100 GRID_POINT_X 82 TILEPROP INT_L_X32Y100 GRID_POINT_Y 51 TILEPROP INT_L_X32Y100 INDEX 5947 TILEPROP INT_L_X32Y100 INT_TILE_X 32 TILEPROP INT_L_X32Y100 INT_TILE_Y 49 TILEPROP INT_L_X32Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y100 IS_DCM_TILE 0 TILEPROP INT_L_X32Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y100 NAME INT_L_X32Y100 TILEPROP INT_L_X32Y100 NUM_ARCS 3737 TILEPROP INT_L_X32Y100 NUM_SITES 1 TILEPROP INT_L_X32Y100 ROW 51 TILEPROP INT_L_X32Y100 SLR_REGION_ID 0 TILEPROP INT_L_X32Y100 TILE_PATTERN_IDX 5454 TILEPROP INT_L_X32Y100 TILE_TYPE INT_L TILEPROP INT_L_X32Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y100 TILE_X 50152 TILEPROP INT_L_X32Y100 TILE_Y 82872 TILEPROP INT_L_X32Y100 TYPE INT_L TILEPROP INT_L_X32Y101 CLASS tile TILEPROP INT_L_X32Y101 COLUMN 82 TILEPROP INT_L_X32Y101 DEVICE_ID 0 TILEPROP INT_L_X32Y101 FIRST_SITE_ID 4975 TILEPROP INT_L_X32Y101 GRID_POINT_X 82 TILEPROP INT_L_X32Y101 GRID_POINT_Y 50 TILEPROP INT_L_X32Y101 INDEX 5832 TILEPROP INT_L_X32Y101 INT_TILE_X 32 TILEPROP INT_L_X32Y101 INT_TILE_Y 48 TILEPROP INT_L_X32Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y101 IS_DCM_TILE 0 TILEPROP INT_L_X32Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y101 NAME INT_L_X32Y101 TILEPROP INT_L_X32Y101 NUM_ARCS 3737 TILEPROP INT_L_X32Y101 NUM_SITES 1 TILEPROP INT_L_X32Y101 ROW 50 TILEPROP INT_L_X32Y101 SLR_REGION_ID 0 TILEPROP INT_L_X32Y101 TILE_PATTERN_IDX 5418 TILEPROP INT_L_X32Y101 TILE_TYPE INT_L TILEPROP INT_L_X32Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y101 TILE_X 50152 TILEPROP INT_L_X32Y101 TILE_Y 86072 TILEPROP INT_L_X32Y101 TYPE INT_L TILEPROP INT_L_X32Y102 CLASS tile TILEPROP INT_L_X32Y102 COLUMN 82 TILEPROP INT_L_X32Y102 DEVICE_ID 0 TILEPROP INT_L_X32Y102 FIRST_SITE_ID 4879 TILEPROP INT_L_X32Y102 GRID_POINT_X 82 TILEPROP INT_L_X32Y102 GRID_POINT_Y 49 TILEPROP INT_L_X32Y102 INDEX 5717 TILEPROP INT_L_X32Y102 INT_TILE_X 32 TILEPROP INT_L_X32Y102 INT_TILE_Y 47 TILEPROP INT_L_X32Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y102 IS_DCM_TILE 0 TILEPROP INT_L_X32Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y102 NAME INT_L_X32Y102 TILEPROP INT_L_X32Y102 NUM_ARCS 3737 TILEPROP INT_L_X32Y102 NUM_SITES 1 TILEPROP INT_L_X32Y102 ROW 49 TILEPROP INT_L_X32Y102 SLR_REGION_ID 0 TILEPROP INT_L_X32Y102 TILE_PATTERN_IDX 5381 TILEPROP INT_L_X32Y102 TILE_TYPE INT_L TILEPROP INT_L_X32Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y102 TILE_X 50152 TILEPROP INT_L_X32Y102 TILE_Y 89272 TILEPROP INT_L_X32Y102 TYPE INT_L TILEPROP INT_L_X32Y103 CLASS tile TILEPROP INT_L_X32Y103 COLUMN 82 TILEPROP INT_L_X32Y103 DEVICE_ID 0 TILEPROP INT_L_X32Y103 FIRST_SITE_ID 4791 TILEPROP INT_L_X32Y103 GRID_POINT_X 82 TILEPROP INT_L_X32Y103 GRID_POINT_Y 48 TILEPROP INT_L_X32Y103 INDEX 5602 TILEPROP INT_L_X32Y103 INT_TILE_X 32 TILEPROP INT_L_X32Y103 INT_TILE_Y 46 TILEPROP INT_L_X32Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y103 IS_DCM_TILE 0 TILEPROP INT_L_X32Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y103 NAME INT_L_X32Y103 TILEPROP INT_L_X32Y103 NUM_ARCS 3737 TILEPROP INT_L_X32Y103 NUM_SITES 1 TILEPROP INT_L_X32Y103 ROW 48 TILEPROP INT_L_X32Y103 SLR_REGION_ID 0 TILEPROP INT_L_X32Y103 TILE_PATTERN_IDX 5345 TILEPROP INT_L_X32Y103 TILE_TYPE INT_L TILEPROP INT_L_X32Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y103 TILE_X 50152 TILEPROP INT_L_X32Y103 TILE_Y 92472 TILEPROP INT_L_X32Y103 TYPE INT_L TILEPROP INT_L_X32Y104 CLASS tile TILEPROP INT_L_X32Y104 COLUMN 82 TILEPROP INT_L_X32Y104 DEVICE_ID 0 TILEPROP INT_L_X32Y104 FIRST_SITE_ID 4695 TILEPROP INT_L_X32Y104 GRID_POINT_X 82 TILEPROP INT_L_X32Y104 GRID_POINT_Y 47 TILEPROP INT_L_X32Y104 INDEX 5487 TILEPROP INT_L_X32Y104 INT_TILE_X 32 TILEPROP INT_L_X32Y104 INT_TILE_Y 45 TILEPROP INT_L_X32Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y104 IS_DCM_TILE 0 TILEPROP INT_L_X32Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y104 NAME INT_L_X32Y104 TILEPROP INT_L_X32Y104 NUM_ARCS 3737 TILEPROP INT_L_X32Y104 NUM_SITES 1 TILEPROP INT_L_X32Y104 ROW 47 TILEPROP INT_L_X32Y104 SLR_REGION_ID 0 TILEPROP INT_L_X32Y104 TILE_PATTERN_IDX 5308 TILEPROP INT_L_X32Y104 TILE_TYPE INT_L TILEPROP INT_L_X32Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y104 TILE_X 50152 TILEPROP INT_L_X32Y104 TILE_Y 95672 TILEPROP INT_L_X32Y104 TYPE INT_L TILEPROP INT_L_X32Y105 CLASS tile TILEPROP INT_L_X32Y105 COLUMN 82 TILEPROP INT_L_X32Y105 DEVICE_ID 0 TILEPROP INT_L_X32Y105 FIRST_SITE_ID 4599 TILEPROP INT_L_X32Y105 GRID_POINT_X 82 TILEPROP INT_L_X32Y105 GRID_POINT_Y 46 TILEPROP INT_L_X32Y105 INDEX 5372 TILEPROP INT_L_X32Y105 INT_TILE_X 32 TILEPROP INT_L_X32Y105 INT_TILE_Y 44 TILEPROP INT_L_X32Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y105 IS_DCM_TILE 0 TILEPROP INT_L_X32Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y105 NAME INT_L_X32Y105 TILEPROP INT_L_X32Y105 NUM_ARCS 3737 TILEPROP INT_L_X32Y105 NUM_SITES 1 TILEPROP INT_L_X32Y105 ROW 46 TILEPROP INT_L_X32Y105 SLR_REGION_ID 0 TILEPROP INT_L_X32Y105 TILE_PATTERN_IDX 5272 TILEPROP INT_L_X32Y105 TILE_TYPE INT_L TILEPROP INT_L_X32Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y105 TILE_X 50152 TILEPROP INT_L_X32Y105 TILE_Y 98872 TILEPROP INT_L_X32Y105 TYPE INT_L TILEPROP INT_L_X32Y106 CLASS tile TILEPROP INT_L_X32Y106 COLUMN 82 TILEPROP INT_L_X32Y106 DEVICE_ID 0 TILEPROP INT_L_X32Y106 FIRST_SITE_ID 4497 TILEPROP INT_L_X32Y106 GRID_POINT_X 82 TILEPROP INT_L_X32Y106 GRID_POINT_Y 45 TILEPROP INT_L_X32Y106 INDEX 5257 TILEPROP INT_L_X32Y106 INT_TILE_X 32 TILEPROP INT_L_X32Y106 INT_TILE_Y 43 TILEPROP INT_L_X32Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y106 IS_DCM_TILE 0 TILEPROP INT_L_X32Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y106 NAME INT_L_X32Y106 TILEPROP INT_L_X32Y106 NUM_ARCS 3737 TILEPROP INT_L_X32Y106 NUM_SITES 1 TILEPROP INT_L_X32Y106 ROW 45 TILEPROP INT_L_X32Y106 SLR_REGION_ID 0 TILEPROP INT_L_X32Y106 TILE_PATTERN_IDX 5235 TILEPROP INT_L_X32Y106 TILE_TYPE INT_L TILEPROP INT_L_X32Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y106 TILE_X 50152 TILEPROP INT_L_X32Y106 TILE_Y 102072 TILEPROP INT_L_X32Y106 TYPE INT_L TILEPROP INT_L_X32Y107 CLASS tile TILEPROP INT_L_X32Y107 COLUMN 82 TILEPROP INT_L_X32Y107 DEVICE_ID 0 TILEPROP INT_L_X32Y107 FIRST_SITE_ID 4409 TILEPROP INT_L_X32Y107 GRID_POINT_X 82 TILEPROP INT_L_X32Y107 GRID_POINT_Y 44 TILEPROP INT_L_X32Y107 INDEX 5142 TILEPROP INT_L_X32Y107 INT_TILE_X 32 TILEPROP INT_L_X32Y107 INT_TILE_Y 42 TILEPROP INT_L_X32Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y107 IS_DCM_TILE 0 TILEPROP INT_L_X32Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y107 NAME INT_L_X32Y107 TILEPROP INT_L_X32Y107 NUM_ARCS 3737 TILEPROP INT_L_X32Y107 NUM_SITES 1 TILEPROP INT_L_X32Y107 ROW 44 TILEPROP INT_L_X32Y107 SLR_REGION_ID 0 TILEPROP INT_L_X32Y107 TILE_PATTERN_IDX 5199 TILEPROP INT_L_X32Y107 TILE_TYPE INT_L TILEPROP INT_L_X32Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y107 TILE_X 50152 TILEPROP INT_L_X32Y107 TILE_Y 105272 TILEPROP INT_L_X32Y107 TYPE INT_L TILEPROP INT_L_X32Y108 CLASS tile TILEPROP INT_L_X32Y108 COLUMN 82 TILEPROP INT_L_X32Y108 DEVICE_ID 0 TILEPROP INT_L_X32Y108 FIRST_SITE_ID 4311 TILEPROP INT_L_X32Y108 GRID_POINT_X 82 TILEPROP INT_L_X32Y108 GRID_POINT_Y 43 TILEPROP INT_L_X32Y108 INDEX 5027 TILEPROP INT_L_X32Y108 INT_TILE_X 32 TILEPROP INT_L_X32Y108 INT_TILE_Y 41 TILEPROP INT_L_X32Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y108 IS_DCM_TILE 0 TILEPROP INT_L_X32Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y108 NAME INT_L_X32Y108 TILEPROP INT_L_X32Y108 NUM_ARCS 3737 TILEPROP INT_L_X32Y108 NUM_SITES 1 TILEPROP INT_L_X32Y108 ROW 43 TILEPROP INT_L_X32Y108 SLR_REGION_ID 0 TILEPROP INT_L_X32Y108 TILE_PATTERN_IDX 5162 TILEPROP INT_L_X32Y108 TILE_TYPE INT_L TILEPROP INT_L_X32Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y108 TILE_X 50152 TILEPROP INT_L_X32Y108 TILE_Y 108472 TILEPROP INT_L_X32Y108 TYPE INT_L TILEPROP INT_L_X32Y109 CLASS tile TILEPROP INT_L_X32Y109 COLUMN 82 TILEPROP INT_L_X32Y109 DEVICE_ID 0 TILEPROP INT_L_X32Y109 FIRST_SITE_ID 4222 TILEPROP INT_L_X32Y109 GRID_POINT_X 82 TILEPROP INT_L_X32Y109 GRID_POINT_Y 42 TILEPROP INT_L_X32Y109 INDEX 4912 TILEPROP INT_L_X32Y109 INT_TILE_X 32 TILEPROP INT_L_X32Y109 INT_TILE_Y 40 TILEPROP INT_L_X32Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y109 IS_DCM_TILE 0 TILEPROP INT_L_X32Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y109 NAME INT_L_X32Y109 TILEPROP INT_L_X32Y109 NUM_ARCS 3737 TILEPROP INT_L_X32Y109 NUM_SITES 1 TILEPROP INT_L_X32Y109 ROW 42 TILEPROP INT_L_X32Y109 SLR_REGION_ID 0 TILEPROP INT_L_X32Y109 TILE_PATTERN_IDX 5126 TILEPROP INT_L_X32Y109 TILE_TYPE INT_L TILEPROP INT_L_X32Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y109 TILE_X 50152 TILEPROP INT_L_X32Y109 TILE_Y 111672 TILEPROP INT_L_X32Y109 TYPE INT_L TILEPROP INT_L_X32Y110 CLASS tile TILEPROP INT_L_X32Y110 COLUMN 82 TILEPROP INT_L_X32Y110 DEVICE_ID 0 TILEPROP INT_L_X32Y110 FIRST_SITE_ID 4123 TILEPROP INT_L_X32Y110 GRID_POINT_X 82 TILEPROP INT_L_X32Y110 GRID_POINT_Y 41 TILEPROP INT_L_X32Y110 INDEX 4797 TILEPROP INT_L_X32Y110 INT_TILE_X 32 TILEPROP INT_L_X32Y110 INT_TILE_Y 39 TILEPROP INT_L_X32Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y110 IS_DCM_TILE 0 TILEPROP INT_L_X32Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y110 NAME INT_L_X32Y110 TILEPROP INT_L_X32Y110 NUM_ARCS 3737 TILEPROP INT_L_X32Y110 NUM_SITES 1 TILEPROP INT_L_X32Y110 ROW 41 TILEPROP INT_L_X32Y110 SLR_REGION_ID 0 TILEPROP INT_L_X32Y110 TILE_PATTERN_IDX 5089 TILEPROP INT_L_X32Y110 TILE_TYPE INT_L TILEPROP INT_L_X32Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y110 TILE_X 50152 TILEPROP INT_L_X32Y110 TILE_Y 114872 TILEPROP INT_L_X32Y110 TYPE INT_L TILEPROP INT_L_X32Y111 CLASS tile TILEPROP INT_L_X32Y111 COLUMN 82 TILEPROP INT_L_X32Y111 DEVICE_ID 0 TILEPROP INT_L_X32Y111 FIRST_SITE_ID 4028 TILEPROP INT_L_X32Y111 GRID_POINT_X 82 TILEPROP INT_L_X32Y111 GRID_POINT_Y 40 TILEPROP INT_L_X32Y111 INDEX 4682 TILEPROP INT_L_X32Y111 INT_TILE_X 32 TILEPROP INT_L_X32Y111 INT_TILE_Y 38 TILEPROP INT_L_X32Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y111 IS_DCM_TILE 0 TILEPROP INT_L_X32Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y111 NAME INT_L_X32Y111 TILEPROP INT_L_X32Y111 NUM_ARCS 3737 TILEPROP INT_L_X32Y111 NUM_SITES 1 TILEPROP INT_L_X32Y111 ROW 40 TILEPROP INT_L_X32Y111 SLR_REGION_ID 0 TILEPROP INT_L_X32Y111 TILE_PATTERN_IDX 5053 TILEPROP INT_L_X32Y111 TILE_TYPE INT_L TILEPROP INT_L_X32Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y111 TILE_X 50152 TILEPROP INT_L_X32Y111 TILE_Y 118072 TILEPROP INT_L_X32Y111 TYPE INT_L TILEPROP INT_L_X32Y112 CLASS tile TILEPROP INT_L_X32Y112 COLUMN 82 TILEPROP INT_L_X32Y112 DEVICE_ID 0 TILEPROP INT_L_X32Y112 FIRST_SITE_ID 3932 TILEPROP INT_L_X32Y112 GRID_POINT_X 82 TILEPROP INT_L_X32Y112 GRID_POINT_Y 39 TILEPROP INT_L_X32Y112 INDEX 4567 TILEPROP INT_L_X32Y112 INT_TILE_X 32 TILEPROP INT_L_X32Y112 INT_TILE_Y 37 TILEPROP INT_L_X32Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y112 IS_DCM_TILE 0 TILEPROP INT_L_X32Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y112 NAME INT_L_X32Y112 TILEPROP INT_L_X32Y112 NUM_ARCS 3737 TILEPROP INT_L_X32Y112 NUM_SITES 1 TILEPROP INT_L_X32Y112 ROW 39 TILEPROP INT_L_X32Y112 SLR_REGION_ID 0 TILEPROP INT_L_X32Y112 TILE_PATTERN_IDX 5016 TILEPROP INT_L_X32Y112 TILE_TYPE INT_L TILEPROP INT_L_X32Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y112 TILE_X 50152 TILEPROP INT_L_X32Y112 TILE_Y 121272 TILEPROP INT_L_X32Y112 TYPE INT_L TILEPROP INT_L_X32Y113 CLASS tile TILEPROP INT_L_X32Y113 COLUMN 82 TILEPROP INT_L_X32Y113 DEVICE_ID 0 TILEPROP INT_L_X32Y113 FIRST_SITE_ID 3812 TILEPROP INT_L_X32Y113 GRID_POINT_X 82 TILEPROP INT_L_X32Y113 GRID_POINT_Y 38 TILEPROP INT_L_X32Y113 INDEX 4452 TILEPROP INT_L_X32Y113 INT_TILE_X 32 TILEPROP INT_L_X32Y113 INT_TILE_Y 36 TILEPROP INT_L_X32Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y113 IS_DCM_TILE 0 TILEPROP INT_L_X32Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y113 NAME INT_L_X32Y113 TILEPROP INT_L_X32Y113 NUM_ARCS 3737 TILEPROP INT_L_X32Y113 NUM_SITES 1 TILEPROP INT_L_X32Y113 ROW 38 TILEPROP INT_L_X32Y113 SLR_REGION_ID 0 TILEPROP INT_L_X32Y113 TILE_PATTERN_IDX 4979 TILEPROP INT_L_X32Y113 TILE_TYPE INT_L TILEPROP INT_L_X32Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y113 TILE_X 50152 TILEPROP INT_L_X32Y113 TILE_Y 124472 TILEPROP INT_L_X32Y113 TYPE INT_L TILEPROP INT_L_X32Y114 CLASS tile TILEPROP INT_L_X32Y114 COLUMN 82 TILEPROP INT_L_X32Y114 DEVICE_ID 0 TILEPROP INT_L_X32Y114 FIRST_SITE_ID 3716 TILEPROP INT_L_X32Y114 GRID_POINT_X 82 TILEPROP INT_L_X32Y114 GRID_POINT_Y 37 TILEPROP INT_L_X32Y114 INDEX 4337 TILEPROP INT_L_X32Y114 INT_TILE_X 32 TILEPROP INT_L_X32Y114 INT_TILE_Y 35 TILEPROP INT_L_X32Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y114 IS_DCM_TILE 0 TILEPROP INT_L_X32Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y114 NAME INT_L_X32Y114 TILEPROP INT_L_X32Y114 NUM_ARCS 3737 TILEPROP INT_L_X32Y114 NUM_SITES 1 TILEPROP INT_L_X32Y114 ROW 37 TILEPROP INT_L_X32Y114 SLR_REGION_ID 0 TILEPROP INT_L_X32Y114 TILE_PATTERN_IDX 4942 TILEPROP INT_L_X32Y114 TILE_TYPE INT_L TILEPROP INT_L_X32Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y114 TILE_X 50152 TILEPROP INT_L_X32Y114 TILE_Y 127672 TILEPROP INT_L_X32Y114 TYPE INT_L TILEPROP INT_L_X32Y115 CLASS tile TILEPROP INT_L_X32Y115 COLUMN 82 TILEPROP INT_L_X32Y115 DEVICE_ID 0 TILEPROP INT_L_X32Y115 FIRST_SITE_ID 3625 TILEPROP INT_L_X32Y115 GRID_POINT_X 82 TILEPROP INT_L_X32Y115 GRID_POINT_Y 36 TILEPROP INT_L_X32Y115 INDEX 4222 TILEPROP INT_L_X32Y115 INT_TILE_X 32 TILEPROP INT_L_X32Y115 INT_TILE_Y 34 TILEPROP INT_L_X32Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y115 IS_DCM_TILE 0 TILEPROP INT_L_X32Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y115 NAME INT_L_X32Y115 TILEPROP INT_L_X32Y115 NUM_ARCS 3737 TILEPROP INT_L_X32Y115 NUM_SITES 1 TILEPROP INT_L_X32Y115 ROW 36 TILEPROP INT_L_X32Y115 SLR_REGION_ID 0 TILEPROP INT_L_X32Y115 TILE_PATTERN_IDX 4906 TILEPROP INT_L_X32Y115 TILE_TYPE INT_L TILEPROP INT_L_X32Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y115 TILE_X 50152 TILEPROP INT_L_X32Y115 TILE_Y 130872 TILEPROP INT_L_X32Y115 TYPE INT_L TILEPROP INT_L_X32Y116 CLASS tile TILEPROP INT_L_X32Y116 COLUMN 82 TILEPROP INT_L_X32Y116 DEVICE_ID 0 TILEPROP INT_L_X32Y116 FIRST_SITE_ID 3518 TILEPROP INT_L_X32Y116 GRID_POINT_X 82 TILEPROP INT_L_X32Y116 GRID_POINT_Y 35 TILEPROP INT_L_X32Y116 INDEX 4107 TILEPROP INT_L_X32Y116 INT_TILE_X 32 TILEPROP INT_L_X32Y116 INT_TILE_Y 33 TILEPROP INT_L_X32Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y116 IS_DCM_TILE 0 TILEPROP INT_L_X32Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y116 NAME INT_L_X32Y116 TILEPROP INT_L_X32Y116 NUM_ARCS 3737 TILEPROP INT_L_X32Y116 NUM_SITES 1 TILEPROP INT_L_X32Y116 ROW 35 TILEPROP INT_L_X32Y116 SLR_REGION_ID 0 TILEPROP INT_L_X32Y116 TILE_PATTERN_IDX 4869 TILEPROP INT_L_X32Y116 TILE_TYPE INT_L TILEPROP INT_L_X32Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y116 TILE_X 50152 TILEPROP INT_L_X32Y116 TILE_Y 134072 TILEPROP INT_L_X32Y116 TYPE INT_L TILEPROP INT_L_X32Y117 CLASS tile TILEPROP INT_L_X32Y117 COLUMN 82 TILEPROP INT_L_X32Y117 DEVICE_ID 0 TILEPROP INT_L_X32Y117 FIRST_SITE_ID 3430 TILEPROP INT_L_X32Y117 GRID_POINT_X 82 TILEPROP INT_L_X32Y117 GRID_POINT_Y 34 TILEPROP INT_L_X32Y117 INDEX 3992 TILEPROP INT_L_X32Y117 INT_TILE_X 32 TILEPROP INT_L_X32Y117 INT_TILE_Y 32 TILEPROP INT_L_X32Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y117 IS_DCM_TILE 0 TILEPROP INT_L_X32Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y117 NAME INT_L_X32Y117 TILEPROP INT_L_X32Y117 NUM_ARCS 3737 TILEPROP INT_L_X32Y117 NUM_SITES 1 TILEPROP INT_L_X32Y117 ROW 34 TILEPROP INT_L_X32Y117 SLR_REGION_ID 0 TILEPROP INT_L_X32Y117 TILE_PATTERN_IDX 4833 TILEPROP INT_L_X32Y117 TILE_TYPE INT_L TILEPROP INT_L_X32Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y117 TILE_X 50152 TILEPROP INT_L_X32Y117 TILE_Y 137272 TILEPROP INT_L_X32Y117 TYPE INT_L TILEPROP INT_L_X32Y118 CLASS tile TILEPROP INT_L_X32Y118 COLUMN 82 TILEPROP INT_L_X32Y118 DEVICE_ID 0 TILEPROP INT_L_X32Y118 FIRST_SITE_ID 3330 TILEPROP INT_L_X32Y118 GRID_POINT_X 82 TILEPROP INT_L_X32Y118 GRID_POINT_Y 33 TILEPROP INT_L_X32Y118 INDEX 3877 TILEPROP INT_L_X32Y118 INT_TILE_X 32 TILEPROP INT_L_X32Y118 INT_TILE_Y 31 TILEPROP INT_L_X32Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y118 IS_DCM_TILE 0 TILEPROP INT_L_X32Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y118 NAME INT_L_X32Y118 TILEPROP INT_L_X32Y118 NUM_ARCS 3737 TILEPROP INT_L_X32Y118 NUM_SITES 1 TILEPROP INT_L_X32Y118 ROW 33 TILEPROP INT_L_X32Y118 SLR_REGION_ID 0 TILEPROP INT_L_X32Y118 TILE_PATTERN_IDX 4795 TILEPROP INT_L_X32Y118 TILE_TYPE INT_L TILEPROP INT_L_X32Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y118 TILE_X 50152 TILEPROP INT_L_X32Y118 TILE_Y 140472 TILEPROP INT_L_X32Y118 TYPE INT_L TILEPROP INT_L_X32Y119 CLASS tile TILEPROP INT_L_X32Y119 COLUMN 82 TILEPROP INT_L_X32Y119 DEVICE_ID 0 TILEPROP INT_L_X32Y119 FIRST_SITE_ID 3242 TILEPROP INT_L_X32Y119 GRID_POINT_X 82 TILEPROP INT_L_X32Y119 GRID_POINT_Y 32 TILEPROP INT_L_X32Y119 INDEX 3762 TILEPROP INT_L_X32Y119 INT_TILE_X 32 TILEPROP INT_L_X32Y119 INT_TILE_Y 30 TILEPROP INT_L_X32Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y119 IS_DCM_TILE 0 TILEPROP INT_L_X32Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y119 NAME INT_L_X32Y119 TILEPROP INT_L_X32Y119 NUM_ARCS 3737 TILEPROP INT_L_X32Y119 NUM_SITES 1 TILEPROP INT_L_X32Y119 ROW 32 TILEPROP INT_L_X32Y119 SLR_REGION_ID 0 TILEPROP INT_L_X32Y119 TILE_PATTERN_IDX 4759 TILEPROP INT_L_X32Y119 TILE_TYPE INT_L TILEPROP INT_L_X32Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y119 TILE_X 50152 TILEPROP INT_L_X32Y119 TILE_Y 143672 TILEPROP INT_L_X32Y119 TYPE INT_L TILEPROP INT_L_X32Y120 CLASS tile TILEPROP INT_L_X32Y120 COLUMN 82 TILEPROP INT_L_X32Y120 DEVICE_ID 0 TILEPROP INT_L_X32Y120 FIRST_SITE_ID 3141 TILEPROP INT_L_X32Y120 GRID_POINT_X 82 TILEPROP INT_L_X32Y120 GRID_POINT_Y 31 TILEPROP INT_L_X32Y120 INDEX 3647 TILEPROP INT_L_X32Y120 INT_TILE_X 32 TILEPROP INT_L_X32Y120 INT_TILE_Y 29 TILEPROP INT_L_X32Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y120 IS_DCM_TILE 0 TILEPROP INT_L_X32Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y120 NAME INT_L_X32Y120 TILEPROP INT_L_X32Y120 NUM_ARCS 3737 TILEPROP INT_L_X32Y120 NUM_SITES 1 TILEPROP INT_L_X32Y120 ROW 31 TILEPROP INT_L_X32Y120 SLR_REGION_ID 0 TILEPROP INT_L_X32Y120 TILE_PATTERN_IDX 4722 TILEPROP INT_L_X32Y120 TILE_TYPE INT_L TILEPROP INT_L_X32Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y120 TILE_X 50152 TILEPROP INT_L_X32Y120 TILE_Y 146872 TILEPROP INT_L_X32Y120 TYPE INT_L TILEPROP INT_L_X32Y121 CLASS tile TILEPROP INT_L_X32Y121 COLUMN 82 TILEPROP INT_L_X32Y121 DEVICE_ID 0 TILEPROP INT_L_X32Y121 FIRST_SITE_ID 3047 TILEPROP INT_L_X32Y121 GRID_POINT_X 82 TILEPROP INT_L_X32Y121 GRID_POINT_Y 30 TILEPROP INT_L_X32Y121 INDEX 3532 TILEPROP INT_L_X32Y121 INT_TILE_X 32 TILEPROP INT_L_X32Y121 INT_TILE_Y 28 TILEPROP INT_L_X32Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y121 IS_DCM_TILE 0 TILEPROP INT_L_X32Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y121 NAME INT_L_X32Y121 TILEPROP INT_L_X32Y121 NUM_ARCS 3737 TILEPROP INT_L_X32Y121 NUM_SITES 1 TILEPROP INT_L_X32Y121 ROW 30 TILEPROP INT_L_X32Y121 SLR_REGION_ID 0 TILEPROP INT_L_X32Y121 TILE_PATTERN_IDX 4686 TILEPROP INT_L_X32Y121 TILE_TYPE INT_L TILEPROP INT_L_X32Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y121 TILE_X 50152 TILEPROP INT_L_X32Y121 TILE_Y 150072 TILEPROP INT_L_X32Y121 TYPE INT_L TILEPROP INT_L_X32Y122 CLASS tile TILEPROP INT_L_X32Y122 COLUMN 82 TILEPROP INT_L_X32Y122 DEVICE_ID 0 TILEPROP INT_L_X32Y122 FIRST_SITE_ID 2944 TILEPROP INT_L_X32Y122 GRID_POINT_X 82 TILEPROP INT_L_X32Y122 GRID_POINT_Y 29 TILEPROP INT_L_X32Y122 INDEX 3417 TILEPROP INT_L_X32Y122 INT_TILE_X 32 TILEPROP INT_L_X32Y122 INT_TILE_Y 27 TILEPROP INT_L_X32Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y122 IS_DCM_TILE 0 TILEPROP INT_L_X32Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y122 NAME INT_L_X32Y122 TILEPROP INT_L_X32Y122 NUM_ARCS 3737 TILEPROP INT_L_X32Y122 NUM_SITES 1 TILEPROP INT_L_X32Y122 ROW 29 TILEPROP INT_L_X32Y122 SLR_REGION_ID 0 TILEPROP INT_L_X32Y122 TILE_PATTERN_IDX 4648 TILEPROP INT_L_X32Y122 TILE_TYPE INT_L TILEPROP INT_L_X32Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y122 TILE_X 50152 TILEPROP INT_L_X32Y122 TILE_Y 153272 TILEPROP INT_L_X32Y122 TYPE INT_L TILEPROP INT_L_X32Y123 CLASS tile TILEPROP INT_L_X32Y123 COLUMN 82 TILEPROP INT_L_X32Y123 DEVICE_ID 0 TILEPROP INT_L_X32Y123 FIRST_SITE_ID 2856 TILEPROP INT_L_X32Y123 GRID_POINT_X 82 TILEPROP INT_L_X32Y123 GRID_POINT_Y 28 TILEPROP INT_L_X32Y123 INDEX 3302 TILEPROP INT_L_X32Y123 INT_TILE_X 32 TILEPROP INT_L_X32Y123 INT_TILE_Y 26 TILEPROP INT_L_X32Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y123 IS_DCM_TILE 0 TILEPROP INT_L_X32Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y123 NAME INT_L_X32Y123 TILEPROP INT_L_X32Y123 NUM_ARCS 3737 TILEPROP INT_L_X32Y123 NUM_SITES 1 TILEPROP INT_L_X32Y123 ROW 28 TILEPROP INT_L_X32Y123 SLR_REGION_ID 0 TILEPROP INT_L_X32Y123 TILE_PATTERN_IDX 4612 TILEPROP INT_L_X32Y123 TILE_TYPE INT_L TILEPROP INT_L_X32Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y123 TILE_X 50152 TILEPROP INT_L_X32Y123 TILE_Y 156472 TILEPROP INT_L_X32Y123 TYPE INT_L TILEPROP INT_L_X32Y124 CLASS tile TILEPROP INT_L_X32Y124 COLUMN 82 TILEPROP INT_L_X32Y124 DEVICE_ID 0 TILEPROP INT_L_X32Y124 FIRST_SITE_ID 2760 TILEPROP INT_L_X32Y124 GRID_POINT_X 82 TILEPROP INT_L_X32Y124 GRID_POINT_Y 27 TILEPROP INT_L_X32Y124 INDEX 3187 TILEPROP INT_L_X32Y124 INT_TILE_X 32 TILEPROP INT_L_X32Y124 INT_TILE_Y 25 TILEPROP INT_L_X32Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y124 IS_DCM_TILE 0 TILEPROP INT_L_X32Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y124 NAME INT_L_X32Y124 TILEPROP INT_L_X32Y124 NUM_ARCS 3737 TILEPROP INT_L_X32Y124 NUM_SITES 1 TILEPROP INT_L_X32Y124 ROW 27 TILEPROP INT_L_X32Y124 SLR_REGION_ID 0 TILEPROP INT_L_X32Y124 TILE_PATTERN_IDX 4575 TILEPROP INT_L_X32Y124 TILE_TYPE INT_L TILEPROP INT_L_X32Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y124 TILE_X 50152 TILEPROP INT_L_X32Y124 TILE_Y 159672 TILEPROP INT_L_X32Y124 TYPE INT_L TILEPROP INT_L_X32Y125 CLASS tile TILEPROP INT_L_X32Y125 COLUMN 82 TILEPROP INT_L_X32Y125 DEVICE_ID 0 TILEPROP INT_L_X32Y125 FIRST_SITE_ID 2598 TILEPROP INT_L_X32Y125 GRID_POINT_X 82 TILEPROP INT_L_X32Y125 GRID_POINT_Y 25 TILEPROP INT_L_X32Y125 INDEX 2957 TILEPROP INT_L_X32Y125 INT_TILE_X 32 TILEPROP INT_L_X32Y125 INT_TILE_Y 24 TILEPROP INT_L_X32Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y125 IS_DCM_TILE 0 TILEPROP INT_L_X32Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y125 NAME INT_L_X32Y125 TILEPROP INT_L_X32Y125 NUM_ARCS 3737 TILEPROP INT_L_X32Y125 NUM_SITES 1 TILEPROP INT_L_X32Y125 ROW 25 TILEPROP INT_L_X32Y125 SLR_REGION_ID 0 TILEPROP INT_L_X32Y125 TILE_PATTERN_IDX 4498 TILEPROP INT_L_X32Y125 TILE_TYPE INT_L TILEPROP INT_L_X32Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y125 TILE_X 50152 TILEPROP INT_L_X32Y125 TILE_Y 163896 TILEPROP INT_L_X32Y125 TYPE INT_L TILEPROP INT_L_X32Y126 CLASS tile TILEPROP INT_L_X32Y126 COLUMN 82 TILEPROP INT_L_X32Y126 DEVICE_ID 0 TILEPROP INT_L_X32Y126 FIRST_SITE_ID 2485 TILEPROP INT_L_X32Y126 GRID_POINT_X 82 TILEPROP INT_L_X32Y126 GRID_POINT_Y 24 TILEPROP INT_L_X32Y126 INDEX 2842 TILEPROP INT_L_X32Y126 INT_TILE_X 32 TILEPROP INT_L_X32Y126 INT_TILE_Y 23 TILEPROP INT_L_X32Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y126 IS_DCM_TILE 0 TILEPROP INT_L_X32Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y126 NAME INT_L_X32Y126 TILEPROP INT_L_X32Y126 NUM_ARCS 3737 TILEPROP INT_L_X32Y126 NUM_SITES 1 TILEPROP INT_L_X32Y126 ROW 24 TILEPROP INT_L_X32Y126 SLR_REGION_ID 0 TILEPROP INT_L_X32Y126 TILE_PATTERN_IDX 4459 TILEPROP INT_L_X32Y126 TILE_TYPE INT_L TILEPROP INT_L_X32Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y126 TILE_X 50152 TILEPROP INT_L_X32Y126 TILE_Y 167096 TILEPROP INT_L_X32Y126 TYPE INT_L TILEPROP INT_L_X32Y127 CLASS tile TILEPROP INT_L_X32Y127 COLUMN 82 TILEPROP INT_L_X32Y127 DEVICE_ID 0 TILEPROP INT_L_X32Y127 FIRST_SITE_ID 2389 TILEPROP INT_L_X32Y127 GRID_POINT_X 82 TILEPROP INT_L_X32Y127 GRID_POINT_Y 23 TILEPROP INT_L_X32Y127 INDEX 2727 TILEPROP INT_L_X32Y127 INT_TILE_X 32 TILEPROP INT_L_X32Y127 INT_TILE_Y 22 TILEPROP INT_L_X32Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y127 IS_DCM_TILE 0 TILEPROP INT_L_X32Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y127 NAME INT_L_X32Y127 TILEPROP INT_L_X32Y127 NUM_ARCS 3737 TILEPROP INT_L_X32Y127 NUM_SITES 1 TILEPROP INT_L_X32Y127 ROW 23 TILEPROP INT_L_X32Y127 SLR_REGION_ID 0 TILEPROP INT_L_X32Y127 TILE_PATTERN_IDX 4421 TILEPROP INT_L_X32Y127 TILE_TYPE INT_L TILEPROP INT_L_X32Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y127 TILE_X 50152 TILEPROP INT_L_X32Y127 TILE_Y 170296 TILEPROP INT_L_X32Y127 TYPE INT_L TILEPROP INT_L_X32Y128 CLASS tile TILEPROP INT_L_X32Y128 COLUMN 82 TILEPROP INT_L_X32Y128 DEVICE_ID 0 TILEPROP INT_L_X32Y128 FIRST_SITE_ID 2285 TILEPROP INT_L_X32Y128 GRID_POINT_X 82 TILEPROP INT_L_X32Y128 GRID_POINT_Y 22 TILEPROP INT_L_X32Y128 INDEX 2612 TILEPROP INT_L_X32Y128 INT_TILE_X 32 TILEPROP INT_L_X32Y128 INT_TILE_Y 21 TILEPROP INT_L_X32Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y128 IS_DCM_TILE 0 TILEPROP INT_L_X32Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y128 NAME INT_L_X32Y128 TILEPROP INT_L_X32Y128 NUM_ARCS 3737 TILEPROP INT_L_X32Y128 NUM_SITES 1 TILEPROP INT_L_X32Y128 ROW 22 TILEPROP INT_L_X32Y128 SLR_REGION_ID 0 TILEPROP INT_L_X32Y128 TILE_PATTERN_IDX 4382 TILEPROP INT_L_X32Y128 TILE_TYPE INT_L TILEPROP INT_L_X32Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y128 TILE_X 50152 TILEPROP INT_L_X32Y128 TILE_Y 173496 TILEPROP INT_L_X32Y128 TYPE INT_L TILEPROP INT_L_X32Y129 CLASS tile TILEPROP INT_L_X32Y129 COLUMN 82 TILEPROP INT_L_X32Y129 DEVICE_ID 0 TILEPROP INT_L_X32Y129 FIRST_SITE_ID 2189 TILEPROP INT_L_X32Y129 GRID_POINT_X 82 TILEPROP INT_L_X32Y129 GRID_POINT_Y 21 TILEPROP INT_L_X32Y129 INDEX 2497 TILEPROP INT_L_X32Y129 INT_TILE_X 32 TILEPROP INT_L_X32Y129 INT_TILE_Y 20 TILEPROP INT_L_X32Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y129 IS_DCM_TILE 0 TILEPROP INT_L_X32Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y129 NAME INT_L_X32Y129 TILEPROP INT_L_X32Y129 NUM_ARCS 3737 TILEPROP INT_L_X32Y129 NUM_SITES 1 TILEPROP INT_L_X32Y129 ROW 21 TILEPROP INT_L_X32Y129 SLR_REGION_ID 0 TILEPROP INT_L_X32Y129 TILE_PATTERN_IDX 4344 TILEPROP INT_L_X32Y129 TILE_TYPE INT_L TILEPROP INT_L_X32Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y129 TILE_X 50152 TILEPROP INT_L_X32Y129 TILE_Y 176696 TILEPROP INT_L_X32Y129 TYPE INT_L TILEPROP INT_L_X32Y130 CLASS tile TILEPROP INT_L_X32Y130 COLUMN 82 TILEPROP INT_L_X32Y130 DEVICE_ID 0 TILEPROP INT_L_X32Y130 FIRST_SITE_ID 2076 TILEPROP INT_L_X32Y130 GRID_POINT_X 82 TILEPROP INT_L_X32Y130 GRID_POINT_Y 20 TILEPROP INT_L_X32Y130 INDEX 2382 TILEPROP INT_L_X32Y130 INT_TILE_X 32 TILEPROP INT_L_X32Y130 INT_TILE_Y 19 TILEPROP INT_L_X32Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y130 IS_DCM_TILE 0 TILEPROP INT_L_X32Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y130 NAME INT_L_X32Y130 TILEPROP INT_L_X32Y130 NUM_ARCS 3737 TILEPROP INT_L_X32Y130 NUM_SITES 1 TILEPROP INT_L_X32Y130 ROW 20 TILEPROP INT_L_X32Y130 SLR_REGION_ID 0 TILEPROP INT_L_X32Y130 TILE_PATTERN_IDX 4304 TILEPROP INT_L_X32Y130 TILE_TYPE INT_L TILEPROP INT_L_X32Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y130 TILE_X 50152 TILEPROP INT_L_X32Y130 TILE_Y 179896 TILEPROP INT_L_X32Y130 TYPE INT_L TILEPROP INT_L_X32Y131 CLASS tile TILEPROP INT_L_X32Y131 COLUMN 82 TILEPROP INT_L_X32Y131 DEVICE_ID 0 TILEPROP INT_L_X32Y131 FIRST_SITE_ID 1971 TILEPROP INT_L_X32Y131 GRID_POINT_X 82 TILEPROP INT_L_X32Y131 GRID_POINT_Y 19 TILEPROP INT_L_X32Y131 INDEX 2267 TILEPROP INT_L_X32Y131 INT_TILE_X 32 TILEPROP INT_L_X32Y131 INT_TILE_Y 18 TILEPROP INT_L_X32Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y131 IS_DCM_TILE 0 TILEPROP INT_L_X32Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y131 NAME INT_L_X32Y131 TILEPROP INT_L_X32Y131 NUM_ARCS 3737 TILEPROP INT_L_X32Y131 NUM_SITES 1 TILEPROP INT_L_X32Y131 ROW 19 TILEPROP INT_L_X32Y131 SLR_REGION_ID 0 TILEPROP INT_L_X32Y131 TILE_PATTERN_IDX 4266 TILEPROP INT_L_X32Y131 TILE_TYPE INT_L TILEPROP INT_L_X32Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y131 TILE_X 50152 TILEPROP INT_L_X32Y131 TILE_Y 183096 TILEPROP INT_L_X32Y131 TYPE INT_L TILEPROP INT_L_X32Y132 CLASS tile TILEPROP INT_L_X32Y132 COLUMN 82 TILEPROP INT_L_X32Y132 DEVICE_ID 0 TILEPROP INT_L_X32Y132 FIRST_SITE_ID 1865 TILEPROP INT_L_X32Y132 GRID_POINT_X 82 TILEPROP INT_L_X32Y132 GRID_POINT_Y 18 TILEPROP INT_L_X32Y132 INDEX 2152 TILEPROP INT_L_X32Y132 INT_TILE_X 32 TILEPROP INT_L_X32Y132 INT_TILE_Y 17 TILEPROP INT_L_X32Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y132 IS_DCM_TILE 0 TILEPROP INT_L_X32Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y132 NAME INT_L_X32Y132 TILEPROP INT_L_X32Y132 NUM_ARCS 3737 TILEPROP INT_L_X32Y132 NUM_SITES 1 TILEPROP INT_L_X32Y132 ROW 18 TILEPROP INT_L_X32Y132 SLR_REGION_ID 0 TILEPROP INT_L_X32Y132 TILE_PATTERN_IDX 4227 TILEPROP INT_L_X32Y132 TILE_TYPE INT_L TILEPROP INT_L_X32Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y132 TILE_X 50152 TILEPROP INT_L_X32Y132 TILE_Y 186296 TILEPROP INT_L_X32Y132 TYPE INT_L TILEPROP INT_L_X32Y133 CLASS tile TILEPROP INT_L_X32Y133 COLUMN 82 TILEPROP INT_L_X32Y133 DEVICE_ID 0 TILEPROP INT_L_X32Y133 FIRST_SITE_ID 1764 TILEPROP INT_L_X32Y133 GRID_POINT_X 82 TILEPROP INT_L_X32Y133 GRID_POINT_Y 17 TILEPROP INT_L_X32Y133 INDEX 2037 TILEPROP INT_L_X32Y133 INT_TILE_X 32 TILEPROP INT_L_X32Y133 INT_TILE_Y 16 TILEPROP INT_L_X32Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y133 IS_DCM_TILE 0 TILEPROP INT_L_X32Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y133 NAME INT_L_X32Y133 TILEPROP INT_L_X32Y133 NUM_ARCS 3737 TILEPROP INT_L_X32Y133 NUM_SITES 1 TILEPROP INT_L_X32Y133 ROW 17 TILEPROP INT_L_X32Y133 SLR_REGION_ID 0 TILEPROP INT_L_X32Y133 TILE_PATTERN_IDX 4189 TILEPROP INT_L_X32Y133 TILE_TYPE INT_L TILEPROP INT_L_X32Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y133 TILE_X 50152 TILEPROP INT_L_X32Y133 TILE_Y 189496 TILEPROP INT_L_X32Y133 TYPE INT_L TILEPROP INT_L_X32Y134 CLASS tile TILEPROP INT_L_X32Y134 COLUMN 82 TILEPROP INT_L_X32Y134 DEVICE_ID 0 TILEPROP INT_L_X32Y134 FIRST_SITE_ID 1660 TILEPROP INT_L_X32Y134 GRID_POINT_X 82 TILEPROP INT_L_X32Y134 GRID_POINT_Y 16 TILEPROP INT_L_X32Y134 INDEX 1922 TILEPROP INT_L_X32Y134 INT_TILE_X 32 TILEPROP INT_L_X32Y134 INT_TILE_Y 15 TILEPROP INT_L_X32Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y134 IS_DCM_TILE 0 TILEPROP INT_L_X32Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y134 NAME INT_L_X32Y134 TILEPROP INT_L_X32Y134 NUM_ARCS 3737 TILEPROP INT_L_X32Y134 NUM_SITES 1 TILEPROP INT_L_X32Y134 ROW 16 TILEPROP INT_L_X32Y134 SLR_REGION_ID 0 TILEPROP INT_L_X32Y134 TILE_PATTERN_IDX 4150 TILEPROP INT_L_X32Y134 TILE_TYPE INT_L TILEPROP INT_L_X32Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y134 TILE_X 50152 TILEPROP INT_L_X32Y134 TILE_Y 192696 TILEPROP INT_L_X32Y134 TYPE INT_L TILEPROP INT_L_X32Y135 CLASS tile TILEPROP INT_L_X32Y135 COLUMN 82 TILEPROP INT_L_X32Y135 DEVICE_ID 0 TILEPROP INT_L_X32Y135 FIRST_SITE_ID 1561 TILEPROP INT_L_X32Y135 GRID_POINT_X 82 TILEPROP INT_L_X32Y135 GRID_POINT_Y 15 TILEPROP INT_L_X32Y135 INDEX 1807 TILEPROP INT_L_X32Y135 INT_TILE_X 32 TILEPROP INT_L_X32Y135 INT_TILE_Y 14 TILEPROP INT_L_X32Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y135 IS_DCM_TILE 0 TILEPROP INT_L_X32Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y135 NAME INT_L_X32Y135 TILEPROP INT_L_X32Y135 NUM_ARCS 3737 TILEPROP INT_L_X32Y135 NUM_SITES 1 TILEPROP INT_L_X32Y135 ROW 15 TILEPROP INT_L_X32Y135 SLR_REGION_ID 0 TILEPROP INT_L_X32Y135 TILE_PATTERN_IDX 4112 TILEPROP INT_L_X32Y135 TILE_TYPE INT_L TILEPROP INT_L_X32Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y135 TILE_X 50152 TILEPROP INT_L_X32Y135 TILE_Y 195896 TILEPROP INT_L_X32Y135 TYPE INT_L TILEPROP INT_L_X32Y136 CLASS tile TILEPROP INT_L_X32Y136 COLUMN 82 TILEPROP INT_L_X32Y136 DEVICE_ID 0 TILEPROP INT_L_X32Y136 FIRST_SITE_ID 1448 TILEPROP INT_L_X32Y136 GRID_POINT_X 82 TILEPROP INT_L_X32Y136 GRID_POINT_Y 14 TILEPROP INT_L_X32Y136 INDEX 1692 TILEPROP INT_L_X32Y136 INT_TILE_X 32 TILEPROP INT_L_X32Y136 INT_TILE_Y 13 TILEPROP INT_L_X32Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y136 IS_DCM_TILE 0 TILEPROP INT_L_X32Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y136 NAME INT_L_X32Y136 TILEPROP INT_L_X32Y136 NUM_ARCS 3737 TILEPROP INT_L_X32Y136 NUM_SITES 1 TILEPROP INT_L_X32Y136 ROW 14 TILEPROP INT_L_X32Y136 SLR_REGION_ID 0 TILEPROP INT_L_X32Y136 TILE_PATTERN_IDX 4073 TILEPROP INT_L_X32Y136 TILE_TYPE INT_L TILEPROP INT_L_X32Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y136 TILE_X 50152 TILEPROP INT_L_X32Y136 TILE_Y 199096 TILEPROP INT_L_X32Y136 TYPE INT_L TILEPROP INT_L_X32Y137 CLASS tile TILEPROP INT_L_X32Y137 COLUMN 82 TILEPROP INT_L_X32Y137 DEVICE_ID 0 TILEPROP INT_L_X32Y137 FIRST_SITE_ID 1320 TILEPROP INT_L_X32Y137 GRID_POINT_X 82 TILEPROP INT_L_X32Y137 GRID_POINT_Y 13 TILEPROP INT_L_X32Y137 INDEX 1577 TILEPROP INT_L_X32Y137 INT_TILE_X 32 TILEPROP INT_L_X32Y137 INT_TILE_Y 12 TILEPROP INT_L_X32Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y137 IS_DCM_TILE 0 TILEPROP INT_L_X32Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y137 NAME INT_L_X32Y137 TILEPROP INT_L_X32Y137 NUM_ARCS 3737 TILEPROP INT_L_X32Y137 NUM_SITES 1 TILEPROP INT_L_X32Y137 ROW 13 TILEPROP INT_L_X32Y137 SLR_REGION_ID 0 TILEPROP INT_L_X32Y137 TILE_PATTERN_IDX 4035 TILEPROP INT_L_X32Y137 TILE_TYPE INT_L TILEPROP INT_L_X32Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y137 TILE_X 50152 TILEPROP INT_L_X32Y137 TILE_Y 202296 TILEPROP INT_L_X32Y137 TYPE INT_L TILEPROP INT_L_X32Y138 CLASS tile TILEPROP INT_L_X32Y138 COLUMN 82 TILEPROP INT_L_X32Y138 DEVICE_ID 0 TILEPROP INT_L_X32Y138 FIRST_SITE_ID 1216 TILEPROP INT_L_X32Y138 GRID_POINT_X 82 TILEPROP INT_L_X32Y138 GRID_POINT_Y 12 TILEPROP INT_L_X32Y138 INDEX 1462 TILEPROP INT_L_X32Y138 INT_TILE_X 32 TILEPROP INT_L_X32Y138 INT_TILE_Y 11 TILEPROP INT_L_X32Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y138 IS_DCM_TILE 0 TILEPROP INT_L_X32Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y138 NAME INT_L_X32Y138 TILEPROP INT_L_X32Y138 NUM_ARCS 3737 TILEPROP INT_L_X32Y138 NUM_SITES 1 TILEPROP INT_L_X32Y138 ROW 12 TILEPROP INT_L_X32Y138 SLR_REGION_ID 0 TILEPROP INT_L_X32Y138 TILE_PATTERN_IDX 3996 TILEPROP INT_L_X32Y138 TILE_TYPE INT_L TILEPROP INT_L_X32Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y138 TILE_X 50152 TILEPROP INT_L_X32Y138 TILE_Y 205496 TILEPROP INT_L_X32Y138 TYPE INT_L TILEPROP INT_L_X32Y139 CLASS tile TILEPROP INT_L_X32Y139 COLUMN 82 TILEPROP INT_L_X32Y139 DEVICE_ID 0 TILEPROP INT_L_X32Y139 FIRST_SITE_ID 1120 TILEPROP INT_L_X32Y139 GRID_POINT_X 82 TILEPROP INT_L_X32Y139 GRID_POINT_Y 11 TILEPROP INT_L_X32Y139 INDEX 1347 TILEPROP INT_L_X32Y139 INT_TILE_X 32 TILEPROP INT_L_X32Y139 INT_TILE_Y 10 TILEPROP INT_L_X32Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y139 IS_DCM_TILE 0 TILEPROP INT_L_X32Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y139 NAME INT_L_X32Y139 TILEPROP INT_L_X32Y139 NUM_ARCS 3737 TILEPROP INT_L_X32Y139 NUM_SITES 1 TILEPROP INT_L_X32Y139 ROW 11 TILEPROP INT_L_X32Y139 SLR_REGION_ID 0 TILEPROP INT_L_X32Y139 TILE_PATTERN_IDX 3958 TILEPROP INT_L_X32Y139 TILE_TYPE INT_L TILEPROP INT_L_X32Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y139 TILE_X 50152 TILEPROP INT_L_X32Y139 TILE_Y 208696 TILEPROP INT_L_X32Y139 TYPE INT_L TILEPROP INT_L_X32Y140 CLASS tile TILEPROP INT_L_X32Y140 COLUMN 82 TILEPROP INT_L_X32Y140 DEVICE_ID 0 TILEPROP INT_L_X32Y140 FIRST_SITE_ID 1013 TILEPROP INT_L_X32Y140 GRID_POINT_X 82 TILEPROP INT_L_X32Y140 GRID_POINT_Y 10 TILEPROP INT_L_X32Y140 INDEX 1232 TILEPROP INT_L_X32Y140 INT_TILE_X 32 TILEPROP INT_L_X32Y140 INT_TILE_Y 9 TILEPROP INT_L_X32Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y140 IS_DCM_TILE 0 TILEPROP INT_L_X32Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y140 NAME INT_L_X32Y140 TILEPROP INT_L_X32Y140 NUM_ARCS 3737 TILEPROP INT_L_X32Y140 NUM_SITES 1 TILEPROP INT_L_X32Y140 ROW 10 TILEPROP INT_L_X32Y140 SLR_REGION_ID 0 TILEPROP INT_L_X32Y140 TILE_PATTERN_IDX 3919 TILEPROP INT_L_X32Y140 TILE_TYPE INT_L TILEPROP INT_L_X32Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y140 TILE_X 50152 TILEPROP INT_L_X32Y140 TILE_Y 211896 TILEPROP INT_L_X32Y140 TYPE INT_L TILEPROP INT_L_X32Y141 CLASS tile TILEPROP INT_L_X32Y141 COLUMN 82 TILEPROP INT_L_X32Y141 DEVICE_ID 0 TILEPROP INT_L_X32Y141 FIRST_SITE_ID 908 TILEPROP INT_L_X32Y141 GRID_POINT_X 82 TILEPROP INT_L_X32Y141 GRID_POINT_Y 9 TILEPROP INT_L_X32Y141 INDEX 1117 TILEPROP INT_L_X32Y141 INT_TILE_X 32 TILEPROP INT_L_X32Y141 INT_TILE_Y 8 TILEPROP INT_L_X32Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y141 IS_DCM_TILE 0 TILEPROP INT_L_X32Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y141 NAME INT_L_X32Y141 TILEPROP INT_L_X32Y141 NUM_ARCS 3737 TILEPROP INT_L_X32Y141 NUM_SITES 1 TILEPROP INT_L_X32Y141 ROW 9 TILEPROP INT_L_X32Y141 SLR_REGION_ID 0 TILEPROP INT_L_X32Y141 TILE_PATTERN_IDX 3881 TILEPROP INT_L_X32Y141 TILE_TYPE INT_L TILEPROP INT_L_X32Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y141 TILE_X 50152 TILEPROP INT_L_X32Y141 TILE_Y 215096 TILEPROP INT_L_X32Y141 TYPE INT_L TILEPROP INT_L_X32Y142 CLASS tile TILEPROP INT_L_X32Y142 COLUMN 82 TILEPROP INT_L_X32Y142 DEVICE_ID 0 TILEPROP INT_L_X32Y142 FIRST_SITE_ID 804 TILEPROP INT_L_X32Y142 GRID_POINT_X 82 TILEPROP INT_L_X32Y142 GRID_POINT_Y 8 TILEPROP INT_L_X32Y142 INDEX 1002 TILEPROP INT_L_X32Y142 INT_TILE_X 32 TILEPROP INT_L_X32Y142 INT_TILE_Y 7 TILEPROP INT_L_X32Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y142 IS_DCM_TILE 0 TILEPROP INT_L_X32Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y142 NAME INT_L_X32Y142 TILEPROP INT_L_X32Y142 NUM_ARCS 3737 TILEPROP INT_L_X32Y142 NUM_SITES 1 TILEPROP INT_L_X32Y142 ROW 8 TILEPROP INT_L_X32Y142 SLR_REGION_ID 0 TILEPROP INT_L_X32Y142 TILE_PATTERN_IDX 3842 TILEPROP INT_L_X32Y142 TILE_TYPE INT_L TILEPROP INT_L_X32Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y142 TILE_X 50152 TILEPROP INT_L_X32Y142 TILE_Y 218296 TILEPROP INT_L_X32Y142 TYPE INT_L TILEPROP INT_L_X32Y143 CLASS tile TILEPROP INT_L_X32Y143 COLUMN 82 TILEPROP INT_L_X32Y143 DEVICE_ID 0 TILEPROP INT_L_X32Y143 FIRST_SITE_ID 707 TILEPROP INT_L_X32Y143 GRID_POINT_X 82 TILEPROP INT_L_X32Y143 GRID_POINT_Y 7 TILEPROP INT_L_X32Y143 INDEX 887 TILEPROP INT_L_X32Y143 INT_TILE_X 32 TILEPROP INT_L_X32Y143 INT_TILE_Y 6 TILEPROP INT_L_X32Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y143 IS_DCM_TILE 0 TILEPROP INT_L_X32Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y143 NAME INT_L_X32Y143 TILEPROP INT_L_X32Y143 NUM_ARCS 3737 TILEPROP INT_L_X32Y143 NUM_SITES 1 TILEPROP INT_L_X32Y143 ROW 7 TILEPROP INT_L_X32Y143 SLR_REGION_ID 0 TILEPROP INT_L_X32Y143 TILE_PATTERN_IDX 3804 TILEPROP INT_L_X32Y143 TILE_TYPE INT_L TILEPROP INT_L_X32Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y143 TILE_X 50152 TILEPROP INT_L_X32Y143 TILE_Y 221496 TILEPROP INT_L_X32Y143 TYPE INT_L TILEPROP INT_L_X32Y144 CLASS tile TILEPROP INT_L_X32Y144 COLUMN 82 TILEPROP INT_L_X32Y144 DEVICE_ID 0 TILEPROP INT_L_X32Y144 FIRST_SITE_ID 596 TILEPROP INT_L_X32Y144 GRID_POINT_X 82 TILEPROP INT_L_X32Y144 GRID_POINT_Y 6 TILEPROP INT_L_X32Y144 INDEX 772 TILEPROP INT_L_X32Y144 INT_TILE_X 32 TILEPROP INT_L_X32Y144 INT_TILE_Y 5 TILEPROP INT_L_X32Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y144 IS_DCM_TILE 0 TILEPROP INT_L_X32Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y144 NAME INT_L_X32Y144 TILEPROP INT_L_X32Y144 NUM_ARCS 3737 TILEPROP INT_L_X32Y144 NUM_SITES 1 TILEPROP INT_L_X32Y144 ROW 6 TILEPROP INT_L_X32Y144 SLR_REGION_ID 0 TILEPROP INT_L_X32Y144 TILE_PATTERN_IDX 3765 TILEPROP INT_L_X32Y144 TILE_TYPE INT_L TILEPROP INT_L_X32Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y144 TILE_X 50152 TILEPROP INT_L_X32Y144 TILE_Y 224696 TILEPROP INT_L_X32Y144 TYPE INT_L TILEPROP INT_L_X32Y145 CLASS tile TILEPROP INT_L_X32Y145 COLUMN 82 TILEPROP INT_L_X32Y145 DEVICE_ID 0 TILEPROP INT_L_X32Y145 FIRST_SITE_ID 497 TILEPROP INT_L_X32Y145 GRID_POINT_X 82 TILEPROP INT_L_X32Y145 GRID_POINT_Y 5 TILEPROP INT_L_X32Y145 INDEX 657 TILEPROP INT_L_X32Y145 INT_TILE_X 32 TILEPROP INT_L_X32Y145 INT_TILE_Y 4 TILEPROP INT_L_X32Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y145 IS_DCM_TILE 0 TILEPROP INT_L_X32Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y145 NAME INT_L_X32Y145 TILEPROP INT_L_X32Y145 NUM_ARCS 3737 TILEPROP INT_L_X32Y145 NUM_SITES 1 TILEPROP INT_L_X32Y145 ROW 5 TILEPROP INT_L_X32Y145 SLR_REGION_ID 0 TILEPROP INT_L_X32Y145 TILE_PATTERN_IDX 3727 TILEPROP INT_L_X32Y145 TILE_TYPE INT_L TILEPROP INT_L_X32Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y145 TILE_X 50152 TILEPROP INT_L_X32Y145 TILE_Y 227896 TILEPROP INT_L_X32Y145 TYPE INT_L TILEPROP INT_L_X32Y146 CLASS tile TILEPROP INT_L_X32Y146 COLUMN 82 TILEPROP INT_L_X32Y146 DEVICE_ID 0 TILEPROP INT_L_X32Y146 FIRST_SITE_ID 384 TILEPROP INT_L_X32Y146 GRID_POINT_X 82 TILEPROP INT_L_X32Y146 GRID_POINT_Y 4 TILEPROP INT_L_X32Y146 INDEX 542 TILEPROP INT_L_X32Y146 INT_TILE_X 32 TILEPROP INT_L_X32Y146 INT_TILE_Y 3 TILEPROP INT_L_X32Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y146 IS_DCM_TILE 0 TILEPROP INT_L_X32Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y146 NAME INT_L_X32Y146 TILEPROP INT_L_X32Y146 NUM_ARCS 3737 TILEPROP INT_L_X32Y146 NUM_SITES 1 TILEPROP INT_L_X32Y146 ROW 4 TILEPROP INT_L_X32Y146 SLR_REGION_ID 0 TILEPROP INT_L_X32Y146 TILE_PATTERN_IDX 3688 TILEPROP INT_L_X32Y146 TILE_TYPE INT_L TILEPROP INT_L_X32Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y146 TILE_X 50152 TILEPROP INT_L_X32Y146 TILE_Y 231096 TILEPROP INT_L_X32Y146 TYPE INT_L TILEPROP INT_L_X32Y147 CLASS tile TILEPROP INT_L_X32Y147 COLUMN 82 TILEPROP INT_L_X32Y147 DEVICE_ID 0 TILEPROP INT_L_X32Y147 FIRST_SITE_ID 288 TILEPROP INT_L_X32Y147 GRID_POINT_X 82 TILEPROP INT_L_X32Y147 GRID_POINT_Y 3 TILEPROP INT_L_X32Y147 INDEX 427 TILEPROP INT_L_X32Y147 INT_TILE_X 32 TILEPROP INT_L_X32Y147 INT_TILE_Y 2 TILEPROP INT_L_X32Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y147 IS_DCM_TILE 0 TILEPROP INT_L_X32Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y147 NAME INT_L_X32Y147 TILEPROP INT_L_X32Y147 NUM_ARCS 3737 TILEPROP INT_L_X32Y147 NUM_SITES 1 TILEPROP INT_L_X32Y147 ROW 3 TILEPROP INT_L_X32Y147 SLR_REGION_ID 0 TILEPROP INT_L_X32Y147 TILE_PATTERN_IDX 3650 TILEPROP INT_L_X32Y147 TILE_TYPE INT_L TILEPROP INT_L_X32Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y147 TILE_X 50152 TILEPROP INT_L_X32Y147 TILE_Y 234296 TILEPROP INT_L_X32Y147 TYPE INT_L TILEPROP INT_L_X32Y148 CLASS tile TILEPROP INT_L_X32Y148 COLUMN 82 TILEPROP INT_L_X32Y148 DEVICE_ID 0 TILEPROP INT_L_X32Y148 FIRST_SITE_ID 184 TILEPROP INT_L_X32Y148 GRID_POINT_X 82 TILEPROP INT_L_X32Y148 GRID_POINT_Y 2 TILEPROP INT_L_X32Y148 INDEX 312 TILEPROP INT_L_X32Y148 INT_TILE_X 32 TILEPROP INT_L_X32Y148 INT_TILE_Y 1 TILEPROP INT_L_X32Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y148 IS_DCM_TILE 0 TILEPROP INT_L_X32Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y148 NAME INT_L_X32Y148 TILEPROP INT_L_X32Y148 NUM_ARCS 3737 TILEPROP INT_L_X32Y148 NUM_SITES 1 TILEPROP INT_L_X32Y148 ROW 2 TILEPROP INT_L_X32Y148 SLR_REGION_ID 0 TILEPROP INT_L_X32Y148 TILE_PATTERN_IDX 3611 TILEPROP INT_L_X32Y148 TILE_TYPE INT_L TILEPROP INT_L_X32Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y148 TILE_X 50152 TILEPROP INT_L_X32Y148 TILE_Y 237496 TILEPROP INT_L_X32Y148 TYPE INT_L TILEPROP INT_L_X32Y149 CLASS tile TILEPROP INT_L_X32Y149 COLUMN 82 TILEPROP INT_L_X32Y149 DEVICE_ID 0 TILEPROP INT_L_X32Y149 FIRST_SITE_ID 88 TILEPROP INT_L_X32Y149 GRID_POINT_X 82 TILEPROP INT_L_X32Y149 GRID_POINT_Y 1 TILEPROP INT_L_X32Y149 INDEX 197 TILEPROP INT_L_X32Y149 INT_TILE_X 32 TILEPROP INT_L_X32Y149 INT_TILE_Y 0 TILEPROP INT_L_X32Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X32Y149 IS_DCM_TILE 0 TILEPROP INT_L_X32Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X32Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X32Y149 NAME INT_L_X32Y149 TILEPROP INT_L_X32Y149 NUM_ARCS 3737 TILEPROP INT_L_X32Y149 NUM_SITES 1 TILEPROP INT_L_X32Y149 ROW 1 TILEPROP INT_L_X32Y149 SLR_REGION_ID 0 TILEPROP INT_L_X32Y149 TILE_PATTERN_IDX 3573 TILEPROP INT_L_X32Y149 TILE_TYPE INT_L TILEPROP INT_L_X32Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X32Y149 TILE_X 50152 TILEPROP INT_L_X32Y149 TILE_Y 240696 TILEPROP INT_L_X32Y149 TYPE INT_L TILEPROP INT_L_X34Y0 CLASS tile TILEPROP INT_L_X34Y0 COLUMN 88 TILEPROP INT_L_X34Y0 DEVICE_ID 0 TILEPROP INT_L_X34Y0 FIRST_SITE_ID 15788 TILEPROP INT_L_X34Y0 GRID_POINT_X 88 TILEPROP INT_L_X34Y0 GRID_POINT_Y 155 TILEPROP INT_L_X34Y0 INDEX 17913 TILEPROP INT_L_X34Y0 INT_TILE_X 34 TILEPROP INT_L_X34Y0 INT_TILE_Y 149 TILEPROP INT_L_X34Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y0 IS_DCM_TILE 0 TILEPROP INT_L_X34Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y0 NAME INT_L_X34Y0 TILEPROP INT_L_X34Y0 NUM_ARCS 3737 TILEPROP INT_L_X34Y0 NUM_SITES 1 TILEPROP INT_L_X34Y0 ROW 155 TILEPROP INT_L_X34Y0 SLR_REGION_ID 0 TILEPROP INT_L_X34Y0 TILE_PATTERN_IDX 8761 TILEPROP INT_L_X34Y0 TILE_TYPE INT_L TILEPROP INT_L_X34Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y0 TILE_X 58974 TILEPROP INT_L_X34Y0 TILE_Y -239672 TILEPROP INT_L_X34Y0 TYPE INT_L TILEPROP INT_L_X34Y1 CLASS tile TILEPROP INT_L_X34Y1 COLUMN 88 TILEPROP INT_L_X34Y1 DEVICE_ID 0 TILEPROP INT_L_X34Y1 FIRST_SITE_ID 15672 TILEPROP INT_L_X34Y1 GRID_POINT_X 88 TILEPROP INT_L_X34Y1 GRID_POINT_Y 154 TILEPROP INT_L_X34Y1 INDEX 17798 TILEPROP INT_L_X34Y1 INT_TILE_X 34 TILEPROP INT_L_X34Y1 INT_TILE_Y 148 TILEPROP INT_L_X34Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y1 IS_DCM_TILE 0 TILEPROP INT_L_X34Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y1 NAME INT_L_X34Y1 TILEPROP INT_L_X34Y1 NUM_ARCS 3737 TILEPROP INT_L_X34Y1 NUM_SITES 1 TILEPROP INT_L_X34Y1 ROW 154 TILEPROP INT_L_X34Y1 SLR_REGION_ID 0 TILEPROP INT_L_X34Y1 TILE_PATTERN_IDX 8730 TILEPROP INT_L_X34Y1 TILE_TYPE INT_L TILEPROP INT_L_X34Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y1 TILE_X 58974 TILEPROP INT_L_X34Y1 TILE_Y -236472 TILEPROP INT_L_X34Y1 TYPE INT_L TILEPROP INT_L_X34Y2 CLASS tile TILEPROP INT_L_X34Y2 COLUMN 88 TILEPROP INT_L_X34Y2 DEVICE_ID 0 TILEPROP INT_L_X34Y2 FIRST_SITE_ID 15571 TILEPROP INT_L_X34Y2 GRID_POINT_X 88 TILEPROP INT_L_X34Y2 GRID_POINT_Y 153 TILEPROP INT_L_X34Y2 INDEX 17683 TILEPROP INT_L_X34Y2 INT_TILE_X 34 TILEPROP INT_L_X34Y2 INT_TILE_Y 147 TILEPROP INT_L_X34Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y2 IS_DCM_TILE 0 TILEPROP INT_L_X34Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y2 NAME INT_L_X34Y2 TILEPROP INT_L_X34Y2 NUM_ARCS 3737 TILEPROP INT_L_X34Y2 NUM_SITES 1 TILEPROP INT_L_X34Y2 ROW 153 TILEPROP INT_L_X34Y2 SLR_REGION_ID 0 TILEPROP INT_L_X34Y2 TILE_PATTERN_IDX 8700 TILEPROP INT_L_X34Y2 TILE_TYPE INT_L TILEPROP INT_L_X34Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y2 TILE_X 58974 TILEPROP INT_L_X34Y2 TILE_Y -233272 TILEPROP INT_L_X34Y2 TYPE INT_L TILEPROP INT_L_X34Y3 CLASS tile TILEPROP INT_L_X34Y3 COLUMN 88 TILEPROP INT_L_X34Y3 DEVICE_ID 0 TILEPROP INT_L_X34Y3 FIRST_SITE_ID 15471 TILEPROP INT_L_X34Y3 GRID_POINT_X 88 TILEPROP INT_L_X34Y3 GRID_POINT_Y 152 TILEPROP INT_L_X34Y3 INDEX 17568 TILEPROP INT_L_X34Y3 INT_TILE_X 34 TILEPROP INT_L_X34Y3 INT_TILE_Y 146 TILEPROP INT_L_X34Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y3 IS_DCM_TILE 0 TILEPROP INT_L_X34Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y3 NAME INT_L_X34Y3 TILEPROP INT_L_X34Y3 NUM_ARCS 3737 TILEPROP INT_L_X34Y3 NUM_SITES 1 TILEPROP INT_L_X34Y3 ROW 152 TILEPROP INT_L_X34Y3 SLR_REGION_ID 0 TILEPROP INT_L_X34Y3 TILE_PATTERN_IDX 8669 TILEPROP INT_L_X34Y3 TILE_TYPE INT_L TILEPROP INT_L_X34Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y3 TILE_X 58974 TILEPROP INT_L_X34Y3 TILE_Y -230072 TILEPROP INT_L_X34Y3 TYPE INT_L TILEPROP INT_L_X34Y4 CLASS tile TILEPROP INT_L_X34Y4 COLUMN 88 TILEPROP INT_L_X34Y4 DEVICE_ID 0 TILEPROP INT_L_X34Y4 FIRST_SITE_ID 15371 TILEPROP INT_L_X34Y4 GRID_POINT_X 88 TILEPROP INT_L_X34Y4 GRID_POINT_Y 151 TILEPROP INT_L_X34Y4 INDEX 17453 TILEPROP INT_L_X34Y4 INT_TILE_X 34 TILEPROP INT_L_X34Y4 INT_TILE_Y 145 TILEPROP INT_L_X34Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y4 IS_DCM_TILE 0 TILEPROP INT_L_X34Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y4 NAME INT_L_X34Y4 TILEPROP INT_L_X34Y4 NUM_ARCS 3737 TILEPROP INT_L_X34Y4 NUM_SITES 1 TILEPROP INT_L_X34Y4 ROW 151 TILEPROP INT_L_X34Y4 SLR_REGION_ID 0 TILEPROP INT_L_X34Y4 TILE_PATTERN_IDX 8639 TILEPROP INT_L_X34Y4 TILE_TYPE INT_L TILEPROP INT_L_X34Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y4 TILE_X 58974 TILEPROP INT_L_X34Y4 TILE_Y -226872 TILEPROP INT_L_X34Y4 TYPE INT_L TILEPROP INT_L_X34Y5 CLASS tile TILEPROP INT_L_X34Y5 COLUMN 88 TILEPROP INT_L_X34Y5 DEVICE_ID 0 TILEPROP INT_L_X34Y5 FIRST_SITE_ID 15268 TILEPROP INT_L_X34Y5 GRID_POINT_X 88 TILEPROP INT_L_X34Y5 GRID_POINT_Y 150 TILEPROP INT_L_X34Y5 INDEX 17338 TILEPROP INT_L_X34Y5 INT_TILE_X 34 TILEPROP INT_L_X34Y5 INT_TILE_Y 144 TILEPROP INT_L_X34Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y5 IS_DCM_TILE 0 TILEPROP INT_L_X34Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y5 NAME INT_L_X34Y5 TILEPROP INT_L_X34Y5 NUM_ARCS 3737 TILEPROP INT_L_X34Y5 NUM_SITES 1 TILEPROP INT_L_X34Y5 ROW 150 TILEPROP INT_L_X34Y5 SLR_REGION_ID 0 TILEPROP INT_L_X34Y5 TILE_PATTERN_IDX 8608 TILEPROP INT_L_X34Y5 TILE_TYPE INT_L TILEPROP INT_L_X34Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y5 TILE_X 58974 TILEPROP INT_L_X34Y5 TILE_Y -223672 TILEPROP INT_L_X34Y5 TYPE INT_L TILEPROP INT_L_X34Y6 CLASS tile TILEPROP INT_L_X34Y6 COLUMN 88 TILEPROP INT_L_X34Y6 DEVICE_ID 0 TILEPROP INT_L_X34Y6 FIRST_SITE_ID 15156 TILEPROP INT_L_X34Y6 GRID_POINT_X 88 TILEPROP INT_L_X34Y6 GRID_POINT_Y 149 TILEPROP INT_L_X34Y6 INDEX 17223 TILEPROP INT_L_X34Y6 INT_TILE_X 34 TILEPROP INT_L_X34Y6 INT_TILE_Y 143 TILEPROP INT_L_X34Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y6 IS_DCM_TILE 0 TILEPROP INT_L_X34Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y6 NAME INT_L_X34Y6 TILEPROP INT_L_X34Y6 NUM_ARCS 3737 TILEPROP INT_L_X34Y6 NUM_SITES 1 TILEPROP INT_L_X34Y6 ROW 149 TILEPROP INT_L_X34Y6 SLR_REGION_ID 0 TILEPROP INT_L_X34Y6 TILE_PATTERN_IDX 8578 TILEPROP INT_L_X34Y6 TILE_TYPE INT_L TILEPROP INT_L_X34Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y6 TILE_X 58974 TILEPROP INT_L_X34Y6 TILE_Y -220472 TILEPROP INT_L_X34Y6 TYPE INT_L TILEPROP INT_L_X34Y7 CLASS tile TILEPROP INT_L_X34Y7 COLUMN 88 TILEPROP INT_L_X34Y7 DEVICE_ID 0 TILEPROP INT_L_X34Y7 FIRST_SITE_ID 15054 TILEPROP INT_L_X34Y7 GRID_POINT_X 88 TILEPROP INT_L_X34Y7 GRID_POINT_Y 148 TILEPROP INT_L_X34Y7 INDEX 17108 TILEPROP INT_L_X34Y7 INT_TILE_X 34 TILEPROP INT_L_X34Y7 INT_TILE_Y 142 TILEPROP INT_L_X34Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y7 IS_DCM_TILE 0 TILEPROP INT_L_X34Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y7 NAME INT_L_X34Y7 TILEPROP INT_L_X34Y7 NUM_ARCS 3737 TILEPROP INT_L_X34Y7 NUM_SITES 1 TILEPROP INT_L_X34Y7 ROW 148 TILEPROP INT_L_X34Y7 SLR_REGION_ID 0 TILEPROP INT_L_X34Y7 TILE_PATTERN_IDX 8547 TILEPROP INT_L_X34Y7 TILE_TYPE INT_L TILEPROP INT_L_X34Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y7 TILE_X 58974 TILEPROP INT_L_X34Y7 TILE_Y -217272 TILEPROP INT_L_X34Y7 TYPE INT_L TILEPROP INT_L_X34Y8 CLASS tile TILEPROP INT_L_X34Y8 COLUMN 88 TILEPROP INT_L_X34Y8 DEVICE_ID 0 TILEPROP INT_L_X34Y8 FIRST_SITE_ID 14951 TILEPROP INT_L_X34Y8 GRID_POINT_X 88 TILEPROP INT_L_X34Y8 GRID_POINT_Y 147 TILEPROP INT_L_X34Y8 INDEX 16993 TILEPROP INT_L_X34Y8 INT_TILE_X 34 TILEPROP INT_L_X34Y8 INT_TILE_Y 141 TILEPROP INT_L_X34Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y8 IS_DCM_TILE 0 TILEPROP INT_L_X34Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y8 NAME INT_L_X34Y8 TILEPROP INT_L_X34Y8 NUM_ARCS 3737 TILEPROP INT_L_X34Y8 NUM_SITES 1 TILEPROP INT_L_X34Y8 ROW 147 TILEPROP INT_L_X34Y8 SLR_REGION_ID 0 TILEPROP INT_L_X34Y8 TILE_PATTERN_IDX 8517 TILEPROP INT_L_X34Y8 TILE_TYPE INT_L TILEPROP INT_L_X34Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y8 TILE_X 58974 TILEPROP INT_L_X34Y8 TILE_Y -214072 TILEPROP INT_L_X34Y8 TYPE INT_L TILEPROP INT_L_X34Y9 CLASS tile TILEPROP INT_L_X34Y9 COLUMN 88 TILEPROP INT_L_X34Y9 DEVICE_ID 0 TILEPROP INT_L_X34Y9 FIRST_SITE_ID 14850 TILEPROP INT_L_X34Y9 GRID_POINT_X 88 TILEPROP INT_L_X34Y9 GRID_POINT_Y 146 TILEPROP INT_L_X34Y9 INDEX 16878 TILEPROP INT_L_X34Y9 INT_TILE_X 34 TILEPROP INT_L_X34Y9 INT_TILE_Y 140 TILEPROP INT_L_X34Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y9 IS_DCM_TILE 0 TILEPROP INT_L_X34Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y9 NAME INT_L_X34Y9 TILEPROP INT_L_X34Y9 NUM_ARCS 3737 TILEPROP INT_L_X34Y9 NUM_SITES 1 TILEPROP INT_L_X34Y9 ROW 146 TILEPROP INT_L_X34Y9 SLR_REGION_ID 0 TILEPROP INT_L_X34Y9 TILE_PATTERN_IDX 8486 TILEPROP INT_L_X34Y9 TILE_TYPE INT_L TILEPROP INT_L_X34Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y9 TILE_X 58974 TILEPROP INT_L_X34Y9 TILE_Y -210872 TILEPROP INT_L_X34Y9 TYPE INT_L TILEPROP INT_L_X34Y10 CLASS tile TILEPROP INT_L_X34Y10 COLUMN 88 TILEPROP INT_L_X34Y10 DEVICE_ID 0 TILEPROP INT_L_X34Y10 FIRST_SITE_ID 14747 TILEPROP INT_L_X34Y10 GRID_POINT_X 88 TILEPROP INT_L_X34Y10 GRID_POINT_Y 145 TILEPROP INT_L_X34Y10 INDEX 16763 TILEPROP INT_L_X34Y10 INT_TILE_X 34 TILEPROP INT_L_X34Y10 INT_TILE_Y 139 TILEPROP INT_L_X34Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y10 IS_DCM_TILE 0 TILEPROP INT_L_X34Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y10 NAME INT_L_X34Y10 TILEPROP INT_L_X34Y10 NUM_ARCS 3737 TILEPROP INT_L_X34Y10 NUM_SITES 1 TILEPROP INT_L_X34Y10 ROW 145 TILEPROP INT_L_X34Y10 SLR_REGION_ID 0 TILEPROP INT_L_X34Y10 TILE_PATTERN_IDX 8456 TILEPROP INT_L_X34Y10 TILE_TYPE INT_L TILEPROP INT_L_X34Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y10 TILE_X 58974 TILEPROP INT_L_X34Y10 TILE_Y -207672 TILEPROP INT_L_X34Y10 TYPE INT_L TILEPROP INT_L_X34Y11 CLASS tile TILEPROP INT_L_X34Y11 COLUMN 88 TILEPROP INT_L_X34Y11 DEVICE_ID 0 TILEPROP INT_L_X34Y11 FIRST_SITE_ID 14635 TILEPROP INT_L_X34Y11 GRID_POINT_X 88 TILEPROP INT_L_X34Y11 GRID_POINT_Y 144 TILEPROP INT_L_X34Y11 INDEX 16648 TILEPROP INT_L_X34Y11 INT_TILE_X 34 TILEPROP INT_L_X34Y11 INT_TILE_Y 138 TILEPROP INT_L_X34Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y11 IS_DCM_TILE 0 TILEPROP INT_L_X34Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y11 NAME INT_L_X34Y11 TILEPROP INT_L_X34Y11 NUM_ARCS 3737 TILEPROP INT_L_X34Y11 NUM_SITES 1 TILEPROP INT_L_X34Y11 ROW 144 TILEPROP INT_L_X34Y11 SLR_REGION_ID 0 TILEPROP INT_L_X34Y11 TILE_PATTERN_IDX 8425 TILEPROP INT_L_X34Y11 TILE_TYPE INT_L TILEPROP INT_L_X34Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y11 TILE_X 58974 TILEPROP INT_L_X34Y11 TILE_Y -204472 TILEPROP INT_L_X34Y11 TYPE INT_L TILEPROP INT_L_X34Y12 CLASS tile TILEPROP INT_L_X34Y12 COLUMN 88 TILEPROP INT_L_X34Y12 DEVICE_ID 0 TILEPROP INT_L_X34Y12 FIRST_SITE_ID 14535 TILEPROP INT_L_X34Y12 GRID_POINT_X 88 TILEPROP INT_L_X34Y12 GRID_POINT_Y 143 TILEPROP INT_L_X34Y12 INDEX 16533 TILEPROP INT_L_X34Y12 INT_TILE_X 34 TILEPROP INT_L_X34Y12 INT_TILE_Y 137 TILEPROP INT_L_X34Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y12 IS_DCM_TILE 0 TILEPROP INT_L_X34Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y12 NAME INT_L_X34Y12 TILEPROP INT_L_X34Y12 NUM_ARCS 3737 TILEPROP INT_L_X34Y12 NUM_SITES 1 TILEPROP INT_L_X34Y12 ROW 143 TILEPROP INT_L_X34Y12 SLR_REGION_ID 0 TILEPROP INT_L_X34Y12 TILE_PATTERN_IDX 8395 TILEPROP INT_L_X34Y12 TILE_TYPE INT_L TILEPROP INT_L_X34Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y12 TILE_X 58974 TILEPROP INT_L_X34Y12 TILE_Y -201272 TILEPROP INT_L_X34Y12 TYPE INT_L TILEPROP INT_L_X34Y13 CLASS tile TILEPROP INT_L_X34Y13 COLUMN 88 TILEPROP INT_L_X34Y13 DEVICE_ID 0 TILEPROP INT_L_X34Y13 FIRST_SITE_ID 14403 TILEPROP INT_L_X34Y13 GRID_POINT_X 88 TILEPROP INT_L_X34Y13 GRID_POINT_Y 142 TILEPROP INT_L_X34Y13 INDEX 16418 TILEPROP INT_L_X34Y13 INT_TILE_X 34 TILEPROP INT_L_X34Y13 INT_TILE_Y 136 TILEPROP INT_L_X34Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y13 IS_DCM_TILE 0 TILEPROP INT_L_X34Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y13 NAME INT_L_X34Y13 TILEPROP INT_L_X34Y13 NUM_ARCS 3737 TILEPROP INT_L_X34Y13 NUM_SITES 1 TILEPROP INT_L_X34Y13 ROW 142 TILEPROP INT_L_X34Y13 SLR_REGION_ID 0 TILEPROP INT_L_X34Y13 TILE_PATTERN_IDX 8364 TILEPROP INT_L_X34Y13 TILE_TYPE INT_L TILEPROP INT_L_X34Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y13 TILE_X 58974 TILEPROP INT_L_X34Y13 TILE_Y -198072 TILEPROP INT_L_X34Y13 TYPE INT_L TILEPROP INT_L_X34Y14 CLASS tile TILEPROP INT_L_X34Y14 COLUMN 88 TILEPROP INT_L_X34Y14 DEVICE_ID 0 TILEPROP INT_L_X34Y14 FIRST_SITE_ID 14303 TILEPROP INT_L_X34Y14 GRID_POINT_X 88 TILEPROP INT_L_X34Y14 GRID_POINT_Y 141 TILEPROP INT_L_X34Y14 INDEX 16303 TILEPROP INT_L_X34Y14 INT_TILE_X 34 TILEPROP INT_L_X34Y14 INT_TILE_Y 135 TILEPROP INT_L_X34Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y14 IS_DCM_TILE 0 TILEPROP INT_L_X34Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y14 NAME INT_L_X34Y14 TILEPROP INT_L_X34Y14 NUM_ARCS 3737 TILEPROP INT_L_X34Y14 NUM_SITES 1 TILEPROP INT_L_X34Y14 ROW 141 TILEPROP INT_L_X34Y14 SLR_REGION_ID 0 TILEPROP INT_L_X34Y14 TILE_PATTERN_IDX 8334 TILEPROP INT_L_X34Y14 TILE_TYPE INT_L TILEPROP INT_L_X34Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y14 TILE_X 58974 TILEPROP INT_L_X34Y14 TILE_Y -194872 TILEPROP INT_L_X34Y14 TYPE INT_L TILEPROP INT_L_X34Y15 CLASS tile TILEPROP INT_L_X34Y15 COLUMN 88 TILEPROP INT_L_X34Y15 DEVICE_ID 0 TILEPROP INT_L_X34Y15 FIRST_SITE_ID 14200 TILEPROP INT_L_X34Y15 GRID_POINT_X 88 TILEPROP INT_L_X34Y15 GRID_POINT_Y 140 TILEPROP INT_L_X34Y15 INDEX 16188 TILEPROP INT_L_X34Y15 INT_TILE_X 34 TILEPROP INT_L_X34Y15 INT_TILE_Y 134 TILEPROP INT_L_X34Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y15 IS_DCM_TILE 0 TILEPROP INT_L_X34Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y15 NAME INT_L_X34Y15 TILEPROP INT_L_X34Y15 NUM_ARCS 3737 TILEPROP INT_L_X34Y15 NUM_SITES 1 TILEPROP INT_L_X34Y15 ROW 140 TILEPROP INT_L_X34Y15 SLR_REGION_ID 0 TILEPROP INT_L_X34Y15 TILE_PATTERN_IDX 8303 TILEPROP INT_L_X34Y15 TILE_TYPE INT_L TILEPROP INT_L_X34Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y15 TILE_X 58974 TILEPROP INT_L_X34Y15 TILE_Y -191672 TILEPROP INT_L_X34Y15 TYPE INT_L TILEPROP INT_L_X34Y16 CLASS tile TILEPROP INT_L_X34Y16 COLUMN 88 TILEPROP INT_L_X34Y16 DEVICE_ID 0 TILEPROP INT_L_X34Y16 FIRST_SITE_ID 14088 TILEPROP INT_L_X34Y16 GRID_POINT_X 88 TILEPROP INT_L_X34Y16 GRID_POINT_Y 139 TILEPROP INT_L_X34Y16 INDEX 16073 TILEPROP INT_L_X34Y16 INT_TILE_X 34 TILEPROP INT_L_X34Y16 INT_TILE_Y 133 TILEPROP INT_L_X34Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y16 IS_DCM_TILE 0 TILEPROP INT_L_X34Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y16 NAME INT_L_X34Y16 TILEPROP INT_L_X34Y16 NUM_ARCS 3737 TILEPROP INT_L_X34Y16 NUM_SITES 1 TILEPROP INT_L_X34Y16 ROW 139 TILEPROP INT_L_X34Y16 SLR_REGION_ID 0 TILEPROP INT_L_X34Y16 TILE_PATTERN_IDX 8273 TILEPROP INT_L_X34Y16 TILE_TYPE INT_L TILEPROP INT_L_X34Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y16 TILE_X 58974 TILEPROP INT_L_X34Y16 TILE_Y -188472 TILEPROP INT_L_X34Y16 TYPE INT_L TILEPROP INT_L_X34Y17 CLASS tile TILEPROP INT_L_X34Y17 COLUMN 88 TILEPROP INT_L_X34Y17 DEVICE_ID 0 TILEPROP INT_L_X34Y17 FIRST_SITE_ID 13984 TILEPROP INT_L_X34Y17 GRID_POINT_X 88 TILEPROP INT_L_X34Y17 GRID_POINT_Y 138 TILEPROP INT_L_X34Y17 INDEX 15958 TILEPROP INT_L_X34Y17 INT_TILE_X 34 TILEPROP INT_L_X34Y17 INT_TILE_Y 132 TILEPROP INT_L_X34Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y17 IS_DCM_TILE 0 TILEPROP INT_L_X34Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y17 NAME INT_L_X34Y17 TILEPROP INT_L_X34Y17 NUM_ARCS 3737 TILEPROP INT_L_X34Y17 NUM_SITES 1 TILEPROP INT_L_X34Y17 ROW 138 TILEPROP INT_L_X34Y17 SLR_REGION_ID 0 TILEPROP INT_L_X34Y17 TILE_PATTERN_IDX 8241 TILEPROP INT_L_X34Y17 TILE_TYPE INT_L TILEPROP INT_L_X34Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y17 TILE_X 58974 TILEPROP INT_L_X34Y17 TILE_Y -185272 TILEPROP INT_L_X34Y17 TYPE INT_L TILEPROP INT_L_X34Y18 CLASS tile TILEPROP INT_L_X34Y18 COLUMN 88 TILEPROP INT_L_X34Y18 DEVICE_ID 0 TILEPROP INT_L_X34Y18 FIRST_SITE_ID 13879 TILEPROP INT_L_X34Y18 GRID_POINT_X 88 TILEPROP INT_L_X34Y18 GRID_POINT_Y 137 TILEPROP INT_L_X34Y18 INDEX 15843 TILEPROP INT_L_X34Y18 INT_TILE_X 34 TILEPROP INT_L_X34Y18 INT_TILE_Y 131 TILEPROP INT_L_X34Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y18 IS_DCM_TILE 0 TILEPROP INT_L_X34Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y18 NAME INT_L_X34Y18 TILEPROP INT_L_X34Y18 NUM_ARCS 3737 TILEPROP INT_L_X34Y18 NUM_SITES 1 TILEPROP INT_L_X34Y18 ROW 137 TILEPROP INT_L_X34Y18 SLR_REGION_ID 0 TILEPROP INT_L_X34Y18 TILE_PATTERN_IDX 6567 TILEPROP INT_L_X34Y18 TILE_TYPE INT_L TILEPROP INT_L_X34Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y18 TILE_X 58974 TILEPROP INT_L_X34Y18 TILE_Y -182072 TILEPROP INT_L_X34Y18 TYPE INT_L TILEPROP INT_L_X34Y19 CLASS tile TILEPROP INT_L_X34Y19 COLUMN 88 TILEPROP INT_L_X34Y19 DEVICE_ID 0 TILEPROP INT_L_X34Y19 FIRST_SITE_ID 13777 TILEPROP INT_L_X34Y19 GRID_POINT_X 88 TILEPROP INT_L_X34Y19 GRID_POINT_Y 136 TILEPROP INT_L_X34Y19 INDEX 15728 TILEPROP INT_L_X34Y19 INT_TILE_X 34 TILEPROP INT_L_X34Y19 INT_TILE_Y 130 TILEPROP INT_L_X34Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y19 IS_DCM_TILE 0 TILEPROP INT_L_X34Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y19 NAME INT_L_X34Y19 TILEPROP INT_L_X34Y19 NUM_ARCS 3737 TILEPROP INT_L_X34Y19 NUM_SITES 1 TILEPROP INT_L_X34Y19 ROW 136 TILEPROP INT_L_X34Y19 SLR_REGION_ID 0 TILEPROP INT_L_X34Y19 TILE_PATTERN_IDX 6536 TILEPROP INT_L_X34Y19 TILE_TYPE INT_L TILEPROP INT_L_X34Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y19 TILE_X 58974 TILEPROP INT_L_X34Y19 TILE_Y -178872 TILEPROP INT_L_X34Y19 TYPE INT_L TILEPROP INT_L_X34Y20 CLASS tile TILEPROP INT_L_X34Y20 COLUMN 88 TILEPROP INT_L_X34Y20 DEVICE_ID 0 TILEPROP INT_L_X34Y20 FIRST_SITE_ID 13672 TILEPROP INT_L_X34Y20 GRID_POINT_X 88 TILEPROP INT_L_X34Y20 GRID_POINT_Y 135 TILEPROP INT_L_X34Y20 INDEX 15613 TILEPROP INT_L_X34Y20 INT_TILE_X 34 TILEPROP INT_L_X34Y20 INT_TILE_Y 129 TILEPROP INT_L_X34Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y20 IS_DCM_TILE 0 TILEPROP INT_L_X34Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y20 NAME INT_L_X34Y20 TILEPROP INT_L_X34Y20 NUM_ARCS 3737 TILEPROP INT_L_X34Y20 NUM_SITES 1 TILEPROP INT_L_X34Y20 ROW 135 TILEPROP INT_L_X34Y20 SLR_REGION_ID 0 TILEPROP INT_L_X34Y20 TILE_PATTERN_IDX 6505 TILEPROP INT_L_X34Y20 TILE_TYPE INT_L TILEPROP INT_L_X34Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y20 TILE_X 58974 TILEPROP INT_L_X34Y20 TILE_Y -175672 TILEPROP INT_L_X34Y20 TYPE INT_L TILEPROP INT_L_X34Y21 CLASS tile TILEPROP INT_L_X34Y21 COLUMN 88 TILEPROP INT_L_X34Y21 DEVICE_ID 0 TILEPROP INT_L_X34Y21 FIRST_SITE_ID 13560 TILEPROP INT_L_X34Y21 GRID_POINT_X 88 TILEPROP INT_L_X34Y21 GRID_POINT_Y 134 TILEPROP INT_L_X34Y21 INDEX 15498 TILEPROP INT_L_X34Y21 INT_TILE_X 34 TILEPROP INT_L_X34Y21 INT_TILE_Y 128 TILEPROP INT_L_X34Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y21 IS_DCM_TILE 0 TILEPROP INT_L_X34Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y21 NAME INT_L_X34Y21 TILEPROP INT_L_X34Y21 NUM_ARCS 3737 TILEPROP INT_L_X34Y21 NUM_SITES 1 TILEPROP INT_L_X34Y21 ROW 134 TILEPROP INT_L_X34Y21 SLR_REGION_ID 0 TILEPROP INT_L_X34Y21 TILE_PATTERN_IDX 8144 TILEPROP INT_L_X34Y21 TILE_TYPE INT_L TILEPROP INT_L_X34Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y21 TILE_X 58974 TILEPROP INT_L_X34Y21 TILE_Y -172472 TILEPROP INT_L_X34Y21 TYPE INT_L TILEPROP INT_L_X34Y22 CLASS tile TILEPROP INT_L_X34Y22 COLUMN 88 TILEPROP INT_L_X34Y22 DEVICE_ID 0 TILEPROP INT_L_X34Y22 FIRST_SITE_ID 13460 TILEPROP INT_L_X34Y22 GRID_POINT_X 88 TILEPROP INT_L_X34Y22 GRID_POINT_Y 133 TILEPROP INT_L_X34Y22 INDEX 15383 TILEPROP INT_L_X34Y22 INT_TILE_X 34 TILEPROP INT_L_X34Y22 INT_TILE_Y 127 TILEPROP INT_L_X34Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y22 IS_DCM_TILE 0 TILEPROP INT_L_X34Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y22 NAME INT_L_X34Y22 TILEPROP INT_L_X34Y22 NUM_ARCS 3737 TILEPROP INT_L_X34Y22 NUM_SITES 1 TILEPROP INT_L_X34Y22 ROW 133 TILEPROP INT_L_X34Y22 SLR_REGION_ID 0 TILEPROP INT_L_X34Y22 TILE_PATTERN_IDX 8116 TILEPROP INT_L_X34Y22 TILE_TYPE INT_L TILEPROP INT_L_X34Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y22 TILE_X 58974 TILEPROP INT_L_X34Y22 TILE_Y -169272 TILEPROP INT_L_X34Y22 TYPE INT_L TILEPROP INT_L_X34Y23 CLASS tile TILEPROP INT_L_X34Y23 COLUMN 88 TILEPROP INT_L_X34Y23 DEVICE_ID 0 TILEPROP INT_L_X34Y23 FIRST_SITE_ID 13360 TILEPROP INT_L_X34Y23 GRID_POINT_X 88 TILEPROP INT_L_X34Y23 GRID_POINT_Y 132 TILEPROP INT_L_X34Y23 INDEX 15268 TILEPROP INT_L_X34Y23 INT_TILE_X 34 TILEPROP INT_L_X34Y23 INT_TILE_Y 126 TILEPROP INT_L_X34Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y23 IS_DCM_TILE 0 TILEPROP INT_L_X34Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y23 NAME INT_L_X34Y23 TILEPROP INT_L_X34Y23 NUM_ARCS 3737 TILEPROP INT_L_X34Y23 NUM_SITES 1 TILEPROP INT_L_X34Y23 ROW 132 TILEPROP INT_L_X34Y23 SLR_REGION_ID 0 TILEPROP INT_L_X34Y23 TILE_PATTERN_IDX 8087 TILEPROP INT_L_X34Y23 TILE_TYPE INT_L TILEPROP INT_L_X34Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y23 TILE_X 58974 TILEPROP INT_L_X34Y23 TILE_Y -166072 TILEPROP INT_L_X34Y23 TYPE INT_L TILEPROP INT_L_X34Y24 CLASS tile TILEPROP INT_L_X34Y24 COLUMN 88 TILEPROP INT_L_X34Y24 DEVICE_ID 0 TILEPROP INT_L_X34Y24 FIRST_SITE_ID 13260 TILEPROP INT_L_X34Y24 GRID_POINT_X 88 TILEPROP INT_L_X34Y24 GRID_POINT_Y 131 TILEPROP INT_L_X34Y24 INDEX 15153 TILEPROP INT_L_X34Y24 INT_TILE_X 34 TILEPROP INT_L_X34Y24 INT_TILE_Y 125 TILEPROP INT_L_X34Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y24 IS_DCM_TILE 0 TILEPROP INT_L_X34Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y24 NAME INT_L_X34Y24 TILEPROP INT_L_X34Y24 NUM_ARCS 3737 TILEPROP INT_L_X34Y24 NUM_SITES 1 TILEPROP INT_L_X34Y24 ROW 131 TILEPROP INT_L_X34Y24 SLR_REGION_ID 0 TILEPROP INT_L_X34Y24 TILE_PATTERN_IDX 8059 TILEPROP INT_L_X34Y24 TILE_TYPE INT_L TILEPROP INT_L_X34Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y24 TILE_X 58974 TILEPROP INT_L_X34Y24 TILE_Y -162872 TILEPROP INT_L_X34Y24 TYPE INT_L TILEPROP INT_L_X34Y25 CLASS tile TILEPROP INT_L_X34Y25 COLUMN 88 TILEPROP INT_L_X34Y25 DEVICE_ID 0 TILEPROP INT_L_X34Y25 FIRST_SITE_ID 13074 TILEPROP INT_L_X34Y25 GRID_POINT_X 88 TILEPROP INT_L_X34Y25 GRID_POINT_Y 129 TILEPROP INT_L_X34Y25 INDEX 14923 TILEPROP INT_L_X34Y25 INT_TILE_X 34 TILEPROP INT_L_X34Y25 INT_TILE_Y 124 TILEPROP INT_L_X34Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y25 IS_DCM_TILE 0 TILEPROP INT_L_X34Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y25 NAME INT_L_X34Y25 TILEPROP INT_L_X34Y25 NUM_ARCS 3737 TILEPROP INT_L_X34Y25 NUM_SITES 1 TILEPROP INT_L_X34Y25 ROW 129 TILEPROP INT_L_X34Y25 SLR_REGION_ID 0 TILEPROP INT_L_X34Y25 TILE_PATTERN_IDX 7987 TILEPROP INT_L_X34Y25 TILE_TYPE INT_L TILEPROP INT_L_X34Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y25 TILE_X 58974 TILEPROP INT_L_X34Y25 TILE_Y -158648 TILEPROP INT_L_X34Y25 TYPE INT_L TILEPROP INT_L_X34Y26 CLASS tile TILEPROP INT_L_X34Y26 COLUMN 88 TILEPROP INT_L_X34Y26 DEVICE_ID 0 TILEPROP INT_L_X34Y26 FIRST_SITE_ID 12962 TILEPROP INT_L_X34Y26 GRID_POINT_X 88 TILEPROP INT_L_X34Y26 GRID_POINT_Y 128 TILEPROP INT_L_X34Y26 INDEX 14808 TILEPROP INT_L_X34Y26 INT_TILE_X 34 TILEPROP INT_L_X34Y26 INT_TILE_Y 123 TILEPROP INT_L_X34Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y26 IS_DCM_TILE 0 TILEPROP INT_L_X34Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y26 NAME INT_L_X34Y26 TILEPROP INT_L_X34Y26 NUM_ARCS 3737 TILEPROP INT_L_X34Y26 NUM_SITES 1 TILEPROP INT_L_X34Y26 ROW 128 TILEPROP INT_L_X34Y26 SLR_REGION_ID 0 TILEPROP INT_L_X34Y26 TILE_PATTERN_IDX 7959 TILEPROP INT_L_X34Y26 TILE_TYPE INT_L TILEPROP INT_L_X34Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y26 TILE_X 58974 TILEPROP INT_L_X34Y26 TILE_Y -155448 TILEPROP INT_L_X34Y26 TYPE INT_L TILEPROP INT_L_X34Y27 CLASS tile TILEPROP INT_L_X34Y27 COLUMN 88 TILEPROP INT_L_X34Y27 DEVICE_ID 0 TILEPROP INT_L_X34Y27 FIRST_SITE_ID 12862 TILEPROP INT_L_X34Y27 GRID_POINT_X 88 TILEPROP INT_L_X34Y27 GRID_POINT_Y 127 TILEPROP INT_L_X34Y27 INDEX 14693 TILEPROP INT_L_X34Y27 INT_TILE_X 34 TILEPROP INT_L_X34Y27 INT_TILE_Y 122 TILEPROP INT_L_X34Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y27 IS_DCM_TILE 0 TILEPROP INT_L_X34Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y27 NAME INT_L_X34Y27 TILEPROP INT_L_X34Y27 NUM_ARCS 3737 TILEPROP INT_L_X34Y27 NUM_SITES 1 TILEPROP INT_L_X34Y27 ROW 127 TILEPROP INT_L_X34Y27 SLR_REGION_ID 0 TILEPROP INT_L_X34Y27 TILE_PATTERN_IDX 7930 TILEPROP INT_L_X34Y27 TILE_TYPE INT_L TILEPROP INT_L_X34Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y27 TILE_X 58974 TILEPROP INT_L_X34Y27 TILE_Y -152248 TILEPROP INT_L_X34Y27 TYPE INT_L TILEPROP INT_L_X34Y28 CLASS tile TILEPROP INT_L_X34Y28 COLUMN 88 TILEPROP INT_L_X34Y28 DEVICE_ID 0 TILEPROP INT_L_X34Y28 FIRST_SITE_ID 12762 TILEPROP INT_L_X34Y28 GRID_POINT_X 88 TILEPROP INT_L_X34Y28 GRID_POINT_Y 126 TILEPROP INT_L_X34Y28 INDEX 14578 TILEPROP INT_L_X34Y28 INT_TILE_X 34 TILEPROP INT_L_X34Y28 INT_TILE_Y 121 TILEPROP INT_L_X34Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y28 IS_DCM_TILE 0 TILEPROP INT_L_X34Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y28 NAME INT_L_X34Y28 TILEPROP INT_L_X34Y28 NUM_ARCS 3737 TILEPROP INT_L_X34Y28 NUM_SITES 1 TILEPROP INT_L_X34Y28 ROW 126 TILEPROP INT_L_X34Y28 SLR_REGION_ID 0 TILEPROP INT_L_X34Y28 TILE_PATTERN_IDX 7902 TILEPROP INT_L_X34Y28 TILE_TYPE INT_L TILEPROP INT_L_X34Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y28 TILE_X 58974 TILEPROP INT_L_X34Y28 TILE_Y -149048 TILEPROP INT_L_X34Y28 TYPE INT_L TILEPROP INT_L_X34Y29 CLASS tile TILEPROP INT_L_X34Y29 COLUMN 88 TILEPROP INT_L_X34Y29 DEVICE_ID 0 TILEPROP INT_L_X34Y29 FIRST_SITE_ID 12656 TILEPROP INT_L_X34Y29 GRID_POINT_X 88 TILEPROP INT_L_X34Y29 GRID_POINT_Y 125 TILEPROP INT_L_X34Y29 INDEX 14463 TILEPROP INT_L_X34Y29 INT_TILE_X 34 TILEPROP INT_L_X34Y29 INT_TILE_Y 120 TILEPROP INT_L_X34Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y29 IS_DCM_TILE 0 TILEPROP INT_L_X34Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y29 NAME INT_L_X34Y29 TILEPROP INT_L_X34Y29 NUM_ARCS 3737 TILEPROP INT_L_X34Y29 NUM_SITES 1 TILEPROP INT_L_X34Y29 ROW 125 TILEPROP INT_L_X34Y29 SLR_REGION_ID 0 TILEPROP INT_L_X34Y29 TILE_PATTERN_IDX 6171 TILEPROP INT_L_X34Y29 TILE_TYPE INT_L TILEPROP INT_L_X34Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y29 TILE_X 58974 TILEPROP INT_L_X34Y29 TILE_Y -145848 TILEPROP INT_L_X34Y29 TYPE INT_L TILEPROP INT_L_X34Y30 CLASS tile TILEPROP INT_L_X34Y30 COLUMN 88 TILEPROP INT_L_X34Y30 DEVICE_ID 0 TILEPROP INT_L_X34Y30 FIRST_SITE_ID 12547 TILEPROP INT_L_X34Y30 GRID_POINT_X 88 TILEPROP INT_L_X34Y30 GRID_POINT_Y 124 TILEPROP INT_L_X34Y30 INDEX 14348 TILEPROP INT_L_X34Y30 INT_TILE_X 34 TILEPROP INT_L_X34Y30 INT_TILE_Y 119 TILEPROP INT_L_X34Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y30 IS_DCM_TILE 0 TILEPROP INT_L_X34Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y30 NAME INT_L_X34Y30 TILEPROP INT_L_X34Y30 NUM_ARCS 3737 TILEPROP INT_L_X34Y30 NUM_SITES 1 TILEPROP INT_L_X34Y30 ROW 124 TILEPROP INT_L_X34Y30 SLR_REGION_ID 0 TILEPROP INT_L_X34Y30 TILE_PATTERN_IDX 6136 TILEPROP INT_L_X34Y30 TILE_TYPE INT_L TILEPROP INT_L_X34Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y30 TILE_X 58974 TILEPROP INT_L_X34Y30 TILE_Y -142648 TILEPROP INT_L_X34Y30 TYPE INT_L TILEPROP INT_L_X34Y31 CLASS tile TILEPROP INT_L_X34Y31 COLUMN 88 TILEPROP INT_L_X34Y31 DEVICE_ID 0 TILEPROP INT_L_X34Y31 FIRST_SITE_ID 12420 TILEPROP INT_L_X34Y31 GRID_POINT_X 88 TILEPROP INT_L_X34Y31 GRID_POINT_Y 123 TILEPROP INT_L_X34Y31 INDEX 14233 TILEPROP INT_L_X34Y31 INT_TILE_X 34 TILEPROP INT_L_X34Y31 INT_TILE_Y 118 TILEPROP INT_L_X34Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y31 IS_DCM_TILE 0 TILEPROP INT_L_X34Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y31 NAME INT_L_X34Y31 TILEPROP INT_L_X34Y31 NUM_ARCS 3737 TILEPROP INT_L_X34Y31 NUM_SITES 1 TILEPROP INT_L_X34Y31 ROW 123 TILEPROP INT_L_X34Y31 SLR_REGION_ID 0 TILEPROP INT_L_X34Y31 TILE_PATTERN_IDX 6102 TILEPROP INT_L_X34Y31 TILE_TYPE INT_L TILEPROP INT_L_X34Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y31 TILE_X 58974 TILEPROP INT_L_X34Y31 TILE_Y -139448 TILEPROP INT_L_X34Y31 TYPE INT_L TILEPROP INT_L_X34Y32 CLASS tile TILEPROP INT_L_X34Y32 COLUMN 88 TILEPROP INT_L_X34Y32 DEVICE_ID 0 TILEPROP INT_L_X34Y32 FIRST_SITE_ID 12318 TILEPROP INT_L_X34Y32 GRID_POINT_X 88 TILEPROP INT_L_X34Y32 GRID_POINT_Y 122 TILEPROP INT_L_X34Y32 INDEX 14118 TILEPROP INT_L_X34Y32 INT_TILE_X 34 TILEPROP INT_L_X34Y32 INT_TILE_Y 117 TILEPROP INT_L_X34Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y32 IS_DCM_TILE 0 TILEPROP INT_L_X34Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y32 NAME INT_L_X34Y32 TILEPROP INT_L_X34Y32 NUM_ARCS 3737 TILEPROP INT_L_X34Y32 NUM_SITES 1 TILEPROP INT_L_X34Y32 ROW 122 TILEPROP INT_L_X34Y32 SLR_REGION_ID 0 TILEPROP INT_L_X34Y32 TILE_PATTERN_IDX 7798 TILEPROP INT_L_X34Y32 TILE_TYPE INT_L TILEPROP INT_L_X34Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y32 TILE_X 58974 TILEPROP INT_L_X34Y32 TILE_Y -136248 TILEPROP INT_L_X34Y32 TYPE INT_L TILEPROP INT_L_X34Y33 CLASS tile TILEPROP INT_L_X34Y33 COLUMN 88 TILEPROP INT_L_X34Y33 DEVICE_ID 0 TILEPROP INT_L_X34Y33 FIRST_SITE_ID 12217 TILEPROP INT_L_X34Y33 GRID_POINT_X 88 TILEPROP INT_L_X34Y33 GRID_POINT_Y 121 TILEPROP INT_L_X34Y33 INDEX 14003 TILEPROP INT_L_X34Y33 INT_TILE_X 34 TILEPROP INT_L_X34Y33 INT_TILE_Y 116 TILEPROP INT_L_X34Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y33 IS_DCM_TILE 0 TILEPROP INT_L_X34Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y33 NAME INT_L_X34Y33 TILEPROP INT_L_X34Y33 NUM_ARCS 3737 TILEPROP INT_L_X34Y33 NUM_SITES 1 TILEPROP INT_L_X34Y33 ROW 121 TILEPROP INT_L_X34Y33 SLR_REGION_ID 0 TILEPROP INT_L_X34Y33 TILE_PATTERN_IDX 6034 TILEPROP INT_L_X34Y33 TILE_TYPE INT_L TILEPROP INT_L_X34Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y33 TILE_X 58974 TILEPROP INT_L_X34Y33 TILE_Y -133048 TILEPROP INT_L_X34Y33 TYPE INT_L TILEPROP INT_L_X34Y34 CLASS tile TILEPROP INT_L_X34Y34 COLUMN 88 TILEPROP INT_L_X34Y34 DEVICE_ID 0 TILEPROP INT_L_X34Y34 FIRST_SITE_ID 12117 TILEPROP INT_L_X34Y34 GRID_POINT_X 88 TILEPROP INT_L_X34Y34 GRID_POINT_Y 120 TILEPROP INT_L_X34Y34 INDEX 13888 TILEPROP INT_L_X34Y34 INT_TILE_X 34 TILEPROP INT_L_X34Y34 INT_TILE_Y 115 TILEPROP INT_L_X34Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y34 IS_DCM_TILE 0 TILEPROP INT_L_X34Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y34 NAME INT_L_X34Y34 TILEPROP INT_L_X34Y34 NUM_ARCS 3737 TILEPROP INT_L_X34Y34 NUM_SITES 1 TILEPROP INT_L_X34Y34 ROW 120 TILEPROP INT_L_X34Y34 SLR_REGION_ID 0 TILEPROP INT_L_X34Y34 TILE_PATTERN_IDX 6000 TILEPROP INT_L_X34Y34 TILE_TYPE INT_L TILEPROP INT_L_X34Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y34 TILE_X 58974 TILEPROP INT_L_X34Y34 TILE_Y -129848 TILEPROP INT_L_X34Y34 TYPE INT_L TILEPROP INT_L_X34Y35 CLASS tile TILEPROP INT_L_X34Y35 COLUMN 88 TILEPROP INT_L_X34Y35 DEVICE_ID 0 TILEPROP INT_L_X34Y35 FIRST_SITE_ID 12014 TILEPROP INT_L_X34Y35 GRID_POINT_X 88 TILEPROP INT_L_X34Y35 GRID_POINT_Y 119 TILEPROP INT_L_X34Y35 INDEX 13773 TILEPROP INT_L_X34Y35 INT_TILE_X 34 TILEPROP INT_L_X34Y35 INT_TILE_Y 114 TILEPROP INT_L_X34Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y35 IS_DCM_TILE 0 TILEPROP INT_L_X34Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y35 NAME INT_L_X34Y35 TILEPROP INT_L_X34Y35 NUM_ARCS 3737 TILEPROP INT_L_X34Y35 NUM_SITES 1 TILEPROP INT_L_X34Y35 ROW 119 TILEPROP INT_L_X34Y35 SLR_REGION_ID 0 TILEPROP INT_L_X34Y35 TILE_PATTERN_IDX 5966 TILEPROP INT_L_X34Y35 TILE_TYPE INT_L TILEPROP INT_L_X34Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y35 TILE_X 58974 TILEPROP INT_L_X34Y35 TILE_Y -126648 TILEPROP INT_L_X34Y35 TYPE INT_L TILEPROP INT_L_X34Y36 CLASS tile TILEPROP INT_L_X34Y36 COLUMN 88 TILEPROP INT_L_X34Y36 DEVICE_ID 0 TILEPROP INT_L_X34Y36 FIRST_SITE_ID 11902 TILEPROP INT_L_X34Y36 GRID_POINT_X 88 TILEPROP INT_L_X34Y36 GRID_POINT_Y 118 TILEPROP INT_L_X34Y36 INDEX 13658 TILEPROP INT_L_X34Y36 INT_TILE_X 34 TILEPROP INT_L_X34Y36 INT_TILE_Y 113 TILEPROP INT_L_X34Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y36 IS_DCM_TILE 0 TILEPROP INT_L_X34Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y36 NAME INT_L_X34Y36 TILEPROP INT_L_X34Y36 NUM_ARCS 3737 TILEPROP INT_L_X34Y36 NUM_SITES 1 TILEPROP INT_L_X34Y36 ROW 118 TILEPROP INT_L_X34Y36 SLR_REGION_ID 0 TILEPROP INT_L_X34Y36 TILE_PATTERN_IDX 5931 TILEPROP INT_L_X34Y36 TILE_TYPE INT_L TILEPROP INT_L_X34Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y36 TILE_X 58974 TILEPROP INT_L_X34Y36 TILE_Y -123448 TILEPROP INT_L_X34Y36 TYPE INT_L TILEPROP INT_L_X34Y37 CLASS tile TILEPROP INT_L_X34Y37 COLUMN 88 TILEPROP INT_L_X34Y37 DEVICE_ID 0 TILEPROP INT_L_X34Y37 FIRST_SITE_ID 11770 TILEPROP INT_L_X34Y37 GRID_POINT_X 88 TILEPROP INT_L_X34Y37 GRID_POINT_Y 117 TILEPROP INT_L_X34Y37 INDEX 13543 TILEPROP INT_L_X34Y37 INT_TILE_X 34 TILEPROP INT_L_X34Y37 INT_TILE_Y 112 TILEPROP INT_L_X34Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y37 IS_DCM_TILE 0 TILEPROP INT_L_X34Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y37 NAME INT_L_X34Y37 TILEPROP INT_L_X34Y37 NUM_ARCS 3737 TILEPROP INT_L_X34Y37 NUM_SITES 1 TILEPROP INT_L_X34Y37 ROW 117 TILEPROP INT_L_X34Y37 SLR_REGION_ID 0 TILEPROP INT_L_X34Y37 TILE_PATTERN_IDX 5896 TILEPROP INT_L_X34Y37 TILE_TYPE INT_L TILEPROP INT_L_X34Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y37 TILE_X 58974 TILEPROP INT_L_X34Y37 TILE_Y -120248 TILEPROP INT_L_X34Y37 TYPE INT_L TILEPROP INT_L_X34Y38 CLASS tile TILEPROP INT_L_X34Y38 COLUMN 88 TILEPROP INT_L_X34Y38 DEVICE_ID 0 TILEPROP INT_L_X34Y38 FIRST_SITE_ID 11670 TILEPROP INT_L_X34Y38 GRID_POINT_X 88 TILEPROP INT_L_X34Y38 GRID_POINT_Y 116 TILEPROP INT_L_X34Y38 INDEX 13428 TILEPROP INT_L_X34Y38 INT_TILE_X 34 TILEPROP INT_L_X34Y38 INT_TILE_Y 111 TILEPROP INT_L_X34Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y38 IS_DCM_TILE 0 TILEPROP INT_L_X34Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y38 NAME INT_L_X34Y38 TILEPROP INT_L_X34Y38 NUM_ARCS 3737 TILEPROP INT_L_X34Y38 NUM_SITES 1 TILEPROP INT_L_X34Y38 ROW 116 TILEPROP INT_L_X34Y38 SLR_REGION_ID 0 TILEPROP INT_L_X34Y38 TILE_PATTERN_IDX 5863 TILEPROP INT_L_X34Y38 TILE_TYPE INT_L TILEPROP INT_L_X34Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y38 TILE_X 58974 TILEPROP INT_L_X34Y38 TILE_Y -117048 TILEPROP INT_L_X34Y38 TYPE INT_L TILEPROP INT_L_X34Y39 CLASS tile TILEPROP INT_L_X34Y39 COLUMN 88 TILEPROP INT_L_X34Y39 DEVICE_ID 0 TILEPROP INT_L_X34Y39 FIRST_SITE_ID 11570 TILEPROP INT_L_X34Y39 GRID_POINT_X 88 TILEPROP INT_L_X34Y39 GRID_POINT_Y 115 TILEPROP INT_L_X34Y39 INDEX 13313 TILEPROP INT_L_X34Y39 INT_TILE_X 34 TILEPROP INT_L_X34Y39 INT_TILE_Y 110 TILEPROP INT_L_X34Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y39 IS_DCM_TILE 0 TILEPROP INT_L_X34Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y39 NAME INT_L_X34Y39 TILEPROP INT_L_X34Y39 NUM_ARCS 3737 TILEPROP INT_L_X34Y39 NUM_SITES 1 TILEPROP INT_L_X34Y39 ROW 115 TILEPROP INT_L_X34Y39 SLR_REGION_ID 0 TILEPROP INT_L_X34Y39 TILE_PATTERN_IDX 5829 TILEPROP INT_L_X34Y39 TILE_TYPE INT_L TILEPROP INT_L_X34Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y39 TILE_X 58974 TILEPROP INT_L_X34Y39 TILE_Y -113848 TILEPROP INT_L_X34Y39 TYPE INT_L TILEPROP INT_L_X34Y40 CLASS tile TILEPROP INT_L_X34Y40 COLUMN 88 TILEPROP INT_L_X34Y40 DEVICE_ID 0 TILEPROP INT_L_X34Y40 FIRST_SITE_ID 11467 TILEPROP INT_L_X34Y40 GRID_POINT_X 88 TILEPROP INT_L_X34Y40 GRID_POINT_Y 114 TILEPROP INT_L_X34Y40 INDEX 13198 TILEPROP INT_L_X34Y40 INT_TILE_X 34 TILEPROP INT_L_X34Y40 INT_TILE_Y 109 TILEPROP INT_L_X34Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y40 IS_DCM_TILE 0 TILEPROP INT_L_X34Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y40 NAME INT_L_X34Y40 TILEPROP INT_L_X34Y40 NUM_ARCS 3737 TILEPROP INT_L_X34Y40 NUM_SITES 1 TILEPROP INT_L_X34Y40 ROW 114 TILEPROP INT_L_X34Y40 SLR_REGION_ID 0 TILEPROP INT_L_X34Y40 TILE_PATTERN_IDX 5795 TILEPROP INT_L_X34Y40 TILE_TYPE INT_L TILEPROP INT_L_X34Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y40 TILE_X 58974 TILEPROP INT_L_X34Y40 TILE_Y -110648 TILEPROP INT_L_X34Y40 TYPE INT_L TILEPROP INT_L_X34Y41 CLASS tile TILEPROP INT_L_X34Y41 COLUMN 88 TILEPROP INT_L_X34Y41 DEVICE_ID 0 TILEPROP INT_L_X34Y41 FIRST_SITE_ID 11353 TILEPROP INT_L_X34Y41 GRID_POINT_X 88 TILEPROP INT_L_X34Y41 GRID_POINT_Y 113 TILEPROP INT_L_X34Y41 INDEX 13083 TILEPROP INT_L_X34Y41 INT_TILE_X 34 TILEPROP INT_L_X34Y41 INT_TILE_Y 108 TILEPROP INT_L_X34Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y41 IS_DCM_TILE 0 TILEPROP INT_L_X34Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y41 NAME INT_L_X34Y41 TILEPROP INT_L_X34Y41 NUM_ARCS 3737 TILEPROP INT_L_X34Y41 NUM_SITES 1 TILEPROP INT_L_X34Y41 ROW 113 TILEPROP INT_L_X34Y41 SLR_REGION_ID 0 TILEPROP INT_L_X34Y41 TILE_PATTERN_IDX 7535 TILEPROP INT_L_X34Y41 TILE_TYPE INT_L TILEPROP INT_L_X34Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y41 TILE_X 58974 TILEPROP INT_L_X34Y41 TILE_Y -107448 TILEPROP INT_L_X34Y41 TYPE INT_L TILEPROP INT_L_X34Y42 CLASS tile TILEPROP INT_L_X34Y42 COLUMN 88 TILEPROP INT_L_X34Y42 DEVICE_ID 0 TILEPROP INT_L_X34Y42 FIRST_SITE_ID 11251 TILEPROP INT_L_X34Y42 GRID_POINT_X 88 TILEPROP INT_L_X34Y42 GRID_POINT_Y 112 TILEPROP INT_L_X34Y42 INDEX 12968 TILEPROP INT_L_X34Y42 INT_TILE_X 34 TILEPROP INT_L_X34Y42 INT_TILE_Y 107 TILEPROP INT_L_X34Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y42 IS_DCM_TILE 0 TILEPROP INT_L_X34Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y42 NAME INT_L_X34Y42 TILEPROP INT_L_X34Y42 NUM_ARCS 3737 TILEPROP INT_L_X34Y42 NUM_SITES 1 TILEPROP INT_L_X34Y42 ROW 112 TILEPROP INT_L_X34Y42 SLR_REGION_ID 0 TILEPROP INT_L_X34Y42 TILE_PATTERN_IDX 5728 TILEPROP INT_L_X34Y42 TILE_TYPE INT_L TILEPROP INT_L_X34Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y42 TILE_X 58974 TILEPROP INT_L_X34Y42 TILE_Y -104248 TILEPROP INT_L_X34Y42 TYPE INT_L TILEPROP INT_L_X34Y43 CLASS tile TILEPROP INT_L_X34Y43 COLUMN 88 TILEPROP INT_L_X34Y43 DEVICE_ID 0 TILEPROP INT_L_X34Y43 FIRST_SITE_ID 11148 TILEPROP INT_L_X34Y43 GRID_POINT_X 88 TILEPROP INT_L_X34Y43 GRID_POINT_Y 111 TILEPROP INT_L_X34Y43 INDEX 12853 TILEPROP INT_L_X34Y43 INT_TILE_X 34 TILEPROP INT_L_X34Y43 INT_TILE_Y 106 TILEPROP INT_L_X34Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y43 IS_DCM_TILE 0 TILEPROP INT_L_X34Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y43 NAME INT_L_X34Y43 TILEPROP INT_L_X34Y43 NUM_ARCS 3737 TILEPROP INT_L_X34Y43 NUM_SITES 1 TILEPROP INT_L_X34Y43 ROW 111 TILEPROP INT_L_X34Y43 SLR_REGION_ID 0 TILEPROP INT_L_X34Y43 TILE_PATTERN_IDX 5694 TILEPROP INT_L_X34Y43 TILE_TYPE INT_L TILEPROP INT_L_X34Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y43 TILE_X 58974 TILEPROP INT_L_X34Y43 TILE_Y -101048 TILEPROP INT_L_X34Y43 TYPE INT_L TILEPROP INT_L_X34Y44 CLASS tile TILEPROP INT_L_X34Y44 COLUMN 88 TILEPROP INT_L_X34Y44 DEVICE_ID 0 TILEPROP INT_L_X34Y44 FIRST_SITE_ID 11046 TILEPROP INT_L_X34Y44 GRID_POINT_X 88 TILEPROP INT_L_X34Y44 GRID_POINT_Y 110 TILEPROP INT_L_X34Y44 INDEX 12738 TILEPROP INT_L_X34Y44 INT_TILE_X 34 TILEPROP INT_L_X34Y44 INT_TILE_Y 105 TILEPROP INT_L_X34Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y44 IS_DCM_TILE 0 TILEPROP INT_L_X34Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y44 NAME INT_L_X34Y44 TILEPROP INT_L_X34Y44 NUM_ARCS 3737 TILEPROP INT_L_X34Y44 NUM_SITES 1 TILEPROP INT_L_X34Y44 ROW 110 TILEPROP INT_L_X34Y44 SLR_REGION_ID 0 TILEPROP INT_L_X34Y44 TILE_PATTERN_IDX 5660 TILEPROP INT_L_X34Y44 TILE_TYPE INT_L TILEPROP INT_L_X34Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y44 TILE_X 58974 TILEPROP INT_L_X34Y44 TILE_Y -97848 TILEPROP INT_L_X34Y44 TYPE INT_L TILEPROP INT_L_X34Y45 CLASS tile TILEPROP INT_L_X34Y45 COLUMN 88 TILEPROP INT_L_X34Y45 DEVICE_ID 0 TILEPROP INT_L_X34Y45 FIRST_SITE_ID 10943 TILEPROP INT_L_X34Y45 GRID_POINT_X 88 TILEPROP INT_L_X34Y45 GRID_POINT_Y 109 TILEPROP INT_L_X34Y45 INDEX 12623 TILEPROP INT_L_X34Y45 INT_TILE_X 34 TILEPROP INT_L_X34Y45 INT_TILE_Y 104 TILEPROP INT_L_X34Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y45 IS_DCM_TILE 0 TILEPROP INT_L_X34Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y45 NAME INT_L_X34Y45 TILEPROP INT_L_X34Y45 NUM_ARCS 3737 TILEPROP INT_L_X34Y45 NUM_SITES 1 TILEPROP INT_L_X34Y45 ROW 109 TILEPROP INT_L_X34Y45 SLR_REGION_ID 0 TILEPROP INT_L_X34Y45 TILE_PATTERN_IDX 7413 TILEPROP INT_L_X34Y45 TILE_TYPE INT_L TILEPROP INT_L_X34Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y45 TILE_X 58974 TILEPROP INT_L_X34Y45 TILE_Y -94648 TILEPROP INT_L_X34Y45 TYPE INT_L TILEPROP INT_L_X34Y46 CLASS tile TILEPROP INT_L_X34Y46 COLUMN 88 TILEPROP INT_L_X34Y46 DEVICE_ID 0 TILEPROP INT_L_X34Y46 FIRST_SITE_ID 10830 TILEPROP INT_L_X34Y46 GRID_POINT_X 88 TILEPROP INT_L_X34Y46 GRID_POINT_Y 108 TILEPROP INT_L_X34Y46 INDEX 12508 TILEPROP INT_L_X34Y46 INT_TILE_X 34 TILEPROP INT_L_X34Y46 INT_TILE_Y 103 TILEPROP INT_L_X34Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y46 IS_DCM_TILE 0 TILEPROP INT_L_X34Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y46 NAME INT_L_X34Y46 TILEPROP INT_L_X34Y46 NUM_ARCS 3737 TILEPROP INT_L_X34Y46 NUM_SITES 1 TILEPROP INT_L_X34Y46 ROW 108 TILEPROP INT_L_X34Y46 SLR_REGION_ID 0 TILEPROP INT_L_X34Y46 TILE_PATTERN_IDX 7377 TILEPROP INT_L_X34Y46 TILE_TYPE INT_L TILEPROP INT_L_X34Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y46 TILE_X 58974 TILEPROP INT_L_X34Y46 TILE_Y -91448 TILEPROP INT_L_X34Y46 TYPE INT_L TILEPROP INT_L_X34Y47 CLASS tile TILEPROP INT_L_X34Y47 COLUMN 88 TILEPROP INT_L_X34Y47 DEVICE_ID 0 TILEPROP INT_L_X34Y47 FIRST_SITE_ID 10714 TILEPROP INT_L_X34Y47 GRID_POINT_X 88 TILEPROP INT_L_X34Y47 GRID_POINT_Y 107 TILEPROP INT_L_X34Y47 INDEX 12393 TILEPROP INT_L_X34Y47 INT_TILE_X 34 TILEPROP INT_L_X34Y47 INT_TILE_Y 102 TILEPROP INT_L_X34Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y47 IS_DCM_TILE 0 TILEPROP INT_L_X34Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y47 NAME INT_L_X34Y47 TILEPROP INT_L_X34Y47 NUM_ARCS 3737 TILEPROP INT_L_X34Y47 NUM_SITES 1 TILEPROP INT_L_X34Y47 ROW 107 TILEPROP INT_L_X34Y47 SLR_REGION_ID 0 TILEPROP INT_L_X34Y47 TILE_PATTERN_IDX 7340 TILEPROP INT_L_X34Y47 TILE_TYPE INT_L TILEPROP INT_L_X34Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y47 TILE_X 58974 TILEPROP INT_L_X34Y47 TILE_Y -88248 TILEPROP INT_L_X34Y47 TYPE INT_L TILEPROP INT_L_X34Y48 CLASS tile TILEPROP INT_L_X34Y48 COLUMN 88 TILEPROP INT_L_X34Y48 DEVICE_ID 0 TILEPROP INT_L_X34Y48 FIRST_SITE_ID 10614 TILEPROP INT_L_X34Y48 GRID_POINT_X 88 TILEPROP INT_L_X34Y48 GRID_POINT_Y 106 TILEPROP INT_L_X34Y48 INDEX 12278 TILEPROP INT_L_X34Y48 INT_TILE_X 34 TILEPROP INT_L_X34Y48 INT_TILE_Y 101 TILEPROP INT_L_X34Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y48 IS_DCM_TILE 0 TILEPROP INT_L_X34Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y48 NAME INT_L_X34Y48 TILEPROP INT_L_X34Y48 NUM_ARCS 3737 TILEPROP INT_L_X34Y48 NUM_SITES 1 TILEPROP INT_L_X34Y48 ROW 106 TILEPROP INT_L_X34Y48 SLR_REGION_ID 0 TILEPROP INT_L_X34Y48 TILE_PATTERN_IDX 7304 TILEPROP INT_L_X34Y48 TILE_TYPE INT_L TILEPROP INT_L_X34Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y48 TILE_X 58974 TILEPROP INT_L_X34Y48 TILE_Y -85048 TILEPROP INT_L_X34Y48 TYPE INT_L TILEPROP INT_L_X34Y49 CLASS tile TILEPROP INT_L_X34Y49 COLUMN 88 TILEPROP INT_L_X34Y49 DEVICE_ID 0 TILEPROP INT_L_X34Y49 FIRST_SITE_ID 10518 TILEPROP INT_L_X34Y49 GRID_POINT_X 88 TILEPROP INT_L_X34Y49 GRID_POINT_Y 105 TILEPROP INT_L_X34Y49 INDEX 12163 TILEPROP INT_L_X34Y49 INT_TILE_X 34 TILEPROP INT_L_X34Y49 INT_TILE_Y 100 TILEPROP INT_L_X34Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y49 IS_DCM_TILE 0 TILEPROP INT_L_X34Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y49 NAME INT_L_X34Y49 TILEPROP INT_L_X34Y49 NUM_ARCS 3737 TILEPROP INT_L_X34Y49 NUM_SITES 1 TILEPROP INT_L_X34Y49 ROW 105 TILEPROP INT_L_X34Y49 SLR_REGION_ID 0 TILEPROP INT_L_X34Y49 TILE_PATTERN_IDX 7268 TILEPROP INT_L_X34Y49 TILE_TYPE INT_L TILEPROP INT_L_X34Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y49 TILE_X 58974 TILEPROP INT_L_X34Y49 TILE_Y -81848 TILEPROP INT_L_X34Y49 TYPE INT_L TILEPROP INT_L_X34Y50 CLASS tile TILEPROP INT_L_X34Y50 COLUMN 88 TILEPROP INT_L_X34Y50 DEVICE_ID 0 TILEPROP INT_L_X34Y50 FIRST_SITE_ID 10415 TILEPROP INT_L_X34Y50 GRID_POINT_X 88 TILEPROP INT_L_X34Y50 GRID_POINT_Y 103 TILEPROP INT_L_X34Y50 INDEX 11933 TILEPROP INT_L_X34Y50 INT_TILE_X 34 TILEPROP INT_L_X34Y50 INT_TILE_Y 99 TILEPROP INT_L_X34Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y50 IS_DCM_TILE 0 TILEPROP INT_L_X34Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y50 NAME INT_L_X34Y50 TILEPROP INT_L_X34Y50 NUM_ARCS 3737 TILEPROP INT_L_X34Y50 NUM_SITES 1 TILEPROP INT_L_X34Y50 ROW 103 TILEPROP INT_L_X34Y50 SLR_REGION_ID 0 TILEPROP INT_L_X34Y50 TILE_PATTERN_IDX 7231 TILEPROP INT_L_X34Y50 TILE_TYPE INT_L TILEPROP INT_L_X34Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y50 TILE_X 58974 TILEPROP INT_L_X34Y50 TILE_Y -78400 TILEPROP INT_L_X34Y50 TYPE INT_L TILEPROP INT_L_X34Y51 CLASS tile TILEPROP INT_L_X34Y51 COLUMN 88 TILEPROP INT_L_X34Y51 DEVICE_ID 0 TILEPROP INT_L_X34Y51 FIRST_SITE_ID 10283 TILEPROP INT_L_X34Y51 GRID_POINT_X 88 TILEPROP INT_L_X34Y51 GRID_POINT_Y 102 TILEPROP INT_L_X34Y51 INDEX 11818 TILEPROP INT_L_X34Y51 INT_TILE_X 34 TILEPROP INT_L_X34Y51 INT_TILE_Y 98 TILEPROP INT_L_X34Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y51 IS_DCM_TILE 0 TILEPROP INT_L_X34Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y51 NAME INT_L_X34Y51 TILEPROP INT_L_X34Y51 NUM_ARCS 3737 TILEPROP INT_L_X34Y51 NUM_SITES 1 TILEPROP INT_L_X34Y51 ROW 102 TILEPROP INT_L_X34Y51 SLR_REGION_ID 0 TILEPROP INT_L_X34Y51 TILE_PATTERN_IDX 7194 TILEPROP INT_L_X34Y51 TILE_TYPE INT_L TILEPROP INT_L_X34Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y51 TILE_X 58974 TILEPROP INT_L_X34Y51 TILE_Y -75200 TILEPROP INT_L_X34Y51 TYPE INT_L TILEPROP INT_L_X34Y52 CLASS tile TILEPROP INT_L_X34Y52 COLUMN 88 TILEPROP INT_L_X34Y52 DEVICE_ID 0 TILEPROP INT_L_X34Y52 FIRST_SITE_ID 10183 TILEPROP INT_L_X34Y52 GRID_POINT_X 88 TILEPROP INT_L_X34Y52 GRID_POINT_Y 101 TILEPROP INT_L_X34Y52 INDEX 11703 TILEPROP INT_L_X34Y52 INT_TILE_X 34 TILEPROP INT_L_X34Y52 INT_TILE_Y 97 TILEPROP INT_L_X34Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y52 IS_DCM_TILE 0 TILEPROP INT_L_X34Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y52 NAME INT_L_X34Y52 TILEPROP INT_L_X34Y52 NUM_ARCS 3737 TILEPROP INT_L_X34Y52 NUM_SITES 1 TILEPROP INT_L_X34Y52 ROW 101 TILEPROP INT_L_X34Y52 SLR_REGION_ID 0 TILEPROP INT_L_X34Y52 TILE_PATTERN_IDX 7157 TILEPROP INT_L_X34Y52 TILE_TYPE INT_L TILEPROP INT_L_X34Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y52 TILE_X 58974 TILEPROP INT_L_X34Y52 TILE_Y -72000 TILEPROP INT_L_X34Y52 TYPE INT_L TILEPROP INT_L_X34Y53 CLASS tile TILEPROP INT_L_X34Y53 COLUMN 88 TILEPROP INT_L_X34Y53 DEVICE_ID 0 TILEPROP INT_L_X34Y53 FIRST_SITE_ID 10083 TILEPROP INT_L_X34Y53 GRID_POINT_X 88 TILEPROP INT_L_X34Y53 GRID_POINT_Y 100 TILEPROP INT_L_X34Y53 INDEX 11588 TILEPROP INT_L_X34Y53 INT_TILE_X 34 TILEPROP INT_L_X34Y53 INT_TILE_Y 96 TILEPROP INT_L_X34Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y53 IS_DCM_TILE 0 TILEPROP INT_L_X34Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y53 NAME INT_L_X34Y53 TILEPROP INT_L_X34Y53 NUM_ARCS 3737 TILEPROP INT_L_X34Y53 NUM_SITES 1 TILEPROP INT_L_X34Y53 ROW 100 TILEPROP INT_L_X34Y53 SLR_REGION_ID 0 TILEPROP INT_L_X34Y53 TILE_PATTERN_IDX 7120 TILEPROP INT_L_X34Y53 TILE_TYPE INT_L TILEPROP INT_L_X34Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y53 TILE_X 58974 TILEPROP INT_L_X34Y53 TILE_Y -68800 TILEPROP INT_L_X34Y53 TYPE INT_L TILEPROP INT_L_X34Y54 CLASS tile TILEPROP INT_L_X34Y54 COLUMN 88 TILEPROP INT_L_X34Y54 DEVICE_ID 0 TILEPROP INT_L_X34Y54 FIRST_SITE_ID 9983 TILEPROP INT_L_X34Y54 GRID_POINT_X 88 TILEPROP INT_L_X34Y54 GRID_POINT_Y 99 TILEPROP INT_L_X34Y54 INDEX 11473 TILEPROP INT_L_X34Y54 INT_TILE_X 34 TILEPROP INT_L_X34Y54 INT_TILE_Y 95 TILEPROP INT_L_X34Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y54 IS_DCM_TILE 0 TILEPROP INT_L_X34Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y54 NAME INT_L_X34Y54 TILEPROP INT_L_X34Y54 NUM_ARCS 3737 TILEPROP INT_L_X34Y54 NUM_SITES 1 TILEPROP INT_L_X34Y54 ROW 99 TILEPROP INT_L_X34Y54 SLR_REGION_ID 0 TILEPROP INT_L_X34Y54 TILE_PATTERN_IDX 7083 TILEPROP INT_L_X34Y54 TILE_TYPE INT_L TILEPROP INT_L_X34Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y54 TILE_X 58974 TILEPROP INT_L_X34Y54 TILE_Y -65600 TILEPROP INT_L_X34Y54 TYPE INT_L TILEPROP INT_L_X34Y55 CLASS tile TILEPROP INT_L_X34Y55 COLUMN 88 TILEPROP INT_L_X34Y55 DEVICE_ID 0 TILEPROP INT_L_X34Y55 FIRST_SITE_ID 9880 TILEPROP INT_L_X34Y55 GRID_POINT_X 88 TILEPROP INT_L_X34Y55 GRID_POINT_Y 98 TILEPROP INT_L_X34Y55 INDEX 11358 TILEPROP INT_L_X34Y55 INT_TILE_X 34 TILEPROP INT_L_X34Y55 INT_TILE_Y 94 TILEPROP INT_L_X34Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y55 IS_DCM_TILE 0 TILEPROP INT_L_X34Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y55 NAME INT_L_X34Y55 TILEPROP INT_L_X34Y55 NUM_ARCS 3737 TILEPROP INT_L_X34Y55 NUM_SITES 1 TILEPROP INT_L_X34Y55 ROW 98 TILEPROP INT_L_X34Y55 SLR_REGION_ID 0 TILEPROP INT_L_X34Y55 TILE_PATTERN_IDX 7045 TILEPROP INT_L_X34Y55 TILE_TYPE INT_L TILEPROP INT_L_X34Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y55 TILE_X 58974 TILEPROP INT_L_X34Y55 TILE_Y -62400 TILEPROP INT_L_X34Y55 TYPE INT_L TILEPROP INT_L_X34Y56 CLASS tile TILEPROP INT_L_X34Y56 COLUMN 88 TILEPROP INT_L_X34Y56 DEVICE_ID 0 TILEPROP INT_L_X34Y56 FIRST_SITE_ID 9768 TILEPROP INT_L_X34Y56 GRID_POINT_X 88 TILEPROP INT_L_X34Y56 GRID_POINT_Y 97 TILEPROP INT_L_X34Y56 INDEX 11243 TILEPROP INT_L_X34Y56 INT_TILE_X 34 TILEPROP INT_L_X34Y56 INT_TILE_Y 93 TILEPROP INT_L_X34Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y56 IS_DCM_TILE 0 TILEPROP INT_L_X34Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y56 NAME INT_L_X34Y56 TILEPROP INT_L_X34Y56 NUM_ARCS 3737 TILEPROP INT_L_X34Y56 NUM_SITES 1 TILEPROP INT_L_X34Y56 ROW 97 TILEPROP INT_L_X34Y56 SLR_REGION_ID 0 TILEPROP INT_L_X34Y56 TILE_PATTERN_IDX 7008 TILEPROP INT_L_X34Y56 TILE_TYPE INT_L TILEPROP INT_L_X34Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y56 TILE_X 58974 TILEPROP INT_L_X34Y56 TILE_Y -59200 TILEPROP INT_L_X34Y56 TYPE INT_L TILEPROP INT_L_X34Y57 CLASS tile TILEPROP INT_L_X34Y57 COLUMN 88 TILEPROP INT_L_X34Y57 DEVICE_ID 0 TILEPROP INT_L_X34Y57 FIRST_SITE_ID 9666 TILEPROP INT_L_X34Y57 GRID_POINT_X 88 TILEPROP INT_L_X34Y57 GRID_POINT_Y 96 TILEPROP INT_L_X34Y57 INDEX 11128 TILEPROP INT_L_X34Y57 INT_TILE_X 34 TILEPROP INT_L_X34Y57 INT_TILE_Y 92 TILEPROP INT_L_X34Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y57 IS_DCM_TILE 0 TILEPROP INT_L_X34Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y57 NAME INT_L_X34Y57 TILEPROP INT_L_X34Y57 NUM_ARCS 3737 TILEPROP INT_L_X34Y57 NUM_SITES 1 TILEPROP INT_L_X34Y57 ROW 96 TILEPROP INT_L_X34Y57 SLR_REGION_ID 0 TILEPROP INT_L_X34Y57 TILE_PATTERN_IDX 6971 TILEPROP INT_L_X34Y57 TILE_TYPE INT_L TILEPROP INT_L_X34Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y57 TILE_X 58974 TILEPROP INT_L_X34Y57 TILE_Y -56000 TILEPROP INT_L_X34Y57 TYPE INT_L TILEPROP INT_L_X34Y58 CLASS tile TILEPROP INT_L_X34Y58 COLUMN 88 TILEPROP INT_L_X34Y58 DEVICE_ID 0 TILEPROP INT_L_X34Y58 FIRST_SITE_ID 9563 TILEPROP INT_L_X34Y58 GRID_POINT_X 88 TILEPROP INT_L_X34Y58 GRID_POINT_Y 95 TILEPROP INT_L_X34Y58 INDEX 11013 TILEPROP INT_L_X34Y58 INT_TILE_X 34 TILEPROP INT_L_X34Y58 INT_TILE_Y 91 TILEPROP INT_L_X34Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y58 IS_DCM_TILE 0 TILEPROP INT_L_X34Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y58 NAME INT_L_X34Y58 TILEPROP INT_L_X34Y58 NUM_ARCS 3737 TILEPROP INT_L_X34Y58 NUM_SITES 1 TILEPROP INT_L_X34Y58 ROW 95 TILEPROP INT_L_X34Y58 SLR_REGION_ID 0 TILEPROP INT_L_X34Y58 TILE_PATTERN_IDX 6933 TILEPROP INT_L_X34Y58 TILE_TYPE INT_L TILEPROP INT_L_X34Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y58 TILE_X 58974 TILEPROP INT_L_X34Y58 TILE_Y -52800 TILEPROP INT_L_X34Y58 TYPE INT_L TILEPROP INT_L_X34Y59 CLASS tile TILEPROP INT_L_X34Y59 COLUMN 88 TILEPROP INT_L_X34Y59 DEVICE_ID 0 TILEPROP INT_L_X34Y59 FIRST_SITE_ID 9462 TILEPROP INT_L_X34Y59 GRID_POINT_X 88 TILEPROP INT_L_X34Y59 GRID_POINT_Y 94 TILEPROP INT_L_X34Y59 INDEX 10898 TILEPROP INT_L_X34Y59 INT_TILE_X 34 TILEPROP INT_L_X34Y59 INT_TILE_Y 90 TILEPROP INT_L_X34Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y59 IS_DCM_TILE 0 TILEPROP INT_L_X34Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y59 NAME INT_L_X34Y59 TILEPROP INT_L_X34Y59 NUM_ARCS 3737 TILEPROP INT_L_X34Y59 NUM_SITES 1 TILEPROP INT_L_X34Y59 ROW 94 TILEPROP INT_L_X34Y59 SLR_REGION_ID 0 TILEPROP INT_L_X34Y59 TILE_PATTERN_IDX 6896 TILEPROP INT_L_X34Y59 TILE_TYPE INT_L TILEPROP INT_L_X34Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y59 TILE_X 58974 TILEPROP INT_L_X34Y59 TILE_Y -49600 TILEPROP INT_L_X34Y59 TYPE INT_L TILEPROP INT_L_X34Y60 CLASS tile TILEPROP INT_L_X34Y60 COLUMN 88 TILEPROP INT_L_X34Y60 DEVICE_ID 0 TILEPROP INT_L_X34Y60 FIRST_SITE_ID 9359 TILEPROP INT_L_X34Y60 GRID_POINT_X 88 TILEPROP INT_L_X34Y60 GRID_POINT_Y 93 TILEPROP INT_L_X34Y60 INDEX 10783 TILEPROP INT_L_X34Y60 INT_TILE_X 34 TILEPROP INT_L_X34Y60 INT_TILE_Y 89 TILEPROP INT_L_X34Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y60 IS_DCM_TILE 0 TILEPROP INT_L_X34Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y60 NAME INT_L_X34Y60 TILEPROP INT_L_X34Y60 NUM_ARCS 3737 TILEPROP INT_L_X34Y60 NUM_SITES 1 TILEPROP INT_L_X34Y60 ROW 93 TILEPROP INT_L_X34Y60 SLR_REGION_ID 0 TILEPROP INT_L_X34Y60 TILE_PATTERN_IDX 6859 TILEPROP INT_L_X34Y60 TILE_TYPE INT_L TILEPROP INT_L_X34Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y60 TILE_X 58974 TILEPROP INT_L_X34Y60 TILE_Y -46400 TILEPROP INT_L_X34Y60 TYPE INT_L TILEPROP INT_L_X34Y61 CLASS tile TILEPROP INT_L_X34Y61 COLUMN 88 TILEPROP INT_L_X34Y61 DEVICE_ID 0 TILEPROP INT_L_X34Y61 FIRST_SITE_ID 9247 TILEPROP INT_L_X34Y61 GRID_POINT_X 88 TILEPROP INT_L_X34Y61 GRID_POINT_Y 92 TILEPROP INT_L_X34Y61 INDEX 10668 TILEPROP INT_L_X34Y61 INT_TILE_X 34 TILEPROP INT_L_X34Y61 INT_TILE_Y 88 TILEPROP INT_L_X34Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y61 IS_DCM_TILE 0 TILEPROP INT_L_X34Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y61 NAME INT_L_X34Y61 TILEPROP INT_L_X34Y61 NUM_ARCS 3737 TILEPROP INT_L_X34Y61 NUM_SITES 1 TILEPROP INT_L_X34Y61 ROW 92 TILEPROP INT_L_X34Y61 SLR_REGION_ID 0 TILEPROP INT_L_X34Y61 TILE_PATTERN_IDX 6822 TILEPROP INT_L_X34Y61 TILE_TYPE INT_L TILEPROP INT_L_X34Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y61 TILE_X 58974 TILEPROP INT_L_X34Y61 TILE_Y -43200 TILEPROP INT_L_X34Y61 TYPE INT_L TILEPROP INT_L_X34Y62 CLASS tile TILEPROP INT_L_X34Y62 COLUMN 88 TILEPROP INT_L_X34Y62 DEVICE_ID 0 TILEPROP INT_L_X34Y62 FIRST_SITE_ID 9147 TILEPROP INT_L_X34Y62 GRID_POINT_X 88 TILEPROP INT_L_X34Y62 GRID_POINT_Y 91 TILEPROP INT_L_X34Y62 INDEX 10553 TILEPROP INT_L_X34Y62 INT_TILE_X 34 TILEPROP INT_L_X34Y62 INT_TILE_Y 87 TILEPROP INT_L_X34Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y62 IS_DCM_TILE 0 TILEPROP INT_L_X34Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y62 NAME INT_L_X34Y62 TILEPROP INT_L_X34Y62 NUM_ARCS 3737 TILEPROP INT_L_X34Y62 NUM_SITES 1 TILEPROP INT_L_X34Y62 ROW 91 TILEPROP INT_L_X34Y62 SLR_REGION_ID 0 TILEPROP INT_L_X34Y62 TILE_PATTERN_IDX 6785 TILEPROP INT_L_X34Y62 TILE_TYPE INT_L TILEPROP INT_L_X34Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y62 TILE_X 58974 TILEPROP INT_L_X34Y62 TILE_Y -40000 TILEPROP INT_L_X34Y62 TYPE INT_L TILEPROP INT_L_X34Y63 CLASS tile TILEPROP INT_L_X34Y63 COLUMN 88 TILEPROP INT_L_X34Y63 DEVICE_ID 0 TILEPROP INT_L_X34Y63 FIRST_SITE_ID 9015 TILEPROP INT_L_X34Y63 GRID_POINT_X 88 TILEPROP INT_L_X34Y63 GRID_POINT_Y 90 TILEPROP INT_L_X34Y63 INDEX 10438 TILEPROP INT_L_X34Y63 INT_TILE_X 34 TILEPROP INT_L_X34Y63 INT_TILE_Y 86 TILEPROP INT_L_X34Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y63 IS_DCM_TILE 0 TILEPROP INT_L_X34Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y63 NAME INT_L_X34Y63 TILEPROP INT_L_X34Y63 NUM_ARCS 3737 TILEPROP INT_L_X34Y63 NUM_SITES 1 TILEPROP INT_L_X34Y63 ROW 90 TILEPROP INT_L_X34Y63 SLR_REGION_ID 0 TILEPROP INT_L_X34Y63 TILE_PATTERN_IDX 6748 TILEPROP INT_L_X34Y63 TILE_TYPE INT_L TILEPROP INT_L_X34Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y63 TILE_X 58974 TILEPROP INT_L_X34Y63 TILE_Y -36800 TILEPROP INT_L_X34Y63 TYPE INT_L TILEPROP INT_L_X34Y64 CLASS tile TILEPROP INT_L_X34Y64 COLUMN 88 TILEPROP INT_L_X34Y64 DEVICE_ID 0 TILEPROP INT_L_X34Y64 FIRST_SITE_ID 8915 TILEPROP INT_L_X34Y64 GRID_POINT_X 88 TILEPROP INT_L_X34Y64 GRID_POINT_Y 89 TILEPROP INT_L_X34Y64 INDEX 10323 TILEPROP INT_L_X34Y64 INT_TILE_X 34 TILEPROP INT_L_X34Y64 INT_TILE_Y 85 TILEPROP INT_L_X34Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y64 IS_DCM_TILE 0 TILEPROP INT_L_X34Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y64 NAME INT_L_X34Y64 TILEPROP INT_L_X34Y64 NUM_ARCS 3737 TILEPROP INT_L_X34Y64 NUM_SITES 1 TILEPROP INT_L_X34Y64 ROW 89 TILEPROP INT_L_X34Y64 SLR_REGION_ID 0 TILEPROP INT_L_X34Y64 TILE_PATTERN_IDX 6711 TILEPROP INT_L_X34Y64 TILE_TYPE INT_L TILEPROP INT_L_X34Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y64 TILE_X 58974 TILEPROP INT_L_X34Y64 TILE_Y -33600 TILEPROP INT_L_X34Y64 TYPE INT_L TILEPROP INT_L_X34Y65 CLASS tile TILEPROP INT_L_X34Y65 COLUMN 88 TILEPROP INT_L_X34Y65 DEVICE_ID 0 TILEPROP INT_L_X34Y65 FIRST_SITE_ID 8812 TILEPROP INT_L_X34Y65 GRID_POINT_X 88 TILEPROP INT_L_X34Y65 GRID_POINT_Y 88 TILEPROP INT_L_X34Y65 INDEX 10208 TILEPROP INT_L_X34Y65 INT_TILE_X 34 TILEPROP INT_L_X34Y65 INT_TILE_Y 84 TILEPROP INT_L_X34Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y65 IS_DCM_TILE 0 TILEPROP INT_L_X34Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y65 NAME INT_L_X34Y65 TILEPROP INT_L_X34Y65 NUM_ARCS 3737 TILEPROP INT_L_X34Y65 NUM_SITES 1 TILEPROP INT_L_X34Y65 ROW 88 TILEPROP INT_L_X34Y65 SLR_REGION_ID 0 TILEPROP INT_L_X34Y65 TILE_PATTERN_IDX 6674 TILEPROP INT_L_X34Y65 TILE_TYPE INT_L TILEPROP INT_L_X34Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y65 TILE_X 58974 TILEPROP INT_L_X34Y65 TILE_Y -30400 TILEPROP INT_L_X34Y65 TYPE INT_L TILEPROP INT_L_X34Y66 CLASS tile TILEPROP INT_L_X34Y66 COLUMN 88 TILEPROP INT_L_X34Y66 DEVICE_ID 0 TILEPROP INT_L_X34Y66 FIRST_SITE_ID 8700 TILEPROP INT_L_X34Y66 GRID_POINT_X 88 TILEPROP INT_L_X34Y66 GRID_POINT_Y 87 TILEPROP INT_L_X34Y66 INDEX 10093 TILEPROP INT_L_X34Y66 INT_TILE_X 34 TILEPROP INT_L_X34Y66 INT_TILE_Y 83 TILEPROP INT_L_X34Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y66 IS_DCM_TILE 0 TILEPROP INT_L_X34Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y66 NAME INT_L_X34Y66 TILEPROP INT_L_X34Y66 NUM_ARCS 3737 TILEPROP INT_L_X34Y66 NUM_SITES 1 TILEPROP INT_L_X34Y66 ROW 87 TILEPROP INT_L_X34Y66 SLR_REGION_ID 0 TILEPROP INT_L_X34Y66 TILE_PATTERN_IDX 6637 TILEPROP INT_L_X34Y66 TILE_TYPE INT_L TILEPROP INT_L_X34Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y66 TILE_X 58974 TILEPROP INT_L_X34Y66 TILE_Y -27200 TILEPROP INT_L_X34Y66 TYPE INT_L TILEPROP INT_L_X34Y67 CLASS tile TILEPROP INT_L_X34Y67 COLUMN 88 TILEPROP INT_L_X34Y67 DEVICE_ID 0 TILEPROP INT_L_X34Y67 FIRST_SITE_ID 8596 TILEPROP INT_L_X34Y67 GRID_POINT_X 88 TILEPROP INT_L_X34Y67 GRID_POINT_Y 86 TILEPROP INT_L_X34Y67 INDEX 9978 TILEPROP INT_L_X34Y67 INT_TILE_X 34 TILEPROP INT_L_X34Y67 INT_TILE_Y 82 TILEPROP INT_L_X34Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y67 IS_DCM_TILE 0 TILEPROP INT_L_X34Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y67 NAME INT_L_X34Y67 TILEPROP INT_L_X34Y67 NUM_ARCS 3737 TILEPROP INT_L_X34Y67 NUM_SITES 1 TILEPROP INT_L_X34Y67 ROW 86 TILEPROP INT_L_X34Y67 SLR_REGION_ID 0 TILEPROP INT_L_X34Y67 TILE_PATTERN_IDX 6599 TILEPROP INT_L_X34Y67 TILE_TYPE INT_L TILEPROP INT_L_X34Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y67 TILE_X 58974 TILEPROP INT_L_X34Y67 TILE_Y -24000 TILEPROP INT_L_X34Y67 TYPE INT_L TILEPROP INT_L_X34Y68 CLASS tile TILEPROP INT_L_X34Y68 COLUMN 88 TILEPROP INT_L_X34Y68 DEVICE_ID 0 TILEPROP INT_L_X34Y68 FIRST_SITE_ID 8492 TILEPROP INT_L_X34Y68 GRID_POINT_X 88 TILEPROP INT_L_X34Y68 GRID_POINT_Y 85 TILEPROP INT_L_X34Y68 INDEX 9863 TILEPROP INT_L_X34Y68 INT_TILE_X 34 TILEPROP INT_L_X34Y68 INT_TILE_Y 81 TILEPROP INT_L_X34Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y68 IS_DCM_TILE 0 TILEPROP INT_L_X34Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y68 NAME INT_L_X34Y68 TILEPROP INT_L_X34Y68 NUM_ARCS 3737 TILEPROP INT_L_X34Y68 NUM_SITES 1 TILEPROP INT_L_X34Y68 ROW 85 TILEPROP INT_L_X34Y68 SLR_REGION_ID 0 TILEPROP INT_L_X34Y68 TILE_PATTERN_IDX 6567 TILEPROP INT_L_X34Y68 TILE_TYPE INT_L TILEPROP INT_L_X34Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y68 TILE_X 58974 TILEPROP INT_L_X34Y68 TILE_Y -20800 TILEPROP INT_L_X34Y68 TYPE INT_L TILEPROP INT_L_X34Y69 CLASS tile TILEPROP INT_L_X34Y69 COLUMN 88 TILEPROP INT_L_X34Y69 DEVICE_ID 0 TILEPROP INT_L_X34Y69 FIRST_SITE_ID 8390 TILEPROP INT_L_X34Y69 GRID_POINT_X 88 TILEPROP INT_L_X34Y69 GRID_POINT_Y 84 TILEPROP INT_L_X34Y69 INDEX 9748 TILEPROP INT_L_X34Y69 INT_TILE_X 34 TILEPROP INT_L_X34Y69 INT_TILE_Y 80 TILEPROP INT_L_X34Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y69 IS_DCM_TILE 0 TILEPROP INT_L_X34Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y69 NAME INT_L_X34Y69 TILEPROP INT_L_X34Y69 NUM_ARCS 3737 TILEPROP INT_L_X34Y69 NUM_SITES 1 TILEPROP INT_L_X34Y69 ROW 84 TILEPROP INT_L_X34Y69 SLR_REGION_ID 0 TILEPROP INT_L_X34Y69 TILE_PATTERN_IDX 6536 TILEPROP INT_L_X34Y69 TILE_TYPE INT_L TILEPROP INT_L_X34Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y69 TILE_X 58974 TILEPROP INT_L_X34Y69 TILE_Y -17600 TILEPROP INT_L_X34Y69 TYPE INT_L TILEPROP INT_L_X34Y70 CLASS tile TILEPROP INT_L_X34Y70 COLUMN 88 TILEPROP INT_L_X34Y70 DEVICE_ID 0 TILEPROP INT_L_X34Y70 FIRST_SITE_ID 8285 TILEPROP INT_L_X34Y70 GRID_POINT_X 88 TILEPROP INT_L_X34Y70 GRID_POINT_Y 83 TILEPROP INT_L_X34Y70 INDEX 9633 TILEPROP INT_L_X34Y70 INT_TILE_X 34 TILEPROP INT_L_X34Y70 INT_TILE_Y 79 TILEPROP INT_L_X34Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y70 IS_DCM_TILE 0 TILEPROP INT_L_X34Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y70 NAME INT_L_X34Y70 TILEPROP INT_L_X34Y70 NUM_ARCS 3737 TILEPROP INT_L_X34Y70 NUM_SITES 1 TILEPROP INT_L_X34Y70 ROW 83 TILEPROP INT_L_X34Y70 SLR_REGION_ID 0 TILEPROP INT_L_X34Y70 TILE_PATTERN_IDX 6505 TILEPROP INT_L_X34Y70 TILE_TYPE INT_L TILEPROP INT_L_X34Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y70 TILE_X 58974 TILEPROP INT_L_X34Y70 TILE_Y -14400 TILEPROP INT_L_X34Y70 TYPE INT_L TILEPROP INT_L_X34Y71 CLASS tile TILEPROP INT_L_X34Y71 COLUMN 88 TILEPROP INT_L_X34Y71 DEVICE_ID 0 TILEPROP INT_L_X34Y71 FIRST_SITE_ID 8173 TILEPROP INT_L_X34Y71 GRID_POINT_X 88 TILEPROP INT_L_X34Y71 GRID_POINT_Y 82 TILEPROP INT_L_X34Y71 INDEX 9518 TILEPROP INT_L_X34Y71 INT_TILE_X 34 TILEPROP INT_L_X34Y71 INT_TILE_Y 78 TILEPROP INT_L_X34Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y71 IS_DCM_TILE 0 TILEPROP INT_L_X34Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y71 NAME INT_L_X34Y71 TILEPROP INT_L_X34Y71 NUM_ARCS 3737 TILEPROP INT_L_X34Y71 NUM_SITES 1 TILEPROP INT_L_X34Y71 ROW 82 TILEPROP INT_L_X34Y71 SLR_REGION_ID 0 TILEPROP INT_L_X34Y71 TILE_PATTERN_IDX 6474 TILEPROP INT_L_X34Y71 TILE_TYPE INT_L TILEPROP INT_L_X34Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y71 TILE_X 58974 TILEPROP INT_L_X34Y71 TILE_Y -11200 TILEPROP INT_L_X34Y71 TYPE INT_L TILEPROP INT_L_X34Y72 CLASS tile TILEPROP INT_L_X34Y72 COLUMN 88 TILEPROP INT_L_X34Y72 DEVICE_ID 0 TILEPROP INT_L_X34Y72 FIRST_SITE_ID 8073 TILEPROP INT_L_X34Y72 GRID_POINT_X 88 TILEPROP INT_L_X34Y72 GRID_POINT_Y 81 TILEPROP INT_L_X34Y72 INDEX 9403 TILEPROP INT_L_X34Y72 INT_TILE_X 34 TILEPROP INT_L_X34Y72 INT_TILE_Y 77 TILEPROP INT_L_X34Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y72 IS_DCM_TILE 0 TILEPROP INT_L_X34Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y72 NAME INT_L_X34Y72 TILEPROP INT_L_X34Y72 NUM_ARCS 3737 TILEPROP INT_L_X34Y72 NUM_SITES 1 TILEPROP INT_L_X34Y72 ROW 81 TILEPROP INT_L_X34Y72 SLR_REGION_ID 0 TILEPROP INT_L_X34Y72 TILE_PATTERN_IDX 6443 TILEPROP INT_L_X34Y72 TILE_TYPE INT_L TILEPROP INT_L_X34Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y72 TILE_X 58974 TILEPROP INT_L_X34Y72 TILE_Y -8000 TILEPROP INT_L_X34Y72 TYPE INT_L TILEPROP INT_L_X34Y73 CLASS tile TILEPROP INT_L_X34Y73 COLUMN 88 TILEPROP INT_L_X34Y73 DEVICE_ID 0 TILEPROP INT_L_X34Y73 FIRST_SITE_ID 7973 TILEPROP INT_L_X34Y73 GRID_POINT_X 88 TILEPROP INT_L_X34Y73 GRID_POINT_Y 80 TILEPROP INT_L_X34Y73 INDEX 9288 TILEPROP INT_L_X34Y73 INT_TILE_X 34 TILEPROP INT_L_X34Y73 INT_TILE_Y 76 TILEPROP INT_L_X34Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y73 IS_DCM_TILE 0 TILEPROP INT_L_X34Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y73 NAME INT_L_X34Y73 TILEPROP INT_L_X34Y73 NUM_ARCS 3737 TILEPROP INT_L_X34Y73 NUM_SITES 1 TILEPROP INT_L_X34Y73 ROW 80 TILEPROP INT_L_X34Y73 SLR_REGION_ID 0 TILEPROP INT_L_X34Y73 TILE_PATTERN_IDX 6412 TILEPROP INT_L_X34Y73 TILE_TYPE INT_L TILEPROP INT_L_X34Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y73 TILE_X 58974 TILEPROP INT_L_X34Y73 TILE_Y -4800 TILEPROP INT_L_X34Y73 TYPE INT_L TILEPROP INT_L_X34Y74 CLASS tile TILEPROP INT_L_X34Y74 COLUMN 88 TILEPROP INT_L_X34Y74 DEVICE_ID 0 TILEPROP INT_L_X34Y74 FIRST_SITE_ID 7873 TILEPROP INT_L_X34Y74 GRID_POINT_X 88 TILEPROP INT_L_X34Y74 GRID_POINT_Y 79 TILEPROP INT_L_X34Y74 INDEX 9173 TILEPROP INT_L_X34Y74 INT_TILE_X 34 TILEPROP INT_L_X34Y74 INT_TILE_Y 75 TILEPROP INT_L_X34Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y74 IS_DCM_TILE 0 TILEPROP INT_L_X34Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y74 NAME INT_L_X34Y74 TILEPROP INT_L_X34Y74 NUM_ARCS 3737 TILEPROP INT_L_X34Y74 NUM_SITES 1 TILEPROP INT_L_X34Y74 ROW 79 TILEPROP INT_L_X34Y74 SLR_REGION_ID 0 TILEPROP INT_L_X34Y74 TILE_PATTERN_IDX 6381 TILEPROP INT_L_X34Y74 TILE_TYPE INT_L TILEPROP INT_L_X34Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y74 TILE_X 58974 TILEPROP INT_L_X34Y74 TILE_Y -1600 TILEPROP INT_L_X34Y74 TYPE INT_L TILEPROP INT_L_X34Y75 CLASS tile TILEPROP INT_L_X34Y75 COLUMN 88 TILEPROP INT_L_X34Y75 DEVICE_ID 0 TILEPROP INT_L_X34Y75 FIRST_SITE_ID 7687 TILEPROP INT_L_X34Y75 GRID_POINT_X 88 TILEPROP INT_L_X34Y75 GRID_POINT_Y 77 TILEPROP INT_L_X34Y75 INDEX 8943 TILEPROP INT_L_X34Y75 INT_TILE_X 34 TILEPROP INT_L_X34Y75 INT_TILE_Y 74 TILEPROP INT_L_X34Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y75 IS_DCM_TILE 0 TILEPROP INT_L_X34Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y75 NAME INT_L_X34Y75 TILEPROP INT_L_X34Y75 NUM_ARCS 3737 TILEPROP INT_L_X34Y75 NUM_SITES 1 TILEPROP INT_L_X34Y75 ROW 77 TILEPROP INT_L_X34Y75 SLR_REGION_ID 0 TILEPROP INT_L_X34Y75 TILE_PATTERN_IDX 6307 TILEPROP INT_L_X34Y75 TILE_TYPE INT_L TILEPROP INT_L_X34Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y75 TILE_X 58974 TILEPROP INT_L_X34Y75 TILE_Y 2624 TILEPROP INT_L_X34Y75 TYPE INT_L TILEPROP INT_L_X34Y76 CLASS tile TILEPROP INT_L_X34Y76 COLUMN 88 TILEPROP INT_L_X34Y76 DEVICE_ID 0 TILEPROP INT_L_X34Y76 FIRST_SITE_ID 7572 TILEPROP INT_L_X34Y76 GRID_POINT_X 88 TILEPROP INT_L_X34Y76 GRID_POINT_Y 76 TILEPROP INT_L_X34Y76 INDEX 8828 TILEPROP INT_L_X34Y76 INT_TILE_X 34 TILEPROP INT_L_X34Y76 INT_TILE_Y 73 TILEPROP INT_L_X34Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y76 IS_DCM_TILE 0 TILEPROP INT_L_X34Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y76 NAME INT_L_X34Y76 TILEPROP INT_L_X34Y76 NUM_ARCS 3737 TILEPROP INT_L_X34Y76 NUM_SITES 1 TILEPROP INT_L_X34Y76 ROW 76 TILEPROP INT_L_X34Y76 SLR_REGION_ID 0 TILEPROP INT_L_X34Y76 TILE_PATTERN_IDX 6273 TILEPROP INT_L_X34Y76 TILE_TYPE INT_L TILEPROP INT_L_X34Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y76 TILE_X 58974 TILEPROP INT_L_X34Y76 TILE_Y 5824 TILEPROP INT_L_X34Y76 TYPE INT_L TILEPROP INT_L_X34Y77 CLASS tile TILEPROP INT_L_X34Y77 COLUMN 88 TILEPROP INT_L_X34Y77 DEVICE_ID 0 TILEPROP INT_L_X34Y77 FIRST_SITE_ID 7472 TILEPROP INT_L_X34Y77 GRID_POINT_X 88 TILEPROP INT_L_X34Y77 GRID_POINT_Y 75 TILEPROP INT_L_X34Y77 INDEX 8713 TILEPROP INT_L_X34Y77 INT_TILE_X 34 TILEPROP INT_L_X34Y77 INT_TILE_Y 72 TILEPROP INT_L_X34Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y77 IS_DCM_TILE 0 TILEPROP INT_L_X34Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y77 NAME INT_L_X34Y77 TILEPROP INT_L_X34Y77 NUM_ARCS 3737 TILEPROP INT_L_X34Y77 NUM_SITES 1 TILEPROP INT_L_X34Y77 ROW 75 TILEPROP INT_L_X34Y77 SLR_REGION_ID 0 TILEPROP INT_L_X34Y77 TILE_PATTERN_IDX 6239 TILEPROP INT_L_X34Y77 TILE_TYPE INT_L TILEPROP INT_L_X34Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y77 TILE_X 58974 TILEPROP INT_L_X34Y77 TILE_Y 9024 TILEPROP INT_L_X34Y77 TYPE INT_L TILEPROP INT_L_X34Y78 CLASS tile TILEPROP INT_L_X34Y78 COLUMN 88 TILEPROP INT_L_X34Y78 DEVICE_ID 0 TILEPROP INT_L_X34Y78 FIRST_SITE_ID 7372 TILEPROP INT_L_X34Y78 GRID_POINT_X 88 TILEPROP INT_L_X34Y78 GRID_POINT_Y 74 TILEPROP INT_L_X34Y78 INDEX 8598 TILEPROP INT_L_X34Y78 INT_TILE_X 34 TILEPROP INT_L_X34Y78 INT_TILE_Y 71 TILEPROP INT_L_X34Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y78 IS_DCM_TILE 0 TILEPROP INT_L_X34Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y78 NAME INT_L_X34Y78 TILEPROP INT_L_X34Y78 NUM_ARCS 3737 TILEPROP INT_L_X34Y78 NUM_SITES 1 TILEPROP INT_L_X34Y78 ROW 74 TILEPROP INT_L_X34Y78 SLR_REGION_ID 0 TILEPROP INT_L_X34Y78 TILE_PATTERN_IDX 6206 TILEPROP INT_L_X34Y78 TILE_TYPE INT_L TILEPROP INT_L_X34Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y78 TILE_X 58974 TILEPROP INT_L_X34Y78 TILE_Y 12224 TILEPROP INT_L_X34Y78 TYPE INT_L TILEPROP INT_L_X34Y79 CLASS tile TILEPROP INT_L_X34Y79 COLUMN 88 TILEPROP INT_L_X34Y79 DEVICE_ID 0 TILEPROP INT_L_X34Y79 FIRST_SITE_ID 7266 TILEPROP INT_L_X34Y79 GRID_POINT_X 88 TILEPROP INT_L_X34Y79 GRID_POINT_Y 73 TILEPROP INT_L_X34Y79 INDEX 8483 TILEPROP INT_L_X34Y79 INT_TILE_X 34 TILEPROP INT_L_X34Y79 INT_TILE_Y 70 TILEPROP INT_L_X34Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y79 IS_DCM_TILE 0 TILEPROP INT_L_X34Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y79 NAME INT_L_X34Y79 TILEPROP INT_L_X34Y79 NUM_ARCS 3737 TILEPROP INT_L_X34Y79 NUM_SITES 1 TILEPROP INT_L_X34Y79 ROW 73 TILEPROP INT_L_X34Y79 SLR_REGION_ID 0 TILEPROP INT_L_X34Y79 TILE_PATTERN_IDX 6171 TILEPROP INT_L_X34Y79 TILE_TYPE INT_L TILEPROP INT_L_X34Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y79 TILE_X 58974 TILEPROP INT_L_X34Y79 TILE_Y 15424 TILEPROP INT_L_X34Y79 TYPE INT_L TILEPROP INT_L_X34Y80 CLASS tile TILEPROP INT_L_X34Y80 COLUMN 88 TILEPROP INT_L_X34Y80 DEVICE_ID 0 TILEPROP INT_L_X34Y80 FIRST_SITE_ID 7157 TILEPROP INT_L_X34Y80 GRID_POINT_X 88 TILEPROP INT_L_X34Y80 GRID_POINT_Y 72 TILEPROP INT_L_X34Y80 INDEX 8368 TILEPROP INT_L_X34Y80 INT_TILE_X 34 TILEPROP INT_L_X34Y80 INT_TILE_Y 69 TILEPROP INT_L_X34Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y80 IS_DCM_TILE 0 TILEPROP INT_L_X34Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y80 NAME INT_L_X34Y80 TILEPROP INT_L_X34Y80 NUM_ARCS 3737 TILEPROP INT_L_X34Y80 NUM_SITES 1 TILEPROP INT_L_X34Y80 ROW 72 TILEPROP INT_L_X34Y80 SLR_REGION_ID 0 TILEPROP INT_L_X34Y80 TILE_PATTERN_IDX 6136 TILEPROP INT_L_X34Y80 TILE_TYPE INT_L TILEPROP INT_L_X34Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y80 TILE_X 58974 TILEPROP INT_L_X34Y80 TILE_Y 18624 TILEPROP INT_L_X34Y80 TYPE INT_L TILEPROP INT_L_X34Y81 CLASS tile TILEPROP INT_L_X34Y81 COLUMN 88 TILEPROP INT_L_X34Y81 DEVICE_ID 0 TILEPROP INT_L_X34Y81 FIRST_SITE_ID 7043 TILEPROP INT_L_X34Y81 GRID_POINT_X 88 TILEPROP INT_L_X34Y81 GRID_POINT_Y 71 TILEPROP INT_L_X34Y81 INDEX 8253 TILEPROP INT_L_X34Y81 INT_TILE_X 34 TILEPROP INT_L_X34Y81 INT_TILE_Y 68 TILEPROP INT_L_X34Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y81 IS_DCM_TILE 0 TILEPROP INT_L_X34Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y81 NAME INT_L_X34Y81 TILEPROP INT_L_X34Y81 NUM_ARCS 3737 TILEPROP INT_L_X34Y81 NUM_SITES 1 TILEPROP INT_L_X34Y81 ROW 71 TILEPROP INT_L_X34Y81 SLR_REGION_ID 0 TILEPROP INT_L_X34Y81 TILE_PATTERN_IDX 6102 TILEPROP INT_L_X34Y81 TILE_TYPE INT_L TILEPROP INT_L_X34Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y81 TILE_X 58974 TILEPROP INT_L_X34Y81 TILE_Y 21824 TILEPROP INT_L_X34Y81 TYPE INT_L TILEPROP INT_L_X34Y82 CLASS tile TILEPROP INT_L_X34Y82 COLUMN 88 TILEPROP INT_L_X34Y82 DEVICE_ID 0 TILEPROP INT_L_X34Y82 FIRST_SITE_ID 6941 TILEPROP INT_L_X34Y82 GRID_POINT_X 88 TILEPROP INT_L_X34Y82 GRID_POINT_Y 70 TILEPROP INT_L_X34Y82 INDEX 8138 TILEPROP INT_L_X34Y82 INT_TILE_X 34 TILEPROP INT_L_X34Y82 INT_TILE_Y 67 TILEPROP INT_L_X34Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y82 IS_DCM_TILE 0 TILEPROP INT_L_X34Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y82 NAME INT_L_X34Y82 TILEPROP INT_L_X34Y82 NUM_ARCS 3737 TILEPROP INT_L_X34Y82 NUM_SITES 1 TILEPROP INT_L_X34Y82 ROW 70 TILEPROP INT_L_X34Y82 SLR_REGION_ID 0 TILEPROP INT_L_X34Y82 TILE_PATTERN_IDX 6068 TILEPROP INT_L_X34Y82 TILE_TYPE INT_L TILEPROP INT_L_X34Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y82 TILE_X 58974 TILEPROP INT_L_X34Y82 TILE_Y 25024 TILEPROP INT_L_X34Y82 TYPE INT_L TILEPROP INT_L_X34Y83 CLASS tile TILEPROP INT_L_X34Y83 COLUMN 88 TILEPROP INT_L_X34Y83 DEVICE_ID 0 TILEPROP INT_L_X34Y83 FIRST_SITE_ID 6841 TILEPROP INT_L_X34Y83 GRID_POINT_X 88 TILEPROP INT_L_X34Y83 GRID_POINT_Y 69 TILEPROP INT_L_X34Y83 INDEX 8023 TILEPROP INT_L_X34Y83 INT_TILE_X 34 TILEPROP INT_L_X34Y83 INT_TILE_Y 66 TILEPROP INT_L_X34Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y83 IS_DCM_TILE 0 TILEPROP INT_L_X34Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y83 NAME INT_L_X34Y83 TILEPROP INT_L_X34Y83 NUM_ARCS 3737 TILEPROP INT_L_X34Y83 NUM_SITES 1 TILEPROP INT_L_X34Y83 ROW 69 TILEPROP INT_L_X34Y83 SLR_REGION_ID 0 TILEPROP INT_L_X34Y83 TILE_PATTERN_IDX 6034 TILEPROP INT_L_X34Y83 TILE_TYPE INT_L TILEPROP INT_L_X34Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y83 TILE_X 58974 TILEPROP INT_L_X34Y83 TILE_Y 28224 TILEPROP INT_L_X34Y83 TYPE INT_L TILEPROP INT_L_X34Y84 CLASS tile TILEPROP INT_L_X34Y84 COLUMN 88 TILEPROP INT_L_X34Y84 DEVICE_ID 0 TILEPROP INT_L_X34Y84 FIRST_SITE_ID 6741 TILEPROP INT_L_X34Y84 GRID_POINT_X 88 TILEPROP INT_L_X34Y84 GRID_POINT_Y 68 TILEPROP INT_L_X34Y84 INDEX 7908 TILEPROP INT_L_X34Y84 INT_TILE_X 34 TILEPROP INT_L_X34Y84 INT_TILE_Y 65 TILEPROP INT_L_X34Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y84 IS_DCM_TILE 0 TILEPROP INT_L_X34Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y84 NAME INT_L_X34Y84 TILEPROP INT_L_X34Y84 NUM_ARCS 3737 TILEPROP INT_L_X34Y84 NUM_SITES 1 TILEPROP INT_L_X34Y84 ROW 68 TILEPROP INT_L_X34Y84 SLR_REGION_ID 0 TILEPROP INT_L_X34Y84 TILE_PATTERN_IDX 6000 TILEPROP INT_L_X34Y84 TILE_TYPE INT_L TILEPROP INT_L_X34Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y84 TILE_X 58974 TILEPROP INT_L_X34Y84 TILE_Y 31424 TILEPROP INT_L_X34Y84 TYPE INT_L TILEPROP INT_L_X34Y85 CLASS tile TILEPROP INT_L_X34Y85 COLUMN 88 TILEPROP INT_L_X34Y85 DEVICE_ID 0 TILEPROP INT_L_X34Y85 FIRST_SITE_ID 6638 TILEPROP INT_L_X34Y85 GRID_POINT_X 88 TILEPROP INT_L_X34Y85 GRID_POINT_Y 67 TILEPROP INT_L_X34Y85 INDEX 7793 TILEPROP INT_L_X34Y85 INT_TILE_X 34 TILEPROP INT_L_X34Y85 INT_TILE_Y 64 TILEPROP INT_L_X34Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y85 IS_DCM_TILE 0 TILEPROP INT_L_X34Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y85 NAME INT_L_X34Y85 TILEPROP INT_L_X34Y85 NUM_ARCS 3737 TILEPROP INT_L_X34Y85 NUM_SITES 1 TILEPROP INT_L_X34Y85 ROW 67 TILEPROP INT_L_X34Y85 SLR_REGION_ID 0 TILEPROP INT_L_X34Y85 TILE_PATTERN_IDX 5966 TILEPROP INT_L_X34Y85 TILE_TYPE INT_L TILEPROP INT_L_X34Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y85 TILE_X 58974 TILEPROP INT_L_X34Y85 TILE_Y 34624 TILEPROP INT_L_X34Y85 TYPE INT_L TILEPROP INT_L_X34Y86 CLASS tile TILEPROP INT_L_X34Y86 COLUMN 88 TILEPROP INT_L_X34Y86 DEVICE_ID 0 TILEPROP INT_L_X34Y86 FIRST_SITE_ID 6526 TILEPROP INT_L_X34Y86 GRID_POINT_X 88 TILEPROP INT_L_X34Y86 GRID_POINT_Y 66 TILEPROP INT_L_X34Y86 INDEX 7678 TILEPROP INT_L_X34Y86 INT_TILE_X 34 TILEPROP INT_L_X34Y86 INT_TILE_Y 63 TILEPROP INT_L_X34Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y86 IS_DCM_TILE 0 TILEPROP INT_L_X34Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y86 NAME INT_L_X34Y86 TILEPROP INT_L_X34Y86 NUM_ARCS 3737 TILEPROP INT_L_X34Y86 NUM_SITES 1 TILEPROP INT_L_X34Y86 ROW 66 TILEPROP INT_L_X34Y86 SLR_REGION_ID 0 TILEPROP INT_L_X34Y86 TILE_PATTERN_IDX 5931 TILEPROP INT_L_X34Y86 TILE_TYPE INT_L TILEPROP INT_L_X34Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y86 TILE_X 58974 TILEPROP INT_L_X34Y86 TILE_Y 37824 TILEPROP INT_L_X34Y86 TYPE INT_L TILEPROP INT_L_X34Y87 CLASS tile TILEPROP INT_L_X34Y87 COLUMN 88 TILEPROP INT_L_X34Y87 DEVICE_ID 0 TILEPROP INT_L_X34Y87 FIRST_SITE_ID 6394 TILEPROP INT_L_X34Y87 GRID_POINT_X 88 TILEPROP INT_L_X34Y87 GRID_POINT_Y 65 TILEPROP INT_L_X34Y87 INDEX 7563 TILEPROP INT_L_X34Y87 INT_TILE_X 34 TILEPROP INT_L_X34Y87 INT_TILE_Y 62 TILEPROP INT_L_X34Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y87 IS_DCM_TILE 0 TILEPROP INT_L_X34Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y87 NAME INT_L_X34Y87 TILEPROP INT_L_X34Y87 NUM_ARCS 3737 TILEPROP INT_L_X34Y87 NUM_SITES 1 TILEPROP INT_L_X34Y87 ROW 65 TILEPROP INT_L_X34Y87 SLR_REGION_ID 0 TILEPROP INT_L_X34Y87 TILE_PATTERN_IDX 5896 TILEPROP INT_L_X34Y87 TILE_TYPE INT_L TILEPROP INT_L_X34Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y87 TILE_X 58974 TILEPROP INT_L_X34Y87 TILE_Y 41024 TILEPROP INT_L_X34Y87 TYPE INT_L TILEPROP INT_L_X34Y88 CLASS tile TILEPROP INT_L_X34Y88 COLUMN 88 TILEPROP INT_L_X34Y88 DEVICE_ID 0 TILEPROP INT_L_X34Y88 FIRST_SITE_ID 6294 TILEPROP INT_L_X34Y88 GRID_POINT_X 88 TILEPROP INT_L_X34Y88 GRID_POINT_Y 64 TILEPROP INT_L_X34Y88 INDEX 7448 TILEPROP INT_L_X34Y88 INT_TILE_X 34 TILEPROP INT_L_X34Y88 INT_TILE_Y 61 TILEPROP INT_L_X34Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y88 IS_DCM_TILE 0 TILEPROP INT_L_X34Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y88 NAME INT_L_X34Y88 TILEPROP INT_L_X34Y88 NUM_ARCS 3737 TILEPROP INT_L_X34Y88 NUM_SITES 1 TILEPROP INT_L_X34Y88 ROW 64 TILEPROP INT_L_X34Y88 SLR_REGION_ID 0 TILEPROP INT_L_X34Y88 TILE_PATTERN_IDX 5863 TILEPROP INT_L_X34Y88 TILE_TYPE INT_L TILEPROP INT_L_X34Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y88 TILE_X 58974 TILEPROP INT_L_X34Y88 TILE_Y 44224 TILEPROP INT_L_X34Y88 TYPE INT_L TILEPROP INT_L_X34Y89 CLASS tile TILEPROP INT_L_X34Y89 COLUMN 88 TILEPROP INT_L_X34Y89 DEVICE_ID 0 TILEPROP INT_L_X34Y89 FIRST_SITE_ID 6194 TILEPROP INT_L_X34Y89 GRID_POINT_X 88 TILEPROP INT_L_X34Y89 GRID_POINT_Y 63 TILEPROP INT_L_X34Y89 INDEX 7333 TILEPROP INT_L_X34Y89 INT_TILE_X 34 TILEPROP INT_L_X34Y89 INT_TILE_Y 60 TILEPROP INT_L_X34Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y89 IS_DCM_TILE 0 TILEPROP INT_L_X34Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y89 NAME INT_L_X34Y89 TILEPROP INT_L_X34Y89 NUM_ARCS 3737 TILEPROP INT_L_X34Y89 NUM_SITES 1 TILEPROP INT_L_X34Y89 ROW 63 TILEPROP INT_L_X34Y89 SLR_REGION_ID 0 TILEPROP INT_L_X34Y89 TILE_PATTERN_IDX 5829 TILEPROP INT_L_X34Y89 TILE_TYPE INT_L TILEPROP INT_L_X34Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y89 TILE_X 58974 TILEPROP INT_L_X34Y89 TILE_Y 47424 TILEPROP INT_L_X34Y89 TYPE INT_L TILEPROP INT_L_X34Y90 CLASS tile TILEPROP INT_L_X34Y90 COLUMN 88 TILEPROP INT_L_X34Y90 DEVICE_ID 0 TILEPROP INT_L_X34Y90 FIRST_SITE_ID 6091 TILEPROP INT_L_X34Y90 GRID_POINT_X 88 TILEPROP INT_L_X34Y90 GRID_POINT_Y 62 TILEPROP INT_L_X34Y90 INDEX 7218 TILEPROP INT_L_X34Y90 INT_TILE_X 34 TILEPROP INT_L_X34Y90 INT_TILE_Y 59 TILEPROP INT_L_X34Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y90 IS_DCM_TILE 0 TILEPROP INT_L_X34Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y90 NAME INT_L_X34Y90 TILEPROP INT_L_X34Y90 NUM_ARCS 3737 TILEPROP INT_L_X34Y90 NUM_SITES 1 TILEPROP INT_L_X34Y90 ROW 62 TILEPROP INT_L_X34Y90 SLR_REGION_ID 0 TILEPROP INT_L_X34Y90 TILE_PATTERN_IDX 5795 TILEPROP INT_L_X34Y90 TILE_TYPE INT_L TILEPROP INT_L_X34Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y90 TILE_X 58974 TILEPROP INT_L_X34Y90 TILE_Y 50624 TILEPROP INT_L_X34Y90 TYPE INT_L TILEPROP INT_L_X34Y91 CLASS tile TILEPROP INT_L_X34Y91 COLUMN 88 TILEPROP INT_L_X34Y91 DEVICE_ID 0 TILEPROP INT_L_X34Y91 FIRST_SITE_ID 5979 TILEPROP INT_L_X34Y91 GRID_POINT_X 88 TILEPROP INT_L_X34Y91 GRID_POINT_Y 61 TILEPROP INT_L_X34Y91 INDEX 7103 TILEPROP INT_L_X34Y91 INT_TILE_X 34 TILEPROP INT_L_X34Y91 INT_TILE_Y 58 TILEPROP INT_L_X34Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y91 IS_DCM_TILE 0 TILEPROP INT_L_X34Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y91 NAME INT_L_X34Y91 TILEPROP INT_L_X34Y91 NUM_ARCS 3737 TILEPROP INT_L_X34Y91 NUM_SITES 1 TILEPROP INT_L_X34Y91 ROW 61 TILEPROP INT_L_X34Y91 SLR_REGION_ID 0 TILEPROP INT_L_X34Y91 TILE_PATTERN_IDX 5761 TILEPROP INT_L_X34Y91 TILE_TYPE INT_L TILEPROP INT_L_X34Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y91 TILE_X 58974 TILEPROP INT_L_X34Y91 TILE_Y 53824 TILEPROP INT_L_X34Y91 TYPE INT_L TILEPROP INT_L_X34Y92 CLASS tile TILEPROP INT_L_X34Y92 COLUMN 88 TILEPROP INT_L_X34Y92 DEVICE_ID 0 TILEPROP INT_L_X34Y92 FIRST_SITE_ID 5878 TILEPROP INT_L_X34Y92 GRID_POINT_X 88 TILEPROP INT_L_X34Y92 GRID_POINT_Y 60 TILEPROP INT_L_X34Y92 INDEX 6988 TILEPROP INT_L_X34Y92 INT_TILE_X 34 TILEPROP INT_L_X34Y92 INT_TILE_Y 57 TILEPROP INT_L_X34Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y92 IS_DCM_TILE 0 TILEPROP INT_L_X34Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y92 NAME INT_L_X34Y92 TILEPROP INT_L_X34Y92 NUM_ARCS 3737 TILEPROP INT_L_X34Y92 NUM_SITES 1 TILEPROP INT_L_X34Y92 ROW 60 TILEPROP INT_L_X34Y92 SLR_REGION_ID 0 TILEPROP INT_L_X34Y92 TILE_PATTERN_IDX 5728 TILEPROP INT_L_X34Y92 TILE_TYPE INT_L TILEPROP INT_L_X34Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y92 TILE_X 58974 TILEPROP INT_L_X34Y92 TILE_Y 57024 TILEPROP INT_L_X34Y92 TYPE INT_L TILEPROP INT_L_X34Y93 CLASS tile TILEPROP INT_L_X34Y93 COLUMN 88 TILEPROP INT_L_X34Y93 DEVICE_ID 0 TILEPROP INT_L_X34Y93 FIRST_SITE_ID 5775 TILEPROP INT_L_X34Y93 GRID_POINT_X 88 TILEPROP INT_L_X34Y93 GRID_POINT_Y 59 TILEPROP INT_L_X34Y93 INDEX 6873 TILEPROP INT_L_X34Y93 INT_TILE_X 34 TILEPROP INT_L_X34Y93 INT_TILE_Y 56 TILEPROP INT_L_X34Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y93 IS_DCM_TILE 0 TILEPROP INT_L_X34Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y93 NAME INT_L_X34Y93 TILEPROP INT_L_X34Y93 NUM_ARCS 3737 TILEPROP INT_L_X34Y93 NUM_SITES 1 TILEPROP INT_L_X34Y93 ROW 59 TILEPROP INT_L_X34Y93 SLR_REGION_ID 0 TILEPROP INT_L_X34Y93 TILE_PATTERN_IDX 5694 TILEPROP INT_L_X34Y93 TILE_TYPE INT_L TILEPROP INT_L_X34Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y93 TILE_X 58974 TILEPROP INT_L_X34Y93 TILE_Y 60224 TILEPROP INT_L_X34Y93 TYPE INT_L TILEPROP INT_L_X34Y94 CLASS tile TILEPROP INT_L_X34Y94 COLUMN 88 TILEPROP INT_L_X34Y94 DEVICE_ID 0 TILEPROP INT_L_X34Y94 FIRST_SITE_ID 5673 TILEPROP INT_L_X34Y94 GRID_POINT_X 88 TILEPROP INT_L_X34Y94 GRID_POINT_Y 58 TILEPROP INT_L_X34Y94 INDEX 6758 TILEPROP INT_L_X34Y94 INT_TILE_X 34 TILEPROP INT_L_X34Y94 INT_TILE_Y 55 TILEPROP INT_L_X34Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y94 IS_DCM_TILE 0 TILEPROP INT_L_X34Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y94 NAME INT_L_X34Y94 TILEPROP INT_L_X34Y94 NUM_ARCS 3737 TILEPROP INT_L_X34Y94 NUM_SITES 1 TILEPROP INT_L_X34Y94 ROW 58 TILEPROP INT_L_X34Y94 SLR_REGION_ID 0 TILEPROP INT_L_X34Y94 TILE_PATTERN_IDX 5660 TILEPROP INT_L_X34Y94 TILE_TYPE INT_L TILEPROP INT_L_X34Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y94 TILE_X 58974 TILEPROP INT_L_X34Y94 TILE_Y 63424 TILEPROP INT_L_X34Y94 TYPE INT_L TILEPROP INT_L_X34Y95 CLASS tile TILEPROP INT_L_X34Y95 COLUMN 88 TILEPROP INT_L_X34Y95 DEVICE_ID 0 TILEPROP INT_L_X34Y95 FIRST_SITE_ID 5570 TILEPROP INT_L_X34Y95 GRID_POINT_X 88 TILEPROP INT_L_X34Y95 GRID_POINT_Y 57 TILEPROP INT_L_X34Y95 INDEX 6643 TILEPROP INT_L_X34Y95 INT_TILE_X 34 TILEPROP INT_L_X34Y95 INT_TILE_Y 54 TILEPROP INT_L_X34Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y95 IS_DCM_TILE 0 TILEPROP INT_L_X34Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y95 NAME INT_L_X34Y95 TILEPROP INT_L_X34Y95 NUM_ARCS 3737 TILEPROP INT_L_X34Y95 NUM_SITES 1 TILEPROP INT_L_X34Y95 ROW 57 TILEPROP INT_L_X34Y95 SLR_REGION_ID 0 TILEPROP INT_L_X34Y95 TILE_PATTERN_IDX 5626 TILEPROP INT_L_X34Y95 TILE_TYPE INT_L TILEPROP INT_L_X34Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y95 TILE_X 58974 TILEPROP INT_L_X34Y95 TILE_Y 66624 TILEPROP INT_L_X34Y95 TYPE INT_L TILEPROP INT_L_X34Y96 CLASS tile TILEPROP INT_L_X34Y96 COLUMN 88 TILEPROP INT_L_X34Y96 DEVICE_ID 0 TILEPROP INT_L_X34Y96 FIRST_SITE_ID 5458 TILEPROP INT_L_X34Y96 GRID_POINT_X 88 TILEPROP INT_L_X34Y96 GRID_POINT_Y 56 TILEPROP INT_L_X34Y96 INDEX 6528 TILEPROP INT_L_X34Y96 INT_TILE_X 34 TILEPROP INT_L_X34Y96 INT_TILE_Y 53 TILEPROP INT_L_X34Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y96 IS_DCM_TILE 0 TILEPROP INT_L_X34Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y96 NAME INT_L_X34Y96 TILEPROP INT_L_X34Y96 NUM_ARCS 3737 TILEPROP INT_L_X34Y96 NUM_SITES 1 TILEPROP INT_L_X34Y96 ROW 56 TILEPROP INT_L_X34Y96 SLR_REGION_ID 0 TILEPROP INT_L_X34Y96 TILE_PATTERN_IDX 5591 TILEPROP INT_L_X34Y96 TILE_TYPE INT_L TILEPROP INT_L_X34Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y96 TILE_X 58974 TILEPROP INT_L_X34Y96 TILE_Y 69824 TILEPROP INT_L_X34Y96 TYPE INT_L TILEPROP INT_L_X34Y97 CLASS tile TILEPROP INT_L_X34Y97 COLUMN 88 TILEPROP INT_L_X34Y97 DEVICE_ID 0 TILEPROP INT_L_X34Y97 FIRST_SITE_ID 5358 TILEPROP INT_L_X34Y97 GRID_POINT_X 88 TILEPROP INT_L_X34Y97 GRID_POINT_Y 55 TILEPROP INT_L_X34Y97 INDEX 6413 TILEPROP INT_L_X34Y97 INT_TILE_X 34 TILEPROP INT_L_X34Y97 INT_TILE_Y 52 TILEPROP INT_L_X34Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y97 IS_DCM_TILE 0 TILEPROP INT_L_X34Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y97 NAME INT_L_X34Y97 TILEPROP INT_L_X34Y97 NUM_ARCS 3737 TILEPROP INT_L_X34Y97 NUM_SITES 1 TILEPROP INT_L_X34Y97 ROW 55 TILEPROP INT_L_X34Y97 SLR_REGION_ID 0 TILEPROP INT_L_X34Y97 TILE_PATTERN_IDX 5557 TILEPROP INT_L_X34Y97 TILE_TYPE INT_L TILEPROP INT_L_X34Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y97 TILE_X 58974 TILEPROP INT_L_X34Y97 TILE_Y 73024 TILEPROP INT_L_X34Y97 TYPE INT_L TILEPROP INT_L_X34Y98 CLASS tile TILEPROP INT_L_X34Y98 COLUMN 88 TILEPROP INT_L_X34Y98 DEVICE_ID 0 TILEPROP INT_L_X34Y98 FIRST_SITE_ID 5258 TILEPROP INT_L_X34Y98 GRID_POINT_X 88 TILEPROP INT_L_X34Y98 GRID_POINT_Y 54 TILEPROP INT_L_X34Y98 INDEX 6298 TILEPROP INT_L_X34Y98 INT_TILE_X 34 TILEPROP INT_L_X34Y98 INT_TILE_Y 51 TILEPROP INT_L_X34Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y98 IS_DCM_TILE 0 TILEPROP INT_L_X34Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y98 NAME INT_L_X34Y98 TILEPROP INT_L_X34Y98 NUM_ARCS 3737 TILEPROP INT_L_X34Y98 NUM_SITES 1 TILEPROP INT_L_X34Y98 ROW 54 TILEPROP INT_L_X34Y98 SLR_REGION_ID 0 TILEPROP INT_L_X34Y98 TILE_PATTERN_IDX 5523 TILEPROP INT_L_X34Y98 TILE_TYPE INT_L TILEPROP INT_L_X34Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y98 TILE_X 58974 TILEPROP INT_L_X34Y98 TILE_Y 76224 TILEPROP INT_L_X34Y98 TYPE INT_L TILEPROP INT_L_X34Y99 CLASS tile TILEPROP INT_L_X34Y99 COLUMN 88 TILEPROP INT_L_X34Y99 DEVICE_ID 0 TILEPROP INT_L_X34Y99 FIRST_SITE_ID 5162 TILEPROP INT_L_X34Y99 GRID_POINT_X 88 TILEPROP INT_L_X34Y99 GRID_POINT_Y 53 TILEPROP INT_L_X34Y99 INDEX 6183 TILEPROP INT_L_X34Y99 INT_TILE_X 34 TILEPROP INT_L_X34Y99 INT_TILE_Y 50 TILEPROP INT_L_X34Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y99 IS_DCM_TILE 0 TILEPROP INT_L_X34Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y99 NAME INT_L_X34Y99 TILEPROP INT_L_X34Y99 NUM_ARCS 3737 TILEPROP INT_L_X34Y99 NUM_SITES 1 TILEPROP INT_L_X34Y99 ROW 53 TILEPROP INT_L_X34Y99 SLR_REGION_ID 0 TILEPROP INT_L_X34Y99 TILE_PATTERN_IDX 5490 TILEPROP INT_L_X34Y99 TILE_TYPE INT_L TILEPROP INT_L_X34Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y99 TILE_X 58974 TILEPROP INT_L_X34Y99 TILE_Y 79424 TILEPROP INT_L_X34Y99 TYPE INT_L TILEPROP INT_L_X34Y100 CLASS tile TILEPROP INT_L_X34Y100 COLUMN 88 TILEPROP INT_L_X34Y100 DEVICE_ID 0 TILEPROP INT_L_X34Y100 FIRST_SITE_ID 5080 TILEPROP INT_L_X34Y100 GRID_POINT_X 88 TILEPROP INT_L_X34Y100 GRID_POINT_Y 51 TILEPROP INT_L_X34Y100 INDEX 5953 TILEPROP INT_L_X34Y100 INT_TILE_X 34 TILEPROP INT_L_X34Y100 INT_TILE_Y 49 TILEPROP INT_L_X34Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y100 IS_DCM_TILE 0 TILEPROP INT_L_X34Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y100 NAME INT_L_X34Y100 TILEPROP INT_L_X34Y100 NUM_ARCS 3737 TILEPROP INT_L_X34Y100 NUM_SITES 1 TILEPROP INT_L_X34Y100 ROW 51 TILEPROP INT_L_X34Y100 SLR_REGION_ID 0 TILEPROP INT_L_X34Y100 TILE_PATTERN_IDX 5456 TILEPROP INT_L_X34Y100 TILE_TYPE INT_L TILEPROP INT_L_X34Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y100 TILE_X 58974 TILEPROP INT_L_X34Y100 TILE_Y 82872 TILEPROP INT_L_X34Y100 TYPE INT_L TILEPROP INT_L_X34Y101 CLASS tile TILEPROP INT_L_X34Y101 COLUMN 88 TILEPROP INT_L_X34Y101 DEVICE_ID 0 TILEPROP INT_L_X34Y101 FIRST_SITE_ID 4979 TILEPROP INT_L_X34Y101 GRID_POINT_X 88 TILEPROP INT_L_X34Y101 GRID_POINT_Y 50 TILEPROP INT_L_X34Y101 INDEX 5838 TILEPROP INT_L_X34Y101 INT_TILE_X 34 TILEPROP INT_L_X34Y101 INT_TILE_Y 48 TILEPROP INT_L_X34Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y101 IS_DCM_TILE 0 TILEPROP INT_L_X34Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y101 NAME INT_L_X34Y101 TILEPROP INT_L_X34Y101 NUM_ARCS 3737 TILEPROP INT_L_X34Y101 NUM_SITES 1 TILEPROP INT_L_X34Y101 ROW 50 TILEPROP INT_L_X34Y101 SLR_REGION_ID 0 TILEPROP INT_L_X34Y101 TILE_PATTERN_IDX 5420 TILEPROP INT_L_X34Y101 TILE_TYPE INT_L TILEPROP INT_L_X34Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y101 TILE_X 58974 TILEPROP INT_L_X34Y101 TILE_Y 86072 TILEPROP INT_L_X34Y101 TYPE INT_L TILEPROP INT_L_X34Y102 CLASS tile TILEPROP INT_L_X34Y102 COLUMN 88 TILEPROP INT_L_X34Y102 DEVICE_ID 0 TILEPROP INT_L_X34Y102 FIRST_SITE_ID 4883 TILEPROP INT_L_X34Y102 GRID_POINT_X 88 TILEPROP INT_L_X34Y102 GRID_POINT_Y 49 TILEPROP INT_L_X34Y102 INDEX 5723 TILEPROP INT_L_X34Y102 INT_TILE_X 34 TILEPROP INT_L_X34Y102 INT_TILE_Y 47 TILEPROP INT_L_X34Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y102 IS_DCM_TILE 0 TILEPROP INT_L_X34Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y102 NAME INT_L_X34Y102 TILEPROP INT_L_X34Y102 NUM_ARCS 3737 TILEPROP INT_L_X34Y102 NUM_SITES 1 TILEPROP INT_L_X34Y102 ROW 49 TILEPROP INT_L_X34Y102 SLR_REGION_ID 0 TILEPROP INT_L_X34Y102 TILE_PATTERN_IDX 5383 TILEPROP INT_L_X34Y102 TILE_TYPE INT_L TILEPROP INT_L_X34Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y102 TILE_X 58974 TILEPROP INT_L_X34Y102 TILE_Y 89272 TILEPROP INT_L_X34Y102 TYPE INT_L TILEPROP INT_L_X34Y103 CLASS tile TILEPROP INT_L_X34Y103 COLUMN 88 TILEPROP INT_L_X34Y103 DEVICE_ID 0 TILEPROP INT_L_X34Y103 FIRST_SITE_ID 4795 TILEPROP INT_L_X34Y103 GRID_POINT_X 88 TILEPROP INT_L_X34Y103 GRID_POINT_Y 48 TILEPROP INT_L_X34Y103 INDEX 5608 TILEPROP INT_L_X34Y103 INT_TILE_X 34 TILEPROP INT_L_X34Y103 INT_TILE_Y 46 TILEPROP INT_L_X34Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y103 IS_DCM_TILE 0 TILEPROP INT_L_X34Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y103 NAME INT_L_X34Y103 TILEPROP INT_L_X34Y103 NUM_ARCS 3737 TILEPROP INT_L_X34Y103 NUM_SITES 1 TILEPROP INT_L_X34Y103 ROW 48 TILEPROP INT_L_X34Y103 SLR_REGION_ID 0 TILEPROP INT_L_X34Y103 TILE_PATTERN_IDX 5347 TILEPROP INT_L_X34Y103 TILE_TYPE INT_L TILEPROP INT_L_X34Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y103 TILE_X 58974 TILEPROP INT_L_X34Y103 TILE_Y 92472 TILEPROP INT_L_X34Y103 TYPE INT_L TILEPROP INT_L_X34Y104 CLASS tile TILEPROP INT_L_X34Y104 COLUMN 88 TILEPROP INT_L_X34Y104 DEVICE_ID 0 TILEPROP INT_L_X34Y104 FIRST_SITE_ID 4699 TILEPROP INT_L_X34Y104 GRID_POINT_X 88 TILEPROP INT_L_X34Y104 GRID_POINT_Y 47 TILEPROP INT_L_X34Y104 INDEX 5493 TILEPROP INT_L_X34Y104 INT_TILE_X 34 TILEPROP INT_L_X34Y104 INT_TILE_Y 45 TILEPROP INT_L_X34Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y104 IS_DCM_TILE 0 TILEPROP INT_L_X34Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y104 NAME INT_L_X34Y104 TILEPROP INT_L_X34Y104 NUM_ARCS 3737 TILEPROP INT_L_X34Y104 NUM_SITES 1 TILEPROP INT_L_X34Y104 ROW 47 TILEPROP INT_L_X34Y104 SLR_REGION_ID 0 TILEPROP INT_L_X34Y104 TILE_PATTERN_IDX 5310 TILEPROP INT_L_X34Y104 TILE_TYPE INT_L TILEPROP INT_L_X34Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y104 TILE_X 58974 TILEPROP INT_L_X34Y104 TILE_Y 95672 TILEPROP INT_L_X34Y104 TYPE INT_L TILEPROP INT_L_X34Y105 CLASS tile TILEPROP INT_L_X34Y105 COLUMN 88 TILEPROP INT_L_X34Y105 DEVICE_ID 0 TILEPROP INT_L_X34Y105 FIRST_SITE_ID 4606 TILEPROP INT_L_X34Y105 GRID_POINT_X 88 TILEPROP INT_L_X34Y105 GRID_POINT_Y 46 TILEPROP INT_L_X34Y105 INDEX 5378 TILEPROP INT_L_X34Y105 INT_TILE_X 34 TILEPROP INT_L_X34Y105 INT_TILE_Y 44 TILEPROP INT_L_X34Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y105 IS_DCM_TILE 0 TILEPROP INT_L_X34Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y105 NAME INT_L_X34Y105 TILEPROP INT_L_X34Y105 NUM_ARCS 3737 TILEPROP INT_L_X34Y105 NUM_SITES 1 TILEPROP INT_L_X34Y105 ROW 46 TILEPROP INT_L_X34Y105 SLR_REGION_ID 0 TILEPROP INT_L_X34Y105 TILE_PATTERN_IDX 5274 TILEPROP INT_L_X34Y105 TILE_TYPE INT_L TILEPROP INT_L_X34Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y105 TILE_X 58974 TILEPROP INT_L_X34Y105 TILE_Y 98872 TILEPROP INT_L_X34Y105 TYPE INT_L TILEPROP INT_L_X34Y106 CLASS tile TILEPROP INT_L_X34Y106 COLUMN 88 TILEPROP INT_L_X34Y106 DEVICE_ID 0 TILEPROP INT_L_X34Y106 FIRST_SITE_ID 4501 TILEPROP INT_L_X34Y106 GRID_POINT_X 88 TILEPROP INT_L_X34Y106 GRID_POINT_Y 45 TILEPROP INT_L_X34Y106 INDEX 5263 TILEPROP INT_L_X34Y106 INT_TILE_X 34 TILEPROP INT_L_X34Y106 INT_TILE_Y 43 TILEPROP INT_L_X34Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y106 IS_DCM_TILE 0 TILEPROP INT_L_X34Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y106 NAME INT_L_X34Y106 TILEPROP INT_L_X34Y106 NUM_ARCS 3737 TILEPROP INT_L_X34Y106 NUM_SITES 1 TILEPROP INT_L_X34Y106 ROW 45 TILEPROP INT_L_X34Y106 SLR_REGION_ID 0 TILEPROP INT_L_X34Y106 TILE_PATTERN_IDX 5237 TILEPROP INT_L_X34Y106 TILE_TYPE INT_L TILEPROP INT_L_X34Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y106 TILE_X 58974 TILEPROP INT_L_X34Y106 TILE_Y 102072 TILEPROP INT_L_X34Y106 TYPE INT_L TILEPROP INT_L_X34Y107 CLASS tile TILEPROP INT_L_X34Y107 COLUMN 88 TILEPROP INT_L_X34Y107 DEVICE_ID 0 TILEPROP INT_L_X34Y107 FIRST_SITE_ID 4413 TILEPROP INT_L_X34Y107 GRID_POINT_X 88 TILEPROP INT_L_X34Y107 GRID_POINT_Y 44 TILEPROP INT_L_X34Y107 INDEX 5148 TILEPROP INT_L_X34Y107 INT_TILE_X 34 TILEPROP INT_L_X34Y107 INT_TILE_Y 42 TILEPROP INT_L_X34Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y107 IS_DCM_TILE 0 TILEPROP INT_L_X34Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y107 NAME INT_L_X34Y107 TILEPROP INT_L_X34Y107 NUM_ARCS 3737 TILEPROP INT_L_X34Y107 NUM_SITES 1 TILEPROP INT_L_X34Y107 ROW 44 TILEPROP INT_L_X34Y107 SLR_REGION_ID 0 TILEPROP INT_L_X34Y107 TILE_PATTERN_IDX 5201 TILEPROP INT_L_X34Y107 TILE_TYPE INT_L TILEPROP INT_L_X34Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y107 TILE_X 58974 TILEPROP INT_L_X34Y107 TILE_Y 105272 TILEPROP INT_L_X34Y107 TYPE INT_L TILEPROP INT_L_X34Y108 CLASS tile TILEPROP INT_L_X34Y108 COLUMN 88 TILEPROP INT_L_X34Y108 DEVICE_ID 0 TILEPROP INT_L_X34Y108 FIRST_SITE_ID 4315 TILEPROP INT_L_X34Y108 GRID_POINT_X 88 TILEPROP INT_L_X34Y108 GRID_POINT_Y 43 TILEPROP INT_L_X34Y108 INDEX 5033 TILEPROP INT_L_X34Y108 INT_TILE_X 34 TILEPROP INT_L_X34Y108 INT_TILE_Y 41 TILEPROP INT_L_X34Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y108 IS_DCM_TILE 0 TILEPROP INT_L_X34Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y108 NAME INT_L_X34Y108 TILEPROP INT_L_X34Y108 NUM_ARCS 3737 TILEPROP INT_L_X34Y108 NUM_SITES 1 TILEPROP INT_L_X34Y108 ROW 43 TILEPROP INT_L_X34Y108 SLR_REGION_ID 0 TILEPROP INT_L_X34Y108 TILE_PATTERN_IDX 5164 TILEPROP INT_L_X34Y108 TILE_TYPE INT_L TILEPROP INT_L_X34Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y108 TILE_X 58974 TILEPROP INT_L_X34Y108 TILE_Y 108472 TILEPROP INT_L_X34Y108 TYPE INT_L TILEPROP INT_L_X34Y109 CLASS tile TILEPROP INT_L_X34Y109 COLUMN 88 TILEPROP INT_L_X34Y109 DEVICE_ID 0 TILEPROP INT_L_X34Y109 FIRST_SITE_ID 4226 TILEPROP INT_L_X34Y109 GRID_POINT_X 88 TILEPROP INT_L_X34Y109 GRID_POINT_Y 42 TILEPROP INT_L_X34Y109 INDEX 4918 TILEPROP INT_L_X34Y109 INT_TILE_X 34 TILEPROP INT_L_X34Y109 INT_TILE_Y 40 TILEPROP INT_L_X34Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y109 IS_DCM_TILE 0 TILEPROP INT_L_X34Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y109 NAME INT_L_X34Y109 TILEPROP INT_L_X34Y109 NUM_ARCS 3737 TILEPROP INT_L_X34Y109 NUM_SITES 1 TILEPROP INT_L_X34Y109 ROW 42 TILEPROP INT_L_X34Y109 SLR_REGION_ID 0 TILEPROP INT_L_X34Y109 TILE_PATTERN_IDX 5128 TILEPROP INT_L_X34Y109 TILE_TYPE INT_L TILEPROP INT_L_X34Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y109 TILE_X 58974 TILEPROP INT_L_X34Y109 TILE_Y 111672 TILEPROP INT_L_X34Y109 TYPE INT_L TILEPROP INT_L_X34Y110 CLASS tile TILEPROP INT_L_X34Y110 COLUMN 88 TILEPROP INT_L_X34Y110 DEVICE_ID 0 TILEPROP INT_L_X34Y110 FIRST_SITE_ID 4130 TILEPROP INT_L_X34Y110 GRID_POINT_X 88 TILEPROP INT_L_X34Y110 GRID_POINT_Y 41 TILEPROP INT_L_X34Y110 INDEX 4803 TILEPROP INT_L_X34Y110 INT_TILE_X 34 TILEPROP INT_L_X34Y110 INT_TILE_Y 39 TILEPROP INT_L_X34Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y110 IS_DCM_TILE 0 TILEPROP INT_L_X34Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y110 NAME INT_L_X34Y110 TILEPROP INT_L_X34Y110 NUM_ARCS 3737 TILEPROP INT_L_X34Y110 NUM_SITES 1 TILEPROP INT_L_X34Y110 ROW 41 TILEPROP INT_L_X34Y110 SLR_REGION_ID 0 TILEPROP INT_L_X34Y110 TILE_PATTERN_IDX 5091 TILEPROP INT_L_X34Y110 TILE_TYPE INT_L TILEPROP INT_L_X34Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y110 TILE_X 58974 TILEPROP INT_L_X34Y110 TILE_Y 114872 TILEPROP INT_L_X34Y110 TYPE INT_L TILEPROP INT_L_X34Y111 CLASS tile TILEPROP INT_L_X34Y111 COLUMN 88 TILEPROP INT_L_X34Y111 DEVICE_ID 0 TILEPROP INT_L_X34Y111 FIRST_SITE_ID 4032 TILEPROP INT_L_X34Y111 GRID_POINT_X 88 TILEPROP INT_L_X34Y111 GRID_POINT_Y 40 TILEPROP INT_L_X34Y111 INDEX 4688 TILEPROP INT_L_X34Y111 INT_TILE_X 34 TILEPROP INT_L_X34Y111 INT_TILE_Y 38 TILEPROP INT_L_X34Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y111 IS_DCM_TILE 0 TILEPROP INT_L_X34Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y111 NAME INT_L_X34Y111 TILEPROP INT_L_X34Y111 NUM_ARCS 3737 TILEPROP INT_L_X34Y111 NUM_SITES 1 TILEPROP INT_L_X34Y111 ROW 40 TILEPROP INT_L_X34Y111 SLR_REGION_ID 0 TILEPROP INT_L_X34Y111 TILE_PATTERN_IDX 5055 TILEPROP INT_L_X34Y111 TILE_TYPE INT_L TILEPROP INT_L_X34Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y111 TILE_X 58974 TILEPROP INT_L_X34Y111 TILE_Y 118072 TILEPROP INT_L_X34Y111 TYPE INT_L TILEPROP INT_L_X34Y112 CLASS tile TILEPROP INT_L_X34Y112 COLUMN 88 TILEPROP INT_L_X34Y112 DEVICE_ID 0 TILEPROP INT_L_X34Y112 FIRST_SITE_ID 3936 TILEPROP INT_L_X34Y112 GRID_POINT_X 88 TILEPROP INT_L_X34Y112 GRID_POINT_Y 39 TILEPROP INT_L_X34Y112 INDEX 4573 TILEPROP INT_L_X34Y112 INT_TILE_X 34 TILEPROP INT_L_X34Y112 INT_TILE_Y 37 TILEPROP INT_L_X34Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y112 IS_DCM_TILE 0 TILEPROP INT_L_X34Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y112 NAME INT_L_X34Y112 TILEPROP INT_L_X34Y112 NUM_ARCS 3737 TILEPROP INT_L_X34Y112 NUM_SITES 1 TILEPROP INT_L_X34Y112 ROW 39 TILEPROP INT_L_X34Y112 SLR_REGION_ID 0 TILEPROP INT_L_X34Y112 TILE_PATTERN_IDX 5018 TILEPROP INT_L_X34Y112 TILE_TYPE INT_L TILEPROP INT_L_X34Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y112 TILE_X 58974 TILEPROP INT_L_X34Y112 TILE_Y 121272 TILEPROP INT_L_X34Y112 TYPE INT_L TILEPROP INT_L_X34Y113 CLASS tile TILEPROP INT_L_X34Y113 COLUMN 88 TILEPROP INT_L_X34Y113 DEVICE_ID 0 TILEPROP INT_L_X34Y113 FIRST_SITE_ID 3816 TILEPROP INT_L_X34Y113 GRID_POINT_X 88 TILEPROP INT_L_X34Y113 GRID_POINT_Y 38 TILEPROP INT_L_X34Y113 INDEX 4458 TILEPROP INT_L_X34Y113 INT_TILE_X 34 TILEPROP INT_L_X34Y113 INT_TILE_Y 36 TILEPROP INT_L_X34Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y113 IS_DCM_TILE 0 TILEPROP INT_L_X34Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y113 NAME INT_L_X34Y113 TILEPROP INT_L_X34Y113 NUM_ARCS 3737 TILEPROP INT_L_X34Y113 NUM_SITES 1 TILEPROP INT_L_X34Y113 ROW 38 TILEPROP INT_L_X34Y113 SLR_REGION_ID 0 TILEPROP INT_L_X34Y113 TILE_PATTERN_IDX 4981 TILEPROP INT_L_X34Y113 TILE_TYPE INT_L TILEPROP INT_L_X34Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y113 TILE_X 58974 TILEPROP INT_L_X34Y113 TILE_Y 124472 TILEPROP INT_L_X34Y113 TYPE INT_L TILEPROP INT_L_X34Y114 CLASS tile TILEPROP INT_L_X34Y114 COLUMN 88 TILEPROP INT_L_X34Y114 DEVICE_ID 0 TILEPROP INT_L_X34Y114 FIRST_SITE_ID 3720 TILEPROP INT_L_X34Y114 GRID_POINT_X 88 TILEPROP INT_L_X34Y114 GRID_POINT_Y 37 TILEPROP INT_L_X34Y114 INDEX 4343 TILEPROP INT_L_X34Y114 INT_TILE_X 34 TILEPROP INT_L_X34Y114 INT_TILE_Y 35 TILEPROP INT_L_X34Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y114 IS_DCM_TILE 0 TILEPROP INT_L_X34Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y114 NAME INT_L_X34Y114 TILEPROP INT_L_X34Y114 NUM_ARCS 3737 TILEPROP INT_L_X34Y114 NUM_SITES 1 TILEPROP INT_L_X34Y114 ROW 37 TILEPROP INT_L_X34Y114 SLR_REGION_ID 0 TILEPROP INT_L_X34Y114 TILE_PATTERN_IDX 4944 TILEPROP INT_L_X34Y114 TILE_TYPE INT_L TILEPROP INT_L_X34Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y114 TILE_X 58974 TILEPROP INT_L_X34Y114 TILE_Y 127672 TILEPROP INT_L_X34Y114 TYPE INT_L TILEPROP INT_L_X34Y115 CLASS tile TILEPROP INT_L_X34Y115 COLUMN 88 TILEPROP INT_L_X34Y115 DEVICE_ID 0 TILEPROP INT_L_X34Y115 FIRST_SITE_ID 3632 TILEPROP INT_L_X34Y115 GRID_POINT_X 88 TILEPROP INT_L_X34Y115 GRID_POINT_Y 36 TILEPROP INT_L_X34Y115 INDEX 4228 TILEPROP INT_L_X34Y115 INT_TILE_X 34 TILEPROP INT_L_X34Y115 INT_TILE_Y 34 TILEPROP INT_L_X34Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y115 IS_DCM_TILE 0 TILEPROP INT_L_X34Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y115 NAME INT_L_X34Y115 TILEPROP INT_L_X34Y115 NUM_ARCS 3737 TILEPROP INT_L_X34Y115 NUM_SITES 1 TILEPROP INT_L_X34Y115 ROW 36 TILEPROP INT_L_X34Y115 SLR_REGION_ID 0 TILEPROP INT_L_X34Y115 TILE_PATTERN_IDX 4908 TILEPROP INT_L_X34Y115 TILE_TYPE INT_L TILEPROP INT_L_X34Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y115 TILE_X 58974 TILEPROP INT_L_X34Y115 TILE_Y 130872 TILEPROP INT_L_X34Y115 TYPE INT_L TILEPROP INT_L_X34Y116 CLASS tile TILEPROP INT_L_X34Y116 COLUMN 88 TILEPROP INT_L_X34Y116 DEVICE_ID 0 TILEPROP INT_L_X34Y116 FIRST_SITE_ID 3522 TILEPROP INT_L_X34Y116 GRID_POINT_X 88 TILEPROP INT_L_X34Y116 GRID_POINT_Y 35 TILEPROP INT_L_X34Y116 INDEX 4113 TILEPROP INT_L_X34Y116 INT_TILE_X 34 TILEPROP INT_L_X34Y116 INT_TILE_Y 33 TILEPROP INT_L_X34Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y116 IS_DCM_TILE 0 TILEPROP INT_L_X34Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y116 NAME INT_L_X34Y116 TILEPROP INT_L_X34Y116 NUM_ARCS 3737 TILEPROP INT_L_X34Y116 NUM_SITES 1 TILEPROP INT_L_X34Y116 ROW 35 TILEPROP INT_L_X34Y116 SLR_REGION_ID 0 TILEPROP INT_L_X34Y116 TILE_PATTERN_IDX 4871 TILEPROP INT_L_X34Y116 TILE_TYPE INT_L TILEPROP INT_L_X34Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y116 TILE_X 58974 TILEPROP INT_L_X34Y116 TILE_Y 134072 TILEPROP INT_L_X34Y116 TYPE INT_L TILEPROP INT_L_X34Y117 CLASS tile TILEPROP INT_L_X34Y117 COLUMN 88 TILEPROP INT_L_X34Y117 DEVICE_ID 0 TILEPROP INT_L_X34Y117 FIRST_SITE_ID 3434 TILEPROP INT_L_X34Y117 GRID_POINT_X 88 TILEPROP INT_L_X34Y117 GRID_POINT_Y 34 TILEPROP INT_L_X34Y117 INDEX 3998 TILEPROP INT_L_X34Y117 INT_TILE_X 34 TILEPROP INT_L_X34Y117 INT_TILE_Y 32 TILEPROP INT_L_X34Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y117 IS_DCM_TILE 0 TILEPROP INT_L_X34Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y117 NAME INT_L_X34Y117 TILEPROP INT_L_X34Y117 NUM_ARCS 3737 TILEPROP INT_L_X34Y117 NUM_SITES 1 TILEPROP INT_L_X34Y117 ROW 34 TILEPROP INT_L_X34Y117 SLR_REGION_ID 0 TILEPROP INT_L_X34Y117 TILE_PATTERN_IDX 4835 TILEPROP INT_L_X34Y117 TILE_TYPE INT_L TILEPROP INT_L_X34Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y117 TILE_X 58974 TILEPROP INT_L_X34Y117 TILE_Y 137272 TILEPROP INT_L_X34Y117 TYPE INT_L TILEPROP INT_L_X34Y118 CLASS tile TILEPROP INT_L_X34Y118 COLUMN 88 TILEPROP INT_L_X34Y118 DEVICE_ID 0 TILEPROP INT_L_X34Y118 FIRST_SITE_ID 3334 TILEPROP INT_L_X34Y118 GRID_POINT_X 88 TILEPROP INT_L_X34Y118 GRID_POINT_Y 33 TILEPROP INT_L_X34Y118 INDEX 3883 TILEPROP INT_L_X34Y118 INT_TILE_X 34 TILEPROP INT_L_X34Y118 INT_TILE_Y 31 TILEPROP INT_L_X34Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y118 IS_DCM_TILE 0 TILEPROP INT_L_X34Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y118 NAME INT_L_X34Y118 TILEPROP INT_L_X34Y118 NUM_ARCS 3737 TILEPROP INT_L_X34Y118 NUM_SITES 1 TILEPROP INT_L_X34Y118 ROW 33 TILEPROP INT_L_X34Y118 SLR_REGION_ID 0 TILEPROP INT_L_X34Y118 TILE_PATTERN_IDX 4797 TILEPROP INT_L_X34Y118 TILE_TYPE INT_L TILEPROP INT_L_X34Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y118 TILE_X 58974 TILEPROP INT_L_X34Y118 TILE_Y 140472 TILEPROP INT_L_X34Y118 TYPE INT_L TILEPROP INT_L_X34Y119 CLASS tile TILEPROP INT_L_X34Y119 COLUMN 88 TILEPROP INT_L_X34Y119 DEVICE_ID 0 TILEPROP INT_L_X34Y119 FIRST_SITE_ID 3246 TILEPROP INT_L_X34Y119 GRID_POINT_X 88 TILEPROP INT_L_X34Y119 GRID_POINT_Y 32 TILEPROP INT_L_X34Y119 INDEX 3768 TILEPROP INT_L_X34Y119 INT_TILE_X 34 TILEPROP INT_L_X34Y119 INT_TILE_Y 30 TILEPROP INT_L_X34Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y119 IS_DCM_TILE 0 TILEPROP INT_L_X34Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y119 NAME INT_L_X34Y119 TILEPROP INT_L_X34Y119 NUM_ARCS 3737 TILEPROP INT_L_X34Y119 NUM_SITES 1 TILEPROP INT_L_X34Y119 ROW 32 TILEPROP INT_L_X34Y119 SLR_REGION_ID 0 TILEPROP INT_L_X34Y119 TILE_PATTERN_IDX 4761 TILEPROP INT_L_X34Y119 TILE_TYPE INT_L TILEPROP INT_L_X34Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y119 TILE_X 58974 TILEPROP INT_L_X34Y119 TILE_Y 143672 TILEPROP INT_L_X34Y119 TYPE INT_L TILEPROP INT_L_X34Y120 CLASS tile TILEPROP INT_L_X34Y120 COLUMN 88 TILEPROP INT_L_X34Y120 DEVICE_ID 0 TILEPROP INT_L_X34Y120 FIRST_SITE_ID 3148 TILEPROP INT_L_X34Y120 GRID_POINT_X 88 TILEPROP INT_L_X34Y120 GRID_POINT_Y 31 TILEPROP INT_L_X34Y120 INDEX 3653 TILEPROP INT_L_X34Y120 INT_TILE_X 34 TILEPROP INT_L_X34Y120 INT_TILE_Y 29 TILEPROP INT_L_X34Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y120 IS_DCM_TILE 0 TILEPROP INT_L_X34Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y120 NAME INT_L_X34Y120 TILEPROP INT_L_X34Y120 NUM_ARCS 3737 TILEPROP INT_L_X34Y120 NUM_SITES 1 TILEPROP INT_L_X34Y120 ROW 31 TILEPROP INT_L_X34Y120 SLR_REGION_ID 0 TILEPROP INT_L_X34Y120 TILE_PATTERN_IDX 4724 TILEPROP INT_L_X34Y120 TILE_TYPE INT_L TILEPROP INT_L_X34Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y120 TILE_X 58974 TILEPROP INT_L_X34Y120 TILE_Y 146872 TILEPROP INT_L_X34Y120 TYPE INT_L TILEPROP INT_L_X34Y121 CLASS tile TILEPROP INT_L_X34Y121 COLUMN 88 TILEPROP INT_L_X34Y121 DEVICE_ID 0 TILEPROP INT_L_X34Y121 FIRST_SITE_ID 3051 TILEPROP INT_L_X34Y121 GRID_POINT_X 88 TILEPROP INT_L_X34Y121 GRID_POINT_Y 30 TILEPROP INT_L_X34Y121 INDEX 3538 TILEPROP INT_L_X34Y121 INT_TILE_X 34 TILEPROP INT_L_X34Y121 INT_TILE_Y 28 TILEPROP INT_L_X34Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y121 IS_DCM_TILE 0 TILEPROP INT_L_X34Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y121 NAME INT_L_X34Y121 TILEPROP INT_L_X34Y121 NUM_ARCS 3737 TILEPROP INT_L_X34Y121 NUM_SITES 1 TILEPROP INT_L_X34Y121 ROW 30 TILEPROP INT_L_X34Y121 SLR_REGION_ID 0 TILEPROP INT_L_X34Y121 TILE_PATTERN_IDX 4688 TILEPROP INT_L_X34Y121 TILE_TYPE INT_L TILEPROP INT_L_X34Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y121 TILE_X 58974 TILEPROP INT_L_X34Y121 TILE_Y 150072 TILEPROP INT_L_X34Y121 TYPE INT_L TILEPROP INT_L_X34Y122 CLASS tile TILEPROP INT_L_X34Y122 COLUMN 88 TILEPROP INT_L_X34Y122 DEVICE_ID 0 TILEPROP INT_L_X34Y122 FIRST_SITE_ID 2948 TILEPROP INT_L_X34Y122 GRID_POINT_X 88 TILEPROP INT_L_X34Y122 GRID_POINT_Y 29 TILEPROP INT_L_X34Y122 INDEX 3423 TILEPROP INT_L_X34Y122 INT_TILE_X 34 TILEPROP INT_L_X34Y122 INT_TILE_Y 27 TILEPROP INT_L_X34Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y122 IS_DCM_TILE 0 TILEPROP INT_L_X34Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y122 NAME INT_L_X34Y122 TILEPROP INT_L_X34Y122 NUM_ARCS 3737 TILEPROP INT_L_X34Y122 NUM_SITES 1 TILEPROP INT_L_X34Y122 ROW 29 TILEPROP INT_L_X34Y122 SLR_REGION_ID 0 TILEPROP INT_L_X34Y122 TILE_PATTERN_IDX 4650 TILEPROP INT_L_X34Y122 TILE_TYPE INT_L TILEPROP INT_L_X34Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y122 TILE_X 58974 TILEPROP INT_L_X34Y122 TILE_Y 153272 TILEPROP INT_L_X34Y122 TYPE INT_L TILEPROP INT_L_X34Y123 CLASS tile TILEPROP INT_L_X34Y123 COLUMN 88 TILEPROP INT_L_X34Y123 DEVICE_ID 0 TILEPROP INT_L_X34Y123 FIRST_SITE_ID 2860 TILEPROP INT_L_X34Y123 GRID_POINT_X 88 TILEPROP INT_L_X34Y123 GRID_POINT_Y 28 TILEPROP INT_L_X34Y123 INDEX 3308 TILEPROP INT_L_X34Y123 INT_TILE_X 34 TILEPROP INT_L_X34Y123 INT_TILE_Y 26 TILEPROP INT_L_X34Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y123 IS_DCM_TILE 0 TILEPROP INT_L_X34Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y123 NAME INT_L_X34Y123 TILEPROP INT_L_X34Y123 NUM_ARCS 3737 TILEPROP INT_L_X34Y123 NUM_SITES 1 TILEPROP INT_L_X34Y123 ROW 28 TILEPROP INT_L_X34Y123 SLR_REGION_ID 0 TILEPROP INT_L_X34Y123 TILE_PATTERN_IDX 4614 TILEPROP INT_L_X34Y123 TILE_TYPE INT_L TILEPROP INT_L_X34Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y123 TILE_X 58974 TILEPROP INT_L_X34Y123 TILE_Y 156472 TILEPROP INT_L_X34Y123 TYPE INT_L TILEPROP INT_L_X34Y124 CLASS tile TILEPROP INT_L_X34Y124 COLUMN 88 TILEPROP INT_L_X34Y124 DEVICE_ID 0 TILEPROP INT_L_X34Y124 FIRST_SITE_ID 2764 TILEPROP INT_L_X34Y124 GRID_POINT_X 88 TILEPROP INT_L_X34Y124 GRID_POINT_Y 27 TILEPROP INT_L_X34Y124 INDEX 3193 TILEPROP INT_L_X34Y124 INT_TILE_X 34 TILEPROP INT_L_X34Y124 INT_TILE_Y 25 TILEPROP INT_L_X34Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y124 IS_DCM_TILE 0 TILEPROP INT_L_X34Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y124 NAME INT_L_X34Y124 TILEPROP INT_L_X34Y124 NUM_ARCS 3737 TILEPROP INT_L_X34Y124 NUM_SITES 1 TILEPROP INT_L_X34Y124 ROW 27 TILEPROP INT_L_X34Y124 SLR_REGION_ID 0 TILEPROP INT_L_X34Y124 TILE_PATTERN_IDX 4577 TILEPROP INT_L_X34Y124 TILE_TYPE INT_L TILEPROP INT_L_X34Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y124 TILE_X 58974 TILEPROP INT_L_X34Y124 TILE_Y 159672 TILEPROP INT_L_X34Y124 TYPE INT_L TILEPROP INT_L_X34Y125 CLASS tile TILEPROP INT_L_X34Y125 COLUMN 88 TILEPROP INT_L_X34Y125 DEVICE_ID 0 TILEPROP INT_L_X34Y125 FIRST_SITE_ID 2605 TILEPROP INT_L_X34Y125 GRID_POINT_X 88 TILEPROP INT_L_X34Y125 GRID_POINT_Y 25 TILEPROP INT_L_X34Y125 INDEX 2963 TILEPROP INT_L_X34Y125 INT_TILE_X 34 TILEPROP INT_L_X34Y125 INT_TILE_Y 24 TILEPROP INT_L_X34Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y125 IS_DCM_TILE 0 TILEPROP INT_L_X34Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y125 NAME INT_L_X34Y125 TILEPROP INT_L_X34Y125 NUM_ARCS 3737 TILEPROP INT_L_X34Y125 NUM_SITES 1 TILEPROP INT_L_X34Y125 ROW 25 TILEPROP INT_L_X34Y125 SLR_REGION_ID 0 TILEPROP INT_L_X34Y125 TILE_PATTERN_IDX 4500 TILEPROP INT_L_X34Y125 TILE_TYPE INT_L TILEPROP INT_L_X34Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y125 TILE_X 58974 TILEPROP INT_L_X34Y125 TILE_Y 163896 TILEPROP INT_L_X34Y125 TYPE INT_L TILEPROP INT_L_X34Y126 CLASS tile TILEPROP INT_L_X34Y126 COLUMN 88 TILEPROP INT_L_X34Y126 DEVICE_ID 0 TILEPROP INT_L_X34Y126 FIRST_SITE_ID 2489 TILEPROP INT_L_X34Y126 GRID_POINT_X 88 TILEPROP INT_L_X34Y126 GRID_POINT_Y 24 TILEPROP INT_L_X34Y126 INDEX 2848 TILEPROP INT_L_X34Y126 INT_TILE_X 34 TILEPROP INT_L_X34Y126 INT_TILE_Y 23 TILEPROP INT_L_X34Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y126 IS_DCM_TILE 0 TILEPROP INT_L_X34Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y126 NAME INT_L_X34Y126 TILEPROP INT_L_X34Y126 NUM_ARCS 3737 TILEPROP INT_L_X34Y126 NUM_SITES 1 TILEPROP INT_L_X34Y126 ROW 24 TILEPROP INT_L_X34Y126 SLR_REGION_ID 0 TILEPROP INT_L_X34Y126 TILE_PATTERN_IDX 4461 TILEPROP INT_L_X34Y126 TILE_TYPE INT_L TILEPROP INT_L_X34Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y126 TILE_X 58974 TILEPROP INT_L_X34Y126 TILE_Y 167096 TILEPROP INT_L_X34Y126 TYPE INT_L TILEPROP INT_L_X34Y127 CLASS tile TILEPROP INT_L_X34Y127 COLUMN 88 TILEPROP INT_L_X34Y127 DEVICE_ID 0 TILEPROP INT_L_X34Y127 FIRST_SITE_ID 2393 TILEPROP INT_L_X34Y127 GRID_POINT_X 88 TILEPROP INT_L_X34Y127 GRID_POINT_Y 23 TILEPROP INT_L_X34Y127 INDEX 2733 TILEPROP INT_L_X34Y127 INT_TILE_X 34 TILEPROP INT_L_X34Y127 INT_TILE_Y 22 TILEPROP INT_L_X34Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y127 IS_DCM_TILE 0 TILEPROP INT_L_X34Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y127 NAME INT_L_X34Y127 TILEPROP INT_L_X34Y127 NUM_ARCS 3737 TILEPROP INT_L_X34Y127 NUM_SITES 1 TILEPROP INT_L_X34Y127 ROW 23 TILEPROP INT_L_X34Y127 SLR_REGION_ID 0 TILEPROP INT_L_X34Y127 TILE_PATTERN_IDX 4423 TILEPROP INT_L_X34Y127 TILE_TYPE INT_L TILEPROP INT_L_X34Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y127 TILE_X 58974 TILEPROP INT_L_X34Y127 TILE_Y 170296 TILEPROP INT_L_X34Y127 TYPE INT_L TILEPROP INT_L_X34Y128 CLASS tile TILEPROP INT_L_X34Y128 COLUMN 88 TILEPROP INT_L_X34Y128 DEVICE_ID 0 TILEPROP INT_L_X34Y128 FIRST_SITE_ID 2289 TILEPROP INT_L_X34Y128 GRID_POINT_X 88 TILEPROP INT_L_X34Y128 GRID_POINT_Y 22 TILEPROP INT_L_X34Y128 INDEX 2618 TILEPROP INT_L_X34Y128 INT_TILE_X 34 TILEPROP INT_L_X34Y128 INT_TILE_Y 21 TILEPROP INT_L_X34Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y128 IS_DCM_TILE 0 TILEPROP INT_L_X34Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y128 NAME INT_L_X34Y128 TILEPROP INT_L_X34Y128 NUM_ARCS 3737 TILEPROP INT_L_X34Y128 NUM_SITES 1 TILEPROP INT_L_X34Y128 ROW 22 TILEPROP INT_L_X34Y128 SLR_REGION_ID 0 TILEPROP INT_L_X34Y128 TILE_PATTERN_IDX 4384 TILEPROP INT_L_X34Y128 TILE_TYPE INT_L TILEPROP INT_L_X34Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y128 TILE_X 58974 TILEPROP INT_L_X34Y128 TILE_Y 173496 TILEPROP INT_L_X34Y128 TYPE INT_L TILEPROP INT_L_X34Y129 CLASS tile TILEPROP INT_L_X34Y129 COLUMN 88 TILEPROP INT_L_X34Y129 DEVICE_ID 0 TILEPROP INT_L_X34Y129 FIRST_SITE_ID 2193 TILEPROP INT_L_X34Y129 GRID_POINT_X 88 TILEPROP INT_L_X34Y129 GRID_POINT_Y 21 TILEPROP INT_L_X34Y129 INDEX 2503 TILEPROP INT_L_X34Y129 INT_TILE_X 34 TILEPROP INT_L_X34Y129 INT_TILE_Y 20 TILEPROP INT_L_X34Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y129 IS_DCM_TILE 0 TILEPROP INT_L_X34Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y129 NAME INT_L_X34Y129 TILEPROP INT_L_X34Y129 NUM_ARCS 3737 TILEPROP INT_L_X34Y129 NUM_SITES 1 TILEPROP INT_L_X34Y129 ROW 21 TILEPROP INT_L_X34Y129 SLR_REGION_ID 0 TILEPROP INT_L_X34Y129 TILE_PATTERN_IDX 4346 TILEPROP INT_L_X34Y129 TILE_TYPE INT_L TILEPROP INT_L_X34Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y129 TILE_X 58974 TILEPROP INT_L_X34Y129 TILE_Y 176696 TILEPROP INT_L_X34Y129 TYPE INT_L TILEPROP INT_L_X34Y130 CLASS tile TILEPROP INT_L_X34Y130 COLUMN 88 TILEPROP INT_L_X34Y130 DEVICE_ID 0 TILEPROP INT_L_X34Y130 FIRST_SITE_ID 2083 TILEPROP INT_L_X34Y130 GRID_POINT_X 88 TILEPROP INT_L_X34Y130 GRID_POINT_Y 20 TILEPROP INT_L_X34Y130 INDEX 2388 TILEPROP INT_L_X34Y130 INT_TILE_X 34 TILEPROP INT_L_X34Y130 INT_TILE_Y 19 TILEPROP INT_L_X34Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y130 IS_DCM_TILE 0 TILEPROP INT_L_X34Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y130 NAME INT_L_X34Y130 TILEPROP INT_L_X34Y130 NUM_ARCS 3737 TILEPROP INT_L_X34Y130 NUM_SITES 1 TILEPROP INT_L_X34Y130 ROW 20 TILEPROP INT_L_X34Y130 SLR_REGION_ID 0 TILEPROP INT_L_X34Y130 TILE_PATTERN_IDX 4306 TILEPROP INT_L_X34Y130 TILE_TYPE INT_L TILEPROP INT_L_X34Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y130 TILE_X 58974 TILEPROP INT_L_X34Y130 TILE_Y 179896 TILEPROP INT_L_X34Y130 TYPE INT_L TILEPROP INT_L_X34Y131 CLASS tile TILEPROP INT_L_X34Y131 COLUMN 88 TILEPROP INT_L_X34Y131 DEVICE_ID 0 TILEPROP INT_L_X34Y131 FIRST_SITE_ID 1975 TILEPROP INT_L_X34Y131 GRID_POINT_X 88 TILEPROP INT_L_X34Y131 GRID_POINT_Y 19 TILEPROP INT_L_X34Y131 INDEX 2273 TILEPROP INT_L_X34Y131 INT_TILE_X 34 TILEPROP INT_L_X34Y131 INT_TILE_Y 18 TILEPROP INT_L_X34Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y131 IS_DCM_TILE 0 TILEPROP INT_L_X34Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y131 NAME INT_L_X34Y131 TILEPROP INT_L_X34Y131 NUM_ARCS 3737 TILEPROP INT_L_X34Y131 NUM_SITES 1 TILEPROP INT_L_X34Y131 ROW 19 TILEPROP INT_L_X34Y131 SLR_REGION_ID 0 TILEPROP INT_L_X34Y131 TILE_PATTERN_IDX 4268 TILEPROP INT_L_X34Y131 TILE_TYPE INT_L TILEPROP INT_L_X34Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y131 TILE_X 58974 TILEPROP INT_L_X34Y131 TILE_Y 183096 TILEPROP INT_L_X34Y131 TYPE INT_L TILEPROP INT_L_X34Y132 CLASS tile TILEPROP INT_L_X34Y132 COLUMN 88 TILEPROP INT_L_X34Y132 DEVICE_ID 0 TILEPROP INT_L_X34Y132 FIRST_SITE_ID 1869 TILEPROP INT_L_X34Y132 GRID_POINT_X 88 TILEPROP INT_L_X34Y132 GRID_POINT_Y 18 TILEPROP INT_L_X34Y132 INDEX 2158 TILEPROP INT_L_X34Y132 INT_TILE_X 34 TILEPROP INT_L_X34Y132 INT_TILE_Y 17 TILEPROP INT_L_X34Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y132 IS_DCM_TILE 0 TILEPROP INT_L_X34Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y132 NAME INT_L_X34Y132 TILEPROP INT_L_X34Y132 NUM_ARCS 3737 TILEPROP INT_L_X34Y132 NUM_SITES 1 TILEPROP INT_L_X34Y132 ROW 18 TILEPROP INT_L_X34Y132 SLR_REGION_ID 0 TILEPROP INT_L_X34Y132 TILE_PATTERN_IDX 4229 TILEPROP INT_L_X34Y132 TILE_TYPE INT_L TILEPROP INT_L_X34Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y132 TILE_X 58974 TILEPROP INT_L_X34Y132 TILE_Y 186296 TILEPROP INT_L_X34Y132 TYPE INT_L TILEPROP INT_L_X34Y133 CLASS tile TILEPROP INT_L_X34Y133 COLUMN 88 TILEPROP INT_L_X34Y133 DEVICE_ID 0 TILEPROP INT_L_X34Y133 FIRST_SITE_ID 1768 TILEPROP INT_L_X34Y133 GRID_POINT_X 88 TILEPROP INT_L_X34Y133 GRID_POINT_Y 17 TILEPROP INT_L_X34Y133 INDEX 2043 TILEPROP INT_L_X34Y133 INT_TILE_X 34 TILEPROP INT_L_X34Y133 INT_TILE_Y 16 TILEPROP INT_L_X34Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y133 IS_DCM_TILE 0 TILEPROP INT_L_X34Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y133 NAME INT_L_X34Y133 TILEPROP INT_L_X34Y133 NUM_ARCS 3737 TILEPROP INT_L_X34Y133 NUM_SITES 1 TILEPROP INT_L_X34Y133 ROW 17 TILEPROP INT_L_X34Y133 SLR_REGION_ID 0 TILEPROP INT_L_X34Y133 TILE_PATTERN_IDX 4191 TILEPROP INT_L_X34Y133 TILE_TYPE INT_L TILEPROP INT_L_X34Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y133 TILE_X 58974 TILEPROP INT_L_X34Y133 TILE_Y 189496 TILEPROP INT_L_X34Y133 TYPE INT_L TILEPROP INT_L_X34Y134 CLASS tile TILEPROP INT_L_X34Y134 COLUMN 88 TILEPROP INT_L_X34Y134 DEVICE_ID 0 TILEPROP INT_L_X34Y134 FIRST_SITE_ID 1664 TILEPROP INT_L_X34Y134 GRID_POINT_X 88 TILEPROP INT_L_X34Y134 GRID_POINT_Y 16 TILEPROP INT_L_X34Y134 INDEX 1928 TILEPROP INT_L_X34Y134 INT_TILE_X 34 TILEPROP INT_L_X34Y134 INT_TILE_Y 15 TILEPROP INT_L_X34Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y134 IS_DCM_TILE 0 TILEPROP INT_L_X34Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y134 NAME INT_L_X34Y134 TILEPROP INT_L_X34Y134 NUM_ARCS 3737 TILEPROP INT_L_X34Y134 NUM_SITES 1 TILEPROP INT_L_X34Y134 ROW 16 TILEPROP INT_L_X34Y134 SLR_REGION_ID 0 TILEPROP INT_L_X34Y134 TILE_PATTERN_IDX 4152 TILEPROP INT_L_X34Y134 TILE_TYPE INT_L TILEPROP INT_L_X34Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y134 TILE_X 58974 TILEPROP INT_L_X34Y134 TILE_Y 192696 TILEPROP INT_L_X34Y134 TYPE INT_L TILEPROP INT_L_X34Y135 CLASS tile TILEPROP INT_L_X34Y135 COLUMN 88 TILEPROP INT_L_X34Y135 DEVICE_ID 0 TILEPROP INT_L_X34Y135 FIRST_SITE_ID 1568 TILEPROP INT_L_X34Y135 GRID_POINT_X 88 TILEPROP INT_L_X34Y135 GRID_POINT_Y 15 TILEPROP INT_L_X34Y135 INDEX 1813 TILEPROP INT_L_X34Y135 INT_TILE_X 34 TILEPROP INT_L_X34Y135 INT_TILE_Y 14 TILEPROP INT_L_X34Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y135 IS_DCM_TILE 0 TILEPROP INT_L_X34Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y135 NAME INT_L_X34Y135 TILEPROP INT_L_X34Y135 NUM_ARCS 3737 TILEPROP INT_L_X34Y135 NUM_SITES 1 TILEPROP INT_L_X34Y135 ROW 15 TILEPROP INT_L_X34Y135 SLR_REGION_ID 0 TILEPROP INT_L_X34Y135 TILE_PATTERN_IDX 4114 TILEPROP INT_L_X34Y135 TILE_TYPE INT_L TILEPROP INT_L_X34Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y135 TILE_X 58974 TILEPROP INT_L_X34Y135 TILE_Y 195896 TILEPROP INT_L_X34Y135 TYPE INT_L TILEPROP INT_L_X34Y136 CLASS tile TILEPROP INT_L_X34Y136 COLUMN 88 TILEPROP INT_L_X34Y136 DEVICE_ID 0 TILEPROP INT_L_X34Y136 FIRST_SITE_ID 1452 TILEPROP INT_L_X34Y136 GRID_POINT_X 88 TILEPROP INT_L_X34Y136 GRID_POINT_Y 14 TILEPROP INT_L_X34Y136 INDEX 1698 TILEPROP INT_L_X34Y136 INT_TILE_X 34 TILEPROP INT_L_X34Y136 INT_TILE_Y 13 TILEPROP INT_L_X34Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y136 IS_DCM_TILE 0 TILEPROP INT_L_X34Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y136 NAME INT_L_X34Y136 TILEPROP INT_L_X34Y136 NUM_ARCS 3737 TILEPROP INT_L_X34Y136 NUM_SITES 1 TILEPROP INT_L_X34Y136 ROW 14 TILEPROP INT_L_X34Y136 SLR_REGION_ID 0 TILEPROP INT_L_X34Y136 TILE_PATTERN_IDX 4075 TILEPROP INT_L_X34Y136 TILE_TYPE INT_L TILEPROP INT_L_X34Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y136 TILE_X 58974 TILEPROP INT_L_X34Y136 TILE_Y 199096 TILEPROP INT_L_X34Y136 TYPE INT_L TILEPROP INT_L_X34Y137 CLASS tile TILEPROP INT_L_X34Y137 COLUMN 88 TILEPROP INT_L_X34Y137 DEVICE_ID 0 TILEPROP INT_L_X34Y137 FIRST_SITE_ID 1324 TILEPROP INT_L_X34Y137 GRID_POINT_X 88 TILEPROP INT_L_X34Y137 GRID_POINT_Y 13 TILEPROP INT_L_X34Y137 INDEX 1583 TILEPROP INT_L_X34Y137 INT_TILE_X 34 TILEPROP INT_L_X34Y137 INT_TILE_Y 12 TILEPROP INT_L_X34Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y137 IS_DCM_TILE 0 TILEPROP INT_L_X34Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y137 NAME INT_L_X34Y137 TILEPROP INT_L_X34Y137 NUM_ARCS 3737 TILEPROP INT_L_X34Y137 NUM_SITES 1 TILEPROP INT_L_X34Y137 ROW 13 TILEPROP INT_L_X34Y137 SLR_REGION_ID 0 TILEPROP INT_L_X34Y137 TILE_PATTERN_IDX 4037 TILEPROP INT_L_X34Y137 TILE_TYPE INT_L TILEPROP INT_L_X34Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y137 TILE_X 58974 TILEPROP INT_L_X34Y137 TILE_Y 202296 TILEPROP INT_L_X34Y137 TYPE INT_L TILEPROP INT_L_X34Y138 CLASS tile TILEPROP INT_L_X34Y138 COLUMN 88 TILEPROP INT_L_X34Y138 DEVICE_ID 0 TILEPROP INT_L_X34Y138 FIRST_SITE_ID 1220 TILEPROP INT_L_X34Y138 GRID_POINT_X 88 TILEPROP INT_L_X34Y138 GRID_POINT_Y 12 TILEPROP INT_L_X34Y138 INDEX 1468 TILEPROP INT_L_X34Y138 INT_TILE_X 34 TILEPROP INT_L_X34Y138 INT_TILE_Y 11 TILEPROP INT_L_X34Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y138 IS_DCM_TILE 0 TILEPROP INT_L_X34Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y138 NAME INT_L_X34Y138 TILEPROP INT_L_X34Y138 NUM_ARCS 3737 TILEPROP INT_L_X34Y138 NUM_SITES 1 TILEPROP INT_L_X34Y138 ROW 12 TILEPROP INT_L_X34Y138 SLR_REGION_ID 0 TILEPROP INT_L_X34Y138 TILE_PATTERN_IDX 3998 TILEPROP INT_L_X34Y138 TILE_TYPE INT_L TILEPROP INT_L_X34Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y138 TILE_X 58974 TILEPROP INT_L_X34Y138 TILE_Y 205496 TILEPROP INT_L_X34Y138 TYPE INT_L TILEPROP INT_L_X34Y139 CLASS tile TILEPROP INT_L_X34Y139 COLUMN 88 TILEPROP INT_L_X34Y139 DEVICE_ID 0 TILEPROP INT_L_X34Y139 FIRST_SITE_ID 1124 TILEPROP INT_L_X34Y139 GRID_POINT_X 88 TILEPROP INT_L_X34Y139 GRID_POINT_Y 11 TILEPROP INT_L_X34Y139 INDEX 1353 TILEPROP INT_L_X34Y139 INT_TILE_X 34 TILEPROP INT_L_X34Y139 INT_TILE_Y 10 TILEPROP INT_L_X34Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y139 IS_DCM_TILE 0 TILEPROP INT_L_X34Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y139 NAME INT_L_X34Y139 TILEPROP INT_L_X34Y139 NUM_ARCS 3737 TILEPROP INT_L_X34Y139 NUM_SITES 1 TILEPROP INT_L_X34Y139 ROW 11 TILEPROP INT_L_X34Y139 SLR_REGION_ID 0 TILEPROP INT_L_X34Y139 TILE_PATTERN_IDX 3960 TILEPROP INT_L_X34Y139 TILE_TYPE INT_L TILEPROP INT_L_X34Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y139 TILE_X 58974 TILEPROP INT_L_X34Y139 TILE_Y 208696 TILEPROP INT_L_X34Y139 TYPE INT_L TILEPROP INT_L_X34Y140 CLASS tile TILEPROP INT_L_X34Y140 COLUMN 88 TILEPROP INT_L_X34Y140 DEVICE_ID 0 TILEPROP INT_L_X34Y140 FIRST_SITE_ID 1020 TILEPROP INT_L_X34Y140 GRID_POINT_X 88 TILEPROP INT_L_X34Y140 GRID_POINT_Y 10 TILEPROP INT_L_X34Y140 INDEX 1238 TILEPROP INT_L_X34Y140 INT_TILE_X 34 TILEPROP INT_L_X34Y140 INT_TILE_Y 9 TILEPROP INT_L_X34Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y140 IS_DCM_TILE 0 TILEPROP INT_L_X34Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y140 NAME INT_L_X34Y140 TILEPROP INT_L_X34Y140 NUM_ARCS 3737 TILEPROP INT_L_X34Y140 NUM_SITES 1 TILEPROP INT_L_X34Y140 ROW 10 TILEPROP INT_L_X34Y140 SLR_REGION_ID 0 TILEPROP INT_L_X34Y140 TILE_PATTERN_IDX 3921 TILEPROP INT_L_X34Y140 TILE_TYPE INT_L TILEPROP INT_L_X34Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y140 TILE_X 58974 TILEPROP INT_L_X34Y140 TILE_Y 211896 TILEPROP INT_L_X34Y140 TYPE INT_L TILEPROP INT_L_X34Y141 CLASS tile TILEPROP INT_L_X34Y141 COLUMN 88 TILEPROP INT_L_X34Y141 DEVICE_ID 0 TILEPROP INT_L_X34Y141 FIRST_SITE_ID 912 TILEPROP INT_L_X34Y141 GRID_POINT_X 88 TILEPROP INT_L_X34Y141 GRID_POINT_Y 9 TILEPROP INT_L_X34Y141 INDEX 1123 TILEPROP INT_L_X34Y141 INT_TILE_X 34 TILEPROP INT_L_X34Y141 INT_TILE_Y 8 TILEPROP INT_L_X34Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y141 IS_DCM_TILE 0 TILEPROP INT_L_X34Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y141 NAME INT_L_X34Y141 TILEPROP INT_L_X34Y141 NUM_ARCS 3737 TILEPROP INT_L_X34Y141 NUM_SITES 1 TILEPROP INT_L_X34Y141 ROW 9 TILEPROP INT_L_X34Y141 SLR_REGION_ID 0 TILEPROP INT_L_X34Y141 TILE_PATTERN_IDX 3883 TILEPROP INT_L_X34Y141 TILE_TYPE INT_L TILEPROP INT_L_X34Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y141 TILE_X 58974 TILEPROP INT_L_X34Y141 TILE_Y 215096 TILEPROP INT_L_X34Y141 TYPE INT_L TILEPROP INT_L_X34Y142 CLASS tile TILEPROP INT_L_X34Y142 COLUMN 88 TILEPROP INT_L_X34Y142 DEVICE_ID 0 TILEPROP INT_L_X34Y142 FIRST_SITE_ID 808 TILEPROP INT_L_X34Y142 GRID_POINT_X 88 TILEPROP INT_L_X34Y142 GRID_POINT_Y 8 TILEPROP INT_L_X34Y142 INDEX 1008 TILEPROP INT_L_X34Y142 INT_TILE_X 34 TILEPROP INT_L_X34Y142 INT_TILE_Y 7 TILEPROP INT_L_X34Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y142 IS_DCM_TILE 0 TILEPROP INT_L_X34Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y142 NAME INT_L_X34Y142 TILEPROP INT_L_X34Y142 NUM_ARCS 3737 TILEPROP INT_L_X34Y142 NUM_SITES 1 TILEPROP INT_L_X34Y142 ROW 8 TILEPROP INT_L_X34Y142 SLR_REGION_ID 0 TILEPROP INT_L_X34Y142 TILE_PATTERN_IDX 3844 TILEPROP INT_L_X34Y142 TILE_TYPE INT_L TILEPROP INT_L_X34Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y142 TILE_X 58974 TILEPROP INT_L_X34Y142 TILE_Y 218296 TILEPROP INT_L_X34Y142 TYPE INT_L TILEPROP INT_L_X34Y143 CLASS tile TILEPROP INT_L_X34Y143 COLUMN 88 TILEPROP INT_L_X34Y143 DEVICE_ID 0 TILEPROP INT_L_X34Y143 FIRST_SITE_ID 711 TILEPROP INT_L_X34Y143 GRID_POINT_X 88 TILEPROP INT_L_X34Y143 GRID_POINT_Y 7 TILEPROP INT_L_X34Y143 INDEX 893 TILEPROP INT_L_X34Y143 INT_TILE_X 34 TILEPROP INT_L_X34Y143 INT_TILE_Y 6 TILEPROP INT_L_X34Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y143 IS_DCM_TILE 0 TILEPROP INT_L_X34Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y143 NAME INT_L_X34Y143 TILEPROP INT_L_X34Y143 NUM_ARCS 3737 TILEPROP INT_L_X34Y143 NUM_SITES 1 TILEPROP INT_L_X34Y143 ROW 7 TILEPROP INT_L_X34Y143 SLR_REGION_ID 0 TILEPROP INT_L_X34Y143 TILE_PATTERN_IDX 3806 TILEPROP INT_L_X34Y143 TILE_TYPE INT_L TILEPROP INT_L_X34Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y143 TILE_X 58974 TILEPROP INT_L_X34Y143 TILE_Y 221496 TILEPROP INT_L_X34Y143 TYPE INT_L TILEPROP INT_L_X34Y144 CLASS tile TILEPROP INT_L_X34Y144 COLUMN 88 TILEPROP INT_L_X34Y144 DEVICE_ID 0 TILEPROP INT_L_X34Y144 FIRST_SITE_ID 600 TILEPROP INT_L_X34Y144 GRID_POINT_X 88 TILEPROP INT_L_X34Y144 GRID_POINT_Y 6 TILEPROP INT_L_X34Y144 INDEX 778 TILEPROP INT_L_X34Y144 INT_TILE_X 34 TILEPROP INT_L_X34Y144 INT_TILE_Y 5 TILEPROP INT_L_X34Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y144 IS_DCM_TILE 0 TILEPROP INT_L_X34Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y144 NAME INT_L_X34Y144 TILEPROP INT_L_X34Y144 NUM_ARCS 3737 TILEPROP INT_L_X34Y144 NUM_SITES 1 TILEPROP INT_L_X34Y144 ROW 6 TILEPROP INT_L_X34Y144 SLR_REGION_ID 0 TILEPROP INT_L_X34Y144 TILE_PATTERN_IDX 3767 TILEPROP INT_L_X34Y144 TILE_TYPE INT_L TILEPROP INT_L_X34Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y144 TILE_X 58974 TILEPROP INT_L_X34Y144 TILE_Y 224696 TILEPROP INT_L_X34Y144 TYPE INT_L TILEPROP INT_L_X34Y145 CLASS tile TILEPROP INT_L_X34Y145 COLUMN 88 TILEPROP INT_L_X34Y145 DEVICE_ID 0 TILEPROP INT_L_X34Y145 FIRST_SITE_ID 504 TILEPROP INT_L_X34Y145 GRID_POINT_X 88 TILEPROP INT_L_X34Y145 GRID_POINT_Y 5 TILEPROP INT_L_X34Y145 INDEX 663 TILEPROP INT_L_X34Y145 INT_TILE_X 34 TILEPROP INT_L_X34Y145 INT_TILE_Y 4 TILEPROP INT_L_X34Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y145 IS_DCM_TILE 0 TILEPROP INT_L_X34Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y145 NAME INT_L_X34Y145 TILEPROP INT_L_X34Y145 NUM_ARCS 3737 TILEPROP INT_L_X34Y145 NUM_SITES 1 TILEPROP INT_L_X34Y145 ROW 5 TILEPROP INT_L_X34Y145 SLR_REGION_ID 0 TILEPROP INT_L_X34Y145 TILE_PATTERN_IDX 3729 TILEPROP INT_L_X34Y145 TILE_TYPE INT_L TILEPROP INT_L_X34Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y145 TILE_X 58974 TILEPROP INT_L_X34Y145 TILE_Y 227896 TILEPROP INT_L_X34Y145 TYPE INT_L TILEPROP INT_L_X34Y146 CLASS tile TILEPROP INT_L_X34Y146 COLUMN 88 TILEPROP INT_L_X34Y146 DEVICE_ID 0 TILEPROP INT_L_X34Y146 FIRST_SITE_ID 388 TILEPROP INT_L_X34Y146 GRID_POINT_X 88 TILEPROP INT_L_X34Y146 GRID_POINT_Y 4 TILEPROP INT_L_X34Y146 INDEX 548 TILEPROP INT_L_X34Y146 INT_TILE_X 34 TILEPROP INT_L_X34Y146 INT_TILE_Y 3 TILEPROP INT_L_X34Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y146 IS_DCM_TILE 0 TILEPROP INT_L_X34Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y146 NAME INT_L_X34Y146 TILEPROP INT_L_X34Y146 NUM_ARCS 3737 TILEPROP INT_L_X34Y146 NUM_SITES 1 TILEPROP INT_L_X34Y146 ROW 4 TILEPROP INT_L_X34Y146 SLR_REGION_ID 0 TILEPROP INT_L_X34Y146 TILE_PATTERN_IDX 3690 TILEPROP INT_L_X34Y146 TILE_TYPE INT_L TILEPROP INT_L_X34Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y146 TILE_X 58974 TILEPROP INT_L_X34Y146 TILE_Y 231096 TILEPROP INT_L_X34Y146 TYPE INT_L TILEPROP INT_L_X34Y147 CLASS tile TILEPROP INT_L_X34Y147 COLUMN 88 TILEPROP INT_L_X34Y147 DEVICE_ID 0 TILEPROP INT_L_X34Y147 FIRST_SITE_ID 292 TILEPROP INT_L_X34Y147 GRID_POINT_X 88 TILEPROP INT_L_X34Y147 GRID_POINT_Y 3 TILEPROP INT_L_X34Y147 INDEX 433 TILEPROP INT_L_X34Y147 INT_TILE_X 34 TILEPROP INT_L_X34Y147 INT_TILE_Y 2 TILEPROP INT_L_X34Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y147 IS_DCM_TILE 0 TILEPROP INT_L_X34Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y147 NAME INT_L_X34Y147 TILEPROP INT_L_X34Y147 NUM_ARCS 3737 TILEPROP INT_L_X34Y147 NUM_SITES 1 TILEPROP INT_L_X34Y147 ROW 3 TILEPROP INT_L_X34Y147 SLR_REGION_ID 0 TILEPROP INT_L_X34Y147 TILE_PATTERN_IDX 3652 TILEPROP INT_L_X34Y147 TILE_TYPE INT_L TILEPROP INT_L_X34Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y147 TILE_X 58974 TILEPROP INT_L_X34Y147 TILE_Y 234296 TILEPROP INT_L_X34Y147 TYPE INT_L TILEPROP INT_L_X34Y148 CLASS tile TILEPROP INT_L_X34Y148 COLUMN 88 TILEPROP INT_L_X34Y148 DEVICE_ID 0 TILEPROP INT_L_X34Y148 FIRST_SITE_ID 188 TILEPROP INT_L_X34Y148 GRID_POINT_X 88 TILEPROP INT_L_X34Y148 GRID_POINT_Y 2 TILEPROP INT_L_X34Y148 INDEX 318 TILEPROP INT_L_X34Y148 INT_TILE_X 34 TILEPROP INT_L_X34Y148 INT_TILE_Y 1 TILEPROP INT_L_X34Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y148 IS_DCM_TILE 0 TILEPROP INT_L_X34Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y148 NAME INT_L_X34Y148 TILEPROP INT_L_X34Y148 NUM_ARCS 3737 TILEPROP INT_L_X34Y148 NUM_SITES 1 TILEPROP INT_L_X34Y148 ROW 2 TILEPROP INT_L_X34Y148 SLR_REGION_ID 0 TILEPROP INT_L_X34Y148 TILE_PATTERN_IDX 3613 TILEPROP INT_L_X34Y148 TILE_TYPE INT_L TILEPROP INT_L_X34Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y148 TILE_X 58974 TILEPROP INT_L_X34Y148 TILE_Y 237496 TILEPROP INT_L_X34Y148 TYPE INT_L TILEPROP INT_L_X34Y149 CLASS tile TILEPROP INT_L_X34Y149 COLUMN 88 TILEPROP INT_L_X34Y149 DEVICE_ID 0 TILEPROP INT_L_X34Y149 FIRST_SITE_ID 92 TILEPROP INT_L_X34Y149 GRID_POINT_X 88 TILEPROP INT_L_X34Y149 GRID_POINT_Y 1 TILEPROP INT_L_X34Y149 INDEX 203 TILEPROP INT_L_X34Y149 INT_TILE_X 34 TILEPROP INT_L_X34Y149 INT_TILE_Y 0 TILEPROP INT_L_X34Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X34Y149 IS_DCM_TILE 0 TILEPROP INT_L_X34Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X34Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X34Y149 NAME INT_L_X34Y149 TILEPROP INT_L_X34Y149 NUM_ARCS 3737 TILEPROP INT_L_X34Y149 NUM_SITES 1 TILEPROP INT_L_X34Y149 ROW 1 TILEPROP INT_L_X34Y149 SLR_REGION_ID 0 TILEPROP INT_L_X34Y149 TILE_PATTERN_IDX 3575 TILEPROP INT_L_X34Y149 TILE_TYPE INT_L TILEPROP INT_L_X34Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X34Y149 TILE_X 58974 TILEPROP INT_L_X34Y149 TILE_Y 240696 TILEPROP INT_L_X34Y149 TYPE INT_L TILEPROP INT_L_X36Y0 CLASS tile TILEPROP INT_L_X36Y0 COLUMN 92 TILEPROP INT_L_X36Y0 DEVICE_ID 0 TILEPROP INT_L_X36Y0 FIRST_SITE_ID 15794 TILEPROP INT_L_X36Y0 GRID_POINT_X 92 TILEPROP INT_L_X36Y0 GRID_POINT_Y 155 TILEPROP INT_L_X36Y0 INDEX 17917 TILEPROP INT_L_X36Y0 INT_TILE_X 36 TILEPROP INT_L_X36Y0 INT_TILE_Y 149 TILEPROP INT_L_X36Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y0 IS_DCM_TILE 0 TILEPROP INT_L_X36Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y0 NAME INT_L_X36Y0 TILEPROP INT_L_X36Y0 NUM_ARCS 3737 TILEPROP INT_L_X36Y0 NUM_SITES 1 TILEPROP INT_L_X36Y0 ROW 155 TILEPROP INT_L_X36Y0 SLR_REGION_ID 0 TILEPROP INT_L_X36Y0 TILE_PATTERN_IDX 8763 TILEPROP INT_L_X36Y0 TILE_TYPE INT_L TILEPROP INT_L_X36Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y0 TILE_X 67278 TILEPROP INT_L_X36Y0 TILE_Y -239672 TILEPROP INT_L_X36Y0 TYPE INT_L TILEPROP INT_L_X36Y1 CLASS tile TILEPROP INT_L_X36Y1 COLUMN 92 TILEPROP INT_L_X36Y1 DEVICE_ID 0 TILEPROP INT_L_X36Y1 FIRST_SITE_ID 15678 TILEPROP INT_L_X36Y1 GRID_POINT_X 92 TILEPROP INT_L_X36Y1 GRID_POINT_Y 154 TILEPROP INT_L_X36Y1 INDEX 17802 TILEPROP INT_L_X36Y1 INT_TILE_X 36 TILEPROP INT_L_X36Y1 INT_TILE_Y 148 TILEPROP INT_L_X36Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y1 IS_DCM_TILE 0 TILEPROP INT_L_X36Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y1 NAME INT_L_X36Y1 TILEPROP INT_L_X36Y1 NUM_ARCS 3737 TILEPROP INT_L_X36Y1 NUM_SITES 1 TILEPROP INT_L_X36Y1 ROW 154 TILEPROP INT_L_X36Y1 SLR_REGION_ID 0 TILEPROP INT_L_X36Y1 TILE_PATTERN_IDX 8732 TILEPROP INT_L_X36Y1 TILE_TYPE INT_L TILEPROP INT_L_X36Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y1 TILE_X 67278 TILEPROP INT_L_X36Y1 TILE_Y -236472 TILEPROP INT_L_X36Y1 TYPE INT_L TILEPROP INT_L_X36Y2 CLASS tile TILEPROP INT_L_X36Y2 COLUMN 92 TILEPROP INT_L_X36Y2 DEVICE_ID 0 TILEPROP INT_L_X36Y2 FIRST_SITE_ID 15577 TILEPROP INT_L_X36Y2 GRID_POINT_X 92 TILEPROP INT_L_X36Y2 GRID_POINT_Y 153 TILEPROP INT_L_X36Y2 INDEX 17687 TILEPROP INT_L_X36Y2 INT_TILE_X 36 TILEPROP INT_L_X36Y2 INT_TILE_Y 147 TILEPROP INT_L_X36Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y2 IS_DCM_TILE 0 TILEPROP INT_L_X36Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y2 NAME INT_L_X36Y2 TILEPROP INT_L_X36Y2 NUM_ARCS 3737 TILEPROP INT_L_X36Y2 NUM_SITES 1 TILEPROP INT_L_X36Y2 ROW 153 TILEPROP INT_L_X36Y2 SLR_REGION_ID 0 TILEPROP INT_L_X36Y2 TILE_PATTERN_IDX 8702 TILEPROP INT_L_X36Y2 TILE_TYPE INT_L TILEPROP INT_L_X36Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y2 TILE_X 67278 TILEPROP INT_L_X36Y2 TILE_Y -233272 TILEPROP INT_L_X36Y2 TYPE INT_L TILEPROP INT_L_X36Y3 CLASS tile TILEPROP INT_L_X36Y3 COLUMN 92 TILEPROP INT_L_X36Y3 DEVICE_ID 0 TILEPROP INT_L_X36Y3 FIRST_SITE_ID 15477 TILEPROP INT_L_X36Y3 GRID_POINT_X 92 TILEPROP INT_L_X36Y3 GRID_POINT_Y 152 TILEPROP INT_L_X36Y3 INDEX 17572 TILEPROP INT_L_X36Y3 INT_TILE_X 36 TILEPROP INT_L_X36Y3 INT_TILE_Y 146 TILEPROP INT_L_X36Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y3 IS_DCM_TILE 0 TILEPROP INT_L_X36Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y3 NAME INT_L_X36Y3 TILEPROP INT_L_X36Y3 NUM_ARCS 3737 TILEPROP INT_L_X36Y3 NUM_SITES 1 TILEPROP INT_L_X36Y3 ROW 152 TILEPROP INT_L_X36Y3 SLR_REGION_ID 0 TILEPROP INT_L_X36Y3 TILE_PATTERN_IDX 8671 TILEPROP INT_L_X36Y3 TILE_TYPE INT_L TILEPROP INT_L_X36Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y3 TILE_X 67278 TILEPROP INT_L_X36Y3 TILE_Y -230072 TILEPROP INT_L_X36Y3 TYPE INT_L TILEPROP INT_L_X36Y4 CLASS tile TILEPROP INT_L_X36Y4 COLUMN 92 TILEPROP INT_L_X36Y4 DEVICE_ID 0 TILEPROP INT_L_X36Y4 FIRST_SITE_ID 15377 TILEPROP INT_L_X36Y4 GRID_POINT_X 92 TILEPROP INT_L_X36Y4 GRID_POINT_Y 151 TILEPROP INT_L_X36Y4 INDEX 17457 TILEPROP INT_L_X36Y4 INT_TILE_X 36 TILEPROP INT_L_X36Y4 INT_TILE_Y 145 TILEPROP INT_L_X36Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y4 IS_DCM_TILE 0 TILEPROP INT_L_X36Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y4 NAME INT_L_X36Y4 TILEPROP INT_L_X36Y4 NUM_ARCS 3737 TILEPROP INT_L_X36Y4 NUM_SITES 1 TILEPROP INT_L_X36Y4 ROW 151 TILEPROP INT_L_X36Y4 SLR_REGION_ID 0 TILEPROP INT_L_X36Y4 TILE_PATTERN_IDX 8641 TILEPROP INT_L_X36Y4 TILE_TYPE INT_L TILEPROP INT_L_X36Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y4 TILE_X 67278 TILEPROP INT_L_X36Y4 TILE_Y -226872 TILEPROP INT_L_X36Y4 TYPE INT_L TILEPROP INT_L_X36Y5 CLASS tile TILEPROP INT_L_X36Y5 COLUMN 92 TILEPROP INT_L_X36Y5 DEVICE_ID 0 TILEPROP INT_L_X36Y5 FIRST_SITE_ID 15274 TILEPROP INT_L_X36Y5 GRID_POINT_X 92 TILEPROP INT_L_X36Y5 GRID_POINT_Y 150 TILEPROP INT_L_X36Y5 INDEX 17342 TILEPROP INT_L_X36Y5 INT_TILE_X 36 TILEPROP INT_L_X36Y5 INT_TILE_Y 144 TILEPROP INT_L_X36Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y5 IS_DCM_TILE 0 TILEPROP INT_L_X36Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y5 NAME INT_L_X36Y5 TILEPROP INT_L_X36Y5 NUM_ARCS 3737 TILEPROP INT_L_X36Y5 NUM_SITES 1 TILEPROP INT_L_X36Y5 ROW 150 TILEPROP INT_L_X36Y5 SLR_REGION_ID 0 TILEPROP INT_L_X36Y5 TILE_PATTERN_IDX 8610 TILEPROP INT_L_X36Y5 TILE_TYPE INT_L TILEPROP INT_L_X36Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y5 TILE_X 67278 TILEPROP INT_L_X36Y5 TILE_Y -223672 TILEPROP INT_L_X36Y5 TYPE INT_L TILEPROP INT_L_X36Y6 CLASS tile TILEPROP INT_L_X36Y6 COLUMN 92 TILEPROP INT_L_X36Y6 DEVICE_ID 0 TILEPROP INT_L_X36Y6 FIRST_SITE_ID 15162 TILEPROP INT_L_X36Y6 GRID_POINT_X 92 TILEPROP INT_L_X36Y6 GRID_POINT_Y 149 TILEPROP INT_L_X36Y6 INDEX 17227 TILEPROP INT_L_X36Y6 INT_TILE_X 36 TILEPROP INT_L_X36Y6 INT_TILE_Y 143 TILEPROP INT_L_X36Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y6 IS_DCM_TILE 0 TILEPROP INT_L_X36Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y6 NAME INT_L_X36Y6 TILEPROP INT_L_X36Y6 NUM_ARCS 3737 TILEPROP INT_L_X36Y6 NUM_SITES 1 TILEPROP INT_L_X36Y6 ROW 149 TILEPROP INT_L_X36Y6 SLR_REGION_ID 0 TILEPROP INT_L_X36Y6 TILE_PATTERN_IDX 8580 TILEPROP INT_L_X36Y6 TILE_TYPE INT_L TILEPROP INT_L_X36Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y6 TILE_X 67278 TILEPROP INT_L_X36Y6 TILE_Y -220472 TILEPROP INT_L_X36Y6 TYPE INT_L TILEPROP INT_L_X36Y7 CLASS tile TILEPROP INT_L_X36Y7 COLUMN 92 TILEPROP INT_L_X36Y7 DEVICE_ID 0 TILEPROP INT_L_X36Y7 FIRST_SITE_ID 15060 TILEPROP INT_L_X36Y7 GRID_POINT_X 92 TILEPROP INT_L_X36Y7 GRID_POINT_Y 148 TILEPROP INT_L_X36Y7 INDEX 17112 TILEPROP INT_L_X36Y7 INT_TILE_X 36 TILEPROP INT_L_X36Y7 INT_TILE_Y 142 TILEPROP INT_L_X36Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y7 IS_DCM_TILE 0 TILEPROP INT_L_X36Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y7 NAME INT_L_X36Y7 TILEPROP INT_L_X36Y7 NUM_ARCS 3737 TILEPROP INT_L_X36Y7 NUM_SITES 1 TILEPROP INT_L_X36Y7 ROW 148 TILEPROP INT_L_X36Y7 SLR_REGION_ID 0 TILEPROP INT_L_X36Y7 TILE_PATTERN_IDX 8549 TILEPROP INT_L_X36Y7 TILE_TYPE INT_L TILEPROP INT_L_X36Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y7 TILE_X 67278 TILEPROP INT_L_X36Y7 TILE_Y -217272 TILEPROP INT_L_X36Y7 TYPE INT_L TILEPROP INT_L_X36Y8 CLASS tile TILEPROP INT_L_X36Y8 COLUMN 92 TILEPROP INT_L_X36Y8 DEVICE_ID 0 TILEPROP INT_L_X36Y8 FIRST_SITE_ID 14957 TILEPROP INT_L_X36Y8 GRID_POINT_X 92 TILEPROP INT_L_X36Y8 GRID_POINT_Y 147 TILEPROP INT_L_X36Y8 INDEX 16997 TILEPROP INT_L_X36Y8 INT_TILE_X 36 TILEPROP INT_L_X36Y8 INT_TILE_Y 141 TILEPROP INT_L_X36Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y8 IS_DCM_TILE 0 TILEPROP INT_L_X36Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y8 NAME INT_L_X36Y8 TILEPROP INT_L_X36Y8 NUM_ARCS 3737 TILEPROP INT_L_X36Y8 NUM_SITES 1 TILEPROP INT_L_X36Y8 ROW 147 TILEPROP INT_L_X36Y8 SLR_REGION_ID 0 TILEPROP INT_L_X36Y8 TILE_PATTERN_IDX 8519 TILEPROP INT_L_X36Y8 TILE_TYPE INT_L TILEPROP INT_L_X36Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y8 TILE_X 67278 TILEPROP INT_L_X36Y8 TILE_Y -214072 TILEPROP INT_L_X36Y8 TYPE INT_L TILEPROP INT_L_X36Y9 CLASS tile TILEPROP INT_L_X36Y9 COLUMN 92 TILEPROP INT_L_X36Y9 DEVICE_ID 0 TILEPROP INT_L_X36Y9 FIRST_SITE_ID 14856 TILEPROP INT_L_X36Y9 GRID_POINT_X 92 TILEPROP INT_L_X36Y9 GRID_POINT_Y 146 TILEPROP INT_L_X36Y9 INDEX 16882 TILEPROP INT_L_X36Y9 INT_TILE_X 36 TILEPROP INT_L_X36Y9 INT_TILE_Y 140 TILEPROP INT_L_X36Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y9 IS_DCM_TILE 0 TILEPROP INT_L_X36Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y9 NAME INT_L_X36Y9 TILEPROP INT_L_X36Y9 NUM_ARCS 3737 TILEPROP INT_L_X36Y9 NUM_SITES 1 TILEPROP INT_L_X36Y9 ROW 146 TILEPROP INT_L_X36Y9 SLR_REGION_ID 0 TILEPROP INT_L_X36Y9 TILE_PATTERN_IDX 8488 TILEPROP INT_L_X36Y9 TILE_TYPE INT_L TILEPROP INT_L_X36Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y9 TILE_X 67278 TILEPROP INT_L_X36Y9 TILE_Y -210872 TILEPROP INT_L_X36Y9 TYPE INT_L TILEPROP INT_L_X36Y10 CLASS tile TILEPROP INT_L_X36Y10 COLUMN 92 TILEPROP INT_L_X36Y10 DEVICE_ID 0 TILEPROP INT_L_X36Y10 FIRST_SITE_ID 14753 TILEPROP INT_L_X36Y10 GRID_POINT_X 92 TILEPROP INT_L_X36Y10 GRID_POINT_Y 145 TILEPROP INT_L_X36Y10 INDEX 16767 TILEPROP INT_L_X36Y10 INT_TILE_X 36 TILEPROP INT_L_X36Y10 INT_TILE_Y 139 TILEPROP INT_L_X36Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y10 IS_DCM_TILE 0 TILEPROP INT_L_X36Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y10 NAME INT_L_X36Y10 TILEPROP INT_L_X36Y10 NUM_ARCS 3737 TILEPROP INT_L_X36Y10 NUM_SITES 1 TILEPROP INT_L_X36Y10 ROW 145 TILEPROP INT_L_X36Y10 SLR_REGION_ID 0 TILEPROP INT_L_X36Y10 TILE_PATTERN_IDX 8458 TILEPROP INT_L_X36Y10 TILE_TYPE INT_L TILEPROP INT_L_X36Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y10 TILE_X 67278 TILEPROP INT_L_X36Y10 TILE_Y -207672 TILEPROP INT_L_X36Y10 TYPE INT_L TILEPROP INT_L_X36Y11 CLASS tile TILEPROP INT_L_X36Y11 COLUMN 92 TILEPROP INT_L_X36Y11 DEVICE_ID 0 TILEPROP INT_L_X36Y11 FIRST_SITE_ID 14641 TILEPROP INT_L_X36Y11 GRID_POINT_X 92 TILEPROP INT_L_X36Y11 GRID_POINT_Y 144 TILEPROP INT_L_X36Y11 INDEX 16652 TILEPROP INT_L_X36Y11 INT_TILE_X 36 TILEPROP INT_L_X36Y11 INT_TILE_Y 138 TILEPROP INT_L_X36Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y11 IS_DCM_TILE 0 TILEPROP INT_L_X36Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y11 NAME INT_L_X36Y11 TILEPROP INT_L_X36Y11 NUM_ARCS 3737 TILEPROP INT_L_X36Y11 NUM_SITES 1 TILEPROP INT_L_X36Y11 ROW 144 TILEPROP INT_L_X36Y11 SLR_REGION_ID 0 TILEPROP INT_L_X36Y11 TILE_PATTERN_IDX 8427 TILEPROP INT_L_X36Y11 TILE_TYPE INT_L TILEPROP INT_L_X36Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y11 TILE_X 67278 TILEPROP INT_L_X36Y11 TILE_Y -204472 TILEPROP INT_L_X36Y11 TYPE INT_L TILEPROP INT_L_X36Y12 CLASS tile TILEPROP INT_L_X36Y12 COLUMN 92 TILEPROP INT_L_X36Y12 DEVICE_ID 0 TILEPROP INT_L_X36Y12 FIRST_SITE_ID 14541 TILEPROP INT_L_X36Y12 GRID_POINT_X 92 TILEPROP INT_L_X36Y12 GRID_POINT_Y 143 TILEPROP INT_L_X36Y12 INDEX 16537 TILEPROP INT_L_X36Y12 INT_TILE_X 36 TILEPROP INT_L_X36Y12 INT_TILE_Y 137 TILEPROP INT_L_X36Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y12 IS_DCM_TILE 0 TILEPROP INT_L_X36Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y12 NAME INT_L_X36Y12 TILEPROP INT_L_X36Y12 NUM_ARCS 3737 TILEPROP INT_L_X36Y12 NUM_SITES 1 TILEPROP INT_L_X36Y12 ROW 143 TILEPROP INT_L_X36Y12 SLR_REGION_ID 0 TILEPROP INT_L_X36Y12 TILE_PATTERN_IDX 8397 TILEPROP INT_L_X36Y12 TILE_TYPE INT_L TILEPROP INT_L_X36Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y12 TILE_X 67278 TILEPROP INT_L_X36Y12 TILE_Y -201272 TILEPROP INT_L_X36Y12 TYPE INT_L TILEPROP INT_L_X36Y13 CLASS tile TILEPROP INT_L_X36Y13 COLUMN 92 TILEPROP INT_L_X36Y13 DEVICE_ID 0 TILEPROP INT_L_X36Y13 FIRST_SITE_ID 14409 TILEPROP INT_L_X36Y13 GRID_POINT_X 92 TILEPROP INT_L_X36Y13 GRID_POINT_Y 142 TILEPROP INT_L_X36Y13 INDEX 16422 TILEPROP INT_L_X36Y13 INT_TILE_X 36 TILEPROP INT_L_X36Y13 INT_TILE_Y 136 TILEPROP INT_L_X36Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y13 IS_DCM_TILE 0 TILEPROP INT_L_X36Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y13 NAME INT_L_X36Y13 TILEPROP INT_L_X36Y13 NUM_ARCS 3737 TILEPROP INT_L_X36Y13 NUM_SITES 1 TILEPROP INT_L_X36Y13 ROW 142 TILEPROP INT_L_X36Y13 SLR_REGION_ID 0 TILEPROP INT_L_X36Y13 TILE_PATTERN_IDX 8366 TILEPROP INT_L_X36Y13 TILE_TYPE INT_L TILEPROP INT_L_X36Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y13 TILE_X 67278 TILEPROP INT_L_X36Y13 TILE_Y -198072 TILEPROP INT_L_X36Y13 TYPE INT_L TILEPROP INT_L_X36Y14 CLASS tile TILEPROP INT_L_X36Y14 COLUMN 92 TILEPROP INT_L_X36Y14 DEVICE_ID 0 TILEPROP INT_L_X36Y14 FIRST_SITE_ID 14309 TILEPROP INT_L_X36Y14 GRID_POINT_X 92 TILEPROP INT_L_X36Y14 GRID_POINT_Y 141 TILEPROP INT_L_X36Y14 INDEX 16307 TILEPROP INT_L_X36Y14 INT_TILE_X 36 TILEPROP INT_L_X36Y14 INT_TILE_Y 135 TILEPROP INT_L_X36Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y14 IS_DCM_TILE 0 TILEPROP INT_L_X36Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y14 NAME INT_L_X36Y14 TILEPROP INT_L_X36Y14 NUM_ARCS 3737 TILEPROP INT_L_X36Y14 NUM_SITES 1 TILEPROP INT_L_X36Y14 ROW 141 TILEPROP INT_L_X36Y14 SLR_REGION_ID 0 TILEPROP INT_L_X36Y14 TILE_PATTERN_IDX 8336 TILEPROP INT_L_X36Y14 TILE_TYPE INT_L TILEPROP INT_L_X36Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y14 TILE_X 67278 TILEPROP INT_L_X36Y14 TILE_Y -194872 TILEPROP INT_L_X36Y14 TYPE INT_L TILEPROP INT_L_X36Y15 CLASS tile TILEPROP INT_L_X36Y15 COLUMN 92 TILEPROP INT_L_X36Y15 DEVICE_ID 0 TILEPROP INT_L_X36Y15 FIRST_SITE_ID 14206 TILEPROP INT_L_X36Y15 GRID_POINT_X 92 TILEPROP INT_L_X36Y15 GRID_POINT_Y 140 TILEPROP INT_L_X36Y15 INDEX 16192 TILEPROP INT_L_X36Y15 INT_TILE_X 36 TILEPROP INT_L_X36Y15 INT_TILE_Y 134 TILEPROP INT_L_X36Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y15 IS_DCM_TILE 0 TILEPROP INT_L_X36Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y15 NAME INT_L_X36Y15 TILEPROP INT_L_X36Y15 NUM_ARCS 3737 TILEPROP INT_L_X36Y15 NUM_SITES 1 TILEPROP INT_L_X36Y15 ROW 140 TILEPROP INT_L_X36Y15 SLR_REGION_ID 0 TILEPROP INT_L_X36Y15 TILE_PATTERN_IDX 8305 TILEPROP INT_L_X36Y15 TILE_TYPE INT_L TILEPROP INT_L_X36Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y15 TILE_X 67278 TILEPROP INT_L_X36Y15 TILE_Y -191672 TILEPROP INT_L_X36Y15 TYPE INT_L TILEPROP INT_L_X36Y16 CLASS tile TILEPROP INT_L_X36Y16 COLUMN 92 TILEPROP INT_L_X36Y16 DEVICE_ID 0 TILEPROP INT_L_X36Y16 FIRST_SITE_ID 14094 TILEPROP INT_L_X36Y16 GRID_POINT_X 92 TILEPROP INT_L_X36Y16 GRID_POINT_Y 139 TILEPROP INT_L_X36Y16 INDEX 16077 TILEPROP INT_L_X36Y16 INT_TILE_X 36 TILEPROP INT_L_X36Y16 INT_TILE_Y 133 TILEPROP INT_L_X36Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y16 IS_DCM_TILE 0 TILEPROP INT_L_X36Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y16 NAME INT_L_X36Y16 TILEPROP INT_L_X36Y16 NUM_ARCS 3737 TILEPROP INT_L_X36Y16 NUM_SITES 1 TILEPROP INT_L_X36Y16 ROW 139 TILEPROP INT_L_X36Y16 SLR_REGION_ID 0 TILEPROP INT_L_X36Y16 TILE_PATTERN_IDX 8275 TILEPROP INT_L_X36Y16 TILE_TYPE INT_L TILEPROP INT_L_X36Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y16 TILE_X 67278 TILEPROP INT_L_X36Y16 TILE_Y -188472 TILEPROP INT_L_X36Y16 TYPE INT_L TILEPROP INT_L_X36Y17 CLASS tile TILEPROP INT_L_X36Y17 COLUMN 92 TILEPROP INT_L_X36Y17 DEVICE_ID 0 TILEPROP INT_L_X36Y17 FIRST_SITE_ID 13990 TILEPROP INT_L_X36Y17 GRID_POINT_X 92 TILEPROP INT_L_X36Y17 GRID_POINT_Y 138 TILEPROP INT_L_X36Y17 INDEX 15962 TILEPROP INT_L_X36Y17 INT_TILE_X 36 TILEPROP INT_L_X36Y17 INT_TILE_Y 132 TILEPROP INT_L_X36Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y17 IS_DCM_TILE 0 TILEPROP INT_L_X36Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y17 NAME INT_L_X36Y17 TILEPROP INT_L_X36Y17 NUM_ARCS 3737 TILEPROP INT_L_X36Y17 NUM_SITES 1 TILEPROP INT_L_X36Y17 ROW 138 TILEPROP INT_L_X36Y17 SLR_REGION_ID 0 TILEPROP INT_L_X36Y17 TILE_PATTERN_IDX 8243 TILEPROP INT_L_X36Y17 TILE_TYPE INT_L TILEPROP INT_L_X36Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y17 TILE_X 67278 TILEPROP INT_L_X36Y17 TILE_Y -185272 TILEPROP INT_L_X36Y17 TYPE INT_L TILEPROP INT_L_X36Y18 CLASS tile TILEPROP INT_L_X36Y18 COLUMN 92 TILEPROP INT_L_X36Y18 DEVICE_ID 0 TILEPROP INT_L_X36Y18 FIRST_SITE_ID 13885 TILEPROP INT_L_X36Y18 GRID_POINT_X 92 TILEPROP INT_L_X36Y18 GRID_POINT_Y 137 TILEPROP INT_L_X36Y18 INDEX 15847 TILEPROP INT_L_X36Y18 INT_TILE_X 36 TILEPROP INT_L_X36Y18 INT_TILE_Y 131 TILEPROP INT_L_X36Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y18 IS_DCM_TILE 0 TILEPROP INT_L_X36Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y18 NAME INT_L_X36Y18 TILEPROP INT_L_X36Y18 NUM_ARCS 3737 TILEPROP INT_L_X36Y18 NUM_SITES 1 TILEPROP INT_L_X36Y18 ROW 137 TILEPROP INT_L_X36Y18 SLR_REGION_ID 0 TILEPROP INT_L_X36Y18 TILE_PATTERN_IDX 6569 TILEPROP INT_L_X36Y18 TILE_TYPE INT_L TILEPROP INT_L_X36Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y18 TILE_X 67278 TILEPROP INT_L_X36Y18 TILE_Y -182072 TILEPROP INT_L_X36Y18 TYPE INT_L TILEPROP INT_L_X36Y19 CLASS tile TILEPROP INT_L_X36Y19 COLUMN 92 TILEPROP INT_L_X36Y19 DEVICE_ID 0 TILEPROP INT_L_X36Y19 FIRST_SITE_ID 13783 TILEPROP INT_L_X36Y19 GRID_POINT_X 92 TILEPROP INT_L_X36Y19 GRID_POINT_Y 136 TILEPROP INT_L_X36Y19 INDEX 15732 TILEPROP INT_L_X36Y19 INT_TILE_X 36 TILEPROP INT_L_X36Y19 INT_TILE_Y 130 TILEPROP INT_L_X36Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y19 IS_DCM_TILE 0 TILEPROP INT_L_X36Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y19 NAME INT_L_X36Y19 TILEPROP INT_L_X36Y19 NUM_ARCS 3737 TILEPROP INT_L_X36Y19 NUM_SITES 1 TILEPROP INT_L_X36Y19 ROW 136 TILEPROP INT_L_X36Y19 SLR_REGION_ID 0 TILEPROP INT_L_X36Y19 TILE_PATTERN_IDX 6538 TILEPROP INT_L_X36Y19 TILE_TYPE INT_L TILEPROP INT_L_X36Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y19 TILE_X 67278 TILEPROP INT_L_X36Y19 TILE_Y -178872 TILEPROP INT_L_X36Y19 TYPE INT_L TILEPROP INT_L_X36Y20 CLASS tile TILEPROP INT_L_X36Y20 COLUMN 92 TILEPROP INT_L_X36Y20 DEVICE_ID 0 TILEPROP INT_L_X36Y20 FIRST_SITE_ID 13678 TILEPROP INT_L_X36Y20 GRID_POINT_X 92 TILEPROP INT_L_X36Y20 GRID_POINT_Y 135 TILEPROP INT_L_X36Y20 INDEX 15617 TILEPROP INT_L_X36Y20 INT_TILE_X 36 TILEPROP INT_L_X36Y20 INT_TILE_Y 129 TILEPROP INT_L_X36Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y20 IS_DCM_TILE 0 TILEPROP INT_L_X36Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y20 NAME INT_L_X36Y20 TILEPROP INT_L_X36Y20 NUM_ARCS 3737 TILEPROP INT_L_X36Y20 NUM_SITES 1 TILEPROP INT_L_X36Y20 ROW 135 TILEPROP INT_L_X36Y20 SLR_REGION_ID 0 TILEPROP INT_L_X36Y20 TILE_PATTERN_IDX 6507 TILEPROP INT_L_X36Y20 TILE_TYPE INT_L TILEPROP INT_L_X36Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y20 TILE_X 67278 TILEPROP INT_L_X36Y20 TILE_Y -175672 TILEPROP INT_L_X36Y20 TYPE INT_L TILEPROP INT_L_X36Y21 CLASS tile TILEPROP INT_L_X36Y21 COLUMN 92 TILEPROP INT_L_X36Y21 DEVICE_ID 0 TILEPROP INT_L_X36Y21 FIRST_SITE_ID 13566 TILEPROP INT_L_X36Y21 GRID_POINT_X 92 TILEPROP INT_L_X36Y21 GRID_POINT_Y 134 TILEPROP INT_L_X36Y21 INDEX 15502 TILEPROP INT_L_X36Y21 INT_TILE_X 36 TILEPROP INT_L_X36Y21 INT_TILE_Y 128 TILEPROP INT_L_X36Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y21 IS_DCM_TILE 0 TILEPROP INT_L_X36Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y21 NAME INT_L_X36Y21 TILEPROP INT_L_X36Y21 NUM_ARCS 3737 TILEPROP INT_L_X36Y21 NUM_SITES 1 TILEPROP INT_L_X36Y21 ROW 134 TILEPROP INT_L_X36Y21 SLR_REGION_ID 0 TILEPROP INT_L_X36Y21 TILE_PATTERN_IDX 6476 TILEPROP INT_L_X36Y21 TILE_TYPE INT_L TILEPROP INT_L_X36Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y21 TILE_X 67278 TILEPROP INT_L_X36Y21 TILE_Y -172472 TILEPROP INT_L_X36Y21 TYPE INT_L TILEPROP INT_L_X36Y22 CLASS tile TILEPROP INT_L_X36Y22 COLUMN 92 TILEPROP INT_L_X36Y22 DEVICE_ID 0 TILEPROP INT_L_X36Y22 FIRST_SITE_ID 13466 TILEPROP INT_L_X36Y22 GRID_POINT_X 92 TILEPROP INT_L_X36Y22 GRID_POINT_Y 133 TILEPROP INT_L_X36Y22 INDEX 15387 TILEPROP INT_L_X36Y22 INT_TILE_X 36 TILEPROP INT_L_X36Y22 INT_TILE_Y 127 TILEPROP INT_L_X36Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y22 IS_DCM_TILE 0 TILEPROP INT_L_X36Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y22 NAME INT_L_X36Y22 TILEPROP INT_L_X36Y22 NUM_ARCS 3737 TILEPROP INT_L_X36Y22 NUM_SITES 1 TILEPROP INT_L_X36Y22 ROW 133 TILEPROP INT_L_X36Y22 SLR_REGION_ID 0 TILEPROP INT_L_X36Y22 TILE_PATTERN_IDX 6445 TILEPROP INT_L_X36Y22 TILE_TYPE INT_L TILEPROP INT_L_X36Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y22 TILE_X 67278 TILEPROP INT_L_X36Y22 TILE_Y -169272 TILEPROP INT_L_X36Y22 TYPE INT_L TILEPROP INT_L_X36Y23 CLASS tile TILEPROP INT_L_X36Y23 COLUMN 92 TILEPROP INT_L_X36Y23 DEVICE_ID 0 TILEPROP INT_L_X36Y23 FIRST_SITE_ID 13366 TILEPROP INT_L_X36Y23 GRID_POINT_X 92 TILEPROP INT_L_X36Y23 GRID_POINT_Y 132 TILEPROP INT_L_X36Y23 INDEX 15272 TILEPROP INT_L_X36Y23 INT_TILE_X 36 TILEPROP INT_L_X36Y23 INT_TILE_Y 126 TILEPROP INT_L_X36Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y23 IS_DCM_TILE 0 TILEPROP INT_L_X36Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y23 NAME INT_L_X36Y23 TILEPROP INT_L_X36Y23 NUM_ARCS 3737 TILEPROP INT_L_X36Y23 NUM_SITES 1 TILEPROP INT_L_X36Y23 ROW 132 TILEPROP INT_L_X36Y23 SLR_REGION_ID 0 TILEPROP INT_L_X36Y23 TILE_PATTERN_IDX 6414 TILEPROP INT_L_X36Y23 TILE_TYPE INT_L TILEPROP INT_L_X36Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y23 TILE_X 67278 TILEPROP INT_L_X36Y23 TILE_Y -166072 TILEPROP INT_L_X36Y23 TYPE INT_L TILEPROP INT_L_X36Y24 CLASS tile TILEPROP INT_L_X36Y24 COLUMN 92 TILEPROP INT_L_X36Y24 DEVICE_ID 0 TILEPROP INT_L_X36Y24 FIRST_SITE_ID 13266 TILEPROP INT_L_X36Y24 GRID_POINT_X 92 TILEPROP INT_L_X36Y24 GRID_POINT_Y 131 TILEPROP INT_L_X36Y24 INDEX 15157 TILEPROP INT_L_X36Y24 INT_TILE_X 36 TILEPROP INT_L_X36Y24 INT_TILE_Y 125 TILEPROP INT_L_X36Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y24 IS_DCM_TILE 0 TILEPROP INT_L_X36Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y24 NAME INT_L_X36Y24 TILEPROP INT_L_X36Y24 NUM_ARCS 3737 TILEPROP INT_L_X36Y24 NUM_SITES 1 TILEPROP INT_L_X36Y24 ROW 131 TILEPROP INT_L_X36Y24 SLR_REGION_ID 0 TILEPROP INT_L_X36Y24 TILE_PATTERN_IDX 6383 TILEPROP INT_L_X36Y24 TILE_TYPE INT_L TILEPROP INT_L_X36Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y24 TILE_X 67278 TILEPROP INT_L_X36Y24 TILE_Y -162872 TILEPROP INT_L_X36Y24 TYPE INT_L TILEPROP INT_L_X36Y25 CLASS tile TILEPROP INT_L_X36Y25 COLUMN 92 TILEPROP INT_L_X36Y25 DEVICE_ID 0 TILEPROP INT_L_X36Y25 FIRST_SITE_ID 13080 TILEPROP INT_L_X36Y25 GRID_POINT_X 92 TILEPROP INT_L_X36Y25 GRID_POINT_Y 129 TILEPROP INT_L_X36Y25 INDEX 14927 TILEPROP INT_L_X36Y25 INT_TILE_X 36 TILEPROP INT_L_X36Y25 INT_TILE_Y 124 TILEPROP INT_L_X36Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y25 IS_DCM_TILE 0 TILEPROP INT_L_X36Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y25 NAME INT_L_X36Y25 TILEPROP INT_L_X36Y25 NUM_ARCS 3737 TILEPROP INT_L_X36Y25 NUM_SITES 1 TILEPROP INT_L_X36Y25 ROW 129 TILEPROP INT_L_X36Y25 SLR_REGION_ID 0 TILEPROP INT_L_X36Y25 TILE_PATTERN_IDX 6309 TILEPROP INT_L_X36Y25 TILE_TYPE INT_L TILEPROP INT_L_X36Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y25 TILE_X 67278 TILEPROP INT_L_X36Y25 TILE_Y -158648 TILEPROP INT_L_X36Y25 TYPE INT_L TILEPROP INT_L_X36Y26 CLASS tile TILEPROP INT_L_X36Y26 COLUMN 92 TILEPROP INT_L_X36Y26 DEVICE_ID 0 TILEPROP INT_L_X36Y26 FIRST_SITE_ID 12968 TILEPROP INT_L_X36Y26 GRID_POINT_X 92 TILEPROP INT_L_X36Y26 GRID_POINT_Y 128 TILEPROP INT_L_X36Y26 INDEX 14812 TILEPROP INT_L_X36Y26 INT_TILE_X 36 TILEPROP INT_L_X36Y26 INT_TILE_Y 123 TILEPROP INT_L_X36Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y26 IS_DCM_TILE 0 TILEPROP INT_L_X36Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y26 NAME INT_L_X36Y26 TILEPROP INT_L_X36Y26 NUM_ARCS 3737 TILEPROP INT_L_X36Y26 NUM_SITES 1 TILEPROP INT_L_X36Y26 ROW 128 TILEPROP INT_L_X36Y26 SLR_REGION_ID 0 TILEPROP INT_L_X36Y26 TILE_PATTERN_IDX 6275 TILEPROP INT_L_X36Y26 TILE_TYPE INT_L TILEPROP INT_L_X36Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y26 TILE_X 67278 TILEPROP INT_L_X36Y26 TILE_Y -155448 TILEPROP INT_L_X36Y26 TYPE INT_L TILEPROP INT_L_X36Y27 CLASS tile TILEPROP INT_L_X36Y27 COLUMN 92 TILEPROP INT_L_X36Y27 DEVICE_ID 0 TILEPROP INT_L_X36Y27 FIRST_SITE_ID 12868 TILEPROP INT_L_X36Y27 GRID_POINT_X 92 TILEPROP INT_L_X36Y27 GRID_POINT_Y 127 TILEPROP INT_L_X36Y27 INDEX 14697 TILEPROP INT_L_X36Y27 INT_TILE_X 36 TILEPROP INT_L_X36Y27 INT_TILE_Y 122 TILEPROP INT_L_X36Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y27 IS_DCM_TILE 0 TILEPROP INT_L_X36Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y27 NAME INT_L_X36Y27 TILEPROP INT_L_X36Y27 NUM_ARCS 3737 TILEPROP INT_L_X36Y27 NUM_SITES 1 TILEPROP INT_L_X36Y27 ROW 127 TILEPROP INT_L_X36Y27 SLR_REGION_ID 0 TILEPROP INT_L_X36Y27 TILE_PATTERN_IDX 6241 TILEPROP INT_L_X36Y27 TILE_TYPE INT_L TILEPROP INT_L_X36Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y27 TILE_X 67278 TILEPROP INT_L_X36Y27 TILE_Y -152248 TILEPROP INT_L_X36Y27 TYPE INT_L TILEPROP INT_L_X36Y28 CLASS tile TILEPROP INT_L_X36Y28 COLUMN 92 TILEPROP INT_L_X36Y28 DEVICE_ID 0 TILEPROP INT_L_X36Y28 FIRST_SITE_ID 12768 TILEPROP INT_L_X36Y28 GRID_POINT_X 92 TILEPROP INT_L_X36Y28 GRID_POINT_Y 126 TILEPROP INT_L_X36Y28 INDEX 14582 TILEPROP INT_L_X36Y28 INT_TILE_X 36 TILEPROP INT_L_X36Y28 INT_TILE_Y 121 TILEPROP INT_L_X36Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y28 IS_DCM_TILE 0 TILEPROP INT_L_X36Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y28 NAME INT_L_X36Y28 TILEPROP INT_L_X36Y28 NUM_ARCS 3737 TILEPROP INT_L_X36Y28 NUM_SITES 1 TILEPROP INT_L_X36Y28 ROW 126 TILEPROP INT_L_X36Y28 SLR_REGION_ID 0 TILEPROP INT_L_X36Y28 TILE_PATTERN_IDX 6208 TILEPROP INT_L_X36Y28 TILE_TYPE INT_L TILEPROP INT_L_X36Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y28 TILE_X 67278 TILEPROP INT_L_X36Y28 TILE_Y -149048 TILEPROP INT_L_X36Y28 TYPE INT_L TILEPROP INT_L_X36Y29 CLASS tile TILEPROP INT_L_X36Y29 COLUMN 92 TILEPROP INT_L_X36Y29 DEVICE_ID 0 TILEPROP INT_L_X36Y29 FIRST_SITE_ID 12662 TILEPROP INT_L_X36Y29 GRID_POINT_X 92 TILEPROP INT_L_X36Y29 GRID_POINT_Y 125 TILEPROP INT_L_X36Y29 INDEX 14467 TILEPROP INT_L_X36Y29 INT_TILE_X 36 TILEPROP INT_L_X36Y29 INT_TILE_Y 120 TILEPROP INT_L_X36Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y29 IS_DCM_TILE 0 TILEPROP INT_L_X36Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y29 NAME INT_L_X36Y29 TILEPROP INT_L_X36Y29 NUM_ARCS 3737 TILEPROP INT_L_X36Y29 NUM_SITES 1 TILEPROP INT_L_X36Y29 ROW 125 TILEPROP INT_L_X36Y29 SLR_REGION_ID 0 TILEPROP INT_L_X36Y29 TILE_PATTERN_IDX 6173 TILEPROP INT_L_X36Y29 TILE_TYPE INT_L TILEPROP INT_L_X36Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y29 TILE_X 67278 TILEPROP INT_L_X36Y29 TILE_Y -145848 TILEPROP INT_L_X36Y29 TYPE INT_L TILEPROP INT_L_X36Y30 CLASS tile TILEPROP INT_L_X36Y30 COLUMN 92 TILEPROP INT_L_X36Y30 DEVICE_ID 0 TILEPROP INT_L_X36Y30 FIRST_SITE_ID 12553 TILEPROP INT_L_X36Y30 GRID_POINT_X 92 TILEPROP INT_L_X36Y30 GRID_POINT_Y 124 TILEPROP INT_L_X36Y30 INDEX 14352 TILEPROP INT_L_X36Y30 INT_TILE_X 36 TILEPROP INT_L_X36Y30 INT_TILE_Y 119 TILEPROP INT_L_X36Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y30 IS_DCM_TILE 0 TILEPROP INT_L_X36Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y30 NAME INT_L_X36Y30 TILEPROP INT_L_X36Y30 NUM_ARCS 3737 TILEPROP INT_L_X36Y30 NUM_SITES 1 TILEPROP INT_L_X36Y30 ROW 124 TILEPROP INT_L_X36Y30 SLR_REGION_ID 0 TILEPROP INT_L_X36Y30 TILE_PATTERN_IDX 6138 TILEPROP INT_L_X36Y30 TILE_TYPE INT_L TILEPROP INT_L_X36Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y30 TILE_X 67278 TILEPROP INT_L_X36Y30 TILE_Y -142648 TILEPROP INT_L_X36Y30 TYPE INT_L TILEPROP INT_L_X36Y31 CLASS tile TILEPROP INT_L_X36Y31 COLUMN 92 TILEPROP INT_L_X36Y31 DEVICE_ID 0 TILEPROP INT_L_X36Y31 FIRST_SITE_ID 12426 TILEPROP INT_L_X36Y31 GRID_POINT_X 92 TILEPROP INT_L_X36Y31 GRID_POINT_Y 123 TILEPROP INT_L_X36Y31 INDEX 14237 TILEPROP INT_L_X36Y31 INT_TILE_X 36 TILEPROP INT_L_X36Y31 INT_TILE_Y 118 TILEPROP INT_L_X36Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y31 IS_DCM_TILE 0 TILEPROP INT_L_X36Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y31 NAME INT_L_X36Y31 TILEPROP INT_L_X36Y31 NUM_ARCS 3737 TILEPROP INT_L_X36Y31 NUM_SITES 1 TILEPROP INT_L_X36Y31 ROW 123 TILEPROP INT_L_X36Y31 SLR_REGION_ID 0 TILEPROP INT_L_X36Y31 TILE_PATTERN_IDX 6104 TILEPROP INT_L_X36Y31 TILE_TYPE INT_L TILEPROP INT_L_X36Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y31 TILE_X 67278 TILEPROP INT_L_X36Y31 TILE_Y -139448 TILEPROP INT_L_X36Y31 TYPE INT_L TILEPROP INT_L_X36Y32 CLASS tile TILEPROP INT_L_X36Y32 COLUMN 92 TILEPROP INT_L_X36Y32 DEVICE_ID 0 TILEPROP INT_L_X36Y32 FIRST_SITE_ID 12324 TILEPROP INT_L_X36Y32 GRID_POINT_X 92 TILEPROP INT_L_X36Y32 GRID_POINT_Y 122 TILEPROP INT_L_X36Y32 INDEX 14122 TILEPROP INT_L_X36Y32 INT_TILE_X 36 TILEPROP INT_L_X36Y32 INT_TILE_Y 117 TILEPROP INT_L_X36Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y32 IS_DCM_TILE 0 TILEPROP INT_L_X36Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y32 NAME INT_L_X36Y32 TILEPROP INT_L_X36Y32 NUM_ARCS 3737 TILEPROP INT_L_X36Y32 NUM_SITES 1 TILEPROP INT_L_X36Y32 ROW 122 TILEPROP INT_L_X36Y32 SLR_REGION_ID 0 TILEPROP INT_L_X36Y32 TILE_PATTERN_IDX 6070 TILEPROP INT_L_X36Y32 TILE_TYPE INT_L TILEPROP INT_L_X36Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y32 TILE_X 67278 TILEPROP INT_L_X36Y32 TILE_Y -136248 TILEPROP INT_L_X36Y32 TYPE INT_L TILEPROP INT_L_X36Y33 CLASS tile TILEPROP INT_L_X36Y33 COLUMN 92 TILEPROP INT_L_X36Y33 DEVICE_ID 0 TILEPROP INT_L_X36Y33 FIRST_SITE_ID 12223 TILEPROP INT_L_X36Y33 GRID_POINT_X 92 TILEPROP INT_L_X36Y33 GRID_POINT_Y 121 TILEPROP INT_L_X36Y33 INDEX 14007 TILEPROP INT_L_X36Y33 INT_TILE_X 36 TILEPROP INT_L_X36Y33 INT_TILE_Y 116 TILEPROP INT_L_X36Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y33 IS_DCM_TILE 0 TILEPROP INT_L_X36Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y33 NAME INT_L_X36Y33 TILEPROP INT_L_X36Y33 NUM_ARCS 3737 TILEPROP INT_L_X36Y33 NUM_SITES 1 TILEPROP INT_L_X36Y33 ROW 121 TILEPROP INT_L_X36Y33 SLR_REGION_ID 0 TILEPROP INT_L_X36Y33 TILE_PATTERN_IDX 6036 TILEPROP INT_L_X36Y33 TILE_TYPE INT_L TILEPROP INT_L_X36Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y33 TILE_X 67278 TILEPROP INT_L_X36Y33 TILE_Y -133048 TILEPROP INT_L_X36Y33 TYPE INT_L TILEPROP INT_L_X36Y34 CLASS tile TILEPROP INT_L_X36Y34 COLUMN 92 TILEPROP INT_L_X36Y34 DEVICE_ID 0 TILEPROP INT_L_X36Y34 FIRST_SITE_ID 12123 TILEPROP INT_L_X36Y34 GRID_POINT_X 92 TILEPROP INT_L_X36Y34 GRID_POINT_Y 120 TILEPROP INT_L_X36Y34 INDEX 13892 TILEPROP INT_L_X36Y34 INT_TILE_X 36 TILEPROP INT_L_X36Y34 INT_TILE_Y 115 TILEPROP INT_L_X36Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y34 IS_DCM_TILE 0 TILEPROP INT_L_X36Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y34 NAME INT_L_X36Y34 TILEPROP INT_L_X36Y34 NUM_ARCS 3737 TILEPROP INT_L_X36Y34 NUM_SITES 1 TILEPROP INT_L_X36Y34 ROW 120 TILEPROP INT_L_X36Y34 SLR_REGION_ID 0 TILEPROP INT_L_X36Y34 TILE_PATTERN_IDX 6002 TILEPROP INT_L_X36Y34 TILE_TYPE INT_L TILEPROP INT_L_X36Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y34 TILE_X 67278 TILEPROP INT_L_X36Y34 TILE_Y -129848 TILEPROP INT_L_X36Y34 TYPE INT_L TILEPROP INT_L_X36Y35 CLASS tile TILEPROP INT_L_X36Y35 COLUMN 92 TILEPROP INT_L_X36Y35 DEVICE_ID 0 TILEPROP INT_L_X36Y35 FIRST_SITE_ID 12020 TILEPROP INT_L_X36Y35 GRID_POINT_X 92 TILEPROP INT_L_X36Y35 GRID_POINT_Y 119 TILEPROP INT_L_X36Y35 INDEX 13777 TILEPROP INT_L_X36Y35 INT_TILE_X 36 TILEPROP INT_L_X36Y35 INT_TILE_Y 114 TILEPROP INT_L_X36Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y35 IS_DCM_TILE 0 TILEPROP INT_L_X36Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y35 NAME INT_L_X36Y35 TILEPROP INT_L_X36Y35 NUM_ARCS 3737 TILEPROP INT_L_X36Y35 NUM_SITES 1 TILEPROP INT_L_X36Y35 ROW 119 TILEPROP INT_L_X36Y35 SLR_REGION_ID 0 TILEPROP INT_L_X36Y35 TILE_PATTERN_IDX 5968 TILEPROP INT_L_X36Y35 TILE_TYPE INT_L TILEPROP INT_L_X36Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y35 TILE_X 67278 TILEPROP INT_L_X36Y35 TILE_Y -126648 TILEPROP INT_L_X36Y35 TYPE INT_L TILEPROP INT_L_X36Y36 CLASS tile TILEPROP INT_L_X36Y36 COLUMN 92 TILEPROP INT_L_X36Y36 DEVICE_ID 0 TILEPROP INT_L_X36Y36 FIRST_SITE_ID 11908 TILEPROP INT_L_X36Y36 GRID_POINT_X 92 TILEPROP INT_L_X36Y36 GRID_POINT_Y 118 TILEPROP INT_L_X36Y36 INDEX 13662 TILEPROP INT_L_X36Y36 INT_TILE_X 36 TILEPROP INT_L_X36Y36 INT_TILE_Y 113 TILEPROP INT_L_X36Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y36 IS_DCM_TILE 0 TILEPROP INT_L_X36Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y36 NAME INT_L_X36Y36 TILEPROP INT_L_X36Y36 NUM_ARCS 3737 TILEPROP INT_L_X36Y36 NUM_SITES 1 TILEPROP INT_L_X36Y36 ROW 118 TILEPROP INT_L_X36Y36 SLR_REGION_ID 0 TILEPROP INT_L_X36Y36 TILE_PATTERN_IDX 5933 TILEPROP INT_L_X36Y36 TILE_TYPE INT_L TILEPROP INT_L_X36Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y36 TILE_X 67278 TILEPROP INT_L_X36Y36 TILE_Y -123448 TILEPROP INT_L_X36Y36 TYPE INT_L TILEPROP INT_L_X36Y37 CLASS tile TILEPROP INT_L_X36Y37 COLUMN 92 TILEPROP INT_L_X36Y37 DEVICE_ID 0 TILEPROP INT_L_X36Y37 FIRST_SITE_ID 11776 TILEPROP INT_L_X36Y37 GRID_POINT_X 92 TILEPROP INT_L_X36Y37 GRID_POINT_Y 117 TILEPROP INT_L_X36Y37 INDEX 13547 TILEPROP INT_L_X36Y37 INT_TILE_X 36 TILEPROP INT_L_X36Y37 INT_TILE_Y 112 TILEPROP INT_L_X36Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y37 IS_DCM_TILE 0 TILEPROP INT_L_X36Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y37 NAME INT_L_X36Y37 TILEPROP INT_L_X36Y37 NUM_ARCS 3737 TILEPROP INT_L_X36Y37 NUM_SITES 1 TILEPROP INT_L_X36Y37 ROW 117 TILEPROP INT_L_X36Y37 SLR_REGION_ID 0 TILEPROP INT_L_X36Y37 TILE_PATTERN_IDX 5898 TILEPROP INT_L_X36Y37 TILE_TYPE INT_L TILEPROP INT_L_X36Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y37 TILE_X 67278 TILEPROP INT_L_X36Y37 TILE_Y -120248 TILEPROP INT_L_X36Y37 TYPE INT_L TILEPROP INT_L_X36Y38 CLASS tile TILEPROP INT_L_X36Y38 COLUMN 92 TILEPROP INT_L_X36Y38 DEVICE_ID 0 TILEPROP INT_L_X36Y38 FIRST_SITE_ID 11676 TILEPROP INT_L_X36Y38 GRID_POINT_X 92 TILEPROP INT_L_X36Y38 GRID_POINT_Y 116 TILEPROP INT_L_X36Y38 INDEX 13432 TILEPROP INT_L_X36Y38 INT_TILE_X 36 TILEPROP INT_L_X36Y38 INT_TILE_Y 111 TILEPROP INT_L_X36Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y38 IS_DCM_TILE 0 TILEPROP INT_L_X36Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y38 NAME INT_L_X36Y38 TILEPROP INT_L_X36Y38 NUM_ARCS 3737 TILEPROP INT_L_X36Y38 NUM_SITES 1 TILEPROP INT_L_X36Y38 ROW 116 TILEPROP INT_L_X36Y38 SLR_REGION_ID 0 TILEPROP INT_L_X36Y38 TILE_PATTERN_IDX 5865 TILEPROP INT_L_X36Y38 TILE_TYPE INT_L TILEPROP INT_L_X36Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y38 TILE_X 67278 TILEPROP INT_L_X36Y38 TILE_Y -117048 TILEPROP INT_L_X36Y38 TYPE INT_L TILEPROP INT_L_X36Y39 CLASS tile TILEPROP INT_L_X36Y39 COLUMN 92 TILEPROP INT_L_X36Y39 DEVICE_ID 0 TILEPROP INT_L_X36Y39 FIRST_SITE_ID 11576 TILEPROP INT_L_X36Y39 GRID_POINT_X 92 TILEPROP INT_L_X36Y39 GRID_POINT_Y 115 TILEPROP INT_L_X36Y39 INDEX 13317 TILEPROP INT_L_X36Y39 INT_TILE_X 36 TILEPROP INT_L_X36Y39 INT_TILE_Y 110 TILEPROP INT_L_X36Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y39 IS_DCM_TILE 0 TILEPROP INT_L_X36Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y39 NAME INT_L_X36Y39 TILEPROP INT_L_X36Y39 NUM_ARCS 3737 TILEPROP INT_L_X36Y39 NUM_SITES 1 TILEPROP INT_L_X36Y39 ROW 115 TILEPROP INT_L_X36Y39 SLR_REGION_ID 0 TILEPROP INT_L_X36Y39 TILE_PATTERN_IDX 5831 TILEPROP INT_L_X36Y39 TILE_TYPE INT_L TILEPROP INT_L_X36Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y39 TILE_X 67278 TILEPROP INT_L_X36Y39 TILE_Y -113848 TILEPROP INT_L_X36Y39 TYPE INT_L TILEPROP INT_L_X36Y40 CLASS tile TILEPROP INT_L_X36Y40 COLUMN 92 TILEPROP INT_L_X36Y40 DEVICE_ID 0 TILEPROP INT_L_X36Y40 FIRST_SITE_ID 11473 TILEPROP INT_L_X36Y40 GRID_POINT_X 92 TILEPROP INT_L_X36Y40 GRID_POINT_Y 114 TILEPROP INT_L_X36Y40 INDEX 13202 TILEPROP INT_L_X36Y40 INT_TILE_X 36 TILEPROP INT_L_X36Y40 INT_TILE_Y 109 TILEPROP INT_L_X36Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y40 IS_DCM_TILE 0 TILEPROP INT_L_X36Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y40 NAME INT_L_X36Y40 TILEPROP INT_L_X36Y40 NUM_ARCS 3737 TILEPROP INT_L_X36Y40 NUM_SITES 1 TILEPROP INT_L_X36Y40 ROW 114 TILEPROP INT_L_X36Y40 SLR_REGION_ID 0 TILEPROP INT_L_X36Y40 TILE_PATTERN_IDX 5797 TILEPROP INT_L_X36Y40 TILE_TYPE INT_L TILEPROP INT_L_X36Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y40 TILE_X 67278 TILEPROP INT_L_X36Y40 TILE_Y -110648 TILEPROP INT_L_X36Y40 TYPE INT_L TILEPROP INT_L_X36Y41 CLASS tile TILEPROP INT_L_X36Y41 COLUMN 92 TILEPROP INT_L_X36Y41 DEVICE_ID 0 TILEPROP INT_L_X36Y41 FIRST_SITE_ID 11359 TILEPROP INT_L_X36Y41 GRID_POINT_X 92 TILEPROP INT_L_X36Y41 GRID_POINT_Y 113 TILEPROP INT_L_X36Y41 INDEX 13087 TILEPROP INT_L_X36Y41 INT_TILE_X 36 TILEPROP INT_L_X36Y41 INT_TILE_Y 108 TILEPROP INT_L_X36Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y41 IS_DCM_TILE 0 TILEPROP INT_L_X36Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y41 NAME INT_L_X36Y41 TILEPROP INT_L_X36Y41 NUM_ARCS 3737 TILEPROP INT_L_X36Y41 NUM_SITES 1 TILEPROP INT_L_X36Y41 ROW 113 TILEPROP INT_L_X36Y41 SLR_REGION_ID 0 TILEPROP INT_L_X36Y41 TILE_PATTERN_IDX 5763 TILEPROP INT_L_X36Y41 TILE_TYPE INT_L TILEPROP INT_L_X36Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y41 TILE_X 67278 TILEPROP INT_L_X36Y41 TILE_Y -107448 TILEPROP INT_L_X36Y41 TYPE INT_L TILEPROP INT_L_X36Y42 CLASS tile TILEPROP INT_L_X36Y42 COLUMN 92 TILEPROP INT_L_X36Y42 DEVICE_ID 0 TILEPROP INT_L_X36Y42 FIRST_SITE_ID 11257 TILEPROP INT_L_X36Y42 GRID_POINT_X 92 TILEPROP INT_L_X36Y42 GRID_POINT_Y 112 TILEPROP INT_L_X36Y42 INDEX 12972 TILEPROP INT_L_X36Y42 INT_TILE_X 36 TILEPROP INT_L_X36Y42 INT_TILE_Y 107 TILEPROP INT_L_X36Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y42 IS_DCM_TILE 0 TILEPROP INT_L_X36Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y42 NAME INT_L_X36Y42 TILEPROP INT_L_X36Y42 NUM_ARCS 3737 TILEPROP INT_L_X36Y42 NUM_SITES 1 TILEPROP INT_L_X36Y42 ROW 112 TILEPROP INT_L_X36Y42 SLR_REGION_ID 0 TILEPROP INT_L_X36Y42 TILE_PATTERN_IDX 5730 TILEPROP INT_L_X36Y42 TILE_TYPE INT_L TILEPROP INT_L_X36Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y42 TILE_X 67278 TILEPROP INT_L_X36Y42 TILE_Y -104248 TILEPROP INT_L_X36Y42 TYPE INT_L TILEPROP INT_L_X36Y43 CLASS tile TILEPROP INT_L_X36Y43 COLUMN 92 TILEPROP INT_L_X36Y43 DEVICE_ID 0 TILEPROP INT_L_X36Y43 FIRST_SITE_ID 11154 TILEPROP INT_L_X36Y43 GRID_POINT_X 92 TILEPROP INT_L_X36Y43 GRID_POINT_Y 111 TILEPROP INT_L_X36Y43 INDEX 12857 TILEPROP INT_L_X36Y43 INT_TILE_X 36 TILEPROP INT_L_X36Y43 INT_TILE_Y 106 TILEPROP INT_L_X36Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y43 IS_DCM_TILE 0 TILEPROP INT_L_X36Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y43 NAME INT_L_X36Y43 TILEPROP INT_L_X36Y43 NUM_ARCS 3737 TILEPROP INT_L_X36Y43 NUM_SITES 1 TILEPROP INT_L_X36Y43 ROW 111 TILEPROP INT_L_X36Y43 SLR_REGION_ID 0 TILEPROP INT_L_X36Y43 TILE_PATTERN_IDX 5696 TILEPROP INT_L_X36Y43 TILE_TYPE INT_L TILEPROP INT_L_X36Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y43 TILE_X 67278 TILEPROP INT_L_X36Y43 TILE_Y -101048 TILEPROP INT_L_X36Y43 TYPE INT_L TILEPROP INT_L_X36Y44 CLASS tile TILEPROP INT_L_X36Y44 COLUMN 92 TILEPROP INT_L_X36Y44 DEVICE_ID 0 TILEPROP INT_L_X36Y44 FIRST_SITE_ID 11052 TILEPROP INT_L_X36Y44 GRID_POINT_X 92 TILEPROP INT_L_X36Y44 GRID_POINT_Y 110 TILEPROP INT_L_X36Y44 INDEX 12742 TILEPROP INT_L_X36Y44 INT_TILE_X 36 TILEPROP INT_L_X36Y44 INT_TILE_Y 105 TILEPROP INT_L_X36Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y44 IS_DCM_TILE 0 TILEPROP INT_L_X36Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y44 NAME INT_L_X36Y44 TILEPROP INT_L_X36Y44 NUM_ARCS 3737 TILEPROP INT_L_X36Y44 NUM_SITES 1 TILEPROP INT_L_X36Y44 ROW 110 TILEPROP INT_L_X36Y44 SLR_REGION_ID 0 TILEPROP INT_L_X36Y44 TILE_PATTERN_IDX 5662 TILEPROP INT_L_X36Y44 TILE_TYPE INT_L TILEPROP INT_L_X36Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y44 TILE_X 67278 TILEPROP INT_L_X36Y44 TILE_Y -97848 TILEPROP INT_L_X36Y44 TYPE INT_L TILEPROP INT_L_X36Y45 CLASS tile TILEPROP INT_L_X36Y45 COLUMN 92 TILEPROP INT_L_X36Y45 DEVICE_ID 0 TILEPROP INT_L_X36Y45 FIRST_SITE_ID 10949 TILEPROP INT_L_X36Y45 GRID_POINT_X 92 TILEPROP INT_L_X36Y45 GRID_POINT_Y 109 TILEPROP INT_L_X36Y45 INDEX 12627 TILEPROP INT_L_X36Y45 INT_TILE_X 36 TILEPROP INT_L_X36Y45 INT_TILE_Y 104 TILEPROP INT_L_X36Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y45 IS_DCM_TILE 0 TILEPROP INT_L_X36Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y45 NAME INT_L_X36Y45 TILEPROP INT_L_X36Y45 NUM_ARCS 3737 TILEPROP INT_L_X36Y45 NUM_SITES 1 TILEPROP INT_L_X36Y45 ROW 109 TILEPROP INT_L_X36Y45 SLR_REGION_ID 0 TILEPROP INT_L_X36Y45 TILE_PATTERN_IDX 5628 TILEPROP INT_L_X36Y45 TILE_TYPE INT_L TILEPROP INT_L_X36Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y45 TILE_X 67278 TILEPROP INT_L_X36Y45 TILE_Y -94648 TILEPROP INT_L_X36Y45 TYPE INT_L TILEPROP INT_L_X36Y46 CLASS tile TILEPROP INT_L_X36Y46 COLUMN 92 TILEPROP INT_L_X36Y46 DEVICE_ID 0 TILEPROP INT_L_X36Y46 FIRST_SITE_ID 10836 TILEPROP INT_L_X36Y46 GRID_POINT_X 92 TILEPROP INT_L_X36Y46 GRID_POINT_Y 108 TILEPROP INT_L_X36Y46 INDEX 12512 TILEPROP INT_L_X36Y46 INT_TILE_X 36 TILEPROP INT_L_X36Y46 INT_TILE_Y 103 TILEPROP INT_L_X36Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y46 IS_DCM_TILE 0 TILEPROP INT_L_X36Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y46 NAME INT_L_X36Y46 TILEPROP INT_L_X36Y46 NUM_ARCS 3737 TILEPROP INT_L_X36Y46 NUM_SITES 1 TILEPROP INT_L_X36Y46 ROW 108 TILEPROP INT_L_X36Y46 SLR_REGION_ID 0 TILEPROP INT_L_X36Y46 TILE_PATTERN_IDX 7379 TILEPROP INT_L_X36Y46 TILE_TYPE INT_L TILEPROP INT_L_X36Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y46 TILE_X 67278 TILEPROP INT_L_X36Y46 TILE_Y -91448 TILEPROP INT_L_X36Y46 TYPE INT_L TILEPROP INT_L_X36Y47 CLASS tile TILEPROP INT_L_X36Y47 COLUMN 92 TILEPROP INT_L_X36Y47 DEVICE_ID 0 TILEPROP INT_L_X36Y47 FIRST_SITE_ID 10720 TILEPROP INT_L_X36Y47 GRID_POINT_X 92 TILEPROP INT_L_X36Y47 GRID_POINT_Y 107 TILEPROP INT_L_X36Y47 INDEX 12397 TILEPROP INT_L_X36Y47 INT_TILE_X 36 TILEPROP INT_L_X36Y47 INT_TILE_Y 102 TILEPROP INT_L_X36Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y47 IS_DCM_TILE 0 TILEPROP INT_L_X36Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y47 NAME INT_L_X36Y47 TILEPROP INT_L_X36Y47 NUM_ARCS 3737 TILEPROP INT_L_X36Y47 NUM_SITES 1 TILEPROP INT_L_X36Y47 ROW 107 TILEPROP INT_L_X36Y47 SLR_REGION_ID 0 TILEPROP INT_L_X36Y47 TILE_PATTERN_IDX 7342 TILEPROP INT_L_X36Y47 TILE_TYPE INT_L TILEPROP INT_L_X36Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y47 TILE_X 67278 TILEPROP INT_L_X36Y47 TILE_Y -88248 TILEPROP INT_L_X36Y47 TYPE INT_L TILEPROP INT_L_X36Y48 CLASS tile TILEPROP INT_L_X36Y48 COLUMN 92 TILEPROP INT_L_X36Y48 DEVICE_ID 0 TILEPROP INT_L_X36Y48 FIRST_SITE_ID 10620 TILEPROP INT_L_X36Y48 GRID_POINT_X 92 TILEPROP INT_L_X36Y48 GRID_POINT_Y 106 TILEPROP INT_L_X36Y48 INDEX 12282 TILEPROP INT_L_X36Y48 INT_TILE_X 36 TILEPROP INT_L_X36Y48 INT_TILE_Y 101 TILEPROP INT_L_X36Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y48 IS_DCM_TILE 0 TILEPROP INT_L_X36Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y48 NAME INT_L_X36Y48 TILEPROP INT_L_X36Y48 NUM_ARCS 3737 TILEPROP INT_L_X36Y48 NUM_SITES 1 TILEPROP INT_L_X36Y48 ROW 106 TILEPROP INT_L_X36Y48 SLR_REGION_ID 0 TILEPROP INT_L_X36Y48 TILE_PATTERN_IDX 7306 TILEPROP INT_L_X36Y48 TILE_TYPE INT_L TILEPROP INT_L_X36Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y48 TILE_X 67278 TILEPROP INT_L_X36Y48 TILE_Y -85048 TILEPROP INT_L_X36Y48 TYPE INT_L TILEPROP INT_L_X36Y49 CLASS tile TILEPROP INT_L_X36Y49 COLUMN 92 TILEPROP INT_L_X36Y49 DEVICE_ID 0 TILEPROP INT_L_X36Y49 FIRST_SITE_ID 10524 TILEPROP INT_L_X36Y49 GRID_POINT_X 92 TILEPROP INT_L_X36Y49 GRID_POINT_Y 105 TILEPROP INT_L_X36Y49 INDEX 12167 TILEPROP INT_L_X36Y49 INT_TILE_X 36 TILEPROP INT_L_X36Y49 INT_TILE_Y 100 TILEPROP INT_L_X36Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y49 IS_DCM_TILE 0 TILEPROP INT_L_X36Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y49 NAME INT_L_X36Y49 TILEPROP INT_L_X36Y49 NUM_ARCS 3737 TILEPROP INT_L_X36Y49 NUM_SITES 1 TILEPROP INT_L_X36Y49 ROW 105 TILEPROP INT_L_X36Y49 SLR_REGION_ID 0 TILEPROP INT_L_X36Y49 TILE_PATTERN_IDX 7270 TILEPROP INT_L_X36Y49 TILE_TYPE INT_L TILEPROP INT_L_X36Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y49 TILE_X 67278 TILEPROP INT_L_X36Y49 TILE_Y -81848 TILEPROP INT_L_X36Y49 TYPE INT_L TILEPROP INT_L_X36Y50 CLASS tile TILEPROP INT_L_X36Y50 COLUMN 92 TILEPROP INT_L_X36Y50 DEVICE_ID 0 TILEPROP INT_L_X36Y50 FIRST_SITE_ID 10421 TILEPROP INT_L_X36Y50 GRID_POINT_X 92 TILEPROP INT_L_X36Y50 GRID_POINT_Y 103 TILEPROP INT_L_X36Y50 INDEX 11937 TILEPROP INT_L_X36Y50 INT_TILE_X 36 TILEPROP INT_L_X36Y50 INT_TILE_Y 99 TILEPROP INT_L_X36Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y50 IS_DCM_TILE 0 TILEPROP INT_L_X36Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y50 NAME INT_L_X36Y50 TILEPROP INT_L_X36Y50 NUM_ARCS 3737 TILEPROP INT_L_X36Y50 NUM_SITES 1 TILEPROP INT_L_X36Y50 ROW 103 TILEPROP INT_L_X36Y50 SLR_REGION_ID 0 TILEPROP INT_L_X36Y50 TILE_PATTERN_IDX 7233 TILEPROP INT_L_X36Y50 TILE_TYPE INT_L TILEPROP INT_L_X36Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y50 TILE_X 67278 TILEPROP INT_L_X36Y50 TILE_Y -78400 TILEPROP INT_L_X36Y50 TYPE INT_L TILEPROP INT_L_X36Y51 CLASS tile TILEPROP INT_L_X36Y51 COLUMN 92 TILEPROP INT_L_X36Y51 DEVICE_ID 0 TILEPROP INT_L_X36Y51 FIRST_SITE_ID 10289 TILEPROP INT_L_X36Y51 GRID_POINT_X 92 TILEPROP INT_L_X36Y51 GRID_POINT_Y 102 TILEPROP INT_L_X36Y51 INDEX 11822 TILEPROP INT_L_X36Y51 INT_TILE_X 36 TILEPROP INT_L_X36Y51 INT_TILE_Y 98 TILEPROP INT_L_X36Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y51 IS_DCM_TILE 0 TILEPROP INT_L_X36Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y51 NAME INT_L_X36Y51 TILEPROP INT_L_X36Y51 NUM_ARCS 3737 TILEPROP INT_L_X36Y51 NUM_SITES 1 TILEPROP INT_L_X36Y51 ROW 102 TILEPROP INT_L_X36Y51 SLR_REGION_ID 0 TILEPROP INT_L_X36Y51 TILE_PATTERN_IDX 7196 TILEPROP INT_L_X36Y51 TILE_TYPE INT_L TILEPROP INT_L_X36Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y51 TILE_X 67278 TILEPROP INT_L_X36Y51 TILE_Y -75200 TILEPROP INT_L_X36Y51 TYPE INT_L TILEPROP INT_L_X36Y52 CLASS tile TILEPROP INT_L_X36Y52 COLUMN 92 TILEPROP INT_L_X36Y52 DEVICE_ID 0 TILEPROP INT_L_X36Y52 FIRST_SITE_ID 10189 TILEPROP INT_L_X36Y52 GRID_POINT_X 92 TILEPROP INT_L_X36Y52 GRID_POINT_Y 101 TILEPROP INT_L_X36Y52 INDEX 11707 TILEPROP INT_L_X36Y52 INT_TILE_X 36 TILEPROP INT_L_X36Y52 INT_TILE_Y 97 TILEPROP INT_L_X36Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y52 IS_DCM_TILE 0 TILEPROP INT_L_X36Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y52 NAME INT_L_X36Y52 TILEPROP INT_L_X36Y52 NUM_ARCS 3737 TILEPROP INT_L_X36Y52 NUM_SITES 1 TILEPROP INT_L_X36Y52 ROW 101 TILEPROP INT_L_X36Y52 SLR_REGION_ID 0 TILEPROP INT_L_X36Y52 TILE_PATTERN_IDX 7159 TILEPROP INT_L_X36Y52 TILE_TYPE INT_L TILEPROP INT_L_X36Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y52 TILE_X 67278 TILEPROP INT_L_X36Y52 TILE_Y -72000 TILEPROP INT_L_X36Y52 TYPE INT_L TILEPROP INT_L_X36Y53 CLASS tile TILEPROP INT_L_X36Y53 COLUMN 92 TILEPROP INT_L_X36Y53 DEVICE_ID 0 TILEPROP INT_L_X36Y53 FIRST_SITE_ID 10089 TILEPROP INT_L_X36Y53 GRID_POINT_X 92 TILEPROP INT_L_X36Y53 GRID_POINT_Y 100 TILEPROP INT_L_X36Y53 INDEX 11592 TILEPROP INT_L_X36Y53 INT_TILE_X 36 TILEPROP INT_L_X36Y53 INT_TILE_Y 96 TILEPROP INT_L_X36Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y53 IS_DCM_TILE 0 TILEPROP INT_L_X36Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y53 NAME INT_L_X36Y53 TILEPROP INT_L_X36Y53 NUM_ARCS 3737 TILEPROP INT_L_X36Y53 NUM_SITES 1 TILEPROP INT_L_X36Y53 ROW 100 TILEPROP INT_L_X36Y53 SLR_REGION_ID 0 TILEPROP INT_L_X36Y53 TILE_PATTERN_IDX 7122 TILEPROP INT_L_X36Y53 TILE_TYPE INT_L TILEPROP INT_L_X36Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y53 TILE_X 67278 TILEPROP INT_L_X36Y53 TILE_Y -68800 TILEPROP INT_L_X36Y53 TYPE INT_L TILEPROP INT_L_X36Y54 CLASS tile TILEPROP INT_L_X36Y54 COLUMN 92 TILEPROP INT_L_X36Y54 DEVICE_ID 0 TILEPROP INT_L_X36Y54 FIRST_SITE_ID 9989 TILEPROP INT_L_X36Y54 GRID_POINT_X 92 TILEPROP INT_L_X36Y54 GRID_POINT_Y 99 TILEPROP INT_L_X36Y54 INDEX 11477 TILEPROP INT_L_X36Y54 INT_TILE_X 36 TILEPROP INT_L_X36Y54 INT_TILE_Y 95 TILEPROP INT_L_X36Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y54 IS_DCM_TILE 0 TILEPROP INT_L_X36Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y54 NAME INT_L_X36Y54 TILEPROP INT_L_X36Y54 NUM_ARCS 3737 TILEPROP INT_L_X36Y54 NUM_SITES 1 TILEPROP INT_L_X36Y54 ROW 99 TILEPROP INT_L_X36Y54 SLR_REGION_ID 0 TILEPROP INT_L_X36Y54 TILE_PATTERN_IDX 7085 TILEPROP INT_L_X36Y54 TILE_TYPE INT_L TILEPROP INT_L_X36Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y54 TILE_X 67278 TILEPROP INT_L_X36Y54 TILE_Y -65600 TILEPROP INT_L_X36Y54 TYPE INT_L TILEPROP INT_L_X36Y55 CLASS tile TILEPROP INT_L_X36Y55 COLUMN 92 TILEPROP INT_L_X36Y55 DEVICE_ID 0 TILEPROP INT_L_X36Y55 FIRST_SITE_ID 9886 TILEPROP INT_L_X36Y55 GRID_POINT_X 92 TILEPROP INT_L_X36Y55 GRID_POINT_Y 98 TILEPROP INT_L_X36Y55 INDEX 11362 TILEPROP INT_L_X36Y55 INT_TILE_X 36 TILEPROP INT_L_X36Y55 INT_TILE_Y 94 TILEPROP INT_L_X36Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y55 IS_DCM_TILE 0 TILEPROP INT_L_X36Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y55 NAME INT_L_X36Y55 TILEPROP INT_L_X36Y55 NUM_ARCS 3737 TILEPROP INT_L_X36Y55 NUM_SITES 1 TILEPROP INT_L_X36Y55 ROW 98 TILEPROP INT_L_X36Y55 SLR_REGION_ID 0 TILEPROP INT_L_X36Y55 TILE_PATTERN_IDX 7047 TILEPROP INT_L_X36Y55 TILE_TYPE INT_L TILEPROP INT_L_X36Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y55 TILE_X 67278 TILEPROP INT_L_X36Y55 TILE_Y -62400 TILEPROP INT_L_X36Y55 TYPE INT_L TILEPROP INT_L_X36Y56 CLASS tile TILEPROP INT_L_X36Y56 COLUMN 92 TILEPROP INT_L_X36Y56 DEVICE_ID 0 TILEPROP INT_L_X36Y56 FIRST_SITE_ID 9774 TILEPROP INT_L_X36Y56 GRID_POINT_X 92 TILEPROP INT_L_X36Y56 GRID_POINT_Y 97 TILEPROP INT_L_X36Y56 INDEX 11247 TILEPROP INT_L_X36Y56 INT_TILE_X 36 TILEPROP INT_L_X36Y56 INT_TILE_Y 93 TILEPROP INT_L_X36Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y56 IS_DCM_TILE 0 TILEPROP INT_L_X36Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y56 NAME INT_L_X36Y56 TILEPROP INT_L_X36Y56 NUM_ARCS 3737 TILEPROP INT_L_X36Y56 NUM_SITES 1 TILEPROP INT_L_X36Y56 ROW 97 TILEPROP INT_L_X36Y56 SLR_REGION_ID 0 TILEPROP INT_L_X36Y56 TILE_PATTERN_IDX 7010 TILEPROP INT_L_X36Y56 TILE_TYPE INT_L TILEPROP INT_L_X36Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y56 TILE_X 67278 TILEPROP INT_L_X36Y56 TILE_Y -59200 TILEPROP INT_L_X36Y56 TYPE INT_L TILEPROP INT_L_X36Y57 CLASS tile TILEPROP INT_L_X36Y57 COLUMN 92 TILEPROP INT_L_X36Y57 DEVICE_ID 0 TILEPROP INT_L_X36Y57 FIRST_SITE_ID 9672 TILEPROP INT_L_X36Y57 GRID_POINT_X 92 TILEPROP INT_L_X36Y57 GRID_POINT_Y 96 TILEPROP INT_L_X36Y57 INDEX 11132 TILEPROP INT_L_X36Y57 INT_TILE_X 36 TILEPROP INT_L_X36Y57 INT_TILE_Y 92 TILEPROP INT_L_X36Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y57 IS_DCM_TILE 0 TILEPROP INT_L_X36Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y57 NAME INT_L_X36Y57 TILEPROP INT_L_X36Y57 NUM_ARCS 3737 TILEPROP INT_L_X36Y57 NUM_SITES 1 TILEPROP INT_L_X36Y57 ROW 96 TILEPROP INT_L_X36Y57 SLR_REGION_ID 0 TILEPROP INT_L_X36Y57 TILE_PATTERN_IDX 6973 TILEPROP INT_L_X36Y57 TILE_TYPE INT_L TILEPROP INT_L_X36Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y57 TILE_X 67278 TILEPROP INT_L_X36Y57 TILE_Y -56000 TILEPROP INT_L_X36Y57 TYPE INT_L TILEPROP INT_L_X36Y58 CLASS tile TILEPROP INT_L_X36Y58 COLUMN 92 TILEPROP INT_L_X36Y58 DEVICE_ID 0 TILEPROP INT_L_X36Y58 FIRST_SITE_ID 9569 TILEPROP INT_L_X36Y58 GRID_POINT_X 92 TILEPROP INT_L_X36Y58 GRID_POINT_Y 95 TILEPROP INT_L_X36Y58 INDEX 11017 TILEPROP INT_L_X36Y58 INT_TILE_X 36 TILEPROP INT_L_X36Y58 INT_TILE_Y 91 TILEPROP INT_L_X36Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y58 IS_DCM_TILE 0 TILEPROP INT_L_X36Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y58 NAME INT_L_X36Y58 TILEPROP INT_L_X36Y58 NUM_ARCS 3737 TILEPROP INT_L_X36Y58 NUM_SITES 1 TILEPROP INT_L_X36Y58 ROW 95 TILEPROP INT_L_X36Y58 SLR_REGION_ID 0 TILEPROP INT_L_X36Y58 TILE_PATTERN_IDX 6935 TILEPROP INT_L_X36Y58 TILE_TYPE INT_L TILEPROP INT_L_X36Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y58 TILE_X 67278 TILEPROP INT_L_X36Y58 TILE_Y -52800 TILEPROP INT_L_X36Y58 TYPE INT_L TILEPROP INT_L_X36Y59 CLASS tile TILEPROP INT_L_X36Y59 COLUMN 92 TILEPROP INT_L_X36Y59 DEVICE_ID 0 TILEPROP INT_L_X36Y59 FIRST_SITE_ID 9468 TILEPROP INT_L_X36Y59 GRID_POINT_X 92 TILEPROP INT_L_X36Y59 GRID_POINT_Y 94 TILEPROP INT_L_X36Y59 INDEX 10902 TILEPROP INT_L_X36Y59 INT_TILE_X 36 TILEPROP INT_L_X36Y59 INT_TILE_Y 90 TILEPROP INT_L_X36Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y59 IS_DCM_TILE 0 TILEPROP INT_L_X36Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y59 NAME INT_L_X36Y59 TILEPROP INT_L_X36Y59 NUM_ARCS 3737 TILEPROP INT_L_X36Y59 NUM_SITES 1 TILEPROP INT_L_X36Y59 ROW 94 TILEPROP INT_L_X36Y59 SLR_REGION_ID 0 TILEPROP INT_L_X36Y59 TILE_PATTERN_IDX 6898 TILEPROP INT_L_X36Y59 TILE_TYPE INT_L TILEPROP INT_L_X36Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y59 TILE_X 67278 TILEPROP INT_L_X36Y59 TILE_Y -49600 TILEPROP INT_L_X36Y59 TYPE INT_L TILEPROP INT_L_X36Y60 CLASS tile TILEPROP INT_L_X36Y60 COLUMN 92 TILEPROP INT_L_X36Y60 DEVICE_ID 0 TILEPROP INT_L_X36Y60 FIRST_SITE_ID 9365 TILEPROP INT_L_X36Y60 GRID_POINT_X 92 TILEPROP INT_L_X36Y60 GRID_POINT_Y 93 TILEPROP INT_L_X36Y60 INDEX 10787 TILEPROP INT_L_X36Y60 INT_TILE_X 36 TILEPROP INT_L_X36Y60 INT_TILE_Y 89 TILEPROP INT_L_X36Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y60 IS_DCM_TILE 0 TILEPROP INT_L_X36Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y60 NAME INT_L_X36Y60 TILEPROP INT_L_X36Y60 NUM_ARCS 3737 TILEPROP INT_L_X36Y60 NUM_SITES 1 TILEPROP INT_L_X36Y60 ROW 93 TILEPROP INT_L_X36Y60 SLR_REGION_ID 0 TILEPROP INT_L_X36Y60 TILE_PATTERN_IDX 6861 TILEPROP INT_L_X36Y60 TILE_TYPE INT_L TILEPROP INT_L_X36Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y60 TILE_X 67278 TILEPROP INT_L_X36Y60 TILE_Y -46400 TILEPROP INT_L_X36Y60 TYPE INT_L TILEPROP INT_L_X36Y61 CLASS tile TILEPROP INT_L_X36Y61 COLUMN 92 TILEPROP INT_L_X36Y61 DEVICE_ID 0 TILEPROP INT_L_X36Y61 FIRST_SITE_ID 9253 TILEPROP INT_L_X36Y61 GRID_POINT_X 92 TILEPROP INT_L_X36Y61 GRID_POINT_Y 92 TILEPROP INT_L_X36Y61 INDEX 10672 TILEPROP INT_L_X36Y61 INT_TILE_X 36 TILEPROP INT_L_X36Y61 INT_TILE_Y 88 TILEPROP INT_L_X36Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y61 IS_DCM_TILE 0 TILEPROP INT_L_X36Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y61 NAME INT_L_X36Y61 TILEPROP INT_L_X36Y61 NUM_ARCS 3737 TILEPROP INT_L_X36Y61 NUM_SITES 1 TILEPROP INT_L_X36Y61 ROW 92 TILEPROP INT_L_X36Y61 SLR_REGION_ID 0 TILEPROP INT_L_X36Y61 TILE_PATTERN_IDX 6824 TILEPROP INT_L_X36Y61 TILE_TYPE INT_L TILEPROP INT_L_X36Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y61 TILE_X 67278 TILEPROP INT_L_X36Y61 TILE_Y -43200 TILEPROP INT_L_X36Y61 TYPE INT_L TILEPROP INT_L_X36Y62 CLASS tile TILEPROP INT_L_X36Y62 COLUMN 92 TILEPROP INT_L_X36Y62 DEVICE_ID 0 TILEPROP INT_L_X36Y62 FIRST_SITE_ID 9153 TILEPROP INT_L_X36Y62 GRID_POINT_X 92 TILEPROP INT_L_X36Y62 GRID_POINT_Y 91 TILEPROP INT_L_X36Y62 INDEX 10557 TILEPROP INT_L_X36Y62 INT_TILE_X 36 TILEPROP INT_L_X36Y62 INT_TILE_Y 87 TILEPROP INT_L_X36Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y62 IS_DCM_TILE 0 TILEPROP INT_L_X36Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y62 NAME INT_L_X36Y62 TILEPROP INT_L_X36Y62 NUM_ARCS 3737 TILEPROP INT_L_X36Y62 NUM_SITES 1 TILEPROP INT_L_X36Y62 ROW 91 TILEPROP INT_L_X36Y62 SLR_REGION_ID 0 TILEPROP INT_L_X36Y62 TILE_PATTERN_IDX 6787 TILEPROP INT_L_X36Y62 TILE_TYPE INT_L TILEPROP INT_L_X36Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y62 TILE_X 67278 TILEPROP INT_L_X36Y62 TILE_Y -40000 TILEPROP INT_L_X36Y62 TYPE INT_L TILEPROP INT_L_X36Y63 CLASS tile TILEPROP INT_L_X36Y63 COLUMN 92 TILEPROP INT_L_X36Y63 DEVICE_ID 0 TILEPROP INT_L_X36Y63 FIRST_SITE_ID 9021 TILEPROP INT_L_X36Y63 GRID_POINT_X 92 TILEPROP INT_L_X36Y63 GRID_POINT_Y 90 TILEPROP INT_L_X36Y63 INDEX 10442 TILEPROP INT_L_X36Y63 INT_TILE_X 36 TILEPROP INT_L_X36Y63 INT_TILE_Y 86 TILEPROP INT_L_X36Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y63 IS_DCM_TILE 0 TILEPROP INT_L_X36Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y63 NAME INT_L_X36Y63 TILEPROP INT_L_X36Y63 NUM_ARCS 3737 TILEPROP INT_L_X36Y63 NUM_SITES 1 TILEPROP INT_L_X36Y63 ROW 90 TILEPROP INT_L_X36Y63 SLR_REGION_ID 0 TILEPROP INT_L_X36Y63 TILE_PATTERN_IDX 6750 TILEPROP INT_L_X36Y63 TILE_TYPE INT_L TILEPROP INT_L_X36Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y63 TILE_X 67278 TILEPROP INT_L_X36Y63 TILE_Y -36800 TILEPROP INT_L_X36Y63 TYPE INT_L TILEPROP INT_L_X36Y64 CLASS tile TILEPROP INT_L_X36Y64 COLUMN 92 TILEPROP INT_L_X36Y64 DEVICE_ID 0 TILEPROP INT_L_X36Y64 FIRST_SITE_ID 8921 TILEPROP INT_L_X36Y64 GRID_POINT_X 92 TILEPROP INT_L_X36Y64 GRID_POINT_Y 89 TILEPROP INT_L_X36Y64 INDEX 10327 TILEPROP INT_L_X36Y64 INT_TILE_X 36 TILEPROP INT_L_X36Y64 INT_TILE_Y 85 TILEPROP INT_L_X36Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y64 IS_DCM_TILE 0 TILEPROP INT_L_X36Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y64 NAME INT_L_X36Y64 TILEPROP INT_L_X36Y64 NUM_ARCS 3737 TILEPROP INT_L_X36Y64 NUM_SITES 1 TILEPROP INT_L_X36Y64 ROW 89 TILEPROP INT_L_X36Y64 SLR_REGION_ID 0 TILEPROP INT_L_X36Y64 TILE_PATTERN_IDX 6713 TILEPROP INT_L_X36Y64 TILE_TYPE INT_L TILEPROP INT_L_X36Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y64 TILE_X 67278 TILEPROP INT_L_X36Y64 TILE_Y -33600 TILEPROP INT_L_X36Y64 TYPE INT_L TILEPROP INT_L_X36Y65 CLASS tile TILEPROP INT_L_X36Y65 COLUMN 92 TILEPROP INT_L_X36Y65 DEVICE_ID 0 TILEPROP INT_L_X36Y65 FIRST_SITE_ID 8818 TILEPROP INT_L_X36Y65 GRID_POINT_X 92 TILEPROP INT_L_X36Y65 GRID_POINT_Y 88 TILEPROP INT_L_X36Y65 INDEX 10212 TILEPROP INT_L_X36Y65 INT_TILE_X 36 TILEPROP INT_L_X36Y65 INT_TILE_Y 84 TILEPROP INT_L_X36Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y65 IS_DCM_TILE 0 TILEPROP INT_L_X36Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y65 NAME INT_L_X36Y65 TILEPROP INT_L_X36Y65 NUM_ARCS 3737 TILEPROP INT_L_X36Y65 NUM_SITES 1 TILEPROP INT_L_X36Y65 ROW 88 TILEPROP INT_L_X36Y65 SLR_REGION_ID 0 TILEPROP INT_L_X36Y65 TILE_PATTERN_IDX 6676 TILEPROP INT_L_X36Y65 TILE_TYPE INT_L TILEPROP INT_L_X36Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y65 TILE_X 67278 TILEPROP INT_L_X36Y65 TILE_Y -30400 TILEPROP INT_L_X36Y65 TYPE INT_L TILEPROP INT_L_X36Y66 CLASS tile TILEPROP INT_L_X36Y66 COLUMN 92 TILEPROP INT_L_X36Y66 DEVICE_ID 0 TILEPROP INT_L_X36Y66 FIRST_SITE_ID 8706 TILEPROP INT_L_X36Y66 GRID_POINT_X 92 TILEPROP INT_L_X36Y66 GRID_POINT_Y 87 TILEPROP INT_L_X36Y66 INDEX 10097 TILEPROP INT_L_X36Y66 INT_TILE_X 36 TILEPROP INT_L_X36Y66 INT_TILE_Y 83 TILEPROP INT_L_X36Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y66 IS_DCM_TILE 0 TILEPROP INT_L_X36Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y66 NAME INT_L_X36Y66 TILEPROP INT_L_X36Y66 NUM_ARCS 3737 TILEPROP INT_L_X36Y66 NUM_SITES 1 TILEPROP INT_L_X36Y66 ROW 87 TILEPROP INT_L_X36Y66 SLR_REGION_ID 0 TILEPROP INT_L_X36Y66 TILE_PATTERN_IDX 6639 TILEPROP INT_L_X36Y66 TILE_TYPE INT_L TILEPROP INT_L_X36Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y66 TILE_X 67278 TILEPROP INT_L_X36Y66 TILE_Y -27200 TILEPROP INT_L_X36Y66 TYPE INT_L TILEPROP INT_L_X36Y67 CLASS tile TILEPROP INT_L_X36Y67 COLUMN 92 TILEPROP INT_L_X36Y67 DEVICE_ID 0 TILEPROP INT_L_X36Y67 FIRST_SITE_ID 8602 TILEPROP INT_L_X36Y67 GRID_POINT_X 92 TILEPROP INT_L_X36Y67 GRID_POINT_Y 86 TILEPROP INT_L_X36Y67 INDEX 9982 TILEPROP INT_L_X36Y67 INT_TILE_X 36 TILEPROP INT_L_X36Y67 INT_TILE_Y 82 TILEPROP INT_L_X36Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y67 IS_DCM_TILE 0 TILEPROP INT_L_X36Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y67 NAME INT_L_X36Y67 TILEPROP INT_L_X36Y67 NUM_ARCS 3737 TILEPROP INT_L_X36Y67 NUM_SITES 1 TILEPROP INT_L_X36Y67 ROW 86 TILEPROP INT_L_X36Y67 SLR_REGION_ID 0 TILEPROP INT_L_X36Y67 TILE_PATTERN_IDX 6601 TILEPROP INT_L_X36Y67 TILE_TYPE INT_L TILEPROP INT_L_X36Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y67 TILE_X 67278 TILEPROP INT_L_X36Y67 TILE_Y -24000 TILEPROP INT_L_X36Y67 TYPE INT_L TILEPROP INT_L_X36Y68 CLASS tile TILEPROP INT_L_X36Y68 COLUMN 92 TILEPROP INT_L_X36Y68 DEVICE_ID 0 TILEPROP INT_L_X36Y68 FIRST_SITE_ID 8498 TILEPROP INT_L_X36Y68 GRID_POINT_X 92 TILEPROP INT_L_X36Y68 GRID_POINT_Y 85 TILEPROP INT_L_X36Y68 INDEX 9867 TILEPROP INT_L_X36Y68 INT_TILE_X 36 TILEPROP INT_L_X36Y68 INT_TILE_Y 81 TILEPROP INT_L_X36Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y68 IS_DCM_TILE 0 TILEPROP INT_L_X36Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y68 NAME INT_L_X36Y68 TILEPROP INT_L_X36Y68 NUM_ARCS 3737 TILEPROP INT_L_X36Y68 NUM_SITES 1 TILEPROP INT_L_X36Y68 ROW 85 TILEPROP INT_L_X36Y68 SLR_REGION_ID 0 TILEPROP INT_L_X36Y68 TILE_PATTERN_IDX 6569 TILEPROP INT_L_X36Y68 TILE_TYPE INT_L TILEPROP INT_L_X36Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y68 TILE_X 67278 TILEPROP INT_L_X36Y68 TILE_Y -20800 TILEPROP INT_L_X36Y68 TYPE INT_L TILEPROP INT_L_X36Y69 CLASS tile TILEPROP INT_L_X36Y69 COLUMN 92 TILEPROP INT_L_X36Y69 DEVICE_ID 0 TILEPROP INT_L_X36Y69 FIRST_SITE_ID 8396 TILEPROP INT_L_X36Y69 GRID_POINT_X 92 TILEPROP INT_L_X36Y69 GRID_POINT_Y 84 TILEPROP INT_L_X36Y69 INDEX 9752 TILEPROP INT_L_X36Y69 INT_TILE_X 36 TILEPROP INT_L_X36Y69 INT_TILE_Y 80 TILEPROP INT_L_X36Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y69 IS_DCM_TILE 0 TILEPROP INT_L_X36Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y69 NAME INT_L_X36Y69 TILEPROP INT_L_X36Y69 NUM_ARCS 3737 TILEPROP INT_L_X36Y69 NUM_SITES 1 TILEPROP INT_L_X36Y69 ROW 84 TILEPROP INT_L_X36Y69 SLR_REGION_ID 0 TILEPROP INT_L_X36Y69 TILE_PATTERN_IDX 6538 TILEPROP INT_L_X36Y69 TILE_TYPE INT_L TILEPROP INT_L_X36Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y69 TILE_X 67278 TILEPROP INT_L_X36Y69 TILE_Y -17600 TILEPROP INT_L_X36Y69 TYPE INT_L TILEPROP INT_L_X36Y70 CLASS tile TILEPROP INT_L_X36Y70 COLUMN 92 TILEPROP INT_L_X36Y70 DEVICE_ID 0 TILEPROP INT_L_X36Y70 FIRST_SITE_ID 8291 TILEPROP INT_L_X36Y70 GRID_POINT_X 92 TILEPROP INT_L_X36Y70 GRID_POINT_Y 83 TILEPROP INT_L_X36Y70 INDEX 9637 TILEPROP INT_L_X36Y70 INT_TILE_X 36 TILEPROP INT_L_X36Y70 INT_TILE_Y 79 TILEPROP INT_L_X36Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y70 IS_DCM_TILE 0 TILEPROP INT_L_X36Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y70 NAME INT_L_X36Y70 TILEPROP INT_L_X36Y70 NUM_ARCS 3737 TILEPROP INT_L_X36Y70 NUM_SITES 1 TILEPROP INT_L_X36Y70 ROW 83 TILEPROP INT_L_X36Y70 SLR_REGION_ID 0 TILEPROP INT_L_X36Y70 TILE_PATTERN_IDX 6507 TILEPROP INT_L_X36Y70 TILE_TYPE INT_L TILEPROP INT_L_X36Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y70 TILE_X 67278 TILEPROP INT_L_X36Y70 TILE_Y -14400 TILEPROP INT_L_X36Y70 TYPE INT_L TILEPROP INT_L_X36Y71 CLASS tile TILEPROP INT_L_X36Y71 COLUMN 92 TILEPROP INT_L_X36Y71 DEVICE_ID 0 TILEPROP INT_L_X36Y71 FIRST_SITE_ID 8179 TILEPROP INT_L_X36Y71 GRID_POINT_X 92 TILEPROP INT_L_X36Y71 GRID_POINT_Y 82 TILEPROP INT_L_X36Y71 INDEX 9522 TILEPROP INT_L_X36Y71 INT_TILE_X 36 TILEPROP INT_L_X36Y71 INT_TILE_Y 78 TILEPROP INT_L_X36Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y71 IS_DCM_TILE 0 TILEPROP INT_L_X36Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y71 NAME INT_L_X36Y71 TILEPROP INT_L_X36Y71 NUM_ARCS 3737 TILEPROP INT_L_X36Y71 NUM_SITES 1 TILEPROP INT_L_X36Y71 ROW 82 TILEPROP INT_L_X36Y71 SLR_REGION_ID 0 TILEPROP INT_L_X36Y71 TILE_PATTERN_IDX 6476 TILEPROP INT_L_X36Y71 TILE_TYPE INT_L TILEPROP INT_L_X36Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y71 TILE_X 67278 TILEPROP INT_L_X36Y71 TILE_Y -11200 TILEPROP INT_L_X36Y71 TYPE INT_L TILEPROP INT_L_X36Y72 CLASS tile TILEPROP INT_L_X36Y72 COLUMN 92 TILEPROP INT_L_X36Y72 DEVICE_ID 0 TILEPROP INT_L_X36Y72 FIRST_SITE_ID 8079 TILEPROP INT_L_X36Y72 GRID_POINT_X 92 TILEPROP INT_L_X36Y72 GRID_POINT_Y 81 TILEPROP INT_L_X36Y72 INDEX 9407 TILEPROP INT_L_X36Y72 INT_TILE_X 36 TILEPROP INT_L_X36Y72 INT_TILE_Y 77 TILEPROP INT_L_X36Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y72 IS_DCM_TILE 0 TILEPROP INT_L_X36Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y72 NAME INT_L_X36Y72 TILEPROP INT_L_X36Y72 NUM_ARCS 3737 TILEPROP INT_L_X36Y72 NUM_SITES 1 TILEPROP INT_L_X36Y72 ROW 81 TILEPROP INT_L_X36Y72 SLR_REGION_ID 0 TILEPROP INT_L_X36Y72 TILE_PATTERN_IDX 6445 TILEPROP INT_L_X36Y72 TILE_TYPE INT_L TILEPROP INT_L_X36Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y72 TILE_X 67278 TILEPROP INT_L_X36Y72 TILE_Y -8000 TILEPROP INT_L_X36Y72 TYPE INT_L TILEPROP INT_L_X36Y73 CLASS tile TILEPROP INT_L_X36Y73 COLUMN 92 TILEPROP INT_L_X36Y73 DEVICE_ID 0 TILEPROP INT_L_X36Y73 FIRST_SITE_ID 7979 TILEPROP INT_L_X36Y73 GRID_POINT_X 92 TILEPROP INT_L_X36Y73 GRID_POINT_Y 80 TILEPROP INT_L_X36Y73 INDEX 9292 TILEPROP INT_L_X36Y73 INT_TILE_X 36 TILEPROP INT_L_X36Y73 INT_TILE_Y 76 TILEPROP INT_L_X36Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y73 IS_DCM_TILE 0 TILEPROP INT_L_X36Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y73 NAME INT_L_X36Y73 TILEPROP INT_L_X36Y73 NUM_ARCS 3737 TILEPROP INT_L_X36Y73 NUM_SITES 1 TILEPROP INT_L_X36Y73 ROW 80 TILEPROP INT_L_X36Y73 SLR_REGION_ID 0 TILEPROP INT_L_X36Y73 TILE_PATTERN_IDX 6414 TILEPROP INT_L_X36Y73 TILE_TYPE INT_L TILEPROP INT_L_X36Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y73 TILE_X 67278 TILEPROP INT_L_X36Y73 TILE_Y -4800 TILEPROP INT_L_X36Y73 TYPE INT_L TILEPROP INT_L_X36Y74 CLASS tile TILEPROP INT_L_X36Y74 COLUMN 92 TILEPROP INT_L_X36Y74 DEVICE_ID 0 TILEPROP INT_L_X36Y74 FIRST_SITE_ID 7879 TILEPROP INT_L_X36Y74 GRID_POINT_X 92 TILEPROP INT_L_X36Y74 GRID_POINT_Y 79 TILEPROP INT_L_X36Y74 INDEX 9177 TILEPROP INT_L_X36Y74 INT_TILE_X 36 TILEPROP INT_L_X36Y74 INT_TILE_Y 75 TILEPROP INT_L_X36Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y74 IS_DCM_TILE 0 TILEPROP INT_L_X36Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y74 NAME INT_L_X36Y74 TILEPROP INT_L_X36Y74 NUM_ARCS 3737 TILEPROP INT_L_X36Y74 NUM_SITES 1 TILEPROP INT_L_X36Y74 ROW 79 TILEPROP INT_L_X36Y74 SLR_REGION_ID 0 TILEPROP INT_L_X36Y74 TILE_PATTERN_IDX 6383 TILEPROP INT_L_X36Y74 TILE_TYPE INT_L TILEPROP INT_L_X36Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y74 TILE_X 67278 TILEPROP INT_L_X36Y74 TILE_Y -1600 TILEPROP INT_L_X36Y74 TYPE INT_L TILEPROP INT_L_X36Y75 CLASS tile TILEPROP INT_L_X36Y75 COLUMN 92 TILEPROP INT_L_X36Y75 DEVICE_ID 0 TILEPROP INT_L_X36Y75 FIRST_SITE_ID 7693 TILEPROP INT_L_X36Y75 GRID_POINT_X 92 TILEPROP INT_L_X36Y75 GRID_POINT_Y 77 TILEPROP INT_L_X36Y75 INDEX 8947 TILEPROP INT_L_X36Y75 INT_TILE_X 36 TILEPROP INT_L_X36Y75 INT_TILE_Y 74 TILEPROP INT_L_X36Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y75 IS_DCM_TILE 0 TILEPROP INT_L_X36Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y75 NAME INT_L_X36Y75 TILEPROP INT_L_X36Y75 NUM_ARCS 3737 TILEPROP INT_L_X36Y75 NUM_SITES 1 TILEPROP INT_L_X36Y75 ROW 77 TILEPROP INT_L_X36Y75 SLR_REGION_ID 0 TILEPROP INT_L_X36Y75 TILE_PATTERN_IDX 6309 TILEPROP INT_L_X36Y75 TILE_TYPE INT_L TILEPROP INT_L_X36Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y75 TILE_X 67278 TILEPROP INT_L_X36Y75 TILE_Y 2624 TILEPROP INT_L_X36Y75 TYPE INT_L TILEPROP INT_L_X36Y76 CLASS tile TILEPROP INT_L_X36Y76 COLUMN 92 TILEPROP INT_L_X36Y76 DEVICE_ID 0 TILEPROP INT_L_X36Y76 FIRST_SITE_ID 7578 TILEPROP INT_L_X36Y76 GRID_POINT_X 92 TILEPROP INT_L_X36Y76 GRID_POINT_Y 76 TILEPROP INT_L_X36Y76 INDEX 8832 TILEPROP INT_L_X36Y76 INT_TILE_X 36 TILEPROP INT_L_X36Y76 INT_TILE_Y 73 TILEPROP INT_L_X36Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y76 IS_DCM_TILE 0 TILEPROP INT_L_X36Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y76 NAME INT_L_X36Y76 TILEPROP INT_L_X36Y76 NUM_ARCS 3737 TILEPROP INT_L_X36Y76 NUM_SITES 1 TILEPROP INT_L_X36Y76 ROW 76 TILEPROP INT_L_X36Y76 SLR_REGION_ID 0 TILEPROP INT_L_X36Y76 TILE_PATTERN_IDX 6275 TILEPROP INT_L_X36Y76 TILE_TYPE INT_L TILEPROP INT_L_X36Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y76 TILE_X 67278 TILEPROP INT_L_X36Y76 TILE_Y 5824 TILEPROP INT_L_X36Y76 TYPE INT_L TILEPROP INT_L_X36Y77 CLASS tile TILEPROP INT_L_X36Y77 COLUMN 92 TILEPROP INT_L_X36Y77 DEVICE_ID 0 TILEPROP INT_L_X36Y77 FIRST_SITE_ID 7478 TILEPROP INT_L_X36Y77 GRID_POINT_X 92 TILEPROP INT_L_X36Y77 GRID_POINT_Y 75 TILEPROP INT_L_X36Y77 INDEX 8717 TILEPROP INT_L_X36Y77 INT_TILE_X 36 TILEPROP INT_L_X36Y77 INT_TILE_Y 72 TILEPROP INT_L_X36Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y77 IS_DCM_TILE 0 TILEPROP INT_L_X36Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y77 NAME INT_L_X36Y77 TILEPROP INT_L_X36Y77 NUM_ARCS 3737 TILEPROP INT_L_X36Y77 NUM_SITES 1 TILEPROP INT_L_X36Y77 ROW 75 TILEPROP INT_L_X36Y77 SLR_REGION_ID 0 TILEPROP INT_L_X36Y77 TILE_PATTERN_IDX 6241 TILEPROP INT_L_X36Y77 TILE_TYPE INT_L TILEPROP INT_L_X36Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y77 TILE_X 67278 TILEPROP INT_L_X36Y77 TILE_Y 9024 TILEPROP INT_L_X36Y77 TYPE INT_L TILEPROP INT_L_X36Y78 CLASS tile TILEPROP INT_L_X36Y78 COLUMN 92 TILEPROP INT_L_X36Y78 DEVICE_ID 0 TILEPROP INT_L_X36Y78 FIRST_SITE_ID 7378 TILEPROP INT_L_X36Y78 GRID_POINT_X 92 TILEPROP INT_L_X36Y78 GRID_POINT_Y 74 TILEPROP INT_L_X36Y78 INDEX 8602 TILEPROP INT_L_X36Y78 INT_TILE_X 36 TILEPROP INT_L_X36Y78 INT_TILE_Y 71 TILEPROP INT_L_X36Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y78 IS_DCM_TILE 0 TILEPROP INT_L_X36Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y78 NAME INT_L_X36Y78 TILEPROP INT_L_X36Y78 NUM_ARCS 3737 TILEPROP INT_L_X36Y78 NUM_SITES 1 TILEPROP INT_L_X36Y78 ROW 74 TILEPROP INT_L_X36Y78 SLR_REGION_ID 0 TILEPROP INT_L_X36Y78 TILE_PATTERN_IDX 6208 TILEPROP INT_L_X36Y78 TILE_TYPE INT_L TILEPROP INT_L_X36Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y78 TILE_X 67278 TILEPROP INT_L_X36Y78 TILE_Y 12224 TILEPROP INT_L_X36Y78 TYPE INT_L TILEPROP INT_L_X36Y79 CLASS tile TILEPROP INT_L_X36Y79 COLUMN 92 TILEPROP INT_L_X36Y79 DEVICE_ID 0 TILEPROP INT_L_X36Y79 FIRST_SITE_ID 7272 TILEPROP INT_L_X36Y79 GRID_POINT_X 92 TILEPROP INT_L_X36Y79 GRID_POINT_Y 73 TILEPROP INT_L_X36Y79 INDEX 8487 TILEPROP INT_L_X36Y79 INT_TILE_X 36 TILEPROP INT_L_X36Y79 INT_TILE_Y 70 TILEPROP INT_L_X36Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y79 IS_DCM_TILE 0 TILEPROP INT_L_X36Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y79 NAME INT_L_X36Y79 TILEPROP INT_L_X36Y79 NUM_ARCS 3737 TILEPROP INT_L_X36Y79 NUM_SITES 1 TILEPROP INT_L_X36Y79 ROW 73 TILEPROP INT_L_X36Y79 SLR_REGION_ID 0 TILEPROP INT_L_X36Y79 TILE_PATTERN_IDX 6173 TILEPROP INT_L_X36Y79 TILE_TYPE INT_L TILEPROP INT_L_X36Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y79 TILE_X 67278 TILEPROP INT_L_X36Y79 TILE_Y 15424 TILEPROP INT_L_X36Y79 TYPE INT_L TILEPROP INT_L_X36Y80 CLASS tile TILEPROP INT_L_X36Y80 COLUMN 92 TILEPROP INT_L_X36Y80 DEVICE_ID 0 TILEPROP INT_L_X36Y80 FIRST_SITE_ID 7163 TILEPROP INT_L_X36Y80 GRID_POINT_X 92 TILEPROP INT_L_X36Y80 GRID_POINT_Y 72 TILEPROP INT_L_X36Y80 INDEX 8372 TILEPROP INT_L_X36Y80 INT_TILE_X 36 TILEPROP INT_L_X36Y80 INT_TILE_Y 69 TILEPROP INT_L_X36Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y80 IS_DCM_TILE 0 TILEPROP INT_L_X36Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y80 NAME INT_L_X36Y80 TILEPROP INT_L_X36Y80 NUM_ARCS 3737 TILEPROP INT_L_X36Y80 NUM_SITES 1 TILEPROP INT_L_X36Y80 ROW 72 TILEPROP INT_L_X36Y80 SLR_REGION_ID 0 TILEPROP INT_L_X36Y80 TILE_PATTERN_IDX 6138 TILEPROP INT_L_X36Y80 TILE_TYPE INT_L TILEPROP INT_L_X36Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y80 TILE_X 67278 TILEPROP INT_L_X36Y80 TILE_Y 18624 TILEPROP INT_L_X36Y80 TYPE INT_L TILEPROP INT_L_X36Y81 CLASS tile TILEPROP INT_L_X36Y81 COLUMN 92 TILEPROP INT_L_X36Y81 DEVICE_ID 0 TILEPROP INT_L_X36Y81 FIRST_SITE_ID 7049 TILEPROP INT_L_X36Y81 GRID_POINT_X 92 TILEPROP INT_L_X36Y81 GRID_POINT_Y 71 TILEPROP INT_L_X36Y81 INDEX 8257 TILEPROP INT_L_X36Y81 INT_TILE_X 36 TILEPROP INT_L_X36Y81 INT_TILE_Y 68 TILEPROP INT_L_X36Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y81 IS_DCM_TILE 0 TILEPROP INT_L_X36Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y81 NAME INT_L_X36Y81 TILEPROP INT_L_X36Y81 NUM_ARCS 3737 TILEPROP INT_L_X36Y81 NUM_SITES 1 TILEPROP INT_L_X36Y81 ROW 71 TILEPROP INT_L_X36Y81 SLR_REGION_ID 0 TILEPROP INT_L_X36Y81 TILE_PATTERN_IDX 6104 TILEPROP INT_L_X36Y81 TILE_TYPE INT_L TILEPROP INT_L_X36Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y81 TILE_X 67278 TILEPROP INT_L_X36Y81 TILE_Y 21824 TILEPROP INT_L_X36Y81 TYPE INT_L TILEPROP INT_L_X36Y82 CLASS tile TILEPROP INT_L_X36Y82 COLUMN 92 TILEPROP INT_L_X36Y82 DEVICE_ID 0 TILEPROP INT_L_X36Y82 FIRST_SITE_ID 6947 TILEPROP INT_L_X36Y82 GRID_POINT_X 92 TILEPROP INT_L_X36Y82 GRID_POINT_Y 70 TILEPROP INT_L_X36Y82 INDEX 8142 TILEPROP INT_L_X36Y82 INT_TILE_X 36 TILEPROP INT_L_X36Y82 INT_TILE_Y 67 TILEPROP INT_L_X36Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y82 IS_DCM_TILE 0 TILEPROP INT_L_X36Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y82 NAME INT_L_X36Y82 TILEPROP INT_L_X36Y82 NUM_ARCS 3737 TILEPROP INT_L_X36Y82 NUM_SITES 1 TILEPROP INT_L_X36Y82 ROW 70 TILEPROP INT_L_X36Y82 SLR_REGION_ID 0 TILEPROP INT_L_X36Y82 TILE_PATTERN_IDX 6070 TILEPROP INT_L_X36Y82 TILE_TYPE INT_L TILEPROP INT_L_X36Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y82 TILE_X 67278 TILEPROP INT_L_X36Y82 TILE_Y 25024 TILEPROP INT_L_X36Y82 TYPE INT_L TILEPROP INT_L_X36Y83 CLASS tile TILEPROP INT_L_X36Y83 COLUMN 92 TILEPROP INT_L_X36Y83 DEVICE_ID 0 TILEPROP INT_L_X36Y83 FIRST_SITE_ID 6847 TILEPROP INT_L_X36Y83 GRID_POINT_X 92 TILEPROP INT_L_X36Y83 GRID_POINT_Y 69 TILEPROP INT_L_X36Y83 INDEX 8027 TILEPROP INT_L_X36Y83 INT_TILE_X 36 TILEPROP INT_L_X36Y83 INT_TILE_Y 66 TILEPROP INT_L_X36Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y83 IS_DCM_TILE 0 TILEPROP INT_L_X36Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y83 NAME INT_L_X36Y83 TILEPROP INT_L_X36Y83 NUM_ARCS 3737 TILEPROP INT_L_X36Y83 NUM_SITES 1 TILEPROP INT_L_X36Y83 ROW 69 TILEPROP INT_L_X36Y83 SLR_REGION_ID 0 TILEPROP INT_L_X36Y83 TILE_PATTERN_IDX 6036 TILEPROP INT_L_X36Y83 TILE_TYPE INT_L TILEPROP INT_L_X36Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y83 TILE_X 67278 TILEPROP INT_L_X36Y83 TILE_Y 28224 TILEPROP INT_L_X36Y83 TYPE INT_L TILEPROP INT_L_X36Y84 CLASS tile TILEPROP INT_L_X36Y84 COLUMN 92 TILEPROP INT_L_X36Y84 DEVICE_ID 0 TILEPROP INT_L_X36Y84 FIRST_SITE_ID 6747 TILEPROP INT_L_X36Y84 GRID_POINT_X 92 TILEPROP INT_L_X36Y84 GRID_POINT_Y 68 TILEPROP INT_L_X36Y84 INDEX 7912 TILEPROP INT_L_X36Y84 INT_TILE_X 36 TILEPROP INT_L_X36Y84 INT_TILE_Y 65 TILEPROP INT_L_X36Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y84 IS_DCM_TILE 0 TILEPROP INT_L_X36Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y84 NAME INT_L_X36Y84 TILEPROP INT_L_X36Y84 NUM_ARCS 3737 TILEPROP INT_L_X36Y84 NUM_SITES 1 TILEPROP INT_L_X36Y84 ROW 68 TILEPROP INT_L_X36Y84 SLR_REGION_ID 0 TILEPROP INT_L_X36Y84 TILE_PATTERN_IDX 6002 TILEPROP INT_L_X36Y84 TILE_TYPE INT_L TILEPROP INT_L_X36Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y84 TILE_X 67278 TILEPROP INT_L_X36Y84 TILE_Y 31424 TILEPROP INT_L_X36Y84 TYPE INT_L TILEPROP INT_L_X36Y85 CLASS tile TILEPROP INT_L_X36Y85 COLUMN 92 TILEPROP INT_L_X36Y85 DEVICE_ID 0 TILEPROP INT_L_X36Y85 FIRST_SITE_ID 6644 TILEPROP INT_L_X36Y85 GRID_POINT_X 92 TILEPROP INT_L_X36Y85 GRID_POINT_Y 67 TILEPROP INT_L_X36Y85 INDEX 7797 TILEPROP INT_L_X36Y85 INT_TILE_X 36 TILEPROP INT_L_X36Y85 INT_TILE_Y 64 TILEPROP INT_L_X36Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y85 IS_DCM_TILE 0 TILEPROP INT_L_X36Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y85 NAME INT_L_X36Y85 TILEPROP INT_L_X36Y85 NUM_ARCS 3737 TILEPROP INT_L_X36Y85 NUM_SITES 1 TILEPROP INT_L_X36Y85 ROW 67 TILEPROP INT_L_X36Y85 SLR_REGION_ID 0 TILEPROP INT_L_X36Y85 TILE_PATTERN_IDX 5968 TILEPROP INT_L_X36Y85 TILE_TYPE INT_L TILEPROP INT_L_X36Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y85 TILE_X 67278 TILEPROP INT_L_X36Y85 TILE_Y 34624 TILEPROP INT_L_X36Y85 TYPE INT_L TILEPROP INT_L_X36Y86 CLASS tile TILEPROP INT_L_X36Y86 COLUMN 92 TILEPROP INT_L_X36Y86 DEVICE_ID 0 TILEPROP INT_L_X36Y86 FIRST_SITE_ID 6532 TILEPROP INT_L_X36Y86 GRID_POINT_X 92 TILEPROP INT_L_X36Y86 GRID_POINT_Y 66 TILEPROP INT_L_X36Y86 INDEX 7682 TILEPROP INT_L_X36Y86 INT_TILE_X 36 TILEPROP INT_L_X36Y86 INT_TILE_Y 63 TILEPROP INT_L_X36Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y86 IS_DCM_TILE 0 TILEPROP INT_L_X36Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y86 NAME INT_L_X36Y86 TILEPROP INT_L_X36Y86 NUM_ARCS 3737 TILEPROP INT_L_X36Y86 NUM_SITES 1 TILEPROP INT_L_X36Y86 ROW 66 TILEPROP INT_L_X36Y86 SLR_REGION_ID 0 TILEPROP INT_L_X36Y86 TILE_PATTERN_IDX 5933 TILEPROP INT_L_X36Y86 TILE_TYPE INT_L TILEPROP INT_L_X36Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y86 TILE_X 67278 TILEPROP INT_L_X36Y86 TILE_Y 37824 TILEPROP INT_L_X36Y86 TYPE INT_L TILEPROP INT_L_X36Y87 CLASS tile TILEPROP INT_L_X36Y87 COLUMN 92 TILEPROP INT_L_X36Y87 DEVICE_ID 0 TILEPROP INT_L_X36Y87 FIRST_SITE_ID 6400 TILEPROP INT_L_X36Y87 GRID_POINT_X 92 TILEPROP INT_L_X36Y87 GRID_POINT_Y 65 TILEPROP INT_L_X36Y87 INDEX 7567 TILEPROP INT_L_X36Y87 INT_TILE_X 36 TILEPROP INT_L_X36Y87 INT_TILE_Y 62 TILEPROP INT_L_X36Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y87 IS_DCM_TILE 0 TILEPROP INT_L_X36Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y87 NAME INT_L_X36Y87 TILEPROP INT_L_X36Y87 NUM_ARCS 3737 TILEPROP INT_L_X36Y87 NUM_SITES 1 TILEPROP INT_L_X36Y87 ROW 65 TILEPROP INT_L_X36Y87 SLR_REGION_ID 0 TILEPROP INT_L_X36Y87 TILE_PATTERN_IDX 5898 TILEPROP INT_L_X36Y87 TILE_TYPE INT_L TILEPROP INT_L_X36Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y87 TILE_X 67278 TILEPROP INT_L_X36Y87 TILE_Y 41024 TILEPROP INT_L_X36Y87 TYPE INT_L TILEPROP INT_L_X36Y88 CLASS tile TILEPROP INT_L_X36Y88 COLUMN 92 TILEPROP INT_L_X36Y88 DEVICE_ID 0 TILEPROP INT_L_X36Y88 FIRST_SITE_ID 6300 TILEPROP INT_L_X36Y88 GRID_POINT_X 92 TILEPROP INT_L_X36Y88 GRID_POINT_Y 64 TILEPROP INT_L_X36Y88 INDEX 7452 TILEPROP INT_L_X36Y88 INT_TILE_X 36 TILEPROP INT_L_X36Y88 INT_TILE_Y 61 TILEPROP INT_L_X36Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y88 IS_DCM_TILE 0 TILEPROP INT_L_X36Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y88 NAME INT_L_X36Y88 TILEPROP INT_L_X36Y88 NUM_ARCS 3737 TILEPROP INT_L_X36Y88 NUM_SITES 1 TILEPROP INT_L_X36Y88 ROW 64 TILEPROP INT_L_X36Y88 SLR_REGION_ID 0 TILEPROP INT_L_X36Y88 TILE_PATTERN_IDX 5865 TILEPROP INT_L_X36Y88 TILE_TYPE INT_L TILEPROP INT_L_X36Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y88 TILE_X 67278 TILEPROP INT_L_X36Y88 TILE_Y 44224 TILEPROP INT_L_X36Y88 TYPE INT_L TILEPROP INT_L_X36Y89 CLASS tile TILEPROP INT_L_X36Y89 COLUMN 92 TILEPROP INT_L_X36Y89 DEVICE_ID 0 TILEPROP INT_L_X36Y89 FIRST_SITE_ID 6200 TILEPROP INT_L_X36Y89 GRID_POINT_X 92 TILEPROP INT_L_X36Y89 GRID_POINT_Y 63 TILEPROP INT_L_X36Y89 INDEX 7337 TILEPROP INT_L_X36Y89 INT_TILE_X 36 TILEPROP INT_L_X36Y89 INT_TILE_Y 60 TILEPROP INT_L_X36Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y89 IS_DCM_TILE 0 TILEPROP INT_L_X36Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y89 NAME INT_L_X36Y89 TILEPROP INT_L_X36Y89 NUM_ARCS 3737 TILEPROP INT_L_X36Y89 NUM_SITES 1 TILEPROP INT_L_X36Y89 ROW 63 TILEPROP INT_L_X36Y89 SLR_REGION_ID 0 TILEPROP INT_L_X36Y89 TILE_PATTERN_IDX 5831 TILEPROP INT_L_X36Y89 TILE_TYPE INT_L TILEPROP INT_L_X36Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y89 TILE_X 67278 TILEPROP INT_L_X36Y89 TILE_Y 47424 TILEPROP INT_L_X36Y89 TYPE INT_L TILEPROP INT_L_X36Y90 CLASS tile TILEPROP INT_L_X36Y90 COLUMN 92 TILEPROP INT_L_X36Y90 DEVICE_ID 0 TILEPROP INT_L_X36Y90 FIRST_SITE_ID 6097 TILEPROP INT_L_X36Y90 GRID_POINT_X 92 TILEPROP INT_L_X36Y90 GRID_POINT_Y 62 TILEPROP INT_L_X36Y90 INDEX 7222 TILEPROP INT_L_X36Y90 INT_TILE_X 36 TILEPROP INT_L_X36Y90 INT_TILE_Y 59 TILEPROP INT_L_X36Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y90 IS_DCM_TILE 0 TILEPROP INT_L_X36Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y90 NAME INT_L_X36Y90 TILEPROP INT_L_X36Y90 NUM_ARCS 3737 TILEPROP INT_L_X36Y90 NUM_SITES 1 TILEPROP INT_L_X36Y90 ROW 62 TILEPROP INT_L_X36Y90 SLR_REGION_ID 0 TILEPROP INT_L_X36Y90 TILE_PATTERN_IDX 5797 TILEPROP INT_L_X36Y90 TILE_TYPE INT_L TILEPROP INT_L_X36Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y90 TILE_X 67278 TILEPROP INT_L_X36Y90 TILE_Y 50624 TILEPROP INT_L_X36Y90 TYPE INT_L TILEPROP INT_L_X36Y91 CLASS tile TILEPROP INT_L_X36Y91 COLUMN 92 TILEPROP INT_L_X36Y91 DEVICE_ID 0 TILEPROP INT_L_X36Y91 FIRST_SITE_ID 5985 TILEPROP INT_L_X36Y91 GRID_POINT_X 92 TILEPROP INT_L_X36Y91 GRID_POINT_Y 61 TILEPROP INT_L_X36Y91 INDEX 7107 TILEPROP INT_L_X36Y91 INT_TILE_X 36 TILEPROP INT_L_X36Y91 INT_TILE_Y 58 TILEPROP INT_L_X36Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y91 IS_DCM_TILE 0 TILEPROP INT_L_X36Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y91 NAME INT_L_X36Y91 TILEPROP INT_L_X36Y91 NUM_ARCS 3737 TILEPROP INT_L_X36Y91 NUM_SITES 1 TILEPROP INT_L_X36Y91 ROW 61 TILEPROP INT_L_X36Y91 SLR_REGION_ID 0 TILEPROP INT_L_X36Y91 TILE_PATTERN_IDX 5763 TILEPROP INT_L_X36Y91 TILE_TYPE INT_L TILEPROP INT_L_X36Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y91 TILE_X 67278 TILEPROP INT_L_X36Y91 TILE_Y 53824 TILEPROP INT_L_X36Y91 TYPE INT_L TILEPROP INT_L_X36Y92 CLASS tile TILEPROP INT_L_X36Y92 COLUMN 92 TILEPROP INT_L_X36Y92 DEVICE_ID 0 TILEPROP INT_L_X36Y92 FIRST_SITE_ID 5884 TILEPROP INT_L_X36Y92 GRID_POINT_X 92 TILEPROP INT_L_X36Y92 GRID_POINT_Y 60 TILEPROP INT_L_X36Y92 INDEX 6992 TILEPROP INT_L_X36Y92 INT_TILE_X 36 TILEPROP INT_L_X36Y92 INT_TILE_Y 57 TILEPROP INT_L_X36Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y92 IS_DCM_TILE 0 TILEPROP INT_L_X36Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y92 NAME INT_L_X36Y92 TILEPROP INT_L_X36Y92 NUM_ARCS 3737 TILEPROP INT_L_X36Y92 NUM_SITES 1 TILEPROP INT_L_X36Y92 ROW 60 TILEPROP INT_L_X36Y92 SLR_REGION_ID 0 TILEPROP INT_L_X36Y92 TILE_PATTERN_IDX 5730 TILEPROP INT_L_X36Y92 TILE_TYPE INT_L TILEPROP INT_L_X36Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y92 TILE_X 67278 TILEPROP INT_L_X36Y92 TILE_Y 57024 TILEPROP INT_L_X36Y92 TYPE INT_L TILEPROP INT_L_X36Y93 CLASS tile TILEPROP INT_L_X36Y93 COLUMN 92 TILEPROP INT_L_X36Y93 DEVICE_ID 0 TILEPROP INT_L_X36Y93 FIRST_SITE_ID 5781 TILEPROP INT_L_X36Y93 GRID_POINT_X 92 TILEPROP INT_L_X36Y93 GRID_POINT_Y 59 TILEPROP INT_L_X36Y93 INDEX 6877 TILEPROP INT_L_X36Y93 INT_TILE_X 36 TILEPROP INT_L_X36Y93 INT_TILE_Y 56 TILEPROP INT_L_X36Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y93 IS_DCM_TILE 0 TILEPROP INT_L_X36Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y93 NAME INT_L_X36Y93 TILEPROP INT_L_X36Y93 NUM_ARCS 3737 TILEPROP INT_L_X36Y93 NUM_SITES 1 TILEPROP INT_L_X36Y93 ROW 59 TILEPROP INT_L_X36Y93 SLR_REGION_ID 0 TILEPROP INT_L_X36Y93 TILE_PATTERN_IDX 5696 TILEPROP INT_L_X36Y93 TILE_TYPE INT_L TILEPROP INT_L_X36Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y93 TILE_X 67278 TILEPROP INT_L_X36Y93 TILE_Y 60224 TILEPROP INT_L_X36Y93 TYPE INT_L TILEPROP INT_L_X36Y94 CLASS tile TILEPROP INT_L_X36Y94 COLUMN 92 TILEPROP INT_L_X36Y94 DEVICE_ID 0 TILEPROP INT_L_X36Y94 FIRST_SITE_ID 5679 TILEPROP INT_L_X36Y94 GRID_POINT_X 92 TILEPROP INT_L_X36Y94 GRID_POINT_Y 58 TILEPROP INT_L_X36Y94 INDEX 6762 TILEPROP INT_L_X36Y94 INT_TILE_X 36 TILEPROP INT_L_X36Y94 INT_TILE_Y 55 TILEPROP INT_L_X36Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y94 IS_DCM_TILE 0 TILEPROP INT_L_X36Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y94 NAME INT_L_X36Y94 TILEPROP INT_L_X36Y94 NUM_ARCS 3737 TILEPROP INT_L_X36Y94 NUM_SITES 1 TILEPROP INT_L_X36Y94 ROW 58 TILEPROP INT_L_X36Y94 SLR_REGION_ID 0 TILEPROP INT_L_X36Y94 TILE_PATTERN_IDX 5662 TILEPROP INT_L_X36Y94 TILE_TYPE INT_L TILEPROP INT_L_X36Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y94 TILE_X 67278 TILEPROP INT_L_X36Y94 TILE_Y 63424 TILEPROP INT_L_X36Y94 TYPE INT_L TILEPROP INT_L_X36Y95 CLASS tile TILEPROP INT_L_X36Y95 COLUMN 92 TILEPROP INT_L_X36Y95 DEVICE_ID 0 TILEPROP INT_L_X36Y95 FIRST_SITE_ID 5576 TILEPROP INT_L_X36Y95 GRID_POINT_X 92 TILEPROP INT_L_X36Y95 GRID_POINT_Y 57 TILEPROP INT_L_X36Y95 INDEX 6647 TILEPROP INT_L_X36Y95 INT_TILE_X 36 TILEPROP INT_L_X36Y95 INT_TILE_Y 54 TILEPROP INT_L_X36Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y95 IS_DCM_TILE 0 TILEPROP INT_L_X36Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y95 NAME INT_L_X36Y95 TILEPROP INT_L_X36Y95 NUM_ARCS 3737 TILEPROP INT_L_X36Y95 NUM_SITES 1 TILEPROP INT_L_X36Y95 ROW 57 TILEPROP INT_L_X36Y95 SLR_REGION_ID 0 TILEPROP INT_L_X36Y95 TILE_PATTERN_IDX 5628 TILEPROP INT_L_X36Y95 TILE_TYPE INT_L TILEPROP INT_L_X36Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y95 TILE_X 67278 TILEPROP INT_L_X36Y95 TILE_Y 66624 TILEPROP INT_L_X36Y95 TYPE INT_L TILEPROP INT_L_X36Y96 CLASS tile TILEPROP INT_L_X36Y96 COLUMN 92 TILEPROP INT_L_X36Y96 DEVICE_ID 0 TILEPROP INT_L_X36Y96 FIRST_SITE_ID 5464 TILEPROP INT_L_X36Y96 GRID_POINT_X 92 TILEPROP INT_L_X36Y96 GRID_POINT_Y 56 TILEPROP INT_L_X36Y96 INDEX 6532 TILEPROP INT_L_X36Y96 INT_TILE_X 36 TILEPROP INT_L_X36Y96 INT_TILE_Y 53 TILEPROP INT_L_X36Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y96 IS_DCM_TILE 0 TILEPROP INT_L_X36Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y96 NAME INT_L_X36Y96 TILEPROP INT_L_X36Y96 NUM_ARCS 3737 TILEPROP INT_L_X36Y96 NUM_SITES 1 TILEPROP INT_L_X36Y96 ROW 56 TILEPROP INT_L_X36Y96 SLR_REGION_ID 0 TILEPROP INT_L_X36Y96 TILE_PATTERN_IDX 5593 TILEPROP INT_L_X36Y96 TILE_TYPE INT_L TILEPROP INT_L_X36Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y96 TILE_X 67278 TILEPROP INT_L_X36Y96 TILE_Y 69824 TILEPROP INT_L_X36Y96 TYPE INT_L TILEPROP INT_L_X36Y97 CLASS tile TILEPROP INT_L_X36Y97 COLUMN 92 TILEPROP INT_L_X36Y97 DEVICE_ID 0 TILEPROP INT_L_X36Y97 FIRST_SITE_ID 5364 TILEPROP INT_L_X36Y97 GRID_POINT_X 92 TILEPROP INT_L_X36Y97 GRID_POINT_Y 55 TILEPROP INT_L_X36Y97 INDEX 6417 TILEPROP INT_L_X36Y97 INT_TILE_X 36 TILEPROP INT_L_X36Y97 INT_TILE_Y 52 TILEPROP INT_L_X36Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y97 IS_DCM_TILE 0 TILEPROP INT_L_X36Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y97 NAME INT_L_X36Y97 TILEPROP INT_L_X36Y97 NUM_ARCS 3737 TILEPROP INT_L_X36Y97 NUM_SITES 1 TILEPROP INT_L_X36Y97 ROW 55 TILEPROP INT_L_X36Y97 SLR_REGION_ID 0 TILEPROP INT_L_X36Y97 TILE_PATTERN_IDX 5559 TILEPROP INT_L_X36Y97 TILE_TYPE INT_L TILEPROP INT_L_X36Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y97 TILE_X 67278 TILEPROP INT_L_X36Y97 TILE_Y 73024 TILEPROP INT_L_X36Y97 TYPE INT_L TILEPROP INT_L_X36Y98 CLASS tile TILEPROP INT_L_X36Y98 COLUMN 92 TILEPROP INT_L_X36Y98 DEVICE_ID 0 TILEPROP INT_L_X36Y98 FIRST_SITE_ID 5264 TILEPROP INT_L_X36Y98 GRID_POINT_X 92 TILEPROP INT_L_X36Y98 GRID_POINT_Y 54 TILEPROP INT_L_X36Y98 INDEX 6302 TILEPROP INT_L_X36Y98 INT_TILE_X 36 TILEPROP INT_L_X36Y98 INT_TILE_Y 51 TILEPROP INT_L_X36Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y98 IS_DCM_TILE 0 TILEPROP INT_L_X36Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y98 NAME INT_L_X36Y98 TILEPROP INT_L_X36Y98 NUM_ARCS 3737 TILEPROP INT_L_X36Y98 NUM_SITES 1 TILEPROP INT_L_X36Y98 ROW 54 TILEPROP INT_L_X36Y98 SLR_REGION_ID 0 TILEPROP INT_L_X36Y98 TILE_PATTERN_IDX 5525 TILEPROP INT_L_X36Y98 TILE_TYPE INT_L TILEPROP INT_L_X36Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y98 TILE_X 67278 TILEPROP INT_L_X36Y98 TILE_Y 76224 TILEPROP INT_L_X36Y98 TYPE INT_L TILEPROP INT_L_X36Y99 CLASS tile TILEPROP INT_L_X36Y99 COLUMN 92 TILEPROP INT_L_X36Y99 DEVICE_ID 0 TILEPROP INT_L_X36Y99 FIRST_SITE_ID 5168 TILEPROP INT_L_X36Y99 GRID_POINT_X 92 TILEPROP INT_L_X36Y99 GRID_POINT_Y 53 TILEPROP INT_L_X36Y99 INDEX 6187 TILEPROP INT_L_X36Y99 INT_TILE_X 36 TILEPROP INT_L_X36Y99 INT_TILE_Y 50 TILEPROP INT_L_X36Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y99 IS_DCM_TILE 0 TILEPROP INT_L_X36Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y99 NAME INT_L_X36Y99 TILEPROP INT_L_X36Y99 NUM_ARCS 3737 TILEPROP INT_L_X36Y99 NUM_SITES 1 TILEPROP INT_L_X36Y99 ROW 53 TILEPROP INT_L_X36Y99 SLR_REGION_ID 0 TILEPROP INT_L_X36Y99 TILE_PATTERN_IDX 5492 TILEPROP INT_L_X36Y99 TILE_TYPE INT_L TILEPROP INT_L_X36Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y99 TILE_X 67278 TILEPROP INT_L_X36Y99 TILE_Y 79424 TILEPROP INT_L_X36Y99 TYPE INT_L TILEPROP INT_L_X36Y100 CLASS tile TILEPROP INT_L_X36Y100 COLUMN 92 TILEPROP INT_L_X36Y100 DEVICE_ID 0 TILEPROP INT_L_X36Y100 FIRST_SITE_ID 5086 TILEPROP INT_L_X36Y100 GRID_POINT_X 92 TILEPROP INT_L_X36Y100 GRID_POINT_Y 51 TILEPROP INT_L_X36Y100 INDEX 5957 TILEPROP INT_L_X36Y100 INT_TILE_X 36 TILEPROP INT_L_X36Y100 INT_TILE_Y 49 TILEPROP INT_L_X36Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y100 IS_DCM_TILE 0 TILEPROP INT_L_X36Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y100 NAME INT_L_X36Y100 TILEPROP INT_L_X36Y100 NUM_ARCS 3737 TILEPROP INT_L_X36Y100 NUM_SITES 1 TILEPROP INT_L_X36Y100 ROW 51 TILEPROP INT_L_X36Y100 SLR_REGION_ID 0 TILEPROP INT_L_X36Y100 TILE_PATTERN_IDX 5458 TILEPROP INT_L_X36Y100 TILE_TYPE INT_L TILEPROP INT_L_X36Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y100 TILE_X 67278 TILEPROP INT_L_X36Y100 TILE_Y 82872 TILEPROP INT_L_X36Y100 TYPE INT_L TILEPROP INT_L_X36Y101 CLASS tile TILEPROP INT_L_X36Y101 COLUMN 92 TILEPROP INT_L_X36Y101 DEVICE_ID 0 TILEPROP INT_L_X36Y101 FIRST_SITE_ID 4985 TILEPROP INT_L_X36Y101 GRID_POINT_X 92 TILEPROP INT_L_X36Y101 GRID_POINT_Y 50 TILEPROP INT_L_X36Y101 INDEX 5842 TILEPROP INT_L_X36Y101 INT_TILE_X 36 TILEPROP INT_L_X36Y101 INT_TILE_Y 48 TILEPROP INT_L_X36Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y101 IS_DCM_TILE 0 TILEPROP INT_L_X36Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y101 NAME INT_L_X36Y101 TILEPROP INT_L_X36Y101 NUM_ARCS 3737 TILEPROP INT_L_X36Y101 NUM_SITES 1 TILEPROP INT_L_X36Y101 ROW 50 TILEPROP INT_L_X36Y101 SLR_REGION_ID 0 TILEPROP INT_L_X36Y101 TILE_PATTERN_IDX 5422 TILEPROP INT_L_X36Y101 TILE_TYPE INT_L TILEPROP INT_L_X36Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y101 TILE_X 67278 TILEPROP INT_L_X36Y101 TILE_Y 86072 TILEPROP INT_L_X36Y101 TYPE INT_L TILEPROP INT_L_X36Y102 CLASS tile TILEPROP INT_L_X36Y102 COLUMN 92 TILEPROP INT_L_X36Y102 DEVICE_ID 0 TILEPROP INT_L_X36Y102 FIRST_SITE_ID 4889 TILEPROP INT_L_X36Y102 GRID_POINT_X 92 TILEPROP INT_L_X36Y102 GRID_POINT_Y 49 TILEPROP INT_L_X36Y102 INDEX 5727 TILEPROP INT_L_X36Y102 INT_TILE_X 36 TILEPROP INT_L_X36Y102 INT_TILE_Y 47 TILEPROP INT_L_X36Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y102 IS_DCM_TILE 0 TILEPROP INT_L_X36Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y102 NAME INT_L_X36Y102 TILEPROP INT_L_X36Y102 NUM_ARCS 3737 TILEPROP INT_L_X36Y102 NUM_SITES 1 TILEPROP INT_L_X36Y102 ROW 49 TILEPROP INT_L_X36Y102 SLR_REGION_ID 0 TILEPROP INT_L_X36Y102 TILE_PATTERN_IDX 5385 TILEPROP INT_L_X36Y102 TILE_TYPE INT_L TILEPROP INT_L_X36Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y102 TILE_X 67278 TILEPROP INT_L_X36Y102 TILE_Y 89272 TILEPROP INT_L_X36Y102 TYPE INT_L TILEPROP INT_L_X36Y103 CLASS tile TILEPROP INT_L_X36Y103 COLUMN 92 TILEPROP INT_L_X36Y103 DEVICE_ID 0 TILEPROP INT_L_X36Y103 FIRST_SITE_ID 4801 TILEPROP INT_L_X36Y103 GRID_POINT_X 92 TILEPROP INT_L_X36Y103 GRID_POINT_Y 48 TILEPROP INT_L_X36Y103 INDEX 5612 TILEPROP INT_L_X36Y103 INT_TILE_X 36 TILEPROP INT_L_X36Y103 INT_TILE_Y 46 TILEPROP INT_L_X36Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y103 IS_DCM_TILE 0 TILEPROP INT_L_X36Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y103 NAME INT_L_X36Y103 TILEPROP INT_L_X36Y103 NUM_ARCS 3737 TILEPROP INT_L_X36Y103 NUM_SITES 1 TILEPROP INT_L_X36Y103 ROW 48 TILEPROP INT_L_X36Y103 SLR_REGION_ID 0 TILEPROP INT_L_X36Y103 TILE_PATTERN_IDX 5349 TILEPROP INT_L_X36Y103 TILE_TYPE INT_L TILEPROP INT_L_X36Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y103 TILE_X 67278 TILEPROP INT_L_X36Y103 TILE_Y 92472 TILEPROP INT_L_X36Y103 TYPE INT_L TILEPROP INT_L_X36Y104 CLASS tile TILEPROP INT_L_X36Y104 COLUMN 92 TILEPROP INT_L_X36Y104 DEVICE_ID 0 TILEPROP INT_L_X36Y104 FIRST_SITE_ID 4705 TILEPROP INT_L_X36Y104 GRID_POINT_X 92 TILEPROP INT_L_X36Y104 GRID_POINT_Y 47 TILEPROP INT_L_X36Y104 INDEX 5497 TILEPROP INT_L_X36Y104 INT_TILE_X 36 TILEPROP INT_L_X36Y104 INT_TILE_Y 45 TILEPROP INT_L_X36Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y104 IS_DCM_TILE 0 TILEPROP INT_L_X36Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y104 NAME INT_L_X36Y104 TILEPROP INT_L_X36Y104 NUM_ARCS 3737 TILEPROP INT_L_X36Y104 NUM_SITES 1 TILEPROP INT_L_X36Y104 ROW 47 TILEPROP INT_L_X36Y104 SLR_REGION_ID 0 TILEPROP INT_L_X36Y104 TILE_PATTERN_IDX 5312 TILEPROP INT_L_X36Y104 TILE_TYPE INT_L TILEPROP INT_L_X36Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y104 TILE_X 67278 TILEPROP INT_L_X36Y104 TILE_Y 95672 TILEPROP INT_L_X36Y104 TYPE INT_L TILEPROP INT_L_X36Y105 CLASS tile TILEPROP INT_L_X36Y105 COLUMN 92 TILEPROP INT_L_X36Y105 DEVICE_ID 0 TILEPROP INT_L_X36Y105 FIRST_SITE_ID 4612 TILEPROP INT_L_X36Y105 GRID_POINT_X 92 TILEPROP INT_L_X36Y105 GRID_POINT_Y 46 TILEPROP INT_L_X36Y105 INDEX 5382 TILEPROP INT_L_X36Y105 INT_TILE_X 36 TILEPROP INT_L_X36Y105 INT_TILE_Y 44 TILEPROP INT_L_X36Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y105 IS_DCM_TILE 0 TILEPROP INT_L_X36Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y105 NAME INT_L_X36Y105 TILEPROP INT_L_X36Y105 NUM_ARCS 3737 TILEPROP INT_L_X36Y105 NUM_SITES 1 TILEPROP INT_L_X36Y105 ROW 46 TILEPROP INT_L_X36Y105 SLR_REGION_ID 0 TILEPROP INT_L_X36Y105 TILE_PATTERN_IDX 5276 TILEPROP INT_L_X36Y105 TILE_TYPE INT_L TILEPROP INT_L_X36Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y105 TILE_X 67278 TILEPROP INT_L_X36Y105 TILE_Y 98872 TILEPROP INT_L_X36Y105 TYPE INT_L TILEPROP INT_L_X36Y106 CLASS tile TILEPROP INT_L_X36Y106 COLUMN 92 TILEPROP INT_L_X36Y106 DEVICE_ID 0 TILEPROP INT_L_X36Y106 FIRST_SITE_ID 4507 TILEPROP INT_L_X36Y106 GRID_POINT_X 92 TILEPROP INT_L_X36Y106 GRID_POINT_Y 45 TILEPROP INT_L_X36Y106 INDEX 5267 TILEPROP INT_L_X36Y106 INT_TILE_X 36 TILEPROP INT_L_X36Y106 INT_TILE_Y 43 TILEPROP INT_L_X36Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y106 IS_DCM_TILE 0 TILEPROP INT_L_X36Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y106 NAME INT_L_X36Y106 TILEPROP INT_L_X36Y106 NUM_ARCS 3737 TILEPROP INT_L_X36Y106 NUM_SITES 1 TILEPROP INT_L_X36Y106 ROW 45 TILEPROP INT_L_X36Y106 SLR_REGION_ID 0 TILEPROP INT_L_X36Y106 TILE_PATTERN_IDX 5239 TILEPROP INT_L_X36Y106 TILE_TYPE INT_L TILEPROP INT_L_X36Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y106 TILE_X 67278 TILEPROP INT_L_X36Y106 TILE_Y 102072 TILEPROP INT_L_X36Y106 TYPE INT_L TILEPROP INT_L_X36Y107 CLASS tile TILEPROP INT_L_X36Y107 COLUMN 92 TILEPROP INT_L_X36Y107 DEVICE_ID 0 TILEPROP INT_L_X36Y107 FIRST_SITE_ID 4419 TILEPROP INT_L_X36Y107 GRID_POINT_X 92 TILEPROP INT_L_X36Y107 GRID_POINT_Y 44 TILEPROP INT_L_X36Y107 INDEX 5152 TILEPROP INT_L_X36Y107 INT_TILE_X 36 TILEPROP INT_L_X36Y107 INT_TILE_Y 42 TILEPROP INT_L_X36Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y107 IS_DCM_TILE 0 TILEPROP INT_L_X36Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y107 NAME INT_L_X36Y107 TILEPROP INT_L_X36Y107 NUM_ARCS 3737 TILEPROP INT_L_X36Y107 NUM_SITES 1 TILEPROP INT_L_X36Y107 ROW 44 TILEPROP INT_L_X36Y107 SLR_REGION_ID 0 TILEPROP INT_L_X36Y107 TILE_PATTERN_IDX 5203 TILEPROP INT_L_X36Y107 TILE_TYPE INT_L TILEPROP INT_L_X36Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y107 TILE_X 67278 TILEPROP INT_L_X36Y107 TILE_Y 105272 TILEPROP INT_L_X36Y107 TYPE INT_L TILEPROP INT_L_X36Y108 CLASS tile TILEPROP INT_L_X36Y108 COLUMN 92 TILEPROP INT_L_X36Y108 DEVICE_ID 0 TILEPROP INT_L_X36Y108 FIRST_SITE_ID 4321 TILEPROP INT_L_X36Y108 GRID_POINT_X 92 TILEPROP INT_L_X36Y108 GRID_POINT_Y 43 TILEPROP INT_L_X36Y108 INDEX 5037 TILEPROP INT_L_X36Y108 INT_TILE_X 36 TILEPROP INT_L_X36Y108 INT_TILE_Y 41 TILEPROP INT_L_X36Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y108 IS_DCM_TILE 0 TILEPROP INT_L_X36Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y108 NAME INT_L_X36Y108 TILEPROP INT_L_X36Y108 NUM_ARCS 3737 TILEPROP INT_L_X36Y108 NUM_SITES 1 TILEPROP INT_L_X36Y108 ROW 43 TILEPROP INT_L_X36Y108 SLR_REGION_ID 0 TILEPROP INT_L_X36Y108 TILE_PATTERN_IDX 5166 TILEPROP INT_L_X36Y108 TILE_TYPE INT_L TILEPROP INT_L_X36Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y108 TILE_X 67278 TILEPROP INT_L_X36Y108 TILE_Y 108472 TILEPROP INT_L_X36Y108 TYPE INT_L TILEPROP INT_L_X36Y109 CLASS tile TILEPROP INT_L_X36Y109 COLUMN 92 TILEPROP INT_L_X36Y109 DEVICE_ID 0 TILEPROP INT_L_X36Y109 FIRST_SITE_ID 4232 TILEPROP INT_L_X36Y109 GRID_POINT_X 92 TILEPROP INT_L_X36Y109 GRID_POINT_Y 42 TILEPROP INT_L_X36Y109 INDEX 4922 TILEPROP INT_L_X36Y109 INT_TILE_X 36 TILEPROP INT_L_X36Y109 INT_TILE_Y 40 TILEPROP INT_L_X36Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y109 IS_DCM_TILE 0 TILEPROP INT_L_X36Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y109 NAME INT_L_X36Y109 TILEPROP INT_L_X36Y109 NUM_ARCS 3737 TILEPROP INT_L_X36Y109 NUM_SITES 1 TILEPROP INT_L_X36Y109 ROW 42 TILEPROP INT_L_X36Y109 SLR_REGION_ID 0 TILEPROP INT_L_X36Y109 TILE_PATTERN_IDX 5130 TILEPROP INT_L_X36Y109 TILE_TYPE INT_L TILEPROP INT_L_X36Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y109 TILE_X 67278 TILEPROP INT_L_X36Y109 TILE_Y 111672 TILEPROP INT_L_X36Y109 TYPE INT_L TILEPROP INT_L_X36Y110 CLASS tile TILEPROP INT_L_X36Y110 COLUMN 92 TILEPROP INT_L_X36Y110 DEVICE_ID 0 TILEPROP INT_L_X36Y110 FIRST_SITE_ID 4136 TILEPROP INT_L_X36Y110 GRID_POINT_X 92 TILEPROP INT_L_X36Y110 GRID_POINT_Y 41 TILEPROP INT_L_X36Y110 INDEX 4807 TILEPROP INT_L_X36Y110 INT_TILE_X 36 TILEPROP INT_L_X36Y110 INT_TILE_Y 39 TILEPROP INT_L_X36Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y110 IS_DCM_TILE 0 TILEPROP INT_L_X36Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y110 NAME INT_L_X36Y110 TILEPROP INT_L_X36Y110 NUM_ARCS 3737 TILEPROP INT_L_X36Y110 NUM_SITES 1 TILEPROP INT_L_X36Y110 ROW 41 TILEPROP INT_L_X36Y110 SLR_REGION_ID 0 TILEPROP INT_L_X36Y110 TILE_PATTERN_IDX 5093 TILEPROP INT_L_X36Y110 TILE_TYPE INT_L TILEPROP INT_L_X36Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y110 TILE_X 67278 TILEPROP INT_L_X36Y110 TILE_Y 114872 TILEPROP INT_L_X36Y110 TYPE INT_L TILEPROP INT_L_X36Y111 CLASS tile TILEPROP INT_L_X36Y111 COLUMN 92 TILEPROP INT_L_X36Y111 DEVICE_ID 0 TILEPROP INT_L_X36Y111 FIRST_SITE_ID 4038 TILEPROP INT_L_X36Y111 GRID_POINT_X 92 TILEPROP INT_L_X36Y111 GRID_POINT_Y 40 TILEPROP INT_L_X36Y111 INDEX 4692 TILEPROP INT_L_X36Y111 INT_TILE_X 36 TILEPROP INT_L_X36Y111 INT_TILE_Y 38 TILEPROP INT_L_X36Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y111 IS_DCM_TILE 0 TILEPROP INT_L_X36Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y111 NAME INT_L_X36Y111 TILEPROP INT_L_X36Y111 NUM_ARCS 3737 TILEPROP INT_L_X36Y111 NUM_SITES 1 TILEPROP INT_L_X36Y111 ROW 40 TILEPROP INT_L_X36Y111 SLR_REGION_ID 0 TILEPROP INT_L_X36Y111 TILE_PATTERN_IDX 5057 TILEPROP INT_L_X36Y111 TILE_TYPE INT_L TILEPROP INT_L_X36Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y111 TILE_X 67278 TILEPROP INT_L_X36Y111 TILE_Y 118072 TILEPROP INT_L_X36Y111 TYPE INT_L TILEPROP INT_L_X36Y112 CLASS tile TILEPROP INT_L_X36Y112 COLUMN 92 TILEPROP INT_L_X36Y112 DEVICE_ID 0 TILEPROP INT_L_X36Y112 FIRST_SITE_ID 3942 TILEPROP INT_L_X36Y112 GRID_POINT_X 92 TILEPROP INT_L_X36Y112 GRID_POINT_Y 39 TILEPROP INT_L_X36Y112 INDEX 4577 TILEPROP INT_L_X36Y112 INT_TILE_X 36 TILEPROP INT_L_X36Y112 INT_TILE_Y 37 TILEPROP INT_L_X36Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y112 IS_DCM_TILE 0 TILEPROP INT_L_X36Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y112 NAME INT_L_X36Y112 TILEPROP INT_L_X36Y112 NUM_ARCS 3737 TILEPROP INT_L_X36Y112 NUM_SITES 1 TILEPROP INT_L_X36Y112 ROW 39 TILEPROP INT_L_X36Y112 SLR_REGION_ID 0 TILEPROP INT_L_X36Y112 TILE_PATTERN_IDX 5020 TILEPROP INT_L_X36Y112 TILE_TYPE INT_L TILEPROP INT_L_X36Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y112 TILE_X 67278 TILEPROP INT_L_X36Y112 TILE_Y 121272 TILEPROP INT_L_X36Y112 TYPE INT_L TILEPROP INT_L_X36Y113 CLASS tile TILEPROP INT_L_X36Y113 COLUMN 92 TILEPROP INT_L_X36Y113 DEVICE_ID 0 TILEPROP INT_L_X36Y113 FIRST_SITE_ID 3822 TILEPROP INT_L_X36Y113 GRID_POINT_X 92 TILEPROP INT_L_X36Y113 GRID_POINT_Y 38 TILEPROP INT_L_X36Y113 INDEX 4462 TILEPROP INT_L_X36Y113 INT_TILE_X 36 TILEPROP INT_L_X36Y113 INT_TILE_Y 36 TILEPROP INT_L_X36Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y113 IS_DCM_TILE 0 TILEPROP INT_L_X36Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y113 NAME INT_L_X36Y113 TILEPROP INT_L_X36Y113 NUM_ARCS 3737 TILEPROP INT_L_X36Y113 NUM_SITES 1 TILEPROP INT_L_X36Y113 ROW 38 TILEPROP INT_L_X36Y113 SLR_REGION_ID 0 TILEPROP INT_L_X36Y113 TILE_PATTERN_IDX 4983 TILEPROP INT_L_X36Y113 TILE_TYPE INT_L TILEPROP INT_L_X36Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y113 TILE_X 67278 TILEPROP INT_L_X36Y113 TILE_Y 124472 TILEPROP INT_L_X36Y113 TYPE INT_L TILEPROP INT_L_X36Y114 CLASS tile TILEPROP INT_L_X36Y114 COLUMN 92 TILEPROP INT_L_X36Y114 DEVICE_ID 0 TILEPROP INT_L_X36Y114 FIRST_SITE_ID 3726 TILEPROP INT_L_X36Y114 GRID_POINT_X 92 TILEPROP INT_L_X36Y114 GRID_POINT_Y 37 TILEPROP INT_L_X36Y114 INDEX 4347 TILEPROP INT_L_X36Y114 INT_TILE_X 36 TILEPROP INT_L_X36Y114 INT_TILE_Y 35 TILEPROP INT_L_X36Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y114 IS_DCM_TILE 0 TILEPROP INT_L_X36Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y114 NAME INT_L_X36Y114 TILEPROP INT_L_X36Y114 NUM_ARCS 3737 TILEPROP INT_L_X36Y114 NUM_SITES 1 TILEPROP INT_L_X36Y114 ROW 37 TILEPROP INT_L_X36Y114 SLR_REGION_ID 0 TILEPROP INT_L_X36Y114 TILE_PATTERN_IDX 4946 TILEPROP INT_L_X36Y114 TILE_TYPE INT_L TILEPROP INT_L_X36Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y114 TILE_X 67278 TILEPROP INT_L_X36Y114 TILE_Y 127672 TILEPROP INT_L_X36Y114 TYPE INT_L TILEPROP INT_L_X36Y115 CLASS tile TILEPROP INT_L_X36Y115 COLUMN 92 TILEPROP INT_L_X36Y115 DEVICE_ID 0 TILEPROP INT_L_X36Y115 FIRST_SITE_ID 3638 TILEPROP INT_L_X36Y115 GRID_POINT_X 92 TILEPROP INT_L_X36Y115 GRID_POINT_Y 36 TILEPROP INT_L_X36Y115 INDEX 4232 TILEPROP INT_L_X36Y115 INT_TILE_X 36 TILEPROP INT_L_X36Y115 INT_TILE_Y 34 TILEPROP INT_L_X36Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y115 IS_DCM_TILE 0 TILEPROP INT_L_X36Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y115 NAME INT_L_X36Y115 TILEPROP INT_L_X36Y115 NUM_ARCS 3737 TILEPROP INT_L_X36Y115 NUM_SITES 1 TILEPROP INT_L_X36Y115 ROW 36 TILEPROP INT_L_X36Y115 SLR_REGION_ID 0 TILEPROP INT_L_X36Y115 TILE_PATTERN_IDX 4910 TILEPROP INT_L_X36Y115 TILE_TYPE INT_L TILEPROP INT_L_X36Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y115 TILE_X 67278 TILEPROP INT_L_X36Y115 TILE_Y 130872 TILEPROP INT_L_X36Y115 TYPE INT_L TILEPROP INT_L_X36Y116 CLASS tile TILEPROP INT_L_X36Y116 COLUMN 92 TILEPROP INT_L_X36Y116 DEVICE_ID 0 TILEPROP INT_L_X36Y116 FIRST_SITE_ID 3528 TILEPROP INT_L_X36Y116 GRID_POINT_X 92 TILEPROP INT_L_X36Y116 GRID_POINT_Y 35 TILEPROP INT_L_X36Y116 INDEX 4117 TILEPROP INT_L_X36Y116 INT_TILE_X 36 TILEPROP INT_L_X36Y116 INT_TILE_Y 33 TILEPROP INT_L_X36Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y116 IS_DCM_TILE 0 TILEPROP INT_L_X36Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y116 NAME INT_L_X36Y116 TILEPROP INT_L_X36Y116 NUM_ARCS 3737 TILEPROP INT_L_X36Y116 NUM_SITES 1 TILEPROP INT_L_X36Y116 ROW 35 TILEPROP INT_L_X36Y116 SLR_REGION_ID 0 TILEPROP INT_L_X36Y116 TILE_PATTERN_IDX 4873 TILEPROP INT_L_X36Y116 TILE_TYPE INT_L TILEPROP INT_L_X36Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y116 TILE_X 67278 TILEPROP INT_L_X36Y116 TILE_Y 134072 TILEPROP INT_L_X36Y116 TYPE INT_L TILEPROP INT_L_X36Y117 CLASS tile TILEPROP INT_L_X36Y117 COLUMN 92 TILEPROP INT_L_X36Y117 DEVICE_ID 0 TILEPROP INT_L_X36Y117 FIRST_SITE_ID 3440 TILEPROP INT_L_X36Y117 GRID_POINT_X 92 TILEPROP INT_L_X36Y117 GRID_POINT_Y 34 TILEPROP INT_L_X36Y117 INDEX 4002 TILEPROP INT_L_X36Y117 INT_TILE_X 36 TILEPROP INT_L_X36Y117 INT_TILE_Y 32 TILEPROP INT_L_X36Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y117 IS_DCM_TILE 0 TILEPROP INT_L_X36Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y117 NAME INT_L_X36Y117 TILEPROP INT_L_X36Y117 NUM_ARCS 3737 TILEPROP INT_L_X36Y117 NUM_SITES 1 TILEPROP INT_L_X36Y117 ROW 34 TILEPROP INT_L_X36Y117 SLR_REGION_ID 0 TILEPROP INT_L_X36Y117 TILE_PATTERN_IDX 4837 TILEPROP INT_L_X36Y117 TILE_TYPE INT_L TILEPROP INT_L_X36Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y117 TILE_X 67278 TILEPROP INT_L_X36Y117 TILE_Y 137272 TILEPROP INT_L_X36Y117 TYPE INT_L TILEPROP INT_L_X36Y118 CLASS tile TILEPROP INT_L_X36Y118 COLUMN 92 TILEPROP INT_L_X36Y118 DEVICE_ID 0 TILEPROP INT_L_X36Y118 FIRST_SITE_ID 3340 TILEPROP INT_L_X36Y118 GRID_POINT_X 92 TILEPROP INT_L_X36Y118 GRID_POINT_Y 33 TILEPROP INT_L_X36Y118 INDEX 3887 TILEPROP INT_L_X36Y118 INT_TILE_X 36 TILEPROP INT_L_X36Y118 INT_TILE_Y 31 TILEPROP INT_L_X36Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y118 IS_DCM_TILE 0 TILEPROP INT_L_X36Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y118 NAME INT_L_X36Y118 TILEPROP INT_L_X36Y118 NUM_ARCS 3737 TILEPROP INT_L_X36Y118 NUM_SITES 1 TILEPROP INT_L_X36Y118 ROW 33 TILEPROP INT_L_X36Y118 SLR_REGION_ID 0 TILEPROP INT_L_X36Y118 TILE_PATTERN_IDX 4799 TILEPROP INT_L_X36Y118 TILE_TYPE INT_L TILEPROP INT_L_X36Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y118 TILE_X 67278 TILEPROP INT_L_X36Y118 TILE_Y 140472 TILEPROP INT_L_X36Y118 TYPE INT_L TILEPROP INT_L_X36Y119 CLASS tile TILEPROP INT_L_X36Y119 COLUMN 92 TILEPROP INT_L_X36Y119 DEVICE_ID 0 TILEPROP INT_L_X36Y119 FIRST_SITE_ID 3252 TILEPROP INT_L_X36Y119 GRID_POINT_X 92 TILEPROP INT_L_X36Y119 GRID_POINT_Y 32 TILEPROP INT_L_X36Y119 INDEX 3772 TILEPROP INT_L_X36Y119 INT_TILE_X 36 TILEPROP INT_L_X36Y119 INT_TILE_Y 30 TILEPROP INT_L_X36Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y119 IS_DCM_TILE 0 TILEPROP INT_L_X36Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y119 NAME INT_L_X36Y119 TILEPROP INT_L_X36Y119 NUM_ARCS 3737 TILEPROP INT_L_X36Y119 NUM_SITES 1 TILEPROP INT_L_X36Y119 ROW 32 TILEPROP INT_L_X36Y119 SLR_REGION_ID 0 TILEPROP INT_L_X36Y119 TILE_PATTERN_IDX 4763 TILEPROP INT_L_X36Y119 TILE_TYPE INT_L TILEPROP INT_L_X36Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y119 TILE_X 67278 TILEPROP INT_L_X36Y119 TILE_Y 143672 TILEPROP INT_L_X36Y119 TYPE INT_L TILEPROP INT_L_X36Y120 CLASS tile TILEPROP INT_L_X36Y120 COLUMN 92 TILEPROP INT_L_X36Y120 DEVICE_ID 0 TILEPROP INT_L_X36Y120 FIRST_SITE_ID 3154 TILEPROP INT_L_X36Y120 GRID_POINT_X 92 TILEPROP INT_L_X36Y120 GRID_POINT_Y 31 TILEPROP INT_L_X36Y120 INDEX 3657 TILEPROP INT_L_X36Y120 INT_TILE_X 36 TILEPROP INT_L_X36Y120 INT_TILE_Y 29 TILEPROP INT_L_X36Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y120 IS_DCM_TILE 0 TILEPROP INT_L_X36Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y120 NAME INT_L_X36Y120 TILEPROP INT_L_X36Y120 NUM_ARCS 3737 TILEPROP INT_L_X36Y120 NUM_SITES 1 TILEPROP INT_L_X36Y120 ROW 31 TILEPROP INT_L_X36Y120 SLR_REGION_ID 0 TILEPROP INT_L_X36Y120 TILE_PATTERN_IDX 4726 TILEPROP INT_L_X36Y120 TILE_TYPE INT_L TILEPROP INT_L_X36Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y120 TILE_X 67278 TILEPROP INT_L_X36Y120 TILE_Y 146872 TILEPROP INT_L_X36Y120 TYPE INT_L TILEPROP INT_L_X36Y121 CLASS tile TILEPROP INT_L_X36Y121 COLUMN 92 TILEPROP INT_L_X36Y121 DEVICE_ID 0 TILEPROP INT_L_X36Y121 FIRST_SITE_ID 3057 TILEPROP INT_L_X36Y121 GRID_POINT_X 92 TILEPROP INT_L_X36Y121 GRID_POINT_Y 30 TILEPROP INT_L_X36Y121 INDEX 3542 TILEPROP INT_L_X36Y121 INT_TILE_X 36 TILEPROP INT_L_X36Y121 INT_TILE_Y 28 TILEPROP INT_L_X36Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y121 IS_DCM_TILE 0 TILEPROP INT_L_X36Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y121 NAME INT_L_X36Y121 TILEPROP INT_L_X36Y121 NUM_ARCS 3737 TILEPROP INT_L_X36Y121 NUM_SITES 1 TILEPROP INT_L_X36Y121 ROW 30 TILEPROP INT_L_X36Y121 SLR_REGION_ID 0 TILEPROP INT_L_X36Y121 TILE_PATTERN_IDX 4690 TILEPROP INT_L_X36Y121 TILE_TYPE INT_L TILEPROP INT_L_X36Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y121 TILE_X 67278 TILEPROP INT_L_X36Y121 TILE_Y 150072 TILEPROP INT_L_X36Y121 TYPE INT_L TILEPROP INT_L_X36Y122 CLASS tile TILEPROP INT_L_X36Y122 COLUMN 92 TILEPROP INT_L_X36Y122 DEVICE_ID 0 TILEPROP INT_L_X36Y122 FIRST_SITE_ID 2954 TILEPROP INT_L_X36Y122 GRID_POINT_X 92 TILEPROP INT_L_X36Y122 GRID_POINT_Y 29 TILEPROP INT_L_X36Y122 INDEX 3427 TILEPROP INT_L_X36Y122 INT_TILE_X 36 TILEPROP INT_L_X36Y122 INT_TILE_Y 27 TILEPROP INT_L_X36Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y122 IS_DCM_TILE 0 TILEPROP INT_L_X36Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y122 NAME INT_L_X36Y122 TILEPROP INT_L_X36Y122 NUM_ARCS 3737 TILEPROP INT_L_X36Y122 NUM_SITES 1 TILEPROP INT_L_X36Y122 ROW 29 TILEPROP INT_L_X36Y122 SLR_REGION_ID 0 TILEPROP INT_L_X36Y122 TILE_PATTERN_IDX 4652 TILEPROP INT_L_X36Y122 TILE_TYPE INT_L TILEPROP INT_L_X36Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y122 TILE_X 67278 TILEPROP INT_L_X36Y122 TILE_Y 153272 TILEPROP INT_L_X36Y122 TYPE INT_L TILEPROP INT_L_X36Y123 CLASS tile TILEPROP INT_L_X36Y123 COLUMN 92 TILEPROP INT_L_X36Y123 DEVICE_ID 0 TILEPROP INT_L_X36Y123 FIRST_SITE_ID 2866 TILEPROP INT_L_X36Y123 GRID_POINT_X 92 TILEPROP INT_L_X36Y123 GRID_POINT_Y 28 TILEPROP INT_L_X36Y123 INDEX 3312 TILEPROP INT_L_X36Y123 INT_TILE_X 36 TILEPROP INT_L_X36Y123 INT_TILE_Y 26 TILEPROP INT_L_X36Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y123 IS_DCM_TILE 0 TILEPROP INT_L_X36Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y123 NAME INT_L_X36Y123 TILEPROP INT_L_X36Y123 NUM_ARCS 3737 TILEPROP INT_L_X36Y123 NUM_SITES 1 TILEPROP INT_L_X36Y123 ROW 28 TILEPROP INT_L_X36Y123 SLR_REGION_ID 0 TILEPROP INT_L_X36Y123 TILE_PATTERN_IDX 4616 TILEPROP INT_L_X36Y123 TILE_TYPE INT_L TILEPROP INT_L_X36Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y123 TILE_X 67278 TILEPROP INT_L_X36Y123 TILE_Y 156472 TILEPROP INT_L_X36Y123 TYPE INT_L TILEPROP INT_L_X36Y124 CLASS tile TILEPROP INT_L_X36Y124 COLUMN 92 TILEPROP INT_L_X36Y124 DEVICE_ID 0 TILEPROP INT_L_X36Y124 FIRST_SITE_ID 2770 TILEPROP INT_L_X36Y124 GRID_POINT_X 92 TILEPROP INT_L_X36Y124 GRID_POINT_Y 27 TILEPROP INT_L_X36Y124 INDEX 3197 TILEPROP INT_L_X36Y124 INT_TILE_X 36 TILEPROP INT_L_X36Y124 INT_TILE_Y 25 TILEPROP INT_L_X36Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y124 IS_DCM_TILE 0 TILEPROP INT_L_X36Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y124 NAME INT_L_X36Y124 TILEPROP INT_L_X36Y124 NUM_ARCS 3737 TILEPROP INT_L_X36Y124 NUM_SITES 1 TILEPROP INT_L_X36Y124 ROW 27 TILEPROP INT_L_X36Y124 SLR_REGION_ID 0 TILEPROP INT_L_X36Y124 TILE_PATTERN_IDX 4579 TILEPROP INT_L_X36Y124 TILE_TYPE INT_L TILEPROP INT_L_X36Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y124 TILE_X 67278 TILEPROP INT_L_X36Y124 TILE_Y 159672 TILEPROP INT_L_X36Y124 TYPE INT_L TILEPROP INT_L_X36Y125 CLASS tile TILEPROP INT_L_X36Y125 COLUMN 92 TILEPROP INT_L_X36Y125 DEVICE_ID 0 TILEPROP INT_L_X36Y125 FIRST_SITE_ID 2611 TILEPROP INT_L_X36Y125 GRID_POINT_X 92 TILEPROP INT_L_X36Y125 GRID_POINT_Y 25 TILEPROP INT_L_X36Y125 INDEX 2967 TILEPROP INT_L_X36Y125 INT_TILE_X 36 TILEPROP INT_L_X36Y125 INT_TILE_Y 24 TILEPROP INT_L_X36Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y125 IS_DCM_TILE 0 TILEPROP INT_L_X36Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y125 NAME INT_L_X36Y125 TILEPROP INT_L_X36Y125 NUM_ARCS 3737 TILEPROP INT_L_X36Y125 NUM_SITES 1 TILEPROP INT_L_X36Y125 ROW 25 TILEPROP INT_L_X36Y125 SLR_REGION_ID 0 TILEPROP INT_L_X36Y125 TILE_PATTERN_IDX 4502 TILEPROP INT_L_X36Y125 TILE_TYPE INT_L TILEPROP INT_L_X36Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y125 TILE_X 67278 TILEPROP INT_L_X36Y125 TILE_Y 163896 TILEPROP INT_L_X36Y125 TYPE INT_L TILEPROP INT_L_X36Y126 CLASS tile TILEPROP INT_L_X36Y126 COLUMN 92 TILEPROP INT_L_X36Y126 DEVICE_ID 0 TILEPROP INT_L_X36Y126 FIRST_SITE_ID 2495 TILEPROP INT_L_X36Y126 GRID_POINT_X 92 TILEPROP INT_L_X36Y126 GRID_POINT_Y 24 TILEPROP INT_L_X36Y126 INDEX 2852 TILEPROP INT_L_X36Y126 INT_TILE_X 36 TILEPROP INT_L_X36Y126 INT_TILE_Y 23 TILEPROP INT_L_X36Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y126 IS_DCM_TILE 0 TILEPROP INT_L_X36Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y126 NAME INT_L_X36Y126 TILEPROP INT_L_X36Y126 NUM_ARCS 3737 TILEPROP INT_L_X36Y126 NUM_SITES 1 TILEPROP INT_L_X36Y126 ROW 24 TILEPROP INT_L_X36Y126 SLR_REGION_ID 0 TILEPROP INT_L_X36Y126 TILE_PATTERN_IDX 4463 TILEPROP INT_L_X36Y126 TILE_TYPE INT_L TILEPROP INT_L_X36Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y126 TILE_X 67278 TILEPROP INT_L_X36Y126 TILE_Y 167096 TILEPROP INT_L_X36Y126 TYPE INT_L TILEPROP INT_L_X36Y127 CLASS tile TILEPROP INT_L_X36Y127 COLUMN 92 TILEPROP INT_L_X36Y127 DEVICE_ID 0 TILEPROP INT_L_X36Y127 FIRST_SITE_ID 2399 TILEPROP INT_L_X36Y127 GRID_POINT_X 92 TILEPROP INT_L_X36Y127 GRID_POINT_Y 23 TILEPROP INT_L_X36Y127 INDEX 2737 TILEPROP INT_L_X36Y127 INT_TILE_X 36 TILEPROP INT_L_X36Y127 INT_TILE_Y 22 TILEPROP INT_L_X36Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y127 IS_DCM_TILE 0 TILEPROP INT_L_X36Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y127 NAME INT_L_X36Y127 TILEPROP INT_L_X36Y127 NUM_ARCS 3737 TILEPROP INT_L_X36Y127 NUM_SITES 1 TILEPROP INT_L_X36Y127 ROW 23 TILEPROP INT_L_X36Y127 SLR_REGION_ID 0 TILEPROP INT_L_X36Y127 TILE_PATTERN_IDX 4425 TILEPROP INT_L_X36Y127 TILE_TYPE INT_L TILEPROP INT_L_X36Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y127 TILE_X 67278 TILEPROP INT_L_X36Y127 TILE_Y 170296 TILEPROP INT_L_X36Y127 TYPE INT_L TILEPROP INT_L_X36Y128 CLASS tile TILEPROP INT_L_X36Y128 COLUMN 92 TILEPROP INT_L_X36Y128 DEVICE_ID 0 TILEPROP INT_L_X36Y128 FIRST_SITE_ID 2295 TILEPROP INT_L_X36Y128 GRID_POINT_X 92 TILEPROP INT_L_X36Y128 GRID_POINT_Y 22 TILEPROP INT_L_X36Y128 INDEX 2622 TILEPROP INT_L_X36Y128 INT_TILE_X 36 TILEPROP INT_L_X36Y128 INT_TILE_Y 21 TILEPROP INT_L_X36Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y128 IS_DCM_TILE 0 TILEPROP INT_L_X36Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y128 NAME INT_L_X36Y128 TILEPROP INT_L_X36Y128 NUM_ARCS 3737 TILEPROP INT_L_X36Y128 NUM_SITES 1 TILEPROP INT_L_X36Y128 ROW 22 TILEPROP INT_L_X36Y128 SLR_REGION_ID 0 TILEPROP INT_L_X36Y128 TILE_PATTERN_IDX 4386 TILEPROP INT_L_X36Y128 TILE_TYPE INT_L TILEPROP INT_L_X36Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y128 TILE_X 67278 TILEPROP INT_L_X36Y128 TILE_Y 173496 TILEPROP INT_L_X36Y128 TYPE INT_L TILEPROP INT_L_X36Y129 CLASS tile TILEPROP INT_L_X36Y129 COLUMN 92 TILEPROP INT_L_X36Y129 DEVICE_ID 0 TILEPROP INT_L_X36Y129 FIRST_SITE_ID 2199 TILEPROP INT_L_X36Y129 GRID_POINT_X 92 TILEPROP INT_L_X36Y129 GRID_POINT_Y 21 TILEPROP INT_L_X36Y129 INDEX 2507 TILEPROP INT_L_X36Y129 INT_TILE_X 36 TILEPROP INT_L_X36Y129 INT_TILE_Y 20 TILEPROP INT_L_X36Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y129 IS_DCM_TILE 0 TILEPROP INT_L_X36Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y129 NAME INT_L_X36Y129 TILEPROP INT_L_X36Y129 NUM_ARCS 3737 TILEPROP INT_L_X36Y129 NUM_SITES 1 TILEPROP INT_L_X36Y129 ROW 21 TILEPROP INT_L_X36Y129 SLR_REGION_ID 0 TILEPROP INT_L_X36Y129 TILE_PATTERN_IDX 4348 TILEPROP INT_L_X36Y129 TILE_TYPE INT_L TILEPROP INT_L_X36Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y129 TILE_X 67278 TILEPROP INT_L_X36Y129 TILE_Y 176696 TILEPROP INT_L_X36Y129 TYPE INT_L TILEPROP INT_L_X36Y130 CLASS tile TILEPROP INT_L_X36Y130 COLUMN 92 TILEPROP INT_L_X36Y130 DEVICE_ID 0 TILEPROP INT_L_X36Y130 FIRST_SITE_ID 2089 TILEPROP INT_L_X36Y130 GRID_POINT_X 92 TILEPROP INT_L_X36Y130 GRID_POINT_Y 20 TILEPROP INT_L_X36Y130 INDEX 2392 TILEPROP INT_L_X36Y130 INT_TILE_X 36 TILEPROP INT_L_X36Y130 INT_TILE_Y 19 TILEPROP INT_L_X36Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y130 IS_DCM_TILE 0 TILEPROP INT_L_X36Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y130 NAME INT_L_X36Y130 TILEPROP INT_L_X36Y130 NUM_ARCS 3737 TILEPROP INT_L_X36Y130 NUM_SITES 1 TILEPROP INT_L_X36Y130 ROW 20 TILEPROP INT_L_X36Y130 SLR_REGION_ID 0 TILEPROP INT_L_X36Y130 TILE_PATTERN_IDX 4308 TILEPROP INT_L_X36Y130 TILE_TYPE INT_L TILEPROP INT_L_X36Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y130 TILE_X 67278 TILEPROP INT_L_X36Y130 TILE_Y 179896 TILEPROP INT_L_X36Y130 TYPE INT_L TILEPROP INT_L_X36Y131 CLASS tile TILEPROP INT_L_X36Y131 COLUMN 92 TILEPROP INT_L_X36Y131 DEVICE_ID 0 TILEPROP INT_L_X36Y131 FIRST_SITE_ID 1981 TILEPROP INT_L_X36Y131 GRID_POINT_X 92 TILEPROP INT_L_X36Y131 GRID_POINT_Y 19 TILEPROP INT_L_X36Y131 INDEX 2277 TILEPROP INT_L_X36Y131 INT_TILE_X 36 TILEPROP INT_L_X36Y131 INT_TILE_Y 18 TILEPROP INT_L_X36Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y131 IS_DCM_TILE 0 TILEPROP INT_L_X36Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y131 NAME INT_L_X36Y131 TILEPROP INT_L_X36Y131 NUM_ARCS 3737 TILEPROP INT_L_X36Y131 NUM_SITES 1 TILEPROP INT_L_X36Y131 ROW 19 TILEPROP INT_L_X36Y131 SLR_REGION_ID 0 TILEPROP INT_L_X36Y131 TILE_PATTERN_IDX 4270 TILEPROP INT_L_X36Y131 TILE_TYPE INT_L TILEPROP INT_L_X36Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y131 TILE_X 67278 TILEPROP INT_L_X36Y131 TILE_Y 183096 TILEPROP INT_L_X36Y131 TYPE INT_L TILEPROP INT_L_X36Y132 CLASS tile TILEPROP INT_L_X36Y132 COLUMN 92 TILEPROP INT_L_X36Y132 DEVICE_ID 0 TILEPROP INT_L_X36Y132 FIRST_SITE_ID 1875 TILEPROP INT_L_X36Y132 GRID_POINT_X 92 TILEPROP INT_L_X36Y132 GRID_POINT_Y 18 TILEPROP INT_L_X36Y132 INDEX 2162 TILEPROP INT_L_X36Y132 INT_TILE_X 36 TILEPROP INT_L_X36Y132 INT_TILE_Y 17 TILEPROP INT_L_X36Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y132 IS_DCM_TILE 0 TILEPROP INT_L_X36Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y132 NAME INT_L_X36Y132 TILEPROP INT_L_X36Y132 NUM_ARCS 3737 TILEPROP INT_L_X36Y132 NUM_SITES 1 TILEPROP INT_L_X36Y132 ROW 18 TILEPROP INT_L_X36Y132 SLR_REGION_ID 0 TILEPROP INT_L_X36Y132 TILE_PATTERN_IDX 4231 TILEPROP INT_L_X36Y132 TILE_TYPE INT_L TILEPROP INT_L_X36Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y132 TILE_X 67278 TILEPROP INT_L_X36Y132 TILE_Y 186296 TILEPROP INT_L_X36Y132 TYPE INT_L TILEPROP INT_L_X36Y133 CLASS tile TILEPROP INT_L_X36Y133 COLUMN 92 TILEPROP INT_L_X36Y133 DEVICE_ID 0 TILEPROP INT_L_X36Y133 FIRST_SITE_ID 1774 TILEPROP INT_L_X36Y133 GRID_POINT_X 92 TILEPROP INT_L_X36Y133 GRID_POINT_Y 17 TILEPROP INT_L_X36Y133 INDEX 2047 TILEPROP INT_L_X36Y133 INT_TILE_X 36 TILEPROP INT_L_X36Y133 INT_TILE_Y 16 TILEPROP INT_L_X36Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y133 IS_DCM_TILE 0 TILEPROP INT_L_X36Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y133 NAME INT_L_X36Y133 TILEPROP INT_L_X36Y133 NUM_ARCS 3737 TILEPROP INT_L_X36Y133 NUM_SITES 1 TILEPROP INT_L_X36Y133 ROW 17 TILEPROP INT_L_X36Y133 SLR_REGION_ID 0 TILEPROP INT_L_X36Y133 TILE_PATTERN_IDX 4193 TILEPROP INT_L_X36Y133 TILE_TYPE INT_L TILEPROP INT_L_X36Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y133 TILE_X 67278 TILEPROP INT_L_X36Y133 TILE_Y 189496 TILEPROP INT_L_X36Y133 TYPE INT_L TILEPROP INT_L_X36Y134 CLASS tile TILEPROP INT_L_X36Y134 COLUMN 92 TILEPROP INT_L_X36Y134 DEVICE_ID 0 TILEPROP INT_L_X36Y134 FIRST_SITE_ID 1670 TILEPROP INT_L_X36Y134 GRID_POINT_X 92 TILEPROP INT_L_X36Y134 GRID_POINT_Y 16 TILEPROP INT_L_X36Y134 INDEX 1932 TILEPROP INT_L_X36Y134 INT_TILE_X 36 TILEPROP INT_L_X36Y134 INT_TILE_Y 15 TILEPROP INT_L_X36Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y134 IS_DCM_TILE 0 TILEPROP INT_L_X36Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y134 NAME INT_L_X36Y134 TILEPROP INT_L_X36Y134 NUM_ARCS 3737 TILEPROP INT_L_X36Y134 NUM_SITES 1 TILEPROP INT_L_X36Y134 ROW 16 TILEPROP INT_L_X36Y134 SLR_REGION_ID 0 TILEPROP INT_L_X36Y134 TILE_PATTERN_IDX 4154 TILEPROP INT_L_X36Y134 TILE_TYPE INT_L TILEPROP INT_L_X36Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y134 TILE_X 67278 TILEPROP INT_L_X36Y134 TILE_Y 192696 TILEPROP INT_L_X36Y134 TYPE INT_L TILEPROP INT_L_X36Y135 CLASS tile TILEPROP INT_L_X36Y135 COLUMN 92 TILEPROP INT_L_X36Y135 DEVICE_ID 0 TILEPROP INT_L_X36Y135 FIRST_SITE_ID 1574 TILEPROP INT_L_X36Y135 GRID_POINT_X 92 TILEPROP INT_L_X36Y135 GRID_POINT_Y 15 TILEPROP INT_L_X36Y135 INDEX 1817 TILEPROP INT_L_X36Y135 INT_TILE_X 36 TILEPROP INT_L_X36Y135 INT_TILE_Y 14 TILEPROP INT_L_X36Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y135 IS_DCM_TILE 0 TILEPROP INT_L_X36Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y135 NAME INT_L_X36Y135 TILEPROP INT_L_X36Y135 NUM_ARCS 3737 TILEPROP INT_L_X36Y135 NUM_SITES 1 TILEPROP INT_L_X36Y135 ROW 15 TILEPROP INT_L_X36Y135 SLR_REGION_ID 0 TILEPROP INT_L_X36Y135 TILE_PATTERN_IDX 4116 TILEPROP INT_L_X36Y135 TILE_TYPE INT_L TILEPROP INT_L_X36Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y135 TILE_X 67278 TILEPROP INT_L_X36Y135 TILE_Y 195896 TILEPROP INT_L_X36Y135 TYPE INT_L TILEPROP INT_L_X36Y136 CLASS tile TILEPROP INT_L_X36Y136 COLUMN 92 TILEPROP INT_L_X36Y136 DEVICE_ID 0 TILEPROP INT_L_X36Y136 FIRST_SITE_ID 1458 TILEPROP INT_L_X36Y136 GRID_POINT_X 92 TILEPROP INT_L_X36Y136 GRID_POINT_Y 14 TILEPROP INT_L_X36Y136 INDEX 1702 TILEPROP INT_L_X36Y136 INT_TILE_X 36 TILEPROP INT_L_X36Y136 INT_TILE_Y 13 TILEPROP INT_L_X36Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y136 IS_DCM_TILE 0 TILEPROP INT_L_X36Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y136 NAME INT_L_X36Y136 TILEPROP INT_L_X36Y136 NUM_ARCS 3737 TILEPROP INT_L_X36Y136 NUM_SITES 1 TILEPROP INT_L_X36Y136 ROW 14 TILEPROP INT_L_X36Y136 SLR_REGION_ID 0 TILEPROP INT_L_X36Y136 TILE_PATTERN_IDX 4077 TILEPROP INT_L_X36Y136 TILE_TYPE INT_L TILEPROP INT_L_X36Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y136 TILE_X 67278 TILEPROP INT_L_X36Y136 TILE_Y 199096 TILEPROP INT_L_X36Y136 TYPE INT_L TILEPROP INT_L_X36Y137 CLASS tile TILEPROP INT_L_X36Y137 COLUMN 92 TILEPROP INT_L_X36Y137 DEVICE_ID 0 TILEPROP INT_L_X36Y137 FIRST_SITE_ID 1330 TILEPROP INT_L_X36Y137 GRID_POINT_X 92 TILEPROP INT_L_X36Y137 GRID_POINT_Y 13 TILEPROP INT_L_X36Y137 INDEX 1587 TILEPROP INT_L_X36Y137 INT_TILE_X 36 TILEPROP INT_L_X36Y137 INT_TILE_Y 12 TILEPROP INT_L_X36Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y137 IS_DCM_TILE 0 TILEPROP INT_L_X36Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y137 NAME INT_L_X36Y137 TILEPROP INT_L_X36Y137 NUM_ARCS 3737 TILEPROP INT_L_X36Y137 NUM_SITES 1 TILEPROP INT_L_X36Y137 ROW 13 TILEPROP INT_L_X36Y137 SLR_REGION_ID 0 TILEPROP INT_L_X36Y137 TILE_PATTERN_IDX 4039 TILEPROP INT_L_X36Y137 TILE_TYPE INT_L TILEPROP INT_L_X36Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y137 TILE_X 67278 TILEPROP INT_L_X36Y137 TILE_Y 202296 TILEPROP INT_L_X36Y137 TYPE INT_L TILEPROP INT_L_X36Y138 CLASS tile TILEPROP INT_L_X36Y138 COLUMN 92 TILEPROP INT_L_X36Y138 DEVICE_ID 0 TILEPROP INT_L_X36Y138 FIRST_SITE_ID 1226 TILEPROP INT_L_X36Y138 GRID_POINT_X 92 TILEPROP INT_L_X36Y138 GRID_POINT_Y 12 TILEPROP INT_L_X36Y138 INDEX 1472 TILEPROP INT_L_X36Y138 INT_TILE_X 36 TILEPROP INT_L_X36Y138 INT_TILE_Y 11 TILEPROP INT_L_X36Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y138 IS_DCM_TILE 0 TILEPROP INT_L_X36Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y138 NAME INT_L_X36Y138 TILEPROP INT_L_X36Y138 NUM_ARCS 3737 TILEPROP INT_L_X36Y138 NUM_SITES 1 TILEPROP INT_L_X36Y138 ROW 12 TILEPROP INT_L_X36Y138 SLR_REGION_ID 0 TILEPROP INT_L_X36Y138 TILE_PATTERN_IDX 4000 TILEPROP INT_L_X36Y138 TILE_TYPE INT_L TILEPROP INT_L_X36Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y138 TILE_X 67278 TILEPROP INT_L_X36Y138 TILE_Y 205496 TILEPROP INT_L_X36Y138 TYPE INT_L TILEPROP INT_L_X36Y139 CLASS tile TILEPROP INT_L_X36Y139 COLUMN 92 TILEPROP INT_L_X36Y139 DEVICE_ID 0 TILEPROP INT_L_X36Y139 FIRST_SITE_ID 1130 TILEPROP INT_L_X36Y139 GRID_POINT_X 92 TILEPROP INT_L_X36Y139 GRID_POINT_Y 11 TILEPROP INT_L_X36Y139 INDEX 1357 TILEPROP INT_L_X36Y139 INT_TILE_X 36 TILEPROP INT_L_X36Y139 INT_TILE_Y 10 TILEPROP INT_L_X36Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y139 IS_DCM_TILE 0 TILEPROP INT_L_X36Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y139 NAME INT_L_X36Y139 TILEPROP INT_L_X36Y139 NUM_ARCS 3737 TILEPROP INT_L_X36Y139 NUM_SITES 1 TILEPROP INT_L_X36Y139 ROW 11 TILEPROP INT_L_X36Y139 SLR_REGION_ID 0 TILEPROP INT_L_X36Y139 TILE_PATTERN_IDX 3962 TILEPROP INT_L_X36Y139 TILE_TYPE INT_L TILEPROP INT_L_X36Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y139 TILE_X 67278 TILEPROP INT_L_X36Y139 TILE_Y 208696 TILEPROP INT_L_X36Y139 TYPE INT_L TILEPROP INT_L_X36Y140 CLASS tile TILEPROP INT_L_X36Y140 COLUMN 92 TILEPROP INT_L_X36Y140 DEVICE_ID 0 TILEPROP INT_L_X36Y140 FIRST_SITE_ID 1026 TILEPROP INT_L_X36Y140 GRID_POINT_X 92 TILEPROP INT_L_X36Y140 GRID_POINT_Y 10 TILEPROP INT_L_X36Y140 INDEX 1242 TILEPROP INT_L_X36Y140 INT_TILE_X 36 TILEPROP INT_L_X36Y140 INT_TILE_Y 9 TILEPROP INT_L_X36Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y140 IS_DCM_TILE 0 TILEPROP INT_L_X36Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y140 NAME INT_L_X36Y140 TILEPROP INT_L_X36Y140 NUM_ARCS 3737 TILEPROP INT_L_X36Y140 NUM_SITES 1 TILEPROP INT_L_X36Y140 ROW 10 TILEPROP INT_L_X36Y140 SLR_REGION_ID 0 TILEPROP INT_L_X36Y140 TILE_PATTERN_IDX 3923 TILEPROP INT_L_X36Y140 TILE_TYPE INT_L TILEPROP INT_L_X36Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y140 TILE_X 67278 TILEPROP INT_L_X36Y140 TILE_Y 211896 TILEPROP INT_L_X36Y140 TYPE INT_L TILEPROP INT_L_X36Y141 CLASS tile TILEPROP INT_L_X36Y141 COLUMN 92 TILEPROP INT_L_X36Y141 DEVICE_ID 0 TILEPROP INT_L_X36Y141 FIRST_SITE_ID 918 TILEPROP INT_L_X36Y141 GRID_POINT_X 92 TILEPROP INT_L_X36Y141 GRID_POINT_Y 9 TILEPROP INT_L_X36Y141 INDEX 1127 TILEPROP INT_L_X36Y141 INT_TILE_X 36 TILEPROP INT_L_X36Y141 INT_TILE_Y 8 TILEPROP INT_L_X36Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y141 IS_DCM_TILE 0 TILEPROP INT_L_X36Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y141 NAME INT_L_X36Y141 TILEPROP INT_L_X36Y141 NUM_ARCS 3737 TILEPROP INT_L_X36Y141 NUM_SITES 1 TILEPROP INT_L_X36Y141 ROW 9 TILEPROP INT_L_X36Y141 SLR_REGION_ID 0 TILEPROP INT_L_X36Y141 TILE_PATTERN_IDX 3885 TILEPROP INT_L_X36Y141 TILE_TYPE INT_L TILEPROP INT_L_X36Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y141 TILE_X 67278 TILEPROP INT_L_X36Y141 TILE_Y 215096 TILEPROP INT_L_X36Y141 TYPE INT_L TILEPROP INT_L_X36Y142 CLASS tile TILEPROP INT_L_X36Y142 COLUMN 92 TILEPROP INT_L_X36Y142 DEVICE_ID 0 TILEPROP INT_L_X36Y142 FIRST_SITE_ID 814 TILEPROP INT_L_X36Y142 GRID_POINT_X 92 TILEPROP INT_L_X36Y142 GRID_POINT_Y 8 TILEPROP INT_L_X36Y142 INDEX 1012 TILEPROP INT_L_X36Y142 INT_TILE_X 36 TILEPROP INT_L_X36Y142 INT_TILE_Y 7 TILEPROP INT_L_X36Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y142 IS_DCM_TILE 0 TILEPROP INT_L_X36Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y142 NAME INT_L_X36Y142 TILEPROP INT_L_X36Y142 NUM_ARCS 3737 TILEPROP INT_L_X36Y142 NUM_SITES 1 TILEPROP INT_L_X36Y142 ROW 8 TILEPROP INT_L_X36Y142 SLR_REGION_ID 0 TILEPROP INT_L_X36Y142 TILE_PATTERN_IDX 3846 TILEPROP INT_L_X36Y142 TILE_TYPE INT_L TILEPROP INT_L_X36Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y142 TILE_X 67278 TILEPROP INT_L_X36Y142 TILE_Y 218296 TILEPROP INT_L_X36Y142 TYPE INT_L TILEPROP INT_L_X36Y143 CLASS tile TILEPROP INT_L_X36Y143 COLUMN 92 TILEPROP INT_L_X36Y143 DEVICE_ID 0 TILEPROP INT_L_X36Y143 FIRST_SITE_ID 717 TILEPROP INT_L_X36Y143 GRID_POINT_X 92 TILEPROP INT_L_X36Y143 GRID_POINT_Y 7 TILEPROP INT_L_X36Y143 INDEX 897 TILEPROP INT_L_X36Y143 INT_TILE_X 36 TILEPROP INT_L_X36Y143 INT_TILE_Y 6 TILEPROP INT_L_X36Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y143 IS_DCM_TILE 0 TILEPROP INT_L_X36Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y143 NAME INT_L_X36Y143 TILEPROP INT_L_X36Y143 NUM_ARCS 3737 TILEPROP INT_L_X36Y143 NUM_SITES 1 TILEPROP INT_L_X36Y143 ROW 7 TILEPROP INT_L_X36Y143 SLR_REGION_ID 0 TILEPROP INT_L_X36Y143 TILE_PATTERN_IDX 3808 TILEPROP INT_L_X36Y143 TILE_TYPE INT_L TILEPROP INT_L_X36Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y143 TILE_X 67278 TILEPROP INT_L_X36Y143 TILE_Y 221496 TILEPROP INT_L_X36Y143 TYPE INT_L TILEPROP INT_L_X36Y144 CLASS tile TILEPROP INT_L_X36Y144 COLUMN 92 TILEPROP INT_L_X36Y144 DEVICE_ID 0 TILEPROP INT_L_X36Y144 FIRST_SITE_ID 606 TILEPROP INT_L_X36Y144 GRID_POINT_X 92 TILEPROP INT_L_X36Y144 GRID_POINT_Y 6 TILEPROP INT_L_X36Y144 INDEX 782 TILEPROP INT_L_X36Y144 INT_TILE_X 36 TILEPROP INT_L_X36Y144 INT_TILE_Y 5 TILEPROP INT_L_X36Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y144 IS_DCM_TILE 0 TILEPROP INT_L_X36Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y144 NAME INT_L_X36Y144 TILEPROP INT_L_X36Y144 NUM_ARCS 3737 TILEPROP INT_L_X36Y144 NUM_SITES 1 TILEPROP INT_L_X36Y144 ROW 6 TILEPROP INT_L_X36Y144 SLR_REGION_ID 0 TILEPROP INT_L_X36Y144 TILE_PATTERN_IDX 3769 TILEPROP INT_L_X36Y144 TILE_TYPE INT_L TILEPROP INT_L_X36Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y144 TILE_X 67278 TILEPROP INT_L_X36Y144 TILE_Y 224696 TILEPROP INT_L_X36Y144 TYPE INT_L TILEPROP INT_L_X36Y145 CLASS tile TILEPROP INT_L_X36Y145 COLUMN 92 TILEPROP INT_L_X36Y145 DEVICE_ID 0 TILEPROP INT_L_X36Y145 FIRST_SITE_ID 510 TILEPROP INT_L_X36Y145 GRID_POINT_X 92 TILEPROP INT_L_X36Y145 GRID_POINT_Y 5 TILEPROP INT_L_X36Y145 INDEX 667 TILEPROP INT_L_X36Y145 INT_TILE_X 36 TILEPROP INT_L_X36Y145 INT_TILE_Y 4 TILEPROP INT_L_X36Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y145 IS_DCM_TILE 0 TILEPROP INT_L_X36Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y145 NAME INT_L_X36Y145 TILEPROP INT_L_X36Y145 NUM_ARCS 3737 TILEPROP INT_L_X36Y145 NUM_SITES 1 TILEPROP INT_L_X36Y145 ROW 5 TILEPROP INT_L_X36Y145 SLR_REGION_ID 0 TILEPROP INT_L_X36Y145 TILE_PATTERN_IDX 3731 TILEPROP INT_L_X36Y145 TILE_TYPE INT_L TILEPROP INT_L_X36Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y145 TILE_X 67278 TILEPROP INT_L_X36Y145 TILE_Y 227896 TILEPROP INT_L_X36Y145 TYPE INT_L TILEPROP INT_L_X36Y146 CLASS tile TILEPROP INT_L_X36Y146 COLUMN 92 TILEPROP INT_L_X36Y146 DEVICE_ID 0 TILEPROP INT_L_X36Y146 FIRST_SITE_ID 394 TILEPROP INT_L_X36Y146 GRID_POINT_X 92 TILEPROP INT_L_X36Y146 GRID_POINT_Y 4 TILEPROP INT_L_X36Y146 INDEX 552 TILEPROP INT_L_X36Y146 INT_TILE_X 36 TILEPROP INT_L_X36Y146 INT_TILE_Y 3 TILEPROP INT_L_X36Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y146 IS_DCM_TILE 0 TILEPROP INT_L_X36Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y146 NAME INT_L_X36Y146 TILEPROP INT_L_X36Y146 NUM_ARCS 3737 TILEPROP INT_L_X36Y146 NUM_SITES 1 TILEPROP INT_L_X36Y146 ROW 4 TILEPROP INT_L_X36Y146 SLR_REGION_ID 0 TILEPROP INT_L_X36Y146 TILE_PATTERN_IDX 3692 TILEPROP INT_L_X36Y146 TILE_TYPE INT_L TILEPROP INT_L_X36Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y146 TILE_X 67278 TILEPROP INT_L_X36Y146 TILE_Y 231096 TILEPROP INT_L_X36Y146 TYPE INT_L TILEPROP INT_L_X36Y147 CLASS tile TILEPROP INT_L_X36Y147 COLUMN 92 TILEPROP INT_L_X36Y147 DEVICE_ID 0 TILEPROP INT_L_X36Y147 FIRST_SITE_ID 298 TILEPROP INT_L_X36Y147 GRID_POINT_X 92 TILEPROP INT_L_X36Y147 GRID_POINT_Y 3 TILEPROP INT_L_X36Y147 INDEX 437 TILEPROP INT_L_X36Y147 INT_TILE_X 36 TILEPROP INT_L_X36Y147 INT_TILE_Y 2 TILEPROP INT_L_X36Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y147 IS_DCM_TILE 0 TILEPROP INT_L_X36Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y147 NAME INT_L_X36Y147 TILEPROP INT_L_X36Y147 NUM_ARCS 3737 TILEPROP INT_L_X36Y147 NUM_SITES 1 TILEPROP INT_L_X36Y147 ROW 3 TILEPROP INT_L_X36Y147 SLR_REGION_ID 0 TILEPROP INT_L_X36Y147 TILE_PATTERN_IDX 3654 TILEPROP INT_L_X36Y147 TILE_TYPE INT_L TILEPROP INT_L_X36Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y147 TILE_X 67278 TILEPROP INT_L_X36Y147 TILE_Y 234296 TILEPROP INT_L_X36Y147 TYPE INT_L TILEPROP INT_L_X36Y148 CLASS tile TILEPROP INT_L_X36Y148 COLUMN 92 TILEPROP INT_L_X36Y148 DEVICE_ID 0 TILEPROP INT_L_X36Y148 FIRST_SITE_ID 194 TILEPROP INT_L_X36Y148 GRID_POINT_X 92 TILEPROP INT_L_X36Y148 GRID_POINT_Y 2 TILEPROP INT_L_X36Y148 INDEX 322 TILEPROP INT_L_X36Y148 INT_TILE_X 36 TILEPROP INT_L_X36Y148 INT_TILE_Y 1 TILEPROP INT_L_X36Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y148 IS_DCM_TILE 0 TILEPROP INT_L_X36Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y148 NAME INT_L_X36Y148 TILEPROP INT_L_X36Y148 NUM_ARCS 3737 TILEPROP INT_L_X36Y148 NUM_SITES 1 TILEPROP INT_L_X36Y148 ROW 2 TILEPROP INT_L_X36Y148 SLR_REGION_ID 0 TILEPROP INT_L_X36Y148 TILE_PATTERN_IDX 3615 TILEPROP INT_L_X36Y148 TILE_TYPE INT_L TILEPROP INT_L_X36Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y148 TILE_X 67278 TILEPROP INT_L_X36Y148 TILE_Y 237496 TILEPROP INT_L_X36Y148 TYPE INT_L TILEPROP INT_L_X36Y149 CLASS tile TILEPROP INT_L_X36Y149 COLUMN 92 TILEPROP INT_L_X36Y149 DEVICE_ID 0 TILEPROP INT_L_X36Y149 FIRST_SITE_ID 98 TILEPROP INT_L_X36Y149 GRID_POINT_X 92 TILEPROP INT_L_X36Y149 GRID_POINT_Y 1 TILEPROP INT_L_X36Y149 INDEX 207 TILEPROP INT_L_X36Y149 INT_TILE_X 36 TILEPROP INT_L_X36Y149 INT_TILE_Y 0 TILEPROP INT_L_X36Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X36Y149 IS_DCM_TILE 0 TILEPROP INT_L_X36Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X36Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X36Y149 NAME INT_L_X36Y149 TILEPROP INT_L_X36Y149 NUM_ARCS 3737 TILEPROP INT_L_X36Y149 NUM_SITES 1 TILEPROP INT_L_X36Y149 ROW 1 TILEPROP INT_L_X36Y149 SLR_REGION_ID 0 TILEPROP INT_L_X36Y149 TILE_PATTERN_IDX 3577 TILEPROP INT_L_X36Y149 TILE_TYPE INT_L TILEPROP INT_L_X36Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X36Y149 TILE_X 67278 TILEPROP INT_L_X36Y149 TILE_Y 240696 TILEPROP INT_L_X36Y149 TYPE INT_L TILEPROP INT_L_X38Y0 CLASS tile TILEPROP INT_L_X38Y0 COLUMN 98 TILEPROP INT_L_X38Y0 DEVICE_ID 0 TILEPROP INT_L_X38Y0 FIRST_SITE_ID 15801 TILEPROP INT_L_X38Y0 GRID_POINT_X 98 TILEPROP INT_L_X38Y0 GRID_POINT_Y 155 TILEPROP INT_L_X38Y0 INDEX 17923 TILEPROP INT_L_X38Y0 INT_TILE_X 38 TILEPROP INT_L_X38Y0 INT_TILE_Y 149 TILEPROP INT_L_X38Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y0 IS_DCM_TILE 0 TILEPROP INT_L_X38Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y0 NAME INT_L_X38Y0 TILEPROP INT_L_X38Y0 NUM_ARCS 3737 TILEPROP INT_L_X38Y0 NUM_SITES 1 TILEPROP INT_L_X38Y0 ROW 155 TILEPROP INT_L_X38Y0 SLR_REGION_ID 0 TILEPROP INT_L_X38Y0 TILE_PATTERN_IDX 3532 TILEPROP INT_L_X38Y0 TILE_TYPE INT_L TILEPROP INT_L_X38Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y0 TILE_X 79390 TILEPROP INT_L_X38Y0 TILE_Y -239672 TILEPROP INT_L_X38Y0 TYPE INT_L TILEPROP INT_L_X38Y1 CLASS tile TILEPROP INT_L_X38Y1 COLUMN 98 TILEPROP INT_L_X38Y1 DEVICE_ID 0 TILEPROP INT_L_X38Y1 FIRST_SITE_ID 15682 TILEPROP INT_L_X38Y1 GRID_POINT_X 98 TILEPROP INT_L_X38Y1 GRID_POINT_Y 154 TILEPROP INT_L_X38Y1 INDEX 17808 TILEPROP INT_L_X38Y1 INT_TILE_X 38 TILEPROP INT_L_X38Y1 INT_TILE_Y 148 TILEPROP INT_L_X38Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y1 IS_DCM_TILE 0 TILEPROP INT_L_X38Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y1 NAME INT_L_X38Y1 TILEPROP INT_L_X38Y1 NUM_ARCS 3737 TILEPROP INT_L_X38Y1 NUM_SITES 1 TILEPROP INT_L_X38Y1 ROW 154 TILEPROP INT_L_X38Y1 SLR_REGION_ID 0 TILEPROP INT_L_X38Y1 TILE_PATTERN_IDX 3490 TILEPROP INT_L_X38Y1 TILE_TYPE INT_L TILEPROP INT_L_X38Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y1 TILE_X 79390 TILEPROP INT_L_X38Y1 TILE_Y -236472 TILEPROP INT_L_X38Y1 TYPE INT_L TILEPROP INT_L_X38Y2 CLASS tile TILEPROP INT_L_X38Y2 COLUMN 98 TILEPROP INT_L_X38Y2 DEVICE_ID 0 TILEPROP INT_L_X38Y2 FIRST_SITE_ID 15581 TILEPROP INT_L_X38Y2 GRID_POINT_X 98 TILEPROP INT_L_X38Y2 GRID_POINT_Y 153 TILEPROP INT_L_X38Y2 INDEX 17693 TILEPROP INT_L_X38Y2 INT_TILE_X 38 TILEPROP INT_L_X38Y2 INT_TILE_Y 147 TILEPROP INT_L_X38Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y2 IS_DCM_TILE 0 TILEPROP INT_L_X38Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y2 NAME INT_L_X38Y2 TILEPROP INT_L_X38Y2 NUM_ARCS 3737 TILEPROP INT_L_X38Y2 NUM_SITES 1 TILEPROP INT_L_X38Y2 ROW 153 TILEPROP INT_L_X38Y2 SLR_REGION_ID 0 TILEPROP INT_L_X38Y2 TILE_PATTERN_IDX 3453 TILEPROP INT_L_X38Y2 TILE_TYPE INT_L TILEPROP INT_L_X38Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y2 TILE_X 79390 TILEPROP INT_L_X38Y2 TILE_Y -233272 TILEPROP INT_L_X38Y2 TYPE INT_L TILEPROP INT_L_X38Y3 CLASS tile TILEPROP INT_L_X38Y3 COLUMN 98 TILEPROP INT_L_X38Y3 DEVICE_ID 0 TILEPROP INT_L_X38Y3 FIRST_SITE_ID 15481 TILEPROP INT_L_X38Y3 GRID_POINT_X 98 TILEPROP INT_L_X38Y3 GRID_POINT_Y 152 TILEPROP INT_L_X38Y3 INDEX 17578 TILEPROP INT_L_X38Y3 INT_TILE_X 38 TILEPROP INT_L_X38Y3 INT_TILE_Y 146 TILEPROP INT_L_X38Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y3 IS_DCM_TILE 0 TILEPROP INT_L_X38Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y3 NAME INT_L_X38Y3 TILEPROP INT_L_X38Y3 NUM_ARCS 3737 TILEPROP INT_L_X38Y3 NUM_SITES 1 TILEPROP INT_L_X38Y3 ROW 152 TILEPROP INT_L_X38Y3 SLR_REGION_ID 0 TILEPROP INT_L_X38Y3 TILE_PATTERN_IDX 3416 TILEPROP INT_L_X38Y3 TILE_TYPE INT_L TILEPROP INT_L_X38Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y3 TILE_X 79390 TILEPROP INT_L_X38Y3 TILE_Y -230072 TILEPROP INT_L_X38Y3 TYPE INT_L TILEPROP INT_L_X38Y4 CLASS tile TILEPROP INT_L_X38Y4 COLUMN 98 TILEPROP INT_L_X38Y4 DEVICE_ID 0 TILEPROP INT_L_X38Y4 FIRST_SITE_ID 15381 TILEPROP INT_L_X38Y4 GRID_POINT_X 98 TILEPROP INT_L_X38Y4 GRID_POINT_Y 151 TILEPROP INT_L_X38Y4 INDEX 17463 TILEPROP INT_L_X38Y4 INT_TILE_X 38 TILEPROP INT_L_X38Y4 INT_TILE_Y 145 TILEPROP INT_L_X38Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y4 IS_DCM_TILE 0 TILEPROP INT_L_X38Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y4 NAME INT_L_X38Y4 TILEPROP INT_L_X38Y4 NUM_ARCS 3737 TILEPROP INT_L_X38Y4 NUM_SITES 1 TILEPROP INT_L_X38Y4 ROW 151 TILEPROP INT_L_X38Y4 SLR_REGION_ID 0 TILEPROP INT_L_X38Y4 TILE_PATTERN_IDX 3380 TILEPROP INT_L_X38Y4 TILE_TYPE INT_L TILEPROP INT_L_X38Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y4 TILE_X 79390 TILEPROP INT_L_X38Y4 TILE_Y -226872 TILEPROP INT_L_X38Y4 TYPE INT_L TILEPROP INT_L_X38Y5 CLASS tile TILEPROP INT_L_X38Y5 COLUMN 98 TILEPROP INT_L_X38Y5 DEVICE_ID 0 TILEPROP INT_L_X38Y5 FIRST_SITE_ID 15281 TILEPROP INT_L_X38Y5 GRID_POINT_X 98 TILEPROP INT_L_X38Y5 GRID_POINT_Y 150 TILEPROP INT_L_X38Y5 INDEX 17348 TILEPROP INT_L_X38Y5 INT_TILE_X 38 TILEPROP INT_L_X38Y5 INT_TILE_Y 144 TILEPROP INT_L_X38Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y5 IS_DCM_TILE 0 TILEPROP INT_L_X38Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y5 NAME INT_L_X38Y5 TILEPROP INT_L_X38Y5 NUM_ARCS 3737 TILEPROP INT_L_X38Y5 NUM_SITES 1 TILEPROP INT_L_X38Y5 ROW 150 TILEPROP INT_L_X38Y5 SLR_REGION_ID 0 TILEPROP INT_L_X38Y5 TILE_PATTERN_IDX 3343 TILEPROP INT_L_X38Y5 TILE_TYPE INT_L TILEPROP INT_L_X38Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y5 TILE_X 79390 TILEPROP INT_L_X38Y5 TILE_Y -223672 TILEPROP INT_L_X38Y5 TYPE INT_L TILEPROP INT_L_X38Y6 CLASS tile TILEPROP INT_L_X38Y6 COLUMN 98 TILEPROP INT_L_X38Y6 DEVICE_ID 0 TILEPROP INT_L_X38Y6 FIRST_SITE_ID 15166 TILEPROP INT_L_X38Y6 GRID_POINT_X 98 TILEPROP INT_L_X38Y6 GRID_POINT_Y 149 TILEPROP INT_L_X38Y6 INDEX 17233 TILEPROP INT_L_X38Y6 INT_TILE_X 38 TILEPROP INT_L_X38Y6 INT_TILE_Y 143 TILEPROP INT_L_X38Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y6 IS_DCM_TILE 0 TILEPROP INT_L_X38Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y6 NAME INT_L_X38Y6 TILEPROP INT_L_X38Y6 NUM_ARCS 3737 TILEPROP INT_L_X38Y6 NUM_SITES 1 TILEPROP INT_L_X38Y6 ROW 149 TILEPROP INT_L_X38Y6 SLR_REGION_ID 0 TILEPROP INT_L_X38Y6 TILE_PATTERN_IDX 3305 TILEPROP INT_L_X38Y6 TILE_TYPE INT_L TILEPROP INT_L_X38Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y6 TILE_X 79390 TILEPROP INT_L_X38Y6 TILE_Y -220472 TILEPROP INT_L_X38Y6 TYPE INT_L TILEPROP INT_L_X38Y7 CLASS tile TILEPROP INT_L_X38Y7 COLUMN 98 TILEPROP INT_L_X38Y7 DEVICE_ID 0 TILEPROP INT_L_X38Y7 FIRST_SITE_ID 15064 TILEPROP INT_L_X38Y7 GRID_POINT_X 98 TILEPROP INT_L_X38Y7 GRID_POINT_Y 148 TILEPROP INT_L_X38Y7 INDEX 17118 TILEPROP INT_L_X38Y7 INT_TILE_X 38 TILEPROP INT_L_X38Y7 INT_TILE_Y 142 TILEPROP INT_L_X38Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y7 IS_DCM_TILE 0 TILEPROP INT_L_X38Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y7 NAME INT_L_X38Y7 TILEPROP INT_L_X38Y7 NUM_ARCS 3737 TILEPROP INT_L_X38Y7 NUM_SITES 1 TILEPROP INT_L_X38Y7 ROW 148 TILEPROP INT_L_X38Y7 SLR_REGION_ID 0 TILEPROP INT_L_X38Y7 TILE_PATTERN_IDX 3267 TILEPROP INT_L_X38Y7 TILE_TYPE INT_L TILEPROP INT_L_X38Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y7 TILE_X 79390 TILEPROP INT_L_X38Y7 TILE_Y -217272 TILEPROP INT_L_X38Y7 TYPE INT_L TILEPROP INT_L_X38Y8 CLASS tile TILEPROP INT_L_X38Y8 COLUMN 98 TILEPROP INT_L_X38Y8 DEVICE_ID 0 TILEPROP INT_L_X38Y8 FIRST_SITE_ID 14961 TILEPROP INT_L_X38Y8 GRID_POINT_X 98 TILEPROP INT_L_X38Y8 GRID_POINT_Y 147 TILEPROP INT_L_X38Y8 INDEX 17003 TILEPROP INT_L_X38Y8 INT_TILE_X 38 TILEPROP INT_L_X38Y8 INT_TILE_Y 141 TILEPROP INT_L_X38Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y8 IS_DCM_TILE 0 TILEPROP INT_L_X38Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y8 NAME INT_L_X38Y8 TILEPROP INT_L_X38Y8 NUM_ARCS 3737 TILEPROP INT_L_X38Y8 NUM_SITES 1 TILEPROP INT_L_X38Y8 ROW 147 TILEPROP INT_L_X38Y8 SLR_REGION_ID 0 TILEPROP INT_L_X38Y8 TILE_PATTERN_IDX 3230 TILEPROP INT_L_X38Y8 TILE_TYPE INT_L TILEPROP INT_L_X38Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y8 TILE_X 79390 TILEPROP INT_L_X38Y8 TILE_Y -214072 TILEPROP INT_L_X38Y8 TYPE INT_L TILEPROP INT_L_X38Y9 CLASS tile TILEPROP INT_L_X38Y9 COLUMN 98 TILEPROP INT_L_X38Y9 DEVICE_ID 0 TILEPROP INT_L_X38Y9 FIRST_SITE_ID 14860 TILEPROP INT_L_X38Y9 GRID_POINT_X 98 TILEPROP INT_L_X38Y9 GRID_POINT_Y 146 TILEPROP INT_L_X38Y9 INDEX 16888 TILEPROP INT_L_X38Y9 INT_TILE_X 38 TILEPROP INT_L_X38Y9 INT_TILE_Y 140 TILEPROP INT_L_X38Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y9 IS_DCM_TILE 0 TILEPROP INT_L_X38Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y9 NAME INT_L_X38Y9 TILEPROP INT_L_X38Y9 NUM_ARCS 3737 TILEPROP INT_L_X38Y9 NUM_SITES 1 TILEPROP INT_L_X38Y9 ROW 146 TILEPROP INT_L_X38Y9 SLR_REGION_ID 0 TILEPROP INT_L_X38Y9 TILE_PATTERN_IDX 3193 TILEPROP INT_L_X38Y9 TILE_TYPE INT_L TILEPROP INT_L_X38Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y9 TILE_X 79390 TILEPROP INT_L_X38Y9 TILE_Y -210872 TILEPROP INT_L_X38Y9 TYPE INT_L TILEPROP INT_L_X38Y10 CLASS tile TILEPROP INT_L_X38Y10 COLUMN 98 TILEPROP INT_L_X38Y10 DEVICE_ID 0 TILEPROP INT_L_X38Y10 FIRST_SITE_ID 14760 TILEPROP INT_L_X38Y10 GRID_POINT_X 98 TILEPROP INT_L_X38Y10 GRID_POINT_Y 145 TILEPROP INT_L_X38Y10 INDEX 16773 TILEPROP INT_L_X38Y10 INT_TILE_X 38 TILEPROP INT_L_X38Y10 INT_TILE_Y 139 TILEPROP INT_L_X38Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y10 IS_DCM_TILE 0 TILEPROP INT_L_X38Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y10 NAME INT_L_X38Y10 TILEPROP INT_L_X38Y10 NUM_ARCS 3737 TILEPROP INT_L_X38Y10 NUM_SITES 1 TILEPROP INT_L_X38Y10 ROW 145 TILEPROP INT_L_X38Y10 SLR_REGION_ID 0 TILEPROP INT_L_X38Y10 TILE_PATTERN_IDX 3158 TILEPROP INT_L_X38Y10 TILE_TYPE INT_L TILEPROP INT_L_X38Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y10 TILE_X 79390 TILEPROP INT_L_X38Y10 TILE_Y -207672 TILEPROP INT_L_X38Y10 TYPE INT_L TILEPROP INT_L_X38Y11 CLASS tile TILEPROP INT_L_X38Y11 COLUMN 98 TILEPROP INT_L_X38Y11 DEVICE_ID 0 TILEPROP INT_L_X38Y11 FIRST_SITE_ID 14645 TILEPROP INT_L_X38Y11 GRID_POINT_X 98 TILEPROP INT_L_X38Y11 GRID_POINT_Y 144 TILEPROP INT_L_X38Y11 INDEX 16658 TILEPROP INT_L_X38Y11 INT_TILE_X 38 TILEPROP INT_L_X38Y11 INT_TILE_Y 138 TILEPROP INT_L_X38Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y11 IS_DCM_TILE 0 TILEPROP INT_L_X38Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y11 NAME INT_L_X38Y11 TILEPROP INT_L_X38Y11 NUM_ARCS 3737 TILEPROP INT_L_X38Y11 NUM_SITES 1 TILEPROP INT_L_X38Y11 ROW 144 TILEPROP INT_L_X38Y11 SLR_REGION_ID 0 TILEPROP INT_L_X38Y11 TILE_PATTERN_IDX 3120 TILEPROP INT_L_X38Y11 TILE_TYPE INT_L TILEPROP INT_L_X38Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y11 TILE_X 79390 TILEPROP INT_L_X38Y11 TILE_Y -204472 TILEPROP INT_L_X38Y11 TYPE INT_L TILEPROP INT_L_X38Y12 CLASS tile TILEPROP INT_L_X38Y12 COLUMN 98 TILEPROP INT_L_X38Y12 DEVICE_ID 0 TILEPROP INT_L_X38Y12 FIRST_SITE_ID 14545 TILEPROP INT_L_X38Y12 GRID_POINT_X 98 TILEPROP INT_L_X38Y12 GRID_POINT_Y 143 TILEPROP INT_L_X38Y12 INDEX 16543 TILEPROP INT_L_X38Y12 INT_TILE_X 38 TILEPROP INT_L_X38Y12 INT_TILE_Y 137 TILEPROP INT_L_X38Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y12 IS_DCM_TILE 0 TILEPROP INT_L_X38Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y12 NAME INT_L_X38Y12 TILEPROP INT_L_X38Y12 NUM_ARCS 3737 TILEPROP INT_L_X38Y12 NUM_SITES 1 TILEPROP INT_L_X38Y12 ROW 143 TILEPROP INT_L_X38Y12 SLR_REGION_ID 0 TILEPROP INT_L_X38Y12 TILE_PATTERN_IDX 3085 TILEPROP INT_L_X38Y12 TILE_TYPE INT_L TILEPROP INT_L_X38Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y12 TILE_X 79390 TILEPROP INT_L_X38Y12 TILE_Y -201272 TILEPROP INT_L_X38Y12 TYPE INT_L TILEPROP INT_L_X38Y13 CLASS tile TILEPROP INT_L_X38Y13 COLUMN 98 TILEPROP INT_L_X38Y13 DEVICE_ID 0 TILEPROP INT_L_X38Y13 FIRST_SITE_ID 14413 TILEPROP INT_L_X38Y13 GRID_POINT_X 98 TILEPROP INT_L_X38Y13 GRID_POINT_Y 142 TILEPROP INT_L_X38Y13 INDEX 16428 TILEPROP INT_L_X38Y13 INT_TILE_X 38 TILEPROP INT_L_X38Y13 INT_TILE_Y 136 TILEPROP INT_L_X38Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y13 IS_DCM_TILE 0 TILEPROP INT_L_X38Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y13 NAME INT_L_X38Y13 TILEPROP INT_L_X38Y13 NUM_ARCS 3737 TILEPROP INT_L_X38Y13 NUM_SITES 1 TILEPROP INT_L_X38Y13 ROW 142 TILEPROP INT_L_X38Y13 SLR_REGION_ID 0 TILEPROP INT_L_X38Y13 TILE_PATTERN_IDX 3048 TILEPROP INT_L_X38Y13 TILE_TYPE INT_L TILEPROP INT_L_X38Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y13 TILE_X 79390 TILEPROP INT_L_X38Y13 TILE_Y -198072 TILEPROP INT_L_X38Y13 TYPE INT_L TILEPROP INT_L_X38Y14 CLASS tile TILEPROP INT_L_X38Y14 COLUMN 98 TILEPROP INT_L_X38Y14 DEVICE_ID 0 TILEPROP INT_L_X38Y14 FIRST_SITE_ID 14313 TILEPROP INT_L_X38Y14 GRID_POINT_X 98 TILEPROP INT_L_X38Y14 GRID_POINT_Y 141 TILEPROP INT_L_X38Y14 INDEX 16313 TILEPROP INT_L_X38Y14 INT_TILE_X 38 TILEPROP INT_L_X38Y14 INT_TILE_Y 135 TILEPROP INT_L_X38Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y14 IS_DCM_TILE 0 TILEPROP INT_L_X38Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y14 NAME INT_L_X38Y14 TILEPROP INT_L_X38Y14 NUM_ARCS 3737 TILEPROP INT_L_X38Y14 NUM_SITES 1 TILEPROP INT_L_X38Y14 ROW 141 TILEPROP INT_L_X38Y14 SLR_REGION_ID 0 TILEPROP INT_L_X38Y14 TILE_PATTERN_IDX 3013 TILEPROP INT_L_X38Y14 TILE_TYPE INT_L TILEPROP INT_L_X38Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y14 TILE_X 79390 TILEPROP INT_L_X38Y14 TILE_Y -194872 TILEPROP INT_L_X38Y14 TYPE INT_L TILEPROP INT_L_X38Y15 CLASS tile TILEPROP INT_L_X38Y15 COLUMN 98 TILEPROP INT_L_X38Y15 DEVICE_ID 0 TILEPROP INT_L_X38Y15 FIRST_SITE_ID 14213 TILEPROP INT_L_X38Y15 GRID_POINT_X 98 TILEPROP INT_L_X38Y15 GRID_POINT_Y 140 TILEPROP INT_L_X38Y15 INDEX 16198 TILEPROP INT_L_X38Y15 INT_TILE_X 38 TILEPROP INT_L_X38Y15 INT_TILE_Y 134 TILEPROP INT_L_X38Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y15 IS_DCM_TILE 0 TILEPROP INT_L_X38Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y15 NAME INT_L_X38Y15 TILEPROP INT_L_X38Y15 NUM_ARCS 3737 TILEPROP INT_L_X38Y15 NUM_SITES 1 TILEPROP INT_L_X38Y15 ROW 140 TILEPROP INT_L_X38Y15 SLR_REGION_ID 0 TILEPROP INT_L_X38Y15 TILE_PATTERN_IDX 2977 TILEPROP INT_L_X38Y15 TILE_TYPE INT_L TILEPROP INT_L_X38Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y15 TILE_X 79390 TILEPROP INT_L_X38Y15 TILE_Y -191672 TILEPROP INT_L_X38Y15 TYPE INT_L TILEPROP INT_L_X38Y16 CLASS tile TILEPROP INT_L_X38Y16 COLUMN 98 TILEPROP INT_L_X38Y16 DEVICE_ID 0 TILEPROP INT_L_X38Y16 FIRST_SITE_ID 14098 TILEPROP INT_L_X38Y16 GRID_POINT_X 98 TILEPROP INT_L_X38Y16 GRID_POINT_Y 139 TILEPROP INT_L_X38Y16 INDEX 16083 TILEPROP INT_L_X38Y16 INT_TILE_X 38 TILEPROP INT_L_X38Y16 INT_TILE_Y 133 TILEPROP INT_L_X38Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y16 IS_DCM_TILE 0 TILEPROP INT_L_X38Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y16 NAME INT_L_X38Y16 TILEPROP INT_L_X38Y16 NUM_ARCS 3737 TILEPROP INT_L_X38Y16 NUM_SITES 1 TILEPROP INT_L_X38Y16 ROW 139 TILEPROP INT_L_X38Y16 SLR_REGION_ID 0 TILEPROP INT_L_X38Y16 TILE_PATTERN_IDX 2941 TILEPROP INT_L_X38Y16 TILE_TYPE INT_L TILEPROP INT_L_X38Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y16 TILE_X 79390 TILEPROP INT_L_X38Y16 TILE_Y -188472 TILEPROP INT_L_X38Y16 TYPE INT_L TILEPROP INT_L_X38Y17 CLASS tile TILEPROP INT_L_X38Y17 COLUMN 98 TILEPROP INT_L_X38Y17 DEVICE_ID 0 TILEPROP INT_L_X38Y17 FIRST_SITE_ID 13994 TILEPROP INT_L_X38Y17 GRID_POINT_X 98 TILEPROP INT_L_X38Y17 GRID_POINT_Y 138 TILEPROP INT_L_X38Y17 INDEX 15968 TILEPROP INT_L_X38Y17 INT_TILE_X 38 TILEPROP INT_L_X38Y17 INT_TILE_Y 132 TILEPROP INT_L_X38Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y17 IS_DCM_TILE 0 TILEPROP INT_L_X38Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y17 NAME INT_L_X38Y17 TILEPROP INT_L_X38Y17 NUM_ARCS 3737 TILEPROP INT_L_X38Y17 NUM_SITES 1 TILEPROP INT_L_X38Y17 ROW 138 TILEPROP INT_L_X38Y17 SLR_REGION_ID 0 TILEPROP INT_L_X38Y17 TILE_PATTERN_IDX 2904 TILEPROP INT_L_X38Y17 TILE_TYPE INT_L TILEPROP INT_L_X38Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y17 TILE_X 79390 TILEPROP INT_L_X38Y17 TILE_Y -185272 TILEPROP INT_L_X38Y17 TYPE INT_L TILEPROP INT_L_X38Y18 CLASS tile TILEPROP INT_L_X38Y18 COLUMN 98 TILEPROP INT_L_X38Y18 DEVICE_ID 0 TILEPROP INT_L_X38Y18 FIRST_SITE_ID 13889 TILEPROP INT_L_X38Y18 GRID_POINT_X 98 TILEPROP INT_L_X38Y18 GRID_POINT_Y 137 TILEPROP INT_L_X38Y18 INDEX 15853 TILEPROP INT_L_X38Y18 INT_TILE_X 38 TILEPROP INT_L_X38Y18 INT_TILE_Y 131 TILEPROP INT_L_X38Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y18 IS_DCM_TILE 0 TILEPROP INT_L_X38Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y18 NAME INT_L_X38Y18 TILEPROP INT_L_X38Y18 NUM_ARCS 3737 TILEPROP INT_L_X38Y18 NUM_SITES 1 TILEPROP INT_L_X38Y18 ROW 137 TILEPROP INT_L_X38Y18 SLR_REGION_ID 0 TILEPROP INT_L_X38Y18 TILE_PATTERN_IDX 2866 TILEPROP INT_L_X38Y18 TILE_TYPE INT_L TILEPROP INT_L_X38Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y18 TILE_X 79390 TILEPROP INT_L_X38Y18 TILE_Y -182072 TILEPROP INT_L_X38Y18 TYPE INT_L TILEPROP INT_L_X38Y19 CLASS tile TILEPROP INT_L_X38Y19 COLUMN 98 TILEPROP INT_L_X38Y19 DEVICE_ID 0 TILEPROP INT_L_X38Y19 FIRST_SITE_ID 13787 TILEPROP INT_L_X38Y19 GRID_POINT_X 98 TILEPROP INT_L_X38Y19 GRID_POINT_Y 136 TILEPROP INT_L_X38Y19 INDEX 15738 TILEPROP INT_L_X38Y19 INT_TILE_X 38 TILEPROP INT_L_X38Y19 INT_TILE_Y 130 TILEPROP INT_L_X38Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y19 IS_DCM_TILE 0 TILEPROP INT_L_X38Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y19 NAME INT_L_X38Y19 TILEPROP INT_L_X38Y19 NUM_ARCS 3737 TILEPROP INT_L_X38Y19 NUM_SITES 1 TILEPROP INT_L_X38Y19 ROW 136 TILEPROP INT_L_X38Y19 SLR_REGION_ID 0 TILEPROP INT_L_X38Y19 TILE_PATTERN_IDX 2829 TILEPROP INT_L_X38Y19 TILE_TYPE INT_L TILEPROP INT_L_X38Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y19 TILE_X 79390 TILEPROP INT_L_X38Y19 TILE_Y -178872 TILEPROP INT_L_X38Y19 TYPE INT_L TILEPROP INT_L_X38Y20 CLASS tile TILEPROP INT_L_X38Y20 COLUMN 98 TILEPROP INT_L_X38Y20 DEVICE_ID 0 TILEPROP INT_L_X38Y20 FIRST_SITE_ID 13685 TILEPROP INT_L_X38Y20 GRID_POINT_X 98 TILEPROP INT_L_X38Y20 GRID_POINT_Y 135 TILEPROP INT_L_X38Y20 INDEX 15623 TILEPROP INT_L_X38Y20 INT_TILE_X 38 TILEPROP INT_L_X38Y20 INT_TILE_Y 129 TILEPROP INT_L_X38Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y20 IS_DCM_TILE 0 TILEPROP INT_L_X38Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y20 NAME INT_L_X38Y20 TILEPROP INT_L_X38Y20 NUM_ARCS 3737 TILEPROP INT_L_X38Y20 NUM_SITES 1 TILEPROP INT_L_X38Y20 ROW 135 TILEPROP INT_L_X38Y20 SLR_REGION_ID 0 TILEPROP INT_L_X38Y20 TILE_PATTERN_IDX 2794 TILEPROP INT_L_X38Y20 TILE_TYPE INT_L TILEPROP INT_L_X38Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y20 TILE_X 79390 TILEPROP INT_L_X38Y20 TILE_Y -175672 TILEPROP INT_L_X38Y20 TYPE INT_L TILEPROP INT_L_X38Y21 CLASS tile TILEPROP INT_L_X38Y21 COLUMN 98 TILEPROP INT_L_X38Y21 DEVICE_ID 0 TILEPROP INT_L_X38Y21 FIRST_SITE_ID 13570 TILEPROP INT_L_X38Y21 GRID_POINT_X 98 TILEPROP INT_L_X38Y21 GRID_POINT_Y 134 TILEPROP INT_L_X38Y21 INDEX 15508 TILEPROP INT_L_X38Y21 INT_TILE_X 38 TILEPROP INT_L_X38Y21 INT_TILE_Y 128 TILEPROP INT_L_X38Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y21 IS_DCM_TILE 0 TILEPROP INT_L_X38Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y21 NAME INT_L_X38Y21 TILEPROP INT_L_X38Y21 NUM_ARCS 3737 TILEPROP INT_L_X38Y21 NUM_SITES 1 TILEPROP INT_L_X38Y21 ROW 134 TILEPROP INT_L_X38Y21 SLR_REGION_ID 0 TILEPROP INT_L_X38Y21 TILE_PATTERN_IDX 2757 TILEPROP INT_L_X38Y21 TILE_TYPE INT_L TILEPROP INT_L_X38Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y21 TILE_X 79390 TILEPROP INT_L_X38Y21 TILE_Y -172472 TILEPROP INT_L_X38Y21 TYPE INT_L TILEPROP INT_L_X38Y22 CLASS tile TILEPROP INT_L_X38Y22 COLUMN 98 TILEPROP INT_L_X38Y22 DEVICE_ID 0 TILEPROP INT_L_X38Y22 FIRST_SITE_ID 13470 TILEPROP INT_L_X38Y22 GRID_POINT_X 98 TILEPROP INT_L_X38Y22 GRID_POINT_Y 133 TILEPROP INT_L_X38Y22 INDEX 15393 TILEPROP INT_L_X38Y22 INT_TILE_X 38 TILEPROP INT_L_X38Y22 INT_TILE_Y 127 TILEPROP INT_L_X38Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y22 IS_DCM_TILE 0 TILEPROP INT_L_X38Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y22 NAME INT_L_X38Y22 TILEPROP INT_L_X38Y22 NUM_ARCS 3737 TILEPROP INT_L_X38Y22 NUM_SITES 1 TILEPROP INT_L_X38Y22 ROW 133 TILEPROP INT_L_X38Y22 SLR_REGION_ID 0 TILEPROP INT_L_X38Y22 TILE_PATTERN_IDX 2721 TILEPROP INT_L_X38Y22 TILE_TYPE INT_L TILEPROP INT_L_X38Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y22 TILE_X 79390 TILEPROP INT_L_X38Y22 TILE_Y -169272 TILEPROP INT_L_X38Y22 TYPE INT_L TILEPROP INT_L_X38Y23 CLASS tile TILEPROP INT_L_X38Y23 COLUMN 98 TILEPROP INT_L_X38Y23 DEVICE_ID 0 TILEPROP INT_L_X38Y23 FIRST_SITE_ID 13370 TILEPROP INT_L_X38Y23 GRID_POINT_X 98 TILEPROP INT_L_X38Y23 GRID_POINT_Y 132 TILEPROP INT_L_X38Y23 INDEX 15278 TILEPROP INT_L_X38Y23 INT_TILE_X 38 TILEPROP INT_L_X38Y23 INT_TILE_Y 126 TILEPROP INT_L_X38Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y23 IS_DCM_TILE 0 TILEPROP INT_L_X38Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y23 NAME INT_L_X38Y23 TILEPROP INT_L_X38Y23 NUM_ARCS 3737 TILEPROP INT_L_X38Y23 NUM_SITES 1 TILEPROP INT_L_X38Y23 ROW 132 TILEPROP INT_L_X38Y23 SLR_REGION_ID 0 TILEPROP INT_L_X38Y23 TILE_PATTERN_IDX 2684 TILEPROP INT_L_X38Y23 TILE_TYPE INT_L TILEPROP INT_L_X38Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y23 TILE_X 79390 TILEPROP INT_L_X38Y23 TILE_Y -166072 TILEPROP INT_L_X38Y23 TYPE INT_L TILEPROP INT_L_X38Y24 CLASS tile TILEPROP INT_L_X38Y24 COLUMN 98 TILEPROP INT_L_X38Y24 DEVICE_ID 0 TILEPROP INT_L_X38Y24 FIRST_SITE_ID 13270 TILEPROP INT_L_X38Y24 GRID_POINT_X 98 TILEPROP INT_L_X38Y24 GRID_POINT_Y 131 TILEPROP INT_L_X38Y24 INDEX 15163 TILEPROP INT_L_X38Y24 INT_TILE_X 38 TILEPROP INT_L_X38Y24 INT_TILE_Y 125 TILEPROP INT_L_X38Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y24 IS_DCM_TILE 0 TILEPROP INT_L_X38Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y24 NAME INT_L_X38Y24 TILEPROP INT_L_X38Y24 NUM_ARCS 3737 TILEPROP INT_L_X38Y24 NUM_SITES 1 TILEPROP INT_L_X38Y24 ROW 131 TILEPROP INT_L_X38Y24 SLR_REGION_ID 0 TILEPROP INT_L_X38Y24 TILE_PATTERN_IDX 2648 TILEPROP INT_L_X38Y24 TILE_TYPE INT_L TILEPROP INT_L_X38Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y24 TILE_X 79390 TILEPROP INT_L_X38Y24 TILE_Y -162872 TILEPROP INT_L_X38Y24 TYPE INT_L TILEPROP INT_L_X38Y25 CLASS tile TILEPROP INT_L_X38Y25 COLUMN 98 TILEPROP INT_L_X38Y25 DEVICE_ID 0 TILEPROP INT_L_X38Y25 FIRST_SITE_ID 13087 TILEPROP INT_L_X38Y25 GRID_POINT_X 98 TILEPROP INT_L_X38Y25 GRID_POINT_Y 129 TILEPROP INT_L_X38Y25 INDEX 14933 TILEPROP INT_L_X38Y25 INT_TILE_X 38 TILEPROP INT_L_X38Y25 INT_TILE_Y 124 TILEPROP INT_L_X38Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y25 IS_DCM_TILE 0 TILEPROP INT_L_X38Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y25 NAME INT_L_X38Y25 TILEPROP INT_L_X38Y25 NUM_ARCS 3737 TILEPROP INT_L_X38Y25 NUM_SITES 1 TILEPROP INT_L_X38Y25 ROW 129 TILEPROP INT_L_X38Y25 SLR_REGION_ID 0 TILEPROP INT_L_X38Y25 TILE_PATTERN_IDX 2573 TILEPROP INT_L_X38Y25 TILE_TYPE INT_L TILEPROP INT_L_X38Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y25 TILE_X 79390 TILEPROP INT_L_X38Y25 TILE_Y -158648 TILEPROP INT_L_X38Y25 TYPE INT_L TILEPROP INT_L_X38Y26 CLASS tile TILEPROP INT_L_X38Y26 COLUMN 98 TILEPROP INT_L_X38Y26 DEVICE_ID 0 TILEPROP INT_L_X38Y26 FIRST_SITE_ID 12972 TILEPROP INT_L_X38Y26 GRID_POINT_X 98 TILEPROP INT_L_X38Y26 GRID_POINT_Y 128 TILEPROP INT_L_X38Y26 INDEX 14818 TILEPROP INT_L_X38Y26 INT_TILE_X 38 TILEPROP INT_L_X38Y26 INT_TILE_Y 123 TILEPROP INT_L_X38Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y26 IS_DCM_TILE 0 TILEPROP INT_L_X38Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y26 NAME INT_L_X38Y26 TILEPROP INT_L_X38Y26 NUM_ARCS 3737 TILEPROP INT_L_X38Y26 NUM_SITES 1 TILEPROP INT_L_X38Y26 ROW 128 TILEPROP INT_L_X38Y26 SLR_REGION_ID 0 TILEPROP INT_L_X38Y26 TILE_PATTERN_IDX 2536 TILEPROP INT_L_X38Y26 TILE_TYPE INT_L TILEPROP INT_L_X38Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y26 TILE_X 79390 TILEPROP INT_L_X38Y26 TILE_Y -155448 TILEPROP INT_L_X38Y26 TYPE INT_L TILEPROP INT_L_X38Y27 CLASS tile TILEPROP INT_L_X38Y27 COLUMN 98 TILEPROP INT_L_X38Y27 DEVICE_ID 0 TILEPROP INT_L_X38Y27 FIRST_SITE_ID 12872 TILEPROP INT_L_X38Y27 GRID_POINT_X 98 TILEPROP INT_L_X38Y27 GRID_POINT_Y 127 TILEPROP INT_L_X38Y27 INDEX 14703 TILEPROP INT_L_X38Y27 INT_TILE_X 38 TILEPROP INT_L_X38Y27 INT_TILE_Y 122 TILEPROP INT_L_X38Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y27 IS_DCM_TILE 0 TILEPROP INT_L_X38Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y27 NAME INT_L_X38Y27 TILEPROP INT_L_X38Y27 NUM_ARCS 3737 TILEPROP INT_L_X38Y27 NUM_SITES 1 TILEPROP INT_L_X38Y27 ROW 127 TILEPROP INT_L_X38Y27 SLR_REGION_ID 0 TILEPROP INT_L_X38Y27 TILE_PATTERN_IDX 2499 TILEPROP INT_L_X38Y27 TILE_TYPE INT_L TILEPROP INT_L_X38Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y27 TILE_X 79390 TILEPROP INT_L_X38Y27 TILE_Y -152248 TILEPROP INT_L_X38Y27 TYPE INT_L TILEPROP INT_L_X38Y28 CLASS tile TILEPROP INT_L_X38Y28 COLUMN 98 TILEPROP INT_L_X38Y28 DEVICE_ID 0 TILEPROP INT_L_X38Y28 FIRST_SITE_ID 12772 TILEPROP INT_L_X38Y28 GRID_POINT_X 98 TILEPROP INT_L_X38Y28 GRID_POINT_Y 126 TILEPROP INT_L_X38Y28 INDEX 14588 TILEPROP INT_L_X38Y28 INT_TILE_X 38 TILEPROP INT_L_X38Y28 INT_TILE_Y 121 TILEPROP INT_L_X38Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y28 IS_DCM_TILE 0 TILEPROP INT_L_X38Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y28 NAME INT_L_X38Y28 TILEPROP INT_L_X38Y28 NUM_ARCS 3737 TILEPROP INT_L_X38Y28 NUM_SITES 1 TILEPROP INT_L_X38Y28 ROW 126 TILEPROP INT_L_X38Y28 SLR_REGION_ID 0 TILEPROP INT_L_X38Y28 TILE_PATTERN_IDX 2463 TILEPROP INT_L_X38Y28 TILE_TYPE INT_L TILEPROP INT_L_X38Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y28 TILE_X 79390 TILEPROP INT_L_X38Y28 TILE_Y -149048 TILEPROP INT_L_X38Y28 TYPE INT_L TILEPROP INT_L_X38Y29 CLASS tile TILEPROP INT_L_X38Y29 COLUMN 98 TILEPROP INT_L_X38Y29 DEVICE_ID 0 TILEPROP INT_L_X38Y29 FIRST_SITE_ID 12666 TILEPROP INT_L_X38Y29 GRID_POINT_X 98 TILEPROP INT_L_X38Y29 GRID_POINT_Y 125 TILEPROP INT_L_X38Y29 INDEX 14473 TILEPROP INT_L_X38Y29 INT_TILE_X 38 TILEPROP INT_L_X38Y29 INT_TILE_Y 120 TILEPROP INT_L_X38Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y29 IS_DCM_TILE 0 TILEPROP INT_L_X38Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y29 NAME INT_L_X38Y29 TILEPROP INT_L_X38Y29 NUM_ARCS 3737 TILEPROP INT_L_X38Y29 NUM_SITES 1 TILEPROP INT_L_X38Y29 ROW 125 TILEPROP INT_L_X38Y29 SLR_REGION_ID 0 TILEPROP INT_L_X38Y29 TILE_PATTERN_IDX 2425 TILEPROP INT_L_X38Y29 TILE_TYPE INT_L TILEPROP INT_L_X38Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y29 TILE_X 79390 TILEPROP INT_L_X38Y29 TILE_Y -145848 TILEPROP INT_L_X38Y29 TYPE INT_L TILEPROP INT_L_X38Y30 CLASS tile TILEPROP INT_L_X38Y30 COLUMN 98 TILEPROP INT_L_X38Y30 DEVICE_ID 0 TILEPROP INT_L_X38Y30 FIRST_SITE_ID 12560 TILEPROP INT_L_X38Y30 GRID_POINT_X 98 TILEPROP INT_L_X38Y30 GRID_POINT_Y 124 TILEPROP INT_L_X38Y30 INDEX 14358 TILEPROP INT_L_X38Y30 INT_TILE_X 38 TILEPROP INT_L_X38Y30 INT_TILE_Y 119 TILEPROP INT_L_X38Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y30 IS_DCM_TILE 0 TILEPROP INT_L_X38Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y30 NAME INT_L_X38Y30 TILEPROP INT_L_X38Y30 NUM_ARCS 3737 TILEPROP INT_L_X38Y30 NUM_SITES 1 TILEPROP INT_L_X38Y30 ROW 124 TILEPROP INT_L_X38Y30 SLR_REGION_ID 0 TILEPROP INT_L_X38Y30 TILE_PATTERN_IDX 2389 TILEPROP INT_L_X38Y30 TILE_TYPE INT_L TILEPROP INT_L_X38Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y30 TILE_X 79390 TILEPROP INT_L_X38Y30 TILE_Y -142648 TILEPROP INT_L_X38Y30 TYPE INT_L TILEPROP INT_L_X38Y31 CLASS tile TILEPROP INT_L_X38Y31 COLUMN 98 TILEPROP INT_L_X38Y31 DEVICE_ID 0 TILEPROP INT_L_X38Y31 FIRST_SITE_ID 12430 TILEPROP INT_L_X38Y31 GRID_POINT_X 98 TILEPROP INT_L_X38Y31 GRID_POINT_Y 123 TILEPROP INT_L_X38Y31 INDEX 14243 TILEPROP INT_L_X38Y31 INT_TILE_X 38 TILEPROP INT_L_X38Y31 INT_TILE_Y 118 TILEPROP INT_L_X38Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y31 IS_DCM_TILE 0 TILEPROP INT_L_X38Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y31 NAME INT_L_X38Y31 TILEPROP INT_L_X38Y31 NUM_ARCS 3737 TILEPROP INT_L_X38Y31 NUM_SITES 1 TILEPROP INT_L_X38Y31 ROW 123 TILEPROP INT_L_X38Y31 SLR_REGION_ID 0 TILEPROP INT_L_X38Y31 TILE_PATTERN_IDX 2350 TILEPROP INT_L_X38Y31 TILE_TYPE INT_L TILEPROP INT_L_X38Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y31 TILE_X 79390 TILEPROP INT_L_X38Y31 TILE_Y -139448 TILEPROP INT_L_X38Y31 TYPE INT_L TILEPROP INT_L_X38Y32 CLASS tile TILEPROP INT_L_X38Y32 COLUMN 98 TILEPROP INT_L_X38Y32 DEVICE_ID 0 TILEPROP INT_L_X38Y32 FIRST_SITE_ID 12328 TILEPROP INT_L_X38Y32 GRID_POINT_X 98 TILEPROP INT_L_X38Y32 GRID_POINT_Y 122 TILEPROP INT_L_X38Y32 INDEX 14128 TILEPROP INT_L_X38Y32 INT_TILE_X 38 TILEPROP INT_L_X38Y32 INT_TILE_Y 117 TILEPROP INT_L_X38Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y32 IS_DCM_TILE 0 TILEPROP INT_L_X38Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y32 NAME INT_L_X38Y32 TILEPROP INT_L_X38Y32 NUM_ARCS 3737 TILEPROP INT_L_X38Y32 NUM_SITES 1 TILEPROP INT_L_X38Y32 ROW 122 TILEPROP INT_L_X38Y32 SLR_REGION_ID 0 TILEPROP INT_L_X38Y32 TILE_PATTERN_IDX 7800 TILEPROP INT_L_X38Y32 TILE_TYPE INT_L TILEPROP INT_L_X38Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y32 TILE_X 79390 TILEPROP INT_L_X38Y32 TILE_Y -136248 TILEPROP INT_L_X38Y32 TYPE INT_L TILEPROP INT_L_X38Y33 CLASS tile TILEPROP INT_L_X38Y33 COLUMN 98 TILEPROP INT_L_X38Y33 DEVICE_ID 0 TILEPROP INT_L_X38Y33 FIRST_SITE_ID 12227 TILEPROP INT_L_X38Y33 GRID_POINT_X 98 TILEPROP INT_L_X38Y33 GRID_POINT_Y 121 TILEPROP INT_L_X38Y33 INDEX 14013 TILEPROP INT_L_X38Y33 INT_TILE_X 38 TILEPROP INT_L_X38Y33 INT_TILE_Y 116 TILEPROP INT_L_X38Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y33 IS_DCM_TILE 0 TILEPROP INT_L_X38Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y33 NAME INT_L_X38Y33 TILEPROP INT_L_X38Y33 NUM_ARCS 3737 TILEPROP INT_L_X38Y33 NUM_SITES 1 TILEPROP INT_L_X38Y33 ROW 121 TILEPROP INT_L_X38Y33 SLR_REGION_ID 0 TILEPROP INT_L_X38Y33 TILE_PATTERN_IDX 7765 TILEPROP INT_L_X38Y33 TILE_TYPE INT_L TILEPROP INT_L_X38Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y33 TILE_X 79390 TILEPROP INT_L_X38Y33 TILE_Y -133048 TILEPROP INT_L_X38Y33 TYPE INT_L TILEPROP INT_L_X38Y34 CLASS tile TILEPROP INT_L_X38Y34 COLUMN 98 TILEPROP INT_L_X38Y34 DEVICE_ID 0 TILEPROP INT_L_X38Y34 FIRST_SITE_ID 12127 TILEPROP INT_L_X38Y34 GRID_POINT_X 98 TILEPROP INT_L_X38Y34 GRID_POINT_Y 120 TILEPROP INT_L_X38Y34 INDEX 13898 TILEPROP INT_L_X38Y34 INT_TILE_X 38 TILEPROP INT_L_X38Y34 INT_TILE_Y 115 TILEPROP INT_L_X38Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y34 IS_DCM_TILE 0 TILEPROP INT_L_X38Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y34 NAME INT_L_X38Y34 TILEPROP INT_L_X38Y34 NUM_ARCS 3737 TILEPROP INT_L_X38Y34 NUM_SITES 1 TILEPROP INT_L_X38Y34 ROW 120 TILEPROP INT_L_X38Y34 SLR_REGION_ID 0 TILEPROP INT_L_X38Y34 TILE_PATTERN_IDX 7737 TILEPROP INT_L_X38Y34 TILE_TYPE INT_L TILEPROP INT_L_X38Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y34 TILE_X 79390 TILEPROP INT_L_X38Y34 TILE_Y -129848 TILEPROP INT_L_X38Y34 TYPE INT_L TILEPROP INT_L_X38Y35 CLASS tile TILEPROP INT_L_X38Y35 COLUMN 98 TILEPROP INT_L_X38Y35 DEVICE_ID 0 TILEPROP INT_L_X38Y35 FIRST_SITE_ID 12027 TILEPROP INT_L_X38Y35 GRID_POINT_X 98 TILEPROP INT_L_X38Y35 GRID_POINT_Y 119 TILEPROP INT_L_X38Y35 INDEX 13783 TILEPROP INT_L_X38Y35 INT_TILE_X 38 TILEPROP INT_L_X38Y35 INT_TILE_Y 114 TILEPROP INT_L_X38Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y35 IS_DCM_TILE 0 TILEPROP INT_L_X38Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y35 NAME INT_L_X38Y35 TILEPROP INT_L_X38Y35 NUM_ARCS 3737 TILEPROP INT_L_X38Y35 NUM_SITES 1 TILEPROP INT_L_X38Y35 ROW 119 TILEPROP INT_L_X38Y35 SLR_REGION_ID 0 TILEPROP INT_L_X38Y35 TILE_PATTERN_IDX 7708 TILEPROP INT_L_X38Y35 TILE_TYPE INT_L TILEPROP INT_L_X38Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y35 TILE_X 79390 TILEPROP INT_L_X38Y35 TILE_Y -126648 TILEPROP INT_L_X38Y35 TYPE INT_L TILEPROP INT_L_X38Y36 CLASS tile TILEPROP INT_L_X38Y36 COLUMN 98 TILEPROP INT_L_X38Y36 DEVICE_ID 0 TILEPROP INT_L_X38Y36 FIRST_SITE_ID 11912 TILEPROP INT_L_X38Y36 GRID_POINT_X 98 TILEPROP INT_L_X38Y36 GRID_POINT_Y 118 TILEPROP INT_L_X38Y36 INDEX 13668 TILEPROP INT_L_X38Y36 INT_TILE_X 38 TILEPROP INT_L_X38Y36 INT_TILE_Y 113 TILEPROP INT_L_X38Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y36 IS_DCM_TILE 0 TILEPROP INT_L_X38Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y36 NAME INT_L_X38Y36 TILEPROP INT_L_X38Y36 NUM_ARCS 3737 TILEPROP INT_L_X38Y36 NUM_SITES 1 TILEPROP INT_L_X38Y36 ROW 118 TILEPROP INT_L_X38Y36 SLR_REGION_ID 0 TILEPROP INT_L_X38Y36 TILE_PATTERN_IDX 7680 TILEPROP INT_L_X38Y36 TILE_TYPE INT_L TILEPROP INT_L_X38Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y36 TILE_X 79390 TILEPROP INT_L_X38Y36 TILE_Y -123448 TILEPROP INT_L_X38Y36 TYPE INT_L TILEPROP INT_L_X38Y37 CLASS tile TILEPROP INT_L_X38Y37 COLUMN 98 TILEPROP INT_L_X38Y37 DEVICE_ID 0 TILEPROP INT_L_X38Y37 FIRST_SITE_ID 11780 TILEPROP INT_L_X38Y37 GRID_POINT_X 98 TILEPROP INT_L_X38Y37 GRID_POINT_Y 117 TILEPROP INT_L_X38Y37 INDEX 13553 TILEPROP INT_L_X38Y37 INT_TILE_X 38 TILEPROP INT_L_X38Y37 INT_TILE_Y 112 TILEPROP INT_L_X38Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y37 IS_DCM_TILE 0 TILEPROP INT_L_X38Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y37 NAME INT_L_X38Y37 TILEPROP INT_L_X38Y37 NUM_ARCS 3737 TILEPROP INT_L_X38Y37 NUM_SITES 1 TILEPROP INT_L_X38Y37 ROW 117 TILEPROP INT_L_X38Y37 SLR_REGION_ID 0 TILEPROP INT_L_X38Y37 TILE_PATTERN_IDX 7652 TILEPROP INT_L_X38Y37 TILE_TYPE INT_L TILEPROP INT_L_X38Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y37 TILE_X 79390 TILEPROP INT_L_X38Y37 TILE_Y -120248 TILEPROP INT_L_X38Y37 TYPE INT_L TILEPROP INT_L_X38Y38 CLASS tile TILEPROP INT_L_X38Y38 COLUMN 98 TILEPROP INT_L_X38Y38 DEVICE_ID 0 TILEPROP INT_L_X38Y38 FIRST_SITE_ID 11680 TILEPROP INT_L_X38Y38 GRID_POINT_X 98 TILEPROP INT_L_X38Y38 GRID_POINT_Y 116 TILEPROP INT_L_X38Y38 INDEX 13438 TILEPROP INT_L_X38Y38 INT_TILE_X 38 TILEPROP INT_L_X38Y38 INT_TILE_Y 111 TILEPROP INT_L_X38Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y38 IS_DCM_TILE 0 TILEPROP INT_L_X38Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y38 NAME INT_L_X38Y38 TILEPROP INT_L_X38Y38 NUM_ARCS 3737 TILEPROP INT_L_X38Y38 NUM_SITES 1 TILEPROP INT_L_X38Y38 ROW 116 TILEPROP INT_L_X38Y38 SLR_REGION_ID 0 TILEPROP INT_L_X38Y38 TILE_PATTERN_IDX 7624 TILEPROP INT_L_X38Y38 TILE_TYPE INT_L TILEPROP INT_L_X38Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y38 TILE_X 79390 TILEPROP INT_L_X38Y38 TILE_Y -117048 TILEPROP INT_L_X38Y38 TYPE INT_L TILEPROP INT_L_X38Y39 CLASS tile TILEPROP INT_L_X38Y39 COLUMN 98 TILEPROP INT_L_X38Y39 DEVICE_ID 0 TILEPROP INT_L_X38Y39 FIRST_SITE_ID 11580 TILEPROP INT_L_X38Y39 GRID_POINT_X 98 TILEPROP INT_L_X38Y39 GRID_POINT_Y 115 TILEPROP INT_L_X38Y39 INDEX 13323 TILEPROP INT_L_X38Y39 INT_TILE_X 38 TILEPROP INT_L_X38Y39 INT_TILE_Y 110 TILEPROP INT_L_X38Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y39 IS_DCM_TILE 0 TILEPROP INT_L_X38Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y39 NAME INT_L_X38Y39 TILEPROP INT_L_X38Y39 NUM_ARCS 3737 TILEPROP INT_L_X38Y39 NUM_SITES 1 TILEPROP INT_L_X38Y39 ROW 115 TILEPROP INT_L_X38Y39 SLR_REGION_ID 0 TILEPROP INT_L_X38Y39 TILE_PATTERN_IDX 7595 TILEPROP INT_L_X38Y39 TILE_TYPE INT_L TILEPROP INT_L_X38Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y39 TILE_X 79390 TILEPROP INT_L_X38Y39 TILE_Y -113848 TILEPROP INT_L_X38Y39 TYPE INT_L TILEPROP INT_L_X38Y40 CLASS tile TILEPROP INT_L_X38Y40 COLUMN 98 TILEPROP INT_L_X38Y40 DEVICE_ID 0 TILEPROP INT_L_X38Y40 FIRST_SITE_ID 11480 TILEPROP INT_L_X38Y40 GRID_POINT_X 98 TILEPROP INT_L_X38Y40 GRID_POINT_Y 114 TILEPROP INT_L_X38Y40 INDEX 13208 TILEPROP INT_L_X38Y40 INT_TILE_X 38 TILEPROP INT_L_X38Y40 INT_TILE_Y 109 TILEPROP INT_L_X38Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y40 IS_DCM_TILE 0 TILEPROP INT_L_X38Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y40 NAME INT_L_X38Y40 TILEPROP INT_L_X38Y40 NUM_ARCS 3737 TILEPROP INT_L_X38Y40 NUM_SITES 1 TILEPROP INT_L_X38Y40 ROW 114 TILEPROP INT_L_X38Y40 SLR_REGION_ID 0 TILEPROP INT_L_X38Y40 TILE_PATTERN_IDX 7567 TILEPROP INT_L_X38Y40 TILE_TYPE INT_L TILEPROP INT_L_X38Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y40 TILE_X 79390 TILEPROP INT_L_X38Y40 TILE_Y -110648 TILEPROP INT_L_X38Y40 TYPE INT_L TILEPROP INT_L_X38Y41 CLASS tile TILEPROP INT_L_X38Y41 COLUMN 98 TILEPROP INT_L_X38Y41 DEVICE_ID 0 TILEPROP INT_L_X38Y41 FIRST_SITE_ID 11363 TILEPROP INT_L_X38Y41 GRID_POINT_X 98 TILEPROP INT_L_X38Y41 GRID_POINT_Y 113 TILEPROP INT_L_X38Y41 INDEX 13093 TILEPROP INT_L_X38Y41 INT_TILE_X 38 TILEPROP INT_L_X38Y41 INT_TILE_Y 108 TILEPROP INT_L_X38Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y41 IS_DCM_TILE 0 TILEPROP INT_L_X38Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y41 NAME INT_L_X38Y41 TILEPROP INT_L_X38Y41 NUM_ARCS 3737 TILEPROP INT_L_X38Y41 NUM_SITES 1 TILEPROP INT_L_X38Y41 ROW 113 TILEPROP INT_L_X38Y41 SLR_REGION_ID 0 TILEPROP INT_L_X38Y41 TILE_PATTERN_IDX 7537 TILEPROP INT_L_X38Y41 TILE_TYPE INT_L TILEPROP INT_L_X38Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y41 TILE_X 79390 TILEPROP INT_L_X38Y41 TILE_Y -107448 TILEPROP INT_L_X38Y41 TYPE INT_L TILEPROP INT_L_X38Y42 CLASS tile TILEPROP INT_L_X38Y42 COLUMN 98 TILEPROP INT_L_X38Y42 DEVICE_ID 0 TILEPROP INT_L_X38Y42 FIRST_SITE_ID 11261 TILEPROP INT_L_X38Y42 GRID_POINT_X 98 TILEPROP INT_L_X38Y42 GRID_POINT_Y 112 TILEPROP INT_L_X38Y42 INDEX 12978 TILEPROP INT_L_X38Y42 INT_TILE_X 38 TILEPROP INT_L_X38Y42 INT_TILE_Y 107 TILEPROP INT_L_X38Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y42 IS_DCM_TILE 0 TILEPROP INT_L_X38Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y42 NAME INT_L_X38Y42 TILEPROP INT_L_X38Y42 NUM_ARCS 3737 TILEPROP INT_L_X38Y42 NUM_SITES 1 TILEPROP INT_L_X38Y42 ROW 112 TILEPROP INT_L_X38Y42 SLR_REGION_ID 0 TILEPROP INT_L_X38Y42 TILE_PATTERN_IDX 7502 TILEPROP INT_L_X38Y42 TILE_TYPE INT_L TILEPROP INT_L_X38Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y42 TILE_X 79390 TILEPROP INT_L_X38Y42 TILE_Y -104248 TILEPROP INT_L_X38Y42 TYPE INT_L TILEPROP INT_L_X38Y43 CLASS tile TILEPROP INT_L_X38Y43 COLUMN 98 TILEPROP INT_L_X38Y43 DEVICE_ID 0 TILEPROP INT_L_X38Y43 FIRST_SITE_ID 11158 TILEPROP INT_L_X38Y43 GRID_POINT_X 98 TILEPROP INT_L_X38Y43 GRID_POINT_Y 111 TILEPROP INT_L_X38Y43 INDEX 12863 TILEPROP INT_L_X38Y43 INT_TILE_X 38 TILEPROP INT_L_X38Y43 INT_TILE_Y 106 TILEPROP INT_L_X38Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y43 IS_DCM_TILE 0 TILEPROP INT_L_X38Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y43 NAME INT_L_X38Y43 TILEPROP INT_L_X38Y43 NUM_ARCS 3737 TILEPROP INT_L_X38Y43 NUM_SITES 1 TILEPROP INT_L_X38Y43 ROW 111 TILEPROP INT_L_X38Y43 SLR_REGION_ID 0 TILEPROP INT_L_X38Y43 TILE_PATTERN_IDX 7473 TILEPROP INT_L_X38Y43 TILE_TYPE INT_L TILEPROP INT_L_X38Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y43 TILE_X 79390 TILEPROP INT_L_X38Y43 TILE_Y -101048 TILEPROP INT_L_X38Y43 TYPE INT_L TILEPROP INT_L_X38Y44 CLASS tile TILEPROP INT_L_X38Y44 COLUMN 98 TILEPROP INT_L_X38Y44 DEVICE_ID 0 TILEPROP INT_L_X38Y44 FIRST_SITE_ID 11056 TILEPROP INT_L_X38Y44 GRID_POINT_X 98 TILEPROP INT_L_X38Y44 GRID_POINT_Y 110 TILEPROP INT_L_X38Y44 INDEX 12748 TILEPROP INT_L_X38Y44 INT_TILE_X 38 TILEPROP INT_L_X38Y44 INT_TILE_Y 105 TILEPROP INT_L_X38Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y44 IS_DCM_TILE 0 TILEPROP INT_L_X38Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y44 NAME INT_L_X38Y44 TILEPROP INT_L_X38Y44 NUM_ARCS 3737 TILEPROP INT_L_X38Y44 NUM_SITES 1 TILEPROP INT_L_X38Y44 ROW 110 TILEPROP INT_L_X38Y44 SLR_REGION_ID 0 TILEPROP INT_L_X38Y44 TILE_PATTERN_IDX 7445 TILEPROP INT_L_X38Y44 TILE_TYPE INT_L TILEPROP INT_L_X38Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y44 TILE_X 79390 TILEPROP INT_L_X38Y44 TILE_Y -97848 TILEPROP INT_L_X38Y44 TYPE INT_L TILEPROP INT_L_X38Y45 CLASS tile TILEPROP INT_L_X38Y45 COLUMN 98 TILEPROP INT_L_X38Y45 DEVICE_ID 0 TILEPROP INT_L_X38Y45 FIRST_SITE_ID 10956 TILEPROP INT_L_X38Y45 GRID_POINT_X 98 TILEPROP INT_L_X38Y45 GRID_POINT_Y 109 TILEPROP INT_L_X38Y45 INDEX 12633 TILEPROP INT_L_X38Y45 INT_TILE_X 38 TILEPROP INT_L_X38Y45 INT_TILE_Y 104 TILEPROP INT_L_X38Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y45 IS_DCM_TILE 0 TILEPROP INT_L_X38Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y45 NAME INT_L_X38Y45 TILEPROP INT_L_X38Y45 NUM_ARCS 3737 TILEPROP INT_L_X38Y45 NUM_SITES 1 TILEPROP INT_L_X38Y45 ROW 109 TILEPROP INT_L_X38Y45 SLR_REGION_ID 0 TILEPROP INT_L_X38Y45 TILE_PATTERN_IDX 7416 TILEPROP INT_L_X38Y45 TILE_TYPE INT_L TILEPROP INT_L_X38Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y45 TILE_X 79390 TILEPROP INT_L_X38Y45 TILE_Y -94648 TILEPROP INT_L_X38Y45 TYPE INT_L TILEPROP INT_L_X38Y46 CLASS tile TILEPROP INT_L_X38Y46 COLUMN 98 TILEPROP INT_L_X38Y46 DEVICE_ID 0 TILEPROP INT_L_X38Y46 FIRST_SITE_ID 10840 TILEPROP INT_L_X38Y46 GRID_POINT_X 98 TILEPROP INT_L_X38Y46 GRID_POINT_Y 108 TILEPROP INT_L_X38Y46 INDEX 12518 TILEPROP INT_L_X38Y46 INT_TILE_X 38 TILEPROP INT_L_X38Y46 INT_TILE_Y 103 TILEPROP INT_L_X38Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y46 IS_DCM_TILE 0 TILEPROP INT_L_X38Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y46 NAME INT_L_X38Y46 TILEPROP INT_L_X38Y46 NUM_ARCS 3737 TILEPROP INT_L_X38Y46 NUM_SITES 1 TILEPROP INT_L_X38Y46 ROW 108 TILEPROP INT_L_X38Y46 SLR_REGION_ID 0 TILEPROP INT_L_X38Y46 TILE_PATTERN_IDX 7381 TILEPROP INT_L_X38Y46 TILE_TYPE INT_L TILEPROP INT_L_X38Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y46 TILE_X 79390 TILEPROP INT_L_X38Y46 TILE_Y -91448 TILEPROP INT_L_X38Y46 TYPE INT_L TILEPROP INT_L_X38Y47 CLASS tile TILEPROP INT_L_X38Y47 COLUMN 98 TILEPROP INT_L_X38Y47 DEVICE_ID 0 TILEPROP INT_L_X38Y47 FIRST_SITE_ID 10724 TILEPROP INT_L_X38Y47 GRID_POINT_X 98 TILEPROP INT_L_X38Y47 GRID_POINT_Y 107 TILEPROP INT_L_X38Y47 INDEX 12403 TILEPROP INT_L_X38Y47 INT_TILE_X 38 TILEPROP INT_L_X38Y47 INT_TILE_Y 102 TILEPROP INT_L_X38Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y47 IS_DCM_TILE 0 TILEPROP INT_L_X38Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y47 NAME INT_L_X38Y47 TILEPROP INT_L_X38Y47 NUM_ARCS 3737 TILEPROP INT_L_X38Y47 NUM_SITES 1 TILEPROP INT_L_X38Y47 ROW 107 TILEPROP INT_L_X38Y47 SLR_REGION_ID 0 TILEPROP INT_L_X38Y47 TILE_PATTERN_IDX 7344 TILEPROP INT_L_X38Y47 TILE_TYPE INT_L TILEPROP INT_L_X38Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y47 TILE_X 79390 TILEPROP INT_L_X38Y47 TILE_Y -88248 TILEPROP INT_L_X38Y47 TYPE INT_L TILEPROP INT_L_X38Y48 CLASS tile TILEPROP INT_L_X38Y48 COLUMN 98 TILEPROP INT_L_X38Y48 DEVICE_ID 0 TILEPROP INT_L_X38Y48 FIRST_SITE_ID 10624 TILEPROP INT_L_X38Y48 GRID_POINT_X 98 TILEPROP INT_L_X38Y48 GRID_POINT_Y 106 TILEPROP INT_L_X38Y48 INDEX 12288 TILEPROP INT_L_X38Y48 INT_TILE_X 38 TILEPROP INT_L_X38Y48 INT_TILE_Y 101 TILEPROP INT_L_X38Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y48 IS_DCM_TILE 0 TILEPROP INT_L_X38Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y48 NAME INT_L_X38Y48 TILEPROP INT_L_X38Y48 NUM_ARCS 3737 TILEPROP INT_L_X38Y48 NUM_SITES 1 TILEPROP INT_L_X38Y48 ROW 106 TILEPROP INT_L_X38Y48 SLR_REGION_ID 0 TILEPROP INT_L_X38Y48 TILE_PATTERN_IDX 7308 TILEPROP INT_L_X38Y48 TILE_TYPE INT_L TILEPROP INT_L_X38Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y48 TILE_X 79390 TILEPROP INT_L_X38Y48 TILE_Y -85048 TILEPROP INT_L_X38Y48 TYPE INT_L TILEPROP INT_L_X38Y49 CLASS tile TILEPROP INT_L_X38Y49 COLUMN 98 TILEPROP INT_L_X38Y49 DEVICE_ID 0 TILEPROP INT_L_X38Y49 FIRST_SITE_ID 10528 TILEPROP INT_L_X38Y49 GRID_POINT_X 98 TILEPROP INT_L_X38Y49 GRID_POINT_Y 105 TILEPROP INT_L_X38Y49 INDEX 12173 TILEPROP INT_L_X38Y49 INT_TILE_X 38 TILEPROP INT_L_X38Y49 INT_TILE_Y 100 TILEPROP INT_L_X38Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y49 IS_DCM_TILE 0 TILEPROP INT_L_X38Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y49 NAME INT_L_X38Y49 TILEPROP INT_L_X38Y49 NUM_ARCS 3737 TILEPROP INT_L_X38Y49 NUM_SITES 1 TILEPROP INT_L_X38Y49 ROW 105 TILEPROP INT_L_X38Y49 SLR_REGION_ID 0 TILEPROP INT_L_X38Y49 TILE_PATTERN_IDX 7272 TILEPROP INT_L_X38Y49 TILE_TYPE INT_L TILEPROP INT_L_X38Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y49 TILE_X 79390 TILEPROP INT_L_X38Y49 TILE_Y -81848 TILEPROP INT_L_X38Y49 TYPE INT_L TILEPROP INT_L_X38Y50 CLASS tile TILEPROP INT_L_X38Y50 COLUMN 98 TILEPROP INT_L_X38Y50 DEVICE_ID 0 TILEPROP INT_L_X38Y50 FIRST_SITE_ID 10428 TILEPROP INT_L_X38Y50 GRID_POINT_X 98 TILEPROP INT_L_X38Y50 GRID_POINT_Y 103 TILEPROP INT_L_X38Y50 INDEX 11943 TILEPROP INT_L_X38Y50 INT_TILE_X 38 TILEPROP INT_L_X38Y50 INT_TILE_Y 99 TILEPROP INT_L_X38Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y50 IS_DCM_TILE 0 TILEPROP INT_L_X38Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y50 NAME INT_L_X38Y50 TILEPROP INT_L_X38Y50 NUM_ARCS 3737 TILEPROP INT_L_X38Y50 NUM_SITES 1 TILEPROP INT_L_X38Y50 ROW 103 TILEPROP INT_L_X38Y50 SLR_REGION_ID 0 TILEPROP INT_L_X38Y50 TILE_PATTERN_IDX 7236 TILEPROP INT_L_X38Y50 TILE_TYPE INT_L TILEPROP INT_L_X38Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y50 TILE_X 79390 TILEPROP INT_L_X38Y50 TILE_Y -78400 TILEPROP INT_L_X38Y50 TYPE INT_L TILEPROP INT_L_X38Y51 CLASS tile TILEPROP INT_L_X38Y51 COLUMN 98 TILEPROP INT_L_X38Y51 DEVICE_ID 0 TILEPROP INT_L_X38Y51 FIRST_SITE_ID 10293 TILEPROP INT_L_X38Y51 GRID_POINT_X 98 TILEPROP INT_L_X38Y51 GRID_POINT_Y 102 TILEPROP INT_L_X38Y51 INDEX 11828 TILEPROP INT_L_X38Y51 INT_TILE_X 38 TILEPROP INT_L_X38Y51 INT_TILE_Y 98 TILEPROP INT_L_X38Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y51 IS_DCM_TILE 0 TILEPROP INT_L_X38Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y51 NAME INT_L_X38Y51 TILEPROP INT_L_X38Y51 NUM_ARCS 3737 TILEPROP INT_L_X38Y51 NUM_SITES 1 TILEPROP INT_L_X38Y51 ROW 102 TILEPROP INT_L_X38Y51 SLR_REGION_ID 0 TILEPROP INT_L_X38Y51 TILE_PATTERN_IDX 7198 TILEPROP INT_L_X38Y51 TILE_TYPE INT_L TILEPROP INT_L_X38Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y51 TILE_X 79390 TILEPROP INT_L_X38Y51 TILE_Y -75200 TILEPROP INT_L_X38Y51 TYPE INT_L TILEPROP INT_L_X38Y52 CLASS tile TILEPROP INT_L_X38Y52 COLUMN 98 TILEPROP INT_L_X38Y52 DEVICE_ID 0 TILEPROP INT_L_X38Y52 FIRST_SITE_ID 10193 TILEPROP INT_L_X38Y52 GRID_POINT_X 98 TILEPROP INT_L_X38Y52 GRID_POINT_Y 101 TILEPROP INT_L_X38Y52 INDEX 11713 TILEPROP INT_L_X38Y52 INT_TILE_X 38 TILEPROP INT_L_X38Y52 INT_TILE_Y 97 TILEPROP INT_L_X38Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y52 IS_DCM_TILE 0 TILEPROP INT_L_X38Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y52 NAME INT_L_X38Y52 TILEPROP INT_L_X38Y52 NUM_ARCS 3737 TILEPROP INT_L_X38Y52 NUM_SITES 1 TILEPROP INT_L_X38Y52 ROW 101 TILEPROP INT_L_X38Y52 SLR_REGION_ID 0 TILEPROP INT_L_X38Y52 TILE_PATTERN_IDX 7161 TILEPROP INT_L_X38Y52 TILE_TYPE INT_L TILEPROP INT_L_X38Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y52 TILE_X 79390 TILEPROP INT_L_X38Y52 TILE_Y -72000 TILEPROP INT_L_X38Y52 TYPE INT_L TILEPROP INT_L_X38Y53 CLASS tile TILEPROP INT_L_X38Y53 COLUMN 98 TILEPROP INT_L_X38Y53 DEVICE_ID 0 TILEPROP INT_L_X38Y53 FIRST_SITE_ID 10093 TILEPROP INT_L_X38Y53 GRID_POINT_X 98 TILEPROP INT_L_X38Y53 GRID_POINT_Y 100 TILEPROP INT_L_X38Y53 INDEX 11598 TILEPROP INT_L_X38Y53 INT_TILE_X 38 TILEPROP INT_L_X38Y53 INT_TILE_Y 96 TILEPROP INT_L_X38Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y53 IS_DCM_TILE 0 TILEPROP INT_L_X38Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y53 NAME INT_L_X38Y53 TILEPROP INT_L_X38Y53 NUM_ARCS 3737 TILEPROP INT_L_X38Y53 NUM_SITES 1 TILEPROP INT_L_X38Y53 ROW 100 TILEPROP INT_L_X38Y53 SLR_REGION_ID 0 TILEPROP INT_L_X38Y53 TILE_PATTERN_IDX 7124 TILEPROP INT_L_X38Y53 TILE_TYPE INT_L TILEPROP INT_L_X38Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y53 TILE_X 79390 TILEPROP INT_L_X38Y53 TILE_Y -68800 TILEPROP INT_L_X38Y53 TYPE INT_L TILEPROP INT_L_X38Y54 CLASS tile TILEPROP INT_L_X38Y54 COLUMN 98 TILEPROP INT_L_X38Y54 DEVICE_ID 0 TILEPROP INT_L_X38Y54 FIRST_SITE_ID 9993 TILEPROP INT_L_X38Y54 GRID_POINT_X 98 TILEPROP INT_L_X38Y54 GRID_POINT_Y 99 TILEPROP INT_L_X38Y54 INDEX 11483 TILEPROP INT_L_X38Y54 INT_TILE_X 38 TILEPROP INT_L_X38Y54 INT_TILE_Y 95 TILEPROP INT_L_X38Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y54 IS_DCM_TILE 0 TILEPROP INT_L_X38Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y54 NAME INT_L_X38Y54 TILEPROP INT_L_X38Y54 NUM_ARCS 3737 TILEPROP INT_L_X38Y54 NUM_SITES 1 TILEPROP INT_L_X38Y54 ROW 99 TILEPROP INT_L_X38Y54 SLR_REGION_ID 0 TILEPROP INT_L_X38Y54 TILE_PATTERN_IDX 7087 TILEPROP INT_L_X38Y54 TILE_TYPE INT_L TILEPROP INT_L_X38Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y54 TILE_X 79390 TILEPROP INT_L_X38Y54 TILE_Y -65600 TILEPROP INT_L_X38Y54 TYPE INT_L TILEPROP INT_L_X38Y55 CLASS tile TILEPROP INT_L_X38Y55 COLUMN 98 TILEPROP INT_L_X38Y55 DEVICE_ID 0 TILEPROP INT_L_X38Y55 FIRST_SITE_ID 9893 TILEPROP INT_L_X38Y55 GRID_POINT_X 98 TILEPROP INT_L_X38Y55 GRID_POINT_Y 98 TILEPROP INT_L_X38Y55 INDEX 11368 TILEPROP INT_L_X38Y55 INT_TILE_X 38 TILEPROP INT_L_X38Y55 INT_TILE_Y 94 TILEPROP INT_L_X38Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y55 IS_DCM_TILE 0 TILEPROP INT_L_X38Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y55 NAME INT_L_X38Y55 TILEPROP INT_L_X38Y55 NUM_ARCS 3737 TILEPROP INT_L_X38Y55 NUM_SITES 1 TILEPROP INT_L_X38Y55 ROW 98 TILEPROP INT_L_X38Y55 SLR_REGION_ID 0 TILEPROP INT_L_X38Y55 TILE_PATTERN_IDX 7050 TILEPROP INT_L_X38Y55 TILE_TYPE INT_L TILEPROP INT_L_X38Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y55 TILE_X 79390 TILEPROP INT_L_X38Y55 TILE_Y -62400 TILEPROP INT_L_X38Y55 TYPE INT_L TILEPROP INT_L_X38Y56 CLASS tile TILEPROP INT_L_X38Y56 COLUMN 98 TILEPROP INT_L_X38Y56 DEVICE_ID 0 TILEPROP INT_L_X38Y56 FIRST_SITE_ID 9778 TILEPROP INT_L_X38Y56 GRID_POINT_X 98 TILEPROP INT_L_X38Y56 GRID_POINT_Y 97 TILEPROP INT_L_X38Y56 INDEX 11253 TILEPROP INT_L_X38Y56 INT_TILE_X 38 TILEPROP INT_L_X38Y56 INT_TILE_Y 93 TILEPROP INT_L_X38Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y56 IS_DCM_TILE 0 TILEPROP INT_L_X38Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y56 NAME INT_L_X38Y56 TILEPROP INT_L_X38Y56 NUM_ARCS 3737 TILEPROP INT_L_X38Y56 NUM_SITES 1 TILEPROP INT_L_X38Y56 ROW 97 TILEPROP INT_L_X38Y56 SLR_REGION_ID 0 TILEPROP INT_L_X38Y56 TILE_PATTERN_IDX 7012 TILEPROP INT_L_X38Y56 TILE_TYPE INT_L TILEPROP INT_L_X38Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y56 TILE_X 79390 TILEPROP INT_L_X38Y56 TILE_Y -59200 TILEPROP INT_L_X38Y56 TYPE INT_L TILEPROP INT_L_X38Y57 CLASS tile TILEPROP INT_L_X38Y57 COLUMN 98 TILEPROP INT_L_X38Y57 DEVICE_ID 0 TILEPROP INT_L_X38Y57 FIRST_SITE_ID 9676 TILEPROP INT_L_X38Y57 GRID_POINT_X 98 TILEPROP INT_L_X38Y57 GRID_POINT_Y 96 TILEPROP INT_L_X38Y57 INDEX 11138 TILEPROP INT_L_X38Y57 INT_TILE_X 38 TILEPROP INT_L_X38Y57 INT_TILE_Y 92 TILEPROP INT_L_X38Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y57 IS_DCM_TILE 0 TILEPROP INT_L_X38Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y57 NAME INT_L_X38Y57 TILEPROP INT_L_X38Y57 NUM_ARCS 3737 TILEPROP INT_L_X38Y57 NUM_SITES 1 TILEPROP INT_L_X38Y57 ROW 96 TILEPROP INT_L_X38Y57 SLR_REGION_ID 0 TILEPROP INT_L_X38Y57 TILE_PATTERN_IDX 6975 TILEPROP INT_L_X38Y57 TILE_TYPE INT_L TILEPROP INT_L_X38Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y57 TILE_X 79390 TILEPROP INT_L_X38Y57 TILE_Y -56000 TILEPROP INT_L_X38Y57 TYPE INT_L TILEPROP INT_L_X38Y58 CLASS tile TILEPROP INT_L_X38Y58 COLUMN 98 TILEPROP INT_L_X38Y58 DEVICE_ID 0 TILEPROP INT_L_X38Y58 FIRST_SITE_ID 9573 TILEPROP INT_L_X38Y58 GRID_POINT_X 98 TILEPROP INT_L_X38Y58 GRID_POINT_Y 95 TILEPROP INT_L_X38Y58 INDEX 11023 TILEPROP INT_L_X38Y58 INT_TILE_X 38 TILEPROP INT_L_X38Y58 INT_TILE_Y 91 TILEPROP INT_L_X38Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y58 IS_DCM_TILE 0 TILEPROP INT_L_X38Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y58 NAME INT_L_X38Y58 TILEPROP INT_L_X38Y58 NUM_ARCS 3737 TILEPROP INT_L_X38Y58 NUM_SITES 1 TILEPROP INT_L_X38Y58 ROW 95 TILEPROP INT_L_X38Y58 SLR_REGION_ID 0 TILEPROP INT_L_X38Y58 TILE_PATTERN_IDX 6937 TILEPROP INT_L_X38Y58 TILE_TYPE INT_L TILEPROP INT_L_X38Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y58 TILE_X 79390 TILEPROP INT_L_X38Y58 TILE_Y -52800 TILEPROP INT_L_X38Y58 TYPE INT_L TILEPROP INT_L_X38Y59 CLASS tile TILEPROP INT_L_X38Y59 COLUMN 98 TILEPROP INT_L_X38Y59 DEVICE_ID 0 TILEPROP INT_L_X38Y59 FIRST_SITE_ID 9472 TILEPROP INT_L_X38Y59 GRID_POINT_X 98 TILEPROP INT_L_X38Y59 GRID_POINT_Y 94 TILEPROP INT_L_X38Y59 INDEX 10908 TILEPROP INT_L_X38Y59 INT_TILE_X 38 TILEPROP INT_L_X38Y59 INT_TILE_Y 90 TILEPROP INT_L_X38Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y59 IS_DCM_TILE 0 TILEPROP INT_L_X38Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y59 NAME INT_L_X38Y59 TILEPROP INT_L_X38Y59 NUM_ARCS 3737 TILEPROP INT_L_X38Y59 NUM_SITES 1 TILEPROP INT_L_X38Y59 ROW 94 TILEPROP INT_L_X38Y59 SLR_REGION_ID 0 TILEPROP INT_L_X38Y59 TILE_PATTERN_IDX 6900 TILEPROP INT_L_X38Y59 TILE_TYPE INT_L TILEPROP INT_L_X38Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y59 TILE_X 79390 TILEPROP INT_L_X38Y59 TILE_Y -49600 TILEPROP INT_L_X38Y59 TYPE INT_L TILEPROP INT_L_X38Y60 CLASS tile TILEPROP INT_L_X38Y60 COLUMN 98 TILEPROP INT_L_X38Y60 DEVICE_ID 0 TILEPROP INT_L_X38Y60 FIRST_SITE_ID 9372 TILEPROP INT_L_X38Y60 GRID_POINT_X 98 TILEPROP INT_L_X38Y60 GRID_POINT_Y 93 TILEPROP INT_L_X38Y60 INDEX 10793 TILEPROP INT_L_X38Y60 INT_TILE_X 38 TILEPROP INT_L_X38Y60 INT_TILE_Y 89 TILEPROP INT_L_X38Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y60 IS_DCM_TILE 0 TILEPROP INT_L_X38Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y60 NAME INT_L_X38Y60 TILEPROP INT_L_X38Y60 NUM_ARCS 3737 TILEPROP INT_L_X38Y60 NUM_SITES 1 TILEPROP INT_L_X38Y60 ROW 93 TILEPROP INT_L_X38Y60 SLR_REGION_ID 0 TILEPROP INT_L_X38Y60 TILE_PATTERN_IDX 6863 TILEPROP INT_L_X38Y60 TILE_TYPE INT_L TILEPROP INT_L_X38Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y60 TILE_X 79390 TILEPROP INT_L_X38Y60 TILE_Y -46400 TILEPROP INT_L_X38Y60 TYPE INT_L TILEPROP INT_L_X38Y61 CLASS tile TILEPROP INT_L_X38Y61 COLUMN 98 TILEPROP INT_L_X38Y61 DEVICE_ID 0 TILEPROP INT_L_X38Y61 FIRST_SITE_ID 9257 TILEPROP INT_L_X38Y61 GRID_POINT_X 98 TILEPROP INT_L_X38Y61 GRID_POINT_Y 92 TILEPROP INT_L_X38Y61 INDEX 10678 TILEPROP INT_L_X38Y61 INT_TILE_X 38 TILEPROP INT_L_X38Y61 INT_TILE_Y 88 TILEPROP INT_L_X38Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y61 IS_DCM_TILE 0 TILEPROP INT_L_X38Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y61 NAME INT_L_X38Y61 TILEPROP INT_L_X38Y61 NUM_ARCS 3737 TILEPROP INT_L_X38Y61 NUM_SITES 1 TILEPROP INT_L_X38Y61 ROW 92 TILEPROP INT_L_X38Y61 SLR_REGION_ID 0 TILEPROP INT_L_X38Y61 TILE_PATTERN_IDX 6826 TILEPROP INT_L_X38Y61 TILE_TYPE INT_L TILEPROP INT_L_X38Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y61 TILE_X 79390 TILEPROP INT_L_X38Y61 TILE_Y -43200 TILEPROP INT_L_X38Y61 TYPE INT_L TILEPROP INT_L_X38Y62 CLASS tile TILEPROP INT_L_X38Y62 COLUMN 98 TILEPROP INT_L_X38Y62 DEVICE_ID 0 TILEPROP INT_L_X38Y62 FIRST_SITE_ID 9157 TILEPROP INT_L_X38Y62 GRID_POINT_X 98 TILEPROP INT_L_X38Y62 GRID_POINT_Y 91 TILEPROP INT_L_X38Y62 INDEX 10563 TILEPROP INT_L_X38Y62 INT_TILE_X 38 TILEPROP INT_L_X38Y62 INT_TILE_Y 87 TILEPROP INT_L_X38Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y62 IS_DCM_TILE 0 TILEPROP INT_L_X38Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y62 NAME INT_L_X38Y62 TILEPROP INT_L_X38Y62 NUM_ARCS 3737 TILEPROP INT_L_X38Y62 NUM_SITES 1 TILEPROP INT_L_X38Y62 ROW 91 TILEPROP INT_L_X38Y62 SLR_REGION_ID 0 TILEPROP INT_L_X38Y62 TILE_PATTERN_IDX 6789 TILEPROP INT_L_X38Y62 TILE_TYPE INT_L TILEPROP INT_L_X38Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y62 TILE_X 79390 TILEPROP INT_L_X38Y62 TILE_Y -40000 TILEPROP INT_L_X38Y62 TYPE INT_L TILEPROP INT_L_X38Y63 CLASS tile TILEPROP INT_L_X38Y63 COLUMN 98 TILEPROP INT_L_X38Y63 DEVICE_ID 0 TILEPROP INT_L_X38Y63 FIRST_SITE_ID 9025 TILEPROP INT_L_X38Y63 GRID_POINT_X 98 TILEPROP INT_L_X38Y63 GRID_POINT_Y 90 TILEPROP INT_L_X38Y63 INDEX 10448 TILEPROP INT_L_X38Y63 INT_TILE_X 38 TILEPROP INT_L_X38Y63 INT_TILE_Y 86 TILEPROP INT_L_X38Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y63 IS_DCM_TILE 0 TILEPROP INT_L_X38Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y63 NAME INT_L_X38Y63 TILEPROP INT_L_X38Y63 NUM_ARCS 3737 TILEPROP INT_L_X38Y63 NUM_SITES 1 TILEPROP INT_L_X38Y63 ROW 90 TILEPROP INT_L_X38Y63 SLR_REGION_ID 0 TILEPROP INT_L_X38Y63 TILE_PATTERN_IDX 6752 TILEPROP INT_L_X38Y63 TILE_TYPE INT_L TILEPROP INT_L_X38Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y63 TILE_X 79390 TILEPROP INT_L_X38Y63 TILE_Y -36800 TILEPROP INT_L_X38Y63 TYPE INT_L TILEPROP INT_L_X38Y64 CLASS tile TILEPROP INT_L_X38Y64 COLUMN 98 TILEPROP INT_L_X38Y64 DEVICE_ID 0 TILEPROP INT_L_X38Y64 FIRST_SITE_ID 8925 TILEPROP INT_L_X38Y64 GRID_POINT_X 98 TILEPROP INT_L_X38Y64 GRID_POINT_Y 89 TILEPROP INT_L_X38Y64 INDEX 10333 TILEPROP INT_L_X38Y64 INT_TILE_X 38 TILEPROP INT_L_X38Y64 INT_TILE_Y 85 TILEPROP INT_L_X38Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y64 IS_DCM_TILE 0 TILEPROP INT_L_X38Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y64 NAME INT_L_X38Y64 TILEPROP INT_L_X38Y64 NUM_ARCS 3737 TILEPROP INT_L_X38Y64 NUM_SITES 1 TILEPROP INT_L_X38Y64 ROW 89 TILEPROP INT_L_X38Y64 SLR_REGION_ID 0 TILEPROP INT_L_X38Y64 TILE_PATTERN_IDX 6715 TILEPROP INT_L_X38Y64 TILE_TYPE INT_L TILEPROP INT_L_X38Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y64 TILE_X 79390 TILEPROP INT_L_X38Y64 TILE_Y -33600 TILEPROP INT_L_X38Y64 TYPE INT_L TILEPROP INT_L_X38Y65 CLASS tile TILEPROP INT_L_X38Y65 COLUMN 98 TILEPROP INT_L_X38Y65 DEVICE_ID 0 TILEPROP INT_L_X38Y65 FIRST_SITE_ID 8825 TILEPROP INT_L_X38Y65 GRID_POINT_X 98 TILEPROP INT_L_X38Y65 GRID_POINT_Y 88 TILEPROP INT_L_X38Y65 INDEX 10218 TILEPROP INT_L_X38Y65 INT_TILE_X 38 TILEPROP INT_L_X38Y65 INT_TILE_Y 84 TILEPROP INT_L_X38Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y65 IS_DCM_TILE 0 TILEPROP INT_L_X38Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y65 NAME INT_L_X38Y65 TILEPROP INT_L_X38Y65 NUM_ARCS 3737 TILEPROP INT_L_X38Y65 NUM_SITES 1 TILEPROP INT_L_X38Y65 ROW 88 TILEPROP INT_L_X38Y65 SLR_REGION_ID 0 TILEPROP INT_L_X38Y65 TILE_PATTERN_IDX 6678 TILEPROP INT_L_X38Y65 TILE_TYPE INT_L TILEPROP INT_L_X38Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y65 TILE_X 79390 TILEPROP INT_L_X38Y65 TILE_Y -30400 TILEPROP INT_L_X38Y65 TYPE INT_L TILEPROP INT_L_X38Y66 CLASS tile TILEPROP INT_L_X38Y66 COLUMN 98 TILEPROP INT_L_X38Y66 DEVICE_ID 0 TILEPROP INT_L_X38Y66 FIRST_SITE_ID 8710 TILEPROP INT_L_X38Y66 GRID_POINT_X 98 TILEPROP INT_L_X38Y66 GRID_POINT_Y 87 TILEPROP INT_L_X38Y66 INDEX 10103 TILEPROP INT_L_X38Y66 INT_TILE_X 38 TILEPROP INT_L_X38Y66 INT_TILE_Y 83 TILEPROP INT_L_X38Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y66 IS_DCM_TILE 0 TILEPROP INT_L_X38Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y66 NAME INT_L_X38Y66 TILEPROP INT_L_X38Y66 NUM_ARCS 3737 TILEPROP INT_L_X38Y66 NUM_SITES 1 TILEPROP INT_L_X38Y66 ROW 87 TILEPROP INT_L_X38Y66 SLR_REGION_ID 0 TILEPROP INT_L_X38Y66 TILE_PATTERN_IDX 6641 TILEPROP INT_L_X38Y66 TILE_TYPE INT_L TILEPROP INT_L_X38Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y66 TILE_X 79390 TILEPROP INT_L_X38Y66 TILE_Y -27200 TILEPROP INT_L_X38Y66 TYPE INT_L TILEPROP INT_L_X38Y67 CLASS tile TILEPROP INT_L_X38Y67 COLUMN 98 TILEPROP INT_L_X38Y67 DEVICE_ID 0 TILEPROP INT_L_X38Y67 FIRST_SITE_ID 8606 TILEPROP INT_L_X38Y67 GRID_POINT_X 98 TILEPROP INT_L_X38Y67 GRID_POINT_Y 86 TILEPROP INT_L_X38Y67 INDEX 9988 TILEPROP INT_L_X38Y67 INT_TILE_X 38 TILEPROP INT_L_X38Y67 INT_TILE_Y 82 TILEPROP INT_L_X38Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y67 IS_DCM_TILE 0 TILEPROP INT_L_X38Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y67 NAME INT_L_X38Y67 TILEPROP INT_L_X38Y67 NUM_ARCS 3737 TILEPROP INT_L_X38Y67 NUM_SITES 1 TILEPROP INT_L_X38Y67 ROW 86 TILEPROP INT_L_X38Y67 SLR_REGION_ID 0 TILEPROP INT_L_X38Y67 TILE_PATTERN_IDX 6603 TILEPROP INT_L_X38Y67 TILE_TYPE INT_L TILEPROP INT_L_X38Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y67 TILE_X 79390 TILEPROP INT_L_X38Y67 TILE_Y -24000 TILEPROP INT_L_X38Y67 TYPE INT_L TILEPROP INT_L_X38Y68 CLASS tile TILEPROP INT_L_X38Y68 COLUMN 98 TILEPROP INT_L_X38Y68 DEVICE_ID 0 TILEPROP INT_L_X38Y68 FIRST_SITE_ID 8502 TILEPROP INT_L_X38Y68 GRID_POINT_X 98 TILEPROP INT_L_X38Y68 GRID_POINT_Y 85 TILEPROP INT_L_X38Y68 INDEX 9873 TILEPROP INT_L_X38Y68 INT_TILE_X 38 TILEPROP INT_L_X38Y68 INT_TILE_Y 81 TILEPROP INT_L_X38Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y68 IS_DCM_TILE 0 TILEPROP INT_L_X38Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y68 NAME INT_L_X38Y68 TILEPROP INT_L_X38Y68 NUM_ARCS 3737 TILEPROP INT_L_X38Y68 NUM_SITES 1 TILEPROP INT_L_X38Y68 ROW 85 TILEPROP INT_L_X38Y68 SLR_REGION_ID 0 TILEPROP INT_L_X38Y68 TILE_PATTERN_IDX 2866 TILEPROP INT_L_X38Y68 TILE_TYPE INT_L TILEPROP INT_L_X38Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y68 TILE_X 79390 TILEPROP INT_L_X38Y68 TILE_Y -20800 TILEPROP INT_L_X38Y68 TYPE INT_L TILEPROP INT_L_X38Y69 CLASS tile TILEPROP INT_L_X38Y69 COLUMN 98 TILEPROP INT_L_X38Y69 DEVICE_ID 0 TILEPROP INT_L_X38Y69 FIRST_SITE_ID 8400 TILEPROP INT_L_X38Y69 GRID_POINT_X 98 TILEPROP INT_L_X38Y69 GRID_POINT_Y 84 TILEPROP INT_L_X38Y69 INDEX 9758 TILEPROP INT_L_X38Y69 INT_TILE_X 38 TILEPROP INT_L_X38Y69 INT_TILE_Y 80 TILEPROP INT_L_X38Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y69 IS_DCM_TILE 0 TILEPROP INT_L_X38Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y69 NAME INT_L_X38Y69 TILEPROP INT_L_X38Y69 NUM_ARCS 3737 TILEPROP INT_L_X38Y69 NUM_SITES 1 TILEPROP INT_L_X38Y69 ROW 84 TILEPROP INT_L_X38Y69 SLR_REGION_ID 0 TILEPROP INT_L_X38Y69 TILE_PATTERN_IDX 2829 TILEPROP INT_L_X38Y69 TILE_TYPE INT_L TILEPROP INT_L_X38Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y69 TILE_X 79390 TILEPROP INT_L_X38Y69 TILE_Y -17600 TILEPROP INT_L_X38Y69 TYPE INT_L TILEPROP INT_L_X38Y70 CLASS tile TILEPROP INT_L_X38Y70 COLUMN 98 TILEPROP INT_L_X38Y70 DEVICE_ID 0 TILEPROP INT_L_X38Y70 FIRST_SITE_ID 8298 TILEPROP INT_L_X38Y70 GRID_POINT_X 98 TILEPROP INT_L_X38Y70 GRID_POINT_Y 83 TILEPROP INT_L_X38Y70 INDEX 9643 TILEPROP INT_L_X38Y70 INT_TILE_X 38 TILEPROP INT_L_X38Y70 INT_TILE_Y 79 TILEPROP INT_L_X38Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y70 IS_DCM_TILE 0 TILEPROP INT_L_X38Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y70 NAME INT_L_X38Y70 TILEPROP INT_L_X38Y70 NUM_ARCS 3737 TILEPROP INT_L_X38Y70 NUM_SITES 1 TILEPROP INT_L_X38Y70 ROW 83 TILEPROP INT_L_X38Y70 SLR_REGION_ID 0 TILEPROP INT_L_X38Y70 TILE_PATTERN_IDX 2794 TILEPROP INT_L_X38Y70 TILE_TYPE INT_L TILEPROP INT_L_X38Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y70 TILE_X 79390 TILEPROP INT_L_X38Y70 TILE_Y -14400 TILEPROP INT_L_X38Y70 TYPE INT_L TILEPROP INT_L_X38Y71 CLASS tile TILEPROP INT_L_X38Y71 COLUMN 98 TILEPROP INT_L_X38Y71 DEVICE_ID 0 TILEPROP INT_L_X38Y71 FIRST_SITE_ID 8183 TILEPROP INT_L_X38Y71 GRID_POINT_X 98 TILEPROP INT_L_X38Y71 GRID_POINT_Y 82 TILEPROP INT_L_X38Y71 INDEX 9528 TILEPROP INT_L_X38Y71 INT_TILE_X 38 TILEPROP INT_L_X38Y71 INT_TILE_Y 78 TILEPROP INT_L_X38Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y71 IS_DCM_TILE 0 TILEPROP INT_L_X38Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y71 NAME INT_L_X38Y71 TILEPROP INT_L_X38Y71 NUM_ARCS 3737 TILEPROP INT_L_X38Y71 NUM_SITES 1 TILEPROP INT_L_X38Y71 ROW 82 TILEPROP INT_L_X38Y71 SLR_REGION_ID 0 TILEPROP INT_L_X38Y71 TILE_PATTERN_IDX 2757 TILEPROP INT_L_X38Y71 TILE_TYPE INT_L TILEPROP INT_L_X38Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y71 TILE_X 79390 TILEPROP INT_L_X38Y71 TILE_Y -11200 TILEPROP INT_L_X38Y71 TYPE INT_L TILEPROP INT_L_X38Y72 CLASS tile TILEPROP INT_L_X38Y72 COLUMN 98 TILEPROP INT_L_X38Y72 DEVICE_ID 0 TILEPROP INT_L_X38Y72 FIRST_SITE_ID 8083 TILEPROP INT_L_X38Y72 GRID_POINT_X 98 TILEPROP INT_L_X38Y72 GRID_POINT_Y 81 TILEPROP INT_L_X38Y72 INDEX 9413 TILEPROP INT_L_X38Y72 INT_TILE_X 38 TILEPROP INT_L_X38Y72 INT_TILE_Y 77 TILEPROP INT_L_X38Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y72 IS_DCM_TILE 0 TILEPROP INT_L_X38Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y72 NAME INT_L_X38Y72 TILEPROP INT_L_X38Y72 NUM_ARCS 3737 TILEPROP INT_L_X38Y72 NUM_SITES 1 TILEPROP INT_L_X38Y72 ROW 81 TILEPROP INT_L_X38Y72 SLR_REGION_ID 0 TILEPROP INT_L_X38Y72 TILE_PATTERN_IDX 2721 TILEPROP INT_L_X38Y72 TILE_TYPE INT_L TILEPROP INT_L_X38Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y72 TILE_X 79390 TILEPROP INT_L_X38Y72 TILE_Y -8000 TILEPROP INT_L_X38Y72 TYPE INT_L TILEPROP INT_L_X38Y73 CLASS tile TILEPROP INT_L_X38Y73 COLUMN 98 TILEPROP INT_L_X38Y73 DEVICE_ID 0 TILEPROP INT_L_X38Y73 FIRST_SITE_ID 7983 TILEPROP INT_L_X38Y73 GRID_POINT_X 98 TILEPROP INT_L_X38Y73 GRID_POINT_Y 80 TILEPROP INT_L_X38Y73 INDEX 9298 TILEPROP INT_L_X38Y73 INT_TILE_X 38 TILEPROP INT_L_X38Y73 INT_TILE_Y 76 TILEPROP INT_L_X38Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y73 IS_DCM_TILE 0 TILEPROP INT_L_X38Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y73 NAME INT_L_X38Y73 TILEPROP INT_L_X38Y73 NUM_ARCS 3737 TILEPROP INT_L_X38Y73 NUM_SITES 1 TILEPROP INT_L_X38Y73 ROW 80 TILEPROP INT_L_X38Y73 SLR_REGION_ID 0 TILEPROP INT_L_X38Y73 TILE_PATTERN_IDX 2684 TILEPROP INT_L_X38Y73 TILE_TYPE INT_L TILEPROP INT_L_X38Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y73 TILE_X 79390 TILEPROP INT_L_X38Y73 TILE_Y -4800 TILEPROP INT_L_X38Y73 TYPE INT_L TILEPROP INT_L_X38Y74 CLASS tile TILEPROP INT_L_X38Y74 COLUMN 98 TILEPROP INT_L_X38Y74 DEVICE_ID 0 TILEPROP INT_L_X38Y74 FIRST_SITE_ID 7883 TILEPROP INT_L_X38Y74 GRID_POINT_X 98 TILEPROP INT_L_X38Y74 GRID_POINT_Y 79 TILEPROP INT_L_X38Y74 INDEX 9183 TILEPROP INT_L_X38Y74 INT_TILE_X 38 TILEPROP INT_L_X38Y74 INT_TILE_Y 75 TILEPROP INT_L_X38Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y74 IS_DCM_TILE 0 TILEPROP INT_L_X38Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y74 NAME INT_L_X38Y74 TILEPROP INT_L_X38Y74 NUM_ARCS 3737 TILEPROP INT_L_X38Y74 NUM_SITES 1 TILEPROP INT_L_X38Y74 ROW 79 TILEPROP INT_L_X38Y74 SLR_REGION_ID 0 TILEPROP INT_L_X38Y74 TILE_PATTERN_IDX 2648 TILEPROP INT_L_X38Y74 TILE_TYPE INT_L TILEPROP INT_L_X38Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y74 TILE_X 79390 TILEPROP INT_L_X38Y74 TILE_Y -1600 TILEPROP INT_L_X38Y74 TYPE INT_L TILEPROP INT_L_X38Y75 CLASS tile TILEPROP INT_L_X38Y75 COLUMN 98 TILEPROP INT_L_X38Y75 DEVICE_ID 0 TILEPROP INT_L_X38Y75 FIRST_SITE_ID 7700 TILEPROP INT_L_X38Y75 GRID_POINT_X 98 TILEPROP INT_L_X38Y75 GRID_POINT_Y 77 TILEPROP INT_L_X38Y75 INDEX 8953 TILEPROP INT_L_X38Y75 INT_TILE_X 38 TILEPROP INT_L_X38Y75 INT_TILE_Y 74 TILEPROP INT_L_X38Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y75 IS_DCM_TILE 0 TILEPROP INT_L_X38Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y75 NAME INT_L_X38Y75 TILEPROP INT_L_X38Y75 NUM_ARCS 3737 TILEPROP INT_L_X38Y75 NUM_SITES 1 TILEPROP INT_L_X38Y75 ROW 77 TILEPROP INT_L_X38Y75 SLR_REGION_ID 0 TILEPROP INT_L_X38Y75 TILE_PATTERN_IDX 2573 TILEPROP INT_L_X38Y75 TILE_TYPE INT_L TILEPROP INT_L_X38Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y75 TILE_X 79390 TILEPROP INT_L_X38Y75 TILE_Y 2624 TILEPROP INT_L_X38Y75 TYPE INT_L TILEPROP INT_L_X38Y76 CLASS tile TILEPROP INT_L_X38Y76 COLUMN 98 TILEPROP INT_L_X38Y76 DEVICE_ID 0 TILEPROP INT_L_X38Y76 FIRST_SITE_ID 7582 TILEPROP INT_L_X38Y76 GRID_POINT_X 98 TILEPROP INT_L_X38Y76 GRID_POINT_Y 76 TILEPROP INT_L_X38Y76 INDEX 8838 TILEPROP INT_L_X38Y76 INT_TILE_X 38 TILEPROP INT_L_X38Y76 INT_TILE_Y 73 TILEPROP INT_L_X38Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y76 IS_DCM_TILE 0 TILEPROP INT_L_X38Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y76 NAME INT_L_X38Y76 TILEPROP INT_L_X38Y76 NUM_ARCS 3737 TILEPROP INT_L_X38Y76 NUM_SITES 1 TILEPROP INT_L_X38Y76 ROW 76 TILEPROP INT_L_X38Y76 SLR_REGION_ID 0 TILEPROP INT_L_X38Y76 TILE_PATTERN_IDX 2536 TILEPROP INT_L_X38Y76 TILE_TYPE INT_L TILEPROP INT_L_X38Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y76 TILE_X 79390 TILEPROP INT_L_X38Y76 TILE_Y 5824 TILEPROP INT_L_X38Y76 TYPE INT_L TILEPROP INT_L_X38Y77 CLASS tile TILEPROP INT_L_X38Y77 COLUMN 98 TILEPROP INT_L_X38Y77 DEVICE_ID 0 TILEPROP INT_L_X38Y77 FIRST_SITE_ID 7482 TILEPROP INT_L_X38Y77 GRID_POINT_X 98 TILEPROP INT_L_X38Y77 GRID_POINT_Y 75 TILEPROP INT_L_X38Y77 INDEX 8723 TILEPROP INT_L_X38Y77 INT_TILE_X 38 TILEPROP INT_L_X38Y77 INT_TILE_Y 72 TILEPROP INT_L_X38Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y77 IS_DCM_TILE 0 TILEPROP INT_L_X38Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y77 NAME INT_L_X38Y77 TILEPROP INT_L_X38Y77 NUM_ARCS 3737 TILEPROP INT_L_X38Y77 NUM_SITES 1 TILEPROP INT_L_X38Y77 ROW 75 TILEPROP INT_L_X38Y77 SLR_REGION_ID 0 TILEPROP INT_L_X38Y77 TILE_PATTERN_IDX 2499 TILEPROP INT_L_X38Y77 TILE_TYPE INT_L TILEPROP INT_L_X38Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y77 TILE_X 79390 TILEPROP INT_L_X38Y77 TILE_Y 9024 TILEPROP INT_L_X38Y77 TYPE INT_L TILEPROP INT_L_X38Y78 CLASS tile TILEPROP INT_L_X38Y78 COLUMN 98 TILEPROP INT_L_X38Y78 DEVICE_ID 0 TILEPROP INT_L_X38Y78 FIRST_SITE_ID 7382 TILEPROP INT_L_X38Y78 GRID_POINT_X 98 TILEPROP INT_L_X38Y78 GRID_POINT_Y 74 TILEPROP INT_L_X38Y78 INDEX 8608 TILEPROP INT_L_X38Y78 INT_TILE_X 38 TILEPROP INT_L_X38Y78 INT_TILE_Y 71 TILEPROP INT_L_X38Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y78 IS_DCM_TILE 0 TILEPROP INT_L_X38Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y78 NAME INT_L_X38Y78 TILEPROP INT_L_X38Y78 NUM_ARCS 3737 TILEPROP INT_L_X38Y78 NUM_SITES 1 TILEPROP INT_L_X38Y78 ROW 74 TILEPROP INT_L_X38Y78 SLR_REGION_ID 0 TILEPROP INT_L_X38Y78 TILE_PATTERN_IDX 2463 TILEPROP INT_L_X38Y78 TILE_TYPE INT_L TILEPROP INT_L_X38Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y78 TILE_X 79390 TILEPROP INT_L_X38Y78 TILE_Y 12224 TILEPROP INT_L_X38Y78 TYPE INT_L TILEPROP INT_L_X38Y79 CLASS tile TILEPROP INT_L_X38Y79 COLUMN 98 TILEPROP INT_L_X38Y79 DEVICE_ID 0 TILEPROP INT_L_X38Y79 FIRST_SITE_ID 7276 TILEPROP INT_L_X38Y79 GRID_POINT_X 98 TILEPROP INT_L_X38Y79 GRID_POINT_Y 73 TILEPROP INT_L_X38Y79 INDEX 8493 TILEPROP INT_L_X38Y79 INT_TILE_X 38 TILEPROP INT_L_X38Y79 INT_TILE_Y 70 TILEPROP INT_L_X38Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y79 IS_DCM_TILE 0 TILEPROP INT_L_X38Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y79 NAME INT_L_X38Y79 TILEPROP INT_L_X38Y79 NUM_ARCS 3737 TILEPROP INT_L_X38Y79 NUM_SITES 1 TILEPROP INT_L_X38Y79 ROW 73 TILEPROP INT_L_X38Y79 SLR_REGION_ID 0 TILEPROP INT_L_X38Y79 TILE_PATTERN_IDX 2425 TILEPROP INT_L_X38Y79 TILE_TYPE INT_L TILEPROP INT_L_X38Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y79 TILE_X 79390 TILEPROP INT_L_X38Y79 TILE_Y 15424 TILEPROP INT_L_X38Y79 TYPE INT_L TILEPROP INT_L_X38Y80 CLASS tile TILEPROP INT_L_X38Y80 COLUMN 98 TILEPROP INT_L_X38Y80 DEVICE_ID 0 TILEPROP INT_L_X38Y80 FIRST_SITE_ID 7170 TILEPROP INT_L_X38Y80 GRID_POINT_X 98 TILEPROP INT_L_X38Y80 GRID_POINT_Y 72 TILEPROP INT_L_X38Y80 INDEX 8378 TILEPROP INT_L_X38Y80 INT_TILE_X 38 TILEPROP INT_L_X38Y80 INT_TILE_Y 69 TILEPROP INT_L_X38Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y80 IS_DCM_TILE 0 TILEPROP INT_L_X38Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y80 NAME INT_L_X38Y80 TILEPROP INT_L_X38Y80 NUM_ARCS 3737 TILEPROP INT_L_X38Y80 NUM_SITES 1 TILEPROP INT_L_X38Y80 ROW 72 TILEPROP INT_L_X38Y80 SLR_REGION_ID 0 TILEPROP INT_L_X38Y80 TILE_PATTERN_IDX 2389 TILEPROP INT_L_X38Y80 TILE_TYPE INT_L TILEPROP INT_L_X38Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y80 TILE_X 79390 TILEPROP INT_L_X38Y80 TILE_Y 18624 TILEPROP INT_L_X38Y80 TYPE INT_L TILEPROP INT_L_X38Y81 CLASS tile TILEPROP INT_L_X38Y81 COLUMN 98 TILEPROP INT_L_X38Y81 DEVICE_ID 0 TILEPROP INT_L_X38Y81 FIRST_SITE_ID 7053 TILEPROP INT_L_X38Y81 GRID_POINT_X 98 TILEPROP INT_L_X38Y81 GRID_POINT_Y 71 TILEPROP INT_L_X38Y81 INDEX 8263 TILEPROP INT_L_X38Y81 INT_TILE_X 38 TILEPROP INT_L_X38Y81 INT_TILE_Y 68 TILEPROP INT_L_X38Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y81 IS_DCM_TILE 0 TILEPROP INT_L_X38Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y81 NAME INT_L_X38Y81 TILEPROP INT_L_X38Y81 NUM_ARCS 3737 TILEPROP INT_L_X38Y81 NUM_SITES 1 TILEPROP INT_L_X38Y81 ROW 71 TILEPROP INT_L_X38Y81 SLR_REGION_ID 0 TILEPROP INT_L_X38Y81 TILE_PATTERN_IDX 2350 TILEPROP INT_L_X38Y81 TILE_TYPE INT_L TILEPROP INT_L_X38Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y81 TILE_X 79390 TILEPROP INT_L_X38Y81 TILE_Y 21824 TILEPROP INT_L_X38Y81 TYPE INT_L TILEPROP INT_L_X38Y82 CLASS tile TILEPROP INT_L_X38Y82 COLUMN 98 TILEPROP INT_L_X38Y82 DEVICE_ID 0 TILEPROP INT_L_X38Y82 FIRST_SITE_ID 6951 TILEPROP INT_L_X38Y82 GRID_POINT_X 98 TILEPROP INT_L_X38Y82 GRID_POINT_Y 70 TILEPROP INT_L_X38Y82 INDEX 8148 TILEPROP INT_L_X38Y82 INT_TILE_X 38 TILEPROP INT_L_X38Y82 INT_TILE_Y 67 TILEPROP INT_L_X38Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y82 IS_DCM_TILE 0 TILEPROP INT_L_X38Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y82 NAME INT_L_X38Y82 TILEPROP INT_L_X38Y82 NUM_ARCS 3737 TILEPROP INT_L_X38Y82 NUM_SITES 1 TILEPROP INT_L_X38Y82 ROW 70 TILEPROP INT_L_X38Y82 SLR_REGION_ID 0 TILEPROP INT_L_X38Y82 TILE_PATTERN_IDX 2315 TILEPROP INT_L_X38Y82 TILE_TYPE INT_L TILEPROP INT_L_X38Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y82 TILE_X 79390 TILEPROP INT_L_X38Y82 TILE_Y 25024 TILEPROP INT_L_X38Y82 TYPE INT_L TILEPROP INT_L_X38Y83 CLASS tile TILEPROP INT_L_X38Y83 COLUMN 98 TILEPROP INT_L_X38Y83 DEVICE_ID 0 TILEPROP INT_L_X38Y83 FIRST_SITE_ID 6851 TILEPROP INT_L_X38Y83 GRID_POINT_X 98 TILEPROP INT_L_X38Y83 GRID_POINT_Y 69 TILEPROP INT_L_X38Y83 INDEX 8033 TILEPROP INT_L_X38Y83 INT_TILE_X 38 TILEPROP INT_L_X38Y83 INT_TILE_Y 66 TILEPROP INT_L_X38Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y83 IS_DCM_TILE 0 TILEPROP INT_L_X38Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y83 NAME INT_L_X38Y83 TILEPROP INT_L_X38Y83 NUM_ARCS 3737 TILEPROP INT_L_X38Y83 NUM_SITES 1 TILEPROP INT_L_X38Y83 ROW 69 TILEPROP INT_L_X38Y83 SLR_REGION_ID 0 TILEPROP INT_L_X38Y83 TILE_PATTERN_IDX 2277 TILEPROP INT_L_X38Y83 TILE_TYPE INT_L TILEPROP INT_L_X38Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y83 TILE_X 79390 TILEPROP INT_L_X38Y83 TILE_Y 28224 TILEPROP INT_L_X38Y83 TYPE INT_L TILEPROP INT_L_X38Y84 CLASS tile TILEPROP INT_L_X38Y84 COLUMN 98 TILEPROP INT_L_X38Y84 DEVICE_ID 0 TILEPROP INT_L_X38Y84 FIRST_SITE_ID 6751 TILEPROP INT_L_X38Y84 GRID_POINT_X 98 TILEPROP INT_L_X38Y84 GRID_POINT_Y 68 TILEPROP INT_L_X38Y84 INDEX 7918 TILEPROP INT_L_X38Y84 INT_TILE_X 38 TILEPROP INT_L_X38Y84 INT_TILE_Y 65 TILEPROP INT_L_X38Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y84 IS_DCM_TILE 0 TILEPROP INT_L_X38Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y84 NAME INT_L_X38Y84 TILEPROP INT_L_X38Y84 NUM_ARCS 3737 TILEPROP INT_L_X38Y84 NUM_SITES 1 TILEPROP INT_L_X38Y84 ROW 68 TILEPROP INT_L_X38Y84 SLR_REGION_ID 0 TILEPROP INT_L_X38Y84 TILE_PATTERN_IDX 2242 TILEPROP INT_L_X38Y84 TILE_TYPE INT_L TILEPROP INT_L_X38Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y84 TILE_X 79390 TILEPROP INT_L_X38Y84 TILE_Y 31424 TILEPROP INT_L_X38Y84 TYPE INT_L TILEPROP INT_L_X38Y85 CLASS tile TILEPROP INT_L_X38Y85 COLUMN 98 TILEPROP INT_L_X38Y85 DEVICE_ID 0 TILEPROP INT_L_X38Y85 FIRST_SITE_ID 6651 TILEPROP INT_L_X38Y85 GRID_POINT_X 98 TILEPROP INT_L_X38Y85 GRID_POINT_Y 67 TILEPROP INT_L_X38Y85 INDEX 7803 TILEPROP INT_L_X38Y85 INT_TILE_X 38 TILEPROP INT_L_X38Y85 INT_TILE_Y 64 TILEPROP INT_L_X38Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y85 IS_DCM_TILE 0 TILEPROP INT_L_X38Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y85 NAME INT_L_X38Y85 TILEPROP INT_L_X38Y85 NUM_ARCS 3737 TILEPROP INT_L_X38Y85 NUM_SITES 1 TILEPROP INT_L_X38Y85 ROW 67 TILEPROP INT_L_X38Y85 SLR_REGION_ID 0 TILEPROP INT_L_X38Y85 TILE_PATTERN_IDX 2206 TILEPROP INT_L_X38Y85 TILE_TYPE INT_L TILEPROP INT_L_X38Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y85 TILE_X 79390 TILEPROP INT_L_X38Y85 TILE_Y 34624 TILEPROP INT_L_X38Y85 TYPE INT_L TILEPROP INT_L_X38Y86 CLASS tile TILEPROP INT_L_X38Y86 COLUMN 98 TILEPROP INT_L_X38Y86 DEVICE_ID 0 TILEPROP INT_L_X38Y86 FIRST_SITE_ID 6536 TILEPROP INT_L_X38Y86 GRID_POINT_X 98 TILEPROP INT_L_X38Y86 GRID_POINT_Y 66 TILEPROP INT_L_X38Y86 INDEX 7688 TILEPROP INT_L_X38Y86 INT_TILE_X 38 TILEPROP INT_L_X38Y86 INT_TILE_Y 63 TILEPROP INT_L_X38Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y86 IS_DCM_TILE 0 TILEPROP INT_L_X38Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y86 NAME INT_L_X38Y86 TILEPROP INT_L_X38Y86 NUM_ARCS 3737 TILEPROP INT_L_X38Y86 NUM_SITES 1 TILEPROP INT_L_X38Y86 ROW 66 TILEPROP INT_L_X38Y86 SLR_REGION_ID 0 TILEPROP INT_L_X38Y86 TILE_PATTERN_IDX 2170 TILEPROP INT_L_X38Y86 TILE_TYPE INT_L TILEPROP INT_L_X38Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y86 TILE_X 79390 TILEPROP INT_L_X38Y86 TILE_Y 37824 TILEPROP INT_L_X38Y86 TYPE INT_L TILEPROP INT_L_X38Y87 CLASS tile TILEPROP INT_L_X38Y87 COLUMN 98 TILEPROP INT_L_X38Y87 DEVICE_ID 0 TILEPROP INT_L_X38Y87 FIRST_SITE_ID 6404 TILEPROP INT_L_X38Y87 GRID_POINT_X 98 TILEPROP INT_L_X38Y87 GRID_POINT_Y 65 TILEPROP INT_L_X38Y87 INDEX 7573 TILEPROP INT_L_X38Y87 INT_TILE_X 38 TILEPROP INT_L_X38Y87 INT_TILE_Y 62 TILEPROP INT_L_X38Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y87 IS_DCM_TILE 0 TILEPROP INT_L_X38Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y87 NAME INT_L_X38Y87 TILEPROP INT_L_X38Y87 NUM_ARCS 3737 TILEPROP INT_L_X38Y87 NUM_SITES 1 TILEPROP INT_L_X38Y87 ROW 65 TILEPROP INT_L_X38Y87 SLR_REGION_ID 0 TILEPROP INT_L_X38Y87 TILE_PATTERN_IDX 2132 TILEPROP INT_L_X38Y87 TILE_TYPE INT_L TILEPROP INT_L_X38Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y87 TILE_X 79390 TILEPROP INT_L_X38Y87 TILE_Y 41024 TILEPROP INT_L_X38Y87 TYPE INT_L TILEPROP INT_L_X38Y88 CLASS tile TILEPROP INT_L_X38Y88 COLUMN 98 TILEPROP INT_L_X38Y88 DEVICE_ID 0 TILEPROP INT_L_X38Y88 FIRST_SITE_ID 6304 TILEPROP INT_L_X38Y88 GRID_POINT_X 98 TILEPROP INT_L_X38Y88 GRID_POINT_Y 64 TILEPROP INT_L_X38Y88 INDEX 7458 TILEPROP INT_L_X38Y88 INT_TILE_X 38 TILEPROP INT_L_X38Y88 INT_TILE_Y 61 TILEPROP INT_L_X38Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y88 IS_DCM_TILE 0 TILEPROP INT_L_X38Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y88 NAME INT_L_X38Y88 TILEPROP INT_L_X38Y88 NUM_ARCS 3737 TILEPROP INT_L_X38Y88 NUM_SITES 1 TILEPROP INT_L_X38Y88 ROW 64 TILEPROP INT_L_X38Y88 SLR_REGION_ID 0 TILEPROP INT_L_X38Y88 TILE_PATTERN_IDX 2097 TILEPROP INT_L_X38Y88 TILE_TYPE INT_L TILEPROP INT_L_X38Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y88 TILE_X 79390 TILEPROP INT_L_X38Y88 TILE_Y 44224 TILEPROP INT_L_X38Y88 TYPE INT_L TILEPROP INT_L_X38Y89 CLASS tile TILEPROP INT_L_X38Y89 COLUMN 98 TILEPROP INT_L_X38Y89 DEVICE_ID 0 TILEPROP INT_L_X38Y89 FIRST_SITE_ID 6204 TILEPROP INT_L_X38Y89 GRID_POINT_X 98 TILEPROP INT_L_X38Y89 GRID_POINT_Y 63 TILEPROP INT_L_X38Y89 INDEX 7343 TILEPROP INT_L_X38Y89 INT_TILE_X 38 TILEPROP INT_L_X38Y89 INT_TILE_Y 60 TILEPROP INT_L_X38Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y89 IS_DCM_TILE 0 TILEPROP INT_L_X38Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y89 NAME INT_L_X38Y89 TILEPROP INT_L_X38Y89 NUM_ARCS 3737 TILEPROP INT_L_X38Y89 NUM_SITES 1 TILEPROP INT_L_X38Y89 ROW 63 TILEPROP INT_L_X38Y89 SLR_REGION_ID 0 TILEPROP INT_L_X38Y89 TILE_PATTERN_IDX 2061 TILEPROP INT_L_X38Y89 TILE_TYPE INT_L TILEPROP INT_L_X38Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y89 TILE_X 79390 TILEPROP INT_L_X38Y89 TILE_Y 47424 TILEPROP INT_L_X38Y89 TYPE INT_L TILEPROP INT_L_X38Y90 CLASS tile TILEPROP INT_L_X38Y90 COLUMN 98 TILEPROP INT_L_X38Y90 DEVICE_ID 0 TILEPROP INT_L_X38Y90 FIRST_SITE_ID 6104 TILEPROP INT_L_X38Y90 GRID_POINT_X 98 TILEPROP INT_L_X38Y90 GRID_POINT_Y 62 TILEPROP INT_L_X38Y90 INDEX 7228 TILEPROP INT_L_X38Y90 INT_TILE_X 38 TILEPROP INT_L_X38Y90 INT_TILE_Y 59 TILEPROP INT_L_X38Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y90 IS_DCM_TILE 0 TILEPROP INT_L_X38Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y90 NAME INT_L_X38Y90 TILEPROP INT_L_X38Y90 NUM_ARCS 3737 TILEPROP INT_L_X38Y90 NUM_SITES 1 TILEPROP INT_L_X38Y90 ROW 62 TILEPROP INT_L_X38Y90 SLR_REGION_ID 0 TILEPROP INT_L_X38Y90 TILE_PATTERN_IDX 2026 TILEPROP INT_L_X38Y90 TILE_TYPE INT_L TILEPROP INT_L_X38Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y90 TILE_X 79390 TILEPROP INT_L_X38Y90 TILE_Y 50624 TILEPROP INT_L_X38Y90 TYPE INT_L TILEPROP INT_L_X38Y91 CLASS tile TILEPROP INT_L_X38Y91 COLUMN 98 TILEPROP INT_L_X38Y91 DEVICE_ID 0 TILEPROP INT_L_X38Y91 FIRST_SITE_ID 5989 TILEPROP INT_L_X38Y91 GRID_POINT_X 98 TILEPROP INT_L_X38Y91 GRID_POINT_Y 61 TILEPROP INT_L_X38Y91 INDEX 7113 TILEPROP INT_L_X38Y91 INT_TILE_X 38 TILEPROP INT_L_X38Y91 INT_TILE_Y 58 TILEPROP INT_L_X38Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y91 IS_DCM_TILE 0 TILEPROP INT_L_X38Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y91 NAME INT_L_X38Y91 TILEPROP INT_L_X38Y91 NUM_ARCS 3737 TILEPROP INT_L_X38Y91 NUM_SITES 1 TILEPROP INT_L_X38Y91 ROW 61 TILEPROP INT_L_X38Y91 SLR_REGION_ID 0 TILEPROP INT_L_X38Y91 TILE_PATTERN_IDX 1987 TILEPROP INT_L_X38Y91 TILE_TYPE INT_L TILEPROP INT_L_X38Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y91 TILE_X 79390 TILEPROP INT_L_X38Y91 TILE_Y 53824 TILEPROP INT_L_X38Y91 TYPE INT_L TILEPROP INT_L_X38Y92 CLASS tile TILEPROP INT_L_X38Y92 COLUMN 98 TILEPROP INT_L_X38Y92 DEVICE_ID 0 TILEPROP INT_L_X38Y92 FIRST_SITE_ID 5888 TILEPROP INT_L_X38Y92 GRID_POINT_X 98 TILEPROP INT_L_X38Y92 GRID_POINT_Y 60 TILEPROP INT_L_X38Y92 INDEX 6998 TILEPROP INT_L_X38Y92 INT_TILE_X 38 TILEPROP INT_L_X38Y92 INT_TILE_Y 57 TILEPROP INT_L_X38Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y92 IS_DCM_TILE 0 TILEPROP INT_L_X38Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y92 NAME INT_L_X38Y92 TILEPROP INT_L_X38Y92 NUM_ARCS 3737 TILEPROP INT_L_X38Y92 NUM_SITES 1 TILEPROP INT_L_X38Y92 ROW 60 TILEPROP INT_L_X38Y92 SLR_REGION_ID 0 TILEPROP INT_L_X38Y92 TILE_PATTERN_IDX 1949 TILEPROP INT_L_X38Y92 TILE_TYPE INT_L TILEPROP INT_L_X38Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y92 TILE_X 79390 TILEPROP INT_L_X38Y92 TILE_Y 57024 TILEPROP INT_L_X38Y92 TYPE INT_L TILEPROP INT_L_X38Y93 CLASS tile TILEPROP INT_L_X38Y93 COLUMN 98 TILEPROP INT_L_X38Y93 DEVICE_ID 0 TILEPROP INT_L_X38Y93 FIRST_SITE_ID 5785 TILEPROP INT_L_X38Y93 GRID_POINT_X 98 TILEPROP INT_L_X38Y93 GRID_POINT_Y 59 TILEPROP INT_L_X38Y93 INDEX 6883 TILEPROP INT_L_X38Y93 INT_TILE_X 38 TILEPROP INT_L_X38Y93 INT_TILE_Y 56 TILEPROP INT_L_X38Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y93 IS_DCM_TILE 0 TILEPROP INT_L_X38Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y93 NAME INT_L_X38Y93 TILEPROP INT_L_X38Y93 NUM_ARCS 3737 TILEPROP INT_L_X38Y93 NUM_SITES 1 TILEPROP INT_L_X38Y93 ROW 59 TILEPROP INT_L_X38Y93 SLR_REGION_ID 0 TILEPROP INT_L_X38Y93 TILE_PATTERN_IDX 1910 TILEPROP INT_L_X38Y93 TILE_TYPE INT_L TILEPROP INT_L_X38Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y93 TILE_X 79390 TILEPROP INT_L_X38Y93 TILE_Y 60224 TILEPROP INT_L_X38Y93 TYPE INT_L TILEPROP INT_L_X38Y94 CLASS tile TILEPROP INT_L_X38Y94 COLUMN 98 TILEPROP INT_L_X38Y94 DEVICE_ID 0 TILEPROP INT_L_X38Y94 FIRST_SITE_ID 5683 TILEPROP INT_L_X38Y94 GRID_POINT_X 98 TILEPROP INT_L_X38Y94 GRID_POINT_Y 58 TILEPROP INT_L_X38Y94 INDEX 6768 TILEPROP INT_L_X38Y94 INT_TILE_X 38 TILEPROP INT_L_X38Y94 INT_TILE_Y 55 TILEPROP INT_L_X38Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y94 IS_DCM_TILE 0 TILEPROP INT_L_X38Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y94 NAME INT_L_X38Y94 TILEPROP INT_L_X38Y94 NUM_ARCS 3737 TILEPROP INT_L_X38Y94 NUM_SITES 1 TILEPROP INT_L_X38Y94 ROW 58 TILEPROP INT_L_X38Y94 SLR_REGION_ID 0 TILEPROP INT_L_X38Y94 TILE_PATTERN_IDX 1874 TILEPROP INT_L_X38Y94 TILE_TYPE INT_L TILEPROP INT_L_X38Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y94 TILE_X 79390 TILEPROP INT_L_X38Y94 TILE_Y 63424 TILEPROP INT_L_X38Y94 TYPE INT_L TILEPROP INT_L_X38Y95 CLASS tile TILEPROP INT_L_X38Y95 COLUMN 98 TILEPROP INT_L_X38Y95 DEVICE_ID 0 TILEPROP INT_L_X38Y95 FIRST_SITE_ID 5583 TILEPROP INT_L_X38Y95 GRID_POINT_X 98 TILEPROP INT_L_X38Y95 GRID_POINT_Y 57 TILEPROP INT_L_X38Y95 INDEX 6653 TILEPROP INT_L_X38Y95 INT_TILE_X 38 TILEPROP INT_L_X38Y95 INT_TILE_Y 54 TILEPROP INT_L_X38Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y95 IS_DCM_TILE 0 TILEPROP INT_L_X38Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y95 NAME INT_L_X38Y95 TILEPROP INT_L_X38Y95 NUM_ARCS 3737 TILEPROP INT_L_X38Y95 NUM_SITES 1 TILEPROP INT_L_X38Y95 ROW 57 TILEPROP INT_L_X38Y95 SLR_REGION_ID 0 TILEPROP INT_L_X38Y95 TILE_PATTERN_IDX 1838 TILEPROP INT_L_X38Y95 TILE_TYPE INT_L TILEPROP INT_L_X38Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y95 TILE_X 79390 TILEPROP INT_L_X38Y95 TILE_Y 66624 TILEPROP INT_L_X38Y95 TYPE INT_L TILEPROP INT_L_X38Y96 CLASS tile TILEPROP INT_L_X38Y96 COLUMN 98 TILEPROP INT_L_X38Y96 DEVICE_ID 0 TILEPROP INT_L_X38Y96 FIRST_SITE_ID 5468 TILEPROP INT_L_X38Y96 GRID_POINT_X 98 TILEPROP INT_L_X38Y96 GRID_POINT_Y 56 TILEPROP INT_L_X38Y96 INDEX 6538 TILEPROP INT_L_X38Y96 INT_TILE_X 38 TILEPROP INT_L_X38Y96 INT_TILE_Y 53 TILEPROP INT_L_X38Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y96 IS_DCM_TILE 0 TILEPROP INT_L_X38Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y96 NAME INT_L_X38Y96 TILEPROP INT_L_X38Y96 NUM_ARCS 3737 TILEPROP INT_L_X38Y96 NUM_SITES 1 TILEPROP INT_L_X38Y96 ROW 56 TILEPROP INT_L_X38Y96 SLR_REGION_ID 0 TILEPROP INT_L_X38Y96 TILE_PATTERN_IDX 1796 TILEPROP INT_L_X38Y96 TILE_TYPE INT_L TILEPROP INT_L_X38Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y96 TILE_X 79390 TILEPROP INT_L_X38Y96 TILE_Y 69824 TILEPROP INT_L_X38Y96 TYPE INT_L TILEPROP INT_L_X38Y97 CLASS tile TILEPROP INT_L_X38Y97 COLUMN 98 TILEPROP INT_L_X38Y97 DEVICE_ID 0 TILEPROP INT_L_X38Y97 FIRST_SITE_ID 5368 TILEPROP INT_L_X38Y97 GRID_POINT_X 98 TILEPROP INT_L_X38Y97 GRID_POINT_Y 55 TILEPROP INT_L_X38Y97 INDEX 6423 TILEPROP INT_L_X38Y97 INT_TILE_X 38 TILEPROP INT_L_X38Y97 INT_TILE_Y 52 TILEPROP INT_L_X38Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y97 IS_DCM_TILE 0 TILEPROP INT_L_X38Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y97 NAME INT_L_X38Y97 TILEPROP INT_L_X38Y97 NUM_ARCS 3737 TILEPROP INT_L_X38Y97 NUM_SITES 1 TILEPROP INT_L_X38Y97 ROW 55 TILEPROP INT_L_X38Y97 SLR_REGION_ID 0 TILEPROP INT_L_X38Y97 TILE_PATTERN_IDX 1756 TILEPROP INT_L_X38Y97 TILE_TYPE INT_L TILEPROP INT_L_X38Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y97 TILE_X 79390 TILEPROP INT_L_X38Y97 TILE_Y 73024 TILEPROP INT_L_X38Y97 TYPE INT_L TILEPROP INT_L_X38Y98 CLASS tile TILEPROP INT_L_X38Y98 COLUMN 98 TILEPROP INT_L_X38Y98 DEVICE_ID 0 TILEPROP INT_L_X38Y98 FIRST_SITE_ID 5268 TILEPROP INT_L_X38Y98 GRID_POINT_X 98 TILEPROP INT_L_X38Y98 GRID_POINT_Y 54 TILEPROP INT_L_X38Y98 INDEX 6308 TILEPROP INT_L_X38Y98 INT_TILE_X 38 TILEPROP INT_L_X38Y98 INT_TILE_Y 51 TILEPROP INT_L_X38Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y98 IS_DCM_TILE 0 TILEPROP INT_L_X38Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y98 NAME INT_L_X38Y98 TILEPROP INT_L_X38Y98 NUM_ARCS 3737 TILEPROP INT_L_X38Y98 NUM_SITES 1 TILEPROP INT_L_X38Y98 ROW 54 TILEPROP INT_L_X38Y98 SLR_REGION_ID 0 TILEPROP INT_L_X38Y98 TILE_PATTERN_IDX 1718 TILEPROP INT_L_X38Y98 TILE_TYPE INT_L TILEPROP INT_L_X38Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y98 TILE_X 79390 TILEPROP INT_L_X38Y98 TILE_Y 76224 TILEPROP INT_L_X38Y98 TYPE INT_L TILEPROP INT_L_X38Y99 CLASS tile TILEPROP INT_L_X38Y99 COLUMN 98 TILEPROP INT_L_X38Y99 DEVICE_ID 0 TILEPROP INT_L_X38Y99 FIRST_SITE_ID 5172 TILEPROP INT_L_X38Y99 GRID_POINT_X 98 TILEPROP INT_L_X38Y99 GRID_POINT_Y 53 TILEPROP INT_L_X38Y99 INDEX 6193 TILEPROP INT_L_X38Y99 INT_TILE_X 38 TILEPROP INT_L_X38Y99 INT_TILE_Y 50 TILEPROP INT_L_X38Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X38Y99 IS_DCM_TILE 0 TILEPROP INT_L_X38Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X38Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X38Y99 NAME INT_L_X38Y99 TILEPROP INT_L_X38Y99 NUM_ARCS 3737 TILEPROP INT_L_X38Y99 NUM_SITES 1 TILEPROP INT_L_X38Y99 ROW 53 TILEPROP INT_L_X38Y99 SLR_REGION_ID 0 TILEPROP INT_L_X38Y99 TILE_PATTERN_IDX 1677 TILEPROP INT_L_X38Y99 TILE_TYPE INT_L TILEPROP INT_L_X38Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X38Y99 TILE_X 79390 TILEPROP INT_L_X38Y99 TILE_Y 79424 TILEPROP INT_L_X38Y99 TYPE INT_L TILEPROP INT_L_X40Y0 CLASS tile TILEPROP INT_L_X40Y0 COLUMN 102 TILEPROP INT_L_X40Y0 DEVICE_ID 0 TILEPROP INT_L_X40Y0 FIRST_SITE_ID 15807 TILEPROP INT_L_X40Y0 GRID_POINT_X 102 TILEPROP INT_L_X40Y0 GRID_POINT_Y 155 TILEPROP INT_L_X40Y0 INDEX 17927 TILEPROP INT_L_X40Y0 INT_TILE_X 40 TILEPROP INT_L_X40Y0 INT_TILE_Y 149 TILEPROP INT_L_X40Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y0 IS_DCM_TILE 0 TILEPROP INT_L_X40Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y0 NAME INT_L_X40Y0 TILEPROP INT_L_X40Y0 NUM_ARCS 3737 TILEPROP INT_L_X40Y0 NUM_SITES 1 TILEPROP INT_L_X40Y0 ROW 155 TILEPROP INT_L_X40Y0 SLR_REGION_ID 0 TILEPROP INT_L_X40Y0 TILE_PATTERN_IDX 3534 TILEPROP INT_L_X40Y0 TILE_TYPE INT_L TILEPROP INT_L_X40Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y0 TILE_X 87694 TILEPROP INT_L_X40Y0 TILE_Y -239672 TILEPROP INT_L_X40Y0 TYPE INT_L TILEPROP INT_L_X40Y1 CLASS tile TILEPROP INT_L_X40Y1 COLUMN 102 TILEPROP INT_L_X40Y1 DEVICE_ID 0 TILEPROP INT_L_X40Y1 FIRST_SITE_ID 15688 TILEPROP INT_L_X40Y1 GRID_POINT_X 102 TILEPROP INT_L_X40Y1 GRID_POINT_Y 154 TILEPROP INT_L_X40Y1 INDEX 17812 TILEPROP INT_L_X40Y1 INT_TILE_X 40 TILEPROP INT_L_X40Y1 INT_TILE_Y 148 TILEPROP INT_L_X40Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y1 IS_DCM_TILE 0 TILEPROP INT_L_X40Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y1 NAME INT_L_X40Y1 TILEPROP INT_L_X40Y1 NUM_ARCS 3737 TILEPROP INT_L_X40Y1 NUM_SITES 1 TILEPROP INT_L_X40Y1 ROW 154 TILEPROP INT_L_X40Y1 SLR_REGION_ID 0 TILEPROP INT_L_X40Y1 TILE_PATTERN_IDX 3492 TILEPROP INT_L_X40Y1 TILE_TYPE INT_L TILEPROP INT_L_X40Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y1 TILE_X 87694 TILEPROP INT_L_X40Y1 TILE_Y -236472 TILEPROP INT_L_X40Y1 TYPE INT_L TILEPROP INT_L_X40Y2 CLASS tile TILEPROP INT_L_X40Y2 COLUMN 102 TILEPROP INT_L_X40Y2 DEVICE_ID 0 TILEPROP INT_L_X40Y2 FIRST_SITE_ID 15587 TILEPROP INT_L_X40Y2 GRID_POINT_X 102 TILEPROP INT_L_X40Y2 GRID_POINT_Y 153 TILEPROP INT_L_X40Y2 INDEX 17697 TILEPROP INT_L_X40Y2 INT_TILE_X 40 TILEPROP INT_L_X40Y2 INT_TILE_Y 147 TILEPROP INT_L_X40Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y2 IS_DCM_TILE 0 TILEPROP INT_L_X40Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y2 NAME INT_L_X40Y2 TILEPROP INT_L_X40Y2 NUM_ARCS 3737 TILEPROP INT_L_X40Y2 NUM_SITES 1 TILEPROP INT_L_X40Y2 ROW 153 TILEPROP INT_L_X40Y2 SLR_REGION_ID 0 TILEPROP INT_L_X40Y2 TILE_PATTERN_IDX 3455 TILEPROP INT_L_X40Y2 TILE_TYPE INT_L TILEPROP INT_L_X40Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y2 TILE_X 87694 TILEPROP INT_L_X40Y2 TILE_Y -233272 TILEPROP INT_L_X40Y2 TYPE INT_L TILEPROP INT_L_X40Y3 CLASS tile TILEPROP INT_L_X40Y3 COLUMN 102 TILEPROP INT_L_X40Y3 DEVICE_ID 0 TILEPROP INT_L_X40Y3 FIRST_SITE_ID 15487 TILEPROP INT_L_X40Y3 GRID_POINT_X 102 TILEPROP INT_L_X40Y3 GRID_POINT_Y 152 TILEPROP INT_L_X40Y3 INDEX 17582 TILEPROP INT_L_X40Y3 INT_TILE_X 40 TILEPROP INT_L_X40Y3 INT_TILE_Y 146 TILEPROP INT_L_X40Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y3 IS_DCM_TILE 0 TILEPROP INT_L_X40Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y3 NAME INT_L_X40Y3 TILEPROP INT_L_X40Y3 NUM_ARCS 3737 TILEPROP INT_L_X40Y3 NUM_SITES 1 TILEPROP INT_L_X40Y3 ROW 152 TILEPROP INT_L_X40Y3 SLR_REGION_ID 0 TILEPROP INT_L_X40Y3 TILE_PATTERN_IDX 3418 TILEPROP INT_L_X40Y3 TILE_TYPE INT_L TILEPROP INT_L_X40Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y3 TILE_X 87694 TILEPROP INT_L_X40Y3 TILE_Y -230072 TILEPROP INT_L_X40Y3 TYPE INT_L TILEPROP INT_L_X40Y4 CLASS tile TILEPROP INT_L_X40Y4 COLUMN 102 TILEPROP INT_L_X40Y4 DEVICE_ID 0 TILEPROP INT_L_X40Y4 FIRST_SITE_ID 15387 TILEPROP INT_L_X40Y4 GRID_POINT_X 102 TILEPROP INT_L_X40Y4 GRID_POINT_Y 151 TILEPROP INT_L_X40Y4 INDEX 17467 TILEPROP INT_L_X40Y4 INT_TILE_X 40 TILEPROP INT_L_X40Y4 INT_TILE_Y 145 TILEPROP INT_L_X40Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y4 IS_DCM_TILE 0 TILEPROP INT_L_X40Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y4 NAME INT_L_X40Y4 TILEPROP INT_L_X40Y4 NUM_ARCS 3737 TILEPROP INT_L_X40Y4 NUM_SITES 1 TILEPROP INT_L_X40Y4 ROW 151 TILEPROP INT_L_X40Y4 SLR_REGION_ID 0 TILEPROP INT_L_X40Y4 TILE_PATTERN_IDX 3382 TILEPROP INT_L_X40Y4 TILE_TYPE INT_L TILEPROP INT_L_X40Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y4 TILE_X 87694 TILEPROP INT_L_X40Y4 TILE_Y -226872 TILEPROP INT_L_X40Y4 TYPE INT_L TILEPROP INT_L_X40Y5 CLASS tile TILEPROP INT_L_X40Y5 COLUMN 102 TILEPROP INT_L_X40Y5 DEVICE_ID 0 TILEPROP INT_L_X40Y5 FIRST_SITE_ID 15287 TILEPROP INT_L_X40Y5 GRID_POINT_X 102 TILEPROP INT_L_X40Y5 GRID_POINT_Y 150 TILEPROP INT_L_X40Y5 INDEX 17352 TILEPROP INT_L_X40Y5 INT_TILE_X 40 TILEPROP INT_L_X40Y5 INT_TILE_Y 144 TILEPROP INT_L_X40Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y5 IS_DCM_TILE 0 TILEPROP INT_L_X40Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y5 NAME INT_L_X40Y5 TILEPROP INT_L_X40Y5 NUM_ARCS 3737 TILEPROP INT_L_X40Y5 NUM_SITES 1 TILEPROP INT_L_X40Y5 ROW 150 TILEPROP INT_L_X40Y5 SLR_REGION_ID 0 TILEPROP INT_L_X40Y5 TILE_PATTERN_IDX 3345 TILEPROP INT_L_X40Y5 TILE_TYPE INT_L TILEPROP INT_L_X40Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y5 TILE_X 87694 TILEPROP INT_L_X40Y5 TILE_Y -223672 TILEPROP INT_L_X40Y5 TYPE INT_L TILEPROP INT_L_X40Y6 CLASS tile TILEPROP INT_L_X40Y6 COLUMN 102 TILEPROP INT_L_X40Y6 DEVICE_ID 0 TILEPROP INT_L_X40Y6 FIRST_SITE_ID 15172 TILEPROP INT_L_X40Y6 GRID_POINT_X 102 TILEPROP INT_L_X40Y6 GRID_POINT_Y 149 TILEPROP INT_L_X40Y6 INDEX 17237 TILEPROP INT_L_X40Y6 INT_TILE_X 40 TILEPROP INT_L_X40Y6 INT_TILE_Y 143 TILEPROP INT_L_X40Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y6 IS_DCM_TILE 0 TILEPROP INT_L_X40Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y6 NAME INT_L_X40Y6 TILEPROP INT_L_X40Y6 NUM_ARCS 3737 TILEPROP INT_L_X40Y6 NUM_SITES 1 TILEPROP INT_L_X40Y6 ROW 149 TILEPROP INT_L_X40Y6 SLR_REGION_ID 0 TILEPROP INT_L_X40Y6 TILE_PATTERN_IDX 3307 TILEPROP INT_L_X40Y6 TILE_TYPE INT_L TILEPROP INT_L_X40Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y6 TILE_X 87694 TILEPROP INT_L_X40Y6 TILE_Y -220472 TILEPROP INT_L_X40Y6 TYPE INT_L TILEPROP INT_L_X40Y7 CLASS tile TILEPROP INT_L_X40Y7 COLUMN 102 TILEPROP INT_L_X40Y7 DEVICE_ID 0 TILEPROP INT_L_X40Y7 FIRST_SITE_ID 15070 TILEPROP INT_L_X40Y7 GRID_POINT_X 102 TILEPROP INT_L_X40Y7 GRID_POINT_Y 148 TILEPROP INT_L_X40Y7 INDEX 17122 TILEPROP INT_L_X40Y7 INT_TILE_X 40 TILEPROP INT_L_X40Y7 INT_TILE_Y 142 TILEPROP INT_L_X40Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y7 IS_DCM_TILE 0 TILEPROP INT_L_X40Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y7 NAME INT_L_X40Y7 TILEPROP INT_L_X40Y7 NUM_ARCS 3737 TILEPROP INT_L_X40Y7 NUM_SITES 1 TILEPROP INT_L_X40Y7 ROW 148 TILEPROP INT_L_X40Y7 SLR_REGION_ID 0 TILEPROP INT_L_X40Y7 TILE_PATTERN_IDX 3269 TILEPROP INT_L_X40Y7 TILE_TYPE INT_L TILEPROP INT_L_X40Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y7 TILE_X 87694 TILEPROP INT_L_X40Y7 TILE_Y -217272 TILEPROP INT_L_X40Y7 TYPE INT_L TILEPROP INT_L_X40Y8 CLASS tile TILEPROP INT_L_X40Y8 COLUMN 102 TILEPROP INT_L_X40Y8 DEVICE_ID 0 TILEPROP INT_L_X40Y8 FIRST_SITE_ID 14967 TILEPROP INT_L_X40Y8 GRID_POINT_X 102 TILEPROP INT_L_X40Y8 GRID_POINT_Y 147 TILEPROP INT_L_X40Y8 INDEX 17007 TILEPROP INT_L_X40Y8 INT_TILE_X 40 TILEPROP INT_L_X40Y8 INT_TILE_Y 141 TILEPROP INT_L_X40Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y8 IS_DCM_TILE 0 TILEPROP INT_L_X40Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y8 NAME INT_L_X40Y8 TILEPROP INT_L_X40Y8 NUM_ARCS 3737 TILEPROP INT_L_X40Y8 NUM_SITES 1 TILEPROP INT_L_X40Y8 ROW 147 TILEPROP INT_L_X40Y8 SLR_REGION_ID 0 TILEPROP INT_L_X40Y8 TILE_PATTERN_IDX 3232 TILEPROP INT_L_X40Y8 TILE_TYPE INT_L TILEPROP INT_L_X40Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y8 TILE_X 87694 TILEPROP INT_L_X40Y8 TILE_Y -214072 TILEPROP INT_L_X40Y8 TYPE INT_L TILEPROP INT_L_X40Y9 CLASS tile TILEPROP INT_L_X40Y9 COLUMN 102 TILEPROP INT_L_X40Y9 DEVICE_ID 0 TILEPROP INT_L_X40Y9 FIRST_SITE_ID 14866 TILEPROP INT_L_X40Y9 GRID_POINT_X 102 TILEPROP INT_L_X40Y9 GRID_POINT_Y 146 TILEPROP INT_L_X40Y9 INDEX 16892 TILEPROP INT_L_X40Y9 INT_TILE_X 40 TILEPROP INT_L_X40Y9 INT_TILE_Y 140 TILEPROP INT_L_X40Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y9 IS_DCM_TILE 0 TILEPROP INT_L_X40Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y9 NAME INT_L_X40Y9 TILEPROP INT_L_X40Y9 NUM_ARCS 3737 TILEPROP INT_L_X40Y9 NUM_SITES 1 TILEPROP INT_L_X40Y9 ROW 146 TILEPROP INT_L_X40Y9 SLR_REGION_ID 0 TILEPROP INT_L_X40Y9 TILE_PATTERN_IDX 3195 TILEPROP INT_L_X40Y9 TILE_TYPE INT_L TILEPROP INT_L_X40Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y9 TILE_X 87694 TILEPROP INT_L_X40Y9 TILE_Y -210872 TILEPROP INT_L_X40Y9 TYPE INT_L TILEPROP INT_L_X40Y10 CLASS tile TILEPROP INT_L_X40Y10 COLUMN 102 TILEPROP INT_L_X40Y10 DEVICE_ID 0 TILEPROP INT_L_X40Y10 FIRST_SITE_ID 14766 TILEPROP INT_L_X40Y10 GRID_POINT_X 102 TILEPROP INT_L_X40Y10 GRID_POINT_Y 145 TILEPROP INT_L_X40Y10 INDEX 16777 TILEPROP INT_L_X40Y10 INT_TILE_X 40 TILEPROP INT_L_X40Y10 INT_TILE_Y 139 TILEPROP INT_L_X40Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y10 IS_DCM_TILE 0 TILEPROP INT_L_X40Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y10 NAME INT_L_X40Y10 TILEPROP INT_L_X40Y10 NUM_ARCS 3737 TILEPROP INT_L_X40Y10 NUM_SITES 1 TILEPROP INT_L_X40Y10 ROW 145 TILEPROP INT_L_X40Y10 SLR_REGION_ID 0 TILEPROP INT_L_X40Y10 TILE_PATTERN_IDX 3160 TILEPROP INT_L_X40Y10 TILE_TYPE INT_L TILEPROP INT_L_X40Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y10 TILE_X 87694 TILEPROP INT_L_X40Y10 TILE_Y -207672 TILEPROP INT_L_X40Y10 TYPE INT_L TILEPROP INT_L_X40Y11 CLASS tile TILEPROP INT_L_X40Y11 COLUMN 102 TILEPROP INT_L_X40Y11 DEVICE_ID 0 TILEPROP INT_L_X40Y11 FIRST_SITE_ID 14651 TILEPROP INT_L_X40Y11 GRID_POINT_X 102 TILEPROP INT_L_X40Y11 GRID_POINT_Y 144 TILEPROP INT_L_X40Y11 INDEX 16662 TILEPROP INT_L_X40Y11 INT_TILE_X 40 TILEPROP INT_L_X40Y11 INT_TILE_Y 138 TILEPROP INT_L_X40Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y11 IS_DCM_TILE 0 TILEPROP INT_L_X40Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y11 NAME INT_L_X40Y11 TILEPROP INT_L_X40Y11 NUM_ARCS 3737 TILEPROP INT_L_X40Y11 NUM_SITES 1 TILEPROP INT_L_X40Y11 ROW 144 TILEPROP INT_L_X40Y11 SLR_REGION_ID 0 TILEPROP INT_L_X40Y11 TILE_PATTERN_IDX 3122 TILEPROP INT_L_X40Y11 TILE_TYPE INT_L TILEPROP INT_L_X40Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y11 TILE_X 87694 TILEPROP INT_L_X40Y11 TILE_Y -204472 TILEPROP INT_L_X40Y11 TYPE INT_L TILEPROP INT_L_X40Y12 CLASS tile TILEPROP INT_L_X40Y12 COLUMN 102 TILEPROP INT_L_X40Y12 DEVICE_ID 0 TILEPROP INT_L_X40Y12 FIRST_SITE_ID 14551 TILEPROP INT_L_X40Y12 GRID_POINT_X 102 TILEPROP INT_L_X40Y12 GRID_POINT_Y 143 TILEPROP INT_L_X40Y12 INDEX 16547 TILEPROP INT_L_X40Y12 INT_TILE_X 40 TILEPROP INT_L_X40Y12 INT_TILE_Y 137 TILEPROP INT_L_X40Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y12 IS_DCM_TILE 0 TILEPROP INT_L_X40Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y12 NAME INT_L_X40Y12 TILEPROP INT_L_X40Y12 NUM_ARCS 3737 TILEPROP INT_L_X40Y12 NUM_SITES 1 TILEPROP INT_L_X40Y12 ROW 143 TILEPROP INT_L_X40Y12 SLR_REGION_ID 0 TILEPROP INT_L_X40Y12 TILE_PATTERN_IDX 3087 TILEPROP INT_L_X40Y12 TILE_TYPE INT_L TILEPROP INT_L_X40Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y12 TILE_X 87694 TILEPROP INT_L_X40Y12 TILE_Y -201272 TILEPROP INT_L_X40Y12 TYPE INT_L TILEPROP INT_L_X40Y13 CLASS tile TILEPROP INT_L_X40Y13 COLUMN 102 TILEPROP INT_L_X40Y13 DEVICE_ID 0 TILEPROP INT_L_X40Y13 FIRST_SITE_ID 14419 TILEPROP INT_L_X40Y13 GRID_POINT_X 102 TILEPROP INT_L_X40Y13 GRID_POINT_Y 142 TILEPROP INT_L_X40Y13 INDEX 16432 TILEPROP INT_L_X40Y13 INT_TILE_X 40 TILEPROP INT_L_X40Y13 INT_TILE_Y 136 TILEPROP INT_L_X40Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y13 IS_DCM_TILE 0 TILEPROP INT_L_X40Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y13 NAME INT_L_X40Y13 TILEPROP INT_L_X40Y13 NUM_ARCS 3737 TILEPROP INT_L_X40Y13 NUM_SITES 1 TILEPROP INT_L_X40Y13 ROW 142 TILEPROP INT_L_X40Y13 SLR_REGION_ID 0 TILEPROP INT_L_X40Y13 TILE_PATTERN_IDX 3050 TILEPROP INT_L_X40Y13 TILE_TYPE INT_L TILEPROP INT_L_X40Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y13 TILE_X 87694 TILEPROP INT_L_X40Y13 TILE_Y -198072 TILEPROP INT_L_X40Y13 TYPE INT_L TILEPROP INT_L_X40Y14 CLASS tile TILEPROP INT_L_X40Y14 COLUMN 102 TILEPROP INT_L_X40Y14 DEVICE_ID 0 TILEPROP INT_L_X40Y14 FIRST_SITE_ID 14319 TILEPROP INT_L_X40Y14 GRID_POINT_X 102 TILEPROP INT_L_X40Y14 GRID_POINT_Y 141 TILEPROP INT_L_X40Y14 INDEX 16317 TILEPROP INT_L_X40Y14 INT_TILE_X 40 TILEPROP INT_L_X40Y14 INT_TILE_Y 135 TILEPROP INT_L_X40Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y14 IS_DCM_TILE 0 TILEPROP INT_L_X40Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y14 NAME INT_L_X40Y14 TILEPROP INT_L_X40Y14 NUM_ARCS 3737 TILEPROP INT_L_X40Y14 NUM_SITES 1 TILEPROP INT_L_X40Y14 ROW 141 TILEPROP INT_L_X40Y14 SLR_REGION_ID 0 TILEPROP INT_L_X40Y14 TILE_PATTERN_IDX 3015 TILEPROP INT_L_X40Y14 TILE_TYPE INT_L TILEPROP INT_L_X40Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y14 TILE_X 87694 TILEPROP INT_L_X40Y14 TILE_Y -194872 TILEPROP INT_L_X40Y14 TYPE INT_L TILEPROP INT_L_X40Y15 CLASS tile TILEPROP INT_L_X40Y15 COLUMN 102 TILEPROP INT_L_X40Y15 DEVICE_ID 0 TILEPROP INT_L_X40Y15 FIRST_SITE_ID 14219 TILEPROP INT_L_X40Y15 GRID_POINT_X 102 TILEPROP INT_L_X40Y15 GRID_POINT_Y 140 TILEPROP INT_L_X40Y15 INDEX 16202 TILEPROP INT_L_X40Y15 INT_TILE_X 40 TILEPROP INT_L_X40Y15 INT_TILE_Y 134 TILEPROP INT_L_X40Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y15 IS_DCM_TILE 0 TILEPROP INT_L_X40Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y15 NAME INT_L_X40Y15 TILEPROP INT_L_X40Y15 NUM_ARCS 3737 TILEPROP INT_L_X40Y15 NUM_SITES 1 TILEPROP INT_L_X40Y15 ROW 140 TILEPROP INT_L_X40Y15 SLR_REGION_ID 0 TILEPROP INT_L_X40Y15 TILE_PATTERN_IDX 2979 TILEPROP INT_L_X40Y15 TILE_TYPE INT_L TILEPROP INT_L_X40Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y15 TILE_X 87694 TILEPROP INT_L_X40Y15 TILE_Y -191672 TILEPROP INT_L_X40Y15 TYPE INT_L TILEPROP INT_L_X40Y16 CLASS tile TILEPROP INT_L_X40Y16 COLUMN 102 TILEPROP INT_L_X40Y16 DEVICE_ID 0 TILEPROP INT_L_X40Y16 FIRST_SITE_ID 14104 TILEPROP INT_L_X40Y16 GRID_POINT_X 102 TILEPROP INT_L_X40Y16 GRID_POINT_Y 139 TILEPROP INT_L_X40Y16 INDEX 16087 TILEPROP INT_L_X40Y16 INT_TILE_X 40 TILEPROP INT_L_X40Y16 INT_TILE_Y 133 TILEPROP INT_L_X40Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y16 IS_DCM_TILE 0 TILEPROP INT_L_X40Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y16 NAME INT_L_X40Y16 TILEPROP INT_L_X40Y16 NUM_ARCS 3737 TILEPROP INT_L_X40Y16 NUM_SITES 1 TILEPROP INT_L_X40Y16 ROW 139 TILEPROP INT_L_X40Y16 SLR_REGION_ID 0 TILEPROP INT_L_X40Y16 TILE_PATTERN_IDX 2943 TILEPROP INT_L_X40Y16 TILE_TYPE INT_L TILEPROP INT_L_X40Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y16 TILE_X 87694 TILEPROP INT_L_X40Y16 TILE_Y -188472 TILEPROP INT_L_X40Y16 TYPE INT_L TILEPROP INT_L_X40Y17 CLASS tile TILEPROP INT_L_X40Y17 COLUMN 102 TILEPROP INT_L_X40Y17 DEVICE_ID 0 TILEPROP INT_L_X40Y17 FIRST_SITE_ID 14000 TILEPROP INT_L_X40Y17 GRID_POINT_X 102 TILEPROP INT_L_X40Y17 GRID_POINT_Y 138 TILEPROP INT_L_X40Y17 INDEX 15972 TILEPROP INT_L_X40Y17 INT_TILE_X 40 TILEPROP INT_L_X40Y17 INT_TILE_Y 132 TILEPROP INT_L_X40Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y17 IS_DCM_TILE 0 TILEPROP INT_L_X40Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y17 NAME INT_L_X40Y17 TILEPROP INT_L_X40Y17 NUM_ARCS 3737 TILEPROP INT_L_X40Y17 NUM_SITES 1 TILEPROP INT_L_X40Y17 ROW 138 TILEPROP INT_L_X40Y17 SLR_REGION_ID 0 TILEPROP INT_L_X40Y17 TILE_PATTERN_IDX 2906 TILEPROP INT_L_X40Y17 TILE_TYPE INT_L TILEPROP INT_L_X40Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y17 TILE_X 87694 TILEPROP INT_L_X40Y17 TILE_Y -185272 TILEPROP INT_L_X40Y17 TYPE INT_L TILEPROP INT_L_X40Y18 CLASS tile TILEPROP INT_L_X40Y18 COLUMN 102 TILEPROP INT_L_X40Y18 DEVICE_ID 0 TILEPROP INT_L_X40Y18 FIRST_SITE_ID 13895 TILEPROP INT_L_X40Y18 GRID_POINT_X 102 TILEPROP INT_L_X40Y18 GRID_POINT_Y 137 TILEPROP INT_L_X40Y18 INDEX 15857 TILEPROP INT_L_X40Y18 INT_TILE_X 40 TILEPROP INT_L_X40Y18 INT_TILE_Y 131 TILEPROP INT_L_X40Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y18 IS_DCM_TILE 0 TILEPROP INT_L_X40Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y18 NAME INT_L_X40Y18 TILEPROP INT_L_X40Y18 NUM_ARCS 3737 TILEPROP INT_L_X40Y18 NUM_SITES 1 TILEPROP INT_L_X40Y18 ROW 137 TILEPROP INT_L_X40Y18 SLR_REGION_ID 0 TILEPROP INT_L_X40Y18 TILE_PATTERN_IDX 2868 TILEPROP INT_L_X40Y18 TILE_TYPE INT_L TILEPROP INT_L_X40Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y18 TILE_X 87694 TILEPROP INT_L_X40Y18 TILE_Y -182072 TILEPROP INT_L_X40Y18 TYPE INT_L TILEPROP INT_L_X40Y19 CLASS tile TILEPROP INT_L_X40Y19 COLUMN 102 TILEPROP INT_L_X40Y19 DEVICE_ID 0 TILEPROP INT_L_X40Y19 FIRST_SITE_ID 13793 TILEPROP INT_L_X40Y19 GRID_POINT_X 102 TILEPROP INT_L_X40Y19 GRID_POINT_Y 136 TILEPROP INT_L_X40Y19 INDEX 15742 TILEPROP INT_L_X40Y19 INT_TILE_X 40 TILEPROP INT_L_X40Y19 INT_TILE_Y 130 TILEPROP INT_L_X40Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y19 IS_DCM_TILE 0 TILEPROP INT_L_X40Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y19 NAME INT_L_X40Y19 TILEPROP INT_L_X40Y19 NUM_ARCS 3737 TILEPROP INT_L_X40Y19 NUM_SITES 1 TILEPROP INT_L_X40Y19 ROW 136 TILEPROP INT_L_X40Y19 SLR_REGION_ID 0 TILEPROP INT_L_X40Y19 TILE_PATTERN_IDX 2831 TILEPROP INT_L_X40Y19 TILE_TYPE INT_L TILEPROP INT_L_X40Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y19 TILE_X 87694 TILEPROP INT_L_X40Y19 TILE_Y -178872 TILEPROP INT_L_X40Y19 TYPE INT_L TILEPROP INT_L_X40Y20 CLASS tile TILEPROP INT_L_X40Y20 COLUMN 102 TILEPROP INT_L_X40Y20 DEVICE_ID 0 TILEPROP INT_L_X40Y20 FIRST_SITE_ID 13691 TILEPROP INT_L_X40Y20 GRID_POINT_X 102 TILEPROP INT_L_X40Y20 GRID_POINT_Y 135 TILEPROP INT_L_X40Y20 INDEX 15627 TILEPROP INT_L_X40Y20 INT_TILE_X 40 TILEPROP INT_L_X40Y20 INT_TILE_Y 129 TILEPROP INT_L_X40Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y20 IS_DCM_TILE 0 TILEPROP INT_L_X40Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y20 NAME INT_L_X40Y20 TILEPROP INT_L_X40Y20 NUM_ARCS 3737 TILEPROP INT_L_X40Y20 NUM_SITES 1 TILEPROP INT_L_X40Y20 ROW 135 TILEPROP INT_L_X40Y20 SLR_REGION_ID 0 TILEPROP INT_L_X40Y20 TILE_PATTERN_IDX 2796 TILEPROP INT_L_X40Y20 TILE_TYPE INT_L TILEPROP INT_L_X40Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y20 TILE_X 87694 TILEPROP INT_L_X40Y20 TILE_Y -175672 TILEPROP INT_L_X40Y20 TYPE INT_L TILEPROP INT_L_X40Y21 CLASS tile TILEPROP INT_L_X40Y21 COLUMN 102 TILEPROP INT_L_X40Y21 DEVICE_ID 0 TILEPROP INT_L_X40Y21 FIRST_SITE_ID 13576 TILEPROP INT_L_X40Y21 GRID_POINT_X 102 TILEPROP INT_L_X40Y21 GRID_POINT_Y 134 TILEPROP INT_L_X40Y21 INDEX 15512 TILEPROP INT_L_X40Y21 INT_TILE_X 40 TILEPROP INT_L_X40Y21 INT_TILE_Y 128 TILEPROP INT_L_X40Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y21 IS_DCM_TILE 0 TILEPROP INT_L_X40Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y21 NAME INT_L_X40Y21 TILEPROP INT_L_X40Y21 NUM_ARCS 3737 TILEPROP INT_L_X40Y21 NUM_SITES 1 TILEPROP INT_L_X40Y21 ROW 134 TILEPROP INT_L_X40Y21 SLR_REGION_ID 0 TILEPROP INT_L_X40Y21 TILE_PATTERN_IDX 2759 TILEPROP INT_L_X40Y21 TILE_TYPE INT_L TILEPROP INT_L_X40Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y21 TILE_X 87694 TILEPROP INT_L_X40Y21 TILE_Y -172472 TILEPROP INT_L_X40Y21 TYPE INT_L TILEPROP INT_L_X40Y22 CLASS tile TILEPROP INT_L_X40Y22 COLUMN 102 TILEPROP INT_L_X40Y22 DEVICE_ID 0 TILEPROP INT_L_X40Y22 FIRST_SITE_ID 13476 TILEPROP INT_L_X40Y22 GRID_POINT_X 102 TILEPROP INT_L_X40Y22 GRID_POINT_Y 133 TILEPROP INT_L_X40Y22 INDEX 15397 TILEPROP INT_L_X40Y22 INT_TILE_X 40 TILEPROP INT_L_X40Y22 INT_TILE_Y 127 TILEPROP INT_L_X40Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y22 IS_DCM_TILE 0 TILEPROP INT_L_X40Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y22 NAME INT_L_X40Y22 TILEPROP INT_L_X40Y22 NUM_ARCS 3737 TILEPROP INT_L_X40Y22 NUM_SITES 1 TILEPROP INT_L_X40Y22 ROW 133 TILEPROP INT_L_X40Y22 SLR_REGION_ID 0 TILEPROP INT_L_X40Y22 TILE_PATTERN_IDX 2723 TILEPROP INT_L_X40Y22 TILE_TYPE INT_L TILEPROP INT_L_X40Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y22 TILE_X 87694 TILEPROP INT_L_X40Y22 TILE_Y -169272 TILEPROP INT_L_X40Y22 TYPE INT_L TILEPROP INT_L_X40Y23 CLASS tile TILEPROP INT_L_X40Y23 COLUMN 102 TILEPROP INT_L_X40Y23 DEVICE_ID 0 TILEPROP INT_L_X40Y23 FIRST_SITE_ID 13376 TILEPROP INT_L_X40Y23 GRID_POINT_X 102 TILEPROP INT_L_X40Y23 GRID_POINT_Y 132 TILEPROP INT_L_X40Y23 INDEX 15282 TILEPROP INT_L_X40Y23 INT_TILE_X 40 TILEPROP INT_L_X40Y23 INT_TILE_Y 126 TILEPROP INT_L_X40Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y23 IS_DCM_TILE 0 TILEPROP INT_L_X40Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y23 NAME INT_L_X40Y23 TILEPROP INT_L_X40Y23 NUM_ARCS 3737 TILEPROP INT_L_X40Y23 NUM_SITES 1 TILEPROP INT_L_X40Y23 ROW 132 TILEPROP INT_L_X40Y23 SLR_REGION_ID 0 TILEPROP INT_L_X40Y23 TILE_PATTERN_IDX 2686 TILEPROP INT_L_X40Y23 TILE_TYPE INT_L TILEPROP INT_L_X40Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y23 TILE_X 87694 TILEPROP INT_L_X40Y23 TILE_Y -166072 TILEPROP INT_L_X40Y23 TYPE INT_L TILEPROP INT_L_X40Y24 CLASS tile TILEPROP INT_L_X40Y24 COLUMN 102 TILEPROP INT_L_X40Y24 DEVICE_ID 0 TILEPROP INT_L_X40Y24 FIRST_SITE_ID 13276 TILEPROP INT_L_X40Y24 GRID_POINT_X 102 TILEPROP INT_L_X40Y24 GRID_POINT_Y 131 TILEPROP INT_L_X40Y24 INDEX 15167 TILEPROP INT_L_X40Y24 INT_TILE_X 40 TILEPROP INT_L_X40Y24 INT_TILE_Y 125 TILEPROP INT_L_X40Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y24 IS_DCM_TILE 0 TILEPROP INT_L_X40Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y24 NAME INT_L_X40Y24 TILEPROP INT_L_X40Y24 NUM_ARCS 3737 TILEPROP INT_L_X40Y24 NUM_SITES 1 TILEPROP INT_L_X40Y24 ROW 131 TILEPROP INT_L_X40Y24 SLR_REGION_ID 0 TILEPROP INT_L_X40Y24 TILE_PATTERN_IDX 2650 TILEPROP INT_L_X40Y24 TILE_TYPE INT_L TILEPROP INT_L_X40Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y24 TILE_X 87694 TILEPROP INT_L_X40Y24 TILE_Y -162872 TILEPROP INT_L_X40Y24 TYPE INT_L TILEPROP INT_L_X40Y25 CLASS tile TILEPROP INT_L_X40Y25 COLUMN 102 TILEPROP INT_L_X40Y25 DEVICE_ID 0 TILEPROP INT_L_X40Y25 FIRST_SITE_ID 13093 TILEPROP INT_L_X40Y25 GRID_POINT_X 102 TILEPROP INT_L_X40Y25 GRID_POINT_Y 129 TILEPROP INT_L_X40Y25 INDEX 14937 TILEPROP INT_L_X40Y25 INT_TILE_X 40 TILEPROP INT_L_X40Y25 INT_TILE_Y 124 TILEPROP INT_L_X40Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y25 IS_DCM_TILE 0 TILEPROP INT_L_X40Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y25 NAME INT_L_X40Y25 TILEPROP INT_L_X40Y25 NUM_ARCS 3737 TILEPROP INT_L_X40Y25 NUM_SITES 1 TILEPROP INT_L_X40Y25 ROW 129 TILEPROP INT_L_X40Y25 SLR_REGION_ID 0 TILEPROP INT_L_X40Y25 TILE_PATTERN_IDX 2575 TILEPROP INT_L_X40Y25 TILE_TYPE INT_L TILEPROP INT_L_X40Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y25 TILE_X 87694 TILEPROP INT_L_X40Y25 TILE_Y -158648 TILEPROP INT_L_X40Y25 TYPE INT_L TILEPROP INT_L_X40Y26 CLASS tile TILEPROP INT_L_X40Y26 COLUMN 102 TILEPROP INT_L_X40Y26 DEVICE_ID 0 TILEPROP INT_L_X40Y26 FIRST_SITE_ID 12978 TILEPROP INT_L_X40Y26 GRID_POINT_X 102 TILEPROP INT_L_X40Y26 GRID_POINT_Y 128 TILEPROP INT_L_X40Y26 INDEX 14822 TILEPROP INT_L_X40Y26 INT_TILE_X 40 TILEPROP INT_L_X40Y26 INT_TILE_Y 123 TILEPROP INT_L_X40Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y26 IS_DCM_TILE 0 TILEPROP INT_L_X40Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y26 NAME INT_L_X40Y26 TILEPROP INT_L_X40Y26 NUM_ARCS 3737 TILEPROP INT_L_X40Y26 NUM_SITES 1 TILEPROP INT_L_X40Y26 ROW 128 TILEPROP INT_L_X40Y26 SLR_REGION_ID 0 TILEPROP INT_L_X40Y26 TILE_PATTERN_IDX 2538 TILEPROP INT_L_X40Y26 TILE_TYPE INT_L TILEPROP INT_L_X40Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y26 TILE_X 87694 TILEPROP INT_L_X40Y26 TILE_Y -155448 TILEPROP INT_L_X40Y26 TYPE INT_L TILEPROP INT_L_X40Y27 CLASS tile TILEPROP INT_L_X40Y27 COLUMN 102 TILEPROP INT_L_X40Y27 DEVICE_ID 0 TILEPROP INT_L_X40Y27 FIRST_SITE_ID 12878 TILEPROP INT_L_X40Y27 GRID_POINT_X 102 TILEPROP INT_L_X40Y27 GRID_POINT_Y 127 TILEPROP INT_L_X40Y27 INDEX 14707 TILEPROP INT_L_X40Y27 INT_TILE_X 40 TILEPROP INT_L_X40Y27 INT_TILE_Y 122 TILEPROP INT_L_X40Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y27 IS_DCM_TILE 0 TILEPROP INT_L_X40Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y27 NAME INT_L_X40Y27 TILEPROP INT_L_X40Y27 NUM_ARCS 3737 TILEPROP INT_L_X40Y27 NUM_SITES 1 TILEPROP INT_L_X40Y27 ROW 127 TILEPROP INT_L_X40Y27 SLR_REGION_ID 0 TILEPROP INT_L_X40Y27 TILE_PATTERN_IDX 2501 TILEPROP INT_L_X40Y27 TILE_TYPE INT_L TILEPROP INT_L_X40Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y27 TILE_X 87694 TILEPROP INT_L_X40Y27 TILE_Y -152248 TILEPROP INT_L_X40Y27 TYPE INT_L TILEPROP INT_L_X40Y28 CLASS tile TILEPROP INT_L_X40Y28 COLUMN 102 TILEPROP INT_L_X40Y28 DEVICE_ID 0 TILEPROP INT_L_X40Y28 FIRST_SITE_ID 12778 TILEPROP INT_L_X40Y28 GRID_POINT_X 102 TILEPROP INT_L_X40Y28 GRID_POINT_Y 126 TILEPROP INT_L_X40Y28 INDEX 14592 TILEPROP INT_L_X40Y28 INT_TILE_X 40 TILEPROP INT_L_X40Y28 INT_TILE_Y 121 TILEPROP INT_L_X40Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y28 IS_DCM_TILE 0 TILEPROP INT_L_X40Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y28 NAME INT_L_X40Y28 TILEPROP INT_L_X40Y28 NUM_ARCS 3737 TILEPROP INT_L_X40Y28 NUM_SITES 1 TILEPROP INT_L_X40Y28 ROW 126 TILEPROP INT_L_X40Y28 SLR_REGION_ID 0 TILEPROP INT_L_X40Y28 TILE_PATTERN_IDX 2465 TILEPROP INT_L_X40Y28 TILE_TYPE INT_L TILEPROP INT_L_X40Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y28 TILE_X 87694 TILEPROP INT_L_X40Y28 TILE_Y -149048 TILEPROP INT_L_X40Y28 TYPE INT_L TILEPROP INT_L_X40Y29 CLASS tile TILEPROP INT_L_X40Y29 COLUMN 102 TILEPROP INT_L_X40Y29 DEVICE_ID 0 TILEPROP INT_L_X40Y29 FIRST_SITE_ID 12672 TILEPROP INT_L_X40Y29 GRID_POINT_X 102 TILEPROP INT_L_X40Y29 GRID_POINT_Y 125 TILEPROP INT_L_X40Y29 INDEX 14477 TILEPROP INT_L_X40Y29 INT_TILE_X 40 TILEPROP INT_L_X40Y29 INT_TILE_Y 120 TILEPROP INT_L_X40Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y29 IS_DCM_TILE 0 TILEPROP INT_L_X40Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y29 NAME INT_L_X40Y29 TILEPROP INT_L_X40Y29 NUM_ARCS 3737 TILEPROP INT_L_X40Y29 NUM_SITES 1 TILEPROP INT_L_X40Y29 ROW 125 TILEPROP INT_L_X40Y29 SLR_REGION_ID 0 TILEPROP INT_L_X40Y29 TILE_PATTERN_IDX 2427 TILEPROP INT_L_X40Y29 TILE_TYPE INT_L TILEPROP INT_L_X40Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y29 TILE_X 87694 TILEPROP INT_L_X40Y29 TILE_Y -145848 TILEPROP INT_L_X40Y29 TYPE INT_L TILEPROP INT_L_X40Y30 CLASS tile TILEPROP INT_L_X40Y30 COLUMN 102 TILEPROP INT_L_X40Y30 DEVICE_ID 0 TILEPROP INT_L_X40Y30 FIRST_SITE_ID 12566 TILEPROP INT_L_X40Y30 GRID_POINT_X 102 TILEPROP INT_L_X40Y30 GRID_POINT_Y 124 TILEPROP INT_L_X40Y30 INDEX 14362 TILEPROP INT_L_X40Y30 INT_TILE_X 40 TILEPROP INT_L_X40Y30 INT_TILE_Y 119 TILEPROP INT_L_X40Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y30 IS_DCM_TILE 0 TILEPROP INT_L_X40Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y30 NAME INT_L_X40Y30 TILEPROP INT_L_X40Y30 NUM_ARCS 3737 TILEPROP INT_L_X40Y30 NUM_SITES 1 TILEPROP INT_L_X40Y30 ROW 124 TILEPROP INT_L_X40Y30 SLR_REGION_ID 0 TILEPROP INT_L_X40Y30 TILE_PATTERN_IDX 2391 TILEPROP INT_L_X40Y30 TILE_TYPE INT_L TILEPROP INT_L_X40Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y30 TILE_X 87694 TILEPROP INT_L_X40Y30 TILE_Y -142648 TILEPROP INT_L_X40Y30 TYPE INT_L TILEPROP INT_L_X40Y31 CLASS tile TILEPROP INT_L_X40Y31 COLUMN 102 TILEPROP INT_L_X40Y31 DEVICE_ID 0 TILEPROP INT_L_X40Y31 FIRST_SITE_ID 12436 TILEPROP INT_L_X40Y31 GRID_POINT_X 102 TILEPROP INT_L_X40Y31 GRID_POINT_Y 123 TILEPROP INT_L_X40Y31 INDEX 14247 TILEPROP INT_L_X40Y31 INT_TILE_X 40 TILEPROP INT_L_X40Y31 INT_TILE_Y 118 TILEPROP INT_L_X40Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y31 IS_DCM_TILE 0 TILEPROP INT_L_X40Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y31 NAME INT_L_X40Y31 TILEPROP INT_L_X40Y31 NUM_ARCS 3737 TILEPROP INT_L_X40Y31 NUM_SITES 1 TILEPROP INT_L_X40Y31 ROW 123 TILEPROP INT_L_X40Y31 SLR_REGION_ID 0 TILEPROP INT_L_X40Y31 TILE_PATTERN_IDX 2352 TILEPROP INT_L_X40Y31 TILE_TYPE INT_L TILEPROP INT_L_X40Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y31 TILE_X 87694 TILEPROP INT_L_X40Y31 TILE_Y -139448 TILEPROP INT_L_X40Y31 TYPE INT_L TILEPROP INT_L_X40Y32 CLASS tile TILEPROP INT_L_X40Y32 COLUMN 102 TILEPROP INT_L_X40Y32 DEVICE_ID 0 TILEPROP INT_L_X40Y32 FIRST_SITE_ID 12334 TILEPROP INT_L_X40Y32 GRID_POINT_X 102 TILEPROP INT_L_X40Y32 GRID_POINT_Y 122 TILEPROP INT_L_X40Y32 INDEX 14132 TILEPROP INT_L_X40Y32 INT_TILE_X 40 TILEPROP INT_L_X40Y32 INT_TILE_Y 117 TILEPROP INT_L_X40Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y32 IS_DCM_TILE 0 TILEPROP INT_L_X40Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y32 NAME INT_L_X40Y32 TILEPROP INT_L_X40Y32 NUM_ARCS 3737 TILEPROP INT_L_X40Y32 NUM_SITES 1 TILEPROP INT_L_X40Y32 ROW 122 TILEPROP INT_L_X40Y32 SLR_REGION_ID 0 TILEPROP INT_L_X40Y32 TILE_PATTERN_IDX 7802 TILEPROP INT_L_X40Y32 TILE_TYPE INT_L TILEPROP INT_L_X40Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y32 TILE_X 87694 TILEPROP INT_L_X40Y32 TILE_Y -136248 TILEPROP INT_L_X40Y32 TYPE INT_L TILEPROP INT_L_X40Y33 CLASS tile TILEPROP INT_L_X40Y33 COLUMN 102 TILEPROP INT_L_X40Y33 DEVICE_ID 0 TILEPROP INT_L_X40Y33 FIRST_SITE_ID 12233 TILEPROP INT_L_X40Y33 GRID_POINT_X 102 TILEPROP INT_L_X40Y33 GRID_POINT_Y 121 TILEPROP INT_L_X40Y33 INDEX 14017 TILEPROP INT_L_X40Y33 INT_TILE_X 40 TILEPROP INT_L_X40Y33 INT_TILE_Y 116 TILEPROP INT_L_X40Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y33 IS_DCM_TILE 0 TILEPROP INT_L_X40Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y33 NAME INT_L_X40Y33 TILEPROP INT_L_X40Y33 NUM_ARCS 3737 TILEPROP INT_L_X40Y33 NUM_SITES 1 TILEPROP INT_L_X40Y33 ROW 121 TILEPROP INT_L_X40Y33 SLR_REGION_ID 0 TILEPROP INT_L_X40Y33 TILE_PATTERN_IDX 7767 TILEPROP INT_L_X40Y33 TILE_TYPE INT_L TILEPROP INT_L_X40Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y33 TILE_X 87694 TILEPROP INT_L_X40Y33 TILE_Y -133048 TILEPROP INT_L_X40Y33 TYPE INT_L TILEPROP INT_L_X40Y34 CLASS tile TILEPROP INT_L_X40Y34 COLUMN 102 TILEPROP INT_L_X40Y34 DEVICE_ID 0 TILEPROP INT_L_X40Y34 FIRST_SITE_ID 12133 TILEPROP INT_L_X40Y34 GRID_POINT_X 102 TILEPROP INT_L_X40Y34 GRID_POINT_Y 120 TILEPROP INT_L_X40Y34 INDEX 13902 TILEPROP INT_L_X40Y34 INT_TILE_X 40 TILEPROP INT_L_X40Y34 INT_TILE_Y 115 TILEPROP INT_L_X40Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y34 IS_DCM_TILE 0 TILEPROP INT_L_X40Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y34 NAME INT_L_X40Y34 TILEPROP INT_L_X40Y34 NUM_ARCS 3737 TILEPROP INT_L_X40Y34 NUM_SITES 1 TILEPROP INT_L_X40Y34 ROW 120 TILEPROP INT_L_X40Y34 SLR_REGION_ID 0 TILEPROP INT_L_X40Y34 TILE_PATTERN_IDX 7739 TILEPROP INT_L_X40Y34 TILE_TYPE INT_L TILEPROP INT_L_X40Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y34 TILE_X 87694 TILEPROP INT_L_X40Y34 TILE_Y -129848 TILEPROP INT_L_X40Y34 TYPE INT_L TILEPROP INT_L_X40Y35 CLASS tile TILEPROP INT_L_X40Y35 COLUMN 102 TILEPROP INT_L_X40Y35 DEVICE_ID 0 TILEPROP INT_L_X40Y35 FIRST_SITE_ID 12033 TILEPROP INT_L_X40Y35 GRID_POINT_X 102 TILEPROP INT_L_X40Y35 GRID_POINT_Y 119 TILEPROP INT_L_X40Y35 INDEX 13787 TILEPROP INT_L_X40Y35 INT_TILE_X 40 TILEPROP INT_L_X40Y35 INT_TILE_Y 114 TILEPROP INT_L_X40Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y35 IS_DCM_TILE 0 TILEPROP INT_L_X40Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y35 NAME INT_L_X40Y35 TILEPROP INT_L_X40Y35 NUM_ARCS 3737 TILEPROP INT_L_X40Y35 NUM_SITES 1 TILEPROP INT_L_X40Y35 ROW 119 TILEPROP INT_L_X40Y35 SLR_REGION_ID 0 TILEPROP INT_L_X40Y35 TILE_PATTERN_IDX 7710 TILEPROP INT_L_X40Y35 TILE_TYPE INT_L TILEPROP INT_L_X40Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y35 TILE_X 87694 TILEPROP INT_L_X40Y35 TILE_Y -126648 TILEPROP INT_L_X40Y35 TYPE INT_L TILEPROP INT_L_X40Y36 CLASS tile TILEPROP INT_L_X40Y36 COLUMN 102 TILEPROP INT_L_X40Y36 DEVICE_ID 0 TILEPROP INT_L_X40Y36 FIRST_SITE_ID 11918 TILEPROP INT_L_X40Y36 GRID_POINT_X 102 TILEPROP INT_L_X40Y36 GRID_POINT_Y 118 TILEPROP INT_L_X40Y36 INDEX 13672 TILEPROP INT_L_X40Y36 INT_TILE_X 40 TILEPROP INT_L_X40Y36 INT_TILE_Y 113 TILEPROP INT_L_X40Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y36 IS_DCM_TILE 0 TILEPROP INT_L_X40Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y36 NAME INT_L_X40Y36 TILEPROP INT_L_X40Y36 NUM_ARCS 3737 TILEPROP INT_L_X40Y36 NUM_SITES 1 TILEPROP INT_L_X40Y36 ROW 118 TILEPROP INT_L_X40Y36 SLR_REGION_ID 0 TILEPROP INT_L_X40Y36 TILE_PATTERN_IDX 7682 TILEPROP INT_L_X40Y36 TILE_TYPE INT_L TILEPROP INT_L_X40Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y36 TILE_X 87694 TILEPROP INT_L_X40Y36 TILE_Y -123448 TILEPROP INT_L_X40Y36 TYPE INT_L TILEPROP INT_L_X40Y37 CLASS tile TILEPROP INT_L_X40Y37 COLUMN 102 TILEPROP INT_L_X40Y37 DEVICE_ID 0 TILEPROP INT_L_X40Y37 FIRST_SITE_ID 11786 TILEPROP INT_L_X40Y37 GRID_POINT_X 102 TILEPROP INT_L_X40Y37 GRID_POINT_Y 117 TILEPROP INT_L_X40Y37 INDEX 13557 TILEPROP INT_L_X40Y37 INT_TILE_X 40 TILEPROP INT_L_X40Y37 INT_TILE_Y 112 TILEPROP INT_L_X40Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y37 IS_DCM_TILE 0 TILEPROP INT_L_X40Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y37 NAME INT_L_X40Y37 TILEPROP INT_L_X40Y37 NUM_ARCS 3737 TILEPROP INT_L_X40Y37 NUM_SITES 1 TILEPROP INT_L_X40Y37 ROW 117 TILEPROP INT_L_X40Y37 SLR_REGION_ID 0 TILEPROP INT_L_X40Y37 TILE_PATTERN_IDX 7654 TILEPROP INT_L_X40Y37 TILE_TYPE INT_L TILEPROP INT_L_X40Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y37 TILE_X 87694 TILEPROP INT_L_X40Y37 TILE_Y -120248 TILEPROP INT_L_X40Y37 TYPE INT_L TILEPROP INT_L_X40Y38 CLASS tile TILEPROP INT_L_X40Y38 COLUMN 102 TILEPROP INT_L_X40Y38 DEVICE_ID 0 TILEPROP INT_L_X40Y38 FIRST_SITE_ID 11686 TILEPROP INT_L_X40Y38 GRID_POINT_X 102 TILEPROP INT_L_X40Y38 GRID_POINT_Y 116 TILEPROP INT_L_X40Y38 INDEX 13442 TILEPROP INT_L_X40Y38 INT_TILE_X 40 TILEPROP INT_L_X40Y38 INT_TILE_Y 111 TILEPROP INT_L_X40Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y38 IS_DCM_TILE 0 TILEPROP INT_L_X40Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y38 NAME INT_L_X40Y38 TILEPROP INT_L_X40Y38 NUM_ARCS 3737 TILEPROP INT_L_X40Y38 NUM_SITES 1 TILEPROP INT_L_X40Y38 ROW 116 TILEPROP INT_L_X40Y38 SLR_REGION_ID 0 TILEPROP INT_L_X40Y38 TILE_PATTERN_IDX 7626 TILEPROP INT_L_X40Y38 TILE_TYPE INT_L TILEPROP INT_L_X40Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y38 TILE_X 87694 TILEPROP INT_L_X40Y38 TILE_Y -117048 TILEPROP INT_L_X40Y38 TYPE INT_L TILEPROP INT_L_X40Y39 CLASS tile TILEPROP INT_L_X40Y39 COLUMN 102 TILEPROP INT_L_X40Y39 DEVICE_ID 0 TILEPROP INT_L_X40Y39 FIRST_SITE_ID 11586 TILEPROP INT_L_X40Y39 GRID_POINT_X 102 TILEPROP INT_L_X40Y39 GRID_POINT_Y 115 TILEPROP INT_L_X40Y39 INDEX 13327 TILEPROP INT_L_X40Y39 INT_TILE_X 40 TILEPROP INT_L_X40Y39 INT_TILE_Y 110 TILEPROP INT_L_X40Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y39 IS_DCM_TILE 0 TILEPROP INT_L_X40Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y39 NAME INT_L_X40Y39 TILEPROP INT_L_X40Y39 NUM_ARCS 3737 TILEPROP INT_L_X40Y39 NUM_SITES 1 TILEPROP INT_L_X40Y39 ROW 115 TILEPROP INT_L_X40Y39 SLR_REGION_ID 0 TILEPROP INT_L_X40Y39 TILE_PATTERN_IDX 7597 TILEPROP INT_L_X40Y39 TILE_TYPE INT_L TILEPROP INT_L_X40Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y39 TILE_X 87694 TILEPROP INT_L_X40Y39 TILE_Y -113848 TILEPROP INT_L_X40Y39 TYPE INT_L TILEPROP INT_L_X40Y40 CLASS tile TILEPROP INT_L_X40Y40 COLUMN 102 TILEPROP INT_L_X40Y40 DEVICE_ID 0 TILEPROP INT_L_X40Y40 FIRST_SITE_ID 11486 TILEPROP INT_L_X40Y40 GRID_POINT_X 102 TILEPROP INT_L_X40Y40 GRID_POINT_Y 114 TILEPROP INT_L_X40Y40 INDEX 13212 TILEPROP INT_L_X40Y40 INT_TILE_X 40 TILEPROP INT_L_X40Y40 INT_TILE_Y 109 TILEPROP INT_L_X40Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y40 IS_DCM_TILE 0 TILEPROP INT_L_X40Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y40 NAME INT_L_X40Y40 TILEPROP INT_L_X40Y40 NUM_ARCS 3737 TILEPROP INT_L_X40Y40 NUM_SITES 1 TILEPROP INT_L_X40Y40 ROW 114 TILEPROP INT_L_X40Y40 SLR_REGION_ID 0 TILEPROP INT_L_X40Y40 TILE_PATTERN_IDX 7569 TILEPROP INT_L_X40Y40 TILE_TYPE INT_L TILEPROP INT_L_X40Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y40 TILE_X 87694 TILEPROP INT_L_X40Y40 TILE_Y -110648 TILEPROP INT_L_X40Y40 TYPE INT_L TILEPROP INT_L_X40Y41 CLASS tile TILEPROP INT_L_X40Y41 COLUMN 102 TILEPROP INT_L_X40Y41 DEVICE_ID 0 TILEPROP INT_L_X40Y41 FIRST_SITE_ID 11369 TILEPROP INT_L_X40Y41 GRID_POINT_X 102 TILEPROP INT_L_X40Y41 GRID_POINT_Y 113 TILEPROP INT_L_X40Y41 INDEX 13097 TILEPROP INT_L_X40Y41 INT_TILE_X 40 TILEPROP INT_L_X40Y41 INT_TILE_Y 108 TILEPROP INT_L_X40Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y41 IS_DCM_TILE 0 TILEPROP INT_L_X40Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y41 NAME INT_L_X40Y41 TILEPROP INT_L_X40Y41 NUM_ARCS 3737 TILEPROP INT_L_X40Y41 NUM_SITES 1 TILEPROP INT_L_X40Y41 ROW 113 TILEPROP INT_L_X40Y41 SLR_REGION_ID 0 TILEPROP INT_L_X40Y41 TILE_PATTERN_IDX 7539 TILEPROP INT_L_X40Y41 TILE_TYPE INT_L TILEPROP INT_L_X40Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y41 TILE_X 87694 TILEPROP INT_L_X40Y41 TILE_Y -107448 TILEPROP INT_L_X40Y41 TYPE INT_L TILEPROP INT_L_X40Y42 CLASS tile TILEPROP INT_L_X40Y42 COLUMN 102 TILEPROP INT_L_X40Y42 DEVICE_ID 0 TILEPROP INT_L_X40Y42 FIRST_SITE_ID 11267 TILEPROP INT_L_X40Y42 GRID_POINT_X 102 TILEPROP INT_L_X40Y42 GRID_POINT_Y 112 TILEPROP INT_L_X40Y42 INDEX 12982 TILEPROP INT_L_X40Y42 INT_TILE_X 40 TILEPROP INT_L_X40Y42 INT_TILE_Y 107 TILEPROP INT_L_X40Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y42 IS_DCM_TILE 0 TILEPROP INT_L_X40Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y42 NAME INT_L_X40Y42 TILEPROP INT_L_X40Y42 NUM_ARCS 3737 TILEPROP INT_L_X40Y42 NUM_SITES 1 TILEPROP INT_L_X40Y42 ROW 112 TILEPROP INT_L_X40Y42 SLR_REGION_ID 0 TILEPROP INT_L_X40Y42 TILE_PATTERN_IDX 7504 TILEPROP INT_L_X40Y42 TILE_TYPE INT_L TILEPROP INT_L_X40Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y42 TILE_X 87694 TILEPROP INT_L_X40Y42 TILE_Y -104248 TILEPROP INT_L_X40Y42 TYPE INT_L TILEPROP INT_L_X40Y43 CLASS tile TILEPROP INT_L_X40Y43 COLUMN 102 TILEPROP INT_L_X40Y43 DEVICE_ID 0 TILEPROP INT_L_X40Y43 FIRST_SITE_ID 11164 TILEPROP INT_L_X40Y43 GRID_POINT_X 102 TILEPROP INT_L_X40Y43 GRID_POINT_Y 111 TILEPROP INT_L_X40Y43 INDEX 12867 TILEPROP INT_L_X40Y43 INT_TILE_X 40 TILEPROP INT_L_X40Y43 INT_TILE_Y 106 TILEPROP INT_L_X40Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y43 IS_DCM_TILE 0 TILEPROP INT_L_X40Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y43 NAME INT_L_X40Y43 TILEPROP INT_L_X40Y43 NUM_ARCS 3737 TILEPROP INT_L_X40Y43 NUM_SITES 1 TILEPROP INT_L_X40Y43 ROW 111 TILEPROP INT_L_X40Y43 SLR_REGION_ID 0 TILEPROP INT_L_X40Y43 TILE_PATTERN_IDX 7475 TILEPROP INT_L_X40Y43 TILE_TYPE INT_L TILEPROP INT_L_X40Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y43 TILE_X 87694 TILEPROP INT_L_X40Y43 TILE_Y -101048 TILEPROP INT_L_X40Y43 TYPE INT_L TILEPROP INT_L_X40Y44 CLASS tile TILEPROP INT_L_X40Y44 COLUMN 102 TILEPROP INT_L_X40Y44 DEVICE_ID 0 TILEPROP INT_L_X40Y44 FIRST_SITE_ID 11062 TILEPROP INT_L_X40Y44 GRID_POINT_X 102 TILEPROP INT_L_X40Y44 GRID_POINT_Y 110 TILEPROP INT_L_X40Y44 INDEX 12752 TILEPROP INT_L_X40Y44 INT_TILE_X 40 TILEPROP INT_L_X40Y44 INT_TILE_Y 105 TILEPROP INT_L_X40Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y44 IS_DCM_TILE 0 TILEPROP INT_L_X40Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y44 NAME INT_L_X40Y44 TILEPROP INT_L_X40Y44 NUM_ARCS 3737 TILEPROP INT_L_X40Y44 NUM_SITES 1 TILEPROP INT_L_X40Y44 ROW 110 TILEPROP INT_L_X40Y44 SLR_REGION_ID 0 TILEPROP INT_L_X40Y44 TILE_PATTERN_IDX 7447 TILEPROP INT_L_X40Y44 TILE_TYPE INT_L TILEPROP INT_L_X40Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y44 TILE_X 87694 TILEPROP INT_L_X40Y44 TILE_Y -97848 TILEPROP INT_L_X40Y44 TYPE INT_L TILEPROP INT_L_X40Y45 CLASS tile TILEPROP INT_L_X40Y45 COLUMN 102 TILEPROP INT_L_X40Y45 DEVICE_ID 0 TILEPROP INT_L_X40Y45 FIRST_SITE_ID 10962 TILEPROP INT_L_X40Y45 GRID_POINT_X 102 TILEPROP INT_L_X40Y45 GRID_POINT_Y 109 TILEPROP INT_L_X40Y45 INDEX 12637 TILEPROP INT_L_X40Y45 INT_TILE_X 40 TILEPROP INT_L_X40Y45 INT_TILE_Y 104 TILEPROP INT_L_X40Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y45 IS_DCM_TILE 0 TILEPROP INT_L_X40Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y45 NAME INT_L_X40Y45 TILEPROP INT_L_X40Y45 NUM_ARCS 3737 TILEPROP INT_L_X40Y45 NUM_SITES 1 TILEPROP INT_L_X40Y45 ROW 109 TILEPROP INT_L_X40Y45 SLR_REGION_ID 0 TILEPROP INT_L_X40Y45 TILE_PATTERN_IDX 7418 TILEPROP INT_L_X40Y45 TILE_TYPE INT_L TILEPROP INT_L_X40Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y45 TILE_X 87694 TILEPROP INT_L_X40Y45 TILE_Y -94648 TILEPROP INT_L_X40Y45 TYPE INT_L TILEPROP INT_L_X40Y46 CLASS tile TILEPROP INT_L_X40Y46 COLUMN 102 TILEPROP INT_L_X40Y46 DEVICE_ID 0 TILEPROP INT_L_X40Y46 FIRST_SITE_ID 10846 TILEPROP INT_L_X40Y46 GRID_POINT_X 102 TILEPROP INT_L_X40Y46 GRID_POINT_Y 108 TILEPROP INT_L_X40Y46 INDEX 12522 TILEPROP INT_L_X40Y46 INT_TILE_X 40 TILEPROP INT_L_X40Y46 INT_TILE_Y 103 TILEPROP INT_L_X40Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y46 IS_DCM_TILE 0 TILEPROP INT_L_X40Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y46 NAME INT_L_X40Y46 TILEPROP INT_L_X40Y46 NUM_ARCS 3737 TILEPROP INT_L_X40Y46 NUM_SITES 1 TILEPROP INT_L_X40Y46 ROW 108 TILEPROP INT_L_X40Y46 SLR_REGION_ID 0 TILEPROP INT_L_X40Y46 TILE_PATTERN_IDX 7383 TILEPROP INT_L_X40Y46 TILE_TYPE INT_L TILEPROP INT_L_X40Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y46 TILE_X 87694 TILEPROP INT_L_X40Y46 TILE_Y -91448 TILEPROP INT_L_X40Y46 TYPE INT_L TILEPROP INT_L_X40Y47 CLASS tile TILEPROP INT_L_X40Y47 COLUMN 102 TILEPROP INT_L_X40Y47 DEVICE_ID 0 TILEPROP INT_L_X40Y47 FIRST_SITE_ID 10730 TILEPROP INT_L_X40Y47 GRID_POINT_X 102 TILEPROP INT_L_X40Y47 GRID_POINT_Y 107 TILEPROP INT_L_X40Y47 INDEX 12407 TILEPROP INT_L_X40Y47 INT_TILE_X 40 TILEPROP INT_L_X40Y47 INT_TILE_Y 102 TILEPROP INT_L_X40Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y47 IS_DCM_TILE 0 TILEPROP INT_L_X40Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y47 NAME INT_L_X40Y47 TILEPROP INT_L_X40Y47 NUM_ARCS 3737 TILEPROP INT_L_X40Y47 NUM_SITES 1 TILEPROP INT_L_X40Y47 ROW 107 TILEPROP INT_L_X40Y47 SLR_REGION_ID 0 TILEPROP INT_L_X40Y47 TILE_PATTERN_IDX 7346 TILEPROP INT_L_X40Y47 TILE_TYPE INT_L TILEPROP INT_L_X40Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y47 TILE_X 87694 TILEPROP INT_L_X40Y47 TILE_Y -88248 TILEPROP INT_L_X40Y47 TYPE INT_L TILEPROP INT_L_X40Y48 CLASS tile TILEPROP INT_L_X40Y48 COLUMN 102 TILEPROP INT_L_X40Y48 DEVICE_ID 0 TILEPROP INT_L_X40Y48 FIRST_SITE_ID 10630 TILEPROP INT_L_X40Y48 GRID_POINT_X 102 TILEPROP INT_L_X40Y48 GRID_POINT_Y 106 TILEPROP INT_L_X40Y48 INDEX 12292 TILEPROP INT_L_X40Y48 INT_TILE_X 40 TILEPROP INT_L_X40Y48 INT_TILE_Y 101 TILEPROP INT_L_X40Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y48 IS_DCM_TILE 0 TILEPROP INT_L_X40Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y48 NAME INT_L_X40Y48 TILEPROP INT_L_X40Y48 NUM_ARCS 3737 TILEPROP INT_L_X40Y48 NUM_SITES 1 TILEPROP INT_L_X40Y48 ROW 106 TILEPROP INT_L_X40Y48 SLR_REGION_ID 0 TILEPROP INT_L_X40Y48 TILE_PATTERN_IDX 7310 TILEPROP INT_L_X40Y48 TILE_TYPE INT_L TILEPROP INT_L_X40Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y48 TILE_X 87694 TILEPROP INT_L_X40Y48 TILE_Y -85048 TILEPROP INT_L_X40Y48 TYPE INT_L TILEPROP INT_L_X40Y49 CLASS tile TILEPROP INT_L_X40Y49 COLUMN 102 TILEPROP INT_L_X40Y49 DEVICE_ID 0 TILEPROP INT_L_X40Y49 FIRST_SITE_ID 10534 TILEPROP INT_L_X40Y49 GRID_POINT_X 102 TILEPROP INT_L_X40Y49 GRID_POINT_Y 105 TILEPROP INT_L_X40Y49 INDEX 12177 TILEPROP INT_L_X40Y49 INT_TILE_X 40 TILEPROP INT_L_X40Y49 INT_TILE_Y 100 TILEPROP INT_L_X40Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y49 IS_DCM_TILE 0 TILEPROP INT_L_X40Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y49 NAME INT_L_X40Y49 TILEPROP INT_L_X40Y49 NUM_ARCS 3737 TILEPROP INT_L_X40Y49 NUM_SITES 1 TILEPROP INT_L_X40Y49 ROW 105 TILEPROP INT_L_X40Y49 SLR_REGION_ID 0 TILEPROP INT_L_X40Y49 TILE_PATTERN_IDX 7274 TILEPROP INT_L_X40Y49 TILE_TYPE INT_L TILEPROP INT_L_X40Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y49 TILE_X 87694 TILEPROP INT_L_X40Y49 TILE_Y -81848 TILEPROP INT_L_X40Y49 TYPE INT_L TILEPROP INT_L_X40Y50 CLASS tile TILEPROP INT_L_X40Y50 COLUMN 102 TILEPROP INT_L_X40Y50 DEVICE_ID 0 TILEPROP INT_L_X40Y50 FIRST_SITE_ID 10434 TILEPROP INT_L_X40Y50 GRID_POINT_X 102 TILEPROP INT_L_X40Y50 GRID_POINT_Y 103 TILEPROP INT_L_X40Y50 INDEX 11947 TILEPROP INT_L_X40Y50 INT_TILE_X 40 TILEPROP INT_L_X40Y50 INT_TILE_Y 99 TILEPROP INT_L_X40Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y50 IS_DCM_TILE 0 TILEPROP INT_L_X40Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y50 NAME INT_L_X40Y50 TILEPROP INT_L_X40Y50 NUM_ARCS 3737 TILEPROP INT_L_X40Y50 NUM_SITES 1 TILEPROP INT_L_X40Y50 ROW 103 TILEPROP INT_L_X40Y50 SLR_REGION_ID 0 TILEPROP INT_L_X40Y50 TILE_PATTERN_IDX 7238 TILEPROP INT_L_X40Y50 TILE_TYPE INT_L TILEPROP INT_L_X40Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y50 TILE_X 87694 TILEPROP INT_L_X40Y50 TILE_Y -78400 TILEPROP INT_L_X40Y50 TYPE INT_L TILEPROP INT_L_X40Y51 CLASS tile TILEPROP INT_L_X40Y51 COLUMN 102 TILEPROP INT_L_X40Y51 DEVICE_ID 0 TILEPROP INT_L_X40Y51 FIRST_SITE_ID 10299 TILEPROP INT_L_X40Y51 GRID_POINT_X 102 TILEPROP INT_L_X40Y51 GRID_POINT_Y 102 TILEPROP INT_L_X40Y51 INDEX 11832 TILEPROP INT_L_X40Y51 INT_TILE_X 40 TILEPROP INT_L_X40Y51 INT_TILE_Y 98 TILEPROP INT_L_X40Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y51 IS_DCM_TILE 0 TILEPROP INT_L_X40Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y51 NAME INT_L_X40Y51 TILEPROP INT_L_X40Y51 NUM_ARCS 3737 TILEPROP INT_L_X40Y51 NUM_SITES 1 TILEPROP INT_L_X40Y51 ROW 102 TILEPROP INT_L_X40Y51 SLR_REGION_ID 0 TILEPROP INT_L_X40Y51 TILE_PATTERN_IDX 7200 TILEPROP INT_L_X40Y51 TILE_TYPE INT_L TILEPROP INT_L_X40Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y51 TILE_X 87694 TILEPROP INT_L_X40Y51 TILE_Y -75200 TILEPROP INT_L_X40Y51 TYPE INT_L TILEPROP INT_L_X40Y52 CLASS tile TILEPROP INT_L_X40Y52 COLUMN 102 TILEPROP INT_L_X40Y52 DEVICE_ID 0 TILEPROP INT_L_X40Y52 FIRST_SITE_ID 10199 TILEPROP INT_L_X40Y52 GRID_POINT_X 102 TILEPROP INT_L_X40Y52 GRID_POINT_Y 101 TILEPROP INT_L_X40Y52 INDEX 11717 TILEPROP INT_L_X40Y52 INT_TILE_X 40 TILEPROP INT_L_X40Y52 INT_TILE_Y 97 TILEPROP INT_L_X40Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y52 IS_DCM_TILE 0 TILEPROP INT_L_X40Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y52 NAME INT_L_X40Y52 TILEPROP INT_L_X40Y52 NUM_ARCS 3737 TILEPROP INT_L_X40Y52 NUM_SITES 1 TILEPROP INT_L_X40Y52 ROW 101 TILEPROP INT_L_X40Y52 SLR_REGION_ID 0 TILEPROP INT_L_X40Y52 TILE_PATTERN_IDX 7163 TILEPROP INT_L_X40Y52 TILE_TYPE INT_L TILEPROP INT_L_X40Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y52 TILE_X 87694 TILEPROP INT_L_X40Y52 TILE_Y -72000 TILEPROP INT_L_X40Y52 TYPE INT_L TILEPROP INT_L_X40Y53 CLASS tile TILEPROP INT_L_X40Y53 COLUMN 102 TILEPROP INT_L_X40Y53 DEVICE_ID 0 TILEPROP INT_L_X40Y53 FIRST_SITE_ID 10099 TILEPROP INT_L_X40Y53 GRID_POINT_X 102 TILEPROP INT_L_X40Y53 GRID_POINT_Y 100 TILEPROP INT_L_X40Y53 INDEX 11602 TILEPROP INT_L_X40Y53 INT_TILE_X 40 TILEPROP INT_L_X40Y53 INT_TILE_Y 96 TILEPROP INT_L_X40Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y53 IS_DCM_TILE 0 TILEPROP INT_L_X40Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y53 NAME INT_L_X40Y53 TILEPROP INT_L_X40Y53 NUM_ARCS 3737 TILEPROP INT_L_X40Y53 NUM_SITES 1 TILEPROP INT_L_X40Y53 ROW 100 TILEPROP INT_L_X40Y53 SLR_REGION_ID 0 TILEPROP INT_L_X40Y53 TILE_PATTERN_IDX 7126 TILEPROP INT_L_X40Y53 TILE_TYPE INT_L TILEPROP INT_L_X40Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y53 TILE_X 87694 TILEPROP INT_L_X40Y53 TILE_Y -68800 TILEPROP INT_L_X40Y53 TYPE INT_L TILEPROP INT_L_X40Y54 CLASS tile TILEPROP INT_L_X40Y54 COLUMN 102 TILEPROP INT_L_X40Y54 DEVICE_ID 0 TILEPROP INT_L_X40Y54 FIRST_SITE_ID 9999 TILEPROP INT_L_X40Y54 GRID_POINT_X 102 TILEPROP INT_L_X40Y54 GRID_POINT_Y 99 TILEPROP INT_L_X40Y54 INDEX 11487 TILEPROP INT_L_X40Y54 INT_TILE_X 40 TILEPROP INT_L_X40Y54 INT_TILE_Y 95 TILEPROP INT_L_X40Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y54 IS_DCM_TILE 0 TILEPROP INT_L_X40Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y54 NAME INT_L_X40Y54 TILEPROP INT_L_X40Y54 NUM_ARCS 3737 TILEPROP INT_L_X40Y54 NUM_SITES 1 TILEPROP INT_L_X40Y54 ROW 99 TILEPROP INT_L_X40Y54 SLR_REGION_ID 0 TILEPROP INT_L_X40Y54 TILE_PATTERN_IDX 7089 TILEPROP INT_L_X40Y54 TILE_TYPE INT_L TILEPROP INT_L_X40Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y54 TILE_X 87694 TILEPROP INT_L_X40Y54 TILE_Y -65600 TILEPROP INT_L_X40Y54 TYPE INT_L TILEPROP INT_L_X40Y55 CLASS tile TILEPROP INT_L_X40Y55 COLUMN 102 TILEPROP INT_L_X40Y55 DEVICE_ID 0 TILEPROP INT_L_X40Y55 FIRST_SITE_ID 9899 TILEPROP INT_L_X40Y55 GRID_POINT_X 102 TILEPROP INT_L_X40Y55 GRID_POINT_Y 98 TILEPROP INT_L_X40Y55 INDEX 11372 TILEPROP INT_L_X40Y55 INT_TILE_X 40 TILEPROP INT_L_X40Y55 INT_TILE_Y 94 TILEPROP INT_L_X40Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y55 IS_DCM_TILE 0 TILEPROP INT_L_X40Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y55 NAME INT_L_X40Y55 TILEPROP INT_L_X40Y55 NUM_ARCS 3737 TILEPROP INT_L_X40Y55 NUM_SITES 1 TILEPROP INT_L_X40Y55 ROW 98 TILEPROP INT_L_X40Y55 SLR_REGION_ID 0 TILEPROP INT_L_X40Y55 TILE_PATTERN_IDX 7052 TILEPROP INT_L_X40Y55 TILE_TYPE INT_L TILEPROP INT_L_X40Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y55 TILE_X 87694 TILEPROP INT_L_X40Y55 TILE_Y -62400 TILEPROP INT_L_X40Y55 TYPE INT_L TILEPROP INT_L_X40Y56 CLASS tile TILEPROP INT_L_X40Y56 COLUMN 102 TILEPROP INT_L_X40Y56 DEVICE_ID 0 TILEPROP INT_L_X40Y56 FIRST_SITE_ID 9784 TILEPROP INT_L_X40Y56 GRID_POINT_X 102 TILEPROP INT_L_X40Y56 GRID_POINT_Y 97 TILEPROP INT_L_X40Y56 INDEX 11257 TILEPROP INT_L_X40Y56 INT_TILE_X 40 TILEPROP INT_L_X40Y56 INT_TILE_Y 93 TILEPROP INT_L_X40Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y56 IS_DCM_TILE 0 TILEPROP INT_L_X40Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y56 NAME INT_L_X40Y56 TILEPROP INT_L_X40Y56 NUM_ARCS 3737 TILEPROP INT_L_X40Y56 NUM_SITES 1 TILEPROP INT_L_X40Y56 ROW 97 TILEPROP INT_L_X40Y56 SLR_REGION_ID 0 TILEPROP INT_L_X40Y56 TILE_PATTERN_IDX 7014 TILEPROP INT_L_X40Y56 TILE_TYPE INT_L TILEPROP INT_L_X40Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y56 TILE_X 87694 TILEPROP INT_L_X40Y56 TILE_Y -59200 TILEPROP INT_L_X40Y56 TYPE INT_L TILEPROP INT_L_X40Y57 CLASS tile TILEPROP INT_L_X40Y57 COLUMN 102 TILEPROP INT_L_X40Y57 DEVICE_ID 0 TILEPROP INT_L_X40Y57 FIRST_SITE_ID 9682 TILEPROP INT_L_X40Y57 GRID_POINT_X 102 TILEPROP INT_L_X40Y57 GRID_POINT_Y 96 TILEPROP INT_L_X40Y57 INDEX 11142 TILEPROP INT_L_X40Y57 INT_TILE_X 40 TILEPROP INT_L_X40Y57 INT_TILE_Y 92 TILEPROP INT_L_X40Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y57 IS_DCM_TILE 0 TILEPROP INT_L_X40Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y57 NAME INT_L_X40Y57 TILEPROP INT_L_X40Y57 NUM_ARCS 3737 TILEPROP INT_L_X40Y57 NUM_SITES 1 TILEPROP INT_L_X40Y57 ROW 96 TILEPROP INT_L_X40Y57 SLR_REGION_ID 0 TILEPROP INT_L_X40Y57 TILE_PATTERN_IDX 6977 TILEPROP INT_L_X40Y57 TILE_TYPE INT_L TILEPROP INT_L_X40Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y57 TILE_X 87694 TILEPROP INT_L_X40Y57 TILE_Y -56000 TILEPROP INT_L_X40Y57 TYPE INT_L TILEPROP INT_L_X40Y58 CLASS tile TILEPROP INT_L_X40Y58 COLUMN 102 TILEPROP INT_L_X40Y58 DEVICE_ID 0 TILEPROP INT_L_X40Y58 FIRST_SITE_ID 9579 TILEPROP INT_L_X40Y58 GRID_POINT_X 102 TILEPROP INT_L_X40Y58 GRID_POINT_Y 95 TILEPROP INT_L_X40Y58 INDEX 11027 TILEPROP INT_L_X40Y58 INT_TILE_X 40 TILEPROP INT_L_X40Y58 INT_TILE_Y 91 TILEPROP INT_L_X40Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y58 IS_DCM_TILE 0 TILEPROP INT_L_X40Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y58 NAME INT_L_X40Y58 TILEPROP INT_L_X40Y58 NUM_ARCS 3737 TILEPROP INT_L_X40Y58 NUM_SITES 1 TILEPROP INT_L_X40Y58 ROW 95 TILEPROP INT_L_X40Y58 SLR_REGION_ID 0 TILEPROP INT_L_X40Y58 TILE_PATTERN_IDX 6939 TILEPROP INT_L_X40Y58 TILE_TYPE INT_L TILEPROP INT_L_X40Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y58 TILE_X 87694 TILEPROP INT_L_X40Y58 TILE_Y -52800 TILEPROP INT_L_X40Y58 TYPE INT_L TILEPROP INT_L_X40Y59 CLASS tile TILEPROP INT_L_X40Y59 COLUMN 102 TILEPROP INT_L_X40Y59 DEVICE_ID 0 TILEPROP INT_L_X40Y59 FIRST_SITE_ID 9478 TILEPROP INT_L_X40Y59 GRID_POINT_X 102 TILEPROP INT_L_X40Y59 GRID_POINT_Y 94 TILEPROP INT_L_X40Y59 INDEX 10912 TILEPROP INT_L_X40Y59 INT_TILE_X 40 TILEPROP INT_L_X40Y59 INT_TILE_Y 90 TILEPROP INT_L_X40Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y59 IS_DCM_TILE 0 TILEPROP INT_L_X40Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y59 NAME INT_L_X40Y59 TILEPROP INT_L_X40Y59 NUM_ARCS 3737 TILEPROP INT_L_X40Y59 NUM_SITES 1 TILEPROP INT_L_X40Y59 ROW 94 TILEPROP INT_L_X40Y59 SLR_REGION_ID 0 TILEPROP INT_L_X40Y59 TILE_PATTERN_IDX 6902 TILEPROP INT_L_X40Y59 TILE_TYPE INT_L TILEPROP INT_L_X40Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y59 TILE_X 87694 TILEPROP INT_L_X40Y59 TILE_Y -49600 TILEPROP INT_L_X40Y59 TYPE INT_L TILEPROP INT_L_X40Y60 CLASS tile TILEPROP INT_L_X40Y60 COLUMN 102 TILEPROP INT_L_X40Y60 DEVICE_ID 0 TILEPROP INT_L_X40Y60 FIRST_SITE_ID 9378 TILEPROP INT_L_X40Y60 GRID_POINT_X 102 TILEPROP INT_L_X40Y60 GRID_POINT_Y 93 TILEPROP INT_L_X40Y60 INDEX 10797 TILEPROP INT_L_X40Y60 INT_TILE_X 40 TILEPROP INT_L_X40Y60 INT_TILE_Y 89 TILEPROP INT_L_X40Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y60 IS_DCM_TILE 0 TILEPROP INT_L_X40Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y60 NAME INT_L_X40Y60 TILEPROP INT_L_X40Y60 NUM_ARCS 3737 TILEPROP INT_L_X40Y60 NUM_SITES 1 TILEPROP INT_L_X40Y60 ROW 93 TILEPROP INT_L_X40Y60 SLR_REGION_ID 0 TILEPROP INT_L_X40Y60 TILE_PATTERN_IDX 6865 TILEPROP INT_L_X40Y60 TILE_TYPE INT_L TILEPROP INT_L_X40Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y60 TILE_X 87694 TILEPROP INT_L_X40Y60 TILE_Y -46400 TILEPROP INT_L_X40Y60 TYPE INT_L TILEPROP INT_L_X40Y61 CLASS tile TILEPROP INT_L_X40Y61 COLUMN 102 TILEPROP INT_L_X40Y61 DEVICE_ID 0 TILEPROP INT_L_X40Y61 FIRST_SITE_ID 9263 TILEPROP INT_L_X40Y61 GRID_POINT_X 102 TILEPROP INT_L_X40Y61 GRID_POINT_Y 92 TILEPROP INT_L_X40Y61 INDEX 10682 TILEPROP INT_L_X40Y61 INT_TILE_X 40 TILEPROP INT_L_X40Y61 INT_TILE_Y 88 TILEPROP INT_L_X40Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y61 IS_DCM_TILE 0 TILEPROP INT_L_X40Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y61 NAME INT_L_X40Y61 TILEPROP INT_L_X40Y61 NUM_ARCS 3737 TILEPROP INT_L_X40Y61 NUM_SITES 1 TILEPROP INT_L_X40Y61 ROW 92 TILEPROP INT_L_X40Y61 SLR_REGION_ID 0 TILEPROP INT_L_X40Y61 TILE_PATTERN_IDX 6828 TILEPROP INT_L_X40Y61 TILE_TYPE INT_L TILEPROP INT_L_X40Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y61 TILE_X 87694 TILEPROP INT_L_X40Y61 TILE_Y -43200 TILEPROP INT_L_X40Y61 TYPE INT_L TILEPROP INT_L_X40Y62 CLASS tile TILEPROP INT_L_X40Y62 COLUMN 102 TILEPROP INT_L_X40Y62 DEVICE_ID 0 TILEPROP INT_L_X40Y62 FIRST_SITE_ID 9163 TILEPROP INT_L_X40Y62 GRID_POINT_X 102 TILEPROP INT_L_X40Y62 GRID_POINT_Y 91 TILEPROP INT_L_X40Y62 INDEX 10567 TILEPROP INT_L_X40Y62 INT_TILE_X 40 TILEPROP INT_L_X40Y62 INT_TILE_Y 87 TILEPROP INT_L_X40Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y62 IS_DCM_TILE 0 TILEPROP INT_L_X40Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y62 NAME INT_L_X40Y62 TILEPROP INT_L_X40Y62 NUM_ARCS 3737 TILEPROP INT_L_X40Y62 NUM_SITES 1 TILEPROP INT_L_X40Y62 ROW 91 TILEPROP INT_L_X40Y62 SLR_REGION_ID 0 TILEPROP INT_L_X40Y62 TILE_PATTERN_IDX 6791 TILEPROP INT_L_X40Y62 TILE_TYPE INT_L TILEPROP INT_L_X40Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y62 TILE_X 87694 TILEPROP INT_L_X40Y62 TILE_Y -40000 TILEPROP INT_L_X40Y62 TYPE INT_L TILEPROP INT_L_X40Y63 CLASS tile TILEPROP INT_L_X40Y63 COLUMN 102 TILEPROP INT_L_X40Y63 DEVICE_ID 0 TILEPROP INT_L_X40Y63 FIRST_SITE_ID 9031 TILEPROP INT_L_X40Y63 GRID_POINT_X 102 TILEPROP INT_L_X40Y63 GRID_POINT_Y 90 TILEPROP INT_L_X40Y63 INDEX 10452 TILEPROP INT_L_X40Y63 INT_TILE_X 40 TILEPROP INT_L_X40Y63 INT_TILE_Y 86 TILEPROP INT_L_X40Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y63 IS_DCM_TILE 0 TILEPROP INT_L_X40Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y63 NAME INT_L_X40Y63 TILEPROP INT_L_X40Y63 NUM_ARCS 3737 TILEPROP INT_L_X40Y63 NUM_SITES 1 TILEPROP INT_L_X40Y63 ROW 90 TILEPROP INT_L_X40Y63 SLR_REGION_ID 0 TILEPROP INT_L_X40Y63 TILE_PATTERN_IDX 6754 TILEPROP INT_L_X40Y63 TILE_TYPE INT_L TILEPROP INT_L_X40Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y63 TILE_X 87694 TILEPROP INT_L_X40Y63 TILE_Y -36800 TILEPROP INT_L_X40Y63 TYPE INT_L TILEPROP INT_L_X40Y64 CLASS tile TILEPROP INT_L_X40Y64 COLUMN 102 TILEPROP INT_L_X40Y64 DEVICE_ID 0 TILEPROP INT_L_X40Y64 FIRST_SITE_ID 8931 TILEPROP INT_L_X40Y64 GRID_POINT_X 102 TILEPROP INT_L_X40Y64 GRID_POINT_Y 89 TILEPROP INT_L_X40Y64 INDEX 10337 TILEPROP INT_L_X40Y64 INT_TILE_X 40 TILEPROP INT_L_X40Y64 INT_TILE_Y 85 TILEPROP INT_L_X40Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y64 IS_DCM_TILE 0 TILEPROP INT_L_X40Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y64 NAME INT_L_X40Y64 TILEPROP INT_L_X40Y64 NUM_ARCS 3737 TILEPROP INT_L_X40Y64 NUM_SITES 1 TILEPROP INT_L_X40Y64 ROW 89 TILEPROP INT_L_X40Y64 SLR_REGION_ID 0 TILEPROP INT_L_X40Y64 TILE_PATTERN_IDX 6717 TILEPROP INT_L_X40Y64 TILE_TYPE INT_L TILEPROP INT_L_X40Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y64 TILE_X 87694 TILEPROP INT_L_X40Y64 TILE_Y -33600 TILEPROP INT_L_X40Y64 TYPE INT_L TILEPROP INT_L_X40Y65 CLASS tile TILEPROP INT_L_X40Y65 COLUMN 102 TILEPROP INT_L_X40Y65 DEVICE_ID 0 TILEPROP INT_L_X40Y65 FIRST_SITE_ID 8831 TILEPROP INT_L_X40Y65 GRID_POINT_X 102 TILEPROP INT_L_X40Y65 GRID_POINT_Y 88 TILEPROP INT_L_X40Y65 INDEX 10222 TILEPROP INT_L_X40Y65 INT_TILE_X 40 TILEPROP INT_L_X40Y65 INT_TILE_Y 84 TILEPROP INT_L_X40Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y65 IS_DCM_TILE 0 TILEPROP INT_L_X40Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y65 NAME INT_L_X40Y65 TILEPROP INT_L_X40Y65 NUM_ARCS 3737 TILEPROP INT_L_X40Y65 NUM_SITES 1 TILEPROP INT_L_X40Y65 ROW 88 TILEPROP INT_L_X40Y65 SLR_REGION_ID 0 TILEPROP INT_L_X40Y65 TILE_PATTERN_IDX 6680 TILEPROP INT_L_X40Y65 TILE_TYPE INT_L TILEPROP INT_L_X40Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y65 TILE_X 87694 TILEPROP INT_L_X40Y65 TILE_Y -30400 TILEPROP INT_L_X40Y65 TYPE INT_L TILEPROP INT_L_X40Y66 CLASS tile TILEPROP INT_L_X40Y66 COLUMN 102 TILEPROP INT_L_X40Y66 DEVICE_ID 0 TILEPROP INT_L_X40Y66 FIRST_SITE_ID 8716 TILEPROP INT_L_X40Y66 GRID_POINT_X 102 TILEPROP INT_L_X40Y66 GRID_POINT_Y 87 TILEPROP INT_L_X40Y66 INDEX 10107 TILEPROP INT_L_X40Y66 INT_TILE_X 40 TILEPROP INT_L_X40Y66 INT_TILE_Y 83 TILEPROP INT_L_X40Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y66 IS_DCM_TILE 0 TILEPROP INT_L_X40Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y66 NAME INT_L_X40Y66 TILEPROP INT_L_X40Y66 NUM_ARCS 3737 TILEPROP INT_L_X40Y66 NUM_SITES 1 TILEPROP INT_L_X40Y66 ROW 87 TILEPROP INT_L_X40Y66 SLR_REGION_ID 0 TILEPROP INT_L_X40Y66 TILE_PATTERN_IDX 6643 TILEPROP INT_L_X40Y66 TILE_TYPE INT_L TILEPROP INT_L_X40Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y66 TILE_X 87694 TILEPROP INT_L_X40Y66 TILE_Y -27200 TILEPROP INT_L_X40Y66 TYPE INT_L TILEPROP INT_L_X40Y67 CLASS tile TILEPROP INT_L_X40Y67 COLUMN 102 TILEPROP INT_L_X40Y67 DEVICE_ID 0 TILEPROP INT_L_X40Y67 FIRST_SITE_ID 8612 TILEPROP INT_L_X40Y67 GRID_POINT_X 102 TILEPROP INT_L_X40Y67 GRID_POINT_Y 86 TILEPROP INT_L_X40Y67 INDEX 9992 TILEPROP INT_L_X40Y67 INT_TILE_X 40 TILEPROP INT_L_X40Y67 INT_TILE_Y 82 TILEPROP INT_L_X40Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y67 IS_DCM_TILE 0 TILEPROP INT_L_X40Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y67 NAME INT_L_X40Y67 TILEPROP INT_L_X40Y67 NUM_ARCS 3737 TILEPROP INT_L_X40Y67 NUM_SITES 1 TILEPROP INT_L_X40Y67 ROW 86 TILEPROP INT_L_X40Y67 SLR_REGION_ID 0 TILEPROP INT_L_X40Y67 TILE_PATTERN_IDX 6605 TILEPROP INT_L_X40Y67 TILE_TYPE INT_L TILEPROP INT_L_X40Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y67 TILE_X 87694 TILEPROP INT_L_X40Y67 TILE_Y -24000 TILEPROP INT_L_X40Y67 TYPE INT_L TILEPROP INT_L_X40Y68 CLASS tile TILEPROP INT_L_X40Y68 COLUMN 102 TILEPROP INT_L_X40Y68 DEVICE_ID 0 TILEPROP INT_L_X40Y68 FIRST_SITE_ID 8508 TILEPROP INT_L_X40Y68 GRID_POINT_X 102 TILEPROP INT_L_X40Y68 GRID_POINT_Y 85 TILEPROP INT_L_X40Y68 INDEX 9877 TILEPROP INT_L_X40Y68 INT_TILE_X 40 TILEPROP INT_L_X40Y68 INT_TILE_Y 81 TILEPROP INT_L_X40Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y68 IS_DCM_TILE 0 TILEPROP INT_L_X40Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y68 NAME INT_L_X40Y68 TILEPROP INT_L_X40Y68 NUM_ARCS 3737 TILEPROP INT_L_X40Y68 NUM_SITES 1 TILEPROP INT_L_X40Y68 ROW 85 TILEPROP INT_L_X40Y68 SLR_REGION_ID 0 TILEPROP INT_L_X40Y68 TILE_PATTERN_IDX 2868 TILEPROP INT_L_X40Y68 TILE_TYPE INT_L TILEPROP INT_L_X40Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y68 TILE_X 87694 TILEPROP INT_L_X40Y68 TILE_Y -20800 TILEPROP INT_L_X40Y68 TYPE INT_L TILEPROP INT_L_X40Y69 CLASS tile TILEPROP INT_L_X40Y69 COLUMN 102 TILEPROP INT_L_X40Y69 DEVICE_ID 0 TILEPROP INT_L_X40Y69 FIRST_SITE_ID 8406 TILEPROP INT_L_X40Y69 GRID_POINT_X 102 TILEPROP INT_L_X40Y69 GRID_POINT_Y 84 TILEPROP INT_L_X40Y69 INDEX 9762 TILEPROP INT_L_X40Y69 INT_TILE_X 40 TILEPROP INT_L_X40Y69 INT_TILE_Y 80 TILEPROP INT_L_X40Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y69 IS_DCM_TILE 0 TILEPROP INT_L_X40Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y69 NAME INT_L_X40Y69 TILEPROP INT_L_X40Y69 NUM_ARCS 3737 TILEPROP INT_L_X40Y69 NUM_SITES 1 TILEPROP INT_L_X40Y69 ROW 84 TILEPROP INT_L_X40Y69 SLR_REGION_ID 0 TILEPROP INT_L_X40Y69 TILE_PATTERN_IDX 2831 TILEPROP INT_L_X40Y69 TILE_TYPE INT_L TILEPROP INT_L_X40Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y69 TILE_X 87694 TILEPROP INT_L_X40Y69 TILE_Y -17600 TILEPROP INT_L_X40Y69 TYPE INT_L TILEPROP INT_L_X40Y70 CLASS tile TILEPROP INT_L_X40Y70 COLUMN 102 TILEPROP INT_L_X40Y70 DEVICE_ID 0 TILEPROP INT_L_X40Y70 FIRST_SITE_ID 8304 TILEPROP INT_L_X40Y70 GRID_POINT_X 102 TILEPROP INT_L_X40Y70 GRID_POINT_Y 83 TILEPROP INT_L_X40Y70 INDEX 9647 TILEPROP INT_L_X40Y70 INT_TILE_X 40 TILEPROP INT_L_X40Y70 INT_TILE_Y 79 TILEPROP INT_L_X40Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y70 IS_DCM_TILE 0 TILEPROP INT_L_X40Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y70 NAME INT_L_X40Y70 TILEPROP INT_L_X40Y70 NUM_ARCS 3737 TILEPROP INT_L_X40Y70 NUM_SITES 1 TILEPROP INT_L_X40Y70 ROW 83 TILEPROP INT_L_X40Y70 SLR_REGION_ID 0 TILEPROP INT_L_X40Y70 TILE_PATTERN_IDX 2796 TILEPROP INT_L_X40Y70 TILE_TYPE INT_L TILEPROP INT_L_X40Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y70 TILE_X 87694 TILEPROP INT_L_X40Y70 TILE_Y -14400 TILEPROP INT_L_X40Y70 TYPE INT_L TILEPROP INT_L_X40Y71 CLASS tile TILEPROP INT_L_X40Y71 COLUMN 102 TILEPROP INT_L_X40Y71 DEVICE_ID 0 TILEPROP INT_L_X40Y71 FIRST_SITE_ID 8189 TILEPROP INT_L_X40Y71 GRID_POINT_X 102 TILEPROP INT_L_X40Y71 GRID_POINT_Y 82 TILEPROP INT_L_X40Y71 INDEX 9532 TILEPROP INT_L_X40Y71 INT_TILE_X 40 TILEPROP INT_L_X40Y71 INT_TILE_Y 78 TILEPROP INT_L_X40Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y71 IS_DCM_TILE 0 TILEPROP INT_L_X40Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y71 NAME INT_L_X40Y71 TILEPROP INT_L_X40Y71 NUM_ARCS 3737 TILEPROP INT_L_X40Y71 NUM_SITES 1 TILEPROP INT_L_X40Y71 ROW 82 TILEPROP INT_L_X40Y71 SLR_REGION_ID 0 TILEPROP INT_L_X40Y71 TILE_PATTERN_IDX 2759 TILEPROP INT_L_X40Y71 TILE_TYPE INT_L TILEPROP INT_L_X40Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y71 TILE_X 87694 TILEPROP INT_L_X40Y71 TILE_Y -11200 TILEPROP INT_L_X40Y71 TYPE INT_L TILEPROP INT_L_X40Y72 CLASS tile TILEPROP INT_L_X40Y72 COLUMN 102 TILEPROP INT_L_X40Y72 DEVICE_ID 0 TILEPROP INT_L_X40Y72 FIRST_SITE_ID 8089 TILEPROP INT_L_X40Y72 GRID_POINT_X 102 TILEPROP INT_L_X40Y72 GRID_POINT_Y 81 TILEPROP INT_L_X40Y72 INDEX 9417 TILEPROP INT_L_X40Y72 INT_TILE_X 40 TILEPROP INT_L_X40Y72 INT_TILE_Y 77 TILEPROP INT_L_X40Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y72 IS_DCM_TILE 0 TILEPROP INT_L_X40Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y72 NAME INT_L_X40Y72 TILEPROP INT_L_X40Y72 NUM_ARCS 3737 TILEPROP INT_L_X40Y72 NUM_SITES 1 TILEPROP INT_L_X40Y72 ROW 81 TILEPROP INT_L_X40Y72 SLR_REGION_ID 0 TILEPROP INT_L_X40Y72 TILE_PATTERN_IDX 2723 TILEPROP INT_L_X40Y72 TILE_TYPE INT_L TILEPROP INT_L_X40Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y72 TILE_X 87694 TILEPROP INT_L_X40Y72 TILE_Y -8000 TILEPROP INT_L_X40Y72 TYPE INT_L TILEPROP INT_L_X40Y73 CLASS tile TILEPROP INT_L_X40Y73 COLUMN 102 TILEPROP INT_L_X40Y73 DEVICE_ID 0 TILEPROP INT_L_X40Y73 FIRST_SITE_ID 7989 TILEPROP INT_L_X40Y73 GRID_POINT_X 102 TILEPROP INT_L_X40Y73 GRID_POINT_Y 80 TILEPROP INT_L_X40Y73 INDEX 9302 TILEPROP INT_L_X40Y73 INT_TILE_X 40 TILEPROP INT_L_X40Y73 INT_TILE_Y 76 TILEPROP INT_L_X40Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y73 IS_DCM_TILE 0 TILEPROP INT_L_X40Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y73 NAME INT_L_X40Y73 TILEPROP INT_L_X40Y73 NUM_ARCS 3737 TILEPROP INT_L_X40Y73 NUM_SITES 1 TILEPROP INT_L_X40Y73 ROW 80 TILEPROP INT_L_X40Y73 SLR_REGION_ID 0 TILEPROP INT_L_X40Y73 TILE_PATTERN_IDX 2686 TILEPROP INT_L_X40Y73 TILE_TYPE INT_L TILEPROP INT_L_X40Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y73 TILE_X 87694 TILEPROP INT_L_X40Y73 TILE_Y -4800 TILEPROP INT_L_X40Y73 TYPE INT_L TILEPROP INT_L_X40Y74 CLASS tile TILEPROP INT_L_X40Y74 COLUMN 102 TILEPROP INT_L_X40Y74 DEVICE_ID 0 TILEPROP INT_L_X40Y74 FIRST_SITE_ID 7889 TILEPROP INT_L_X40Y74 GRID_POINT_X 102 TILEPROP INT_L_X40Y74 GRID_POINT_Y 79 TILEPROP INT_L_X40Y74 INDEX 9187 TILEPROP INT_L_X40Y74 INT_TILE_X 40 TILEPROP INT_L_X40Y74 INT_TILE_Y 75 TILEPROP INT_L_X40Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y74 IS_DCM_TILE 0 TILEPROP INT_L_X40Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y74 NAME INT_L_X40Y74 TILEPROP INT_L_X40Y74 NUM_ARCS 3737 TILEPROP INT_L_X40Y74 NUM_SITES 1 TILEPROP INT_L_X40Y74 ROW 79 TILEPROP INT_L_X40Y74 SLR_REGION_ID 0 TILEPROP INT_L_X40Y74 TILE_PATTERN_IDX 2650 TILEPROP INT_L_X40Y74 TILE_TYPE INT_L TILEPROP INT_L_X40Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y74 TILE_X 87694 TILEPROP INT_L_X40Y74 TILE_Y -1600 TILEPROP INT_L_X40Y74 TYPE INT_L TILEPROP INT_L_X40Y75 CLASS tile TILEPROP INT_L_X40Y75 COLUMN 102 TILEPROP INT_L_X40Y75 DEVICE_ID 0 TILEPROP INT_L_X40Y75 FIRST_SITE_ID 7706 TILEPROP INT_L_X40Y75 GRID_POINT_X 102 TILEPROP INT_L_X40Y75 GRID_POINT_Y 77 TILEPROP INT_L_X40Y75 INDEX 8957 TILEPROP INT_L_X40Y75 INT_TILE_X 40 TILEPROP INT_L_X40Y75 INT_TILE_Y 74 TILEPROP INT_L_X40Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y75 IS_DCM_TILE 0 TILEPROP INT_L_X40Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y75 NAME INT_L_X40Y75 TILEPROP INT_L_X40Y75 NUM_ARCS 3737 TILEPROP INT_L_X40Y75 NUM_SITES 1 TILEPROP INT_L_X40Y75 ROW 77 TILEPROP INT_L_X40Y75 SLR_REGION_ID 0 TILEPROP INT_L_X40Y75 TILE_PATTERN_IDX 2575 TILEPROP INT_L_X40Y75 TILE_TYPE INT_L TILEPROP INT_L_X40Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y75 TILE_X 87694 TILEPROP INT_L_X40Y75 TILE_Y 2624 TILEPROP INT_L_X40Y75 TYPE INT_L TILEPROP INT_L_X40Y76 CLASS tile TILEPROP INT_L_X40Y76 COLUMN 102 TILEPROP INT_L_X40Y76 DEVICE_ID 0 TILEPROP INT_L_X40Y76 FIRST_SITE_ID 7588 TILEPROP INT_L_X40Y76 GRID_POINT_X 102 TILEPROP INT_L_X40Y76 GRID_POINT_Y 76 TILEPROP INT_L_X40Y76 INDEX 8842 TILEPROP INT_L_X40Y76 INT_TILE_X 40 TILEPROP INT_L_X40Y76 INT_TILE_Y 73 TILEPROP INT_L_X40Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y76 IS_DCM_TILE 0 TILEPROP INT_L_X40Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y76 NAME INT_L_X40Y76 TILEPROP INT_L_X40Y76 NUM_ARCS 3737 TILEPROP INT_L_X40Y76 NUM_SITES 1 TILEPROP INT_L_X40Y76 ROW 76 TILEPROP INT_L_X40Y76 SLR_REGION_ID 0 TILEPROP INT_L_X40Y76 TILE_PATTERN_IDX 2538 TILEPROP INT_L_X40Y76 TILE_TYPE INT_L TILEPROP INT_L_X40Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y76 TILE_X 87694 TILEPROP INT_L_X40Y76 TILE_Y 5824 TILEPROP INT_L_X40Y76 TYPE INT_L TILEPROP INT_L_X40Y77 CLASS tile TILEPROP INT_L_X40Y77 COLUMN 102 TILEPROP INT_L_X40Y77 DEVICE_ID 0 TILEPROP INT_L_X40Y77 FIRST_SITE_ID 7488 TILEPROP INT_L_X40Y77 GRID_POINT_X 102 TILEPROP INT_L_X40Y77 GRID_POINT_Y 75 TILEPROP INT_L_X40Y77 INDEX 8727 TILEPROP INT_L_X40Y77 INT_TILE_X 40 TILEPROP INT_L_X40Y77 INT_TILE_Y 72 TILEPROP INT_L_X40Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y77 IS_DCM_TILE 0 TILEPROP INT_L_X40Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y77 NAME INT_L_X40Y77 TILEPROP INT_L_X40Y77 NUM_ARCS 3737 TILEPROP INT_L_X40Y77 NUM_SITES 1 TILEPROP INT_L_X40Y77 ROW 75 TILEPROP INT_L_X40Y77 SLR_REGION_ID 0 TILEPROP INT_L_X40Y77 TILE_PATTERN_IDX 2501 TILEPROP INT_L_X40Y77 TILE_TYPE INT_L TILEPROP INT_L_X40Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y77 TILE_X 87694 TILEPROP INT_L_X40Y77 TILE_Y 9024 TILEPROP INT_L_X40Y77 TYPE INT_L TILEPROP INT_L_X40Y78 CLASS tile TILEPROP INT_L_X40Y78 COLUMN 102 TILEPROP INT_L_X40Y78 DEVICE_ID 0 TILEPROP INT_L_X40Y78 FIRST_SITE_ID 7388 TILEPROP INT_L_X40Y78 GRID_POINT_X 102 TILEPROP INT_L_X40Y78 GRID_POINT_Y 74 TILEPROP INT_L_X40Y78 INDEX 8612 TILEPROP INT_L_X40Y78 INT_TILE_X 40 TILEPROP INT_L_X40Y78 INT_TILE_Y 71 TILEPROP INT_L_X40Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y78 IS_DCM_TILE 0 TILEPROP INT_L_X40Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y78 NAME INT_L_X40Y78 TILEPROP INT_L_X40Y78 NUM_ARCS 3737 TILEPROP INT_L_X40Y78 NUM_SITES 1 TILEPROP INT_L_X40Y78 ROW 74 TILEPROP INT_L_X40Y78 SLR_REGION_ID 0 TILEPROP INT_L_X40Y78 TILE_PATTERN_IDX 2465 TILEPROP INT_L_X40Y78 TILE_TYPE INT_L TILEPROP INT_L_X40Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y78 TILE_X 87694 TILEPROP INT_L_X40Y78 TILE_Y 12224 TILEPROP INT_L_X40Y78 TYPE INT_L TILEPROP INT_L_X40Y79 CLASS tile TILEPROP INT_L_X40Y79 COLUMN 102 TILEPROP INT_L_X40Y79 DEVICE_ID 0 TILEPROP INT_L_X40Y79 FIRST_SITE_ID 7282 TILEPROP INT_L_X40Y79 GRID_POINT_X 102 TILEPROP INT_L_X40Y79 GRID_POINT_Y 73 TILEPROP INT_L_X40Y79 INDEX 8497 TILEPROP INT_L_X40Y79 INT_TILE_X 40 TILEPROP INT_L_X40Y79 INT_TILE_Y 70 TILEPROP INT_L_X40Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y79 IS_DCM_TILE 0 TILEPROP INT_L_X40Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y79 NAME INT_L_X40Y79 TILEPROP INT_L_X40Y79 NUM_ARCS 3737 TILEPROP INT_L_X40Y79 NUM_SITES 1 TILEPROP INT_L_X40Y79 ROW 73 TILEPROP INT_L_X40Y79 SLR_REGION_ID 0 TILEPROP INT_L_X40Y79 TILE_PATTERN_IDX 2427 TILEPROP INT_L_X40Y79 TILE_TYPE INT_L TILEPROP INT_L_X40Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y79 TILE_X 87694 TILEPROP INT_L_X40Y79 TILE_Y 15424 TILEPROP INT_L_X40Y79 TYPE INT_L TILEPROP INT_L_X40Y80 CLASS tile TILEPROP INT_L_X40Y80 COLUMN 102 TILEPROP INT_L_X40Y80 DEVICE_ID 0 TILEPROP INT_L_X40Y80 FIRST_SITE_ID 7176 TILEPROP INT_L_X40Y80 GRID_POINT_X 102 TILEPROP INT_L_X40Y80 GRID_POINT_Y 72 TILEPROP INT_L_X40Y80 INDEX 8382 TILEPROP INT_L_X40Y80 INT_TILE_X 40 TILEPROP INT_L_X40Y80 INT_TILE_Y 69 TILEPROP INT_L_X40Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y80 IS_DCM_TILE 0 TILEPROP INT_L_X40Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y80 NAME INT_L_X40Y80 TILEPROP INT_L_X40Y80 NUM_ARCS 3737 TILEPROP INT_L_X40Y80 NUM_SITES 1 TILEPROP INT_L_X40Y80 ROW 72 TILEPROP INT_L_X40Y80 SLR_REGION_ID 0 TILEPROP INT_L_X40Y80 TILE_PATTERN_IDX 2391 TILEPROP INT_L_X40Y80 TILE_TYPE INT_L TILEPROP INT_L_X40Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y80 TILE_X 87694 TILEPROP INT_L_X40Y80 TILE_Y 18624 TILEPROP INT_L_X40Y80 TYPE INT_L TILEPROP INT_L_X40Y81 CLASS tile TILEPROP INT_L_X40Y81 COLUMN 102 TILEPROP INT_L_X40Y81 DEVICE_ID 0 TILEPROP INT_L_X40Y81 FIRST_SITE_ID 7059 TILEPROP INT_L_X40Y81 GRID_POINT_X 102 TILEPROP INT_L_X40Y81 GRID_POINT_Y 71 TILEPROP INT_L_X40Y81 INDEX 8267 TILEPROP INT_L_X40Y81 INT_TILE_X 40 TILEPROP INT_L_X40Y81 INT_TILE_Y 68 TILEPROP INT_L_X40Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y81 IS_DCM_TILE 0 TILEPROP INT_L_X40Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y81 NAME INT_L_X40Y81 TILEPROP INT_L_X40Y81 NUM_ARCS 3737 TILEPROP INT_L_X40Y81 NUM_SITES 1 TILEPROP INT_L_X40Y81 ROW 71 TILEPROP INT_L_X40Y81 SLR_REGION_ID 0 TILEPROP INT_L_X40Y81 TILE_PATTERN_IDX 2352 TILEPROP INT_L_X40Y81 TILE_TYPE INT_L TILEPROP INT_L_X40Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y81 TILE_X 87694 TILEPROP INT_L_X40Y81 TILE_Y 21824 TILEPROP INT_L_X40Y81 TYPE INT_L TILEPROP INT_L_X40Y82 CLASS tile TILEPROP INT_L_X40Y82 COLUMN 102 TILEPROP INT_L_X40Y82 DEVICE_ID 0 TILEPROP INT_L_X40Y82 FIRST_SITE_ID 6957 TILEPROP INT_L_X40Y82 GRID_POINT_X 102 TILEPROP INT_L_X40Y82 GRID_POINT_Y 70 TILEPROP INT_L_X40Y82 INDEX 8152 TILEPROP INT_L_X40Y82 INT_TILE_X 40 TILEPROP INT_L_X40Y82 INT_TILE_Y 67 TILEPROP INT_L_X40Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y82 IS_DCM_TILE 0 TILEPROP INT_L_X40Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y82 NAME INT_L_X40Y82 TILEPROP INT_L_X40Y82 NUM_ARCS 3737 TILEPROP INT_L_X40Y82 NUM_SITES 1 TILEPROP INT_L_X40Y82 ROW 70 TILEPROP INT_L_X40Y82 SLR_REGION_ID 0 TILEPROP INT_L_X40Y82 TILE_PATTERN_IDX 2317 TILEPROP INT_L_X40Y82 TILE_TYPE INT_L TILEPROP INT_L_X40Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y82 TILE_X 87694 TILEPROP INT_L_X40Y82 TILE_Y 25024 TILEPROP INT_L_X40Y82 TYPE INT_L TILEPROP INT_L_X40Y83 CLASS tile TILEPROP INT_L_X40Y83 COLUMN 102 TILEPROP INT_L_X40Y83 DEVICE_ID 0 TILEPROP INT_L_X40Y83 FIRST_SITE_ID 6857 TILEPROP INT_L_X40Y83 GRID_POINT_X 102 TILEPROP INT_L_X40Y83 GRID_POINT_Y 69 TILEPROP INT_L_X40Y83 INDEX 8037 TILEPROP INT_L_X40Y83 INT_TILE_X 40 TILEPROP INT_L_X40Y83 INT_TILE_Y 66 TILEPROP INT_L_X40Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y83 IS_DCM_TILE 0 TILEPROP INT_L_X40Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y83 NAME INT_L_X40Y83 TILEPROP INT_L_X40Y83 NUM_ARCS 3737 TILEPROP INT_L_X40Y83 NUM_SITES 1 TILEPROP INT_L_X40Y83 ROW 69 TILEPROP INT_L_X40Y83 SLR_REGION_ID 0 TILEPROP INT_L_X40Y83 TILE_PATTERN_IDX 2279 TILEPROP INT_L_X40Y83 TILE_TYPE INT_L TILEPROP INT_L_X40Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y83 TILE_X 87694 TILEPROP INT_L_X40Y83 TILE_Y 28224 TILEPROP INT_L_X40Y83 TYPE INT_L TILEPROP INT_L_X40Y84 CLASS tile TILEPROP INT_L_X40Y84 COLUMN 102 TILEPROP INT_L_X40Y84 DEVICE_ID 0 TILEPROP INT_L_X40Y84 FIRST_SITE_ID 6757 TILEPROP INT_L_X40Y84 GRID_POINT_X 102 TILEPROP INT_L_X40Y84 GRID_POINT_Y 68 TILEPROP INT_L_X40Y84 INDEX 7922 TILEPROP INT_L_X40Y84 INT_TILE_X 40 TILEPROP INT_L_X40Y84 INT_TILE_Y 65 TILEPROP INT_L_X40Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y84 IS_DCM_TILE 0 TILEPROP INT_L_X40Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y84 NAME INT_L_X40Y84 TILEPROP INT_L_X40Y84 NUM_ARCS 3737 TILEPROP INT_L_X40Y84 NUM_SITES 1 TILEPROP INT_L_X40Y84 ROW 68 TILEPROP INT_L_X40Y84 SLR_REGION_ID 0 TILEPROP INT_L_X40Y84 TILE_PATTERN_IDX 2244 TILEPROP INT_L_X40Y84 TILE_TYPE INT_L TILEPROP INT_L_X40Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y84 TILE_X 87694 TILEPROP INT_L_X40Y84 TILE_Y 31424 TILEPROP INT_L_X40Y84 TYPE INT_L TILEPROP INT_L_X40Y85 CLASS tile TILEPROP INT_L_X40Y85 COLUMN 102 TILEPROP INT_L_X40Y85 DEVICE_ID 0 TILEPROP INT_L_X40Y85 FIRST_SITE_ID 6657 TILEPROP INT_L_X40Y85 GRID_POINT_X 102 TILEPROP INT_L_X40Y85 GRID_POINT_Y 67 TILEPROP INT_L_X40Y85 INDEX 7807 TILEPROP INT_L_X40Y85 INT_TILE_X 40 TILEPROP INT_L_X40Y85 INT_TILE_Y 64 TILEPROP INT_L_X40Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y85 IS_DCM_TILE 0 TILEPROP INT_L_X40Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y85 NAME INT_L_X40Y85 TILEPROP INT_L_X40Y85 NUM_ARCS 3737 TILEPROP INT_L_X40Y85 NUM_SITES 1 TILEPROP INT_L_X40Y85 ROW 67 TILEPROP INT_L_X40Y85 SLR_REGION_ID 0 TILEPROP INT_L_X40Y85 TILE_PATTERN_IDX 2208 TILEPROP INT_L_X40Y85 TILE_TYPE INT_L TILEPROP INT_L_X40Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y85 TILE_X 87694 TILEPROP INT_L_X40Y85 TILE_Y 34624 TILEPROP INT_L_X40Y85 TYPE INT_L TILEPROP INT_L_X40Y86 CLASS tile TILEPROP INT_L_X40Y86 COLUMN 102 TILEPROP INT_L_X40Y86 DEVICE_ID 0 TILEPROP INT_L_X40Y86 FIRST_SITE_ID 6542 TILEPROP INT_L_X40Y86 GRID_POINT_X 102 TILEPROP INT_L_X40Y86 GRID_POINT_Y 66 TILEPROP INT_L_X40Y86 INDEX 7692 TILEPROP INT_L_X40Y86 INT_TILE_X 40 TILEPROP INT_L_X40Y86 INT_TILE_Y 63 TILEPROP INT_L_X40Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y86 IS_DCM_TILE 0 TILEPROP INT_L_X40Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y86 NAME INT_L_X40Y86 TILEPROP INT_L_X40Y86 NUM_ARCS 3737 TILEPROP INT_L_X40Y86 NUM_SITES 1 TILEPROP INT_L_X40Y86 ROW 66 TILEPROP INT_L_X40Y86 SLR_REGION_ID 0 TILEPROP INT_L_X40Y86 TILE_PATTERN_IDX 2172 TILEPROP INT_L_X40Y86 TILE_TYPE INT_L TILEPROP INT_L_X40Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y86 TILE_X 87694 TILEPROP INT_L_X40Y86 TILE_Y 37824 TILEPROP INT_L_X40Y86 TYPE INT_L TILEPROP INT_L_X40Y87 CLASS tile TILEPROP INT_L_X40Y87 COLUMN 102 TILEPROP INT_L_X40Y87 DEVICE_ID 0 TILEPROP INT_L_X40Y87 FIRST_SITE_ID 6410 TILEPROP INT_L_X40Y87 GRID_POINT_X 102 TILEPROP INT_L_X40Y87 GRID_POINT_Y 65 TILEPROP INT_L_X40Y87 INDEX 7577 TILEPROP INT_L_X40Y87 INT_TILE_X 40 TILEPROP INT_L_X40Y87 INT_TILE_Y 62 TILEPROP INT_L_X40Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y87 IS_DCM_TILE 0 TILEPROP INT_L_X40Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y87 NAME INT_L_X40Y87 TILEPROP INT_L_X40Y87 NUM_ARCS 3737 TILEPROP INT_L_X40Y87 NUM_SITES 1 TILEPROP INT_L_X40Y87 ROW 65 TILEPROP INT_L_X40Y87 SLR_REGION_ID 0 TILEPROP INT_L_X40Y87 TILE_PATTERN_IDX 2134 TILEPROP INT_L_X40Y87 TILE_TYPE INT_L TILEPROP INT_L_X40Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y87 TILE_X 87694 TILEPROP INT_L_X40Y87 TILE_Y 41024 TILEPROP INT_L_X40Y87 TYPE INT_L TILEPROP INT_L_X40Y88 CLASS tile TILEPROP INT_L_X40Y88 COLUMN 102 TILEPROP INT_L_X40Y88 DEVICE_ID 0 TILEPROP INT_L_X40Y88 FIRST_SITE_ID 6310 TILEPROP INT_L_X40Y88 GRID_POINT_X 102 TILEPROP INT_L_X40Y88 GRID_POINT_Y 64 TILEPROP INT_L_X40Y88 INDEX 7462 TILEPROP INT_L_X40Y88 INT_TILE_X 40 TILEPROP INT_L_X40Y88 INT_TILE_Y 61 TILEPROP INT_L_X40Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y88 IS_DCM_TILE 0 TILEPROP INT_L_X40Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y88 NAME INT_L_X40Y88 TILEPROP INT_L_X40Y88 NUM_ARCS 3737 TILEPROP INT_L_X40Y88 NUM_SITES 1 TILEPROP INT_L_X40Y88 ROW 64 TILEPROP INT_L_X40Y88 SLR_REGION_ID 0 TILEPROP INT_L_X40Y88 TILE_PATTERN_IDX 2099 TILEPROP INT_L_X40Y88 TILE_TYPE INT_L TILEPROP INT_L_X40Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y88 TILE_X 87694 TILEPROP INT_L_X40Y88 TILE_Y 44224 TILEPROP INT_L_X40Y88 TYPE INT_L TILEPROP INT_L_X40Y89 CLASS tile TILEPROP INT_L_X40Y89 COLUMN 102 TILEPROP INT_L_X40Y89 DEVICE_ID 0 TILEPROP INT_L_X40Y89 FIRST_SITE_ID 6210 TILEPROP INT_L_X40Y89 GRID_POINT_X 102 TILEPROP INT_L_X40Y89 GRID_POINT_Y 63 TILEPROP INT_L_X40Y89 INDEX 7347 TILEPROP INT_L_X40Y89 INT_TILE_X 40 TILEPROP INT_L_X40Y89 INT_TILE_Y 60 TILEPROP INT_L_X40Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y89 IS_DCM_TILE 0 TILEPROP INT_L_X40Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y89 NAME INT_L_X40Y89 TILEPROP INT_L_X40Y89 NUM_ARCS 3737 TILEPROP INT_L_X40Y89 NUM_SITES 1 TILEPROP INT_L_X40Y89 ROW 63 TILEPROP INT_L_X40Y89 SLR_REGION_ID 0 TILEPROP INT_L_X40Y89 TILE_PATTERN_IDX 2063 TILEPROP INT_L_X40Y89 TILE_TYPE INT_L TILEPROP INT_L_X40Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y89 TILE_X 87694 TILEPROP INT_L_X40Y89 TILE_Y 47424 TILEPROP INT_L_X40Y89 TYPE INT_L TILEPROP INT_L_X40Y90 CLASS tile TILEPROP INT_L_X40Y90 COLUMN 102 TILEPROP INT_L_X40Y90 DEVICE_ID 0 TILEPROP INT_L_X40Y90 FIRST_SITE_ID 6110 TILEPROP INT_L_X40Y90 GRID_POINT_X 102 TILEPROP INT_L_X40Y90 GRID_POINT_Y 62 TILEPROP INT_L_X40Y90 INDEX 7232 TILEPROP INT_L_X40Y90 INT_TILE_X 40 TILEPROP INT_L_X40Y90 INT_TILE_Y 59 TILEPROP INT_L_X40Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y90 IS_DCM_TILE 0 TILEPROP INT_L_X40Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y90 NAME INT_L_X40Y90 TILEPROP INT_L_X40Y90 NUM_ARCS 3737 TILEPROP INT_L_X40Y90 NUM_SITES 1 TILEPROP INT_L_X40Y90 ROW 62 TILEPROP INT_L_X40Y90 SLR_REGION_ID 0 TILEPROP INT_L_X40Y90 TILE_PATTERN_IDX 2028 TILEPROP INT_L_X40Y90 TILE_TYPE INT_L TILEPROP INT_L_X40Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y90 TILE_X 87694 TILEPROP INT_L_X40Y90 TILE_Y 50624 TILEPROP INT_L_X40Y90 TYPE INT_L TILEPROP INT_L_X40Y91 CLASS tile TILEPROP INT_L_X40Y91 COLUMN 102 TILEPROP INT_L_X40Y91 DEVICE_ID 0 TILEPROP INT_L_X40Y91 FIRST_SITE_ID 5995 TILEPROP INT_L_X40Y91 GRID_POINT_X 102 TILEPROP INT_L_X40Y91 GRID_POINT_Y 61 TILEPROP INT_L_X40Y91 INDEX 7117 TILEPROP INT_L_X40Y91 INT_TILE_X 40 TILEPROP INT_L_X40Y91 INT_TILE_Y 58 TILEPROP INT_L_X40Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y91 IS_DCM_TILE 0 TILEPROP INT_L_X40Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y91 NAME INT_L_X40Y91 TILEPROP INT_L_X40Y91 NUM_ARCS 3737 TILEPROP INT_L_X40Y91 NUM_SITES 1 TILEPROP INT_L_X40Y91 ROW 61 TILEPROP INT_L_X40Y91 SLR_REGION_ID 0 TILEPROP INT_L_X40Y91 TILE_PATTERN_IDX 1989 TILEPROP INT_L_X40Y91 TILE_TYPE INT_L TILEPROP INT_L_X40Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y91 TILE_X 87694 TILEPROP INT_L_X40Y91 TILE_Y 53824 TILEPROP INT_L_X40Y91 TYPE INT_L TILEPROP INT_L_X40Y92 CLASS tile TILEPROP INT_L_X40Y92 COLUMN 102 TILEPROP INT_L_X40Y92 DEVICE_ID 0 TILEPROP INT_L_X40Y92 FIRST_SITE_ID 5894 TILEPROP INT_L_X40Y92 GRID_POINT_X 102 TILEPROP INT_L_X40Y92 GRID_POINT_Y 60 TILEPROP INT_L_X40Y92 INDEX 7002 TILEPROP INT_L_X40Y92 INT_TILE_X 40 TILEPROP INT_L_X40Y92 INT_TILE_Y 57 TILEPROP INT_L_X40Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y92 IS_DCM_TILE 0 TILEPROP INT_L_X40Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y92 NAME INT_L_X40Y92 TILEPROP INT_L_X40Y92 NUM_ARCS 3737 TILEPROP INT_L_X40Y92 NUM_SITES 1 TILEPROP INT_L_X40Y92 ROW 60 TILEPROP INT_L_X40Y92 SLR_REGION_ID 0 TILEPROP INT_L_X40Y92 TILE_PATTERN_IDX 1951 TILEPROP INT_L_X40Y92 TILE_TYPE INT_L TILEPROP INT_L_X40Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y92 TILE_X 87694 TILEPROP INT_L_X40Y92 TILE_Y 57024 TILEPROP INT_L_X40Y92 TYPE INT_L TILEPROP INT_L_X40Y93 CLASS tile TILEPROP INT_L_X40Y93 COLUMN 102 TILEPROP INT_L_X40Y93 DEVICE_ID 0 TILEPROP INT_L_X40Y93 FIRST_SITE_ID 5791 TILEPROP INT_L_X40Y93 GRID_POINT_X 102 TILEPROP INT_L_X40Y93 GRID_POINT_Y 59 TILEPROP INT_L_X40Y93 INDEX 6887 TILEPROP INT_L_X40Y93 INT_TILE_X 40 TILEPROP INT_L_X40Y93 INT_TILE_Y 56 TILEPROP INT_L_X40Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y93 IS_DCM_TILE 0 TILEPROP INT_L_X40Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y93 NAME INT_L_X40Y93 TILEPROP INT_L_X40Y93 NUM_ARCS 3737 TILEPROP INT_L_X40Y93 NUM_SITES 1 TILEPROP INT_L_X40Y93 ROW 59 TILEPROP INT_L_X40Y93 SLR_REGION_ID 0 TILEPROP INT_L_X40Y93 TILE_PATTERN_IDX 1912 TILEPROP INT_L_X40Y93 TILE_TYPE INT_L TILEPROP INT_L_X40Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y93 TILE_X 87694 TILEPROP INT_L_X40Y93 TILE_Y 60224 TILEPROP INT_L_X40Y93 TYPE INT_L TILEPROP INT_L_X40Y94 CLASS tile TILEPROP INT_L_X40Y94 COLUMN 102 TILEPROP INT_L_X40Y94 DEVICE_ID 0 TILEPROP INT_L_X40Y94 FIRST_SITE_ID 5689 TILEPROP INT_L_X40Y94 GRID_POINT_X 102 TILEPROP INT_L_X40Y94 GRID_POINT_Y 58 TILEPROP INT_L_X40Y94 INDEX 6772 TILEPROP INT_L_X40Y94 INT_TILE_X 40 TILEPROP INT_L_X40Y94 INT_TILE_Y 55 TILEPROP INT_L_X40Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y94 IS_DCM_TILE 0 TILEPROP INT_L_X40Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y94 NAME INT_L_X40Y94 TILEPROP INT_L_X40Y94 NUM_ARCS 3737 TILEPROP INT_L_X40Y94 NUM_SITES 1 TILEPROP INT_L_X40Y94 ROW 58 TILEPROP INT_L_X40Y94 SLR_REGION_ID 0 TILEPROP INT_L_X40Y94 TILE_PATTERN_IDX 1876 TILEPROP INT_L_X40Y94 TILE_TYPE INT_L TILEPROP INT_L_X40Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y94 TILE_X 87694 TILEPROP INT_L_X40Y94 TILE_Y 63424 TILEPROP INT_L_X40Y94 TYPE INT_L TILEPROP INT_L_X40Y95 CLASS tile TILEPROP INT_L_X40Y95 COLUMN 102 TILEPROP INT_L_X40Y95 DEVICE_ID 0 TILEPROP INT_L_X40Y95 FIRST_SITE_ID 5589 TILEPROP INT_L_X40Y95 GRID_POINT_X 102 TILEPROP INT_L_X40Y95 GRID_POINT_Y 57 TILEPROP INT_L_X40Y95 INDEX 6657 TILEPROP INT_L_X40Y95 INT_TILE_X 40 TILEPROP INT_L_X40Y95 INT_TILE_Y 54 TILEPROP INT_L_X40Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y95 IS_DCM_TILE 0 TILEPROP INT_L_X40Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y95 NAME INT_L_X40Y95 TILEPROP INT_L_X40Y95 NUM_ARCS 3737 TILEPROP INT_L_X40Y95 NUM_SITES 1 TILEPROP INT_L_X40Y95 ROW 57 TILEPROP INT_L_X40Y95 SLR_REGION_ID 0 TILEPROP INT_L_X40Y95 TILE_PATTERN_IDX 1840 TILEPROP INT_L_X40Y95 TILE_TYPE INT_L TILEPROP INT_L_X40Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y95 TILE_X 87694 TILEPROP INT_L_X40Y95 TILE_Y 66624 TILEPROP INT_L_X40Y95 TYPE INT_L TILEPROP INT_L_X40Y96 CLASS tile TILEPROP INT_L_X40Y96 COLUMN 102 TILEPROP INT_L_X40Y96 DEVICE_ID 0 TILEPROP INT_L_X40Y96 FIRST_SITE_ID 5474 TILEPROP INT_L_X40Y96 GRID_POINT_X 102 TILEPROP INT_L_X40Y96 GRID_POINT_Y 56 TILEPROP INT_L_X40Y96 INDEX 6542 TILEPROP INT_L_X40Y96 INT_TILE_X 40 TILEPROP INT_L_X40Y96 INT_TILE_Y 53 TILEPROP INT_L_X40Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y96 IS_DCM_TILE 0 TILEPROP INT_L_X40Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y96 NAME INT_L_X40Y96 TILEPROP INT_L_X40Y96 NUM_ARCS 3737 TILEPROP INT_L_X40Y96 NUM_SITES 1 TILEPROP INT_L_X40Y96 ROW 56 TILEPROP INT_L_X40Y96 SLR_REGION_ID 0 TILEPROP INT_L_X40Y96 TILE_PATTERN_IDX 1798 TILEPROP INT_L_X40Y96 TILE_TYPE INT_L TILEPROP INT_L_X40Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y96 TILE_X 87694 TILEPROP INT_L_X40Y96 TILE_Y 69824 TILEPROP INT_L_X40Y96 TYPE INT_L TILEPROP INT_L_X40Y97 CLASS tile TILEPROP INT_L_X40Y97 COLUMN 102 TILEPROP INT_L_X40Y97 DEVICE_ID 0 TILEPROP INT_L_X40Y97 FIRST_SITE_ID 5374 TILEPROP INT_L_X40Y97 GRID_POINT_X 102 TILEPROP INT_L_X40Y97 GRID_POINT_Y 55 TILEPROP INT_L_X40Y97 INDEX 6427 TILEPROP INT_L_X40Y97 INT_TILE_X 40 TILEPROP INT_L_X40Y97 INT_TILE_Y 52 TILEPROP INT_L_X40Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y97 IS_DCM_TILE 0 TILEPROP INT_L_X40Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y97 NAME INT_L_X40Y97 TILEPROP INT_L_X40Y97 NUM_ARCS 3737 TILEPROP INT_L_X40Y97 NUM_SITES 1 TILEPROP INT_L_X40Y97 ROW 55 TILEPROP INT_L_X40Y97 SLR_REGION_ID 0 TILEPROP INT_L_X40Y97 TILE_PATTERN_IDX 1758 TILEPROP INT_L_X40Y97 TILE_TYPE INT_L TILEPROP INT_L_X40Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y97 TILE_X 87694 TILEPROP INT_L_X40Y97 TILE_Y 73024 TILEPROP INT_L_X40Y97 TYPE INT_L TILEPROP INT_L_X40Y98 CLASS tile TILEPROP INT_L_X40Y98 COLUMN 102 TILEPROP INT_L_X40Y98 DEVICE_ID 0 TILEPROP INT_L_X40Y98 FIRST_SITE_ID 5274 TILEPROP INT_L_X40Y98 GRID_POINT_X 102 TILEPROP INT_L_X40Y98 GRID_POINT_Y 54 TILEPROP INT_L_X40Y98 INDEX 6312 TILEPROP INT_L_X40Y98 INT_TILE_X 40 TILEPROP INT_L_X40Y98 INT_TILE_Y 51 TILEPROP INT_L_X40Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y98 IS_DCM_TILE 0 TILEPROP INT_L_X40Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y98 NAME INT_L_X40Y98 TILEPROP INT_L_X40Y98 NUM_ARCS 3737 TILEPROP INT_L_X40Y98 NUM_SITES 1 TILEPROP INT_L_X40Y98 ROW 54 TILEPROP INT_L_X40Y98 SLR_REGION_ID 0 TILEPROP INT_L_X40Y98 TILE_PATTERN_IDX 1720 TILEPROP INT_L_X40Y98 TILE_TYPE INT_L TILEPROP INT_L_X40Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y98 TILE_X 87694 TILEPROP INT_L_X40Y98 TILE_Y 76224 TILEPROP INT_L_X40Y98 TYPE INT_L TILEPROP INT_L_X40Y99 CLASS tile TILEPROP INT_L_X40Y99 COLUMN 102 TILEPROP INT_L_X40Y99 DEVICE_ID 0 TILEPROP INT_L_X40Y99 FIRST_SITE_ID 5178 TILEPROP INT_L_X40Y99 GRID_POINT_X 102 TILEPROP INT_L_X40Y99 GRID_POINT_Y 53 TILEPROP INT_L_X40Y99 INDEX 6197 TILEPROP INT_L_X40Y99 INT_TILE_X 40 TILEPROP INT_L_X40Y99 INT_TILE_Y 50 TILEPROP INT_L_X40Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X40Y99 IS_DCM_TILE 0 TILEPROP INT_L_X40Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X40Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X40Y99 NAME INT_L_X40Y99 TILEPROP INT_L_X40Y99 NUM_ARCS 3737 TILEPROP INT_L_X40Y99 NUM_SITES 1 TILEPROP INT_L_X40Y99 ROW 53 TILEPROP INT_L_X40Y99 SLR_REGION_ID 0 TILEPROP INT_L_X40Y99 TILE_PATTERN_IDX 1679 TILEPROP INT_L_X40Y99 TILE_TYPE INT_L TILEPROP INT_L_X40Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X40Y99 TILE_X 87694 TILEPROP INT_L_X40Y99 TILE_Y 79424 TILEPROP INT_L_X40Y99 TYPE INT_L TILEPROP INT_L_X42Y0 CLASS tile TILEPROP INT_L_X42Y0 COLUMN 109 TILEPROP INT_L_X42Y0 DEVICE_ID 0 TILEPROP INT_L_X42Y0 FIRST_SITE_ID 15811 TILEPROP INT_L_X42Y0 GRID_POINT_X 109 TILEPROP INT_L_X42Y0 GRID_POINT_Y 155 TILEPROP INT_L_X42Y0 INDEX 17934 TILEPROP INT_L_X42Y0 INT_TILE_X 42 TILEPROP INT_L_X42Y0 INT_TILE_Y 149 TILEPROP INT_L_X42Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y0 IS_DCM_TILE 0 TILEPROP INT_L_X42Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y0 NAME INT_L_X42Y0 TILEPROP INT_L_X42Y0 NUM_ARCS 3737 TILEPROP INT_L_X42Y0 NUM_SITES 1 TILEPROP INT_L_X42Y0 ROW 155 TILEPROP INT_L_X42Y0 SLR_REGION_ID 0 TILEPROP INT_L_X42Y0 TILE_PATTERN_IDX 3537 TILEPROP INT_L_X42Y0 TILE_TYPE INT_L TILEPROP INT_L_X42Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y0 TILE_X 99726 TILEPROP INT_L_X42Y0 TILE_Y -239672 TILEPROP INT_L_X42Y0 TYPE INT_L TILEPROP INT_L_X42Y1 CLASS tile TILEPROP INT_L_X42Y1 COLUMN 109 TILEPROP INT_L_X42Y1 DEVICE_ID 0 TILEPROP INT_L_X42Y1 FIRST_SITE_ID 15692 TILEPROP INT_L_X42Y1 GRID_POINT_X 109 TILEPROP INT_L_X42Y1 GRID_POINT_Y 154 TILEPROP INT_L_X42Y1 INDEX 17819 TILEPROP INT_L_X42Y1 INT_TILE_X 42 TILEPROP INT_L_X42Y1 INT_TILE_Y 148 TILEPROP INT_L_X42Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y1 IS_DCM_TILE 0 TILEPROP INT_L_X42Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y1 NAME INT_L_X42Y1 TILEPROP INT_L_X42Y1 NUM_ARCS 3737 TILEPROP INT_L_X42Y1 NUM_SITES 1 TILEPROP INT_L_X42Y1 ROW 154 TILEPROP INT_L_X42Y1 SLR_REGION_ID 0 TILEPROP INT_L_X42Y1 TILE_PATTERN_IDX 3495 TILEPROP INT_L_X42Y1 TILE_TYPE INT_L TILEPROP INT_L_X42Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y1 TILE_X 99726 TILEPROP INT_L_X42Y1 TILE_Y -236472 TILEPROP INT_L_X42Y1 TYPE INT_L TILEPROP INT_L_X42Y2 CLASS tile TILEPROP INT_L_X42Y2 COLUMN 109 TILEPROP INT_L_X42Y2 DEVICE_ID 0 TILEPROP INT_L_X42Y2 FIRST_SITE_ID 15591 TILEPROP INT_L_X42Y2 GRID_POINT_X 109 TILEPROP INT_L_X42Y2 GRID_POINT_Y 153 TILEPROP INT_L_X42Y2 INDEX 17704 TILEPROP INT_L_X42Y2 INT_TILE_X 42 TILEPROP INT_L_X42Y2 INT_TILE_Y 147 TILEPROP INT_L_X42Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y2 IS_DCM_TILE 0 TILEPROP INT_L_X42Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y2 NAME INT_L_X42Y2 TILEPROP INT_L_X42Y2 NUM_ARCS 3737 TILEPROP INT_L_X42Y2 NUM_SITES 1 TILEPROP INT_L_X42Y2 ROW 153 TILEPROP INT_L_X42Y2 SLR_REGION_ID 0 TILEPROP INT_L_X42Y2 TILE_PATTERN_IDX 3458 TILEPROP INT_L_X42Y2 TILE_TYPE INT_L TILEPROP INT_L_X42Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y2 TILE_X 99726 TILEPROP INT_L_X42Y2 TILE_Y -233272 TILEPROP INT_L_X42Y2 TYPE INT_L TILEPROP INT_L_X42Y3 CLASS tile TILEPROP INT_L_X42Y3 COLUMN 109 TILEPROP INT_L_X42Y3 DEVICE_ID 0 TILEPROP INT_L_X42Y3 FIRST_SITE_ID 15491 TILEPROP INT_L_X42Y3 GRID_POINT_X 109 TILEPROP INT_L_X42Y3 GRID_POINT_Y 152 TILEPROP INT_L_X42Y3 INDEX 17589 TILEPROP INT_L_X42Y3 INT_TILE_X 42 TILEPROP INT_L_X42Y3 INT_TILE_Y 146 TILEPROP INT_L_X42Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y3 IS_DCM_TILE 0 TILEPROP INT_L_X42Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y3 NAME INT_L_X42Y3 TILEPROP INT_L_X42Y3 NUM_ARCS 3737 TILEPROP INT_L_X42Y3 NUM_SITES 1 TILEPROP INT_L_X42Y3 ROW 152 TILEPROP INT_L_X42Y3 SLR_REGION_ID 0 TILEPROP INT_L_X42Y3 TILE_PATTERN_IDX 3421 TILEPROP INT_L_X42Y3 TILE_TYPE INT_L TILEPROP INT_L_X42Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y3 TILE_X 99726 TILEPROP INT_L_X42Y3 TILE_Y -230072 TILEPROP INT_L_X42Y3 TYPE INT_L TILEPROP INT_L_X42Y4 CLASS tile TILEPROP INT_L_X42Y4 COLUMN 109 TILEPROP INT_L_X42Y4 DEVICE_ID 0 TILEPROP INT_L_X42Y4 FIRST_SITE_ID 15391 TILEPROP INT_L_X42Y4 GRID_POINT_X 109 TILEPROP INT_L_X42Y4 GRID_POINT_Y 151 TILEPROP INT_L_X42Y4 INDEX 17474 TILEPROP INT_L_X42Y4 INT_TILE_X 42 TILEPROP INT_L_X42Y4 INT_TILE_Y 145 TILEPROP INT_L_X42Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y4 IS_DCM_TILE 0 TILEPROP INT_L_X42Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y4 NAME INT_L_X42Y4 TILEPROP INT_L_X42Y4 NUM_ARCS 3737 TILEPROP INT_L_X42Y4 NUM_SITES 1 TILEPROP INT_L_X42Y4 ROW 151 TILEPROP INT_L_X42Y4 SLR_REGION_ID 0 TILEPROP INT_L_X42Y4 TILE_PATTERN_IDX 3385 TILEPROP INT_L_X42Y4 TILE_TYPE INT_L TILEPROP INT_L_X42Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y4 TILE_X 99726 TILEPROP INT_L_X42Y4 TILE_Y -226872 TILEPROP INT_L_X42Y4 TYPE INT_L TILEPROP INT_L_X42Y5 CLASS tile TILEPROP INT_L_X42Y5 COLUMN 109 TILEPROP INT_L_X42Y5 DEVICE_ID 0 TILEPROP INT_L_X42Y5 FIRST_SITE_ID 15291 TILEPROP INT_L_X42Y5 GRID_POINT_X 109 TILEPROP INT_L_X42Y5 GRID_POINT_Y 150 TILEPROP INT_L_X42Y5 INDEX 17359 TILEPROP INT_L_X42Y5 INT_TILE_X 42 TILEPROP INT_L_X42Y5 INT_TILE_Y 144 TILEPROP INT_L_X42Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y5 IS_DCM_TILE 0 TILEPROP INT_L_X42Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y5 NAME INT_L_X42Y5 TILEPROP INT_L_X42Y5 NUM_ARCS 3737 TILEPROP INT_L_X42Y5 NUM_SITES 1 TILEPROP INT_L_X42Y5 ROW 150 TILEPROP INT_L_X42Y5 SLR_REGION_ID 0 TILEPROP INT_L_X42Y5 TILE_PATTERN_IDX 3348 TILEPROP INT_L_X42Y5 TILE_TYPE INT_L TILEPROP INT_L_X42Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y5 TILE_X 99726 TILEPROP INT_L_X42Y5 TILE_Y -223672 TILEPROP INT_L_X42Y5 TYPE INT_L TILEPROP INT_L_X42Y6 CLASS tile TILEPROP INT_L_X42Y6 COLUMN 109 TILEPROP INT_L_X42Y6 DEVICE_ID 0 TILEPROP INT_L_X42Y6 FIRST_SITE_ID 15176 TILEPROP INT_L_X42Y6 GRID_POINT_X 109 TILEPROP INT_L_X42Y6 GRID_POINT_Y 149 TILEPROP INT_L_X42Y6 INDEX 17244 TILEPROP INT_L_X42Y6 INT_TILE_X 42 TILEPROP INT_L_X42Y6 INT_TILE_Y 143 TILEPROP INT_L_X42Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y6 IS_DCM_TILE 0 TILEPROP INT_L_X42Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y6 NAME INT_L_X42Y6 TILEPROP INT_L_X42Y6 NUM_ARCS 3737 TILEPROP INT_L_X42Y6 NUM_SITES 1 TILEPROP INT_L_X42Y6 ROW 149 TILEPROP INT_L_X42Y6 SLR_REGION_ID 0 TILEPROP INT_L_X42Y6 TILE_PATTERN_IDX 3310 TILEPROP INT_L_X42Y6 TILE_TYPE INT_L TILEPROP INT_L_X42Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y6 TILE_X 99726 TILEPROP INT_L_X42Y6 TILE_Y -220472 TILEPROP INT_L_X42Y6 TYPE INT_L TILEPROP INT_L_X42Y7 CLASS tile TILEPROP INT_L_X42Y7 COLUMN 109 TILEPROP INT_L_X42Y7 DEVICE_ID 0 TILEPROP INT_L_X42Y7 FIRST_SITE_ID 15076 TILEPROP INT_L_X42Y7 GRID_POINT_X 109 TILEPROP INT_L_X42Y7 GRID_POINT_Y 148 TILEPROP INT_L_X42Y7 INDEX 17129 TILEPROP INT_L_X42Y7 INT_TILE_X 42 TILEPROP INT_L_X42Y7 INT_TILE_Y 142 TILEPROP INT_L_X42Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y7 IS_DCM_TILE 0 TILEPROP INT_L_X42Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y7 NAME INT_L_X42Y7 TILEPROP INT_L_X42Y7 NUM_ARCS 3737 TILEPROP INT_L_X42Y7 NUM_SITES 1 TILEPROP INT_L_X42Y7 ROW 148 TILEPROP INT_L_X42Y7 SLR_REGION_ID 0 TILEPROP INT_L_X42Y7 TILE_PATTERN_IDX 3273 TILEPROP INT_L_X42Y7 TILE_TYPE INT_L TILEPROP INT_L_X42Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y7 TILE_X 99726 TILEPROP INT_L_X42Y7 TILE_Y -217272 TILEPROP INT_L_X42Y7 TYPE INT_L TILEPROP INT_L_X42Y8 CLASS tile TILEPROP INT_L_X42Y8 COLUMN 109 TILEPROP INT_L_X42Y8 DEVICE_ID 0 TILEPROP INT_L_X42Y8 FIRST_SITE_ID 14972 TILEPROP INT_L_X42Y8 GRID_POINT_X 109 TILEPROP INT_L_X42Y8 GRID_POINT_Y 147 TILEPROP INT_L_X42Y8 INDEX 17014 TILEPROP INT_L_X42Y8 INT_TILE_X 42 TILEPROP INT_L_X42Y8 INT_TILE_Y 141 TILEPROP INT_L_X42Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y8 IS_DCM_TILE 0 TILEPROP INT_L_X42Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y8 NAME INT_L_X42Y8 TILEPROP INT_L_X42Y8 NUM_ARCS 3737 TILEPROP INT_L_X42Y8 NUM_SITES 1 TILEPROP INT_L_X42Y8 ROW 147 TILEPROP INT_L_X42Y8 SLR_REGION_ID 0 TILEPROP INT_L_X42Y8 TILE_PATTERN_IDX 3236 TILEPROP INT_L_X42Y8 TILE_TYPE INT_L TILEPROP INT_L_X42Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y8 TILE_X 99726 TILEPROP INT_L_X42Y8 TILE_Y -214072 TILEPROP INT_L_X42Y8 TYPE INT_L TILEPROP INT_L_X42Y9 CLASS tile TILEPROP INT_L_X42Y9 COLUMN 109 TILEPROP INT_L_X42Y9 DEVICE_ID 0 TILEPROP INT_L_X42Y9 FIRST_SITE_ID 14870 TILEPROP INT_L_X42Y9 GRID_POINT_X 109 TILEPROP INT_L_X42Y9 GRID_POINT_Y 146 TILEPROP INT_L_X42Y9 INDEX 16899 TILEPROP INT_L_X42Y9 INT_TILE_X 42 TILEPROP INT_L_X42Y9 INT_TILE_Y 140 TILEPROP INT_L_X42Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y9 IS_DCM_TILE 0 TILEPROP INT_L_X42Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y9 NAME INT_L_X42Y9 TILEPROP INT_L_X42Y9 NUM_ARCS 3737 TILEPROP INT_L_X42Y9 NUM_SITES 1 TILEPROP INT_L_X42Y9 ROW 146 TILEPROP INT_L_X42Y9 SLR_REGION_ID 0 TILEPROP INT_L_X42Y9 TILE_PATTERN_IDX 3198 TILEPROP INT_L_X42Y9 TILE_TYPE INT_L TILEPROP INT_L_X42Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y9 TILE_X 99726 TILEPROP INT_L_X42Y9 TILE_Y -210872 TILEPROP INT_L_X42Y9 TYPE INT_L TILEPROP INT_L_X42Y10 CLASS tile TILEPROP INT_L_X42Y10 COLUMN 109 TILEPROP INT_L_X42Y10 DEVICE_ID 0 TILEPROP INT_L_X42Y10 FIRST_SITE_ID 14770 TILEPROP INT_L_X42Y10 GRID_POINT_X 109 TILEPROP INT_L_X42Y10 GRID_POINT_Y 145 TILEPROP INT_L_X42Y10 INDEX 16784 TILEPROP INT_L_X42Y10 INT_TILE_X 42 TILEPROP INT_L_X42Y10 INT_TILE_Y 139 TILEPROP INT_L_X42Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y10 IS_DCM_TILE 0 TILEPROP INT_L_X42Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y10 NAME INT_L_X42Y10 TILEPROP INT_L_X42Y10 NUM_ARCS 3737 TILEPROP INT_L_X42Y10 NUM_SITES 1 TILEPROP INT_L_X42Y10 ROW 145 TILEPROP INT_L_X42Y10 SLR_REGION_ID 0 TILEPROP INT_L_X42Y10 TILE_PATTERN_IDX 3163 TILEPROP INT_L_X42Y10 TILE_TYPE INT_L TILEPROP INT_L_X42Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y10 TILE_X 99726 TILEPROP INT_L_X42Y10 TILE_Y -207672 TILEPROP INT_L_X42Y10 TYPE INT_L TILEPROP INT_L_X42Y11 CLASS tile TILEPROP INT_L_X42Y11 COLUMN 109 TILEPROP INT_L_X42Y11 DEVICE_ID 0 TILEPROP INT_L_X42Y11 FIRST_SITE_ID 14655 TILEPROP INT_L_X42Y11 GRID_POINT_X 109 TILEPROP INT_L_X42Y11 GRID_POINT_Y 144 TILEPROP INT_L_X42Y11 INDEX 16669 TILEPROP INT_L_X42Y11 INT_TILE_X 42 TILEPROP INT_L_X42Y11 INT_TILE_Y 138 TILEPROP INT_L_X42Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y11 IS_DCM_TILE 0 TILEPROP INT_L_X42Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y11 NAME INT_L_X42Y11 TILEPROP INT_L_X42Y11 NUM_ARCS 3737 TILEPROP INT_L_X42Y11 NUM_SITES 1 TILEPROP INT_L_X42Y11 ROW 144 TILEPROP INT_L_X42Y11 SLR_REGION_ID 0 TILEPROP INT_L_X42Y11 TILE_PATTERN_IDX 3125 TILEPROP INT_L_X42Y11 TILE_TYPE INT_L TILEPROP INT_L_X42Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y11 TILE_X 99726 TILEPROP INT_L_X42Y11 TILE_Y -204472 TILEPROP INT_L_X42Y11 TYPE INT_L TILEPROP INT_L_X42Y12 CLASS tile TILEPROP INT_L_X42Y12 COLUMN 109 TILEPROP INT_L_X42Y12 DEVICE_ID 0 TILEPROP INT_L_X42Y12 FIRST_SITE_ID 14555 TILEPROP INT_L_X42Y12 GRID_POINT_X 109 TILEPROP INT_L_X42Y12 GRID_POINT_Y 143 TILEPROP INT_L_X42Y12 INDEX 16554 TILEPROP INT_L_X42Y12 INT_TILE_X 42 TILEPROP INT_L_X42Y12 INT_TILE_Y 137 TILEPROP INT_L_X42Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y12 IS_DCM_TILE 0 TILEPROP INT_L_X42Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y12 NAME INT_L_X42Y12 TILEPROP INT_L_X42Y12 NUM_ARCS 3737 TILEPROP INT_L_X42Y12 NUM_SITES 1 TILEPROP INT_L_X42Y12 ROW 143 TILEPROP INT_L_X42Y12 SLR_REGION_ID 0 TILEPROP INT_L_X42Y12 TILE_PATTERN_IDX 3090 TILEPROP INT_L_X42Y12 TILE_TYPE INT_L TILEPROP INT_L_X42Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y12 TILE_X 99726 TILEPROP INT_L_X42Y12 TILE_Y -201272 TILEPROP INT_L_X42Y12 TYPE INT_L TILEPROP INT_L_X42Y13 CLASS tile TILEPROP INT_L_X42Y13 COLUMN 109 TILEPROP INT_L_X42Y13 DEVICE_ID 0 TILEPROP INT_L_X42Y13 FIRST_SITE_ID 14423 TILEPROP INT_L_X42Y13 GRID_POINT_X 109 TILEPROP INT_L_X42Y13 GRID_POINT_Y 142 TILEPROP INT_L_X42Y13 INDEX 16439 TILEPROP INT_L_X42Y13 INT_TILE_X 42 TILEPROP INT_L_X42Y13 INT_TILE_Y 136 TILEPROP INT_L_X42Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y13 IS_DCM_TILE 0 TILEPROP INT_L_X42Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y13 NAME INT_L_X42Y13 TILEPROP INT_L_X42Y13 NUM_ARCS 3737 TILEPROP INT_L_X42Y13 NUM_SITES 1 TILEPROP INT_L_X42Y13 ROW 142 TILEPROP INT_L_X42Y13 SLR_REGION_ID 0 TILEPROP INT_L_X42Y13 TILE_PATTERN_IDX 3053 TILEPROP INT_L_X42Y13 TILE_TYPE INT_L TILEPROP INT_L_X42Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y13 TILE_X 99726 TILEPROP INT_L_X42Y13 TILE_Y -198072 TILEPROP INT_L_X42Y13 TYPE INT_L TILEPROP INT_L_X42Y14 CLASS tile TILEPROP INT_L_X42Y14 COLUMN 109 TILEPROP INT_L_X42Y14 DEVICE_ID 0 TILEPROP INT_L_X42Y14 FIRST_SITE_ID 14323 TILEPROP INT_L_X42Y14 GRID_POINT_X 109 TILEPROP INT_L_X42Y14 GRID_POINT_Y 141 TILEPROP INT_L_X42Y14 INDEX 16324 TILEPROP INT_L_X42Y14 INT_TILE_X 42 TILEPROP INT_L_X42Y14 INT_TILE_Y 135 TILEPROP INT_L_X42Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y14 IS_DCM_TILE 0 TILEPROP INT_L_X42Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y14 NAME INT_L_X42Y14 TILEPROP INT_L_X42Y14 NUM_ARCS 3737 TILEPROP INT_L_X42Y14 NUM_SITES 1 TILEPROP INT_L_X42Y14 ROW 141 TILEPROP INT_L_X42Y14 SLR_REGION_ID 0 TILEPROP INT_L_X42Y14 TILE_PATTERN_IDX 3018 TILEPROP INT_L_X42Y14 TILE_TYPE INT_L TILEPROP INT_L_X42Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y14 TILE_X 99726 TILEPROP INT_L_X42Y14 TILE_Y -194872 TILEPROP INT_L_X42Y14 TYPE INT_L TILEPROP INT_L_X42Y15 CLASS tile TILEPROP INT_L_X42Y15 COLUMN 109 TILEPROP INT_L_X42Y15 DEVICE_ID 0 TILEPROP INT_L_X42Y15 FIRST_SITE_ID 14223 TILEPROP INT_L_X42Y15 GRID_POINT_X 109 TILEPROP INT_L_X42Y15 GRID_POINT_Y 140 TILEPROP INT_L_X42Y15 INDEX 16209 TILEPROP INT_L_X42Y15 INT_TILE_X 42 TILEPROP INT_L_X42Y15 INT_TILE_Y 134 TILEPROP INT_L_X42Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y15 IS_DCM_TILE 0 TILEPROP INT_L_X42Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y15 NAME INT_L_X42Y15 TILEPROP INT_L_X42Y15 NUM_ARCS 3737 TILEPROP INT_L_X42Y15 NUM_SITES 1 TILEPROP INT_L_X42Y15 ROW 140 TILEPROP INT_L_X42Y15 SLR_REGION_ID 0 TILEPROP INT_L_X42Y15 TILE_PATTERN_IDX 2982 TILEPROP INT_L_X42Y15 TILE_TYPE INT_L TILEPROP INT_L_X42Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y15 TILE_X 99726 TILEPROP INT_L_X42Y15 TILE_Y -191672 TILEPROP INT_L_X42Y15 TYPE INT_L TILEPROP INT_L_X42Y16 CLASS tile TILEPROP INT_L_X42Y16 COLUMN 109 TILEPROP INT_L_X42Y16 DEVICE_ID 0 TILEPROP INT_L_X42Y16 FIRST_SITE_ID 14108 TILEPROP INT_L_X42Y16 GRID_POINT_X 109 TILEPROP INT_L_X42Y16 GRID_POINT_Y 139 TILEPROP INT_L_X42Y16 INDEX 16094 TILEPROP INT_L_X42Y16 INT_TILE_X 42 TILEPROP INT_L_X42Y16 INT_TILE_Y 133 TILEPROP INT_L_X42Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y16 IS_DCM_TILE 0 TILEPROP INT_L_X42Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y16 NAME INT_L_X42Y16 TILEPROP INT_L_X42Y16 NUM_ARCS 3737 TILEPROP INT_L_X42Y16 NUM_SITES 1 TILEPROP INT_L_X42Y16 ROW 139 TILEPROP INT_L_X42Y16 SLR_REGION_ID 0 TILEPROP INT_L_X42Y16 TILE_PATTERN_IDX 2946 TILEPROP INT_L_X42Y16 TILE_TYPE INT_L TILEPROP INT_L_X42Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y16 TILE_X 99726 TILEPROP INT_L_X42Y16 TILE_Y -188472 TILEPROP INT_L_X42Y16 TYPE INT_L TILEPROP INT_L_X42Y17 CLASS tile TILEPROP INT_L_X42Y17 COLUMN 109 TILEPROP INT_L_X42Y17 DEVICE_ID 0 TILEPROP INT_L_X42Y17 FIRST_SITE_ID 14008 TILEPROP INT_L_X42Y17 GRID_POINT_X 109 TILEPROP INT_L_X42Y17 GRID_POINT_Y 138 TILEPROP INT_L_X42Y17 INDEX 15979 TILEPROP INT_L_X42Y17 INT_TILE_X 42 TILEPROP INT_L_X42Y17 INT_TILE_Y 132 TILEPROP INT_L_X42Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y17 IS_DCM_TILE 0 TILEPROP INT_L_X42Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y17 NAME INT_L_X42Y17 TILEPROP INT_L_X42Y17 NUM_ARCS 3737 TILEPROP INT_L_X42Y17 NUM_SITES 1 TILEPROP INT_L_X42Y17 ROW 138 TILEPROP INT_L_X42Y17 SLR_REGION_ID 0 TILEPROP INT_L_X42Y17 TILE_PATTERN_IDX 2910 TILEPROP INT_L_X42Y17 TILE_TYPE INT_L TILEPROP INT_L_X42Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y17 TILE_X 99726 TILEPROP INT_L_X42Y17 TILE_Y -185272 TILEPROP INT_L_X42Y17 TYPE INT_L TILEPROP INT_L_X42Y18 CLASS tile TILEPROP INT_L_X42Y18 COLUMN 109 TILEPROP INT_L_X42Y18 DEVICE_ID 0 TILEPROP INT_L_X42Y18 FIRST_SITE_ID 13899 TILEPROP INT_L_X42Y18 GRID_POINT_X 109 TILEPROP INT_L_X42Y18 GRID_POINT_Y 137 TILEPROP INT_L_X42Y18 INDEX 15864 TILEPROP INT_L_X42Y18 INT_TILE_X 42 TILEPROP INT_L_X42Y18 INT_TILE_Y 131 TILEPROP INT_L_X42Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y18 IS_DCM_TILE 0 TILEPROP INT_L_X42Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y18 NAME INT_L_X42Y18 TILEPROP INT_L_X42Y18 NUM_ARCS 3737 TILEPROP INT_L_X42Y18 NUM_SITES 1 TILEPROP INT_L_X42Y18 ROW 137 TILEPROP INT_L_X42Y18 SLR_REGION_ID 0 TILEPROP INT_L_X42Y18 TILE_PATTERN_IDX 2871 TILEPROP INT_L_X42Y18 TILE_TYPE INT_L TILEPROP INT_L_X42Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y18 TILE_X 99726 TILEPROP INT_L_X42Y18 TILE_Y -182072 TILEPROP INT_L_X42Y18 TYPE INT_L TILEPROP INT_L_X42Y19 CLASS tile TILEPROP INT_L_X42Y19 COLUMN 109 TILEPROP INT_L_X42Y19 DEVICE_ID 0 TILEPROP INT_L_X42Y19 FIRST_SITE_ID 13799 TILEPROP INT_L_X42Y19 GRID_POINT_X 109 TILEPROP INT_L_X42Y19 GRID_POINT_Y 136 TILEPROP INT_L_X42Y19 INDEX 15749 TILEPROP INT_L_X42Y19 INT_TILE_X 42 TILEPROP INT_L_X42Y19 INT_TILE_Y 130 TILEPROP INT_L_X42Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y19 IS_DCM_TILE 0 TILEPROP INT_L_X42Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y19 NAME INT_L_X42Y19 TILEPROP INT_L_X42Y19 NUM_ARCS 3737 TILEPROP INT_L_X42Y19 NUM_SITES 1 TILEPROP INT_L_X42Y19 ROW 136 TILEPROP INT_L_X42Y19 SLR_REGION_ID 0 TILEPROP INT_L_X42Y19 TILE_PATTERN_IDX 2835 TILEPROP INT_L_X42Y19 TILE_TYPE INT_L TILEPROP INT_L_X42Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y19 TILE_X 99726 TILEPROP INT_L_X42Y19 TILE_Y -178872 TILEPROP INT_L_X42Y19 TYPE INT_L TILEPROP INT_L_X42Y20 CLASS tile TILEPROP INT_L_X42Y20 COLUMN 109 TILEPROP INT_L_X42Y20 DEVICE_ID 0 TILEPROP INT_L_X42Y20 FIRST_SITE_ID 13695 TILEPROP INT_L_X42Y20 GRID_POINT_X 109 TILEPROP INT_L_X42Y20 GRID_POINT_Y 135 TILEPROP INT_L_X42Y20 INDEX 15634 TILEPROP INT_L_X42Y20 INT_TILE_X 42 TILEPROP INT_L_X42Y20 INT_TILE_Y 129 TILEPROP INT_L_X42Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y20 IS_DCM_TILE 0 TILEPROP INT_L_X42Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y20 NAME INT_L_X42Y20 TILEPROP INT_L_X42Y20 NUM_ARCS 3737 TILEPROP INT_L_X42Y20 NUM_SITES 1 TILEPROP INT_L_X42Y20 ROW 135 TILEPROP INT_L_X42Y20 SLR_REGION_ID 0 TILEPROP INT_L_X42Y20 TILE_PATTERN_IDX 2799 TILEPROP INT_L_X42Y20 TILE_TYPE INT_L TILEPROP INT_L_X42Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y20 TILE_X 99726 TILEPROP INT_L_X42Y20 TILE_Y -175672 TILEPROP INT_L_X42Y20 TYPE INT_L TILEPROP INT_L_X42Y21 CLASS tile TILEPROP INT_L_X42Y21 COLUMN 109 TILEPROP INT_L_X42Y21 DEVICE_ID 0 TILEPROP INT_L_X42Y21 FIRST_SITE_ID 13580 TILEPROP INT_L_X42Y21 GRID_POINT_X 109 TILEPROP INT_L_X42Y21 GRID_POINT_Y 134 TILEPROP INT_L_X42Y21 INDEX 15519 TILEPROP INT_L_X42Y21 INT_TILE_X 42 TILEPROP INT_L_X42Y21 INT_TILE_Y 128 TILEPROP INT_L_X42Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y21 IS_DCM_TILE 0 TILEPROP INT_L_X42Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y21 NAME INT_L_X42Y21 TILEPROP INT_L_X42Y21 NUM_ARCS 3737 TILEPROP INT_L_X42Y21 NUM_SITES 1 TILEPROP INT_L_X42Y21 ROW 134 TILEPROP INT_L_X42Y21 SLR_REGION_ID 0 TILEPROP INT_L_X42Y21 TILE_PATTERN_IDX 2762 TILEPROP INT_L_X42Y21 TILE_TYPE INT_L TILEPROP INT_L_X42Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y21 TILE_X 99726 TILEPROP INT_L_X42Y21 TILE_Y -172472 TILEPROP INT_L_X42Y21 TYPE INT_L TILEPROP INT_L_X42Y22 CLASS tile TILEPROP INT_L_X42Y22 COLUMN 109 TILEPROP INT_L_X42Y22 DEVICE_ID 0 TILEPROP INT_L_X42Y22 FIRST_SITE_ID 13480 TILEPROP INT_L_X42Y22 GRID_POINT_X 109 TILEPROP INT_L_X42Y22 GRID_POINT_Y 133 TILEPROP INT_L_X42Y22 INDEX 15404 TILEPROP INT_L_X42Y22 INT_TILE_X 42 TILEPROP INT_L_X42Y22 INT_TILE_Y 127 TILEPROP INT_L_X42Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y22 IS_DCM_TILE 0 TILEPROP INT_L_X42Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y22 NAME INT_L_X42Y22 TILEPROP INT_L_X42Y22 NUM_ARCS 3737 TILEPROP INT_L_X42Y22 NUM_SITES 1 TILEPROP INT_L_X42Y22 ROW 133 TILEPROP INT_L_X42Y22 SLR_REGION_ID 0 TILEPROP INT_L_X42Y22 TILE_PATTERN_IDX 2726 TILEPROP INT_L_X42Y22 TILE_TYPE INT_L TILEPROP INT_L_X42Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y22 TILE_X 99726 TILEPROP INT_L_X42Y22 TILE_Y -169272 TILEPROP INT_L_X42Y22 TYPE INT_L TILEPROP INT_L_X42Y23 CLASS tile TILEPROP INT_L_X42Y23 COLUMN 109 TILEPROP INT_L_X42Y23 DEVICE_ID 0 TILEPROP INT_L_X42Y23 FIRST_SITE_ID 13380 TILEPROP INT_L_X42Y23 GRID_POINT_X 109 TILEPROP INT_L_X42Y23 GRID_POINT_Y 132 TILEPROP INT_L_X42Y23 INDEX 15289 TILEPROP INT_L_X42Y23 INT_TILE_X 42 TILEPROP INT_L_X42Y23 INT_TILE_Y 126 TILEPROP INT_L_X42Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y23 IS_DCM_TILE 0 TILEPROP INT_L_X42Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y23 NAME INT_L_X42Y23 TILEPROP INT_L_X42Y23 NUM_ARCS 3737 TILEPROP INT_L_X42Y23 NUM_SITES 1 TILEPROP INT_L_X42Y23 ROW 132 TILEPROP INT_L_X42Y23 SLR_REGION_ID 0 TILEPROP INT_L_X42Y23 TILE_PATTERN_IDX 2689 TILEPROP INT_L_X42Y23 TILE_TYPE INT_L TILEPROP INT_L_X42Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y23 TILE_X 99726 TILEPROP INT_L_X42Y23 TILE_Y -166072 TILEPROP INT_L_X42Y23 TYPE INT_L TILEPROP INT_L_X42Y24 CLASS tile TILEPROP INT_L_X42Y24 COLUMN 109 TILEPROP INT_L_X42Y24 DEVICE_ID 0 TILEPROP INT_L_X42Y24 FIRST_SITE_ID 13280 TILEPROP INT_L_X42Y24 GRID_POINT_X 109 TILEPROP INT_L_X42Y24 GRID_POINT_Y 131 TILEPROP INT_L_X42Y24 INDEX 15174 TILEPROP INT_L_X42Y24 INT_TILE_X 42 TILEPROP INT_L_X42Y24 INT_TILE_Y 125 TILEPROP INT_L_X42Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y24 IS_DCM_TILE 0 TILEPROP INT_L_X42Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y24 NAME INT_L_X42Y24 TILEPROP INT_L_X42Y24 NUM_ARCS 3737 TILEPROP INT_L_X42Y24 NUM_SITES 1 TILEPROP INT_L_X42Y24 ROW 131 TILEPROP INT_L_X42Y24 SLR_REGION_ID 0 TILEPROP INT_L_X42Y24 TILE_PATTERN_IDX 2653 TILEPROP INT_L_X42Y24 TILE_TYPE INT_L TILEPROP INT_L_X42Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y24 TILE_X 99726 TILEPROP INT_L_X42Y24 TILE_Y -162872 TILEPROP INT_L_X42Y24 TYPE INT_L TILEPROP INT_L_X42Y25 CLASS tile TILEPROP INT_L_X42Y25 COLUMN 109 TILEPROP INT_L_X42Y25 DEVICE_ID 0 TILEPROP INT_L_X42Y25 FIRST_SITE_ID 13097 TILEPROP INT_L_X42Y25 GRID_POINT_X 109 TILEPROP INT_L_X42Y25 GRID_POINT_Y 129 TILEPROP INT_L_X42Y25 INDEX 14944 TILEPROP INT_L_X42Y25 INT_TILE_X 42 TILEPROP INT_L_X42Y25 INT_TILE_Y 124 TILEPROP INT_L_X42Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y25 IS_DCM_TILE 0 TILEPROP INT_L_X42Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y25 NAME INT_L_X42Y25 TILEPROP INT_L_X42Y25 NUM_ARCS 3737 TILEPROP INT_L_X42Y25 NUM_SITES 1 TILEPROP INT_L_X42Y25 ROW 129 TILEPROP INT_L_X42Y25 SLR_REGION_ID 0 TILEPROP INT_L_X42Y25 TILE_PATTERN_IDX 2578 TILEPROP INT_L_X42Y25 TILE_TYPE INT_L TILEPROP INT_L_X42Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y25 TILE_X 99726 TILEPROP INT_L_X42Y25 TILE_Y -158648 TILEPROP INT_L_X42Y25 TYPE INT_L TILEPROP INT_L_X42Y26 CLASS tile TILEPROP INT_L_X42Y26 COLUMN 109 TILEPROP INT_L_X42Y26 DEVICE_ID 0 TILEPROP INT_L_X42Y26 FIRST_SITE_ID 12982 TILEPROP INT_L_X42Y26 GRID_POINT_X 109 TILEPROP INT_L_X42Y26 GRID_POINT_Y 128 TILEPROP INT_L_X42Y26 INDEX 14829 TILEPROP INT_L_X42Y26 INT_TILE_X 42 TILEPROP INT_L_X42Y26 INT_TILE_Y 123 TILEPROP INT_L_X42Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y26 IS_DCM_TILE 0 TILEPROP INT_L_X42Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y26 NAME INT_L_X42Y26 TILEPROP INT_L_X42Y26 NUM_ARCS 3737 TILEPROP INT_L_X42Y26 NUM_SITES 1 TILEPROP INT_L_X42Y26 ROW 128 TILEPROP INT_L_X42Y26 SLR_REGION_ID 0 TILEPROP INT_L_X42Y26 TILE_PATTERN_IDX 2541 TILEPROP INT_L_X42Y26 TILE_TYPE INT_L TILEPROP INT_L_X42Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y26 TILE_X 99726 TILEPROP INT_L_X42Y26 TILE_Y -155448 TILEPROP INT_L_X42Y26 TYPE INT_L TILEPROP INT_L_X42Y27 CLASS tile TILEPROP INT_L_X42Y27 COLUMN 109 TILEPROP INT_L_X42Y27 DEVICE_ID 0 TILEPROP INT_L_X42Y27 FIRST_SITE_ID 12882 TILEPROP INT_L_X42Y27 GRID_POINT_X 109 TILEPROP INT_L_X42Y27 GRID_POINT_Y 127 TILEPROP INT_L_X42Y27 INDEX 14714 TILEPROP INT_L_X42Y27 INT_TILE_X 42 TILEPROP INT_L_X42Y27 INT_TILE_Y 122 TILEPROP INT_L_X42Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y27 IS_DCM_TILE 0 TILEPROP INT_L_X42Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y27 NAME INT_L_X42Y27 TILEPROP INT_L_X42Y27 NUM_ARCS 3737 TILEPROP INT_L_X42Y27 NUM_SITES 1 TILEPROP INT_L_X42Y27 ROW 127 TILEPROP INT_L_X42Y27 SLR_REGION_ID 0 TILEPROP INT_L_X42Y27 TILE_PATTERN_IDX 2504 TILEPROP INT_L_X42Y27 TILE_TYPE INT_L TILEPROP INT_L_X42Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y27 TILE_X 99726 TILEPROP INT_L_X42Y27 TILE_Y -152248 TILEPROP INT_L_X42Y27 TYPE INT_L TILEPROP INT_L_X42Y28 CLASS tile TILEPROP INT_L_X42Y28 COLUMN 109 TILEPROP INT_L_X42Y28 DEVICE_ID 0 TILEPROP INT_L_X42Y28 FIRST_SITE_ID 12782 TILEPROP INT_L_X42Y28 GRID_POINT_X 109 TILEPROP INT_L_X42Y28 GRID_POINT_Y 126 TILEPROP INT_L_X42Y28 INDEX 14599 TILEPROP INT_L_X42Y28 INT_TILE_X 42 TILEPROP INT_L_X42Y28 INT_TILE_Y 121 TILEPROP INT_L_X42Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y28 IS_DCM_TILE 0 TILEPROP INT_L_X42Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y28 NAME INT_L_X42Y28 TILEPROP INT_L_X42Y28 NUM_ARCS 3737 TILEPROP INT_L_X42Y28 NUM_SITES 1 TILEPROP INT_L_X42Y28 ROW 126 TILEPROP INT_L_X42Y28 SLR_REGION_ID 0 TILEPROP INT_L_X42Y28 TILE_PATTERN_IDX 2468 TILEPROP INT_L_X42Y28 TILE_TYPE INT_L TILEPROP INT_L_X42Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y28 TILE_X 99726 TILEPROP INT_L_X42Y28 TILE_Y -149048 TILEPROP INT_L_X42Y28 TYPE INT_L TILEPROP INT_L_X42Y29 CLASS tile TILEPROP INT_L_X42Y29 COLUMN 109 TILEPROP INT_L_X42Y29 DEVICE_ID 0 TILEPROP INT_L_X42Y29 FIRST_SITE_ID 12682 TILEPROP INT_L_X42Y29 GRID_POINT_X 109 TILEPROP INT_L_X42Y29 GRID_POINT_Y 125 TILEPROP INT_L_X42Y29 INDEX 14484 TILEPROP INT_L_X42Y29 INT_TILE_X 42 TILEPROP INT_L_X42Y29 INT_TILE_Y 120 TILEPROP INT_L_X42Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y29 IS_DCM_TILE 0 TILEPROP INT_L_X42Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y29 NAME INT_L_X42Y29 TILEPROP INT_L_X42Y29 NUM_ARCS 3737 TILEPROP INT_L_X42Y29 NUM_SITES 1 TILEPROP INT_L_X42Y29 ROW 125 TILEPROP INT_L_X42Y29 SLR_REGION_ID 0 TILEPROP INT_L_X42Y29 TILE_PATTERN_IDX 2431 TILEPROP INT_L_X42Y29 TILE_TYPE INT_L TILEPROP INT_L_X42Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y29 TILE_X 99726 TILEPROP INT_L_X42Y29 TILE_Y -145848 TILEPROP INT_L_X42Y29 TYPE INT_L TILEPROP INT_L_X42Y30 CLASS tile TILEPROP INT_L_X42Y30 COLUMN 109 TILEPROP INT_L_X42Y30 DEVICE_ID 0 TILEPROP INT_L_X42Y30 FIRST_SITE_ID 12570 TILEPROP INT_L_X42Y30 GRID_POINT_X 109 TILEPROP INT_L_X42Y30 GRID_POINT_Y 124 TILEPROP INT_L_X42Y30 INDEX 14369 TILEPROP INT_L_X42Y30 INT_TILE_X 42 TILEPROP INT_L_X42Y30 INT_TILE_Y 119 TILEPROP INT_L_X42Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y30 IS_DCM_TILE 0 TILEPROP INT_L_X42Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y30 NAME INT_L_X42Y30 TILEPROP INT_L_X42Y30 NUM_ARCS 3737 TILEPROP INT_L_X42Y30 NUM_SITES 1 TILEPROP INT_L_X42Y30 ROW 124 TILEPROP INT_L_X42Y30 SLR_REGION_ID 0 TILEPROP INT_L_X42Y30 TILE_PATTERN_IDX 2394 TILEPROP INT_L_X42Y30 TILE_TYPE INT_L TILEPROP INT_L_X42Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y30 TILE_X 99726 TILEPROP INT_L_X42Y30 TILE_Y -142648 TILEPROP INT_L_X42Y30 TYPE INT_L TILEPROP INT_L_X42Y31 CLASS tile TILEPROP INT_L_X42Y31 COLUMN 109 TILEPROP INT_L_X42Y31 DEVICE_ID 0 TILEPROP INT_L_X42Y31 FIRST_SITE_ID 12442 TILEPROP INT_L_X42Y31 GRID_POINT_X 109 TILEPROP INT_L_X42Y31 GRID_POINT_Y 123 TILEPROP INT_L_X42Y31 INDEX 14254 TILEPROP INT_L_X42Y31 INT_TILE_X 42 TILEPROP INT_L_X42Y31 INT_TILE_Y 118 TILEPROP INT_L_X42Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y31 IS_DCM_TILE 0 TILEPROP INT_L_X42Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y31 NAME INT_L_X42Y31 TILEPROP INT_L_X42Y31 NUM_ARCS 3737 TILEPROP INT_L_X42Y31 NUM_SITES 1 TILEPROP INT_L_X42Y31 ROW 123 TILEPROP INT_L_X42Y31 SLR_REGION_ID 0 TILEPROP INT_L_X42Y31 TILE_PATTERN_IDX 2356 TILEPROP INT_L_X42Y31 TILE_TYPE INT_L TILEPROP INT_L_X42Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y31 TILE_X 99726 TILEPROP INT_L_X42Y31 TILE_Y -139448 TILEPROP INT_L_X42Y31 TYPE INT_L TILEPROP INT_L_X42Y32 CLASS tile TILEPROP INT_L_X42Y32 COLUMN 109 TILEPROP INT_L_X42Y32 DEVICE_ID 0 TILEPROP INT_L_X42Y32 FIRST_SITE_ID 12338 TILEPROP INT_L_X42Y32 GRID_POINT_X 109 TILEPROP INT_L_X42Y32 GRID_POINT_Y 122 TILEPROP INT_L_X42Y32 INDEX 14139 TILEPROP INT_L_X42Y32 INT_TILE_X 42 TILEPROP INT_L_X42Y32 INT_TILE_Y 117 TILEPROP INT_L_X42Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y32 IS_DCM_TILE 0 TILEPROP INT_L_X42Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y32 NAME INT_L_X42Y32 TILEPROP INT_L_X42Y32 NUM_ARCS 3737 TILEPROP INT_L_X42Y32 NUM_SITES 1 TILEPROP INT_L_X42Y32 ROW 122 TILEPROP INT_L_X42Y32 SLR_REGION_ID 0 TILEPROP INT_L_X42Y32 TILE_PATTERN_IDX 7804 TILEPROP INT_L_X42Y32 TILE_TYPE INT_L TILEPROP INT_L_X42Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y32 TILE_X 99726 TILEPROP INT_L_X42Y32 TILE_Y -136248 TILEPROP INT_L_X42Y32 TYPE INT_L TILEPROP INT_L_X42Y33 CLASS tile TILEPROP INT_L_X42Y33 COLUMN 109 TILEPROP INT_L_X42Y33 DEVICE_ID 0 TILEPROP INT_L_X42Y33 FIRST_SITE_ID 12237 TILEPROP INT_L_X42Y33 GRID_POINT_X 109 TILEPROP INT_L_X42Y33 GRID_POINT_Y 121 TILEPROP INT_L_X42Y33 INDEX 14024 TILEPROP INT_L_X42Y33 INT_TILE_X 42 TILEPROP INT_L_X42Y33 INT_TILE_Y 116 TILEPROP INT_L_X42Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y33 IS_DCM_TILE 0 TILEPROP INT_L_X42Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y33 NAME INT_L_X42Y33 TILEPROP INT_L_X42Y33 NUM_ARCS 3737 TILEPROP INT_L_X42Y33 NUM_SITES 1 TILEPROP INT_L_X42Y33 ROW 121 TILEPROP INT_L_X42Y33 SLR_REGION_ID 0 TILEPROP INT_L_X42Y33 TILE_PATTERN_IDX 7769 TILEPROP INT_L_X42Y33 TILE_TYPE INT_L TILEPROP INT_L_X42Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y33 TILE_X 99726 TILEPROP INT_L_X42Y33 TILE_Y -133048 TILEPROP INT_L_X42Y33 TYPE INT_L TILEPROP INT_L_X42Y34 CLASS tile TILEPROP INT_L_X42Y34 COLUMN 109 TILEPROP INT_L_X42Y34 DEVICE_ID 0 TILEPROP INT_L_X42Y34 FIRST_SITE_ID 12137 TILEPROP INT_L_X42Y34 GRID_POINT_X 109 TILEPROP INT_L_X42Y34 GRID_POINT_Y 120 TILEPROP INT_L_X42Y34 INDEX 13909 TILEPROP INT_L_X42Y34 INT_TILE_X 42 TILEPROP INT_L_X42Y34 INT_TILE_Y 115 TILEPROP INT_L_X42Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y34 IS_DCM_TILE 0 TILEPROP INT_L_X42Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y34 NAME INT_L_X42Y34 TILEPROP INT_L_X42Y34 NUM_ARCS 3737 TILEPROP INT_L_X42Y34 NUM_SITES 1 TILEPROP INT_L_X42Y34 ROW 120 TILEPROP INT_L_X42Y34 SLR_REGION_ID 0 TILEPROP INT_L_X42Y34 TILE_PATTERN_IDX 7741 TILEPROP INT_L_X42Y34 TILE_TYPE INT_L TILEPROP INT_L_X42Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y34 TILE_X 99726 TILEPROP INT_L_X42Y34 TILE_Y -129848 TILEPROP INT_L_X42Y34 TYPE INT_L TILEPROP INT_L_X42Y35 CLASS tile TILEPROP INT_L_X42Y35 COLUMN 109 TILEPROP INT_L_X42Y35 DEVICE_ID 0 TILEPROP INT_L_X42Y35 FIRST_SITE_ID 12037 TILEPROP INT_L_X42Y35 GRID_POINT_X 109 TILEPROP INT_L_X42Y35 GRID_POINT_Y 119 TILEPROP INT_L_X42Y35 INDEX 13794 TILEPROP INT_L_X42Y35 INT_TILE_X 42 TILEPROP INT_L_X42Y35 INT_TILE_Y 114 TILEPROP INT_L_X42Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y35 IS_DCM_TILE 0 TILEPROP INT_L_X42Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y35 NAME INT_L_X42Y35 TILEPROP INT_L_X42Y35 NUM_ARCS 3737 TILEPROP INT_L_X42Y35 NUM_SITES 1 TILEPROP INT_L_X42Y35 ROW 119 TILEPROP INT_L_X42Y35 SLR_REGION_ID 0 TILEPROP INT_L_X42Y35 TILE_PATTERN_IDX 7712 TILEPROP INT_L_X42Y35 TILE_TYPE INT_L TILEPROP INT_L_X42Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y35 TILE_X 99726 TILEPROP INT_L_X42Y35 TILE_Y -126648 TILEPROP INT_L_X42Y35 TYPE INT_L TILEPROP INT_L_X42Y36 CLASS tile TILEPROP INT_L_X42Y36 COLUMN 109 TILEPROP INT_L_X42Y36 DEVICE_ID 0 TILEPROP INT_L_X42Y36 FIRST_SITE_ID 11922 TILEPROP INT_L_X42Y36 GRID_POINT_X 109 TILEPROP INT_L_X42Y36 GRID_POINT_Y 118 TILEPROP INT_L_X42Y36 INDEX 13679 TILEPROP INT_L_X42Y36 INT_TILE_X 42 TILEPROP INT_L_X42Y36 INT_TILE_Y 113 TILEPROP INT_L_X42Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y36 IS_DCM_TILE 0 TILEPROP INT_L_X42Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y36 NAME INT_L_X42Y36 TILEPROP INT_L_X42Y36 NUM_ARCS 3737 TILEPROP INT_L_X42Y36 NUM_SITES 1 TILEPROP INT_L_X42Y36 ROW 118 TILEPROP INT_L_X42Y36 SLR_REGION_ID 0 TILEPROP INT_L_X42Y36 TILE_PATTERN_IDX 7684 TILEPROP INT_L_X42Y36 TILE_TYPE INT_L TILEPROP INT_L_X42Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y36 TILE_X 99726 TILEPROP INT_L_X42Y36 TILE_Y -123448 TILEPROP INT_L_X42Y36 TYPE INT_L TILEPROP INT_L_X42Y37 CLASS tile TILEPROP INT_L_X42Y37 COLUMN 109 TILEPROP INT_L_X42Y37 DEVICE_ID 0 TILEPROP INT_L_X42Y37 FIRST_SITE_ID 11790 TILEPROP INT_L_X42Y37 GRID_POINT_X 109 TILEPROP INT_L_X42Y37 GRID_POINT_Y 117 TILEPROP INT_L_X42Y37 INDEX 13564 TILEPROP INT_L_X42Y37 INT_TILE_X 42 TILEPROP INT_L_X42Y37 INT_TILE_Y 112 TILEPROP INT_L_X42Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y37 IS_DCM_TILE 0 TILEPROP INT_L_X42Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y37 NAME INT_L_X42Y37 TILEPROP INT_L_X42Y37 NUM_ARCS 3737 TILEPROP INT_L_X42Y37 NUM_SITES 1 TILEPROP INT_L_X42Y37 ROW 117 TILEPROP INT_L_X42Y37 SLR_REGION_ID 0 TILEPROP INT_L_X42Y37 TILE_PATTERN_IDX 7656 TILEPROP INT_L_X42Y37 TILE_TYPE INT_L TILEPROP INT_L_X42Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y37 TILE_X 99726 TILEPROP INT_L_X42Y37 TILE_Y -120248 TILEPROP INT_L_X42Y37 TYPE INT_L TILEPROP INT_L_X42Y38 CLASS tile TILEPROP INT_L_X42Y38 COLUMN 109 TILEPROP INT_L_X42Y38 DEVICE_ID 0 TILEPROP INT_L_X42Y38 FIRST_SITE_ID 11690 TILEPROP INT_L_X42Y38 GRID_POINT_X 109 TILEPROP INT_L_X42Y38 GRID_POINT_Y 116 TILEPROP INT_L_X42Y38 INDEX 13449 TILEPROP INT_L_X42Y38 INT_TILE_X 42 TILEPROP INT_L_X42Y38 INT_TILE_Y 111 TILEPROP INT_L_X42Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y38 IS_DCM_TILE 0 TILEPROP INT_L_X42Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y38 NAME INT_L_X42Y38 TILEPROP INT_L_X42Y38 NUM_ARCS 3737 TILEPROP INT_L_X42Y38 NUM_SITES 1 TILEPROP INT_L_X42Y38 ROW 116 TILEPROP INT_L_X42Y38 SLR_REGION_ID 0 TILEPROP INT_L_X42Y38 TILE_PATTERN_IDX 7628 TILEPROP INT_L_X42Y38 TILE_TYPE INT_L TILEPROP INT_L_X42Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y38 TILE_X 99726 TILEPROP INT_L_X42Y38 TILE_Y -117048 TILEPROP INT_L_X42Y38 TYPE INT_L TILEPROP INT_L_X42Y39 CLASS tile TILEPROP INT_L_X42Y39 COLUMN 109 TILEPROP INT_L_X42Y39 DEVICE_ID 0 TILEPROP INT_L_X42Y39 FIRST_SITE_ID 11590 TILEPROP INT_L_X42Y39 GRID_POINT_X 109 TILEPROP INT_L_X42Y39 GRID_POINT_Y 115 TILEPROP INT_L_X42Y39 INDEX 13334 TILEPROP INT_L_X42Y39 INT_TILE_X 42 TILEPROP INT_L_X42Y39 INT_TILE_Y 110 TILEPROP INT_L_X42Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y39 IS_DCM_TILE 0 TILEPROP INT_L_X42Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y39 NAME INT_L_X42Y39 TILEPROP INT_L_X42Y39 NUM_ARCS 3737 TILEPROP INT_L_X42Y39 NUM_SITES 1 TILEPROP INT_L_X42Y39 ROW 115 TILEPROP INT_L_X42Y39 SLR_REGION_ID 0 TILEPROP INT_L_X42Y39 TILE_PATTERN_IDX 7599 TILEPROP INT_L_X42Y39 TILE_TYPE INT_L TILEPROP INT_L_X42Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y39 TILE_X 99726 TILEPROP INT_L_X42Y39 TILE_Y -113848 TILEPROP INT_L_X42Y39 TYPE INT_L TILEPROP INT_L_X42Y40 CLASS tile TILEPROP INT_L_X42Y40 COLUMN 109 TILEPROP INT_L_X42Y40 DEVICE_ID 0 TILEPROP INT_L_X42Y40 FIRST_SITE_ID 11490 TILEPROP INT_L_X42Y40 GRID_POINT_X 109 TILEPROP INT_L_X42Y40 GRID_POINT_Y 114 TILEPROP INT_L_X42Y40 INDEX 13219 TILEPROP INT_L_X42Y40 INT_TILE_X 42 TILEPROP INT_L_X42Y40 INT_TILE_Y 109 TILEPROP INT_L_X42Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y40 IS_DCM_TILE 0 TILEPROP INT_L_X42Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y40 NAME INT_L_X42Y40 TILEPROP INT_L_X42Y40 NUM_ARCS 3737 TILEPROP INT_L_X42Y40 NUM_SITES 1 TILEPROP INT_L_X42Y40 ROW 114 TILEPROP INT_L_X42Y40 SLR_REGION_ID 0 TILEPROP INT_L_X42Y40 TILE_PATTERN_IDX 7571 TILEPROP INT_L_X42Y40 TILE_TYPE INT_L TILEPROP INT_L_X42Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y40 TILE_X 99726 TILEPROP INT_L_X42Y40 TILE_Y -110648 TILEPROP INT_L_X42Y40 TYPE INT_L TILEPROP INT_L_X42Y41 CLASS tile TILEPROP INT_L_X42Y41 COLUMN 109 TILEPROP INT_L_X42Y41 DEVICE_ID 0 TILEPROP INT_L_X42Y41 FIRST_SITE_ID 11373 TILEPROP INT_L_X42Y41 GRID_POINT_X 109 TILEPROP INT_L_X42Y41 GRID_POINT_Y 113 TILEPROP INT_L_X42Y41 INDEX 13104 TILEPROP INT_L_X42Y41 INT_TILE_X 42 TILEPROP INT_L_X42Y41 INT_TILE_Y 108 TILEPROP INT_L_X42Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y41 IS_DCM_TILE 0 TILEPROP INT_L_X42Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y41 NAME INT_L_X42Y41 TILEPROP INT_L_X42Y41 NUM_ARCS 3737 TILEPROP INT_L_X42Y41 NUM_SITES 1 TILEPROP INT_L_X42Y41 ROW 113 TILEPROP INT_L_X42Y41 SLR_REGION_ID 0 TILEPROP INT_L_X42Y41 TILE_PATTERN_IDX 7541 TILEPROP INT_L_X42Y41 TILE_TYPE INT_L TILEPROP INT_L_X42Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y41 TILE_X 99726 TILEPROP INT_L_X42Y41 TILE_Y -107448 TILEPROP INT_L_X42Y41 TYPE INT_L TILEPROP INT_L_X42Y42 CLASS tile TILEPROP INT_L_X42Y42 COLUMN 109 TILEPROP INT_L_X42Y42 DEVICE_ID 0 TILEPROP INT_L_X42Y42 FIRST_SITE_ID 11272 TILEPROP INT_L_X42Y42 GRID_POINT_X 109 TILEPROP INT_L_X42Y42 GRID_POINT_Y 112 TILEPROP INT_L_X42Y42 INDEX 12989 TILEPROP INT_L_X42Y42 INT_TILE_X 42 TILEPROP INT_L_X42Y42 INT_TILE_Y 107 TILEPROP INT_L_X42Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y42 IS_DCM_TILE 0 TILEPROP INT_L_X42Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y42 NAME INT_L_X42Y42 TILEPROP INT_L_X42Y42 NUM_ARCS 3737 TILEPROP INT_L_X42Y42 NUM_SITES 1 TILEPROP INT_L_X42Y42 ROW 112 TILEPROP INT_L_X42Y42 SLR_REGION_ID 0 TILEPROP INT_L_X42Y42 TILE_PATTERN_IDX 7507 TILEPROP INT_L_X42Y42 TILE_TYPE INT_L TILEPROP INT_L_X42Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y42 TILE_X 99726 TILEPROP INT_L_X42Y42 TILE_Y -104248 TILEPROP INT_L_X42Y42 TYPE INT_L TILEPROP INT_L_X42Y43 CLASS tile TILEPROP INT_L_X42Y43 COLUMN 109 TILEPROP INT_L_X42Y43 DEVICE_ID 0 TILEPROP INT_L_X42Y43 FIRST_SITE_ID 11170 TILEPROP INT_L_X42Y43 GRID_POINT_X 109 TILEPROP INT_L_X42Y43 GRID_POINT_Y 111 TILEPROP INT_L_X42Y43 INDEX 12874 TILEPROP INT_L_X42Y43 INT_TILE_X 42 TILEPROP INT_L_X42Y43 INT_TILE_Y 106 TILEPROP INT_L_X42Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y43 IS_DCM_TILE 0 TILEPROP INT_L_X42Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y43 NAME INT_L_X42Y43 TILEPROP INT_L_X42Y43 NUM_ARCS 3737 TILEPROP INT_L_X42Y43 NUM_SITES 1 TILEPROP INT_L_X42Y43 ROW 111 TILEPROP INT_L_X42Y43 SLR_REGION_ID 0 TILEPROP INT_L_X42Y43 TILE_PATTERN_IDX 7477 TILEPROP INT_L_X42Y43 TILE_TYPE INT_L TILEPROP INT_L_X42Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y43 TILE_X 99726 TILEPROP INT_L_X42Y43 TILE_Y -101048 TILEPROP INT_L_X42Y43 TYPE INT_L TILEPROP INT_L_X42Y44 CLASS tile TILEPROP INT_L_X42Y44 COLUMN 109 TILEPROP INT_L_X42Y44 DEVICE_ID 0 TILEPROP INT_L_X42Y44 FIRST_SITE_ID 11066 TILEPROP INT_L_X42Y44 GRID_POINT_X 109 TILEPROP INT_L_X42Y44 GRID_POINT_Y 110 TILEPROP INT_L_X42Y44 INDEX 12759 TILEPROP INT_L_X42Y44 INT_TILE_X 42 TILEPROP INT_L_X42Y44 INT_TILE_Y 105 TILEPROP INT_L_X42Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y44 IS_DCM_TILE 0 TILEPROP INT_L_X42Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y44 NAME INT_L_X42Y44 TILEPROP INT_L_X42Y44 NUM_ARCS 3737 TILEPROP INT_L_X42Y44 NUM_SITES 1 TILEPROP INT_L_X42Y44 ROW 110 TILEPROP INT_L_X42Y44 SLR_REGION_ID 0 TILEPROP INT_L_X42Y44 TILE_PATTERN_IDX 7449 TILEPROP INT_L_X42Y44 TILE_TYPE INT_L TILEPROP INT_L_X42Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y44 TILE_X 99726 TILEPROP INT_L_X42Y44 TILE_Y -97848 TILEPROP INT_L_X42Y44 TYPE INT_L TILEPROP INT_L_X42Y45 CLASS tile TILEPROP INT_L_X42Y45 COLUMN 109 TILEPROP INT_L_X42Y45 DEVICE_ID 0 TILEPROP INT_L_X42Y45 FIRST_SITE_ID 10966 TILEPROP INT_L_X42Y45 GRID_POINT_X 109 TILEPROP INT_L_X42Y45 GRID_POINT_Y 109 TILEPROP INT_L_X42Y45 INDEX 12644 TILEPROP INT_L_X42Y45 INT_TILE_X 42 TILEPROP INT_L_X42Y45 INT_TILE_Y 104 TILEPROP INT_L_X42Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y45 IS_DCM_TILE 0 TILEPROP INT_L_X42Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y45 NAME INT_L_X42Y45 TILEPROP INT_L_X42Y45 NUM_ARCS 3737 TILEPROP INT_L_X42Y45 NUM_SITES 1 TILEPROP INT_L_X42Y45 ROW 109 TILEPROP INT_L_X42Y45 SLR_REGION_ID 0 TILEPROP INT_L_X42Y45 TILE_PATTERN_IDX 7420 TILEPROP INT_L_X42Y45 TILE_TYPE INT_L TILEPROP INT_L_X42Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y45 TILE_X 99726 TILEPROP INT_L_X42Y45 TILE_Y -94648 TILEPROP INT_L_X42Y45 TYPE INT_L TILEPROP INT_L_X42Y46 CLASS tile TILEPROP INT_L_X42Y46 COLUMN 109 TILEPROP INT_L_X42Y46 DEVICE_ID 0 TILEPROP INT_L_X42Y46 FIRST_SITE_ID 10850 TILEPROP INT_L_X42Y46 GRID_POINT_X 109 TILEPROP INT_L_X42Y46 GRID_POINT_Y 108 TILEPROP INT_L_X42Y46 INDEX 12529 TILEPROP INT_L_X42Y46 INT_TILE_X 42 TILEPROP INT_L_X42Y46 INT_TILE_Y 103 TILEPROP INT_L_X42Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y46 IS_DCM_TILE 0 TILEPROP INT_L_X42Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y46 NAME INT_L_X42Y46 TILEPROP INT_L_X42Y46 NUM_ARCS 3737 TILEPROP INT_L_X42Y46 NUM_SITES 1 TILEPROP INT_L_X42Y46 ROW 108 TILEPROP INT_L_X42Y46 SLR_REGION_ID 0 TILEPROP INT_L_X42Y46 TILE_PATTERN_IDX 7385 TILEPROP INT_L_X42Y46 TILE_TYPE INT_L TILEPROP INT_L_X42Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y46 TILE_X 99726 TILEPROP INT_L_X42Y46 TILE_Y -91448 TILEPROP INT_L_X42Y46 TYPE INT_L TILEPROP INT_L_X42Y47 CLASS tile TILEPROP INT_L_X42Y47 COLUMN 109 TILEPROP INT_L_X42Y47 DEVICE_ID 0 TILEPROP INT_L_X42Y47 FIRST_SITE_ID 10734 TILEPROP INT_L_X42Y47 GRID_POINT_X 109 TILEPROP INT_L_X42Y47 GRID_POINT_Y 107 TILEPROP INT_L_X42Y47 INDEX 12414 TILEPROP INT_L_X42Y47 INT_TILE_X 42 TILEPROP INT_L_X42Y47 INT_TILE_Y 102 TILEPROP INT_L_X42Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y47 IS_DCM_TILE 0 TILEPROP INT_L_X42Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y47 NAME INT_L_X42Y47 TILEPROP INT_L_X42Y47 NUM_ARCS 3737 TILEPROP INT_L_X42Y47 NUM_SITES 1 TILEPROP INT_L_X42Y47 ROW 107 TILEPROP INT_L_X42Y47 SLR_REGION_ID 0 TILEPROP INT_L_X42Y47 TILE_PATTERN_IDX 7348 TILEPROP INT_L_X42Y47 TILE_TYPE INT_L TILEPROP INT_L_X42Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y47 TILE_X 99726 TILEPROP INT_L_X42Y47 TILE_Y -88248 TILEPROP INT_L_X42Y47 TYPE INT_L TILEPROP INT_L_X42Y48 CLASS tile TILEPROP INT_L_X42Y48 COLUMN 109 TILEPROP INT_L_X42Y48 DEVICE_ID 0 TILEPROP INT_L_X42Y48 FIRST_SITE_ID 10634 TILEPROP INT_L_X42Y48 GRID_POINT_X 109 TILEPROP INT_L_X42Y48 GRID_POINT_Y 106 TILEPROP INT_L_X42Y48 INDEX 12299 TILEPROP INT_L_X42Y48 INT_TILE_X 42 TILEPROP INT_L_X42Y48 INT_TILE_Y 101 TILEPROP INT_L_X42Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y48 IS_DCM_TILE 0 TILEPROP INT_L_X42Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y48 NAME INT_L_X42Y48 TILEPROP INT_L_X42Y48 NUM_ARCS 3737 TILEPROP INT_L_X42Y48 NUM_SITES 1 TILEPROP INT_L_X42Y48 ROW 106 TILEPROP INT_L_X42Y48 SLR_REGION_ID 0 TILEPROP INT_L_X42Y48 TILE_PATTERN_IDX 7312 TILEPROP INT_L_X42Y48 TILE_TYPE INT_L TILEPROP INT_L_X42Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y48 TILE_X 99726 TILEPROP INT_L_X42Y48 TILE_Y -85048 TILEPROP INT_L_X42Y48 TYPE INT_L TILEPROP INT_L_X42Y49 CLASS tile TILEPROP INT_L_X42Y49 COLUMN 109 TILEPROP INT_L_X42Y49 DEVICE_ID 0 TILEPROP INT_L_X42Y49 FIRST_SITE_ID 10538 TILEPROP INT_L_X42Y49 GRID_POINT_X 109 TILEPROP INT_L_X42Y49 GRID_POINT_Y 105 TILEPROP INT_L_X42Y49 INDEX 12184 TILEPROP INT_L_X42Y49 INT_TILE_X 42 TILEPROP INT_L_X42Y49 INT_TILE_Y 100 TILEPROP INT_L_X42Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y49 IS_DCM_TILE 0 TILEPROP INT_L_X42Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y49 NAME INT_L_X42Y49 TILEPROP INT_L_X42Y49 NUM_ARCS 3737 TILEPROP INT_L_X42Y49 NUM_SITES 1 TILEPROP INT_L_X42Y49 ROW 105 TILEPROP INT_L_X42Y49 SLR_REGION_ID 0 TILEPROP INT_L_X42Y49 TILE_PATTERN_IDX 7276 TILEPROP INT_L_X42Y49 TILE_TYPE INT_L TILEPROP INT_L_X42Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y49 TILE_X 99726 TILEPROP INT_L_X42Y49 TILE_Y -81848 TILEPROP INT_L_X42Y49 TYPE INT_L TILEPROP INT_L_X42Y50 CLASS tile TILEPROP INT_L_X42Y50 COLUMN 109 TILEPROP INT_L_X42Y50 DEVICE_ID 0 TILEPROP INT_L_X42Y50 FIRST_SITE_ID 10438 TILEPROP INT_L_X42Y50 GRID_POINT_X 109 TILEPROP INT_L_X42Y50 GRID_POINT_Y 103 TILEPROP INT_L_X42Y50 INDEX 11954 TILEPROP INT_L_X42Y50 INT_TILE_X 42 TILEPROP INT_L_X42Y50 INT_TILE_Y 99 TILEPROP INT_L_X42Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y50 IS_DCM_TILE 0 TILEPROP INT_L_X42Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y50 NAME INT_L_X42Y50 TILEPROP INT_L_X42Y50 NUM_ARCS 3737 TILEPROP INT_L_X42Y50 NUM_SITES 1 TILEPROP INT_L_X42Y50 ROW 103 TILEPROP INT_L_X42Y50 SLR_REGION_ID 0 TILEPROP INT_L_X42Y50 TILE_PATTERN_IDX 7240 TILEPROP INT_L_X42Y50 TILE_TYPE INT_L TILEPROP INT_L_X42Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y50 TILE_X 99726 TILEPROP INT_L_X42Y50 TILE_Y -78400 TILEPROP INT_L_X42Y50 TYPE INT_L TILEPROP INT_L_X42Y51 CLASS tile TILEPROP INT_L_X42Y51 COLUMN 109 TILEPROP INT_L_X42Y51 DEVICE_ID 0 TILEPROP INT_L_X42Y51 FIRST_SITE_ID 10303 TILEPROP INT_L_X42Y51 GRID_POINT_X 109 TILEPROP INT_L_X42Y51 GRID_POINT_Y 102 TILEPROP INT_L_X42Y51 INDEX 11839 TILEPROP INT_L_X42Y51 INT_TILE_X 42 TILEPROP INT_L_X42Y51 INT_TILE_Y 98 TILEPROP INT_L_X42Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y51 IS_DCM_TILE 0 TILEPROP INT_L_X42Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y51 NAME INT_L_X42Y51 TILEPROP INT_L_X42Y51 NUM_ARCS 3737 TILEPROP INT_L_X42Y51 NUM_SITES 1 TILEPROP INT_L_X42Y51 ROW 102 TILEPROP INT_L_X42Y51 SLR_REGION_ID 0 TILEPROP INT_L_X42Y51 TILE_PATTERN_IDX 7202 TILEPROP INT_L_X42Y51 TILE_TYPE INT_L TILEPROP INT_L_X42Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y51 TILE_X 99726 TILEPROP INT_L_X42Y51 TILE_Y -75200 TILEPROP INT_L_X42Y51 TYPE INT_L TILEPROP INT_L_X42Y52 CLASS tile TILEPROP INT_L_X42Y52 COLUMN 109 TILEPROP INT_L_X42Y52 DEVICE_ID 0 TILEPROP INT_L_X42Y52 FIRST_SITE_ID 10203 TILEPROP INT_L_X42Y52 GRID_POINT_X 109 TILEPROP INT_L_X42Y52 GRID_POINT_Y 101 TILEPROP INT_L_X42Y52 INDEX 11724 TILEPROP INT_L_X42Y52 INT_TILE_X 42 TILEPROP INT_L_X42Y52 INT_TILE_Y 97 TILEPROP INT_L_X42Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y52 IS_DCM_TILE 0 TILEPROP INT_L_X42Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y52 NAME INT_L_X42Y52 TILEPROP INT_L_X42Y52 NUM_ARCS 3737 TILEPROP INT_L_X42Y52 NUM_SITES 1 TILEPROP INT_L_X42Y52 ROW 101 TILEPROP INT_L_X42Y52 SLR_REGION_ID 0 TILEPROP INT_L_X42Y52 TILE_PATTERN_IDX 7165 TILEPROP INT_L_X42Y52 TILE_TYPE INT_L TILEPROP INT_L_X42Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y52 TILE_X 99726 TILEPROP INT_L_X42Y52 TILE_Y -72000 TILEPROP INT_L_X42Y52 TYPE INT_L TILEPROP INT_L_X42Y53 CLASS tile TILEPROP INT_L_X42Y53 COLUMN 109 TILEPROP INT_L_X42Y53 DEVICE_ID 0 TILEPROP INT_L_X42Y53 FIRST_SITE_ID 10103 TILEPROP INT_L_X42Y53 GRID_POINT_X 109 TILEPROP INT_L_X42Y53 GRID_POINT_Y 100 TILEPROP INT_L_X42Y53 INDEX 11609 TILEPROP INT_L_X42Y53 INT_TILE_X 42 TILEPROP INT_L_X42Y53 INT_TILE_Y 96 TILEPROP INT_L_X42Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y53 IS_DCM_TILE 0 TILEPROP INT_L_X42Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y53 NAME INT_L_X42Y53 TILEPROP INT_L_X42Y53 NUM_ARCS 3737 TILEPROP INT_L_X42Y53 NUM_SITES 1 TILEPROP INT_L_X42Y53 ROW 100 TILEPROP INT_L_X42Y53 SLR_REGION_ID 0 TILEPROP INT_L_X42Y53 TILE_PATTERN_IDX 7128 TILEPROP INT_L_X42Y53 TILE_TYPE INT_L TILEPROP INT_L_X42Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y53 TILE_X 99726 TILEPROP INT_L_X42Y53 TILE_Y -68800 TILEPROP INT_L_X42Y53 TYPE INT_L TILEPROP INT_L_X42Y54 CLASS tile TILEPROP INT_L_X42Y54 COLUMN 109 TILEPROP INT_L_X42Y54 DEVICE_ID 0 TILEPROP INT_L_X42Y54 FIRST_SITE_ID 10003 TILEPROP INT_L_X42Y54 GRID_POINT_X 109 TILEPROP INT_L_X42Y54 GRID_POINT_Y 99 TILEPROP INT_L_X42Y54 INDEX 11494 TILEPROP INT_L_X42Y54 INT_TILE_X 42 TILEPROP INT_L_X42Y54 INT_TILE_Y 95 TILEPROP INT_L_X42Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y54 IS_DCM_TILE 0 TILEPROP INT_L_X42Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y54 NAME INT_L_X42Y54 TILEPROP INT_L_X42Y54 NUM_ARCS 3737 TILEPROP INT_L_X42Y54 NUM_SITES 1 TILEPROP INT_L_X42Y54 ROW 99 TILEPROP INT_L_X42Y54 SLR_REGION_ID 0 TILEPROP INT_L_X42Y54 TILE_PATTERN_IDX 7091 TILEPROP INT_L_X42Y54 TILE_TYPE INT_L TILEPROP INT_L_X42Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y54 TILE_X 99726 TILEPROP INT_L_X42Y54 TILE_Y -65600 TILEPROP INT_L_X42Y54 TYPE INT_L TILEPROP INT_L_X42Y55 CLASS tile TILEPROP INT_L_X42Y55 COLUMN 109 TILEPROP INT_L_X42Y55 DEVICE_ID 0 TILEPROP INT_L_X42Y55 FIRST_SITE_ID 9903 TILEPROP INT_L_X42Y55 GRID_POINT_X 109 TILEPROP INT_L_X42Y55 GRID_POINT_Y 98 TILEPROP INT_L_X42Y55 INDEX 11379 TILEPROP INT_L_X42Y55 INT_TILE_X 42 TILEPROP INT_L_X42Y55 INT_TILE_Y 94 TILEPROP INT_L_X42Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y55 IS_DCM_TILE 0 TILEPROP INT_L_X42Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y55 NAME INT_L_X42Y55 TILEPROP INT_L_X42Y55 NUM_ARCS 3737 TILEPROP INT_L_X42Y55 NUM_SITES 1 TILEPROP INT_L_X42Y55 ROW 98 TILEPROP INT_L_X42Y55 SLR_REGION_ID 0 TILEPROP INT_L_X42Y55 TILE_PATTERN_IDX 7054 TILEPROP INT_L_X42Y55 TILE_TYPE INT_L TILEPROP INT_L_X42Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y55 TILE_X 99726 TILEPROP INT_L_X42Y55 TILE_Y -62400 TILEPROP INT_L_X42Y55 TYPE INT_L TILEPROP INT_L_X42Y56 CLASS tile TILEPROP INT_L_X42Y56 COLUMN 109 TILEPROP INT_L_X42Y56 DEVICE_ID 0 TILEPROP INT_L_X42Y56 FIRST_SITE_ID 9788 TILEPROP INT_L_X42Y56 GRID_POINT_X 109 TILEPROP INT_L_X42Y56 GRID_POINT_Y 97 TILEPROP INT_L_X42Y56 INDEX 11264 TILEPROP INT_L_X42Y56 INT_TILE_X 42 TILEPROP INT_L_X42Y56 INT_TILE_Y 93 TILEPROP INT_L_X42Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y56 IS_DCM_TILE 0 TILEPROP INT_L_X42Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y56 NAME INT_L_X42Y56 TILEPROP INT_L_X42Y56 NUM_ARCS 3737 TILEPROP INT_L_X42Y56 NUM_SITES 1 TILEPROP INT_L_X42Y56 ROW 97 TILEPROP INT_L_X42Y56 SLR_REGION_ID 0 TILEPROP INT_L_X42Y56 TILE_PATTERN_IDX 7016 TILEPROP INT_L_X42Y56 TILE_TYPE INT_L TILEPROP INT_L_X42Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y56 TILE_X 99726 TILEPROP INT_L_X42Y56 TILE_Y -59200 TILEPROP INT_L_X42Y56 TYPE INT_L TILEPROP INT_L_X42Y57 CLASS tile TILEPROP INT_L_X42Y57 COLUMN 109 TILEPROP INT_L_X42Y57 DEVICE_ID 0 TILEPROP INT_L_X42Y57 FIRST_SITE_ID 9688 TILEPROP INT_L_X42Y57 GRID_POINT_X 109 TILEPROP INT_L_X42Y57 GRID_POINT_Y 96 TILEPROP INT_L_X42Y57 INDEX 11149 TILEPROP INT_L_X42Y57 INT_TILE_X 42 TILEPROP INT_L_X42Y57 INT_TILE_Y 92 TILEPROP INT_L_X42Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y57 IS_DCM_TILE 0 TILEPROP INT_L_X42Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y57 NAME INT_L_X42Y57 TILEPROP INT_L_X42Y57 NUM_ARCS 3737 TILEPROP INT_L_X42Y57 NUM_SITES 1 TILEPROP INT_L_X42Y57 ROW 96 TILEPROP INT_L_X42Y57 SLR_REGION_ID 0 TILEPROP INT_L_X42Y57 TILE_PATTERN_IDX 6979 TILEPROP INT_L_X42Y57 TILE_TYPE INT_L TILEPROP INT_L_X42Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y57 TILE_X 99726 TILEPROP INT_L_X42Y57 TILE_Y -56000 TILEPROP INT_L_X42Y57 TYPE INT_L TILEPROP INT_L_X42Y58 CLASS tile TILEPROP INT_L_X42Y58 COLUMN 109 TILEPROP INT_L_X42Y58 DEVICE_ID 0 TILEPROP INT_L_X42Y58 FIRST_SITE_ID 9584 TILEPROP INT_L_X42Y58 GRID_POINT_X 109 TILEPROP INT_L_X42Y58 GRID_POINT_Y 95 TILEPROP INT_L_X42Y58 INDEX 11034 TILEPROP INT_L_X42Y58 INT_TILE_X 42 TILEPROP INT_L_X42Y58 INT_TILE_Y 91 TILEPROP INT_L_X42Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y58 IS_DCM_TILE 0 TILEPROP INT_L_X42Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y58 NAME INT_L_X42Y58 TILEPROP INT_L_X42Y58 NUM_ARCS 3737 TILEPROP INT_L_X42Y58 NUM_SITES 1 TILEPROP INT_L_X42Y58 ROW 95 TILEPROP INT_L_X42Y58 SLR_REGION_ID 0 TILEPROP INT_L_X42Y58 TILE_PATTERN_IDX 6942 TILEPROP INT_L_X42Y58 TILE_TYPE INT_L TILEPROP INT_L_X42Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y58 TILE_X 99726 TILEPROP INT_L_X42Y58 TILE_Y -52800 TILEPROP INT_L_X42Y58 TYPE INT_L TILEPROP INT_L_X42Y59 CLASS tile TILEPROP INT_L_X42Y59 COLUMN 109 TILEPROP INT_L_X42Y59 DEVICE_ID 0 TILEPROP INT_L_X42Y59 FIRST_SITE_ID 9482 TILEPROP INT_L_X42Y59 GRID_POINT_X 109 TILEPROP INT_L_X42Y59 GRID_POINT_Y 94 TILEPROP INT_L_X42Y59 INDEX 10919 TILEPROP INT_L_X42Y59 INT_TILE_X 42 TILEPROP INT_L_X42Y59 INT_TILE_Y 90 TILEPROP INT_L_X42Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y59 IS_DCM_TILE 0 TILEPROP INT_L_X42Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y59 NAME INT_L_X42Y59 TILEPROP INT_L_X42Y59 NUM_ARCS 3737 TILEPROP INT_L_X42Y59 NUM_SITES 1 TILEPROP INT_L_X42Y59 ROW 94 TILEPROP INT_L_X42Y59 SLR_REGION_ID 0 TILEPROP INT_L_X42Y59 TILE_PATTERN_IDX 6904 TILEPROP INT_L_X42Y59 TILE_TYPE INT_L TILEPROP INT_L_X42Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y59 TILE_X 99726 TILEPROP INT_L_X42Y59 TILE_Y -49600 TILEPROP INT_L_X42Y59 TYPE INT_L TILEPROP INT_L_X42Y60 CLASS tile TILEPROP INT_L_X42Y60 COLUMN 109 TILEPROP INT_L_X42Y60 DEVICE_ID 0 TILEPROP INT_L_X42Y60 FIRST_SITE_ID 9382 TILEPROP INT_L_X42Y60 GRID_POINT_X 109 TILEPROP INT_L_X42Y60 GRID_POINT_Y 93 TILEPROP INT_L_X42Y60 INDEX 10804 TILEPROP INT_L_X42Y60 INT_TILE_X 42 TILEPROP INT_L_X42Y60 INT_TILE_Y 89 TILEPROP INT_L_X42Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y60 IS_DCM_TILE 0 TILEPROP INT_L_X42Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y60 NAME INT_L_X42Y60 TILEPROP INT_L_X42Y60 NUM_ARCS 3737 TILEPROP INT_L_X42Y60 NUM_SITES 1 TILEPROP INT_L_X42Y60 ROW 93 TILEPROP INT_L_X42Y60 SLR_REGION_ID 0 TILEPROP INT_L_X42Y60 TILE_PATTERN_IDX 6867 TILEPROP INT_L_X42Y60 TILE_TYPE INT_L TILEPROP INT_L_X42Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y60 TILE_X 99726 TILEPROP INT_L_X42Y60 TILE_Y -46400 TILEPROP INT_L_X42Y60 TYPE INT_L TILEPROP INT_L_X42Y61 CLASS tile TILEPROP INT_L_X42Y61 COLUMN 109 TILEPROP INT_L_X42Y61 DEVICE_ID 0 TILEPROP INT_L_X42Y61 FIRST_SITE_ID 9267 TILEPROP INT_L_X42Y61 GRID_POINT_X 109 TILEPROP INT_L_X42Y61 GRID_POINT_Y 92 TILEPROP INT_L_X42Y61 INDEX 10689 TILEPROP INT_L_X42Y61 INT_TILE_X 42 TILEPROP INT_L_X42Y61 INT_TILE_Y 88 TILEPROP INT_L_X42Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y61 IS_DCM_TILE 0 TILEPROP INT_L_X42Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y61 NAME INT_L_X42Y61 TILEPROP INT_L_X42Y61 NUM_ARCS 3737 TILEPROP INT_L_X42Y61 NUM_SITES 1 TILEPROP INT_L_X42Y61 ROW 92 TILEPROP INT_L_X42Y61 SLR_REGION_ID 0 TILEPROP INT_L_X42Y61 TILE_PATTERN_IDX 6830 TILEPROP INT_L_X42Y61 TILE_TYPE INT_L TILEPROP INT_L_X42Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y61 TILE_X 99726 TILEPROP INT_L_X42Y61 TILE_Y -43200 TILEPROP INT_L_X42Y61 TYPE INT_L TILEPROP INT_L_X42Y62 CLASS tile TILEPROP INT_L_X42Y62 COLUMN 109 TILEPROP INT_L_X42Y62 DEVICE_ID 0 TILEPROP INT_L_X42Y62 FIRST_SITE_ID 9167 TILEPROP INT_L_X42Y62 GRID_POINT_X 109 TILEPROP INT_L_X42Y62 GRID_POINT_Y 91 TILEPROP INT_L_X42Y62 INDEX 10574 TILEPROP INT_L_X42Y62 INT_TILE_X 42 TILEPROP INT_L_X42Y62 INT_TILE_Y 87 TILEPROP INT_L_X42Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y62 IS_DCM_TILE 0 TILEPROP INT_L_X42Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y62 NAME INT_L_X42Y62 TILEPROP INT_L_X42Y62 NUM_ARCS 3737 TILEPROP INT_L_X42Y62 NUM_SITES 1 TILEPROP INT_L_X42Y62 ROW 91 TILEPROP INT_L_X42Y62 SLR_REGION_ID 0 TILEPROP INT_L_X42Y62 TILE_PATTERN_IDX 6793 TILEPROP INT_L_X42Y62 TILE_TYPE INT_L TILEPROP INT_L_X42Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y62 TILE_X 99726 TILEPROP INT_L_X42Y62 TILE_Y -40000 TILEPROP INT_L_X42Y62 TYPE INT_L TILEPROP INT_L_X42Y63 CLASS tile TILEPROP INT_L_X42Y63 COLUMN 109 TILEPROP INT_L_X42Y63 DEVICE_ID 0 TILEPROP INT_L_X42Y63 FIRST_SITE_ID 9035 TILEPROP INT_L_X42Y63 GRID_POINT_X 109 TILEPROP INT_L_X42Y63 GRID_POINT_Y 90 TILEPROP INT_L_X42Y63 INDEX 10459 TILEPROP INT_L_X42Y63 INT_TILE_X 42 TILEPROP INT_L_X42Y63 INT_TILE_Y 86 TILEPROP INT_L_X42Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y63 IS_DCM_TILE 0 TILEPROP INT_L_X42Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y63 NAME INT_L_X42Y63 TILEPROP INT_L_X42Y63 NUM_ARCS 3737 TILEPROP INT_L_X42Y63 NUM_SITES 1 TILEPROP INT_L_X42Y63 ROW 90 TILEPROP INT_L_X42Y63 SLR_REGION_ID 0 TILEPROP INT_L_X42Y63 TILE_PATTERN_IDX 6756 TILEPROP INT_L_X42Y63 TILE_TYPE INT_L TILEPROP INT_L_X42Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y63 TILE_X 99726 TILEPROP INT_L_X42Y63 TILE_Y -36800 TILEPROP INT_L_X42Y63 TYPE INT_L TILEPROP INT_L_X42Y64 CLASS tile TILEPROP INT_L_X42Y64 COLUMN 109 TILEPROP INT_L_X42Y64 DEVICE_ID 0 TILEPROP INT_L_X42Y64 FIRST_SITE_ID 8935 TILEPROP INT_L_X42Y64 GRID_POINT_X 109 TILEPROP INT_L_X42Y64 GRID_POINT_Y 89 TILEPROP INT_L_X42Y64 INDEX 10344 TILEPROP INT_L_X42Y64 INT_TILE_X 42 TILEPROP INT_L_X42Y64 INT_TILE_Y 85 TILEPROP INT_L_X42Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y64 IS_DCM_TILE 0 TILEPROP INT_L_X42Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y64 NAME INT_L_X42Y64 TILEPROP INT_L_X42Y64 NUM_ARCS 3737 TILEPROP INT_L_X42Y64 NUM_SITES 1 TILEPROP INT_L_X42Y64 ROW 89 TILEPROP INT_L_X42Y64 SLR_REGION_ID 0 TILEPROP INT_L_X42Y64 TILE_PATTERN_IDX 6719 TILEPROP INT_L_X42Y64 TILE_TYPE INT_L TILEPROP INT_L_X42Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y64 TILE_X 99726 TILEPROP INT_L_X42Y64 TILE_Y -33600 TILEPROP INT_L_X42Y64 TYPE INT_L TILEPROP INT_L_X42Y65 CLASS tile TILEPROP INT_L_X42Y65 COLUMN 109 TILEPROP INT_L_X42Y65 DEVICE_ID 0 TILEPROP INT_L_X42Y65 FIRST_SITE_ID 8835 TILEPROP INT_L_X42Y65 GRID_POINT_X 109 TILEPROP INT_L_X42Y65 GRID_POINT_Y 88 TILEPROP INT_L_X42Y65 INDEX 10229 TILEPROP INT_L_X42Y65 INT_TILE_X 42 TILEPROP INT_L_X42Y65 INT_TILE_Y 84 TILEPROP INT_L_X42Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y65 IS_DCM_TILE 0 TILEPROP INT_L_X42Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y65 NAME INT_L_X42Y65 TILEPROP INT_L_X42Y65 NUM_ARCS 3737 TILEPROP INT_L_X42Y65 NUM_SITES 1 TILEPROP INT_L_X42Y65 ROW 88 TILEPROP INT_L_X42Y65 SLR_REGION_ID 0 TILEPROP INT_L_X42Y65 TILE_PATTERN_IDX 6682 TILEPROP INT_L_X42Y65 TILE_TYPE INT_L TILEPROP INT_L_X42Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y65 TILE_X 99726 TILEPROP INT_L_X42Y65 TILE_Y -30400 TILEPROP INT_L_X42Y65 TYPE INT_L TILEPROP INT_L_X42Y66 CLASS tile TILEPROP INT_L_X42Y66 COLUMN 109 TILEPROP INT_L_X42Y66 DEVICE_ID 0 TILEPROP INT_L_X42Y66 FIRST_SITE_ID 8720 TILEPROP INT_L_X42Y66 GRID_POINT_X 109 TILEPROP INT_L_X42Y66 GRID_POINT_Y 87 TILEPROP INT_L_X42Y66 INDEX 10114 TILEPROP INT_L_X42Y66 INT_TILE_X 42 TILEPROP INT_L_X42Y66 INT_TILE_Y 83 TILEPROP INT_L_X42Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y66 IS_DCM_TILE 0 TILEPROP INT_L_X42Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y66 NAME INT_L_X42Y66 TILEPROP INT_L_X42Y66 NUM_ARCS 3737 TILEPROP INT_L_X42Y66 NUM_SITES 1 TILEPROP INT_L_X42Y66 ROW 87 TILEPROP INT_L_X42Y66 SLR_REGION_ID 0 TILEPROP INT_L_X42Y66 TILE_PATTERN_IDX 6645 TILEPROP INT_L_X42Y66 TILE_TYPE INT_L TILEPROP INT_L_X42Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y66 TILE_X 99726 TILEPROP INT_L_X42Y66 TILE_Y -27200 TILEPROP INT_L_X42Y66 TYPE INT_L TILEPROP INT_L_X42Y67 CLASS tile TILEPROP INT_L_X42Y67 COLUMN 109 TILEPROP INT_L_X42Y67 DEVICE_ID 0 TILEPROP INT_L_X42Y67 FIRST_SITE_ID 8620 TILEPROP INT_L_X42Y67 GRID_POINT_X 109 TILEPROP INT_L_X42Y67 GRID_POINT_Y 86 TILEPROP INT_L_X42Y67 INDEX 9999 TILEPROP INT_L_X42Y67 INT_TILE_X 42 TILEPROP INT_L_X42Y67 INT_TILE_Y 82 TILEPROP INT_L_X42Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y67 IS_DCM_TILE 0 TILEPROP INT_L_X42Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y67 NAME INT_L_X42Y67 TILEPROP INT_L_X42Y67 NUM_ARCS 3737 TILEPROP INT_L_X42Y67 NUM_SITES 1 TILEPROP INT_L_X42Y67 ROW 86 TILEPROP INT_L_X42Y67 SLR_REGION_ID 0 TILEPROP INT_L_X42Y67 TILE_PATTERN_IDX 6608 TILEPROP INT_L_X42Y67 TILE_TYPE INT_L TILEPROP INT_L_X42Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y67 TILE_X 99726 TILEPROP INT_L_X42Y67 TILE_Y -24000 TILEPROP INT_L_X42Y67 TYPE INT_L TILEPROP INT_L_X42Y68 CLASS tile TILEPROP INT_L_X42Y68 COLUMN 109 TILEPROP INT_L_X42Y68 DEVICE_ID 0 TILEPROP INT_L_X42Y68 FIRST_SITE_ID 8512 TILEPROP INT_L_X42Y68 GRID_POINT_X 109 TILEPROP INT_L_X42Y68 GRID_POINT_Y 85 TILEPROP INT_L_X42Y68 INDEX 9884 TILEPROP INT_L_X42Y68 INT_TILE_X 42 TILEPROP INT_L_X42Y68 INT_TILE_Y 81 TILEPROP INT_L_X42Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y68 IS_DCM_TILE 0 TILEPROP INT_L_X42Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y68 NAME INT_L_X42Y68 TILEPROP INT_L_X42Y68 NUM_ARCS 3737 TILEPROP INT_L_X42Y68 NUM_SITES 1 TILEPROP INT_L_X42Y68 ROW 85 TILEPROP INT_L_X42Y68 SLR_REGION_ID 0 TILEPROP INT_L_X42Y68 TILE_PATTERN_IDX 2871 TILEPROP INT_L_X42Y68 TILE_TYPE INT_L TILEPROP INT_L_X42Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y68 TILE_X 99726 TILEPROP INT_L_X42Y68 TILE_Y -20800 TILEPROP INT_L_X42Y68 TYPE INT_L TILEPROP INT_L_X42Y69 CLASS tile TILEPROP INT_L_X42Y69 COLUMN 109 TILEPROP INT_L_X42Y69 DEVICE_ID 0 TILEPROP INT_L_X42Y69 FIRST_SITE_ID 8412 TILEPROP INT_L_X42Y69 GRID_POINT_X 109 TILEPROP INT_L_X42Y69 GRID_POINT_Y 84 TILEPROP INT_L_X42Y69 INDEX 9769 TILEPROP INT_L_X42Y69 INT_TILE_X 42 TILEPROP INT_L_X42Y69 INT_TILE_Y 80 TILEPROP INT_L_X42Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y69 IS_DCM_TILE 0 TILEPROP INT_L_X42Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y69 NAME INT_L_X42Y69 TILEPROP INT_L_X42Y69 NUM_ARCS 3737 TILEPROP INT_L_X42Y69 NUM_SITES 1 TILEPROP INT_L_X42Y69 ROW 84 TILEPROP INT_L_X42Y69 SLR_REGION_ID 0 TILEPROP INT_L_X42Y69 TILE_PATTERN_IDX 2835 TILEPROP INT_L_X42Y69 TILE_TYPE INT_L TILEPROP INT_L_X42Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y69 TILE_X 99726 TILEPROP INT_L_X42Y69 TILE_Y -17600 TILEPROP INT_L_X42Y69 TYPE INT_L TILEPROP INT_L_X42Y70 CLASS tile TILEPROP INT_L_X42Y70 COLUMN 109 TILEPROP INT_L_X42Y70 DEVICE_ID 0 TILEPROP INT_L_X42Y70 FIRST_SITE_ID 8308 TILEPROP INT_L_X42Y70 GRID_POINT_X 109 TILEPROP INT_L_X42Y70 GRID_POINT_Y 83 TILEPROP INT_L_X42Y70 INDEX 9654 TILEPROP INT_L_X42Y70 INT_TILE_X 42 TILEPROP INT_L_X42Y70 INT_TILE_Y 79 TILEPROP INT_L_X42Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y70 IS_DCM_TILE 0 TILEPROP INT_L_X42Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y70 NAME INT_L_X42Y70 TILEPROP INT_L_X42Y70 NUM_ARCS 3737 TILEPROP INT_L_X42Y70 NUM_SITES 1 TILEPROP INT_L_X42Y70 ROW 83 TILEPROP INT_L_X42Y70 SLR_REGION_ID 0 TILEPROP INT_L_X42Y70 TILE_PATTERN_IDX 2799 TILEPROP INT_L_X42Y70 TILE_TYPE INT_L TILEPROP INT_L_X42Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y70 TILE_X 99726 TILEPROP INT_L_X42Y70 TILE_Y -14400 TILEPROP INT_L_X42Y70 TYPE INT_L TILEPROP INT_L_X42Y71 CLASS tile TILEPROP INT_L_X42Y71 COLUMN 109 TILEPROP INT_L_X42Y71 DEVICE_ID 0 TILEPROP INT_L_X42Y71 FIRST_SITE_ID 8193 TILEPROP INT_L_X42Y71 GRID_POINT_X 109 TILEPROP INT_L_X42Y71 GRID_POINT_Y 82 TILEPROP INT_L_X42Y71 INDEX 9539 TILEPROP INT_L_X42Y71 INT_TILE_X 42 TILEPROP INT_L_X42Y71 INT_TILE_Y 78 TILEPROP INT_L_X42Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y71 IS_DCM_TILE 0 TILEPROP INT_L_X42Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y71 NAME INT_L_X42Y71 TILEPROP INT_L_X42Y71 NUM_ARCS 3737 TILEPROP INT_L_X42Y71 NUM_SITES 1 TILEPROP INT_L_X42Y71 ROW 82 TILEPROP INT_L_X42Y71 SLR_REGION_ID 0 TILEPROP INT_L_X42Y71 TILE_PATTERN_IDX 2762 TILEPROP INT_L_X42Y71 TILE_TYPE INT_L TILEPROP INT_L_X42Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y71 TILE_X 99726 TILEPROP INT_L_X42Y71 TILE_Y -11200 TILEPROP INT_L_X42Y71 TYPE INT_L TILEPROP INT_L_X42Y72 CLASS tile TILEPROP INT_L_X42Y72 COLUMN 109 TILEPROP INT_L_X42Y72 DEVICE_ID 0 TILEPROP INT_L_X42Y72 FIRST_SITE_ID 8093 TILEPROP INT_L_X42Y72 GRID_POINT_X 109 TILEPROP INT_L_X42Y72 GRID_POINT_Y 81 TILEPROP INT_L_X42Y72 INDEX 9424 TILEPROP INT_L_X42Y72 INT_TILE_X 42 TILEPROP INT_L_X42Y72 INT_TILE_Y 77 TILEPROP INT_L_X42Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y72 IS_DCM_TILE 0 TILEPROP INT_L_X42Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y72 NAME INT_L_X42Y72 TILEPROP INT_L_X42Y72 NUM_ARCS 3737 TILEPROP INT_L_X42Y72 NUM_SITES 1 TILEPROP INT_L_X42Y72 ROW 81 TILEPROP INT_L_X42Y72 SLR_REGION_ID 0 TILEPROP INT_L_X42Y72 TILE_PATTERN_IDX 2726 TILEPROP INT_L_X42Y72 TILE_TYPE INT_L TILEPROP INT_L_X42Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y72 TILE_X 99726 TILEPROP INT_L_X42Y72 TILE_Y -8000 TILEPROP INT_L_X42Y72 TYPE INT_L TILEPROP INT_L_X42Y73 CLASS tile TILEPROP INT_L_X42Y73 COLUMN 109 TILEPROP INT_L_X42Y73 DEVICE_ID 0 TILEPROP INT_L_X42Y73 FIRST_SITE_ID 7993 TILEPROP INT_L_X42Y73 GRID_POINT_X 109 TILEPROP INT_L_X42Y73 GRID_POINT_Y 80 TILEPROP INT_L_X42Y73 INDEX 9309 TILEPROP INT_L_X42Y73 INT_TILE_X 42 TILEPROP INT_L_X42Y73 INT_TILE_Y 76 TILEPROP INT_L_X42Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y73 IS_DCM_TILE 0 TILEPROP INT_L_X42Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y73 NAME INT_L_X42Y73 TILEPROP INT_L_X42Y73 NUM_ARCS 3737 TILEPROP INT_L_X42Y73 NUM_SITES 1 TILEPROP INT_L_X42Y73 ROW 80 TILEPROP INT_L_X42Y73 SLR_REGION_ID 0 TILEPROP INT_L_X42Y73 TILE_PATTERN_IDX 2689 TILEPROP INT_L_X42Y73 TILE_TYPE INT_L TILEPROP INT_L_X42Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y73 TILE_X 99726 TILEPROP INT_L_X42Y73 TILE_Y -4800 TILEPROP INT_L_X42Y73 TYPE INT_L TILEPROP INT_L_X42Y74 CLASS tile TILEPROP INT_L_X42Y74 COLUMN 109 TILEPROP INT_L_X42Y74 DEVICE_ID 0 TILEPROP INT_L_X42Y74 FIRST_SITE_ID 7893 TILEPROP INT_L_X42Y74 GRID_POINT_X 109 TILEPROP INT_L_X42Y74 GRID_POINT_Y 79 TILEPROP INT_L_X42Y74 INDEX 9194 TILEPROP INT_L_X42Y74 INT_TILE_X 42 TILEPROP INT_L_X42Y74 INT_TILE_Y 75 TILEPROP INT_L_X42Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y74 IS_DCM_TILE 0 TILEPROP INT_L_X42Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y74 NAME INT_L_X42Y74 TILEPROP INT_L_X42Y74 NUM_ARCS 3737 TILEPROP INT_L_X42Y74 NUM_SITES 1 TILEPROP INT_L_X42Y74 ROW 79 TILEPROP INT_L_X42Y74 SLR_REGION_ID 0 TILEPROP INT_L_X42Y74 TILE_PATTERN_IDX 2653 TILEPROP INT_L_X42Y74 TILE_TYPE INT_L TILEPROP INT_L_X42Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y74 TILE_X 99726 TILEPROP INT_L_X42Y74 TILE_Y -1600 TILEPROP INT_L_X42Y74 TYPE INT_L TILEPROP INT_L_X42Y75 CLASS tile TILEPROP INT_L_X42Y75 COLUMN 109 TILEPROP INT_L_X42Y75 DEVICE_ID 0 TILEPROP INT_L_X42Y75 FIRST_SITE_ID 7710 TILEPROP INT_L_X42Y75 GRID_POINT_X 109 TILEPROP INT_L_X42Y75 GRID_POINT_Y 77 TILEPROP INT_L_X42Y75 INDEX 8964 TILEPROP INT_L_X42Y75 INT_TILE_X 42 TILEPROP INT_L_X42Y75 INT_TILE_Y 74 TILEPROP INT_L_X42Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y75 IS_DCM_TILE 0 TILEPROP INT_L_X42Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y75 NAME INT_L_X42Y75 TILEPROP INT_L_X42Y75 NUM_ARCS 3737 TILEPROP INT_L_X42Y75 NUM_SITES 1 TILEPROP INT_L_X42Y75 ROW 77 TILEPROP INT_L_X42Y75 SLR_REGION_ID 0 TILEPROP INT_L_X42Y75 TILE_PATTERN_IDX 2578 TILEPROP INT_L_X42Y75 TILE_TYPE INT_L TILEPROP INT_L_X42Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y75 TILE_X 99726 TILEPROP INT_L_X42Y75 TILE_Y 2624 TILEPROP INT_L_X42Y75 TYPE INT_L TILEPROP INT_L_X42Y76 CLASS tile TILEPROP INT_L_X42Y76 COLUMN 109 TILEPROP INT_L_X42Y76 DEVICE_ID 0 TILEPROP INT_L_X42Y76 FIRST_SITE_ID 7592 TILEPROP INT_L_X42Y76 GRID_POINT_X 109 TILEPROP INT_L_X42Y76 GRID_POINT_Y 76 TILEPROP INT_L_X42Y76 INDEX 8849 TILEPROP INT_L_X42Y76 INT_TILE_X 42 TILEPROP INT_L_X42Y76 INT_TILE_Y 73 TILEPROP INT_L_X42Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y76 IS_DCM_TILE 0 TILEPROP INT_L_X42Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y76 NAME INT_L_X42Y76 TILEPROP INT_L_X42Y76 NUM_ARCS 3737 TILEPROP INT_L_X42Y76 NUM_SITES 1 TILEPROP INT_L_X42Y76 ROW 76 TILEPROP INT_L_X42Y76 SLR_REGION_ID 0 TILEPROP INT_L_X42Y76 TILE_PATTERN_IDX 2541 TILEPROP INT_L_X42Y76 TILE_TYPE INT_L TILEPROP INT_L_X42Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y76 TILE_X 99726 TILEPROP INT_L_X42Y76 TILE_Y 5824 TILEPROP INT_L_X42Y76 TYPE INT_L TILEPROP INT_L_X42Y77 CLASS tile TILEPROP INT_L_X42Y77 COLUMN 109 TILEPROP INT_L_X42Y77 DEVICE_ID 0 TILEPROP INT_L_X42Y77 FIRST_SITE_ID 7492 TILEPROP INT_L_X42Y77 GRID_POINT_X 109 TILEPROP INT_L_X42Y77 GRID_POINT_Y 75 TILEPROP INT_L_X42Y77 INDEX 8734 TILEPROP INT_L_X42Y77 INT_TILE_X 42 TILEPROP INT_L_X42Y77 INT_TILE_Y 72 TILEPROP INT_L_X42Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y77 IS_DCM_TILE 0 TILEPROP INT_L_X42Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y77 NAME INT_L_X42Y77 TILEPROP INT_L_X42Y77 NUM_ARCS 3737 TILEPROP INT_L_X42Y77 NUM_SITES 1 TILEPROP INT_L_X42Y77 ROW 75 TILEPROP INT_L_X42Y77 SLR_REGION_ID 0 TILEPROP INT_L_X42Y77 TILE_PATTERN_IDX 2504 TILEPROP INT_L_X42Y77 TILE_TYPE INT_L TILEPROP INT_L_X42Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y77 TILE_X 99726 TILEPROP INT_L_X42Y77 TILE_Y 9024 TILEPROP INT_L_X42Y77 TYPE INT_L TILEPROP INT_L_X42Y78 CLASS tile TILEPROP INT_L_X42Y78 COLUMN 109 TILEPROP INT_L_X42Y78 DEVICE_ID 0 TILEPROP INT_L_X42Y78 FIRST_SITE_ID 7392 TILEPROP INT_L_X42Y78 GRID_POINT_X 109 TILEPROP INT_L_X42Y78 GRID_POINT_Y 74 TILEPROP INT_L_X42Y78 INDEX 8619 TILEPROP INT_L_X42Y78 INT_TILE_X 42 TILEPROP INT_L_X42Y78 INT_TILE_Y 71 TILEPROP INT_L_X42Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y78 IS_DCM_TILE 0 TILEPROP INT_L_X42Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y78 NAME INT_L_X42Y78 TILEPROP INT_L_X42Y78 NUM_ARCS 3737 TILEPROP INT_L_X42Y78 NUM_SITES 1 TILEPROP INT_L_X42Y78 ROW 74 TILEPROP INT_L_X42Y78 SLR_REGION_ID 0 TILEPROP INT_L_X42Y78 TILE_PATTERN_IDX 2468 TILEPROP INT_L_X42Y78 TILE_TYPE INT_L TILEPROP INT_L_X42Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y78 TILE_X 99726 TILEPROP INT_L_X42Y78 TILE_Y 12224 TILEPROP INT_L_X42Y78 TYPE INT_L TILEPROP INT_L_X42Y79 CLASS tile TILEPROP INT_L_X42Y79 COLUMN 109 TILEPROP INT_L_X42Y79 DEVICE_ID 0 TILEPROP INT_L_X42Y79 FIRST_SITE_ID 7292 TILEPROP INT_L_X42Y79 GRID_POINT_X 109 TILEPROP INT_L_X42Y79 GRID_POINT_Y 73 TILEPROP INT_L_X42Y79 INDEX 8504 TILEPROP INT_L_X42Y79 INT_TILE_X 42 TILEPROP INT_L_X42Y79 INT_TILE_Y 70 TILEPROP INT_L_X42Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y79 IS_DCM_TILE 0 TILEPROP INT_L_X42Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y79 NAME INT_L_X42Y79 TILEPROP INT_L_X42Y79 NUM_ARCS 3737 TILEPROP INT_L_X42Y79 NUM_SITES 1 TILEPROP INT_L_X42Y79 ROW 73 TILEPROP INT_L_X42Y79 SLR_REGION_ID 0 TILEPROP INT_L_X42Y79 TILE_PATTERN_IDX 2431 TILEPROP INT_L_X42Y79 TILE_TYPE INT_L TILEPROP INT_L_X42Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y79 TILE_X 99726 TILEPROP INT_L_X42Y79 TILE_Y 15424 TILEPROP INT_L_X42Y79 TYPE INT_L TILEPROP INT_L_X42Y80 CLASS tile TILEPROP INT_L_X42Y80 COLUMN 109 TILEPROP INT_L_X42Y80 DEVICE_ID 0 TILEPROP INT_L_X42Y80 FIRST_SITE_ID 7180 TILEPROP INT_L_X42Y80 GRID_POINT_X 109 TILEPROP INT_L_X42Y80 GRID_POINT_Y 72 TILEPROP INT_L_X42Y80 INDEX 8389 TILEPROP INT_L_X42Y80 INT_TILE_X 42 TILEPROP INT_L_X42Y80 INT_TILE_Y 69 TILEPROP INT_L_X42Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y80 IS_DCM_TILE 0 TILEPROP INT_L_X42Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y80 NAME INT_L_X42Y80 TILEPROP INT_L_X42Y80 NUM_ARCS 3737 TILEPROP INT_L_X42Y80 NUM_SITES 1 TILEPROP INT_L_X42Y80 ROW 72 TILEPROP INT_L_X42Y80 SLR_REGION_ID 0 TILEPROP INT_L_X42Y80 TILE_PATTERN_IDX 2394 TILEPROP INT_L_X42Y80 TILE_TYPE INT_L TILEPROP INT_L_X42Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y80 TILE_X 99726 TILEPROP INT_L_X42Y80 TILE_Y 18624 TILEPROP INT_L_X42Y80 TYPE INT_L TILEPROP INT_L_X42Y81 CLASS tile TILEPROP INT_L_X42Y81 COLUMN 109 TILEPROP INT_L_X42Y81 DEVICE_ID 0 TILEPROP INT_L_X42Y81 FIRST_SITE_ID 7065 TILEPROP INT_L_X42Y81 GRID_POINT_X 109 TILEPROP INT_L_X42Y81 GRID_POINT_Y 71 TILEPROP INT_L_X42Y81 INDEX 8274 TILEPROP INT_L_X42Y81 INT_TILE_X 42 TILEPROP INT_L_X42Y81 INT_TILE_Y 68 TILEPROP INT_L_X42Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y81 IS_DCM_TILE 0 TILEPROP INT_L_X42Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y81 NAME INT_L_X42Y81 TILEPROP INT_L_X42Y81 NUM_ARCS 3737 TILEPROP INT_L_X42Y81 NUM_SITES 1 TILEPROP INT_L_X42Y81 ROW 71 TILEPROP INT_L_X42Y81 SLR_REGION_ID 0 TILEPROP INT_L_X42Y81 TILE_PATTERN_IDX 2356 TILEPROP INT_L_X42Y81 TILE_TYPE INT_L TILEPROP INT_L_X42Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y81 TILE_X 99726 TILEPROP INT_L_X42Y81 TILE_Y 21824 TILEPROP INT_L_X42Y81 TYPE INT_L TILEPROP INT_L_X42Y82 CLASS tile TILEPROP INT_L_X42Y82 COLUMN 109 TILEPROP INT_L_X42Y82 DEVICE_ID 0 TILEPROP INT_L_X42Y82 FIRST_SITE_ID 6961 TILEPROP INT_L_X42Y82 GRID_POINT_X 109 TILEPROP INT_L_X42Y82 GRID_POINT_Y 70 TILEPROP INT_L_X42Y82 INDEX 8159 TILEPROP INT_L_X42Y82 INT_TILE_X 42 TILEPROP INT_L_X42Y82 INT_TILE_Y 67 TILEPROP INT_L_X42Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y82 IS_DCM_TILE 0 TILEPROP INT_L_X42Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y82 NAME INT_L_X42Y82 TILEPROP INT_L_X42Y82 NUM_ARCS 3737 TILEPROP INT_L_X42Y82 NUM_SITES 1 TILEPROP INT_L_X42Y82 ROW 70 TILEPROP INT_L_X42Y82 SLR_REGION_ID 0 TILEPROP INT_L_X42Y82 TILE_PATTERN_IDX 2320 TILEPROP INT_L_X42Y82 TILE_TYPE INT_L TILEPROP INT_L_X42Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y82 TILE_X 99726 TILEPROP INT_L_X42Y82 TILE_Y 25024 TILEPROP INT_L_X42Y82 TYPE INT_L TILEPROP INT_L_X42Y83 CLASS tile TILEPROP INT_L_X42Y83 COLUMN 109 TILEPROP INT_L_X42Y83 DEVICE_ID 0 TILEPROP INT_L_X42Y83 FIRST_SITE_ID 6861 TILEPROP INT_L_X42Y83 GRID_POINT_X 109 TILEPROP INT_L_X42Y83 GRID_POINT_Y 69 TILEPROP INT_L_X42Y83 INDEX 8044 TILEPROP INT_L_X42Y83 INT_TILE_X 42 TILEPROP INT_L_X42Y83 INT_TILE_Y 66 TILEPROP INT_L_X42Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y83 IS_DCM_TILE 0 TILEPROP INT_L_X42Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y83 NAME INT_L_X42Y83 TILEPROP INT_L_X42Y83 NUM_ARCS 3737 TILEPROP INT_L_X42Y83 NUM_SITES 1 TILEPROP INT_L_X42Y83 ROW 69 TILEPROP INT_L_X42Y83 SLR_REGION_ID 0 TILEPROP INT_L_X42Y83 TILE_PATTERN_IDX 2282 TILEPROP INT_L_X42Y83 TILE_TYPE INT_L TILEPROP INT_L_X42Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y83 TILE_X 99726 TILEPROP INT_L_X42Y83 TILE_Y 28224 TILEPROP INT_L_X42Y83 TYPE INT_L TILEPROP INT_L_X42Y84 CLASS tile TILEPROP INT_L_X42Y84 COLUMN 109 TILEPROP INT_L_X42Y84 DEVICE_ID 0 TILEPROP INT_L_X42Y84 FIRST_SITE_ID 6761 TILEPROP INT_L_X42Y84 GRID_POINT_X 109 TILEPROP INT_L_X42Y84 GRID_POINT_Y 68 TILEPROP INT_L_X42Y84 INDEX 7929 TILEPROP INT_L_X42Y84 INT_TILE_X 42 TILEPROP INT_L_X42Y84 INT_TILE_Y 65 TILEPROP INT_L_X42Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y84 IS_DCM_TILE 0 TILEPROP INT_L_X42Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y84 NAME INT_L_X42Y84 TILEPROP INT_L_X42Y84 NUM_ARCS 3737 TILEPROP INT_L_X42Y84 NUM_SITES 1 TILEPROP INT_L_X42Y84 ROW 68 TILEPROP INT_L_X42Y84 SLR_REGION_ID 0 TILEPROP INT_L_X42Y84 TILE_PATTERN_IDX 2247 TILEPROP INT_L_X42Y84 TILE_TYPE INT_L TILEPROP INT_L_X42Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y84 TILE_X 99726 TILEPROP INT_L_X42Y84 TILE_Y 31424 TILEPROP INT_L_X42Y84 TYPE INT_L TILEPROP INT_L_X42Y85 CLASS tile TILEPROP INT_L_X42Y85 COLUMN 109 TILEPROP INT_L_X42Y85 DEVICE_ID 0 TILEPROP INT_L_X42Y85 FIRST_SITE_ID 6661 TILEPROP INT_L_X42Y85 GRID_POINT_X 109 TILEPROP INT_L_X42Y85 GRID_POINT_Y 67 TILEPROP INT_L_X42Y85 INDEX 7814 TILEPROP INT_L_X42Y85 INT_TILE_X 42 TILEPROP INT_L_X42Y85 INT_TILE_Y 64 TILEPROP INT_L_X42Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y85 IS_DCM_TILE 0 TILEPROP INT_L_X42Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y85 NAME INT_L_X42Y85 TILEPROP INT_L_X42Y85 NUM_ARCS 3737 TILEPROP INT_L_X42Y85 NUM_SITES 1 TILEPROP INT_L_X42Y85 ROW 67 TILEPROP INT_L_X42Y85 SLR_REGION_ID 0 TILEPROP INT_L_X42Y85 TILE_PATTERN_IDX 2211 TILEPROP INT_L_X42Y85 TILE_TYPE INT_L TILEPROP INT_L_X42Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y85 TILE_X 99726 TILEPROP INT_L_X42Y85 TILE_Y 34624 TILEPROP INT_L_X42Y85 TYPE INT_L TILEPROP INT_L_X42Y86 CLASS tile TILEPROP INT_L_X42Y86 COLUMN 109 TILEPROP INT_L_X42Y86 DEVICE_ID 0 TILEPROP INT_L_X42Y86 FIRST_SITE_ID 6546 TILEPROP INT_L_X42Y86 GRID_POINT_X 109 TILEPROP INT_L_X42Y86 GRID_POINT_Y 66 TILEPROP INT_L_X42Y86 INDEX 7699 TILEPROP INT_L_X42Y86 INT_TILE_X 42 TILEPROP INT_L_X42Y86 INT_TILE_Y 63 TILEPROP INT_L_X42Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y86 IS_DCM_TILE 0 TILEPROP INT_L_X42Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y86 NAME INT_L_X42Y86 TILEPROP INT_L_X42Y86 NUM_ARCS 3737 TILEPROP INT_L_X42Y86 NUM_SITES 1 TILEPROP INT_L_X42Y86 ROW 66 TILEPROP INT_L_X42Y86 SLR_REGION_ID 0 TILEPROP INT_L_X42Y86 TILE_PATTERN_IDX 2175 TILEPROP INT_L_X42Y86 TILE_TYPE INT_L TILEPROP INT_L_X42Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y86 TILE_X 99726 TILEPROP INT_L_X42Y86 TILE_Y 37824 TILEPROP INT_L_X42Y86 TYPE INT_L TILEPROP INT_L_X42Y87 CLASS tile TILEPROP INT_L_X42Y87 COLUMN 109 TILEPROP INT_L_X42Y87 DEVICE_ID 0 TILEPROP INT_L_X42Y87 FIRST_SITE_ID 6414 TILEPROP INT_L_X42Y87 GRID_POINT_X 109 TILEPROP INT_L_X42Y87 GRID_POINT_Y 65 TILEPROP INT_L_X42Y87 INDEX 7584 TILEPROP INT_L_X42Y87 INT_TILE_X 42 TILEPROP INT_L_X42Y87 INT_TILE_Y 62 TILEPROP INT_L_X42Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y87 IS_DCM_TILE 0 TILEPROP INT_L_X42Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y87 NAME INT_L_X42Y87 TILEPROP INT_L_X42Y87 NUM_ARCS 3737 TILEPROP INT_L_X42Y87 NUM_SITES 1 TILEPROP INT_L_X42Y87 ROW 65 TILEPROP INT_L_X42Y87 SLR_REGION_ID 0 TILEPROP INT_L_X42Y87 TILE_PATTERN_IDX 2137 TILEPROP INT_L_X42Y87 TILE_TYPE INT_L TILEPROP INT_L_X42Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y87 TILE_X 99726 TILEPROP INT_L_X42Y87 TILE_Y 41024 TILEPROP INT_L_X42Y87 TYPE INT_L TILEPROP INT_L_X42Y88 CLASS tile TILEPROP INT_L_X42Y88 COLUMN 109 TILEPROP INT_L_X42Y88 DEVICE_ID 0 TILEPROP INT_L_X42Y88 FIRST_SITE_ID 6314 TILEPROP INT_L_X42Y88 GRID_POINT_X 109 TILEPROP INT_L_X42Y88 GRID_POINT_Y 64 TILEPROP INT_L_X42Y88 INDEX 7469 TILEPROP INT_L_X42Y88 INT_TILE_X 42 TILEPROP INT_L_X42Y88 INT_TILE_Y 61 TILEPROP INT_L_X42Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y88 IS_DCM_TILE 0 TILEPROP INT_L_X42Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y88 NAME INT_L_X42Y88 TILEPROP INT_L_X42Y88 NUM_ARCS 3737 TILEPROP INT_L_X42Y88 NUM_SITES 1 TILEPROP INT_L_X42Y88 ROW 64 TILEPROP INT_L_X42Y88 SLR_REGION_ID 0 TILEPROP INT_L_X42Y88 TILE_PATTERN_IDX 2102 TILEPROP INT_L_X42Y88 TILE_TYPE INT_L TILEPROP INT_L_X42Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y88 TILE_X 99726 TILEPROP INT_L_X42Y88 TILE_Y 44224 TILEPROP INT_L_X42Y88 TYPE INT_L TILEPROP INT_L_X42Y89 CLASS tile TILEPROP INT_L_X42Y89 COLUMN 109 TILEPROP INT_L_X42Y89 DEVICE_ID 0 TILEPROP INT_L_X42Y89 FIRST_SITE_ID 6214 TILEPROP INT_L_X42Y89 GRID_POINT_X 109 TILEPROP INT_L_X42Y89 GRID_POINT_Y 63 TILEPROP INT_L_X42Y89 INDEX 7354 TILEPROP INT_L_X42Y89 INT_TILE_X 42 TILEPROP INT_L_X42Y89 INT_TILE_Y 60 TILEPROP INT_L_X42Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y89 IS_DCM_TILE 0 TILEPROP INT_L_X42Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y89 NAME INT_L_X42Y89 TILEPROP INT_L_X42Y89 NUM_ARCS 3737 TILEPROP INT_L_X42Y89 NUM_SITES 1 TILEPROP INT_L_X42Y89 ROW 63 TILEPROP INT_L_X42Y89 SLR_REGION_ID 0 TILEPROP INT_L_X42Y89 TILE_PATTERN_IDX 2066 TILEPROP INT_L_X42Y89 TILE_TYPE INT_L TILEPROP INT_L_X42Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y89 TILE_X 99726 TILEPROP INT_L_X42Y89 TILE_Y 47424 TILEPROP INT_L_X42Y89 TYPE INT_L TILEPROP INT_L_X42Y90 CLASS tile TILEPROP INT_L_X42Y90 COLUMN 109 TILEPROP INT_L_X42Y90 DEVICE_ID 0 TILEPROP INT_L_X42Y90 FIRST_SITE_ID 6114 TILEPROP INT_L_X42Y90 GRID_POINT_X 109 TILEPROP INT_L_X42Y90 GRID_POINT_Y 62 TILEPROP INT_L_X42Y90 INDEX 7239 TILEPROP INT_L_X42Y90 INT_TILE_X 42 TILEPROP INT_L_X42Y90 INT_TILE_Y 59 TILEPROP INT_L_X42Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y90 IS_DCM_TILE 0 TILEPROP INT_L_X42Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y90 NAME INT_L_X42Y90 TILEPROP INT_L_X42Y90 NUM_ARCS 3737 TILEPROP INT_L_X42Y90 NUM_SITES 1 TILEPROP INT_L_X42Y90 ROW 62 TILEPROP INT_L_X42Y90 SLR_REGION_ID 0 TILEPROP INT_L_X42Y90 TILE_PATTERN_IDX 2031 TILEPROP INT_L_X42Y90 TILE_TYPE INT_L TILEPROP INT_L_X42Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y90 TILE_X 99726 TILEPROP INT_L_X42Y90 TILE_Y 50624 TILEPROP INT_L_X42Y90 TYPE INT_L TILEPROP INT_L_X42Y91 CLASS tile TILEPROP INT_L_X42Y91 COLUMN 109 TILEPROP INT_L_X42Y91 DEVICE_ID 0 TILEPROP INT_L_X42Y91 FIRST_SITE_ID 5999 TILEPROP INT_L_X42Y91 GRID_POINT_X 109 TILEPROP INT_L_X42Y91 GRID_POINT_Y 61 TILEPROP INT_L_X42Y91 INDEX 7124 TILEPROP INT_L_X42Y91 INT_TILE_X 42 TILEPROP INT_L_X42Y91 INT_TILE_Y 58 TILEPROP INT_L_X42Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y91 IS_DCM_TILE 0 TILEPROP INT_L_X42Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y91 NAME INT_L_X42Y91 TILEPROP INT_L_X42Y91 NUM_ARCS 3737 TILEPROP INT_L_X42Y91 NUM_SITES 1 TILEPROP INT_L_X42Y91 ROW 61 TILEPROP INT_L_X42Y91 SLR_REGION_ID 0 TILEPROP INT_L_X42Y91 TILE_PATTERN_IDX 1992 TILEPROP INT_L_X42Y91 TILE_TYPE INT_L TILEPROP INT_L_X42Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y91 TILE_X 99726 TILEPROP INT_L_X42Y91 TILE_Y 53824 TILEPROP INT_L_X42Y91 TYPE INT_L TILEPROP INT_L_X42Y92 CLASS tile TILEPROP INT_L_X42Y92 COLUMN 109 TILEPROP INT_L_X42Y92 DEVICE_ID 0 TILEPROP INT_L_X42Y92 FIRST_SITE_ID 5899 TILEPROP INT_L_X42Y92 GRID_POINT_X 109 TILEPROP INT_L_X42Y92 GRID_POINT_Y 60 TILEPROP INT_L_X42Y92 INDEX 7009 TILEPROP INT_L_X42Y92 INT_TILE_X 42 TILEPROP INT_L_X42Y92 INT_TILE_Y 57 TILEPROP INT_L_X42Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y92 IS_DCM_TILE 0 TILEPROP INT_L_X42Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y92 NAME INT_L_X42Y92 TILEPROP INT_L_X42Y92 NUM_ARCS 3737 TILEPROP INT_L_X42Y92 NUM_SITES 1 TILEPROP INT_L_X42Y92 ROW 60 TILEPROP INT_L_X42Y92 SLR_REGION_ID 0 TILEPROP INT_L_X42Y92 TILE_PATTERN_IDX 1955 TILEPROP INT_L_X42Y92 TILE_TYPE INT_L TILEPROP INT_L_X42Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y92 TILE_X 99726 TILEPROP INT_L_X42Y92 TILE_Y 57024 TILEPROP INT_L_X42Y92 TYPE INT_L TILEPROP INT_L_X42Y93 CLASS tile TILEPROP INT_L_X42Y93 COLUMN 109 TILEPROP INT_L_X42Y93 DEVICE_ID 0 TILEPROP INT_L_X42Y93 FIRST_SITE_ID 5797 TILEPROP INT_L_X42Y93 GRID_POINT_X 109 TILEPROP INT_L_X42Y93 GRID_POINT_Y 59 TILEPROP INT_L_X42Y93 INDEX 6894 TILEPROP INT_L_X42Y93 INT_TILE_X 42 TILEPROP INT_L_X42Y93 INT_TILE_Y 56 TILEPROP INT_L_X42Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y93 IS_DCM_TILE 0 TILEPROP INT_L_X42Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y93 NAME INT_L_X42Y93 TILEPROP INT_L_X42Y93 NUM_ARCS 3737 TILEPROP INT_L_X42Y93 NUM_SITES 1 TILEPROP INT_L_X42Y93 ROW 59 TILEPROP INT_L_X42Y93 SLR_REGION_ID 0 TILEPROP INT_L_X42Y93 TILE_PATTERN_IDX 1916 TILEPROP INT_L_X42Y93 TILE_TYPE INT_L TILEPROP INT_L_X42Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y93 TILE_X 99726 TILEPROP INT_L_X42Y93 TILE_Y 60224 TILEPROP INT_L_X42Y93 TYPE INT_L TILEPROP INT_L_X42Y94 CLASS tile TILEPROP INT_L_X42Y94 COLUMN 109 TILEPROP INT_L_X42Y94 DEVICE_ID 0 TILEPROP INT_L_X42Y94 FIRST_SITE_ID 5693 TILEPROP INT_L_X42Y94 GRID_POINT_X 109 TILEPROP INT_L_X42Y94 GRID_POINT_Y 58 TILEPROP INT_L_X42Y94 INDEX 6779 TILEPROP INT_L_X42Y94 INT_TILE_X 42 TILEPROP INT_L_X42Y94 INT_TILE_Y 55 TILEPROP INT_L_X42Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y94 IS_DCM_TILE 0 TILEPROP INT_L_X42Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y94 NAME INT_L_X42Y94 TILEPROP INT_L_X42Y94 NUM_ARCS 3737 TILEPROP INT_L_X42Y94 NUM_SITES 1 TILEPROP INT_L_X42Y94 ROW 58 TILEPROP INT_L_X42Y94 SLR_REGION_ID 0 TILEPROP INT_L_X42Y94 TILE_PATTERN_IDX 1879 TILEPROP INT_L_X42Y94 TILE_TYPE INT_L TILEPROP INT_L_X42Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y94 TILE_X 99726 TILEPROP INT_L_X42Y94 TILE_Y 63424 TILEPROP INT_L_X42Y94 TYPE INT_L TILEPROP INT_L_X42Y95 CLASS tile TILEPROP INT_L_X42Y95 COLUMN 109 TILEPROP INT_L_X42Y95 DEVICE_ID 0 TILEPROP INT_L_X42Y95 FIRST_SITE_ID 5593 TILEPROP INT_L_X42Y95 GRID_POINT_X 109 TILEPROP INT_L_X42Y95 GRID_POINT_Y 57 TILEPROP INT_L_X42Y95 INDEX 6664 TILEPROP INT_L_X42Y95 INT_TILE_X 42 TILEPROP INT_L_X42Y95 INT_TILE_Y 54 TILEPROP INT_L_X42Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y95 IS_DCM_TILE 0 TILEPROP INT_L_X42Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y95 NAME INT_L_X42Y95 TILEPROP INT_L_X42Y95 NUM_ARCS 3737 TILEPROP INT_L_X42Y95 NUM_SITES 1 TILEPROP INT_L_X42Y95 ROW 57 TILEPROP INT_L_X42Y95 SLR_REGION_ID 0 TILEPROP INT_L_X42Y95 TILE_PATTERN_IDX 1843 TILEPROP INT_L_X42Y95 TILE_TYPE INT_L TILEPROP INT_L_X42Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y95 TILE_X 99726 TILEPROP INT_L_X42Y95 TILE_Y 66624 TILEPROP INT_L_X42Y95 TYPE INT_L TILEPROP INT_L_X42Y96 CLASS tile TILEPROP INT_L_X42Y96 COLUMN 109 TILEPROP INT_L_X42Y96 DEVICE_ID 0 TILEPROP INT_L_X42Y96 FIRST_SITE_ID 5478 TILEPROP INT_L_X42Y96 GRID_POINT_X 109 TILEPROP INT_L_X42Y96 GRID_POINT_Y 56 TILEPROP INT_L_X42Y96 INDEX 6549 TILEPROP INT_L_X42Y96 INT_TILE_X 42 TILEPROP INT_L_X42Y96 INT_TILE_Y 53 TILEPROP INT_L_X42Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y96 IS_DCM_TILE 0 TILEPROP INT_L_X42Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y96 NAME INT_L_X42Y96 TILEPROP INT_L_X42Y96 NUM_ARCS 3737 TILEPROP INT_L_X42Y96 NUM_SITES 1 TILEPROP INT_L_X42Y96 ROW 56 TILEPROP INT_L_X42Y96 SLR_REGION_ID 0 TILEPROP INT_L_X42Y96 TILE_PATTERN_IDX 1801 TILEPROP INT_L_X42Y96 TILE_TYPE INT_L TILEPROP INT_L_X42Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y96 TILE_X 99726 TILEPROP INT_L_X42Y96 TILE_Y 69824 TILEPROP INT_L_X42Y96 TYPE INT_L TILEPROP INT_L_X42Y97 CLASS tile TILEPROP INT_L_X42Y97 COLUMN 109 TILEPROP INT_L_X42Y97 DEVICE_ID 0 TILEPROP INT_L_X42Y97 FIRST_SITE_ID 5378 TILEPROP INT_L_X42Y97 GRID_POINT_X 109 TILEPROP INT_L_X42Y97 GRID_POINT_Y 55 TILEPROP INT_L_X42Y97 INDEX 6434 TILEPROP INT_L_X42Y97 INT_TILE_X 42 TILEPROP INT_L_X42Y97 INT_TILE_Y 52 TILEPROP INT_L_X42Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y97 IS_DCM_TILE 0 TILEPROP INT_L_X42Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y97 NAME INT_L_X42Y97 TILEPROP INT_L_X42Y97 NUM_ARCS 3737 TILEPROP INT_L_X42Y97 NUM_SITES 1 TILEPROP INT_L_X42Y97 ROW 55 TILEPROP INT_L_X42Y97 SLR_REGION_ID 0 TILEPROP INT_L_X42Y97 TILE_PATTERN_IDX 1761 TILEPROP INT_L_X42Y97 TILE_TYPE INT_L TILEPROP INT_L_X42Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y97 TILE_X 99726 TILEPROP INT_L_X42Y97 TILE_Y 73024 TILEPROP INT_L_X42Y97 TYPE INT_L TILEPROP INT_L_X42Y98 CLASS tile TILEPROP INT_L_X42Y98 COLUMN 109 TILEPROP INT_L_X42Y98 DEVICE_ID 0 TILEPROP INT_L_X42Y98 FIRST_SITE_ID 5278 TILEPROP INT_L_X42Y98 GRID_POINT_X 109 TILEPROP INT_L_X42Y98 GRID_POINT_Y 54 TILEPROP INT_L_X42Y98 INDEX 6319 TILEPROP INT_L_X42Y98 INT_TILE_X 42 TILEPROP INT_L_X42Y98 INT_TILE_Y 51 TILEPROP INT_L_X42Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y98 IS_DCM_TILE 0 TILEPROP INT_L_X42Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y98 NAME INT_L_X42Y98 TILEPROP INT_L_X42Y98 NUM_ARCS 3737 TILEPROP INT_L_X42Y98 NUM_SITES 1 TILEPROP INT_L_X42Y98 ROW 54 TILEPROP INT_L_X42Y98 SLR_REGION_ID 0 TILEPROP INT_L_X42Y98 TILE_PATTERN_IDX 1723 TILEPROP INT_L_X42Y98 TILE_TYPE INT_L TILEPROP INT_L_X42Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y98 TILE_X 99726 TILEPROP INT_L_X42Y98 TILE_Y 76224 TILEPROP INT_L_X42Y98 TYPE INT_L TILEPROP INT_L_X42Y99 CLASS tile TILEPROP INT_L_X42Y99 COLUMN 109 TILEPROP INT_L_X42Y99 DEVICE_ID 0 TILEPROP INT_L_X42Y99 FIRST_SITE_ID 5182 TILEPROP INT_L_X42Y99 GRID_POINT_X 109 TILEPROP INT_L_X42Y99 GRID_POINT_Y 53 TILEPROP INT_L_X42Y99 INDEX 6204 TILEPROP INT_L_X42Y99 INT_TILE_X 42 TILEPROP INT_L_X42Y99 INT_TILE_Y 50 TILEPROP INT_L_X42Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X42Y99 IS_DCM_TILE 0 TILEPROP INT_L_X42Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X42Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X42Y99 NAME INT_L_X42Y99 TILEPROP INT_L_X42Y99 NUM_ARCS 3737 TILEPROP INT_L_X42Y99 NUM_SITES 1 TILEPROP INT_L_X42Y99 ROW 53 TILEPROP INT_L_X42Y99 SLR_REGION_ID 0 TILEPROP INT_L_X42Y99 TILE_PATTERN_IDX 1683 TILEPROP INT_L_X42Y99 TILE_TYPE INT_L TILEPROP INT_L_X42Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X42Y99 TILE_X 99726 TILEPROP INT_L_X42Y99 TILE_Y 79424 TILEPROP INT_L_X42Y99 TYPE INT_L TILEPROP INT_L_X4Y0 CLASS tile TILEPROP INT_L_X4Y0 COLUMN 15 TILEPROP INT_L_X4Y0 DEVICE_ID 0 TILEPROP INT_L_X4Y0 FIRST_SITE_ID 15716 TILEPROP INT_L_X4Y0 GRID_POINT_X 15 TILEPROP INT_L_X4Y0 GRID_POINT_Y 155 TILEPROP INT_L_X4Y0 INDEX 17840 TILEPROP INT_L_X4Y0 INT_TILE_X 4 TILEPROP INT_L_X4Y0 INT_TILE_Y 149 TILEPROP INT_L_X4Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y0 IS_DCM_TILE 0 TILEPROP INT_L_X4Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y0 NAME INT_L_X4Y0 TILEPROP INT_L_X4Y0 NUM_ARCS 3737 TILEPROP INT_L_X4Y0 NUM_SITES 1 TILEPROP INT_L_X4Y0 ROW 155 TILEPROP INT_L_X4Y0 SLR_REGION_ID 0 TILEPROP INT_L_X4Y0 TILE_PATTERN_IDX 8737 TILEPROP INT_L_X4Y0 TILE_TYPE INT_L TILEPROP INT_L_X4Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y0 TILE_X -76830 TILEPROP INT_L_X4Y0 TILE_Y -239672 TILEPROP INT_L_X4Y0 TYPE INT_L TILEPROP INT_L_X4Y1 CLASS tile TILEPROP INT_L_X4Y1 COLUMN 15 TILEPROP INT_L_X4Y1 DEVICE_ID 0 TILEPROP INT_L_X4Y1 FIRST_SITE_ID 15611 TILEPROP INT_L_X4Y1 GRID_POINT_X 15 TILEPROP INT_L_X4Y1 GRID_POINT_Y 154 TILEPROP INT_L_X4Y1 INDEX 17725 TILEPROP INT_L_X4Y1 INT_TILE_X 4 TILEPROP INT_L_X4Y1 INT_TILE_Y 148 TILEPROP INT_L_X4Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y1 IS_DCM_TILE 0 TILEPROP INT_L_X4Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y1 NAME INT_L_X4Y1 TILEPROP INT_L_X4Y1 NUM_ARCS 3737 TILEPROP INT_L_X4Y1 NUM_SITES 1 TILEPROP INT_L_X4Y1 ROW 154 TILEPROP INT_L_X4Y1 SLR_REGION_ID 0 TILEPROP INT_L_X4Y1 TILE_PATTERN_IDX 8706 TILEPROP INT_L_X4Y1 TILE_TYPE INT_L TILEPROP INT_L_X4Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y1 TILE_X -76830 TILEPROP INT_L_X4Y1 TILE_Y -236472 TILEPROP INT_L_X4Y1 TYPE INT_L TILEPROP INT_L_X4Y2 CLASS tile TILEPROP INT_L_X4Y2 COLUMN 15 TILEPROP INT_L_X4Y2 DEVICE_ID 0 TILEPROP INT_L_X4Y2 FIRST_SITE_ID 15511 TILEPROP INT_L_X4Y2 GRID_POINT_X 15 TILEPROP INT_L_X4Y2 GRID_POINT_Y 153 TILEPROP INT_L_X4Y2 INDEX 17610 TILEPROP INT_L_X4Y2 INT_TILE_X 4 TILEPROP INT_L_X4Y2 INT_TILE_Y 147 TILEPROP INT_L_X4Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y2 IS_DCM_TILE 0 TILEPROP INT_L_X4Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y2 NAME INT_L_X4Y2 TILEPROP INT_L_X4Y2 NUM_ARCS 3737 TILEPROP INT_L_X4Y2 NUM_SITES 1 TILEPROP INT_L_X4Y2 ROW 153 TILEPROP INT_L_X4Y2 SLR_REGION_ID 0 TILEPROP INT_L_X4Y2 TILE_PATTERN_IDX 8676 TILEPROP INT_L_X4Y2 TILE_TYPE INT_L TILEPROP INT_L_X4Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y2 TILE_X -76830 TILEPROP INT_L_X4Y2 TILE_Y -233272 TILEPROP INT_L_X4Y2 TYPE INT_L TILEPROP INT_L_X4Y3 CLASS tile TILEPROP INT_L_X4Y3 COLUMN 15 TILEPROP INT_L_X4Y3 DEVICE_ID 0 TILEPROP INT_L_X4Y3 FIRST_SITE_ID 15411 TILEPROP INT_L_X4Y3 GRID_POINT_X 15 TILEPROP INT_L_X4Y3 GRID_POINT_Y 152 TILEPROP INT_L_X4Y3 INDEX 17495 TILEPROP INT_L_X4Y3 INT_TILE_X 4 TILEPROP INT_L_X4Y3 INT_TILE_Y 146 TILEPROP INT_L_X4Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y3 IS_DCM_TILE 0 TILEPROP INT_L_X4Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y3 NAME INT_L_X4Y3 TILEPROP INT_L_X4Y3 NUM_ARCS 3737 TILEPROP INT_L_X4Y3 NUM_SITES 1 TILEPROP INT_L_X4Y3 ROW 152 TILEPROP INT_L_X4Y3 SLR_REGION_ID 0 TILEPROP INT_L_X4Y3 TILE_PATTERN_IDX 8645 TILEPROP INT_L_X4Y3 TILE_TYPE INT_L TILEPROP INT_L_X4Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y3 TILE_X -76830 TILEPROP INT_L_X4Y3 TILE_Y -230072 TILEPROP INT_L_X4Y3 TYPE INT_L TILEPROP INT_L_X4Y4 CLASS tile TILEPROP INT_L_X4Y4 COLUMN 15 TILEPROP INT_L_X4Y4 DEVICE_ID 0 TILEPROP INT_L_X4Y4 FIRST_SITE_ID 15311 TILEPROP INT_L_X4Y4 GRID_POINT_X 15 TILEPROP INT_L_X4Y4 GRID_POINT_Y 151 TILEPROP INT_L_X4Y4 INDEX 17380 TILEPROP INT_L_X4Y4 INT_TILE_X 4 TILEPROP INT_L_X4Y4 INT_TILE_Y 145 TILEPROP INT_L_X4Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y4 IS_DCM_TILE 0 TILEPROP INT_L_X4Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y4 NAME INT_L_X4Y4 TILEPROP INT_L_X4Y4 NUM_ARCS 3737 TILEPROP INT_L_X4Y4 NUM_SITES 1 TILEPROP INT_L_X4Y4 ROW 151 TILEPROP INT_L_X4Y4 SLR_REGION_ID 0 TILEPROP INT_L_X4Y4 TILE_PATTERN_IDX 8615 TILEPROP INT_L_X4Y4 TILE_TYPE INT_L TILEPROP INT_L_X4Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y4 TILE_X -76830 TILEPROP INT_L_X4Y4 TILE_Y -226872 TILEPROP INT_L_X4Y4 TYPE INT_L TILEPROP INT_L_X4Y5 CLASS tile TILEPROP INT_L_X4Y5 COLUMN 15 TILEPROP INT_L_X4Y5 DEVICE_ID 0 TILEPROP INT_L_X4Y5 FIRST_SITE_ID 15196 TILEPROP INT_L_X4Y5 GRID_POINT_X 15 TILEPROP INT_L_X4Y5 GRID_POINT_Y 150 TILEPROP INT_L_X4Y5 INDEX 17265 TILEPROP INT_L_X4Y5 INT_TILE_X 4 TILEPROP INT_L_X4Y5 INT_TILE_Y 144 TILEPROP INT_L_X4Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y5 IS_DCM_TILE 0 TILEPROP INT_L_X4Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y5 NAME INT_L_X4Y5 TILEPROP INT_L_X4Y5 NUM_ARCS 3737 TILEPROP INT_L_X4Y5 NUM_SITES 1 TILEPROP INT_L_X4Y5 ROW 150 TILEPROP INT_L_X4Y5 SLR_REGION_ID 0 TILEPROP INT_L_X4Y5 TILE_PATTERN_IDX 8584 TILEPROP INT_L_X4Y5 TILE_TYPE INT_L TILEPROP INT_L_X4Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y5 TILE_X -76830 TILEPROP INT_L_X4Y5 TILE_Y -223672 TILEPROP INT_L_X4Y5 TYPE INT_L TILEPROP INT_L_X4Y6 CLASS tile TILEPROP INT_L_X4Y6 COLUMN 15 TILEPROP INT_L_X4Y6 DEVICE_ID 0 TILEPROP INT_L_X4Y6 FIRST_SITE_ID 15096 TILEPROP INT_L_X4Y6 GRID_POINT_X 15 TILEPROP INT_L_X4Y6 GRID_POINT_Y 149 TILEPROP INT_L_X4Y6 INDEX 17150 TILEPROP INT_L_X4Y6 INT_TILE_X 4 TILEPROP INT_L_X4Y6 INT_TILE_Y 143 TILEPROP INT_L_X4Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y6 IS_DCM_TILE 0 TILEPROP INT_L_X4Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y6 NAME INT_L_X4Y6 TILEPROP INT_L_X4Y6 NUM_ARCS 3737 TILEPROP INT_L_X4Y6 NUM_SITES 1 TILEPROP INT_L_X4Y6 ROW 149 TILEPROP INT_L_X4Y6 SLR_REGION_ID 0 TILEPROP INT_L_X4Y6 TILE_PATTERN_IDX 8554 TILEPROP INT_L_X4Y6 TILE_TYPE INT_L TILEPROP INT_L_X4Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y6 TILE_X -76830 TILEPROP INT_L_X4Y6 TILE_Y -220472 TILEPROP INT_L_X4Y6 TYPE INT_L TILEPROP INT_L_X4Y7 CLASS tile TILEPROP INT_L_X4Y7 COLUMN 15 TILEPROP INT_L_X4Y7 DEVICE_ID 0 TILEPROP INT_L_X4Y7 FIRST_SITE_ID 14994 TILEPROP INT_L_X4Y7 GRID_POINT_X 15 TILEPROP INT_L_X4Y7 GRID_POINT_Y 148 TILEPROP INT_L_X4Y7 INDEX 17035 TILEPROP INT_L_X4Y7 INT_TILE_X 4 TILEPROP INT_L_X4Y7 INT_TILE_Y 142 TILEPROP INT_L_X4Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y7 IS_DCM_TILE 0 TILEPROP INT_L_X4Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y7 NAME INT_L_X4Y7 TILEPROP INT_L_X4Y7 NUM_ARCS 3737 TILEPROP INT_L_X4Y7 NUM_SITES 1 TILEPROP INT_L_X4Y7 ROW 148 TILEPROP INT_L_X4Y7 SLR_REGION_ID 0 TILEPROP INT_L_X4Y7 TILE_PATTERN_IDX 8523 TILEPROP INT_L_X4Y7 TILE_TYPE INT_L TILEPROP INT_L_X4Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y7 TILE_X -76830 TILEPROP INT_L_X4Y7 TILE_Y -217272 TILEPROP INT_L_X4Y7 TYPE INT_L TILEPROP INT_L_X4Y8 CLASS tile TILEPROP INT_L_X4Y8 COLUMN 15 TILEPROP INT_L_X4Y8 DEVICE_ID 0 TILEPROP INT_L_X4Y8 FIRST_SITE_ID 14891 TILEPROP INT_L_X4Y8 GRID_POINT_X 15 TILEPROP INT_L_X4Y8 GRID_POINT_Y 147 TILEPROP INT_L_X4Y8 INDEX 16920 TILEPROP INT_L_X4Y8 INT_TILE_X 4 TILEPROP INT_L_X4Y8 INT_TILE_Y 141 TILEPROP INT_L_X4Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y8 IS_DCM_TILE 0 TILEPROP INT_L_X4Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y8 NAME INT_L_X4Y8 TILEPROP INT_L_X4Y8 NUM_ARCS 3737 TILEPROP INT_L_X4Y8 NUM_SITES 1 TILEPROP INT_L_X4Y8 ROW 147 TILEPROP INT_L_X4Y8 SLR_REGION_ID 0 TILEPROP INT_L_X4Y8 TILE_PATTERN_IDX 8493 TILEPROP INT_L_X4Y8 TILE_TYPE INT_L TILEPROP INT_L_X4Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y8 TILE_X -76830 TILEPROP INT_L_X4Y8 TILE_Y -214072 TILEPROP INT_L_X4Y8 TYPE INT_L TILEPROP INT_L_X4Y9 CLASS tile TILEPROP INT_L_X4Y9 COLUMN 15 TILEPROP INT_L_X4Y9 DEVICE_ID 0 TILEPROP INT_L_X4Y9 FIRST_SITE_ID 14790 TILEPROP INT_L_X4Y9 GRID_POINT_X 15 TILEPROP INT_L_X4Y9 GRID_POINT_Y 146 TILEPROP INT_L_X4Y9 INDEX 16805 TILEPROP INT_L_X4Y9 INT_TILE_X 4 TILEPROP INT_L_X4Y9 INT_TILE_Y 140 TILEPROP INT_L_X4Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y9 IS_DCM_TILE 0 TILEPROP INT_L_X4Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y9 NAME INT_L_X4Y9 TILEPROP INT_L_X4Y9 NUM_ARCS 3737 TILEPROP INT_L_X4Y9 NUM_SITES 1 TILEPROP INT_L_X4Y9 ROW 146 TILEPROP INT_L_X4Y9 SLR_REGION_ID 0 TILEPROP INT_L_X4Y9 TILE_PATTERN_IDX 8462 TILEPROP INT_L_X4Y9 TILE_TYPE INT_L TILEPROP INT_L_X4Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y9 TILE_X -76830 TILEPROP INT_L_X4Y9 TILE_Y -210872 TILEPROP INT_L_X4Y9 TYPE INT_L TILEPROP INT_L_X4Y10 CLASS tile TILEPROP INT_L_X4Y10 COLUMN 15 TILEPROP INT_L_X4Y10 DEVICE_ID 0 TILEPROP INT_L_X4Y10 FIRST_SITE_ID 14675 TILEPROP INT_L_X4Y10 GRID_POINT_X 15 TILEPROP INT_L_X4Y10 GRID_POINT_Y 145 TILEPROP INT_L_X4Y10 INDEX 16690 TILEPROP INT_L_X4Y10 INT_TILE_X 4 TILEPROP INT_L_X4Y10 INT_TILE_Y 139 TILEPROP INT_L_X4Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y10 IS_DCM_TILE 0 TILEPROP INT_L_X4Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y10 NAME INT_L_X4Y10 TILEPROP INT_L_X4Y10 NUM_ARCS 3737 TILEPROP INT_L_X4Y10 NUM_SITES 1 TILEPROP INT_L_X4Y10 ROW 145 TILEPROP INT_L_X4Y10 SLR_REGION_ID 0 TILEPROP INT_L_X4Y10 TILE_PATTERN_IDX 8432 TILEPROP INT_L_X4Y10 TILE_TYPE INT_L TILEPROP INT_L_X4Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y10 TILE_X -76830 TILEPROP INT_L_X4Y10 TILE_Y -207672 TILEPROP INT_L_X4Y10 TYPE INT_L TILEPROP INT_L_X4Y11 CLASS tile TILEPROP INT_L_X4Y11 COLUMN 15 TILEPROP INT_L_X4Y11 DEVICE_ID 0 TILEPROP INT_L_X4Y11 FIRST_SITE_ID 14575 TILEPROP INT_L_X4Y11 GRID_POINT_X 15 TILEPROP INT_L_X4Y11 GRID_POINT_Y 144 TILEPROP INT_L_X4Y11 INDEX 16575 TILEPROP INT_L_X4Y11 INT_TILE_X 4 TILEPROP INT_L_X4Y11 INT_TILE_Y 138 TILEPROP INT_L_X4Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y11 IS_DCM_TILE 0 TILEPROP INT_L_X4Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y11 NAME INT_L_X4Y11 TILEPROP INT_L_X4Y11 NUM_ARCS 3737 TILEPROP INT_L_X4Y11 NUM_SITES 1 TILEPROP INT_L_X4Y11 ROW 144 TILEPROP INT_L_X4Y11 SLR_REGION_ID 0 TILEPROP INT_L_X4Y11 TILE_PATTERN_IDX 8401 TILEPROP INT_L_X4Y11 TILE_TYPE INT_L TILEPROP INT_L_X4Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y11 TILE_X -76830 TILEPROP INT_L_X4Y11 TILE_Y -204472 TILEPROP INT_L_X4Y11 TYPE INT_L TILEPROP INT_L_X4Y12 CLASS tile TILEPROP INT_L_X4Y12 COLUMN 15 TILEPROP INT_L_X4Y12 DEVICE_ID 0 TILEPROP INT_L_X4Y12 FIRST_SITE_ID 14443 TILEPROP INT_L_X4Y12 GRID_POINT_X 15 TILEPROP INT_L_X4Y12 GRID_POINT_Y 143 TILEPROP INT_L_X4Y12 INDEX 16460 TILEPROP INT_L_X4Y12 INT_TILE_X 4 TILEPROP INT_L_X4Y12 INT_TILE_Y 137 TILEPROP INT_L_X4Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y12 IS_DCM_TILE 0 TILEPROP INT_L_X4Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y12 NAME INT_L_X4Y12 TILEPROP INT_L_X4Y12 NUM_ARCS 3737 TILEPROP INT_L_X4Y12 NUM_SITES 1 TILEPROP INT_L_X4Y12 ROW 143 TILEPROP INT_L_X4Y12 SLR_REGION_ID 0 TILEPROP INT_L_X4Y12 TILE_PATTERN_IDX 8371 TILEPROP INT_L_X4Y12 TILE_TYPE INT_L TILEPROP INT_L_X4Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y12 TILE_X -76830 TILEPROP INT_L_X4Y12 TILE_Y -201272 TILEPROP INT_L_X4Y12 TYPE INT_L TILEPROP INT_L_X4Y13 CLASS tile TILEPROP INT_L_X4Y13 COLUMN 15 TILEPROP INT_L_X4Y13 DEVICE_ID 0 TILEPROP INT_L_X4Y13 FIRST_SITE_ID 14343 TILEPROP INT_L_X4Y13 GRID_POINT_X 15 TILEPROP INT_L_X4Y13 GRID_POINT_Y 142 TILEPROP INT_L_X4Y13 INDEX 16345 TILEPROP INT_L_X4Y13 INT_TILE_X 4 TILEPROP INT_L_X4Y13 INT_TILE_Y 136 TILEPROP INT_L_X4Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y13 IS_DCM_TILE 0 TILEPROP INT_L_X4Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y13 NAME INT_L_X4Y13 TILEPROP INT_L_X4Y13 NUM_ARCS 3737 TILEPROP INT_L_X4Y13 NUM_SITES 1 TILEPROP INT_L_X4Y13 ROW 142 TILEPROP INT_L_X4Y13 SLR_REGION_ID 0 TILEPROP INT_L_X4Y13 TILE_PATTERN_IDX 8340 TILEPROP INT_L_X4Y13 TILE_TYPE INT_L TILEPROP INT_L_X4Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y13 TILE_X -76830 TILEPROP INT_L_X4Y13 TILE_Y -198072 TILEPROP INT_L_X4Y13 TYPE INT_L TILEPROP INT_L_X4Y14 CLASS tile TILEPROP INT_L_X4Y14 COLUMN 15 TILEPROP INT_L_X4Y14 DEVICE_ID 0 TILEPROP INT_L_X4Y14 FIRST_SITE_ID 14243 TILEPROP INT_L_X4Y14 GRID_POINT_X 15 TILEPROP INT_L_X4Y14 GRID_POINT_Y 141 TILEPROP INT_L_X4Y14 INDEX 16230 TILEPROP INT_L_X4Y14 INT_TILE_X 4 TILEPROP INT_L_X4Y14 INT_TILE_Y 135 TILEPROP INT_L_X4Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y14 IS_DCM_TILE 0 TILEPROP INT_L_X4Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y14 NAME INT_L_X4Y14 TILEPROP INT_L_X4Y14 NUM_ARCS 3737 TILEPROP INT_L_X4Y14 NUM_SITES 1 TILEPROP INT_L_X4Y14 ROW 141 TILEPROP INT_L_X4Y14 SLR_REGION_ID 0 TILEPROP INT_L_X4Y14 TILE_PATTERN_IDX 8310 TILEPROP INT_L_X4Y14 TILE_TYPE INT_L TILEPROP INT_L_X4Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y14 TILE_X -76830 TILEPROP INT_L_X4Y14 TILE_Y -194872 TILEPROP INT_L_X4Y14 TYPE INT_L TILEPROP INT_L_X4Y15 CLASS tile TILEPROP INT_L_X4Y15 COLUMN 15 TILEPROP INT_L_X4Y15 DEVICE_ID 0 TILEPROP INT_L_X4Y15 FIRST_SITE_ID 14128 TILEPROP INT_L_X4Y15 GRID_POINT_X 15 TILEPROP INT_L_X4Y15 GRID_POINT_Y 140 TILEPROP INT_L_X4Y15 INDEX 16115 TILEPROP INT_L_X4Y15 INT_TILE_X 4 TILEPROP INT_L_X4Y15 INT_TILE_Y 134 TILEPROP INT_L_X4Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y15 IS_DCM_TILE 0 TILEPROP INT_L_X4Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y15 NAME INT_L_X4Y15 TILEPROP INT_L_X4Y15 NUM_ARCS 3737 TILEPROP INT_L_X4Y15 NUM_SITES 1 TILEPROP INT_L_X4Y15 ROW 140 TILEPROP INT_L_X4Y15 SLR_REGION_ID 0 TILEPROP INT_L_X4Y15 TILE_PATTERN_IDX 8279 TILEPROP INT_L_X4Y15 TILE_TYPE INT_L TILEPROP INT_L_X4Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y15 TILE_X -76830 TILEPROP INT_L_X4Y15 TILE_Y -191672 TILEPROP INT_L_X4Y15 TYPE INT_L TILEPROP INT_L_X4Y16 CLASS tile TILEPROP INT_L_X4Y16 COLUMN 15 TILEPROP INT_L_X4Y16 DEVICE_ID 0 TILEPROP INT_L_X4Y16 FIRST_SITE_ID 14028 TILEPROP INT_L_X4Y16 GRID_POINT_X 15 TILEPROP INT_L_X4Y16 GRID_POINT_Y 139 TILEPROP INT_L_X4Y16 INDEX 16000 TILEPROP INT_L_X4Y16 INT_TILE_X 4 TILEPROP INT_L_X4Y16 INT_TILE_Y 133 TILEPROP INT_L_X4Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y16 IS_DCM_TILE 0 TILEPROP INT_L_X4Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y16 NAME INT_L_X4Y16 TILEPROP INT_L_X4Y16 NUM_ARCS 3737 TILEPROP INT_L_X4Y16 NUM_SITES 1 TILEPROP INT_L_X4Y16 ROW 139 TILEPROP INT_L_X4Y16 SLR_REGION_ID 0 TILEPROP INT_L_X4Y16 TILE_PATTERN_IDX 8249 TILEPROP INT_L_X4Y16 TILE_TYPE INT_L TILEPROP INT_L_X4Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y16 TILE_X -76830 TILEPROP INT_L_X4Y16 TILE_Y -188472 TILEPROP INT_L_X4Y16 TYPE INT_L TILEPROP INT_L_X4Y17 CLASS tile TILEPROP INT_L_X4Y17 COLUMN 15 TILEPROP INT_L_X4Y17 DEVICE_ID 0 TILEPROP INT_L_X4Y17 FIRST_SITE_ID 13923 TILEPROP INT_L_X4Y17 GRID_POINT_X 15 TILEPROP INT_L_X4Y17 GRID_POINT_Y 138 TILEPROP INT_L_X4Y17 INDEX 15885 TILEPROP INT_L_X4Y17 INT_TILE_X 4 TILEPROP INT_L_X4Y17 INT_TILE_Y 132 TILEPROP INT_L_X4Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y17 IS_DCM_TILE 0 TILEPROP INT_L_X4Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y17 NAME INT_L_X4Y17 TILEPROP INT_L_X4Y17 NUM_ARCS 3737 TILEPROP INT_L_X4Y17 NUM_SITES 1 TILEPROP INT_L_X4Y17 ROW 138 TILEPROP INT_L_X4Y17 SLR_REGION_ID 0 TILEPROP INT_L_X4Y17 TILE_PATTERN_IDX 8217 TILEPROP INT_L_X4Y17 TILE_TYPE INT_L TILEPROP INT_L_X4Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y17 TILE_X -76830 TILEPROP INT_L_X4Y17 TILE_Y -185272 TILEPROP INT_L_X4Y17 TYPE INT_L TILEPROP INT_L_X4Y18 CLASS tile TILEPROP INT_L_X4Y18 COLUMN 15 TILEPROP INT_L_X4Y18 DEVICE_ID 0 TILEPROP INT_L_X4Y18 FIRST_SITE_ID 13819 TILEPROP INT_L_X4Y18 GRID_POINT_X 15 TILEPROP INT_L_X4Y18 GRID_POINT_Y 137 TILEPROP INT_L_X4Y18 INDEX 15770 TILEPROP INT_L_X4Y18 INT_TILE_X 4 TILEPROP INT_L_X4Y18 INT_TILE_Y 131 TILEPROP INT_L_X4Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y18 IS_DCM_TILE 0 TILEPROP INT_L_X4Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y18 NAME INT_L_X4Y18 TILEPROP INT_L_X4Y18 NUM_ARCS 3737 TILEPROP INT_L_X4Y18 NUM_SITES 1 TILEPROP INT_L_X4Y18 ROW 137 TILEPROP INT_L_X4Y18 SLR_REGION_ID 0 TILEPROP INT_L_X4Y18 TILE_PATTERN_IDX 8194 TILEPROP INT_L_X4Y18 TILE_TYPE INT_L TILEPROP INT_L_X4Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y18 TILE_X -76830 TILEPROP INT_L_X4Y18 TILE_Y -182072 TILEPROP INT_L_X4Y18 TYPE INT_L TILEPROP INT_L_X4Y19 CLASS tile TILEPROP INT_L_X4Y19 COLUMN 15 TILEPROP INT_L_X4Y19 DEVICE_ID 0 TILEPROP INT_L_X4Y19 FIRST_SITE_ID 13717 TILEPROP INT_L_X4Y19 GRID_POINT_X 15 TILEPROP INT_L_X4Y19 GRID_POINT_Y 136 TILEPROP INT_L_X4Y19 INDEX 15655 TILEPROP INT_L_X4Y19 INT_TILE_X 4 TILEPROP INT_L_X4Y19 INT_TILE_Y 130 TILEPROP INT_L_X4Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y19 IS_DCM_TILE 0 TILEPROP INT_L_X4Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y19 NAME INT_L_X4Y19 TILEPROP INT_L_X4Y19 NUM_ARCS 3737 TILEPROP INT_L_X4Y19 NUM_SITES 1 TILEPROP INT_L_X4Y19 ROW 136 TILEPROP INT_L_X4Y19 SLR_REGION_ID 0 TILEPROP INT_L_X4Y19 TILE_PATTERN_IDX 8171 TILEPROP INT_L_X4Y19 TILE_TYPE INT_L TILEPROP INT_L_X4Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y19 TILE_X -76830 TILEPROP INT_L_X4Y19 TILE_Y -178872 TILEPROP INT_L_X4Y19 TYPE INT_L TILEPROP INT_L_X4Y20 CLASS tile TILEPROP INT_L_X4Y20 COLUMN 15 TILEPROP INT_L_X4Y20 DEVICE_ID 0 TILEPROP INT_L_X4Y20 FIRST_SITE_ID 13600 TILEPROP INT_L_X4Y20 GRID_POINT_X 15 TILEPROP INT_L_X4Y20 GRID_POINT_Y 135 TILEPROP INT_L_X4Y20 INDEX 15540 TILEPROP INT_L_X4Y20 INT_TILE_X 4 TILEPROP INT_L_X4Y20 INT_TILE_Y 129 TILEPROP INT_L_X4Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y20 IS_DCM_TILE 0 TILEPROP INT_L_X4Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y20 NAME INT_L_X4Y20 TILEPROP INT_L_X4Y20 NUM_ARCS 3737 TILEPROP INT_L_X4Y20 NUM_SITES 1 TILEPROP INT_L_X4Y20 ROW 135 TILEPROP INT_L_X4Y20 SLR_REGION_ID 0 TILEPROP INT_L_X4Y20 TILE_PATTERN_IDX 8149 TILEPROP INT_L_X4Y20 TILE_TYPE INT_L TILEPROP INT_L_X4Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y20 TILE_X -76830 TILEPROP INT_L_X4Y20 TILE_Y -175672 TILEPROP INT_L_X4Y20 TYPE INT_L TILEPROP INT_L_X4Y21 CLASS tile TILEPROP INT_L_X4Y21 COLUMN 15 TILEPROP INT_L_X4Y21 DEVICE_ID 0 TILEPROP INT_L_X4Y21 FIRST_SITE_ID 13500 TILEPROP INT_L_X4Y21 GRID_POINT_X 15 TILEPROP INT_L_X4Y21 GRID_POINT_Y 134 TILEPROP INT_L_X4Y21 INDEX 15425 TILEPROP INT_L_X4Y21 INT_TILE_X 4 TILEPROP INT_L_X4Y21 INT_TILE_Y 128 TILEPROP INT_L_X4Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y21 IS_DCM_TILE 0 TILEPROP INT_L_X4Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y21 NAME INT_L_X4Y21 TILEPROP INT_L_X4Y21 NUM_ARCS 3737 TILEPROP INT_L_X4Y21 NUM_SITES 1 TILEPROP INT_L_X4Y21 ROW 134 TILEPROP INT_L_X4Y21 SLR_REGION_ID 0 TILEPROP INT_L_X4Y21 TILE_PATTERN_IDX 8120 TILEPROP INT_L_X4Y21 TILE_TYPE INT_L TILEPROP INT_L_X4Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y21 TILE_X -76830 TILEPROP INT_L_X4Y21 TILE_Y -172472 TILEPROP INT_L_X4Y21 TYPE INT_L TILEPROP INT_L_X4Y22 CLASS tile TILEPROP INT_L_X4Y22 COLUMN 15 TILEPROP INT_L_X4Y22 DEVICE_ID 0 TILEPROP INT_L_X4Y22 FIRST_SITE_ID 13400 TILEPROP INT_L_X4Y22 GRID_POINT_X 15 TILEPROP INT_L_X4Y22 GRID_POINT_Y 133 TILEPROP INT_L_X4Y22 INDEX 15310 TILEPROP INT_L_X4Y22 INT_TILE_X 4 TILEPROP INT_L_X4Y22 INT_TILE_Y 127 TILEPROP INT_L_X4Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y22 IS_DCM_TILE 0 TILEPROP INT_L_X4Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y22 NAME INT_L_X4Y22 TILEPROP INT_L_X4Y22 NUM_ARCS 3737 TILEPROP INT_L_X4Y22 NUM_SITES 1 TILEPROP INT_L_X4Y22 ROW 133 TILEPROP INT_L_X4Y22 SLR_REGION_ID 0 TILEPROP INT_L_X4Y22 TILE_PATTERN_IDX 8092 TILEPROP INT_L_X4Y22 TILE_TYPE INT_L TILEPROP INT_L_X4Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y22 TILE_X -76830 TILEPROP INT_L_X4Y22 TILE_Y -169272 TILEPROP INT_L_X4Y22 TYPE INT_L TILEPROP INT_L_X4Y23 CLASS tile TILEPROP INT_L_X4Y23 COLUMN 15 TILEPROP INT_L_X4Y23 DEVICE_ID 0 TILEPROP INT_L_X4Y23 FIRST_SITE_ID 13300 TILEPROP INT_L_X4Y23 GRID_POINT_X 15 TILEPROP INT_L_X4Y23 GRID_POINT_Y 132 TILEPROP INT_L_X4Y23 INDEX 15195 TILEPROP INT_L_X4Y23 INT_TILE_X 4 TILEPROP INT_L_X4Y23 INT_TILE_Y 126 TILEPROP INT_L_X4Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y23 IS_DCM_TILE 0 TILEPROP INT_L_X4Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y23 NAME INT_L_X4Y23 TILEPROP INT_L_X4Y23 NUM_ARCS 3737 TILEPROP INT_L_X4Y23 NUM_SITES 1 TILEPROP INT_L_X4Y23 ROW 132 TILEPROP INT_L_X4Y23 SLR_REGION_ID 0 TILEPROP INT_L_X4Y23 TILE_PATTERN_IDX 8063 TILEPROP INT_L_X4Y23 TILE_TYPE INT_L TILEPROP INT_L_X4Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y23 TILE_X -76830 TILEPROP INT_L_X4Y23 TILE_Y -166072 TILEPROP INT_L_X4Y23 TYPE INT_L TILEPROP INT_L_X4Y24 CLASS tile TILEPROP INT_L_X4Y24 COLUMN 15 TILEPROP INT_L_X4Y24 DEVICE_ID 0 TILEPROP INT_L_X4Y24 FIRST_SITE_ID 13200 TILEPROP INT_L_X4Y24 GRID_POINT_X 15 TILEPROP INT_L_X4Y24 GRID_POINT_Y 131 TILEPROP INT_L_X4Y24 INDEX 15080 TILEPROP INT_L_X4Y24 INT_TILE_X 4 TILEPROP INT_L_X4Y24 INT_TILE_Y 125 TILEPROP INT_L_X4Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y24 IS_DCM_TILE 0 TILEPROP INT_L_X4Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y24 NAME INT_L_X4Y24 TILEPROP INT_L_X4Y24 NUM_ARCS 3737 TILEPROP INT_L_X4Y24 NUM_SITES 1 TILEPROP INT_L_X4Y24 ROW 131 TILEPROP INT_L_X4Y24 SLR_REGION_ID 0 TILEPROP INT_L_X4Y24 TILE_PATTERN_IDX 8035 TILEPROP INT_L_X4Y24 TILE_TYPE INT_L TILEPROP INT_L_X4Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y24 TILE_X -76830 TILEPROP INT_L_X4Y24 TILE_Y -162872 TILEPROP INT_L_X4Y24 TYPE INT_L TILEPROP INT_L_X4Y25 CLASS tile TILEPROP INT_L_X4Y25 COLUMN 15 TILEPROP INT_L_X4Y25 DEVICE_ID 0 TILEPROP INT_L_X4Y25 FIRST_SITE_ID 13002 TILEPROP INT_L_X4Y25 GRID_POINT_X 15 TILEPROP INT_L_X4Y25 GRID_POINT_Y 129 TILEPROP INT_L_X4Y25 INDEX 14850 TILEPROP INT_L_X4Y25 INT_TILE_X 4 TILEPROP INT_L_X4Y25 INT_TILE_Y 124 TILEPROP INT_L_X4Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y25 IS_DCM_TILE 0 TILEPROP INT_L_X4Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y25 NAME INT_L_X4Y25 TILEPROP INT_L_X4Y25 NUM_ARCS 3737 TILEPROP INT_L_X4Y25 NUM_SITES 1 TILEPROP INT_L_X4Y25 ROW 129 TILEPROP INT_L_X4Y25 SLR_REGION_ID 0 TILEPROP INT_L_X4Y25 TILE_PATTERN_IDX 7963 TILEPROP INT_L_X4Y25 TILE_TYPE INT_L TILEPROP INT_L_X4Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y25 TILE_X -76830 TILEPROP INT_L_X4Y25 TILE_Y -158648 TILEPROP INT_L_X4Y25 TYPE INT_L TILEPROP INT_L_X4Y26 CLASS tile TILEPROP INT_L_X4Y26 COLUMN 15 TILEPROP INT_L_X4Y26 DEVICE_ID 0 TILEPROP INT_L_X4Y26 FIRST_SITE_ID 12902 TILEPROP INT_L_X4Y26 GRID_POINT_X 15 TILEPROP INT_L_X4Y26 GRID_POINT_Y 128 TILEPROP INT_L_X4Y26 INDEX 14735 TILEPROP INT_L_X4Y26 INT_TILE_X 4 TILEPROP INT_L_X4Y26 INT_TILE_Y 123 TILEPROP INT_L_X4Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y26 IS_DCM_TILE 0 TILEPROP INT_L_X4Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y26 NAME INT_L_X4Y26 TILEPROP INT_L_X4Y26 NUM_ARCS 3737 TILEPROP INT_L_X4Y26 NUM_SITES 1 TILEPROP INT_L_X4Y26 ROW 128 TILEPROP INT_L_X4Y26 SLR_REGION_ID 0 TILEPROP INT_L_X4Y26 TILE_PATTERN_IDX 7935 TILEPROP INT_L_X4Y26 TILE_TYPE INT_L TILEPROP INT_L_X4Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y26 TILE_X -76830 TILEPROP INT_L_X4Y26 TILE_Y -155448 TILEPROP INT_L_X4Y26 TYPE INT_L TILEPROP INT_L_X4Y27 CLASS tile TILEPROP INT_L_X4Y27 COLUMN 15 TILEPROP INT_L_X4Y27 DEVICE_ID 0 TILEPROP INT_L_X4Y27 FIRST_SITE_ID 12802 TILEPROP INT_L_X4Y27 GRID_POINT_X 15 TILEPROP INT_L_X4Y27 GRID_POINT_Y 127 TILEPROP INT_L_X4Y27 INDEX 14620 TILEPROP INT_L_X4Y27 INT_TILE_X 4 TILEPROP INT_L_X4Y27 INT_TILE_Y 122 TILEPROP INT_L_X4Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y27 IS_DCM_TILE 0 TILEPROP INT_L_X4Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y27 NAME INT_L_X4Y27 TILEPROP INT_L_X4Y27 NUM_ARCS 3737 TILEPROP INT_L_X4Y27 NUM_SITES 1 TILEPROP INT_L_X4Y27 ROW 127 TILEPROP INT_L_X4Y27 SLR_REGION_ID 0 TILEPROP INT_L_X4Y27 TILE_PATTERN_IDX 7906 TILEPROP INT_L_X4Y27 TILE_TYPE INT_L TILEPROP INT_L_X4Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y27 TILE_X -76830 TILEPROP INT_L_X4Y27 TILE_Y -152248 TILEPROP INT_L_X4Y27 TYPE INT_L TILEPROP INT_L_X4Y28 CLASS tile TILEPROP INT_L_X4Y28 COLUMN 15 TILEPROP INT_L_X4Y28 DEVICE_ID 0 TILEPROP INT_L_X4Y28 FIRST_SITE_ID 12702 TILEPROP INT_L_X4Y28 GRID_POINT_X 15 TILEPROP INT_L_X4Y28 GRID_POINT_Y 126 TILEPROP INT_L_X4Y28 INDEX 14505 TILEPROP INT_L_X4Y28 INT_TILE_X 4 TILEPROP INT_L_X4Y28 INT_TILE_Y 121 TILEPROP INT_L_X4Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y28 IS_DCM_TILE 0 TILEPROP INT_L_X4Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y28 NAME INT_L_X4Y28 TILEPROP INT_L_X4Y28 NUM_ARCS 3737 TILEPROP INT_L_X4Y28 NUM_SITES 1 TILEPROP INT_L_X4Y28 ROW 126 TILEPROP INT_L_X4Y28 SLR_REGION_ID 0 TILEPROP INT_L_X4Y28 TILE_PATTERN_IDX 7878 TILEPROP INT_L_X4Y28 TILE_TYPE INT_L TILEPROP INT_L_X4Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y28 TILE_X -76830 TILEPROP INT_L_X4Y28 TILE_Y -149048 TILEPROP INT_L_X4Y28 TYPE INT_L TILEPROP INT_L_X4Y29 CLASS tile TILEPROP INT_L_X4Y29 COLUMN 15 TILEPROP INT_L_X4Y29 DEVICE_ID 0 TILEPROP INT_L_X4Y29 FIRST_SITE_ID 12596 TILEPROP INT_L_X4Y29 GRID_POINT_X 15 TILEPROP INT_L_X4Y29 GRID_POINT_Y 125 TILEPROP INT_L_X4Y29 INDEX 14390 TILEPROP INT_L_X4Y29 INT_TILE_X 4 TILEPROP INT_L_X4Y29 INT_TILE_Y 120 TILEPROP INT_L_X4Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y29 IS_DCM_TILE 0 TILEPROP INT_L_X4Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y29 NAME INT_L_X4Y29 TILEPROP INT_L_X4Y29 NUM_ARCS 3737 TILEPROP INT_L_X4Y29 NUM_SITES 1 TILEPROP INT_L_X4Y29 ROW 125 TILEPROP INT_L_X4Y29 SLR_REGION_ID 0 TILEPROP INT_L_X4Y29 TILE_PATTERN_IDX 7854 TILEPROP INT_L_X4Y29 TILE_TYPE INT_L TILEPROP INT_L_X4Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y29 TILE_X -76830 TILEPROP INT_L_X4Y29 TILE_Y -145848 TILEPROP INT_L_X4Y29 TYPE INT_L TILEPROP INT_L_X4Y30 CLASS tile TILEPROP INT_L_X4Y30 COLUMN 15 TILEPROP INT_L_X4Y30 DEVICE_ID 0 TILEPROP INT_L_X4Y30 FIRST_SITE_ID 12462 TILEPROP INT_L_X4Y30 GRID_POINT_X 15 TILEPROP INT_L_X4Y30 GRID_POINT_Y 124 TILEPROP INT_L_X4Y30 INDEX 14275 TILEPROP INT_L_X4Y30 INT_TILE_X 4 TILEPROP INT_L_X4Y30 INT_TILE_Y 119 TILEPROP INT_L_X4Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y30 IS_DCM_TILE 0 TILEPROP INT_L_X4Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y30 NAME INT_L_X4Y30 TILEPROP INT_L_X4Y30 NUM_ARCS 3737 TILEPROP INT_L_X4Y30 NUM_SITES 1 TILEPROP INT_L_X4Y30 ROW 124 TILEPROP INT_L_X4Y30 SLR_REGION_ID 0 TILEPROP INT_L_X4Y30 TILE_PATTERN_IDX 7831 TILEPROP INT_L_X4Y30 TILE_TYPE INT_L TILEPROP INT_L_X4Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y30 TILE_X -76830 TILEPROP INT_L_X4Y30 TILE_Y -142648 TILEPROP INT_L_X4Y30 TYPE INT_L TILEPROP INT_L_X4Y31 CLASS tile TILEPROP INT_L_X4Y31 COLUMN 15 TILEPROP INT_L_X4Y31 DEVICE_ID 0 TILEPROP INT_L_X4Y31 FIRST_SITE_ID 12360 TILEPROP INT_L_X4Y31 GRID_POINT_X 15 TILEPROP INT_L_X4Y31 GRID_POINT_Y 123 TILEPROP INT_L_X4Y31 INDEX 14160 TILEPROP INT_L_X4Y31 INT_TILE_X 4 TILEPROP INT_L_X4Y31 INT_TILE_Y 118 TILEPROP INT_L_X4Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y31 IS_DCM_TILE 0 TILEPROP INT_L_X4Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y31 NAME INT_L_X4Y31 TILEPROP INT_L_X4Y31 NUM_ARCS 3737 TILEPROP INT_L_X4Y31 NUM_SITES 1 TILEPROP INT_L_X4Y31 ROW 123 TILEPROP INT_L_X4Y31 SLR_REGION_ID 0 TILEPROP INT_L_X4Y31 TILE_PATTERN_IDX 7808 TILEPROP INT_L_X4Y31 TILE_TYPE INT_L TILEPROP INT_L_X4Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y31 TILE_X -76830 TILEPROP INT_L_X4Y31 TILE_Y -139448 TILEPROP INT_L_X4Y31 TYPE INT_L TILEPROP INT_L_X4Y32 CLASS tile TILEPROP INT_L_X4Y32 COLUMN 15 TILEPROP INT_L_X4Y32 DEVICE_ID 0 TILEPROP INT_L_X4Y32 FIRST_SITE_ID 12257 TILEPROP INT_L_X4Y32 GRID_POINT_X 15 TILEPROP INT_L_X4Y32 GRID_POINT_Y 122 TILEPROP INT_L_X4Y32 INDEX 14045 TILEPROP INT_L_X4Y32 INT_TILE_X 4 TILEPROP INT_L_X4Y32 INT_TILE_Y 117 TILEPROP INT_L_X4Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y32 IS_DCM_TILE 0 TILEPROP INT_L_X4Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y32 NAME INT_L_X4Y32 TILEPROP INT_L_X4Y32 NUM_ARCS 3737 TILEPROP INT_L_X4Y32 NUM_SITES 1 TILEPROP INT_L_X4Y32 ROW 122 TILEPROP INT_L_X4Y32 SLR_REGION_ID 0 TILEPROP INT_L_X4Y32 TILE_PATTERN_IDX 7774 TILEPROP INT_L_X4Y32 TILE_TYPE INT_L TILEPROP INT_L_X4Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y32 TILE_X -76830 TILEPROP INT_L_X4Y32 TILE_Y -136248 TILEPROP INT_L_X4Y32 TYPE INT_L TILEPROP INT_L_X4Y33 CLASS tile TILEPROP INT_L_X4Y33 COLUMN 15 TILEPROP INT_L_X4Y33 DEVICE_ID 0 TILEPROP INT_L_X4Y33 FIRST_SITE_ID 12157 TILEPROP INT_L_X4Y33 GRID_POINT_X 15 TILEPROP INT_L_X4Y33 GRID_POINT_Y 121 TILEPROP INT_L_X4Y33 INDEX 13930 TILEPROP INT_L_X4Y33 INT_TILE_X 4 TILEPROP INT_L_X4Y33 INT_TILE_Y 116 TILEPROP INT_L_X4Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y33 IS_DCM_TILE 0 TILEPROP INT_L_X4Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y33 NAME INT_L_X4Y33 TILEPROP INT_L_X4Y33 NUM_ARCS 3737 TILEPROP INT_L_X4Y33 NUM_SITES 1 TILEPROP INT_L_X4Y33 ROW 121 TILEPROP INT_L_X4Y33 SLR_REGION_ID 0 TILEPROP INT_L_X4Y33 TILE_PATTERN_IDX 7745 TILEPROP INT_L_X4Y33 TILE_TYPE INT_L TILEPROP INT_L_X4Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y33 TILE_X -76830 TILEPROP INT_L_X4Y33 TILE_Y -133048 TILEPROP INT_L_X4Y33 TYPE INT_L TILEPROP INT_L_X4Y34 CLASS tile TILEPROP INT_L_X4Y34 COLUMN 15 TILEPROP INT_L_X4Y34 DEVICE_ID 0 TILEPROP INT_L_X4Y34 FIRST_SITE_ID 12057 TILEPROP INT_L_X4Y34 GRID_POINT_X 15 TILEPROP INT_L_X4Y34 GRID_POINT_Y 120 TILEPROP INT_L_X4Y34 INDEX 13815 TILEPROP INT_L_X4Y34 INT_TILE_X 4 TILEPROP INT_L_X4Y34 INT_TILE_Y 115 TILEPROP INT_L_X4Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y34 IS_DCM_TILE 0 TILEPROP INT_L_X4Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y34 NAME INT_L_X4Y34 TILEPROP INT_L_X4Y34 NUM_ARCS 3737 TILEPROP INT_L_X4Y34 NUM_SITES 1 TILEPROP INT_L_X4Y34 ROW 120 TILEPROP INT_L_X4Y34 SLR_REGION_ID 0 TILEPROP INT_L_X4Y34 TILE_PATTERN_IDX 7717 TILEPROP INT_L_X4Y34 TILE_TYPE INT_L TILEPROP INT_L_X4Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y34 TILE_X -76830 TILEPROP INT_L_X4Y34 TILE_Y -129848 TILEPROP INT_L_X4Y34 TYPE INT_L TILEPROP INT_L_X4Y35 CLASS tile TILEPROP INT_L_X4Y35 COLUMN 15 TILEPROP INT_L_X4Y35 DEVICE_ID 0 TILEPROP INT_L_X4Y35 FIRST_SITE_ID 11942 TILEPROP INT_L_X4Y35 GRID_POINT_X 15 TILEPROP INT_L_X4Y35 GRID_POINT_Y 119 TILEPROP INT_L_X4Y35 INDEX 13700 TILEPROP INT_L_X4Y35 INT_TILE_X 4 TILEPROP INT_L_X4Y35 INT_TILE_Y 114 TILEPROP INT_L_X4Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y35 IS_DCM_TILE 0 TILEPROP INT_L_X4Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y35 NAME INT_L_X4Y35 TILEPROP INT_L_X4Y35 NUM_ARCS 3737 TILEPROP INT_L_X4Y35 NUM_SITES 1 TILEPROP INT_L_X4Y35 ROW 119 TILEPROP INT_L_X4Y35 SLR_REGION_ID 0 TILEPROP INT_L_X4Y35 TILE_PATTERN_IDX 7688 TILEPROP INT_L_X4Y35 TILE_TYPE INT_L TILEPROP INT_L_X4Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y35 TILE_X -76830 TILEPROP INT_L_X4Y35 TILE_Y -126648 TILEPROP INT_L_X4Y35 TYPE INT_L TILEPROP INT_L_X4Y36 CLASS tile TILEPROP INT_L_X4Y36 COLUMN 15 TILEPROP INT_L_X4Y36 DEVICE_ID 0 TILEPROP INT_L_X4Y36 FIRST_SITE_ID 11810 TILEPROP INT_L_X4Y36 GRID_POINT_X 15 TILEPROP INT_L_X4Y36 GRID_POINT_Y 118 TILEPROP INT_L_X4Y36 INDEX 13585 TILEPROP INT_L_X4Y36 INT_TILE_X 4 TILEPROP INT_L_X4Y36 INT_TILE_Y 113 TILEPROP INT_L_X4Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y36 IS_DCM_TILE 0 TILEPROP INT_L_X4Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y36 NAME INT_L_X4Y36 TILEPROP INT_L_X4Y36 NUM_ARCS 3737 TILEPROP INT_L_X4Y36 NUM_SITES 1 TILEPROP INT_L_X4Y36 ROW 118 TILEPROP INT_L_X4Y36 SLR_REGION_ID 0 TILEPROP INT_L_X4Y36 TILE_PATTERN_IDX 7660 TILEPROP INT_L_X4Y36 TILE_TYPE INT_L TILEPROP INT_L_X4Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y36 TILE_X -76830 TILEPROP INT_L_X4Y36 TILE_Y -123448 TILEPROP INT_L_X4Y36 TYPE INT_L TILEPROP INT_L_X4Y37 CLASS tile TILEPROP INT_L_X4Y37 COLUMN 15 TILEPROP INT_L_X4Y37 DEVICE_ID 0 TILEPROP INT_L_X4Y37 FIRST_SITE_ID 11710 TILEPROP INT_L_X4Y37 GRID_POINT_X 15 TILEPROP INT_L_X4Y37 GRID_POINT_Y 117 TILEPROP INT_L_X4Y37 INDEX 13470 TILEPROP INT_L_X4Y37 INT_TILE_X 4 TILEPROP INT_L_X4Y37 INT_TILE_Y 112 TILEPROP INT_L_X4Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y37 IS_DCM_TILE 0 TILEPROP INT_L_X4Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y37 NAME INT_L_X4Y37 TILEPROP INT_L_X4Y37 NUM_ARCS 3737 TILEPROP INT_L_X4Y37 NUM_SITES 1 TILEPROP INT_L_X4Y37 ROW 117 TILEPROP INT_L_X4Y37 SLR_REGION_ID 0 TILEPROP INT_L_X4Y37 TILE_PATTERN_IDX 7632 TILEPROP INT_L_X4Y37 TILE_TYPE INT_L TILEPROP INT_L_X4Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y37 TILE_X -76830 TILEPROP INT_L_X4Y37 TILE_Y -120248 TILEPROP INT_L_X4Y37 TYPE INT_L TILEPROP INT_L_X4Y38 CLASS tile TILEPROP INT_L_X4Y38 COLUMN 15 TILEPROP INT_L_X4Y38 DEVICE_ID 0 TILEPROP INT_L_X4Y38 FIRST_SITE_ID 11610 TILEPROP INT_L_X4Y38 GRID_POINT_X 15 TILEPROP INT_L_X4Y38 GRID_POINT_Y 116 TILEPROP INT_L_X4Y38 INDEX 13355 TILEPROP INT_L_X4Y38 INT_TILE_X 4 TILEPROP INT_L_X4Y38 INT_TILE_Y 111 TILEPROP INT_L_X4Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y38 IS_DCM_TILE 0 TILEPROP INT_L_X4Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y38 NAME INT_L_X4Y38 TILEPROP INT_L_X4Y38 NUM_ARCS 3737 TILEPROP INT_L_X4Y38 NUM_SITES 1 TILEPROP INT_L_X4Y38 ROW 116 TILEPROP INT_L_X4Y38 SLR_REGION_ID 0 TILEPROP INT_L_X4Y38 TILE_PATTERN_IDX 7604 TILEPROP INT_L_X4Y38 TILE_TYPE INT_L TILEPROP INT_L_X4Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y38 TILE_X -76830 TILEPROP INT_L_X4Y38 TILE_Y -117048 TILEPROP INT_L_X4Y38 TYPE INT_L TILEPROP INT_L_X4Y39 CLASS tile TILEPROP INT_L_X4Y39 COLUMN 15 TILEPROP INT_L_X4Y39 DEVICE_ID 0 TILEPROP INT_L_X4Y39 FIRST_SITE_ID 11510 TILEPROP INT_L_X4Y39 GRID_POINT_X 15 TILEPROP INT_L_X4Y39 GRID_POINT_Y 115 TILEPROP INT_L_X4Y39 INDEX 13240 TILEPROP INT_L_X4Y39 INT_TILE_X 4 TILEPROP INT_L_X4Y39 INT_TILE_Y 110 TILEPROP INT_L_X4Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y39 IS_DCM_TILE 0 TILEPROP INT_L_X4Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y39 NAME INT_L_X4Y39 TILEPROP INT_L_X4Y39 NUM_ARCS 3737 TILEPROP INT_L_X4Y39 NUM_SITES 1 TILEPROP INT_L_X4Y39 ROW 115 TILEPROP INT_L_X4Y39 SLR_REGION_ID 0 TILEPROP INT_L_X4Y39 TILE_PATTERN_IDX 7575 TILEPROP INT_L_X4Y39 TILE_TYPE INT_L TILEPROP INT_L_X4Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y39 TILE_X -76830 TILEPROP INT_L_X4Y39 TILE_Y -113848 TILEPROP INT_L_X4Y39 TYPE INT_L TILEPROP INT_L_X4Y40 CLASS tile TILEPROP INT_L_X4Y40 COLUMN 15 TILEPROP INT_L_X4Y40 DEVICE_ID 0 TILEPROP INT_L_X4Y40 FIRST_SITE_ID 11393 TILEPROP INT_L_X4Y40 GRID_POINT_X 15 TILEPROP INT_L_X4Y40 GRID_POINT_Y 114 TILEPROP INT_L_X4Y40 INDEX 13125 TILEPROP INT_L_X4Y40 INT_TILE_X 4 TILEPROP INT_L_X4Y40 INT_TILE_Y 109 TILEPROP INT_L_X4Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y40 IS_DCM_TILE 0 TILEPROP INT_L_X4Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y40 NAME INT_L_X4Y40 TILEPROP INT_L_X4Y40 NUM_ARCS 3737 TILEPROP INT_L_X4Y40 NUM_SITES 1 TILEPROP INT_L_X4Y40 ROW 114 TILEPROP INT_L_X4Y40 SLR_REGION_ID 0 TILEPROP INT_L_X4Y40 TILE_PATTERN_IDX 7546 TILEPROP INT_L_X4Y40 TILE_TYPE INT_L TILEPROP INT_L_X4Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y40 TILE_X -76830 TILEPROP INT_L_X4Y40 TILE_Y -110648 TILEPROP INT_L_X4Y40 TYPE INT_L TILEPROP INT_L_X4Y41 CLASS tile TILEPROP INT_L_X4Y41 COLUMN 15 TILEPROP INT_L_X4Y41 DEVICE_ID 0 TILEPROP INT_L_X4Y41 FIRST_SITE_ID 11292 TILEPROP INT_L_X4Y41 GRID_POINT_X 15 TILEPROP INT_L_X4Y41 GRID_POINT_Y 113 TILEPROP INT_L_X4Y41 INDEX 13010 TILEPROP INT_L_X4Y41 INT_TILE_X 4 TILEPROP INT_L_X4Y41 INT_TILE_Y 108 TILEPROP INT_L_X4Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y41 IS_DCM_TILE 0 TILEPROP INT_L_X4Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y41 NAME INT_L_X4Y41 TILEPROP INT_L_X4Y41 NUM_ARCS 3737 TILEPROP INT_L_X4Y41 NUM_SITES 1 TILEPROP INT_L_X4Y41 ROW 113 TILEPROP INT_L_X4Y41 SLR_REGION_ID 0 TILEPROP INT_L_X4Y41 TILE_PATTERN_IDX 7511 TILEPROP INT_L_X4Y41 TILE_TYPE INT_L TILEPROP INT_L_X4Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y41 TILE_X -76830 TILEPROP INT_L_X4Y41 TILE_Y -107448 TILEPROP INT_L_X4Y41 TYPE INT_L TILEPROP INT_L_X4Y42 CLASS tile TILEPROP INT_L_X4Y42 COLUMN 15 TILEPROP INT_L_X4Y42 DEVICE_ID 0 TILEPROP INT_L_X4Y42 FIRST_SITE_ID 11191 TILEPROP INT_L_X4Y42 GRID_POINT_X 15 TILEPROP INT_L_X4Y42 GRID_POINT_Y 112 TILEPROP INT_L_X4Y42 INDEX 12895 TILEPROP INT_L_X4Y42 INT_TILE_X 4 TILEPROP INT_L_X4Y42 INT_TILE_Y 107 TILEPROP INT_L_X4Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y42 IS_DCM_TILE 0 TILEPROP INT_L_X4Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y42 NAME INT_L_X4Y42 TILEPROP INT_L_X4Y42 NUM_ARCS 3737 TILEPROP INT_L_X4Y42 NUM_SITES 1 TILEPROP INT_L_X4Y42 ROW 112 TILEPROP INT_L_X4Y42 SLR_REGION_ID 0 TILEPROP INT_L_X4Y42 TILE_PATTERN_IDX 7482 TILEPROP INT_L_X4Y42 TILE_TYPE INT_L TILEPROP INT_L_X4Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y42 TILE_X -76830 TILEPROP INT_L_X4Y42 TILE_Y -104248 TILEPROP INT_L_X4Y42 TYPE INT_L TILEPROP INT_L_X4Y43 CLASS tile TILEPROP INT_L_X4Y43 COLUMN 15 TILEPROP INT_L_X4Y43 DEVICE_ID 0 TILEPROP INT_L_X4Y43 FIRST_SITE_ID 11088 TILEPROP INT_L_X4Y43 GRID_POINT_X 15 TILEPROP INT_L_X4Y43 GRID_POINT_Y 111 TILEPROP INT_L_X4Y43 INDEX 12780 TILEPROP INT_L_X4Y43 INT_TILE_X 4 TILEPROP INT_L_X4Y43 INT_TILE_Y 106 TILEPROP INT_L_X4Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y43 IS_DCM_TILE 0 TILEPROP INT_L_X4Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y43 NAME INT_L_X4Y43 TILEPROP INT_L_X4Y43 NUM_ARCS 3737 TILEPROP INT_L_X4Y43 NUM_SITES 1 TILEPROP INT_L_X4Y43 ROW 111 TILEPROP INT_L_X4Y43 SLR_REGION_ID 0 TILEPROP INT_L_X4Y43 TILE_PATTERN_IDX 7453 TILEPROP INT_L_X4Y43 TILE_TYPE INT_L TILEPROP INT_L_X4Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y43 TILE_X -76830 TILEPROP INT_L_X4Y43 TILE_Y -101048 TILEPROP INT_L_X4Y43 TYPE INT_L TILEPROP INT_L_X4Y44 CLASS tile TILEPROP INT_L_X4Y44 COLUMN 15 TILEPROP INT_L_X4Y44 DEVICE_ID 0 TILEPROP INT_L_X4Y44 FIRST_SITE_ID 10986 TILEPROP INT_L_X4Y44 GRID_POINT_X 15 TILEPROP INT_L_X4Y44 GRID_POINT_Y 110 TILEPROP INT_L_X4Y44 INDEX 12665 TILEPROP INT_L_X4Y44 INT_TILE_X 4 TILEPROP INT_L_X4Y44 INT_TILE_Y 105 TILEPROP INT_L_X4Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y44 IS_DCM_TILE 0 TILEPROP INT_L_X4Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y44 NAME INT_L_X4Y44 TILEPROP INT_L_X4Y44 NUM_ARCS 3737 TILEPROP INT_L_X4Y44 NUM_SITES 1 TILEPROP INT_L_X4Y44 ROW 110 TILEPROP INT_L_X4Y44 SLR_REGION_ID 0 TILEPROP INT_L_X4Y44 TILE_PATTERN_IDX 7425 TILEPROP INT_L_X4Y44 TILE_TYPE INT_L TILEPROP INT_L_X4Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y44 TILE_X -76830 TILEPROP INT_L_X4Y44 TILE_Y -97848 TILEPROP INT_L_X4Y44 TYPE INT_L TILEPROP INT_L_X4Y45 CLASS tile TILEPROP INT_L_X4Y45 COLUMN 15 TILEPROP INT_L_X4Y45 DEVICE_ID 0 TILEPROP INT_L_X4Y45 FIRST_SITE_ID 10870 TILEPROP INT_L_X4Y45 GRID_POINT_X 15 TILEPROP INT_L_X4Y45 GRID_POINT_Y 109 TILEPROP INT_L_X4Y45 INDEX 12550 TILEPROP INT_L_X4Y45 INT_TILE_X 4 TILEPROP INT_L_X4Y45 INT_TILE_Y 104 TILEPROP INT_L_X4Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y45 IS_DCM_TILE 0 TILEPROP INT_L_X4Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y45 NAME INT_L_X4Y45 TILEPROP INT_L_X4Y45 NUM_ARCS 3737 TILEPROP INT_L_X4Y45 NUM_SITES 1 TILEPROP INT_L_X4Y45 ROW 109 TILEPROP INT_L_X4Y45 SLR_REGION_ID 0 TILEPROP INT_L_X4Y45 TILE_PATTERN_IDX 7389 TILEPROP INT_L_X4Y45 TILE_TYPE INT_L TILEPROP INT_L_X4Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y45 TILE_X -76830 TILEPROP INT_L_X4Y45 TILE_Y -94648 TILEPROP INT_L_X4Y45 TYPE INT_L TILEPROP INT_L_X4Y46 CLASS tile TILEPROP INT_L_X4Y46 COLUMN 15 TILEPROP INT_L_X4Y46 DEVICE_ID 0 TILEPROP INT_L_X4Y46 FIRST_SITE_ID 10754 TILEPROP INT_L_X4Y46 GRID_POINT_X 15 TILEPROP INT_L_X4Y46 GRID_POINT_Y 108 TILEPROP INT_L_X4Y46 INDEX 12435 TILEPROP INT_L_X4Y46 INT_TILE_X 4 TILEPROP INT_L_X4Y46 INT_TILE_Y 103 TILEPROP INT_L_X4Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y46 IS_DCM_TILE 0 TILEPROP INT_L_X4Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y46 NAME INT_L_X4Y46 TILEPROP INT_L_X4Y46 NUM_ARCS 3737 TILEPROP INT_L_X4Y46 NUM_SITES 1 TILEPROP INT_L_X4Y46 ROW 108 TILEPROP INT_L_X4Y46 SLR_REGION_ID 0 TILEPROP INT_L_X4Y46 TILE_PATTERN_IDX 7353 TILEPROP INT_L_X4Y46 TILE_TYPE INT_L TILEPROP INT_L_X4Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y46 TILE_X -76830 TILEPROP INT_L_X4Y46 TILE_Y -91448 TILEPROP INT_L_X4Y46 TYPE INT_L TILEPROP INT_L_X4Y47 CLASS tile TILEPROP INT_L_X4Y47 COLUMN 15 TILEPROP INT_L_X4Y47 DEVICE_ID 0 TILEPROP INT_L_X4Y47 FIRST_SITE_ID 10654 TILEPROP INT_L_X4Y47 GRID_POINT_X 15 TILEPROP INT_L_X4Y47 GRID_POINT_Y 107 TILEPROP INT_L_X4Y47 INDEX 12320 TILEPROP INT_L_X4Y47 INT_TILE_X 4 TILEPROP INT_L_X4Y47 INT_TILE_Y 102 TILEPROP INT_L_X4Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y47 IS_DCM_TILE 0 TILEPROP INT_L_X4Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y47 NAME INT_L_X4Y47 TILEPROP INT_L_X4Y47 NUM_ARCS 3737 TILEPROP INT_L_X4Y47 NUM_SITES 1 TILEPROP INT_L_X4Y47 ROW 107 TILEPROP INT_L_X4Y47 SLR_REGION_ID 0 TILEPROP INT_L_X4Y47 TILE_PATTERN_IDX 7316 TILEPROP INT_L_X4Y47 TILE_TYPE INT_L TILEPROP INT_L_X4Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y47 TILE_X -76830 TILEPROP INT_L_X4Y47 TILE_Y -88248 TILEPROP INT_L_X4Y47 TYPE INT_L TILEPROP INT_L_X4Y48 CLASS tile TILEPROP INT_L_X4Y48 COLUMN 15 TILEPROP INT_L_X4Y48 DEVICE_ID 0 TILEPROP INT_L_X4Y48 FIRST_SITE_ID 10554 TILEPROP INT_L_X4Y48 GRID_POINT_X 15 TILEPROP INT_L_X4Y48 GRID_POINT_Y 106 TILEPROP INT_L_X4Y48 INDEX 12205 TILEPROP INT_L_X4Y48 INT_TILE_X 4 TILEPROP INT_L_X4Y48 INT_TILE_Y 101 TILEPROP INT_L_X4Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y48 IS_DCM_TILE 0 TILEPROP INT_L_X4Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y48 NAME INT_L_X4Y48 TILEPROP INT_L_X4Y48 NUM_ARCS 3737 TILEPROP INT_L_X4Y48 NUM_SITES 1 TILEPROP INT_L_X4Y48 ROW 106 TILEPROP INT_L_X4Y48 SLR_REGION_ID 0 TILEPROP INT_L_X4Y48 TILE_PATTERN_IDX 7280 TILEPROP INT_L_X4Y48 TILE_TYPE INT_L TILEPROP INT_L_X4Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y48 TILE_X -76830 TILEPROP INT_L_X4Y48 TILE_Y -85048 TILEPROP INT_L_X4Y48 TYPE INT_L TILEPROP INT_L_X4Y49 CLASS tile TILEPROP INT_L_X4Y49 COLUMN 15 TILEPROP INT_L_X4Y49 DEVICE_ID 0 TILEPROP INT_L_X4Y49 FIRST_SITE_ID 10458 TILEPROP INT_L_X4Y49 GRID_POINT_X 15 TILEPROP INT_L_X4Y49 GRID_POINT_Y 105 TILEPROP INT_L_X4Y49 INDEX 12090 TILEPROP INT_L_X4Y49 INT_TILE_X 4 TILEPROP INT_L_X4Y49 INT_TILE_Y 100 TILEPROP INT_L_X4Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y49 IS_DCM_TILE 0 TILEPROP INT_L_X4Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y49 NAME INT_L_X4Y49 TILEPROP INT_L_X4Y49 NUM_ARCS 3737 TILEPROP INT_L_X4Y49 NUM_SITES 1 TILEPROP INT_L_X4Y49 ROW 105 TILEPROP INT_L_X4Y49 SLR_REGION_ID 0 TILEPROP INT_L_X4Y49 TILE_PATTERN_IDX 7244 TILEPROP INT_L_X4Y49 TILE_TYPE INT_L TILEPROP INT_L_X4Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y49 TILE_X -76830 TILEPROP INT_L_X4Y49 TILE_Y -81848 TILEPROP INT_L_X4Y49 TYPE INT_L TILEPROP INT_L_X4Y50 CLASS tile TILEPROP INT_L_X4Y50 COLUMN 15 TILEPROP INT_L_X4Y50 DEVICE_ID 0 TILEPROP INT_L_X4Y50 FIRST_SITE_ID 10327 TILEPROP INT_L_X4Y50 GRID_POINT_X 15 TILEPROP INT_L_X4Y50 GRID_POINT_Y 103 TILEPROP INT_L_X4Y50 INDEX 11860 TILEPROP INT_L_X4Y50 INT_TILE_X 4 TILEPROP INT_L_X4Y50 INT_TILE_Y 99 TILEPROP INT_L_X4Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y50 IS_DCM_TILE 0 TILEPROP INT_L_X4Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y50 NAME INT_L_X4Y50 TILEPROP INT_L_X4Y50 NUM_ARCS 3737 TILEPROP INT_L_X4Y50 NUM_SITES 1 TILEPROP INT_L_X4Y50 ROW 103 TILEPROP INT_L_X4Y50 SLR_REGION_ID 0 TILEPROP INT_L_X4Y50 TILE_PATTERN_IDX 7207 TILEPROP INT_L_X4Y50 TILE_TYPE INT_L TILEPROP INT_L_X4Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y50 TILE_X -76830 TILEPROP INT_L_X4Y50 TILE_Y -78400 TILEPROP INT_L_X4Y50 TYPE INT_L TILEPROP INT_L_X4Y51 CLASS tile TILEPROP INT_L_X4Y51 COLUMN 15 TILEPROP INT_L_X4Y51 DEVICE_ID 0 TILEPROP INT_L_X4Y51 FIRST_SITE_ID 10223 TILEPROP INT_L_X4Y51 GRID_POINT_X 15 TILEPROP INT_L_X4Y51 GRID_POINT_Y 102 TILEPROP INT_L_X4Y51 INDEX 11745 TILEPROP INT_L_X4Y51 INT_TILE_X 4 TILEPROP INT_L_X4Y51 INT_TILE_Y 98 TILEPROP INT_L_X4Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y51 IS_DCM_TILE 0 TILEPROP INT_L_X4Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y51 NAME INT_L_X4Y51 TILEPROP INT_L_X4Y51 NUM_ARCS 3737 TILEPROP INT_L_X4Y51 NUM_SITES 1 TILEPROP INT_L_X4Y51 ROW 102 TILEPROP INT_L_X4Y51 SLR_REGION_ID 0 TILEPROP INT_L_X4Y51 TILE_PATTERN_IDX 7170 TILEPROP INT_L_X4Y51 TILE_TYPE INT_L TILEPROP INT_L_X4Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y51 TILE_X -76830 TILEPROP INT_L_X4Y51 TILE_Y -75200 TILEPROP INT_L_X4Y51 TYPE INT_L TILEPROP INT_L_X4Y52 CLASS tile TILEPROP INT_L_X4Y52 COLUMN 15 TILEPROP INT_L_X4Y52 DEVICE_ID 0 TILEPROP INT_L_X4Y52 FIRST_SITE_ID 10123 TILEPROP INT_L_X4Y52 GRID_POINT_X 15 TILEPROP INT_L_X4Y52 GRID_POINT_Y 101 TILEPROP INT_L_X4Y52 INDEX 11630 TILEPROP INT_L_X4Y52 INT_TILE_X 4 TILEPROP INT_L_X4Y52 INT_TILE_Y 97 TILEPROP INT_L_X4Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y52 IS_DCM_TILE 0 TILEPROP INT_L_X4Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y52 NAME INT_L_X4Y52 TILEPROP INT_L_X4Y52 NUM_ARCS 3737 TILEPROP INT_L_X4Y52 NUM_SITES 1 TILEPROP INT_L_X4Y52 ROW 101 TILEPROP INT_L_X4Y52 SLR_REGION_ID 0 TILEPROP INT_L_X4Y52 TILE_PATTERN_IDX 7133 TILEPROP INT_L_X4Y52 TILE_TYPE INT_L TILEPROP INT_L_X4Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y52 TILE_X -76830 TILEPROP INT_L_X4Y52 TILE_Y -72000 TILEPROP INT_L_X4Y52 TYPE INT_L TILEPROP INT_L_X4Y53 CLASS tile TILEPROP INT_L_X4Y53 COLUMN 15 TILEPROP INT_L_X4Y53 DEVICE_ID 0 TILEPROP INT_L_X4Y53 FIRST_SITE_ID 10023 TILEPROP INT_L_X4Y53 GRID_POINT_X 15 TILEPROP INT_L_X4Y53 GRID_POINT_Y 100 TILEPROP INT_L_X4Y53 INDEX 11515 TILEPROP INT_L_X4Y53 INT_TILE_X 4 TILEPROP INT_L_X4Y53 INT_TILE_Y 96 TILEPROP INT_L_X4Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y53 IS_DCM_TILE 0 TILEPROP INT_L_X4Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y53 NAME INT_L_X4Y53 TILEPROP INT_L_X4Y53 NUM_ARCS 3737 TILEPROP INT_L_X4Y53 NUM_SITES 1 TILEPROP INT_L_X4Y53 ROW 100 TILEPROP INT_L_X4Y53 SLR_REGION_ID 0 TILEPROP INT_L_X4Y53 TILE_PATTERN_IDX 7096 TILEPROP INT_L_X4Y53 TILE_TYPE INT_L TILEPROP INT_L_X4Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y53 TILE_X -76830 TILEPROP INT_L_X4Y53 TILE_Y -68800 TILEPROP INT_L_X4Y53 TYPE INT_L TILEPROP INT_L_X4Y54 CLASS tile TILEPROP INT_L_X4Y54 COLUMN 15 TILEPROP INT_L_X4Y54 DEVICE_ID 0 TILEPROP INT_L_X4Y54 FIRST_SITE_ID 9923 TILEPROP INT_L_X4Y54 GRID_POINT_X 15 TILEPROP INT_L_X4Y54 GRID_POINT_Y 99 TILEPROP INT_L_X4Y54 INDEX 11400 TILEPROP INT_L_X4Y54 INT_TILE_X 4 TILEPROP INT_L_X4Y54 INT_TILE_Y 95 TILEPROP INT_L_X4Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y54 IS_DCM_TILE 0 TILEPROP INT_L_X4Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y54 NAME INT_L_X4Y54 TILEPROP INT_L_X4Y54 NUM_ARCS 3737 TILEPROP INT_L_X4Y54 NUM_SITES 1 TILEPROP INT_L_X4Y54 ROW 99 TILEPROP INT_L_X4Y54 SLR_REGION_ID 0 TILEPROP INT_L_X4Y54 TILE_PATTERN_IDX 7059 TILEPROP INT_L_X4Y54 TILE_TYPE INT_L TILEPROP INT_L_X4Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y54 TILE_X -76830 TILEPROP INT_L_X4Y54 TILE_Y -65600 TILEPROP INT_L_X4Y54 TYPE INT_L TILEPROP INT_L_X4Y55 CLASS tile TILEPROP INT_L_X4Y55 COLUMN 15 TILEPROP INT_L_X4Y55 DEVICE_ID 0 TILEPROP INT_L_X4Y55 FIRST_SITE_ID 9808 TILEPROP INT_L_X4Y55 GRID_POINT_X 15 TILEPROP INT_L_X4Y55 GRID_POINT_Y 98 TILEPROP INT_L_X4Y55 INDEX 11285 TILEPROP INT_L_X4Y55 INT_TILE_X 4 TILEPROP INT_L_X4Y55 INT_TILE_Y 94 TILEPROP INT_L_X4Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y55 IS_DCM_TILE 0 TILEPROP INT_L_X4Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y55 NAME INT_L_X4Y55 TILEPROP INT_L_X4Y55 NUM_ARCS 3737 TILEPROP INT_L_X4Y55 NUM_SITES 1 TILEPROP INT_L_X4Y55 ROW 98 TILEPROP INT_L_X4Y55 SLR_REGION_ID 0 TILEPROP INT_L_X4Y55 TILE_PATTERN_IDX 7021 TILEPROP INT_L_X4Y55 TILE_TYPE INT_L TILEPROP INT_L_X4Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y55 TILE_X -76830 TILEPROP INT_L_X4Y55 TILE_Y -62400 TILEPROP INT_L_X4Y55 TYPE INT_L TILEPROP INT_L_X4Y56 CLASS tile TILEPROP INT_L_X4Y56 COLUMN 15 TILEPROP INT_L_X4Y56 DEVICE_ID 0 TILEPROP INT_L_X4Y56 FIRST_SITE_ID 9708 TILEPROP INT_L_X4Y56 GRID_POINT_X 15 TILEPROP INT_L_X4Y56 GRID_POINT_Y 97 TILEPROP INT_L_X4Y56 INDEX 11170 TILEPROP INT_L_X4Y56 INT_TILE_X 4 TILEPROP INT_L_X4Y56 INT_TILE_Y 93 TILEPROP INT_L_X4Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y56 IS_DCM_TILE 0 TILEPROP INT_L_X4Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y56 NAME INT_L_X4Y56 TILEPROP INT_L_X4Y56 NUM_ARCS 3737 TILEPROP INT_L_X4Y56 NUM_SITES 1 TILEPROP INT_L_X4Y56 ROW 97 TILEPROP INT_L_X4Y56 SLR_REGION_ID 0 TILEPROP INT_L_X4Y56 TILE_PATTERN_IDX 6984 TILEPROP INT_L_X4Y56 TILE_TYPE INT_L TILEPROP INT_L_X4Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y56 TILE_X -76830 TILEPROP INT_L_X4Y56 TILE_Y -59200 TILEPROP INT_L_X4Y56 TYPE INT_L TILEPROP INT_L_X4Y57 CLASS tile TILEPROP INT_L_X4Y57 COLUMN 15 TILEPROP INT_L_X4Y57 DEVICE_ID 0 TILEPROP INT_L_X4Y57 FIRST_SITE_ID 9606 TILEPROP INT_L_X4Y57 GRID_POINT_X 15 TILEPROP INT_L_X4Y57 GRID_POINT_Y 96 TILEPROP INT_L_X4Y57 INDEX 11055 TILEPROP INT_L_X4Y57 INT_TILE_X 4 TILEPROP INT_L_X4Y57 INT_TILE_Y 92 TILEPROP INT_L_X4Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y57 IS_DCM_TILE 0 TILEPROP INT_L_X4Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y57 NAME INT_L_X4Y57 TILEPROP INT_L_X4Y57 NUM_ARCS 3737 TILEPROP INT_L_X4Y57 NUM_SITES 1 TILEPROP INT_L_X4Y57 ROW 96 TILEPROP INT_L_X4Y57 SLR_REGION_ID 0 TILEPROP INT_L_X4Y57 TILE_PATTERN_IDX 6947 TILEPROP INT_L_X4Y57 TILE_TYPE INT_L TILEPROP INT_L_X4Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y57 TILE_X -76830 TILEPROP INT_L_X4Y57 TILE_Y -56000 TILEPROP INT_L_X4Y57 TYPE INT_L TILEPROP INT_L_X4Y58 CLASS tile TILEPROP INT_L_X4Y58 COLUMN 15 TILEPROP INT_L_X4Y58 DEVICE_ID 0 TILEPROP INT_L_X4Y58 FIRST_SITE_ID 9503 TILEPROP INT_L_X4Y58 GRID_POINT_X 15 TILEPROP INT_L_X4Y58 GRID_POINT_Y 95 TILEPROP INT_L_X4Y58 INDEX 10940 TILEPROP INT_L_X4Y58 INT_TILE_X 4 TILEPROP INT_L_X4Y58 INT_TILE_Y 91 TILEPROP INT_L_X4Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y58 IS_DCM_TILE 0 TILEPROP INT_L_X4Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y58 NAME INT_L_X4Y58 TILEPROP INT_L_X4Y58 NUM_ARCS 3737 TILEPROP INT_L_X4Y58 NUM_SITES 1 TILEPROP INT_L_X4Y58 ROW 95 TILEPROP INT_L_X4Y58 SLR_REGION_ID 0 TILEPROP INT_L_X4Y58 TILE_PATTERN_IDX 6909 TILEPROP INT_L_X4Y58 TILE_TYPE INT_L TILEPROP INT_L_X4Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y58 TILE_X -76830 TILEPROP INT_L_X4Y58 TILE_Y -52800 TILEPROP INT_L_X4Y58 TYPE INT_L TILEPROP INT_L_X4Y59 CLASS tile TILEPROP INT_L_X4Y59 COLUMN 15 TILEPROP INT_L_X4Y59 DEVICE_ID 0 TILEPROP INT_L_X4Y59 FIRST_SITE_ID 9402 TILEPROP INT_L_X4Y59 GRID_POINT_X 15 TILEPROP INT_L_X4Y59 GRID_POINT_Y 94 TILEPROP INT_L_X4Y59 INDEX 10825 TILEPROP INT_L_X4Y59 INT_TILE_X 4 TILEPROP INT_L_X4Y59 INT_TILE_Y 90 TILEPROP INT_L_X4Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y59 IS_DCM_TILE 0 TILEPROP INT_L_X4Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y59 NAME INT_L_X4Y59 TILEPROP INT_L_X4Y59 NUM_ARCS 3737 TILEPROP INT_L_X4Y59 NUM_SITES 1 TILEPROP INT_L_X4Y59 ROW 94 TILEPROP INT_L_X4Y59 SLR_REGION_ID 0 TILEPROP INT_L_X4Y59 TILE_PATTERN_IDX 6872 TILEPROP INT_L_X4Y59 TILE_TYPE INT_L TILEPROP INT_L_X4Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y59 TILE_X -76830 TILEPROP INT_L_X4Y59 TILE_Y -49600 TILEPROP INT_L_X4Y59 TYPE INT_L TILEPROP INT_L_X4Y60 CLASS tile TILEPROP INT_L_X4Y60 COLUMN 15 TILEPROP INT_L_X4Y60 DEVICE_ID 0 TILEPROP INT_L_X4Y60 FIRST_SITE_ID 9287 TILEPROP INT_L_X4Y60 GRID_POINT_X 15 TILEPROP INT_L_X4Y60 GRID_POINT_Y 93 TILEPROP INT_L_X4Y60 INDEX 10710 TILEPROP INT_L_X4Y60 INT_TILE_X 4 TILEPROP INT_L_X4Y60 INT_TILE_Y 89 TILEPROP INT_L_X4Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y60 IS_DCM_TILE 0 TILEPROP INT_L_X4Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y60 NAME INT_L_X4Y60 TILEPROP INT_L_X4Y60 NUM_ARCS 3737 TILEPROP INT_L_X4Y60 NUM_SITES 1 TILEPROP INT_L_X4Y60 ROW 93 TILEPROP INT_L_X4Y60 SLR_REGION_ID 0 TILEPROP INT_L_X4Y60 TILE_PATTERN_IDX 6835 TILEPROP INT_L_X4Y60 TILE_TYPE INT_L TILEPROP INT_L_X4Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y60 TILE_X -76830 TILEPROP INT_L_X4Y60 TILE_Y -46400 TILEPROP INT_L_X4Y60 TYPE INT_L TILEPROP INT_L_X4Y61 CLASS tile TILEPROP INT_L_X4Y61 COLUMN 15 TILEPROP INT_L_X4Y61 DEVICE_ID 0 TILEPROP INT_L_X4Y61 FIRST_SITE_ID 9187 TILEPROP INT_L_X4Y61 GRID_POINT_X 15 TILEPROP INT_L_X4Y61 GRID_POINT_Y 92 TILEPROP INT_L_X4Y61 INDEX 10595 TILEPROP INT_L_X4Y61 INT_TILE_X 4 TILEPROP INT_L_X4Y61 INT_TILE_Y 88 TILEPROP INT_L_X4Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y61 IS_DCM_TILE 0 TILEPROP INT_L_X4Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y61 NAME INT_L_X4Y61 TILEPROP INT_L_X4Y61 NUM_ARCS 3737 TILEPROP INT_L_X4Y61 NUM_SITES 1 TILEPROP INT_L_X4Y61 ROW 92 TILEPROP INT_L_X4Y61 SLR_REGION_ID 0 TILEPROP INT_L_X4Y61 TILE_PATTERN_IDX 6798 TILEPROP INT_L_X4Y61 TILE_TYPE INT_L TILEPROP INT_L_X4Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y61 TILE_X -76830 TILEPROP INT_L_X4Y61 TILE_Y -43200 TILEPROP INT_L_X4Y61 TYPE INT_L TILEPROP INT_L_X4Y62 CLASS tile TILEPROP INT_L_X4Y62 COLUMN 15 TILEPROP INT_L_X4Y62 DEVICE_ID 0 TILEPROP INT_L_X4Y62 FIRST_SITE_ID 9055 TILEPROP INT_L_X4Y62 GRID_POINT_X 15 TILEPROP INT_L_X4Y62 GRID_POINT_Y 91 TILEPROP INT_L_X4Y62 INDEX 10480 TILEPROP INT_L_X4Y62 INT_TILE_X 4 TILEPROP INT_L_X4Y62 INT_TILE_Y 87 TILEPROP INT_L_X4Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y62 IS_DCM_TILE 0 TILEPROP INT_L_X4Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y62 NAME INT_L_X4Y62 TILEPROP INT_L_X4Y62 NUM_ARCS 3737 TILEPROP INT_L_X4Y62 NUM_SITES 1 TILEPROP INT_L_X4Y62 ROW 91 TILEPROP INT_L_X4Y62 SLR_REGION_ID 0 TILEPROP INT_L_X4Y62 TILE_PATTERN_IDX 6761 TILEPROP INT_L_X4Y62 TILE_TYPE INT_L TILEPROP INT_L_X4Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y62 TILE_X -76830 TILEPROP INT_L_X4Y62 TILE_Y -40000 TILEPROP INT_L_X4Y62 TYPE INT_L TILEPROP INT_L_X4Y63 CLASS tile TILEPROP INT_L_X4Y63 COLUMN 15 TILEPROP INT_L_X4Y63 DEVICE_ID 0 TILEPROP INT_L_X4Y63 FIRST_SITE_ID 8955 TILEPROP INT_L_X4Y63 GRID_POINT_X 15 TILEPROP INT_L_X4Y63 GRID_POINT_Y 90 TILEPROP INT_L_X4Y63 INDEX 10365 TILEPROP INT_L_X4Y63 INT_TILE_X 4 TILEPROP INT_L_X4Y63 INT_TILE_Y 86 TILEPROP INT_L_X4Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y63 IS_DCM_TILE 0 TILEPROP INT_L_X4Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y63 NAME INT_L_X4Y63 TILEPROP INT_L_X4Y63 NUM_ARCS 3737 TILEPROP INT_L_X4Y63 NUM_SITES 1 TILEPROP INT_L_X4Y63 ROW 90 TILEPROP INT_L_X4Y63 SLR_REGION_ID 0 TILEPROP INT_L_X4Y63 TILE_PATTERN_IDX 6724 TILEPROP INT_L_X4Y63 TILE_TYPE INT_L TILEPROP INT_L_X4Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y63 TILE_X -76830 TILEPROP INT_L_X4Y63 TILE_Y -36800 TILEPROP INT_L_X4Y63 TYPE INT_L TILEPROP INT_L_X4Y64 CLASS tile TILEPROP INT_L_X4Y64 COLUMN 15 TILEPROP INT_L_X4Y64 DEVICE_ID 0 TILEPROP INT_L_X4Y64 FIRST_SITE_ID 8855 TILEPROP INT_L_X4Y64 GRID_POINT_X 15 TILEPROP INT_L_X4Y64 GRID_POINT_Y 89 TILEPROP INT_L_X4Y64 INDEX 10250 TILEPROP INT_L_X4Y64 INT_TILE_X 4 TILEPROP INT_L_X4Y64 INT_TILE_Y 85 TILEPROP INT_L_X4Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y64 IS_DCM_TILE 0 TILEPROP INT_L_X4Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y64 NAME INT_L_X4Y64 TILEPROP INT_L_X4Y64 NUM_ARCS 3737 TILEPROP INT_L_X4Y64 NUM_SITES 1 TILEPROP INT_L_X4Y64 ROW 89 TILEPROP INT_L_X4Y64 SLR_REGION_ID 0 TILEPROP INT_L_X4Y64 TILE_PATTERN_IDX 6687 TILEPROP INT_L_X4Y64 TILE_TYPE INT_L TILEPROP INT_L_X4Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y64 TILE_X -76830 TILEPROP INT_L_X4Y64 TILE_Y -33600 TILEPROP INT_L_X4Y64 TYPE INT_L TILEPROP INT_L_X4Y65 CLASS tile TILEPROP INT_L_X4Y65 COLUMN 15 TILEPROP INT_L_X4Y65 DEVICE_ID 0 TILEPROP INT_L_X4Y65 FIRST_SITE_ID 8740 TILEPROP INT_L_X4Y65 GRID_POINT_X 15 TILEPROP INT_L_X4Y65 GRID_POINT_Y 88 TILEPROP INT_L_X4Y65 INDEX 10135 TILEPROP INT_L_X4Y65 INT_TILE_X 4 TILEPROP INT_L_X4Y65 INT_TILE_Y 84 TILEPROP INT_L_X4Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y65 IS_DCM_TILE 0 TILEPROP INT_L_X4Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y65 NAME INT_L_X4Y65 TILEPROP INT_L_X4Y65 NUM_ARCS 3737 TILEPROP INT_L_X4Y65 NUM_SITES 1 TILEPROP INT_L_X4Y65 ROW 88 TILEPROP INT_L_X4Y65 SLR_REGION_ID 0 TILEPROP INT_L_X4Y65 TILE_PATTERN_IDX 6650 TILEPROP INT_L_X4Y65 TILE_TYPE INT_L TILEPROP INT_L_X4Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y65 TILE_X -76830 TILEPROP INT_L_X4Y65 TILE_Y -30400 TILEPROP INT_L_X4Y65 TYPE INT_L TILEPROP INT_L_X4Y66 CLASS tile TILEPROP INT_L_X4Y66 COLUMN 15 TILEPROP INT_L_X4Y66 DEVICE_ID 0 TILEPROP INT_L_X4Y66 FIRST_SITE_ID 8640 TILEPROP INT_L_X4Y66 GRID_POINT_X 15 TILEPROP INT_L_X4Y66 GRID_POINT_Y 87 TILEPROP INT_L_X4Y66 INDEX 10020 TILEPROP INT_L_X4Y66 INT_TILE_X 4 TILEPROP INT_L_X4Y66 INT_TILE_Y 83 TILEPROP INT_L_X4Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y66 IS_DCM_TILE 0 TILEPROP INT_L_X4Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y66 NAME INT_L_X4Y66 TILEPROP INT_L_X4Y66 NUM_ARCS 3737 TILEPROP INT_L_X4Y66 NUM_SITES 1 TILEPROP INT_L_X4Y66 ROW 87 TILEPROP INT_L_X4Y66 SLR_REGION_ID 0 TILEPROP INT_L_X4Y66 TILE_PATTERN_IDX 6613 TILEPROP INT_L_X4Y66 TILE_TYPE INT_L TILEPROP INT_L_X4Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y66 TILE_X -76830 TILEPROP INT_L_X4Y66 TILE_Y -27200 TILEPROP INT_L_X4Y66 TYPE INT_L TILEPROP INT_L_X4Y67 CLASS tile TILEPROP INT_L_X4Y67 COLUMN 15 TILEPROP INT_L_X4Y67 DEVICE_ID 0 TILEPROP INT_L_X4Y67 FIRST_SITE_ID 8536 TILEPROP INT_L_X4Y67 GRID_POINT_X 15 TILEPROP INT_L_X4Y67 GRID_POINT_Y 86 TILEPROP INT_L_X4Y67 INDEX 9905 TILEPROP INT_L_X4Y67 INT_TILE_X 4 TILEPROP INT_L_X4Y67 INT_TILE_Y 82 TILEPROP INT_L_X4Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y67 IS_DCM_TILE 0 TILEPROP INT_L_X4Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y67 NAME INT_L_X4Y67 TILEPROP INT_L_X4Y67 NUM_ARCS 3737 TILEPROP INT_L_X4Y67 NUM_SITES 1 TILEPROP INT_L_X4Y67 ROW 86 TILEPROP INT_L_X4Y67 SLR_REGION_ID 0 TILEPROP INT_L_X4Y67 TILE_PATTERN_IDX 6575 TILEPROP INT_L_X4Y67 TILE_TYPE INT_L TILEPROP INT_L_X4Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y67 TILE_X -76830 TILEPROP INT_L_X4Y67 TILE_Y -24000 TILEPROP INT_L_X4Y67 TYPE INT_L TILEPROP INT_L_X4Y68 CLASS tile TILEPROP INT_L_X4Y68 COLUMN 15 TILEPROP INT_L_X4Y68 DEVICE_ID 0 TILEPROP INT_L_X4Y68 FIRST_SITE_ID 8432 TILEPROP INT_L_X4Y68 GRID_POINT_X 15 TILEPROP INT_L_X4Y68 GRID_POINT_Y 85 TILEPROP INT_L_X4Y68 INDEX 9790 TILEPROP INT_L_X4Y68 INT_TILE_X 4 TILEPROP INT_L_X4Y68 INT_TILE_Y 81 TILEPROP INT_L_X4Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y68 IS_DCM_TILE 0 TILEPROP INT_L_X4Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y68 NAME INT_L_X4Y68 TILEPROP INT_L_X4Y68 NUM_ARCS 3737 TILEPROP INT_L_X4Y68 NUM_SITES 1 TILEPROP INT_L_X4Y68 ROW 85 TILEPROP INT_L_X4Y68 SLR_REGION_ID 0 TILEPROP INT_L_X4Y68 TILE_PATTERN_IDX 6543 TILEPROP INT_L_X4Y68 TILE_TYPE INT_L TILEPROP INT_L_X4Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y68 TILE_X -76830 TILEPROP INT_L_X4Y68 TILE_Y -20800 TILEPROP INT_L_X4Y68 TYPE INT_L TILEPROP INT_L_X4Y69 CLASS tile TILEPROP INT_L_X4Y69 COLUMN 15 TILEPROP INT_L_X4Y69 DEVICE_ID 0 TILEPROP INT_L_X4Y69 FIRST_SITE_ID 8330 TILEPROP INT_L_X4Y69 GRID_POINT_X 15 TILEPROP INT_L_X4Y69 GRID_POINT_Y 84 TILEPROP INT_L_X4Y69 INDEX 9675 TILEPROP INT_L_X4Y69 INT_TILE_X 4 TILEPROP INT_L_X4Y69 INT_TILE_Y 80 TILEPROP INT_L_X4Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y69 IS_DCM_TILE 0 TILEPROP INT_L_X4Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y69 NAME INT_L_X4Y69 TILEPROP INT_L_X4Y69 NUM_ARCS 3737 TILEPROP INT_L_X4Y69 NUM_SITES 1 TILEPROP INT_L_X4Y69 ROW 84 TILEPROP INT_L_X4Y69 SLR_REGION_ID 0 TILEPROP INT_L_X4Y69 TILE_PATTERN_IDX 6512 TILEPROP INT_L_X4Y69 TILE_TYPE INT_L TILEPROP INT_L_X4Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y69 TILE_X -76830 TILEPROP INT_L_X4Y69 TILE_Y -17600 TILEPROP INT_L_X4Y69 TYPE INT_L TILEPROP INT_L_X4Y70 CLASS tile TILEPROP INT_L_X4Y70 COLUMN 15 TILEPROP INT_L_X4Y70 DEVICE_ID 0 TILEPROP INT_L_X4Y70 FIRST_SITE_ID 8213 TILEPROP INT_L_X4Y70 GRID_POINT_X 15 TILEPROP INT_L_X4Y70 GRID_POINT_Y 83 TILEPROP INT_L_X4Y70 INDEX 9560 TILEPROP INT_L_X4Y70 INT_TILE_X 4 TILEPROP INT_L_X4Y70 INT_TILE_Y 79 TILEPROP INT_L_X4Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y70 IS_DCM_TILE 0 TILEPROP INT_L_X4Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y70 NAME INT_L_X4Y70 TILEPROP INT_L_X4Y70 NUM_ARCS 3737 TILEPROP INT_L_X4Y70 NUM_SITES 1 TILEPROP INT_L_X4Y70 ROW 83 TILEPROP INT_L_X4Y70 SLR_REGION_ID 0 TILEPROP INT_L_X4Y70 TILE_PATTERN_IDX 6481 TILEPROP INT_L_X4Y70 TILE_TYPE INT_L TILEPROP INT_L_X4Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y70 TILE_X -76830 TILEPROP INT_L_X4Y70 TILE_Y -14400 TILEPROP INT_L_X4Y70 TYPE INT_L TILEPROP INT_L_X4Y71 CLASS tile TILEPROP INT_L_X4Y71 COLUMN 15 TILEPROP INT_L_X4Y71 DEVICE_ID 0 TILEPROP INT_L_X4Y71 FIRST_SITE_ID 8113 TILEPROP INT_L_X4Y71 GRID_POINT_X 15 TILEPROP INT_L_X4Y71 GRID_POINT_Y 82 TILEPROP INT_L_X4Y71 INDEX 9445 TILEPROP INT_L_X4Y71 INT_TILE_X 4 TILEPROP INT_L_X4Y71 INT_TILE_Y 78 TILEPROP INT_L_X4Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y71 IS_DCM_TILE 0 TILEPROP INT_L_X4Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y71 NAME INT_L_X4Y71 TILEPROP INT_L_X4Y71 NUM_ARCS 3737 TILEPROP INT_L_X4Y71 NUM_SITES 1 TILEPROP INT_L_X4Y71 ROW 82 TILEPROP INT_L_X4Y71 SLR_REGION_ID 0 TILEPROP INT_L_X4Y71 TILE_PATTERN_IDX 6450 TILEPROP INT_L_X4Y71 TILE_TYPE INT_L TILEPROP INT_L_X4Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y71 TILE_X -76830 TILEPROP INT_L_X4Y71 TILE_Y -11200 TILEPROP INT_L_X4Y71 TYPE INT_L TILEPROP INT_L_X4Y72 CLASS tile TILEPROP INT_L_X4Y72 COLUMN 15 TILEPROP INT_L_X4Y72 DEVICE_ID 0 TILEPROP INT_L_X4Y72 FIRST_SITE_ID 8013 TILEPROP INT_L_X4Y72 GRID_POINT_X 15 TILEPROP INT_L_X4Y72 GRID_POINT_Y 81 TILEPROP INT_L_X4Y72 INDEX 9330 TILEPROP INT_L_X4Y72 INT_TILE_X 4 TILEPROP INT_L_X4Y72 INT_TILE_Y 77 TILEPROP INT_L_X4Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y72 IS_DCM_TILE 0 TILEPROP INT_L_X4Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y72 NAME INT_L_X4Y72 TILEPROP INT_L_X4Y72 NUM_ARCS 3737 TILEPROP INT_L_X4Y72 NUM_SITES 1 TILEPROP INT_L_X4Y72 ROW 81 TILEPROP INT_L_X4Y72 SLR_REGION_ID 0 TILEPROP INT_L_X4Y72 TILE_PATTERN_IDX 6419 TILEPROP INT_L_X4Y72 TILE_TYPE INT_L TILEPROP INT_L_X4Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y72 TILE_X -76830 TILEPROP INT_L_X4Y72 TILE_Y -8000 TILEPROP INT_L_X4Y72 TYPE INT_L TILEPROP INT_L_X4Y73 CLASS tile TILEPROP INT_L_X4Y73 COLUMN 15 TILEPROP INT_L_X4Y73 DEVICE_ID 0 TILEPROP INT_L_X4Y73 FIRST_SITE_ID 7913 TILEPROP INT_L_X4Y73 GRID_POINT_X 15 TILEPROP INT_L_X4Y73 GRID_POINT_Y 80 TILEPROP INT_L_X4Y73 INDEX 9215 TILEPROP INT_L_X4Y73 INT_TILE_X 4 TILEPROP INT_L_X4Y73 INT_TILE_Y 76 TILEPROP INT_L_X4Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y73 IS_DCM_TILE 0 TILEPROP INT_L_X4Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y73 NAME INT_L_X4Y73 TILEPROP INT_L_X4Y73 NUM_ARCS 3737 TILEPROP INT_L_X4Y73 NUM_SITES 1 TILEPROP INT_L_X4Y73 ROW 80 TILEPROP INT_L_X4Y73 SLR_REGION_ID 0 TILEPROP INT_L_X4Y73 TILE_PATTERN_IDX 6388 TILEPROP INT_L_X4Y73 TILE_TYPE INT_L TILEPROP INT_L_X4Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y73 TILE_X -76830 TILEPROP INT_L_X4Y73 TILE_Y -4800 TILEPROP INT_L_X4Y73 TYPE INT_L TILEPROP INT_L_X4Y74 CLASS tile TILEPROP INT_L_X4Y74 COLUMN 15 TILEPROP INT_L_X4Y74 DEVICE_ID 0 TILEPROP INT_L_X4Y74 FIRST_SITE_ID 7813 TILEPROP INT_L_X4Y74 GRID_POINT_X 15 TILEPROP INT_L_X4Y74 GRID_POINT_Y 79 TILEPROP INT_L_X4Y74 INDEX 9100 TILEPROP INT_L_X4Y74 INT_TILE_X 4 TILEPROP INT_L_X4Y74 INT_TILE_Y 75 TILEPROP INT_L_X4Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y74 IS_DCM_TILE 0 TILEPROP INT_L_X4Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y74 NAME INT_L_X4Y74 TILEPROP INT_L_X4Y74 NUM_ARCS 3737 TILEPROP INT_L_X4Y74 NUM_SITES 1 TILEPROP INT_L_X4Y74 ROW 79 TILEPROP INT_L_X4Y74 SLR_REGION_ID 0 TILEPROP INT_L_X4Y74 TILE_PATTERN_IDX 6357 TILEPROP INT_L_X4Y74 TILE_TYPE INT_L TILEPROP INT_L_X4Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y74 TILE_X -76830 TILEPROP INT_L_X4Y74 TILE_Y -1600 TILEPROP INT_L_X4Y74 TYPE INT_L TILEPROP INT_L_X4Y75 CLASS tile TILEPROP INT_L_X4Y75 COLUMN 15 TILEPROP INT_L_X4Y75 DEVICE_ID 0 TILEPROP INT_L_X4Y75 FIRST_SITE_ID 7612 TILEPROP INT_L_X4Y75 GRID_POINT_X 15 TILEPROP INT_L_X4Y75 GRID_POINT_Y 77 TILEPROP INT_L_X4Y75 INDEX 8870 TILEPROP INT_L_X4Y75 INT_TILE_X 4 TILEPROP INT_L_X4Y75 INT_TILE_Y 74 TILEPROP INT_L_X4Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y75 IS_DCM_TILE 0 TILEPROP INT_L_X4Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y75 NAME INT_L_X4Y75 TILEPROP INT_L_X4Y75 NUM_ARCS 3737 TILEPROP INT_L_X4Y75 NUM_SITES 1 TILEPROP INT_L_X4Y75 ROW 77 TILEPROP INT_L_X4Y75 SLR_REGION_ID 0 TILEPROP INT_L_X4Y75 TILE_PATTERN_IDX 6281 TILEPROP INT_L_X4Y75 TILE_TYPE INT_L TILEPROP INT_L_X4Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y75 TILE_X -76830 TILEPROP INT_L_X4Y75 TILE_Y 2624 TILEPROP INT_L_X4Y75 TYPE INT_L TILEPROP INT_L_X4Y76 CLASS tile TILEPROP INT_L_X4Y76 COLUMN 15 TILEPROP INT_L_X4Y76 DEVICE_ID 0 TILEPROP INT_L_X4Y76 FIRST_SITE_ID 7512 TILEPROP INT_L_X4Y76 GRID_POINT_X 15 TILEPROP INT_L_X4Y76 GRID_POINT_Y 76 TILEPROP INT_L_X4Y76 INDEX 8755 TILEPROP INT_L_X4Y76 INT_TILE_X 4 TILEPROP INT_L_X4Y76 INT_TILE_Y 73 TILEPROP INT_L_X4Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y76 IS_DCM_TILE 0 TILEPROP INT_L_X4Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y76 NAME INT_L_X4Y76 TILEPROP INT_L_X4Y76 NUM_ARCS 3737 TILEPROP INT_L_X4Y76 NUM_SITES 1 TILEPROP INT_L_X4Y76 ROW 76 TILEPROP INT_L_X4Y76 SLR_REGION_ID 0 TILEPROP INT_L_X4Y76 TILE_PATTERN_IDX 6248 TILEPROP INT_L_X4Y76 TILE_TYPE INT_L TILEPROP INT_L_X4Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y76 TILE_X -76830 TILEPROP INT_L_X4Y76 TILE_Y 5824 TILEPROP INT_L_X4Y76 TYPE INT_L TILEPROP INT_L_X4Y77 CLASS tile TILEPROP INT_L_X4Y77 COLUMN 15 TILEPROP INT_L_X4Y77 DEVICE_ID 0 TILEPROP INT_L_X4Y77 FIRST_SITE_ID 7412 TILEPROP INT_L_X4Y77 GRID_POINT_X 15 TILEPROP INT_L_X4Y77 GRID_POINT_Y 75 TILEPROP INT_L_X4Y77 INDEX 8640 TILEPROP INT_L_X4Y77 INT_TILE_X 4 TILEPROP INT_L_X4Y77 INT_TILE_Y 72 TILEPROP INT_L_X4Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y77 IS_DCM_TILE 0 TILEPROP INT_L_X4Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y77 NAME INT_L_X4Y77 TILEPROP INT_L_X4Y77 NUM_ARCS 3737 TILEPROP INT_L_X4Y77 NUM_SITES 1 TILEPROP INT_L_X4Y77 ROW 75 TILEPROP INT_L_X4Y77 SLR_REGION_ID 0 TILEPROP INT_L_X4Y77 TILE_PATTERN_IDX 6214 TILEPROP INT_L_X4Y77 TILE_TYPE INT_L TILEPROP INT_L_X4Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y77 TILE_X -76830 TILEPROP INT_L_X4Y77 TILE_Y 9024 TILEPROP INT_L_X4Y77 TYPE INT_L TILEPROP INT_L_X4Y78 CLASS tile TILEPROP INT_L_X4Y78 COLUMN 15 TILEPROP INT_L_X4Y78 DEVICE_ID 0 TILEPROP INT_L_X4Y78 FIRST_SITE_ID 7312 TILEPROP INT_L_X4Y78 GRID_POINT_X 15 TILEPROP INT_L_X4Y78 GRID_POINT_Y 74 TILEPROP INT_L_X4Y78 INDEX 8525 TILEPROP INT_L_X4Y78 INT_TILE_X 4 TILEPROP INT_L_X4Y78 INT_TILE_Y 71 TILEPROP INT_L_X4Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y78 IS_DCM_TILE 0 TILEPROP INT_L_X4Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y78 NAME INT_L_X4Y78 TILEPROP INT_L_X4Y78 NUM_ARCS 3737 TILEPROP INT_L_X4Y78 NUM_SITES 1 TILEPROP INT_L_X4Y78 ROW 74 TILEPROP INT_L_X4Y78 SLR_REGION_ID 0 TILEPROP INT_L_X4Y78 TILE_PATTERN_IDX 6181 TILEPROP INT_L_X4Y78 TILE_TYPE INT_L TILEPROP INT_L_X4Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y78 TILE_X -76830 TILEPROP INT_L_X4Y78 TILE_Y 12224 TILEPROP INT_L_X4Y78 TYPE INT_L TILEPROP INT_L_X4Y79 CLASS tile TILEPROP INT_L_X4Y79 COLUMN 15 TILEPROP INT_L_X4Y79 DEVICE_ID 0 TILEPROP INT_L_X4Y79 FIRST_SITE_ID 7206 TILEPROP INT_L_X4Y79 GRID_POINT_X 15 TILEPROP INT_L_X4Y79 GRID_POINT_Y 73 TILEPROP INT_L_X4Y79 INDEX 8410 TILEPROP INT_L_X4Y79 INT_TILE_X 4 TILEPROP INT_L_X4Y79 INT_TILE_Y 70 TILEPROP INT_L_X4Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y79 IS_DCM_TILE 0 TILEPROP INT_L_X4Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y79 NAME INT_L_X4Y79 TILEPROP INT_L_X4Y79 NUM_ARCS 3737 TILEPROP INT_L_X4Y79 NUM_SITES 1 TILEPROP INT_L_X4Y79 ROW 73 TILEPROP INT_L_X4Y79 SLR_REGION_ID 0 TILEPROP INT_L_X4Y79 TILE_PATTERN_IDX 6146 TILEPROP INT_L_X4Y79 TILE_TYPE INT_L TILEPROP INT_L_X4Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y79 TILE_X -76830 TILEPROP INT_L_X4Y79 TILE_Y 15424 TILEPROP INT_L_X4Y79 TYPE INT_L TILEPROP INT_L_X4Y80 CLASS tile TILEPROP INT_L_X4Y80 COLUMN 15 TILEPROP INT_L_X4Y80 DEVICE_ID 0 TILEPROP INT_L_X4Y80 FIRST_SITE_ID 7085 TILEPROP INT_L_X4Y80 GRID_POINT_X 15 TILEPROP INT_L_X4Y80 GRID_POINT_Y 72 TILEPROP INT_L_X4Y80 INDEX 8295 TILEPROP INT_L_X4Y80 INT_TILE_X 4 TILEPROP INT_L_X4Y80 INT_TILE_Y 69 TILEPROP INT_L_X4Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y80 IS_DCM_TILE 0 TILEPROP INT_L_X4Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y80 NAME INT_L_X4Y80 TILEPROP INT_L_X4Y80 NUM_ARCS 3737 TILEPROP INT_L_X4Y80 NUM_SITES 1 TILEPROP INT_L_X4Y80 ROW 72 TILEPROP INT_L_X4Y80 SLR_REGION_ID 0 TILEPROP INT_L_X4Y80 TILE_PATTERN_IDX 6111 TILEPROP INT_L_X4Y80 TILE_TYPE INT_L TILEPROP INT_L_X4Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y80 TILE_X -76830 TILEPROP INT_L_X4Y80 TILE_Y 18624 TILEPROP INT_L_X4Y80 TYPE INT_L TILEPROP INT_L_X4Y81 CLASS tile TILEPROP INT_L_X4Y81 COLUMN 15 TILEPROP INT_L_X4Y81 DEVICE_ID 0 TILEPROP INT_L_X4Y81 FIRST_SITE_ID 6983 TILEPROP INT_L_X4Y81 GRID_POINT_X 15 TILEPROP INT_L_X4Y81 GRID_POINT_Y 71 TILEPROP INT_L_X4Y81 INDEX 8180 TILEPROP INT_L_X4Y81 INT_TILE_X 4 TILEPROP INT_L_X4Y81 INT_TILE_Y 68 TILEPROP INT_L_X4Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y81 IS_DCM_TILE 0 TILEPROP INT_L_X4Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y81 NAME INT_L_X4Y81 TILEPROP INT_L_X4Y81 NUM_ARCS 3737 TILEPROP INT_L_X4Y81 NUM_SITES 1 TILEPROP INT_L_X4Y81 ROW 71 TILEPROP INT_L_X4Y81 SLR_REGION_ID 0 TILEPROP INT_L_X4Y81 TILE_PATTERN_IDX 6077 TILEPROP INT_L_X4Y81 TILE_TYPE INT_L TILEPROP INT_L_X4Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y81 TILE_X -76830 TILEPROP INT_L_X4Y81 TILE_Y 21824 TILEPROP INT_L_X4Y81 TYPE INT_L TILEPROP INT_L_X4Y82 CLASS tile TILEPROP INT_L_X4Y82 COLUMN 15 TILEPROP INT_L_X4Y82 DEVICE_ID 0 TILEPROP INT_L_X4Y82 FIRST_SITE_ID 6881 TILEPROP INT_L_X4Y82 GRID_POINT_X 15 TILEPROP INT_L_X4Y82 GRID_POINT_Y 70 TILEPROP INT_L_X4Y82 INDEX 8065 TILEPROP INT_L_X4Y82 INT_TILE_X 4 TILEPROP INT_L_X4Y82 INT_TILE_Y 67 TILEPROP INT_L_X4Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y82 IS_DCM_TILE 0 TILEPROP INT_L_X4Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y82 NAME INT_L_X4Y82 TILEPROP INT_L_X4Y82 NUM_ARCS 3737 TILEPROP INT_L_X4Y82 NUM_SITES 1 TILEPROP INT_L_X4Y82 ROW 70 TILEPROP INT_L_X4Y82 SLR_REGION_ID 0 TILEPROP INT_L_X4Y82 TILE_PATTERN_IDX 6043 TILEPROP INT_L_X4Y82 TILE_TYPE INT_L TILEPROP INT_L_X4Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y82 TILE_X -76830 TILEPROP INT_L_X4Y82 TILE_Y 25024 TILEPROP INT_L_X4Y82 TYPE INT_L TILEPROP INT_L_X4Y83 CLASS tile TILEPROP INT_L_X4Y83 COLUMN 15 TILEPROP INT_L_X4Y83 DEVICE_ID 0 TILEPROP INT_L_X4Y83 FIRST_SITE_ID 6781 TILEPROP INT_L_X4Y83 GRID_POINT_X 15 TILEPROP INT_L_X4Y83 GRID_POINT_Y 69 TILEPROP INT_L_X4Y83 INDEX 7950 TILEPROP INT_L_X4Y83 INT_TILE_X 4 TILEPROP INT_L_X4Y83 INT_TILE_Y 66 TILEPROP INT_L_X4Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y83 IS_DCM_TILE 0 TILEPROP INT_L_X4Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y83 NAME INT_L_X4Y83 TILEPROP INT_L_X4Y83 NUM_ARCS 3737 TILEPROP INT_L_X4Y83 NUM_SITES 1 TILEPROP INT_L_X4Y83 ROW 69 TILEPROP INT_L_X4Y83 SLR_REGION_ID 0 TILEPROP INT_L_X4Y83 TILE_PATTERN_IDX 6009 TILEPROP INT_L_X4Y83 TILE_TYPE INT_L TILEPROP INT_L_X4Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y83 TILE_X -76830 TILEPROP INT_L_X4Y83 TILE_Y 28224 TILEPROP INT_L_X4Y83 TYPE INT_L TILEPROP INT_L_X4Y84 CLASS tile TILEPROP INT_L_X4Y84 COLUMN 15 TILEPROP INT_L_X4Y84 DEVICE_ID 0 TILEPROP INT_L_X4Y84 FIRST_SITE_ID 6681 TILEPROP INT_L_X4Y84 GRID_POINT_X 15 TILEPROP INT_L_X4Y84 GRID_POINT_Y 68 TILEPROP INT_L_X4Y84 INDEX 7835 TILEPROP INT_L_X4Y84 INT_TILE_X 4 TILEPROP INT_L_X4Y84 INT_TILE_Y 65 TILEPROP INT_L_X4Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y84 IS_DCM_TILE 0 TILEPROP INT_L_X4Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y84 NAME INT_L_X4Y84 TILEPROP INT_L_X4Y84 NUM_ARCS 3737 TILEPROP INT_L_X4Y84 NUM_SITES 1 TILEPROP INT_L_X4Y84 ROW 68 TILEPROP INT_L_X4Y84 SLR_REGION_ID 0 TILEPROP INT_L_X4Y84 TILE_PATTERN_IDX 5975 TILEPROP INT_L_X4Y84 TILE_TYPE INT_L TILEPROP INT_L_X4Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y84 TILE_X -76830 TILEPROP INT_L_X4Y84 TILE_Y 31424 TILEPROP INT_L_X4Y84 TYPE INT_L TILEPROP INT_L_X4Y85 CLASS tile TILEPROP INT_L_X4Y85 COLUMN 15 TILEPROP INT_L_X4Y85 DEVICE_ID 0 TILEPROP INT_L_X4Y85 FIRST_SITE_ID 6566 TILEPROP INT_L_X4Y85 GRID_POINT_X 15 TILEPROP INT_L_X4Y85 GRID_POINT_Y 67 TILEPROP INT_L_X4Y85 INDEX 7720 TILEPROP INT_L_X4Y85 INT_TILE_X 4 TILEPROP INT_L_X4Y85 INT_TILE_Y 64 TILEPROP INT_L_X4Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y85 IS_DCM_TILE 0 TILEPROP INT_L_X4Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y85 NAME INT_L_X4Y85 TILEPROP INT_L_X4Y85 NUM_ARCS 3737 TILEPROP INT_L_X4Y85 NUM_SITES 1 TILEPROP INT_L_X4Y85 ROW 67 TILEPROP INT_L_X4Y85 SLR_REGION_ID 0 TILEPROP INT_L_X4Y85 TILE_PATTERN_IDX 5940 TILEPROP INT_L_X4Y85 TILE_TYPE INT_L TILEPROP INT_L_X4Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y85 TILE_X -76830 TILEPROP INT_L_X4Y85 TILE_Y 34624 TILEPROP INT_L_X4Y85 TYPE INT_L TILEPROP INT_L_X4Y86 CLASS tile TILEPROP INT_L_X4Y86 COLUMN 15 TILEPROP INT_L_X4Y86 DEVICE_ID 0 TILEPROP INT_L_X4Y86 FIRST_SITE_ID 6434 TILEPROP INT_L_X4Y86 GRID_POINT_X 15 TILEPROP INT_L_X4Y86 GRID_POINT_Y 66 TILEPROP INT_L_X4Y86 INDEX 7605 TILEPROP INT_L_X4Y86 INT_TILE_X 4 TILEPROP INT_L_X4Y86 INT_TILE_Y 63 TILEPROP INT_L_X4Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y86 IS_DCM_TILE 0 TILEPROP INT_L_X4Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y86 NAME INT_L_X4Y86 TILEPROP INT_L_X4Y86 NUM_ARCS 3737 TILEPROP INT_L_X4Y86 NUM_SITES 1 TILEPROP INT_L_X4Y86 ROW 66 TILEPROP INT_L_X4Y86 SLR_REGION_ID 0 TILEPROP INT_L_X4Y86 TILE_PATTERN_IDX 5905 TILEPROP INT_L_X4Y86 TILE_TYPE INT_L TILEPROP INT_L_X4Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y86 TILE_X -76830 TILEPROP INT_L_X4Y86 TILE_Y 37824 TILEPROP INT_L_X4Y86 TYPE INT_L TILEPROP INT_L_X4Y87 CLASS tile TILEPROP INT_L_X4Y87 COLUMN 15 TILEPROP INT_L_X4Y87 DEVICE_ID 0 TILEPROP INT_L_X4Y87 FIRST_SITE_ID 6334 TILEPROP INT_L_X4Y87 GRID_POINT_X 15 TILEPROP INT_L_X4Y87 GRID_POINT_Y 65 TILEPROP INT_L_X4Y87 INDEX 7490 TILEPROP INT_L_X4Y87 INT_TILE_X 4 TILEPROP INT_L_X4Y87 INT_TILE_Y 62 TILEPROP INT_L_X4Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y87 IS_DCM_TILE 0 TILEPROP INT_L_X4Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y87 NAME INT_L_X4Y87 TILEPROP INT_L_X4Y87 NUM_ARCS 3737 TILEPROP INT_L_X4Y87 NUM_SITES 1 TILEPROP INT_L_X4Y87 ROW 65 TILEPROP INT_L_X4Y87 SLR_REGION_ID 0 TILEPROP INT_L_X4Y87 TILE_PATTERN_IDX 5871 TILEPROP INT_L_X4Y87 TILE_TYPE INT_L TILEPROP INT_L_X4Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y87 TILE_X -76830 TILEPROP INT_L_X4Y87 TILE_Y 41024 TILEPROP INT_L_X4Y87 TYPE INT_L TILEPROP INT_L_X4Y88 CLASS tile TILEPROP INT_L_X4Y88 COLUMN 15 TILEPROP INT_L_X4Y88 DEVICE_ID 0 TILEPROP INT_L_X4Y88 FIRST_SITE_ID 6234 TILEPROP INT_L_X4Y88 GRID_POINT_X 15 TILEPROP INT_L_X4Y88 GRID_POINT_Y 64 TILEPROP INT_L_X4Y88 INDEX 7375 TILEPROP INT_L_X4Y88 INT_TILE_X 4 TILEPROP INT_L_X4Y88 INT_TILE_Y 61 TILEPROP INT_L_X4Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y88 IS_DCM_TILE 0 TILEPROP INT_L_X4Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y88 NAME INT_L_X4Y88 TILEPROP INT_L_X4Y88 NUM_ARCS 3737 TILEPROP INT_L_X4Y88 NUM_SITES 1 TILEPROP INT_L_X4Y88 ROW 64 TILEPROP INT_L_X4Y88 SLR_REGION_ID 0 TILEPROP INT_L_X4Y88 TILE_PATTERN_IDX 5838 TILEPROP INT_L_X4Y88 TILE_TYPE INT_L TILEPROP INT_L_X4Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y88 TILE_X -76830 TILEPROP INT_L_X4Y88 TILE_Y 44224 TILEPROP INT_L_X4Y88 TYPE INT_L TILEPROP INT_L_X4Y89 CLASS tile TILEPROP INT_L_X4Y89 COLUMN 15 TILEPROP INT_L_X4Y89 DEVICE_ID 0 TILEPROP INT_L_X4Y89 FIRST_SITE_ID 6134 TILEPROP INT_L_X4Y89 GRID_POINT_X 15 TILEPROP INT_L_X4Y89 GRID_POINT_Y 63 TILEPROP INT_L_X4Y89 INDEX 7260 TILEPROP INT_L_X4Y89 INT_TILE_X 4 TILEPROP INT_L_X4Y89 INT_TILE_Y 60 TILEPROP INT_L_X4Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y89 IS_DCM_TILE 0 TILEPROP INT_L_X4Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y89 NAME INT_L_X4Y89 TILEPROP INT_L_X4Y89 NUM_ARCS 3737 TILEPROP INT_L_X4Y89 NUM_SITES 1 TILEPROP INT_L_X4Y89 ROW 63 TILEPROP INT_L_X4Y89 SLR_REGION_ID 0 TILEPROP INT_L_X4Y89 TILE_PATTERN_IDX 5804 TILEPROP INT_L_X4Y89 TILE_TYPE INT_L TILEPROP INT_L_X4Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y89 TILE_X -76830 TILEPROP INT_L_X4Y89 TILE_Y 47424 TILEPROP INT_L_X4Y89 TYPE INT_L TILEPROP INT_L_X4Y90 CLASS tile TILEPROP INT_L_X4Y90 COLUMN 15 TILEPROP INT_L_X4Y90 DEVICE_ID 0 TILEPROP INT_L_X4Y90 FIRST_SITE_ID 6019 TILEPROP INT_L_X4Y90 GRID_POINT_X 15 TILEPROP INT_L_X4Y90 GRID_POINT_Y 62 TILEPROP INT_L_X4Y90 INDEX 7145 TILEPROP INT_L_X4Y90 INT_TILE_X 4 TILEPROP INT_L_X4Y90 INT_TILE_Y 59 TILEPROP INT_L_X4Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y90 IS_DCM_TILE 0 TILEPROP INT_L_X4Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y90 NAME INT_L_X4Y90 TILEPROP INT_L_X4Y90 NUM_ARCS 3737 TILEPROP INT_L_X4Y90 NUM_SITES 1 TILEPROP INT_L_X4Y90 ROW 62 TILEPROP INT_L_X4Y90 SLR_REGION_ID 0 TILEPROP INT_L_X4Y90 TILE_PATTERN_IDX 5770 TILEPROP INT_L_X4Y90 TILE_TYPE INT_L TILEPROP INT_L_X4Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y90 TILE_X -76830 TILEPROP INT_L_X4Y90 TILE_Y 50624 TILEPROP INT_L_X4Y90 TYPE INT_L TILEPROP INT_L_X4Y91 CLASS tile TILEPROP INT_L_X4Y91 COLUMN 15 TILEPROP INT_L_X4Y91 DEVICE_ID 0 TILEPROP INT_L_X4Y91 FIRST_SITE_ID 5919 TILEPROP INT_L_X4Y91 GRID_POINT_X 15 TILEPROP INT_L_X4Y91 GRID_POINT_Y 61 TILEPROP INT_L_X4Y91 INDEX 7030 TILEPROP INT_L_X4Y91 INT_TILE_X 4 TILEPROP INT_L_X4Y91 INT_TILE_Y 58 TILEPROP INT_L_X4Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y91 IS_DCM_TILE 0 TILEPROP INT_L_X4Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y91 NAME INT_L_X4Y91 TILEPROP INT_L_X4Y91 NUM_ARCS 3737 TILEPROP INT_L_X4Y91 NUM_SITES 1 TILEPROP INT_L_X4Y91 ROW 61 TILEPROP INT_L_X4Y91 SLR_REGION_ID 0 TILEPROP INT_L_X4Y91 TILE_PATTERN_IDX 5736 TILEPROP INT_L_X4Y91 TILE_TYPE INT_L TILEPROP INT_L_X4Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y91 TILE_X -76830 TILEPROP INT_L_X4Y91 TILE_Y 53824 TILEPROP INT_L_X4Y91 TYPE INT_L TILEPROP INT_L_X4Y92 CLASS tile TILEPROP INT_L_X4Y92 COLUMN 15 TILEPROP INT_L_X4Y92 DEVICE_ID 0 TILEPROP INT_L_X4Y92 FIRST_SITE_ID 5818 TILEPROP INT_L_X4Y92 GRID_POINT_X 15 TILEPROP INT_L_X4Y92 GRID_POINT_Y 60 TILEPROP INT_L_X4Y92 INDEX 6915 TILEPROP INT_L_X4Y92 INT_TILE_X 4 TILEPROP INT_L_X4Y92 INT_TILE_Y 57 TILEPROP INT_L_X4Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y92 IS_DCM_TILE 0 TILEPROP INT_L_X4Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y92 NAME INT_L_X4Y92 TILEPROP INT_L_X4Y92 NUM_ARCS 3737 TILEPROP INT_L_X4Y92 NUM_SITES 1 TILEPROP INT_L_X4Y92 ROW 60 TILEPROP INT_L_X4Y92 SLR_REGION_ID 0 TILEPROP INT_L_X4Y92 TILE_PATTERN_IDX 5703 TILEPROP INT_L_X4Y92 TILE_TYPE INT_L TILEPROP INT_L_X4Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y92 TILE_X -76830 TILEPROP INT_L_X4Y92 TILE_Y 57024 TILEPROP INT_L_X4Y92 TYPE INT_L TILEPROP INT_L_X4Y93 CLASS tile TILEPROP INT_L_X4Y93 COLUMN 15 TILEPROP INT_L_X4Y93 DEVICE_ID 0 TILEPROP INT_L_X4Y93 FIRST_SITE_ID 5715 TILEPROP INT_L_X4Y93 GRID_POINT_X 15 TILEPROP INT_L_X4Y93 GRID_POINT_Y 59 TILEPROP INT_L_X4Y93 INDEX 6800 TILEPROP INT_L_X4Y93 INT_TILE_X 4 TILEPROP INT_L_X4Y93 INT_TILE_Y 56 TILEPROP INT_L_X4Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y93 IS_DCM_TILE 0 TILEPROP INT_L_X4Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y93 NAME INT_L_X4Y93 TILEPROP INT_L_X4Y93 NUM_ARCS 3737 TILEPROP INT_L_X4Y93 NUM_SITES 1 TILEPROP INT_L_X4Y93 ROW 59 TILEPROP INT_L_X4Y93 SLR_REGION_ID 0 TILEPROP INT_L_X4Y93 TILE_PATTERN_IDX 5669 TILEPROP INT_L_X4Y93 TILE_TYPE INT_L TILEPROP INT_L_X4Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y93 TILE_X -76830 TILEPROP INT_L_X4Y93 TILE_Y 60224 TILEPROP INT_L_X4Y93 TYPE INT_L TILEPROP INT_L_X4Y94 CLASS tile TILEPROP INT_L_X4Y94 COLUMN 15 TILEPROP INT_L_X4Y94 DEVICE_ID 0 TILEPROP INT_L_X4Y94 FIRST_SITE_ID 5613 TILEPROP INT_L_X4Y94 GRID_POINT_X 15 TILEPROP INT_L_X4Y94 GRID_POINT_Y 58 TILEPROP INT_L_X4Y94 INDEX 6685 TILEPROP INT_L_X4Y94 INT_TILE_X 4 TILEPROP INT_L_X4Y94 INT_TILE_Y 55 TILEPROP INT_L_X4Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y94 IS_DCM_TILE 0 TILEPROP INT_L_X4Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y94 NAME INT_L_X4Y94 TILEPROP INT_L_X4Y94 NUM_ARCS 3737 TILEPROP INT_L_X4Y94 NUM_SITES 1 TILEPROP INT_L_X4Y94 ROW 58 TILEPROP INT_L_X4Y94 SLR_REGION_ID 0 TILEPROP INT_L_X4Y94 TILE_PATTERN_IDX 5635 TILEPROP INT_L_X4Y94 TILE_TYPE INT_L TILEPROP INT_L_X4Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y94 TILE_X -76830 TILEPROP INT_L_X4Y94 TILE_Y 63424 TILEPROP INT_L_X4Y94 TYPE INT_L TILEPROP INT_L_X4Y95 CLASS tile TILEPROP INT_L_X4Y95 COLUMN 15 TILEPROP INT_L_X4Y95 DEVICE_ID 0 TILEPROP INT_L_X4Y95 FIRST_SITE_ID 5498 TILEPROP INT_L_X4Y95 GRID_POINT_X 15 TILEPROP INT_L_X4Y95 GRID_POINT_Y 57 TILEPROP INT_L_X4Y95 INDEX 6570 TILEPROP INT_L_X4Y95 INT_TILE_X 4 TILEPROP INT_L_X4Y95 INT_TILE_Y 54 TILEPROP INT_L_X4Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y95 IS_DCM_TILE 0 TILEPROP INT_L_X4Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y95 NAME INT_L_X4Y95 TILEPROP INT_L_X4Y95 NUM_ARCS 3737 TILEPROP INT_L_X4Y95 NUM_SITES 1 TILEPROP INT_L_X4Y95 ROW 57 TILEPROP INT_L_X4Y95 SLR_REGION_ID 0 TILEPROP INT_L_X4Y95 TILE_PATTERN_IDX 5600 TILEPROP INT_L_X4Y95 TILE_TYPE INT_L TILEPROP INT_L_X4Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y95 TILE_X -76830 TILEPROP INT_L_X4Y95 TILE_Y 66624 TILEPROP INT_L_X4Y95 TYPE INT_L TILEPROP INT_L_X4Y96 CLASS tile TILEPROP INT_L_X4Y96 COLUMN 15 TILEPROP INT_L_X4Y96 DEVICE_ID 0 TILEPROP INT_L_X4Y96 FIRST_SITE_ID 5398 TILEPROP INT_L_X4Y96 GRID_POINT_X 15 TILEPROP INT_L_X4Y96 GRID_POINT_Y 56 TILEPROP INT_L_X4Y96 INDEX 6455 TILEPROP INT_L_X4Y96 INT_TILE_X 4 TILEPROP INT_L_X4Y96 INT_TILE_Y 53 TILEPROP INT_L_X4Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y96 IS_DCM_TILE 0 TILEPROP INT_L_X4Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y96 NAME INT_L_X4Y96 TILEPROP INT_L_X4Y96 NUM_ARCS 3737 TILEPROP INT_L_X4Y96 NUM_SITES 1 TILEPROP INT_L_X4Y96 ROW 56 TILEPROP INT_L_X4Y96 SLR_REGION_ID 0 TILEPROP INT_L_X4Y96 TILE_PATTERN_IDX 5566 TILEPROP INT_L_X4Y96 TILE_TYPE INT_L TILEPROP INT_L_X4Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y96 TILE_X -76830 TILEPROP INT_L_X4Y96 TILE_Y 69824 TILEPROP INT_L_X4Y96 TYPE INT_L TILEPROP INT_L_X4Y97 CLASS tile TILEPROP INT_L_X4Y97 COLUMN 15 TILEPROP INT_L_X4Y97 DEVICE_ID 0 TILEPROP INT_L_X4Y97 FIRST_SITE_ID 5298 TILEPROP INT_L_X4Y97 GRID_POINT_X 15 TILEPROP INT_L_X4Y97 GRID_POINT_Y 55 TILEPROP INT_L_X4Y97 INDEX 6340 TILEPROP INT_L_X4Y97 INT_TILE_X 4 TILEPROP INT_L_X4Y97 INT_TILE_Y 52 TILEPROP INT_L_X4Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y97 IS_DCM_TILE 0 TILEPROP INT_L_X4Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y97 NAME INT_L_X4Y97 TILEPROP INT_L_X4Y97 NUM_ARCS 3737 TILEPROP INT_L_X4Y97 NUM_SITES 1 TILEPROP INT_L_X4Y97 ROW 55 TILEPROP INT_L_X4Y97 SLR_REGION_ID 0 TILEPROP INT_L_X4Y97 TILE_PATTERN_IDX 5532 TILEPROP INT_L_X4Y97 TILE_TYPE INT_L TILEPROP INT_L_X4Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y97 TILE_X -76830 TILEPROP INT_L_X4Y97 TILE_Y 73024 TILEPROP INT_L_X4Y97 TYPE INT_L TILEPROP INT_L_X4Y98 CLASS tile TILEPROP INT_L_X4Y98 COLUMN 15 TILEPROP INT_L_X4Y98 DEVICE_ID 0 TILEPROP INT_L_X4Y98 FIRST_SITE_ID 5198 TILEPROP INT_L_X4Y98 GRID_POINT_X 15 TILEPROP INT_L_X4Y98 GRID_POINT_Y 54 TILEPROP INT_L_X4Y98 INDEX 6225 TILEPROP INT_L_X4Y98 INT_TILE_X 4 TILEPROP INT_L_X4Y98 INT_TILE_Y 51 TILEPROP INT_L_X4Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y98 IS_DCM_TILE 0 TILEPROP INT_L_X4Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y98 NAME INT_L_X4Y98 TILEPROP INT_L_X4Y98 NUM_ARCS 3737 TILEPROP INT_L_X4Y98 NUM_SITES 1 TILEPROP INT_L_X4Y98 ROW 54 TILEPROP INT_L_X4Y98 SLR_REGION_ID 0 TILEPROP INT_L_X4Y98 TILE_PATTERN_IDX 5498 TILEPROP INT_L_X4Y98 TILE_TYPE INT_L TILEPROP INT_L_X4Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y98 TILE_X -76830 TILEPROP INT_L_X4Y98 TILE_Y 76224 TILEPROP INT_L_X4Y98 TYPE INT_L TILEPROP INT_L_X4Y99 CLASS tile TILEPROP INT_L_X4Y99 COLUMN 15 TILEPROP INT_L_X4Y99 DEVICE_ID 0 TILEPROP INT_L_X4Y99 FIRST_SITE_ID 5102 TILEPROP INT_L_X4Y99 GRID_POINT_X 15 TILEPROP INT_L_X4Y99 GRID_POINT_Y 53 TILEPROP INT_L_X4Y99 INDEX 6110 TILEPROP INT_L_X4Y99 INT_TILE_X 4 TILEPROP INT_L_X4Y99 INT_TILE_Y 50 TILEPROP INT_L_X4Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y99 IS_DCM_TILE 0 TILEPROP INT_L_X4Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y99 NAME INT_L_X4Y99 TILEPROP INT_L_X4Y99 NUM_ARCS 3737 TILEPROP INT_L_X4Y99 NUM_SITES 1 TILEPROP INT_L_X4Y99 ROW 53 TILEPROP INT_L_X4Y99 SLR_REGION_ID 0 TILEPROP INT_L_X4Y99 TILE_PATTERN_IDX 5465 TILEPROP INT_L_X4Y99 TILE_TYPE INT_L TILEPROP INT_L_X4Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y99 TILE_X -76830 TILEPROP INT_L_X4Y99 TILE_Y 79424 TILEPROP INT_L_X4Y99 TYPE INT_L TILEPROP INT_L_X4Y100 CLASS tile TILEPROP INT_L_X4Y100 COLUMN 15 TILEPROP INT_L_X4Y100 DEVICE_ID 0 TILEPROP INT_L_X4Y100 FIRST_SITE_ID 5001 TILEPROP INT_L_X4Y100 GRID_POINT_X 15 TILEPROP INT_L_X4Y100 GRID_POINT_Y 51 TILEPROP INT_L_X4Y100 INDEX 5880 TILEPROP INT_L_X4Y100 INT_TILE_X 4 TILEPROP INT_L_X4Y100 INT_TILE_Y 49 TILEPROP INT_L_X4Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y100 IS_DCM_TILE 0 TILEPROP INT_L_X4Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y100 NAME INT_L_X4Y100 TILEPROP INT_L_X4Y100 NUM_ARCS 3737 TILEPROP INT_L_X4Y100 NUM_SITES 1 TILEPROP INT_L_X4Y100 ROW 51 TILEPROP INT_L_X4Y100 SLR_REGION_ID 0 TILEPROP INT_L_X4Y100 TILE_PATTERN_IDX 5428 TILEPROP INT_L_X4Y100 TILE_TYPE INT_L TILEPROP INT_L_X4Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y100 TILE_X -76830 TILEPROP INT_L_X4Y100 TILE_Y 82872 TILEPROP INT_L_X4Y100 TYPE INT_L TILEPROP INT_L_X4Y101 CLASS tile TILEPROP INT_L_X4Y101 COLUMN 15 TILEPROP INT_L_X4Y101 DEVICE_ID 0 TILEPROP INT_L_X4Y101 FIRST_SITE_ID 4909 TILEPROP INT_L_X4Y101 GRID_POINT_X 15 TILEPROP INT_L_X4Y101 GRID_POINT_Y 50 TILEPROP INT_L_X4Y101 INDEX 5765 TILEPROP INT_L_X4Y101 INT_TILE_X 4 TILEPROP INT_L_X4Y101 INT_TILE_Y 48 TILEPROP INT_L_X4Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y101 IS_DCM_TILE 0 TILEPROP INT_L_X4Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y101 NAME INT_L_X4Y101 TILEPROP INT_L_X4Y101 NUM_ARCS 3737 TILEPROP INT_L_X4Y101 NUM_SITES 1 TILEPROP INT_L_X4Y101 ROW 50 TILEPROP INT_L_X4Y101 SLR_REGION_ID 0 TILEPROP INT_L_X4Y101 TILE_PATTERN_IDX 5392 TILEPROP INT_L_X4Y101 TILE_TYPE INT_L TILEPROP INT_L_X4Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y101 TILE_X -76830 TILEPROP INT_L_X4Y101 TILE_Y 86072 TILEPROP INT_L_X4Y101 TYPE INT_L TILEPROP INT_L_X4Y102 CLASS tile TILEPROP INT_L_X4Y102 COLUMN 15 TILEPROP INT_L_X4Y102 DEVICE_ID 0 TILEPROP INT_L_X4Y102 FIRST_SITE_ID 4813 TILEPROP INT_L_X4Y102 GRID_POINT_X 15 TILEPROP INT_L_X4Y102 GRID_POINT_Y 49 TILEPROP INT_L_X4Y102 INDEX 5650 TILEPROP INT_L_X4Y102 INT_TILE_X 4 TILEPROP INT_L_X4Y102 INT_TILE_Y 47 TILEPROP INT_L_X4Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y102 IS_DCM_TILE 0 TILEPROP INT_L_X4Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y102 NAME INT_L_X4Y102 TILEPROP INT_L_X4Y102 NUM_ARCS 3737 TILEPROP INT_L_X4Y102 NUM_SITES 1 TILEPROP INT_L_X4Y102 ROW 49 TILEPROP INT_L_X4Y102 SLR_REGION_ID 0 TILEPROP INT_L_X4Y102 TILE_PATTERN_IDX 5355 TILEPROP INT_L_X4Y102 TILE_TYPE INT_L TILEPROP INT_L_X4Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y102 TILE_X -76830 TILEPROP INT_L_X4Y102 TILE_Y 89272 TILEPROP INT_L_X4Y102 TYPE INT_L TILEPROP INT_L_X4Y103 CLASS tile TILEPROP INT_L_X4Y103 COLUMN 15 TILEPROP INT_L_X4Y103 DEVICE_ID 0 TILEPROP INT_L_X4Y103 FIRST_SITE_ID 4725 TILEPROP INT_L_X4Y103 GRID_POINT_X 15 TILEPROP INT_L_X4Y103 GRID_POINT_Y 48 TILEPROP INT_L_X4Y103 INDEX 5535 TILEPROP INT_L_X4Y103 INT_TILE_X 4 TILEPROP INT_L_X4Y103 INT_TILE_Y 46 TILEPROP INT_L_X4Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y103 IS_DCM_TILE 0 TILEPROP INT_L_X4Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y103 NAME INT_L_X4Y103 TILEPROP INT_L_X4Y103 NUM_ARCS 3737 TILEPROP INT_L_X4Y103 NUM_SITES 1 TILEPROP INT_L_X4Y103 ROW 48 TILEPROP INT_L_X4Y103 SLR_REGION_ID 0 TILEPROP INT_L_X4Y103 TILE_PATTERN_IDX 5319 TILEPROP INT_L_X4Y103 TILE_TYPE INT_L TILEPROP INT_L_X4Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y103 TILE_X -76830 TILEPROP INT_L_X4Y103 TILE_Y 92472 TILEPROP INT_L_X4Y103 TYPE INT_L TILEPROP INT_L_X4Y104 CLASS tile TILEPROP INT_L_X4Y104 COLUMN 15 TILEPROP INT_L_X4Y104 DEVICE_ID 0 TILEPROP INT_L_X4Y104 FIRST_SITE_ID 4629 TILEPROP INT_L_X4Y104 GRID_POINT_X 15 TILEPROP INT_L_X4Y104 GRID_POINT_Y 47 TILEPROP INT_L_X4Y104 INDEX 5420 TILEPROP INT_L_X4Y104 INT_TILE_X 4 TILEPROP INT_L_X4Y104 INT_TILE_Y 45 TILEPROP INT_L_X4Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y104 IS_DCM_TILE 0 TILEPROP INT_L_X4Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y104 NAME INT_L_X4Y104 TILEPROP INT_L_X4Y104 NUM_ARCS 3737 TILEPROP INT_L_X4Y104 NUM_SITES 1 TILEPROP INT_L_X4Y104 ROW 47 TILEPROP INT_L_X4Y104 SLR_REGION_ID 0 TILEPROP INT_L_X4Y104 TILE_PATTERN_IDX 5282 TILEPROP INT_L_X4Y104 TILE_TYPE INT_L TILEPROP INT_L_X4Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y104 TILE_X -76830 TILEPROP INT_L_X4Y104 TILE_Y 95672 TILEPROP INT_L_X4Y104 TYPE INT_L TILEPROP INT_L_X4Y105 CLASS tile TILEPROP INT_L_X4Y105 COLUMN 15 TILEPROP INT_L_X4Y105 DEVICE_ID 0 TILEPROP INT_L_X4Y105 FIRST_SITE_ID 4527 TILEPROP INT_L_X4Y105 GRID_POINT_X 15 TILEPROP INT_L_X4Y105 GRID_POINT_Y 46 TILEPROP INT_L_X4Y105 INDEX 5305 TILEPROP INT_L_X4Y105 INT_TILE_X 4 TILEPROP INT_L_X4Y105 INT_TILE_Y 44 TILEPROP INT_L_X4Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y105 IS_DCM_TILE 0 TILEPROP INT_L_X4Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y105 NAME INT_L_X4Y105 TILEPROP INT_L_X4Y105 NUM_ARCS 3737 TILEPROP INT_L_X4Y105 NUM_SITES 1 TILEPROP INT_L_X4Y105 ROW 46 TILEPROP INT_L_X4Y105 SLR_REGION_ID 0 TILEPROP INT_L_X4Y105 TILE_PATTERN_IDX 5246 TILEPROP INT_L_X4Y105 TILE_TYPE INT_L TILEPROP INT_L_X4Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y105 TILE_X -76830 TILEPROP INT_L_X4Y105 TILE_Y 98872 TILEPROP INT_L_X4Y105 TYPE INT_L TILEPROP INT_L_X4Y106 CLASS tile TILEPROP INT_L_X4Y106 COLUMN 15 TILEPROP INT_L_X4Y106 DEVICE_ID 0 TILEPROP INT_L_X4Y106 FIRST_SITE_ID 4431 TILEPROP INT_L_X4Y106 GRID_POINT_X 15 TILEPROP INT_L_X4Y106 GRID_POINT_Y 45 TILEPROP INT_L_X4Y106 INDEX 5190 TILEPROP INT_L_X4Y106 INT_TILE_X 4 TILEPROP INT_L_X4Y106 INT_TILE_Y 43 TILEPROP INT_L_X4Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y106 IS_DCM_TILE 0 TILEPROP INT_L_X4Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y106 NAME INT_L_X4Y106 TILEPROP INT_L_X4Y106 NUM_ARCS 3737 TILEPROP INT_L_X4Y106 NUM_SITES 1 TILEPROP INT_L_X4Y106 ROW 45 TILEPROP INT_L_X4Y106 SLR_REGION_ID 0 TILEPROP INT_L_X4Y106 TILE_PATTERN_IDX 5209 TILEPROP INT_L_X4Y106 TILE_TYPE INT_L TILEPROP INT_L_X4Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y106 TILE_X -76830 TILEPROP INT_L_X4Y106 TILE_Y 102072 TILEPROP INT_L_X4Y106 TYPE INT_L TILEPROP INT_L_X4Y107 CLASS tile TILEPROP INT_L_X4Y107 COLUMN 15 TILEPROP INT_L_X4Y107 DEVICE_ID 0 TILEPROP INT_L_X4Y107 FIRST_SITE_ID 4343 TILEPROP INT_L_X4Y107 GRID_POINT_X 15 TILEPROP INT_L_X4Y107 GRID_POINT_Y 44 TILEPROP INT_L_X4Y107 INDEX 5075 TILEPROP INT_L_X4Y107 INT_TILE_X 4 TILEPROP INT_L_X4Y107 INT_TILE_Y 42 TILEPROP INT_L_X4Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y107 IS_DCM_TILE 0 TILEPROP INT_L_X4Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y107 NAME INT_L_X4Y107 TILEPROP INT_L_X4Y107 NUM_ARCS 3737 TILEPROP INT_L_X4Y107 NUM_SITES 1 TILEPROP INT_L_X4Y107 ROW 44 TILEPROP INT_L_X4Y107 SLR_REGION_ID 0 TILEPROP INT_L_X4Y107 TILE_PATTERN_IDX 5173 TILEPROP INT_L_X4Y107 TILE_TYPE INT_L TILEPROP INT_L_X4Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y107 TILE_X -76830 TILEPROP INT_L_X4Y107 TILE_Y 105272 TILEPROP INT_L_X4Y107 TYPE INT_L TILEPROP INT_L_X4Y108 CLASS tile TILEPROP INT_L_X4Y108 COLUMN 15 TILEPROP INT_L_X4Y108 DEVICE_ID 0 TILEPROP INT_L_X4Y108 FIRST_SITE_ID 4245 TILEPROP INT_L_X4Y108 GRID_POINT_X 15 TILEPROP INT_L_X4Y108 GRID_POINT_Y 43 TILEPROP INT_L_X4Y108 INDEX 4960 TILEPROP INT_L_X4Y108 INT_TILE_X 4 TILEPROP INT_L_X4Y108 INT_TILE_Y 41 TILEPROP INT_L_X4Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y108 IS_DCM_TILE 0 TILEPROP INT_L_X4Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y108 NAME INT_L_X4Y108 TILEPROP INT_L_X4Y108 NUM_ARCS 3737 TILEPROP INT_L_X4Y108 NUM_SITES 1 TILEPROP INT_L_X4Y108 ROW 43 TILEPROP INT_L_X4Y108 SLR_REGION_ID 0 TILEPROP INT_L_X4Y108 TILE_PATTERN_IDX 5136 TILEPROP INT_L_X4Y108 TILE_TYPE INT_L TILEPROP INT_L_X4Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y108 TILE_X -76830 TILEPROP INT_L_X4Y108 TILE_Y 108472 TILEPROP INT_L_X4Y108 TYPE INT_L TILEPROP INT_L_X4Y109 CLASS tile TILEPROP INT_L_X4Y109 COLUMN 15 TILEPROP INT_L_X4Y109 DEVICE_ID 0 TILEPROP INT_L_X4Y109 FIRST_SITE_ID 4156 TILEPROP INT_L_X4Y109 GRID_POINT_X 15 TILEPROP INT_L_X4Y109 GRID_POINT_Y 42 TILEPROP INT_L_X4Y109 INDEX 4845 TILEPROP INT_L_X4Y109 INT_TILE_X 4 TILEPROP INT_L_X4Y109 INT_TILE_Y 40 TILEPROP INT_L_X4Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y109 IS_DCM_TILE 0 TILEPROP INT_L_X4Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y109 NAME INT_L_X4Y109 TILEPROP INT_L_X4Y109 NUM_ARCS 3737 TILEPROP INT_L_X4Y109 NUM_SITES 1 TILEPROP INT_L_X4Y109 ROW 42 TILEPROP INT_L_X4Y109 SLR_REGION_ID 0 TILEPROP INT_L_X4Y109 TILE_PATTERN_IDX 5100 TILEPROP INT_L_X4Y109 TILE_TYPE INT_L TILEPROP INT_L_X4Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y109 TILE_X -76830 TILEPROP INT_L_X4Y109 TILE_Y 111672 TILEPROP INT_L_X4Y109 TYPE INT_L TILEPROP INT_L_X4Y110 CLASS tile TILEPROP INT_L_X4Y110 COLUMN 15 TILEPROP INT_L_X4Y110 DEVICE_ID 0 TILEPROP INT_L_X4Y110 FIRST_SITE_ID 4050 TILEPROP INT_L_X4Y110 GRID_POINT_X 15 TILEPROP INT_L_X4Y110 GRID_POINT_Y 41 TILEPROP INT_L_X4Y110 INDEX 4730 TILEPROP INT_L_X4Y110 INT_TILE_X 4 TILEPROP INT_L_X4Y110 INT_TILE_Y 39 TILEPROP INT_L_X4Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y110 IS_DCM_TILE 0 TILEPROP INT_L_X4Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y110 NAME INT_L_X4Y110 TILEPROP INT_L_X4Y110 NUM_ARCS 3737 TILEPROP INT_L_X4Y110 NUM_SITES 1 TILEPROP INT_L_X4Y110 ROW 41 TILEPROP INT_L_X4Y110 SLR_REGION_ID 0 TILEPROP INT_L_X4Y110 TILE_PATTERN_IDX 5063 TILEPROP INT_L_X4Y110 TILE_TYPE INT_L TILEPROP INT_L_X4Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y110 TILE_X -76830 TILEPROP INT_L_X4Y110 TILE_Y 114872 TILEPROP INT_L_X4Y110 TYPE INT_L TILEPROP INT_L_X4Y111 CLASS tile TILEPROP INT_L_X4Y111 COLUMN 15 TILEPROP INT_L_X4Y111 DEVICE_ID 0 TILEPROP INT_L_X4Y111 FIRST_SITE_ID 3962 TILEPROP INT_L_X4Y111 GRID_POINT_X 15 TILEPROP INT_L_X4Y111 GRID_POINT_Y 40 TILEPROP INT_L_X4Y111 INDEX 4615 TILEPROP INT_L_X4Y111 INT_TILE_X 4 TILEPROP INT_L_X4Y111 INT_TILE_Y 38 TILEPROP INT_L_X4Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y111 IS_DCM_TILE 0 TILEPROP INT_L_X4Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y111 NAME INT_L_X4Y111 TILEPROP INT_L_X4Y111 NUM_ARCS 3737 TILEPROP INT_L_X4Y111 NUM_SITES 1 TILEPROP INT_L_X4Y111 ROW 40 TILEPROP INT_L_X4Y111 SLR_REGION_ID 0 TILEPROP INT_L_X4Y111 TILE_PATTERN_IDX 5027 TILEPROP INT_L_X4Y111 TILE_TYPE INT_L TILEPROP INT_L_X4Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y111 TILE_X -76830 TILEPROP INT_L_X4Y111 TILE_Y 118072 TILEPROP INT_L_X4Y111 TYPE INT_L TILEPROP INT_L_X4Y112 CLASS tile TILEPROP INT_L_X4Y112 COLUMN 15 TILEPROP INT_L_X4Y112 DEVICE_ID 0 TILEPROP INT_L_X4Y112 FIRST_SITE_ID 3834 TILEPROP INT_L_X4Y112 GRID_POINT_X 15 TILEPROP INT_L_X4Y112 GRID_POINT_Y 39 TILEPROP INT_L_X4Y112 INDEX 4500 TILEPROP INT_L_X4Y112 INT_TILE_X 4 TILEPROP INT_L_X4Y112 INT_TILE_Y 37 TILEPROP INT_L_X4Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y112 IS_DCM_TILE 0 TILEPROP INT_L_X4Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y112 NAME INT_L_X4Y112 TILEPROP INT_L_X4Y112 NUM_ARCS 3737 TILEPROP INT_L_X4Y112 NUM_SITES 1 TILEPROP INT_L_X4Y112 ROW 39 TILEPROP INT_L_X4Y112 SLR_REGION_ID 0 TILEPROP INT_L_X4Y112 TILE_PATTERN_IDX 4989 TILEPROP INT_L_X4Y112 TILE_TYPE INT_L TILEPROP INT_L_X4Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y112 TILE_X -76830 TILEPROP INT_L_X4Y112 TILE_Y 121272 TILEPROP INT_L_X4Y112 TYPE INT_L TILEPROP INT_L_X4Y113 CLASS tile TILEPROP INT_L_X4Y113 COLUMN 15 TILEPROP INT_L_X4Y113 DEVICE_ID 0 TILEPROP INT_L_X4Y113 FIRST_SITE_ID 3746 TILEPROP INT_L_X4Y113 GRID_POINT_X 15 TILEPROP INT_L_X4Y113 GRID_POINT_Y 38 TILEPROP INT_L_X4Y113 INDEX 4385 TILEPROP INT_L_X4Y113 INT_TILE_X 4 TILEPROP INT_L_X4Y113 INT_TILE_Y 36 TILEPROP INT_L_X4Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y113 IS_DCM_TILE 0 TILEPROP INT_L_X4Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y113 NAME INT_L_X4Y113 TILEPROP INT_L_X4Y113 NUM_ARCS 3737 TILEPROP INT_L_X4Y113 NUM_SITES 1 TILEPROP INT_L_X4Y113 ROW 38 TILEPROP INT_L_X4Y113 SLR_REGION_ID 0 TILEPROP INT_L_X4Y113 TILE_PATTERN_IDX 4953 TILEPROP INT_L_X4Y113 TILE_TYPE INT_L TILEPROP INT_L_X4Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y113 TILE_X -76830 TILEPROP INT_L_X4Y113 TILE_Y 124472 TILEPROP INT_L_X4Y113 TYPE INT_L TILEPROP INT_L_X4Y114 CLASS tile TILEPROP INT_L_X4Y114 COLUMN 15 TILEPROP INT_L_X4Y114 DEVICE_ID 0 TILEPROP INT_L_X4Y114 FIRST_SITE_ID 3650 TILEPROP INT_L_X4Y114 GRID_POINT_X 15 TILEPROP INT_L_X4Y114 GRID_POINT_Y 37 TILEPROP INT_L_X4Y114 INDEX 4270 TILEPROP INT_L_X4Y114 INT_TILE_X 4 TILEPROP INT_L_X4Y114 INT_TILE_Y 35 TILEPROP INT_L_X4Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y114 IS_DCM_TILE 0 TILEPROP INT_L_X4Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y114 NAME INT_L_X4Y114 TILEPROP INT_L_X4Y114 NUM_ARCS 3737 TILEPROP INT_L_X4Y114 NUM_SITES 1 TILEPROP INT_L_X4Y114 ROW 37 TILEPROP INT_L_X4Y114 SLR_REGION_ID 0 TILEPROP INT_L_X4Y114 TILE_PATTERN_IDX 4916 TILEPROP INT_L_X4Y114 TILE_TYPE INT_L TILEPROP INT_L_X4Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y114 TILE_X -76830 TILEPROP INT_L_X4Y114 TILE_Y 127672 TILEPROP INT_L_X4Y114 TYPE INT_L TILEPROP INT_L_X4Y115 CLASS tile TILEPROP INT_L_X4Y115 COLUMN 15 TILEPROP INT_L_X4Y115 DEVICE_ID 0 TILEPROP INT_L_X4Y115 FIRST_SITE_ID 3553 TILEPROP INT_L_X4Y115 GRID_POINT_X 15 TILEPROP INT_L_X4Y115 GRID_POINT_Y 36 TILEPROP INT_L_X4Y115 INDEX 4155 TILEPROP INT_L_X4Y115 INT_TILE_X 4 TILEPROP INT_L_X4Y115 INT_TILE_Y 34 TILEPROP INT_L_X4Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y115 IS_DCM_TILE 0 TILEPROP INT_L_X4Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y115 NAME INT_L_X4Y115 TILEPROP INT_L_X4Y115 NUM_ARCS 3737 TILEPROP INT_L_X4Y115 NUM_SITES 1 TILEPROP INT_L_X4Y115 ROW 36 TILEPROP INT_L_X4Y115 SLR_REGION_ID 0 TILEPROP INT_L_X4Y115 TILE_PATTERN_IDX 4880 TILEPROP INT_L_X4Y115 TILE_TYPE INT_L TILEPROP INT_L_X4Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y115 TILE_X -76830 TILEPROP INT_L_X4Y115 TILE_Y 130872 TILEPROP INT_L_X4Y115 TYPE INT_L TILEPROP INT_L_X4Y116 CLASS tile TILEPROP INT_L_X4Y116 COLUMN 15 TILEPROP INT_L_X4Y116 DEVICE_ID 0 TILEPROP INT_L_X4Y116 FIRST_SITE_ID 3452 TILEPROP INT_L_X4Y116 GRID_POINT_X 15 TILEPROP INT_L_X4Y116 GRID_POINT_Y 35 TILEPROP INT_L_X4Y116 INDEX 4040 TILEPROP INT_L_X4Y116 INT_TILE_X 4 TILEPROP INT_L_X4Y116 INT_TILE_Y 33 TILEPROP INT_L_X4Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y116 IS_DCM_TILE 0 TILEPROP INT_L_X4Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y116 NAME INT_L_X4Y116 TILEPROP INT_L_X4Y116 NUM_ARCS 3737 TILEPROP INT_L_X4Y116 NUM_SITES 1 TILEPROP INT_L_X4Y116 ROW 35 TILEPROP INT_L_X4Y116 SLR_REGION_ID 0 TILEPROP INT_L_X4Y116 TILE_PATTERN_IDX 4843 TILEPROP INT_L_X4Y116 TILE_TYPE INT_L TILEPROP INT_L_X4Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y116 TILE_X -76830 TILEPROP INT_L_X4Y116 TILE_Y 134072 TILEPROP INT_L_X4Y116 TYPE INT_L TILEPROP INT_L_X4Y117 CLASS tile TILEPROP INT_L_X4Y117 COLUMN 15 TILEPROP INT_L_X4Y117 DEVICE_ID 0 TILEPROP INT_L_X4Y117 FIRST_SITE_ID 3364 TILEPROP INT_L_X4Y117 GRID_POINT_X 15 TILEPROP INT_L_X4Y117 GRID_POINT_Y 34 TILEPROP INT_L_X4Y117 INDEX 3925 TILEPROP INT_L_X4Y117 INT_TILE_X 4 TILEPROP INT_L_X4Y117 INT_TILE_Y 32 TILEPROP INT_L_X4Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y117 IS_DCM_TILE 0 TILEPROP INT_L_X4Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y117 NAME INT_L_X4Y117 TILEPROP INT_L_X4Y117 NUM_ARCS 3737 TILEPROP INT_L_X4Y117 NUM_SITES 1 TILEPROP INT_L_X4Y117 ROW 34 TILEPROP INT_L_X4Y117 SLR_REGION_ID 0 TILEPROP INT_L_X4Y117 TILE_PATTERN_IDX 4807 TILEPROP INT_L_X4Y117 TILE_TYPE INT_L TILEPROP INT_L_X4Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y117 TILE_X -76830 TILEPROP INT_L_X4Y117 TILE_Y 137272 TILEPROP INT_L_X4Y117 TYPE INT_L TILEPROP INT_L_X4Y118 CLASS tile TILEPROP INT_L_X4Y118 COLUMN 15 TILEPROP INT_L_X4Y118 DEVICE_ID 0 TILEPROP INT_L_X4Y118 FIRST_SITE_ID 3264 TILEPROP INT_L_X4Y118 GRID_POINT_X 15 TILEPROP INT_L_X4Y118 GRID_POINT_Y 33 TILEPROP INT_L_X4Y118 INDEX 3810 TILEPROP INT_L_X4Y118 INT_TILE_X 4 TILEPROP INT_L_X4Y118 INT_TILE_Y 31 TILEPROP INT_L_X4Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y118 IS_DCM_TILE 0 TILEPROP INT_L_X4Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y118 NAME INT_L_X4Y118 TILEPROP INT_L_X4Y118 NUM_ARCS 3737 TILEPROP INT_L_X4Y118 NUM_SITES 1 TILEPROP INT_L_X4Y118 ROW 33 TILEPROP INT_L_X4Y118 SLR_REGION_ID 0 TILEPROP INT_L_X4Y118 TILE_PATTERN_IDX 4769 TILEPROP INT_L_X4Y118 TILE_TYPE INT_L TILEPROP INT_L_X4Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y118 TILE_X -76830 TILEPROP INT_L_X4Y118 TILE_Y 140472 TILEPROP INT_L_X4Y118 TYPE INT_L TILEPROP INT_L_X4Y119 CLASS tile TILEPROP INT_L_X4Y119 COLUMN 15 TILEPROP INT_L_X4Y119 DEVICE_ID 0 TILEPROP INT_L_X4Y119 FIRST_SITE_ID 3176 TILEPROP INT_L_X4Y119 GRID_POINT_X 15 TILEPROP INT_L_X4Y119 GRID_POINT_Y 32 TILEPROP INT_L_X4Y119 INDEX 3695 TILEPROP INT_L_X4Y119 INT_TILE_X 4 TILEPROP INT_L_X4Y119 INT_TILE_Y 30 TILEPROP INT_L_X4Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y119 IS_DCM_TILE 0 TILEPROP INT_L_X4Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y119 NAME INT_L_X4Y119 TILEPROP INT_L_X4Y119 NUM_ARCS 3737 TILEPROP INT_L_X4Y119 NUM_SITES 1 TILEPROP INT_L_X4Y119 ROW 32 TILEPROP INT_L_X4Y119 SLR_REGION_ID 0 TILEPROP INT_L_X4Y119 TILE_PATTERN_IDX 4733 TILEPROP INT_L_X4Y119 TILE_TYPE INT_L TILEPROP INT_L_X4Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y119 TILE_X -76830 TILEPROP INT_L_X4Y119 TILE_Y 143672 TILEPROP INT_L_X4Y119 TYPE INT_L TILEPROP INT_L_X4Y120 CLASS tile TILEPROP INT_L_X4Y120 COLUMN 15 TILEPROP INT_L_X4Y120 DEVICE_ID 0 TILEPROP INT_L_X4Y120 FIRST_SITE_ID 3069 TILEPROP INT_L_X4Y120 GRID_POINT_X 15 TILEPROP INT_L_X4Y120 GRID_POINT_Y 31 TILEPROP INT_L_X4Y120 INDEX 3580 TILEPROP INT_L_X4Y120 INT_TILE_X 4 TILEPROP INT_L_X4Y120 INT_TILE_Y 29 TILEPROP INT_L_X4Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y120 IS_DCM_TILE 0 TILEPROP INT_L_X4Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y120 NAME INT_L_X4Y120 TILEPROP INT_L_X4Y120 NUM_ARCS 3737 TILEPROP INT_L_X4Y120 NUM_SITES 1 TILEPROP INT_L_X4Y120 ROW 31 TILEPROP INT_L_X4Y120 SLR_REGION_ID 0 TILEPROP INT_L_X4Y120 TILE_PATTERN_IDX 4696 TILEPROP INT_L_X4Y120 TILE_TYPE INT_L TILEPROP INT_L_X4Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y120 TILE_X -76830 TILEPROP INT_L_X4Y120 TILE_Y 146872 TILEPROP INT_L_X4Y120 TYPE INT_L TILEPROP INT_L_X4Y121 CLASS tile TILEPROP INT_L_X4Y121 COLUMN 15 TILEPROP INT_L_X4Y121 DEVICE_ID 0 TILEPROP INT_L_X4Y121 FIRST_SITE_ID 2981 TILEPROP INT_L_X4Y121 GRID_POINT_X 15 TILEPROP INT_L_X4Y121 GRID_POINT_Y 30 TILEPROP INT_L_X4Y121 INDEX 3465 TILEPROP INT_L_X4Y121 INT_TILE_X 4 TILEPROP INT_L_X4Y121 INT_TILE_Y 28 TILEPROP INT_L_X4Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y121 IS_DCM_TILE 0 TILEPROP INT_L_X4Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y121 NAME INT_L_X4Y121 TILEPROP INT_L_X4Y121 NUM_ARCS 3737 TILEPROP INT_L_X4Y121 NUM_SITES 1 TILEPROP INT_L_X4Y121 ROW 30 TILEPROP INT_L_X4Y121 SLR_REGION_ID 0 TILEPROP INT_L_X4Y121 TILE_PATTERN_IDX 4660 TILEPROP INT_L_X4Y121 TILE_TYPE INT_L TILEPROP INT_L_X4Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y121 TILE_X -76830 TILEPROP INT_L_X4Y121 TILE_Y 150072 TILEPROP INT_L_X4Y121 TYPE INT_L TILEPROP INT_L_X4Y122 CLASS tile TILEPROP INT_L_X4Y122 COLUMN 15 TILEPROP INT_L_X4Y122 DEVICE_ID 0 TILEPROP INT_L_X4Y122 FIRST_SITE_ID 2878 TILEPROP INT_L_X4Y122 GRID_POINT_X 15 TILEPROP INT_L_X4Y122 GRID_POINT_Y 29 TILEPROP INT_L_X4Y122 INDEX 3350 TILEPROP INT_L_X4Y122 INT_TILE_X 4 TILEPROP INT_L_X4Y122 INT_TILE_Y 27 TILEPROP INT_L_X4Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y122 IS_DCM_TILE 0 TILEPROP INT_L_X4Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y122 NAME INT_L_X4Y122 TILEPROP INT_L_X4Y122 NUM_ARCS 3737 TILEPROP INT_L_X4Y122 NUM_SITES 1 TILEPROP INT_L_X4Y122 ROW 29 TILEPROP INT_L_X4Y122 SLR_REGION_ID 0 TILEPROP INT_L_X4Y122 TILE_PATTERN_IDX 4622 TILEPROP INT_L_X4Y122 TILE_TYPE INT_L TILEPROP INT_L_X4Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y122 TILE_X -76830 TILEPROP INT_L_X4Y122 TILE_Y 153272 TILEPROP INT_L_X4Y122 TYPE INT_L TILEPROP INT_L_X4Y123 CLASS tile TILEPROP INT_L_X4Y123 COLUMN 15 TILEPROP INT_L_X4Y123 DEVICE_ID 0 TILEPROP INT_L_X4Y123 FIRST_SITE_ID 2790 TILEPROP INT_L_X4Y123 GRID_POINT_X 15 TILEPROP INT_L_X4Y123 GRID_POINT_Y 28 TILEPROP INT_L_X4Y123 INDEX 3235 TILEPROP INT_L_X4Y123 INT_TILE_X 4 TILEPROP INT_L_X4Y123 INT_TILE_Y 26 TILEPROP INT_L_X4Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y123 IS_DCM_TILE 0 TILEPROP INT_L_X4Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y123 NAME INT_L_X4Y123 TILEPROP INT_L_X4Y123 NUM_ARCS 3737 TILEPROP INT_L_X4Y123 NUM_SITES 1 TILEPROP INT_L_X4Y123 ROW 28 TILEPROP INT_L_X4Y123 SLR_REGION_ID 0 TILEPROP INT_L_X4Y123 TILE_PATTERN_IDX 4586 TILEPROP INT_L_X4Y123 TILE_TYPE INT_L TILEPROP INT_L_X4Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y123 TILE_X -76830 TILEPROP INT_L_X4Y123 TILE_Y 156472 TILEPROP INT_L_X4Y123 TYPE INT_L TILEPROP INT_L_X4Y124 CLASS tile TILEPROP INT_L_X4Y124 COLUMN 15 TILEPROP INT_L_X4Y124 DEVICE_ID 0 TILEPROP INT_L_X4Y124 FIRST_SITE_ID 2694 TILEPROP INT_L_X4Y124 GRID_POINT_X 15 TILEPROP INT_L_X4Y124 GRID_POINT_Y 27 TILEPROP INT_L_X4Y124 INDEX 3120 TILEPROP INT_L_X4Y124 INT_TILE_X 4 TILEPROP INT_L_X4Y124 INT_TILE_Y 25 TILEPROP INT_L_X4Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y124 IS_DCM_TILE 0 TILEPROP INT_L_X4Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y124 NAME INT_L_X4Y124 TILEPROP INT_L_X4Y124 NUM_ARCS 3737 TILEPROP INT_L_X4Y124 NUM_SITES 1 TILEPROP INT_L_X4Y124 ROW 27 TILEPROP INT_L_X4Y124 SLR_REGION_ID 0 TILEPROP INT_L_X4Y124 TILE_PATTERN_IDX 4549 TILEPROP INT_L_X4Y124 TILE_TYPE INT_L TILEPROP INT_L_X4Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y124 TILE_X -76830 TILEPROP INT_L_X4Y124 TILE_Y 159672 TILEPROP INT_L_X4Y124 TYPE INT_L TILEPROP INT_L_X4Y125 CLASS tile TILEPROP INT_L_X4Y125 COLUMN 15 TILEPROP INT_L_X4Y125 DEVICE_ID 0 TILEPROP INT_L_X4Y125 FIRST_SITE_ID 2515 TILEPROP INT_L_X4Y125 GRID_POINT_X 15 TILEPROP INT_L_X4Y125 GRID_POINT_Y 25 TILEPROP INT_L_X4Y125 INDEX 2890 TILEPROP INT_L_X4Y125 INT_TILE_X 4 TILEPROP INT_L_X4Y125 INT_TILE_Y 24 TILEPROP INT_L_X4Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y125 IS_DCM_TILE 0 TILEPROP INT_L_X4Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y125 NAME INT_L_X4Y125 TILEPROP INT_L_X4Y125 NUM_ARCS 3737 TILEPROP INT_L_X4Y125 NUM_SITES 1 TILEPROP INT_L_X4Y125 ROW 25 TILEPROP INT_L_X4Y125 SLR_REGION_ID 0 TILEPROP INT_L_X4Y125 TILE_PATTERN_IDX 4470 TILEPROP INT_L_X4Y125 TILE_TYPE INT_L TILEPROP INT_L_X4Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y125 TILE_X -76830 TILEPROP INT_L_X4Y125 TILE_Y 163896 TILEPROP INT_L_X4Y125 TYPE INT_L TILEPROP INT_L_X4Y126 CLASS tile TILEPROP INT_L_X4Y126 COLUMN 15 TILEPROP INT_L_X4Y126 DEVICE_ID 0 TILEPROP INT_L_X4Y126 FIRST_SITE_ID 2411 TILEPROP INT_L_X4Y126 GRID_POINT_X 15 TILEPROP INT_L_X4Y126 GRID_POINT_Y 24 TILEPROP INT_L_X4Y126 INDEX 2775 TILEPROP INT_L_X4Y126 INT_TILE_X 4 TILEPROP INT_L_X4Y126 INT_TILE_Y 23 TILEPROP INT_L_X4Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y126 IS_DCM_TILE 0 TILEPROP INT_L_X4Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y126 NAME INT_L_X4Y126 TILEPROP INT_L_X4Y126 NUM_ARCS 3737 TILEPROP INT_L_X4Y126 NUM_SITES 1 TILEPROP INT_L_X4Y126 ROW 24 TILEPROP INT_L_X4Y126 SLR_REGION_ID 0 TILEPROP INT_L_X4Y126 TILE_PATTERN_IDX 4431 TILEPROP INT_L_X4Y126 TILE_TYPE INT_L TILEPROP INT_L_X4Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y126 TILE_X -76830 TILEPROP INT_L_X4Y126 TILE_Y 167096 TILEPROP INT_L_X4Y126 TYPE INT_L TILEPROP INT_L_X4Y127 CLASS tile TILEPROP INT_L_X4Y127 COLUMN 15 TILEPROP INT_L_X4Y127 DEVICE_ID 0 TILEPROP INT_L_X4Y127 FIRST_SITE_ID 2315 TILEPROP INT_L_X4Y127 GRID_POINT_X 15 TILEPROP INT_L_X4Y127 GRID_POINT_Y 23 TILEPROP INT_L_X4Y127 INDEX 2660 TILEPROP INT_L_X4Y127 INT_TILE_X 4 TILEPROP INT_L_X4Y127 INT_TILE_Y 22 TILEPROP INT_L_X4Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y127 IS_DCM_TILE 0 TILEPROP INT_L_X4Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y127 NAME INT_L_X4Y127 TILEPROP INT_L_X4Y127 NUM_ARCS 3737 TILEPROP INT_L_X4Y127 NUM_SITES 1 TILEPROP INT_L_X4Y127 ROW 23 TILEPROP INT_L_X4Y127 SLR_REGION_ID 0 TILEPROP INT_L_X4Y127 TILE_PATTERN_IDX 4393 TILEPROP INT_L_X4Y127 TILE_TYPE INT_L TILEPROP INT_L_X4Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y127 TILE_X -76830 TILEPROP INT_L_X4Y127 TILE_Y 170296 TILEPROP INT_L_X4Y127 TYPE INT_L TILEPROP INT_L_X4Y128 CLASS tile TILEPROP INT_L_X4Y128 COLUMN 15 TILEPROP INT_L_X4Y128 DEVICE_ID 0 TILEPROP INT_L_X4Y128 FIRST_SITE_ID 2211 TILEPROP INT_L_X4Y128 GRID_POINT_X 15 TILEPROP INT_L_X4Y128 GRID_POINT_Y 22 TILEPROP INT_L_X4Y128 INDEX 2545 TILEPROP INT_L_X4Y128 INT_TILE_X 4 TILEPROP INT_L_X4Y128 INT_TILE_Y 21 TILEPROP INT_L_X4Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y128 IS_DCM_TILE 0 TILEPROP INT_L_X4Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y128 NAME INT_L_X4Y128 TILEPROP INT_L_X4Y128 NUM_ARCS 3737 TILEPROP INT_L_X4Y128 NUM_SITES 1 TILEPROP INT_L_X4Y128 ROW 22 TILEPROP INT_L_X4Y128 SLR_REGION_ID 0 TILEPROP INT_L_X4Y128 TILE_PATTERN_IDX 4354 TILEPROP INT_L_X4Y128 TILE_TYPE INT_L TILEPROP INT_L_X4Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y128 TILE_X -76830 TILEPROP INT_L_X4Y128 TILE_Y 173496 TILEPROP INT_L_X4Y128 TYPE INT_L TILEPROP INT_L_X4Y129 CLASS tile TILEPROP INT_L_X4Y129 COLUMN 15 TILEPROP INT_L_X4Y129 DEVICE_ID 0 TILEPROP INT_L_X4Y129 FIRST_SITE_ID 2115 TILEPROP INT_L_X4Y129 GRID_POINT_X 15 TILEPROP INT_L_X4Y129 GRID_POINT_Y 21 TILEPROP INT_L_X4Y129 INDEX 2430 TILEPROP INT_L_X4Y129 INT_TILE_X 4 TILEPROP INT_L_X4Y129 INT_TILE_Y 20 TILEPROP INT_L_X4Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y129 IS_DCM_TILE 0 TILEPROP INT_L_X4Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y129 NAME INT_L_X4Y129 TILEPROP INT_L_X4Y129 NUM_ARCS 3737 TILEPROP INT_L_X4Y129 NUM_SITES 1 TILEPROP INT_L_X4Y129 ROW 21 TILEPROP INT_L_X4Y129 SLR_REGION_ID 0 TILEPROP INT_L_X4Y129 TILE_PATTERN_IDX 4316 TILEPROP INT_L_X4Y129 TILE_TYPE INT_L TILEPROP INT_L_X4Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y129 TILE_X -76830 TILEPROP INT_L_X4Y129 TILE_Y 176696 TILEPROP INT_L_X4Y129 TYPE INT_L TILEPROP INT_L_X4Y130 CLASS tile TILEPROP INT_L_X4Y130 COLUMN 15 TILEPROP INT_L_X4Y130 DEVICE_ID 0 TILEPROP INT_L_X4Y130 FIRST_SITE_ID 1993 TILEPROP INT_L_X4Y130 GRID_POINT_X 15 TILEPROP INT_L_X4Y130 GRID_POINT_Y 20 TILEPROP INT_L_X4Y130 INDEX 2315 TILEPROP INT_L_X4Y130 INT_TILE_X 4 TILEPROP INT_L_X4Y130 INT_TILE_Y 19 TILEPROP INT_L_X4Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y130 IS_DCM_TILE 0 TILEPROP INT_L_X4Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y130 NAME INT_L_X4Y130 TILEPROP INT_L_X4Y130 NUM_ARCS 3737 TILEPROP INT_L_X4Y130 NUM_SITES 1 TILEPROP INT_L_X4Y130 ROW 20 TILEPROP INT_L_X4Y130 SLR_REGION_ID 0 TILEPROP INT_L_X4Y130 TILE_PATTERN_IDX 4276 TILEPROP INT_L_X4Y130 TILE_TYPE INT_L TILEPROP INT_L_X4Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y130 TILE_X -76830 TILEPROP INT_L_X4Y130 TILE_Y 179896 TILEPROP INT_L_X4Y130 TYPE INT_L TILEPROP INT_L_X4Y131 CLASS tile TILEPROP INT_L_X4Y131 COLUMN 15 TILEPROP INT_L_X4Y131 DEVICE_ID 0 TILEPROP INT_L_X4Y131 FIRST_SITE_ID 1897 TILEPROP INT_L_X4Y131 GRID_POINT_X 15 TILEPROP INT_L_X4Y131 GRID_POINT_Y 19 TILEPROP INT_L_X4Y131 INDEX 2200 TILEPROP INT_L_X4Y131 INT_TILE_X 4 TILEPROP INT_L_X4Y131 INT_TILE_Y 18 TILEPROP INT_L_X4Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y131 IS_DCM_TILE 0 TILEPROP INT_L_X4Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y131 NAME INT_L_X4Y131 TILEPROP INT_L_X4Y131 NUM_ARCS 3737 TILEPROP INT_L_X4Y131 NUM_SITES 1 TILEPROP INT_L_X4Y131 ROW 19 TILEPROP INT_L_X4Y131 SLR_REGION_ID 0 TILEPROP INT_L_X4Y131 TILE_PATTERN_IDX 4238 TILEPROP INT_L_X4Y131 TILE_TYPE INT_L TILEPROP INT_L_X4Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y131 TILE_X -76830 TILEPROP INT_L_X4Y131 TILE_Y 183096 TILEPROP INT_L_X4Y131 TYPE INT_L TILEPROP INT_L_X4Y132 CLASS tile TILEPROP INT_L_X4Y132 COLUMN 15 TILEPROP INT_L_X4Y132 DEVICE_ID 0 TILEPROP INT_L_X4Y132 FIRST_SITE_ID 1791 TILEPROP INT_L_X4Y132 GRID_POINT_X 15 TILEPROP INT_L_X4Y132 GRID_POINT_Y 18 TILEPROP INT_L_X4Y132 INDEX 2085 TILEPROP INT_L_X4Y132 INT_TILE_X 4 TILEPROP INT_L_X4Y132 INT_TILE_Y 17 TILEPROP INT_L_X4Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y132 IS_DCM_TILE 0 TILEPROP INT_L_X4Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y132 NAME INT_L_X4Y132 TILEPROP INT_L_X4Y132 NUM_ARCS 3737 TILEPROP INT_L_X4Y132 NUM_SITES 1 TILEPROP INT_L_X4Y132 ROW 18 TILEPROP INT_L_X4Y132 SLR_REGION_ID 0 TILEPROP INT_L_X4Y132 TILE_PATTERN_IDX 4199 TILEPROP INT_L_X4Y132 TILE_TYPE INT_L TILEPROP INT_L_X4Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y132 TILE_X -76830 TILEPROP INT_L_X4Y132 TILE_Y 186296 TILEPROP INT_L_X4Y132 TYPE INT_L TILEPROP INT_L_X4Y133 CLASS tile TILEPROP INT_L_X4Y133 COLUMN 15 TILEPROP INT_L_X4Y133 DEVICE_ID 0 TILEPROP INT_L_X4Y133 FIRST_SITE_ID 1690 TILEPROP INT_L_X4Y133 GRID_POINT_X 15 TILEPROP INT_L_X4Y133 GRID_POINT_Y 17 TILEPROP INT_L_X4Y133 INDEX 1970 TILEPROP INT_L_X4Y133 INT_TILE_X 4 TILEPROP INT_L_X4Y133 INT_TILE_Y 16 TILEPROP INT_L_X4Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y133 IS_DCM_TILE 0 TILEPROP INT_L_X4Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y133 NAME INT_L_X4Y133 TILEPROP INT_L_X4Y133 NUM_ARCS 3737 TILEPROP INT_L_X4Y133 NUM_SITES 1 TILEPROP INT_L_X4Y133 ROW 17 TILEPROP INT_L_X4Y133 SLR_REGION_ID 0 TILEPROP INT_L_X4Y133 TILE_PATTERN_IDX 4161 TILEPROP INT_L_X4Y133 TILE_TYPE INT_L TILEPROP INT_L_X4Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y133 TILE_X -76830 TILEPROP INT_L_X4Y133 TILE_Y 189496 TILEPROP INT_L_X4Y133 TYPE INT_L TILEPROP INT_L_X4Y134 CLASS tile TILEPROP INT_L_X4Y134 COLUMN 15 TILEPROP INT_L_X4Y134 DEVICE_ID 0 TILEPROP INT_L_X4Y134 FIRST_SITE_ID 1586 TILEPROP INT_L_X4Y134 GRID_POINT_X 15 TILEPROP INT_L_X4Y134 GRID_POINT_Y 16 TILEPROP INT_L_X4Y134 INDEX 1855 TILEPROP INT_L_X4Y134 INT_TILE_X 4 TILEPROP INT_L_X4Y134 INT_TILE_Y 15 TILEPROP INT_L_X4Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y134 IS_DCM_TILE 0 TILEPROP INT_L_X4Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y134 NAME INT_L_X4Y134 TILEPROP INT_L_X4Y134 NUM_ARCS 3737 TILEPROP INT_L_X4Y134 NUM_SITES 1 TILEPROP INT_L_X4Y134 ROW 16 TILEPROP INT_L_X4Y134 SLR_REGION_ID 0 TILEPROP INT_L_X4Y134 TILE_PATTERN_IDX 4122 TILEPROP INT_L_X4Y134 TILE_TYPE INT_L TILEPROP INT_L_X4Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y134 TILE_X -76830 TILEPROP INT_L_X4Y134 TILE_Y 192696 TILEPROP INT_L_X4Y134 TYPE INT_L TILEPROP INT_L_X4Y135 CLASS tile TILEPROP INT_L_X4Y135 COLUMN 15 TILEPROP INT_L_X4Y135 DEVICE_ID 0 TILEPROP INT_L_X4Y135 FIRST_SITE_ID 1478 TILEPROP INT_L_X4Y135 GRID_POINT_X 15 TILEPROP INT_L_X4Y135 GRID_POINT_Y 15 TILEPROP INT_L_X4Y135 INDEX 1740 TILEPROP INT_L_X4Y135 INT_TILE_X 4 TILEPROP INT_L_X4Y135 INT_TILE_Y 14 TILEPROP INT_L_X4Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y135 IS_DCM_TILE 0 TILEPROP INT_L_X4Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y135 NAME INT_L_X4Y135 TILEPROP INT_L_X4Y135 NUM_ARCS 3737 TILEPROP INT_L_X4Y135 NUM_SITES 1 TILEPROP INT_L_X4Y135 ROW 15 TILEPROP INT_L_X4Y135 SLR_REGION_ID 0 TILEPROP INT_L_X4Y135 TILE_PATTERN_IDX 4084 TILEPROP INT_L_X4Y135 TILE_TYPE INT_L TILEPROP INT_L_X4Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y135 TILE_X -76830 TILEPROP INT_L_X4Y135 TILE_Y 195896 TILEPROP INT_L_X4Y135 TYPE INT_L TILEPROP INT_L_X4Y136 CLASS tile TILEPROP INT_L_X4Y136 COLUMN 15 TILEPROP INT_L_X4Y136 DEVICE_ID 0 TILEPROP INT_L_X4Y136 FIRST_SITE_ID 1342 TILEPROP INT_L_X4Y136 GRID_POINT_X 15 TILEPROP INT_L_X4Y136 GRID_POINT_Y 14 TILEPROP INT_L_X4Y136 INDEX 1625 TILEPROP INT_L_X4Y136 INT_TILE_X 4 TILEPROP INT_L_X4Y136 INT_TILE_Y 13 TILEPROP INT_L_X4Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y136 IS_DCM_TILE 0 TILEPROP INT_L_X4Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y136 NAME INT_L_X4Y136 TILEPROP INT_L_X4Y136 NUM_ARCS 3737 TILEPROP INT_L_X4Y136 NUM_SITES 1 TILEPROP INT_L_X4Y136 ROW 14 TILEPROP INT_L_X4Y136 SLR_REGION_ID 0 TILEPROP INT_L_X4Y136 TILE_PATTERN_IDX 4045 TILEPROP INT_L_X4Y136 TILE_TYPE INT_L TILEPROP INT_L_X4Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y136 TILE_X -76830 TILEPROP INT_L_X4Y136 TILE_Y 199096 TILEPROP INT_L_X4Y136 TYPE INT_L TILEPROP INT_L_X4Y137 CLASS tile TILEPROP INT_L_X4Y137 COLUMN 15 TILEPROP INT_L_X4Y137 DEVICE_ID 0 TILEPROP INT_L_X4Y137 FIRST_SITE_ID 1246 TILEPROP INT_L_X4Y137 GRID_POINT_X 15 TILEPROP INT_L_X4Y137 GRID_POINT_Y 13 TILEPROP INT_L_X4Y137 INDEX 1510 TILEPROP INT_L_X4Y137 INT_TILE_X 4 TILEPROP INT_L_X4Y137 INT_TILE_Y 12 TILEPROP INT_L_X4Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y137 IS_DCM_TILE 0 TILEPROP INT_L_X4Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y137 NAME INT_L_X4Y137 TILEPROP INT_L_X4Y137 NUM_ARCS 3737 TILEPROP INT_L_X4Y137 NUM_SITES 1 TILEPROP INT_L_X4Y137 ROW 13 TILEPROP INT_L_X4Y137 SLR_REGION_ID 0 TILEPROP INT_L_X4Y137 TILE_PATTERN_IDX 4007 TILEPROP INT_L_X4Y137 TILE_TYPE INT_L TILEPROP INT_L_X4Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y137 TILE_X -76830 TILEPROP INT_L_X4Y137 TILE_Y 202296 TILEPROP INT_L_X4Y137 TYPE INT_L TILEPROP INT_L_X4Y138 CLASS tile TILEPROP INT_L_X4Y138 COLUMN 15 TILEPROP INT_L_X4Y138 DEVICE_ID 0 TILEPROP INT_L_X4Y138 FIRST_SITE_ID 1142 TILEPROP INT_L_X4Y138 GRID_POINT_X 15 TILEPROP INT_L_X4Y138 GRID_POINT_Y 12 TILEPROP INT_L_X4Y138 INDEX 1395 TILEPROP INT_L_X4Y138 INT_TILE_X 4 TILEPROP INT_L_X4Y138 INT_TILE_Y 11 TILEPROP INT_L_X4Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y138 IS_DCM_TILE 0 TILEPROP INT_L_X4Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y138 NAME INT_L_X4Y138 TILEPROP INT_L_X4Y138 NUM_ARCS 3737 TILEPROP INT_L_X4Y138 NUM_SITES 1 TILEPROP INT_L_X4Y138 ROW 12 TILEPROP INT_L_X4Y138 SLR_REGION_ID 0 TILEPROP INT_L_X4Y138 TILE_PATTERN_IDX 3968 TILEPROP INT_L_X4Y138 TILE_TYPE INT_L TILEPROP INT_L_X4Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y138 TILE_X -76830 TILEPROP INT_L_X4Y138 TILE_Y 205496 TILEPROP INT_L_X4Y138 TYPE INT_L TILEPROP INT_L_X4Y139 CLASS tile TILEPROP INT_L_X4Y139 COLUMN 15 TILEPROP INT_L_X4Y139 DEVICE_ID 0 TILEPROP INT_L_X4Y139 FIRST_SITE_ID 1046 TILEPROP INT_L_X4Y139 GRID_POINT_X 15 TILEPROP INT_L_X4Y139 GRID_POINT_Y 11 TILEPROP INT_L_X4Y139 INDEX 1280 TILEPROP INT_L_X4Y139 INT_TILE_X 4 TILEPROP INT_L_X4Y139 INT_TILE_Y 10 TILEPROP INT_L_X4Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y139 IS_DCM_TILE 0 TILEPROP INT_L_X4Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y139 NAME INT_L_X4Y139 TILEPROP INT_L_X4Y139 NUM_ARCS 3737 TILEPROP INT_L_X4Y139 NUM_SITES 1 TILEPROP INT_L_X4Y139 ROW 11 TILEPROP INT_L_X4Y139 SLR_REGION_ID 0 TILEPROP INT_L_X4Y139 TILE_PATTERN_IDX 3930 TILEPROP INT_L_X4Y139 TILE_TYPE INT_L TILEPROP INT_L_X4Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y139 TILE_X -76830 TILEPROP INT_L_X4Y139 TILE_Y 208696 TILEPROP INT_L_X4Y139 TYPE INT_L TILEPROP INT_L_X4Y140 CLASS tile TILEPROP INT_L_X4Y140 COLUMN 15 TILEPROP INT_L_X4Y140 DEVICE_ID 0 TILEPROP INT_L_X4Y140 FIRST_SITE_ID 930 TILEPROP INT_L_X4Y140 GRID_POINT_X 15 TILEPROP INT_L_X4Y140 GRID_POINT_Y 10 TILEPROP INT_L_X4Y140 INDEX 1165 TILEPROP INT_L_X4Y140 INT_TILE_X 4 TILEPROP INT_L_X4Y140 INT_TILE_Y 9 TILEPROP INT_L_X4Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y140 IS_DCM_TILE 0 TILEPROP INT_L_X4Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y140 NAME INT_L_X4Y140 TILEPROP INT_L_X4Y140 NUM_ARCS 3737 TILEPROP INT_L_X4Y140 NUM_SITES 1 TILEPROP INT_L_X4Y140 ROW 10 TILEPROP INT_L_X4Y140 SLR_REGION_ID 0 TILEPROP INT_L_X4Y140 TILE_PATTERN_IDX 3891 TILEPROP INT_L_X4Y140 TILE_TYPE INT_L TILEPROP INT_L_X4Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y140 TILE_X -76830 TILEPROP INT_L_X4Y140 TILE_Y 211896 TILEPROP INT_L_X4Y140 TYPE INT_L TILEPROP INT_L_X4Y141 CLASS tile TILEPROP INT_L_X4Y141 COLUMN 15 TILEPROP INT_L_X4Y141 DEVICE_ID 0 TILEPROP INT_L_X4Y141 FIRST_SITE_ID 834 TILEPROP INT_L_X4Y141 GRID_POINT_X 15 TILEPROP INT_L_X4Y141 GRID_POINT_Y 9 TILEPROP INT_L_X4Y141 INDEX 1050 TILEPROP INT_L_X4Y141 INT_TILE_X 4 TILEPROP INT_L_X4Y141 INT_TILE_Y 8 TILEPROP INT_L_X4Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y141 IS_DCM_TILE 0 TILEPROP INT_L_X4Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y141 NAME INT_L_X4Y141 TILEPROP INT_L_X4Y141 NUM_ARCS 3737 TILEPROP INT_L_X4Y141 NUM_SITES 1 TILEPROP INT_L_X4Y141 ROW 9 TILEPROP INT_L_X4Y141 SLR_REGION_ID 0 TILEPROP INT_L_X4Y141 TILE_PATTERN_IDX 3853 TILEPROP INT_L_X4Y141 TILE_TYPE INT_L TILEPROP INT_L_X4Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y141 TILE_X -76830 TILEPROP INT_L_X4Y141 TILE_Y 215096 TILEPROP INT_L_X4Y141 TYPE INT_L TILEPROP INT_L_X4Y142 CLASS tile TILEPROP INT_L_X4Y142 COLUMN 15 TILEPROP INT_L_X4Y142 DEVICE_ID 0 TILEPROP INT_L_X4Y142 FIRST_SITE_ID 730 TILEPROP INT_L_X4Y142 GRID_POINT_X 15 TILEPROP INT_L_X4Y142 GRID_POINT_Y 8 TILEPROP INT_L_X4Y142 INDEX 935 TILEPROP INT_L_X4Y142 INT_TILE_X 4 TILEPROP INT_L_X4Y142 INT_TILE_Y 7 TILEPROP INT_L_X4Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y142 IS_DCM_TILE 0 TILEPROP INT_L_X4Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y142 NAME INT_L_X4Y142 TILEPROP INT_L_X4Y142 NUM_ARCS 3737 TILEPROP INT_L_X4Y142 NUM_SITES 1 TILEPROP INT_L_X4Y142 ROW 8 TILEPROP INT_L_X4Y142 SLR_REGION_ID 0 TILEPROP INT_L_X4Y142 TILE_PATTERN_IDX 3814 TILEPROP INT_L_X4Y142 TILE_TYPE INT_L TILEPROP INT_L_X4Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y142 TILE_X -76830 TILEPROP INT_L_X4Y142 TILE_Y 218296 TILEPROP INT_L_X4Y142 TYPE INT_L TILEPROP INT_L_X4Y143 CLASS tile TILEPROP INT_L_X4Y143 COLUMN 15 TILEPROP INT_L_X4Y143 DEVICE_ID 0 TILEPROP INT_L_X4Y143 FIRST_SITE_ID 633 TILEPROP INT_L_X4Y143 GRID_POINT_X 15 TILEPROP INT_L_X4Y143 GRID_POINT_Y 7 TILEPROP INT_L_X4Y143 INDEX 820 TILEPROP INT_L_X4Y143 INT_TILE_X 4 TILEPROP INT_L_X4Y143 INT_TILE_Y 6 TILEPROP INT_L_X4Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y143 IS_DCM_TILE 0 TILEPROP INT_L_X4Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y143 NAME INT_L_X4Y143 TILEPROP INT_L_X4Y143 NUM_ARCS 3737 TILEPROP INT_L_X4Y143 NUM_SITES 1 TILEPROP INT_L_X4Y143 ROW 7 TILEPROP INT_L_X4Y143 SLR_REGION_ID 0 TILEPROP INT_L_X4Y143 TILE_PATTERN_IDX 3776 TILEPROP INT_L_X4Y143 TILE_TYPE INT_L TILEPROP INT_L_X4Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y143 TILE_X -76830 TILEPROP INT_L_X4Y143 TILE_Y 221496 TILEPROP INT_L_X4Y143 TYPE INT_L TILEPROP INT_L_X4Y144 CLASS tile TILEPROP INT_L_X4Y144 COLUMN 15 TILEPROP INT_L_X4Y144 DEVICE_ID 0 TILEPROP INT_L_X4Y144 FIRST_SITE_ID 522 TILEPROP INT_L_X4Y144 GRID_POINT_X 15 TILEPROP INT_L_X4Y144 GRID_POINT_Y 6 TILEPROP INT_L_X4Y144 INDEX 705 TILEPROP INT_L_X4Y144 INT_TILE_X 4 TILEPROP INT_L_X4Y144 INT_TILE_Y 5 TILEPROP INT_L_X4Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y144 IS_DCM_TILE 0 TILEPROP INT_L_X4Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y144 NAME INT_L_X4Y144 TILEPROP INT_L_X4Y144 NUM_ARCS 3737 TILEPROP INT_L_X4Y144 NUM_SITES 1 TILEPROP INT_L_X4Y144 ROW 6 TILEPROP INT_L_X4Y144 SLR_REGION_ID 0 TILEPROP INT_L_X4Y144 TILE_PATTERN_IDX 3737 TILEPROP INT_L_X4Y144 TILE_TYPE INT_L TILEPROP INT_L_X4Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y144 TILE_X -76830 TILEPROP INT_L_X4Y144 TILE_Y 224696 TILEPROP INT_L_X4Y144 TYPE INT_L TILEPROP INT_L_X4Y145 CLASS tile TILEPROP INT_L_X4Y145 COLUMN 15 TILEPROP INT_L_X4Y145 DEVICE_ID 0 TILEPROP INT_L_X4Y145 FIRST_SITE_ID 414 TILEPROP INT_L_X4Y145 GRID_POINT_X 15 TILEPROP INT_L_X4Y145 GRID_POINT_Y 5 TILEPROP INT_L_X4Y145 INDEX 590 TILEPROP INT_L_X4Y145 INT_TILE_X 4 TILEPROP INT_L_X4Y145 INT_TILE_Y 4 TILEPROP INT_L_X4Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y145 IS_DCM_TILE 0 TILEPROP INT_L_X4Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y145 NAME INT_L_X4Y145 TILEPROP INT_L_X4Y145 NUM_ARCS 3737 TILEPROP INT_L_X4Y145 NUM_SITES 1 TILEPROP INT_L_X4Y145 ROW 5 TILEPROP INT_L_X4Y145 SLR_REGION_ID 0 TILEPROP INT_L_X4Y145 TILE_PATTERN_IDX 3699 TILEPROP INT_L_X4Y145 TILE_TYPE INT_L TILEPROP INT_L_X4Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y145 TILE_X -76830 TILEPROP INT_L_X4Y145 TILE_Y 227896 TILEPROP INT_L_X4Y145 TYPE INT_L TILEPROP INT_L_X4Y146 CLASS tile TILEPROP INT_L_X4Y146 COLUMN 15 TILEPROP INT_L_X4Y146 DEVICE_ID 0 TILEPROP INT_L_X4Y146 FIRST_SITE_ID 310 TILEPROP INT_L_X4Y146 GRID_POINT_X 15 TILEPROP INT_L_X4Y146 GRID_POINT_Y 4 TILEPROP INT_L_X4Y146 INDEX 475 TILEPROP INT_L_X4Y146 INT_TILE_X 4 TILEPROP INT_L_X4Y146 INT_TILE_Y 3 TILEPROP INT_L_X4Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y146 IS_DCM_TILE 0 TILEPROP INT_L_X4Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y146 NAME INT_L_X4Y146 TILEPROP INT_L_X4Y146 NUM_ARCS 3737 TILEPROP INT_L_X4Y146 NUM_SITES 1 TILEPROP INT_L_X4Y146 ROW 4 TILEPROP INT_L_X4Y146 SLR_REGION_ID 0 TILEPROP INT_L_X4Y146 TILE_PATTERN_IDX 3660 TILEPROP INT_L_X4Y146 TILE_TYPE INT_L TILEPROP INT_L_X4Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y146 TILE_X -76830 TILEPROP INT_L_X4Y146 TILE_Y 231096 TILEPROP INT_L_X4Y146 TYPE INT_L TILEPROP INT_L_X4Y147 CLASS tile TILEPROP INT_L_X4Y147 COLUMN 15 TILEPROP INT_L_X4Y147 DEVICE_ID 0 TILEPROP INT_L_X4Y147 FIRST_SITE_ID 214 TILEPROP INT_L_X4Y147 GRID_POINT_X 15 TILEPROP INT_L_X4Y147 GRID_POINT_Y 3 TILEPROP INT_L_X4Y147 INDEX 360 TILEPROP INT_L_X4Y147 INT_TILE_X 4 TILEPROP INT_L_X4Y147 INT_TILE_Y 2 TILEPROP INT_L_X4Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y147 IS_DCM_TILE 0 TILEPROP INT_L_X4Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y147 NAME INT_L_X4Y147 TILEPROP INT_L_X4Y147 NUM_ARCS 3737 TILEPROP INT_L_X4Y147 NUM_SITES 1 TILEPROP INT_L_X4Y147 ROW 3 TILEPROP INT_L_X4Y147 SLR_REGION_ID 0 TILEPROP INT_L_X4Y147 TILE_PATTERN_IDX 3622 TILEPROP INT_L_X4Y147 TILE_TYPE INT_L TILEPROP INT_L_X4Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y147 TILE_X -76830 TILEPROP INT_L_X4Y147 TILE_Y 234296 TILEPROP INT_L_X4Y147 TYPE INT_L TILEPROP INT_L_X4Y148 CLASS tile TILEPROP INT_L_X4Y148 COLUMN 15 TILEPROP INT_L_X4Y148 DEVICE_ID 0 TILEPROP INT_L_X4Y148 FIRST_SITE_ID 110 TILEPROP INT_L_X4Y148 GRID_POINT_X 15 TILEPROP INT_L_X4Y148 GRID_POINT_Y 2 TILEPROP INT_L_X4Y148 INDEX 245 TILEPROP INT_L_X4Y148 INT_TILE_X 4 TILEPROP INT_L_X4Y148 INT_TILE_Y 1 TILEPROP INT_L_X4Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y148 IS_DCM_TILE 0 TILEPROP INT_L_X4Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y148 NAME INT_L_X4Y148 TILEPROP INT_L_X4Y148 NUM_ARCS 3737 TILEPROP INT_L_X4Y148 NUM_SITES 1 TILEPROP INT_L_X4Y148 ROW 2 TILEPROP INT_L_X4Y148 SLR_REGION_ID 0 TILEPROP INT_L_X4Y148 TILE_PATTERN_IDX 3583 TILEPROP INT_L_X4Y148 TILE_TYPE INT_L TILEPROP INT_L_X4Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y148 TILE_X -76830 TILEPROP INT_L_X4Y148 TILE_Y 237496 TILEPROP INT_L_X4Y148 TYPE INT_L TILEPROP INT_L_X4Y149 CLASS tile TILEPROP INT_L_X4Y149 COLUMN 15 TILEPROP INT_L_X4Y149 DEVICE_ID 0 TILEPROP INT_L_X4Y149 FIRST_SITE_ID 14 TILEPROP INT_L_X4Y149 GRID_POINT_X 15 TILEPROP INT_L_X4Y149 GRID_POINT_Y 1 TILEPROP INT_L_X4Y149 INDEX 130 TILEPROP INT_L_X4Y149 INT_TILE_X 4 TILEPROP INT_L_X4Y149 INT_TILE_Y 0 TILEPROP INT_L_X4Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X4Y149 IS_DCM_TILE 0 TILEPROP INT_L_X4Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X4Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X4Y149 NAME INT_L_X4Y149 TILEPROP INT_L_X4Y149 NUM_ARCS 3737 TILEPROP INT_L_X4Y149 NUM_SITES 1 TILEPROP INT_L_X4Y149 ROW 1 TILEPROP INT_L_X4Y149 SLR_REGION_ID 0 TILEPROP INT_L_X4Y149 TILE_PATTERN_IDX 3545 TILEPROP INT_L_X4Y149 TILE_TYPE INT_L TILEPROP INT_L_X4Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X4Y149 TILE_X -76830 TILEPROP INT_L_X4Y149 TILE_Y 240696 TILEPROP INT_L_X4Y149 TYPE INT_L TILEPROP INT_L_X6Y0 CLASS tile TILEPROP INT_L_X6Y0 COLUMN 21 TILEPROP INT_L_X6Y0 DEVICE_ID 0 TILEPROP INT_L_X6Y0 FIRST_SITE_ID 15723 TILEPROP INT_L_X6Y0 GRID_POINT_X 21 TILEPROP INT_L_X6Y0 GRID_POINT_Y 155 TILEPROP INT_L_X6Y0 INDEX 17846 TILEPROP INT_L_X6Y0 INT_TILE_X 6 TILEPROP INT_L_X6Y0 INT_TILE_Y 149 TILEPROP INT_L_X6Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y0 IS_DCM_TILE 0 TILEPROP INT_L_X6Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y0 NAME INT_L_X6Y0 TILEPROP INT_L_X6Y0 NUM_ARCS 3737 TILEPROP INT_L_X6Y0 NUM_SITES 1 TILEPROP INT_L_X6Y0 ROW 155 TILEPROP INT_L_X6Y0 SLR_REGION_ID 0 TILEPROP INT_L_X6Y0 TILE_PATTERN_IDX 8739 TILEPROP INT_L_X6Y0 TILE_TYPE INT_L TILEPROP INT_L_X6Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y0 TILE_X -64718 TILEPROP INT_L_X6Y0 TILE_Y -239672 TILEPROP INT_L_X6Y0 TYPE INT_L TILEPROP INT_L_X6Y1 CLASS tile TILEPROP INT_L_X6Y1 COLUMN 21 TILEPROP INT_L_X6Y1 DEVICE_ID 0 TILEPROP INT_L_X6Y1 FIRST_SITE_ID 15615 TILEPROP INT_L_X6Y1 GRID_POINT_X 21 TILEPROP INT_L_X6Y1 GRID_POINT_Y 154 TILEPROP INT_L_X6Y1 INDEX 17731 TILEPROP INT_L_X6Y1 INT_TILE_X 6 TILEPROP INT_L_X6Y1 INT_TILE_Y 148 TILEPROP INT_L_X6Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y1 IS_DCM_TILE 0 TILEPROP INT_L_X6Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y1 NAME INT_L_X6Y1 TILEPROP INT_L_X6Y1 NUM_ARCS 3737 TILEPROP INT_L_X6Y1 NUM_SITES 1 TILEPROP INT_L_X6Y1 ROW 154 TILEPROP INT_L_X6Y1 SLR_REGION_ID 0 TILEPROP INT_L_X6Y1 TILE_PATTERN_IDX 8708 TILEPROP INT_L_X6Y1 TILE_TYPE INT_L TILEPROP INT_L_X6Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y1 TILE_X -64718 TILEPROP INT_L_X6Y1 TILE_Y -236472 TILEPROP INT_L_X6Y1 TYPE INT_L TILEPROP INT_L_X6Y2 CLASS tile TILEPROP INT_L_X6Y2 COLUMN 21 TILEPROP INT_L_X6Y2 DEVICE_ID 0 TILEPROP INT_L_X6Y2 FIRST_SITE_ID 15515 TILEPROP INT_L_X6Y2 GRID_POINT_X 21 TILEPROP INT_L_X6Y2 GRID_POINT_Y 153 TILEPROP INT_L_X6Y2 INDEX 17616 TILEPROP INT_L_X6Y2 INT_TILE_X 6 TILEPROP INT_L_X6Y2 INT_TILE_Y 147 TILEPROP INT_L_X6Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y2 IS_DCM_TILE 0 TILEPROP INT_L_X6Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y2 NAME INT_L_X6Y2 TILEPROP INT_L_X6Y2 NUM_ARCS 3737 TILEPROP INT_L_X6Y2 NUM_SITES 1 TILEPROP INT_L_X6Y2 ROW 153 TILEPROP INT_L_X6Y2 SLR_REGION_ID 0 TILEPROP INT_L_X6Y2 TILE_PATTERN_IDX 8678 TILEPROP INT_L_X6Y2 TILE_TYPE INT_L TILEPROP INT_L_X6Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y2 TILE_X -64718 TILEPROP INT_L_X6Y2 TILE_Y -233272 TILEPROP INT_L_X6Y2 TYPE INT_L TILEPROP INT_L_X6Y3 CLASS tile TILEPROP INT_L_X6Y3 COLUMN 21 TILEPROP INT_L_X6Y3 DEVICE_ID 0 TILEPROP INT_L_X6Y3 FIRST_SITE_ID 15415 TILEPROP INT_L_X6Y3 GRID_POINT_X 21 TILEPROP INT_L_X6Y3 GRID_POINT_Y 152 TILEPROP INT_L_X6Y3 INDEX 17501 TILEPROP INT_L_X6Y3 INT_TILE_X 6 TILEPROP INT_L_X6Y3 INT_TILE_Y 146 TILEPROP INT_L_X6Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y3 IS_DCM_TILE 0 TILEPROP INT_L_X6Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y3 NAME INT_L_X6Y3 TILEPROP INT_L_X6Y3 NUM_ARCS 3737 TILEPROP INT_L_X6Y3 NUM_SITES 1 TILEPROP INT_L_X6Y3 ROW 152 TILEPROP INT_L_X6Y3 SLR_REGION_ID 0 TILEPROP INT_L_X6Y3 TILE_PATTERN_IDX 8647 TILEPROP INT_L_X6Y3 TILE_TYPE INT_L TILEPROP INT_L_X6Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y3 TILE_X -64718 TILEPROP INT_L_X6Y3 TILE_Y -230072 TILEPROP INT_L_X6Y3 TYPE INT_L TILEPROP INT_L_X6Y4 CLASS tile TILEPROP INT_L_X6Y4 COLUMN 21 TILEPROP INT_L_X6Y4 DEVICE_ID 0 TILEPROP INT_L_X6Y4 FIRST_SITE_ID 15315 TILEPROP INT_L_X6Y4 GRID_POINT_X 21 TILEPROP INT_L_X6Y4 GRID_POINT_Y 151 TILEPROP INT_L_X6Y4 INDEX 17386 TILEPROP INT_L_X6Y4 INT_TILE_X 6 TILEPROP INT_L_X6Y4 INT_TILE_Y 145 TILEPROP INT_L_X6Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y4 IS_DCM_TILE 0 TILEPROP INT_L_X6Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y4 NAME INT_L_X6Y4 TILEPROP INT_L_X6Y4 NUM_ARCS 3737 TILEPROP INT_L_X6Y4 NUM_SITES 1 TILEPROP INT_L_X6Y4 ROW 151 TILEPROP INT_L_X6Y4 SLR_REGION_ID 0 TILEPROP INT_L_X6Y4 TILE_PATTERN_IDX 8617 TILEPROP INT_L_X6Y4 TILE_TYPE INT_L TILEPROP INT_L_X6Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y4 TILE_X -64718 TILEPROP INT_L_X6Y4 TILE_Y -226872 TILEPROP INT_L_X6Y4 TYPE INT_L TILEPROP INT_L_X6Y5 CLASS tile TILEPROP INT_L_X6Y5 COLUMN 21 TILEPROP INT_L_X6Y5 DEVICE_ID 0 TILEPROP INT_L_X6Y5 FIRST_SITE_ID 15203 TILEPROP INT_L_X6Y5 GRID_POINT_X 21 TILEPROP INT_L_X6Y5 GRID_POINT_Y 150 TILEPROP INT_L_X6Y5 INDEX 17271 TILEPROP INT_L_X6Y5 INT_TILE_X 6 TILEPROP INT_L_X6Y5 INT_TILE_Y 144 TILEPROP INT_L_X6Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y5 IS_DCM_TILE 0 TILEPROP INT_L_X6Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y5 NAME INT_L_X6Y5 TILEPROP INT_L_X6Y5 NUM_ARCS 3737 TILEPROP INT_L_X6Y5 NUM_SITES 1 TILEPROP INT_L_X6Y5 ROW 150 TILEPROP INT_L_X6Y5 SLR_REGION_ID 0 TILEPROP INT_L_X6Y5 TILE_PATTERN_IDX 8586 TILEPROP INT_L_X6Y5 TILE_TYPE INT_L TILEPROP INT_L_X6Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y5 TILE_X -64718 TILEPROP INT_L_X6Y5 TILE_Y -223672 TILEPROP INT_L_X6Y5 TYPE INT_L TILEPROP INT_L_X6Y6 CLASS tile TILEPROP INT_L_X6Y6 COLUMN 21 TILEPROP INT_L_X6Y6 DEVICE_ID 0 TILEPROP INT_L_X6Y6 FIRST_SITE_ID 15100 TILEPROP INT_L_X6Y6 GRID_POINT_X 21 TILEPROP INT_L_X6Y6 GRID_POINT_Y 149 TILEPROP INT_L_X6Y6 INDEX 17156 TILEPROP INT_L_X6Y6 INT_TILE_X 6 TILEPROP INT_L_X6Y6 INT_TILE_Y 143 TILEPROP INT_L_X6Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y6 IS_DCM_TILE 0 TILEPROP INT_L_X6Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y6 NAME INT_L_X6Y6 TILEPROP INT_L_X6Y6 NUM_ARCS 3737 TILEPROP INT_L_X6Y6 NUM_SITES 1 TILEPROP INT_L_X6Y6 ROW 149 TILEPROP INT_L_X6Y6 SLR_REGION_ID 0 TILEPROP INT_L_X6Y6 TILE_PATTERN_IDX 8556 TILEPROP INT_L_X6Y6 TILE_TYPE INT_L TILEPROP INT_L_X6Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y6 TILE_X -64718 TILEPROP INT_L_X6Y6 TILE_Y -220472 TILEPROP INT_L_X6Y6 TYPE INT_L TILEPROP INT_L_X6Y7 CLASS tile TILEPROP INT_L_X6Y7 COLUMN 21 TILEPROP INT_L_X6Y7 DEVICE_ID 0 TILEPROP INT_L_X6Y7 FIRST_SITE_ID 14998 TILEPROP INT_L_X6Y7 GRID_POINT_X 21 TILEPROP INT_L_X6Y7 GRID_POINT_Y 148 TILEPROP INT_L_X6Y7 INDEX 17041 TILEPROP INT_L_X6Y7 INT_TILE_X 6 TILEPROP INT_L_X6Y7 INT_TILE_Y 142 TILEPROP INT_L_X6Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y7 IS_DCM_TILE 0 TILEPROP INT_L_X6Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y7 NAME INT_L_X6Y7 TILEPROP INT_L_X6Y7 NUM_ARCS 3737 TILEPROP INT_L_X6Y7 NUM_SITES 1 TILEPROP INT_L_X6Y7 ROW 148 TILEPROP INT_L_X6Y7 SLR_REGION_ID 0 TILEPROP INT_L_X6Y7 TILE_PATTERN_IDX 8525 TILEPROP INT_L_X6Y7 TILE_TYPE INT_L TILEPROP INT_L_X6Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y7 TILE_X -64718 TILEPROP INT_L_X6Y7 TILE_Y -217272 TILEPROP INT_L_X6Y7 TYPE INT_L TILEPROP INT_L_X6Y8 CLASS tile TILEPROP INT_L_X6Y8 COLUMN 21 TILEPROP INT_L_X6Y8 DEVICE_ID 0 TILEPROP INT_L_X6Y8 FIRST_SITE_ID 14895 TILEPROP INT_L_X6Y8 GRID_POINT_X 21 TILEPROP INT_L_X6Y8 GRID_POINT_Y 147 TILEPROP INT_L_X6Y8 INDEX 16926 TILEPROP INT_L_X6Y8 INT_TILE_X 6 TILEPROP INT_L_X6Y8 INT_TILE_Y 141 TILEPROP INT_L_X6Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y8 IS_DCM_TILE 0 TILEPROP INT_L_X6Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y8 NAME INT_L_X6Y8 TILEPROP INT_L_X6Y8 NUM_ARCS 3737 TILEPROP INT_L_X6Y8 NUM_SITES 1 TILEPROP INT_L_X6Y8 ROW 147 TILEPROP INT_L_X6Y8 SLR_REGION_ID 0 TILEPROP INT_L_X6Y8 TILE_PATTERN_IDX 8495 TILEPROP INT_L_X6Y8 TILE_TYPE INT_L TILEPROP INT_L_X6Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y8 TILE_X -64718 TILEPROP INT_L_X6Y8 TILE_Y -214072 TILEPROP INT_L_X6Y8 TYPE INT_L TILEPROP INT_L_X6Y9 CLASS tile TILEPROP INT_L_X6Y9 COLUMN 21 TILEPROP INT_L_X6Y9 DEVICE_ID 0 TILEPROP INT_L_X6Y9 FIRST_SITE_ID 14794 TILEPROP INT_L_X6Y9 GRID_POINT_X 21 TILEPROP INT_L_X6Y9 GRID_POINT_Y 146 TILEPROP INT_L_X6Y9 INDEX 16811 TILEPROP INT_L_X6Y9 INT_TILE_X 6 TILEPROP INT_L_X6Y9 INT_TILE_Y 140 TILEPROP INT_L_X6Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y9 IS_DCM_TILE 0 TILEPROP INT_L_X6Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y9 NAME INT_L_X6Y9 TILEPROP INT_L_X6Y9 NUM_ARCS 3737 TILEPROP INT_L_X6Y9 NUM_SITES 1 TILEPROP INT_L_X6Y9 ROW 146 TILEPROP INT_L_X6Y9 SLR_REGION_ID 0 TILEPROP INT_L_X6Y9 TILE_PATTERN_IDX 8464 TILEPROP INT_L_X6Y9 TILE_TYPE INT_L TILEPROP INT_L_X6Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y9 TILE_X -64718 TILEPROP INT_L_X6Y9 TILE_Y -210872 TILEPROP INT_L_X6Y9 TYPE INT_L TILEPROP INT_L_X6Y10 CLASS tile TILEPROP INT_L_X6Y10 COLUMN 21 TILEPROP INT_L_X6Y10 DEVICE_ID 0 TILEPROP INT_L_X6Y10 FIRST_SITE_ID 14682 TILEPROP INT_L_X6Y10 GRID_POINT_X 21 TILEPROP INT_L_X6Y10 GRID_POINT_Y 145 TILEPROP INT_L_X6Y10 INDEX 16696 TILEPROP INT_L_X6Y10 INT_TILE_X 6 TILEPROP INT_L_X6Y10 INT_TILE_Y 139 TILEPROP INT_L_X6Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y10 IS_DCM_TILE 0 TILEPROP INT_L_X6Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y10 NAME INT_L_X6Y10 TILEPROP INT_L_X6Y10 NUM_ARCS 3737 TILEPROP INT_L_X6Y10 NUM_SITES 1 TILEPROP INT_L_X6Y10 ROW 145 TILEPROP INT_L_X6Y10 SLR_REGION_ID 0 TILEPROP INT_L_X6Y10 TILE_PATTERN_IDX 8434 TILEPROP INT_L_X6Y10 TILE_TYPE INT_L TILEPROP INT_L_X6Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y10 TILE_X -64718 TILEPROP INT_L_X6Y10 TILE_Y -207672 TILEPROP INT_L_X6Y10 TYPE INT_L TILEPROP INT_L_X6Y11 CLASS tile TILEPROP INT_L_X6Y11 COLUMN 21 TILEPROP INT_L_X6Y11 DEVICE_ID 0 TILEPROP INT_L_X6Y11 FIRST_SITE_ID 14579 TILEPROP INT_L_X6Y11 GRID_POINT_X 21 TILEPROP INT_L_X6Y11 GRID_POINT_Y 144 TILEPROP INT_L_X6Y11 INDEX 16581 TILEPROP INT_L_X6Y11 INT_TILE_X 6 TILEPROP INT_L_X6Y11 INT_TILE_Y 138 TILEPROP INT_L_X6Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y11 IS_DCM_TILE 0 TILEPROP INT_L_X6Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y11 NAME INT_L_X6Y11 TILEPROP INT_L_X6Y11 NUM_ARCS 3737 TILEPROP INT_L_X6Y11 NUM_SITES 1 TILEPROP INT_L_X6Y11 ROW 144 TILEPROP INT_L_X6Y11 SLR_REGION_ID 0 TILEPROP INT_L_X6Y11 TILE_PATTERN_IDX 8403 TILEPROP INT_L_X6Y11 TILE_TYPE INT_L TILEPROP INT_L_X6Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y11 TILE_X -64718 TILEPROP INT_L_X6Y11 TILE_Y -204472 TILEPROP INT_L_X6Y11 TYPE INT_L TILEPROP INT_L_X6Y12 CLASS tile TILEPROP INT_L_X6Y12 COLUMN 21 TILEPROP INT_L_X6Y12 DEVICE_ID 0 TILEPROP INT_L_X6Y12 FIRST_SITE_ID 14447 TILEPROP INT_L_X6Y12 GRID_POINT_X 21 TILEPROP INT_L_X6Y12 GRID_POINT_Y 143 TILEPROP INT_L_X6Y12 INDEX 16466 TILEPROP INT_L_X6Y12 INT_TILE_X 6 TILEPROP INT_L_X6Y12 INT_TILE_Y 137 TILEPROP INT_L_X6Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y12 IS_DCM_TILE 0 TILEPROP INT_L_X6Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y12 NAME INT_L_X6Y12 TILEPROP INT_L_X6Y12 NUM_ARCS 3737 TILEPROP INT_L_X6Y12 NUM_SITES 1 TILEPROP INT_L_X6Y12 ROW 143 TILEPROP INT_L_X6Y12 SLR_REGION_ID 0 TILEPROP INT_L_X6Y12 TILE_PATTERN_IDX 8373 TILEPROP INT_L_X6Y12 TILE_TYPE INT_L TILEPROP INT_L_X6Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y12 TILE_X -64718 TILEPROP INT_L_X6Y12 TILE_Y -201272 TILEPROP INT_L_X6Y12 TYPE INT_L TILEPROP INT_L_X6Y13 CLASS tile TILEPROP INT_L_X6Y13 COLUMN 21 TILEPROP INT_L_X6Y13 DEVICE_ID 0 TILEPROP INT_L_X6Y13 FIRST_SITE_ID 14347 TILEPROP INT_L_X6Y13 GRID_POINT_X 21 TILEPROP INT_L_X6Y13 GRID_POINT_Y 142 TILEPROP INT_L_X6Y13 INDEX 16351 TILEPROP INT_L_X6Y13 INT_TILE_X 6 TILEPROP INT_L_X6Y13 INT_TILE_Y 136 TILEPROP INT_L_X6Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y13 IS_DCM_TILE 0 TILEPROP INT_L_X6Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y13 NAME INT_L_X6Y13 TILEPROP INT_L_X6Y13 NUM_ARCS 3737 TILEPROP INT_L_X6Y13 NUM_SITES 1 TILEPROP INT_L_X6Y13 ROW 142 TILEPROP INT_L_X6Y13 SLR_REGION_ID 0 TILEPROP INT_L_X6Y13 TILE_PATTERN_IDX 8342 TILEPROP INT_L_X6Y13 TILE_TYPE INT_L TILEPROP INT_L_X6Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y13 TILE_X -64718 TILEPROP INT_L_X6Y13 TILE_Y -198072 TILEPROP INT_L_X6Y13 TYPE INT_L TILEPROP INT_L_X6Y14 CLASS tile TILEPROP INT_L_X6Y14 COLUMN 21 TILEPROP INT_L_X6Y14 DEVICE_ID 0 TILEPROP INT_L_X6Y14 FIRST_SITE_ID 14247 TILEPROP INT_L_X6Y14 GRID_POINT_X 21 TILEPROP INT_L_X6Y14 GRID_POINT_Y 141 TILEPROP INT_L_X6Y14 INDEX 16236 TILEPROP INT_L_X6Y14 INT_TILE_X 6 TILEPROP INT_L_X6Y14 INT_TILE_Y 135 TILEPROP INT_L_X6Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y14 IS_DCM_TILE 0 TILEPROP INT_L_X6Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y14 NAME INT_L_X6Y14 TILEPROP INT_L_X6Y14 NUM_ARCS 3737 TILEPROP INT_L_X6Y14 NUM_SITES 1 TILEPROP INT_L_X6Y14 ROW 141 TILEPROP INT_L_X6Y14 SLR_REGION_ID 0 TILEPROP INT_L_X6Y14 TILE_PATTERN_IDX 8312 TILEPROP INT_L_X6Y14 TILE_TYPE INT_L TILEPROP INT_L_X6Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y14 TILE_X -64718 TILEPROP INT_L_X6Y14 TILE_Y -194872 TILEPROP INT_L_X6Y14 TYPE INT_L TILEPROP INT_L_X6Y15 CLASS tile TILEPROP INT_L_X6Y15 COLUMN 21 TILEPROP INT_L_X6Y15 DEVICE_ID 0 TILEPROP INT_L_X6Y15 FIRST_SITE_ID 14135 TILEPROP INT_L_X6Y15 GRID_POINT_X 21 TILEPROP INT_L_X6Y15 GRID_POINT_Y 140 TILEPROP INT_L_X6Y15 INDEX 16121 TILEPROP INT_L_X6Y15 INT_TILE_X 6 TILEPROP INT_L_X6Y15 INT_TILE_Y 134 TILEPROP INT_L_X6Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y15 IS_DCM_TILE 0 TILEPROP INT_L_X6Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y15 NAME INT_L_X6Y15 TILEPROP INT_L_X6Y15 NUM_ARCS 3737 TILEPROP INT_L_X6Y15 NUM_SITES 1 TILEPROP INT_L_X6Y15 ROW 140 TILEPROP INT_L_X6Y15 SLR_REGION_ID 0 TILEPROP INT_L_X6Y15 TILE_PATTERN_IDX 8281 TILEPROP INT_L_X6Y15 TILE_TYPE INT_L TILEPROP INT_L_X6Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y15 TILE_X -64718 TILEPROP INT_L_X6Y15 TILE_Y -191672 TILEPROP INT_L_X6Y15 TYPE INT_L TILEPROP INT_L_X6Y16 CLASS tile TILEPROP INT_L_X6Y16 COLUMN 21 TILEPROP INT_L_X6Y16 DEVICE_ID 0 TILEPROP INT_L_X6Y16 FIRST_SITE_ID 14032 TILEPROP INT_L_X6Y16 GRID_POINT_X 21 TILEPROP INT_L_X6Y16 GRID_POINT_Y 139 TILEPROP INT_L_X6Y16 INDEX 16006 TILEPROP INT_L_X6Y16 INT_TILE_X 6 TILEPROP INT_L_X6Y16 INT_TILE_Y 133 TILEPROP INT_L_X6Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y16 IS_DCM_TILE 0 TILEPROP INT_L_X6Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y16 NAME INT_L_X6Y16 TILEPROP INT_L_X6Y16 NUM_ARCS 3737 TILEPROP INT_L_X6Y16 NUM_SITES 1 TILEPROP INT_L_X6Y16 ROW 139 TILEPROP INT_L_X6Y16 SLR_REGION_ID 0 TILEPROP INT_L_X6Y16 TILE_PATTERN_IDX 8251 TILEPROP INT_L_X6Y16 TILE_TYPE INT_L TILEPROP INT_L_X6Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y16 TILE_X -64718 TILEPROP INT_L_X6Y16 TILE_Y -188472 TILEPROP INT_L_X6Y16 TYPE INT_L TILEPROP INT_L_X6Y17 CLASS tile TILEPROP INT_L_X6Y17 COLUMN 21 TILEPROP INT_L_X6Y17 DEVICE_ID 0 TILEPROP INT_L_X6Y17 FIRST_SITE_ID 13927 TILEPROP INT_L_X6Y17 GRID_POINT_X 21 TILEPROP INT_L_X6Y17 GRID_POINT_Y 138 TILEPROP INT_L_X6Y17 INDEX 15891 TILEPROP INT_L_X6Y17 INT_TILE_X 6 TILEPROP INT_L_X6Y17 INT_TILE_Y 132 TILEPROP INT_L_X6Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y17 IS_DCM_TILE 0 TILEPROP INT_L_X6Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y17 NAME INT_L_X6Y17 TILEPROP INT_L_X6Y17 NUM_ARCS 3737 TILEPROP INT_L_X6Y17 NUM_SITES 1 TILEPROP INT_L_X6Y17 ROW 138 TILEPROP INT_L_X6Y17 SLR_REGION_ID 0 TILEPROP INT_L_X6Y17 TILE_PATTERN_IDX 8219 TILEPROP INT_L_X6Y17 TILE_TYPE INT_L TILEPROP INT_L_X6Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y17 TILE_X -64718 TILEPROP INT_L_X6Y17 TILE_Y -185272 TILEPROP INT_L_X6Y17 TYPE INT_L TILEPROP INT_L_X6Y18 CLASS tile TILEPROP INT_L_X6Y18 COLUMN 21 TILEPROP INT_L_X6Y18 DEVICE_ID 0 TILEPROP INT_L_X6Y18 FIRST_SITE_ID 13823 TILEPROP INT_L_X6Y18 GRID_POINT_X 21 TILEPROP INT_L_X6Y18 GRID_POINT_Y 137 TILEPROP INT_L_X6Y18 INDEX 15776 TILEPROP INT_L_X6Y18 INT_TILE_X 6 TILEPROP INT_L_X6Y18 INT_TILE_Y 131 TILEPROP INT_L_X6Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y18 IS_DCM_TILE 0 TILEPROP INT_L_X6Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y18 NAME INT_L_X6Y18 TILEPROP INT_L_X6Y18 NUM_ARCS 3737 TILEPROP INT_L_X6Y18 NUM_SITES 1 TILEPROP INT_L_X6Y18 ROW 137 TILEPROP INT_L_X6Y18 SLR_REGION_ID 0 TILEPROP INT_L_X6Y18 TILE_PATTERN_IDX 8196 TILEPROP INT_L_X6Y18 TILE_TYPE INT_L TILEPROP INT_L_X6Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y18 TILE_X -64718 TILEPROP INT_L_X6Y18 TILE_Y -182072 TILEPROP INT_L_X6Y18 TYPE INT_L TILEPROP INT_L_X6Y19 CLASS tile TILEPROP INT_L_X6Y19 COLUMN 21 TILEPROP INT_L_X6Y19 DEVICE_ID 0 TILEPROP INT_L_X6Y19 FIRST_SITE_ID 13721 TILEPROP INT_L_X6Y19 GRID_POINT_X 21 TILEPROP INT_L_X6Y19 GRID_POINT_Y 136 TILEPROP INT_L_X6Y19 INDEX 15661 TILEPROP INT_L_X6Y19 INT_TILE_X 6 TILEPROP INT_L_X6Y19 INT_TILE_Y 130 TILEPROP INT_L_X6Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y19 IS_DCM_TILE 0 TILEPROP INT_L_X6Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y19 NAME INT_L_X6Y19 TILEPROP INT_L_X6Y19 NUM_ARCS 3737 TILEPROP INT_L_X6Y19 NUM_SITES 1 TILEPROP INT_L_X6Y19 ROW 136 TILEPROP INT_L_X6Y19 SLR_REGION_ID 0 TILEPROP INT_L_X6Y19 TILE_PATTERN_IDX 8173 TILEPROP INT_L_X6Y19 TILE_TYPE INT_L TILEPROP INT_L_X6Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y19 TILE_X -64718 TILEPROP INT_L_X6Y19 TILE_Y -178872 TILEPROP INT_L_X6Y19 TYPE INT_L TILEPROP INT_L_X6Y20 CLASS tile TILEPROP INT_L_X6Y20 COLUMN 21 TILEPROP INT_L_X6Y20 DEVICE_ID 0 TILEPROP INT_L_X6Y20 FIRST_SITE_ID 13607 TILEPROP INT_L_X6Y20 GRID_POINT_X 21 TILEPROP INT_L_X6Y20 GRID_POINT_Y 135 TILEPROP INT_L_X6Y20 INDEX 15546 TILEPROP INT_L_X6Y20 INT_TILE_X 6 TILEPROP INT_L_X6Y20 INT_TILE_Y 129 TILEPROP INT_L_X6Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y20 IS_DCM_TILE 0 TILEPROP INT_L_X6Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y20 NAME INT_L_X6Y20 TILEPROP INT_L_X6Y20 NUM_ARCS 3737 TILEPROP INT_L_X6Y20 NUM_SITES 1 TILEPROP INT_L_X6Y20 ROW 135 TILEPROP INT_L_X6Y20 SLR_REGION_ID 0 TILEPROP INT_L_X6Y20 TILE_PATTERN_IDX 8151 TILEPROP INT_L_X6Y20 TILE_TYPE INT_L TILEPROP INT_L_X6Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y20 TILE_X -64718 TILEPROP INT_L_X6Y20 TILE_Y -175672 TILEPROP INT_L_X6Y20 TYPE INT_L TILEPROP INT_L_X6Y21 CLASS tile TILEPROP INT_L_X6Y21 COLUMN 21 TILEPROP INT_L_X6Y21 DEVICE_ID 0 TILEPROP INT_L_X6Y21 FIRST_SITE_ID 13504 TILEPROP INT_L_X6Y21 GRID_POINT_X 21 TILEPROP INT_L_X6Y21 GRID_POINT_Y 134 TILEPROP INT_L_X6Y21 INDEX 15431 TILEPROP INT_L_X6Y21 INT_TILE_X 6 TILEPROP INT_L_X6Y21 INT_TILE_Y 128 TILEPROP INT_L_X6Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y21 IS_DCM_TILE 0 TILEPROP INT_L_X6Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y21 NAME INT_L_X6Y21 TILEPROP INT_L_X6Y21 NUM_ARCS 3737 TILEPROP INT_L_X6Y21 NUM_SITES 1 TILEPROP INT_L_X6Y21 ROW 134 TILEPROP INT_L_X6Y21 SLR_REGION_ID 0 TILEPROP INT_L_X6Y21 TILE_PATTERN_IDX 8122 TILEPROP INT_L_X6Y21 TILE_TYPE INT_L TILEPROP INT_L_X6Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y21 TILE_X -64718 TILEPROP INT_L_X6Y21 TILE_Y -172472 TILEPROP INT_L_X6Y21 TYPE INT_L TILEPROP INT_L_X6Y22 CLASS tile TILEPROP INT_L_X6Y22 COLUMN 21 TILEPROP INT_L_X6Y22 DEVICE_ID 0 TILEPROP INT_L_X6Y22 FIRST_SITE_ID 13404 TILEPROP INT_L_X6Y22 GRID_POINT_X 21 TILEPROP INT_L_X6Y22 GRID_POINT_Y 133 TILEPROP INT_L_X6Y22 INDEX 15316 TILEPROP INT_L_X6Y22 INT_TILE_X 6 TILEPROP INT_L_X6Y22 INT_TILE_Y 127 TILEPROP INT_L_X6Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y22 IS_DCM_TILE 0 TILEPROP INT_L_X6Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y22 NAME INT_L_X6Y22 TILEPROP INT_L_X6Y22 NUM_ARCS 3737 TILEPROP INT_L_X6Y22 NUM_SITES 1 TILEPROP INT_L_X6Y22 ROW 133 TILEPROP INT_L_X6Y22 SLR_REGION_ID 0 TILEPROP INT_L_X6Y22 TILE_PATTERN_IDX 8094 TILEPROP INT_L_X6Y22 TILE_TYPE INT_L TILEPROP INT_L_X6Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y22 TILE_X -64718 TILEPROP INT_L_X6Y22 TILE_Y -169272 TILEPROP INT_L_X6Y22 TYPE INT_L TILEPROP INT_L_X6Y23 CLASS tile TILEPROP INT_L_X6Y23 COLUMN 21 TILEPROP INT_L_X6Y23 DEVICE_ID 0 TILEPROP INT_L_X6Y23 FIRST_SITE_ID 13304 TILEPROP INT_L_X6Y23 GRID_POINT_X 21 TILEPROP INT_L_X6Y23 GRID_POINT_Y 132 TILEPROP INT_L_X6Y23 INDEX 15201 TILEPROP INT_L_X6Y23 INT_TILE_X 6 TILEPROP INT_L_X6Y23 INT_TILE_Y 126 TILEPROP INT_L_X6Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y23 IS_DCM_TILE 0 TILEPROP INT_L_X6Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y23 NAME INT_L_X6Y23 TILEPROP INT_L_X6Y23 NUM_ARCS 3737 TILEPROP INT_L_X6Y23 NUM_SITES 1 TILEPROP INT_L_X6Y23 ROW 132 TILEPROP INT_L_X6Y23 SLR_REGION_ID 0 TILEPROP INT_L_X6Y23 TILE_PATTERN_IDX 8065 TILEPROP INT_L_X6Y23 TILE_TYPE INT_L TILEPROP INT_L_X6Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y23 TILE_X -64718 TILEPROP INT_L_X6Y23 TILE_Y -166072 TILEPROP INT_L_X6Y23 TYPE INT_L TILEPROP INT_L_X6Y24 CLASS tile TILEPROP INT_L_X6Y24 COLUMN 21 TILEPROP INT_L_X6Y24 DEVICE_ID 0 TILEPROP INT_L_X6Y24 FIRST_SITE_ID 13204 TILEPROP INT_L_X6Y24 GRID_POINT_X 21 TILEPROP INT_L_X6Y24 GRID_POINT_Y 131 TILEPROP INT_L_X6Y24 INDEX 15086 TILEPROP INT_L_X6Y24 INT_TILE_X 6 TILEPROP INT_L_X6Y24 INT_TILE_Y 125 TILEPROP INT_L_X6Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y24 IS_DCM_TILE 0 TILEPROP INT_L_X6Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y24 NAME INT_L_X6Y24 TILEPROP INT_L_X6Y24 NUM_ARCS 3737 TILEPROP INT_L_X6Y24 NUM_SITES 1 TILEPROP INT_L_X6Y24 ROW 131 TILEPROP INT_L_X6Y24 SLR_REGION_ID 0 TILEPROP INT_L_X6Y24 TILE_PATTERN_IDX 8037 TILEPROP INT_L_X6Y24 TILE_TYPE INT_L TILEPROP INT_L_X6Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y24 TILE_X -64718 TILEPROP INT_L_X6Y24 TILE_Y -162872 TILEPROP INT_L_X6Y24 TYPE INT_L TILEPROP INT_L_X6Y25 CLASS tile TILEPROP INT_L_X6Y25 COLUMN 21 TILEPROP INT_L_X6Y25 DEVICE_ID 0 TILEPROP INT_L_X6Y25 FIRST_SITE_ID 13009 TILEPROP INT_L_X6Y25 GRID_POINT_X 21 TILEPROP INT_L_X6Y25 GRID_POINT_Y 129 TILEPROP INT_L_X6Y25 INDEX 14856 TILEPROP INT_L_X6Y25 INT_TILE_X 6 TILEPROP INT_L_X6Y25 INT_TILE_Y 124 TILEPROP INT_L_X6Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y25 IS_DCM_TILE 0 TILEPROP INT_L_X6Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y25 NAME INT_L_X6Y25 TILEPROP INT_L_X6Y25 NUM_ARCS 3737 TILEPROP INT_L_X6Y25 NUM_SITES 1 TILEPROP INT_L_X6Y25 ROW 129 TILEPROP INT_L_X6Y25 SLR_REGION_ID 0 TILEPROP INT_L_X6Y25 TILE_PATTERN_IDX 7965 TILEPROP INT_L_X6Y25 TILE_TYPE INT_L TILEPROP INT_L_X6Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y25 TILE_X -64718 TILEPROP INT_L_X6Y25 TILE_Y -158648 TILEPROP INT_L_X6Y25 TYPE INT_L TILEPROP INT_L_X6Y26 CLASS tile TILEPROP INT_L_X6Y26 COLUMN 21 TILEPROP INT_L_X6Y26 DEVICE_ID 0 TILEPROP INT_L_X6Y26 FIRST_SITE_ID 12906 TILEPROP INT_L_X6Y26 GRID_POINT_X 21 TILEPROP INT_L_X6Y26 GRID_POINT_Y 128 TILEPROP INT_L_X6Y26 INDEX 14741 TILEPROP INT_L_X6Y26 INT_TILE_X 6 TILEPROP INT_L_X6Y26 INT_TILE_Y 123 TILEPROP INT_L_X6Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y26 IS_DCM_TILE 0 TILEPROP INT_L_X6Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y26 NAME INT_L_X6Y26 TILEPROP INT_L_X6Y26 NUM_ARCS 3737 TILEPROP INT_L_X6Y26 NUM_SITES 1 TILEPROP INT_L_X6Y26 ROW 128 TILEPROP INT_L_X6Y26 SLR_REGION_ID 0 TILEPROP INT_L_X6Y26 TILE_PATTERN_IDX 7937 TILEPROP INT_L_X6Y26 TILE_TYPE INT_L TILEPROP INT_L_X6Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y26 TILE_X -64718 TILEPROP INT_L_X6Y26 TILE_Y -155448 TILEPROP INT_L_X6Y26 TYPE INT_L TILEPROP INT_L_X6Y27 CLASS tile TILEPROP INT_L_X6Y27 COLUMN 21 TILEPROP INT_L_X6Y27 DEVICE_ID 0 TILEPROP INT_L_X6Y27 FIRST_SITE_ID 12806 TILEPROP INT_L_X6Y27 GRID_POINT_X 21 TILEPROP INT_L_X6Y27 GRID_POINT_Y 127 TILEPROP INT_L_X6Y27 INDEX 14626 TILEPROP INT_L_X6Y27 INT_TILE_X 6 TILEPROP INT_L_X6Y27 INT_TILE_Y 122 TILEPROP INT_L_X6Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y27 IS_DCM_TILE 0 TILEPROP INT_L_X6Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y27 NAME INT_L_X6Y27 TILEPROP INT_L_X6Y27 NUM_ARCS 3737 TILEPROP INT_L_X6Y27 NUM_SITES 1 TILEPROP INT_L_X6Y27 ROW 127 TILEPROP INT_L_X6Y27 SLR_REGION_ID 0 TILEPROP INT_L_X6Y27 TILE_PATTERN_IDX 7908 TILEPROP INT_L_X6Y27 TILE_TYPE INT_L TILEPROP INT_L_X6Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y27 TILE_X -64718 TILEPROP INT_L_X6Y27 TILE_Y -152248 TILEPROP INT_L_X6Y27 TYPE INT_L TILEPROP INT_L_X6Y28 CLASS tile TILEPROP INT_L_X6Y28 COLUMN 21 TILEPROP INT_L_X6Y28 DEVICE_ID 0 TILEPROP INT_L_X6Y28 FIRST_SITE_ID 12706 TILEPROP INT_L_X6Y28 GRID_POINT_X 21 TILEPROP INT_L_X6Y28 GRID_POINT_Y 126 TILEPROP INT_L_X6Y28 INDEX 14511 TILEPROP INT_L_X6Y28 INT_TILE_X 6 TILEPROP INT_L_X6Y28 INT_TILE_Y 121 TILEPROP INT_L_X6Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y28 IS_DCM_TILE 0 TILEPROP INT_L_X6Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y28 NAME INT_L_X6Y28 TILEPROP INT_L_X6Y28 NUM_ARCS 3737 TILEPROP INT_L_X6Y28 NUM_SITES 1 TILEPROP INT_L_X6Y28 ROW 126 TILEPROP INT_L_X6Y28 SLR_REGION_ID 0 TILEPROP INT_L_X6Y28 TILE_PATTERN_IDX 7880 TILEPROP INT_L_X6Y28 TILE_TYPE INT_L TILEPROP INT_L_X6Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y28 TILE_X -64718 TILEPROP INT_L_X6Y28 TILE_Y -149048 TILEPROP INT_L_X6Y28 TYPE INT_L TILEPROP INT_L_X6Y29 CLASS tile TILEPROP INT_L_X6Y29 COLUMN 21 TILEPROP INT_L_X6Y29 DEVICE_ID 0 TILEPROP INT_L_X6Y29 FIRST_SITE_ID 12600 TILEPROP INT_L_X6Y29 GRID_POINT_X 21 TILEPROP INT_L_X6Y29 GRID_POINT_Y 125 TILEPROP INT_L_X6Y29 INDEX 14396 TILEPROP INT_L_X6Y29 INT_TILE_X 6 TILEPROP INT_L_X6Y29 INT_TILE_Y 120 TILEPROP INT_L_X6Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y29 IS_DCM_TILE 0 TILEPROP INT_L_X6Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y29 NAME INT_L_X6Y29 TILEPROP INT_L_X6Y29 NUM_ARCS 3737 TILEPROP INT_L_X6Y29 NUM_SITES 1 TILEPROP INT_L_X6Y29 ROW 125 TILEPROP INT_L_X6Y29 SLR_REGION_ID 0 TILEPROP INT_L_X6Y29 TILE_PATTERN_IDX 7856 TILEPROP INT_L_X6Y29 TILE_TYPE INT_L TILEPROP INT_L_X6Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y29 TILE_X -64718 TILEPROP INT_L_X6Y29 TILE_Y -145848 TILEPROP INT_L_X6Y29 TYPE INT_L TILEPROP INT_L_X6Y30 CLASS tile TILEPROP INT_L_X6Y30 COLUMN 21 TILEPROP INT_L_X6Y30 DEVICE_ID 0 TILEPROP INT_L_X6Y30 FIRST_SITE_ID 12469 TILEPROP INT_L_X6Y30 GRID_POINT_X 21 TILEPROP INT_L_X6Y30 GRID_POINT_Y 124 TILEPROP INT_L_X6Y30 INDEX 14281 TILEPROP INT_L_X6Y30 INT_TILE_X 6 TILEPROP INT_L_X6Y30 INT_TILE_Y 119 TILEPROP INT_L_X6Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y30 IS_DCM_TILE 0 TILEPROP INT_L_X6Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y30 NAME INT_L_X6Y30 TILEPROP INT_L_X6Y30 NUM_ARCS 3737 TILEPROP INT_L_X6Y30 NUM_SITES 1 TILEPROP INT_L_X6Y30 ROW 124 TILEPROP INT_L_X6Y30 SLR_REGION_ID 0 TILEPROP INT_L_X6Y30 TILE_PATTERN_IDX 7833 TILEPROP INT_L_X6Y30 TILE_TYPE INT_L TILEPROP INT_L_X6Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y30 TILE_X -64718 TILEPROP INT_L_X6Y30 TILE_Y -142648 TILEPROP INT_L_X6Y30 TYPE INT_L TILEPROP INT_L_X6Y31 CLASS tile TILEPROP INT_L_X6Y31 COLUMN 21 TILEPROP INT_L_X6Y31 DEVICE_ID 0 TILEPROP INT_L_X6Y31 FIRST_SITE_ID 12364 TILEPROP INT_L_X6Y31 GRID_POINT_X 21 TILEPROP INT_L_X6Y31 GRID_POINT_Y 123 TILEPROP INT_L_X6Y31 INDEX 14166 TILEPROP INT_L_X6Y31 INT_TILE_X 6 TILEPROP INT_L_X6Y31 INT_TILE_Y 118 TILEPROP INT_L_X6Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y31 IS_DCM_TILE 0 TILEPROP INT_L_X6Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y31 NAME INT_L_X6Y31 TILEPROP INT_L_X6Y31 NUM_ARCS 3737 TILEPROP INT_L_X6Y31 NUM_SITES 1 TILEPROP INT_L_X6Y31 ROW 123 TILEPROP INT_L_X6Y31 SLR_REGION_ID 0 TILEPROP INT_L_X6Y31 TILE_PATTERN_IDX 7810 TILEPROP INT_L_X6Y31 TILE_TYPE INT_L TILEPROP INT_L_X6Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y31 TILE_X -64718 TILEPROP INT_L_X6Y31 TILE_Y -139448 TILEPROP INT_L_X6Y31 TYPE INT_L TILEPROP INT_L_X6Y32 CLASS tile TILEPROP INT_L_X6Y32 COLUMN 21 TILEPROP INT_L_X6Y32 DEVICE_ID 0 TILEPROP INT_L_X6Y32 FIRST_SITE_ID 12261 TILEPROP INT_L_X6Y32 GRID_POINT_X 21 TILEPROP INT_L_X6Y32 GRID_POINT_Y 122 TILEPROP INT_L_X6Y32 INDEX 14051 TILEPROP INT_L_X6Y32 INT_TILE_X 6 TILEPROP INT_L_X6Y32 INT_TILE_Y 117 TILEPROP INT_L_X6Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y32 IS_DCM_TILE 0 TILEPROP INT_L_X6Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y32 NAME INT_L_X6Y32 TILEPROP INT_L_X6Y32 NUM_ARCS 3737 TILEPROP INT_L_X6Y32 NUM_SITES 1 TILEPROP INT_L_X6Y32 ROW 122 TILEPROP INT_L_X6Y32 SLR_REGION_ID 0 TILEPROP INT_L_X6Y32 TILE_PATTERN_IDX 7776 TILEPROP INT_L_X6Y32 TILE_TYPE INT_L TILEPROP INT_L_X6Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y32 TILE_X -64718 TILEPROP INT_L_X6Y32 TILE_Y -136248 TILEPROP INT_L_X6Y32 TYPE INT_L TILEPROP INT_L_X6Y33 CLASS tile TILEPROP INT_L_X6Y33 COLUMN 21 TILEPROP INT_L_X6Y33 DEVICE_ID 0 TILEPROP INT_L_X6Y33 FIRST_SITE_ID 12161 TILEPROP INT_L_X6Y33 GRID_POINT_X 21 TILEPROP INT_L_X6Y33 GRID_POINT_Y 121 TILEPROP INT_L_X6Y33 INDEX 13936 TILEPROP INT_L_X6Y33 INT_TILE_X 6 TILEPROP INT_L_X6Y33 INT_TILE_Y 116 TILEPROP INT_L_X6Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y33 IS_DCM_TILE 0 TILEPROP INT_L_X6Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y33 NAME INT_L_X6Y33 TILEPROP INT_L_X6Y33 NUM_ARCS 3737 TILEPROP INT_L_X6Y33 NUM_SITES 1 TILEPROP INT_L_X6Y33 ROW 121 TILEPROP INT_L_X6Y33 SLR_REGION_ID 0 TILEPROP INT_L_X6Y33 TILE_PATTERN_IDX 7747 TILEPROP INT_L_X6Y33 TILE_TYPE INT_L TILEPROP INT_L_X6Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y33 TILE_X -64718 TILEPROP INT_L_X6Y33 TILE_Y -133048 TILEPROP INT_L_X6Y33 TYPE INT_L TILEPROP INT_L_X6Y34 CLASS tile TILEPROP INT_L_X6Y34 COLUMN 21 TILEPROP INT_L_X6Y34 DEVICE_ID 0 TILEPROP INT_L_X6Y34 FIRST_SITE_ID 12061 TILEPROP INT_L_X6Y34 GRID_POINT_X 21 TILEPROP INT_L_X6Y34 GRID_POINT_Y 120 TILEPROP INT_L_X6Y34 INDEX 13821 TILEPROP INT_L_X6Y34 INT_TILE_X 6 TILEPROP INT_L_X6Y34 INT_TILE_Y 115 TILEPROP INT_L_X6Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y34 IS_DCM_TILE 0 TILEPROP INT_L_X6Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y34 NAME INT_L_X6Y34 TILEPROP INT_L_X6Y34 NUM_ARCS 3737 TILEPROP INT_L_X6Y34 NUM_SITES 1 TILEPROP INT_L_X6Y34 ROW 120 TILEPROP INT_L_X6Y34 SLR_REGION_ID 0 TILEPROP INT_L_X6Y34 TILE_PATTERN_IDX 7719 TILEPROP INT_L_X6Y34 TILE_TYPE INT_L TILEPROP INT_L_X6Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y34 TILE_X -64718 TILEPROP INT_L_X6Y34 TILE_Y -129848 TILEPROP INT_L_X6Y34 TYPE INT_L TILEPROP INT_L_X6Y35 CLASS tile TILEPROP INT_L_X6Y35 COLUMN 21 TILEPROP INT_L_X6Y35 DEVICE_ID 0 TILEPROP INT_L_X6Y35 FIRST_SITE_ID 11949 TILEPROP INT_L_X6Y35 GRID_POINT_X 21 TILEPROP INT_L_X6Y35 GRID_POINT_Y 119 TILEPROP INT_L_X6Y35 INDEX 13706 TILEPROP INT_L_X6Y35 INT_TILE_X 6 TILEPROP INT_L_X6Y35 INT_TILE_Y 114 TILEPROP INT_L_X6Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y35 IS_DCM_TILE 0 TILEPROP INT_L_X6Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y35 NAME INT_L_X6Y35 TILEPROP INT_L_X6Y35 NUM_ARCS 3737 TILEPROP INT_L_X6Y35 NUM_SITES 1 TILEPROP INT_L_X6Y35 ROW 119 TILEPROP INT_L_X6Y35 SLR_REGION_ID 0 TILEPROP INT_L_X6Y35 TILE_PATTERN_IDX 7690 TILEPROP INT_L_X6Y35 TILE_TYPE INT_L TILEPROP INT_L_X6Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y35 TILE_X -64718 TILEPROP INT_L_X6Y35 TILE_Y -126648 TILEPROP INT_L_X6Y35 TYPE INT_L TILEPROP INT_L_X6Y36 CLASS tile TILEPROP INT_L_X6Y36 COLUMN 21 TILEPROP INT_L_X6Y36 DEVICE_ID 0 TILEPROP INT_L_X6Y36 FIRST_SITE_ID 11814 TILEPROP INT_L_X6Y36 GRID_POINT_X 21 TILEPROP INT_L_X6Y36 GRID_POINT_Y 118 TILEPROP INT_L_X6Y36 INDEX 13591 TILEPROP INT_L_X6Y36 INT_TILE_X 6 TILEPROP INT_L_X6Y36 INT_TILE_Y 113 TILEPROP INT_L_X6Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y36 IS_DCM_TILE 0 TILEPROP INT_L_X6Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y36 NAME INT_L_X6Y36 TILEPROP INT_L_X6Y36 NUM_ARCS 3737 TILEPROP INT_L_X6Y36 NUM_SITES 1 TILEPROP INT_L_X6Y36 ROW 118 TILEPROP INT_L_X6Y36 SLR_REGION_ID 0 TILEPROP INT_L_X6Y36 TILE_PATTERN_IDX 7662 TILEPROP INT_L_X6Y36 TILE_TYPE INT_L TILEPROP INT_L_X6Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y36 TILE_X -64718 TILEPROP INT_L_X6Y36 TILE_Y -123448 TILEPROP INT_L_X6Y36 TYPE INT_L TILEPROP INT_L_X6Y37 CLASS tile TILEPROP INT_L_X6Y37 COLUMN 21 TILEPROP INT_L_X6Y37 DEVICE_ID 0 TILEPROP INT_L_X6Y37 FIRST_SITE_ID 11714 TILEPROP INT_L_X6Y37 GRID_POINT_X 21 TILEPROP INT_L_X6Y37 GRID_POINT_Y 117 TILEPROP INT_L_X6Y37 INDEX 13476 TILEPROP INT_L_X6Y37 INT_TILE_X 6 TILEPROP INT_L_X6Y37 INT_TILE_Y 112 TILEPROP INT_L_X6Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y37 IS_DCM_TILE 0 TILEPROP INT_L_X6Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y37 NAME INT_L_X6Y37 TILEPROP INT_L_X6Y37 NUM_ARCS 3737 TILEPROP INT_L_X6Y37 NUM_SITES 1 TILEPROP INT_L_X6Y37 ROW 117 TILEPROP INT_L_X6Y37 SLR_REGION_ID 0 TILEPROP INT_L_X6Y37 TILE_PATTERN_IDX 7634 TILEPROP INT_L_X6Y37 TILE_TYPE INT_L TILEPROP INT_L_X6Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y37 TILE_X -64718 TILEPROP INT_L_X6Y37 TILE_Y -120248 TILEPROP INT_L_X6Y37 TYPE INT_L TILEPROP INT_L_X6Y38 CLASS tile TILEPROP INT_L_X6Y38 COLUMN 21 TILEPROP INT_L_X6Y38 DEVICE_ID 0 TILEPROP INT_L_X6Y38 FIRST_SITE_ID 11614 TILEPROP INT_L_X6Y38 GRID_POINT_X 21 TILEPROP INT_L_X6Y38 GRID_POINT_Y 116 TILEPROP INT_L_X6Y38 INDEX 13361 TILEPROP INT_L_X6Y38 INT_TILE_X 6 TILEPROP INT_L_X6Y38 INT_TILE_Y 111 TILEPROP INT_L_X6Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y38 IS_DCM_TILE 0 TILEPROP INT_L_X6Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y38 NAME INT_L_X6Y38 TILEPROP INT_L_X6Y38 NUM_ARCS 3737 TILEPROP INT_L_X6Y38 NUM_SITES 1 TILEPROP INT_L_X6Y38 ROW 116 TILEPROP INT_L_X6Y38 SLR_REGION_ID 0 TILEPROP INT_L_X6Y38 TILE_PATTERN_IDX 7606 TILEPROP INT_L_X6Y38 TILE_TYPE INT_L TILEPROP INT_L_X6Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y38 TILE_X -64718 TILEPROP INT_L_X6Y38 TILE_Y -117048 TILEPROP INT_L_X6Y38 TYPE INT_L TILEPROP INT_L_X6Y39 CLASS tile TILEPROP INT_L_X6Y39 COLUMN 21 TILEPROP INT_L_X6Y39 DEVICE_ID 0 TILEPROP INT_L_X6Y39 FIRST_SITE_ID 11514 TILEPROP INT_L_X6Y39 GRID_POINT_X 21 TILEPROP INT_L_X6Y39 GRID_POINT_Y 115 TILEPROP INT_L_X6Y39 INDEX 13246 TILEPROP INT_L_X6Y39 INT_TILE_X 6 TILEPROP INT_L_X6Y39 INT_TILE_Y 110 TILEPROP INT_L_X6Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y39 IS_DCM_TILE 0 TILEPROP INT_L_X6Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y39 NAME INT_L_X6Y39 TILEPROP INT_L_X6Y39 NUM_ARCS 3737 TILEPROP INT_L_X6Y39 NUM_SITES 1 TILEPROP INT_L_X6Y39 ROW 115 TILEPROP INT_L_X6Y39 SLR_REGION_ID 0 TILEPROP INT_L_X6Y39 TILE_PATTERN_IDX 7577 TILEPROP INT_L_X6Y39 TILE_TYPE INT_L TILEPROP INT_L_X6Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y39 TILE_X -64718 TILEPROP INT_L_X6Y39 TILE_Y -113848 TILEPROP INT_L_X6Y39 TYPE INT_L TILEPROP INT_L_X6Y40 CLASS tile TILEPROP INT_L_X6Y40 COLUMN 21 TILEPROP INT_L_X6Y40 DEVICE_ID 0 TILEPROP INT_L_X6Y40 FIRST_SITE_ID 11400 TILEPROP INT_L_X6Y40 GRID_POINT_X 21 TILEPROP INT_L_X6Y40 GRID_POINT_Y 114 TILEPROP INT_L_X6Y40 INDEX 13131 TILEPROP INT_L_X6Y40 INT_TILE_X 6 TILEPROP INT_L_X6Y40 INT_TILE_Y 109 TILEPROP INT_L_X6Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y40 IS_DCM_TILE 0 TILEPROP INT_L_X6Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y40 NAME INT_L_X6Y40 TILEPROP INT_L_X6Y40 NUM_ARCS 3737 TILEPROP INT_L_X6Y40 NUM_SITES 1 TILEPROP INT_L_X6Y40 ROW 114 TILEPROP INT_L_X6Y40 SLR_REGION_ID 0 TILEPROP INT_L_X6Y40 TILE_PATTERN_IDX 7548 TILEPROP INT_L_X6Y40 TILE_TYPE INT_L TILEPROP INT_L_X6Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y40 TILE_X -64718 TILEPROP INT_L_X6Y40 TILE_Y -110648 TILEPROP INT_L_X6Y40 TYPE INT_L TILEPROP INT_L_X6Y41 CLASS tile TILEPROP INT_L_X6Y41 COLUMN 21 TILEPROP INT_L_X6Y41 DEVICE_ID 0 TILEPROP INT_L_X6Y41 FIRST_SITE_ID 11296 TILEPROP INT_L_X6Y41 GRID_POINT_X 21 TILEPROP INT_L_X6Y41 GRID_POINT_Y 113 TILEPROP INT_L_X6Y41 INDEX 13016 TILEPROP INT_L_X6Y41 INT_TILE_X 6 TILEPROP INT_L_X6Y41 INT_TILE_Y 108 TILEPROP INT_L_X6Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y41 IS_DCM_TILE 0 TILEPROP INT_L_X6Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y41 NAME INT_L_X6Y41 TILEPROP INT_L_X6Y41 NUM_ARCS 3737 TILEPROP INT_L_X6Y41 NUM_SITES 1 TILEPROP INT_L_X6Y41 ROW 113 TILEPROP INT_L_X6Y41 SLR_REGION_ID 0 TILEPROP INT_L_X6Y41 TILE_PATTERN_IDX 7513 TILEPROP INT_L_X6Y41 TILE_TYPE INT_L TILEPROP INT_L_X6Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y41 TILE_X -64718 TILEPROP INT_L_X6Y41 TILE_Y -107448 TILEPROP INT_L_X6Y41 TYPE INT_L TILEPROP INT_L_X6Y42 CLASS tile TILEPROP INT_L_X6Y42 COLUMN 21 TILEPROP INT_L_X6Y42 DEVICE_ID 0 TILEPROP INT_L_X6Y42 FIRST_SITE_ID 11195 TILEPROP INT_L_X6Y42 GRID_POINT_X 21 TILEPROP INT_L_X6Y42 GRID_POINT_Y 112 TILEPROP INT_L_X6Y42 INDEX 12901 TILEPROP INT_L_X6Y42 INT_TILE_X 6 TILEPROP INT_L_X6Y42 INT_TILE_Y 107 TILEPROP INT_L_X6Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y42 IS_DCM_TILE 0 TILEPROP INT_L_X6Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y42 NAME INT_L_X6Y42 TILEPROP INT_L_X6Y42 NUM_ARCS 3737 TILEPROP INT_L_X6Y42 NUM_SITES 1 TILEPROP INT_L_X6Y42 ROW 112 TILEPROP INT_L_X6Y42 SLR_REGION_ID 0 TILEPROP INT_L_X6Y42 TILE_PATTERN_IDX 7484 TILEPROP INT_L_X6Y42 TILE_TYPE INT_L TILEPROP INT_L_X6Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y42 TILE_X -64718 TILEPROP INT_L_X6Y42 TILE_Y -104248 TILEPROP INT_L_X6Y42 TYPE INT_L TILEPROP INT_L_X6Y43 CLASS tile TILEPROP INT_L_X6Y43 COLUMN 21 TILEPROP INT_L_X6Y43 DEVICE_ID 0 TILEPROP INT_L_X6Y43 FIRST_SITE_ID 11092 TILEPROP INT_L_X6Y43 GRID_POINT_X 21 TILEPROP INT_L_X6Y43 GRID_POINT_Y 111 TILEPROP INT_L_X6Y43 INDEX 12786 TILEPROP INT_L_X6Y43 INT_TILE_X 6 TILEPROP INT_L_X6Y43 INT_TILE_Y 106 TILEPROP INT_L_X6Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y43 IS_DCM_TILE 0 TILEPROP INT_L_X6Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y43 NAME INT_L_X6Y43 TILEPROP INT_L_X6Y43 NUM_ARCS 3737 TILEPROP INT_L_X6Y43 NUM_SITES 1 TILEPROP INT_L_X6Y43 ROW 111 TILEPROP INT_L_X6Y43 SLR_REGION_ID 0 TILEPROP INT_L_X6Y43 TILE_PATTERN_IDX 7455 TILEPROP INT_L_X6Y43 TILE_TYPE INT_L TILEPROP INT_L_X6Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y43 TILE_X -64718 TILEPROP INT_L_X6Y43 TILE_Y -101048 TILEPROP INT_L_X6Y43 TYPE INT_L TILEPROP INT_L_X6Y44 CLASS tile TILEPROP INT_L_X6Y44 COLUMN 21 TILEPROP INT_L_X6Y44 DEVICE_ID 0 TILEPROP INT_L_X6Y44 FIRST_SITE_ID 10990 TILEPROP INT_L_X6Y44 GRID_POINT_X 21 TILEPROP INT_L_X6Y44 GRID_POINT_Y 110 TILEPROP INT_L_X6Y44 INDEX 12671 TILEPROP INT_L_X6Y44 INT_TILE_X 6 TILEPROP INT_L_X6Y44 INT_TILE_Y 105 TILEPROP INT_L_X6Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y44 IS_DCM_TILE 0 TILEPROP INT_L_X6Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y44 NAME INT_L_X6Y44 TILEPROP INT_L_X6Y44 NUM_ARCS 3737 TILEPROP INT_L_X6Y44 NUM_SITES 1 TILEPROP INT_L_X6Y44 ROW 110 TILEPROP INT_L_X6Y44 SLR_REGION_ID 0 TILEPROP INT_L_X6Y44 TILE_PATTERN_IDX 7427 TILEPROP INT_L_X6Y44 TILE_TYPE INT_L TILEPROP INT_L_X6Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y44 TILE_X -64718 TILEPROP INT_L_X6Y44 TILE_Y -97848 TILEPROP INT_L_X6Y44 TYPE INT_L TILEPROP INT_L_X6Y45 CLASS tile TILEPROP INT_L_X6Y45 COLUMN 21 TILEPROP INT_L_X6Y45 DEVICE_ID 0 TILEPROP INT_L_X6Y45 FIRST_SITE_ID 10877 TILEPROP INT_L_X6Y45 GRID_POINT_X 21 TILEPROP INT_L_X6Y45 GRID_POINT_Y 109 TILEPROP INT_L_X6Y45 INDEX 12556 TILEPROP INT_L_X6Y45 INT_TILE_X 6 TILEPROP INT_L_X6Y45 INT_TILE_Y 104 TILEPROP INT_L_X6Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y45 IS_DCM_TILE 0 TILEPROP INT_L_X6Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y45 NAME INT_L_X6Y45 TILEPROP INT_L_X6Y45 NUM_ARCS 3737 TILEPROP INT_L_X6Y45 NUM_SITES 1 TILEPROP INT_L_X6Y45 ROW 109 TILEPROP INT_L_X6Y45 SLR_REGION_ID 0 TILEPROP INT_L_X6Y45 TILE_PATTERN_IDX 7391 TILEPROP INT_L_X6Y45 TILE_TYPE INT_L TILEPROP INT_L_X6Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y45 TILE_X -64718 TILEPROP INT_L_X6Y45 TILE_Y -94648 TILEPROP INT_L_X6Y45 TYPE INT_L TILEPROP INT_L_X6Y46 CLASS tile TILEPROP INT_L_X6Y46 COLUMN 21 TILEPROP INT_L_X6Y46 DEVICE_ID 0 TILEPROP INT_L_X6Y46 FIRST_SITE_ID 10758 TILEPROP INT_L_X6Y46 GRID_POINT_X 21 TILEPROP INT_L_X6Y46 GRID_POINT_Y 108 TILEPROP INT_L_X6Y46 INDEX 12441 TILEPROP INT_L_X6Y46 INT_TILE_X 6 TILEPROP INT_L_X6Y46 INT_TILE_Y 103 TILEPROP INT_L_X6Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y46 IS_DCM_TILE 0 TILEPROP INT_L_X6Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y46 NAME INT_L_X6Y46 TILEPROP INT_L_X6Y46 NUM_ARCS 3737 TILEPROP INT_L_X6Y46 NUM_SITES 1 TILEPROP INT_L_X6Y46 ROW 108 TILEPROP INT_L_X6Y46 SLR_REGION_ID 0 TILEPROP INT_L_X6Y46 TILE_PATTERN_IDX 7355 TILEPROP INT_L_X6Y46 TILE_TYPE INT_L TILEPROP INT_L_X6Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y46 TILE_X -64718 TILEPROP INT_L_X6Y46 TILE_Y -91448 TILEPROP INT_L_X6Y46 TYPE INT_L TILEPROP INT_L_X6Y47 CLASS tile TILEPROP INT_L_X6Y47 COLUMN 21 TILEPROP INT_L_X6Y47 DEVICE_ID 0 TILEPROP INT_L_X6Y47 FIRST_SITE_ID 10658 TILEPROP INT_L_X6Y47 GRID_POINT_X 21 TILEPROP INT_L_X6Y47 GRID_POINT_Y 107 TILEPROP INT_L_X6Y47 INDEX 12326 TILEPROP INT_L_X6Y47 INT_TILE_X 6 TILEPROP INT_L_X6Y47 INT_TILE_Y 102 TILEPROP INT_L_X6Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y47 IS_DCM_TILE 0 TILEPROP INT_L_X6Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y47 NAME INT_L_X6Y47 TILEPROP INT_L_X6Y47 NUM_ARCS 3737 TILEPROP INT_L_X6Y47 NUM_SITES 1 TILEPROP INT_L_X6Y47 ROW 107 TILEPROP INT_L_X6Y47 SLR_REGION_ID 0 TILEPROP INT_L_X6Y47 TILE_PATTERN_IDX 7318 TILEPROP INT_L_X6Y47 TILE_TYPE INT_L TILEPROP INT_L_X6Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y47 TILE_X -64718 TILEPROP INT_L_X6Y47 TILE_Y -88248 TILEPROP INT_L_X6Y47 TYPE INT_L TILEPROP INT_L_X6Y48 CLASS tile TILEPROP INT_L_X6Y48 COLUMN 21 TILEPROP INT_L_X6Y48 DEVICE_ID 0 TILEPROP INT_L_X6Y48 FIRST_SITE_ID 10558 TILEPROP INT_L_X6Y48 GRID_POINT_X 21 TILEPROP INT_L_X6Y48 GRID_POINT_Y 106 TILEPROP INT_L_X6Y48 INDEX 12211 TILEPROP INT_L_X6Y48 INT_TILE_X 6 TILEPROP INT_L_X6Y48 INT_TILE_Y 101 TILEPROP INT_L_X6Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y48 IS_DCM_TILE 0 TILEPROP INT_L_X6Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y48 NAME INT_L_X6Y48 TILEPROP INT_L_X6Y48 NUM_ARCS 3737 TILEPROP INT_L_X6Y48 NUM_SITES 1 TILEPROP INT_L_X6Y48 ROW 106 TILEPROP INT_L_X6Y48 SLR_REGION_ID 0 TILEPROP INT_L_X6Y48 TILE_PATTERN_IDX 7282 TILEPROP INT_L_X6Y48 TILE_TYPE INT_L TILEPROP INT_L_X6Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y48 TILE_X -64718 TILEPROP INT_L_X6Y48 TILE_Y -85048 TILEPROP INT_L_X6Y48 TYPE INT_L TILEPROP INT_L_X6Y49 CLASS tile TILEPROP INT_L_X6Y49 COLUMN 21 TILEPROP INT_L_X6Y49 DEVICE_ID 0 TILEPROP INT_L_X6Y49 FIRST_SITE_ID 10462 TILEPROP INT_L_X6Y49 GRID_POINT_X 21 TILEPROP INT_L_X6Y49 GRID_POINT_Y 105 TILEPROP INT_L_X6Y49 INDEX 12096 TILEPROP INT_L_X6Y49 INT_TILE_X 6 TILEPROP INT_L_X6Y49 INT_TILE_Y 100 TILEPROP INT_L_X6Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y49 IS_DCM_TILE 0 TILEPROP INT_L_X6Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y49 NAME INT_L_X6Y49 TILEPROP INT_L_X6Y49 NUM_ARCS 3737 TILEPROP INT_L_X6Y49 NUM_SITES 1 TILEPROP INT_L_X6Y49 ROW 105 TILEPROP INT_L_X6Y49 SLR_REGION_ID 0 TILEPROP INT_L_X6Y49 TILE_PATTERN_IDX 7246 TILEPROP INT_L_X6Y49 TILE_TYPE INT_L TILEPROP INT_L_X6Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y49 TILE_X -64718 TILEPROP INT_L_X6Y49 TILE_Y -81848 TILEPROP INT_L_X6Y49 TYPE INT_L TILEPROP INT_L_X6Y50 CLASS tile TILEPROP INT_L_X6Y50 COLUMN 21 TILEPROP INT_L_X6Y50 DEVICE_ID 0 TILEPROP INT_L_X6Y50 FIRST_SITE_ID 10334 TILEPROP INT_L_X6Y50 GRID_POINT_X 21 TILEPROP INT_L_X6Y50 GRID_POINT_Y 103 TILEPROP INT_L_X6Y50 INDEX 11866 TILEPROP INT_L_X6Y50 INT_TILE_X 6 TILEPROP INT_L_X6Y50 INT_TILE_Y 99 TILEPROP INT_L_X6Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y50 IS_DCM_TILE 0 TILEPROP INT_L_X6Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y50 NAME INT_L_X6Y50 TILEPROP INT_L_X6Y50 NUM_ARCS 3737 TILEPROP INT_L_X6Y50 NUM_SITES 1 TILEPROP INT_L_X6Y50 ROW 103 TILEPROP INT_L_X6Y50 SLR_REGION_ID 0 TILEPROP INT_L_X6Y50 TILE_PATTERN_IDX 7209 TILEPROP INT_L_X6Y50 TILE_TYPE INT_L TILEPROP INT_L_X6Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y50 TILE_X -64718 TILEPROP INT_L_X6Y50 TILE_Y -78400 TILEPROP INT_L_X6Y50 TYPE INT_L TILEPROP INT_L_X6Y51 CLASS tile TILEPROP INT_L_X6Y51 COLUMN 21 TILEPROP INT_L_X6Y51 DEVICE_ID 0 TILEPROP INT_L_X6Y51 FIRST_SITE_ID 10227 TILEPROP INT_L_X6Y51 GRID_POINT_X 21 TILEPROP INT_L_X6Y51 GRID_POINT_Y 102 TILEPROP INT_L_X6Y51 INDEX 11751 TILEPROP INT_L_X6Y51 INT_TILE_X 6 TILEPROP INT_L_X6Y51 INT_TILE_Y 98 TILEPROP INT_L_X6Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y51 IS_DCM_TILE 0 TILEPROP INT_L_X6Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y51 NAME INT_L_X6Y51 TILEPROP INT_L_X6Y51 NUM_ARCS 3737 TILEPROP INT_L_X6Y51 NUM_SITES 1 TILEPROP INT_L_X6Y51 ROW 102 TILEPROP INT_L_X6Y51 SLR_REGION_ID 0 TILEPROP INT_L_X6Y51 TILE_PATTERN_IDX 7172 TILEPROP INT_L_X6Y51 TILE_TYPE INT_L TILEPROP INT_L_X6Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y51 TILE_X -64718 TILEPROP INT_L_X6Y51 TILE_Y -75200 TILEPROP INT_L_X6Y51 TYPE INT_L TILEPROP INT_L_X6Y52 CLASS tile TILEPROP INT_L_X6Y52 COLUMN 21 TILEPROP INT_L_X6Y52 DEVICE_ID 0 TILEPROP INT_L_X6Y52 FIRST_SITE_ID 10127 TILEPROP INT_L_X6Y52 GRID_POINT_X 21 TILEPROP INT_L_X6Y52 GRID_POINT_Y 101 TILEPROP INT_L_X6Y52 INDEX 11636 TILEPROP INT_L_X6Y52 INT_TILE_X 6 TILEPROP INT_L_X6Y52 INT_TILE_Y 97 TILEPROP INT_L_X6Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y52 IS_DCM_TILE 0 TILEPROP INT_L_X6Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y52 NAME INT_L_X6Y52 TILEPROP INT_L_X6Y52 NUM_ARCS 3737 TILEPROP INT_L_X6Y52 NUM_SITES 1 TILEPROP INT_L_X6Y52 ROW 101 TILEPROP INT_L_X6Y52 SLR_REGION_ID 0 TILEPROP INT_L_X6Y52 TILE_PATTERN_IDX 7135 TILEPROP INT_L_X6Y52 TILE_TYPE INT_L TILEPROP INT_L_X6Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y52 TILE_X -64718 TILEPROP INT_L_X6Y52 TILE_Y -72000 TILEPROP INT_L_X6Y52 TYPE INT_L TILEPROP INT_L_X6Y53 CLASS tile TILEPROP INT_L_X6Y53 COLUMN 21 TILEPROP INT_L_X6Y53 DEVICE_ID 0 TILEPROP INT_L_X6Y53 FIRST_SITE_ID 10027 TILEPROP INT_L_X6Y53 GRID_POINT_X 21 TILEPROP INT_L_X6Y53 GRID_POINT_Y 100 TILEPROP INT_L_X6Y53 INDEX 11521 TILEPROP INT_L_X6Y53 INT_TILE_X 6 TILEPROP INT_L_X6Y53 INT_TILE_Y 96 TILEPROP INT_L_X6Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y53 IS_DCM_TILE 0 TILEPROP INT_L_X6Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y53 NAME INT_L_X6Y53 TILEPROP INT_L_X6Y53 NUM_ARCS 3737 TILEPROP INT_L_X6Y53 NUM_SITES 1 TILEPROP INT_L_X6Y53 ROW 100 TILEPROP INT_L_X6Y53 SLR_REGION_ID 0 TILEPROP INT_L_X6Y53 TILE_PATTERN_IDX 7098 TILEPROP INT_L_X6Y53 TILE_TYPE INT_L TILEPROP INT_L_X6Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y53 TILE_X -64718 TILEPROP INT_L_X6Y53 TILE_Y -68800 TILEPROP INT_L_X6Y53 TYPE INT_L TILEPROP INT_L_X6Y54 CLASS tile TILEPROP INT_L_X6Y54 COLUMN 21 TILEPROP INT_L_X6Y54 DEVICE_ID 0 TILEPROP INT_L_X6Y54 FIRST_SITE_ID 9927 TILEPROP INT_L_X6Y54 GRID_POINT_X 21 TILEPROP INT_L_X6Y54 GRID_POINT_Y 99 TILEPROP INT_L_X6Y54 INDEX 11406 TILEPROP INT_L_X6Y54 INT_TILE_X 6 TILEPROP INT_L_X6Y54 INT_TILE_Y 95 TILEPROP INT_L_X6Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y54 IS_DCM_TILE 0 TILEPROP INT_L_X6Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y54 NAME INT_L_X6Y54 TILEPROP INT_L_X6Y54 NUM_ARCS 3737 TILEPROP INT_L_X6Y54 NUM_SITES 1 TILEPROP INT_L_X6Y54 ROW 99 TILEPROP INT_L_X6Y54 SLR_REGION_ID 0 TILEPROP INT_L_X6Y54 TILE_PATTERN_IDX 7061 TILEPROP INT_L_X6Y54 TILE_TYPE INT_L TILEPROP INT_L_X6Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y54 TILE_X -64718 TILEPROP INT_L_X6Y54 TILE_Y -65600 TILEPROP INT_L_X6Y54 TYPE INT_L TILEPROP INT_L_X6Y55 CLASS tile TILEPROP INT_L_X6Y55 COLUMN 21 TILEPROP INT_L_X6Y55 DEVICE_ID 0 TILEPROP INT_L_X6Y55 FIRST_SITE_ID 9815 TILEPROP INT_L_X6Y55 GRID_POINT_X 21 TILEPROP INT_L_X6Y55 GRID_POINT_Y 98 TILEPROP INT_L_X6Y55 INDEX 11291 TILEPROP INT_L_X6Y55 INT_TILE_X 6 TILEPROP INT_L_X6Y55 INT_TILE_Y 94 TILEPROP INT_L_X6Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y55 IS_DCM_TILE 0 TILEPROP INT_L_X6Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y55 NAME INT_L_X6Y55 TILEPROP INT_L_X6Y55 NUM_ARCS 3737 TILEPROP INT_L_X6Y55 NUM_SITES 1 TILEPROP INT_L_X6Y55 ROW 98 TILEPROP INT_L_X6Y55 SLR_REGION_ID 0 TILEPROP INT_L_X6Y55 TILE_PATTERN_IDX 7023 TILEPROP INT_L_X6Y55 TILE_TYPE INT_L TILEPROP INT_L_X6Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y55 TILE_X -64718 TILEPROP INT_L_X6Y55 TILE_Y -62400 TILEPROP INT_L_X6Y55 TYPE INT_L TILEPROP INT_L_X6Y56 CLASS tile TILEPROP INT_L_X6Y56 COLUMN 21 TILEPROP INT_L_X6Y56 DEVICE_ID 0 TILEPROP INT_L_X6Y56 FIRST_SITE_ID 9712 TILEPROP INT_L_X6Y56 GRID_POINT_X 21 TILEPROP INT_L_X6Y56 GRID_POINT_Y 97 TILEPROP INT_L_X6Y56 INDEX 11176 TILEPROP INT_L_X6Y56 INT_TILE_X 6 TILEPROP INT_L_X6Y56 INT_TILE_Y 93 TILEPROP INT_L_X6Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y56 IS_DCM_TILE 0 TILEPROP INT_L_X6Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y56 NAME INT_L_X6Y56 TILEPROP INT_L_X6Y56 NUM_ARCS 3737 TILEPROP INT_L_X6Y56 NUM_SITES 1 TILEPROP INT_L_X6Y56 ROW 97 TILEPROP INT_L_X6Y56 SLR_REGION_ID 0 TILEPROP INT_L_X6Y56 TILE_PATTERN_IDX 6986 TILEPROP INT_L_X6Y56 TILE_TYPE INT_L TILEPROP INT_L_X6Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y56 TILE_X -64718 TILEPROP INT_L_X6Y56 TILE_Y -59200 TILEPROP INT_L_X6Y56 TYPE INT_L TILEPROP INT_L_X6Y57 CLASS tile TILEPROP INT_L_X6Y57 COLUMN 21 TILEPROP INT_L_X6Y57 DEVICE_ID 0 TILEPROP INT_L_X6Y57 FIRST_SITE_ID 9610 TILEPROP INT_L_X6Y57 GRID_POINT_X 21 TILEPROP INT_L_X6Y57 GRID_POINT_Y 96 TILEPROP INT_L_X6Y57 INDEX 11061 TILEPROP INT_L_X6Y57 INT_TILE_X 6 TILEPROP INT_L_X6Y57 INT_TILE_Y 92 TILEPROP INT_L_X6Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y57 IS_DCM_TILE 0 TILEPROP INT_L_X6Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y57 NAME INT_L_X6Y57 TILEPROP INT_L_X6Y57 NUM_ARCS 3737 TILEPROP INT_L_X6Y57 NUM_SITES 1 TILEPROP INT_L_X6Y57 ROW 96 TILEPROP INT_L_X6Y57 SLR_REGION_ID 0 TILEPROP INT_L_X6Y57 TILE_PATTERN_IDX 6949 TILEPROP INT_L_X6Y57 TILE_TYPE INT_L TILEPROP INT_L_X6Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y57 TILE_X -64718 TILEPROP INT_L_X6Y57 TILE_Y -56000 TILEPROP INT_L_X6Y57 TYPE INT_L TILEPROP INT_L_X6Y58 CLASS tile TILEPROP INT_L_X6Y58 COLUMN 21 TILEPROP INT_L_X6Y58 DEVICE_ID 0 TILEPROP INT_L_X6Y58 FIRST_SITE_ID 9507 TILEPROP INT_L_X6Y58 GRID_POINT_X 21 TILEPROP INT_L_X6Y58 GRID_POINT_Y 95 TILEPROP INT_L_X6Y58 INDEX 10946 TILEPROP INT_L_X6Y58 INT_TILE_X 6 TILEPROP INT_L_X6Y58 INT_TILE_Y 91 TILEPROP INT_L_X6Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y58 IS_DCM_TILE 0 TILEPROP INT_L_X6Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y58 NAME INT_L_X6Y58 TILEPROP INT_L_X6Y58 NUM_ARCS 3737 TILEPROP INT_L_X6Y58 NUM_SITES 1 TILEPROP INT_L_X6Y58 ROW 95 TILEPROP INT_L_X6Y58 SLR_REGION_ID 0 TILEPROP INT_L_X6Y58 TILE_PATTERN_IDX 6911 TILEPROP INT_L_X6Y58 TILE_TYPE INT_L TILEPROP INT_L_X6Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y58 TILE_X -64718 TILEPROP INT_L_X6Y58 TILE_Y -52800 TILEPROP INT_L_X6Y58 TYPE INT_L TILEPROP INT_L_X6Y59 CLASS tile TILEPROP INT_L_X6Y59 COLUMN 21 TILEPROP INT_L_X6Y59 DEVICE_ID 0 TILEPROP INT_L_X6Y59 FIRST_SITE_ID 9406 TILEPROP INT_L_X6Y59 GRID_POINT_X 21 TILEPROP INT_L_X6Y59 GRID_POINT_Y 94 TILEPROP INT_L_X6Y59 INDEX 10831 TILEPROP INT_L_X6Y59 INT_TILE_X 6 TILEPROP INT_L_X6Y59 INT_TILE_Y 90 TILEPROP INT_L_X6Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y59 IS_DCM_TILE 0 TILEPROP INT_L_X6Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y59 NAME INT_L_X6Y59 TILEPROP INT_L_X6Y59 NUM_ARCS 3737 TILEPROP INT_L_X6Y59 NUM_SITES 1 TILEPROP INT_L_X6Y59 ROW 94 TILEPROP INT_L_X6Y59 SLR_REGION_ID 0 TILEPROP INT_L_X6Y59 TILE_PATTERN_IDX 6874 TILEPROP INT_L_X6Y59 TILE_TYPE INT_L TILEPROP INT_L_X6Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y59 TILE_X -64718 TILEPROP INT_L_X6Y59 TILE_Y -49600 TILEPROP INT_L_X6Y59 TYPE INT_L TILEPROP INT_L_X6Y60 CLASS tile TILEPROP INT_L_X6Y60 COLUMN 21 TILEPROP INT_L_X6Y60 DEVICE_ID 0 TILEPROP INT_L_X6Y60 FIRST_SITE_ID 9294 TILEPROP INT_L_X6Y60 GRID_POINT_X 21 TILEPROP INT_L_X6Y60 GRID_POINT_Y 93 TILEPROP INT_L_X6Y60 INDEX 10716 TILEPROP INT_L_X6Y60 INT_TILE_X 6 TILEPROP INT_L_X6Y60 INT_TILE_Y 89 TILEPROP INT_L_X6Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y60 IS_DCM_TILE 0 TILEPROP INT_L_X6Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y60 NAME INT_L_X6Y60 TILEPROP INT_L_X6Y60 NUM_ARCS 3737 TILEPROP INT_L_X6Y60 NUM_SITES 1 TILEPROP INT_L_X6Y60 ROW 93 TILEPROP INT_L_X6Y60 SLR_REGION_ID 0 TILEPROP INT_L_X6Y60 TILE_PATTERN_IDX 6837 TILEPROP INT_L_X6Y60 TILE_TYPE INT_L TILEPROP INT_L_X6Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y60 TILE_X -64718 TILEPROP INT_L_X6Y60 TILE_Y -46400 TILEPROP INT_L_X6Y60 TYPE INT_L TILEPROP INT_L_X6Y61 CLASS tile TILEPROP INT_L_X6Y61 COLUMN 21 TILEPROP INT_L_X6Y61 DEVICE_ID 0 TILEPROP INT_L_X6Y61 FIRST_SITE_ID 9191 TILEPROP INT_L_X6Y61 GRID_POINT_X 21 TILEPROP INT_L_X6Y61 GRID_POINT_Y 92 TILEPROP INT_L_X6Y61 INDEX 10601 TILEPROP INT_L_X6Y61 INT_TILE_X 6 TILEPROP INT_L_X6Y61 INT_TILE_Y 88 TILEPROP INT_L_X6Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y61 IS_DCM_TILE 0 TILEPROP INT_L_X6Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y61 NAME INT_L_X6Y61 TILEPROP INT_L_X6Y61 NUM_ARCS 3737 TILEPROP INT_L_X6Y61 NUM_SITES 1 TILEPROP INT_L_X6Y61 ROW 92 TILEPROP INT_L_X6Y61 SLR_REGION_ID 0 TILEPROP INT_L_X6Y61 TILE_PATTERN_IDX 6800 TILEPROP INT_L_X6Y61 TILE_TYPE INT_L TILEPROP INT_L_X6Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y61 TILE_X -64718 TILEPROP INT_L_X6Y61 TILE_Y -43200 TILEPROP INT_L_X6Y61 TYPE INT_L TILEPROP INT_L_X6Y62 CLASS tile TILEPROP INT_L_X6Y62 COLUMN 21 TILEPROP INT_L_X6Y62 DEVICE_ID 0 TILEPROP INT_L_X6Y62 FIRST_SITE_ID 9059 TILEPROP INT_L_X6Y62 GRID_POINT_X 21 TILEPROP INT_L_X6Y62 GRID_POINT_Y 91 TILEPROP INT_L_X6Y62 INDEX 10486 TILEPROP INT_L_X6Y62 INT_TILE_X 6 TILEPROP INT_L_X6Y62 INT_TILE_Y 87 TILEPROP INT_L_X6Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y62 IS_DCM_TILE 0 TILEPROP INT_L_X6Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y62 NAME INT_L_X6Y62 TILEPROP INT_L_X6Y62 NUM_ARCS 3737 TILEPROP INT_L_X6Y62 NUM_SITES 1 TILEPROP INT_L_X6Y62 ROW 91 TILEPROP INT_L_X6Y62 SLR_REGION_ID 0 TILEPROP INT_L_X6Y62 TILE_PATTERN_IDX 6763 TILEPROP INT_L_X6Y62 TILE_TYPE INT_L TILEPROP INT_L_X6Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y62 TILE_X -64718 TILEPROP INT_L_X6Y62 TILE_Y -40000 TILEPROP INT_L_X6Y62 TYPE INT_L TILEPROP INT_L_X6Y63 CLASS tile TILEPROP INT_L_X6Y63 COLUMN 21 TILEPROP INT_L_X6Y63 DEVICE_ID 0 TILEPROP INT_L_X6Y63 FIRST_SITE_ID 8959 TILEPROP INT_L_X6Y63 GRID_POINT_X 21 TILEPROP INT_L_X6Y63 GRID_POINT_Y 90 TILEPROP INT_L_X6Y63 INDEX 10371 TILEPROP INT_L_X6Y63 INT_TILE_X 6 TILEPROP INT_L_X6Y63 INT_TILE_Y 86 TILEPROP INT_L_X6Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y63 IS_DCM_TILE 0 TILEPROP INT_L_X6Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y63 NAME INT_L_X6Y63 TILEPROP INT_L_X6Y63 NUM_ARCS 3737 TILEPROP INT_L_X6Y63 NUM_SITES 1 TILEPROP INT_L_X6Y63 ROW 90 TILEPROP INT_L_X6Y63 SLR_REGION_ID 0 TILEPROP INT_L_X6Y63 TILE_PATTERN_IDX 6726 TILEPROP INT_L_X6Y63 TILE_TYPE INT_L TILEPROP INT_L_X6Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y63 TILE_X -64718 TILEPROP INT_L_X6Y63 TILE_Y -36800 TILEPROP INT_L_X6Y63 TYPE INT_L TILEPROP INT_L_X6Y64 CLASS tile TILEPROP INT_L_X6Y64 COLUMN 21 TILEPROP INT_L_X6Y64 DEVICE_ID 0 TILEPROP INT_L_X6Y64 FIRST_SITE_ID 8859 TILEPROP INT_L_X6Y64 GRID_POINT_X 21 TILEPROP INT_L_X6Y64 GRID_POINT_Y 89 TILEPROP INT_L_X6Y64 INDEX 10256 TILEPROP INT_L_X6Y64 INT_TILE_X 6 TILEPROP INT_L_X6Y64 INT_TILE_Y 85 TILEPROP INT_L_X6Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y64 IS_DCM_TILE 0 TILEPROP INT_L_X6Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y64 NAME INT_L_X6Y64 TILEPROP INT_L_X6Y64 NUM_ARCS 3737 TILEPROP INT_L_X6Y64 NUM_SITES 1 TILEPROP INT_L_X6Y64 ROW 89 TILEPROP INT_L_X6Y64 SLR_REGION_ID 0 TILEPROP INT_L_X6Y64 TILE_PATTERN_IDX 6689 TILEPROP INT_L_X6Y64 TILE_TYPE INT_L TILEPROP INT_L_X6Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y64 TILE_X -64718 TILEPROP INT_L_X6Y64 TILE_Y -33600 TILEPROP INT_L_X6Y64 TYPE INT_L TILEPROP INT_L_X6Y65 CLASS tile TILEPROP INT_L_X6Y65 COLUMN 21 TILEPROP INT_L_X6Y65 DEVICE_ID 0 TILEPROP INT_L_X6Y65 FIRST_SITE_ID 8747 TILEPROP INT_L_X6Y65 GRID_POINT_X 21 TILEPROP INT_L_X6Y65 GRID_POINT_Y 88 TILEPROP INT_L_X6Y65 INDEX 10141 TILEPROP INT_L_X6Y65 INT_TILE_X 6 TILEPROP INT_L_X6Y65 INT_TILE_Y 84 TILEPROP INT_L_X6Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y65 IS_DCM_TILE 0 TILEPROP INT_L_X6Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y65 NAME INT_L_X6Y65 TILEPROP INT_L_X6Y65 NUM_ARCS 3737 TILEPROP INT_L_X6Y65 NUM_SITES 1 TILEPROP INT_L_X6Y65 ROW 88 TILEPROP INT_L_X6Y65 SLR_REGION_ID 0 TILEPROP INT_L_X6Y65 TILE_PATTERN_IDX 6652 TILEPROP INT_L_X6Y65 TILE_TYPE INT_L TILEPROP INT_L_X6Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y65 TILE_X -64718 TILEPROP INT_L_X6Y65 TILE_Y -30400 TILEPROP INT_L_X6Y65 TYPE INT_L TILEPROP INT_L_X6Y66 CLASS tile TILEPROP INT_L_X6Y66 COLUMN 21 TILEPROP INT_L_X6Y66 DEVICE_ID 0 TILEPROP INT_L_X6Y66 FIRST_SITE_ID 8644 TILEPROP INT_L_X6Y66 GRID_POINT_X 21 TILEPROP INT_L_X6Y66 GRID_POINT_Y 87 TILEPROP INT_L_X6Y66 INDEX 10026 TILEPROP INT_L_X6Y66 INT_TILE_X 6 TILEPROP INT_L_X6Y66 INT_TILE_Y 83 TILEPROP INT_L_X6Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y66 IS_DCM_TILE 0 TILEPROP INT_L_X6Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y66 NAME INT_L_X6Y66 TILEPROP INT_L_X6Y66 NUM_ARCS 3737 TILEPROP INT_L_X6Y66 NUM_SITES 1 TILEPROP INT_L_X6Y66 ROW 87 TILEPROP INT_L_X6Y66 SLR_REGION_ID 0 TILEPROP INT_L_X6Y66 TILE_PATTERN_IDX 6615 TILEPROP INT_L_X6Y66 TILE_TYPE INT_L TILEPROP INT_L_X6Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y66 TILE_X -64718 TILEPROP INT_L_X6Y66 TILE_Y -27200 TILEPROP INT_L_X6Y66 TYPE INT_L TILEPROP INT_L_X6Y67 CLASS tile TILEPROP INT_L_X6Y67 COLUMN 21 TILEPROP INT_L_X6Y67 DEVICE_ID 0 TILEPROP INT_L_X6Y67 FIRST_SITE_ID 8540 TILEPROP INT_L_X6Y67 GRID_POINT_X 21 TILEPROP INT_L_X6Y67 GRID_POINT_Y 86 TILEPROP INT_L_X6Y67 INDEX 9911 TILEPROP INT_L_X6Y67 INT_TILE_X 6 TILEPROP INT_L_X6Y67 INT_TILE_Y 82 TILEPROP INT_L_X6Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y67 IS_DCM_TILE 0 TILEPROP INT_L_X6Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y67 NAME INT_L_X6Y67 TILEPROP INT_L_X6Y67 NUM_ARCS 3737 TILEPROP INT_L_X6Y67 NUM_SITES 1 TILEPROP INT_L_X6Y67 ROW 86 TILEPROP INT_L_X6Y67 SLR_REGION_ID 0 TILEPROP INT_L_X6Y67 TILE_PATTERN_IDX 6577 TILEPROP INT_L_X6Y67 TILE_TYPE INT_L TILEPROP INT_L_X6Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y67 TILE_X -64718 TILEPROP INT_L_X6Y67 TILE_Y -24000 TILEPROP INT_L_X6Y67 TYPE INT_L TILEPROP INT_L_X6Y68 CLASS tile TILEPROP INT_L_X6Y68 COLUMN 21 TILEPROP INT_L_X6Y68 DEVICE_ID 0 TILEPROP INT_L_X6Y68 FIRST_SITE_ID 8436 TILEPROP INT_L_X6Y68 GRID_POINT_X 21 TILEPROP INT_L_X6Y68 GRID_POINT_Y 85 TILEPROP INT_L_X6Y68 INDEX 9796 TILEPROP INT_L_X6Y68 INT_TILE_X 6 TILEPROP INT_L_X6Y68 INT_TILE_Y 81 TILEPROP INT_L_X6Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y68 IS_DCM_TILE 0 TILEPROP INT_L_X6Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y68 NAME INT_L_X6Y68 TILEPROP INT_L_X6Y68 NUM_ARCS 3737 TILEPROP INT_L_X6Y68 NUM_SITES 1 TILEPROP INT_L_X6Y68 ROW 85 TILEPROP INT_L_X6Y68 SLR_REGION_ID 0 TILEPROP INT_L_X6Y68 TILE_PATTERN_IDX 6545 TILEPROP INT_L_X6Y68 TILE_TYPE INT_L TILEPROP INT_L_X6Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y68 TILE_X -64718 TILEPROP INT_L_X6Y68 TILE_Y -20800 TILEPROP INT_L_X6Y68 TYPE INT_L TILEPROP INT_L_X6Y69 CLASS tile TILEPROP INT_L_X6Y69 COLUMN 21 TILEPROP INT_L_X6Y69 DEVICE_ID 0 TILEPROP INT_L_X6Y69 FIRST_SITE_ID 8334 TILEPROP INT_L_X6Y69 GRID_POINT_X 21 TILEPROP INT_L_X6Y69 GRID_POINT_Y 84 TILEPROP INT_L_X6Y69 INDEX 9681 TILEPROP INT_L_X6Y69 INT_TILE_X 6 TILEPROP INT_L_X6Y69 INT_TILE_Y 80 TILEPROP INT_L_X6Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y69 IS_DCM_TILE 0 TILEPROP INT_L_X6Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y69 NAME INT_L_X6Y69 TILEPROP INT_L_X6Y69 NUM_ARCS 3737 TILEPROP INT_L_X6Y69 NUM_SITES 1 TILEPROP INT_L_X6Y69 ROW 84 TILEPROP INT_L_X6Y69 SLR_REGION_ID 0 TILEPROP INT_L_X6Y69 TILE_PATTERN_IDX 6514 TILEPROP INT_L_X6Y69 TILE_TYPE INT_L TILEPROP INT_L_X6Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y69 TILE_X -64718 TILEPROP INT_L_X6Y69 TILE_Y -17600 TILEPROP INT_L_X6Y69 TYPE INT_L TILEPROP INT_L_X6Y70 CLASS tile TILEPROP INT_L_X6Y70 COLUMN 21 TILEPROP INT_L_X6Y70 DEVICE_ID 0 TILEPROP INT_L_X6Y70 FIRST_SITE_ID 8220 TILEPROP INT_L_X6Y70 GRID_POINT_X 21 TILEPROP INT_L_X6Y70 GRID_POINT_Y 83 TILEPROP INT_L_X6Y70 INDEX 9566 TILEPROP INT_L_X6Y70 INT_TILE_X 6 TILEPROP INT_L_X6Y70 INT_TILE_Y 79 TILEPROP INT_L_X6Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y70 IS_DCM_TILE 0 TILEPROP INT_L_X6Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y70 NAME INT_L_X6Y70 TILEPROP INT_L_X6Y70 NUM_ARCS 3737 TILEPROP INT_L_X6Y70 NUM_SITES 1 TILEPROP INT_L_X6Y70 ROW 83 TILEPROP INT_L_X6Y70 SLR_REGION_ID 0 TILEPROP INT_L_X6Y70 TILE_PATTERN_IDX 6483 TILEPROP INT_L_X6Y70 TILE_TYPE INT_L TILEPROP INT_L_X6Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y70 TILE_X -64718 TILEPROP INT_L_X6Y70 TILE_Y -14400 TILEPROP INT_L_X6Y70 TYPE INT_L TILEPROP INT_L_X6Y71 CLASS tile TILEPROP INT_L_X6Y71 COLUMN 21 TILEPROP INT_L_X6Y71 DEVICE_ID 0 TILEPROP INT_L_X6Y71 FIRST_SITE_ID 8117 TILEPROP INT_L_X6Y71 GRID_POINT_X 21 TILEPROP INT_L_X6Y71 GRID_POINT_Y 82 TILEPROP INT_L_X6Y71 INDEX 9451 TILEPROP INT_L_X6Y71 INT_TILE_X 6 TILEPROP INT_L_X6Y71 INT_TILE_Y 78 TILEPROP INT_L_X6Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y71 IS_DCM_TILE 0 TILEPROP INT_L_X6Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y71 NAME INT_L_X6Y71 TILEPROP INT_L_X6Y71 NUM_ARCS 3737 TILEPROP INT_L_X6Y71 NUM_SITES 1 TILEPROP INT_L_X6Y71 ROW 82 TILEPROP INT_L_X6Y71 SLR_REGION_ID 0 TILEPROP INT_L_X6Y71 TILE_PATTERN_IDX 6452 TILEPROP INT_L_X6Y71 TILE_TYPE INT_L TILEPROP INT_L_X6Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y71 TILE_X -64718 TILEPROP INT_L_X6Y71 TILE_Y -11200 TILEPROP INT_L_X6Y71 TYPE INT_L TILEPROP INT_L_X6Y72 CLASS tile TILEPROP INT_L_X6Y72 COLUMN 21 TILEPROP INT_L_X6Y72 DEVICE_ID 0 TILEPROP INT_L_X6Y72 FIRST_SITE_ID 8017 TILEPROP INT_L_X6Y72 GRID_POINT_X 21 TILEPROP INT_L_X6Y72 GRID_POINT_Y 81 TILEPROP INT_L_X6Y72 INDEX 9336 TILEPROP INT_L_X6Y72 INT_TILE_X 6 TILEPROP INT_L_X6Y72 INT_TILE_Y 77 TILEPROP INT_L_X6Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y72 IS_DCM_TILE 0 TILEPROP INT_L_X6Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y72 NAME INT_L_X6Y72 TILEPROP INT_L_X6Y72 NUM_ARCS 3737 TILEPROP INT_L_X6Y72 NUM_SITES 1 TILEPROP INT_L_X6Y72 ROW 81 TILEPROP INT_L_X6Y72 SLR_REGION_ID 0 TILEPROP INT_L_X6Y72 TILE_PATTERN_IDX 6421 TILEPROP INT_L_X6Y72 TILE_TYPE INT_L TILEPROP INT_L_X6Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y72 TILE_X -64718 TILEPROP INT_L_X6Y72 TILE_Y -8000 TILEPROP INT_L_X6Y72 TYPE INT_L TILEPROP INT_L_X6Y73 CLASS tile TILEPROP INT_L_X6Y73 COLUMN 21 TILEPROP INT_L_X6Y73 DEVICE_ID 0 TILEPROP INT_L_X6Y73 FIRST_SITE_ID 7917 TILEPROP INT_L_X6Y73 GRID_POINT_X 21 TILEPROP INT_L_X6Y73 GRID_POINT_Y 80 TILEPROP INT_L_X6Y73 INDEX 9221 TILEPROP INT_L_X6Y73 INT_TILE_X 6 TILEPROP INT_L_X6Y73 INT_TILE_Y 76 TILEPROP INT_L_X6Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y73 IS_DCM_TILE 0 TILEPROP INT_L_X6Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y73 NAME INT_L_X6Y73 TILEPROP INT_L_X6Y73 NUM_ARCS 3737 TILEPROP INT_L_X6Y73 NUM_SITES 1 TILEPROP INT_L_X6Y73 ROW 80 TILEPROP INT_L_X6Y73 SLR_REGION_ID 0 TILEPROP INT_L_X6Y73 TILE_PATTERN_IDX 6390 TILEPROP INT_L_X6Y73 TILE_TYPE INT_L TILEPROP INT_L_X6Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y73 TILE_X -64718 TILEPROP INT_L_X6Y73 TILE_Y -4800 TILEPROP INT_L_X6Y73 TYPE INT_L TILEPROP INT_L_X6Y74 CLASS tile TILEPROP INT_L_X6Y74 COLUMN 21 TILEPROP INT_L_X6Y74 DEVICE_ID 0 TILEPROP INT_L_X6Y74 FIRST_SITE_ID 7817 TILEPROP INT_L_X6Y74 GRID_POINT_X 21 TILEPROP INT_L_X6Y74 GRID_POINT_Y 79 TILEPROP INT_L_X6Y74 INDEX 9106 TILEPROP INT_L_X6Y74 INT_TILE_X 6 TILEPROP INT_L_X6Y74 INT_TILE_Y 75 TILEPROP INT_L_X6Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y74 IS_DCM_TILE 0 TILEPROP INT_L_X6Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y74 NAME INT_L_X6Y74 TILEPROP INT_L_X6Y74 NUM_ARCS 3737 TILEPROP INT_L_X6Y74 NUM_SITES 1 TILEPROP INT_L_X6Y74 ROW 79 TILEPROP INT_L_X6Y74 SLR_REGION_ID 0 TILEPROP INT_L_X6Y74 TILE_PATTERN_IDX 6359 TILEPROP INT_L_X6Y74 TILE_TYPE INT_L TILEPROP INT_L_X6Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y74 TILE_X -64718 TILEPROP INT_L_X6Y74 TILE_Y -1600 TILEPROP INT_L_X6Y74 TYPE INT_L TILEPROP INT_L_X6Y75 CLASS tile TILEPROP INT_L_X6Y75 COLUMN 21 TILEPROP INT_L_X6Y75 DEVICE_ID 0 TILEPROP INT_L_X6Y75 FIRST_SITE_ID 7619 TILEPROP INT_L_X6Y75 GRID_POINT_X 21 TILEPROP INT_L_X6Y75 GRID_POINT_Y 77 TILEPROP INT_L_X6Y75 INDEX 8876 TILEPROP INT_L_X6Y75 INT_TILE_X 6 TILEPROP INT_L_X6Y75 INT_TILE_Y 74 TILEPROP INT_L_X6Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y75 IS_DCM_TILE 0 TILEPROP INT_L_X6Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y75 NAME INT_L_X6Y75 TILEPROP INT_L_X6Y75 NUM_ARCS 3737 TILEPROP INT_L_X6Y75 NUM_SITES 1 TILEPROP INT_L_X6Y75 ROW 77 TILEPROP INT_L_X6Y75 SLR_REGION_ID 0 TILEPROP INT_L_X6Y75 TILE_PATTERN_IDX 6283 TILEPROP INT_L_X6Y75 TILE_TYPE INT_L TILEPROP INT_L_X6Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y75 TILE_X -64718 TILEPROP INT_L_X6Y75 TILE_Y 2624 TILEPROP INT_L_X6Y75 TYPE INT_L TILEPROP INT_L_X6Y76 CLASS tile TILEPROP INT_L_X6Y76 COLUMN 21 TILEPROP INT_L_X6Y76 DEVICE_ID 0 TILEPROP INT_L_X6Y76 FIRST_SITE_ID 7516 TILEPROP INT_L_X6Y76 GRID_POINT_X 21 TILEPROP INT_L_X6Y76 GRID_POINT_Y 76 TILEPROP INT_L_X6Y76 INDEX 8761 TILEPROP INT_L_X6Y76 INT_TILE_X 6 TILEPROP INT_L_X6Y76 INT_TILE_Y 73 TILEPROP INT_L_X6Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y76 IS_DCM_TILE 0 TILEPROP INT_L_X6Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y76 NAME INT_L_X6Y76 TILEPROP INT_L_X6Y76 NUM_ARCS 3737 TILEPROP INT_L_X6Y76 NUM_SITES 1 TILEPROP INT_L_X6Y76 ROW 76 TILEPROP INT_L_X6Y76 SLR_REGION_ID 0 TILEPROP INT_L_X6Y76 TILE_PATTERN_IDX 6250 TILEPROP INT_L_X6Y76 TILE_TYPE INT_L TILEPROP INT_L_X6Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y76 TILE_X -64718 TILEPROP INT_L_X6Y76 TILE_Y 5824 TILEPROP INT_L_X6Y76 TYPE INT_L TILEPROP INT_L_X6Y77 CLASS tile TILEPROP INT_L_X6Y77 COLUMN 21 TILEPROP INT_L_X6Y77 DEVICE_ID 0 TILEPROP INT_L_X6Y77 FIRST_SITE_ID 7416 TILEPROP INT_L_X6Y77 GRID_POINT_X 21 TILEPROP INT_L_X6Y77 GRID_POINT_Y 75 TILEPROP INT_L_X6Y77 INDEX 8646 TILEPROP INT_L_X6Y77 INT_TILE_X 6 TILEPROP INT_L_X6Y77 INT_TILE_Y 72 TILEPROP INT_L_X6Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y77 IS_DCM_TILE 0 TILEPROP INT_L_X6Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y77 NAME INT_L_X6Y77 TILEPROP INT_L_X6Y77 NUM_ARCS 3737 TILEPROP INT_L_X6Y77 NUM_SITES 1 TILEPROP INT_L_X6Y77 ROW 75 TILEPROP INT_L_X6Y77 SLR_REGION_ID 0 TILEPROP INT_L_X6Y77 TILE_PATTERN_IDX 6216 TILEPROP INT_L_X6Y77 TILE_TYPE INT_L TILEPROP INT_L_X6Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y77 TILE_X -64718 TILEPROP INT_L_X6Y77 TILE_Y 9024 TILEPROP INT_L_X6Y77 TYPE INT_L TILEPROP INT_L_X6Y78 CLASS tile TILEPROP INT_L_X6Y78 COLUMN 21 TILEPROP INT_L_X6Y78 DEVICE_ID 0 TILEPROP INT_L_X6Y78 FIRST_SITE_ID 7316 TILEPROP INT_L_X6Y78 GRID_POINT_X 21 TILEPROP INT_L_X6Y78 GRID_POINT_Y 74 TILEPROP INT_L_X6Y78 INDEX 8531 TILEPROP INT_L_X6Y78 INT_TILE_X 6 TILEPROP INT_L_X6Y78 INT_TILE_Y 71 TILEPROP INT_L_X6Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y78 IS_DCM_TILE 0 TILEPROP INT_L_X6Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y78 NAME INT_L_X6Y78 TILEPROP INT_L_X6Y78 NUM_ARCS 3737 TILEPROP INT_L_X6Y78 NUM_SITES 1 TILEPROP INT_L_X6Y78 ROW 74 TILEPROP INT_L_X6Y78 SLR_REGION_ID 0 TILEPROP INT_L_X6Y78 TILE_PATTERN_IDX 6183 TILEPROP INT_L_X6Y78 TILE_TYPE INT_L TILEPROP INT_L_X6Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y78 TILE_X -64718 TILEPROP INT_L_X6Y78 TILE_Y 12224 TILEPROP INT_L_X6Y78 TYPE INT_L TILEPROP INT_L_X6Y79 CLASS tile TILEPROP INT_L_X6Y79 COLUMN 21 TILEPROP INT_L_X6Y79 DEVICE_ID 0 TILEPROP INT_L_X6Y79 FIRST_SITE_ID 7210 TILEPROP INT_L_X6Y79 GRID_POINT_X 21 TILEPROP INT_L_X6Y79 GRID_POINT_Y 73 TILEPROP INT_L_X6Y79 INDEX 8416 TILEPROP INT_L_X6Y79 INT_TILE_X 6 TILEPROP INT_L_X6Y79 INT_TILE_Y 70 TILEPROP INT_L_X6Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y79 IS_DCM_TILE 0 TILEPROP INT_L_X6Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y79 NAME INT_L_X6Y79 TILEPROP INT_L_X6Y79 NUM_ARCS 3737 TILEPROP INT_L_X6Y79 NUM_SITES 1 TILEPROP INT_L_X6Y79 ROW 73 TILEPROP INT_L_X6Y79 SLR_REGION_ID 0 TILEPROP INT_L_X6Y79 TILE_PATTERN_IDX 6148 TILEPROP INT_L_X6Y79 TILE_TYPE INT_L TILEPROP INT_L_X6Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y79 TILE_X -64718 TILEPROP INT_L_X6Y79 TILE_Y 15424 TILEPROP INT_L_X6Y79 TYPE INT_L TILEPROP INT_L_X6Y80 CLASS tile TILEPROP INT_L_X6Y80 COLUMN 21 TILEPROP INT_L_X6Y80 DEVICE_ID 0 TILEPROP INT_L_X6Y80 FIRST_SITE_ID 7092 TILEPROP INT_L_X6Y80 GRID_POINT_X 21 TILEPROP INT_L_X6Y80 GRID_POINT_Y 72 TILEPROP INT_L_X6Y80 INDEX 8301 TILEPROP INT_L_X6Y80 INT_TILE_X 6 TILEPROP INT_L_X6Y80 INT_TILE_Y 69 TILEPROP INT_L_X6Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y80 IS_DCM_TILE 0 TILEPROP INT_L_X6Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y80 NAME INT_L_X6Y80 TILEPROP INT_L_X6Y80 NUM_ARCS 3737 TILEPROP INT_L_X6Y80 NUM_SITES 1 TILEPROP INT_L_X6Y80 ROW 72 TILEPROP INT_L_X6Y80 SLR_REGION_ID 0 TILEPROP INT_L_X6Y80 TILE_PATTERN_IDX 6113 TILEPROP INT_L_X6Y80 TILE_TYPE INT_L TILEPROP INT_L_X6Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y80 TILE_X -64718 TILEPROP INT_L_X6Y80 TILE_Y 18624 TILEPROP INT_L_X6Y80 TYPE INT_L TILEPROP INT_L_X6Y81 CLASS tile TILEPROP INT_L_X6Y81 COLUMN 21 TILEPROP INT_L_X6Y81 DEVICE_ID 0 TILEPROP INT_L_X6Y81 FIRST_SITE_ID 6987 TILEPROP INT_L_X6Y81 GRID_POINT_X 21 TILEPROP INT_L_X6Y81 GRID_POINT_Y 71 TILEPROP INT_L_X6Y81 INDEX 8186 TILEPROP INT_L_X6Y81 INT_TILE_X 6 TILEPROP INT_L_X6Y81 INT_TILE_Y 68 TILEPROP INT_L_X6Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y81 IS_DCM_TILE 0 TILEPROP INT_L_X6Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y81 NAME INT_L_X6Y81 TILEPROP INT_L_X6Y81 NUM_ARCS 3737 TILEPROP INT_L_X6Y81 NUM_SITES 1 TILEPROP INT_L_X6Y81 ROW 71 TILEPROP INT_L_X6Y81 SLR_REGION_ID 0 TILEPROP INT_L_X6Y81 TILE_PATTERN_IDX 6079 TILEPROP INT_L_X6Y81 TILE_TYPE INT_L TILEPROP INT_L_X6Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y81 TILE_X -64718 TILEPROP INT_L_X6Y81 TILE_Y 21824 TILEPROP INT_L_X6Y81 TYPE INT_L TILEPROP INT_L_X6Y82 CLASS tile TILEPROP INT_L_X6Y82 COLUMN 21 TILEPROP INT_L_X6Y82 DEVICE_ID 0 TILEPROP INT_L_X6Y82 FIRST_SITE_ID 6885 TILEPROP INT_L_X6Y82 GRID_POINT_X 21 TILEPROP INT_L_X6Y82 GRID_POINT_Y 70 TILEPROP INT_L_X6Y82 INDEX 8071 TILEPROP INT_L_X6Y82 INT_TILE_X 6 TILEPROP INT_L_X6Y82 INT_TILE_Y 67 TILEPROP INT_L_X6Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y82 IS_DCM_TILE 0 TILEPROP INT_L_X6Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y82 NAME INT_L_X6Y82 TILEPROP INT_L_X6Y82 NUM_ARCS 3737 TILEPROP INT_L_X6Y82 NUM_SITES 1 TILEPROP INT_L_X6Y82 ROW 70 TILEPROP INT_L_X6Y82 SLR_REGION_ID 0 TILEPROP INT_L_X6Y82 TILE_PATTERN_IDX 6045 TILEPROP INT_L_X6Y82 TILE_TYPE INT_L TILEPROP INT_L_X6Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y82 TILE_X -64718 TILEPROP INT_L_X6Y82 TILE_Y 25024 TILEPROP INT_L_X6Y82 TYPE INT_L TILEPROP INT_L_X6Y83 CLASS tile TILEPROP INT_L_X6Y83 COLUMN 21 TILEPROP INT_L_X6Y83 DEVICE_ID 0 TILEPROP INT_L_X6Y83 FIRST_SITE_ID 6785 TILEPROP INT_L_X6Y83 GRID_POINT_X 21 TILEPROP INT_L_X6Y83 GRID_POINT_Y 69 TILEPROP INT_L_X6Y83 INDEX 7956 TILEPROP INT_L_X6Y83 INT_TILE_X 6 TILEPROP INT_L_X6Y83 INT_TILE_Y 66 TILEPROP INT_L_X6Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y83 IS_DCM_TILE 0 TILEPROP INT_L_X6Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y83 NAME INT_L_X6Y83 TILEPROP INT_L_X6Y83 NUM_ARCS 3737 TILEPROP INT_L_X6Y83 NUM_SITES 1 TILEPROP INT_L_X6Y83 ROW 69 TILEPROP INT_L_X6Y83 SLR_REGION_ID 0 TILEPROP INT_L_X6Y83 TILE_PATTERN_IDX 6011 TILEPROP INT_L_X6Y83 TILE_TYPE INT_L TILEPROP INT_L_X6Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y83 TILE_X -64718 TILEPROP INT_L_X6Y83 TILE_Y 28224 TILEPROP INT_L_X6Y83 TYPE INT_L TILEPROP INT_L_X6Y84 CLASS tile TILEPROP INT_L_X6Y84 COLUMN 21 TILEPROP INT_L_X6Y84 DEVICE_ID 0 TILEPROP INT_L_X6Y84 FIRST_SITE_ID 6685 TILEPROP INT_L_X6Y84 GRID_POINT_X 21 TILEPROP INT_L_X6Y84 GRID_POINT_Y 68 TILEPROP INT_L_X6Y84 INDEX 7841 TILEPROP INT_L_X6Y84 INT_TILE_X 6 TILEPROP INT_L_X6Y84 INT_TILE_Y 65 TILEPROP INT_L_X6Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y84 IS_DCM_TILE 0 TILEPROP INT_L_X6Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y84 NAME INT_L_X6Y84 TILEPROP INT_L_X6Y84 NUM_ARCS 3737 TILEPROP INT_L_X6Y84 NUM_SITES 1 TILEPROP INT_L_X6Y84 ROW 68 TILEPROP INT_L_X6Y84 SLR_REGION_ID 0 TILEPROP INT_L_X6Y84 TILE_PATTERN_IDX 5977 TILEPROP INT_L_X6Y84 TILE_TYPE INT_L TILEPROP INT_L_X6Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y84 TILE_X -64718 TILEPROP INT_L_X6Y84 TILE_Y 31424 TILEPROP INT_L_X6Y84 TYPE INT_L TILEPROP INT_L_X6Y85 CLASS tile TILEPROP INT_L_X6Y85 COLUMN 21 TILEPROP INT_L_X6Y85 DEVICE_ID 0 TILEPROP INT_L_X6Y85 FIRST_SITE_ID 6573 TILEPROP INT_L_X6Y85 GRID_POINT_X 21 TILEPROP INT_L_X6Y85 GRID_POINT_Y 67 TILEPROP INT_L_X6Y85 INDEX 7726 TILEPROP INT_L_X6Y85 INT_TILE_X 6 TILEPROP INT_L_X6Y85 INT_TILE_Y 64 TILEPROP INT_L_X6Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y85 IS_DCM_TILE 0 TILEPROP INT_L_X6Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y85 NAME INT_L_X6Y85 TILEPROP INT_L_X6Y85 NUM_ARCS 3737 TILEPROP INT_L_X6Y85 NUM_SITES 1 TILEPROP INT_L_X6Y85 ROW 67 TILEPROP INT_L_X6Y85 SLR_REGION_ID 0 TILEPROP INT_L_X6Y85 TILE_PATTERN_IDX 5942 TILEPROP INT_L_X6Y85 TILE_TYPE INT_L TILEPROP INT_L_X6Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y85 TILE_X -64718 TILEPROP INT_L_X6Y85 TILE_Y 34624 TILEPROP INT_L_X6Y85 TYPE INT_L TILEPROP INT_L_X6Y86 CLASS tile TILEPROP INT_L_X6Y86 COLUMN 21 TILEPROP INT_L_X6Y86 DEVICE_ID 0 TILEPROP INT_L_X6Y86 FIRST_SITE_ID 6438 TILEPROP INT_L_X6Y86 GRID_POINT_X 21 TILEPROP INT_L_X6Y86 GRID_POINT_Y 66 TILEPROP INT_L_X6Y86 INDEX 7611 TILEPROP INT_L_X6Y86 INT_TILE_X 6 TILEPROP INT_L_X6Y86 INT_TILE_Y 63 TILEPROP INT_L_X6Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y86 IS_DCM_TILE 0 TILEPROP INT_L_X6Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y86 NAME INT_L_X6Y86 TILEPROP INT_L_X6Y86 NUM_ARCS 3737 TILEPROP INT_L_X6Y86 NUM_SITES 1 TILEPROP INT_L_X6Y86 ROW 66 TILEPROP INT_L_X6Y86 SLR_REGION_ID 0 TILEPROP INT_L_X6Y86 TILE_PATTERN_IDX 5907 TILEPROP INT_L_X6Y86 TILE_TYPE INT_L TILEPROP INT_L_X6Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y86 TILE_X -64718 TILEPROP INT_L_X6Y86 TILE_Y 37824 TILEPROP INT_L_X6Y86 TYPE INT_L TILEPROP INT_L_X6Y87 CLASS tile TILEPROP INT_L_X6Y87 COLUMN 21 TILEPROP INT_L_X6Y87 DEVICE_ID 0 TILEPROP INT_L_X6Y87 FIRST_SITE_ID 6338 TILEPROP INT_L_X6Y87 GRID_POINT_X 21 TILEPROP INT_L_X6Y87 GRID_POINT_Y 65 TILEPROP INT_L_X6Y87 INDEX 7496 TILEPROP INT_L_X6Y87 INT_TILE_X 6 TILEPROP INT_L_X6Y87 INT_TILE_Y 62 TILEPROP INT_L_X6Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y87 IS_DCM_TILE 0 TILEPROP INT_L_X6Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y87 NAME INT_L_X6Y87 TILEPROP INT_L_X6Y87 NUM_ARCS 3737 TILEPROP INT_L_X6Y87 NUM_SITES 1 TILEPROP INT_L_X6Y87 ROW 65 TILEPROP INT_L_X6Y87 SLR_REGION_ID 0 TILEPROP INT_L_X6Y87 TILE_PATTERN_IDX 5873 TILEPROP INT_L_X6Y87 TILE_TYPE INT_L TILEPROP INT_L_X6Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y87 TILE_X -64718 TILEPROP INT_L_X6Y87 TILE_Y 41024 TILEPROP INT_L_X6Y87 TYPE INT_L TILEPROP INT_L_X6Y88 CLASS tile TILEPROP INT_L_X6Y88 COLUMN 21 TILEPROP INT_L_X6Y88 DEVICE_ID 0 TILEPROP INT_L_X6Y88 FIRST_SITE_ID 6238 TILEPROP INT_L_X6Y88 GRID_POINT_X 21 TILEPROP INT_L_X6Y88 GRID_POINT_Y 64 TILEPROP INT_L_X6Y88 INDEX 7381 TILEPROP INT_L_X6Y88 INT_TILE_X 6 TILEPROP INT_L_X6Y88 INT_TILE_Y 61 TILEPROP INT_L_X6Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y88 IS_DCM_TILE 0 TILEPROP INT_L_X6Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y88 NAME INT_L_X6Y88 TILEPROP INT_L_X6Y88 NUM_ARCS 3737 TILEPROP INT_L_X6Y88 NUM_SITES 1 TILEPROP INT_L_X6Y88 ROW 64 TILEPROP INT_L_X6Y88 SLR_REGION_ID 0 TILEPROP INT_L_X6Y88 TILE_PATTERN_IDX 5840 TILEPROP INT_L_X6Y88 TILE_TYPE INT_L TILEPROP INT_L_X6Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y88 TILE_X -64718 TILEPROP INT_L_X6Y88 TILE_Y 44224 TILEPROP INT_L_X6Y88 TYPE INT_L TILEPROP INT_L_X6Y89 CLASS tile TILEPROP INT_L_X6Y89 COLUMN 21 TILEPROP INT_L_X6Y89 DEVICE_ID 0 TILEPROP INT_L_X6Y89 FIRST_SITE_ID 6138 TILEPROP INT_L_X6Y89 GRID_POINT_X 21 TILEPROP INT_L_X6Y89 GRID_POINT_Y 63 TILEPROP INT_L_X6Y89 INDEX 7266 TILEPROP INT_L_X6Y89 INT_TILE_X 6 TILEPROP INT_L_X6Y89 INT_TILE_Y 60 TILEPROP INT_L_X6Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y89 IS_DCM_TILE 0 TILEPROP INT_L_X6Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y89 NAME INT_L_X6Y89 TILEPROP INT_L_X6Y89 NUM_ARCS 3737 TILEPROP INT_L_X6Y89 NUM_SITES 1 TILEPROP INT_L_X6Y89 ROW 63 TILEPROP INT_L_X6Y89 SLR_REGION_ID 0 TILEPROP INT_L_X6Y89 TILE_PATTERN_IDX 5806 TILEPROP INT_L_X6Y89 TILE_TYPE INT_L TILEPROP INT_L_X6Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y89 TILE_X -64718 TILEPROP INT_L_X6Y89 TILE_Y 47424 TILEPROP INT_L_X6Y89 TYPE INT_L TILEPROP INT_L_X6Y90 CLASS tile TILEPROP INT_L_X6Y90 COLUMN 21 TILEPROP INT_L_X6Y90 DEVICE_ID 0 TILEPROP INT_L_X6Y90 FIRST_SITE_ID 6026 TILEPROP INT_L_X6Y90 GRID_POINT_X 21 TILEPROP INT_L_X6Y90 GRID_POINT_Y 62 TILEPROP INT_L_X6Y90 INDEX 7151 TILEPROP INT_L_X6Y90 INT_TILE_X 6 TILEPROP INT_L_X6Y90 INT_TILE_Y 59 TILEPROP INT_L_X6Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y90 IS_DCM_TILE 0 TILEPROP INT_L_X6Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y90 NAME INT_L_X6Y90 TILEPROP INT_L_X6Y90 NUM_ARCS 3737 TILEPROP INT_L_X6Y90 NUM_SITES 1 TILEPROP INT_L_X6Y90 ROW 62 TILEPROP INT_L_X6Y90 SLR_REGION_ID 0 TILEPROP INT_L_X6Y90 TILE_PATTERN_IDX 5772 TILEPROP INT_L_X6Y90 TILE_TYPE INT_L TILEPROP INT_L_X6Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y90 TILE_X -64718 TILEPROP INT_L_X6Y90 TILE_Y 50624 TILEPROP INT_L_X6Y90 TYPE INT_L TILEPROP INT_L_X6Y91 CLASS tile TILEPROP INT_L_X6Y91 COLUMN 21 TILEPROP INT_L_X6Y91 DEVICE_ID 0 TILEPROP INT_L_X6Y91 FIRST_SITE_ID 5923 TILEPROP INT_L_X6Y91 GRID_POINT_X 21 TILEPROP INT_L_X6Y91 GRID_POINT_Y 61 TILEPROP INT_L_X6Y91 INDEX 7036 TILEPROP INT_L_X6Y91 INT_TILE_X 6 TILEPROP INT_L_X6Y91 INT_TILE_Y 58 TILEPROP INT_L_X6Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y91 IS_DCM_TILE 0 TILEPROP INT_L_X6Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y91 NAME INT_L_X6Y91 TILEPROP INT_L_X6Y91 NUM_ARCS 3737 TILEPROP INT_L_X6Y91 NUM_SITES 1 TILEPROP INT_L_X6Y91 ROW 61 TILEPROP INT_L_X6Y91 SLR_REGION_ID 0 TILEPROP INT_L_X6Y91 TILE_PATTERN_IDX 5738 TILEPROP INT_L_X6Y91 TILE_TYPE INT_L TILEPROP INT_L_X6Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y91 TILE_X -64718 TILEPROP INT_L_X6Y91 TILE_Y 53824 TILEPROP INT_L_X6Y91 TYPE INT_L TILEPROP INT_L_X6Y92 CLASS tile TILEPROP INT_L_X6Y92 COLUMN 21 TILEPROP INT_L_X6Y92 DEVICE_ID 0 TILEPROP INT_L_X6Y92 FIRST_SITE_ID 5822 TILEPROP INT_L_X6Y92 GRID_POINT_X 21 TILEPROP INT_L_X6Y92 GRID_POINT_Y 60 TILEPROP INT_L_X6Y92 INDEX 6921 TILEPROP INT_L_X6Y92 INT_TILE_X 6 TILEPROP INT_L_X6Y92 INT_TILE_Y 57 TILEPROP INT_L_X6Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y92 IS_DCM_TILE 0 TILEPROP INT_L_X6Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y92 NAME INT_L_X6Y92 TILEPROP INT_L_X6Y92 NUM_ARCS 3737 TILEPROP INT_L_X6Y92 NUM_SITES 1 TILEPROP INT_L_X6Y92 ROW 60 TILEPROP INT_L_X6Y92 SLR_REGION_ID 0 TILEPROP INT_L_X6Y92 TILE_PATTERN_IDX 5705 TILEPROP INT_L_X6Y92 TILE_TYPE INT_L TILEPROP INT_L_X6Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y92 TILE_X -64718 TILEPROP INT_L_X6Y92 TILE_Y 57024 TILEPROP INT_L_X6Y92 TYPE INT_L TILEPROP INT_L_X6Y93 CLASS tile TILEPROP INT_L_X6Y93 COLUMN 21 TILEPROP INT_L_X6Y93 DEVICE_ID 0 TILEPROP INT_L_X6Y93 FIRST_SITE_ID 5719 TILEPROP INT_L_X6Y93 GRID_POINT_X 21 TILEPROP INT_L_X6Y93 GRID_POINT_Y 59 TILEPROP INT_L_X6Y93 INDEX 6806 TILEPROP INT_L_X6Y93 INT_TILE_X 6 TILEPROP INT_L_X6Y93 INT_TILE_Y 56 TILEPROP INT_L_X6Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y93 IS_DCM_TILE 0 TILEPROP INT_L_X6Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y93 NAME INT_L_X6Y93 TILEPROP INT_L_X6Y93 NUM_ARCS 3737 TILEPROP INT_L_X6Y93 NUM_SITES 1 TILEPROP INT_L_X6Y93 ROW 59 TILEPROP INT_L_X6Y93 SLR_REGION_ID 0 TILEPROP INT_L_X6Y93 TILE_PATTERN_IDX 5671 TILEPROP INT_L_X6Y93 TILE_TYPE INT_L TILEPROP INT_L_X6Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y93 TILE_X -64718 TILEPROP INT_L_X6Y93 TILE_Y 60224 TILEPROP INT_L_X6Y93 TYPE INT_L TILEPROP INT_L_X6Y94 CLASS tile TILEPROP INT_L_X6Y94 COLUMN 21 TILEPROP INT_L_X6Y94 DEVICE_ID 0 TILEPROP INT_L_X6Y94 FIRST_SITE_ID 5617 TILEPROP INT_L_X6Y94 GRID_POINT_X 21 TILEPROP INT_L_X6Y94 GRID_POINT_Y 58 TILEPROP INT_L_X6Y94 INDEX 6691 TILEPROP INT_L_X6Y94 INT_TILE_X 6 TILEPROP INT_L_X6Y94 INT_TILE_Y 55 TILEPROP INT_L_X6Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y94 IS_DCM_TILE 0 TILEPROP INT_L_X6Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y94 NAME INT_L_X6Y94 TILEPROP INT_L_X6Y94 NUM_ARCS 3737 TILEPROP INT_L_X6Y94 NUM_SITES 1 TILEPROP INT_L_X6Y94 ROW 58 TILEPROP INT_L_X6Y94 SLR_REGION_ID 0 TILEPROP INT_L_X6Y94 TILE_PATTERN_IDX 5637 TILEPROP INT_L_X6Y94 TILE_TYPE INT_L TILEPROP INT_L_X6Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y94 TILE_X -64718 TILEPROP INT_L_X6Y94 TILE_Y 63424 TILEPROP INT_L_X6Y94 TYPE INT_L TILEPROP INT_L_X6Y95 CLASS tile TILEPROP INT_L_X6Y95 COLUMN 21 TILEPROP INT_L_X6Y95 DEVICE_ID 0 TILEPROP INT_L_X6Y95 FIRST_SITE_ID 5505 TILEPROP INT_L_X6Y95 GRID_POINT_X 21 TILEPROP INT_L_X6Y95 GRID_POINT_Y 57 TILEPROP INT_L_X6Y95 INDEX 6576 TILEPROP INT_L_X6Y95 INT_TILE_X 6 TILEPROP INT_L_X6Y95 INT_TILE_Y 54 TILEPROP INT_L_X6Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y95 IS_DCM_TILE 0 TILEPROP INT_L_X6Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y95 NAME INT_L_X6Y95 TILEPROP INT_L_X6Y95 NUM_ARCS 3737 TILEPROP INT_L_X6Y95 NUM_SITES 1 TILEPROP INT_L_X6Y95 ROW 57 TILEPROP INT_L_X6Y95 SLR_REGION_ID 0 TILEPROP INT_L_X6Y95 TILE_PATTERN_IDX 5602 TILEPROP INT_L_X6Y95 TILE_TYPE INT_L TILEPROP INT_L_X6Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y95 TILE_X -64718 TILEPROP INT_L_X6Y95 TILE_Y 66624 TILEPROP INT_L_X6Y95 TYPE INT_L TILEPROP INT_L_X6Y96 CLASS tile TILEPROP INT_L_X6Y96 COLUMN 21 TILEPROP INT_L_X6Y96 DEVICE_ID 0 TILEPROP INT_L_X6Y96 FIRST_SITE_ID 5402 TILEPROP INT_L_X6Y96 GRID_POINT_X 21 TILEPROP INT_L_X6Y96 GRID_POINT_Y 56 TILEPROP INT_L_X6Y96 INDEX 6461 TILEPROP INT_L_X6Y96 INT_TILE_X 6 TILEPROP INT_L_X6Y96 INT_TILE_Y 53 TILEPROP INT_L_X6Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y96 IS_DCM_TILE 0 TILEPROP INT_L_X6Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y96 NAME INT_L_X6Y96 TILEPROP INT_L_X6Y96 NUM_ARCS 3737 TILEPROP INT_L_X6Y96 NUM_SITES 1 TILEPROP INT_L_X6Y96 ROW 56 TILEPROP INT_L_X6Y96 SLR_REGION_ID 0 TILEPROP INT_L_X6Y96 TILE_PATTERN_IDX 5568 TILEPROP INT_L_X6Y96 TILE_TYPE INT_L TILEPROP INT_L_X6Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y96 TILE_X -64718 TILEPROP INT_L_X6Y96 TILE_Y 69824 TILEPROP INT_L_X6Y96 TYPE INT_L TILEPROP INT_L_X6Y97 CLASS tile TILEPROP INT_L_X6Y97 COLUMN 21 TILEPROP INT_L_X6Y97 DEVICE_ID 0 TILEPROP INT_L_X6Y97 FIRST_SITE_ID 5302 TILEPROP INT_L_X6Y97 GRID_POINT_X 21 TILEPROP INT_L_X6Y97 GRID_POINT_Y 55 TILEPROP INT_L_X6Y97 INDEX 6346 TILEPROP INT_L_X6Y97 INT_TILE_X 6 TILEPROP INT_L_X6Y97 INT_TILE_Y 52 TILEPROP INT_L_X6Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y97 IS_DCM_TILE 0 TILEPROP INT_L_X6Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y97 NAME INT_L_X6Y97 TILEPROP INT_L_X6Y97 NUM_ARCS 3737 TILEPROP INT_L_X6Y97 NUM_SITES 1 TILEPROP INT_L_X6Y97 ROW 55 TILEPROP INT_L_X6Y97 SLR_REGION_ID 0 TILEPROP INT_L_X6Y97 TILE_PATTERN_IDX 5534 TILEPROP INT_L_X6Y97 TILE_TYPE INT_L TILEPROP INT_L_X6Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y97 TILE_X -64718 TILEPROP INT_L_X6Y97 TILE_Y 73024 TILEPROP INT_L_X6Y97 TYPE INT_L TILEPROP INT_L_X6Y98 CLASS tile TILEPROP INT_L_X6Y98 COLUMN 21 TILEPROP INT_L_X6Y98 DEVICE_ID 0 TILEPROP INT_L_X6Y98 FIRST_SITE_ID 5202 TILEPROP INT_L_X6Y98 GRID_POINT_X 21 TILEPROP INT_L_X6Y98 GRID_POINT_Y 54 TILEPROP INT_L_X6Y98 INDEX 6231 TILEPROP INT_L_X6Y98 INT_TILE_X 6 TILEPROP INT_L_X6Y98 INT_TILE_Y 51 TILEPROP INT_L_X6Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y98 IS_DCM_TILE 0 TILEPROP INT_L_X6Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y98 NAME INT_L_X6Y98 TILEPROP INT_L_X6Y98 NUM_ARCS 3737 TILEPROP INT_L_X6Y98 NUM_SITES 1 TILEPROP INT_L_X6Y98 ROW 54 TILEPROP INT_L_X6Y98 SLR_REGION_ID 0 TILEPROP INT_L_X6Y98 TILE_PATTERN_IDX 5500 TILEPROP INT_L_X6Y98 TILE_TYPE INT_L TILEPROP INT_L_X6Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y98 TILE_X -64718 TILEPROP INT_L_X6Y98 TILE_Y 76224 TILEPROP INT_L_X6Y98 TYPE INT_L TILEPROP INT_L_X6Y99 CLASS tile TILEPROP INT_L_X6Y99 COLUMN 21 TILEPROP INT_L_X6Y99 DEVICE_ID 0 TILEPROP INT_L_X6Y99 FIRST_SITE_ID 5106 TILEPROP INT_L_X6Y99 GRID_POINT_X 21 TILEPROP INT_L_X6Y99 GRID_POINT_Y 53 TILEPROP INT_L_X6Y99 INDEX 6116 TILEPROP INT_L_X6Y99 INT_TILE_X 6 TILEPROP INT_L_X6Y99 INT_TILE_Y 50 TILEPROP INT_L_X6Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y99 IS_DCM_TILE 0 TILEPROP INT_L_X6Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y99 NAME INT_L_X6Y99 TILEPROP INT_L_X6Y99 NUM_ARCS 3737 TILEPROP INT_L_X6Y99 NUM_SITES 1 TILEPROP INT_L_X6Y99 ROW 53 TILEPROP INT_L_X6Y99 SLR_REGION_ID 0 TILEPROP INT_L_X6Y99 TILE_PATTERN_IDX 5467 TILEPROP INT_L_X6Y99 TILE_TYPE INT_L TILEPROP INT_L_X6Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y99 TILE_X -64718 TILEPROP INT_L_X6Y99 TILE_Y 79424 TILEPROP INT_L_X6Y99 TYPE INT_L TILEPROP INT_L_X6Y100 CLASS tile TILEPROP INT_L_X6Y100 COLUMN 21 TILEPROP INT_L_X6Y100 DEVICE_ID 0 TILEPROP INT_L_X6Y100 FIRST_SITE_ID 5008 TILEPROP INT_L_X6Y100 GRID_POINT_X 21 TILEPROP INT_L_X6Y100 GRID_POINT_Y 51 TILEPROP INT_L_X6Y100 INDEX 5886 TILEPROP INT_L_X6Y100 INT_TILE_X 6 TILEPROP INT_L_X6Y100 INT_TILE_Y 49 TILEPROP INT_L_X6Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y100 IS_DCM_TILE 0 TILEPROP INT_L_X6Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y100 NAME INT_L_X6Y100 TILEPROP INT_L_X6Y100 NUM_ARCS 3737 TILEPROP INT_L_X6Y100 NUM_SITES 1 TILEPROP INT_L_X6Y100 ROW 51 TILEPROP INT_L_X6Y100 SLR_REGION_ID 0 TILEPROP INT_L_X6Y100 TILE_PATTERN_IDX 5430 TILEPROP INT_L_X6Y100 TILE_TYPE INT_L TILEPROP INT_L_X6Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y100 TILE_X -64718 TILEPROP INT_L_X6Y100 TILE_Y 82872 TILEPROP INT_L_X6Y100 TYPE INT_L TILEPROP INT_L_X6Y101 CLASS tile TILEPROP INT_L_X6Y101 COLUMN 21 TILEPROP INT_L_X6Y101 DEVICE_ID 0 TILEPROP INT_L_X6Y101 FIRST_SITE_ID 4913 TILEPROP INT_L_X6Y101 GRID_POINT_X 21 TILEPROP INT_L_X6Y101 GRID_POINT_Y 50 TILEPROP INT_L_X6Y101 INDEX 5771 TILEPROP INT_L_X6Y101 INT_TILE_X 6 TILEPROP INT_L_X6Y101 INT_TILE_Y 48 TILEPROP INT_L_X6Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y101 IS_DCM_TILE 0 TILEPROP INT_L_X6Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y101 NAME INT_L_X6Y101 TILEPROP INT_L_X6Y101 NUM_ARCS 3737 TILEPROP INT_L_X6Y101 NUM_SITES 1 TILEPROP INT_L_X6Y101 ROW 50 TILEPROP INT_L_X6Y101 SLR_REGION_ID 0 TILEPROP INT_L_X6Y101 TILE_PATTERN_IDX 5394 TILEPROP INT_L_X6Y101 TILE_TYPE INT_L TILEPROP INT_L_X6Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y101 TILE_X -64718 TILEPROP INT_L_X6Y101 TILE_Y 86072 TILEPROP INT_L_X6Y101 TYPE INT_L TILEPROP INT_L_X6Y102 CLASS tile TILEPROP INT_L_X6Y102 COLUMN 21 TILEPROP INT_L_X6Y102 DEVICE_ID 0 TILEPROP INT_L_X6Y102 FIRST_SITE_ID 4817 TILEPROP INT_L_X6Y102 GRID_POINT_X 21 TILEPROP INT_L_X6Y102 GRID_POINT_Y 49 TILEPROP INT_L_X6Y102 INDEX 5656 TILEPROP INT_L_X6Y102 INT_TILE_X 6 TILEPROP INT_L_X6Y102 INT_TILE_Y 47 TILEPROP INT_L_X6Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y102 IS_DCM_TILE 0 TILEPROP INT_L_X6Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y102 NAME INT_L_X6Y102 TILEPROP INT_L_X6Y102 NUM_ARCS 3737 TILEPROP INT_L_X6Y102 NUM_SITES 1 TILEPROP INT_L_X6Y102 ROW 49 TILEPROP INT_L_X6Y102 SLR_REGION_ID 0 TILEPROP INT_L_X6Y102 TILE_PATTERN_IDX 5357 TILEPROP INT_L_X6Y102 TILE_TYPE INT_L TILEPROP INT_L_X6Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y102 TILE_X -64718 TILEPROP INT_L_X6Y102 TILE_Y 89272 TILEPROP INT_L_X6Y102 TYPE INT_L TILEPROP INT_L_X6Y103 CLASS tile TILEPROP INT_L_X6Y103 COLUMN 21 TILEPROP INT_L_X6Y103 DEVICE_ID 0 TILEPROP INT_L_X6Y103 FIRST_SITE_ID 4729 TILEPROP INT_L_X6Y103 GRID_POINT_X 21 TILEPROP INT_L_X6Y103 GRID_POINT_Y 48 TILEPROP INT_L_X6Y103 INDEX 5541 TILEPROP INT_L_X6Y103 INT_TILE_X 6 TILEPROP INT_L_X6Y103 INT_TILE_Y 46 TILEPROP INT_L_X6Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y103 IS_DCM_TILE 0 TILEPROP INT_L_X6Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y103 NAME INT_L_X6Y103 TILEPROP INT_L_X6Y103 NUM_ARCS 3737 TILEPROP INT_L_X6Y103 NUM_SITES 1 TILEPROP INT_L_X6Y103 ROW 48 TILEPROP INT_L_X6Y103 SLR_REGION_ID 0 TILEPROP INT_L_X6Y103 TILE_PATTERN_IDX 5321 TILEPROP INT_L_X6Y103 TILE_TYPE INT_L TILEPROP INT_L_X6Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y103 TILE_X -64718 TILEPROP INT_L_X6Y103 TILE_Y 92472 TILEPROP INT_L_X6Y103 TYPE INT_L TILEPROP INT_L_X6Y104 CLASS tile TILEPROP INT_L_X6Y104 COLUMN 21 TILEPROP INT_L_X6Y104 DEVICE_ID 0 TILEPROP INT_L_X6Y104 FIRST_SITE_ID 4633 TILEPROP INT_L_X6Y104 GRID_POINT_X 21 TILEPROP INT_L_X6Y104 GRID_POINT_Y 47 TILEPROP INT_L_X6Y104 INDEX 5426 TILEPROP INT_L_X6Y104 INT_TILE_X 6 TILEPROP INT_L_X6Y104 INT_TILE_Y 45 TILEPROP INT_L_X6Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y104 IS_DCM_TILE 0 TILEPROP INT_L_X6Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y104 NAME INT_L_X6Y104 TILEPROP INT_L_X6Y104 NUM_ARCS 3737 TILEPROP INT_L_X6Y104 NUM_SITES 1 TILEPROP INT_L_X6Y104 ROW 47 TILEPROP INT_L_X6Y104 SLR_REGION_ID 0 TILEPROP INT_L_X6Y104 TILE_PATTERN_IDX 5284 TILEPROP INT_L_X6Y104 TILE_TYPE INT_L TILEPROP INT_L_X6Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y104 TILE_X -64718 TILEPROP INT_L_X6Y104 TILE_Y 95672 TILEPROP INT_L_X6Y104 TYPE INT_L TILEPROP INT_L_X6Y105 CLASS tile TILEPROP INT_L_X6Y105 COLUMN 21 TILEPROP INT_L_X6Y105 DEVICE_ID 0 TILEPROP INT_L_X6Y105 FIRST_SITE_ID 4534 TILEPROP INT_L_X6Y105 GRID_POINT_X 21 TILEPROP INT_L_X6Y105 GRID_POINT_Y 46 TILEPROP INT_L_X6Y105 INDEX 5311 TILEPROP INT_L_X6Y105 INT_TILE_X 6 TILEPROP INT_L_X6Y105 INT_TILE_Y 44 TILEPROP INT_L_X6Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y105 IS_DCM_TILE 0 TILEPROP INT_L_X6Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y105 NAME INT_L_X6Y105 TILEPROP INT_L_X6Y105 NUM_ARCS 3737 TILEPROP INT_L_X6Y105 NUM_SITES 1 TILEPROP INT_L_X6Y105 ROW 46 TILEPROP INT_L_X6Y105 SLR_REGION_ID 0 TILEPROP INT_L_X6Y105 TILE_PATTERN_IDX 5248 TILEPROP INT_L_X6Y105 TILE_TYPE INT_L TILEPROP INT_L_X6Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y105 TILE_X -64718 TILEPROP INT_L_X6Y105 TILE_Y 98872 TILEPROP INT_L_X6Y105 TYPE INT_L TILEPROP INT_L_X6Y106 CLASS tile TILEPROP INT_L_X6Y106 COLUMN 21 TILEPROP INT_L_X6Y106 DEVICE_ID 0 TILEPROP INT_L_X6Y106 FIRST_SITE_ID 4435 TILEPROP INT_L_X6Y106 GRID_POINT_X 21 TILEPROP INT_L_X6Y106 GRID_POINT_Y 45 TILEPROP INT_L_X6Y106 INDEX 5196 TILEPROP INT_L_X6Y106 INT_TILE_X 6 TILEPROP INT_L_X6Y106 INT_TILE_Y 43 TILEPROP INT_L_X6Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y106 IS_DCM_TILE 0 TILEPROP INT_L_X6Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y106 NAME INT_L_X6Y106 TILEPROP INT_L_X6Y106 NUM_ARCS 3737 TILEPROP INT_L_X6Y106 NUM_SITES 1 TILEPROP INT_L_X6Y106 ROW 45 TILEPROP INT_L_X6Y106 SLR_REGION_ID 0 TILEPROP INT_L_X6Y106 TILE_PATTERN_IDX 5211 TILEPROP INT_L_X6Y106 TILE_TYPE INT_L TILEPROP INT_L_X6Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y106 TILE_X -64718 TILEPROP INT_L_X6Y106 TILE_Y 102072 TILEPROP INT_L_X6Y106 TYPE INT_L TILEPROP INT_L_X6Y107 CLASS tile TILEPROP INT_L_X6Y107 COLUMN 21 TILEPROP INT_L_X6Y107 DEVICE_ID 0 TILEPROP INT_L_X6Y107 FIRST_SITE_ID 4347 TILEPROP INT_L_X6Y107 GRID_POINT_X 21 TILEPROP INT_L_X6Y107 GRID_POINT_Y 44 TILEPROP INT_L_X6Y107 INDEX 5081 TILEPROP INT_L_X6Y107 INT_TILE_X 6 TILEPROP INT_L_X6Y107 INT_TILE_Y 42 TILEPROP INT_L_X6Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y107 IS_DCM_TILE 0 TILEPROP INT_L_X6Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y107 NAME INT_L_X6Y107 TILEPROP INT_L_X6Y107 NUM_ARCS 3737 TILEPROP INT_L_X6Y107 NUM_SITES 1 TILEPROP INT_L_X6Y107 ROW 44 TILEPROP INT_L_X6Y107 SLR_REGION_ID 0 TILEPROP INT_L_X6Y107 TILE_PATTERN_IDX 5175 TILEPROP INT_L_X6Y107 TILE_TYPE INT_L TILEPROP INT_L_X6Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y107 TILE_X -64718 TILEPROP INT_L_X6Y107 TILE_Y 105272 TILEPROP INT_L_X6Y107 TYPE INT_L TILEPROP INT_L_X6Y108 CLASS tile TILEPROP INT_L_X6Y108 COLUMN 21 TILEPROP INT_L_X6Y108 DEVICE_ID 0 TILEPROP INT_L_X6Y108 FIRST_SITE_ID 4249 TILEPROP INT_L_X6Y108 GRID_POINT_X 21 TILEPROP INT_L_X6Y108 GRID_POINT_Y 43 TILEPROP INT_L_X6Y108 INDEX 4966 TILEPROP INT_L_X6Y108 INT_TILE_X 6 TILEPROP INT_L_X6Y108 INT_TILE_Y 41 TILEPROP INT_L_X6Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y108 IS_DCM_TILE 0 TILEPROP INT_L_X6Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y108 NAME INT_L_X6Y108 TILEPROP INT_L_X6Y108 NUM_ARCS 3737 TILEPROP INT_L_X6Y108 NUM_SITES 1 TILEPROP INT_L_X6Y108 ROW 43 TILEPROP INT_L_X6Y108 SLR_REGION_ID 0 TILEPROP INT_L_X6Y108 TILE_PATTERN_IDX 5138 TILEPROP INT_L_X6Y108 TILE_TYPE INT_L TILEPROP INT_L_X6Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y108 TILE_X -64718 TILEPROP INT_L_X6Y108 TILE_Y 108472 TILEPROP INT_L_X6Y108 TYPE INT_L TILEPROP INT_L_X6Y109 CLASS tile TILEPROP INT_L_X6Y109 COLUMN 21 TILEPROP INT_L_X6Y109 DEVICE_ID 0 TILEPROP INT_L_X6Y109 FIRST_SITE_ID 4160 TILEPROP INT_L_X6Y109 GRID_POINT_X 21 TILEPROP INT_L_X6Y109 GRID_POINT_Y 42 TILEPROP INT_L_X6Y109 INDEX 4851 TILEPROP INT_L_X6Y109 INT_TILE_X 6 TILEPROP INT_L_X6Y109 INT_TILE_Y 40 TILEPROP INT_L_X6Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y109 IS_DCM_TILE 0 TILEPROP INT_L_X6Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y109 NAME INT_L_X6Y109 TILEPROP INT_L_X6Y109 NUM_ARCS 3737 TILEPROP INT_L_X6Y109 NUM_SITES 1 TILEPROP INT_L_X6Y109 ROW 42 TILEPROP INT_L_X6Y109 SLR_REGION_ID 0 TILEPROP INT_L_X6Y109 TILE_PATTERN_IDX 5102 TILEPROP INT_L_X6Y109 TILE_TYPE INT_L TILEPROP INT_L_X6Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y109 TILE_X -64718 TILEPROP INT_L_X6Y109 TILE_Y 111672 TILEPROP INT_L_X6Y109 TYPE INT_L TILEPROP INT_L_X6Y110 CLASS tile TILEPROP INT_L_X6Y110 COLUMN 21 TILEPROP INT_L_X6Y110 DEVICE_ID 0 TILEPROP INT_L_X6Y110 FIRST_SITE_ID 4057 TILEPROP INT_L_X6Y110 GRID_POINT_X 21 TILEPROP INT_L_X6Y110 GRID_POINT_Y 41 TILEPROP INT_L_X6Y110 INDEX 4736 TILEPROP INT_L_X6Y110 INT_TILE_X 6 TILEPROP INT_L_X6Y110 INT_TILE_Y 39 TILEPROP INT_L_X6Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y110 IS_DCM_TILE 0 TILEPROP INT_L_X6Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y110 NAME INT_L_X6Y110 TILEPROP INT_L_X6Y110 NUM_ARCS 3737 TILEPROP INT_L_X6Y110 NUM_SITES 1 TILEPROP INT_L_X6Y110 ROW 41 TILEPROP INT_L_X6Y110 SLR_REGION_ID 0 TILEPROP INT_L_X6Y110 TILE_PATTERN_IDX 5065 TILEPROP INT_L_X6Y110 TILE_TYPE INT_L TILEPROP INT_L_X6Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y110 TILE_X -64718 TILEPROP INT_L_X6Y110 TILE_Y 114872 TILEPROP INT_L_X6Y110 TYPE INT_L TILEPROP INT_L_X6Y111 CLASS tile TILEPROP INT_L_X6Y111 COLUMN 21 TILEPROP INT_L_X6Y111 DEVICE_ID 0 TILEPROP INT_L_X6Y111 FIRST_SITE_ID 3966 TILEPROP INT_L_X6Y111 GRID_POINT_X 21 TILEPROP INT_L_X6Y111 GRID_POINT_Y 40 TILEPROP INT_L_X6Y111 INDEX 4621 TILEPROP INT_L_X6Y111 INT_TILE_X 6 TILEPROP INT_L_X6Y111 INT_TILE_Y 38 TILEPROP INT_L_X6Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y111 IS_DCM_TILE 0 TILEPROP INT_L_X6Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y111 NAME INT_L_X6Y111 TILEPROP INT_L_X6Y111 NUM_ARCS 3737 TILEPROP INT_L_X6Y111 NUM_SITES 1 TILEPROP INT_L_X6Y111 ROW 40 TILEPROP INT_L_X6Y111 SLR_REGION_ID 0 TILEPROP INT_L_X6Y111 TILE_PATTERN_IDX 5029 TILEPROP INT_L_X6Y111 TILE_TYPE INT_L TILEPROP INT_L_X6Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y111 TILE_X -64718 TILEPROP INT_L_X6Y111 TILE_Y 118072 TILEPROP INT_L_X6Y111 TYPE INT_L TILEPROP INT_L_X6Y112 CLASS tile TILEPROP INT_L_X6Y112 COLUMN 21 TILEPROP INT_L_X6Y112 DEVICE_ID 0 TILEPROP INT_L_X6Y112 FIRST_SITE_ID 3838 TILEPROP INT_L_X6Y112 GRID_POINT_X 21 TILEPROP INT_L_X6Y112 GRID_POINT_Y 39 TILEPROP INT_L_X6Y112 INDEX 4506 TILEPROP INT_L_X6Y112 INT_TILE_X 6 TILEPROP INT_L_X6Y112 INT_TILE_Y 37 TILEPROP INT_L_X6Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y112 IS_DCM_TILE 0 TILEPROP INT_L_X6Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y112 NAME INT_L_X6Y112 TILEPROP INT_L_X6Y112 NUM_ARCS 3737 TILEPROP INT_L_X6Y112 NUM_SITES 1 TILEPROP INT_L_X6Y112 ROW 39 TILEPROP INT_L_X6Y112 SLR_REGION_ID 0 TILEPROP INT_L_X6Y112 TILE_PATTERN_IDX 4991 TILEPROP INT_L_X6Y112 TILE_TYPE INT_L TILEPROP INT_L_X6Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y112 TILE_X -64718 TILEPROP INT_L_X6Y112 TILE_Y 121272 TILEPROP INT_L_X6Y112 TYPE INT_L TILEPROP INT_L_X6Y113 CLASS tile TILEPROP INT_L_X6Y113 COLUMN 21 TILEPROP INT_L_X6Y113 DEVICE_ID 0 TILEPROP INT_L_X6Y113 FIRST_SITE_ID 3750 TILEPROP INT_L_X6Y113 GRID_POINT_X 21 TILEPROP INT_L_X6Y113 GRID_POINT_Y 38 TILEPROP INT_L_X6Y113 INDEX 4391 TILEPROP INT_L_X6Y113 INT_TILE_X 6 TILEPROP INT_L_X6Y113 INT_TILE_Y 36 TILEPROP INT_L_X6Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y113 IS_DCM_TILE 0 TILEPROP INT_L_X6Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y113 NAME INT_L_X6Y113 TILEPROP INT_L_X6Y113 NUM_ARCS 3737 TILEPROP INT_L_X6Y113 NUM_SITES 1 TILEPROP INT_L_X6Y113 ROW 38 TILEPROP INT_L_X6Y113 SLR_REGION_ID 0 TILEPROP INT_L_X6Y113 TILE_PATTERN_IDX 4955 TILEPROP INT_L_X6Y113 TILE_TYPE INT_L TILEPROP INT_L_X6Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y113 TILE_X -64718 TILEPROP INT_L_X6Y113 TILE_Y 124472 TILEPROP INT_L_X6Y113 TYPE INT_L TILEPROP INT_L_X6Y114 CLASS tile TILEPROP INT_L_X6Y114 COLUMN 21 TILEPROP INT_L_X6Y114 DEVICE_ID 0 TILEPROP INT_L_X6Y114 FIRST_SITE_ID 3654 TILEPROP INT_L_X6Y114 GRID_POINT_X 21 TILEPROP INT_L_X6Y114 GRID_POINT_Y 37 TILEPROP INT_L_X6Y114 INDEX 4276 TILEPROP INT_L_X6Y114 INT_TILE_X 6 TILEPROP INT_L_X6Y114 INT_TILE_Y 35 TILEPROP INT_L_X6Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y114 IS_DCM_TILE 0 TILEPROP INT_L_X6Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y114 NAME INT_L_X6Y114 TILEPROP INT_L_X6Y114 NUM_ARCS 3737 TILEPROP INT_L_X6Y114 NUM_SITES 1 TILEPROP INT_L_X6Y114 ROW 37 TILEPROP INT_L_X6Y114 SLR_REGION_ID 0 TILEPROP INT_L_X6Y114 TILE_PATTERN_IDX 4918 TILEPROP INT_L_X6Y114 TILE_TYPE INT_L TILEPROP INT_L_X6Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y114 TILE_X -64718 TILEPROP INT_L_X6Y114 TILE_Y 127672 TILEPROP INT_L_X6Y114 TYPE INT_L TILEPROP INT_L_X6Y115 CLASS tile TILEPROP INT_L_X6Y115 COLUMN 21 TILEPROP INT_L_X6Y115 DEVICE_ID 0 TILEPROP INT_L_X6Y115 FIRST_SITE_ID 3560 TILEPROP INT_L_X6Y115 GRID_POINT_X 21 TILEPROP INT_L_X6Y115 GRID_POINT_Y 36 TILEPROP INT_L_X6Y115 INDEX 4161 TILEPROP INT_L_X6Y115 INT_TILE_X 6 TILEPROP INT_L_X6Y115 INT_TILE_Y 34 TILEPROP INT_L_X6Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y115 IS_DCM_TILE 0 TILEPROP INT_L_X6Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y115 NAME INT_L_X6Y115 TILEPROP INT_L_X6Y115 NUM_ARCS 3737 TILEPROP INT_L_X6Y115 NUM_SITES 1 TILEPROP INT_L_X6Y115 ROW 36 TILEPROP INT_L_X6Y115 SLR_REGION_ID 0 TILEPROP INT_L_X6Y115 TILE_PATTERN_IDX 4882 TILEPROP INT_L_X6Y115 TILE_TYPE INT_L TILEPROP INT_L_X6Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y115 TILE_X -64718 TILEPROP INT_L_X6Y115 TILE_Y 130872 TILEPROP INT_L_X6Y115 TYPE INT_L TILEPROP INT_L_X6Y116 CLASS tile TILEPROP INT_L_X6Y116 COLUMN 21 TILEPROP INT_L_X6Y116 DEVICE_ID 0 TILEPROP INT_L_X6Y116 FIRST_SITE_ID 3456 TILEPROP INT_L_X6Y116 GRID_POINT_X 21 TILEPROP INT_L_X6Y116 GRID_POINT_Y 35 TILEPROP INT_L_X6Y116 INDEX 4046 TILEPROP INT_L_X6Y116 INT_TILE_X 6 TILEPROP INT_L_X6Y116 INT_TILE_Y 33 TILEPROP INT_L_X6Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y116 IS_DCM_TILE 0 TILEPROP INT_L_X6Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y116 NAME INT_L_X6Y116 TILEPROP INT_L_X6Y116 NUM_ARCS 3737 TILEPROP INT_L_X6Y116 NUM_SITES 1 TILEPROP INT_L_X6Y116 ROW 35 TILEPROP INT_L_X6Y116 SLR_REGION_ID 0 TILEPROP INT_L_X6Y116 TILE_PATTERN_IDX 4845 TILEPROP INT_L_X6Y116 TILE_TYPE INT_L TILEPROP INT_L_X6Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y116 TILE_X -64718 TILEPROP INT_L_X6Y116 TILE_Y 134072 TILEPROP INT_L_X6Y116 TYPE INT_L TILEPROP INT_L_X6Y117 CLASS tile TILEPROP INT_L_X6Y117 COLUMN 21 TILEPROP INT_L_X6Y117 DEVICE_ID 0 TILEPROP INT_L_X6Y117 FIRST_SITE_ID 3368 TILEPROP INT_L_X6Y117 GRID_POINT_X 21 TILEPROP INT_L_X6Y117 GRID_POINT_Y 34 TILEPROP INT_L_X6Y117 INDEX 3931 TILEPROP INT_L_X6Y117 INT_TILE_X 6 TILEPROP INT_L_X6Y117 INT_TILE_Y 32 TILEPROP INT_L_X6Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y117 IS_DCM_TILE 0 TILEPROP INT_L_X6Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y117 NAME INT_L_X6Y117 TILEPROP INT_L_X6Y117 NUM_ARCS 3737 TILEPROP INT_L_X6Y117 NUM_SITES 1 TILEPROP INT_L_X6Y117 ROW 34 TILEPROP INT_L_X6Y117 SLR_REGION_ID 0 TILEPROP INT_L_X6Y117 TILE_PATTERN_IDX 4809 TILEPROP INT_L_X6Y117 TILE_TYPE INT_L TILEPROP INT_L_X6Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y117 TILE_X -64718 TILEPROP INT_L_X6Y117 TILE_Y 137272 TILEPROP INT_L_X6Y117 TYPE INT_L TILEPROP INT_L_X6Y118 CLASS tile TILEPROP INT_L_X6Y118 COLUMN 21 TILEPROP INT_L_X6Y118 DEVICE_ID 0 TILEPROP INT_L_X6Y118 FIRST_SITE_ID 3268 TILEPROP INT_L_X6Y118 GRID_POINT_X 21 TILEPROP INT_L_X6Y118 GRID_POINT_Y 33 TILEPROP INT_L_X6Y118 INDEX 3816 TILEPROP INT_L_X6Y118 INT_TILE_X 6 TILEPROP INT_L_X6Y118 INT_TILE_Y 31 TILEPROP INT_L_X6Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y118 IS_DCM_TILE 0 TILEPROP INT_L_X6Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y118 NAME INT_L_X6Y118 TILEPROP INT_L_X6Y118 NUM_ARCS 3737 TILEPROP INT_L_X6Y118 NUM_SITES 1 TILEPROP INT_L_X6Y118 ROW 33 TILEPROP INT_L_X6Y118 SLR_REGION_ID 0 TILEPROP INT_L_X6Y118 TILE_PATTERN_IDX 4771 TILEPROP INT_L_X6Y118 TILE_TYPE INT_L TILEPROP INT_L_X6Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y118 TILE_X -64718 TILEPROP INT_L_X6Y118 TILE_Y 140472 TILEPROP INT_L_X6Y118 TYPE INT_L TILEPROP INT_L_X6Y119 CLASS tile TILEPROP INT_L_X6Y119 COLUMN 21 TILEPROP INT_L_X6Y119 DEVICE_ID 0 TILEPROP INT_L_X6Y119 FIRST_SITE_ID 3180 TILEPROP INT_L_X6Y119 GRID_POINT_X 21 TILEPROP INT_L_X6Y119 GRID_POINT_Y 32 TILEPROP INT_L_X6Y119 INDEX 3701 TILEPROP INT_L_X6Y119 INT_TILE_X 6 TILEPROP INT_L_X6Y119 INT_TILE_Y 30 TILEPROP INT_L_X6Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y119 IS_DCM_TILE 0 TILEPROP INT_L_X6Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y119 NAME INT_L_X6Y119 TILEPROP INT_L_X6Y119 NUM_ARCS 3737 TILEPROP INT_L_X6Y119 NUM_SITES 1 TILEPROP INT_L_X6Y119 ROW 32 TILEPROP INT_L_X6Y119 SLR_REGION_ID 0 TILEPROP INT_L_X6Y119 TILE_PATTERN_IDX 4735 TILEPROP INT_L_X6Y119 TILE_TYPE INT_L TILEPROP INT_L_X6Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y119 TILE_X -64718 TILEPROP INT_L_X6Y119 TILE_Y 143672 TILEPROP INT_L_X6Y119 TYPE INT_L TILEPROP INT_L_X6Y120 CLASS tile TILEPROP INT_L_X6Y120 COLUMN 21 TILEPROP INT_L_X6Y120 DEVICE_ID 0 TILEPROP INT_L_X6Y120 FIRST_SITE_ID 3076 TILEPROP INT_L_X6Y120 GRID_POINT_X 21 TILEPROP INT_L_X6Y120 GRID_POINT_Y 31 TILEPROP INT_L_X6Y120 INDEX 3586 TILEPROP INT_L_X6Y120 INT_TILE_X 6 TILEPROP INT_L_X6Y120 INT_TILE_Y 29 TILEPROP INT_L_X6Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y120 IS_DCM_TILE 0 TILEPROP INT_L_X6Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y120 NAME INT_L_X6Y120 TILEPROP INT_L_X6Y120 NUM_ARCS 3737 TILEPROP INT_L_X6Y120 NUM_SITES 1 TILEPROP INT_L_X6Y120 ROW 31 TILEPROP INT_L_X6Y120 SLR_REGION_ID 0 TILEPROP INT_L_X6Y120 TILE_PATTERN_IDX 4698 TILEPROP INT_L_X6Y120 TILE_TYPE INT_L TILEPROP INT_L_X6Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y120 TILE_X -64718 TILEPROP INT_L_X6Y120 TILE_Y 146872 TILEPROP INT_L_X6Y120 TYPE INT_L TILEPROP INT_L_X6Y121 CLASS tile TILEPROP INT_L_X6Y121 COLUMN 21 TILEPROP INT_L_X6Y121 DEVICE_ID 0 TILEPROP INT_L_X6Y121 FIRST_SITE_ID 2985 TILEPROP INT_L_X6Y121 GRID_POINT_X 21 TILEPROP INT_L_X6Y121 GRID_POINT_Y 30 TILEPROP INT_L_X6Y121 INDEX 3471 TILEPROP INT_L_X6Y121 INT_TILE_X 6 TILEPROP INT_L_X6Y121 INT_TILE_Y 28 TILEPROP INT_L_X6Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y121 IS_DCM_TILE 0 TILEPROP INT_L_X6Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y121 NAME INT_L_X6Y121 TILEPROP INT_L_X6Y121 NUM_ARCS 3737 TILEPROP INT_L_X6Y121 NUM_SITES 1 TILEPROP INT_L_X6Y121 ROW 30 TILEPROP INT_L_X6Y121 SLR_REGION_ID 0 TILEPROP INT_L_X6Y121 TILE_PATTERN_IDX 4662 TILEPROP INT_L_X6Y121 TILE_TYPE INT_L TILEPROP INT_L_X6Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y121 TILE_X -64718 TILEPROP INT_L_X6Y121 TILE_Y 150072 TILEPROP INT_L_X6Y121 TYPE INT_L TILEPROP INT_L_X6Y122 CLASS tile TILEPROP INT_L_X6Y122 COLUMN 21 TILEPROP INT_L_X6Y122 DEVICE_ID 0 TILEPROP INT_L_X6Y122 FIRST_SITE_ID 2882 TILEPROP INT_L_X6Y122 GRID_POINT_X 21 TILEPROP INT_L_X6Y122 GRID_POINT_Y 29 TILEPROP INT_L_X6Y122 INDEX 3356 TILEPROP INT_L_X6Y122 INT_TILE_X 6 TILEPROP INT_L_X6Y122 INT_TILE_Y 27 TILEPROP INT_L_X6Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y122 IS_DCM_TILE 0 TILEPROP INT_L_X6Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y122 NAME INT_L_X6Y122 TILEPROP INT_L_X6Y122 NUM_ARCS 3737 TILEPROP INT_L_X6Y122 NUM_SITES 1 TILEPROP INT_L_X6Y122 ROW 29 TILEPROP INT_L_X6Y122 SLR_REGION_ID 0 TILEPROP INT_L_X6Y122 TILE_PATTERN_IDX 4624 TILEPROP INT_L_X6Y122 TILE_TYPE INT_L TILEPROP INT_L_X6Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y122 TILE_X -64718 TILEPROP INT_L_X6Y122 TILE_Y 153272 TILEPROP INT_L_X6Y122 TYPE INT_L TILEPROP INT_L_X6Y123 CLASS tile TILEPROP INT_L_X6Y123 COLUMN 21 TILEPROP INT_L_X6Y123 DEVICE_ID 0 TILEPROP INT_L_X6Y123 FIRST_SITE_ID 2794 TILEPROP INT_L_X6Y123 GRID_POINT_X 21 TILEPROP INT_L_X6Y123 GRID_POINT_Y 28 TILEPROP INT_L_X6Y123 INDEX 3241 TILEPROP INT_L_X6Y123 INT_TILE_X 6 TILEPROP INT_L_X6Y123 INT_TILE_Y 26 TILEPROP INT_L_X6Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y123 IS_DCM_TILE 0 TILEPROP INT_L_X6Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y123 NAME INT_L_X6Y123 TILEPROP INT_L_X6Y123 NUM_ARCS 3737 TILEPROP INT_L_X6Y123 NUM_SITES 1 TILEPROP INT_L_X6Y123 ROW 28 TILEPROP INT_L_X6Y123 SLR_REGION_ID 0 TILEPROP INT_L_X6Y123 TILE_PATTERN_IDX 4588 TILEPROP INT_L_X6Y123 TILE_TYPE INT_L TILEPROP INT_L_X6Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y123 TILE_X -64718 TILEPROP INT_L_X6Y123 TILE_Y 156472 TILEPROP INT_L_X6Y123 TYPE INT_L TILEPROP INT_L_X6Y124 CLASS tile TILEPROP INT_L_X6Y124 COLUMN 21 TILEPROP INT_L_X6Y124 DEVICE_ID 0 TILEPROP INT_L_X6Y124 FIRST_SITE_ID 2698 TILEPROP INT_L_X6Y124 GRID_POINT_X 21 TILEPROP INT_L_X6Y124 GRID_POINT_Y 27 TILEPROP INT_L_X6Y124 INDEX 3126 TILEPROP INT_L_X6Y124 INT_TILE_X 6 TILEPROP INT_L_X6Y124 INT_TILE_Y 25 TILEPROP INT_L_X6Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y124 IS_DCM_TILE 0 TILEPROP INT_L_X6Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y124 NAME INT_L_X6Y124 TILEPROP INT_L_X6Y124 NUM_ARCS 3737 TILEPROP INT_L_X6Y124 NUM_SITES 1 TILEPROP INT_L_X6Y124 ROW 27 TILEPROP INT_L_X6Y124 SLR_REGION_ID 0 TILEPROP INT_L_X6Y124 TILE_PATTERN_IDX 4551 TILEPROP INT_L_X6Y124 TILE_TYPE INT_L TILEPROP INT_L_X6Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y124 TILE_X -64718 TILEPROP INT_L_X6Y124 TILE_Y 159672 TILEPROP INT_L_X6Y124 TYPE INT_L TILEPROP INT_L_X6Y125 CLASS tile TILEPROP INT_L_X6Y125 COLUMN 21 TILEPROP INT_L_X6Y125 DEVICE_ID 0 TILEPROP INT_L_X6Y125 FIRST_SITE_ID 2522 TILEPROP INT_L_X6Y125 GRID_POINT_X 21 TILEPROP INT_L_X6Y125 GRID_POINT_Y 25 TILEPROP INT_L_X6Y125 INDEX 2896 TILEPROP INT_L_X6Y125 INT_TILE_X 6 TILEPROP INT_L_X6Y125 INT_TILE_Y 24 TILEPROP INT_L_X6Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y125 IS_DCM_TILE 0 TILEPROP INT_L_X6Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y125 NAME INT_L_X6Y125 TILEPROP INT_L_X6Y125 NUM_ARCS 3737 TILEPROP INT_L_X6Y125 NUM_SITES 1 TILEPROP INT_L_X6Y125 ROW 25 TILEPROP INT_L_X6Y125 SLR_REGION_ID 0 TILEPROP INT_L_X6Y125 TILE_PATTERN_IDX 4472 TILEPROP INT_L_X6Y125 TILE_TYPE INT_L TILEPROP INT_L_X6Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y125 TILE_X -64718 TILEPROP INT_L_X6Y125 TILE_Y 163896 TILEPROP INT_L_X6Y125 TYPE INT_L TILEPROP INT_L_X6Y126 CLASS tile TILEPROP INT_L_X6Y126 COLUMN 21 TILEPROP INT_L_X6Y126 DEVICE_ID 0 TILEPROP INT_L_X6Y126 FIRST_SITE_ID 2415 TILEPROP INT_L_X6Y126 GRID_POINT_X 21 TILEPROP INT_L_X6Y126 GRID_POINT_Y 24 TILEPROP INT_L_X6Y126 INDEX 2781 TILEPROP INT_L_X6Y126 INT_TILE_X 6 TILEPROP INT_L_X6Y126 INT_TILE_Y 23 TILEPROP INT_L_X6Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y126 IS_DCM_TILE 0 TILEPROP INT_L_X6Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y126 NAME INT_L_X6Y126 TILEPROP INT_L_X6Y126 NUM_ARCS 3737 TILEPROP INT_L_X6Y126 NUM_SITES 1 TILEPROP INT_L_X6Y126 ROW 24 TILEPROP INT_L_X6Y126 SLR_REGION_ID 0 TILEPROP INT_L_X6Y126 TILE_PATTERN_IDX 4433 TILEPROP INT_L_X6Y126 TILE_TYPE INT_L TILEPROP INT_L_X6Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y126 TILE_X -64718 TILEPROP INT_L_X6Y126 TILE_Y 167096 TILEPROP INT_L_X6Y126 TYPE INT_L TILEPROP INT_L_X6Y127 CLASS tile TILEPROP INT_L_X6Y127 COLUMN 21 TILEPROP INT_L_X6Y127 DEVICE_ID 0 TILEPROP INT_L_X6Y127 FIRST_SITE_ID 2319 TILEPROP INT_L_X6Y127 GRID_POINT_X 21 TILEPROP INT_L_X6Y127 GRID_POINT_Y 23 TILEPROP INT_L_X6Y127 INDEX 2666 TILEPROP INT_L_X6Y127 INT_TILE_X 6 TILEPROP INT_L_X6Y127 INT_TILE_Y 22 TILEPROP INT_L_X6Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y127 IS_DCM_TILE 0 TILEPROP INT_L_X6Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y127 NAME INT_L_X6Y127 TILEPROP INT_L_X6Y127 NUM_ARCS 3737 TILEPROP INT_L_X6Y127 NUM_SITES 1 TILEPROP INT_L_X6Y127 ROW 23 TILEPROP INT_L_X6Y127 SLR_REGION_ID 0 TILEPROP INT_L_X6Y127 TILE_PATTERN_IDX 4395 TILEPROP INT_L_X6Y127 TILE_TYPE INT_L TILEPROP INT_L_X6Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y127 TILE_X -64718 TILEPROP INT_L_X6Y127 TILE_Y 170296 TILEPROP INT_L_X6Y127 TYPE INT_L TILEPROP INT_L_X6Y128 CLASS tile TILEPROP INT_L_X6Y128 COLUMN 21 TILEPROP INT_L_X6Y128 DEVICE_ID 0 TILEPROP INT_L_X6Y128 FIRST_SITE_ID 2215 TILEPROP INT_L_X6Y128 GRID_POINT_X 21 TILEPROP INT_L_X6Y128 GRID_POINT_Y 22 TILEPROP INT_L_X6Y128 INDEX 2551 TILEPROP INT_L_X6Y128 INT_TILE_X 6 TILEPROP INT_L_X6Y128 INT_TILE_Y 21 TILEPROP INT_L_X6Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y128 IS_DCM_TILE 0 TILEPROP INT_L_X6Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y128 NAME INT_L_X6Y128 TILEPROP INT_L_X6Y128 NUM_ARCS 3737 TILEPROP INT_L_X6Y128 NUM_SITES 1 TILEPROP INT_L_X6Y128 ROW 22 TILEPROP INT_L_X6Y128 SLR_REGION_ID 0 TILEPROP INT_L_X6Y128 TILE_PATTERN_IDX 4356 TILEPROP INT_L_X6Y128 TILE_TYPE INT_L TILEPROP INT_L_X6Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y128 TILE_X -64718 TILEPROP INT_L_X6Y128 TILE_Y 173496 TILEPROP INT_L_X6Y128 TYPE INT_L TILEPROP INT_L_X6Y129 CLASS tile TILEPROP INT_L_X6Y129 COLUMN 21 TILEPROP INT_L_X6Y129 DEVICE_ID 0 TILEPROP INT_L_X6Y129 FIRST_SITE_ID 2119 TILEPROP INT_L_X6Y129 GRID_POINT_X 21 TILEPROP INT_L_X6Y129 GRID_POINT_Y 21 TILEPROP INT_L_X6Y129 INDEX 2436 TILEPROP INT_L_X6Y129 INT_TILE_X 6 TILEPROP INT_L_X6Y129 INT_TILE_Y 20 TILEPROP INT_L_X6Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y129 IS_DCM_TILE 0 TILEPROP INT_L_X6Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y129 NAME INT_L_X6Y129 TILEPROP INT_L_X6Y129 NUM_ARCS 3737 TILEPROP INT_L_X6Y129 NUM_SITES 1 TILEPROP INT_L_X6Y129 ROW 21 TILEPROP INT_L_X6Y129 SLR_REGION_ID 0 TILEPROP INT_L_X6Y129 TILE_PATTERN_IDX 4318 TILEPROP INT_L_X6Y129 TILE_TYPE INT_L TILEPROP INT_L_X6Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y129 TILE_X -64718 TILEPROP INT_L_X6Y129 TILE_Y 176696 TILEPROP INT_L_X6Y129 TYPE INT_L TILEPROP INT_L_X6Y130 CLASS tile TILEPROP INT_L_X6Y130 COLUMN 21 TILEPROP INT_L_X6Y130 DEVICE_ID 0 TILEPROP INT_L_X6Y130 FIRST_SITE_ID 2000 TILEPROP INT_L_X6Y130 GRID_POINT_X 21 TILEPROP INT_L_X6Y130 GRID_POINT_Y 20 TILEPROP INT_L_X6Y130 INDEX 2321 TILEPROP INT_L_X6Y130 INT_TILE_X 6 TILEPROP INT_L_X6Y130 INT_TILE_Y 19 TILEPROP INT_L_X6Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y130 IS_DCM_TILE 0 TILEPROP INT_L_X6Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y130 NAME INT_L_X6Y130 TILEPROP INT_L_X6Y130 NUM_ARCS 3737 TILEPROP INT_L_X6Y130 NUM_SITES 1 TILEPROP INT_L_X6Y130 ROW 20 TILEPROP INT_L_X6Y130 SLR_REGION_ID 0 TILEPROP INT_L_X6Y130 TILE_PATTERN_IDX 4278 TILEPROP INT_L_X6Y130 TILE_TYPE INT_L TILEPROP INT_L_X6Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y130 TILE_X -64718 TILEPROP INT_L_X6Y130 TILE_Y 179896 TILEPROP INT_L_X6Y130 TYPE INT_L TILEPROP INT_L_X6Y131 CLASS tile TILEPROP INT_L_X6Y131 COLUMN 21 TILEPROP INT_L_X6Y131 DEVICE_ID 0 TILEPROP INT_L_X6Y131 FIRST_SITE_ID 1901 TILEPROP INT_L_X6Y131 GRID_POINT_X 21 TILEPROP INT_L_X6Y131 GRID_POINT_Y 19 TILEPROP INT_L_X6Y131 INDEX 2206 TILEPROP INT_L_X6Y131 INT_TILE_X 6 TILEPROP INT_L_X6Y131 INT_TILE_Y 18 TILEPROP INT_L_X6Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y131 IS_DCM_TILE 0 TILEPROP INT_L_X6Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y131 NAME INT_L_X6Y131 TILEPROP INT_L_X6Y131 NUM_ARCS 3737 TILEPROP INT_L_X6Y131 NUM_SITES 1 TILEPROP INT_L_X6Y131 ROW 19 TILEPROP INT_L_X6Y131 SLR_REGION_ID 0 TILEPROP INT_L_X6Y131 TILE_PATTERN_IDX 4240 TILEPROP INT_L_X6Y131 TILE_TYPE INT_L TILEPROP INT_L_X6Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y131 TILE_X -64718 TILEPROP INT_L_X6Y131 TILE_Y 183096 TILEPROP INT_L_X6Y131 TYPE INT_L TILEPROP INT_L_X6Y132 CLASS tile TILEPROP INT_L_X6Y132 COLUMN 21 TILEPROP INT_L_X6Y132 DEVICE_ID 0 TILEPROP INT_L_X6Y132 FIRST_SITE_ID 1795 TILEPROP INT_L_X6Y132 GRID_POINT_X 21 TILEPROP INT_L_X6Y132 GRID_POINT_Y 18 TILEPROP INT_L_X6Y132 INDEX 2091 TILEPROP INT_L_X6Y132 INT_TILE_X 6 TILEPROP INT_L_X6Y132 INT_TILE_Y 17 TILEPROP INT_L_X6Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y132 IS_DCM_TILE 0 TILEPROP INT_L_X6Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y132 NAME INT_L_X6Y132 TILEPROP INT_L_X6Y132 NUM_ARCS 3737 TILEPROP INT_L_X6Y132 NUM_SITES 1 TILEPROP INT_L_X6Y132 ROW 18 TILEPROP INT_L_X6Y132 SLR_REGION_ID 0 TILEPROP INT_L_X6Y132 TILE_PATTERN_IDX 4201 TILEPROP INT_L_X6Y132 TILE_TYPE INT_L TILEPROP INT_L_X6Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y132 TILE_X -64718 TILEPROP INT_L_X6Y132 TILE_Y 186296 TILEPROP INT_L_X6Y132 TYPE INT_L TILEPROP INT_L_X6Y133 CLASS tile TILEPROP INT_L_X6Y133 COLUMN 21 TILEPROP INT_L_X6Y133 DEVICE_ID 0 TILEPROP INT_L_X6Y133 FIRST_SITE_ID 1694 TILEPROP INT_L_X6Y133 GRID_POINT_X 21 TILEPROP INT_L_X6Y133 GRID_POINT_Y 17 TILEPROP INT_L_X6Y133 INDEX 1976 TILEPROP INT_L_X6Y133 INT_TILE_X 6 TILEPROP INT_L_X6Y133 INT_TILE_Y 16 TILEPROP INT_L_X6Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y133 IS_DCM_TILE 0 TILEPROP INT_L_X6Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y133 NAME INT_L_X6Y133 TILEPROP INT_L_X6Y133 NUM_ARCS 3737 TILEPROP INT_L_X6Y133 NUM_SITES 1 TILEPROP INT_L_X6Y133 ROW 17 TILEPROP INT_L_X6Y133 SLR_REGION_ID 0 TILEPROP INT_L_X6Y133 TILE_PATTERN_IDX 4163 TILEPROP INT_L_X6Y133 TILE_TYPE INT_L TILEPROP INT_L_X6Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y133 TILE_X -64718 TILEPROP INT_L_X6Y133 TILE_Y 189496 TILEPROP INT_L_X6Y133 TYPE INT_L TILEPROP INT_L_X6Y134 CLASS tile TILEPROP INT_L_X6Y134 COLUMN 21 TILEPROP INT_L_X6Y134 DEVICE_ID 0 TILEPROP INT_L_X6Y134 FIRST_SITE_ID 1590 TILEPROP INT_L_X6Y134 GRID_POINT_X 21 TILEPROP INT_L_X6Y134 GRID_POINT_Y 16 TILEPROP INT_L_X6Y134 INDEX 1861 TILEPROP INT_L_X6Y134 INT_TILE_X 6 TILEPROP INT_L_X6Y134 INT_TILE_Y 15 TILEPROP INT_L_X6Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y134 IS_DCM_TILE 0 TILEPROP INT_L_X6Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y134 NAME INT_L_X6Y134 TILEPROP INT_L_X6Y134 NUM_ARCS 3737 TILEPROP INT_L_X6Y134 NUM_SITES 1 TILEPROP INT_L_X6Y134 ROW 16 TILEPROP INT_L_X6Y134 SLR_REGION_ID 0 TILEPROP INT_L_X6Y134 TILE_PATTERN_IDX 4124 TILEPROP INT_L_X6Y134 TILE_TYPE INT_L TILEPROP INT_L_X6Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y134 TILE_X -64718 TILEPROP INT_L_X6Y134 TILE_Y 192696 TILEPROP INT_L_X6Y134 TYPE INT_L TILEPROP INT_L_X6Y135 CLASS tile TILEPROP INT_L_X6Y135 COLUMN 21 TILEPROP INT_L_X6Y135 DEVICE_ID 0 TILEPROP INT_L_X6Y135 FIRST_SITE_ID 1485 TILEPROP INT_L_X6Y135 GRID_POINT_X 21 TILEPROP INT_L_X6Y135 GRID_POINT_Y 15 TILEPROP INT_L_X6Y135 INDEX 1746 TILEPROP INT_L_X6Y135 INT_TILE_X 6 TILEPROP INT_L_X6Y135 INT_TILE_Y 14 TILEPROP INT_L_X6Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y135 IS_DCM_TILE 0 TILEPROP INT_L_X6Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y135 NAME INT_L_X6Y135 TILEPROP INT_L_X6Y135 NUM_ARCS 3737 TILEPROP INT_L_X6Y135 NUM_SITES 1 TILEPROP INT_L_X6Y135 ROW 15 TILEPROP INT_L_X6Y135 SLR_REGION_ID 0 TILEPROP INT_L_X6Y135 TILE_PATTERN_IDX 4086 TILEPROP INT_L_X6Y135 TILE_TYPE INT_L TILEPROP INT_L_X6Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y135 TILE_X -64718 TILEPROP INT_L_X6Y135 TILE_Y 195896 TILEPROP INT_L_X6Y135 TYPE INT_L TILEPROP INT_L_X6Y136 CLASS tile TILEPROP INT_L_X6Y136 COLUMN 21 TILEPROP INT_L_X6Y136 DEVICE_ID 0 TILEPROP INT_L_X6Y136 FIRST_SITE_ID 1346 TILEPROP INT_L_X6Y136 GRID_POINT_X 21 TILEPROP INT_L_X6Y136 GRID_POINT_Y 14 TILEPROP INT_L_X6Y136 INDEX 1631 TILEPROP INT_L_X6Y136 INT_TILE_X 6 TILEPROP INT_L_X6Y136 INT_TILE_Y 13 TILEPROP INT_L_X6Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y136 IS_DCM_TILE 0 TILEPROP INT_L_X6Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y136 NAME INT_L_X6Y136 TILEPROP INT_L_X6Y136 NUM_ARCS 3737 TILEPROP INT_L_X6Y136 NUM_SITES 1 TILEPROP INT_L_X6Y136 ROW 14 TILEPROP INT_L_X6Y136 SLR_REGION_ID 0 TILEPROP INT_L_X6Y136 TILE_PATTERN_IDX 4047 TILEPROP INT_L_X6Y136 TILE_TYPE INT_L TILEPROP INT_L_X6Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y136 TILE_X -64718 TILEPROP INT_L_X6Y136 TILE_Y 199096 TILEPROP INT_L_X6Y136 TYPE INT_L TILEPROP INT_L_X6Y137 CLASS tile TILEPROP INT_L_X6Y137 COLUMN 21 TILEPROP INT_L_X6Y137 DEVICE_ID 0 TILEPROP INT_L_X6Y137 FIRST_SITE_ID 1250 TILEPROP INT_L_X6Y137 GRID_POINT_X 21 TILEPROP INT_L_X6Y137 GRID_POINT_Y 13 TILEPROP INT_L_X6Y137 INDEX 1516 TILEPROP INT_L_X6Y137 INT_TILE_X 6 TILEPROP INT_L_X6Y137 INT_TILE_Y 12 TILEPROP INT_L_X6Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y137 IS_DCM_TILE 0 TILEPROP INT_L_X6Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y137 NAME INT_L_X6Y137 TILEPROP INT_L_X6Y137 NUM_ARCS 3737 TILEPROP INT_L_X6Y137 NUM_SITES 1 TILEPROP INT_L_X6Y137 ROW 13 TILEPROP INT_L_X6Y137 SLR_REGION_ID 0 TILEPROP INT_L_X6Y137 TILE_PATTERN_IDX 4009 TILEPROP INT_L_X6Y137 TILE_TYPE INT_L TILEPROP INT_L_X6Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y137 TILE_X -64718 TILEPROP INT_L_X6Y137 TILE_Y 202296 TILEPROP INT_L_X6Y137 TYPE INT_L TILEPROP INT_L_X6Y138 CLASS tile TILEPROP INT_L_X6Y138 COLUMN 21 TILEPROP INT_L_X6Y138 DEVICE_ID 0 TILEPROP INT_L_X6Y138 FIRST_SITE_ID 1146 TILEPROP INT_L_X6Y138 GRID_POINT_X 21 TILEPROP INT_L_X6Y138 GRID_POINT_Y 12 TILEPROP INT_L_X6Y138 INDEX 1401 TILEPROP INT_L_X6Y138 INT_TILE_X 6 TILEPROP INT_L_X6Y138 INT_TILE_Y 11 TILEPROP INT_L_X6Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y138 IS_DCM_TILE 0 TILEPROP INT_L_X6Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y138 NAME INT_L_X6Y138 TILEPROP INT_L_X6Y138 NUM_ARCS 3737 TILEPROP INT_L_X6Y138 NUM_SITES 1 TILEPROP INT_L_X6Y138 ROW 12 TILEPROP INT_L_X6Y138 SLR_REGION_ID 0 TILEPROP INT_L_X6Y138 TILE_PATTERN_IDX 3970 TILEPROP INT_L_X6Y138 TILE_TYPE INT_L TILEPROP INT_L_X6Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y138 TILE_X -64718 TILEPROP INT_L_X6Y138 TILE_Y 205496 TILEPROP INT_L_X6Y138 TYPE INT_L TILEPROP INT_L_X6Y139 CLASS tile TILEPROP INT_L_X6Y139 COLUMN 21 TILEPROP INT_L_X6Y139 DEVICE_ID 0 TILEPROP INT_L_X6Y139 FIRST_SITE_ID 1050 TILEPROP INT_L_X6Y139 GRID_POINT_X 21 TILEPROP INT_L_X6Y139 GRID_POINT_Y 11 TILEPROP INT_L_X6Y139 INDEX 1286 TILEPROP INT_L_X6Y139 INT_TILE_X 6 TILEPROP INT_L_X6Y139 INT_TILE_Y 10 TILEPROP INT_L_X6Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y139 IS_DCM_TILE 0 TILEPROP INT_L_X6Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y139 NAME INT_L_X6Y139 TILEPROP INT_L_X6Y139 NUM_ARCS 3737 TILEPROP INT_L_X6Y139 NUM_SITES 1 TILEPROP INT_L_X6Y139 ROW 11 TILEPROP INT_L_X6Y139 SLR_REGION_ID 0 TILEPROP INT_L_X6Y139 TILE_PATTERN_IDX 3932 TILEPROP INT_L_X6Y139 TILE_TYPE INT_L TILEPROP INT_L_X6Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y139 TILE_X -64718 TILEPROP INT_L_X6Y139 TILE_Y 208696 TILEPROP INT_L_X6Y139 TYPE INT_L TILEPROP INT_L_X6Y140 CLASS tile TILEPROP INT_L_X6Y140 COLUMN 21 TILEPROP INT_L_X6Y140 DEVICE_ID 0 TILEPROP INT_L_X6Y140 FIRST_SITE_ID 937 TILEPROP INT_L_X6Y140 GRID_POINT_X 21 TILEPROP INT_L_X6Y140 GRID_POINT_Y 10 TILEPROP INT_L_X6Y140 INDEX 1171 TILEPROP INT_L_X6Y140 INT_TILE_X 6 TILEPROP INT_L_X6Y140 INT_TILE_Y 9 TILEPROP INT_L_X6Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y140 IS_DCM_TILE 0 TILEPROP INT_L_X6Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y140 NAME INT_L_X6Y140 TILEPROP INT_L_X6Y140 NUM_ARCS 3737 TILEPROP INT_L_X6Y140 NUM_SITES 1 TILEPROP INT_L_X6Y140 ROW 10 TILEPROP INT_L_X6Y140 SLR_REGION_ID 0 TILEPROP INT_L_X6Y140 TILE_PATTERN_IDX 3893 TILEPROP INT_L_X6Y140 TILE_TYPE INT_L TILEPROP INT_L_X6Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y140 TILE_X -64718 TILEPROP INT_L_X6Y140 TILE_Y 211896 TILEPROP INT_L_X6Y140 TYPE INT_L TILEPROP INT_L_X6Y141 CLASS tile TILEPROP INT_L_X6Y141 COLUMN 21 TILEPROP INT_L_X6Y141 DEVICE_ID 0 TILEPROP INT_L_X6Y141 FIRST_SITE_ID 838 TILEPROP INT_L_X6Y141 GRID_POINT_X 21 TILEPROP INT_L_X6Y141 GRID_POINT_Y 9 TILEPROP INT_L_X6Y141 INDEX 1056 TILEPROP INT_L_X6Y141 INT_TILE_X 6 TILEPROP INT_L_X6Y141 INT_TILE_Y 8 TILEPROP INT_L_X6Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y141 IS_DCM_TILE 0 TILEPROP INT_L_X6Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y141 NAME INT_L_X6Y141 TILEPROP INT_L_X6Y141 NUM_ARCS 3737 TILEPROP INT_L_X6Y141 NUM_SITES 1 TILEPROP INT_L_X6Y141 ROW 9 TILEPROP INT_L_X6Y141 SLR_REGION_ID 0 TILEPROP INT_L_X6Y141 TILE_PATTERN_IDX 3855 TILEPROP INT_L_X6Y141 TILE_TYPE INT_L TILEPROP INT_L_X6Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y141 TILE_X -64718 TILEPROP INT_L_X6Y141 TILE_Y 215096 TILEPROP INT_L_X6Y141 TYPE INT_L TILEPROP INT_L_X6Y142 CLASS tile TILEPROP INT_L_X6Y142 COLUMN 21 TILEPROP INT_L_X6Y142 DEVICE_ID 0 TILEPROP INT_L_X6Y142 FIRST_SITE_ID 734 TILEPROP INT_L_X6Y142 GRID_POINT_X 21 TILEPROP INT_L_X6Y142 GRID_POINT_Y 8 TILEPROP INT_L_X6Y142 INDEX 941 TILEPROP INT_L_X6Y142 INT_TILE_X 6 TILEPROP INT_L_X6Y142 INT_TILE_Y 7 TILEPROP INT_L_X6Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y142 IS_DCM_TILE 0 TILEPROP INT_L_X6Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y142 NAME INT_L_X6Y142 TILEPROP INT_L_X6Y142 NUM_ARCS 3737 TILEPROP INT_L_X6Y142 NUM_SITES 1 TILEPROP INT_L_X6Y142 ROW 8 TILEPROP INT_L_X6Y142 SLR_REGION_ID 0 TILEPROP INT_L_X6Y142 TILE_PATTERN_IDX 3816 TILEPROP INT_L_X6Y142 TILE_TYPE INT_L TILEPROP INT_L_X6Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y142 TILE_X -64718 TILEPROP INT_L_X6Y142 TILE_Y 218296 TILEPROP INT_L_X6Y142 TYPE INT_L TILEPROP INT_L_X6Y143 CLASS tile TILEPROP INT_L_X6Y143 COLUMN 21 TILEPROP INT_L_X6Y143 DEVICE_ID 0 TILEPROP INT_L_X6Y143 FIRST_SITE_ID 637 TILEPROP INT_L_X6Y143 GRID_POINT_X 21 TILEPROP INT_L_X6Y143 GRID_POINT_Y 7 TILEPROP INT_L_X6Y143 INDEX 826 TILEPROP INT_L_X6Y143 INT_TILE_X 6 TILEPROP INT_L_X6Y143 INT_TILE_Y 6 TILEPROP INT_L_X6Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y143 IS_DCM_TILE 0 TILEPROP INT_L_X6Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y143 NAME INT_L_X6Y143 TILEPROP INT_L_X6Y143 NUM_ARCS 3737 TILEPROP INT_L_X6Y143 NUM_SITES 1 TILEPROP INT_L_X6Y143 ROW 7 TILEPROP INT_L_X6Y143 SLR_REGION_ID 0 TILEPROP INT_L_X6Y143 TILE_PATTERN_IDX 3778 TILEPROP INT_L_X6Y143 TILE_TYPE INT_L TILEPROP INT_L_X6Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y143 TILE_X -64718 TILEPROP INT_L_X6Y143 TILE_Y 221496 TILEPROP INT_L_X6Y143 TYPE INT_L TILEPROP INT_L_X6Y144 CLASS tile TILEPROP INT_L_X6Y144 COLUMN 21 TILEPROP INT_L_X6Y144 DEVICE_ID 0 TILEPROP INT_L_X6Y144 FIRST_SITE_ID 526 TILEPROP INT_L_X6Y144 GRID_POINT_X 21 TILEPROP INT_L_X6Y144 GRID_POINT_Y 6 TILEPROP INT_L_X6Y144 INDEX 711 TILEPROP INT_L_X6Y144 INT_TILE_X 6 TILEPROP INT_L_X6Y144 INT_TILE_Y 5 TILEPROP INT_L_X6Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y144 IS_DCM_TILE 0 TILEPROP INT_L_X6Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y144 NAME INT_L_X6Y144 TILEPROP INT_L_X6Y144 NUM_ARCS 3737 TILEPROP INT_L_X6Y144 NUM_SITES 1 TILEPROP INT_L_X6Y144 ROW 6 TILEPROP INT_L_X6Y144 SLR_REGION_ID 0 TILEPROP INT_L_X6Y144 TILE_PATTERN_IDX 3739 TILEPROP INT_L_X6Y144 TILE_TYPE INT_L TILEPROP INT_L_X6Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y144 TILE_X -64718 TILEPROP INT_L_X6Y144 TILE_Y 224696 TILEPROP INT_L_X6Y144 TYPE INT_L TILEPROP INT_L_X6Y145 CLASS tile TILEPROP INT_L_X6Y145 COLUMN 21 TILEPROP INT_L_X6Y145 DEVICE_ID 0 TILEPROP INT_L_X6Y145 FIRST_SITE_ID 421 TILEPROP INT_L_X6Y145 GRID_POINT_X 21 TILEPROP INT_L_X6Y145 GRID_POINT_Y 5 TILEPROP INT_L_X6Y145 INDEX 596 TILEPROP INT_L_X6Y145 INT_TILE_X 6 TILEPROP INT_L_X6Y145 INT_TILE_Y 4 TILEPROP INT_L_X6Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y145 IS_DCM_TILE 0 TILEPROP INT_L_X6Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y145 NAME INT_L_X6Y145 TILEPROP INT_L_X6Y145 NUM_ARCS 3737 TILEPROP INT_L_X6Y145 NUM_SITES 1 TILEPROP INT_L_X6Y145 ROW 5 TILEPROP INT_L_X6Y145 SLR_REGION_ID 0 TILEPROP INT_L_X6Y145 TILE_PATTERN_IDX 3701 TILEPROP INT_L_X6Y145 TILE_TYPE INT_L TILEPROP INT_L_X6Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y145 TILE_X -64718 TILEPROP INT_L_X6Y145 TILE_Y 227896 TILEPROP INT_L_X6Y145 TYPE INT_L TILEPROP INT_L_X6Y146 CLASS tile TILEPROP INT_L_X6Y146 COLUMN 21 TILEPROP INT_L_X6Y146 DEVICE_ID 0 TILEPROP INT_L_X6Y146 FIRST_SITE_ID 314 TILEPROP INT_L_X6Y146 GRID_POINT_X 21 TILEPROP INT_L_X6Y146 GRID_POINT_Y 4 TILEPROP INT_L_X6Y146 INDEX 481 TILEPROP INT_L_X6Y146 INT_TILE_X 6 TILEPROP INT_L_X6Y146 INT_TILE_Y 3 TILEPROP INT_L_X6Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y146 IS_DCM_TILE 0 TILEPROP INT_L_X6Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y146 NAME INT_L_X6Y146 TILEPROP INT_L_X6Y146 NUM_ARCS 3737 TILEPROP INT_L_X6Y146 NUM_SITES 1 TILEPROP INT_L_X6Y146 ROW 4 TILEPROP INT_L_X6Y146 SLR_REGION_ID 0 TILEPROP INT_L_X6Y146 TILE_PATTERN_IDX 3662 TILEPROP INT_L_X6Y146 TILE_TYPE INT_L TILEPROP INT_L_X6Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y146 TILE_X -64718 TILEPROP INT_L_X6Y146 TILE_Y 231096 TILEPROP INT_L_X6Y146 TYPE INT_L TILEPROP INT_L_X6Y147 CLASS tile TILEPROP INT_L_X6Y147 COLUMN 21 TILEPROP INT_L_X6Y147 DEVICE_ID 0 TILEPROP INT_L_X6Y147 FIRST_SITE_ID 218 TILEPROP INT_L_X6Y147 GRID_POINT_X 21 TILEPROP INT_L_X6Y147 GRID_POINT_Y 3 TILEPROP INT_L_X6Y147 INDEX 366 TILEPROP INT_L_X6Y147 INT_TILE_X 6 TILEPROP INT_L_X6Y147 INT_TILE_Y 2 TILEPROP INT_L_X6Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y147 IS_DCM_TILE 0 TILEPROP INT_L_X6Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y147 NAME INT_L_X6Y147 TILEPROP INT_L_X6Y147 NUM_ARCS 3737 TILEPROP INT_L_X6Y147 NUM_SITES 1 TILEPROP INT_L_X6Y147 ROW 3 TILEPROP INT_L_X6Y147 SLR_REGION_ID 0 TILEPROP INT_L_X6Y147 TILE_PATTERN_IDX 3624 TILEPROP INT_L_X6Y147 TILE_TYPE INT_L TILEPROP INT_L_X6Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y147 TILE_X -64718 TILEPROP INT_L_X6Y147 TILE_Y 234296 TILEPROP INT_L_X6Y147 TYPE INT_L TILEPROP INT_L_X6Y148 CLASS tile TILEPROP INT_L_X6Y148 COLUMN 21 TILEPROP INT_L_X6Y148 DEVICE_ID 0 TILEPROP INT_L_X6Y148 FIRST_SITE_ID 114 TILEPROP INT_L_X6Y148 GRID_POINT_X 21 TILEPROP INT_L_X6Y148 GRID_POINT_Y 2 TILEPROP INT_L_X6Y148 INDEX 251 TILEPROP INT_L_X6Y148 INT_TILE_X 6 TILEPROP INT_L_X6Y148 INT_TILE_Y 1 TILEPROP INT_L_X6Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y148 IS_DCM_TILE 0 TILEPROP INT_L_X6Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y148 NAME INT_L_X6Y148 TILEPROP INT_L_X6Y148 NUM_ARCS 3737 TILEPROP INT_L_X6Y148 NUM_SITES 1 TILEPROP INT_L_X6Y148 ROW 2 TILEPROP INT_L_X6Y148 SLR_REGION_ID 0 TILEPROP INT_L_X6Y148 TILE_PATTERN_IDX 3585 TILEPROP INT_L_X6Y148 TILE_TYPE INT_L TILEPROP INT_L_X6Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y148 TILE_X -64718 TILEPROP INT_L_X6Y148 TILE_Y 237496 TILEPROP INT_L_X6Y148 TYPE INT_L TILEPROP INT_L_X6Y149 CLASS tile TILEPROP INT_L_X6Y149 COLUMN 21 TILEPROP INT_L_X6Y149 DEVICE_ID 0 TILEPROP INT_L_X6Y149 FIRST_SITE_ID 18 TILEPROP INT_L_X6Y149 GRID_POINT_X 21 TILEPROP INT_L_X6Y149 GRID_POINT_Y 1 TILEPROP INT_L_X6Y149 INDEX 136 TILEPROP INT_L_X6Y149 INT_TILE_X 6 TILEPROP INT_L_X6Y149 INT_TILE_Y 0 TILEPROP INT_L_X6Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X6Y149 IS_DCM_TILE 0 TILEPROP INT_L_X6Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X6Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X6Y149 NAME INT_L_X6Y149 TILEPROP INT_L_X6Y149 NUM_ARCS 3737 TILEPROP INT_L_X6Y149 NUM_SITES 1 TILEPROP INT_L_X6Y149 ROW 1 TILEPROP INT_L_X6Y149 SLR_REGION_ID 0 TILEPROP INT_L_X6Y149 TILE_PATTERN_IDX 3547 TILEPROP INT_L_X6Y149 TILE_TYPE INT_L TILEPROP INT_L_X6Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X6Y149 TILE_X -64718 TILEPROP INT_L_X6Y149 TILE_Y 240696 TILEPROP INT_L_X6Y149 TYPE INT_L TILEPROP INT_L_X8Y0 CLASS tile TILEPROP INT_L_X8Y0 COLUMN 25 TILEPROP INT_L_X8Y0 DEVICE_ID 0 TILEPROP INT_L_X8Y0 FIRST_SITE_ID 15729 TILEPROP INT_L_X8Y0 GRID_POINT_X 25 TILEPROP INT_L_X8Y0 GRID_POINT_Y 155 TILEPROP INT_L_X8Y0 INDEX 17850 TILEPROP INT_L_X8Y0 INT_TILE_X 8 TILEPROP INT_L_X8Y0 INT_TILE_Y 149 TILEPROP INT_L_X8Y0 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y0 IS_DCM_TILE 0 TILEPROP INT_L_X8Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y0 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y0 NAME INT_L_X8Y0 TILEPROP INT_L_X8Y0 NUM_ARCS 3737 TILEPROP INT_L_X8Y0 NUM_SITES 1 TILEPROP INT_L_X8Y0 ROW 155 TILEPROP INT_L_X8Y0 SLR_REGION_ID 0 TILEPROP INT_L_X8Y0 TILE_PATTERN_IDX 8741 TILEPROP INT_L_X8Y0 TILE_TYPE INT_L TILEPROP INT_L_X8Y0 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y0 TILE_X -56414 TILEPROP INT_L_X8Y0 TILE_Y -239672 TILEPROP INT_L_X8Y0 TYPE INT_L TILEPROP INT_L_X8Y1 CLASS tile TILEPROP INT_L_X8Y1 COLUMN 25 TILEPROP INT_L_X8Y1 DEVICE_ID 0 TILEPROP INT_L_X8Y1 FIRST_SITE_ID 15621 TILEPROP INT_L_X8Y1 GRID_POINT_X 25 TILEPROP INT_L_X8Y1 GRID_POINT_Y 154 TILEPROP INT_L_X8Y1 INDEX 17735 TILEPROP INT_L_X8Y1 INT_TILE_X 8 TILEPROP INT_L_X8Y1 INT_TILE_Y 148 TILEPROP INT_L_X8Y1 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y1 IS_DCM_TILE 0 TILEPROP INT_L_X8Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y1 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y1 NAME INT_L_X8Y1 TILEPROP INT_L_X8Y1 NUM_ARCS 3737 TILEPROP INT_L_X8Y1 NUM_SITES 1 TILEPROP INT_L_X8Y1 ROW 154 TILEPROP INT_L_X8Y1 SLR_REGION_ID 0 TILEPROP INT_L_X8Y1 TILE_PATTERN_IDX 8710 TILEPROP INT_L_X8Y1 TILE_TYPE INT_L TILEPROP INT_L_X8Y1 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y1 TILE_X -56414 TILEPROP INT_L_X8Y1 TILE_Y -236472 TILEPROP INT_L_X8Y1 TYPE INT_L TILEPROP INT_L_X8Y2 CLASS tile TILEPROP INT_L_X8Y2 COLUMN 25 TILEPROP INT_L_X8Y2 DEVICE_ID 0 TILEPROP INT_L_X8Y2 FIRST_SITE_ID 15521 TILEPROP INT_L_X8Y2 GRID_POINT_X 25 TILEPROP INT_L_X8Y2 GRID_POINT_Y 153 TILEPROP INT_L_X8Y2 INDEX 17620 TILEPROP INT_L_X8Y2 INT_TILE_X 8 TILEPROP INT_L_X8Y2 INT_TILE_Y 147 TILEPROP INT_L_X8Y2 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y2 IS_DCM_TILE 0 TILEPROP INT_L_X8Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y2 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y2 NAME INT_L_X8Y2 TILEPROP INT_L_X8Y2 NUM_ARCS 3737 TILEPROP INT_L_X8Y2 NUM_SITES 1 TILEPROP INT_L_X8Y2 ROW 153 TILEPROP INT_L_X8Y2 SLR_REGION_ID 0 TILEPROP INT_L_X8Y2 TILE_PATTERN_IDX 8680 TILEPROP INT_L_X8Y2 TILE_TYPE INT_L TILEPROP INT_L_X8Y2 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y2 TILE_X -56414 TILEPROP INT_L_X8Y2 TILE_Y -233272 TILEPROP INT_L_X8Y2 TYPE INT_L TILEPROP INT_L_X8Y3 CLASS tile TILEPROP INT_L_X8Y3 COLUMN 25 TILEPROP INT_L_X8Y3 DEVICE_ID 0 TILEPROP INT_L_X8Y3 FIRST_SITE_ID 15421 TILEPROP INT_L_X8Y3 GRID_POINT_X 25 TILEPROP INT_L_X8Y3 GRID_POINT_Y 152 TILEPROP INT_L_X8Y3 INDEX 17505 TILEPROP INT_L_X8Y3 INT_TILE_X 8 TILEPROP INT_L_X8Y3 INT_TILE_Y 146 TILEPROP INT_L_X8Y3 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y3 IS_DCM_TILE 0 TILEPROP INT_L_X8Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y3 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y3 NAME INT_L_X8Y3 TILEPROP INT_L_X8Y3 NUM_ARCS 3737 TILEPROP INT_L_X8Y3 NUM_SITES 1 TILEPROP INT_L_X8Y3 ROW 152 TILEPROP INT_L_X8Y3 SLR_REGION_ID 0 TILEPROP INT_L_X8Y3 TILE_PATTERN_IDX 8649 TILEPROP INT_L_X8Y3 TILE_TYPE INT_L TILEPROP INT_L_X8Y3 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y3 TILE_X -56414 TILEPROP INT_L_X8Y3 TILE_Y -230072 TILEPROP INT_L_X8Y3 TYPE INT_L TILEPROP INT_L_X8Y4 CLASS tile TILEPROP INT_L_X8Y4 COLUMN 25 TILEPROP INT_L_X8Y4 DEVICE_ID 0 TILEPROP INT_L_X8Y4 FIRST_SITE_ID 15321 TILEPROP INT_L_X8Y4 GRID_POINT_X 25 TILEPROP INT_L_X8Y4 GRID_POINT_Y 151 TILEPROP INT_L_X8Y4 INDEX 17390 TILEPROP INT_L_X8Y4 INT_TILE_X 8 TILEPROP INT_L_X8Y4 INT_TILE_Y 145 TILEPROP INT_L_X8Y4 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y4 IS_DCM_TILE 0 TILEPROP INT_L_X8Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y4 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y4 NAME INT_L_X8Y4 TILEPROP INT_L_X8Y4 NUM_ARCS 3737 TILEPROP INT_L_X8Y4 NUM_SITES 1 TILEPROP INT_L_X8Y4 ROW 151 TILEPROP INT_L_X8Y4 SLR_REGION_ID 0 TILEPROP INT_L_X8Y4 TILE_PATTERN_IDX 8619 TILEPROP INT_L_X8Y4 TILE_TYPE INT_L TILEPROP INT_L_X8Y4 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y4 TILE_X -56414 TILEPROP INT_L_X8Y4 TILE_Y -226872 TILEPROP INT_L_X8Y4 TYPE INT_L TILEPROP INT_L_X8Y5 CLASS tile TILEPROP INT_L_X8Y5 COLUMN 25 TILEPROP INT_L_X8Y5 DEVICE_ID 0 TILEPROP INT_L_X8Y5 FIRST_SITE_ID 15209 TILEPROP INT_L_X8Y5 GRID_POINT_X 25 TILEPROP INT_L_X8Y5 GRID_POINT_Y 150 TILEPROP INT_L_X8Y5 INDEX 17275 TILEPROP INT_L_X8Y5 INT_TILE_X 8 TILEPROP INT_L_X8Y5 INT_TILE_Y 144 TILEPROP INT_L_X8Y5 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y5 IS_DCM_TILE 0 TILEPROP INT_L_X8Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y5 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y5 NAME INT_L_X8Y5 TILEPROP INT_L_X8Y5 NUM_ARCS 3737 TILEPROP INT_L_X8Y5 NUM_SITES 1 TILEPROP INT_L_X8Y5 ROW 150 TILEPROP INT_L_X8Y5 SLR_REGION_ID 0 TILEPROP INT_L_X8Y5 TILE_PATTERN_IDX 8588 TILEPROP INT_L_X8Y5 TILE_TYPE INT_L TILEPROP INT_L_X8Y5 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y5 TILE_X -56414 TILEPROP INT_L_X8Y5 TILE_Y -223672 TILEPROP INT_L_X8Y5 TYPE INT_L TILEPROP INT_L_X8Y6 CLASS tile TILEPROP INT_L_X8Y6 COLUMN 25 TILEPROP INT_L_X8Y6 DEVICE_ID 0 TILEPROP INT_L_X8Y6 FIRST_SITE_ID 15106 TILEPROP INT_L_X8Y6 GRID_POINT_X 25 TILEPROP INT_L_X8Y6 GRID_POINT_Y 149 TILEPROP INT_L_X8Y6 INDEX 17160 TILEPROP INT_L_X8Y6 INT_TILE_X 8 TILEPROP INT_L_X8Y6 INT_TILE_Y 143 TILEPROP INT_L_X8Y6 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y6 IS_DCM_TILE 0 TILEPROP INT_L_X8Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y6 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y6 NAME INT_L_X8Y6 TILEPROP INT_L_X8Y6 NUM_ARCS 3737 TILEPROP INT_L_X8Y6 NUM_SITES 1 TILEPROP INT_L_X8Y6 ROW 149 TILEPROP INT_L_X8Y6 SLR_REGION_ID 0 TILEPROP INT_L_X8Y6 TILE_PATTERN_IDX 8558 TILEPROP INT_L_X8Y6 TILE_TYPE INT_L TILEPROP INT_L_X8Y6 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y6 TILE_X -56414 TILEPROP INT_L_X8Y6 TILE_Y -220472 TILEPROP INT_L_X8Y6 TYPE INT_L TILEPROP INT_L_X8Y7 CLASS tile TILEPROP INT_L_X8Y7 COLUMN 25 TILEPROP INT_L_X8Y7 DEVICE_ID 0 TILEPROP INT_L_X8Y7 FIRST_SITE_ID 15004 TILEPROP INT_L_X8Y7 GRID_POINT_X 25 TILEPROP INT_L_X8Y7 GRID_POINT_Y 148 TILEPROP INT_L_X8Y7 INDEX 17045 TILEPROP INT_L_X8Y7 INT_TILE_X 8 TILEPROP INT_L_X8Y7 INT_TILE_Y 142 TILEPROP INT_L_X8Y7 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y7 IS_DCM_TILE 0 TILEPROP INT_L_X8Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y7 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y7 NAME INT_L_X8Y7 TILEPROP INT_L_X8Y7 NUM_ARCS 3737 TILEPROP INT_L_X8Y7 NUM_SITES 1 TILEPROP INT_L_X8Y7 ROW 148 TILEPROP INT_L_X8Y7 SLR_REGION_ID 0 TILEPROP INT_L_X8Y7 TILE_PATTERN_IDX 8527 TILEPROP INT_L_X8Y7 TILE_TYPE INT_L TILEPROP INT_L_X8Y7 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y7 TILE_X -56414 TILEPROP INT_L_X8Y7 TILE_Y -217272 TILEPROP INT_L_X8Y7 TYPE INT_L TILEPROP INT_L_X8Y8 CLASS tile TILEPROP INT_L_X8Y8 COLUMN 25 TILEPROP INT_L_X8Y8 DEVICE_ID 0 TILEPROP INT_L_X8Y8 FIRST_SITE_ID 14901 TILEPROP INT_L_X8Y8 GRID_POINT_X 25 TILEPROP INT_L_X8Y8 GRID_POINT_Y 147 TILEPROP INT_L_X8Y8 INDEX 16930 TILEPROP INT_L_X8Y8 INT_TILE_X 8 TILEPROP INT_L_X8Y8 INT_TILE_Y 141 TILEPROP INT_L_X8Y8 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y8 IS_DCM_TILE 0 TILEPROP INT_L_X8Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y8 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y8 NAME INT_L_X8Y8 TILEPROP INT_L_X8Y8 NUM_ARCS 3737 TILEPROP INT_L_X8Y8 NUM_SITES 1 TILEPROP INT_L_X8Y8 ROW 147 TILEPROP INT_L_X8Y8 SLR_REGION_ID 0 TILEPROP INT_L_X8Y8 TILE_PATTERN_IDX 8497 TILEPROP INT_L_X8Y8 TILE_TYPE INT_L TILEPROP INT_L_X8Y8 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y8 TILE_X -56414 TILEPROP INT_L_X8Y8 TILE_Y -214072 TILEPROP INT_L_X8Y8 TYPE INT_L TILEPROP INT_L_X8Y9 CLASS tile TILEPROP INT_L_X8Y9 COLUMN 25 TILEPROP INT_L_X8Y9 DEVICE_ID 0 TILEPROP INT_L_X8Y9 FIRST_SITE_ID 14800 TILEPROP INT_L_X8Y9 GRID_POINT_X 25 TILEPROP INT_L_X8Y9 GRID_POINT_Y 146 TILEPROP INT_L_X8Y9 INDEX 16815 TILEPROP INT_L_X8Y9 INT_TILE_X 8 TILEPROP INT_L_X8Y9 INT_TILE_Y 140 TILEPROP INT_L_X8Y9 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y9 IS_DCM_TILE 0 TILEPROP INT_L_X8Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y9 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y9 NAME INT_L_X8Y9 TILEPROP INT_L_X8Y9 NUM_ARCS 3737 TILEPROP INT_L_X8Y9 NUM_SITES 1 TILEPROP INT_L_X8Y9 ROW 146 TILEPROP INT_L_X8Y9 SLR_REGION_ID 0 TILEPROP INT_L_X8Y9 TILE_PATTERN_IDX 8466 TILEPROP INT_L_X8Y9 TILE_TYPE INT_L TILEPROP INT_L_X8Y9 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y9 TILE_X -56414 TILEPROP INT_L_X8Y9 TILE_Y -210872 TILEPROP INT_L_X8Y9 TYPE INT_L TILEPROP INT_L_X8Y10 CLASS tile TILEPROP INT_L_X8Y10 COLUMN 25 TILEPROP INT_L_X8Y10 DEVICE_ID 0 TILEPROP INT_L_X8Y10 FIRST_SITE_ID 14688 TILEPROP INT_L_X8Y10 GRID_POINT_X 25 TILEPROP INT_L_X8Y10 GRID_POINT_Y 145 TILEPROP INT_L_X8Y10 INDEX 16700 TILEPROP INT_L_X8Y10 INT_TILE_X 8 TILEPROP INT_L_X8Y10 INT_TILE_Y 139 TILEPROP INT_L_X8Y10 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y10 IS_DCM_TILE 0 TILEPROP INT_L_X8Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y10 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y10 NAME INT_L_X8Y10 TILEPROP INT_L_X8Y10 NUM_ARCS 3737 TILEPROP INT_L_X8Y10 NUM_SITES 1 TILEPROP INT_L_X8Y10 ROW 145 TILEPROP INT_L_X8Y10 SLR_REGION_ID 0 TILEPROP INT_L_X8Y10 TILE_PATTERN_IDX 8436 TILEPROP INT_L_X8Y10 TILE_TYPE INT_L TILEPROP INT_L_X8Y10 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y10 TILE_X -56414 TILEPROP INT_L_X8Y10 TILE_Y -207672 TILEPROP INT_L_X8Y10 TYPE INT_L TILEPROP INT_L_X8Y11 CLASS tile TILEPROP INT_L_X8Y11 COLUMN 25 TILEPROP INT_L_X8Y11 DEVICE_ID 0 TILEPROP INT_L_X8Y11 FIRST_SITE_ID 14585 TILEPROP INT_L_X8Y11 GRID_POINT_X 25 TILEPROP INT_L_X8Y11 GRID_POINT_Y 144 TILEPROP INT_L_X8Y11 INDEX 16585 TILEPROP INT_L_X8Y11 INT_TILE_X 8 TILEPROP INT_L_X8Y11 INT_TILE_Y 138 TILEPROP INT_L_X8Y11 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y11 IS_DCM_TILE 0 TILEPROP INT_L_X8Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y11 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y11 NAME INT_L_X8Y11 TILEPROP INT_L_X8Y11 NUM_ARCS 3737 TILEPROP INT_L_X8Y11 NUM_SITES 1 TILEPROP INT_L_X8Y11 ROW 144 TILEPROP INT_L_X8Y11 SLR_REGION_ID 0 TILEPROP INT_L_X8Y11 TILE_PATTERN_IDX 8405 TILEPROP INT_L_X8Y11 TILE_TYPE INT_L TILEPROP INT_L_X8Y11 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y11 TILE_X -56414 TILEPROP INT_L_X8Y11 TILE_Y -204472 TILEPROP INT_L_X8Y11 TYPE INT_L TILEPROP INT_L_X8Y12 CLASS tile TILEPROP INT_L_X8Y12 COLUMN 25 TILEPROP INT_L_X8Y12 DEVICE_ID 0 TILEPROP INT_L_X8Y12 FIRST_SITE_ID 14453 TILEPROP INT_L_X8Y12 GRID_POINT_X 25 TILEPROP INT_L_X8Y12 GRID_POINT_Y 143 TILEPROP INT_L_X8Y12 INDEX 16470 TILEPROP INT_L_X8Y12 INT_TILE_X 8 TILEPROP INT_L_X8Y12 INT_TILE_Y 137 TILEPROP INT_L_X8Y12 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y12 IS_DCM_TILE 0 TILEPROP INT_L_X8Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y12 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y12 NAME INT_L_X8Y12 TILEPROP INT_L_X8Y12 NUM_ARCS 3737 TILEPROP INT_L_X8Y12 NUM_SITES 1 TILEPROP INT_L_X8Y12 ROW 143 TILEPROP INT_L_X8Y12 SLR_REGION_ID 0 TILEPROP INT_L_X8Y12 TILE_PATTERN_IDX 8375 TILEPROP INT_L_X8Y12 TILE_TYPE INT_L TILEPROP INT_L_X8Y12 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y12 TILE_X -56414 TILEPROP INT_L_X8Y12 TILE_Y -201272 TILEPROP INT_L_X8Y12 TYPE INT_L TILEPROP INT_L_X8Y13 CLASS tile TILEPROP INT_L_X8Y13 COLUMN 25 TILEPROP INT_L_X8Y13 DEVICE_ID 0 TILEPROP INT_L_X8Y13 FIRST_SITE_ID 14353 TILEPROP INT_L_X8Y13 GRID_POINT_X 25 TILEPROP INT_L_X8Y13 GRID_POINT_Y 142 TILEPROP INT_L_X8Y13 INDEX 16355 TILEPROP INT_L_X8Y13 INT_TILE_X 8 TILEPROP INT_L_X8Y13 INT_TILE_Y 136 TILEPROP INT_L_X8Y13 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y13 IS_DCM_TILE 0 TILEPROP INT_L_X8Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y13 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y13 NAME INT_L_X8Y13 TILEPROP INT_L_X8Y13 NUM_ARCS 3737 TILEPROP INT_L_X8Y13 NUM_SITES 1 TILEPROP INT_L_X8Y13 ROW 142 TILEPROP INT_L_X8Y13 SLR_REGION_ID 0 TILEPROP INT_L_X8Y13 TILE_PATTERN_IDX 8344 TILEPROP INT_L_X8Y13 TILE_TYPE INT_L TILEPROP INT_L_X8Y13 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y13 TILE_X -56414 TILEPROP INT_L_X8Y13 TILE_Y -198072 TILEPROP INT_L_X8Y13 TYPE INT_L TILEPROP INT_L_X8Y14 CLASS tile TILEPROP INT_L_X8Y14 COLUMN 25 TILEPROP INT_L_X8Y14 DEVICE_ID 0 TILEPROP INT_L_X8Y14 FIRST_SITE_ID 14253 TILEPROP INT_L_X8Y14 GRID_POINT_X 25 TILEPROP INT_L_X8Y14 GRID_POINT_Y 141 TILEPROP INT_L_X8Y14 INDEX 16240 TILEPROP INT_L_X8Y14 INT_TILE_X 8 TILEPROP INT_L_X8Y14 INT_TILE_Y 135 TILEPROP INT_L_X8Y14 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y14 IS_DCM_TILE 0 TILEPROP INT_L_X8Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y14 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y14 NAME INT_L_X8Y14 TILEPROP INT_L_X8Y14 NUM_ARCS 3737 TILEPROP INT_L_X8Y14 NUM_SITES 1 TILEPROP INT_L_X8Y14 ROW 141 TILEPROP INT_L_X8Y14 SLR_REGION_ID 0 TILEPROP INT_L_X8Y14 TILE_PATTERN_IDX 8314 TILEPROP INT_L_X8Y14 TILE_TYPE INT_L TILEPROP INT_L_X8Y14 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y14 TILE_X -56414 TILEPROP INT_L_X8Y14 TILE_Y -194872 TILEPROP INT_L_X8Y14 TYPE INT_L TILEPROP INT_L_X8Y15 CLASS tile TILEPROP INT_L_X8Y15 COLUMN 25 TILEPROP INT_L_X8Y15 DEVICE_ID 0 TILEPROP INT_L_X8Y15 FIRST_SITE_ID 14141 TILEPROP INT_L_X8Y15 GRID_POINT_X 25 TILEPROP INT_L_X8Y15 GRID_POINT_Y 140 TILEPROP INT_L_X8Y15 INDEX 16125 TILEPROP INT_L_X8Y15 INT_TILE_X 8 TILEPROP INT_L_X8Y15 INT_TILE_Y 134 TILEPROP INT_L_X8Y15 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y15 IS_DCM_TILE 0 TILEPROP INT_L_X8Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y15 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y15 NAME INT_L_X8Y15 TILEPROP INT_L_X8Y15 NUM_ARCS 3737 TILEPROP INT_L_X8Y15 NUM_SITES 1 TILEPROP INT_L_X8Y15 ROW 140 TILEPROP INT_L_X8Y15 SLR_REGION_ID 0 TILEPROP INT_L_X8Y15 TILE_PATTERN_IDX 8283 TILEPROP INT_L_X8Y15 TILE_TYPE INT_L TILEPROP INT_L_X8Y15 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y15 TILE_X -56414 TILEPROP INT_L_X8Y15 TILE_Y -191672 TILEPROP INT_L_X8Y15 TYPE INT_L TILEPROP INT_L_X8Y16 CLASS tile TILEPROP INT_L_X8Y16 COLUMN 25 TILEPROP INT_L_X8Y16 DEVICE_ID 0 TILEPROP INT_L_X8Y16 FIRST_SITE_ID 14038 TILEPROP INT_L_X8Y16 GRID_POINT_X 25 TILEPROP INT_L_X8Y16 GRID_POINT_Y 139 TILEPROP INT_L_X8Y16 INDEX 16010 TILEPROP INT_L_X8Y16 INT_TILE_X 8 TILEPROP INT_L_X8Y16 INT_TILE_Y 133 TILEPROP INT_L_X8Y16 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y16 IS_DCM_TILE 0 TILEPROP INT_L_X8Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y16 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y16 NAME INT_L_X8Y16 TILEPROP INT_L_X8Y16 NUM_ARCS 3737 TILEPROP INT_L_X8Y16 NUM_SITES 1 TILEPROP INT_L_X8Y16 ROW 139 TILEPROP INT_L_X8Y16 SLR_REGION_ID 0 TILEPROP INT_L_X8Y16 TILE_PATTERN_IDX 8253 TILEPROP INT_L_X8Y16 TILE_TYPE INT_L TILEPROP INT_L_X8Y16 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y16 TILE_X -56414 TILEPROP INT_L_X8Y16 TILE_Y -188472 TILEPROP INT_L_X8Y16 TYPE INT_L TILEPROP INT_L_X8Y17 CLASS tile TILEPROP INT_L_X8Y17 COLUMN 25 TILEPROP INT_L_X8Y17 DEVICE_ID 0 TILEPROP INT_L_X8Y17 FIRST_SITE_ID 13933 TILEPROP INT_L_X8Y17 GRID_POINT_X 25 TILEPROP INT_L_X8Y17 GRID_POINT_Y 138 TILEPROP INT_L_X8Y17 INDEX 15895 TILEPROP INT_L_X8Y17 INT_TILE_X 8 TILEPROP INT_L_X8Y17 INT_TILE_Y 132 TILEPROP INT_L_X8Y17 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y17 IS_DCM_TILE 0 TILEPROP INT_L_X8Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y17 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y17 NAME INT_L_X8Y17 TILEPROP INT_L_X8Y17 NUM_ARCS 3737 TILEPROP INT_L_X8Y17 NUM_SITES 1 TILEPROP INT_L_X8Y17 ROW 138 TILEPROP INT_L_X8Y17 SLR_REGION_ID 0 TILEPROP INT_L_X8Y17 TILE_PATTERN_IDX 8221 TILEPROP INT_L_X8Y17 TILE_TYPE INT_L TILEPROP INT_L_X8Y17 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y17 TILE_X -56414 TILEPROP INT_L_X8Y17 TILE_Y -185272 TILEPROP INT_L_X8Y17 TYPE INT_L TILEPROP INT_L_X8Y18 CLASS tile TILEPROP INT_L_X8Y18 COLUMN 25 TILEPROP INT_L_X8Y18 DEVICE_ID 0 TILEPROP INT_L_X8Y18 FIRST_SITE_ID 13829 TILEPROP INT_L_X8Y18 GRID_POINT_X 25 TILEPROP INT_L_X8Y18 GRID_POINT_Y 137 TILEPROP INT_L_X8Y18 INDEX 15780 TILEPROP INT_L_X8Y18 INT_TILE_X 8 TILEPROP INT_L_X8Y18 INT_TILE_Y 131 TILEPROP INT_L_X8Y18 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y18 IS_DCM_TILE 0 TILEPROP INT_L_X8Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y18 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y18 NAME INT_L_X8Y18 TILEPROP INT_L_X8Y18 NUM_ARCS 3737 TILEPROP INT_L_X8Y18 NUM_SITES 1 TILEPROP INT_L_X8Y18 ROW 137 TILEPROP INT_L_X8Y18 SLR_REGION_ID 0 TILEPROP INT_L_X8Y18 TILE_PATTERN_IDX 8198 TILEPROP INT_L_X8Y18 TILE_TYPE INT_L TILEPROP INT_L_X8Y18 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y18 TILE_X -56414 TILEPROP INT_L_X8Y18 TILE_Y -182072 TILEPROP INT_L_X8Y18 TYPE INT_L TILEPROP INT_L_X8Y19 CLASS tile TILEPROP INT_L_X8Y19 COLUMN 25 TILEPROP INT_L_X8Y19 DEVICE_ID 0 TILEPROP INT_L_X8Y19 FIRST_SITE_ID 13727 TILEPROP INT_L_X8Y19 GRID_POINT_X 25 TILEPROP INT_L_X8Y19 GRID_POINT_Y 136 TILEPROP INT_L_X8Y19 INDEX 15665 TILEPROP INT_L_X8Y19 INT_TILE_X 8 TILEPROP INT_L_X8Y19 INT_TILE_Y 130 TILEPROP INT_L_X8Y19 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y19 IS_DCM_TILE 0 TILEPROP INT_L_X8Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y19 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y19 NAME INT_L_X8Y19 TILEPROP INT_L_X8Y19 NUM_ARCS 3737 TILEPROP INT_L_X8Y19 NUM_SITES 1 TILEPROP INT_L_X8Y19 ROW 136 TILEPROP INT_L_X8Y19 SLR_REGION_ID 0 TILEPROP INT_L_X8Y19 TILE_PATTERN_IDX 8175 TILEPROP INT_L_X8Y19 TILE_TYPE INT_L TILEPROP INT_L_X8Y19 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y19 TILE_X -56414 TILEPROP INT_L_X8Y19 TILE_Y -178872 TILEPROP INT_L_X8Y19 TYPE INT_L TILEPROP INT_L_X8Y20 CLASS tile TILEPROP INT_L_X8Y20 COLUMN 25 TILEPROP INT_L_X8Y20 DEVICE_ID 0 TILEPROP INT_L_X8Y20 FIRST_SITE_ID 13613 TILEPROP INT_L_X8Y20 GRID_POINT_X 25 TILEPROP INT_L_X8Y20 GRID_POINT_Y 135 TILEPROP INT_L_X8Y20 INDEX 15550 TILEPROP INT_L_X8Y20 INT_TILE_X 8 TILEPROP INT_L_X8Y20 INT_TILE_Y 129 TILEPROP INT_L_X8Y20 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y20 IS_DCM_TILE 0 TILEPROP INT_L_X8Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y20 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y20 NAME INT_L_X8Y20 TILEPROP INT_L_X8Y20 NUM_ARCS 3737 TILEPROP INT_L_X8Y20 NUM_SITES 1 TILEPROP INT_L_X8Y20 ROW 135 TILEPROP INT_L_X8Y20 SLR_REGION_ID 0 TILEPROP INT_L_X8Y20 TILE_PATTERN_IDX 8153 TILEPROP INT_L_X8Y20 TILE_TYPE INT_L TILEPROP INT_L_X8Y20 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y20 TILE_X -56414 TILEPROP INT_L_X8Y20 TILE_Y -175672 TILEPROP INT_L_X8Y20 TYPE INT_L TILEPROP INT_L_X8Y21 CLASS tile TILEPROP INT_L_X8Y21 COLUMN 25 TILEPROP INT_L_X8Y21 DEVICE_ID 0 TILEPROP INT_L_X8Y21 FIRST_SITE_ID 13510 TILEPROP INT_L_X8Y21 GRID_POINT_X 25 TILEPROP INT_L_X8Y21 GRID_POINT_Y 134 TILEPROP INT_L_X8Y21 INDEX 15435 TILEPROP INT_L_X8Y21 INT_TILE_X 8 TILEPROP INT_L_X8Y21 INT_TILE_Y 128 TILEPROP INT_L_X8Y21 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y21 IS_DCM_TILE 0 TILEPROP INT_L_X8Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y21 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y21 NAME INT_L_X8Y21 TILEPROP INT_L_X8Y21 NUM_ARCS 3737 TILEPROP INT_L_X8Y21 NUM_SITES 1 TILEPROP INT_L_X8Y21 ROW 134 TILEPROP INT_L_X8Y21 SLR_REGION_ID 0 TILEPROP INT_L_X8Y21 TILE_PATTERN_IDX 8124 TILEPROP INT_L_X8Y21 TILE_TYPE INT_L TILEPROP INT_L_X8Y21 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y21 TILE_X -56414 TILEPROP INT_L_X8Y21 TILE_Y -172472 TILEPROP INT_L_X8Y21 TYPE INT_L TILEPROP INT_L_X8Y22 CLASS tile TILEPROP INT_L_X8Y22 COLUMN 25 TILEPROP INT_L_X8Y22 DEVICE_ID 0 TILEPROP INT_L_X8Y22 FIRST_SITE_ID 13410 TILEPROP INT_L_X8Y22 GRID_POINT_X 25 TILEPROP INT_L_X8Y22 GRID_POINT_Y 133 TILEPROP INT_L_X8Y22 INDEX 15320 TILEPROP INT_L_X8Y22 INT_TILE_X 8 TILEPROP INT_L_X8Y22 INT_TILE_Y 127 TILEPROP INT_L_X8Y22 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y22 IS_DCM_TILE 0 TILEPROP INT_L_X8Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y22 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y22 NAME INT_L_X8Y22 TILEPROP INT_L_X8Y22 NUM_ARCS 3737 TILEPROP INT_L_X8Y22 NUM_SITES 1 TILEPROP INT_L_X8Y22 ROW 133 TILEPROP INT_L_X8Y22 SLR_REGION_ID 0 TILEPROP INT_L_X8Y22 TILE_PATTERN_IDX 8096 TILEPROP INT_L_X8Y22 TILE_TYPE INT_L TILEPROP INT_L_X8Y22 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y22 TILE_X -56414 TILEPROP INT_L_X8Y22 TILE_Y -169272 TILEPROP INT_L_X8Y22 TYPE INT_L TILEPROP INT_L_X8Y23 CLASS tile TILEPROP INT_L_X8Y23 COLUMN 25 TILEPROP INT_L_X8Y23 DEVICE_ID 0 TILEPROP INT_L_X8Y23 FIRST_SITE_ID 13310 TILEPROP INT_L_X8Y23 GRID_POINT_X 25 TILEPROP INT_L_X8Y23 GRID_POINT_Y 132 TILEPROP INT_L_X8Y23 INDEX 15205 TILEPROP INT_L_X8Y23 INT_TILE_X 8 TILEPROP INT_L_X8Y23 INT_TILE_Y 126 TILEPROP INT_L_X8Y23 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y23 IS_DCM_TILE 0 TILEPROP INT_L_X8Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y23 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y23 NAME INT_L_X8Y23 TILEPROP INT_L_X8Y23 NUM_ARCS 3737 TILEPROP INT_L_X8Y23 NUM_SITES 1 TILEPROP INT_L_X8Y23 ROW 132 TILEPROP INT_L_X8Y23 SLR_REGION_ID 0 TILEPROP INT_L_X8Y23 TILE_PATTERN_IDX 8067 TILEPROP INT_L_X8Y23 TILE_TYPE INT_L TILEPROP INT_L_X8Y23 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y23 TILE_X -56414 TILEPROP INT_L_X8Y23 TILE_Y -166072 TILEPROP INT_L_X8Y23 TYPE INT_L TILEPROP INT_L_X8Y24 CLASS tile TILEPROP INT_L_X8Y24 COLUMN 25 TILEPROP INT_L_X8Y24 DEVICE_ID 0 TILEPROP INT_L_X8Y24 FIRST_SITE_ID 13210 TILEPROP INT_L_X8Y24 GRID_POINT_X 25 TILEPROP INT_L_X8Y24 GRID_POINT_Y 131 TILEPROP INT_L_X8Y24 INDEX 15090 TILEPROP INT_L_X8Y24 INT_TILE_X 8 TILEPROP INT_L_X8Y24 INT_TILE_Y 125 TILEPROP INT_L_X8Y24 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y24 IS_DCM_TILE 0 TILEPROP INT_L_X8Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y24 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y24 NAME INT_L_X8Y24 TILEPROP INT_L_X8Y24 NUM_ARCS 3737 TILEPROP INT_L_X8Y24 NUM_SITES 1 TILEPROP INT_L_X8Y24 ROW 131 TILEPROP INT_L_X8Y24 SLR_REGION_ID 0 TILEPROP INT_L_X8Y24 TILE_PATTERN_IDX 8039 TILEPROP INT_L_X8Y24 TILE_TYPE INT_L TILEPROP INT_L_X8Y24 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y24 TILE_X -56414 TILEPROP INT_L_X8Y24 TILE_Y -162872 TILEPROP INT_L_X8Y24 TYPE INT_L TILEPROP INT_L_X8Y25 CLASS tile TILEPROP INT_L_X8Y25 COLUMN 25 TILEPROP INT_L_X8Y25 DEVICE_ID 0 TILEPROP INT_L_X8Y25 FIRST_SITE_ID 13015 TILEPROP INT_L_X8Y25 GRID_POINT_X 25 TILEPROP INT_L_X8Y25 GRID_POINT_Y 129 TILEPROP INT_L_X8Y25 INDEX 14860 TILEPROP INT_L_X8Y25 INT_TILE_X 8 TILEPROP INT_L_X8Y25 INT_TILE_Y 124 TILEPROP INT_L_X8Y25 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y25 IS_DCM_TILE 0 TILEPROP INT_L_X8Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y25 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y25 NAME INT_L_X8Y25 TILEPROP INT_L_X8Y25 NUM_ARCS 3737 TILEPROP INT_L_X8Y25 NUM_SITES 1 TILEPROP INT_L_X8Y25 ROW 129 TILEPROP INT_L_X8Y25 SLR_REGION_ID 0 TILEPROP INT_L_X8Y25 TILE_PATTERN_IDX 7967 TILEPROP INT_L_X8Y25 TILE_TYPE INT_L TILEPROP INT_L_X8Y25 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y25 TILE_X -56414 TILEPROP INT_L_X8Y25 TILE_Y -158648 TILEPROP INT_L_X8Y25 TYPE INT_L TILEPROP INT_L_X8Y26 CLASS tile TILEPROP INT_L_X8Y26 COLUMN 25 TILEPROP INT_L_X8Y26 DEVICE_ID 0 TILEPROP INT_L_X8Y26 FIRST_SITE_ID 12912 TILEPROP INT_L_X8Y26 GRID_POINT_X 25 TILEPROP INT_L_X8Y26 GRID_POINT_Y 128 TILEPROP INT_L_X8Y26 INDEX 14745 TILEPROP INT_L_X8Y26 INT_TILE_X 8 TILEPROP INT_L_X8Y26 INT_TILE_Y 123 TILEPROP INT_L_X8Y26 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y26 IS_DCM_TILE 0 TILEPROP INT_L_X8Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y26 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y26 NAME INT_L_X8Y26 TILEPROP INT_L_X8Y26 NUM_ARCS 3737 TILEPROP INT_L_X8Y26 NUM_SITES 1 TILEPROP INT_L_X8Y26 ROW 128 TILEPROP INT_L_X8Y26 SLR_REGION_ID 0 TILEPROP INT_L_X8Y26 TILE_PATTERN_IDX 7939 TILEPROP INT_L_X8Y26 TILE_TYPE INT_L TILEPROP INT_L_X8Y26 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y26 TILE_X -56414 TILEPROP INT_L_X8Y26 TILE_Y -155448 TILEPROP INT_L_X8Y26 TYPE INT_L TILEPROP INT_L_X8Y27 CLASS tile TILEPROP INT_L_X8Y27 COLUMN 25 TILEPROP INT_L_X8Y27 DEVICE_ID 0 TILEPROP INT_L_X8Y27 FIRST_SITE_ID 12812 TILEPROP INT_L_X8Y27 GRID_POINT_X 25 TILEPROP INT_L_X8Y27 GRID_POINT_Y 127 TILEPROP INT_L_X8Y27 INDEX 14630 TILEPROP INT_L_X8Y27 INT_TILE_X 8 TILEPROP INT_L_X8Y27 INT_TILE_Y 122 TILEPROP INT_L_X8Y27 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y27 IS_DCM_TILE 0 TILEPROP INT_L_X8Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y27 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y27 NAME INT_L_X8Y27 TILEPROP INT_L_X8Y27 NUM_ARCS 3737 TILEPROP INT_L_X8Y27 NUM_SITES 1 TILEPROP INT_L_X8Y27 ROW 127 TILEPROP INT_L_X8Y27 SLR_REGION_ID 0 TILEPROP INT_L_X8Y27 TILE_PATTERN_IDX 7910 TILEPROP INT_L_X8Y27 TILE_TYPE INT_L TILEPROP INT_L_X8Y27 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y27 TILE_X -56414 TILEPROP INT_L_X8Y27 TILE_Y -152248 TILEPROP INT_L_X8Y27 TYPE INT_L TILEPROP INT_L_X8Y28 CLASS tile TILEPROP INT_L_X8Y28 COLUMN 25 TILEPROP INT_L_X8Y28 DEVICE_ID 0 TILEPROP INT_L_X8Y28 FIRST_SITE_ID 12712 TILEPROP INT_L_X8Y28 GRID_POINT_X 25 TILEPROP INT_L_X8Y28 GRID_POINT_Y 126 TILEPROP INT_L_X8Y28 INDEX 14515 TILEPROP INT_L_X8Y28 INT_TILE_X 8 TILEPROP INT_L_X8Y28 INT_TILE_Y 121 TILEPROP INT_L_X8Y28 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y28 IS_DCM_TILE 0 TILEPROP INT_L_X8Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y28 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y28 NAME INT_L_X8Y28 TILEPROP INT_L_X8Y28 NUM_ARCS 3737 TILEPROP INT_L_X8Y28 NUM_SITES 1 TILEPROP INT_L_X8Y28 ROW 126 TILEPROP INT_L_X8Y28 SLR_REGION_ID 0 TILEPROP INT_L_X8Y28 TILE_PATTERN_IDX 7882 TILEPROP INT_L_X8Y28 TILE_TYPE INT_L TILEPROP INT_L_X8Y28 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y28 TILE_X -56414 TILEPROP INT_L_X8Y28 TILE_Y -149048 TILEPROP INT_L_X8Y28 TYPE INT_L TILEPROP INT_L_X8Y29 CLASS tile TILEPROP INT_L_X8Y29 COLUMN 25 TILEPROP INT_L_X8Y29 DEVICE_ID 0 TILEPROP INT_L_X8Y29 FIRST_SITE_ID 12606 TILEPROP INT_L_X8Y29 GRID_POINT_X 25 TILEPROP INT_L_X8Y29 GRID_POINT_Y 125 TILEPROP INT_L_X8Y29 INDEX 14400 TILEPROP INT_L_X8Y29 INT_TILE_X 8 TILEPROP INT_L_X8Y29 INT_TILE_Y 120 TILEPROP INT_L_X8Y29 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y29 IS_DCM_TILE 0 TILEPROP INT_L_X8Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y29 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y29 NAME INT_L_X8Y29 TILEPROP INT_L_X8Y29 NUM_ARCS 3737 TILEPROP INT_L_X8Y29 NUM_SITES 1 TILEPROP INT_L_X8Y29 ROW 125 TILEPROP INT_L_X8Y29 SLR_REGION_ID 0 TILEPROP INT_L_X8Y29 TILE_PATTERN_IDX 7858 TILEPROP INT_L_X8Y29 TILE_TYPE INT_L TILEPROP INT_L_X8Y29 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y29 TILE_X -56414 TILEPROP INT_L_X8Y29 TILE_Y -145848 TILEPROP INT_L_X8Y29 TYPE INT_L TILEPROP INT_L_X8Y30 CLASS tile TILEPROP INT_L_X8Y30 COLUMN 25 TILEPROP INT_L_X8Y30 DEVICE_ID 0 TILEPROP INT_L_X8Y30 FIRST_SITE_ID 12475 TILEPROP INT_L_X8Y30 GRID_POINT_X 25 TILEPROP INT_L_X8Y30 GRID_POINT_Y 124 TILEPROP INT_L_X8Y30 INDEX 14285 TILEPROP INT_L_X8Y30 INT_TILE_X 8 TILEPROP INT_L_X8Y30 INT_TILE_Y 119 TILEPROP INT_L_X8Y30 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y30 IS_DCM_TILE 0 TILEPROP INT_L_X8Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y30 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y30 NAME INT_L_X8Y30 TILEPROP INT_L_X8Y30 NUM_ARCS 3737 TILEPROP INT_L_X8Y30 NUM_SITES 1 TILEPROP INT_L_X8Y30 ROW 124 TILEPROP INT_L_X8Y30 SLR_REGION_ID 0 TILEPROP INT_L_X8Y30 TILE_PATTERN_IDX 7835 TILEPROP INT_L_X8Y30 TILE_TYPE INT_L TILEPROP INT_L_X8Y30 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y30 TILE_X -56414 TILEPROP INT_L_X8Y30 TILE_Y -142648 TILEPROP INT_L_X8Y30 TYPE INT_L TILEPROP INT_L_X8Y31 CLASS tile TILEPROP INT_L_X8Y31 COLUMN 25 TILEPROP INT_L_X8Y31 DEVICE_ID 0 TILEPROP INT_L_X8Y31 FIRST_SITE_ID 12370 TILEPROP INT_L_X8Y31 GRID_POINT_X 25 TILEPROP INT_L_X8Y31 GRID_POINT_Y 123 TILEPROP INT_L_X8Y31 INDEX 14170 TILEPROP INT_L_X8Y31 INT_TILE_X 8 TILEPROP INT_L_X8Y31 INT_TILE_Y 118 TILEPROP INT_L_X8Y31 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y31 IS_DCM_TILE 0 TILEPROP INT_L_X8Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y31 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y31 NAME INT_L_X8Y31 TILEPROP INT_L_X8Y31 NUM_ARCS 3737 TILEPROP INT_L_X8Y31 NUM_SITES 1 TILEPROP INT_L_X8Y31 ROW 123 TILEPROP INT_L_X8Y31 SLR_REGION_ID 0 TILEPROP INT_L_X8Y31 TILE_PATTERN_IDX 7812 TILEPROP INT_L_X8Y31 TILE_TYPE INT_L TILEPROP INT_L_X8Y31 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y31 TILE_X -56414 TILEPROP INT_L_X8Y31 TILE_Y -139448 TILEPROP INT_L_X8Y31 TYPE INT_L TILEPROP INT_L_X8Y32 CLASS tile TILEPROP INT_L_X8Y32 COLUMN 25 TILEPROP INT_L_X8Y32 DEVICE_ID 0 TILEPROP INT_L_X8Y32 FIRST_SITE_ID 12267 TILEPROP INT_L_X8Y32 GRID_POINT_X 25 TILEPROP INT_L_X8Y32 GRID_POINT_Y 122 TILEPROP INT_L_X8Y32 INDEX 14055 TILEPROP INT_L_X8Y32 INT_TILE_X 8 TILEPROP INT_L_X8Y32 INT_TILE_Y 117 TILEPROP INT_L_X8Y32 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y32 IS_DCM_TILE 0 TILEPROP INT_L_X8Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y32 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y32 NAME INT_L_X8Y32 TILEPROP INT_L_X8Y32 NUM_ARCS 3737 TILEPROP INT_L_X8Y32 NUM_SITES 1 TILEPROP INT_L_X8Y32 ROW 122 TILEPROP INT_L_X8Y32 SLR_REGION_ID 0 TILEPROP INT_L_X8Y32 TILE_PATTERN_IDX 7778 TILEPROP INT_L_X8Y32 TILE_TYPE INT_L TILEPROP INT_L_X8Y32 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y32 TILE_X -56414 TILEPROP INT_L_X8Y32 TILE_Y -136248 TILEPROP INT_L_X8Y32 TYPE INT_L TILEPROP INT_L_X8Y33 CLASS tile TILEPROP INT_L_X8Y33 COLUMN 25 TILEPROP INT_L_X8Y33 DEVICE_ID 0 TILEPROP INT_L_X8Y33 FIRST_SITE_ID 12167 TILEPROP INT_L_X8Y33 GRID_POINT_X 25 TILEPROP INT_L_X8Y33 GRID_POINT_Y 121 TILEPROP INT_L_X8Y33 INDEX 13940 TILEPROP INT_L_X8Y33 INT_TILE_X 8 TILEPROP INT_L_X8Y33 INT_TILE_Y 116 TILEPROP INT_L_X8Y33 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y33 IS_DCM_TILE 0 TILEPROP INT_L_X8Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y33 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y33 NAME INT_L_X8Y33 TILEPROP INT_L_X8Y33 NUM_ARCS 3737 TILEPROP INT_L_X8Y33 NUM_SITES 1 TILEPROP INT_L_X8Y33 ROW 121 TILEPROP INT_L_X8Y33 SLR_REGION_ID 0 TILEPROP INT_L_X8Y33 TILE_PATTERN_IDX 7749 TILEPROP INT_L_X8Y33 TILE_TYPE INT_L TILEPROP INT_L_X8Y33 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y33 TILE_X -56414 TILEPROP INT_L_X8Y33 TILE_Y -133048 TILEPROP INT_L_X8Y33 TYPE INT_L TILEPROP INT_L_X8Y34 CLASS tile TILEPROP INT_L_X8Y34 COLUMN 25 TILEPROP INT_L_X8Y34 DEVICE_ID 0 TILEPROP INT_L_X8Y34 FIRST_SITE_ID 12067 TILEPROP INT_L_X8Y34 GRID_POINT_X 25 TILEPROP INT_L_X8Y34 GRID_POINT_Y 120 TILEPROP INT_L_X8Y34 INDEX 13825 TILEPROP INT_L_X8Y34 INT_TILE_X 8 TILEPROP INT_L_X8Y34 INT_TILE_Y 115 TILEPROP INT_L_X8Y34 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y34 IS_DCM_TILE 0 TILEPROP INT_L_X8Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y34 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y34 NAME INT_L_X8Y34 TILEPROP INT_L_X8Y34 NUM_ARCS 3737 TILEPROP INT_L_X8Y34 NUM_SITES 1 TILEPROP INT_L_X8Y34 ROW 120 TILEPROP INT_L_X8Y34 SLR_REGION_ID 0 TILEPROP INT_L_X8Y34 TILE_PATTERN_IDX 7721 TILEPROP INT_L_X8Y34 TILE_TYPE INT_L TILEPROP INT_L_X8Y34 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y34 TILE_X -56414 TILEPROP INT_L_X8Y34 TILE_Y -129848 TILEPROP INT_L_X8Y34 TYPE INT_L TILEPROP INT_L_X8Y35 CLASS tile TILEPROP INT_L_X8Y35 COLUMN 25 TILEPROP INT_L_X8Y35 DEVICE_ID 0 TILEPROP INT_L_X8Y35 FIRST_SITE_ID 11955 TILEPROP INT_L_X8Y35 GRID_POINT_X 25 TILEPROP INT_L_X8Y35 GRID_POINT_Y 119 TILEPROP INT_L_X8Y35 INDEX 13710 TILEPROP INT_L_X8Y35 INT_TILE_X 8 TILEPROP INT_L_X8Y35 INT_TILE_Y 114 TILEPROP INT_L_X8Y35 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y35 IS_DCM_TILE 0 TILEPROP INT_L_X8Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y35 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y35 NAME INT_L_X8Y35 TILEPROP INT_L_X8Y35 NUM_ARCS 3737 TILEPROP INT_L_X8Y35 NUM_SITES 1 TILEPROP INT_L_X8Y35 ROW 119 TILEPROP INT_L_X8Y35 SLR_REGION_ID 0 TILEPROP INT_L_X8Y35 TILE_PATTERN_IDX 7692 TILEPROP INT_L_X8Y35 TILE_TYPE INT_L TILEPROP INT_L_X8Y35 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y35 TILE_X -56414 TILEPROP INT_L_X8Y35 TILE_Y -126648 TILEPROP INT_L_X8Y35 TYPE INT_L TILEPROP INT_L_X8Y36 CLASS tile TILEPROP INT_L_X8Y36 COLUMN 25 TILEPROP INT_L_X8Y36 DEVICE_ID 0 TILEPROP INT_L_X8Y36 FIRST_SITE_ID 11820 TILEPROP INT_L_X8Y36 GRID_POINT_X 25 TILEPROP INT_L_X8Y36 GRID_POINT_Y 118 TILEPROP INT_L_X8Y36 INDEX 13595 TILEPROP INT_L_X8Y36 INT_TILE_X 8 TILEPROP INT_L_X8Y36 INT_TILE_Y 113 TILEPROP INT_L_X8Y36 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y36 IS_DCM_TILE 0 TILEPROP INT_L_X8Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y36 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y36 NAME INT_L_X8Y36 TILEPROP INT_L_X8Y36 NUM_ARCS 3737 TILEPROP INT_L_X8Y36 NUM_SITES 1 TILEPROP INT_L_X8Y36 ROW 118 TILEPROP INT_L_X8Y36 SLR_REGION_ID 0 TILEPROP INT_L_X8Y36 TILE_PATTERN_IDX 7664 TILEPROP INT_L_X8Y36 TILE_TYPE INT_L TILEPROP INT_L_X8Y36 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y36 TILE_X -56414 TILEPROP INT_L_X8Y36 TILE_Y -123448 TILEPROP INT_L_X8Y36 TYPE INT_L TILEPROP INT_L_X8Y37 CLASS tile TILEPROP INT_L_X8Y37 COLUMN 25 TILEPROP INT_L_X8Y37 DEVICE_ID 0 TILEPROP INT_L_X8Y37 FIRST_SITE_ID 11720 TILEPROP INT_L_X8Y37 GRID_POINT_X 25 TILEPROP INT_L_X8Y37 GRID_POINT_Y 117 TILEPROP INT_L_X8Y37 INDEX 13480 TILEPROP INT_L_X8Y37 INT_TILE_X 8 TILEPROP INT_L_X8Y37 INT_TILE_Y 112 TILEPROP INT_L_X8Y37 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y37 IS_DCM_TILE 0 TILEPROP INT_L_X8Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y37 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y37 NAME INT_L_X8Y37 TILEPROP INT_L_X8Y37 NUM_ARCS 3737 TILEPROP INT_L_X8Y37 NUM_SITES 1 TILEPROP INT_L_X8Y37 ROW 117 TILEPROP INT_L_X8Y37 SLR_REGION_ID 0 TILEPROP INT_L_X8Y37 TILE_PATTERN_IDX 7636 TILEPROP INT_L_X8Y37 TILE_TYPE INT_L TILEPROP INT_L_X8Y37 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y37 TILE_X -56414 TILEPROP INT_L_X8Y37 TILE_Y -120248 TILEPROP INT_L_X8Y37 TYPE INT_L TILEPROP INT_L_X8Y38 CLASS tile TILEPROP INT_L_X8Y38 COLUMN 25 TILEPROP INT_L_X8Y38 DEVICE_ID 0 TILEPROP INT_L_X8Y38 FIRST_SITE_ID 11620 TILEPROP INT_L_X8Y38 GRID_POINT_X 25 TILEPROP INT_L_X8Y38 GRID_POINT_Y 116 TILEPROP INT_L_X8Y38 INDEX 13365 TILEPROP INT_L_X8Y38 INT_TILE_X 8 TILEPROP INT_L_X8Y38 INT_TILE_Y 111 TILEPROP INT_L_X8Y38 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y38 IS_DCM_TILE 0 TILEPROP INT_L_X8Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y38 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y38 NAME INT_L_X8Y38 TILEPROP INT_L_X8Y38 NUM_ARCS 3737 TILEPROP INT_L_X8Y38 NUM_SITES 1 TILEPROP INT_L_X8Y38 ROW 116 TILEPROP INT_L_X8Y38 SLR_REGION_ID 0 TILEPROP INT_L_X8Y38 TILE_PATTERN_IDX 7608 TILEPROP INT_L_X8Y38 TILE_TYPE INT_L TILEPROP INT_L_X8Y38 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y38 TILE_X -56414 TILEPROP INT_L_X8Y38 TILE_Y -117048 TILEPROP INT_L_X8Y38 TYPE INT_L TILEPROP INT_L_X8Y39 CLASS tile TILEPROP INT_L_X8Y39 COLUMN 25 TILEPROP INT_L_X8Y39 DEVICE_ID 0 TILEPROP INT_L_X8Y39 FIRST_SITE_ID 11520 TILEPROP INT_L_X8Y39 GRID_POINT_X 25 TILEPROP INT_L_X8Y39 GRID_POINT_Y 115 TILEPROP INT_L_X8Y39 INDEX 13250 TILEPROP INT_L_X8Y39 INT_TILE_X 8 TILEPROP INT_L_X8Y39 INT_TILE_Y 110 TILEPROP INT_L_X8Y39 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y39 IS_DCM_TILE 0 TILEPROP INT_L_X8Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y39 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y39 NAME INT_L_X8Y39 TILEPROP INT_L_X8Y39 NUM_ARCS 3737 TILEPROP INT_L_X8Y39 NUM_SITES 1 TILEPROP INT_L_X8Y39 ROW 115 TILEPROP INT_L_X8Y39 SLR_REGION_ID 0 TILEPROP INT_L_X8Y39 TILE_PATTERN_IDX 7579 TILEPROP INT_L_X8Y39 TILE_TYPE INT_L TILEPROP INT_L_X8Y39 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y39 TILE_X -56414 TILEPROP INT_L_X8Y39 TILE_Y -113848 TILEPROP INT_L_X8Y39 TYPE INT_L TILEPROP INT_L_X8Y40 CLASS tile TILEPROP INT_L_X8Y40 COLUMN 25 TILEPROP INT_L_X8Y40 DEVICE_ID 0 TILEPROP INT_L_X8Y40 FIRST_SITE_ID 11406 TILEPROP INT_L_X8Y40 GRID_POINT_X 25 TILEPROP INT_L_X8Y40 GRID_POINT_Y 114 TILEPROP INT_L_X8Y40 INDEX 13135 TILEPROP INT_L_X8Y40 INT_TILE_X 8 TILEPROP INT_L_X8Y40 INT_TILE_Y 109 TILEPROP INT_L_X8Y40 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y40 IS_DCM_TILE 0 TILEPROP INT_L_X8Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y40 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y40 NAME INT_L_X8Y40 TILEPROP INT_L_X8Y40 NUM_ARCS 3737 TILEPROP INT_L_X8Y40 NUM_SITES 1 TILEPROP INT_L_X8Y40 ROW 114 TILEPROP INT_L_X8Y40 SLR_REGION_ID 0 TILEPROP INT_L_X8Y40 TILE_PATTERN_IDX 7550 TILEPROP INT_L_X8Y40 TILE_TYPE INT_L TILEPROP INT_L_X8Y40 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y40 TILE_X -56414 TILEPROP INT_L_X8Y40 TILE_Y -110648 TILEPROP INT_L_X8Y40 TYPE INT_L TILEPROP INT_L_X8Y41 CLASS tile TILEPROP INT_L_X8Y41 COLUMN 25 TILEPROP INT_L_X8Y41 DEVICE_ID 0 TILEPROP INT_L_X8Y41 FIRST_SITE_ID 11302 TILEPROP INT_L_X8Y41 GRID_POINT_X 25 TILEPROP INT_L_X8Y41 GRID_POINT_Y 113 TILEPROP INT_L_X8Y41 INDEX 13020 TILEPROP INT_L_X8Y41 INT_TILE_X 8 TILEPROP INT_L_X8Y41 INT_TILE_Y 108 TILEPROP INT_L_X8Y41 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y41 IS_DCM_TILE 0 TILEPROP INT_L_X8Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y41 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y41 NAME INT_L_X8Y41 TILEPROP INT_L_X8Y41 NUM_ARCS 3737 TILEPROP INT_L_X8Y41 NUM_SITES 1 TILEPROP INT_L_X8Y41 ROW 113 TILEPROP INT_L_X8Y41 SLR_REGION_ID 0 TILEPROP INT_L_X8Y41 TILE_PATTERN_IDX 7515 TILEPROP INT_L_X8Y41 TILE_TYPE INT_L TILEPROP INT_L_X8Y41 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y41 TILE_X -56414 TILEPROP INT_L_X8Y41 TILE_Y -107448 TILEPROP INT_L_X8Y41 TYPE INT_L TILEPROP INT_L_X8Y42 CLASS tile TILEPROP INT_L_X8Y42 COLUMN 25 TILEPROP INT_L_X8Y42 DEVICE_ID 0 TILEPROP INT_L_X8Y42 FIRST_SITE_ID 11201 TILEPROP INT_L_X8Y42 GRID_POINT_X 25 TILEPROP INT_L_X8Y42 GRID_POINT_Y 112 TILEPROP INT_L_X8Y42 INDEX 12905 TILEPROP INT_L_X8Y42 INT_TILE_X 8 TILEPROP INT_L_X8Y42 INT_TILE_Y 107 TILEPROP INT_L_X8Y42 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y42 IS_DCM_TILE 0 TILEPROP INT_L_X8Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y42 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y42 NAME INT_L_X8Y42 TILEPROP INT_L_X8Y42 NUM_ARCS 3737 TILEPROP INT_L_X8Y42 NUM_SITES 1 TILEPROP INT_L_X8Y42 ROW 112 TILEPROP INT_L_X8Y42 SLR_REGION_ID 0 TILEPROP INT_L_X8Y42 TILE_PATTERN_IDX 7486 TILEPROP INT_L_X8Y42 TILE_TYPE INT_L TILEPROP INT_L_X8Y42 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y42 TILE_X -56414 TILEPROP INT_L_X8Y42 TILE_Y -104248 TILEPROP INT_L_X8Y42 TYPE INT_L TILEPROP INT_L_X8Y43 CLASS tile TILEPROP INT_L_X8Y43 COLUMN 25 TILEPROP INT_L_X8Y43 DEVICE_ID 0 TILEPROP INT_L_X8Y43 FIRST_SITE_ID 11098 TILEPROP INT_L_X8Y43 GRID_POINT_X 25 TILEPROP INT_L_X8Y43 GRID_POINT_Y 111 TILEPROP INT_L_X8Y43 INDEX 12790 TILEPROP INT_L_X8Y43 INT_TILE_X 8 TILEPROP INT_L_X8Y43 INT_TILE_Y 106 TILEPROP INT_L_X8Y43 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y43 IS_DCM_TILE 0 TILEPROP INT_L_X8Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y43 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y43 NAME INT_L_X8Y43 TILEPROP INT_L_X8Y43 NUM_ARCS 3737 TILEPROP INT_L_X8Y43 NUM_SITES 1 TILEPROP INT_L_X8Y43 ROW 111 TILEPROP INT_L_X8Y43 SLR_REGION_ID 0 TILEPROP INT_L_X8Y43 TILE_PATTERN_IDX 7457 TILEPROP INT_L_X8Y43 TILE_TYPE INT_L TILEPROP INT_L_X8Y43 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y43 TILE_X -56414 TILEPROP INT_L_X8Y43 TILE_Y -101048 TILEPROP INT_L_X8Y43 TYPE INT_L TILEPROP INT_L_X8Y44 CLASS tile TILEPROP INT_L_X8Y44 COLUMN 25 TILEPROP INT_L_X8Y44 DEVICE_ID 0 TILEPROP INT_L_X8Y44 FIRST_SITE_ID 10996 TILEPROP INT_L_X8Y44 GRID_POINT_X 25 TILEPROP INT_L_X8Y44 GRID_POINT_Y 110 TILEPROP INT_L_X8Y44 INDEX 12675 TILEPROP INT_L_X8Y44 INT_TILE_X 8 TILEPROP INT_L_X8Y44 INT_TILE_Y 105 TILEPROP INT_L_X8Y44 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y44 IS_DCM_TILE 0 TILEPROP INT_L_X8Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y44 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y44 NAME INT_L_X8Y44 TILEPROP INT_L_X8Y44 NUM_ARCS 3737 TILEPROP INT_L_X8Y44 NUM_SITES 1 TILEPROP INT_L_X8Y44 ROW 110 TILEPROP INT_L_X8Y44 SLR_REGION_ID 0 TILEPROP INT_L_X8Y44 TILE_PATTERN_IDX 7429 TILEPROP INT_L_X8Y44 TILE_TYPE INT_L TILEPROP INT_L_X8Y44 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y44 TILE_X -56414 TILEPROP INT_L_X8Y44 TILE_Y -97848 TILEPROP INT_L_X8Y44 TYPE INT_L TILEPROP INT_L_X8Y45 CLASS tile TILEPROP INT_L_X8Y45 COLUMN 25 TILEPROP INT_L_X8Y45 DEVICE_ID 0 TILEPROP INT_L_X8Y45 FIRST_SITE_ID 10883 TILEPROP INT_L_X8Y45 GRID_POINT_X 25 TILEPROP INT_L_X8Y45 GRID_POINT_Y 109 TILEPROP INT_L_X8Y45 INDEX 12560 TILEPROP INT_L_X8Y45 INT_TILE_X 8 TILEPROP INT_L_X8Y45 INT_TILE_Y 104 TILEPROP INT_L_X8Y45 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y45 IS_DCM_TILE 0 TILEPROP INT_L_X8Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y45 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y45 NAME INT_L_X8Y45 TILEPROP INT_L_X8Y45 NUM_ARCS 3737 TILEPROP INT_L_X8Y45 NUM_SITES 1 TILEPROP INT_L_X8Y45 ROW 109 TILEPROP INT_L_X8Y45 SLR_REGION_ID 0 TILEPROP INT_L_X8Y45 TILE_PATTERN_IDX 7393 TILEPROP INT_L_X8Y45 TILE_TYPE INT_L TILEPROP INT_L_X8Y45 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y45 TILE_X -56414 TILEPROP INT_L_X8Y45 TILE_Y -94648 TILEPROP INT_L_X8Y45 TYPE INT_L TILEPROP INT_L_X8Y46 CLASS tile TILEPROP INT_L_X8Y46 COLUMN 25 TILEPROP INT_L_X8Y46 DEVICE_ID 0 TILEPROP INT_L_X8Y46 FIRST_SITE_ID 10764 TILEPROP INT_L_X8Y46 GRID_POINT_X 25 TILEPROP INT_L_X8Y46 GRID_POINT_Y 108 TILEPROP INT_L_X8Y46 INDEX 12445 TILEPROP INT_L_X8Y46 INT_TILE_X 8 TILEPROP INT_L_X8Y46 INT_TILE_Y 103 TILEPROP INT_L_X8Y46 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y46 IS_DCM_TILE 0 TILEPROP INT_L_X8Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y46 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y46 NAME INT_L_X8Y46 TILEPROP INT_L_X8Y46 NUM_ARCS 3737 TILEPROP INT_L_X8Y46 NUM_SITES 1 TILEPROP INT_L_X8Y46 ROW 108 TILEPROP INT_L_X8Y46 SLR_REGION_ID 0 TILEPROP INT_L_X8Y46 TILE_PATTERN_IDX 7357 TILEPROP INT_L_X8Y46 TILE_TYPE INT_L TILEPROP INT_L_X8Y46 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y46 TILE_X -56414 TILEPROP INT_L_X8Y46 TILE_Y -91448 TILEPROP INT_L_X8Y46 TYPE INT_L TILEPROP INT_L_X8Y47 CLASS tile TILEPROP INT_L_X8Y47 COLUMN 25 TILEPROP INT_L_X8Y47 DEVICE_ID 0 TILEPROP INT_L_X8Y47 FIRST_SITE_ID 10664 TILEPROP INT_L_X8Y47 GRID_POINT_X 25 TILEPROP INT_L_X8Y47 GRID_POINT_Y 107 TILEPROP INT_L_X8Y47 INDEX 12330 TILEPROP INT_L_X8Y47 INT_TILE_X 8 TILEPROP INT_L_X8Y47 INT_TILE_Y 102 TILEPROP INT_L_X8Y47 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y47 IS_DCM_TILE 0 TILEPROP INT_L_X8Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y47 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y47 NAME INT_L_X8Y47 TILEPROP INT_L_X8Y47 NUM_ARCS 3737 TILEPROP INT_L_X8Y47 NUM_SITES 1 TILEPROP INT_L_X8Y47 ROW 107 TILEPROP INT_L_X8Y47 SLR_REGION_ID 0 TILEPROP INT_L_X8Y47 TILE_PATTERN_IDX 7320 TILEPROP INT_L_X8Y47 TILE_TYPE INT_L TILEPROP INT_L_X8Y47 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y47 TILE_X -56414 TILEPROP INT_L_X8Y47 TILE_Y -88248 TILEPROP INT_L_X8Y47 TYPE INT_L TILEPROP INT_L_X8Y48 CLASS tile TILEPROP INT_L_X8Y48 COLUMN 25 TILEPROP INT_L_X8Y48 DEVICE_ID 0 TILEPROP INT_L_X8Y48 FIRST_SITE_ID 10564 TILEPROP INT_L_X8Y48 GRID_POINT_X 25 TILEPROP INT_L_X8Y48 GRID_POINT_Y 106 TILEPROP INT_L_X8Y48 INDEX 12215 TILEPROP INT_L_X8Y48 INT_TILE_X 8 TILEPROP INT_L_X8Y48 INT_TILE_Y 101 TILEPROP INT_L_X8Y48 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y48 IS_DCM_TILE 0 TILEPROP INT_L_X8Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y48 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y48 NAME INT_L_X8Y48 TILEPROP INT_L_X8Y48 NUM_ARCS 3737 TILEPROP INT_L_X8Y48 NUM_SITES 1 TILEPROP INT_L_X8Y48 ROW 106 TILEPROP INT_L_X8Y48 SLR_REGION_ID 0 TILEPROP INT_L_X8Y48 TILE_PATTERN_IDX 7284 TILEPROP INT_L_X8Y48 TILE_TYPE INT_L TILEPROP INT_L_X8Y48 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y48 TILE_X -56414 TILEPROP INT_L_X8Y48 TILE_Y -85048 TILEPROP INT_L_X8Y48 TYPE INT_L TILEPROP INT_L_X8Y49 CLASS tile TILEPROP INT_L_X8Y49 COLUMN 25 TILEPROP INT_L_X8Y49 DEVICE_ID 0 TILEPROP INT_L_X8Y49 FIRST_SITE_ID 10468 TILEPROP INT_L_X8Y49 GRID_POINT_X 25 TILEPROP INT_L_X8Y49 GRID_POINT_Y 105 TILEPROP INT_L_X8Y49 INDEX 12100 TILEPROP INT_L_X8Y49 INT_TILE_X 8 TILEPROP INT_L_X8Y49 INT_TILE_Y 100 TILEPROP INT_L_X8Y49 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y49 IS_DCM_TILE 0 TILEPROP INT_L_X8Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y49 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y49 NAME INT_L_X8Y49 TILEPROP INT_L_X8Y49 NUM_ARCS 3737 TILEPROP INT_L_X8Y49 NUM_SITES 1 TILEPROP INT_L_X8Y49 ROW 105 TILEPROP INT_L_X8Y49 SLR_REGION_ID 0 TILEPROP INT_L_X8Y49 TILE_PATTERN_IDX 7248 TILEPROP INT_L_X8Y49 TILE_TYPE INT_L TILEPROP INT_L_X8Y49 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y49 TILE_X -56414 TILEPROP INT_L_X8Y49 TILE_Y -81848 TILEPROP INT_L_X8Y49 TYPE INT_L TILEPROP INT_L_X8Y50 CLASS tile TILEPROP INT_L_X8Y50 COLUMN 25 TILEPROP INT_L_X8Y50 DEVICE_ID 0 TILEPROP INT_L_X8Y50 FIRST_SITE_ID 10340 TILEPROP INT_L_X8Y50 GRID_POINT_X 25 TILEPROP INT_L_X8Y50 GRID_POINT_Y 103 TILEPROP INT_L_X8Y50 INDEX 11870 TILEPROP INT_L_X8Y50 INT_TILE_X 8 TILEPROP INT_L_X8Y50 INT_TILE_Y 99 TILEPROP INT_L_X8Y50 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y50 IS_DCM_TILE 0 TILEPROP INT_L_X8Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y50 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y50 NAME INT_L_X8Y50 TILEPROP INT_L_X8Y50 NUM_ARCS 3737 TILEPROP INT_L_X8Y50 NUM_SITES 1 TILEPROP INT_L_X8Y50 ROW 103 TILEPROP INT_L_X8Y50 SLR_REGION_ID 0 TILEPROP INT_L_X8Y50 TILE_PATTERN_IDX 7211 TILEPROP INT_L_X8Y50 TILE_TYPE INT_L TILEPROP INT_L_X8Y50 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y50 TILE_X -56414 TILEPROP INT_L_X8Y50 TILE_Y -78400 TILEPROP INT_L_X8Y50 TYPE INT_L TILEPROP INT_L_X8Y51 CLASS tile TILEPROP INT_L_X8Y51 COLUMN 25 TILEPROP INT_L_X8Y51 DEVICE_ID 0 TILEPROP INT_L_X8Y51 FIRST_SITE_ID 10233 TILEPROP INT_L_X8Y51 GRID_POINT_X 25 TILEPROP INT_L_X8Y51 GRID_POINT_Y 102 TILEPROP INT_L_X8Y51 INDEX 11755 TILEPROP INT_L_X8Y51 INT_TILE_X 8 TILEPROP INT_L_X8Y51 INT_TILE_Y 98 TILEPROP INT_L_X8Y51 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y51 IS_DCM_TILE 0 TILEPROP INT_L_X8Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y51 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y51 NAME INT_L_X8Y51 TILEPROP INT_L_X8Y51 NUM_ARCS 3737 TILEPROP INT_L_X8Y51 NUM_SITES 1 TILEPROP INT_L_X8Y51 ROW 102 TILEPROP INT_L_X8Y51 SLR_REGION_ID 0 TILEPROP INT_L_X8Y51 TILE_PATTERN_IDX 7174 TILEPROP INT_L_X8Y51 TILE_TYPE INT_L TILEPROP INT_L_X8Y51 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y51 TILE_X -56414 TILEPROP INT_L_X8Y51 TILE_Y -75200 TILEPROP INT_L_X8Y51 TYPE INT_L TILEPROP INT_L_X8Y52 CLASS tile TILEPROP INT_L_X8Y52 COLUMN 25 TILEPROP INT_L_X8Y52 DEVICE_ID 0 TILEPROP INT_L_X8Y52 FIRST_SITE_ID 10133 TILEPROP INT_L_X8Y52 GRID_POINT_X 25 TILEPROP INT_L_X8Y52 GRID_POINT_Y 101 TILEPROP INT_L_X8Y52 INDEX 11640 TILEPROP INT_L_X8Y52 INT_TILE_X 8 TILEPROP INT_L_X8Y52 INT_TILE_Y 97 TILEPROP INT_L_X8Y52 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y52 IS_DCM_TILE 0 TILEPROP INT_L_X8Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y52 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y52 NAME INT_L_X8Y52 TILEPROP INT_L_X8Y52 NUM_ARCS 3737 TILEPROP INT_L_X8Y52 NUM_SITES 1 TILEPROP INT_L_X8Y52 ROW 101 TILEPROP INT_L_X8Y52 SLR_REGION_ID 0 TILEPROP INT_L_X8Y52 TILE_PATTERN_IDX 7137 TILEPROP INT_L_X8Y52 TILE_TYPE INT_L TILEPROP INT_L_X8Y52 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y52 TILE_X -56414 TILEPROP INT_L_X8Y52 TILE_Y -72000 TILEPROP INT_L_X8Y52 TYPE INT_L TILEPROP INT_L_X8Y53 CLASS tile TILEPROP INT_L_X8Y53 COLUMN 25 TILEPROP INT_L_X8Y53 DEVICE_ID 0 TILEPROP INT_L_X8Y53 FIRST_SITE_ID 10033 TILEPROP INT_L_X8Y53 GRID_POINT_X 25 TILEPROP INT_L_X8Y53 GRID_POINT_Y 100 TILEPROP INT_L_X8Y53 INDEX 11525 TILEPROP INT_L_X8Y53 INT_TILE_X 8 TILEPROP INT_L_X8Y53 INT_TILE_Y 96 TILEPROP INT_L_X8Y53 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y53 IS_DCM_TILE 0 TILEPROP INT_L_X8Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y53 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y53 NAME INT_L_X8Y53 TILEPROP INT_L_X8Y53 NUM_ARCS 3737 TILEPROP INT_L_X8Y53 NUM_SITES 1 TILEPROP INT_L_X8Y53 ROW 100 TILEPROP INT_L_X8Y53 SLR_REGION_ID 0 TILEPROP INT_L_X8Y53 TILE_PATTERN_IDX 7100 TILEPROP INT_L_X8Y53 TILE_TYPE INT_L TILEPROP INT_L_X8Y53 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y53 TILE_X -56414 TILEPROP INT_L_X8Y53 TILE_Y -68800 TILEPROP INT_L_X8Y53 TYPE INT_L TILEPROP INT_L_X8Y54 CLASS tile TILEPROP INT_L_X8Y54 COLUMN 25 TILEPROP INT_L_X8Y54 DEVICE_ID 0 TILEPROP INT_L_X8Y54 FIRST_SITE_ID 9933 TILEPROP INT_L_X8Y54 GRID_POINT_X 25 TILEPROP INT_L_X8Y54 GRID_POINT_Y 99 TILEPROP INT_L_X8Y54 INDEX 11410 TILEPROP INT_L_X8Y54 INT_TILE_X 8 TILEPROP INT_L_X8Y54 INT_TILE_Y 95 TILEPROP INT_L_X8Y54 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y54 IS_DCM_TILE 0 TILEPROP INT_L_X8Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y54 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y54 NAME INT_L_X8Y54 TILEPROP INT_L_X8Y54 NUM_ARCS 3737 TILEPROP INT_L_X8Y54 NUM_SITES 1 TILEPROP INT_L_X8Y54 ROW 99 TILEPROP INT_L_X8Y54 SLR_REGION_ID 0 TILEPROP INT_L_X8Y54 TILE_PATTERN_IDX 7063 TILEPROP INT_L_X8Y54 TILE_TYPE INT_L TILEPROP INT_L_X8Y54 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y54 TILE_X -56414 TILEPROP INT_L_X8Y54 TILE_Y -65600 TILEPROP INT_L_X8Y54 TYPE INT_L TILEPROP INT_L_X8Y55 CLASS tile TILEPROP INT_L_X8Y55 COLUMN 25 TILEPROP INT_L_X8Y55 DEVICE_ID 0 TILEPROP INT_L_X8Y55 FIRST_SITE_ID 9821 TILEPROP INT_L_X8Y55 GRID_POINT_X 25 TILEPROP INT_L_X8Y55 GRID_POINT_Y 98 TILEPROP INT_L_X8Y55 INDEX 11295 TILEPROP INT_L_X8Y55 INT_TILE_X 8 TILEPROP INT_L_X8Y55 INT_TILE_Y 94 TILEPROP INT_L_X8Y55 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y55 IS_DCM_TILE 0 TILEPROP INT_L_X8Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y55 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y55 NAME INT_L_X8Y55 TILEPROP INT_L_X8Y55 NUM_ARCS 3737 TILEPROP INT_L_X8Y55 NUM_SITES 1 TILEPROP INT_L_X8Y55 ROW 98 TILEPROP INT_L_X8Y55 SLR_REGION_ID 0 TILEPROP INT_L_X8Y55 TILE_PATTERN_IDX 7025 TILEPROP INT_L_X8Y55 TILE_TYPE INT_L TILEPROP INT_L_X8Y55 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y55 TILE_X -56414 TILEPROP INT_L_X8Y55 TILE_Y -62400 TILEPROP INT_L_X8Y55 TYPE INT_L TILEPROP INT_L_X8Y56 CLASS tile TILEPROP INT_L_X8Y56 COLUMN 25 TILEPROP INT_L_X8Y56 DEVICE_ID 0 TILEPROP INT_L_X8Y56 FIRST_SITE_ID 9718 TILEPROP INT_L_X8Y56 GRID_POINT_X 25 TILEPROP INT_L_X8Y56 GRID_POINT_Y 97 TILEPROP INT_L_X8Y56 INDEX 11180 TILEPROP INT_L_X8Y56 INT_TILE_X 8 TILEPROP INT_L_X8Y56 INT_TILE_Y 93 TILEPROP INT_L_X8Y56 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y56 IS_DCM_TILE 0 TILEPROP INT_L_X8Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y56 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y56 NAME INT_L_X8Y56 TILEPROP INT_L_X8Y56 NUM_ARCS 3737 TILEPROP INT_L_X8Y56 NUM_SITES 1 TILEPROP INT_L_X8Y56 ROW 97 TILEPROP INT_L_X8Y56 SLR_REGION_ID 0 TILEPROP INT_L_X8Y56 TILE_PATTERN_IDX 6988 TILEPROP INT_L_X8Y56 TILE_TYPE INT_L TILEPROP INT_L_X8Y56 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y56 TILE_X -56414 TILEPROP INT_L_X8Y56 TILE_Y -59200 TILEPROP INT_L_X8Y56 TYPE INT_L TILEPROP INT_L_X8Y57 CLASS tile TILEPROP INT_L_X8Y57 COLUMN 25 TILEPROP INT_L_X8Y57 DEVICE_ID 0 TILEPROP INT_L_X8Y57 FIRST_SITE_ID 9616 TILEPROP INT_L_X8Y57 GRID_POINT_X 25 TILEPROP INT_L_X8Y57 GRID_POINT_Y 96 TILEPROP INT_L_X8Y57 INDEX 11065 TILEPROP INT_L_X8Y57 INT_TILE_X 8 TILEPROP INT_L_X8Y57 INT_TILE_Y 92 TILEPROP INT_L_X8Y57 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y57 IS_DCM_TILE 0 TILEPROP INT_L_X8Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y57 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y57 NAME INT_L_X8Y57 TILEPROP INT_L_X8Y57 NUM_ARCS 3737 TILEPROP INT_L_X8Y57 NUM_SITES 1 TILEPROP INT_L_X8Y57 ROW 96 TILEPROP INT_L_X8Y57 SLR_REGION_ID 0 TILEPROP INT_L_X8Y57 TILE_PATTERN_IDX 6951 TILEPROP INT_L_X8Y57 TILE_TYPE INT_L TILEPROP INT_L_X8Y57 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y57 TILE_X -56414 TILEPROP INT_L_X8Y57 TILE_Y -56000 TILEPROP INT_L_X8Y57 TYPE INT_L TILEPROP INT_L_X8Y58 CLASS tile TILEPROP INT_L_X8Y58 COLUMN 25 TILEPROP INT_L_X8Y58 DEVICE_ID 0 TILEPROP INT_L_X8Y58 FIRST_SITE_ID 9513 TILEPROP INT_L_X8Y58 GRID_POINT_X 25 TILEPROP INT_L_X8Y58 GRID_POINT_Y 95 TILEPROP INT_L_X8Y58 INDEX 10950 TILEPROP INT_L_X8Y58 INT_TILE_X 8 TILEPROP INT_L_X8Y58 INT_TILE_Y 91 TILEPROP INT_L_X8Y58 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y58 IS_DCM_TILE 0 TILEPROP INT_L_X8Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y58 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y58 NAME INT_L_X8Y58 TILEPROP INT_L_X8Y58 NUM_ARCS 3737 TILEPROP INT_L_X8Y58 NUM_SITES 1 TILEPROP INT_L_X8Y58 ROW 95 TILEPROP INT_L_X8Y58 SLR_REGION_ID 0 TILEPROP INT_L_X8Y58 TILE_PATTERN_IDX 6913 TILEPROP INT_L_X8Y58 TILE_TYPE INT_L TILEPROP INT_L_X8Y58 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y58 TILE_X -56414 TILEPROP INT_L_X8Y58 TILE_Y -52800 TILEPROP INT_L_X8Y58 TYPE INT_L TILEPROP INT_L_X8Y59 CLASS tile TILEPROP INT_L_X8Y59 COLUMN 25 TILEPROP INT_L_X8Y59 DEVICE_ID 0 TILEPROP INT_L_X8Y59 FIRST_SITE_ID 9412 TILEPROP INT_L_X8Y59 GRID_POINT_X 25 TILEPROP INT_L_X8Y59 GRID_POINT_Y 94 TILEPROP INT_L_X8Y59 INDEX 10835 TILEPROP INT_L_X8Y59 INT_TILE_X 8 TILEPROP INT_L_X8Y59 INT_TILE_Y 90 TILEPROP INT_L_X8Y59 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y59 IS_DCM_TILE 0 TILEPROP INT_L_X8Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y59 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y59 NAME INT_L_X8Y59 TILEPROP INT_L_X8Y59 NUM_ARCS 3737 TILEPROP INT_L_X8Y59 NUM_SITES 1 TILEPROP INT_L_X8Y59 ROW 94 TILEPROP INT_L_X8Y59 SLR_REGION_ID 0 TILEPROP INT_L_X8Y59 TILE_PATTERN_IDX 6876 TILEPROP INT_L_X8Y59 TILE_TYPE INT_L TILEPROP INT_L_X8Y59 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y59 TILE_X -56414 TILEPROP INT_L_X8Y59 TILE_Y -49600 TILEPROP INT_L_X8Y59 TYPE INT_L TILEPROP INT_L_X8Y60 CLASS tile TILEPROP INT_L_X8Y60 COLUMN 25 TILEPROP INT_L_X8Y60 DEVICE_ID 0 TILEPROP INT_L_X8Y60 FIRST_SITE_ID 9300 TILEPROP INT_L_X8Y60 GRID_POINT_X 25 TILEPROP INT_L_X8Y60 GRID_POINT_Y 93 TILEPROP INT_L_X8Y60 INDEX 10720 TILEPROP INT_L_X8Y60 INT_TILE_X 8 TILEPROP INT_L_X8Y60 INT_TILE_Y 89 TILEPROP INT_L_X8Y60 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y60 IS_DCM_TILE 0 TILEPROP INT_L_X8Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y60 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y60 NAME INT_L_X8Y60 TILEPROP INT_L_X8Y60 NUM_ARCS 3737 TILEPROP INT_L_X8Y60 NUM_SITES 1 TILEPROP INT_L_X8Y60 ROW 93 TILEPROP INT_L_X8Y60 SLR_REGION_ID 0 TILEPROP INT_L_X8Y60 TILE_PATTERN_IDX 6839 TILEPROP INT_L_X8Y60 TILE_TYPE INT_L TILEPROP INT_L_X8Y60 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y60 TILE_X -56414 TILEPROP INT_L_X8Y60 TILE_Y -46400 TILEPROP INT_L_X8Y60 TYPE INT_L TILEPROP INT_L_X8Y61 CLASS tile TILEPROP INT_L_X8Y61 COLUMN 25 TILEPROP INT_L_X8Y61 DEVICE_ID 0 TILEPROP INT_L_X8Y61 FIRST_SITE_ID 9197 TILEPROP INT_L_X8Y61 GRID_POINT_X 25 TILEPROP INT_L_X8Y61 GRID_POINT_Y 92 TILEPROP INT_L_X8Y61 INDEX 10605 TILEPROP INT_L_X8Y61 INT_TILE_X 8 TILEPROP INT_L_X8Y61 INT_TILE_Y 88 TILEPROP INT_L_X8Y61 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y61 IS_DCM_TILE 0 TILEPROP INT_L_X8Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y61 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y61 NAME INT_L_X8Y61 TILEPROP INT_L_X8Y61 NUM_ARCS 3737 TILEPROP INT_L_X8Y61 NUM_SITES 1 TILEPROP INT_L_X8Y61 ROW 92 TILEPROP INT_L_X8Y61 SLR_REGION_ID 0 TILEPROP INT_L_X8Y61 TILE_PATTERN_IDX 6802 TILEPROP INT_L_X8Y61 TILE_TYPE INT_L TILEPROP INT_L_X8Y61 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y61 TILE_X -56414 TILEPROP INT_L_X8Y61 TILE_Y -43200 TILEPROP INT_L_X8Y61 TYPE INT_L TILEPROP INT_L_X8Y62 CLASS tile TILEPROP INT_L_X8Y62 COLUMN 25 TILEPROP INT_L_X8Y62 DEVICE_ID 0 TILEPROP INT_L_X8Y62 FIRST_SITE_ID 9065 TILEPROP INT_L_X8Y62 GRID_POINT_X 25 TILEPROP INT_L_X8Y62 GRID_POINT_Y 91 TILEPROP INT_L_X8Y62 INDEX 10490 TILEPROP INT_L_X8Y62 INT_TILE_X 8 TILEPROP INT_L_X8Y62 INT_TILE_Y 87 TILEPROP INT_L_X8Y62 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y62 IS_DCM_TILE 0 TILEPROP INT_L_X8Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y62 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y62 NAME INT_L_X8Y62 TILEPROP INT_L_X8Y62 NUM_ARCS 3737 TILEPROP INT_L_X8Y62 NUM_SITES 1 TILEPROP INT_L_X8Y62 ROW 91 TILEPROP INT_L_X8Y62 SLR_REGION_ID 0 TILEPROP INT_L_X8Y62 TILE_PATTERN_IDX 6765 TILEPROP INT_L_X8Y62 TILE_TYPE INT_L TILEPROP INT_L_X8Y62 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y62 TILE_X -56414 TILEPROP INT_L_X8Y62 TILE_Y -40000 TILEPROP INT_L_X8Y62 TYPE INT_L TILEPROP INT_L_X8Y63 CLASS tile TILEPROP INT_L_X8Y63 COLUMN 25 TILEPROP INT_L_X8Y63 DEVICE_ID 0 TILEPROP INT_L_X8Y63 FIRST_SITE_ID 8965 TILEPROP INT_L_X8Y63 GRID_POINT_X 25 TILEPROP INT_L_X8Y63 GRID_POINT_Y 90 TILEPROP INT_L_X8Y63 INDEX 10375 TILEPROP INT_L_X8Y63 INT_TILE_X 8 TILEPROP INT_L_X8Y63 INT_TILE_Y 86 TILEPROP INT_L_X8Y63 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y63 IS_DCM_TILE 0 TILEPROP INT_L_X8Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y63 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y63 NAME INT_L_X8Y63 TILEPROP INT_L_X8Y63 NUM_ARCS 3737 TILEPROP INT_L_X8Y63 NUM_SITES 1 TILEPROP INT_L_X8Y63 ROW 90 TILEPROP INT_L_X8Y63 SLR_REGION_ID 0 TILEPROP INT_L_X8Y63 TILE_PATTERN_IDX 6728 TILEPROP INT_L_X8Y63 TILE_TYPE INT_L TILEPROP INT_L_X8Y63 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y63 TILE_X -56414 TILEPROP INT_L_X8Y63 TILE_Y -36800 TILEPROP INT_L_X8Y63 TYPE INT_L TILEPROP INT_L_X8Y64 CLASS tile TILEPROP INT_L_X8Y64 COLUMN 25 TILEPROP INT_L_X8Y64 DEVICE_ID 0 TILEPROP INT_L_X8Y64 FIRST_SITE_ID 8865 TILEPROP INT_L_X8Y64 GRID_POINT_X 25 TILEPROP INT_L_X8Y64 GRID_POINT_Y 89 TILEPROP INT_L_X8Y64 INDEX 10260 TILEPROP INT_L_X8Y64 INT_TILE_X 8 TILEPROP INT_L_X8Y64 INT_TILE_Y 85 TILEPROP INT_L_X8Y64 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y64 IS_DCM_TILE 0 TILEPROP INT_L_X8Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y64 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y64 NAME INT_L_X8Y64 TILEPROP INT_L_X8Y64 NUM_ARCS 3737 TILEPROP INT_L_X8Y64 NUM_SITES 1 TILEPROP INT_L_X8Y64 ROW 89 TILEPROP INT_L_X8Y64 SLR_REGION_ID 0 TILEPROP INT_L_X8Y64 TILE_PATTERN_IDX 6691 TILEPROP INT_L_X8Y64 TILE_TYPE INT_L TILEPROP INT_L_X8Y64 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y64 TILE_X -56414 TILEPROP INT_L_X8Y64 TILE_Y -33600 TILEPROP INT_L_X8Y64 TYPE INT_L TILEPROP INT_L_X8Y65 CLASS tile TILEPROP INT_L_X8Y65 COLUMN 25 TILEPROP INT_L_X8Y65 DEVICE_ID 0 TILEPROP INT_L_X8Y65 FIRST_SITE_ID 8753 TILEPROP INT_L_X8Y65 GRID_POINT_X 25 TILEPROP INT_L_X8Y65 GRID_POINT_Y 88 TILEPROP INT_L_X8Y65 INDEX 10145 TILEPROP INT_L_X8Y65 INT_TILE_X 8 TILEPROP INT_L_X8Y65 INT_TILE_Y 84 TILEPROP INT_L_X8Y65 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y65 IS_DCM_TILE 0 TILEPROP INT_L_X8Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y65 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y65 NAME INT_L_X8Y65 TILEPROP INT_L_X8Y65 NUM_ARCS 3737 TILEPROP INT_L_X8Y65 NUM_SITES 1 TILEPROP INT_L_X8Y65 ROW 88 TILEPROP INT_L_X8Y65 SLR_REGION_ID 0 TILEPROP INT_L_X8Y65 TILE_PATTERN_IDX 6654 TILEPROP INT_L_X8Y65 TILE_TYPE INT_L TILEPROP INT_L_X8Y65 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y65 TILE_X -56414 TILEPROP INT_L_X8Y65 TILE_Y -30400 TILEPROP INT_L_X8Y65 TYPE INT_L TILEPROP INT_L_X8Y66 CLASS tile TILEPROP INT_L_X8Y66 COLUMN 25 TILEPROP INT_L_X8Y66 DEVICE_ID 0 TILEPROP INT_L_X8Y66 FIRST_SITE_ID 8650 TILEPROP INT_L_X8Y66 GRID_POINT_X 25 TILEPROP INT_L_X8Y66 GRID_POINT_Y 87 TILEPROP INT_L_X8Y66 INDEX 10030 TILEPROP INT_L_X8Y66 INT_TILE_X 8 TILEPROP INT_L_X8Y66 INT_TILE_Y 83 TILEPROP INT_L_X8Y66 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y66 IS_DCM_TILE 0 TILEPROP INT_L_X8Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y66 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y66 NAME INT_L_X8Y66 TILEPROP INT_L_X8Y66 NUM_ARCS 3737 TILEPROP INT_L_X8Y66 NUM_SITES 1 TILEPROP INT_L_X8Y66 ROW 87 TILEPROP INT_L_X8Y66 SLR_REGION_ID 0 TILEPROP INT_L_X8Y66 TILE_PATTERN_IDX 6617 TILEPROP INT_L_X8Y66 TILE_TYPE INT_L TILEPROP INT_L_X8Y66 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y66 TILE_X -56414 TILEPROP INT_L_X8Y66 TILE_Y -27200 TILEPROP INT_L_X8Y66 TYPE INT_L TILEPROP INT_L_X8Y67 CLASS tile TILEPROP INT_L_X8Y67 COLUMN 25 TILEPROP INT_L_X8Y67 DEVICE_ID 0 TILEPROP INT_L_X8Y67 FIRST_SITE_ID 8546 TILEPROP INT_L_X8Y67 GRID_POINT_X 25 TILEPROP INT_L_X8Y67 GRID_POINT_Y 86 TILEPROP INT_L_X8Y67 INDEX 9915 TILEPROP INT_L_X8Y67 INT_TILE_X 8 TILEPROP INT_L_X8Y67 INT_TILE_Y 82 TILEPROP INT_L_X8Y67 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y67 IS_DCM_TILE 0 TILEPROP INT_L_X8Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y67 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y67 NAME INT_L_X8Y67 TILEPROP INT_L_X8Y67 NUM_ARCS 3737 TILEPROP INT_L_X8Y67 NUM_SITES 1 TILEPROP INT_L_X8Y67 ROW 86 TILEPROP INT_L_X8Y67 SLR_REGION_ID 0 TILEPROP INT_L_X8Y67 TILE_PATTERN_IDX 6579 TILEPROP INT_L_X8Y67 TILE_TYPE INT_L TILEPROP INT_L_X8Y67 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y67 TILE_X -56414 TILEPROP INT_L_X8Y67 TILE_Y -24000 TILEPROP INT_L_X8Y67 TYPE INT_L TILEPROP INT_L_X8Y68 CLASS tile TILEPROP INT_L_X8Y68 COLUMN 25 TILEPROP INT_L_X8Y68 DEVICE_ID 0 TILEPROP INT_L_X8Y68 FIRST_SITE_ID 8442 TILEPROP INT_L_X8Y68 GRID_POINT_X 25 TILEPROP INT_L_X8Y68 GRID_POINT_Y 85 TILEPROP INT_L_X8Y68 INDEX 9800 TILEPROP INT_L_X8Y68 INT_TILE_X 8 TILEPROP INT_L_X8Y68 INT_TILE_Y 81 TILEPROP INT_L_X8Y68 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y68 IS_DCM_TILE 0 TILEPROP INT_L_X8Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y68 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y68 NAME INT_L_X8Y68 TILEPROP INT_L_X8Y68 NUM_ARCS 3737 TILEPROP INT_L_X8Y68 NUM_SITES 1 TILEPROP INT_L_X8Y68 ROW 85 TILEPROP INT_L_X8Y68 SLR_REGION_ID 0 TILEPROP INT_L_X8Y68 TILE_PATTERN_IDX 6547 TILEPROP INT_L_X8Y68 TILE_TYPE INT_L TILEPROP INT_L_X8Y68 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y68 TILE_X -56414 TILEPROP INT_L_X8Y68 TILE_Y -20800 TILEPROP INT_L_X8Y68 TYPE INT_L TILEPROP INT_L_X8Y69 CLASS tile TILEPROP INT_L_X8Y69 COLUMN 25 TILEPROP INT_L_X8Y69 DEVICE_ID 0 TILEPROP INT_L_X8Y69 FIRST_SITE_ID 8340 TILEPROP INT_L_X8Y69 GRID_POINT_X 25 TILEPROP INT_L_X8Y69 GRID_POINT_Y 84 TILEPROP INT_L_X8Y69 INDEX 9685 TILEPROP INT_L_X8Y69 INT_TILE_X 8 TILEPROP INT_L_X8Y69 INT_TILE_Y 80 TILEPROP INT_L_X8Y69 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y69 IS_DCM_TILE 0 TILEPROP INT_L_X8Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y69 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y69 NAME INT_L_X8Y69 TILEPROP INT_L_X8Y69 NUM_ARCS 3737 TILEPROP INT_L_X8Y69 NUM_SITES 1 TILEPROP INT_L_X8Y69 ROW 84 TILEPROP INT_L_X8Y69 SLR_REGION_ID 0 TILEPROP INT_L_X8Y69 TILE_PATTERN_IDX 6516 TILEPROP INT_L_X8Y69 TILE_TYPE INT_L TILEPROP INT_L_X8Y69 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y69 TILE_X -56414 TILEPROP INT_L_X8Y69 TILE_Y -17600 TILEPROP INT_L_X8Y69 TYPE INT_L TILEPROP INT_L_X8Y70 CLASS tile TILEPROP INT_L_X8Y70 COLUMN 25 TILEPROP INT_L_X8Y70 DEVICE_ID 0 TILEPROP INT_L_X8Y70 FIRST_SITE_ID 8226 TILEPROP INT_L_X8Y70 GRID_POINT_X 25 TILEPROP INT_L_X8Y70 GRID_POINT_Y 83 TILEPROP INT_L_X8Y70 INDEX 9570 TILEPROP INT_L_X8Y70 INT_TILE_X 8 TILEPROP INT_L_X8Y70 INT_TILE_Y 79 TILEPROP INT_L_X8Y70 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y70 IS_DCM_TILE 0 TILEPROP INT_L_X8Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y70 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y70 NAME INT_L_X8Y70 TILEPROP INT_L_X8Y70 NUM_ARCS 3737 TILEPROP INT_L_X8Y70 NUM_SITES 1 TILEPROP INT_L_X8Y70 ROW 83 TILEPROP INT_L_X8Y70 SLR_REGION_ID 0 TILEPROP INT_L_X8Y70 TILE_PATTERN_IDX 6485 TILEPROP INT_L_X8Y70 TILE_TYPE INT_L TILEPROP INT_L_X8Y70 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y70 TILE_X -56414 TILEPROP INT_L_X8Y70 TILE_Y -14400 TILEPROP INT_L_X8Y70 TYPE INT_L TILEPROP INT_L_X8Y71 CLASS tile TILEPROP INT_L_X8Y71 COLUMN 25 TILEPROP INT_L_X8Y71 DEVICE_ID 0 TILEPROP INT_L_X8Y71 FIRST_SITE_ID 8123 TILEPROP INT_L_X8Y71 GRID_POINT_X 25 TILEPROP INT_L_X8Y71 GRID_POINT_Y 82 TILEPROP INT_L_X8Y71 INDEX 9455 TILEPROP INT_L_X8Y71 INT_TILE_X 8 TILEPROP INT_L_X8Y71 INT_TILE_Y 78 TILEPROP INT_L_X8Y71 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y71 IS_DCM_TILE 0 TILEPROP INT_L_X8Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y71 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y71 NAME INT_L_X8Y71 TILEPROP INT_L_X8Y71 NUM_ARCS 3737 TILEPROP INT_L_X8Y71 NUM_SITES 1 TILEPROP INT_L_X8Y71 ROW 82 TILEPROP INT_L_X8Y71 SLR_REGION_ID 0 TILEPROP INT_L_X8Y71 TILE_PATTERN_IDX 6454 TILEPROP INT_L_X8Y71 TILE_TYPE INT_L TILEPROP INT_L_X8Y71 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y71 TILE_X -56414 TILEPROP INT_L_X8Y71 TILE_Y -11200 TILEPROP INT_L_X8Y71 TYPE INT_L TILEPROP INT_L_X8Y72 CLASS tile TILEPROP INT_L_X8Y72 COLUMN 25 TILEPROP INT_L_X8Y72 DEVICE_ID 0 TILEPROP INT_L_X8Y72 FIRST_SITE_ID 8023 TILEPROP INT_L_X8Y72 GRID_POINT_X 25 TILEPROP INT_L_X8Y72 GRID_POINT_Y 81 TILEPROP INT_L_X8Y72 INDEX 9340 TILEPROP INT_L_X8Y72 INT_TILE_X 8 TILEPROP INT_L_X8Y72 INT_TILE_Y 77 TILEPROP INT_L_X8Y72 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y72 IS_DCM_TILE 0 TILEPROP INT_L_X8Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y72 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y72 NAME INT_L_X8Y72 TILEPROP INT_L_X8Y72 NUM_ARCS 3737 TILEPROP INT_L_X8Y72 NUM_SITES 1 TILEPROP INT_L_X8Y72 ROW 81 TILEPROP INT_L_X8Y72 SLR_REGION_ID 0 TILEPROP INT_L_X8Y72 TILE_PATTERN_IDX 6423 TILEPROP INT_L_X8Y72 TILE_TYPE INT_L TILEPROP INT_L_X8Y72 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y72 TILE_X -56414 TILEPROP INT_L_X8Y72 TILE_Y -8000 TILEPROP INT_L_X8Y72 TYPE INT_L TILEPROP INT_L_X8Y73 CLASS tile TILEPROP INT_L_X8Y73 COLUMN 25 TILEPROP INT_L_X8Y73 DEVICE_ID 0 TILEPROP INT_L_X8Y73 FIRST_SITE_ID 7923 TILEPROP INT_L_X8Y73 GRID_POINT_X 25 TILEPROP INT_L_X8Y73 GRID_POINT_Y 80 TILEPROP INT_L_X8Y73 INDEX 9225 TILEPROP INT_L_X8Y73 INT_TILE_X 8 TILEPROP INT_L_X8Y73 INT_TILE_Y 76 TILEPROP INT_L_X8Y73 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y73 IS_DCM_TILE 0 TILEPROP INT_L_X8Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y73 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y73 NAME INT_L_X8Y73 TILEPROP INT_L_X8Y73 NUM_ARCS 3737 TILEPROP INT_L_X8Y73 NUM_SITES 1 TILEPROP INT_L_X8Y73 ROW 80 TILEPROP INT_L_X8Y73 SLR_REGION_ID 0 TILEPROP INT_L_X8Y73 TILE_PATTERN_IDX 6392 TILEPROP INT_L_X8Y73 TILE_TYPE INT_L TILEPROP INT_L_X8Y73 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y73 TILE_X -56414 TILEPROP INT_L_X8Y73 TILE_Y -4800 TILEPROP INT_L_X8Y73 TYPE INT_L TILEPROP INT_L_X8Y74 CLASS tile TILEPROP INT_L_X8Y74 COLUMN 25 TILEPROP INT_L_X8Y74 DEVICE_ID 0 TILEPROP INT_L_X8Y74 FIRST_SITE_ID 7823 TILEPROP INT_L_X8Y74 GRID_POINT_X 25 TILEPROP INT_L_X8Y74 GRID_POINT_Y 79 TILEPROP INT_L_X8Y74 INDEX 9110 TILEPROP INT_L_X8Y74 INT_TILE_X 8 TILEPROP INT_L_X8Y74 INT_TILE_Y 75 TILEPROP INT_L_X8Y74 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y74 IS_DCM_TILE 0 TILEPROP INT_L_X8Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y74 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y74 NAME INT_L_X8Y74 TILEPROP INT_L_X8Y74 NUM_ARCS 3737 TILEPROP INT_L_X8Y74 NUM_SITES 1 TILEPROP INT_L_X8Y74 ROW 79 TILEPROP INT_L_X8Y74 SLR_REGION_ID 0 TILEPROP INT_L_X8Y74 TILE_PATTERN_IDX 6361 TILEPROP INT_L_X8Y74 TILE_TYPE INT_L TILEPROP INT_L_X8Y74 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y74 TILE_X -56414 TILEPROP INT_L_X8Y74 TILE_Y -1600 TILEPROP INT_L_X8Y74 TYPE INT_L TILEPROP INT_L_X8Y75 CLASS tile TILEPROP INT_L_X8Y75 COLUMN 25 TILEPROP INT_L_X8Y75 DEVICE_ID 0 TILEPROP INT_L_X8Y75 FIRST_SITE_ID 7625 TILEPROP INT_L_X8Y75 GRID_POINT_X 25 TILEPROP INT_L_X8Y75 GRID_POINT_Y 77 TILEPROP INT_L_X8Y75 INDEX 8880 TILEPROP INT_L_X8Y75 INT_TILE_X 8 TILEPROP INT_L_X8Y75 INT_TILE_Y 74 TILEPROP INT_L_X8Y75 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y75 IS_DCM_TILE 0 TILEPROP INT_L_X8Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y75 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y75 NAME INT_L_X8Y75 TILEPROP INT_L_X8Y75 NUM_ARCS 3737 TILEPROP INT_L_X8Y75 NUM_SITES 1 TILEPROP INT_L_X8Y75 ROW 77 TILEPROP INT_L_X8Y75 SLR_REGION_ID 0 TILEPROP INT_L_X8Y75 TILE_PATTERN_IDX 6285 TILEPROP INT_L_X8Y75 TILE_TYPE INT_L TILEPROP INT_L_X8Y75 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y75 TILE_X -56414 TILEPROP INT_L_X8Y75 TILE_Y 2624 TILEPROP INT_L_X8Y75 TYPE INT_L TILEPROP INT_L_X8Y76 CLASS tile TILEPROP INT_L_X8Y76 COLUMN 25 TILEPROP INT_L_X8Y76 DEVICE_ID 0 TILEPROP INT_L_X8Y76 FIRST_SITE_ID 7522 TILEPROP INT_L_X8Y76 GRID_POINT_X 25 TILEPROP INT_L_X8Y76 GRID_POINT_Y 76 TILEPROP INT_L_X8Y76 INDEX 8765 TILEPROP INT_L_X8Y76 INT_TILE_X 8 TILEPROP INT_L_X8Y76 INT_TILE_Y 73 TILEPROP INT_L_X8Y76 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y76 IS_DCM_TILE 0 TILEPROP INT_L_X8Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y76 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y76 NAME INT_L_X8Y76 TILEPROP INT_L_X8Y76 NUM_ARCS 3737 TILEPROP INT_L_X8Y76 NUM_SITES 1 TILEPROP INT_L_X8Y76 ROW 76 TILEPROP INT_L_X8Y76 SLR_REGION_ID 0 TILEPROP INT_L_X8Y76 TILE_PATTERN_IDX 6252 TILEPROP INT_L_X8Y76 TILE_TYPE INT_L TILEPROP INT_L_X8Y76 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y76 TILE_X -56414 TILEPROP INT_L_X8Y76 TILE_Y 5824 TILEPROP INT_L_X8Y76 TYPE INT_L TILEPROP INT_L_X8Y77 CLASS tile TILEPROP INT_L_X8Y77 COLUMN 25 TILEPROP INT_L_X8Y77 DEVICE_ID 0 TILEPROP INT_L_X8Y77 FIRST_SITE_ID 7422 TILEPROP INT_L_X8Y77 GRID_POINT_X 25 TILEPROP INT_L_X8Y77 GRID_POINT_Y 75 TILEPROP INT_L_X8Y77 INDEX 8650 TILEPROP INT_L_X8Y77 INT_TILE_X 8 TILEPROP INT_L_X8Y77 INT_TILE_Y 72 TILEPROP INT_L_X8Y77 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y77 IS_DCM_TILE 0 TILEPROP INT_L_X8Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y77 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y77 NAME INT_L_X8Y77 TILEPROP INT_L_X8Y77 NUM_ARCS 3737 TILEPROP INT_L_X8Y77 NUM_SITES 1 TILEPROP INT_L_X8Y77 ROW 75 TILEPROP INT_L_X8Y77 SLR_REGION_ID 0 TILEPROP INT_L_X8Y77 TILE_PATTERN_IDX 6218 TILEPROP INT_L_X8Y77 TILE_TYPE INT_L TILEPROP INT_L_X8Y77 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y77 TILE_X -56414 TILEPROP INT_L_X8Y77 TILE_Y 9024 TILEPROP INT_L_X8Y77 TYPE INT_L TILEPROP INT_L_X8Y78 CLASS tile TILEPROP INT_L_X8Y78 COLUMN 25 TILEPROP INT_L_X8Y78 DEVICE_ID 0 TILEPROP INT_L_X8Y78 FIRST_SITE_ID 7322 TILEPROP INT_L_X8Y78 GRID_POINT_X 25 TILEPROP INT_L_X8Y78 GRID_POINT_Y 74 TILEPROP INT_L_X8Y78 INDEX 8535 TILEPROP INT_L_X8Y78 INT_TILE_X 8 TILEPROP INT_L_X8Y78 INT_TILE_Y 71 TILEPROP INT_L_X8Y78 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y78 IS_DCM_TILE 0 TILEPROP INT_L_X8Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y78 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y78 NAME INT_L_X8Y78 TILEPROP INT_L_X8Y78 NUM_ARCS 3737 TILEPROP INT_L_X8Y78 NUM_SITES 1 TILEPROP INT_L_X8Y78 ROW 74 TILEPROP INT_L_X8Y78 SLR_REGION_ID 0 TILEPROP INT_L_X8Y78 TILE_PATTERN_IDX 6185 TILEPROP INT_L_X8Y78 TILE_TYPE INT_L TILEPROP INT_L_X8Y78 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y78 TILE_X -56414 TILEPROP INT_L_X8Y78 TILE_Y 12224 TILEPROP INT_L_X8Y78 TYPE INT_L TILEPROP INT_L_X8Y79 CLASS tile TILEPROP INT_L_X8Y79 COLUMN 25 TILEPROP INT_L_X8Y79 DEVICE_ID 0 TILEPROP INT_L_X8Y79 FIRST_SITE_ID 7216 TILEPROP INT_L_X8Y79 GRID_POINT_X 25 TILEPROP INT_L_X8Y79 GRID_POINT_Y 73 TILEPROP INT_L_X8Y79 INDEX 8420 TILEPROP INT_L_X8Y79 INT_TILE_X 8 TILEPROP INT_L_X8Y79 INT_TILE_Y 70 TILEPROP INT_L_X8Y79 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y79 IS_DCM_TILE 0 TILEPROP INT_L_X8Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y79 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y79 NAME INT_L_X8Y79 TILEPROP INT_L_X8Y79 NUM_ARCS 3737 TILEPROP INT_L_X8Y79 NUM_SITES 1 TILEPROP INT_L_X8Y79 ROW 73 TILEPROP INT_L_X8Y79 SLR_REGION_ID 0 TILEPROP INT_L_X8Y79 TILE_PATTERN_IDX 6150 TILEPROP INT_L_X8Y79 TILE_TYPE INT_L TILEPROP INT_L_X8Y79 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y79 TILE_X -56414 TILEPROP INT_L_X8Y79 TILE_Y 15424 TILEPROP INT_L_X8Y79 TYPE INT_L TILEPROP INT_L_X8Y80 CLASS tile TILEPROP INT_L_X8Y80 COLUMN 25 TILEPROP INT_L_X8Y80 DEVICE_ID 0 TILEPROP INT_L_X8Y80 FIRST_SITE_ID 7098 TILEPROP INT_L_X8Y80 GRID_POINT_X 25 TILEPROP INT_L_X8Y80 GRID_POINT_Y 72 TILEPROP INT_L_X8Y80 INDEX 8305 TILEPROP INT_L_X8Y80 INT_TILE_X 8 TILEPROP INT_L_X8Y80 INT_TILE_Y 69 TILEPROP INT_L_X8Y80 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y80 IS_DCM_TILE 0 TILEPROP INT_L_X8Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y80 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y80 NAME INT_L_X8Y80 TILEPROP INT_L_X8Y80 NUM_ARCS 3737 TILEPROP INT_L_X8Y80 NUM_SITES 1 TILEPROP INT_L_X8Y80 ROW 72 TILEPROP INT_L_X8Y80 SLR_REGION_ID 0 TILEPROP INT_L_X8Y80 TILE_PATTERN_IDX 6115 TILEPROP INT_L_X8Y80 TILE_TYPE INT_L TILEPROP INT_L_X8Y80 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y80 TILE_X -56414 TILEPROP INT_L_X8Y80 TILE_Y 18624 TILEPROP INT_L_X8Y80 TYPE INT_L TILEPROP INT_L_X8Y81 CLASS tile TILEPROP INT_L_X8Y81 COLUMN 25 TILEPROP INT_L_X8Y81 DEVICE_ID 0 TILEPROP INT_L_X8Y81 FIRST_SITE_ID 6993 TILEPROP INT_L_X8Y81 GRID_POINT_X 25 TILEPROP INT_L_X8Y81 GRID_POINT_Y 71 TILEPROP INT_L_X8Y81 INDEX 8190 TILEPROP INT_L_X8Y81 INT_TILE_X 8 TILEPROP INT_L_X8Y81 INT_TILE_Y 68 TILEPROP INT_L_X8Y81 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y81 IS_DCM_TILE 0 TILEPROP INT_L_X8Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y81 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y81 NAME INT_L_X8Y81 TILEPROP INT_L_X8Y81 NUM_ARCS 3737 TILEPROP INT_L_X8Y81 NUM_SITES 1 TILEPROP INT_L_X8Y81 ROW 71 TILEPROP INT_L_X8Y81 SLR_REGION_ID 0 TILEPROP INT_L_X8Y81 TILE_PATTERN_IDX 6081 TILEPROP INT_L_X8Y81 TILE_TYPE INT_L TILEPROP INT_L_X8Y81 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y81 TILE_X -56414 TILEPROP INT_L_X8Y81 TILE_Y 21824 TILEPROP INT_L_X8Y81 TYPE INT_L TILEPROP INT_L_X8Y82 CLASS tile TILEPROP INT_L_X8Y82 COLUMN 25 TILEPROP INT_L_X8Y82 DEVICE_ID 0 TILEPROP INT_L_X8Y82 FIRST_SITE_ID 6891 TILEPROP INT_L_X8Y82 GRID_POINT_X 25 TILEPROP INT_L_X8Y82 GRID_POINT_Y 70 TILEPROP INT_L_X8Y82 INDEX 8075 TILEPROP INT_L_X8Y82 INT_TILE_X 8 TILEPROP INT_L_X8Y82 INT_TILE_Y 67 TILEPROP INT_L_X8Y82 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y82 IS_DCM_TILE 0 TILEPROP INT_L_X8Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y82 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y82 NAME INT_L_X8Y82 TILEPROP INT_L_X8Y82 NUM_ARCS 3737 TILEPROP INT_L_X8Y82 NUM_SITES 1 TILEPROP INT_L_X8Y82 ROW 70 TILEPROP INT_L_X8Y82 SLR_REGION_ID 0 TILEPROP INT_L_X8Y82 TILE_PATTERN_IDX 6047 TILEPROP INT_L_X8Y82 TILE_TYPE INT_L TILEPROP INT_L_X8Y82 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y82 TILE_X -56414 TILEPROP INT_L_X8Y82 TILE_Y 25024 TILEPROP INT_L_X8Y82 TYPE INT_L TILEPROP INT_L_X8Y83 CLASS tile TILEPROP INT_L_X8Y83 COLUMN 25 TILEPROP INT_L_X8Y83 DEVICE_ID 0 TILEPROP INT_L_X8Y83 FIRST_SITE_ID 6791 TILEPROP INT_L_X8Y83 GRID_POINT_X 25 TILEPROP INT_L_X8Y83 GRID_POINT_Y 69 TILEPROP INT_L_X8Y83 INDEX 7960 TILEPROP INT_L_X8Y83 INT_TILE_X 8 TILEPROP INT_L_X8Y83 INT_TILE_Y 66 TILEPROP INT_L_X8Y83 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y83 IS_DCM_TILE 0 TILEPROP INT_L_X8Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y83 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y83 NAME INT_L_X8Y83 TILEPROP INT_L_X8Y83 NUM_ARCS 3737 TILEPROP INT_L_X8Y83 NUM_SITES 1 TILEPROP INT_L_X8Y83 ROW 69 TILEPROP INT_L_X8Y83 SLR_REGION_ID 0 TILEPROP INT_L_X8Y83 TILE_PATTERN_IDX 6013 TILEPROP INT_L_X8Y83 TILE_TYPE INT_L TILEPROP INT_L_X8Y83 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y83 TILE_X -56414 TILEPROP INT_L_X8Y83 TILE_Y 28224 TILEPROP INT_L_X8Y83 TYPE INT_L TILEPROP INT_L_X8Y84 CLASS tile TILEPROP INT_L_X8Y84 COLUMN 25 TILEPROP INT_L_X8Y84 DEVICE_ID 0 TILEPROP INT_L_X8Y84 FIRST_SITE_ID 6691 TILEPROP INT_L_X8Y84 GRID_POINT_X 25 TILEPROP INT_L_X8Y84 GRID_POINT_Y 68 TILEPROP INT_L_X8Y84 INDEX 7845 TILEPROP INT_L_X8Y84 INT_TILE_X 8 TILEPROP INT_L_X8Y84 INT_TILE_Y 65 TILEPROP INT_L_X8Y84 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y84 IS_DCM_TILE 0 TILEPROP INT_L_X8Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y84 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y84 NAME INT_L_X8Y84 TILEPROP INT_L_X8Y84 NUM_ARCS 3737 TILEPROP INT_L_X8Y84 NUM_SITES 1 TILEPROP INT_L_X8Y84 ROW 68 TILEPROP INT_L_X8Y84 SLR_REGION_ID 0 TILEPROP INT_L_X8Y84 TILE_PATTERN_IDX 5979 TILEPROP INT_L_X8Y84 TILE_TYPE INT_L TILEPROP INT_L_X8Y84 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y84 TILE_X -56414 TILEPROP INT_L_X8Y84 TILE_Y 31424 TILEPROP INT_L_X8Y84 TYPE INT_L TILEPROP INT_L_X8Y85 CLASS tile TILEPROP INT_L_X8Y85 COLUMN 25 TILEPROP INT_L_X8Y85 DEVICE_ID 0 TILEPROP INT_L_X8Y85 FIRST_SITE_ID 6579 TILEPROP INT_L_X8Y85 GRID_POINT_X 25 TILEPROP INT_L_X8Y85 GRID_POINT_Y 67 TILEPROP INT_L_X8Y85 INDEX 7730 TILEPROP INT_L_X8Y85 INT_TILE_X 8 TILEPROP INT_L_X8Y85 INT_TILE_Y 64 TILEPROP INT_L_X8Y85 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y85 IS_DCM_TILE 0 TILEPROP INT_L_X8Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y85 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y85 NAME INT_L_X8Y85 TILEPROP INT_L_X8Y85 NUM_ARCS 3737 TILEPROP INT_L_X8Y85 NUM_SITES 1 TILEPROP INT_L_X8Y85 ROW 67 TILEPROP INT_L_X8Y85 SLR_REGION_ID 0 TILEPROP INT_L_X8Y85 TILE_PATTERN_IDX 5944 TILEPROP INT_L_X8Y85 TILE_TYPE INT_L TILEPROP INT_L_X8Y85 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y85 TILE_X -56414 TILEPROP INT_L_X8Y85 TILE_Y 34624 TILEPROP INT_L_X8Y85 TYPE INT_L TILEPROP INT_L_X8Y86 CLASS tile TILEPROP INT_L_X8Y86 COLUMN 25 TILEPROP INT_L_X8Y86 DEVICE_ID 0 TILEPROP INT_L_X8Y86 FIRST_SITE_ID 6444 TILEPROP INT_L_X8Y86 GRID_POINT_X 25 TILEPROP INT_L_X8Y86 GRID_POINT_Y 66 TILEPROP INT_L_X8Y86 INDEX 7615 TILEPROP INT_L_X8Y86 INT_TILE_X 8 TILEPROP INT_L_X8Y86 INT_TILE_Y 63 TILEPROP INT_L_X8Y86 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y86 IS_DCM_TILE 0 TILEPROP INT_L_X8Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y86 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y86 NAME INT_L_X8Y86 TILEPROP INT_L_X8Y86 NUM_ARCS 3737 TILEPROP INT_L_X8Y86 NUM_SITES 1 TILEPROP INT_L_X8Y86 ROW 66 TILEPROP INT_L_X8Y86 SLR_REGION_ID 0 TILEPROP INT_L_X8Y86 TILE_PATTERN_IDX 5909 TILEPROP INT_L_X8Y86 TILE_TYPE INT_L TILEPROP INT_L_X8Y86 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y86 TILE_X -56414 TILEPROP INT_L_X8Y86 TILE_Y 37824 TILEPROP INT_L_X8Y86 TYPE INT_L TILEPROP INT_L_X8Y87 CLASS tile TILEPROP INT_L_X8Y87 COLUMN 25 TILEPROP INT_L_X8Y87 DEVICE_ID 0 TILEPROP INT_L_X8Y87 FIRST_SITE_ID 6344 TILEPROP INT_L_X8Y87 GRID_POINT_X 25 TILEPROP INT_L_X8Y87 GRID_POINT_Y 65 TILEPROP INT_L_X8Y87 INDEX 7500 TILEPROP INT_L_X8Y87 INT_TILE_X 8 TILEPROP INT_L_X8Y87 INT_TILE_Y 62 TILEPROP INT_L_X8Y87 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y87 IS_DCM_TILE 0 TILEPROP INT_L_X8Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y87 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y87 NAME INT_L_X8Y87 TILEPROP INT_L_X8Y87 NUM_ARCS 3737 TILEPROP INT_L_X8Y87 NUM_SITES 1 TILEPROP INT_L_X8Y87 ROW 65 TILEPROP INT_L_X8Y87 SLR_REGION_ID 0 TILEPROP INT_L_X8Y87 TILE_PATTERN_IDX 5875 TILEPROP INT_L_X8Y87 TILE_TYPE INT_L TILEPROP INT_L_X8Y87 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y87 TILE_X -56414 TILEPROP INT_L_X8Y87 TILE_Y 41024 TILEPROP INT_L_X8Y87 TYPE INT_L TILEPROP INT_L_X8Y88 CLASS tile TILEPROP INT_L_X8Y88 COLUMN 25 TILEPROP INT_L_X8Y88 DEVICE_ID 0 TILEPROP INT_L_X8Y88 FIRST_SITE_ID 6244 TILEPROP INT_L_X8Y88 GRID_POINT_X 25 TILEPROP INT_L_X8Y88 GRID_POINT_Y 64 TILEPROP INT_L_X8Y88 INDEX 7385 TILEPROP INT_L_X8Y88 INT_TILE_X 8 TILEPROP INT_L_X8Y88 INT_TILE_Y 61 TILEPROP INT_L_X8Y88 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y88 IS_DCM_TILE 0 TILEPROP INT_L_X8Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y88 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y88 NAME INT_L_X8Y88 TILEPROP INT_L_X8Y88 NUM_ARCS 3737 TILEPROP INT_L_X8Y88 NUM_SITES 1 TILEPROP INT_L_X8Y88 ROW 64 TILEPROP INT_L_X8Y88 SLR_REGION_ID 0 TILEPROP INT_L_X8Y88 TILE_PATTERN_IDX 5842 TILEPROP INT_L_X8Y88 TILE_TYPE INT_L TILEPROP INT_L_X8Y88 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y88 TILE_X -56414 TILEPROP INT_L_X8Y88 TILE_Y 44224 TILEPROP INT_L_X8Y88 TYPE INT_L TILEPROP INT_L_X8Y89 CLASS tile TILEPROP INT_L_X8Y89 COLUMN 25 TILEPROP INT_L_X8Y89 DEVICE_ID 0 TILEPROP INT_L_X8Y89 FIRST_SITE_ID 6144 TILEPROP INT_L_X8Y89 GRID_POINT_X 25 TILEPROP INT_L_X8Y89 GRID_POINT_Y 63 TILEPROP INT_L_X8Y89 INDEX 7270 TILEPROP INT_L_X8Y89 INT_TILE_X 8 TILEPROP INT_L_X8Y89 INT_TILE_Y 60 TILEPROP INT_L_X8Y89 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y89 IS_DCM_TILE 0 TILEPROP INT_L_X8Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y89 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y89 NAME INT_L_X8Y89 TILEPROP INT_L_X8Y89 NUM_ARCS 3737 TILEPROP INT_L_X8Y89 NUM_SITES 1 TILEPROP INT_L_X8Y89 ROW 63 TILEPROP INT_L_X8Y89 SLR_REGION_ID 0 TILEPROP INT_L_X8Y89 TILE_PATTERN_IDX 5808 TILEPROP INT_L_X8Y89 TILE_TYPE INT_L TILEPROP INT_L_X8Y89 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y89 TILE_X -56414 TILEPROP INT_L_X8Y89 TILE_Y 47424 TILEPROP INT_L_X8Y89 TYPE INT_L TILEPROP INT_L_X8Y90 CLASS tile TILEPROP INT_L_X8Y90 COLUMN 25 TILEPROP INT_L_X8Y90 DEVICE_ID 0 TILEPROP INT_L_X8Y90 FIRST_SITE_ID 6032 TILEPROP INT_L_X8Y90 GRID_POINT_X 25 TILEPROP INT_L_X8Y90 GRID_POINT_Y 62 TILEPROP INT_L_X8Y90 INDEX 7155 TILEPROP INT_L_X8Y90 INT_TILE_X 8 TILEPROP INT_L_X8Y90 INT_TILE_Y 59 TILEPROP INT_L_X8Y90 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y90 IS_DCM_TILE 0 TILEPROP INT_L_X8Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y90 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y90 NAME INT_L_X8Y90 TILEPROP INT_L_X8Y90 NUM_ARCS 3737 TILEPROP INT_L_X8Y90 NUM_SITES 1 TILEPROP INT_L_X8Y90 ROW 62 TILEPROP INT_L_X8Y90 SLR_REGION_ID 0 TILEPROP INT_L_X8Y90 TILE_PATTERN_IDX 5774 TILEPROP INT_L_X8Y90 TILE_TYPE INT_L TILEPROP INT_L_X8Y90 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y90 TILE_X -56414 TILEPROP INT_L_X8Y90 TILE_Y 50624 TILEPROP INT_L_X8Y90 TYPE INT_L TILEPROP INT_L_X8Y91 CLASS tile TILEPROP INT_L_X8Y91 COLUMN 25 TILEPROP INT_L_X8Y91 DEVICE_ID 0 TILEPROP INT_L_X8Y91 FIRST_SITE_ID 5929 TILEPROP INT_L_X8Y91 GRID_POINT_X 25 TILEPROP INT_L_X8Y91 GRID_POINT_Y 61 TILEPROP INT_L_X8Y91 INDEX 7040 TILEPROP INT_L_X8Y91 INT_TILE_X 8 TILEPROP INT_L_X8Y91 INT_TILE_Y 58 TILEPROP INT_L_X8Y91 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y91 IS_DCM_TILE 0 TILEPROP INT_L_X8Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y91 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y91 NAME INT_L_X8Y91 TILEPROP INT_L_X8Y91 NUM_ARCS 3737 TILEPROP INT_L_X8Y91 NUM_SITES 1 TILEPROP INT_L_X8Y91 ROW 61 TILEPROP INT_L_X8Y91 SLR_REGION_ID 0 TILEPROP INT_L_X8Y91 TILE_PATTERN_IDX 5740 TILEPROP INT_L_X8Y91 TILE_TYPE INT_L TILEPROP INT_L_X8Y91 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y91 TILE_X -56414 TILEPROP INT_L_X8Y91 TILE_Y 53824 TILEPROP INT_L_X8Y91 TYPE INT_L TILEPROP INT_L_X8Y92 CLASS tile TILEPROP INT_L_X8Y92 COLUMN 25 TILEPROP INT_L_X8Y92 DEVICE_ID 0 TILEPROP INT_L_X8Y92 FIRST_SITE_ID 5828 TILEPROP INT_L_X8Y92 GRID_POINT_X 25 TILEPROP INT_L_X8Y92 GRID_POINT_Y 60 TILEPROP INT_L_X8Y92 INDEX 6925 TILEPROP INT_L_X8Y92 INT_TILE_X 8 TILEPROP INT_L_X8Y92 INT_TILE_Y 57 TILEPROP INT_L_X8Y92 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y92 IS_DCM_TILE 0 TILEPROP INT_L_X8Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y92 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y92 NAME INT_L_X8Y92 TILEPROP INT_L_X8Y92 NUM_ARCS 3737 TILEPROP INT_L_X8Y92 NUM_SITES 1 TILEPROP INT_L_X8Y92 ROW 60 TILEPROP INT_L_X8Y92 SLR_REGION_ID 0 TILEPROP INT_L_X8Y92 TILE_PATTERN_IDX 5707 TILEPROP INT_L_X8Y92 TILE_TYPE INT_L TILEPROP INT_L_X8Y92 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y92 TILE_X -56414 TILEPROP INT_L_X8Y92 TILE_Y 57024 TILEPROP INT_L_X8Y92 TYPE INT_L TILEPROP INT_L_X8Y93 CLASS tile TILEPROP INT_L_X8Y93 COLUMN 25 TILEPROP INT_L_X8Y93 DEVICE_ID 0 TILEPROP INT_L_X8Y93 FIRST_SITE_ID 5725 TILEPROP INT_L_X8Y93 GRID_POINT_X 25 TILEPROP INT_L_X8Y93 GRID_POINT_Y 59 TILEPROP INT_L_X8Y93 INDEX 6810 TILEPROP INT_L_X8Y93 INT_TILE_X 8 TILEPROP INT_L_X8Y93 INT_TILE_Y 56 TILEPROP INT_L_X8Y93 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y93 IS_DCM_TILE 0 TILEPROP INT_L_X8Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y93 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y93 NAME INT_L_X8Y93 TILEPROP INT_L_X8Y93 NUM_ARCS 3737 TILEPROP INT_L_X8Y93 NUM_SITES 1 TILEPROP INT_L_X8Y93 ROW 59 TILEPROP INT_L_X8Y93 SLR_REGION_ID 0 TILEPROP INT_L_X8Y93 TILE_PATTERN_IDX 5673 TILEPROP INT_L_X8Y93 TILE_TYPE INT_L TILEPROP INT_L_X8Y93 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y93 TILE_X -56414 TILEPROP INT_L_X8Y93 TILE_Y 60224 TILEPROP INT_L_X8Y93 TYPE INT_L TILEPROP INT_L_X8Y94 CLASS tile TILEPROP INT_L_X8Y94 COLUMN 25 TILEPROP INT_L_X8Y94 DEVICE_ID 0 TILEPROP INT_L_X8Y94 FIRST_SITE_ID 5623 TILEPROP INT_L_X8Y94 GRID_POINT_X 25 TILEPROP INT_L_X8Y94 GRID_POINT_Y 58 TILEPROP INT_L_X8Y94 INDEX 6695 TILEPROP INT_L_X8Y94 INT_TILE_X 8 TILEPROP INT_L_X8Y94 INT_TILE_Y 55 TILEPROP INT_L_X8Y94 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y94 IS_DCM_TILE 0 TILEPROP INT_L_X8Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y94 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y94 NAME INT_L_X8Y94 TILEPROP INT_L_X8Y94 NUM_ARCS 3737 TILEPROP INT_L_X8Y94 NUM_SITES 1 TILEPROP INT_L_X8Y94 ROW 58 TILEPROP INT_L_X8Y94 SLR_REGION_ID 0 TILEPROP INT_L_X8Y94 TILE_PATTERN_IDX 5639 TILEPROP INT_L_X8Y94 TILE_TYPE INT_L TILEPROP INT_L_X8Y94 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y94 TILE_X -56414 TILEPROP INT_L_X8Y94 TILE_Y 63424 TILEPROP INT_L_X8Y94 TYPE INT_L TILEPROP INT_L_X8Y95 CLASS tile TILEPROP INT_L_X8Y95 COLUMN 25 TILEPROP INT_L_X8Y95 DEVICE_ID 0 TILEPROP INT_L_X8Y95 FIRST_SITE_ID 5511 TILEPROP INT_L_X8Y95 GRID_POINT_X 25 TILEPROP INT_L_X8Y95 GRID_POINT_Y 57 TILEPROP INT_L_X8Y95 INDEX 6580 TILEPROP INT_L_X8Y95 INT_TILE_X 8 TILEPROP INT_L_X8Y95 INT_TILE_Y 54 TILEPROP INT_L_X8Y95 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y95 IS_DCM_TILE 0 TILEPROP INT_L_X8Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y95 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y95 NAME INT_L_X8Y95 TILEPROP INT_L_X8Y95 NUM_ARCS 3737 TILEPROP INT_L_X8Y95 NUM_SITES 1 TILEPROP INT_L_X8Y95 ROW 57 TILEPROP INT_L_X8Y95 SLR_REGION_ID 0 TILEPROP INT_L_X8Y95 TILE_PATTERN_IDX 5604 TILEPROP INT_L_X8Y95 TILE_TYPE INT_L TILEPROP INT_L_X8Y95 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y95 TILE_X -56414 TILEPROP INT_L_X8Y95 TILE_Y 66624 TILEPROP INT_L_X8Y95 TYPE INT_L TILEPROP INT_L_X8Y96 CLASS tile TILEPROP INT_L_X8Y96 COLUMN 25 TILEPROP INT_L_X8Y96 DEVICE_ID 0 TILEPROP INT_L_X8Y96 FIRST_SITE_ID 5408 TILEPROP INT_L_X8Y96 GRID_POINT_X 25 TILEPROP INT_L_X8Y96 GRID_POINT_Y 56 TILEPROP INT_L_X8Y96 INDEX 6465 TILEPROP INT_L_X8Y96 INT_TILE_X 8 TILEPROP INT_L_X8Y96 INT_TILE_Y 53 TILEPROP INT_L_X8Y96 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y96 IS_DCM_TILE 0 TILEPROP INT_L_X8Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y96 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y96 NAME INT_L_X8Y96 TILEPROP INT_L_X8Y96 NUM_ARCS 3737 TILEPROP INT_L_X8Y96 NUM_SITES 1 TILEPROP INT_L_X8Y96 ROW 56 TILEPROP INT_L_X8Y96 SLR_REGION_ID 0 TILEPROP INT_L_X8Y96 TILE_PATTERN_IDX 5570 TILEPROP INT_L_X8Y96 TILE_TYPE INT_L TILEPROP INT_L_X8Y96 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y96 TILE_X -56414 TILEPROP INT_L_X8Y96 TILE_Y 69824 TILEPROP INT_L_X8Y96 TYPE INT_L TILEPROP INT_L_X8Y97 CLASS tile TILEPROP INT_L_X8Y97 COLUMN 25 TILEPROP INT_L_X8Y97 DEVICE_ID 0 TILEPROP INT_L_X8Y97 FIRST_SITE_ID 5308 TILEPROP INT_L_X8Y97 GRID_POINT_X 25 TILEPROP INT_L_X8Y97 GRID_POINT_Y 55 TILEPROP INT_L_X8Y97 INDEX 6350 TILEPROP INT_L_X8Y97 INT_TILE_X 8 TILEPROP INT_L_X8Y97 INT_TILE_Y 52 TILEPROP INT_L_X8Y97 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y97 IS_DCM_TILE 0 TILEPROP INT_L_X8Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y97 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y97 NAME INT_L_X8Y97 TILEPROP INT_L_X8Y97 NUM_ARCS 3737 TILEPROP INT_L_X8Y97 NUM_SITES 1 TILEPROP INT_L_X8Y97 ROW 55 TILEPROP INT_L_X8Y97 SLR_REGION_ID 0 TILEPROP INT_L_X8Y97 TILE_PATTERN_IDX 5536 TILEPROP INT_L_X8Y97 TILE_TYPE INT_L TILEPROP INT_L_X8Y97 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y97 TILE_X -56414 TILEPROP INT_L_X8Y97 TILE_Y 73024 TILEPROP INT_L_X8Y97 TYPE INT_L TILEPROP INT_L_X8Y98 CLASS tile TILEPROP INT_L_X8Y98 COLUMN 25 TILEPROP INT_L_X8Y98 DEVICE_ID 0 TILEPROP INT_L_X8Y98 FIRST_SITE_ID 5208 TILEPROP INT_L_X8Y98 GRID_POINT_X 25 TILEPROP INT_L_X8Y98 GRID_POINT_Y 54 TILEPROP INT_L_X8Y98 INDEX 6235 TILEPROP INT_L_X8Y98 INT_TILE_X 8 TILEPROP INT_L_X8Y98 INT_TILE_Y 51 TILEPROP INT_L_X8Y98 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y98 IS_DCM_TILE 0 TILEPROP INT_L_X8Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y98 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y98 NAME INT_L_X8Y98 TILEPROP INT_L_X8Y98 NUM_ARCS 3737 TILEPROP INT_L_X8Y98 NUM_SITES 1 TILEPROP INT_L_X8Y98 ROW 54 TILEPROP INT_L_X8Y98 SLR_REGION_ID 0 TILEPROP INT_L_X8Y98 TILE_PATTERN_IDX 5502 TILEPROP INT_L_X8Y98 TILE_TYPE INT_L TILEPROP INT_L_X8Y98 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y98 TILE_X -56414 TILEPROP INT_L_X8Y98 TILE_Y 76224 TILEPROP INT_L_X8Y98 TYPE INT_L TILEPROP INT_L_X8Y99 CLASS tile TILEPROP INT_L_X8Y99 COLUMN 25 TILEPROP INT_L_X8Y99 DEVICE_ID 0 TILEPROP INT_L_X8Y99 FIRST_SITE_ID 5112 TILEPROP INT_L_X8Y99 GRID_POINT_X 25 TILEPROP INT_L_X8Y99 GRID_POINT_Y 53 TILEPROP INT_L_X8Y99 INDEX 6120 TILEPROP INT_L_X8Y99 INT_TILE_X 8 TILEPROP INT_L_X8Y99 INT_TILE_Y 50 TILEPROP INT_L_X8Y99 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y99 IS_DCM_TILE 0 TILEPROP INT_L_X8Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y99 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y99 NAME INT_L_X8Y99 TILEPROP INT_L_X8Y99 NUM_ARCS 3737 TILEPROP INT_L_X8Y99 NUM_SITES 1 TILEPROP INT_L_X8Y99 ROW 53 TILEPROP INT_L_X8Y99 SLR_REGION_ID 0 TILEPROP INT_L_X8Y99 TILE_PATTERN_IDX 5469 TILEPROP INT_L_X8Y99 TILE_TYPE INT_L TILEPROP INT_L_X8Y99 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y99 TILE_X -56414 TILEPROP INT_L_X8Y99 TILE_Y 79424 TILEPROP INT_L_X8Y99 TYPE INT_L TILEPROP INT_L_X8Y100 CLASS tile TILEPROP INT_L_X8Y100 COLUMN 25 TILEPROP INT_L_X8Y100 DEVICE_ID 0 TILEPROP INT_L_X8Y100 FIRST_SITE_ID 5014 TILEPROP INT_L_X8Y100 GRID_POINT_X 25 TILEPROP INT_L_X8Y100 GRID_POINT_Y 51 TILEPROP INT_L_X8Y100 INDEX 5890 TILEPROP INT_L_X8Y100 INT_TILE_X 8 TILEPROP INT_L_X8Y100 INT_TILE_Y 49 TILEPROP INT_L_X8Y100 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y100 IS_DCM_TILE 0 TILEPROP INT_L_X8Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y100 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y100 NAME INT_L_X8Y100 TILEPROP INT_L_X8Y100 NUM_ARCS 3737 TILEPROP INT_L_X8Y100 NUM_SITES 1 TILEPROP INT_L_X8Y100 ROW 51 TILEPROP INT_L_X8Y100 SLR_REGION_ID 0 TILEPROP INT_L_X8Y100 TILE_PATTERN_IDX 5432 TILEPROP INT_L_X8Y100 TILE_TYPE INT_L TILEPROP INT_L_X8Y100 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y100 TILE_X -56414 TILEPROP INT_L_X8Y100 TILE_Y 82872 TILEPROP INT_L_X8Y100 TYPE INT_L TILEPROP INT_L_X8Y101 CLASS tile TILEPROP INT_L_X8Y101 COLUMN 25 TILEPROP INT_L_X8Y101 DEVICE_ID 0 TILEPROP INT_L_X8Y101 FIRST_SITE_ID 4919 TILEPROP INT_L_X8Y101 GRID_POINT_X 25 TILEPROP INT_L_X8Y101 GRID_POINT_Y 50 TILEPROP INT_L_X8Y101 INDEX 5775 TILEPROP INT_L_X8Y101 INT_TILE_X 8 TILEPROP INT_L_X8Y101 INT_TILE_Y 48 TILEPROP INT_L_X8Y101 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y101 IS_DCM_TILE 0 TILEPROP INT_L_X8Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y101 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y101 NAME INT_L_X8Y101 TILEPROP INT_L_X8Y101 NUM_ARCS 3737 TILEPROP INT_L_X8Y101 NUM_SITES 1 TILEPROP INT_L_X8Y101 ROW 50 TILEPROP INT_L_X8Y101 SLR_REGION_ID 0 TILEPROP INT_L_X8Y101 TILE_PATTERN_IDX 5396 TILEPROP INT_L_X8Y101 TILE_TYPE INT_L TILEPROP INT_L_X8Y101 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y101 TILE_X -56414 TILEPROP INT_L_X8Y101 TILE_Y 86072 TILEPROP INT_L_X8Y101 TYPE INT_L TILEPROP INT_L_X8Y102 CLASS tile TILEPROP INT_L_X8Y102 COLUMN 25 TILEPROP INT_L_X8Y102 DEVICE_ID 0 TILEPROP INT_L_X8Y102 FIRST_SITE_ID 4823 TILEPROP INT_L_X8Y102 GRID_POINT_X 25 TILEPROP INT_L_X8Y102 GRID_POINT_Y 49 TILEPROP INT_L_X8Y102 INDEX 5660 TILEPROP INT_L_X8Y102 INT_TILE_X 8 TILEPROP INT_L_X8Y102 INT_TILE_Y 47 TILEPROP INT_L_X8Y102 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y102 IS_DCM_TILE 0 TILEPROP INT_L_X8Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y102 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y102 NAME INT_L_X8Y102 TILEPROP INT_L_X8Y102 NUM_ARCS 3737 TILEPROP INT_L_X8Y102 NUM_SITES 1 TILEPROP INT_L_X8Y102 ROW 49 TILEPROP INT_L_X8Y102 SLR_REGION_ID 0 TILEPROP INT_L_X8Y102 TILE_PATTERN_IDX 5359 TILEPROP INT_L_X8Y102 TILE_TYPE INT_L TILEPROP INT_L_X8Y102 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y102 TILE_X -56414 TILEPROP INT_L_X8Y102 TILE_Y 89272 TILEPROP INT_L_X8Y102 TYPE INT_L TILEPROP INT_L_X8Y103 CLASS tile TILEPROP INT_L_X8Y103 COLUMN 25 TILEPROP INT_L_X8Y103 DEVICE_ID 0 TILEPROP INT_L_X8Y103 FIRST_SITE_ID 4735 TILEPROP INT_L_X8Y103 GRID_POINT_X 25 TILEPROP INT_L_X8Y103 GRID_POINT_Y 48 TILEPROP INT_L_X8Y103 INDEX 5545 TILEPROP INT_L_X8Y103 INT_TILE_X 8 TILEPROP INT_L_X8Y103 INT_TILE_Y 46 TILEPROP INT_L_X8Y103 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y103 IS_DCM_TILE 0 TILEPROP INT_L_X8Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y103 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y103 NAME INT_L_X8Y103 TILEPROP INT_L_X8Y103 NUM_ARCS 3737 TILEPROP INT_L_X8Y103 NUM_SITES 1 TILEPROP INT_L_X8Y103 ROW 48 TILEPROP INT_L_X8Y103 SLR_REGION_ID 0 TILEPROP INT_L_X8Y103 TILE_PATTERN_IDX 5323 TILEPROP INT_L_X8Y103 TILE_TYPE INT_L TILEPROP INT_L_X8Y103 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y103 TILE_X -56414 TILEPROP INT_L_X8Y103 TILE_Y 92472 TILEPROP INT_L_X8Y103 TYPE INT_L TILEPROP INT_L_X8Y104 CLASS tile TILEPROP INT_L_X8Y104 COLUMN 25 TILEPROP INT_L_X8Y104 DEVICE_ID 0 TILEPROP INT_L_X8Y104 FIRST_SITE_ID 4639 TILEPROP INT_L_X8Y104 GRID_POINT_X 25 TILEPROP INT_L_X8Y104 GRID_POINT_Y 47 TILEPROP INT_L_X8Y104 INDEX 5430 TILEPROP INT_L_X8Y104 INT_TILE_X 8 TILEPROP INT_L_X8Y104 INT_TILE_Y 45 TILEPROP INT_L_X8Y104 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y104 IS_DCM_TILE 0 TILEPROP INT_L_X8Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y104 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y104 NAME INT_L_X8Y104 TILEPROP INT_L_X8Y104 NUM_ARCS 3737 TILEPROP INT_L_X8Y104 NUM_SITES 1 TILEPROP INT_L_X8Y104 ROW 47 TILEPROP INT_L_X8Y104 SLR_REGION_ID 0 TILEPROP INT_L_X8Y104 TILE_PATTERN_IDX 5286 TILEPROP INT_L_X8Y104 TILE_TYPE INT_L TILEPROP INT_L_X8Y104 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y104 TILE_X -56414 TILEPROP INT_L_X8Y104 TILE_Y 95672 TILEPROP INT_L_X8Y104 TYPE INT_L TILEPROP INT_L_X8Y105 CLASS tile TILEPROP INT_L_X8Y105 COLUMN 25 TILEPROP INT_L_X8Y105 DEVICE_ID 0 TILEPROP INT_L_X8Y105 FIRST_SITE_ID 4540 TILEPROP INT_L_X8Y105 GRID_POINT_X 25 TILEPROP INT_L_X8Y105 GRID_POINT_Y 46 TILEPROP INT_L_X8Y105 INDEX 5315 TILEPROP INT_L_X8Y105 INT_TILE_X 8 TILEPROP INT_L_X8Y105 INT_TILE_Y 44 TILEPROP INT_L_X8Y105 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y105 IS_DCM_TILE 0 TILEPROP INT_L_X8Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y105 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y105 NAME INT_L_X8Y105 TILEPROP INT_L_X8Y105 NUM_ARCS 3737 TILEPROP INT_L_X8Y105 NUM_SITES 1 TILEPROP INT_L_X8Y105 ROW 46 TILEPROP INT_L_X8Y105 SLR_REGION_ID 0 TILEPROP INT_L_X8Y105 TILE_PATTERN_IDX 5250 TILEPROP INT_L_X8Y105 TILE_TYPE INT_L TILEPROP INT_L_X8Y105 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y105 TILE_X -56414 TILEPROP INT_L_X8Y105 TILE_Y 98872 TILEPROP INT_L_X8Y105 TYPE INT_L TILEPROP INT_L_X8Y106 CLASS tile TILEPROP INT_L_X8Y106 COLUMN 25 TILEPROP INT_L_X8Y106 DEVICE_ID 0 TILEPROP INT_L_X8Y106 FIRST_SITE_ID 4441 TILEPROP INT_L_X8Y106 GRID_POINT_X 25 TILEPROP INT_L_X8Y106 GRID_POINT_Y 45 TILEPROP INT_L_X8Y106 INDEX 5200 TILEPROP INT_L_X8Y106 INT_TILE_X 8 TILEPROP INT_L_X8Y106 INT_TILE_Y 43 TILEPROP INT_L_X8Y106 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y106 IS_DCM_TILE 0 TILEPROP INT_L_X8Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y106 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y106 NAME INT_L_X8Y106 TILEPROP INT_L_X8Y106 NUM_ARCS 3737 TILEPROP INT_L_X8Y106 NUM_SITES 1 TILEPROP INT_L_X8Y106 ROW 45 TILEPROP INT_L_X8Y106 SLR_REGION_ID 0 TILEPROP INT_L_X8Y106 TILE_PATTERN_IDX 5213 TILEPROP INT_L_X8Y106 TILE_TYPE INT_L TILEPROP INT_L_X8Y106 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y106 TILE_X -56414 TILEPROP INT_L_X8Y106 TILE_Y 102072 TILEPROP INT_L_X8Y106 TYPE INT_L TILEPROP INT_L_X8Y107 CLASS tile TILEPROP INT_L_X8Y107 COLUMN 25 TILEPROP INT_L_X8Y107 DEVICE_ID 0 TILEPROP INT_L_X8Y107 FIRST_SITE_ID 4353 TILEPROP INT_L_X8Y107 GRID_POINT_X 25 TILEPROP INT_L_X8Y107 GRID_POINT_Y 44 TILEPROP INT_L_X8Y107 INDEX 5085 TILEPROP INT_L_X8Y107 INT_TILE_X 8 TILEPROP INT_L_X8Y107 INT_TILE_Y 42 TILEPROP INT_L_X8Y107 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y107 IS_DCM_TILE 0 TILEPROP INT_L_X8Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y107 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y107 NAME INT_L_X8Y107 TILEPROP INT_L_X8Y107 NUM_ARCS 3737 TILEPROP INT_L_X8Y107 NUM_SITES 1 TILEPROP INT_L_X8Y107 ROW 44 TILEPROP INT_L_X8Y107 SLR_REGION_ID 0 TILEPROP INT_L_X8Y107 TILE_PATTERN_IDX 5177 TILEPROP INT_L_X8Y107 TILE_TYPE INT_L TILEPROP INT_L_X8Y107 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y107 TILE_X -56414 TILEPROP INT_L_X8Y107 TILE_Y 105272 TILEPROP INT_L_X8Y107 TYPE INT_L TILEPROP INT_L_X8Y108 CLASS tile TILEPROP INT_L_X8Y108 COLUMN 25 TILEPROP INT_L_X8Y108 DEVICE_ID 0 TILEPROP INT_L_X8Y108 FIRST_SITE_ID 4255 TILEPROP INT_L_X8Y108 GRID_POINT_X 25 TILEPROP INT_L_X8Y108 GRID_POINT_Y 43 TILEPROP INT_L_X8Y108 INDEX 4970 TILEPROP INT_L_X8Y108 INT_TILE_X 8 TILEPROP INT_L_X8Y108 INT_TILE_Y 41 TILEPROP INT_L_X8Y108 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y108 IS_DCM_TILE 0 TILEPROP INT_L_X8Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y108 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y108 NAME INT_L_X8Y108 TILEPROP INT_L_X8Y108 NUM_ARCS 3737 TILEPROP INT_L_X8Y108 NUM_SITES 1 TILEPROP INT_L_X8Y108 ROW 43 TILEPROP INT_L_X8Y108 SLR_REGION_ID 0 TILEPROP INT_L_X8Y108 TILE_PATTERN_IDX 5140 TILEPROP INT_L_X8Y108 TILE_TYPE INT_L TILEPROP INT_L_X8Y108 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y108 TILE_X -56414 TILEPROP INT_L_X8Y108 TILE_Y 108472 TILEPROP INT_L_X8Y108 TYPE INT_L TILEPROP INT_L_X8Y109 CLASS tile TILEPROP INT_L_X8Y109 COLUMN 25 TILEPROP INT_L_X8Y109 DEVICE_ID 0 TILEPROP INT_L_X8Y109 FIRST_SITE_ID 4166 TILEPROP INT_L_X8Y109 GRID_POINT_X 25 TILEPROP INT_L_X8Y109 GRID_POINT_Y 42 TILEPROP INT_L_X8Y109 INDEX 4855 TILEPROP INT_L_X8Y109 INT_TILE_X 8 TILEPROP INT_L_X8Y109 INT_TILE_Y 40 TILEPROP INT_L_X8Y109 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y109 IS_DCM_TILE 0 TILEPROP INT_L_X8Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y109 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y109 NAME INT_L_X8Y109 TILEPROP INT_L_X8Y109 NUM_ARCS 3737 TILEPROP INT_L_X8Y109 NUM_SITES 1 TILEPROP INT_L_X8Y109 ROW 42 TILEPROP INT_L_X8Y109 SLR_REGION_ID 0 TILEPROP INT_L_X8Y109 TILE_PATTERN_IDX 5104 TILEPROP INT_L_X8Y109 TILE_TYPE INT_L TILEPROP INT_L_X8Y109 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y109 TILE_X -56414 TILEPROP INT_L_X8Y109 TILE_Y 111672 TILEPROP INT_L_X8Y109 TYPE INT_L TILEPROP INT_L_X8Y110 CLASS tile TILEPROP INT_L_X8Y110 COLUMN 25 TILEPROP INT_L_X8Y110 DEVICE_ID 0 TILEPROP INT_L_X8Y110 FIRST_SITE_ID 4063 TILEPROP INT_L_X8Y110 GRID_POINT_X 25 TILEPROP INT_L_X8Y110 GRID_POINT_Y 41 TILEPROP INT_L_X8Y110 INDEX 4740 TILEPROP INT_L_X8Y110 INT_TILE_X 8 TILEPROP INT_L_X8Y110 INT_TILE_Y 39 TILEPROP INT_L_X8Y110 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y110 IS_DCM_TILE 0 TILEPROP INT_L_X8Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y110 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y110 NAME INT_L_X8Y110 TILEPROP INT_L_X8Y110 NUM_ARCS 3737 TILEPROP INT_L_X8Y110 NUM_SITES 1 TILEPROP INT_L_X8Y110 ROW 41 TILEPROP INT_L_X8Y110 SLR_REGION_ID 0 TILEPROP INT_L_X8Y110 TILE_PATTERN_IDX 5067 TILEPROP INT_L_X8Y110 TILE_TYPE INT_L TILEPROP INT_L_X8Y110 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y110 TILE_X -56414 TILEPROP INT_L_X8Y110 TILE_Y 114872 TILEPROP INT_L_X8Y110 TYPE INT_L TILEPROP INT_L_X8Y111 CLASS tile TILEPROP INT_L_X8Y111 COLUMN 25 TILEPROP INT_L_X8Y111 DEVICE_ID 0 TILEPROP INT_L_X8Y111 FIRST_SITE_ID 3972 TILEPROP INT_L_X8Y111 GRID_POINT_X 25 TILEPROP INT_L_X8Y111 GRID_POINT_Y 40 TILEPROP INT_L_X8Y111 INDEX 4625 TILEPROP INT_L_X8Y111 INT_TILE_X 8 TILEPROP INT_L_X8Y111 INT_TILE_Y 38 TILEPROP INT_L_X8Y111 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y111 IS_DCM_TILE 0 TILEPROP INT_L_X8Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y111 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y111 NAME INT_L_X8Y111 TILEPROP INT_L_X8Y111 NUM_ARCS 3737 TILEPROP INT_L_X8Y111 NUM_SITES 1 TILEPROP INT_L_X8Y111 ROW 40 TILEPROP INT_L_X8Y111 SLR_REGION_ID 0 TILEPROP INT_L_X8Y111 TILE_PATTERN_IDX 5031 TILEPROP INT_L_X8Y111 TILE_TYPE INT_L TILEPROP INT_L_X8Y111 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y111 TILE_X -56414 TILEPROP INT_L_X8Y111 TILE_Y 118072 TILEPROP INT_L_X8Y111 TYPE INT_L TILEPROP INT_L_X8Y112 CLASS tile TILEPROP INT_L_X8Y112 COLUMN 25 TILEPROP INT_L_X8Y112 DEVICE_ID 0 TILEPROP INT_L_X8Y112 FIRST_SITE_ID 3844 TILEPROP INT_L_X8Y112 GRID_POINT_X 25 TILEPROP INT_L_X8Y112 GRID_POINT_Y 39 TILEPROP INT_L_X8Y112 INDEX 4510 TILEPROP INT_L_X8Y112 INT_TILE_X 8 TILEPROP INT_L_X8Y112 INT_TILE_Y 37 TILEPROP INT_L_X8Y112 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y112 IS_DCM_TILE 0 TILEPROP INT_L_X8Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y112 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y112 NAME INT_L_X8Y112 TILEPROP INT_L_X8Y112 NUM_ARCS 3737 TILEPROP INT_L_X8Y112 NUM_SITES 1 TILEPROP INT_L_X8Y112 ROW 39 TILEPROP INT_L_X8Y112 SLR_REGION_ID 0 TILEPROP INT_L_X8Y112 TILE_PATTERN_IDX 4993 TILEPROP INT_L_X8Y112 TILE_TYPE INT_L TILEPROP INT_L_X8Y112 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y112 TILE_X -56414 TILEPROP INT_L_X8Y112 TILE_Y 121272 TILEPROP INT_L_X8Y112 TYPE INT_L TILEPROP INT_L_X8Y113 CLASS tile TILEPROP INT_L_X8Y113 COLUMN 25 TILEPROP INT_L_X8Y113 DEVICE_ID 0 TILEPROP INT_L_X8Y113 FIRST_SITE_ID 3756 TILEPROP INT_L_X8Y113 GRID_POINT_X 25 TILEPROP INT_L_X8Y113 GRID_POINT_Y 38 TILEPROP INT_L_X8Y113 INDEX 4395 TILEPROP INT_L_X8Y113 INT_TILE_X 8 TILEPROP INT_L_X8Y113 INT_TILE_Y 36 TILEPROP INT_L_X8Y113 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y113 IS_DCM_TILE 0 TILEPROP INT_L_X8Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y113 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y113 NAME INT_L_X8Y113 TILEPROP INT_L_X8Y113 NUM_ARCS 3737 TILEPROP INT_L_X8Y113 NUM_SITES 1 TILEPROP INT_L_X8Y113 ROW 38 TILEPROP INT_L_X8Y113 SLR_REGION_ID 0 TILEPROP INT_L_X8Y113 TILE_PATTERN_IDX 4957 TILEPROP INT_L_X8Y113 TILE_TYPE INT_L TILEPROP INT_L_X8Y113 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y113 TILE_X -56414 TILEPROP INT_L_X8Y113 TILE_Y 124472 TILEPROP INT_L_X8Y113 TYPE INT_L TILEPROP INT_L_X8Y114 CLASS tile TILEPROP INT_L_X8Y114 COLUMN 25 TILEPROP INT_L_X8Y114 DEVICE_ID 0 TILEPROP INT_L_X8Y114 FIRST_SITE_ID 3660 TILEPROP INT_L_X8Y114 GRID_POINT_X 25 TILEPROP INT_L_X8Y114 GRID_POINT_Y 37 TILEPROP INT_L_X8Y114 INDEX 4280 TILEPROP INT_L_X8Y114 INT_TILE_X 8 TILEPROP INT_L_X8Y114 INT_TILE_Y 35 TILEPROP INT_L_X8Y114 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y114 IS_DCM_TILE 0 TILEPROP INT_L_X8Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y114 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y114 NAME INT_L_X8Y114 TILEPROP INT_L_X8Y114 NUM_ARCS 3737 TILEPROP INT_L_X8Y114 NUM_SITES 1 TILEPROP INT_L_X8Y114 ROW 37 TILEPROP INT_L_X8Y114 SLR_REGION_ID 0 TILEPROP INT_L_X8Y114 TILE_PATTERN_IDX 4920 TILEPROP INT_L_X8Y114 TILE_TYPE INT_L TILEPROP INT_L_X8Y114 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y114 TILE_X -56414 TILEPROP INT_L_X8Y114 TILE_Y 127672 TILEPROP INT_L_X8Y114 TYPE INT_L TILEPROP INT_L_X8Y115 CLASS tile TILEPROP INT_L_X8Y115 COLUMN 25 TILEPROP INT_L_X8Y115 DEVICE_ID 0 TILEPROP INT_L_X8Y115 FIRST_SITE_ID 3566 TILEPROP INT_L_X8Y115 GRID_POINT_X 25 TILEPROP INT_L_X8Y115 GRID_POINT_Y 36 TILEPROP INT_L_X8Y115 INDEX 4165 TILEPROP INT_L_X8Y115 INT_TILE_X 8 TILEPROP INT_L_X8Y115 INT_TILE_Y 34 TILEPROP INT_L_X8Y115 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y115 IS_DCM_TILE 0 TILEPROP INT_L_X8Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y115 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y115 NAME INT_L_X8Y115 TILEPROP INT_L_X8Y115 NUM_ARCS 3737 TILEPROP INT_L_X8Y115 NUM_SITES 1 TILEPROP INT_L_X8Y115 ROW 36 TILEPROP INT_L_X8Y115 SLR_REGION_ID 0 TILEPROP INT_L_X8Y115 TILE_PATTERN_IDX 4884 TILEPROP INT_L_X8Y115 TILE_TYPE INT_L TILEPROP INT_L_X8Y115 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y115 TILE_X -56414 TILEPROP INT_L_X8Y115 TILE_Y 130872 TILEPROP INT_L_X8Y115 TYPE INT_L TILEPROP INT_L_X8Y116 CLASS tile TILEPROP INT_L_X8Y116 COLUMN 25 TILEPROP INT_L_X8Y116 DEVICE_ID 0 TILEPROP INT_L_X8Y116 FIRST_SITE_ID 3462 TILEPROP INT_L_X8Y116 GRID_POINT_X 25 TILEPROP INT_L_X8Y116 GRID_POINT_Y 35 TILEPROP INT_L_X8Y116 INDEX 4050 TILEPROP INT_L_X8Y116 INT_TILE_X 8 TILEPROP INT_L_X8Y116 INT_TILE_Y 33 TILEPROP INT_L_X8Y116 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y116 IS_DCM_TILE 0 TILEPROP INT_L_X8Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y116 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y116 NAME INT_L_X8Y116 TILEPROP INT_L_X8Y116 NUM_ARCS 3737 TILEPROP INT_L_X8Y116 NUM_SITES 1 TILEPROP INT_L_X8Y116 ROW 35 TILEPROP INT_L_X8Y116 SLR_REGION_ID 0 TILEPROP INT_L_X8Y116 TILE_PATTERN_IDX 4847 TILEPROP INT_L_X8Y116 TILE_TYPE INT_L TILEPROP INT_L_X8Y116 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y116 TILE_X -56414 TILEPROP INT_L_X8Y116 TILE_Y 134072 TILEPROP INT_L_X8Y116 TYPE INT_L TILEPROP INT_L_X8Y117 CLASS tile TILEPROP INT_L_X8Y117 COLUMN 25 TILEPROP INT_L_X8Y117 DEVICE_ID 0 TILEPROP INT_L_X8Y117 FIRST_SITE_ID 3374 TILEPROP INT_L_X8Y117 GRID_POINT_X 25 TILEPROP INT_L_X8Y117 GRID_POINT_Y 34 TILEPROP INT_L_X8Y117 INDEX 3935 TILEPROP INT_L_X8Y117 INT_TILE_X 8 TILEPROP INT_L_X8Y117 INT_TILE_Y 32 TILEPROP INT_L_X8Y117 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y117 IS_DCM_TILE 0 TILEPROP INT_L_X8Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y117 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y117 NAME INT_L_X8Y117 TILEPROP INT_L_X8Y117 NUM_ARCS 3737 TILEPROP INT_L_X8Y117 NUM_SITES 1 TILEPROP INT_L_X8Y117 ROW 34 TILEPROP INT_L_X8Y117 SLR_REGION_ID 0 TILEPROP INT_L_X8Y117 TILE_PATTERN_IDX 4811 TILEPROP INT_L_X8Y117 TILE_TYPE INT_L TILEPROP INT_L_X8Y117 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y117 TILE_X -56414 TILEPROP INT_L_X8Y117 TILE_Y 137272 TILEPROP INT_L_X8Y117 TYPE INT_L TILEPROP INT_L_X8Y118 CLASS tile TILEPROP INT_L_X8Y118 COLUMN 25 TILEPROP INT_L_X8Y118 DEVICE_ID 0 TILEPROP INT_L_X8Y118 FIRST_SITE_ID 3274 TILEPROP INT_L_X8Y118 GRID_POINT_X 25 TILEPROP INT_L_X8Y118 GRID_POINT_Y 33 TILEPROP INT_L_X8Y118 INDEX 3820 TILEPROP INT_L_X8Y118 INT_TILE_X 8 TILEPROP INT_L_X8Y118 INT_TILE_Y 31 TILEPROP INT_L_X8Y118 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y118 IS_DCM_TILE 0 TILEPROP INT_L_X8Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y118 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y118 NAME INT_L_X8Y118 TILEPROP INT_L_X8Y118 NUM_ARCS 3737 TILEPROP INT_L_X8Y118 NUM_SITES 1 TILEPROP INT_L_X8Y118 ROW 33 TILEPROP INT_L_X8Y118 SLR_REGION_ID 0 TILEPROP INT_L_X8Y118 TILE_PATTERN_IDX 4773 TILEPROP INT_L_X8Y118 TILE_TYPE INT_L TILEPROP INT_L_X8Y118 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y118 TILE_X -56414 TILEPROP INT_L_X8Y118 TILE_Y 140472 TILEPROP INT_L_X8Y118 TYPE INT_L TILEPROP INT_L_X8Y119 CLASS tile TILEPROP INT_L_X8Y119 COLUMN 25 TILEPROP INT_L_X8Y119 DEVICE_ID 0 TILEPROP INT_L_X8Y119 FIRST_SITE_ID 3186 TILEPROP INT_L_X8Y119 GRID_POINT_X 25 TILEPROP INT_L_X8Y119 GRID_POINT_Y 32 TILEPROP INT_L_X8Y119 INDEX 3705 TILEPROP INT_L_X8Y119 INT_TILE_X 8 TILEPROP INT_L_X8Y119 INT_TILE_Y 30 TILEPROP INT_L_X8Y119 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y119 IS_DCM_TILE 0 TILEPROP INT_L_X8Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y119 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y119 NAME INT_L_X8Y119 TILEPROP INT_L_X8Y119 NUM_ARCS 3737 TILEPROP INT_L_X8Y119 NUM_SITES 1 TILEPROP INT_L_X8Y119 ROW 32 TILEPROP INT_L_X8Y119 SLR_REGION_ID 0 TILEPROP INT_L_X8Y119 TILE_PATTERN_IDX 4737 TILEPROP INT_L_X8Y119 TILE_TYPE INT_L TILEPROP INT_L_X8Y119 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y119 TILE_X -56414 TILEPROP INT_L_X8Y119 TILE_Y 143672 TILEPROP INT_L_X8Y119 TYPE INT_L TILEPROP INT_L_X8Y120 CLASS tile TILEPROP INT_L_X8Y120 COLUMN 25 TILEPROP INT_L_X8Y120 DEVICE_ID 0 TILEPROP INT_L_X8Y120 FIRST_SITE_ID 3082 TILEPROP INT_L_X8Y120 GRID_POINT_X 25 TILEPROP INT_L_X8Y120 GRID_POINT_Y 31 TILEPROP INT_L_X8Y120 INDEX 3590 TILEPROP INT_L_X8Y120 INT_TILE_X 8 TILEPROP INT_L_X8Y120 INT_TILE_Y 29 TILEPROP INT_L_X8Y120 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y120 IS_DCM_TILE 0 TILEPROP INT_L_X8Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y120 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y120 NAME INT_L_X8Y120 TILEPROP INT_L_X8Y120 NUM_ARCS 3737 TILEPROP INT_L_X8Y120 NUM_SITES 1 TILEPROP INT_L_X8Y120 ROW 31 TILEPROP INT_L_X8Y120 SLR_REGION_ID 0 TILEPROP INT_L_X8Y120 TILE_PATTERN_IDX 4700 TILEPROP INT_L_X8Y120 TILE_TYPE INT_L TILEPROP INT_L_X8Y120 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y120 TILE_X -56414 TILEPROP INT_L_X8Y120 TILE_Y 146872 TILEPROP INT_L_X8Y120 TYPE INT_L TILEPROP INT_L_X8Y121 CLASS tile TILEPROP INT_L_X8Y121 COLUMN 25 TILEPROP INT_L_X8Y121 DEVICE_ID 0 TILEPROP INT_L_X8Y121 FIRST_SITE_ID 2991 TILEPROP INT_L_X8Y121 GRID_POINT_X 25 TILEPROP INT_L_X8Y121 GRID_POINT_Y 30 TILEPROP INT_L_X8Y121 INDEX 3475 TILEPROP INT_L_X8Y121 INT_TILE_X 8 TILEPROP INT_L_X8Y121 INT_TILE_Y 28 TILEPROP INT_L_X8Y121 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y121 IS_DCM_TILE 0 TILEPROP INT_L_X8Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y121 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y121 NAME INT_L_X8Y121 TILEPROP INT_L_X8Y121 NUM_ARCS 3737 TILEPROP INT_L_X8Y121 NUM_SITES 1 TILEPROP INT_L_X8Y121 ROW 30 TILEPROP INT_L_X8Y121 SLR_REGION_ID 0 TILEPROP INT_L_X8Y121 TILE_PATTERN_IDX 4664 TILEPROP INT_L_X8Y121 TILE_TYPE INT_L TILEPROP INT_L_X8Y121 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y121 TILE_X -56414 TILEPROP INT_L_X8Y121 TILE_Y 150072 TILEPROP INT_L_X8Y121 TYPE INT_L TILEPROP INT_L_X8Y122 CLASS tile TILEPROP INT_L_X8Y122 COLUMN 25 TILEPROP INT_L_X8Y122 DEVICE_ID 0 TILEPROP INT_L_X8Y122 FIRST_SITE_ID 2888 TILEPROP INT_L_X8Y122 GRID_POINT_X 25 TILEPROP INT_L_X8Y122 GRID_POINT_Y 29 TILEPROP INT_L_X8Y122 INDEX 3360 TILEPROP INT_L_X8Y122 INT_TILE_X 8 TILEPROP INT_L_X8Y122 INT_TILE_Y 27 TILEPROP INT_L_X8Y122 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y122 IS_DCM_TILE 0 TILEPROP INT_L_X8Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y122 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y122 NAME INT_L_X8Y122 TILEPROP INT_L_X8Y122 NUM_ARCS 3737 TILEPROP INT_L_X8Y122 NUM_SITES 1 TILEPROP INT_L_X8Y122 ROW 29 TILEPROP INT_L_X8Y122 SLR_REGION_ID 0 TILEPROP INT_L_X8Y122 TILE_PATTERN_IDX 4626 TILEPROP INT_L_X8Y122 TILE_TYPE INT_L TILEPROP INT_L_X8Y122 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y122 TILE_X -56414 TILEPROP INT_L_X8Y122 TILE_Y 153272 TILEPROP INT_L_X8Y122 TYPE INT_L TILEPROP INT_L_X8Y123 CLASS tile TILEPROP INT_L_X8Y123 COLUMN 25 TILEPROP INT_L_X8Y123 DEVICE_ID 0 TILEPROP INT_L_X8Y123 FIRST_SITE_ID 2800 TILEPROP INT_L_X8Y123 GRID_POINT_X 25 TILEPROP INT_L_X8Y123 GRID_POINT_Y 28 TILEPROP INT_L_X8Y123 INDEX 3245 TILEPROP INT_L_X8Y123 INT_TILE_X 8 TILEPROP INT_L_X8Y123 INT_TILE_Y 26 TILEPROP INT_L_X8Y123 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y123 IS_DCM_TILE 0 TILEPROP INT_L_X8Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y123 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y123 NAME INT_L_X8Y123 TILEPROP INT_L_X8Y123 NUM_ARCS 3737 TILEPROP INT_L_X8Y123 NUM_SITES 1 TILEPROP INT_L_X8Y123 ROW 28 TILEPROP INT_L_X8Y123 SLR_REGION_ID 0 TILEPROP INT_L_X8Y123 TILE_PATTERN_IDX 4590 TILEPROP INT_L_X8Y123 TILE_TYPE INT_L TILEPROP INT_L_X8Y123 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y123 TILE_X -56414 TILEPROP INT_L_X8Y123 TILE_Y 156472 TILEPROP INT_L_X8Y123 TYPE INT_L TILEPROP INT_L_X8Y124 CLASS tile TILEPROP INT_L_X8Y124 COLUMN 25 TILEPROP INT_L_X8Y124 DEVICE_ID 0 TILEPROP INT_L_X8Y124 FIRST_SITE_ID 2704 TILEPROP INT_L_X8Y124 GRID_POINT_X 25 TILEPROP INT_L_X8Y124 GRID_POINT_Y 27 TILEPROP INT_L_X8Y124 INDEX 3130 TILEPROP INT_L_X8Y124 INT_TILE_X 8 TILEPROP INT_L_X8Y124 INT_TILE_Y 25 TILEPROP INT_L_X8Y124 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y124 IS_DCM_TILE 0 TILEPROP INT_L_X8Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y124 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y124 NAME INT_L_X8Y124 TILEPROP INT_L_X8Y124 NUM_ARCS 3737 TILEPROP INT_L_X8Y124 NUM_SITES 1 TILEPROP INT_L_X8Y124 ROW 27 TILEPROP INT_L_X8Y124 SLR_REGION_ID 0 TILEPROP INT_L_X8Y124 TILE_PATTERN_IDX 4553 TILEPROP INT_L_X8Y124 TILE_TYPE INT_L TILEPROP INT_L_X8Y124 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y124 TILE_X -56414 TILEPROP INT_L_X8Y124 TILE_Y 159672 TILEPROP INT_L_X8Y124 TYPE INT_L TILEPROP INT_L_X8Y125 CLASS tile TILEPROP INT_L_X8Y125 COLUMN 25 TILEPROP INT_L_X8Y125 DEVICE_ID 0 TILEPROP INT_L_X8Y125 FIRST_SITE_ID 2528 TILEPROP INT_L_X8Y125 GRID_POINT_X 25 TILEPROP INT_L_X8Y125 GRID_POINT_Y 25 TILEPROP INT_L_X8Y125 INDEX 2900 TILEPROP INT_L_X8Y125 INT_TILE_X 8 TILEPROP INT_L_X8Y125 INT_TILE_Y 24 TILEPROP INT_L_X8Y125 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y125 IS_DCM_TILE 0 TILEPROP INT_L_X8Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y125 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y125 NAME INT_L_X8Y125 TILEPROP INT_L_X8Y125 NUM_ARCS 3737 TILEPROP INT_L_X8Y125 NUM_SITES 1 TILEPROP INT_L_X8Y125 ROW 25 TILEPROP INT_L_X8Y125 SLR_REGION_ID 0 TILEPROP INT_L_X8Y125 TILE_PATTERN_IDX 4474 TILEPROP INT_L_X8Y125 TILE_TYPE INT_L TILEPROP INT_L_X8Y125 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y125 TILE_X -56414 TILEPROP INT_L_X8Y125 TILE_Y 163896 TILEPROP INT_L_X8Y125 TYPE INT_L TILEPROP INT_L_X8Y126 CLASS tile TILEPROP INT_L_X8Y126 COLUMN 25 TILEPROP INT_L_X8Y126 DEVICE_ID 0 TILEPROP INT_L_X8Y126 FIRST_SITE_ID 2421 TILEPROP INT_L_X8Y126 GRID_POINT_X 25 TILEPROP INT_L_X8Y126 GRID_POINT_Y 24 TILEPROP INT_L_X8Y126 INDEX 2785 TILEPROP INT_L_X8Y126 INT_TILE_X 8 TILEPROP INT_L_X8Y126 INT_TILE_Y 23 TILEPROP INT_L_X8Y126 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y126 IS_DCM_TILE 0 TILEPROP INT_L_X8Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y126 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y126 NAME INT_L_X8Y126 TILEPROP INT_L_X8Y126 NUM_ARCS 3737 TILEPROP INT_L_X8Y126 NUM_SITES 1 TILEPROP INT_L_X8Y126 ROW 24 TILEPROP INT_L_X8Y126 SLR_REGION_ID 0 TILEPROP INT_L_X8Y126 TILE_PATTERN_IDX 4435 TILEPROP INT_L_X8Y126 TILE_TYPE INT_L TILEPROP INT_L_X8Y126 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y126 TILE_X -56414 TILEPROP INT_L_X8Y126 TILE_Y 167096 TILEPROP INT_L_X8Y126 TYPE INT_L TILEPROP INT_L_X8Y127 CLASS tile TILEPROP INT_L_X8Y127 COLUMN 25 TILEPROP INT_L_X8Y127 DEVICE_ID 0 TILEPROP INT_L_X8Y127 FIRST_SITE_ID 2325 TILEPROP INT_L_X8Y127 GRID_POINT_X 25 TILEPROP INT_L_X8Y127 GRID_POINT_Y 23 TILEPROP INT_L_X8Y127 INDEX 2670 TILEPROP INT_L_X8Y127 INT_TILE_X 8 TILEPROP INT_L_X8Y127 INT_TILE_Y 22 TILEPROP INT_L_X8Y127 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y127 IS_DCM_TILE 0 TILEPROP INT_L_X8Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y127 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y127 NAME INT_L_X8Y127 TILEPROP INT_L_X8Y127 NUM_ARCS 3737 TILEPROP INT_L_X8Y127 NUM_SITES 1 TILEPROP INT_L_X8Y127 ROW 23 TILEPROP INT_L_X8Y127 SLR_REGION_ID 0 TILEPROP INT_L_X8Y127 TILE_PATTERN_IDX 4397 TILEPROP INT_L_X8Y127 TILE_TYPE INT_L TILEPROP INT_L_X8Y127 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y127 TILE_X -56414 TILEPROP INT_L_X8Y127 TILE_Y 170296 TILEPROP INT_L_X8Y127 TYPE INT_L TILEPROP INT_L_X8Y128 CLASS tile TILEPROP INT_L_X8Y128 COLUMN 25 TILEPROP INT_L_X8Y128 DEVICE_ID 0 TILEPROP INT_L_X8Y128 FIRST_SITE_ID 2221 TILEPROP INT_L_X8Y128 GRID_POINT_X 25 TILEPROP INT_L_X8Y128 GRID_POINT_Y 22 TILEPROP INT_L_X8Y128 INDEX 2555 TILEPROP INT_L_X8Y128 INT_TILE_X 8 TILEPROP INT_L_X8Y128 INT_TILE_Y 21 TILEPROP INT_L_X8Y128 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y128 IS_DCM_TILE 0 TILEPROP INT_L_X8Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y128 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y128 NAME INT_L_X8Y128 TILEPROP INT_L_X8Y128 NUM_ARCS 3737 TILEPROP INT_L_X8Y128 NUM_SITES 1 TILEPROP INT_L_X8Y128 ROW 22 TILEPROP INT_L_X8Y128 SLR_REGION_ID 0 TILEPROP INT_L_X8Y128 TILE_PATTERN_IDX 4358 TILEPROP INT_L_X8Y128 TILE_TYPE INT_L TILEPROP INT_L_X8Y128 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y128 TILE_X -56414 TILEPROP INT_L_X8Y128 TILE_Y 173496 TILEPROP INT_L_X8Y128 TYPE INT_L TILEPROP INT_L_X8Y129 CLASS tile TILEPROP INT_L_X8Y129 COLUMN 25 TILEPROP INT_L_X8Y129 DEVICE_ID 0 TILEPROP INT_L_X8Y129 FIRST_SITE_ID 2125 TILEPROP INT_L_X8Y129 GRID_POINT_X 25 TILEPROP INT_L_X8Y129 GRID_POINT_Y 21 TILEPROP INT_L_X8Y129 INDEX 2440 TILEPROP INT_L_X8Y129 INT_TILE_X 8 TILEPROP INT_L_X8Y129 INT_TILE_Y 20 TILEPROP INT_L_X8Y129 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y129 IS_DCM_TILE 0 TILEPROP INT_L_X8Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y129 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y129 NAME INT_L_X8Y129 TILEPROP INT_L_X8Y129 NUM_ARCS 3737 TILEPROP INT_L_X8Y129 NUM_SITES 1 TILEPROP INT_L_X8Y129 ROW 21 TILEPROP INT_L_X8Y129 SLR_REGION_ID 0 TILEPROP INT_L_X8Y129 TILE_PATTERN_IDX 4320 TILEPROP INT_L_X8Y129 TILE_TYPE INT_L TILEPROP INT_L_X8Y129 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y129 TILE_X -56414 TILEPROP INT_L_X8Y129 TILE_Y 176696 TILEPROP INT_L_X8Y129 TYPE INT_L TILEPROP INT_L_X8Y130 CLASS tile TILEPROP INT_L_X8Y130 COLUMN 25 TILEPROP INT_L_X8Y130 DEVICE_ID 0 TILEPROP INT_L_X8Y130 FIRST_SITE_ID 2006 TILEPROP INT_L_X8Y130 GRID_POINT_X 25 TILEPROP INT_L_X8Y130 GRID_POINT_Y 20 TILEPROP INT_L_X8Y130 INDEX 2325 TILEPROP INT_L_X8Y130 INT_TILE_X 8 TILEPROP INT_L_X8Y130 INT_TILE_Y 19 TILEPROP INT_L_X8Y130 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y130 IS_DCM_TILE 0 TILEPROP INT_L_X8Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y130 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y130 NAME INT_L_X8Y130 TILEPROP INT_L_X8Y130 NUM_ARCS 3737 TILEPROP INT_L_X8Y130 NUM_SITES 1 TILEPROP INT_L_X8Y130 ROW 20 TILEPROP INT_L_X8Y130 SLR_REGION_ID 0 TILEPROP INT_L_X8Y130 TILE_PATTERN_IDX 4280 TILEPROP INT_L_X8Y130 TILE_TYPE INT_L TILEPROP INT_L_X8Y130 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y130 TILE_X -56414 TILEPROP INT_L_X8Y130 TILE_Y 179896 TILEPROP INT_L_X8Y130 TYPE INT_L TILEPROP INT_L_X8Y131 CLASS tile TILEPROP INT_L_X8Y131 COLUMN 25 TILEPROP INT_L_X8Y131 DEVICE_ID 0 TILEPROP INT_L_X8Y131 FIRST_SITE_ID 1907 TILEPROP INT_L_X8Y131 GRID_POINT_X 25 TILEPROP INT_L_X8Y131 GRID_POINT_Y 19 TILEPROP INT_L_X8Y131 INDEX 2210 TILEPROP INT_L_X8Y131 INT_TILE_X 8 TILEPROP INT_L_X8Y131 INT_TILE_Y 18 TILEPROP INT_L_X8Y131 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y131 IS_DCM_TILE 0 TILEPROP INT_L_X8Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y131 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y131 NAME INT_L_X8Y131 TILEPROP INT_L_X8Y131 NUM_ARCS 3737 TILEPROP INT_L_X8Y131 NUM_SITES 1 TILEPROP INT_L_X8Y131 ROW 19 TILEPROP INT_L_X8Y131 SLR_REGION_ID 0 TILEPROP INT_L_X8Y131 TILE_PATTERN_IDX 4242 TILEPROP INT_L_X8Y131 TILE_TYPE INT_L TILEPROP INT_L_X8Y131 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y131 TILE_X -56414 TILEPROP INT_L_X8Y131 TILE_Y 183096 TILEPROP INT_L_X8Y131 TYPE INT_L TILEPROP INT_L_X8Y132 CLASS tile TILEPROP INT_L_X8Y132 COLUMN 25 TILEPROP INT_L_X8Y132 DEVICE_ID 0 TILEPROP INT_L_X8Y132 FIRST_SITE_ID 1801 TILEPROP INT_L_X8Y132 GRID_POINT_X 25 TILEPROP INT_L_X8Y132 GRID_POINT_Y 18 TILEPROP INT_L_X8Y132 INDEX 2095 TILEPROP INT_L_X8Y132 INT_TILE_X 8 TILEPROP INT_L_X8Y132 INT_TILE_Y 17 TILEPROP INT_L_X8Y132 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y132 IS_DCM_TILE 0 TILEPROP INT_L_X8Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y132 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y132 NAME INT_L_X8Y132 TILEPROP INT_L_X8Y132 NUM_ARCS 3737 TILEPROP INT_L_X8Y132 NUM_SITES 1 TILEPROP INT_L_X8Y132 ROW 18 TILEPROP INT_L_X8Y132 SLR_REGION_ID 0 TILEPROP INT_L_X8Y132 TILE_PATTERN_IDX 4203 TILEPROP INT_L_X8Y132 TILE_TYPE INT_L TILEPROP INT_L_X8Y132 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y132 TILE_X -56414 TILEPROP INT_L_X8Y132 TILE_Y 186296 TILEPROP INT_L_X8Y132 TYPE INT_L TILEPROP INT_L_X8Y133 CLASS tile TILEPROP INT_L_X8Y133 COLUMN 25 TILEPROP INT_L_X8Y133 DEVICE_ID 0 TILEPROP INT_L_X8Y133 FIRST_SITE_ID 1700 TILEPROP INT_L_X8Y133 GRID_POINT_X 25 TILEPROP INT_L_X8Y133 GRID_POINT_Y 17 TILEPROP INT_L_X8Y133 INDEX 1980 TILEPROP INT_L_X8Y133 INT_TILE_X 8 TILEPROP INT_L_X8Y133 INT_TILE_Y 16 TILEPROP INT_L_X8Y133 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y133 IS_DCM_TILE 0 TILEPROP INT_L_X8Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y133 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y133 NAME INT_L_X8Y133 TILEPROP INT_L_X8Y133 NUM_ARCS 3737 TILEPROP INT_L_X8Y133 NUM_SITES 1 TILEPROP INT_L_X8Y133 ROW 17 TILEPROP INT_L_X8Y133 SLR_REGION_ID 0 TILEPROP INT_L_X8Y133 TILE_PATTERN_IDX 4165 TILEPROP INT_L_X8Y133 TILE_TYPE INT_L TILEPROP INT_L_X8Y133 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y133 TILE_X -56414 TILEPROP INT_L_X8Y133 TILE_Y 189496 TILEPROP INT_L_X8Y133 TYPE INT_L TILEPROP INT_L_X8Y134 CLASS tile TILEPROP INT_L_X8Y134 COLUMN 25 TILEPROP INT_L_X8Y134 DEVICE_ID 0 TILEPROP INT_L_X8Y134 FIRST_SITE_ID 1596 TILEPROP INT_L_X8Y134 GRID_POINT_X 25 TILEPROP INT_L_X8Y134 GRID_POINT_Y 16 TILEPROP INT_L_X8Y134 INDEX 1865 TILEPROP INT_L_X8Y134 INT_TILE_X 8 TILEPROP INT_L_X8Y134 INT_TILE_Y 15 TILEPROP INT_L_X8Y134 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y134 IS_DCM_TILE 0 TILEPROP INT_L_X8Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y134 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y134 NAME INT_L_X8Y134 TILEPROP INT_L_X8Y134 NUM_ARCS 3737 TILEPROP INT_L_X8Y134 NUM_SITES 1 TILEPROP INT_L_X8Y134 ROW 16 TILEPROP INT_L_X8Y134 SLR_REGION_ID 0 TILEPROP INT_L_X8Y134 TILE_PATTERN_IDX 4126 TILEPROP INT_L_X8Y134 TILE_TYPE INT_L TILEPROP INT_L_X8Y134 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y134 TILE_X -56414 TILEPROP INT_L_X8Y134 TILE_Y 192696 TILEPROP INT_L_X8Y134 TYPE INT_L TILEPROP INT_L_X8Y135 CLASS tile TILEPROP INT_L_X8Y135 COLUMN 25 TILEPROP INT_L_X8Y135 DEVICE_ID 0 TILEPROP INT_L_X8Y135 FIRST_SITE_ID 1491 TILEPROP INT_L_X8Y135 GRID_POINT_X 25 TILEPROP INT_L_X8Y135 GRID_POINT_Y 15 TILEPROP INT_L_X8Y135 INDEX 1750 TILEPROP INT_L_X8Y135 INT_TILE_X 8 TILEPROP INT_L_X8Y135 INT_TILE_Y 14 TILEPROP INT_L_X8Y135 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y135 IS_DCM_TILE 0 TILEPROP INT_L_X8Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y135 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y135 NAME INT_L_X8Y135 TILEPROP INT_L_X8Y135 NUM_ARCS 3737 TILEPROP INT_L_X8Y135 NUM_SITES 1 TILEPROP INT_L_X8Y135 ROW 15 TILEPROP INT_L_X8Y135 SLR_REGION_ID 0 TILEPROP INT_L_X8Y135 TILE_PATTERN_IDX 4088 TILEPROP INT_L_X8Y135 TILE_TYPE INT_L TILEPROP INT_L_X8Y135 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y135 TILE_X -56414 TILEPROP INT_L_X8Y135 TILE_Y 195896 TILEPROP INT_L_X8Y135 TYPE INT_L TILEPROP INT_L_X8Y136 CLASS tile TILEPROP INT_L_X8Y136 COLUMN 25 TILEPROP INT_L_X8Y136 DEVICE_ID 0 TILEPROP INT_L_X8Y136 FIRST_SITE_ID 1352 TILEPROP INT_L_X8Y136 GRID_POINT_X 25 TILEPROP INT_L_X8Y136 GRID_POINT_Y 14 TILEPROP INT_L_X8Y136 INDEX 1635 TILEPROP INT_L_X8Y136 INT_TILE_X 8 TILEPROP INT_L_X8Y136 INT_TILE_Y 13 TILEPROP INT_L_X8Y136 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y136 IS_DCM_TILE 0 TILEPROP INT_L_X8Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y136 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y136 NAME INT_L_X8Y136 TILEPROP INT_L_X8Y136 NUM_ARCS 3737 TILEPROP INT_L_X8Y136 NUM_SITES 1 TILEPROP INT_L_X8Y136 ROW 14 TILEPROP INT_L_X8Y136 SLR_REGION_ID 0 TILEPROP INT_L_X8Y136 TILE_PATTERN_IDX 4049 TILEPROP INT_L_X8Y136 TILE_TYPE INT_L TILEPROP INT_L_X8Y136 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y136 TILE_X -56414 TILEPROP INT_L_X8Y136 TILE_Y 199096 TILEPROP INT_L_X8Y136 TYPE INT_L TILEPROP INT_L_X8Y137 CLASS tile TILEPROP INT_L_X8Y137 COLUMN 25 TILEPROP INT_L_X8Y137 DEVICE_ID 0 TILEPROP INT_L_X8Y137 FIRST_SITE_ID 1256 TILEPROP INT_L_X8Y137 GRID_POINT_X 25 TILEPROP INT_L_X8Y137 GRID_POINT_Y 13 TILEPROP INT_L_X8Y137 INDEX 1520 TILEPROP INT_L_X8Y137 INT_TILE_X 8 TILEPROP INT_L_X8Y137 INT_TILE_Y 12 TILEPROP INT_L_X8Y137 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y137 IS_DCM_TILE 0 TILEPROP INT_L_X8Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y137 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y137 NAME INT_L_X8Y137 TILEPROP INT_L_X8Y137 NUM_ARCS 3737 TILEPROP INT_L_X8Y137 NUM_SITES 1 TILEPROP INT_L_X8Y137 ROW 13 TILEPROP INT_L_X8Y137 SLR_REGION_ID 0 TILEPROP INT_L_X8Y137 TILE_PATTERN_IDX 4011 TILEPROP INT_L_X8Y137 TILE_TYPE INT_L TILEPROP INT_L_X8Y137 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y137 TILE_X -56414 TILEPROP INT_L_X8Y137 TILE_Y 202296 TILEPROP INT_L_X8Y137 TYPE INT_L TILEPROP INT_L_X8Y138 CLASS tile TILEPROP INT_L_X8Y138 COLUMN 25 TILEPROP INT_L_X8Y138 DEVICE_ID 0 TILEPROP INT_L_X8Y138 FIRST_SITE_ID 1152 TILEPROP INT_L_X8Y138 GRID_POINT_X 25 TILEPROP INT_L_X8Y138 GRID_POINT_Y 12 TILEPROP INT_L_X8Y138 INDEX 1405 TILEPROP INT_L_X8Y138 INT_TILE_X 8 TILEPROP INT_L_X8Y138 INT_TILE_Y 11 TILEPROP INT_L_X8Y138 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y138 IS_DCM_TILE 0 TILEPROP INT_L_X8Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y138 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y138 NAME INT_L_X8Y138 TILEPROP INT_L_X8Y138 NUM_ARCS 3737 TILEPROP INT_L_X8Y138 NUM_SITES 1 TILEPROP INT_L_X8Y138 ROW 12 TILEPROP INT_L_X8Y138 SLR_REGION_ID 0 TILEPROP INT_L_X8Y138 TILE_PATTERN_IDX 3972 TILEPROP INT_L_X8Y138 TILE_TYPE INT_L TILEPROP INT_L_X8Y138 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y138 TILE_X -56414 TILEPROP INT_L_X8Y138 TILE_Y 205496 TILEPROP INT_L_X8Y138 TYPE INT_L TILEPROP INT_L_X8Y139 CLASS tile TILEPROP INT_L_X8Y139 COLUMN 25 TILEPROP INT_L_X8Y139 DEVICE_ID 0 TILEPROP INT_L_X8Y139 FIRST_SITE_ID 1056 TILEPROP INT_L_X8Y139 GRID_POINT_X 25 TILEPROP INT_L_X8Y139 GRID_POINT_Y 11 TILEPROP INT_L_X8Y139 INDEX 1290 TILEPROP INT_L_X8Y139 INT_TILE_X 8 TILEPROP INT_L_X8Y139 INT_TILE_Y 10 TILEPROP INT_L_X8Y139 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y139 IS_DCM_TILE 0 TILEPROP INT_L_X8Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y139 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y139 NAME INT_L_X8Y139 TILEPROP INT_L_X8Y139 NUM_ARCS 3737 TILEPROP INT_L_X8Y139 NUM_SITES 1 TILEPROP INT_L_X8Y139 ROW 11 TILEPROP INT_L_X8Y139 SLR_REGION_ID 0 TILEPROP INT_L_X8Y139 TILE_PATTERN_IDX 3934 TILEPROP INT_L_X8Y139 TILE_TYPE INT_L TILEPROP INT_L_X8Y139 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y139 TILE_X -56414 TILEPROP INT_L_X8Y139 TILE_Y 208696 TILEPROP INT_L_X8Y139 TYPE INT_L TILEPROP INT_L_X8Y140 CLASS tile TILEPROP INT_L_X8Y140 COLUMN 25 TILEPROP INT_L_X8Y140 DEVICE_ID 0 TILEPROP INT_L_X8Y140 FIRST_SITE_ID 943 TILEPROP INT_L_X8Y140 GRID_POINT_X 25 TILEPROP INT_L_X8Y140 GRID_POINT_Y 10 TILEPROP INT_L_X8Y140 INDEX 1175 TILEPROP INT_L_X8Y140 INT_TILE_X 8 TILEPROP INT_L_X8Y140 INT_TILE_Y 9 TILEPROP INT_L_X8Y140 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y140 IS_DCM_TILE 0 TILEPROP INT_L_X8Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y140 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y140 NAME INT_L_X8Y140 TILEPROP INT_L_X8Y140 NUM_ARCS 3737 TILEPROP INT_L_X8Y140 NUM_SITES 1 TILEPROP INT_L_X8Y140 ROW 10 TILEPROP INT_L_X8Y140 SLR_REGION_ID 0 TILEPROP INT_L_X8Y140 TILE_PATTERN_IDX 3895 TILEPROP INT_L_X8Y140 TILE_TYPE INT_L TILEPROP INT_L_X8Y140 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y140 TILE_X -56414 TILEPROP INT_L_X8Y140 TILE_Y 211896 TILEPROP INT_L_X8Y140 TYPE INT_L TILEPROP INT_L_X8Y141 CLASS tile TILEPROP INT_L_X8Y141 COLUMN 25 TILEPROP INT_L_X8Y141 DEVICE_ID 0 TILEPROP INT_L_X8Y141 FIRST_SITE_ID 844 TILEPROP INT_L_X8Y141 GRID_POINT_X 25 TILEPROP INT_L_X8Y141 GRID_POINT_Y 9 TILEPROP INT_L_X8Y141 INDEX 1060 TILEPROP INT_L_X8Y141 INT_TILE_X 8 TILEPROP INT_L_X8Y141 INT_TILE_Y 8 TILEPROP INT_L_X8Y141 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y141 IS_DCM_TILE 0 TILEPROP INT_L_X8Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y141 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y141 NAME INT_L_X8Y141 TILEPROP INT_L_X8Y141 NUM_ARCS 3737 TILEPROP INT_L_X8Y141 NUM_SITES 1 TILEPROP INT_L_X8Y141 ROW 9 TILEPROP INT_L_X8Y141 SLR_REGION_ID 0 TILEPROP INT_L_X8Y141 TILE_PATTERN_IDX 3857 TILEPROP INT_L_X8Y141 TILE_TYPE INT_L TILEPROP INT_L_X8Y141 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y141 TILE_X -56414 TILEPROP INT_L_X8Y141 TILE_Y 215096 TILEPROP INT_L_X8Y141 TYPE INT_L TILEPROP INT_L_X8Y142 CLASS tile TILEPROP INT_L_X8Y142 COLUMN 25 TILEPROP INT_L_X8Y142 DEVICE_ID 0 TILEPROP INT_L_X8Y142 FIRST_SITE_ID 740 TILEPROP INT_L_X8Y142 GRID_POINT_X 25 TILEPROP INT_L_X8Y142 GRID_POINT_Y 8 TILEPROP INT_L_X8Y142 INDEX 945 TILEPROP INT_L_X8Y142 INT_TILE_X 8 TILEPROP INT_L_X8Y142 INT_TILE_Y 7 TILEPROP INT_L_X8Y142 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y142 IS_DCM_TILE 0 TILEPROP INT_L_X8Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y142 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y142 NAME INT_L_X8Y142 TILEPROP INT_L_X8Y142 NUM_ARCS 3737 TILEPROP INT_L_X8Y142 NUM_SITES 1 TILEPROP INT_L_X8Y142 ROW 8 TILEPROP INT_L_X8Y142 SLR_REGION_ID 0 TILEPROP INT_L_X8Y142 TILE_PATTERN_IDX 3818 TILEPROP INT_L_X8Y142 TILE_TYPE INT_L TILEPROP INT_L_X8Y142 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y142 TILE_X -56414 TILEPROP INT_L_X8Y142 TILE_Y 218296 TILEPROP INT_L_X8Y142 TYPE INT_L TILEPROP INT_L_X8Y143 CLASS tile TILEPROP INT_L_X8Y143 COLUMN 25 TILEPROP INT_L_X8Y143 DEVICE_ID 0 TILEPROP INT_L_X8Y143 FIRST_SITE_ID 643 TILEPROP INT_L_X8Y143 GRID_POINT_X 25 TILEPROP INT_L_X8Y143 GRID_POINT_Y 7 TILEPROP INT_L_X8Y143 INDEX 830 TILEPROP INT_L_X8Y143 INT_TILE_X 8 TILEPROP INT_L_X8Y143 INT_TILE_Y 6 TILEPROP INT_L_X8Y143 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y143 IS_DCM_TILE 0 TILEPROP INT_L_X8Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y143 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y143 NAME INT_L_X8Y143 TILEPROP INT_L_X8Y143 NUM_ARCS 3737 TILEPROP INT_L_X8Y143 NUM_SITES 1 TILEPROP INT_L_X8Y143 ROW 7 TILEPROP INT_L_X8Y143 SLR_REGION_ID 0 TILEPROP INT_L_X8Y143 TILE_PATTERN_IDX 3780 TILEPROP INT_L_X8Y143 TILE_TYPE INT_L TILEPROP INT_L_X8Y143 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y143 TILE_X -56414 TILEPROP INT_L_X8Y143 TILE_Y 221496 TILEPROP INT_L_X8Y143 TYPE INT_L TILEPROP INT_L_X8Y144 CLASS tile TILEPROP INT_L_X8Y144 COLUMN 25 TILEPROP INT_L_X8Y144 DEVICE_ID 0 TILEPROP INT_L_X8Y144 FIRST_SITE_ID 532 TILEPROP INT_L_X8Y144 GRID_POINT_X 25 TILEPROP INT_L_X8Y144 GRID_POINT_Y 6 TILEPROP INT_L_X8Y144 INDEX 715 TILEPROP INT_L_X8Y144 INT_TILE_X 8 TILEPROP INT_L_X8Y144 INT_TILE_Y 5 TILEPROP INT_L_X8Y144 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y144 IS_DCM_TILE 0 TILEPROP INT_L_X8Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y144 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y144 NAME INT_L_X8Y144 TILEPROP INT_L_X8Y144 NUM_ARCS 3737 TILEPROP INT_L_X8Y144 NUM_SITES 1 TILEPROP INT_L_X8Y144 ROW 6 TILEPROP INT_L_X8Y144 SLR_REGION_ID 0 TILEPROP INT_L_X8Y144 TILE_PATTERN_IDX 3741 TILEPROP INT_L_X8Y144 TILE_TYPE INT_L TILEPROP INT_L_X8Y144 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y144 TILE_X -56414 TILEPROP INT_L_X8Y144 TILE_Y 224696 TILEPROP INT_L_X8Y144 TYPE INT_L TILEPROP INT_L_X8Y145 CLASS tile TILEPROP INT_L_X8Y145 COLUMN 25 TILEPROP INT_L_X8Y145 DEVICE_ID 0 TILEPROP INT_L_X8Y145 FIRST_SITE_ID 427 TILEPROP INT_L_X8Y145 GRID_POINT_X 25 TILEPROP INT_L_X8Y145 GRID_POINT_Y 5 TILEPROP INT_L_X8Y145 INDEX 600 TILEPROP INT_L_X8Y145 INT_TILE_X 8 TILEPROP INT_L_X8Y145 INT_TILE_Y 4 TILEPROP INT_L_X8Y145 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y145 IS_DCM_TILE 0 TILEPROP INT_L_X8Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y145 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y145 NAME INT_L_X8Y145 TILEPROP INT_L_X8Y145 NUM_ARCS 3737 TILEPROP INT_L_X8Y145 NUM_SITES 1 TILEPROP INT_L_X8Y145 ROW 5 TILEPROP INT_L_X8Y145 SLR_REGION_ID 0 TILEPROP INT_L_X8Y145 TILE_PATTERN_IDX 3703 TILEPROP INT_L_X8Y145 TILE_TYPE INT_L TILEPROP INT_L_X8Y145 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y145 TILE_X -56414 TILEPROP INT_L_X8Y145 TILE_Y 227896 TILEPROP INT_L_X8Y145 TYPE INT_L TILEPROP INT_L_X8Y146 CLASS tile TILEPROP INT_L_X8Y146 COLUMN 25 TILEPROP INT_L_X8Y146 DEVICE_ID 0 TILEPROP INT_L_X8Y146 FIRST_SITE_ID 320 TILEPROP INT_L_X8Y146 GRID_POINT_X 25 TILEPROP INT_L_X8Y146 GRID_POINT_Y 4 TILEPROP INT_L_X8Y146 INDEX 485 TILEPROP INT_L_X8Y146 INT_TILE_X 8 TILEPROP INT_L_X8Y146 INT_TILE_Y 3 TILEPROP INT_L_X8Y146 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y146 IS_DCM_TILE 0 TILEPROP INT_L_X8Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y146 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y146 NAME INT_L_X8Y146 TILEPROP INT_L_X8Y146 NUM_ARCS 3737 TILEPROP INT_L_X8Y146 NUM_SITES 1 TILEPROP INT_L_X8Y146 ROW 4 TILEPROP INT_L_X8Y146 SLR_REGION_ID 0 TILEPROP INT_L_X8Y146 TILE_PATTERN_IDX 3664 TILEPROP INT_L_X8Y146 TILE_TYPE INT_L TILEPROP INT_L_X8Y146 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y146 TILE_X -56414 TILEPROP INT_L_X8Y146 TILE_Y 231096 TILEPROP INT_L_X8Y146 TYPE INT_L TILEPROP INT_L_X8Y147 CLASS tile TILEPROP INT_L_X8Y147 COLUMN 25 TILEPROP INT_L_X8Y147 DEVICE_ID 0 TILEPROP INT_L_X8Y147 FIRST_SITE_ID 224 TILEPROP INT_L_X8Y147 GRID_POINT_X 25 TILEPROP INT_L_X8Y147 GRID_POINT_Y 3 TILEPROP INT_L_X8Y147 INDEX 370 TILEPROP INT_L_X8Y147 INT_TILE_X 8 TILEPROP INT_L_X8Y147 INT_TILE_Y 2 TILEPROP INT_L_X8Y147 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y147 IS_DCM_TILE 0 TILEPROP INT_L_X8Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y147 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y147 NAME INT_L_X8Y147 TILEPROP INT_L_X8Y147 NUM_ARCS 3737 TILEPROP INT_L_X8Y147 NUM_SITES 1 TILEPROP INT_L_X8Y147 ROW 3 TILEPROP INT_L_X8Y147 SLR_REGION_ID 0 TILEPROP INT_L_X8Y147 TILE_PATTERN_IDX 3626 TILEPROP INT_L_X8Y147 TILE_TYPE INT_L TILEPROP INT_L_X8Y147 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y147 TILE_X -56414 TILEPROP INT_L_X8Y147 TILE_Y 234296 TILEPROP INT_L_X8Y147 TYPE INT_L TILEPROP INT_L_X8Y148 CLASS tile TILEPROP INT_L_X8Y148 COLUMN 25 TILEPROP INT_L_X8Y148 DEVICE_ID 0 TILEPROP INT_L_X8Y148 FIRST_SITE_ID 120 TILEPROP INT_L_X8Y148 GRID_POINT_X 25 TILEPROP INT_L_X8Y148 GRID_POINT_Y 2 TILEPROP INT_L_X8Y148 INDEX 255 TILEPROP INT_L_X8Y148 INT_TILE_X 8 TILEPROP INT_L_X8Y148 INT_TILE_Y 1 TILEPROP INT_L_X8Y148 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y148 IS_DCM_TILE 0 TILEPROP INT_L_X8Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y148 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y148 NAME INT_L_X8Y148 TILEPROP INT_L_X8Y148 NUM_ARCS 3737 TILEPROP INT_L_X8Y148 NUM_SITES 1 TILEPROP INT_L_X8Y148 ROW 2 TILEPROP INT_L_X8Y148 SLR_REGION_ID 0 TILEPROP INT_L_X8Y148 TILE_PATTERN_IDX 3587 TILEPROP INT_L_X8Y148 TILE_TYPE INT_L TILEPROP INT_L_X8Y148 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y148 TILE_X -56414 TILEPROP INT_L_X8Y148 TILE_Y 237496 TILEPROP INT_L_X8Y148 TYPE INT_L TILEPROP INT_L_X8Y149 CLASS tile TILEPROP INT_L_X8Y149 COLUMN 25 TILEPROP INT_L_X8Y149 DEVICE_ID 0 TILEPROP INT_L_X8Y149 FIRST_SITE_ID 24 TILEPROP INT_L_X8Y149 GRID_POINT_X 25 TILEPROP INT_L_X8Y149 GRID_POINT_Y 1 TILEPROP INT_L_X8Y149 INDEX 140 TILEPROP INT_L_X8Y149 INT_TILE_X 8 TILEPROP INT_L_X8Y149 INT_TILE_Y 0 TILEPROP INT_L_X8Y149 IS_CENTER_TILE 0 TILEPROP INT_L_X8Y149 IS_DCM_TILE 0 TILEPROP INT_L_X8Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_L_X8Y149 IS_GT_SITE_TILE 0 TILEPROP INT_L_X8Y149 NAME INT_L_X8Y149 TILEPROP INT_L_X8Y149 NUM_ARCS 3737 TILEPROP INT_L_X8Y149 NUM_SITES 1 TILEPROP INT_L_X8Y149 ROW 1 TILEPROP INT_L_X8Y149 SLR_REGION_ID 0 TILEPROP INT_L_X8Y149 TILE_PATTERN_IDX 3549 TILEPROP INT_L_X8Y149 TILE_TYPE INT_L TILEPROP INT_L_X8Y149 TILE_TYPE_INDEX 95 TILEPROP INT_L_X8Y149 TILE_X -56414 TILEPROP INT_L_X8Y149 TILE_Y 240696 TILEPROP INT_L_X8Y149 TYPE INT_L TILEPROP INT_R_X11Y0 CLASS tile TILEPROP INT_R_X11Y0 COLUMN 32 TILEPROP INT_R_X11Y0 DEVICE_ID 0 TILEPROP INT_R_X11Y0 FIRST_SITE_ID 15737 TILEPROP INT_R_X11Y0 GRID_POINT_X 32 TILEPROP INT_R_X11Y0 GRID_POINT_Y 155 TILEPROP INT_R_X11Y0 INDEX 17857 TILEPROP INT_R_X11Y0 INT_TILE_X 11 TILEPROP INT_R_X11Y0 INT_TILE_Y 149 TILEPROP INT_R_X11Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y0 IS_DCM_TILE 0 TILEPROP INT_R_X11Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y0 NAME INT_R_X11Y0 TILEPROP INT_R_X11Y0 NUM_ARCS 3737 TILEPROP INT_R_X11Y0 NUM_SITES 1 TILEPROP INT_R_X11Y0 ROW 155 TILEPROP INT_R_X11Y0 SLR_REGION_ID 0 TILEPROP INT_R_X11Y0 TILE_PATTERN_IDX 8744 TILEPROP INT_R_X11Y0 TILE_TYPE INT_R TILEPROP INT_R_X11Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y0 TILE_X -44136 TILEPROP INT_R_X11Y0 TILE_Y -239672 TILEPROP INT_R_X11Y0 TYPE INT_R TILEPROP INT_R_X11Y1 CLASS tile TILEPROP INT_R_X11Y1 COLUMN 32 TILEPROP INT_R_X11Y1 DEVICE_ID 0 TILEPROP INT_R_X11Y1 FIRST_SITE_ID 15626 TILEPROP INT_R_X11Y1 GRID_POINT_X 32 TILEPROP INT_R_X11Y1 GRID_POINT_Y 154 TILEPROP INT_R_X11Y1 INDEX 17742 TILEPROP INT_R_X11Y1 INT_TILE_X 11 TILEPROP INT_R_X11Y1 INT_TILE_Y 148 TILEPROP INT_R_X11Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y1 IS_DCM_TILE 0 TILEPROP INT_R_X11Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y1 NAME INT_R_X11Y1 TILEPROP INT_R_X11Y1 NUM_ARCS 3737 TILEPROP INT_R_X11Y1 NUM_SITES 1 TILEPROP INT_R_X11Y1 ROW 154 TILEPROP INT_R_X11Y1 SLR_REGION_ID 0 TILEPROP INT_R_X11Y1 TILE_PATTERN_IDX 8713 TILEPROP INT_R_X11Y1 TILE_TYPE INT_R TILEPROP INT_R_X11Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y1 TILE_X -44136 TILEPROP INT_R_X11Y1 TILE_Y -236472 TILEPROP INT_R_X11Y1 TYPE INT_R TILEPROP INT_R_X11Y2 CLASS tile TILEPROP INT_R_X11Y2 COLUMN 32 TILEPROP INT_R_X11Y2 DEVICE_ID 0 TILEPROP INT_R_X11Y2 FIRST_SITE_ID 15526 TILEPROP INT_R_X11Y2 GRID_POINT_X 32 TILEPROP INT_R_X11Y2 GRID_POINT_Y 153 TILEPROP INT_R_X11Y2 INDEX 17627 TILEPROP INT_R_X11Y2 INT_TILE_X 11 TILEPROP INT_R_X11Y2 INT_TILE_Y 147 TILEPROP INT_R_X11Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y2 IS_DCM_TILE 0 TILEPROP INT_R_X11Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y2 NAME INT_R_X11Y2 TILEPROP INT_R_X11Y2 NUM_ARCS 3737 TILEPROP INT_R_X11Y2 NUM_SITES 1 TILEPROP INT_R_X11Y2 ROW 153 TILEPROP INT_R_X11Y2 SLR_REGION_ID 0 TILEPROP INT_R_X11Y2 TILE_PATTERN_IDX 8683 TILEPROP INT_R_X11Y2 TILE_TYPE INT_R TILEPROP INT_R_X11Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y2 TILE_X -44136 TILEPROP INT_R_X11Y2 TILE_Y -233272 TILEPROP INT_R_X11Y2 TYPE INT_R TILEPROP INT_R_X11Y3 CLASS tile TILEPROP INT_R_X11Y3 COLUMN 32 TILEPROP INT_R_X11Y3 DEVICE_ID 0 TILEPROP INT_R_X11Y3 FIRST_SITE_ID 15426 TILEPROP INT_R_X11Y3 GRID_POINT_X 32 TILEPROP INT_R_X11Y3 GRID_POINT_Y 152 TILEPROP INT_R_X11Y3 INDEX 17512 TILEPROP INT_R_X11Y3 INT_TILE_X 11 TILEPROP INT_R_X11Y3 INT_TILE_Y 146 TILEPROP INT_R_X11Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y3 IS_DCM_TILE 0 TILEPROP INT_R_X11Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y3 NAME INT_R_X11Y3 TILEPROP INT_R_X11Y3 NUM_ARCS 3737 TILEPROP INT_R_X11Y3 NUM_SITES 1 TILEPROP INT_R_X11Y3 ROW 152 TILEPROP INT_R_X11Y3 SLR_REGION_ID 0 TILEPROP INT_R_X11Y3 TILE_PATTERN_IDX 8652 TILEPROP INT_R_X11Y3 TILE_TYPE INT_R TILEPROP INT_R_X11Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y3 TILE_X -44136 TILEPROP INT_R_X11Y3 TILE_Y -230072 TILEPROP INT_R_X11Y3 TYPE INT_R TILEPROP INT_R_X11Y4 CLASS tile TILEPROP INT_R_X11Y4 COLUMN 32 TILEPROP INT_R_X11Y4 DEVICE_ID 0 TILEPROP INT_R_X11Y4 FIRST_SITE_ID 15326 TILEPROP INT_R_X11Y4 GRID_POINT_X 32 TILEPROP INT_R_X11Y4 GRID_POINT_Y 151 TILEPROP INT_R_X11Y4 INDEX 17397 TILEPROP INT_R_X11Y4 INT_TILE_X 11 TILEPROP INT_R_X11Y4 INT_TILE_Y 145 TILEPROP INT_R_X11Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y4 IS_DCM_TILE 0 TILEPROP INT_R_X11Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y4 NAME INT_R_X11Y4 TILEPROP INT_R_X11Y4 NUM_ARCS 3737 TILEPROP INT_R_X11Y4 NUM_SITES 1 TILEPROP INT_R_X11Y4 ROW 151 TILEPROP INT_R_X11Y4 SLR_REGION_ID 0 TILEPROP INT_R_X11Y4 TILE_PATTERN_IDX 8622 TILEPROP INT_R_X11Y4 TILE_TYPE INT_R TILEPROP INT_R_X11Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y4 TILE_X -44136 TILEPROP INT_R_X11Y4 TILE_Y -226872 TILEPROP INT_R_X11Y4 TYPE INT_R TILEPROP INT_R_X11Y5 CLASS tile TILEPROP INT_R_X11Y5 COLUMN 32 TILEPROP INT_R_X11Y5 DEVICE_ID 0 TILEPROP INT_R_X11Y5 FIRST_SITE_ID 15217 TILEPROP INT_R_X11Y5 GRID_POINT_X 32 TILEPROP INT_R_X11Y5 GRID_POINT_Y 150 TILEPROP INT_R_X11Y5 INDEX 17282 TILEPROP INT_R_X11Y5 INT_TILE_X 11 TILEPROP INT_R_X11Y5 INT_TILE_Y 144 TILEPROP INT_R_X11Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y5 IS_DCM_TILE 0 TILEPROP INT_R_X11Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y5 NAME INT_R_X11Y5 TILEPROP INT_R_X11Y5 NUM_ARCS 3737 TILEPROP INT_R_X11Y5 NUM_SITES 1 TILEPROP INT_R_X11Y5 ROW 150 TILEPROP INT_R_X11Y5 SLR_REGION_ID 0 TILEPROP INT_R_X11Y5 TILE_PATTERN_IDX 8591 TILEPROP INT_R_X11Y5 TILE_TYPE INT_R TILEPROP INT_R_X11Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y5 TILE_X -44136 TILEPROP INT_R_X11Y5 TILE_Y -223672 TILEPROP INT_R_X11Y5 TYPE INT_R TILEPROP INT_R_X11Y6 CLASS tile TILEPROP INT_R_X11Y6 COLUMN 32 TILEPROP INT_R_X11Y6 DEVICE_ID 0 TILEPROP INT_R_X11Y6 FIRST_SITE_ID 15111 TILEPROP INT_R_X11Y6 GRID_POINT_X 32 TILEPROP INT_R_X11Y6 GRID_POINT_Y 149 TILEPROP INT_R_X11Y6 INDEX 17167 TILEPROP INT_R_X11Y6 INT_TILE_X 11 TILEPROP INT_R_X11Y6 INT_TILE_Y 143 TILEPROP INT_R_X11Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y6 IS_DCM_TILE 0 TILEPROP INT_R_X11Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y6 NAME INT_R_X11Y6 TILEPROP INT_R_X11Y6 NUM_ARCS 3737 TILEPROP INT_R_X11Y6 NUM_SITES 1 TILEPROP INT_R_X11Y6 ROW 149 TILEPROP INT_R_X11Y6 SLR_REGION_ID 0 TILEPROP INT_R_X11Y6 TILE_PATTERN_IDX 8561 TILEPROP INT_R_X11Y6 TILE_TYPE INT_R TILEPROP INT_R_X11Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y6 TILE_X -44136 TILEPROP INT_R_X11Y6 TILE_Y -220472 TILEPROP INT_R_X11Y6 TYPE INT_R TILEPROP INT_R_X11Y7 CLASS tile TILEPROP INT_R_X11Y7 COLUMN 32 TILEPROP INT_R_X11Y7 DEVICE_ID 0 TILEPROP INT_R_X11Y7 FIRST_SITE_ID 15009 TILEPROP INT_R_X11Y7 GRID_POINT_X 32 TILEPROP INT_R_X11Y7 GRID_POINT_Y 148 TILEPROP INT_R_X11Y7 INDEX 17052 TILEPROP INT_R_X11Y7 INT_TILE_X 11 TILEPROP INT_R_X11Y7 INT_TILE_Y 142 TILEPROP INT_R_X11Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y7 IS_DCM_TILE 0 TILEPROP INT_R_X11Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y7 NAME INT_R_X11Y7 TILEPROP INT_R_X11Y7 NUM_ARCS 3737 TILEPROP INT_R_X11Y7 NUM_SITES 1 TILEPROP INT_R_X11Y7 ROW 148 TILEPROP INT_R_X11Y7 SLR_REGION_ID 0 TILEPROP INT_R_X11Y7 TILE_PATTERN_IDX 8530 TILEPROP INT_R_X11Y7 TILE_TYPE INT_R TILEPROP INT_R_X11Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y7 TILE_X -44136 TILEPROP INT_R_X11Y7 TILE_Y -217272 TILEPROP INT_R_X11Y7 TYPE INT_R TILEPROP INT_R_X11Y8 CLASS tile TILEPROP INT_R_X11Y8 COLUMN 32 TILEPROP INT_R_X11Y8 DEVICE_ID 0 TILEPROP INT_R_X11Y8 FIRST_SITE_ID 14906 TILEPROP INT_R_X11Y8 GRID_POINT_X 32 TILEPROP INT_R_X11Y8 GRID_POINT_Y 147 TILEPROP INT_R_X11Y8 INDEX 16937 TILEPROP INT_R_X11Y8 INT_TILE_X 11 TILEPROP INT_R_X11Y8 INT_TILE_Y 141 TILEPROP INT_R_X11Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y8 IS_DCM_TILE 0 TILEPROP INT_R_X11Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y8 NAME INT_R_X11Y8 TILEPROP INT_R_X11Y8 NUM_ARCS 3737 TILEPROP INT_R_X11Y8 NUM_SITES 1 TILEPROP INT_R_X11Y8 ROW 147 TILEPROP INT_R_X11Y8 SLR_REGION_ID 0 TILEPROP INT_R_X11Y8 TILE_PATTERN_IDX 8500 TILEPROP INT_R_X11Y8 TILE_TYPE INT_R TILEPROP INT_R_X11Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y8 TILE_X -44136 TILEPROP INT_R_X11Y8 TILE_Y -214072 TILEPROP INT_R_X11Y8 TYPE INT_R TILEPROP INT_R_X11Y9 CLASS tile TILEPROP INT_R_X11Y9 COLUMN 32 TILEPROP INT_R_X11Y9 DEVICE_ID 0 TILEPROP INT_R_X11Y9 FIRST_SITE_ID 14805 TILEPROP INT_R_X11Y9 GRID_POINT_X 32 TILEPROP INT_R_X11Y9 GRID_POINT_Y 146 TILEPROP INT_R_X11Y9 INDEX 16822 TILEPROP INT_R_X11Y9 INT_TILE_X 11 TILEPROP INT_R_X11Y9 INT_TILE_Y 140 TILEPROP INT_R_X11Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y9 IS_DCM_TILE 0 TILEPROP INT_R_X11Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y9 NAME INT_R_X11Y9 TILEPROP INT_R_X11Y9 NUM_ARCS 3737 TILEPROP INT_R_X11Y9 NUM_SITES 1 TILEPROP INT_R_X11Y9 ROW 146 TILEPROP INT_R_X11Y9 SLR_REGION_ID 0 TILEPROP INT_R_X11Y9 TILE_PATTERN_IDX 8469 TILEPROP INT_R_X11Y9 TILE_TYPE INT_R TILEPROP INT_R_X11Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y9 TILE_X -44136 TILEPROP INT_R_X11Y9 TILE_Y -210872 TILEPROP INT_R_X11Y9 TYPE INT_R TILEPROP INT_R_X11Y10 CLASS tile TILEPROP INT_R_X11Y10 COLUMN 32 TILEPROP INT_R_X11Y10 DEVICE_ID 0 TILEPROP INT_R_X11Y10 FIRST_SITE_ID 14696 TILEPROP INT_R_X11Y10 GRID_POINT_X 32 TILEPROP INT_R_X11Y10 GRID_POINT_Y 145 TILEPROP INT_R_X11Y10 INDEX 16707 TILEPROP INT_R_X11Y10 INT_TILE_X 11 TILEPROP INT_R_X11Y10 INT_TILE_Y 139 TILEPROP INT_R_X11Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y10 IS_DCM_TILE 0 TILEPROP INT_R_X11Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y10 NAME INT_R_X11Y10 TILEPROP INT_R_X11Y10 NUM_ARCS 3737 TILEPROP INT_R_X11Y10 NUM_SITES 1 TILEPROP INT_R_X11Y10 ROW 145 TILEPROP INT_R_X11Y10 SLR_REGION_ID 0 TILEPROP INT_R_X11Y10 TILE_PATTERN_IDX 8439 TILEPROP INT_R_X11Y10 TILE_TYPE INT_R TILEPROP INT_R_X11Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y10 TILE_X -44136 TILEPROP INT_R_X11Y10 TILE_Y -207672 TILEPROP INT_R_X11Y10 TYPE INT_R TILEPROP INT_R_X11Y11 CLASS tile TILEPROP INT_R_X11Y11 COLUMN 32 TILEPROP INT_R_X11Y11 DEVICE_ID 0 TILEPROP INT_R_X11Y11 FIRST_SITE_ID 14590 TILEPROP INT_R_X11Y11 GRID_POINT_X 32 TILEPROP INT_R_X11Y11 GRID_POINT_Y 144 TILEPROP INT_R_X11Y11 INDEX 16592 TILEPROP INT_R_X11Y11 INT_TILE_X 11 TILEPROP INT_R_X11Y11 INT_TILE_Y 138 TILEPROP INT_R_X11Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y11 IS_DCM_TILE 0 TILEPROP INT_R_X11Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y11 NAME INT_R_X11Y11 TILEPROP INT_R_X11Y11 NUM_ARCS 3737 TILEPROP INT_R_X11Y11 NUM_SITES 1 TILEPROP INT_R_X11Y11 ROW 144 TILEPROP INT_R_X11Y11 SLR_REGION_ID 0 TILEPROP INT_R_X11Y11 TILE_PATTERN_IDX 8408 TILEPROP INT_R_X11Y11 TILE_TYPE INT_R TILEPROP INT_R_X11Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y11 TILE_X -44136 TILEPROP INT_R_X11Y11 TILE_Y -204472 TILEPROP INT_R_X11Y11 TYPE INT_R TILEPROP INT_R_X11Y12 CLASS tile TILEPROP INT_R_X11Y12 COLUMN 32 TILEPROP INT_R_X11Y12 DEVICE_ID 0 TILEPROP INT_R_X11Y12 FIRST_SITE_ID 14458 TILEPROP INT_R_X11Y12 GRID_POINT_X 32 TILEPROP INT_R_X11Y12 GRID_POINT_Y 143 TILEPROP INT_R_X11Y12 INDEX 16477 TILEPROP INT_R_X11Y12 INT_TILE_X 11 TILEPROP INT_R_X11Y12 INT_TILE_Y 137 TILEPROP INT_R_X11Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y12 IS_DCM_TILE 0 TILEPROP INT_R_X11Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y12 NAME INT_R_X11Y12 TILEPROP INT_R_X11Y12 NUM_ARCS 3737 TILEPROP INT_R_X11Y12 NUM_SITES 1 TILEPROP INT_R_X11Y12 ROW 143 TILEPROP INT_R_X11Y12 SLR_REGION_ID 0 TILEPROP INT_R_X11Y12 TILE_PATTERN_IDX 8378 TILEPROP INT_R_X11Y12 TILE_TYPE INT_R TILEPROP INT_R_X11Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y12 TILE_X -44136 TILEPROP INT_R_X11Y12 TILE_Y -201272 TILEPROP INT_R_X11Y12 TYPE INT_R TILEPROP INT_R_X11Y13 CLASS tile TILEPROP INT_R_X11Y13 COLUMN 32 TILEPROP INT_R_X11Y13 DEVICE_ID 0 TILEPROP INT_R_X11Y13 FIRST_SITE_ID 14358 TILEPROP INT_R_X11Y13 GRID_POINT_X 32 TILEPROP INT_R_X11Y13 GRID_POINT_Y 142 TILEPROP INT_R_X11Y13 INDEX 16362 TILEPROP INT_R_X11Y13 INT_TILE_X 11 TILEPROP INT_R_X11Y13 INT_TILE_Y 136 TILEPROP INT_R_X11Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y13 IS_DCM_TILE 0 TILEPROP INT_R_X11Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y13 NAME INT_R_X11Y13 TILEPROP INT_R_X11Y13 NUM_ARCS 3737 TILEPROP INT_R_X11Y13 NUM_SITES 1 TILEPROP INT_R_X11Y13 ROW 142 TILEPROP INT_R_X11Y13 SLR_REGION_ID 0 TILEPROP INT_R_X11Y13 TILE_PATTERN_IDX 8347 TILEPROP INT_R_X11Y13 TILE_TYPE INT_R TILEPROP INT_R_X11Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y13 TILE_X -44136 TILEPROP INT_R_X11Y13 TILE_Y -198072 TILEPROP INT_R_X11Y13 TYPE INT_R TILEPROP INT_R_X11Y14 CLASS tile TILEPROP INT_R_X11Y14 COLUMN 32 TILEPROP INT_R_X11Y14 DEVICE_ID 0 TILEPROP INT_R_X11Y14 FIRST_SITE_ID 14258 TILEPROP INT_R_X11Y14 GRID_POINT_X 32 TILEPROP INT_R_X11Y14 GRID_POINT_Y 141 TILEPROP INT_R_X11Y14 INDEX 16247 TILEPROP INT_R_X11Y14 INT_TILE_X 11 TILEPROP INT_R_X11Y14 INT_TILE_Y 135 TILEPROP INT_R_X11Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y14 IS_DCM_TILE 0 TILEPROP INT_R_X11Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y14 NAME INT_R_X11Y14 TILEPROP INT_R_X11Y14 NUM_ARCS 3737 TILEPROP INT_R_X11Y14 NUM_SITES 1 TILEPROP INT_R_X11Y14 ROW 141 TILEPROP INT_R_X11Y14 SLR_REGION_ID 0 TILEPROP INT_R_X11Y14 TILE_PATTERN_IDX 8317 TILEPROP INT_R_X11Y14 TILE_TYPE INT_R TILEPROP INT_R_X11Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y14 TILE_X -44136 TILEPROP INT_R_X11Y14 TILE_Y -194872 TILEPROP INT_R_X11Y14 TYPE INT_R TILEPROP INT_R_X11Y15 CLASS tile TILEPROP INT_R_X11Y15 COLUMN 32 TILEPROP INT_R_X11Y15 DEVICE_ID 0 TILEPROP INT_R_X11Y15 FIRST_SITE_ID 14149 TILEPROP INT_R_X11Y15 GRID_POINT_X 32 TILEPROP INT_R_X11Y15 GRID_POINT_Y 140 TILEPROP INT_R_X11Y15 INDEX 16132 TILEPROP INT_R_X11Y15 INT_TILE_X 11 TILEPROP INT_R_X11Y15 INT_TILE_Y 134 TILEPROP INT_R_X11Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y15 IS_DCM_TILE 0 TILEPROP INT_R_X11Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y15 NAME INT_R_X11Y15 TILEPROP INT_R_X11Y15 NUM_ARCS 3737 TILEPROP INT_R_X11Y15 NUM_SITES 1 TILEPROP INT_R_X11Y15 ROW 140 TILEPROP INT_R_X11Y15 SLR_REGION_ID 0 TILEPROP INT_R_X11Y15 TILE_PATTERN_IDX 8286 TILEPROP INT_R_X11Y15 TILE_TYPE INT_R TILEPROP INT_R_X11Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y15 TILE_X -44136 TILEPROP INT_R_X11Y15 TILE_Y -191672 TILEPROP INT_R_X11Y15 TYPE INT_R TILEPROP INT_R_X11Y16 CLASS tile TILEPROP INT_R_X11Y16 COLUMN 32 TILEPROP INT_R_X11Y16 DEVICE_ID 0 TILEPROP INT_R_X11Y16 FIRST_SITE_ID 14043 TILEPROP INT_R_X11Y16 GRID_POINT_X 32 TILEPROP INT_R_X11Y16 GRID_POINT_Y 139 TILEPROP INT_R_X11Y16 INDEX 16017 TILEPROP INT_R_X11Y16 INT_TILE_X 11 TILEPROP INT_R_X11Y16 INT_TILE_Y 133 TILEPROP INT_R_X11Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y16 IS_DCM_TILE 0 TILEPROP INT_R_X11Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y16 NAME INT_R_X11Y16 TILEPROP INT_R_X11Y16 NUM_ARCS 3737 TILEPROP INT_R_X11Y16 NUM_SITES 1 TILEPROP INT_R_X11Y16 ROW 139 TILEPROP INT_R_X11Y16 SLR_REGION_ID 0 TILEPROP INT_R_X11Y16 TILE_PATTERN_IDX 8256 TILEPROP INT_R_X11Y16 TILE_TYPE INT_R TILEPROP INT_R_X11Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y16 TILE_X -44136 TILEPROP INT_R_X11Y16 TILE_Y -188472 TILEPROP INT_R_X11Y16 TYPE INT_R TILEPROP INT_R_X11Y17 CLASS tile TILEPROP INT_R_X11Y17 COLUMN 32 TILEPROP INT_R_X11Y17 DEVICE_ID 0 TILEPROP INT_R_X11Y17 FIRST_SITE_ID 13938 TILEPROP INT_R_X11Y17 GRID_POINT_X 32 TILEPROP INT_R_X11Y17 GRID_POINT_Y 138 TILEPROP INT_R_X11Y17 INDEX 15902 TILEPROP INT_R_X11Y17 INT_TILE_X 11 TILEPROP INT_R_X11Y17 INT_TILE_Y 132 TILEPROP INT_R_X11Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y17 IS_DCM_TILE 0 TILEPROP INT_R_X11Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y17 NAME INT_R_X11Y17 TILEPROP INT_R_X11Y17 NUM_ARCS 3737 TILEPROP INT_R_X11Y17 NUM_SITES 1 TILEPROP INT_R_X11Y17 ROW 138 TILEPROP INT_R_X11Y17 SLR_REGION_ID 0 TILEPROP INT_R_X11Y17 TILE_PATTERN_IDX 8224 TILEPROP INT_R_X11Y17 TILE_TYPE INT_R TILEPROP INT_R_X11Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y17 TILE_X -44136 TILEPROP INT_R_X11Y17 TILE_Y -185272 TILEPROP INT_R_X11Y17 TYPE INT_R TILEPROP INT_R_X11Y18 CLASS tile TILEPROP INT_R_X11Y18 COLUMN 32 TILEPROP INT_R_X11Y18 DEVICE_ID 0 TILEPROP INT_R_X11Y18 FIRST_SITE_ID 13834 TILEPROP INT_R_X11Y18 GRID_POINT_X 32 TILEPROP INT_R_X11Y18 GRID_POINT_Y 137 TILEPROP INT_R_X11Y18 INDEX 15787 TILEPROP INT_R_X11Y18 INT_TILE_X 11 TILEPROP INT_R_X11Y18 INT_TILE_Y 131 TILEPROP INT_R_X11Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y18 IS_DCM_TILE 0 TILEPROP INT_R_X11Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y18 NAME INT_R_X11Y18 TILEPROP INT_R_X11Y18 NUM_ARCS 3737 TILEPROP INT_R_X11Y18 NUM_SITES 1 TILEPROP INT_R_X11Y18 ROW 137 TILEPROP INT_R_X11Y18 SLR_REGION_ID 0 TILEPROP INT_R_X11Y18 TILE_PATTERN_IDX 8201 TILEPROP INT_R_X11Y18 TILE_TYPE INT_R TILEPROP INT_R_X11Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y18 TILE_X -44136 TILEPROP INT_R_X11Y18 TILE_Y -182072 TILEPROP INT_R_X11Y18 TYPE INT_R TILEPROP INT_R_X11Y19 CLASS tile TILEPROP INT_R_X11Y19 COLUMN 32 TILEPROP INT_R_X11Y19 DEVICE_ID 0 TILEPROP INT_R_X11Y19 FIRST_SITE_ID 13732 TILEPROP INT_R_X11Y19 GRID_POINT_X 32 TILEPROP INT_R_X11Y19 GRID_POINT_Y 136 TILEPROP INT_R_X11Y19 INDEX 15672 TILEPROP INT_R_X11Y19 INT_TILE_X 11 TILEPROP INT_R_X11Y19 INT_TILE_Y 130 TILEPROP INT_R_X11Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y19 IS_DCM_TILE 0 TILEPROP INT_R_X11Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y19 NAME INT_R_X11Y19 TILEPROP INT_R_X11Y19 NUM_ARCS 3737 TILEPROP INT_R_X11Y19 NUM_SITES 1 TILEPROP INT_R_X11Y19 ROW 136 TILEPROP INT_R_X11Y19 SLR_REGION_ID 0 TILEPROP INT_R_X11Y19 TILE_PATTERN_IDX 8178 TILEPROP INT_R_X11Y19 TILE_TYPE INT_R TILEPROP INT_R_X11Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y19 TILE_X -44136 TILEPROP INT_R_X11Y19 TILE_Y -178872 TILEPROP INT_R_X11Y19 TYPE INT_R TILEPROP INT_R_X11Y20 CLASS tile TILEPROP INT_R_X11Y20 COLUMN 32 TILEPROP INT_R_X11Y20 DEVICE_ID 0 TILEPROP INT_R_X11Y20 FIRST_SITE_ID 13621 TILEPROP INT_R_X11Y20 GRID_POINT_X 32 TILEPROP INT_R_X11Y20 GRID_POINT_Y 135 TILEPROP INT_R_X11Y20 INDEX 15557 TILEPROP INT_R_X11Y20 INT_TILE_X 11 TILEPROP INT_R_X11Y20 INT_TILE_Y 129 TILEPROP INT_R_X11Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y20 IS_DCM_TILE 0 TILEPROP INT_R_X11Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y20 NAME INT_R_X11Y20 TILEPROP INT_R_X11Y20 NUM_ARCS 3737 TILEPROP INT_R_X11Y20 NUM_SITES 1 TILEPROP INT_R_X11Y20 ROW 135 TILEPROP INT_R_X11Y20 SLR_REGION_ID 0 TILEPROP INT_R_X11Y20 TILE_PATTERN_IDX 8156 TILEPROP INT_R_X11Y20 TILE_TYPE INT_R TILEPROP INT_R_X11Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y20 TILE_X -44136 TILEPROP INT_R_X11Y20 TILE_Y -175672 TILEPROP INT_R_X11Y20 TYPE INT_R TILEPROP INT_R_X11Y21 CLASS tile TILEPROP INT_R_X11Y21 COLUMN 32 TILEPROP INT_R_X11Y21 DEVICE_ID 0 TILEPROP INT_R_X11Y21 FIRST_SITE_ID 13515 TILEPROP INT_R_X11Y21 GRID_POINT_X 32 TILEPROP INT_R_X11Y21 GRID_POINT_Y 134 TILEPROP INT_R_X11Y21 INDEX 15442 TILEPROP INT_R_X11Y21 INT_TILE_X 11 TILEPROP INT_R_X11Y21 INT_TILE_Y 128 TILEPROP INT_R_X11Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y21 IS_DCM_TILE 0 TILEPROP INT_R_X11Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y21 NAME INT_R_X11Y21 TILEPROP INT_R_X11Y21 NUM_ARCS 3737 TILEPROP INT_R_X11Y21 NUM_SITES 1 TILEPROP INT_R_X11Y21 ROW 134 TILEPROP INT_R_X11Y21 SLR_REGION_ID 0 TILEPROP INT_R_X11Y21 TILE_PATTERN_IDX 8127 TILEPROP INT_R_X11Y21 TILE_TYPE INT_R TILEPROP INT_R_X11Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y21 TILE_X -44136 TILEPROP INT_R_X11Y21 TILE_Y -172472 TILEPROP INT_R_X11Y21 TYPE INT_R TILEPROP INT_R_X11Y22 CLASS tile TILEPROP INT_R_X11Y22 COLUMN 32 TILEPROP INT_R_X11Y22 DEVICE_ID 0 TILEPROP INT_R_X11Y22 FIRST_SITE_ID 13415 TILEPROP INT_R_X11Y22 GRID_POINT_X 32 TILEPROP INT_R_X11Y22 GRID_POINT_Y 133 TILEPROP INT_R_X11Y22 INDEX 15327 TILEPROP INT_R_X11Y22 INT_TILE_X 11 TILEPROP INT_R_X11Y22 INT_TILE_Y 127 TILEPROP INT_R_X11Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y22 IS_DCM_TILE 0 TILEPROP INT_R_X11Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y22 NAME INT_R_X11Y22 TILEPROP INT_R_X11Y22 NUM_ARCS 3737 TILEPROP INT_R_X11Y22 NUM_SITES 1 TILEPROP INT_R_X11Y22 ROW 133 TILEPROP INT_R_X11Y22 SLR_REGION_ID 0 TILEPROP INT_R_X11Y22 TILE_PATTERN_IDX 8099 TILEPROP INT_R_X11Y22 TILE_TYPE INT_R TILEPROP INT_R_X11Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y22 TILE_X -44136 TILEPROP INT_R_X11Y22 TILE_Y -169272 TILEPROP INT_R_X11Y22 TYPE INT_R TILEPROP INT_R_X11Y23 CLASS tile TILEPROP INT_R_X11Y23 COLUMN 32 TILEPROP INT_R_X11Y23 DEVICE_ID 0 TILEPROP INT_R_X11Y23 FIRST_SITE_ID 13315 TILEPROP INT_R_X11Y23 GRID_POINT_X 32 TILEPROP INT_R_X11Y23 GRID_POINT_Y 132 TILEPROP INT_R_X11Y23 INDEX 15212 TILEPROP INT_R_X11Y23 INT_TILE_X 11 TILEPROP INT_R_X11Y23 INT_TILE_Y 126 TILEPROP INT_R_X11Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y23 IS_DCM_TILE 0 TILEPROP INT_R_X11Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y23 NAME INT_R_X11Y23 TILEPROP INT_R_X11Y23 NUM_ARCS 3737 TILEPROP INT_R_X11Y23 NUM_SITES 1 TILEPROP INT_R_X11Y23 ROW 132 TILEPROP INT_R_X11Y23 SLR_REGION_ID 0 TILEPROP INT_R_X11Y23 TILE_PATTERN_IDX 8070 TILEPROP INT_R_X11Y23 TILE_TYPE INT_R TILEPROP INT_R_X11Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y23 TILE_X -44136 TILEPROP INT_R_X11Y23 TILE_Y -166072 TILEPROP INT_R_X11Y23 TYPE INT_R TILEPROP INT_R_X11Y24 CLASS tile TILEPROP INT_R_X11Y24 COLUMN 32 TILEPROP INT_R_X11Y24 DEVICE_ID 0 TILEPROP INT_R_X11Y24 FIRST_SITE_ID 13215 TILEPROP INT_R_X11Y24 GRID_POINT_X 32 TILEPROP INT_R_X11Y24 GRID_POINT_Y 131 TILEPROP INT_R_X11Y24 INDEX 15097 TILEPROP INT_R_X11Y24 INT_TILE_X 11 TILEPROP INT_R_X11Y24 INT_TILE_Y 125 TILEPROP INT_R_X11Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y24 IS_DCM_TILE 0 TILEPROP INT_R_X11Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y24 NAME INT_R_X11Y24 TILEPROP INT_R_X11Y24 NUM_ARCS 3737 TILEPROP INT_R_X11Y24 NUM_SITES 1 TILEPROP INT_R_X11Y24 ROW 131 TILEPROP INT_R_X11Y24 SLR_REGION_ID 0 TILEPROP INT_R_X11Y24 TILE_PATTERN_IDX 8042 TILEPROP INT_R_X11Y24 TILE_TYPE INT_R TILEPROP INT_R_X11Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y24 TILE_X -44136 TILEPROP INT_R_X11Y24 TILE_Y -162872 TILEPROP INT_R_X11Y24 TYPE INT_R TILEPROP INT_R_X11Y25 CLASS tile TILEPROP INT_R_X11Y25 COLUMN 32 TILEPROP INT_R_X11Y25 DEVICE_ID 0 TILEPROP INT_R_X11Y25 FIRST_SITE_ID 13023 TILEPROP INT_R_X11Y25 GRID_POINT_X 32 TILEPROP INT_R_X11Y25 GRID_POINT_Y 129 TILEPROP INT_R_X11Y25 INDEX 14867 TILEPROP INT_R_X11Y25 INT_TILE_X 11 TILEPROP INT_R_X11Y25 INT_TILE_Y 124 TILEPROP INT_R_X11Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y25 IS_DCM_TILE 0 TILEPROP INT_R_X11Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y25 NAME INT_R_X11Y25 TILEPROP INT_R_X11Y25 NUM_ARCS 3737 TILEPROP INT_R_X11Y25 NUM_SITES 1 TILEPROP INT_R_X11Y25 ROW 129 TILEPROP INT_R_X11Y25 SLR_REGION_ID 0 TILEPROP INT_R_X11Y25 TILE_PATTERN_IDX 7970 TILEPROP INT_R_X11Y25 TILE_TYPE INT_R TILEPROP INT_R_X11Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y25 TILE_X -44136 TILEPROP INT_R_X11Y25 TILE_Y -158648 TILEPROP INT_R_X11Y25 TYPE INT_R TILEPROP INT_R_X11Y26 CLASS tile TILEPROP INT_R_X11Y26 COLUMN 32 TILEPROP INT_R_X11Y26 DEVICE_ID 0 TILEPROP INT_R_X11Y26 FIRST_SITE_ID 12917 TILEPROP INT_R_X11Y26 GRID_POINT_X 32 TILEPROP INT_R_X11Y26 GRID_POINT_Y 128 TILEPROP INT_R_X11Y26 INDEX 14752 TILEPROP INT_R_X11Y26 INT_TILE_X 11 TILEPROP INT_R_X11Y26 INT_TILE_Y 123 TILEPROP INT_R_X11Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y26 IS_DCM_TILE 0 TILEPROP INT_R_X11Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y26 NAME INT_R_X11Y26 TILEPROP INT_R_X11Y26 NUM_ARCS 3737 TILEPROP INT_R_X11Y26 NUM_SITES 1 TILEPROP INT_R_X11Y26 ROW 128 TILEPROP INT_R_X11Y26 SLR_REGION_ID 0 TILEPROP INT_R_X11Y26 TILE_PATTERN_IDX 7942 TILEPROP INT_R_X11Y26 TILE_TYPE INT_R TILEPROP INT_R_X11Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y26 TILE_X -44136 TILEPROP INT_R_X11Y26 TILE_Y -155448 TILEPROP INT_R_X11Y26 TYPE INT_R TILEPROP INT_R_X11Y27 CLASS tile TILEPROP INT_R_X11Y27 COLUMN 32 TILEPROP INT_R_X11Y27 DEVICE_ID 0 TILEPROP INT_R_X11Y27 FIRST_SITE_ID 12817 TILEPROP INT_R_X11Y27 GRID_POINT_X 32 TILEPROP INT_R_X11Y27 GRID_POINT_Y 127 TILEPROP INT_R_X11Y27 INDEX 14637 TILEPROP INT_R_X11Y27 INT_TILE_X 11 TILEPROP INT_R_X11Y27 INT_TILE_Y 122 TILEPROP INT_R_X11Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y27 IS_DCM_TILE 0 TILEPROP INT_R_X11Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y27 NAME INT_R_X11Y27 TILEPROP INT_R_X11Y27 NUM_ARCS 3737 TILEPROP INT_R_X11Y27 NUM_SITES 1 TILEPROP INT_R_X11Y27 ROW 127 TILEPROP INT_R_X11Y27 SLR_REGION_ID 0 TILEPROP INT_R_X11Y27 TILE_PATTERN_IDX 7913 TILEPROP INT_R_X11Y27 TILE_TYPE INT_R TILEPROP INT_R_X11Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y27 TILE_X -44136 TILEPROP INT_R_X11Y27 TILE_Y -152248 TILEPROP INT_R_X11Y27 TYPE INT_R TILEPROP INT_R_X11Y28 CLASS tile TILEPROP INT_R_X11Y28 COLUMN 32 TILEPROP INT_R_X11Y28 DEVICE_ID 0 TILEPROP INT_R_X11Y28 FIRST_SITE_ID 12717 TILEPROP INT_R_X11Y28 GRID_POINT_X 32 TILEPROP INT_R_X11Y28 GRID_POINT_Y 126 TILEPROP INT_R_X11Y28 INDEX 14522 TILEPROP INT_R_X11Y28 INT_TILE_X 11 TILEPROP INT_R_X11Y28 INT_TILE_Y 121 TILEPROP INT_R_X11Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y28 IS_DCM_TILE 0 TILEPROP INT_R_X11Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y28 NAME INT_R_X11Y28 TILEPROP INT_R_X11Y28 NUM_ARCS 3737 TILEPROP INT_R_X11Y28 NUM_SITES 1 TILEPROP INT_R_X11Y28 ROW 126 TILEPROP INT_R_X11Y28 SLR_REGION_ID 0 TILEPROP INT_R_X11Y28 TILE_PATTERN_IDX 7885 TILEPROP INT_R_X11Y28 TILE_TYPE INT_R TILEPROP INT_R_X11Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y28 TILE_X -44136 TILEPROP INT_R_X11Y28 TILE_Y -149048 TILEPROP INT_R_X11Y28 TYPE INT_R TILEPROP INT_R_X11Y29 CLASS tile TILEPROP INT_R_X11Y29 COLUMN 32 TILEPROP INT_R_X11Y29 DEVICE_ID 0 TILEPROP INT_R_X11Y29 FIRST_SITE_ID 12611 TILEPROP INT_R_X11Y29 GRID_POINT_X 32 TILEPROP INT_R_X11Y29 GRID_POINT_Y 125 TILEPROP INT_R_X11Y29 INDEX 14407 TILEPROP INT_R_X11Y29 INT_TILE_X 11 TILEPROP INT_R_X11Y29 INT_TILE_Y 120 TILEPROP INT_R_X11Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y29 IS_DCM_TILE 0 TILEPROP INT_R_X11Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y29 NAME INT_R_X11Y29 TILEPROP INT_R_X11Y29 NUM_ARCS 3737 TILEPROP INT_R_X11Y29 NUM_SITES 1 TILEPROP INT_R_X11Y29 ROW 125 TILEPROP INT_R_X11Y29 SLR_REGION_ID 0 TILEPROP INT_R_X11Y29 TILE_PATTERN_IDX 7861 TILEPROP INT_R_X11Y29 TILE_TYPE INT_R TILEPROP INT_R_X11Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y29 TILE_X -44136 TILEPROP INT_R_X11Y29 TILE_Y -145848 TILEPROP INT_R_X11Y29 TYPE INT_R TILEPROP INT_R_X11Y30 CLASS tile TILEPROP INT_R_X11Y30 COLUMN 32 TILEPROP INT_R_X11Y30 DEVICE_ID 0 TILEPROP INT_R_X11Y30 FIRST_SITE_ID 12483 TILEPROP INT_R_X11Y30 GRID_POINT_X 32 TILEPROP INT_R_X11Y30 GRID_POINT_Y 124 TILEPROP INT_R_X11Y30 INDEX 14292 TILEPROP INT_R_X11Y30 INT_TILE_X 11 TILEPROP INT_R_X11Y30 INT_TILE_Y 119 TILEPROP INT_R_X11Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y30 IS_DCM_TILE 0 TILEPROP INT_R_X11Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y30 NAME INT_R_X11Y30 TILEPROP INT_R_X11Y30 NUM_ARCS 3737 TILEPROP INT_R_X11Y30 NUM_SITES 1 TILEPROP INT_R_X11Y30 ROW 124 TILEPROP INT_R_X11Y30 SLR_REGION_ID 0 TILEPROP INT_R_X11Y30 TILE_PATTERN_IDX 7838 TILEPROP INT_R_X11Y30 TILE_TYPE INT_R TILEPROP INT_R_X11Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y30 TILE_X -44136 TILEPROP INT_R_X11Y30 TILE_Y -142648 TILEPROP INT_R_X11Y30 TYPE INT_R TILEPROP INT_R_X11Y31 CLASS tile TILEPROP INT_R_X11Y31 COLUMN 32 TILEPROP INT_R_X11Y31 DEVICE_ID 0 TILEPROP INT_R_X11Y31 FIRST_SITE_ID 12375 TILEPROP INT_R_X11Y31 GRID_POINT_X 32 TILEPROP INT_R_X11Y31 GRID_POINT_Y 123 TILEPROP INT_R_X11Y31 INDEX 14177 TILEPROP INT_R_X11Y31 INT_TILE_X 11 TILEPROP INT_R_X11Y31 INT_TILE_Y 118 TILEPROP INT_R_X11Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y31 IS_DCM_TILE 0 TILEPROP INT_R_X11Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y31 NAME INT_R_X11Y31 TILEPROP INT_R_X11Y31 NUM_ARCS 3737 TILEPROP INT_R_X11Y31 NUM_SITES 1 TILEPROP INT_R_X11Y31 ROW 123 TILEPROP INT_R_X11Y31 SLR_REGION_ID 0 TILEPROP INT_R_X11Y31 TILE_PATTERN_IDX 7815 TILEPROP INT_R_X11Y31 TILE_TYPE INT_R TILEPROP INT_R_X11Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y31 TILE_X -44136 TILEPROP INT_R_X11Y31 TILE_Y -139448 TILEPROP INT_R_X11Y31 TYPE INT_R TILEPROP INT_R_X11Y32 CLASS tile TILEPROP INT_R_X11Y32 COLUMN 32 TILEPROP INT_R_X11Y32 DEVICE_ID 0 TILEPROP INT_R_X11Y32 FIRST_SITE_ID 12272 TILEPROP INT_R_X11Y32 GRID_POINT_X 32 TILEPROP INT_R_X11Y32 GRID_POINT_Y 122 TILEPROP INT_R_X11Y32 INDEX 14062 TILEPROP INT_R_X11Y32 INT_TILE_X 11 TILEPROP INT_R_X11Y32 INT_TILE_Y 117 TILEPROP INT_R_X11Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y32 IS_DCM_TILE 0 TILEPROP INT_R_X11Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y32 NAME INT_R_X11Y32 TILEPROP INT_R_X11Y32 NUM_ARCS 3737 TILEPROP INT_R_X11Y32 NUM_SITES 1 TILEPROP INT_R_X11Y32 ROW 122 TILEPROP INT_R_X11Y32 SLR_REGION_ID 0 TILEPROP INT_R_X11Y32 TILE_PATTERN_IDX 7781 TILEPROP INT_R_X11Y32 TILE_TYPE INT_R TILEPROP INT_R_X11Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y32 TILE_X -44136 TILEPROP INT_R_X11Y32 TILE_Y -136248 TILEPROP INT_R_X11Y32 TYPE INT_R TILEPROP INT_R_X11Y33 CLASS tile TILEPROP INT_R_X11Y33 COLUMN 32 TILEPROP INT_R_X11Y33 DEVICE_ID 0 TILEPROP INT_R_X11Y33 FIRST_SITE_ID 12172 TILEPROP INT_R_X11Y33 GRID_POINT_X 32 TILEPROP INT_R_X11Y33 GRID_POINT_Y 121 TILEPROP INT_R_X11Y33 INDEX 13947 TILEPROP INT_R_X11Y33 INT_TILE_X 11 TILEPROP INT_R_X11Y33 INT_TILE_Y 116 TILEPROP INT_R_X11Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y33 IS_DCM_TILE 0 TILEPROP INT_R_X11Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y33 NAME INT_R_X11Y33 TILEPROP INT_R_X11Y33 NUM_ARCS 3737 TILEPROP INT_R_X11Y33 NUM_SITES 1 TILEPROP INT_R_X11Y33 ROW 121 TILEPROP INT_R_X11Y33 SLR_REGION_ID 0 TILEPROP INT_R_X11Y33 TILE_PATTERN_IDX 7752 TILEPROP INT_R_X11Y33 TILE_TYPE INT_R TILEPROP INT_R_X11Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y33 TILE_X -44136 TILEPROP INT_R_X11Y33 TILE_Y -133048 TILEPROP INT_R_X11Y33 TYPE INT_R TILEPROP INT_R_X11Y34 CLASS tile TILEPROP INT_R_X11Y34 COLUMN 32 TILEPROP INT_R_X11Y34 DEVICE_ID 0 TILEPROP INT_R_X11Y34 FIRST_SITE_ID 12072 TILEPROP INT_R_X11Y34 GRID_POINT_X 32 TILEPROP INT_R_X11Y34 GRID_POINT_Y 120 TILEPROP INT_R_X11Y34 INDEX 13832 TILEPROP INT_R_X11Y34 INT_TILE_X 11 TILEPROP INT_R_X11Y34 INT_TILE_Y 115 TILEPROP INT_R_X11Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y34 IS_DCM_TILE 0 TILEPROP INT_R_X11Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y34 NAME INT_R_X11Y34 TILEPROP INT_R_X11Y34 NUM_ARCS 3737 TILEPROP INT_R_X11Y34 NUM_SITES 1 TILEPROP INT_R_X11Y34 ROW 120 TILEPROP INT_R_X11Y34 SLR_REGION_ID 0 TILEPROP INT_R_X11Y34 TILE_PATTERN_IDX 7724 TILEPROP INT_R_X11Y34 TILE_TYPE INT_R TILEPROP INT_R_X11Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y34 TILE_X -44136 TILEPROP INT_R_X11Y34 TILE_Y -129848 TILEPROP INT_R_X11Y34 TYPE INT_R TILEPROP INT_R_X11Y35 CLASS tile TILEPROP INT_R_X11Y35 COLUMN 32 TILEPROP INT_R_X11Y35 DEVICE_ID 0 TILEPROP INT_R_X11Y35 FIRST_SITE_ID 11963 TILEPROP INT_R_X11Y35 GRID_POINT_X 32 TILEPROP INT_R_X11Y35 GRID_POINT_Y 119 TILEPROP INT_R_X11Y35 INDEX 13717 TILEPROP INT_R_X11Y35 INT_TILE_X 11 TILEPROP INT_R_X11Y35 INT_TILE_Y 114 TILEPROP INT_R_X11Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y35 IS_DCM_TILE 0 TILEPROP INT_R_X11Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y35 NAME INT_R_X11Y35 TILEPROP INT_R_X11Y35 NUM_ARCS 3737 TILEPROP INT_R_X11Y35 NUM_SITES 1 TILEPROP INT_R_X11Y35 ROW 119 TILEPROP INT_R_X11Y35 SLR_REGION_ID 0 TILEPROP INT_R_X11Y35 TILE_PATTERN_IDX 7695 TILEPROP INT_R_X11Y35 TILE_TYPE INT_R TILEPROP INT_R_X11Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y35 TILE_X -44136 TILEPROP INT_R_X11Y35 TILE_Y -126648 TILEPROP INT_R_X11Y35 TYPE INT_R TILEPROP INT_R_X11Y36 CLASS tile TILEPROP INT_R_X11Y36 COLUMN 32 TILEPROP INT_R_X11Y36 DEVICE_ID 0 TILEPROP INT_R_X11Y36 FIRST_SITE_ID 11825 TILEPROP INT_R_X11Y36 GRID_POINT_X 32 TILEPROP INT_R_X11Y36 GRID_POINT_Y 118 TILEPROP INT_R_X11Y36 INDEX 13602 TILEPROP INT_R_X11Y36 INT_TILE_X 11 TILEPROP INT_R_X11Y36 INT_TILE_Y 113 TILEPROP INT_R_X11Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y36 IS_DCM_TILE 0 TILEPROP INT_R_X11Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y36 NAME INT_R_X11Y36 TILEPROP INT_R_X11Y36 NUM_ARCS 3737 TILEPROP INT_R_X11Y36 NUM_SITES 1 TILEPROP INT_R_X11Y36 ROW 118 TILEPROP INT_R_X11Y36 SLR_REGION_ID 0 TILEPROP INT_R_X11Y36 TILE_PATTERN_IDX 7667 TILEPROP INT_R_X11Y36 TILE_TYPE INT_R TILEPROP INT_R_X11Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y36 TILE_X -44136 TILEPROP INT_R_X11Y36 TILE_Y -123448 TILEPROP INT_R_X11Y36 TYPE INT_R TILEPROP INT_R_X11Y37 CLASS tile TILEPROP INT_R_X11Y37 COLUMN 32 TILEPROP INT_R_X11Y37 DEVICE_ID 0 TILEPROP INT_R_X11Y37 FIRST_SITE_ID 11725 TILEPROP INT_R_X11Y37 GRID_POINT_X 32 TILEPROP INT_R_X11Y37 GRID_POINT_Y 117 TILEPROP INT_R_X11Y37 INDEX 13487 TILEPROP INT_R_X11Y37 INT_TILE_X 11 TILEPROP INT_R_X11Y37 INT_TILE_Y 112 TILEPROP INT_R_X11Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y37 IS_DCM_TILE 0 TILEPROP INT_R_X11Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y37 NAME INT_R_X11Y37 TILEPROP INT_R_X11Y37 NUM_ARCS 3737 TILEPROP INT_R_X11Y37 NUM_SITES 1 TILEPROP INT_R_X11Y37 ROW 117 TILEPROP INT_R_X11Y37 SLR_REGION_ID 0 TILEPROP INT_R_X11Y37 TILE_PATTERN_IDX 7639 TILEPROP INT_R_X11Y37 TILE_TYPE INT_R TILEPROP INT_R_X11Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y37 TILE_X -44136 TILEPROP INT_R_X11Y37 TILE_Y -120248 TILEPROP INT_R_X11Y37 TYPE INT_R TILEPROP INT_R_X11Y38 CLASS tile TILEPROP INT_R_X11Y38 COLUMN 32 TILEPROP INT_R_X11Y38 DEVICE_ID 0 TILEPROP INT_R_X11Y38 FIRST_SITE_ID 11625 TILEPROP INT_R_X11Y38 GRID_POINT_X 32 TILEPROP INT_R_X11Y38 GRID_POINT_Y 116 TILEPROP INT_R_X11Y38 INDEX 13372 TILEPROP INT_R_X11Y38 INT_TILE_X 11 TILEPROP INT_R_X11Y38 INT_TILE_Y 111 TILEPROP INT_R_X11Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y38 IS_DCM_TILE 0 TILEPROP INT_R_X11Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y38 NAME INT_R_X11Y38 TILEPROP INT_R_X11Y38 NUM_ARCS 3737 TILEPROP INT_R_X11Y38 NUM_SITES 1 TILEPROP INT_R_X11Y38 ROW 116 TILEPROP INT_R_X11Y38 SLR_REGION_ID 0 TILEPROP INT_R_X11Y38 TILE_PATTERN_IDX 7611 TILEPROP INT_R_X11Y38 TILE_TYPE INT_R TILEPROP INT_R_X11Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y38 TILE_X -44136 TILEPROP INT_R_X11Y38 TILE_Y -117048 TILEPROP INT_R_X11Y38 TYPE INT_R TILEPROP INT_R_X11Y39 CLASS tile TILEPROP INT_R_X11Y39 COLUMN 32 TILEPROP INT_R_X11Y39 DEVICE_ID 0 TILEPROP INT_R_X11Y39 FIRST_SITE_ID 11525 TILEPROP INT_R_X11Y39 GRID_POINT_X 32 TILEPROP INT_R_X11Y39 GRID_POINT_Y 115 TILEPROP INT_R_X11Y39 INDEX 13257 TILEPROP INT_R_X11Y39 INT_TILE_X 11 TILEPROP INT_R_X11Y39 INT_TILE_Y 110 TILEPROP INT_R_X11Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y39 IS_DCM_TILE 0 TILEPROP INT_R_X11Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y39 NAME INT_R_X11Y39 TILEPROP INT_R_X11Y39 NUM_ARCS 3737 TILEPROP INT_R_X11Y39 NUM_SITES 1 TILEPROP INT_R_X11Y39 ROW 115 TILEPROP INT_R_X11Y39 SLR_REGION_ID 0 TILEPROP INT_R_X11Y39 TILE_PATTERN_IDX 7582 TILEPROP INT_R_X11Y39 TILE_TYPE INT_R TILEPROP INT_R_X11Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y39 TILE_X -44136 TILEPROP INT_R_X11Y39 TILE_Y -113848 TILEPROP INT_R_X11Y39 TYPE INT_R TILEPROP INT_R_X11Y40 CLASS tile TILEPROP INT_R_X11Y40 COLUMN 32 TILEPROP INT_R_X11Y40 DEVICE_ID 0 TILEPROP INT_R_X11Y40 FIRST_SITE_ID 11414 TILEPROP INT_R_X11Y40 GRID_POINT_X 32 TILEPROP INT_R_X11Y40 GRID_POINT_Y 114 TILEPROP INT_R_X11Y40 INDEX 13142 TILEPROP INT_R_X11Y40 INT_TILE_X 11 TILEPROP INT_R_X11Y40 INT_TILE_Y 109 TILEPROP INT_R_X11Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y40 IS_DCM_TILE 0 TILEPROP INT_R_X11Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y40 NAME INT_R_X11Y40 TILEPROP INT_R_X11Y40 NUM_ARCS 3737 TILEPROP INT_R_X11Y40 NUM_SITES 1 TILEPROP INT_R_X11Y40 ROW 114 TILEPROP INT_R_X11Y40 SLR_REGION_ID 0 TILEPROP INT_R_X11Y40 TILE_PATTERN_IDX 7553 TILEPROP INT_R_X11Y40 TILE_TYPE INT_R TILEPROP INT_R_X11Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y40 TILE_X -44136 TILEPROP INT_R_X11Y40 TILE_Y -110648 TILEPROP INT_R_X11Y40 TYPE INT_R TILEPROP INT_R_X11Y41 CLASS tile TILEPROP INT_R_X11Y41 COLUMN 32 TILEPROP INT_R_X11Y41 DEVICE_ID 0 TILEPROP INT_R_X11Y41 FIRST_SITE_ID 11307 TILEPROP INT_R_X11Y41 GRID_POINT_X 32 TILEPROP INT_R_X11Y41 GRID_POINT_Y 113 TILEPROP INT_R_X11Y41 INDEX 13027 TILEPROP INT_R_X11Y41 INT_TILE_X 11 TILEPROP INT_R_X11Y41 INT_TILE_Y 108 TILEPROP INT_R_X11Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y41 IS_DCM_TILE 0 TILEPROP INT_R_X11Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y41 NAME INT_R_X11Y41 TILEPROP INT_R_X11Y41 NUM_ARCS 3737 TILEPROP INT_R_X11Y41 NUM_SITES 1 TILEPROP INT_R_X11Y41 ROW 113 TILEPROP INT_R_X11Y41 SLR_REGION_ID 0 TILEPROP INT_R_X11Y41 TILE_PATTERN_IDX 7518 TILEPROP INT_R_X11Y41 TILE_TYPE INT_R TILEPROP INT_R_X11Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y41 TILE_X -44136 TILEPROP INT_R_X11Y41 TILE_Y -107448 TILEPROP INT_R_X11Y41 TYPE INT_R TILEPROP INT_R_X11Y42 CLASS tile TILEPROP INT_R_X11Y42 COLUMN 32 TILEPROP INT_R_X11Y42 DEVICE_ID 0 TILEPROP INT_R_X11Y42 FIRST_SITE_ID 11206 TILEPROP INT_R_X11Y42 GRID_POINT_X 32 TILEPROP INT_R_X11Y42 GRID_POINT_Y 112 TILEPROP INT_R_X11Y42 INDEX 12912 TILEPROP INT_R_X11Y42 INT_TILE_X 11 TILEPROP INT_R_X11Y42 INT_TILE_Y 107 TILEPROP INT_R_X11Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y42 IS_DCM_TILE 0 TILEPROP INT_R_X11Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y42 NAME INT_R_X11Y42 TILEPROP INT_R_X11Y42 NUM_ARCS 3737 TILEPROP INT_R_X11Y42 NUM_SITES 1 TILEPROP INT_R_X11Y42 ROW 112 TILEPROP INT_R_X11Y42 SLR_REGION_ID 0 TILEPROP INT_R_X11Y42 TILE_PATTERN_IDX 7489 TILEPROP INT_R_X11Y42 TILE_TYPE INT_R TILEPROP INT_R_X11Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y42 TILE_X -44136 TILEPROP INT_R_X11Y42 TILE_Y -104248 TILEPROP INT_R_X11Y42 TYPE INT_R TILEPROP INT_R_X11Y43 CLASS tile TILEPROP INT_R_X11Y43 COLUMN 32 TILEPROP INT_R_X11Y43 DEVICE_ID 0 TILEPROP INT_R_X11Y43 FIRST_SITE_ID 11103 TILEPROP INT_R_X11Y43 GRID_POINT_X 32 TILEPROP INT_R_X11Y43 GRID_POINT_Y 111 TILEPROP INT_R_X11Y43 INDEX 12797 TILEPROP INT_R_X11Y43 INT_TILE_X 11 TILEPROP INT_R_X11Y43 INT_TILE_Y 106 TILEPROP INT_R_X11Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y43 IS_DCM_TILE 0 TILEPROP INT_R_X11Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y43 NAME INT_R_X11Y43 TILEPROP INT_R_X11Y43 NUM_ARCS 3737 TILEPROP INT_R_X11Y43 NUM_SITES 1 TILEPROP INT_R_X11Y43 ROW 111 TILEPROP INT_R_X11Y43 SLR_REGION_ID 0 TILEPROP INT_R_X11Y43 TILE_PATTERN_IDX 7460 TILEPROP INT_R_X11Y43 TILE_TYPE INT_R TILEPROP INT_R_X11Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y43 TILE_X -44136 TILEPROP INT_R_X11Y43 TILE_Y -101048 TILEPROP INT_R_X11Y43 TYPE INT_R TILEPROP INT_R_X11Y44 CLASS tile TILEPROP INT_R_X11Y44 COLUMN 32 TILEPROP INT_R_X11Y44 DEVICE_ID 0 TILEPROP INT_R_X11Y44 FIRST_SITE_ID 11001 TILEPROP INT_R_X11Y44 GRID_POINT_X 32 TILEPROP INT_R_X11Y44 GRID_POINT_Y 110 TILEPROP INT_R_X11Y44 INDEX 12682 TILEPROP INT_R_X11Y44 INT_TILE_X 11 TILEPROP INT_R_X11Y44 INT_TILE_Y 105 TILEPROP INT_R_X11Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y44 IS_DCM_TILE 0 TILEPROP INT_R_X11Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y44 NAME INT_R_X11Y44 TILEPROP INT_R_X11Y44 NUM_ARCS 3737 TILEPROP INT_R_X11Y44 NUM_SITES 1 TILEPROP INT_R_X11Y44 ROW 110 TILEPROP INT_R_X11Y44 SLR_REGION_ID 0 TILEPROP INT_R_X11Y44 TILE_PATTERN_IDX 7432 TILEPROP INT_R_X11Y44 TILE_TYPE INT_R TILEPROP INT_R_X11Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y44 TILE_X -44136 TILEPROP INT_R_X11Y44 TILE_Y -97848 TILEPROP INT_R_X11Y44 TYPE INT_R TILEPROP INT_R_X11Y45 CLASS tile TILEPROP INT_R_X11Y45 COLUMN 32 TILEPROP INT_R_X11Y45 DEVICE_ID 0 TILEPROP INT_R_X11Y45 FIRST_SITE_ID 10891 TILEPROP INT_R_X11Y45 GRID_POINT_X 32 TILEPROP INT_R_X11Y45 GRID_POINT_Y 109 TILEPROP INT_R_X11Y45 INDEX 12567 TILEPROP INT_R_X11Y45 INT_TILE_X 11 TILEPROP INT_R_X11Y45 INT_TILE_Y 104 TILEPROP INT_R_X11Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y45 IS_DCM_TILE 0 TILEPROP INT_R_X11Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y45 NAME INT_R_X11Y45 TILEPROP INT_R_X11Y45 NUM_ARCS 3737 TILEPROP INT_R_X11Y45 NUM_SITES 1 TILEPROP INT_R_X11Y45 ROW 109 TILEPROP INT_R_X11Y45 SLR_REGION_ID 0 TILEPROP INT_R_X11Y45 TILE_PATTERN_IDX 7396 TILEPROP INT_R_X11Y45 TILE_TYPE INT_R TILEPROP INT_R_X11Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y45 TILE_X -44136 TILEPROP INT_R_X11Y45 TILE_Y -94648 TILEPROP INT_R_X11Y45 TYPE INT_R TILEPROP INT_R_X11Y46 CLASS tile TILEPROP INT_R_X11Y46 COLUMN 32 TILEPROP INT_R_X11Y46 DEVICE_ID 0 TILEPROP INT_R_X11Y46 FIRST_SITE_ID 10769 TILEPROP INT_R_X11Y46 GRID_POINT_X 32 TILEPROP INT_R_X11Y46 GRID_POINT_Y 108 TILEPROP INT_R_X11Y46 INDEX 12452 TILEPROP INT_R_X11Y46 INT_TILE_X 11 TILEPROP INT_R_X11Y46 INT_TILE_Y 103 TILEPROP INT_R_X11Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y46 IS_DCM_TILE 0 TILEPROP INT_R_X11Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y46 NAME INT_R_X11Y46 TILEPROP INT_R_X11Y46 NUM_ARCS 3737 TILEPROP INT_R_X11Y46 NUM_SITES 1 TILEPROP INT_R_X11Y46 ROW 108 TILEPROP INT_R_X11Y46 SLR_REGION_ID 0 TILEPROP INT_R_X11Y46 TILE_PATTERN_IDX 7360 TILEPROP INT_R_X11Y46 TILE_TYPE INT_R TILEPROP INT_R_X11Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y46 TILE_X -44136 TILEPROP INT_R_X11Y46 TILE_Y -91448 TILEPROP INT_R_X11Y46 TYPE INT_R TILEPROP INT_R_X11Y47 CLASS tile TILEPROP INT_R_X11Y47 COLUMN 32 TILEPROP INT_R_X11Y47 DEVICE_ID 0 TILEPROP INT_R_X11Y47 FIRST_SITE_ID 10669 TILEPROP INT_R_X11Y47 GRID_POINT_X 32 TILEPROP INT_R_X11Y47 GRID_POINT_Y 107 TILEPROP INT_R_X11Y47 INDEX 12337 TILEPROP INT_R_X11Y47 INT_TILE_X 11 TILEPROP INT_R_X11Y47 INT_TILE_Y 102 TILEPROP INT_R_X11Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y47 IS_DCM_TILE 0 TILEPROP INT_R_X11Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y47 NAME INT_R_X11Y47 TILEPROP INT_R_X11Y47 NUM_ARCS 3737 TILEPROP INT_R_X11Y47 NUM_SITES 1 TILEPROP INT_R_X11Y47 ROW 107 TILEPROP INT_R_X11Y47 SLR_REGION_ID 0 TILEPROP INT_R_X11Y47 TILE_PATTERN_IDX 7323 TILEPROP INT_R_X11Y47 TILE_TYPE INT_R TILEPROP INT_R_X11Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y47 TILE_X -44136 TILEPROP INT_R_X11Y47 TILE_Y -88248 TILEPROP INT_R_X11Y47 TYPE INT_R TILEPROP INT_R_X11Y48 CLASS tile TILEPROP INT_R_X11Y48 COLUMN 32 TILEPROP INT_R_X11Y48 DEVICE_ID 0 TILEPROP INT_R_X11Y48 FIRST_SITE_ID 10569 TILEPROP INT_R_X11Y48 GRID_POINT_X 32 TILEPROP INT_R_X11Y48 GRID_POINT_Y 106 TILEPROP INT_R_X11Y48 INDEX 12222 TILEPROP INT_R_X11Y48 INT_TILE_X 11 TILEPROP INT_R_X11Y48 INT_TILE_Y 101 TILEPROP INT_R_X11Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y48 IS_DCM_TILE 0 TILEPROP INT_R_X11Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y48 NAME INT_R_X11Y48 TILEPROP INT_R_X11Y48 NUM_ARCS 3737 TILEPROP INT_R_X11Y48 NUM_SITES 1 TILEPROP INT_R_X11Y48 ROW 106 TILEPROP INT_R_X11Y48 SLR_REGION_ID 0 TILEPROP INT_R_X11Y48 TILE_PATTERN_IDX 7287 TILEPROP INT_R_X11Y48 TILE_TYPE INT_R TILEPROP INT_R_X11Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y48 TILE_X -44136 TILEPROP INT_R_X11Y48 TILE_Y -85048 TILEPROP INT_R_X11Y48 TYPE INT_R TILEPROP INT_R_X11Y49 CLASS tile TILEPROP INT_R_X11Y49 COLUMN 32 TILEPROP INT_R_X11Y49 DEVICE_ID 0 TILEPROP INT_R_X11Y49 FIRST_SITE_ID 10473 TILEPROP INT_R_X11Y49 GRID_POINT_X 32 TILEPROP INT_R_X11Y49 GRID_POINT_Y 105 TILEPROP INT_R_X11Y49 INDEX 12107 TILEPROP INT_R_X11Y49 INT_TILE_X 11 TILEPROP INT_R_X11Y49 INT_TILE_Y 100 TILEPROP INT_R_X11Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y49 IS_DCM_TILE 0 TILEPROP INT_R_X11Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y49 NAME INT_R_X11Y49 TILEPROP INT_R_X11Y49 NUM_ARCS 3737 TILEPROP INT_R_X11Y49 NUM_SITES 1 TILEPROP INT_R_X11Y49 ROW 105 TILEPROP INT_R_X11Y49 SLR_REGION_ID 0 TILEPROP INT_R_X11Y49 TILE_PATTERN_IDX 7251 TILEPROP INT_R_X11Y49 TILE_TYPE INT_R TILEPROP INT_R_X11Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y49 TILE_X -44136 TILEPROP INT_R_X11Y49 TILE_Y -81848 TILEPROP INT_R_X11Y49 TYPE INT_R TILEPROP INT_R_X11Y50 CLASS tile TILEPROP INT_R_X11Y50 COLUMN 32 TILEPROP INT_R_X11Y50 DEVICE_ID 0 TILEPROP INT_R_X11Y50 FIRST_SITE_ID 10348 TILEPROP INT_R_X11Y50 GRID_POINT_X 32 TILEPROP INT_R_X11Y50 GRID_POINT_Y 103 TILEPROP INT_R_X11Y50 INDEX 11877 TILEPROP INT_R_X11Y50 INT_TILE_X 11 TILEPROP INT_R_X11Y50 INT_TILE_Y 99 TILEPROP INT_R_X11Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y50 IS_DCM_TILE 0 TILEPROP INT_R_X11Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y50 NAME INT_R_X11Y50 TILEPROP INT_R_X11Y50 NUM_ARCS 3737 TILEPROP INT_R_X11Y50 NUM_SITES 1 TILEPROP INT_R_X11Y50 ROW 103 TILEPROP INT_R_X11Y50 SLR_REGION_ID 0 TILEPROP INT_R_X11Y50 TILE_PATTERN_IDX 7214 TILEPROP INT_R_X11Y50 TILE_TYPE INT_R TILEPROP INT_R_X11Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y50 TILE_X -44136 TILEPROP INT_R_X11Y50 TILE_Y -78400 TILEPROP INT_R_X11Y50 TYPE INT_R TILEPROP INT_R_X11Y51 CLASS tile TILEPROP INT_R_X11Y51 COLUMN 32 TILEPROP INT_R_X11Y51 DEVICE_ID 0 TILEPROP INT_R_X11Y51 FIRST_SITE_ID 10238 TILEPROP INT_R_X11Y51 GRID_POINT_X 32 TILEPROP INT_R_X11Y51 GRID_POINT_Y 102 TILEPROP INT_R_X11Y51 INDEX 11762 TILEPROP INT_R_X11Y51 INT_TILE_X 11 TILEPROP INT_R_X11Y51 INT_TILE_Y 98 TILEPROP INT_R_X11Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y51 IS_DCM_TILE 0 TILEPROP INT_R_X11Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y51 NAME INT_R_X11Y51 TILEPROP INT_R_X11Y51 NUM_ARCS 3737 TILEPROP INT_R_X11Y51 NUM_SITES 1 TILEPROP INT_R_X11Y51 ROW 102 TILEPROP INT_R_X11Y51 SLR_REGION_ID 0 TILEPROP INT_R_X11Y51 TILE_PATTERN_IDX 7177 TILEPROP INT_R_X11Y51 TILE_TYPE INT_R TILEPROP INT_R_X11Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y51 TILE_X -44136 TILEPROP INT_R_X11Y51 TILE_Y -75200 TILEPROP INT_R_X11Y51 TYPE INT_R TILEPROP INT_R_X11Y52 CLASS tile TILEPROP INT_R_X11Y52 COLUMN 32 TILEPROP INT_R_X11Y52 DEVICE_ID 0 TILEPROP INT_R_X11Y52 FIRST_SITE_ID 10138 TILEPROP INT_R_X11Y52 GRID_POINT_X 32 TILEPROP INT_R_X11Y52 GRID_POINT_Y 101 TILEPROP INT_R_X11Y52 INDEX 11647 TILEPROP INT_R_X11Y52 INT_TILE_X 11 TILEPROP INT_R_X11Y52 INT_TILE_Y 97 TILEPROP INT_R_X11Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y52 IS_DCM_TILE 0 TILEPROP INT_R_X11Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y52 NAME INT_R_X11Y52 TILEPROP INT_R_X11Y52 NUM_ARCS 3737 TILEPROP INT_R_X11Y52 NUM_SITES 1 TILEPROP INT_R_X11Y52 ROW 101 TILEPROP INT_R_X11Y52 SLR_REGION_ID 0 TILEPROP INT_R_X11Y52 TILE_PATTERN_IDX 7140 TILEPROP INT_R_X11Y52 TILE_TYPE INT_R TILEPROP INT_R_X11Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y52 TILE_X -44136 TILEPROP INT_R_X11Y52 TILE_Y -72000 TILEPROP INT_R_X11Y52 TYPE INT_R TILEPROP INT_R_X11Y53 CLASS tile TILEPROP INT_R_X11Y53 COLUMN 32 TILEPROP INT_R_X11Y53 DEVICE_ID 0 TILEPROP INT_R_X11Y53 FIRST_SITE_ID 10038 TILEPROP INT_R_X11Y53 GRID_POINT_X 32 TILEPROP INT_R_X11Y53 GRID_POINT_Y 100 TILEPROP INT_R_X11Y53 INDEX 11532 TILEPROP INT_R_X11Y53 INT_TILE_X 11 TILEPROP INT_R_X11Y53 INT_TILE_Y 96 TILEPROP INT_R_X11Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y53 IS_DCM_TILE 0 TILEPROP INT_R_X11Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y53 NAME INT_R_X11Y53 TILEPROP INT_R_X11Y53 NUM_ARCS 3737 TILEPROP INT_R_X11Y53 NUM_SITES 1 TILEPROP INT_R_X11Y53 ROW 100 TILEPROP INT_R_X11Y53 SLR_REGION_ID 0 TILEPROP INT_R_X11Y53 TILE_PATTERN_IDX 7103 TILEPROP INT_R_X11Y53 TILE_TYPE INT_R TILEPROP INT_R_X11Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y53 TILE_X -44136 TILEPROP INT_R_X11Y53 TILE_Y -68800 TILEPROP INT_R_X11Y53 TYPE INT_R TILEPROP INT_R_X11Y54 CLASS tile TILEPROP INT_R_X11Y54 COLUMN 32 TILEPROP INT_R_X11Y54 DEVICE_ID 0 TILEPROP INT_R_X11Y54 FIRST_SITE_ID 9938 TILEPROP INT_R_X11Y54 GRID_POINT_X 32 TILEPROP INT_R_X11Y54 GRID_POINT_Y 99 TILEPROP INT_R_X11Y54 INDEX 11417 TILEPROP INT_R_X11Y54 INT_TILE_X 11 TILEPROP INT_R_X11Y54 INT_TILE_Y 95 TILEPROP INT_R_X11Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y54 IS_DCM_TILE 0 TILEPROP INT_R_X11Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y54 NAME INT_R_X11Y54 TILEPROP INT_R_X11Y54 NUM_ARCS 3737 TILEPROP INT_R_X11Y54 NUM_SITES 1 TILEPROP INT_R_X11Y54 ROW 99 TILEPROP INT_R_X11Y54 SLR_REGION_ID 0 TILEPROP INT_R_X11Y54 TILE_PATTERN_IDX 7066 TILEPROP INT_R_X11Y54 TILE_TYPE INT_R TILEPROP INT_R_X11Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y54 TILE_X -44136 TILEPROP INT_R_X11Y54 TILE_Y -65600 TILEPROP INT_R_X11Y54 TYPE INT_R TILEPROP INT_R_X11Y55 CLASS tile TILEPROP INT_R_X11Y55 COLUMN 32 TILEPROP INT_R_X11Y55 DEVICE_ID 0 TILEPROP INT_R_X11Y55 FIRST_SITE_ID 9829 TILEPROP INT_R_X11Y55 GRID_POINT_X 32 TILEPROP INT_R_X11Y55 GRID_POINT_Y 98 TILEPROP INT_R_X11Y55 INDEX 11302 TILEPROP INT_R_X11Y55 INT_TILE_X 11 TILEPROP INT_R_X11Y55 INT_TILE_Y 94 TILEPROP INT_R_X11Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y55 IS_DCM_TILE 0 TILEPROP INT_R_X11Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y55 NAME INT_R_X11Y55 TILEPROP INT_R_X11Y55 NUM_ARCS 3737 TILEPROP INT_R_X11Y55 NUM_SITES 1 TILEPROP INT_R_X11Y55 ROW 98 TILEPROP INT_R_X11Y55 SLR_REGION_ID 0 TILEPROP INT_R_X11Y55 TILE_PATTERN_IDX 7028 TILEPROP INT_R_X11Y55 TILE_TYPE INT_R TILEPROP INT_R_X11Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y55 TILE_X -44136 TILEPROP INT_R_X11Y55 TILE_Y -62400 TILEPROP INT_R_X11Y55 TYPE INT_R TILEPROP INT_R_X11Y56 CLASS tile TILEPROP INT_R_X11Y56 COLUMN 32 TILEPROP INT_R_X11Y56 DEVICE_ID 0 TILEPROP INT_R_X11Y56 FIRST_SITE_ID 9723 TILEPROP INT_R_X11Y56 GRID_POINT_X 32 TILEPROP INT_R_X11Y56 GRID_POINT_Y 97 TILEPROP INT_R_X11Y56 INDEX 11187 TILEPROP INT_R_X11Y56 INT_TILE_X 11 TILEPROP INT_R_X11Y56 INT_TILE_Y 93 TILEPROP INT_R_X11Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y56 IS_DCM_TILE 0 TILEPROP INT_R_X11Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y56 NAME INT_R_X11Y56 TILEPROP INT_R_X11Y56 NUM_ARCS 3737 TILEPROP INT_R_X11Y56 NUM_SITES 1 TILEPROP INT_R_X11Y56 ROW 97 TILEPROP INT_R_X11Y56 SLR_REGION_ID 0 TILEPROP INT_R_X11Y56 TILE_PATTERN_IDX 6991 TILEPROP INT_R_X11Y56 TILE_TYPE INT_R TILEPROP INT_R_X11Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y56 TILE_X -44136 TILEPROP INT_R_X11Y56 TILE_Y -59200 TILEPROP INT_R_X11Y56 TYPE INT_R TILEPROP INT_R_X11Y57 CLASS tile TILEPROP INT_R_X11Y57 COLUMN 32 TILEPROP INT_R_X11Y57 DEVICE_ID 0 TILEPROP INT_R_X11Y57 FIRST_SITE_ID 9621 TILEPROP INT_R_X11Y57 GRID_POINT_X 32 TILEPROP INT_R_X11Y57 GRID_POINT_Y 96 TILEPROP INT_R_X11Y57 INDEX 11072 TILEPROP INT_R_X11Y57 INT_TILE_X 11 TILEPROP INT_R_X11Y57 INT_TILE_Y 92 TILEPROP INT_R_X11Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y57 IS_DCM_TILE 0 TILEPROP INT_R_X11Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y57 NAME INT_R_X11Y57 TILEPROP INT_R_X11Y57 NUM_ARCS 3737 TILEPROP INT_R_X11Y57 NUM_SITES 1 TILEPROP INT_R_X11Y57 ROW 96 TILEPROP INT_R_X11Y57 SLR_REGION_ID 0 TILEPROP INT_R_X11Y57 TILE_PATTERN_IDX 6954 TILEPROP INT_R_X11Y57 TILE_TYPE INT_R TILEPROP INT_R_X11Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y57 TILE_X -44136 TILEPROP INT_R_X11Y57 TILE_Y -56000 TILEPROP INT_R_X11Y57 TYPE INT_R TILEPROP INT_R_X11Y58 CLASS tile TILEPROP INT_R_X11Y58 COLUMN 32 TILEPROP INT_R_X11Y58 DEVICE_ID 0 TILEPROP INT_R_X11Y58 FIRST_SITE_ID 9518 TILEPROP INT_R_X11Y58 GRID_POINT_X 32 TILEPROP INT_R_X11Y58 GRID_POINT_Y 95 TILEPROP INT_R_X11Y58 INDEX 10957 TILEPROP INT_R_X11Y58 INT_TILE_X 11 TILEPROP INT_R_X11Y58 INT_TILE_Y 91 TILEPROP INT_R_X11Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y58 IS_DCM_TILE 0 TILEPROP INT_R_X11Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y58 NAME INT_R_X11Y58 TILEPROP INT_R_X11Y58 NUM_ARCS 3737 TILEPROP INT_R_X11Y58 NUM_SITES 1 TILEPROP INT_R_X11Y58 ROW 95 TILEPROP INT_R_X11Y58 SLR_REGION_ID 0 TILEPROP INT_R_X11Y58 TILE_PATTERN_IDX 6916 TILEPROP INT_R_X11Y58 TILE_TYPE INT_R TILEPROP INT_R_X11Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y58 TILE_X -44136 TILEPROP INT_R_X11Y58 TILE_Y -52800 TILEPROP INT_R_X11Y58 TYPE INT_R TILEPROP INT_R_X11Y59 CLASS tile TILEPROP INT_R_X11Y59 COLUMN 32 TILEPROP INT_R_X11Y59 DEVICE_ID 0 TILEPROP INT_R_X11Y59 FIRST_SITE_ID 9417 TILEPROP INT_R_X11Y59 GRID_POINT_X 32 TILEPROP INT_R_X11Y59 GRID_POINT_Y 94 TILEPROP INT_R_X11Y59 INDEX 10842 TILEPROP INT_R_X11Y59 INT_TILE_X 11 TILEPROP INT_R_X11Y59 INT_TILE_Y 90 TILEPROP INT_R_X11Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y59 IS_DCM_TILE 0 TILEPROP INT_R_X11Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y59 NAME INT_R_X11Y59 TILEPROP INT_R_X11Y59 NUM_ARCS 3737 TILEPROP INT_R_X11Y59 NUM_SITES 1 TILEPROP INT_R_X11Y59 ROW 94 TILEPROP INT_R_X11Y59 SLR_REGION_ID 0 TILEPROP INT_R_X11Y59 TILE_PATTERN_IDX 6879 TILEPROP INT_R_X11Y59 TILE_TYPE INT_R TILEPROP INT_R_X11Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y59 TILE_X -44136 TILEPROP INT_R_X11Y59 TILE_Y -49600 TILEPROP INT_R_X11Y59 TYPE INT_R TILEPROP INT_R_X11Y60 CLASS tile TILEPROP INT_R_X11Y60 COLUMN 32 TILEPROP INT_R_X11Y60 DEVICE_ID 0 TILEPROP INT_R_X11Y60 FIRST_SITE_ID 9308 TILEPROP INT_R_X11Y60 GRID_POINT_X 32 TILEPROP INT_R_X11Y60 GRID_POINT_Y 93 TILEPROP INT_R_X11Y60 INDEX 10727 TILEPROP INT_R_X11Y60 INT_TILE_X 11 TILEPROP INT_R_X11Y60 INT_TILE_Y 89 TILEPROP INT_R_X11Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y60 IS_DCM_TILE 0 TILEPROP INT_R_X11Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y60 NAME INT_R_X11Y60 TILEPROP INT_R_X11Y60 NUM_ARCS 3737 TILEPROP INT_R_X11Y60 NUM_SITES 1 TILEPROP INT_R_X11Y60 ROW 93 TILEPROP INT_R_X11Y60 SLR_REGION_ID 0 TILEPROP INT_R_X11Y60 TILE_PATTERN_IDX 6842 TILEPROP INT_R_X11Y60 TILE_TYPE INT_R TILEPROP INT_R_X11Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y60 TILE_X -44136 TILEPROP INT_R_X11Y60 TILE_Y -46400 TILEPROP INT_R_X11Y60 TYPE INT_R TILEPROP INT_R_X11Y61 CLASS tile TILEPROP INT_R_X11Y61 COLUMN 32 TILEPROP INT_R_X11Y61 DEVICE_ID 0 TILEPROP INT_R_X11Y61 FIRST_SITE_ID 9202 TILEPROP INT_R_X11Y61 GRID_POINT_X 32 TILEPROP INT_R_X11Y61 GRID_POINT_Y 92 TILEPROP INT_R_X11Y61 INDEX 10612 TILEPROP INT_R_X11Y61 INT_TILE_X 11 TILEPROP INT_R_X11Y61 INT_TILE_Y 88 TILEPROP INT_R_X11Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y61 IS_DCM_TILE 0 TILEPROP INT_R_X11Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y61 NAME INT_R_X11Y61 TILEPROP INT_R_X11Y61 NUM_ARCS 3737 TILEPROP INT_R_X11Y61 NUM_SITES 1 TILEPROP INT_R_X11Y61 ROW 92 TILEPROP INT_R_X11Y61 SLR_REGION_ID 0 TILEPROP INT_R_X11Y61 TILE_PATTERN_IDX 6805 TILEPROP INT_R_X11Y61 TILE_TYPE INT_R TILEPROP INT_R_X11Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y61 TILE_X -44136 TILEPROP INT_R_X11Y61 TILE_Y -43200 TILEPROP INT_R_X11Y61 TYPE INT_R TILEPROP INT_R_X11Y62 CLASS tile TILEPROP INT_R_X11Y62 COLUMN 32 TILEPROP INT_R_X11Y62 DEVICE_ID 0 TILEPROP INT_R_X11Y62 FIRST_SITE_ID 9070 TILEPROP INT_R_X11Y62 GRID_POINT_X 32 TILEPROP INT_R_X11Y62 GRID_POINT_Y 91 TILEPROP INT_R_X11Y62 INDEX 10497 TILEPROP INT_R_X11Y62 INT_TILE_X 11 TILEPROP INT_R_X11Y62 INT_TILE_Y 87 TILEPROP INT_R_X11Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y62 IS_DCM_TILE 0 TILEPROP INT_R_X11Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y62 NAME INT_R_X11Y62 TILEPROP INT_R_X11Y62 NUM_ARCS 3737 TILEPROP INT_R_X11Y62 NUM_SITES 1 TILEPROP INT_R_X11Y62 ROW 91 TILEPROP INT_R_X11Y62 SLR_REGION_ID 0 TILEPROP INT_R_X11Y62 TILE_PATTERN_IDX 6768 TILEPROP INT_R_X11Y62 TILE_TYPE INT_R TILEPROP INT_R_X11Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y62 TILE_X -44136 TILEPROP INT_R_X11Y62 TILE_Y -40000 TILEPROP INT_R_X11Y62 TYPE INT_R TILEPROP INT_R_X11Y63 CLASS tile TILEPROP INT_R_X11Y63 COLUMN 32 TILEPROP INT_R_X11Y63 DEVICE_ID 0 TILEPROP INT_R_X11Y63 FIRST_SITE_ID 8970 TILEPROP INT_R_X11Y63 GRID_POINT_X 32 TILEPROP INT_R_X11Y63 GRID_POINT_Y 90 TILEPROP INT_R_X11Y63 INDEX 10382 TILEPROP INT_R_X11Y63 INT_TILE_X 11 TILEPROP INT_R_X11Y63 INT_TILE_Y 86 TILEPROP INT_R_X11Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y63 IS_DCM_TILE 0 TILEPROP INT_R_X11Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y63 NAME INT_R_X11Y63 TILEPROP INT_R_X11Y63 NUM_ARCS 3737 TILEPROP INT_R_X11Y63 NUM_SITES 1 TILEPROP INT_R_X11Y63 ROW 90 TILEPROP INT_R_X11Y63 SLR_REGION_ID 0 TILEPROP INT_R_X11Y63 TILE_PATTERN_IDX 6731 TILEPROP INT_R_X11Y63 TILE_TYPE INT_R TILEPROP INT_R_X11Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y63 TILE_X -44136 TILEPROP INT_R_X11Y63 TILE_Y -36800 TILEPROP INT_R_X11Y63 TYPE INT_R TILEPROP INT_R_X11Y64 CLASS tile TILEPROP INT_R_X11Y64 COLUMN 32 TILEPROP INT_R_X11Y64 DEVICE_ID 0 TILEPROP INT_R_X11Y64 FIRST_SITE_ID 8870 TILEPROP INT_R_X11Y64 GRID_POINT_X 32 TILEPROP INT_R_X11Y64 GRID_POINT_Y 89 TILEPROP INT_R_X11Y64 INDEX 10267 TILEPROP INT_R_X11Y64 INT_TILE_X 11 TILEPROP INT_R_X11Y64 INT_TILE_Y 85 TILEPROP INT_R_X11Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y64 IS_DCM_TILE 0 TILEPROP INT_R_X11Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y64 NAME INT_R_X11Y64 TILEPROP INT_R_X11Y64 NUM_ARCS 3737 TILEPROP INT_R_X11Y64 NUM_SITES 1 TILEPROP INT_R_X11Y64 ROW 89 TILEPROP INT_R_X11Y64 SLR_REGION_ID 0 TILEPROP INT_R_X11Y64 TILE_PATTERN_IDX 6694 TILEPROP INT_R_X11Y64 TILE_TYPE INT_R TILEPROP INT_R_X11Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y64 TILE_X -44136 TILEPROP INT_R_X11Y64 TILE_Y -33600 TILEPROP INT_R_X11Y64 TYPE INT_R TILEPROP INT_R_X11Y65 CLASS tile TILEPROP INT_R_X11Y65 COLUMN 32 TILEPROP INT_R_X11Y65 DEVICE_ID 0 TILEPROP INT_R_X11Y65 FIRST_SITE_ID 8761 TILEPROP INT_R_X11Y65 GRID_POINT_X 32 TILEPROP INT_R_X11Y65 GRID_POINT_Y 88 TILEPROP INT_R_X11Y65 INDEX 10152 TILEPROP INT_R_X11Y65 INT_TILE_X 11 TILEPROP INT_R_X11Y65 INT_TILE_Y 84 TILEPROP INT_R_X11Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y65 IS_DCM_TILE 0 TILEPROP INT_R_X11Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y65 NAME INT_R_X11Y65 TILEPROP INT_R_X11Y65 NUM_ARCS 3737 TILEPROP INT_R_X11Y65 NUM_SITES 1 TILEPROP INT_R_X11Y65 ROW 88 TILEPROP INT_R_X11Y65 SLR_REGION_ID 0 TILEPROP INT_R_X11Y65 TILE_PATTERN_IDX 6657 TILEPROP INT_R_X11Y65 TILE_TYPE INT_R TILEPROP INT_R_X11Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y65 TILE_X -44136 TILEPROP INT_R_X11Y65 TILE_Y -30400 TILEPROP INT_R_X11Y65 TYPE INT_R TILEPROP INT_R_X11Y66 CLASS tile TILEPROP INT_R_X11Y66 COLUMN 32 TILEPROP INT_R_X11Y66 DEVICE_ID 0 TILEPROP INT_R_X11Y66 FIRST_SITE_ID 8655 TILEPROP INT_R_X11Y66 GRID_POINT_X 32 TILEPROP INT_R_X11Y66 GRID_POINT_Y 87 TILEPROP INT_R_X11Y66 INDEX 10037 TILEPROP INT_R_X11Y66 INT_TILE_X 11 TILEPROP INT_R_X11Y66 INT_TILE_Y 83 TILEPROP INT_R_X11Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y66 IS_DCM_TILE 0 TILEPROP INT_R_X11Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y66 NAME INT_R_X11Y66 TILEPROP INT_R_X11Y66 NUM_ARCS 3737 TILEPROP INT_R_X11Y66 NUM_SITES 1 TILEPROP INT_R_X11Y66 ROW 87 TILEPROP INT_R_X11Y66 SLR_REGION_ID 0 TILEPROP INT_R_X11Y66 TILE_PATTERN_IDX 6620 TILEPROP INT_R_X11Y66 TILE_TYPE INT_R TILEPROP INT_R_X11Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y66 TILE_X -44136 TILEPROP INT_R_X11Y66 TILE_Y -27200 TILEPROP INT_R_X11Y66 TYPE INT_R TILEPROP INT_R_X11Y67 CLASS tile TILEPROP INT_R_X11Y67 COLUMN 32 TILEPROP INT_R_X11Y67 DEVICE_ID 0 TILEPROP INT_R_X11Y67 FIRST_SITE_ID 8551 TILEPROP INT_R_X11Y67 GRID_POINT_X 32 TILEPROP INT_R_X11Y67 GRID_POINT_Y 86 TILEPROP INT_R_X11Y67 INDEX 9922 TILEPROP INT_R_X11Y67 INT_TILE_X 11 TILEPROP INT_R_X11Y67 INT_TILE_Y 82 TILEPROP INT_R_X11Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y67 IS_DCM_TILE 0 TILEPROP INT_R_X11Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y67 NAME INT_R_X11Y67 TILEPROP INT_R_X11Y67 NUM_ARCS 3737 TILEPROP INT_R_X11Y67 NUM_SITES 1 TILEPROP INT_R_X11Y67 ROW 86 TILEPROP INT_R_X11Y67 SLR_REGION_ID 0 TILEPROP INT_R_X11Y67 TILE_PATTERN_IDX 6582 TILEPROP INT_R_X11Y67 TILE_TYPE INT_R TILEPROP INT_R_X11Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y67 TILE_X -44136 TILEPROP INT_R_X11Y67 TILE_Y -24000 TILEPROP INT_R_X11Y67 TYPE INT_R TILEPROP INT_R_X11Y68 CLASS tile TILEPROP INT_R_X11Y68 COLUMN 32 TILEPROP INT_R_X11Y68 DEVICE_ID 0 TILEPROP INT_R_X11Y68 FIRST_SITE_ID 8447 TILEPROP INT_R_X11Y68 GRID_POINT_X 32 TILEPROP INT_R_X11Y68 GRID_POINT_Y 85 TILEPROP INT_R_X11Y68 INDEX 9807 TILEPROP INT_R_X11Y68 INT_TILE_X 11 TILEPROP INT_R_X11Y68 INT_TILE_Y 81 TILEPROP INT_R_X11Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y68 IS_DCM_TILE 0 TILEPROP INT_R_X11Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y68 NAME INT_R_X11Y68 TILEPROP INT_R_X11Y68 NUM_ARCS 3737 TILEPROP INT_R_X11Y68 NUM_SITES 1 TILEPROP INT_R_X11Y68 ROW 85 TILEPROP INT_R_X11Y68 SLR_REGION_ID 0 TILEPROP INT_R_X11Y68 TILE_PATTERN_IDX 6550 TILEPROP INT_R_X11Y68 TILE_TYPE INT_R TILEPROP INT_R_X11Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y68 TILE_X -44136 TILEPROP INT_R_X11Y68 TILE_Y -20800 TILEPROP INT_R_X11Y68 TYPE INT_R TILEPROP INT_R_X11Y69 CLASS tile TILEPROP INT_R_X11Y69 COLUMN 32 TILEPROP INT_R_X11Y69 DEVICE_ID 0 TILEPROP INT_R_X11Y69 FIRST_SITE_ID 8345 TILEPROP INT_R_X11Y69 GRID_POINT_X 32 TILEPROP INT_R_X11Y69 GRID_POINT_Y 84 TILEPROP INT_R_X11Y69 INDEX 9692 TILEPROP INT_R_X11Y69 INT_TILE_X 11 TILEPROP INT_R_X11Y69 INT_TILE_Y 80 TILEPROP INT_R_X11Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y69 IS_DCM_TILE 0 TILEPROP INT_R_X11Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y69 NAME INT_R_X11Y69 TILEPROP INT_R_X11Y69 NUM_ARCS 3737 TILEPROP INT_R_X11Y69 NUM_SITES 1 TILEPROP INT_R_X11Y69 ROW 84 TILEPROP INT_R_X11Y69 SLR_REGION_ID 0 TILEPROP INT_R_X11Y69 TILE_PATTERN_IDX 6519 TILEPROP INT_R_X11Y69 TILE_TYPE INT_R TILEPROP INT_R_X11Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y69 TILE_X -44136 TILEPROP INT_R_X11Y69 TILE_Y -17600 TILEPROP INT_R_X11Y69 TYPE INT_R TILEPROP INT_R_X11Y70 CLASS tile TILEPROP INT_R_X11Y70 COLUMN 32 TILEPROP INT_R_X11Y70 DEVICE_ID 0 TILEPROP INT_R_X11Y70 FIRST_SITE_ID 8234 TILEPROP INT_R_X11Y70 GRID_POINT_X 32 TILEPROP INT_R_X11Y70 GRID_POINT_Y 83 TILEPROP INT_R_X11Y70 INDEX 9577 TILEPROP INT_R_X11Y70 INT_TILE_X 11 TILEPROP INT_R_X11Y70 INT_TILE_Y 79 TILEPROP INT_R_X11Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y70 IS_DCM_TILE 0 TILEPROP INT_R_X11Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y70 NAME INT_R_X11Y70 TILEPROP INT_R_X11Y70 NUM_ARCS 3737 TILEPROP INT_R_X11Y70 NUM_SITES 1 TILEPROP INT_R_X11Y70 ROW 83 TILEPROP INT_R_X11Y70 SLR_REGION_ID 0 TILEPROP INT_R_X11Y70 TILE_PATTERN_IDX 6488 TILEPROP INT_R_X11Y70 TILE_TYPE INT_R TILEPROP INT_R_X11Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y70 TILE_X -44136 TILEPROP INT_R_X11Y70 TILE_Y -14400 TILEPROP INT_R_X11Y70 TYPE INT_R TILEPROP INT_R_X11Y71 CLASS tile TILEPROP INT_R_X11Y71 COLUMN 32 TILEPROP INT_R_X11Y71 DEVICE_ID 0 TILEPROP INT_R_X11Y71 FIRST_SITE_ID 8128 TILEPROP INT_R_X11Y71 GRID_POINT_X 32 TILEPROP INT_R_X11Y71 GRID_POINT_Y 82 TILEPROP INT_R_X11Y71 INDEX 9462 TILEPROP INT_R_X11Y71 INT_TILE_X 11 TILEPROP INT_R_X11Y71 INT_TILE_Y 78 TILEPROP INT_R_X11Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y71 IS_DCM_TILE 0 TILEPROP INT_R_X11Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y71 NAME INT_R_X11Y71 TILEPROP INT_R_X11Y71 NUM_ARCS 3737 TILEPROP INT_R_X11Y71 NUM_SITES 1 TILEPROP INT_R_X11Y71 ROW 82 TILEPROP INT_R_X11Y71 SLR_REGION_ID 0 TILEPROP INT_R_X11Y71 TILE_PATTERN_IDX 6457 TILEPROP INT_R_X11Y71 TILE_TYPE INT_R TILEPROP INT_R_X11Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y71 TILE_X -44136 TILEPROP INT_R_X11Y71 TILE_Y -11200 TILEPROP INT_R_X11Y71 TYPE INT_R TILEPROP INT_R_X11Y72 CLASS tile TILEPROP INT_R_X11Y72 COLUMN 32 TILEPROP INT_R_X11Y72 DEVICE_ID 0 TILEPROP INT_R_X11Y72 FIRST_SITE_ID 8028 TILEPROP INT_R_X11Y72 GRID_POINT_X 32 TILEPROP INT_R_X11Y72 GRID_POINT_Y 81 TILEPROP INT_R_X11Y72 INDEX 9347 TILEPROP INT_R_X11Y72 INT_TILE_X 11 TILEPROP INT_R_X11Y72 INT_TILE_Y 77 TILEPROP INT_R_X11Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y72 IS_DCM_TILE 0 TILEPROP INT_R_X11Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y72 NAME INT_R_X11Y72 TILEPROP INT_R_X11Y72 NUM_ARCS 3737 TILEPROP INT_R_X11Y72 NUM_SITES 1 TILEPROP INT_R_X11Y72 ROW 81 TILEPROP INT_R_X11Y72 SLR_REGION_ID 0 TILEPROP INT_R_X11Y72 TILE_PATTERN_IDX 6426 TILEPROP INT_R_X11Y72 TILE_TYPE INT_R TILEPROP INT_R_X11Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y72 TILE_X -44136 TILEPROP INT_R_X11Y72 TILE_Y -8000 TILEPROP INT_R_X11Y72 TYPE INT_R TILEPROP INT_R_X11Y73 CLASS tile TILEPROP INT_R_X11Y73 COLUMN 32 TILEPROP INT_R_X11Y73 DEVICE_ID 0 TILEPROP INT_R_X11Y73 FIRST_SITE_ID 7928 TILEPROP INT_R_X11Y73 GRID_POINT_X 32 TILEPROP INT_R_X11Y73 GRID_POINT_Y 80 TILEPROP INT_R_X11Y73 INDEX 9232 TILEPROP INT_R_X11Y73 INT_TILE_X 11 TILEPROP INT_R_X11Y73 INT_TILE_Y 76 TILEPROP INT_R_X11Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y73 IS_DCM_TILE 0 TILEPROP INT_R_X11Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y73 NAME INT_R_X11Y73 TILEPROP INT_R_X11Y73 NUM_ARCS 3737 TILEPROP INT_R_X11Y73 NUM_SITES 1 TILEPROP INT_R_X11Y73 ROW 80 TILEPROP INT_R_X11Y73 SLR_REGION_ID 0 TILEPROP INT_R_X11Y73 TILE_PATTERN_IDX 6395 TILEPROP INT_R_X11Y73 TILE_TYPE INT_R TILEPROP INT_R_X11Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y73 TILE_X -44136 TILEPROP INT_R_X11Y73 TILE_Y -4800 TILEPROP INT_R_X11Y73 TYPE INT_R TILEPROP INT_R_X11Y74 CLASS tile TILEPROP INT_R_X11Y74 COLUMN 32 TILEPROP INT_R_X11Y74 DEVICE_ID 0 TILEPROP INT_R_X11Y74 FIRST_SITE_ID 7828 TILEPROP INT_R_X11Y74 GRID_POINT_X 32 TILEPROP INT_R_X11Y74 GRID_POINT_Y 79 TILEPROP INT_R_X11Y74 INDEX 9117 TILEPROP INT_R_X11Y74 INT_TILE_X 11 TILEPROP INT_R_X11Y74 INT_TILE_Y 75 TILEPROP INT_R_X11Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y74 IS_DCM_TILE 0 TILEPROP INT_R_X11Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y74 NAME INT_R_X11Y74 TILEPROP INT_R_X11Y74 NUM_ARCS 3737 TILEPROP INT_R_X11Y74 NUM_SITES 1 TILEPROP INT_R_X11Y74 ROW 79 TILEPROP INT_R_X11Y74 SLR_REGION_ID 0 TILEPROP INT_R_X11Y74 TILE_PATTERN_IDX 6364 TILEPROP INT_R_X11Y74 TILE_TYPE INT_R TILEPROP INT_R_X11Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y74 TILE_X -44136 TILEPROP INT_R_X11Y74 TILE_Y -1600 TILEPROP INT_R_X11Y74 TYPE INT_R TILEPROP INT_R_X11Y75 CLASS tile TILEPROP INT_R_X11Y75 COLUMN 32 TILEPROP INT_R_X11Y75 DEVICE_ID 0 TILEPROP INT_R_X11Y75 FIRST_SITE_ID 7633 TILEPROP INT_R_X11Y75 GRID_POINT_X 32 TILEPROP INT_R_X11Y75 GRID_POINT_Y 77 TILEPROP INT_R_X11Y75 INDEX 8887 TILEPROP INT_R_X11Y75 INT_TILE_X 11 TILEPROP INT_R_X11Y75 INT_TILE_Y 74 TILEPROP INT_R_X11Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y75 IS_DCM_TILE 0 TILEPROP INT_R_X11Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y75 NAME INT_R_X11Y75 TILEPROP INT_R_X11Y75 NUM_ARCS 3737 TILEPROP INT_R_X11Y75 NUM_SITES 1 TILEPROP INT_R_X11Y75 ROW 77 TILEPROP INT_R_X11Y75 SLR_REGION_ID 0 TILEPROP INT_R_X11Y75 TILE_PATTERN_IDX 6288 TILEPROP INT_R_X11Y75 TILE_TYPE INT_R TILEPROP INT_R_X11Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y75 TILE_X -44136 TILEPROP INT_R_X11Y75 TILE_Y 2624 TILEPROP INT_R_X11Y75 TYPE INT_R TILEPROP INT_R_X11Y76 CLASS tile TILEPROP INT_R_X11Y76 COLUMN 32 TILEPROP INT_R_X11Y76 DEVICE_ID 0 TILEPROP INT_R_X11Y76 FIRST_SITE_ID 7527 TILEPROP INT_R_X11Y76 GRID_POINT_X 32 TILEPROP INT_R_X11Y76 GRID_POINT_Y 76 TILEPROP INT_R_X11Y76 INDEX 8772 TILEPROP INT_R_X11Y76 INT_TILE_X 11 TILEPROP INT_R_X11Y76 INT_TILE_Y 73 TILEPROP INT_R_X11Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y76 IS_DCM_TILE 0 TILEPROP INT_R_X11Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y76 NAME INT_R_X11Y76 TILEPROP INT_R_X11Y76 NUM_ARCS 3737 TILEPROP INT_R_X11Y76 NUM_SITES 1 TILEPROP INT_R_X11Y76 ROW 76 TILEPROP INT_R_X11Y76 SLR_REGION_ID 0 TILEPROP INT_R_X11Y76 TILE_PATTERN_IDX 6255 TILEPROP INT_R_X11Y76 TILE_TYPE INT_R TILEPROP INT_R_X11Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y76 TILE_X -44136 TILEPROP INT_R_X11Y76 TILE_Y 5824 TILEPROP INT_R_X11Y76 TYPE INT_R TILEPROP INT_R_X11Y77 CLASS tile TILEPROP INT_R_X11Y77 COLUMN 32 TILEPROP INT_R_X11Y77 DEVICE_ID 0 TILEPROP INT_R_X11Y77 FIRST_SITE_ID 7427 TILEPROP INT_R_X11Y77 GRID_POINT_X 32 TILEPROP INT_R_X11Y77 GRID_POINT_Y 75 TILEPROP INT_R_X11Y77 INDEX 8657 TILEPROP INT_R_X11Y77 INT_TILE_X 11 TILEPROP INT_R_X11Y77 INT_TILE_Y 72 TILEPROP INT_R_X11Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y77 IS_DCM_TILE 0 TILEPROP INT_R_X11Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y77 NAME INT_R_X11Y77 TILEPROP INT_R_X11Y77 NUM_ARCS 3737 TILEPROP INT_R_X11Y77 NUM_SITES 1 TILEPROP INT_R_X11Y77 ROW 75 TILEPROP INT_R_X11Y77 SLR_REGION_ID 0 TILEPROP INT_R_X11Y77 TILE_PATTERN_IDX 6221 TILEPROP INT_R_X11Y77 TILE_TYPE INT_R TILEPROP INT_R_X11Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y77 TILE_X -44136 TILEPROP INT_R_X11Y77 TILE_Y 9024 TILEPROP INT_R_X11Y77 TYPE INT_R TILEPROP INT_R_X11Y78 CLASS tile TILEPROP INT_R_X11Y78 COLUMN 32 TILEPROP INT_R_X11Y78 DEVICE_ID 0 TILEPROP INT_R_X11Y78 FIRST_SITE_ID 7327 TILEPROP INT_R_X11Y78 GRID_POINT_X 32 TILEPROP INT_R_X11Y78 GRID_POINT_Y 74 TILEPROP INT_R_X11Y78 INDEX 8542 TILEPROP INT_R_X11Y78 INT_TILE_X 11 TILEPROP INT_R_X11Y78 INT_TILE_Y 71 TILEPROP INT_R_X11Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y78 IS_DCM_TILE 0 TILEPROP INT_R_X11Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y78 NAME INT_R_X11Y78 TILEPROP INT_R_X11Y78 NUM_ARCS 3737 TILEPROP INT_R_X11Y78 NUM_SITES 1 TILEPROP INT_R_X11Y78 ROW 74 TILEPROP INT_R_X11Y78 SLR_REGION_ID 0 TILEPROP INT_R_X11Y78 TILE_PATTERN_IDX 6188 TILEPROP INT_R_X11Y78 TILE_TYPE INT_R TILEPROP INT_R_X11Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y78 TILE_X -44136 TILEPROP INT_R_X11Y78 TILE_Y 12224 TILEPROP INT_R_X11Y78 TYPE INT_R TILEPROP INT_R_X11Y79 CLASS tile TILEPROP INT_R_X11Y79 COLUMN 32 TILEPROP INT_R_X11Y79 DEVICE_ID 0 TILEPROP INT_R_X11Y79 FIRST_SITE_ID 7221 TILEPROP INT_R_X11Y79 GRID_POINT_X 32 TILEPROP INT_R_X11Y79 GRID_POINT_Y 73 TILEPROP INT_R_X11Y79 INDEX 8427 TILEPROP INT_R_X11Y79 INT_TILE_X 11 TILEPROP INT_R_X11Y79 INT_TILE_Y 70 TILEPROP INT_R_X11Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y79 IS_DCM_TILE 0 TILEPROP INT_R_X11Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y79 NAME INT_R_X11Y79 TILEPROP INT_R_X11Y79 NUM_ARCS 3737 TILEPROP INT_R_X11Y79 NUM_SITES 1 TILEPROP INT_R_X11Y79 ROW 73 TILEPROP INT_R_X11Y79 SLR_REGION_ID 0 TILEPROP INT_R_X11Y79 TILE_PATTERN_IDX 6153 TILEPROP INT_R_X11Y79 TILE_TYPE INT_R TILEPROP INT_R_X11Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y79 TILE_X -44136 TILEPROP INT_R_X11Y79 TILE_Y 15424 TILEPROP INT_R_X11Y79 TYPE INT_R TILEPROP INT_R_X11Y80 CLASS tile TILEPROP INT_R_X11Y80 COLUMN 32 TILEPROP INT_R_X11Y80 DEVICE_ID 0 TILEPROP INT_R_X11Y80 FIRST_SITE_ID 7106 TILEPROP INT_R_X11Y80 GRID_POINT_X 32 TILEPROP INT_R_X11Y80 GRID_POINT_Y 72 TILEPROP INT_R_X11Y80 INDEX 8312 TILEPROP INT_R_X11Y80 INT_TILE_X 11 TILEPROP INT_R_X11Y80 INT_TILE_Y 69 TILEPROP INT_R_X11Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y80 IS_DCM_TILE 0 TILEPROP INT_R_X11Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y80 NAME INT_R_X11Y80 TILEPROP INT_R_X11Y80 NUM_ARCS 3737 TILEPROP INT_R_X11Y80 NUM_SITES 1 TILEPROP INT_R_X11Y80 ROW 72 TILEPROP INT_R_X11Y80 SLR_REGION_ID 0 TILEPROP INT_R_X11Y80 TILE_PATTERN_IDX 6118 TILEPROP INT_R_X11Y80 TILE_TYPE INT_R TILEPROP INT_R_X11Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y80 TILE_X -44136 TILEPROP INT_R_X11Y80 TILE_Y 18624 TILEPROP INT_R_X11Y80 TYPE INT_R TILEPROP INT_R_X11Y81 CLASS tile TILEPROP INT_R_X11Y81 COLUMN 32 TILEPROP INT_R_X11Y81 DEVICE_ID 0 TILEPROP INT_R_X11Y81 FIRST_SITE_ID 6998 TILEPROP INT_R_X11Y81 GRID_POINT_X 32 TILEPROP INT_R_X11Y81 GRID_POINT_Y 71 TILEPROP INT_R_X11Y81 INDEX 8197 TILEPROP INT_R_X11Y81 INT_TILE_X 11 TILEPROP INT_R_X11Y81 INT_TILE_Y 68 TILEPROP INT_R_X11Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y81 IS_DCM_TILE 0 TILEPROP INT_R_X11Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y81 NAME INT_R_X11Y81 TILEPROP INT_R_X11Y81 NUM_ARCS 3737 TILEPROP INT_R_X11Y81 NUM_SITES 1 TILEPROP INT_R_X11Y81 ROW 71 TILEPROP INT_R_X11Y81 SLR_REGION_ID 0 TILEPROP INT_R_X11Y81 TILE_PATTERN_IDX 6084 TILEPROP INT_R_X11Y81 TILE_TYPE INT_R TILEPROP INT_R_X11Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y81 TILE_X -44136 TILEPROP INT_R_X11Y81 TILE_Y 21824 TILEPROP INT_R_X11Y81 TYPE INT_R TILEPROP INT_R_X11Y82 CLASS tile TILEPROP INT_R_X11Y82 COLUMN 32 TILEPROP INT_R_X11Y82 DEVICE_ID 0 TILEPROP INT_R_X11Y82 FIRST_SITE_ID 6896 TILEPROP INT_R_X11Y82 GRID_POINT_X 32 TILEPROP INT_R_X11Y82 GRID_POINT_Y 70 TILEPROP INT_R_X11Y82 INDEX 8082 TILEPROP INT_R_X11Y82 INT_TILE_X 11 TILEPROP INT_R_X11Y82 INT_TILE_Y 67 TILEPROP INT_R_X11Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y82 IS_DCM_TILE 0 TILEPROP INT_R_X11Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y82 NAME INT_R_X11Y82 TILEPROP INT_R_X11Y82 NUM_ARCS 3737 TILEPROP INT_R_X11Y82 NUM_SITES 1 TILEPROP INT_R_X11Y82 ROW 70 TILEPROP INT_R_X11Y82 SLR_REGION_ID 0 TILEPROP INT_R_X11Y82 TILE_PATTERN_IDX 6050 TILEPROP INT_R_X11Y82 TILE_TYPE INT_R TILEPROP INT_R_X11Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y82 TILE_X -44136 TILEPROP INT_R_X11Y82 TILE_Y 25024 TILEPROP INT_R_X11Y82 TYPE INT_R TILEPROP INT_R_X11Y83 CLASS tile TILEPROP INT_R_X11Y83 COLUMN 32 TILEPROP INT_R_X11Y83 DEVICE_ID 0 TILEPROP INT_R_X11Y83 FIRST_SITE_ID 6796 TILEPROP INT_R_X11Y83 GRID_POINT_X 32 TILEPROP INT_R_X11Y83 GRID_POINT_Y 69 TILEPROP INT_R_X11Y83 INDEX 7967 TILEPROP INT_R_X11Y83 INT_TILE_X 11 TILEPROP INT_R_X11Y83 INT_TILE_Y 66 TILEPROP INT_R_X11Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y83 IS_DCM_TILE 0 TILEPROP INT_R_X11Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y83 NAME INT_R_X11Y83 TILEPROP INT_R_X11Y83 NUM_ARCS 3737 TILEPROP INT_R_X11Y83 NUM_SITES 1 TILEPROP INT_R_X11Y83 ROW 69 TILEPROP INT_R_X11Y83 SLR_REGION_ID 0 TILEPROP INT_R_X11Y83 TILE_PATTERN_IDX 6016 TILEPROP INT_R_X11Y83 TILE_TYPE INT_R TILEPROP INT_R_X11Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y83 TILE_X -44136 TILEPROP INT_R_X11Y83 TILE_Y 28224 TILEPROP INT_R_X11Y83 TYPE INT_R TILEPROP INT_R_X11Y84 CLASS tile TILEPROP INT_R_X11Y84 COLUMN 32 TILEPROP INT_R_X11Y84 DEVICE_ID 0 TILEPROP INT_R_X11Y84 FIRST_SITE_ID 6696 TILEPROP INT_R_X11Y84 GRID_POINT_X 32 TILEPROP INT_R_X11Y84 GRID_POINT_Y 68 TILEPROP INT_R_X11Y84 INDEX 7852 TILEPROP INT_R_X11Y84 INT_TILE_X 11 TILEPROP INT_R_X11Y84 INT_TILE_Y 65 TILEPROP INT_R_X11Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y84 IS_DCM_TILE 0 TILEPROP INT_R_X11Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y84 NAME INT_R_X11Y84 TILEPROP INT_R_X11Y84 NUM_ARCS 3737 TILEPROP INT_R_X11Y84 NUM_SITES 1 TILEPROP INT_R_X11Y84 ROW 68 TILEPROP INT_R_X11Y84 SLR_REGION_ID 0 TILEPROP INT_R_X11Y84 TILE_PATTERN_IDX 5982 TILEPROP INT_R_X11Y84 TILE_TYPE INT_R TILEPROP INT_R_X11Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y84 TILE_X -44136 TILEPROP INT_R_X11Y84 TILE_Y 31424 TILEPROP INT_R_X11Y84 TYPE INT_R TILEPROP INT_R_X11Y85 CLASS tile TILEPROP INT_R_X11Y85 COLUMN 32 TILEPROP INT_R_X11Y85 DEVICE_ID 0 TILEPROP INT_R_X11Y85 FIRST_SITE_ID 6587 TILEPROP INT_R_X11Y85 GRID_POINT_X 32 TILEPROP INT_R_X11Y85 GRID_POINT_Y 67 TILEPROP INT_R_X11Y85 INDEX 7737 TILEPROP INT_R_X11Y85 INT_TILE_X 11 TILEPROP INT_R_X11Y85 INT_TILE_Y 64 TILEPROP INT_R_X11Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y85 IS_DCM_TILE 0 TILEPROP INT_R_X11Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y85 NAME INT_R_X11Y85 TILEPROP INT_R_X11Y85 NUM_ARCS 3737 TILEPROP INT_R_X11Y85 NUM_SITES 1 TILEPROP INT_R_X11Y85 ROW 67 TILEPROP INT_R_X11Y85 SLR_REGION_ID 0 TILEPROP INT_R_X11Y85 TILE_PATTERN_IDX 5947 TILEPROP INT_R_X11Y85 TILE_TYPE INT_R TILEPROP INT_R_X11Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y85 TILE_X -44136 TILEPROP INT_R_X11Y85 TILE_Y 34624 TILEPROP INT_R_X11Y85 TYPE INT_R TILEPROP INT_R_X11Y86 CLASS tile TILEPROP INT_R_X11Y86 COLUMN 32 TILEPROP INT_R_X11Y86 DEVICE_ID 0 TILEPROP INT_R_X11Y86 FIRST_SITE_ID 6449 TILEPROP INT_R_X11Y86 GRID_POINT_X 32 TILEPROP INT_R_X11Y86 GRID_POINT_Y 66 TILEPROP INT_R_X11Y86 INDEX 7622 TILEPROP INT_R_X11Y86 INT_TILE_X 11 TILEPROP INT_R_X11Y86 INT_TILE_Y 63 TILEPROP INT_R_X11Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y86 IS_DCM_TILE 0 TILEPROP INT_R_X11Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y86 NAME INT_R_X11Y86 TILEPROP INT_R_X11Y86 NUM_ARCS 3737 TILEPROP INT_R_X11Y86 NUM_SITES 1 TILEPROP INT_R_X11Y86 ROW 66 TILEPROP INT_R_X11Y86 SLR_REGION_ID 0 TILEPROP INT_R_X11Y86 TILE_PATTERN_IDX 5912 TILEPROP INT_R_X11Y86 TILE_TYPE INT_R TILEPROP INT_R_X11Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y86 TILE_X -44136 TILEPROP INT_R_X11Y86 TILE_Y 37824 TILEPROP INT_R_X11Y86 TYPE INT_R TILEPROP INT_R_X11Y87 CLASS tile TILEPROP INT_R_X11Y87 COLUMN 32 TILEPROP INT_R_X11Y87 DEVICE_ID 0 TILEPROP INT_R_X11Y87 FIRST_SITE_ID 6349 TILEPROP INT_R_X11Y87 GRID_POINT_X 32 TILEPROP INT_R_X11Y87 GRID_POINT_Y 65 TILEPROP INT_R_X11Y87 INDEX 7507 TILEPROP INT_R_X11Y87 INT_TILE_X 11 TILEPROP INT_R_X11Y87 INT_TILE_Y 62 TILEPROP INT_R_X11Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y87 IS_DCM_TILE 0 TILEPROP INT_R_X11Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y87 NAME INT_R_X11Y87 TILEPROP INT_R_X11Y87 NUM_ARCS 3737 TILEPROP INT_R_X11Y87 NUM_SITES 1 TILEPROP INT_R_X11Y87 ROW 65 TILEPROP INT_R_X11Y87 SLR_REGION_ID 0 TILEPROP INT_R_X11Y87 TILE_PATTERN_IDX 5878 TILEPROP INT_R_X11Y87 TILE_TYPE INT_R TILEPROP INT_R_X11Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y87 TILE_X -44136 TILEPROP INT_R_X11Y87 TILE_Y 41024 TILEPROP INT_R_X11Y87 TYPE INT_R TILEPROP INT_R_X11Y88 CLASS tile TILEPROP INT_R_X11Y88 COLUMN 32 TILEPROP INT_R_X11Y88 DEVICE_ID 0 TILEPROP INT_R_X11Y88 FIRST_SITE_ID 6249 TILEPROP INT_R_X11Y88 GRID_POINT_X 32 TILEPROP INT_R_X11Y88 GRID_POINT_Y 64 TILEPROP INT_R_X11Y88 INDEX 7392 TILEPROP INT_R_X11Y88 INT_TILE_X 11 TILEPROP INT_R_X11Y88 INT_TILE_Y 61 TILEPROP INT_R_X11Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y88 IS_DCM_TILE 0 TILEPROP INT_R_X11Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y88 NAME INT_R_X11Y88 TILEPROP INT_R_X11Y88 NUM_ARCS 3737 TILEPROP INT_R_X11Y88 NUM_SITES 1 TILEPROP INT_R_X11Y88 ROW 64 TILEPROP INT_R_X11Y88 SLR_REGION_ID 0 TILEPROP INT_R_X11Y88 TILE_PATTERN_IDX 5845 TILEPROP INT_R_X11Y88 TILE_TYPE INT_R TILEPROP INT_R_X11Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y88 TILE_X -44136 TILEPROP INT_R_X11Y88 TILE_Y 44224 TILEPROP INT_R_X11Y88 TYPE INT_R TILEPROP INT_R_X11Y89 CLASS tile TILEPROP INT_R_X11Y89 COLUMN 32 TILEPROP INT_R_X11Y89 DEVICE_ID 0 TILEPROP INT_R_X11Y89 FIRST_SITE_ID 6149 TILEPROP INT_R_X11Y89 GRID_POINT_X 32 TILEPROP INT_R_X11Y89 GRID_POINT_Y 63 TILEPROP INT_R_X11Y89 INDEX 7277 TILEPROP INT_R_X11Y89 INT_TILE_X 11 TILEPROP INT_R_X11Y89 INT_TILE_Y 60 TILEPROP INT_R_X11Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y89 IS_DCM_TILE 0 TILEPROP INT_R_X11Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y89 NAME INT_R_X11Y89 TILEPROP INT_R_X11Y89 NUM_ARCS 3737 TILEPROP INT_R_X11Y89 NUM_SITES 1 TILEPROP INT_R_X11Y89 ROW 63 TILEPROP INT_R_X11Y89 SLR_REGION_ID 0 TILEPROP INT_R_X11Y89 TILE_PATTERN_IDX 5811 TILEPROP INT_R_X11Y89 TILE_TYPE INT_R TILEPROP INT_R_X11Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y89 TILE_X -44136 TILEPROP INT_R_X11Y89 TILE_Y 47424 TILEPROP INT_R_X11Y89 TYPE INT_R TILEPROP INT_R_X11Y90 CLASS tile TILEPROP INT_R_X11Y90 COLUMN 32 TILEPROP INT_R_X11Y90 DEVICE_ID 0 TILEPROP INT_R_X11Y90 FIRST_SITE_ID 6040 TILEPROP INT_R_X11Y90 GRID_POINT_X 32 TILEPROP INT_R_X11Y90 GRID_POINT_Y 62 TILEPROP INT_R_X11Y90 INDEX 7162 TILEPROP INT_R_X11Y90 INT_TILE_X 11 TILEPROP INT_R_X11Y90 INT_TILE_Y 59 TILEPROP INT_R_X11Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y90 IS_DCM_TILE 0 TILEPROP INT_R_X11Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y90 NAME INT_R_X11Y90 TILEPROP INT_R_X11Y90 NUM_ARCS 3737 TILEPROP INT_R_X11Y90 NUM_SITES 1 TILEPROP INT_R_X11Y90 ROW 62 TILEPROP INT_R_X11Y90 SLR_REGION_ID 0 TILEPROP INT_R_X11Y90 TILE_PATTERN_IDX 5777 TILEPROP INT_R_X11Y90 TILE_TYPE INT_R TILEPROP INT_R_X11Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y90 TILE_X -44136 TILEPROP INT_R_X11Y90 TILE_Y 50624 TILEPROP INT_R_X11Y90 TYPE INT_R TILEPROP INT_R_X11Y91 CLASS tile TILEPROP INT_R_X11Y91 COLUMN 32 TILEPROP INT_R_X11Y91 DEVICE_ID 0 TILEPROP INT_R_X11Y91 FIRST_SITE_ID 5934 TILEPROP INT_R_X11Y91 GRID_POINT_X 32 TILEPROP INT_R_X11Y91 GRID_POINT_Y 61 TILEPROP INT_R_X11Y91 INDEX 7047 TILEPROP INT_R_X11Y91 INT_TILE_X 11 TILEPROP INT_R_X11Y91 INT_TILE_Y 58 TILEPROP INT_R_X11Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y91 IS_DCM_TILE 0 TILEPROP INT_R_X11Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y91 NAME INT_R_X11Y91 TILEPROP INT_R_X11Y91 NUM_ARCS 3737 TILEPROP INT_R_X11Y91 NUM_SITES 1 TILEPROP INT_R_X11Y91 ROW 61 TILEPROP INT_R_X11Y91 SLR_REGION_ID 0 TILEPROP INT_R_X11Y91 TILE_PATTERN_IDX 5743 TILEPROP INT_R_X11Y91 TILE_TYPE INT_R TILEPROP INT_R_X11Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y91 TILE_X -44136 TILEPROP INT_R_X11Y91 TILE_Y 53824 TILEPROP INT_R_X11Y91 TYPE INT_R TILEPROP INT_R_X11Y92 CLASS tile TILEPROP INT_R_X11Y92 COLUMN 32 TILEPROP INT_R_X11Y92 DEVICE_ID 0 TILEPROP INT_R_X11Y92 FIRST_SITE_ID 5833 TILEPROP INT_R_X11Y92 GRID_POINT_X 32 TILEPROP INT_R_X11Y92 GRID_POINT_Y 60 TILEPROP INT_R_X11Y92 INDEX 6932 TILEPROP INT_R_X11Y92 INT_TILE_X 11 TILEPROP INT_R_X11Y92 INT_TILE_Y 57 TILEPROP INT_R_X11Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y92 IS_DCM_TILE 0 TILEPROP INT_R_X11Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y92 NAME INT_R_X11Y92 TILEPROP INT_R_X11Y92 NUM_ARCS 3737 TILEPROP INT_R_X11Y92 NUM_SITES 1 TILEPROP INT_R_X11Y92 ROW 60 TILEPROP INT_R_X11Y92 SLR_REGION_ID 0 TILEPROP INT_R_X11Y92 TILE_PATTERN_IDX 5710 TILEPROP INT_R_X11Y92 TILE_TYPE INT_R TILEPROP INT_R_X11Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y92 TILE_X -44136 TILEPROP INT_R_X11Y92 TILE_Y 57024 TILEPROP INT_R_X11Y92 TYPE INT_R TILEPROP INT_R_X11Y93 CLASS tile TILEPROP INT_R_X11Y93 COLUMN 32 TILEPROP INT_R_X11Y93 DEVICE_ID 0 TILEPROP INT_R_X11Y93 FIRST_SITE_ID 5730 TILEPROP INT_R_X11Y93 GRID_POINT_X 32 TILEPROP INT_R_X11Y93 GRID_POINT_Y 59 TILEPROP INT_R_X11Y93 INDEX 6817 TILEPROP INT_R_X11Y93 INT_TILE_X 11 TILEPROP INT_R_X11Y93 INT_TILE_Y 56 TILEPROP INT_R_X11Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y93 IS_DCM_TILE 0 TILEPROP INT_R_X11Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y93 NAME INT_R_X11Y93 TILEPROP INT_R_X11Y93 NUM_ARCS 3737 TILEPROP INT_R_X11Y93 NUM_SITES 1 TILEPROP INT_R_X11Y93 ROW 59 TILEPROP INT_R_X11Y93 SLR_REGION_ID 0 TILEPROP INT_R_X11Y93 TILE_PATTERN_IDX 5676 TILEPROP INT_R_X11Y93 TILE_TYPE INT_R TILEPROP INT_R_X11Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y93 TILE_X -44136 TILEPROP INT_R_X11Y93 TILE_Y 60224 TILEPROP INT_R_X11Y93 TYPE INT_R TILEPROP INT_R_X11Y94 CLASS tile TILEPROP INT_R_X11Y94 COLUMN 32 TILEPROP INT_R_X11Y94 DEVICE_ID 0 TILEPROP INT_R_X11Y94 FIRST_SITE_ID 5628 TILEPROP INT_R_X11Y94 GRID_POINT_X 32 TILEPROP INT_R_X11Y94 GRID_POINT_Y 58 TILEPROP INT_R_X11Y94 INDEX 6702 TILEPROP INT_R_X11Y94 INT_TILE_X 11 TILEPROP INT_R_X11Y94 INT_TILE_Y 55 TILEPROP INT_R_X11Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y94 IS_DCM_TILE 0 TILEPROP INT_R_X11Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y94 NAME INT_R_X11Y94 TILEPROP INT_R_X11Y94 NUM_ARCS 3737 TILEPROP INT_R_X11Y94 NUM_SITES 1 TILEPROP INT_R_X11Y94 ROW 58 TILEPROP INT_R_X11Y94 SLR_REGION_ID 0 TILEPROP INT_R_X11Y94 TILE_PATTERN_IDX 5642 TILEPROP INT_R_X11Y94 TILE_TYPE INT_R TILEPROP INT_R_X11Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y94 TILE_X -44136 TILEPROP INT_R_X11Y94 TILE_Y 63424 TILEPROP INT_R_X11Y94 TYPE INT_R TILEPROP INT_R_X11Y95 CLASS tile TILEPROP INT_R_X11Y95 COLUMN 32 TILEPROP INT_R_X11Y95 DEVICE_ID 0 TILEPROP INT_R_X11Y95 FIRST_SITE_ID 5519 TILEPROP INT_R_X11Y95 GRID_POINT_X 32 TILEPROP INT_R_X11Y95 GRID_POINT_Y 57 TILEPROP INT_R_X11Y95 INDEX 6587 TILEPROP INT_R_X11Y95 INT_TILE_X 11 TILEPROP INT_R_X11Y95 INT_TILE_Y 54 TILEPROP INT_R_X11Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y95 IS_DCM_TILE 0 TILEPROP INT_R_X11Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y95 NAME INT_R_X11Y95 TILEPROP INT_R_X11Y95 NUM_ARCS 3737 TILEPROP INT_R_X11Y95 NUM_SITES 1 TILEPROP INT_R_X11Y95 ROW 57 TILEPROP INT_R_X11Y95 SLR_REGION_ID 0 TILEPROP INT_R_X11Y95 TILE_PATTERN_IDX 5607 TILEPROP INT_R_X11Y95 TILE_TYPE INT_R TILEPROP INT_R_X11Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y95 TILE_X -44136 TILEPROP INT_R_X11Y95 TILE_Y 66624 TILEPROP INT_R_X11Y95 TYPE INT_R TILEPROP INT_R_X11Y96 CLASS tile TILEPROP INT_R_X11Y96 COLUMN 32 TILEPROP INT_R_X11Y96 DEVICE_ID 0 TILEPROP INT_R_X11Y96 FIRST_SITE_ID 5413 TILEPROP INT_R_X11Y96 GRID_POINT_X 32 TILEPROP INT_R_X11Y96 GRID_POINT_Y 56 TILEPROP INT_R_X11Y96 INDEX 6472 TILEPROP INT_R_X11Y96 INT_TILE_X 11 TILEPROP INT_R_X11Y96 INT_TILE_Y 53 TILEPROP INT_R_X11Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y96 IS_DCM_TILE 0 TILEPROP INT_R_X11Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y96 NAME INT_R_X11Y96 TILEPROP INT_R_X11Y96 NUM_ARCS 3737 TILEPROP INT_R_X11Y96 NUM_SITES 1 TILEPROP INT_R_X11Y96 ROW 56 TILEPROP INT_R_X11Y96 SLR_REGION_ID 0 TILEPROP INT_R_X11Y96 TILE_PATTERN_IDX 5573 TILEPROP INT_R_X11Y96 TILE_TYPE INT_R TILEPROP INT_R_X11Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y96 TILE_X -44136 TILEPROP INT_R_X11Y96 TILE_Y 69824 TILEPROP INT_R_X11Y96 TYPE INT_R TILEPROP INT_R_X11Y97 CLASS tile TILEPROP INT_R_X11Y97 COLUMN 32 TILEPROP INT_R_X11Y97 DEVICE_ID 0 TILEPROP INT_R_X11Y97 FIRST_SITE_ID 5313 TILEPROP INT_R_X11Y97 GRID_POINT_X 32 TILEPROP INT_R_X11Y97 GRID_POINT_Y 55 TILEPROP INT_R_X11Y97 INDEX 6357 TILEPROP INT_R_X11Y97 INT_TILE_X 11 TILEPROP INT_R_X11Y97 INT_TILE_Y 52 TILEPROP INT_R_X11Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y97 IS_DCM_TILE 0 TILEPROP INT_R_X11Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y97 NAME INT_R_X11Y97 TILEPROP INT_R_X11Y97 NUM_ARCS 3737 TILEPROP INT_R_X11Y97 NUM_SITES 1 TILEPROP INT_R_X11Y97 ROW 55 TILEPROP INT_R_X11Y97 SLR_REGION_ID 0 TILEPROP INT_R_X11Y97 TILE_PATTERN_IDX 5539 TILEPROP INT_R_X11Y97 TILE_TYPE INT_R TILEPROP INT_R_X11Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y97 TILE_X -44136 TILEPROP INT_R_X11Y97 TILE_Y 73024 TILEPROP INT_R_X11Y97 TYPE INT_R TILEPROP INT_R_X11Y98 CLASS tile TILEPROP INT_R_X11Y98 COLUMN 32 TILEPROP INT_R_X11Y98 DEVICE_ID 0 TILEPROP INT_R_X11Y98 FIRST_SITE_ID 5213 TILEPROP INT_R_X11Y98 GRID_POINT_X 32 TILEPROP INT_R_X11Y98 GRID_POINT_Y 54 TILEPROP INT_R_X11Y98 INDEX 6242 TILEPROP INT_R_X11Y98 INT_TILE_X 11 TILEPROP INT_R_X11Y98 INT_TILE_Y 51 TILEPROP INT_R_X11Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y98 IS_DCM_TILE 0 TILEPROP INT_R_X11Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y98 NAME INT_R_X11Y98 TILEPROP INT_R_X11Y98 NUM_ARCS 3737 TILEPROP INT_R_X11Y98 NUM_SITES 1 TILEPROP INT_R_X11Y98 ROW 54 TILEPROP INT_R_X11Y98 SLR_REGION_ID 0 TILEPROP INT_R_X11Y98 TILE_PATTERN_IDX 5505 TILEPROP INT_R_X11Y98 TILE_TYPE INT_R TILEPROP INT_R_X11Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y98 TILE_X -44136 TILEPROP INT_R_X11Y98 TILE_Y 76224 TILEPROP INT_R_X11Y98 TYPE INT_R TILEPROP INT_R_X11Y99 CLASS tile TILEPROP INT_R_X11Y99 COLUMN 32 TILEPROP INT_R_X11Y99 DEVICE_ID 0 TILEPROP INT_R_X11Y99 FIRST_SITE_ID 5117 TILEPROP INT_R_X11Y99 GRID_POINT_X 32 TILEPROP INT_R_X11Y99 GRID_POINT_Y 53 TILEPROP INT_R_X11Y99 INDEX 6127 TILEPROP INT_R_X11Y99 INT_TILE_X 11 TILEPROP INT_R_X11Y99 INT_TILE_Y 50 TILEPROP INT_R_X11Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y99 IS_DCM_TILE 0 TILEPROP INT_R_X11Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y99 NAME INT_R_X11Y99 TILEPROP INT_R_X11Y99 NUM_ARCS 3737 TILEPROP INT_R_X11Y99 NUM_SITES 1 TILEPROP INT_R_X11Y99 ROW 53 TILEPROP INT_R_X11Y99 SLR_REGION_ID 0 TILEPROP INT_R_X11Y99 TILE_PATTERN_IDX 5472 TILEPROP INT_R_X11Y99 TILE_TYPE INT_R TILEPROP INT_R_X11Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y99 TILE_X -44136 TILEPROP INT_R_X11Y99 TILE_Y 79424 TILEPROP INT_R_X11Y99 TYPE INT_R TILEPROP INT_R_X11Y100 CLASS tile TILEPROP INT_R_X11Y100 COLUMN 32 TILEPROP INT_R_X11Y100 DEVICE_ID 0 TILEPROP INT_R_X11Y100 FIRST_SITE_ID 5022 TILEPROP INT_R_X11Y100 GRID_POINT_X 32 TILEPROP INT_R_X11Y100 GRID_POINT_Y 51 TILEPROP INT_R_X11Y100 INDEX 5897 TILEPROP INT_R_X11Y100 INT_TILE_X 11 TILEPROP INT_R_X11Y100 INT_TILE_Y 49 TILEPROP INT_R_X11Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y100 IS_DCM_TILE 0 TILEPROP INT_R_X11Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y100 NAME INT_R_X11Y100 TILEPROP INT_R_X11Y100 NUM_ARCS 3737 TILEPROP INT_R_X11Y100 NUM_SITES 1 TILEPROP INT_R_X11Y100 ROW 51 TILEPROP INT_R_X11Y100 SLR_REGION_ID 0 TILEPROP INT_R_X11Y100 TILE_PATTERN_IDX 5435 TILEPROP INT_R_X11Y100 TILE_TYPE INT_R TILEPROP INT_R_X11Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y100 TILE_X -44136 TILEPROP INT_R_X11Y100 TILE_Y 82872 TILEPROP INT_R_X11Y100 TYPE INT_R TILEPROP INT_R_X11Y101 CLASS tile TILEPROP INT_R_X11Y101 COLUMN 32 TILEPROP INT_R_X11Y101 DEVICE_ID 0 TILEPROP INT_R_X11Y101 FIRST_SITE_ID 4924 TILEPROP INT_R_X11Y101 GRID_POINT_X 32 TILEPROP INT_R_X11Y101 GRID_POINT_Y 50 TILEPROP INT_R_X11Y101 INDEX 5782 TILEPROP INT_R_X11Y101 INT_TILE_X 11 TILEPROP INT_R_X11Y101 INT_TILE_Y 48 TILEPROP INT_R_X11Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y101 IS_DCM_TILE 0 TILEPROP INT_R_X11Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y101 NAME INT_R_X11Y101 TILEPROP INT_R_X11Y101 NUM_ARCS 3737 TILEPROP INT_R_X11Y101 NUM_SITES 1 TILEPROP INT_R_X11Y101 ROW 50 TILEPROP INT_R_X11Y101 SLR_REGION_ID 0 TILEPROP INT_R_X11Y101 TILE_PATTERN_IDX 5399 TILEPROP INT_R_X11Y101 TILE_TYPE INT_R TILEPROP INT_R_X11Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y101 TILE_X -44136 TILEPROP INT_R_X11Y101 TILE_Y 86072 TILEPROP INT_R_X11Y101 TYPE INT_R TILEPROP INT_R_X11Y102 CLASS tile TILEPROP INT_R_X11Y102 COLUMN 32 TILEPROP INT_R_X11Y102 DEVICE_ID 0 TILEPROP INT_R_X11Y102 FIRST_SITE_ID 4828 TILEPROP INT_R_X11Y102 GRID_POINT_X 32 TILEPROP INT_R_X11Y102 GRID_POINT_Y 49 TILEPROP INT_R_X11Y102 INDEX 5667 TILEPROP INT_R_X11Y102 INT_TILE_X 11 TILEPROP INT_R_X11Y102 INT_TILE_Y 47 TILEPROP INT_R_X11Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y102 IS_DCM_TILE 0 TILEPROP INT_R_X11Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y102 NAME INT_R_X11Y102 TILEPROP INT_R_X11Y102 NUM_ARCS 3737 TILEPROP INT_R_X11Y102 NUM_SITES 1 TILEPROP INT_R_X11Y102 ROW 49 TILEPROP INT_R_X11Y102 SLR_REGION_ID 0 TILEPROP INT_R_X11Y102 TILE_PATTERN_IDX 5362 TILEPROP INT_R_X11Y102 TILE_TYPE INT_R TILEPROP INT_R_X11Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y102 TILE_X -44136 TILEPROP INT_R_X11Y102 TILE_Y 89272 TILEPROP INT_R_X11Y102 TYPE INT_R TILEPROP INT_R_X11Y103 CLASS tile TILEPROP INT_R_X11Y103 COLUMN 32 TILEPROP INT_R_X11Y103 DEVICE_ID 0 TILEPROP INT_R_X11Y103 FIRST_SITE_ID 4740 TILEPROP INT_R_X11Y103 GRID_POINT_X 32 TILEPROP INT_R_X11Y103 GRID_POINT_Y 48 TILEPROP INT_R_X11Y103 INDEX 5552 TILEPROP INT_R_X11Y103 INT_TILE_X 11 TILEPROP INT_R_X11Y103 INT_TILE_Y 46 TILEPROP INT_R_X11Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y103 IS_DCM_TILE 0 TILEPROP INT_R_X11Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y103 NAME INT_R_X11Y103 TILEPROP INT_R_X11Y103 NUM_ARCS 3737 TILEPROP INT_R_X11Y103 NUM_SITES 1 TILEPROP INT_R_X11Y103 ROW 48 TILEPROP INT_R_X11Y103 SLR_REGION_ID 0 TILEPROP INT_R_X11Y103 TILE_PATTERN_IDX 5326 TILEPROP INT_R_X11Y103 TILE_TYPE INT_R TILEPROP INT_R_X11Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y103 TILE_X -44136 TILEPROP INT_R_X11Y103 TILE_Y 92472 TILEPROP INT_R_X11Y103 TYPE INT_R TILEPROP INT_R_X11Y104 CLASS tile TILEPROP INT_R_X11Y104 COLUMN 32 TILEPROP INT_R_X11Y104 DEVICE_ID 0 TILEPROP INT_R_X11Y104 FIRST_SITE_ID 4644 TILEPROP INT_R_X11Y104 GRID_POINT_X 32 TILEPROP INT_R_X11Y104 GRID_POINT_Y 47 TILEPROP INT_R_X11Y104 INDEX 5437 TILEPROP INT_R_X11Y104 INT_TILE_X 11 TILEPROP INT_R_X11Y104 INT_TILE_Y 45 TILEPROP INT_R_X11Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y104 IS_DCM_TILE 0 TILEPROP INT_R_X11Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y104 NAME INT_R_X11Y104 TILEPROP INT_R_X11Y104 NUM_ARCS 3737 TILEPROP INT_R_X11Y104 NUM_SITES 1 TILEPROP INT_R_X11Y104 ROW 47 TILEPROP INT_R_X11Y104 SLR_REGION_ID 0 TILEPROP INT_R_X11Y104 TILE_PATTERN_IDX 5289 TILEPROP INT_R_X11Y104 TILE_TYPE INT_R TILEPROP INT_R_X11Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y104 TILE_X -44136 TILEPROP INT_R_X11Y104 TILE_Y 95672 TILEPROP INT_R_X11Y104 TYPE INT_R TILEPROP INT_R_X11Y105 CLASS tile TILEPROP INT_R_X11Y105 COLUMN 32 TILEPROP INT_R_X11Y105 DEVICE_ID 0 TILEPROP INT_R_X11Y105 FIRST_SITE_ID 4548 TILEPROP INT_R_X11Y105 GRID_POINT_X 32 TILEPROP INT_R_X11Y105 GRID_POINT_Y 46 TILEPROP INT_R_X11Y105 INDEX 5322 TILEPROP INT_R_X11Y105 INT_TILE_X 11 TILEPROP INT_R_X11Y105 INT_TILE_Y 44 TILEPROP INT_R_X11Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y105 IS_DCM_TILE 0 TILEPROP INT_R_X11Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y105 NAME INT_R_X11Y105 TILEPROP INT_R_X11Y105 NUM_ARCS 3737 TILEPROP INT_R_X11Y105 NUM_SITES 1 TILEPROP INT_R_X11Y105 ROW 46 TILEPROP INT_R_X11Y105 SLR_REGION_ID 0 TILEPROP INT_R_X11Y105 TILE_PATTERN_IDX 5253 TILEPROP INT_R_X11Y105 TILE_TYPE INT_R TILEPROP INT_R_X11Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y105 TILE_X -44136 TILEPROP INT_R_X11Y105 TILE_Y 98872 TILEPROP INT_R_X11Y105 TYPE INT_R TILEPROP INT_R_X11Y106 CLASS tile TILEPROP INT_R_X11Y106 COLUMN 32 TILEPROP INT_R_X11Y106 DEVICE_ID 0 TILEPROP INT_R_X11Y106 FIRST_SITE_ID 4446 TILEPROP INT_R_X11Y106 GRID_POINT_X 32 TILEPROP INT_R_X11Y106 GRID_POINT_Y 45 TILEPROP INT_R_X11Y106 INDEX 5207 TILEPROP INT_R_X11Y106 INT_TILE_X 11 TILEPROP INT_R_X11Y106 INT_TILE_Y 43 TILEPROP INT_R_X11Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y106 IS_DCM_TILE 0 TILEPROP INT_R_X11Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y106 NAME INT_R_X11Y106 TILEPROP INT_R_X11Y106 NUM_ARCS 3737 TILEPROP INT_R_X11Y106 NUM_SITES 1 TILEPROP INT_R_X11Y106 ROW 45 TILEPROP INT_R_X11Y106 SLR_REGION_ID 0 TILEPROP INT_R_X11Y106 TILE_PATTERN_IDX 5216 TILEPROP INT_R_X11Y106 TILE_TYPE INT_R TILEPROP INT_R_X11Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y106 TILE_X -44136 TILEPROP INT_R_X11Y106 TILE_Y 102072 TILEPROP INT_R_X11Y106 TYPE INT_R TILEPROP INT_R_X11Y107 CLASS tile TILEPROP INT_R_X11Y107 COLUMN 32 TILEPROP INT_R_X11Y107 DEVICE_ID 0 TILEPROP INT_R_X11Y107 FIRST_SITE_ID 4358 TILEPROP INT_R_X11Y107 GRID_POINT_X 32 TILEPROP INT_R_X11Y107 GRID_POINT_Y 44 TILEPROP INT_R_X11Y107 INDEX 5092 TILEPROP INT_R_X11Y107 INT_TILE_X 11 TILEPROP INT_R_X11Y107 INT_TILE_Y 42 TILEPROP INT_R_X11Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y107 IS_DCM_TILE 0 TILEPROP INT_R_X11Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y107 NAME INT_R_X11Y107 TILEPROP INT_R_X11Y107 NUM_ARCS 3737 TILEPROP INT_R_X11Y107 NUM_SITES 1 TILEPROP INT_R_X11Y107 ROW 44 TILEPROP INT_R_X11Y107 SLR_REGION_ID 0 TILEPROP INT_R_X11Y107 TILE_PATTERN_IDX 5180 TILEPROP INT_R_X11Y107 TILE_TYPE INT_R TILEPROP INT_R_X11Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y107 TILE_X -44136 TILEPROP INT_R_X11Y107 TILE_Y 105272 TILEPROP INT_R_X11Y107 TYPE INT_R TILEPROP INT_R_X11Y108 CLASS tile TILEPROP INT_R_X11Y108 COLUMN 32 TILEPROP INT_R_X11Y108 DEVICE_ID 0 TILEPROP INT_R_X11Y108 FIRST_SITE_ID 4260 TILEPROP INT_R_X11Y108 GRID_POINT_X 32 TILEPROP INT_R_X11Y108 GRID_POINT_Y 43 TILEPROP INT_R_X11Y108 INDEX 4977 TILEPROP INT_R_X11Y108 INT_TILE_X 11 TILEPROP INT_R_X11Y108 INT_TILE_Y 41 TILEPROP INT_R_X11Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y108 IS_DCM_TILE 0 TILEPROP INT_R_X11Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y108 NAME INT_R_X11Y108 TILEPROP INT_R_X11Y108 NUM_ARCS 3737 TILEPROP INT_R_X11Y108 NUM_SITES 1 TILEPROP INT_R_X11Y108 ROW 43 TILEPROP INT_R_X11Y108 SLR_REGION_ID 0 TILEPROP INT_R_X11Y108 TILE_PATTERN_IDX 5143 TILEPROP INT_R_X11Y108 TILE_TYPE INT_R TILEPROP INT_R_X11Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y108 TILE_X -44136 TILEPROP INT_R_X11Y108 TILE_Y 108472 TILEPROP INT_R_X11Y108 TYPE INT_R TILEPROP INT_R_X11Y109 CLASS tile TILEPROP INT_R_X11Y109 COLUMN 32 TILEPROP INT_R_X11Y109 DEVICE_ID 0 TILEPROP INT_R_X11Y109 FIRST_SITE_ID 4171 TILEPROP INT_R_X11Y109 GRID_POINT_X 32 TILEPROP INT_R_X11Y109 GRID_POINT_Y 42 TILEPROP INT_R_X11Y109 INDEX 4862 TILEPROP INT_R_X11Y109 INT_TILE_X 11 TILEPROP INT_R_X11Y109 INT_TILE_Y 40 TILEPROP INT_R_X11Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y109 IS_DCM_TILE 0 TILEPROP INT_R_X11Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y109 NAME INT_R_X11Y109 TILEPROP INT_R_X11Y109 NUM_ARCS 3737 TILEPROP INT_R_X11Y109 NUM_SITES 1 TILEPROP INT_R_X11Y109 ROW 42 TILEPROP INT_R_X11Y109 SLR_REGION_ID 0 TILEPROP INT_R_X11Y109 TILE_PATTERN_IDX 5107 TILEPROP INT_R_X11Y109 TILE_TYPE INT_R TILEPROP INT_R_X11Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y109 TILE_X -44136 TILEPROP INT_R_X11Y109 TILE_Y 111672 TILEPROP INT_R_X11Y109 TYPE INT_R TILEPROP INT_R_X11Y110 CLASS tile TILEPROP INT_R_X11Y110 COLUMN 32 TILEPROP INT_R_X11Y110 DEVICE_ID 0 TILEPROP INT_R_X11Y110 FIRST_SITE_ID 4071 TILEPROP INT_R_X11Y110 GRID_POINT_X 32 TILEPROP INT_R_X11Y110 GRID_POINT_Y 41 TILEPROP INT_R_X11Y110 INDEX 4747 TILEPROP INT_R_X11Y110 INT_TILE_X 11 TILEPROP INT_R_X11Y110 INT_TILE_Y 39 TILEPROP INT_R_X11Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y110 IS_DCM_TILE 0 TILEPROP INT_R_X11Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y110 NAME INT_R_X11Y110 TILEPROP INT_R_X11Y110 NUM_ARCS 3737 TILEPROP INT_R_X11Y110 NUM_SITES 1 TILEPROP INT_R_X11Y110 ROW 41 TILEPROP INT_R_X11Y110 SLR_REGION_ID 0 TILEPROP INT_R_X11Y110 TILE_PATTERN_IDX 5070 TILEPROP INT_R_X11Y110 TILE_TYPE INT_R TILEPROP INT_R_X11Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y110 TILE_X -44136 TILEPROP INT_R_X11Y110 TILE_Y 114872 TILEPROP INT_R_X11Y110 TYPE INT_R TILEPROP INT_R_X11Y111 CLASS tile TILEPROP INT_R_X11Y111 COLUMN 32 TILEPROP INT_R_X11Y111 DEVICE_ID 0 TILEPROP INT_R_X11Y111 FIRST_SITE_ID 3977 TILEPROP INT_R_X11Y111 GRID_POINT_X 32 TILEPROP INT_R_X11Y111 GRID_POINT_Y 40 TILEPROP INT_R_X11Y111 INDEX 4632 TILEPROP INT_R_X11Y111 INT_TILE_X 11 TILEPROP INT_R_X11Y111 INT_TILE_Y 38 TILEPROP INT_R_X11Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y111 IS_DCM_TILE 0 TILEPROP INT_R_X11Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y111 NAME INT_R_X11Y111 TILEPROP INT_R_X11Y111 NUM_ARCS 3737 TILEPROP INT_R_X11Y111 NUM_SITES 1 TILEPROP INT_R_X11Y111 ROW 40 TILEPROP INT_R_X11Y111 SLR_REGION_ID 0 TILEPROP INT_R_X11Y111 TILE_PATTERN_IDX 5034 TILEPROP INT_R_X11Y111 TILE_TYPE INT_R TILEPROP INT_R_X11Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y111 TILE_X -44136 TILEPROP INT_R_X11Y111 TILE_Y 118072 TILEPROP INT_R_X11Y111 TYPE INT_R TILEPROP INT_R_X11Y112 CLASS tile TILEPROP INT_R_X11Y112 COLUMN 32 TILEPROP INT_R_X11Y112 DEVICE_ID 0 TILEPROP INT_R_X11Y112 FIRST_SITE_ID 3849 TILEPROP INT_R_X11Y112 GRID_POINT_X 32 TILEPROP INT_R_X11Y112 GRID_POINT_Y 39 TILEPROP INT_R_X11Y112 INDEX 4517 TILEPROP INT_R_X11Y112 INT_TILE_X 11 TILEPROP INT_R_X11Y112 INT_TILE_Y 37 TILEPROP INT_R_X11Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y112 IS_DCM_TILE 0 TILEPROP INT_R_X11Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y112 NAME INT_R_X11Y112 TILEPROP INT_R_X11Y112 NUM_ARCS 3737 TILEPROP INT_R_X11Y112 NUM_SITES 1 TILEPROP INT_R_X11Y112 ROW 39 TILEPROP INT_R_X11Y112 SLR_REGION_ID 0 TILEPROP INT_R_X11Y112 TILE_PATTERN_IDX 4996 TILEPROP INT_R_X11Y112 TILE_TYPE INT_R TILEPROP INT_R_X11Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y112 TILE_X -44136 TILEPROP INT_R_X11Y112 TILE_Y 121272 TILEPROP INT_R_X11Y112 TYPE INT_R TILEPROP INT_R_X11Y113 CLASS tile TILEPROP INT_R_X11Y113 COLUMN 32 TILEPROP INT_R_X11Y113 DEVICE_ID 0 TILEPROP INT_R_X11Y113 FIRST_SITE_ID 3761 TILEPROP INT_R_X11Y113 GRID_POINT_X 32 TILEPROP INT_R_X11Y113 GRID_POINT_Y 38 TILEPROP INT_R_X11Y113 INDEX 4402 TILEPROP INT_R_X11Y113 INT_TILE_X 11 TILEPROP INT_R_X11Y113 INT_TILE_Y 36 TILEPROP INT_R_X11Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y113 IS_DCM_TILE 0 TILEPROP INT_R_X11Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y113 NAME INT_R_X11Y113 TILEPROP INT_R_X11Y113 NUM_ARCS 3737 TILEPROP INT_R_X11Y113 NUM_SITES 1 TILEPROP INT_R_X11Y113 ROW 38 TILEPROP INT_R_X11Y113 SLR_REGION_ID 0 TILEPROP INT_R_X11Y113 TILE_PATTERN_IDX 4960 TILEPROP INT_R_X11Y113 TILE_TYPE INT_R TILEPROP INT_R_X11Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y113 TILE_X -44136 TILEPROP INT_R_X11Y113 TILE_Y 124472 TILEPROP INT_R_X11Y113 TYPE INT_R TILEPROP INT_R_X11Y114 CLASS tile TILEPROP INT_R_X11Y114 COLUMN 32 TILEPROP INT_R_X11Y114 DEVICE_ID 0 TILEPROP INT_R_X11Y114 FIRST_SITE_ID 3665 TILEPROP INT_R_X11Y114 GRID_POINT_X 32 TILEPROP INT_R_X11Y114 GRID_POINT_Y 37 TILEPROP INT_R_X11Y114 INDEX 4287 TILEPROP INT_R_X11Y114 INT_TILE_X 11 TILEPROP INT_R_X11Y114 INT_TILE_Y 35 TILEPROP INT_R_X11Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y114 IS_DCM_TILE 0 TILEPROP INT_R_X11Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y114 NAME INT_R_X11Y114 TILEPROP INT_R_X11Y114 NUM_ARCS 3737 TILEPROP INT_R_X11Y114 NUM_SITES 1 TILEPROP INT_R_X11Y114 ROW 37 TILEPROP INT_R_X11Y114 SLR_REGION_ID 0 TILEPROP INT_R_X11Y114 TILE_PATTERN_IDX 4923 TILEPROP INT_R_X11Y114 TILE_TYPE INT_R TILEPROP INT_R_X11Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y114 TILE_X -44136 TILEPROP INT_R_X11Y114 TILE_Y 127672 TILEPROP INT_R_X11Y114 TYPE INT_R TILEPROP INT_R_X11Y115 CLASS tile TILEPROP INT_R_X11Y115 COLUMN 32 TILEPROP INT_R_X11Y115 DEVICE_ID 0 TILEPROP INT_R_X11Y115 FIRST_SITE_ID 3574 TILEPROP INT_R_X11Y115 GRID_POINT_X 32 TILEPROP INT_R_X11Y115 GRID_POINT_Y 36 TILEPROP INT_R_X11Y115 INDEX 4172 TILEPROP INT_R_X11Y115 INT_TILE_X 11 TILEPROP INT_R_X11Y115 INT_TILE_Y 34 TILEPROP INT_R_X11Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y115 IS_DCM_TILE 0 TILEPROP INT_R_X11Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y115 NAME INT_R_X11Y115 TILEPROP INT_R_X11Y115 NUM_ARCS 3737 TILEPROP INT_R_X11Y115 NUM_SITES 1 TILEPROP INT_R_X11Y115 ROW 36 TILEPROP INT_R_X11Y115 SLR_REGION_ID 0 TILEPROP INT_R_X11Y115 TILE_PATTERN_IDX 4887 TILEPROP INT_R_X11Y115 TILE_TYPE INT_R TILEPROP INT_R_X11Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y115 TILE_X -44136 TILEPROP INT_R_X11Y115 TILE_Y 130872 TILEPROP INT_R_X11Y115 TYPE INT_R TILEPROP INT_R_X11Y116 CLASS tile TILEPROP INT_R_X11Y116 COLUMN 32 TILEPROP INT_R_X11Y116 DEVICE_ID 0 TILEPROP INT_R_X11Y116 FIRST_SITE_ID 3467 TILEPROP INT_R_X11Y116 GRID_POINT_X 32 TILEPROP INT_R_X11Y116 GRID_POINT_Y 35 TILEPROP INT_R_X11Y116 INDEX 4057 TILEPROP INT_R_X11Y116 INT_TILE_X 11 TILEPROP INT_R_X11Y116 INT_TILE_Y 33 TILEPROP INT_R_X11Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y116 IS_DCM_TILE 0 TILEPROP INT_R_X11Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y116 NAME INT_R_X11Y116 TILEPROP INT_R_X11Y116 NUM_ARCS 3737 TILEPROP INT_R_X11Y116 NUM_SITES 1 TILEPROP INT_R_X11Y116 ROW 35 TILEPROP INT_R_X11Y116 SLR_REGION_ID 0 TILEPROP INT_R_X11Y116 TILE_PATTERN_IDX 4850 TILEPROP INT_R_X11Y116 TILE_TYPE INT_R TILEPROP INT_R_X11Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y116 TILE_X -44136 TILEPROP INT_R_X11Y116 TILE_Y 134072 TILEPROP INT_R_X11Y116 TYPE INT_R TILEPROP INT_R_X11Y117 CLASS tile TILEPROP INT_R_X11Y117 COLUMN 32 TILEPROP INT_R_X11Y117 DEVICE_ID 0 TILEPROP INT_R_X11Y117 FIRST_SITE_ID 3379 TILEPROP INT_R_X11Y117 GRID_POINT_X 32 TILEPROP INT_R_X11Y117 GRID_POINT_Y 34 TILEPROP INT_R_X11Y117 INDEX 3942 TILEPROP INT_R_X11Y117 INT_TILE_X 11 TILEPROP INT_R_X11Y117 INT_TILE_Y 32 TILEPROP INT_R_X11Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y117 IS_DCM_TILE 0 TILEPROP INT_R_X11Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y117 NAME INT_R_X11Y117 TILEPROP INT_R_X11Y117 NUM_ARCS 3737 TILEPROP INT_R_X11Y117 NUM_SITES 1 TILEPROP INT_R_X11Y117 ROW 34 TILEPROP INT_R_X11Y117 SLR_REGION_ID 0 TILEPROP INT_R_X11Y117 TILE_PATTERN_IDX 4814 TILEPROP INT_R_X11Y117 TILE_TYPE INT_R TILEPROP INT_R_X11Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y117 TILE_X -44136 TILEPROP INT_R_X11Y117 TILE_Y 137272 TILEPROP INT_R_X11Y117 TYPE INT_R TILEPROP INT_R_X11Y118 CLASS tile TILEPROP INT_R_X11Y118 COLUMN 32 TILEPROP INT_R_X11Y118 DEVICE_ID 0 TILEPROP INT_R_X11Y118 FIRST_SITE_ID 3279 TILEPROP INT_R_X11Y118 GRID_POINT_X 32 TILEPROP INT_R_X11Y118 GRID_POINT_Y 33 TILEPROP INT_R_X11Y118 INDEX 3827 TILEPROP INT_R_X11Y118 INT_TILE_X 11 TILEPROP INT_R_X11Y118 INT_TILE_Y 31 TILEPROP INT_R_X11Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y118 IS_DCM_TILE 0 TILEPROP INT_R_X11Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y118 NAME INT_R_X11Y118 TILEPROP INT_R_X11Y118 NUM_ARCS 3737 TILEPROP INT_R_X11Y118 NUM_SITES 1 TILEPROP INT_R_X11Y118 ROW 33 TILEPROP INT_R_X11Y118 SLR_REGION_ID 0 TILEPROP INT_R_X11Y118 TILE_PATTERN_IDX 4776 TILEPROP INT_R_X11Y118 TILE_TYPE INT_R TILEPROP INT_R_X11Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y118 TILE_X -44136 TILEPROP INT_R_X11Y118 TILE_Y 140472 TILEPROP INT_R_X11Y118 TYPE INT_R TILEPROP INT_R_X11Y119 CLASS tile TILEPROP INT_R_X11Y119 COLUMN 32 TILEPROP INT_R_X11Y119 DEVICE_ID 0 TILEPROP INT_R_X11Y119 FIRST_SITE_ID 3191 TILEPROP INT_R_X11Y119 GRID_POINT_X 32 TILEPROP INT_R_X11Y119 GRID_POINT_Y 32 TILEPROP INT_R_X11Y119 INDEX 3712 TILEPROP INT_R_X11Y119 INT_TILE_X 11 TILEPROP INT_R_X11Y119 INT_TILE_Y 30 TILEPROP INT_R_X11Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y119 IS_DCM_TILE 0 TILEPROP INT_R_X11Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y119 NAME INT_R_X11Y119 TILEPROP INT_R_X11Y119 NUM_ARCS 3737 TILEPROP INT_R_X11Y119 NUM_SITES 1 TILEPROP INT_R_X11Y119 ROW 32 TILEPROP INT_R_X11Y119 SLR_REGION_ID 0 TILEPROP INT_R_X11Y119 TILE_PATTERN_IDX 4740 TILEPROP INT_R_X11Y119 TILE_TYPE INT_R TILEPROP INT_R_X11Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y119 TILE_X -44136 TILEPROP INT_R_X11Y119 TILE_Y 143672 TILEPROP INT_R_X11Y119 TYPE INT_R TILEPROP INT_R_X11Y120 CLASS tile TILEPROP INT_R_X11Y120 COLUMN 32 TILEPROP INT_R_X11Y120 DEVICE_ID 0 TILEPROP INT_R_X11Y120 FIRST_SITE_ID 3090 TILEPROP INT_R_X11Y120 GRID_POINT_X 32 TILEPROP INT_R_X11Y120 GRID_POINT_Y 31 TILEPROP INT_R_X11Y120 INDEX 3597 TILEPROP INT_R_X11Y120 INT_TILE_X 11 TILEPROP INT_R_X11Y120 INT_TILE_Y 29 TILEPROP INT_R_X11Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y120 IS_DCM_TILE 0 TILEPROP INT_R_X11Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y120 NAME INT_R_X11Y120 TILEPROP INT_R_X11Y120 NUM_ARCS 3737 TILEPROP INT_R_X11Y120 NUM_SITES 1 TILEPROP INT_R_X11Y120 ROW 31 TILEPROP INT_R_X11Y120 SLR_REGION_ID 0 TILEPROP INT_R_X11Y120 TILE_PATTERN_IDX 4703 TILEPROP INT_R_X11Y120 TILE_TYPE INT_R TILEPROP INT_R_X11Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y120 TILE_X -44136 TILEPROP INT_R_X11Y120 TILE_Y 146872 TILEPROP INT_R_X11Y120 TYPE INT_R TILEPROP INT_R_X11Y121 CLASS tile TILEPROP INT_R_X11Y121 COLUMN 32 TILEPROP INT_R_X11Y121 DEVICE_ID 0 TILEPROP INT_R_X11Y121 FIRST_SITE_ID 2996 TILEPROP INT_R_X11Y121 GRID_POINT_X 32 TILEPROP INT_R_X11Y121 GRID_POINT_Y 30 TILEPROP INT_R_X11Y121 INDEX 3482 TILEPROP INT_R_X11Y121 INT_TILE_X 11 TILEPROP INT_R_X11Y121 INT_TILE_Y 28 TILEPROP INT_R_X11Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y121 IS_DCM_TILE 0 TILEPROP INT_R_X11Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y121 NAME INT_R_X11Y121 TILEPROP INT_R_X11Y121 NUM_ARCS 3737 TILEPROP INT_R_X11Y121 NUM_SITES 1 TILEPROP INT_R_X11Y121 ROW 30 TILEPROP INT_R_X11Y121 SLR_REGION_ID 0 TILEPROP INT_R_X11Y121 TILE_PATTERN_IDX 4667 TILEPROP INT_R_X11Y121 TILE_TYPE INT_R TILEPROP INT_R_X11Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y121 TILE_X -44136 TILEPROP INT_R_X11Y121 TILE_Y 150072 TILEPROP INT_R_X11Y121 TYPE INT_R TILEPROP INT_R_X11Y122 CLASS tile TILEPROP INT_R_X11Y122 COLUMN 32 TILEPROP INT_R_X11Y122 DEVICE_ID 0 TILEPROP INT_R_X11Y122 FIRST_SITE_ID 2893 TILEPROP INT_R_X11Y122 GRID_POINT_X 32 TILEPROP INT_R_X11Y122 GRID_POINT_Y 29 TILEPROP INT_R_X11Y122 INDEX 3367 TILEPROP INT_R_X11Y122 INT_TILE_X 11 TILEPROP INT_R_X11Y122 INT_TILE_Y 27 TILEPROP INT_R_X11Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y122 IS_DCM_TILE 0 TILEPROP INT_R_X11Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y122 NAME INT_R_X11Y122 TILEPROP INT_R_X11Y122 NUM_ARCS 3737 TILEPROP INT_R_X11Y122 NUM_SITES 1 TILEPROP INT_R_X11Y122 ROW 29 TILEPROP INT_R_X11Y122 SLR_REGION_ID 0 TILEPROP INT_R_X11Y122 TILE_PATTERN_IDX 4629 TILEPROP INT_R_X11Y122 TILE_TYPE INT_R TILEPROP INT_R_X11Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y122 TILE_X -44136 TILEPROP INT_R_X11Y122 TILE_Y 153272 TILEPROP INT_R_X11Y122 TYPE INT_R TILEPROP INT_R_X11Y123 CLASS tile TILEPROP INT_R_X11Y123 COLUMN 32 TILEPROP INT_R_X11Y123 DEVICE_ID 0 TILEPROP INT_R_X11Y123 FIRST_SITE_ID 2805 TILEPROP INT_R_X11Y123 GRID_POINT_X 32 TILEPROP INT_R_X11Y123 GRID_POINT_Y 28 TILEPROP INT_R_X11Y123 INDEX 3252 TILEPROP INT_R_X11Y123 INT_TILE_X 11 TILEPROP INT_R_X11Y123 INT_TILE_Y 26 TILEPROP INT_R_X11Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y123 IS_DCM_TILE 0 TILEPROP INT_R_X11Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y123 NAME INT_R_X11Y123 TILEPROP INT_R_X11Y123 NUM_ARCS 3737 TILEPROP INT_R_X11Y123 NUM_SITES 1 TILEPROP INT_R_X11Y123 ROW 28 TILEPROP INT_R_X11Y123 SLR_REGION_ID 0 TILEPROP INT_R_X11Y123 TILE_PATTERN_IDX 4593 TILEPROP INT_R_X11Y123 TILE_TYPE INT_R TILEPROP INT_R_X11Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y123 TILE_X -44136 TILEPROP INT_R_X11Y123 TILE_Y 156472 TILEPROP INT_R_X11Y123 TYPE INT_R TILEPROP INT_R_X11Y124 CLASS tile TILEPROP INT_R_X11Y124 COLUMN 32 TILEPROP INT_R_X11Y124 DEVICE_ID 0 TILEPROP INT_R_X11Y124 FIRST_SITE_ID 2709 TILEPROP INT_R_X11Y124 GRID_POINT_X 32 TILEPROP INT_R_X11Y124 GRID_POINT_Y 27 TILEPROP INT_R_X11Y124 INDEX 3137 TILEPROP INT_R_X11Y124 INT_TILE_X 11 TILEPROP INT_R_X11Y124 INT_TILE_Y 25 TILEPROP INT_R_X11Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y124 IS_DCM_TILE 0 TILEPROP INT_R_X11Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y124 NAME INT_R_X11Y124 TILEPROP INT_R_X11Y124 NUM_ARCS 3737 TILEPROP INT_R_X11Y124 NUM_SITES 1 TILEPROP INT_R_X11Y124 ROW 27 TILEPROP INT_R_X11Y124 SLR_REGION_ID 0 TILEPROP INT_R_X11Y124 TILE_PATTERN_IDX 4556 TILEPROP INT_R_X11Y124 TILE_TYPE INT_R TILEPROP INT_R_X11Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y124 TILE_X -44136 TILEPROP INT_R_X11Y124 TILE_Y 159672 TILEPROP INT_R_X11Y124 TYPE INT_R TILEPROP INT_R_X11Y125 CLASS tile TILEPROP INT_R_X11Y125 COLUMN 32 TILEPROP INT_R_X11Y125 DEVICE_ID 0 TILEPROP INT_R_X11Y125 FIRST_SITE_ID 2536 TILEPROP INT_R_X11Y125 GRID_POINT_X 32 TILEPROP INT_R_X11Y125 GRID_POINT_Y 25 TILEPROP INT_R_X11Y125 INDEX 2907 TILEPROP INT_R_X11Y125 INT_TILE_X 11 TILEPROP INT_R_X11Y125 INT_TILE_Y 24 TILEPROP INT_R_X11Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y125 IS_DCM_TILE 0 TILEPROP INT_R_X11Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y125 NAME INT_R_X11Y125 TILEPROP INT_R_X11Y125 NUM_ARCS 3737 TILEPROP INT_R_X11Y125 NUM_SITES 1 TILEPROP INT_R_X11Y125 ROW 25 TILEPROP INT_R_X11Y125 SLR_REGION_ID 0 TILEPROP INT_R_X11Y125 TILE_PATTERN_IDX 4477 TILEPROP INT_R_X11Y125 TILE_TYPE INT_R TILEPROP INT_R_X11Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y125 TILE_X -44136 TILEPROP INT_R_X11Y125 TILE_Y 163896 TILEPROP INT_R_X11Y125 TYPE INT_R TILEPROP INT_R_X11Y126 CLASS tile TILEPROP INT_R_X11Y126 COLUMN 32 TILEPROP INT_R_X11Y126 DEVICE_ID 0 TILEPROP INT_R_X11Y126 FIRST_SITE_ID 2426 TILEPROP INT_R_X11Y126 GRID_POINT_X 32 TILEPROP INT_R_X11Y126 GRID_POINT_Y 24 TILEPROP INT_R_X11Y126 INDEX 2792 TILEPROP INT_R_X11Y126 INT_TILE_X 11 TILEPROP INT_R_X11Y126 INT_TILE_Y 23 TILEPROP INT_R_X11Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y126 IS_DCM_TILE 0 TILEPROP INT_R_X11Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y126 NAME INT_R_X11Y126 TILEPROP INT_R_X11Y126 NUM_ARCS 3737 TILEPROP INT_R_X11Y126 NUM_SITES 1 TILEPROP INT_R_X11Y126 ROW 24 TILEPROP INT_R_X11Y126 SLR_REGION_ID 0 TILEPROP INT_R_X11Y126 TILE_PATTERN_IDX 4438 TILEPROP INT_R_X11Y126 TILE_TYPE INT_R TILEPROP INT_R_X11Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y126 TILE_X -44136 TILEPROP INT_R_X11Y126 TILE_Y 167096 TILEPROP INT_R_X11Y126 TYPE INT_R TILEPROP INT_R_X11Y127 CLASS tile TILEPROP INT_R_X11Y127 COLUMN 32 TILEPROP INT_R_X11Y127 DEVICE_ID 0 TILEPROP INT_R_X11Y127 FIRST_SITE_ID 2330 TILEPROP INT_R_X11Y127 GRID_POINT_X 32 TILEPROP INT_R_X11Y127 GRID_POINT_Y 23 TILEPROP INT_R_X11Y127 INDEX 2677 TILEPROP INT_R_X11Y127 INT_TILE_X 11 TILEPROP INT_R_X11Y127 INT_TILE_Y 22 TILEPROP INT_R_X11Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y127 IS_DCM_TILE 0 TILEPROP INT_R_X11Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y127 NAME INT_R_X11Y127 TILEPROP INT_R_X11Y127 NUM_ARCS 3737 TILEPROP INT_R_X11Y127 NUM_SITES 1 TILEPROP INT_R_X11Y127 ROW 23 TILEPROP INT_R_X11Y127 SLR_REGION_ID 0 TILEPROP INT_R_X11Y127 TILE_PATTERN_IDX 4400 TILEPROP INT_R_X11Y127 TILE_TYPE INT_R TILEPROP INT_R_X11Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y127 TILE_X -44136 TILEPROP INT_R_X11Y127 TILE_Y 170296 TILEPROP INT_R_X11Y127 TYPE INT_R TILEPROP INT_R_X11Y128 CLASS tile TILEPROP INT_R_X11Y128 COLUMN 32 TILEPROP INT_R_X11Y128 DEVICE_ID 0 TILEPROP INT_R_X11Y128 FIRST_SITE_ID 2226 TILEPROP INT_R_X11Y128 GRID_POINT_X 32 TILEPROP INT_R_X11Y128 GRID_POINT_Y 22 TILEPROP INT_R_X11Y128 INDEX 2562 TILEPROP INT_R_X11Y128 INT_TILE_X 11 TILEPROP INT_R_X11Y128 INT_TILE_Y 21 TILEPROP INT_R_X11Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y128 IS_DCM_TILE 0 TILEPROP INT_R_X11Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y128 NAME INT_R_X11Y128 TILEPROP INT_R_X11Y128 NUM_ARCS 3737 TILEPROP INT_R_X11Y128 NUM_SITES 1 TILEPROP INT_R_X11Y128 ROW 22 TILEPROP INT_R_X11Y128 SLR_REGION_ID 0 TILEPROP INT_R_X11Y128 TILE_PATTERN_IDX 4361 TILEPROP INT_R_X11Y128 TILE_TYPE INT_R TILEPROP INT_R_X11Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y128 TILE_X -44136 TILEPROP INT_R_X11Y128 TILE_Y 173496 TILEPROP INT_R_X11Y128 TYPE INT_R TILEPROP INT_R_X11Y129 CLASS tile TILEPROP INT_R_X11Y129 COLUMN 32 TILEPROP INT_R_X11Y129 DEVICE_ID 0 TILEPROP INT_R_X11Y129 FIRST_SITE_ID 2130 TILEPROP INT_R_X11Y129 GRID_POINT_X 32 TILEPROP INT_R_X11Y129 GRID_POINT_Y 21 TILEPROP INT_R_X11Y129 INDEX 2447 TILEPROP INT_R_X11Y129 INT_TILE_X 11 TILEPROP INT_R_X11Y129 INT_TILE_Y 20 TILEPROP INT_R_X11Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y129 IS_DCM_TILE 0 TILEPROP INT_R_X11Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y129 NAME INT_R_X11Y129 TILEPROP INT_R_X11Y129 NUM_ARCS 3737 TILEPROP INT_R_X11Y129 NUM_SITES 1 TILEPROP INT_R_X11Y129 ROW 21 TILEPROP INT_R_X11Y129 SLR_REGION_ID 0 TILEPROP INT_R_X11Y129 TILE_PATTERN_IDX 4323 TILEPROP INT_R_X11Y129 TILE_TYPE INT_R TILEPROP INT_R_X11Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y129 TILE_X -44136 TILEPROP INT_R_X11Y129 TILE_Y 176696 TILEPROP INT_R_X11Y129 TYPE INT_R TILEPROP INT_R_X11Y130 CLASS tile TILEPROP INT_R_X11Y130 COLUMN 32 TILEPROP INT_R_X11Y130 DEVICE_ID 0 TILEPROP INT_R_X11Y130 FIRST_SITE_ID 2014 TILEPROP INT_R_X11Y130 GRID_POINT_X 32 TILEPROP INT_R_X11Y130 GRID_POINT_Y 20 TILEPROP INT_R_X11Y130 INDEX 2332 TILEPROP INT_R_X11Y130 INT_TILE_X 11 TILEPROP INT_R_X11Y130 INT_TILE_Y 19 TILEPROP INT_R_X11Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y130 IS_DCM_TILE 0 TILEPROP INT_R_X11Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y130 NAME INT_R_X11Y130 TILEPROP INT_R_X11Y130 NUM_ARCS 3737 TILEPROP INT_R_X11Y130 NUM_SITES 1 TILEPROP INT_R_X11Y130 ROW 20 TILEPROP INT_R_X11Y130 SLR_REGION_ID 0 TILEPROP INT_R_X11Y130 TILE_PATTERN_IDX 4283 TILEPROP INT_R_X11Y130 TILE_TYPE INT_R TILEPROP INT_R_X11Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y130 TILE_X -44136 TILEPROP INT_R_X11Y130 TILE_Y 179896 TILEPROP INT_R_X11Y130 TYPE INT_R TILEPROP INT_R_X11Y131 CLASS tile TILEPROP INT_R_X11Y131 COLUMN 32 TILEPROP INT_R_X11Y131 DEVICE_ID 0 TILEPROP INT_R_X11Y131 FIRST_SITE_ID 1912 TILEPROP INT_R_X11Y131 GRID_POINT_X 32 TILEPROP INT_R_X11Y131 GRID_POINT_Y 19 TILEPROP INT_R_X11Y131 INDEX 2217 TILEPROP INT_R_X11Y131 INT_TILE_X 11 TILEPROP INT_R_X11Y131 INT_TILE_Y 18 TILEPROP INT_R_X11Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y131 IS_DCM_TILE 0 TILEPROP INT_R_X11Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y131 NAME INT_R_X11Y131 TILEPROP INT_R_X11Y131 NUM_ARCS 3737 TILEPROP INT_R_X11Y131 NUM_SITES 1 TILEPROP INT_R_X11Y131 ROW 19 TILEPROP INT_R_X11Y131 SLR_REGION_ID 0 TILEPROP INT_R_X11Y131 TILE_PATTERN_IDX 4245 TILEPROP INT_R_X11Y131 TILE_TYPE INT_R TILEPROP INT_R_X11Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y131 TILE_X -44136 TILEPROP INT_R_X11Y131 TILE_Y 183096 TILEPROP INT_R_X11Y131 TYPE INT_R TILEPROP INT_R_X11Y132 CLASS tile TILEPROP INT_R_X11Y132 COLUMN 32 TILEPROP INT_R_X11Y132 DEVICE_ID 0 TILEPROP INT_R_X11Y132 FIRST_SITE_ID 1806 TILEPROP INT_R_X11Y132 GRID_POINT_X 32 TILEPROP INT_R_X11Y132 GRID_POINT_Y 18 TILEPROP INT_R_X11Y132 INDEX 2102 TILEPROP INT_R_X11Y132 INT_TILE_X 11 TILEPROP INT_R_X11Y132 INT_TILE_Y 17 TILEPROP INT_R_X11Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y132 IS_DCM_TILE 0 TILEPROP INT_R_X11Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y132 NAME INT_R_X11Y132 TILEPROP INT_R_X11Y132 NUM_ARCS 3737 TILEPROP INT_R_X11Y132 NUM_SITES 1 TILEPROP INT_R_X11Y132 ROW 18 TILEPROP INT_R_X11Y132 SLR_REGION_ID 0 TILEPROP INT_R_X11Y132 TILE_PATTERN_IDX 4206 TILEPROP INT_R_X11Y132 TILE_TYPE INT_R TILEPROP INT_R_X11Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y132 TILE_X -44136 TILEPROP INT_R_X11Y132 TILE_Y 186296 TILEPROP INT_R_X11Y132 TYPE INT_R TILEPROP INT_R_X11Y133 CLASS tile TILEPROP INT_R_X11Y133 COLUMN 32 TILEPROP INT_R_X11Y133 DEVICE_ID 0 TILEPROP INT_R_X11Y133 FIRST_SITE_ID 1705 TILEPROP INT_R_X11Y133 GRID_POINT_X 32 TILEPROP INT_R_X11Y133 GRID_POINT_Y 17 TILEPROP INT_R_X11Y133 INDEX 1987 TILEPROP INT_R_X11Y133 INT_TILE_X 11 TILEPROP INT_R_X11Y133 INT_TILE_Y 16 TILEPROP INT_R_X11Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y133 IS_DCM_TILE 0 TILEPROP INT_R_X11Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y133 NAME INT_R_X11Y133 TILEPROP INT_R_X11Y133 NUM_ARCS 3737 TILEPROP INT_R_X11Y133 NUM_SITES 1 TILEPROP INT_R_X11Y133 ROW 17 TILEPROP INT_R_X11Y133 SLR_REGION_ID 0 TILEPROP INT_R_X11Y133 TILE_PATTERN_IDX 4168 TILEPROP INT_R_X11Y133 TILE_TYPE INT_R TILEPROP INT_R_X11Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y133 TILE_X -44136 TILEPROP INT_R_X11Y133 TILE_Y 189496 TILEPROP INT_R_X11Y133 TYPE INT_R TILEPROP INT_R_X11Y134 CLASS tile TILEPROP INT_R_X11Y134 COLUMN 32 TILEPROP INT_R_X11Y134 DEVICE_ID 0 TILEPROP INT_R_X11Y134 FIRST_SITE_ID 1601 TILEPROP INT_R_X11Y134 GRID_POINT_X 32 TILEPROP INT_R_X11Y134 GRID_POINT_Y 16 TILEPROP INT_R_X11Y134 INDEX 1872 TILEPROP INT_R_X11Y134 INT_TILE_X 11 TILEPROP INT_R_X11Y134 INT_TILE_Y 15 TILEPROP INT_R_X11Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y134 IS_DCM_TILE 0 TILEPROP INT_R_X11Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y134 NAME INT_R_X11Y134 TILEPROP INT_R_X11Y134 NUM_ARCS 3737 TILEPROP INT_R_X11Y134 NUM_SITES 1 TILEPROP INT_R_X11Y134 ROW 16 TILEPROP INT_R_X11Y134 SLR_REGION_ID 0 TILEPROP INT_R_X11Y134 TILE_PATTERN_IDX 4129 TILEPROP INT_R_X11Y134 TILE_TYPE INT_R TILEPROP INT_R_X11Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y134 TILE_X -44136 TILEPROP INT_R_X11Y134 TILE_Y 192696 TILEPROP INT_R_X11Y134 TYPE INT_R TILEPROP INT_R_X11Y135 CLASS tile TILEPROP INT_R_X11Y135 COLUMN 32 TILEPROP INT_R_X11Y135 DEVICE_ID 0 TILEPROP INT_R_X11Y135 FIRST_SITE_ID 1499 TILEPROP INT_R_X11Y135 GRID_POINT_X 32 TILEPROP INT_R_X11Y135 GRID_POINT_Y 15 TILEPROP INT_R_X11Y135 INDEX 1757 TILEPROP INT_R_X11Y135 INT_TILE_X 11 TILEPROP INT_R_X11Y135 INT_TILE_Y 14 TILEPROP INT_R_X11Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y135 IS_DCM_TILE 0 TILEPROP INT_R_X11Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y135 NAME INT_R_X11Y135 TILEPROP INT_R_X11Y135 NUM_ARCS 3737 TILEPROP INT_R_X11Y135 NUM_SITES 1 TILEPROP INT_R_X11Y135 ROW 15 TILEPROP INT_R_X11Y135 SLR_REGION_ID 0 TILEPROP INT_R_X11Y135 TILE_PATTERN_IDX 4091 TILEPROP INT_R_X11Y135 TILE_TYPE INT_R TILEPROP INT_R_X11Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y135 TILE_X -44136 TILEPROP INT_R_X11Y135 TILE_Y 195896 TILEPROP INT_R_X11Y135 TYPE INT_R TILEPROP INT_R_X11Y136 CLASS tile TILEPROP INT_R_X11Y136 COLUMN 32 TILEPROP INT_R_X11Y136 DEVICE_ID 0 TILEPROP INT_R_X11Y136 FIRST_SITE_ID 1357 TILEPROP INT_R_X11Y136 GRID_POINT_X 32 TILEPROP INT_R_X11Y136 GRID_POINT_Y 14 TILEPROP INT_R_X11Y136 INDEX 1642 TILEPROP INT_R_X11Y136 INT_TILE_X 11 TILEPROP INT_R_X11Y136 INT_TILE_Y 13 TILEPROP INT_R_X11Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y136 IS_DCM_TILE 0 TILEPROP INT_R_X11Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y136 NAME INT_R_X11Y136 TILEPROP INT_R_X11Y136 NUM_ARCS 3737 TILEPROP INT_R_X11Y136 NUM_SITES 1 TILEPROP INT_R_X11Y136 ROW 14 TILEPROP INT_R_X11Y136 SLR_REGION_ID 0 TILEPROP INT_R_X11Y136 TILE_PATTERN_IDX 4052 TILEPROP INT_R_X11Y136 TILE_TYPE INT_R TILEPROP INT_R_X11Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y136 TILE_X -44136 TILEPROP INT_R_X11Y136 TILE_Y 199096 TILEPROP INT_R_X11Y136 TYPE INT_R TILEPROP INT_R_X11Y137 CLASS tile TILEPROP INT_R_X11Y137 COLUMN 32 TILEPROP INT_R_X11Y137 DEVICE_ID 0 TILEPROP INT_R_X11Y137 FIRST_SITE_ID 1261 TILEPROP INT_R_X11Y137 GRID_POINT_X 32 TILEPROP INT_R_X11Y137 GRID_POINT_Y 13 TILEPROP INT_R_X11Y137 INDEX 1527 TILEPROP INT_R_X11Y137 INT_TILE_X 11 TILEPROP INT_R_X11Y137 INT_TILE_Y 12 TILEPROP INT_R_X11Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y137 IS_DCM_TILE 0 TILEPROP INT_R_X11Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y137 NAME INT_R_X11Y137 TILEPROP INT_R_X11Y137 NUM_ARCS 3737 TILEPROP INT_R_X11Y137 NUM_SITES 1 TILEPROP INT_R_X11Y137 ROW 13 TILEPROP INT_R_X11Y137 SLR_REGION_ID 0 TILEPROP INT_R_X11Y137 TILE_PATTERN_IDX 4014 TILEPROP INT_R_X11Y137 TILE_TYPE INT_R TILEPROP INT_R_X11Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y137 TILE_X -44136 TILEPROP INT_R_X11Y137 TILE_Y 202296 TILEPROP INT_R_X11Y137 TYPE INT_R TILEPROP INT_R_X11Y138 CLASS tile TILEPROP INT_R_X11Y138 COLUMN 32 TILEPROP INT_R_X11Y138 DEVICE_ID 0 TILEPROP INT_R_X11Y138 FIRST_SITE_ID 1157 TILEPROP INT_R_X11Y138 GRID_POINT_X 32 TILEPROP INT_R_X11Y138 GRID_POINT_Y 12 TILEPROP INT_R_X11Y138 INDEX 1412 TILEPROP INT_R_X11Y138 INT_TILE_X 11 TILEPROP INT_R_X11Y138 INT_TILE_Y 11 TILEPROP INT_R_X11Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y138 IS_DCM_TILE 0 TILEPROP INT_R_X11Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y138 NAME INT_R_X11Y138 TILEPROP INT_R_X11Y138 NUM_ARCS 3737 TILEPROP INT_R_X11Y138 NUM_SITES 1 TILEPROP INT_R_X11Y138 ROW 12 TILEPROP INT_R_X11Y138 SLR_REGION_ID 0 TILEPROP INT_R_X11Y138 TILE_PATTERN_IDX 3975 TILEPROP INT_R_X11Y138 TILE_TYPE INT_R TILEPROP INT_R_X11Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y138 TILE_X -44136 TILEPROP INT_R_X11Y138 TILE_Y 205496 TILEPROP INT_R_X11Y138 TYPE INT_R TILEPROP INT_R_X11Y139 CLASS tile TILEPROP INT_R_X11Y139 COLUMN 32 TILEPROP INT_R_X11Y139 DEVICE_ID 0 TILEPROP INT_R_X11Y139 FIRST_SITE_ID 1061 TILEPROP INT_R_X11Y139 GRID_POINT_X 32 TILEPROP INT_R_X11Y139 GRID_POINT_Y 11 TILEPROP INT_R_X11Y139 INDEX 1297 TILEPROP INT_R_X11Y139 INT_TILE_X 11 TILEPROP INT_R_X11Y139 INT_TILE_Y 10 TILEPROP INT_R_X11Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y139 IS_DCM_TILE 0 TILEPROP INT_R_X11Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y139 NAME INT_R_X11Y139 TILEPROP INT_R_X11Y139 NUM_ARCS 3737 TILEPROP INT_R_X11Y139 NUM_SITES 1 TILEPROP INT_R_X11Y139 ROW 11 TILEPROP INT_R_X11Y139 SLR_REGION_ID 0 TILEPROP INT_R_X11Y139 TILE_PATTERN_IDX 3937 TILEPROP INT_R_X11Y139 TILE_TYPE INT_R TILEPROP INT_R_X11Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y139 TILE_X -44136 TILEPROP INT_R_X11Y139 TILE_Y 208696 TILEPROP INT_R_X11Y139 TYPE INT_R TILEPROP INT_R_X11Y140 CLASS tile TILEPROP INT_R_X11Y140 COLUMN 32 TILEPROP INT_R_X11Y140 DEVICE_ID 0 TILEPROP INT_R_X11Y140 FIRST_SITE_ID 951 TILEPROP INT_R_X11Y140 GRID_POINT_X 32 TILEPROP INT_R_X11Y140 GRID_POINT_Y 10 TILEPROP INT_R_X11Y140 INDEX 1182 TILEPROP INT_R_X11Y140 INT_TILE_X 11 TILEPROP INT_R_X11Y140 INT_TILE_Y 9 TILEPROP INT_R_X11Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y140 IS_DCM_TILE 0 TILEPROP INT_R_X11Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y140 NAME INT_R_X11Y140 TILEPROP INT_R_X11Y140 NUM_ARCS 3737 TILEPROP INT_R_X11Y140 NUM_SITES 1 TILEPROP INT_R_X11Y140 ROW 10 TILEPROP INT_R_X11Y140 SLR_REGION_ID 0 TILEPROP INT_R_X11Y140 TILE_PATTERN_IDX 3898 TILEPROP INT_R_X11Y140 TILE_TYPE INT_R TILEPROP INT_R_X11Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y140 TILE_X -44136 TILEPROP INT_R_X11Y140 TILE_Y 211896 TILEPROP INT_R_X11Y140 TYPE INT_R TILEPROP INT_R_X11Y141 CLASS tile TILEPROP INT_R_X11Y141 COLUMN 32 TILEPROP INT_R_X11Y141 DEVICE_ID 0 TILEPROP INT_R_X11Y141 FIRST_SITE_ID 849 TILEPROP INT_R_X11Y141 GRID_POINT_X 32 TILEPROP INT_R_X11Y141 GRID_POINT_Y 9 TILEPROP INT_R_X11Y141 INDEX 1067 TILEPROP INT_R_X11Y141 INT_TILE_X 11 TILEPROP INT_R_X11Y141 INT_TILE_Y 8 TILEPROP INT_R_X11Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y141 IS_DCM_TILE 0 TILEPROP INT_R_X11Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y141 NAME INT_R_X11Y141 TILEPROP INT_R_X11Y141 NUM_ARCS 3737 TILEPROP INT_R_X11Y141 NUM_SITES 1 TILEPROP INT_R_X11Y141 ROW 9 TILEPROP INT_R_X11Y141 SLR_REGION_ID 0 TILEPROP INT_R_X11Y141 TILE_PATTERN_IDX 3860 TILEPROP INT_R_X11Y141 TILE_TYPE INT_R TILEPROP INT_R_X11Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y141 TILE_X -44136 TILEPROP INT_R_X11Y141 TILE_Y 215096 TILEPROP INT_R_X11Y141 TYPE INT_R TILEPROP INT_R_X11Y142 CLASS tile TILEPROP INT_R_X11Y142 COLUMN 32 TILEPROP INT_R_X11Y142 DEVICE_ID 0 TILEPROP INT_R_X11Y142 FIRST_SITE_ID 745 TILEPROP INT_R_X11Y142 GRID_POINT_X 32 TILEPROP INT_R_X11Y142 GRID_POINT_Y 8 TILEPROP INT_R_X11Y142 INDEX 952 TILEPROP INT_R_X11Y142 INT_TILE_X 11 TILEPROP INT_R_X11Y142 INT_TILE_Y 7 TILEPROP INT_R_X11Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y142 IS_DCM_TILE 0 TILEPROP INT_R_X11Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y142 NAME INT_R_X11Y142 TILEPROP INT_R_X11Y142 NUM_ARCS 3737 TILEPROP INT_R_X11Y142 NUM_SITES 1 TILEPROP INT_R_X11Y142 ROW 8 TILEPROP INT_R_X11Y142 SLR_REGION_ID 0 TILEPROP INT_R_X11Y142 TILE_PATTERN_IDX 3821 TILEPROP INT_R_X11Y142 TILE_TYPE INT_R TILEPROP INT_R_X11Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y142 TILE_X -44136 TILEPROP INT_R_X11Y142 TILE_Y 218296 TILEPROP INT_R_X11Y142 TYPE INT_R TILEPROP INT_R_X11Y143 CLASS tile TILEPROP INT_R_X11Y143 COLUMN 32 TILEPROP INT_R_X11Y143 DEVICE_ID 0 TILEPROP INT_R_X11Y143 FIRST_SITE_ID 648 TILEPROP INT_R_X11Y143 GRID_POINT_X 32 TILEPROP INT_R_X11Y143 GRID_POINT_Y 7 TILEPROP INT_R_X11Y143 INDEX 837 TILEPROP INT_R_X11Y143 INT_TILE_X 11 TILEPROP INT_R_X11Y143 INT_TILE_Y 6 TILEPROP INT_R_X11Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y143 IS_DCM_TILE 0 TILEPROP INT_R_X11Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y143 NAME INT_R_X11Y143 TILEPROP INT_R_X11Y143 NUM_ARCS 3737 TILEPROP INT_R_X11Y143 NUM_SITES 1 TILEPROP INT_R_X11Y143 ROW 7 TILEPROP INT_R_X11Y143 SLR_REGION_ID 0 TILEPROP INT_R_X11Y143 TILE_PATTERN_IDX 3783 TILEPROP INT_R_X11Y143 TILE_TYPE INT_R TILEPROP INT_R_X11Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y143 TILE_X -44136 TILEPROP INT_R_X11Y143 TILE_Y 221496 TILEPROP INT_R_X11Y143 TYPE INT_R TILEPROP INT_R_X11Y144 CLASS tile TILEPROP INT_R_X11Y144 COLUMN 32 TILEPROP INT_R_X11Y144 DEVICE_ID 0 TILEPROP INT_R_X11Y144 FIRST_SITE_ID 537 TILEPROP INT_R_X11Y144 GRID_POINT_X 32 TILEPROP INT_R_X11Y144 GRID_POINT_Y 6 TILEPROP INT_R_X11Y144 INDEX 722 TILEPROP INT_R_X11Y144 INT_TILE_X 11 TILEPROP INT_R_X11Y144 INT_TILE_Y 5 TILEPROP INT_R_X11Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y144 IS_DCM_TILE 0 TILEPROP INT_R_X11Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y144 NAME INT_R_X11Y144 TILEPROP INT_R_X11Y144 NUM_ARCS 3737 TILEPROP INT_R_X11Y144 NUM_SITES 1 TILEPROP INT_R_X11Y144 ROW 6 TILEPROP INT_R_X11Y144 SLR_REGION_ID 0 TILEPROP INT_R_X11Y144 TILE_PATTERN_IDX 3744 TILEPROP INT_R_X11Y144 TILE_TYPE INT_R TILEPROP INT_R_X11Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y144 TILE_X -44136 TILEPROP INT_R_X11Y144 TILE_Y 224696 TILEPROP INT_R_X11Y144 TYPE INT_R TILEPROP INT_R_X11Y145 CLASS tile TILEPROP INT_R_X11Y145 COLUMN 32 TILEPROP INT_R_X11Y145 DEVICE_ID 0 TILEPROP INT_R_X11Y145 FIRST_SITE_ID 435 TILEPROP INT_R_X11Y145 GRID_POINT_X 32 TILEPROP INT_R_X11Y145 GRID_POINT_Y 5 TILEPROP INT_R_X11Y145 INDEX 607 TILEPROP INT_R_X11Y145 INT_TILE_X 11 TILEPROP INT_R_X11Y145 INT_TILE_Y 4 TILEPROP INT_R_X11Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y145 IS_DCM_TILE 0 TILEPROP INT_R_X11Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y145 NAME INT_R_X11Y145 TILEPROP INT_R_X11Y145 NUM_ARCS 3737 TILEPROP INT_R_X11Y145 NUM_SITES 1 TILEPROP INT_R_X11Y145 ROW 5 TILEPROP INT_R_X11Y145 SLR_REGION_ID 0 TILEPROP INT_R_X11Y145 TILE_PATTERN_IDX 3706 TILEPROP INT_R_X11Y145 TILE_TYPE INT_R TILEPROP INT_R_X11Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y145 TILE_X -44136 TILEPROP INT_R_X11Y145 TILE_Y 227896 TILEPROP INT_R_X11Y145 TYPE INT_R TILEPROP INT_R_X11Y146 CLASS tile TILEPROP INT_R_X11Y146 COLUMN 32 TILEPROP INT_R_X11Y146 DEVICE_ID 0 TILEPROP INT_R_X11Y146 FIRST_SITE_ID 325 TILEPROP INT_R_X11Y146 GRID_POINT_X 32 TILEPROP INT_R_X11Y146 GRID_POINT_Y 4 TILEPROP INT_R_X11Y146 INDEX 492 TILEPROP INT_R_X11Y146 INT_TILE_X 11 TILEPROP INT_R_X11Y146 INT_TILE_Y 3 TILEPROP INT_R_X11Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y146 IS_DCM_TILE 0 TILEPROP INT_R_X11Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y146 NAME INT_R_X11Y146 TILEPROP INT_R_X11Y146 NUM_ARCS 3737 TILEPROP INT_R_X11Y146 NUM_SITES 1 TILEPROP INT_R_X11Y146 ROW 4 TILEPROP INT_R_X11Y146 SLR_REGION_ID 0 TILEPROP INT_R_X11Y146 TILE_PATTERN_IDX 3667 TILEPROP INT_R_X11Y146 TILE_TYPE INT_R TILEPROP INT_R_X11Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y146 TILE_X -44136 TILEPROP INT_R_X11Y146 TILE_Y 231096 TILEPROP INT_R_X11Y146 TYPE INT_R TILEPROP INT_R_X11Y147 CLASS tile TILEPROP INT_R_X11Y147 COLUMN 32 TILEPROP INT_R_X11Y147 DEVICE_ID 0 TILEPROP INT_R_X11Y147 FIRST_SITE_ID 229 TILEPROP INT_R_X11Y147 GRID_POINT_X 32 TILEPROP INT_R_X11Y147 GRID_POINT_Y 3 TILEPROP INT_R_X11Y147 INDEX 377 TILEPROP INT_R_X11Y147 INT_TILE_X 11 TILEPROP INT_R_X11Y147 INT_TILE_Y 2 TILEPROP INT_R_X11Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y147 IS_DCM_TILE 0 TILEPROP INT_R_X11Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y147 NAME INT_R_X11Y147 TILEPROP INT_R_X11Y147 NUM_ARCS 3737 TILEPROP INT_R_X11Y147 NUM_SITES 1 TILEPROP INT_R_X11Y147 ROW 3 TILEPROP INT_R_X11Y147 SLR_REGION_ID 0 TILEPROP INT_R_X11Y147 TILE_PATTERN_IDX 3629 TILEPROP INT_R_X11Y147 TILE_TYPE INT_R TILEPROP INT_R_X11Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y147 TILE_X -44136 TILEPROP INT_R_X11Y147 TILE_Y 234296 TILEPROP INT_R_X11Y147 TYPE INT_R TILEPROP INT_R_X11Y148 CLASS tile TILEPROP INT_R_X11Y148 COLUMN 32 TILEPROP INT_R_X11Y148 DEVICE_ID 0 TILEPROP INT_R_X11Y148 FIRST_SITE_ID 125 TILEPROP INT_R_X11Y148 GRID_POINT_X 32 TILEPROP INT_R_X11Y148 GRID_POINT_Y 2 TILEPROP INT_R_X11Y148 INDEX 262 TILEPROP INT_R_X11Y148 INT_TILE_X 11 TILEPROP INT_R_X11Y148 INT_TILE_Y 1 TILEPROP INT_R_X11Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y148 IS_DCM_TILE 0 TILEPROP INT_R_X11Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y148 NAME INT_R_X11Y148 TILEPROP INT_R_X11Y148 NUM_ARCS 3737 TILEPROP INT_R_X11Y148 NUM_SITES 1 TILEPROP INT_R_X11Y148 ROW 2 TILEPROP INT_R_X11Y148 SLR_REGION_ID 0 TILEPROP INT_R_X11Y148 TILE_PATTERN_IDX 3590 TILEPROP INT_R_X11Y148 TILE_TYPE INT_R TILEPROP INT_R_X11Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y148 TILE_X -44136 TILEPROP INT_R_X11Y148 TILE_Y 237496 TILEPROP INT_R_X11Y148 TYPE INT_R TILEPROP INT_R_X11Y149 CLASS tile TILEPROP INT_R_X11Y149 COLUMN 32 TILEPROP INT_R_X11Y149 DEVICE_ID 0 TILEPROP INT_R_X11Y149 FIRST_SITE_ID 29 TILEPROP INT_R_X11Y149 GRID_POINT_X 32 TILEPROP INT_R_X11Y149 GRID_POINT_Y 1 TILEPROP INT_R_X11Y149 INDEX 147 TILEPROP INT_R_X11Y149 INT_TILE_X 11 TILEPROP INT_R_X11Y149 INT_TILE_Y 0 TILEPROP INT_R_X11Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X11Y149 IS_DCM_TILE 0 TILEPROP INT_R_X11Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X11Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X11Y149 NAME INT_R_X11Y149 TILEPROP INT_R_X11Y149 NUM_ARCS 3737 TILEPROP INT_R_X11Y149 NUM_SITES 1 TILEPROP INT_R_X11Y149 ROW 1 TILEPROP INT_R_X11Y149 SLR_REGION_ID 0 TILEPROP INT_R_X11Y149 TILE_PATTERN_IDX 3552 TILEPROP INT_R_X11Y149 TILE_TYPE INT_R TILEPROP INT_R_X11Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X11Y149 TILE_X -44136 TILEPROP INT_R_X11Y149 TILE_Y 240696 TILEPROP INT_R_X11Y149 TYPE INT_R TILEPROP INT_R_X13Y100 CLASS tile TILEPROP INT_R_X13Y100 COLUMN 37 TILEPROP INT_R_X13Y100 DEVICE_ID 0 TILEPROP INT_R_X13Y100 FIRST_SITE_ID 5028 TILEPROP INT_R_X13Y100 GRID_POINT_X 37 TILEPROP INT_R_X13Y100 GRID_POINT_Y 51 TILEPROP INT_R_X13Y100 INDEX 5902 TILEPROP INT_R_X13Y100 INT_TILE_X 13 TILEPROP INT_R_X13Y100 INT_TILE_Y 49 TILEPROP INT_R_X13Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y100 IS_DCM_TILE 0 TILEPROP INT_R_X13Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y100 NAME INT_R_X13Y100 TILEPROP INT_R_X13Y100 NUM_ARCS 3737 TILEPROP INT_R_X13Y100 NUM_SITES 1 TILEPROP INT_R_X13Y100 ROW 51 TILEPROP INT_R_X13Y100 SLR_REGION_ID 0 TILEPROP INT_R_X13Y100 TILE_PATTERN_IDX 5437 TILEPROP INT_R_X13Y100 TILE_TYPE INT_R TILEPROP INT_R_X13Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y100 TILE_X -35768 TILEPROP INT_R_X13Y100 TILE_Y 82872 TILEPROP INT_R_X13Y100 TYPE INT_R TILEPROP INT_R_X13Y101 CLASS tile TILEPROP INT_R_X13Y101 COLUMN 37 TILEPROP INT_R_X13Y101 DEVICE_ID 0 TILEPROP INT_R_X13Y101 FIRST_SITE_ID 4930 TILEPROP INT_R_X13Y101 GRID_POINT_X 37 TILEPROP INT_R_X13Y101 GRID_POINT_Y 50 TILEPROP INT_R_X13Y101 INDEX 5787 TILEPROP INT_R_X13Y101 INT_TILE_X 13 TILEPROP INT_R_X13Y101 INT_TILE_Y 48 TILEPROP INT_R_X13Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y101 IS_DCM_TILE 0 TILEPROP INT_R_X13Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y101 NAME INT_R_X13Y101 TILEPROP INT_R_X13Y101 NUM_ARCS 3737 TILEPROP INT_R_X13Y101 NUM_SITES 1 TILEPROP INT_R_X13Y101 ROW 50 TILEPROP INT_R_X13Y101 SLR_REGION_ID 0 TILEPROP INT_R_X13Y101 TILE_PATTERN_IDX 5401 TILEPROP INT_R_X13Y101 TILE_TYPE INT_R TILEPROP INT_R_X13Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y101 TILE_X -35768 TILEPROP INT_R_X13Y101 TILE_Y 86072 TILEPROP INT_R_X13Y101 TYPE INT_R TILEPROP INT_R_X13Y102 CLASS tile TILEPROP INT_R_X13Y102 COLUMN 37 TILEPROP INT_R_X13Y102 DEVICE_ID 0 TILEPROP INT_R_X13Y102 FIRST_SITE_ID 4834 TILEPROP INT_R_X13Y102 GRID_POINT_X 37 TILEPROP INT_R_X13Y102 GRID_POINT_Y 49 TILEPROP INT_R_X13Y102 INDEX 5672 TILEPROP INT_R_X13Y102 INT_TILE_X 13 TILEPROP INT_R_X13Y102 INT_TILE_Y 47 TILEPROP INT_R_X13Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y102 IS_DCM_TILE 0 TILEPROP INT_R_X13Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y102 NAME INT_R_X13Y102 TILEPROP INT_R_X13Y102 NUM_ARCS 3737 TILEPROP INT_R_X13Y102 NUM_SITES 1 TILEPROP INT_R_X13Y102 ROW 49 TILEPROP INT_R_X13Y102 SLR_REGION_ID 0 TILEPROP INT_R_X13Y102 TILE_PATTERN_IDX 5364 TILEPROP INT_R_X13Y102 TILE_TYPE INT_R TILEPROP INT_R_X13Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y102 TILE_X -35768 TILEPROP INT_R_X13Y102 TILE_Y 89272 TILEPROP INT_R_X13Y102 TYPE INT_R TILEPROP INT_R_X13Y103 CLASS tile TILEPROP INT_R_X13Y103 COLUMN 37 TILEPROP INT_R_X13Y103 DEVICE_ID 0 TILEPROP INT_R_X13Y103 FIRST_SITE_ID 4746 TILEPROP INT_R_X13Y103 GRID_POINT_X 37 TILEPROP INT_R_X13Y103 GRID_POINT_Y 48 TILEPROP INT_R_X13Y103 INDEX 5557 TILEPROP INT_R_X13Y103 INT_TILE_X 13 TILEPROP INT_R_X13Y103 INT_TILE_Y 46 TILEPROP INT_R_X13Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y103 IS_DCM_TILE 0 TILEPROP INT_R_X13Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y103 NAME INT_R_X13Y103 TILEPROP INT_R_X13Y103 NUM_ARCS 3737 TILEPROP INT_R_X13Y103 NUM_SITES 1 TILEPROP INT_R_X13Y103 ROW 48 TILEPROP INT_R_X13Y103 SLR_REGION_ID 0 TILEPROP INT_R_X13Y103 TILE_PATTERN_IDX 5328 TILEPROP INT_R_X13Y103 TILE_TYPE INT_R TILEPROP INT_R_X13Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y103 TILE_X -35768 TILEPROP INT_R_X13Y103 TILE_Y 92472 TILEPROP INT_R_X13Y103 TYPE INT_R TILEPROP INT_R_X13Y104 CLASS tile TILEPROP INT_R_X13Y104 COLUMN 37 TILEPROP INT_R_X13Y104 DEVICE_ID 0 TILEPROP INT_R_X13Y104 FIRST_SITE_ID 4650 TILEPROP INT_R_X13Y104 GRID_POINT_X 37 TILEPROP INT_R_X13Y104 GRID_POINT_Y 47 TILEPROP INT_R_X13Y104 INDEX 5442 TILEPROP INT_R_X13Y104 INT_TILE_X 13 TILEPROP INT_R_X13Y104 INT_TILE_Y 45 TILEPROP INT_R_X13Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y104 IS_DCM_TILE 0 TILEPROP INT_R_X13Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y104 NAME INT_R_X13Y104 TILEPROP INT_R_X13Y104 NUM_ARCS 3737 TILEPROP INT_R_X13Y104 NUM_SITES 1 TILEPROP INT_R_X13Y104 ROW 47 TILEPROP INT_R_X13Y104 SLR_REGION_ID 0 TILEPROP INT_R_X13Y104 TILE_PATTERN_IDX 5291 TILEPROP INT_R_X13Y104 TILE_TYPE INT_R TILEPROP INT_R_X13Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y104 TILE_X -35768 TILEPROP INT_R_X13Y104 TILE_Y 95672 TILEPROP INT_R_X13Y104 TYPE INT_R TILEPROP INT_R_X13Y105 CLASS tile TILEPROP INT_R_X13Y105 COLUMN 37 TILEPROP INT_R_X13Y105 DEVICE_ID 0 TILEPROP INT_R_X13Y105 FIRST_SITE_ID 4554 TILEPROP INT_R_X13Y105 GRID_POINT_X 37 TILEPROP INT_R_X13Y105 GRID_POINT_Y 46 TILEPROP INT_R_X13Y105 INDEX 5327 TILEPROP INT_R_X13Y105 INT_TILE_X 13 TILEPROP INT_R_X13Y105 INT_TILE_Y 44 TILEPROP INT_R_X13Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y105 IS_DCM_TILE 0 TILEPROP INT_R_X13Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y105 NAME INT_R_X13Y105 TILEPROP INT_R_X13Y105 NUM_ARCS 3737 TILEPROP INT_R_X13Y105 NUM_SITES 1 TILEPROP INT_R_X13Y105 ROW 46 TILEPROP INT_R_X13Y105 SLR_REGION_ID 0 TILEPROP INT_R_X13Y105 TILE_PATTERN_IDX 5255 TILEPROP INT_R_X13Y105 TILE_TYPE INT_R TILEPROP INT_R_X13Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y105 TILE_X -35768 TILEPROP INT_R_X13Y105 TILE_Y 98872 TILEPROP INT_R_X13Y105 TYPE INT_R TILEPROP INT_R_X13Y106 CLASS tile TILEPROP INT_R_X13Y106 COLUMN 37 TILEPROP INT_R_X13Y106 DEVICE_ID 0 TILEPROP INT_R_X13Y106 FIRST_SITE_ID 4452 TILEPROP INT_R_X13Y106 GRID_POINT_X 37 TILEPROP INT_R_X13Y106 GRID_POINT_Y 45 TILEPROP INT_R_X13Y106 INDEX 5212 TILEPROP INT_R_X13Y106 INT_TILE_X 13 TILEPROP INT_R_X13Y106 INT_TILE_Y 43 TILEPROP INT_R_X13Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y106 IS_DCM_TILE 0 TILEPROP INT_R_X13Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y106 NAME INT_R_X13Y106 TILEPROP INT_R_X13Y106 NUM_ARCS 3737 TILEPROP INT_R_X13Y106 NUM_SITES 1 TILEPROP INT_R_X13Y106 ROW 45 TILEPROP INT_R_X13Y106 SLR_REGION_ID 0 TILEPROP INT_R_X13Y106 TILE_PATTERN_IDX 5218 TILEPROP INT_R_X13Y106 TILE_TYPE INT_R TILEPROP INT_R_X13Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y106 TILE_X -35768 TILEPROP INT_R_X13Y106 TILE_Y 102072 TILEPROP INT_R_X13Y106 TYPE INT_R TILEPROP INT_R_X13Y107 CLASS tile TILEPROP INT_R_X13Y107 COLUMN 37 TILEPROP INT_R_X13Y107 DEVICE_ID 0 TILEPROP INT_R_X13Y107 FIRST_SITE_ID 4364 TILEPROP INT_R_X13Y107 GRID_POINT_X 37 TILEPROP INT_R_X13Y107 GRID_POINT_Y 44 TILEPROP INT_R_X13Y107 INDEX 5097 TILEPROP INT_R_X13Y107 INT_TILE_X 13 TILEPROP INT_R_X13Y107 INT_TILE_Y 42 TILEPROP INT_R_X13Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y107 IS_DCM_TILE 0 TILEPROP INT_R_X13Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y107 NAME INT_R_X13Y107 TILEPROP INT_R_X13Y107 NUM_ARCS 3737 TILEPROP INT_R_X13Y107 NUM_SITES 1 TILEPROP INT_R_X13Y107 ROW 44 TILEPROP INT_R_X13Y107 SLR_REGION_ID 0 TILEPROP INT_R_X13Y107 TILE_PATTERN_IDX 5182 TILEPROP INT_R_X13Y107 TILE_TYPE INT_R TILEPROP INT_R_X13Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y107 TILE_X -35768 TILEPROP INT_R_X13Y107 TILE_Y 105272 TILEPROP INT_R_X13Y107 TYPE INT_R TILEPROP INT_R_X13Y108 CLASS tile TILEPROP INT_R_X13Y108 COLUMN 37 TILEPROP INT_R_X13Y108 DEVICE_ID 0 TILEPROP INT_R_X13Y108 FIRST_SITE_ID 4266 TILEPROP INT_R_X13Y108 GRID_POINT_X 37 TILEPROP INT_R_X13Y108 GRID_POINT_Y 43 TILEPROP INT_R_X13Y108 INDEX 4982 TILEPROP INT_R_X13Y108 INT_TILE_X 13 TILEPROP INT_R_X13Y108 INT_TILE_Y 41 TILEPROP INT_R_X13Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y108 IS_DCM_TILE 0 TILEPROP INT_R_X13Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y108 NAME INT_R_X13Y108 TILEPROP INT_R_X13Y108 NUM_ARCS 3737 TILEPROP INT_R_X13Y108 NUM_SITES 1 TILEPROP INT_R_X13Y108 ROW 43 TILEPROP INT_R_X13Y108 SLR_REGION_ID 0 TILEPROP INT_R_X13Y108 TILE_PATTERN_IDX 5145 TILEPROP INT_R_X13Y108 TILE_TYPE INT_R TILEPROP INT_R_X13Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y108 TILE_X -35768 TILEPROP INT_R_X13Y108 TILE_Y 108472 TILEPROP INT_R_X13Y108 TYPE INT_R TILEPROP INT_R_X13Y109 CLASS tile TILEPROP INT_R_X13Y109 COLUMN 37 TILEPROP INT_R_X13Y109 DEVICE_ID 0 TILEPROP INT_R_X13Y109 FIRST_SITE_ID 4177 TILEPROP INT_R_X13Y109 GRID_POINT_X 37 TILEPROP INT_R_X13Y109 GRID_POINT_Y 42 TILEPROP INT_R_X13Y109 INDEX 4867 TILEPROP INT_R_X13Y109 INT_TILE_X 13 TILEPROP INT_R_X13Y109 INT_TILE_Y 40 TILEPROP INT_R_X13Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y109 IS_DCM_TILE 0 TILEPROP INT_R_X13Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y109 NAME INT_R_X13Y109 TILEPROP INT_R_X13Y109 NUM_ARCS 3737 TILEPROP INT_R_X13Y109 NUM_SITES 1 TILEPROP INT_R_X13Y109 ROW 42 TILEPROP INT_R_X13Y109 SLR_REGION_ID 0 TILEPROP INT_R_X13Y109 TILE_PATTERN_IDX 5109 TILEPROP INT_R_X13Y109 TILE_TYPE INT_R TILEPROP INT_R_X13Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y109 TILE_X -35768 TILEPROP INT_R_X13Y109 TILE_Y 111672 TILEPROP INT_R_X13Y109 TYPE INT_R TILEPROP INT_R_X13Y110 CLASS tile TILEPROP INT_R_X13Y110 COLUMN 37 TILEPROP INT_R_X13Y110 DEVICE_ID 0 TILEPROP INT_R_X13Y110 FIRST_SITE_ID 4077 TILEPROP INT_R_X13Y110 GRID_POINT_X 37 TILEPROP INT_R_X13Y110 GRID_POINT_Y 41 TILEPROP INT_R_X13Y110 INDEX 4752 TILEPROP INT_R_X13Y110 INT_TILE_X 13 TILEPROP INT_R_X13Y110 INT_TILE_Y 39 TILEPROP INT_R_X13Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y110 IS_DCM_TILE 0 TILEPROP INT_R_X13Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y110 NAME INT_R_X13Y110 TILEPROP INT_R_X13Y110 NUM_ARCS 3737 TILEPROP INT_R_X13Y110 NUM_SITES 1 TILEPROP INT_R_X13Y110 ROW 41 TILEPROP INT_R_X13Y110 SLR_REGION_ID 0 TILEPROP INT_R_X13Y110 TILE_PATTERN_IDX 5072 TILEPROP INT_R_X13Y110 TILE_TYPE INT_R TILEPROP INT_R_X13Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y110 TILE_X -35768 TILEPROP INT_R_X13Y110 TILE_Y 114872 TILEPROP INT_R_X13Y110 TYPE INT_R TILEPROP INT_R_X13Y111 CLASS tile TILEPROP INT_R_X13Y111 COLUMN 37 TILEPROP INT_R_X13Y111 DEVICE_ID 0 TILEPROP INT_R_X13Y111 FIRST_SITE_ID 3983 TILEPROP INT_R_X13Y111 GRID_POINT_X 37 TILEPROP INT_R_X13Y111 GRID_POINT_Y 40 TILEPROP INT_R_X13Y111 INDEX 4637 TILEPROP INT_R_X13Y111 INT_TILE_X 13 TILEPROP INT_R_X13Y111 INT_TILE_Y 38 TILEPROP INT_R_X13Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y111 IS_DCM_TILE 0 TILEPROP INT_R_X13Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y111 NAME INT_R_X13Y111 TILEPROP INT_R_X13Y111 NUM_ARCS 3737 TILEPROP INT_R_X13Y111 NUM_SITES 1 TILEPROP INT_R_X13Y111 ROW 40 TILEPROP INT_R_X13Y111 SLR_REGION_ID 0 TILEPROP INT_R_X13Y111 TILE_PATTERN_IDX 5036 TILEPROP INT_R_X13Y111 TILE_TYPE INT_R TILEPROP INT_R_X13Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y111 TILE_X -35768 TILEPROP INT_R_X13Y111 TILE_Y 118072 TILEPROP INT_R_X13Y111 TYPE INT_R TILEPROP INT_R_X13Y112 CLASS tile TILEPROP INT_R_X13Y112 COLUMN 37 TILEPROP INT_R_X13Y112 DEVICE_ID 0 TILEPROP INT_R_X13Y112 FIRST_SITE_ID 3855 TILEPROP INT_R_X13Y112 GRID_POINT_X 37 TILEPROP INT_R_X13Y112 GRID_POINT_Y 39 TILEPROP INT_R_X13Y112 INDEX 4522 TILEPROP INT_R_X13Y112 INT_TILE_X 13 TILEPROP INT_R_X13Y112 INT_TILE_Y 37 TILEPROP INT_R_X13Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y112 IS_DCM_TILE 0 TILEPROP INT_R_X13Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y112 NAME INT_R_X13Y112 TILEPROP INT_R_X13Y112 NUM_ARCS 3737 TILEPROP INT_R_X13Y112 NUM_SITES 1 TILEPROP INT_R_X13Y112 ROW 39 TILEPROP INT_R_X13Y112 SLR_REGION_ID 0 TILEPROP INT_R_X13Y112 TILE_PATTERN_IDX 4998 TILEPROP INT_R_X13Y112 TILE_TYPE INT_R TILEPROP INT_R_X13Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y112 TILE_X -35768 TILEPROP INT_R_X13Y112 TILE_Y 121272 TILEPROP INT_R_X13Y112 TYPE INT_R TILEPROP INT_R_X13Y113 CLASS tile TILEPROP INT_R_X13Y113 COLUMN 37 TILEPROP INT_R_X13Y113 DEVICE_ID 0 TILEPROP INT_R_X13Y113 FIRST_SITE_ID 3767 TILEPROP INT_R_X13Y113 GRID_POINT_X 37 TILEPROP INT_R_X13Y113 GRID_POINT_Y 38 TILEPROP INT_R_X13Y113 INDEX 4407 TILEPROP INT_R_X13Y113 INT_TILE_X 13 TILEPROP INT_R_X13Y113 INT_TILE_Y 36 TILEPROP INT_R_X13Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y113 IS_DCM_TILE 0 TILEPROP INT_R_X13Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y113 NAME INT_R_X13Y113 TILEPROP INT_R_X13Y113 NUM_ARCS 3737 TILEPROP INT_R_X13Y113 NUM_SITES 1 TILEPROP INT_R_X13Y113 ROW 38 TILEPROP INT_R_X13Y113 SLR_REGION_ID 0 TILEPROP INT_R_X13Y113 TILE_PATTERN_IDX 4962 TILEPROP INT_R_X13Y113 TILE_TYPE INT_R TILEPROP INT_R_X13Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y113 TILE_X -35768 TILEPROP INT_R_X13Y113 TILE_Y 124472 TILEPROP INT_R_X13Y113 TYPE INT_R TILEPROP INT_R_X13Y114 CLASS tile TILEPROP INT_R_X13Y114 COLUMN 37 TILEPROP INT_R_X13Y114 DEVICE_ID 0 TILEPROP INT_R_X13Y114 FIRST_SITE_ID 3671 TILEPROP INT_R_X13Y114 GRID_POINT_X 37 TILEPROP INT_R_X13Y114 GRID_POINT_Y 37 TILEPROP INT_R_X13Y114 INDEX 4292 TILEPROP INT_R_X13Y114 INT_TILE_X 13 TILEPROP INT_R_X13Y114 INT_TILE_Y 35 TILEPROP INT_R_X13Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y114 IS_DCM_TILE 0 TILEPROP INT_R_X13Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y114 NAME INT_R_X13Y114 TILEPROP INT_R_X13Y114 NUM_ARCS 3737 TILEPROP INT_R_X13Y114 NUM_SITES 1 TILEPROP INT_R_X13Y114 ROW 37 TILEPROP INT_R_X13Y114 SLR_REGION_ID 0 TILEPROP INT_R_X13Y114 TILE_PATTERN_IDX 4925 TILEPROP INT_R_X13Y114 TILE_TYPE INT_R TILEPROP INT_R_X13Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y114 TILE_X -35768 TILEPROP INT_R_X13Y114 TILE_Y 127672 TILEPROP INT_R_X13Y114 TYPE INT_R TILEPROP INT_R_X13Y115 CLASS tile TILEPROP INT_R_X13Y115 COLUMN 37 TILEPROP INT_R_X13Y115 DEVICE_ID 0 TILEPROP INT_R_X13Y115 FIRST_SITE_ID 3580 TILEPROP INT_R_X13Y115 GRID_POINT_X 37 TILEPROP INT_R_X13Y115 GRID_POINT_Y 36 TILEPROP INT_R_X13Y115 INDEX 4177 TILEPROP INT_R_X13Y115 INT_TILE_X 13 TILEPROP INT_R_X13Y115 INT_TILE_Y 34 TILEPROP INT_R_X13Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y115 IS_DCM_TILE 0 TILEPROP INT_R_X13Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y115 NAME INT_R_X13Y115 TILEPROP INT_R_X13Y115 NUM_ARCS 3737 TILEPROP INT_R_X13Y115 NUM_SITES 1 TILEPROP INT_R_X13Y115 ROW 36 TILEPROP INT_R_X13Y115 SLR_REGION_ID 0 TILEPROP INT_R_X13Y115 TILE_PATTERN_IDX 4889 TILEPROP INT_R_X13Y115 TILE_TYPE INT_R TILEPROP INT_R_X13Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y115 TILE_X -35768 TILEPROP INT_R_X13Y115 TILE_Y 130872 TILEPROP INT_R_X13Y115 TYPE INT_R TILEPROP INT_R_X13Y116 CLASS tile TILEPROP INT_R_X13Y116 COLUMN 37 TILEPROP INT_R_X13Y116 DEVICE_ID 0 TILEPROP INT_R_X13Y116 FIRST_SITE_ID 3473 TILEPROP INT_R_X13Y116 GRID_POINT_X 37 TILEPROP INT_R_X13Y116 GRID_POINT_Y 35 TILEPROP INT_R_X13Y116 INDEX 4062 TILEPROP INT_R_X13Y116 INT_TILE_X 13 TILEPROP INT_R_X13Y116 INT_TILE_Y 33 TILEPROP INT_R_X13Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y116 IS_DCM_TILE 0 TILEPROP INT_R_X13Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y116 NAME INT_R_X13Y116 TILEPROP INT_R_X13Y116 NUM_ARCS 3737 TILEPROP INT_R_X13Y116 NUM_SITES 1 TILEPROP INT_R_X13Y116 ROW 35 TILEPROP INT_R_X13Y116 SLR_REGION_ID 0 TILEPROP INT_R_X13Y116 TILE_PATTERN_IDX 4852 TILEPROP INT_R_X13Y116 TILE_TYPE INT_R TILEPROP INT_R_X13Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y116 TILE_X -35768 TILEPROP INT_R_X13Y116 TILE_Y 134072 TILEPROP INT_R_X13Y116 TYPE INT_R TILEPROP INT_R_X13Y117 CLASS tile TILEPROP INT_R_X13Y117 COLUMN 37 TILEPROP INT_R_X13Y117 DEVICE_ID 0 TILEPROP INT_R_X13Y117 FIRST_SITE_ID 3385 TILEPROP INT_R_X13Y117 GRID_POINT_X 37 TILEPROP INT_R_X13Y117 GRID_POINT_Y 34 TILEPROP INT_R_X13Y117 INDEX 3947 TILEPROP INT_R_X13Y117 INT_TILE_X 13 TILEPROP INT_R_X13Y117 INT_TILE_Y 32 TILEPROP INT_R_X13Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y117 IS_DCM_TILE 0 TILEPROP INT_R_X13Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y117 NAME INT_R_X13Y117 TILEPROP INT_R_X13Y117 NUM_ARCS 3737 TILEPROP INT_R_X13Y117 NUM_SITES 1 TILEPROP INT_R_X13Y117 ROW 34 TILEPROP INT_R_X13Y117 SLR_REGION_ID 0 TILEPROP INT_R_X13Y117 TILE_PATTERN_IDX 4816 TILEPROP INT_R_X13Y117 TILE_TYPE INT_R TILEPROP INT_R_X13Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y117 TILE_X -35768 TILEPROP INT_R_X13Y117 TILE_Y 137272 TILEPROP INT_R_X13Y117 TYPE INT_R TILEPROP INT_R_X13Y118 CLASS tile TILEPROP INT_R_X13Y118 COLUMN 37 TILEPROP INT_R_X13Y118 DEVICE_ID 0 TILEPROP INT_R_X13Y118 FIRST_SITE_ID 3285 TILEPROP INT_R_X13Y118 GRID_POINT_X 37 TILEPROP INT_R_X13Y118 GRID_POINT_Y 33 TILEPROP INT_R_X13Y118 INDEX 3832 TILEPROP INT_R_X13Y118 INT_TILE_X 13 TILEPROP INT_R_X13Y118 INT_TILE_Y 31 TILEPROP INT_R_X13Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y118 IS_DCM_TILE 0 TILEPROP INT_R_X13Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y118 NAME INT_R_X13Y118 TILEPROP INT_R_X13Y118 NUM_ARCS 3737 TILEPROP INT_R_X13Y118 NUM_SITES 1 TILEPROP INT_R_X13Y118 ROW 33 TILEPROP INT_R_X13Y118 SLR_REGION_ID 0 TILEPROP INT_R_X13Y118 TILE_PATTERN_IDX 4778 TILEPROP INT_R_X13Y118 TILE_TYPE INT_R TILEPROP INT_R_X13Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y118 TILE_X -35768 TILEPROP INT_R_X13Y118 TILE_Y 140472 TILEPROP INT_R_X13Y118 TYPE INT_R TILEPROP INT_R_X13Y119 CLASS tile TILEPROP INT_R_X13Y119 COLUMN 37 TILEPROP INT_R_X13Y119 DEVICE_ID 0 TILEPROP INT_R_X13Y119 FIRST_SITE_ID 3197 TILEPROP INT_R_X13Y119 GRID_POINT_X 37 TILEPROP INT_R_X13Y119 GRID_POINT_Y 32 TILEPROP INT_R_X13Y119 INDEX 3717 TILEPROP INT_R_X13Y119 INT_TILE_X 13 TILEPROP INT_R_X13Y119 INT_TILE_Y 30 TILEPROP INT_R_X13Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y119 IS_DCM_TILE 0 TILEPROP INT_R_X13Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y119 NAME INT_R_X13Y119 TILEPROP INT_R_X13Y119 NUM_ARCS 3737 TILEPROP INT_R_X13Y119 NUM_SITES 1 TILEPROP INT_R_X13Y119 ROW 32 TILEPROP INT_R_X13Y119 SLR_REGION_ID 0 TILEPROP INT_R_X13Y119 TILE_PATTERN_IDX 4742 TILEPROP INT_R_X13Y119 TILE_TYPE INT_R TILEPROP INT_R_X13Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y119 TILE_X -35768 TILEPROP INT_R_X13Y119 TILE_Y 143672 TILEPROP INT_R_X13Y119 TYPE INT_R TILEPROP INT_R_X13Y120 CLASS tile TILEPROP INT_R_X13Y120 COLUMN 37 TILEPROP INT_R_X13Y120 DEVICE_ID 0 TILEPROP INT_R_X13Y120 FIRST_SITE_ID 3096 TILEPROP INT_R_X13Y120 GRID_POINT_X 37 TILEPROP INT_R_X13Y120 GRID_POINT_Y 31 TILEPROP INT_R_X13Y120 INDEX 3602 TILEPROP INT_R_X13Y120 INT_TILE_X 13 TILEPROP INT_R_X13Y120 INT_TILE_Y 29 TILEPROP INT_R_X13Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y120 IS_DCM_TILE 0 TILEPROP INT_R_X13Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y120 NAME INT_R_X13Y120 TILEPROP INT_R_X13Y120 NUM_ARCS 3737 TILEPROP INT_R_X13Y120 NUM_SITES 1 TILEPROP INT_R_X13Y120 ROW 31 TILEPROP INT_R_X13Y120 SLR_REGION_ID 0 TILEPROP INT_R_X13Y120 TILE_PATTERN_IDX 4705 TILEPROP INT_R_X13Y120 TILE_TYPE INT_R TILEPROP INT_R_X13Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y120 TILE_X -35768 TILEPROP INT_R_X13Y120 TILE_Y 146872 TILEPROP INT_R_X13Y120 TYPE INT_R TILEPROP INT_R_X13Y121 CLASS tile TILEPROP INT_R_X13Y121 COLUMN 37 TILEPROP INT_R_X13Y121 DEVICE_ID 0 TILEPROP INT_R_X13Y121 FIRST_SITE_ID 3002 TILEPROP INT_R_X13Y121 GRID_POINT_X 37 TILEPROP INT_R_X13Y121 GRID_POINT_Y 30 TILEPROP INT_R_X13Y121 INDEX 3487 TILEPROP INT_R_X13Y121 INT_TILE_X 13 TILEPROP INT_R_X13Y121 INT_TILE_Y 28 TILEPROP INT_R_X13Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y121 IS_DCM_TILE 0 TILEPROP INT_R_X13Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y121 NAME INT_R_X13Y121 TILEPROP INT_R_X13Y121 NUM_ARCS 3737 TILEPROP INT_R_X13Y121 NUM_SITES 1 TILEPROP INT_R_X13Y121 ROW 30 TILEPROP INT_R_X13Y121 SLR_REGION_ID 0 TILEPROP INT_R_X13Y121 TILE_PATTERN_IDX 4669 TILEPROP INT_R_X13Y121 TILE_TYPE INT_R TILEPROP INT_R_X13Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y121 TILE_X -35768 TILEPROP INT_R_X13Y121 TILE_Y 150072 TILEPROP INT_R_X13Y121 TYPE INT_R TILEPROP INT_R_X13Y122 CLASS tile TILEPROP INT_R_X13Y122 COLUMN 37 TILEPROP INT_R_X13Y122 DEVICE_ID 0 TILEPROP INT_R_X13Y122 FIRST_SITE_ID 2899 TILEPROP INT_R_X13Y122 GRID_POINT_X 37 TILEPROP INT_R_X13Y122 GRID_POINT_Y 29 TILEPROP INT_R_X13Y122 INDEX 3372 TILEPROP INT_R_X13Y122 INT_TILE_X 13 TILEPROP INT_R_X13Y122 INT_TILE_Y 27 TILEPROP INT_R_X13Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y122 IS_DCM_TILE 0 TILEPROP INT_R_X13Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y122 NAME INT_R_X13Y122 TILEPROP INT_R_X13Y122 NUM_ARCS 3737 TILEPROP INT_R_X13Y122 NUM_SITES 1 TILEPROP INT_R_X13Y122 ROW 29 TILEPROP INT_R_X13Y122 SLR_REGION_ID 0 TILEPROP INT_R_X13Y122 TILE_PATTERN_IDX 4631 TILEPROP INT_R_X13Y122 TILE_TYPE INT_R TILEPROP INT_R_X13Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y122 TILE_X -35768 TILEPROP INT_R_X13Y122 TILE_Y 153272 TILEPROP INT_R_X13Y122 TYPE INT_R TILEPROP INT_R_X13Y123 CLASS tile TILEPROP INT_R_X13Y123 COLUMN 37 TILEPROP INT_R_X13Y123 DEVICE_ID 0 TILEPROP INT_R_X13Y123 FIRST_SITE_ID 2811 TILEPROP INT_R_X13Y123 GRID_POINT_X 37 TILEPROP INT_R_X13Y123 GRID_POINT_Y 28 TILEPROP INT_R_X13Y123 INDEX 3257 TILEPROP INT_R_X13Y123 INT_TILE_X 13 TILEPROP INT_R_X13Y123 INT_TILE_Y 26 TILEPROP INT_R_X13Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y123 IS_DCM_TILE 0 TILEPROP INT_R_X13Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y123 NAME INT_R_X13Y123 TILEPROP INT_R_X13Y123 NUM_ARCS 3737 TILEPROP INT_R_X13Y123 NUM_SITES 1 TILEPROP INT_R_X13Y123 ROW 28 TILEPROP INT_R_X13Y123 SLR_REGION_ID 0 TILEPROP INT_R_X13Y123 TILE_PATTERN_IDX 4595 TILEPROP INT_R_X13Y123 TILE_TYPE INT_R TILEPROP INT_R_X13Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y123 TILE_X -35768 TILEPROP INT_R_X13Y123 TILE_Y 156472 TILEPROP INT_R_X13Y123 TYPE INT_R TILEPROP INT_R_X13Y124 CLASS tile TILEPROP INT_R_X13Y124 COLUMN 37 TILEPROP INT_R_X13Y124 DEVICE_ID 0 TILEPROP INT_R_X13Y124 FIRST_SITE_ID 2715 TILEPROP INT_R_X13Y124 GRID_POINT_X 37 TILEPROP INT_R_X13Y124 GRID_POINT_Y 27 TILEPROP INT_R_X13Y124 INDEX 3142 TILEPROP INT_R_X13Y124 INT_TILE_X 13 TILEPROP INT_R_X13Y124 INT_TILE_Y 25 TILEPROP INT_R_X13Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y124 IS_DCM_TILE 0 TILEPROP INT_R_X13Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y124 NAME INT_R_X13Y124 TILEPROP INT_R_X13Y124 NUM_ARCS 3737 TILEPROP INT_R_X13Y124 NUM_SITES 1 TILEPROP INT_R_X13Y124 ROW 27 TILEPROP INT_R_X13Y124 SLR_REGION_ID 0 TILEPROP INT_R_X13Y124 TILE_PATTERN_IDX 4558 TILEPROP INT_R_X13Y124 TILE_TYPE INT_R TILEPROP INT_R_X13Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y124 TILE_X -35768 TILEPROP INT_R_X13Y124 TILE_Y 159672 TILEPROP INT_R_X13Y124 TYPE INT_R TILEPROP INT_R_X13Y125 CLASS tile TILEPROP INT_R_X13Y125 COLUMN 37 TILEPROP INT_R_X13Y125 DEVICE_ID 0 TILEPROP INT_R_X13Y125 FIRST_SITE_ID 2542 TILEPROP INT_R_X13Y125 GRID_POINT_X 37 TILEPROP INT_R_X13Y125 GRID_POINT_Y 25 TILEPROP INT_R_X13Y125 INDEX 2912 TILEPROP INT_R_X13Y125 INT_TILE_X 13 TILEPROP INT_R_X13Y125 INT_TILE_Y 24 TILEPROP INT_R_X13Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y125 IS_DCM_TILE 0 TILEPROP INT_R_X13Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y125 NAME INT_R_X13Y125 TILEPROP INT_R_X13Y125 NUM_ARCS 3737 TILEPROP INT_R_X13Y125 NUM_SITES 1 TILEPROP INT_R_X13Y125 ROW 25 TILEPROP INT_R_X13Y125 SLR_REGION_ID 0 TILEPROP INT_R_X13Y125 TILE_PATTERN_IDX 4479 TILEPROP INT_R_X13Y125 TILE_TYPE INT_R TILEPROP INT_R_X13Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y125 TILE_X -35768 TILEPROP INT_R_X13Y125 TILE_Y 163896 TILEPROP INT_R_X13Y125 TYPE INT_R TILEPROP INT_R_X13Y126 CLASS tile TILEPROP INT_R_X13Y126 COLUMN 37 TILEPROP INT_R_X13Y126 DEVICE_ID 0 TILEPROP INT_R_X13Y126 FIRST_SITE_ID 2432 TILEPROP INT_R_X13Y126 GRID_POINT_X 37 TILEPROP INT_R_X13Y126 GRID_POINT_Y 24 TILEPROP INT_R_X13Y126 INDEX 2797 TILEPROP INT_R_X13Y126 INT_TILE_X 13 TILEPROP INT_R_X13Y126 INT_TILE_Y 23 TILEPROP INT_R_X13Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y126 IS_DCM_TILE 0 TILEPROP INT_R_X13Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y126 NAME INT_R_X13Y126 TILEPROP INT_R_X13Y126 NUM_ARCS 3737 TILEPROP INT_R_X13Y126 NUM_SITES 1 TILEPROP INT_R_X13Y126 ROW 24 TILEPROP INT_R_X13Y126 SLR_REGION_ID 0 TILEPROP INT_R_X13Y126 TILE_PATTERN_IDX 4440 TILEPROP INT_R_X13Y126 TILE_TYPE INT_R TILEPROP INT_R_X13Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y126 TILE_X -35768 TILEPROP INT_R_X13Y126 TILE_Y 167096 TILEPROP INT_R_X13Y126 TYPE INT_R TILEPROP INT_R_X13Y127 CLASS tile TILEPROP INT_R_X13Y127 COLUMN 37 TILEPROP INT_R_X13Y127 DEVICE_ID 0 TILEPROP INT_R_X13Y127 FIRST_SITE_ID 2336 TILEPROP INT_R_X13Y127 GRID_POINT_X 37 TILEPROP INT_R_X13Y127 GRID_POINT_Y 23 TILEPROP INT_R_X13Y127 INDEX 2682 TILEPROP INT_R_X13Y127 INT_TILE_X 13 TILEPROP INT_R_X13Y127 INT_TILE_Y 22 TILEPROP INT_R_X13Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y127 IS_DCM_TILE 0 TILEPROP INT_R_X13Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y127 NAME INT_R_X13Y127 TILEPROP INT_R_X13Y127 NUM_ARCS 3737 TILEPROP INT_R_X13Y127 NUM_SITES 1 TILEPROP INT_R_X13Y127 ROW 23 TILEPROP INT_R_X13Y127 SLR_REGION_ID 0 TILEPROP INT_R_X13Y127 TILE_PATTERN_IDX 4402 TILEPROP INT_R_X13Y127 TILE_TYPE INT_R TILEPROP INT_R_X13Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y127 TILE_X -35768 TILEPROP INT_R_X13Y127 TILE_Y 170296 TILEPROP INT_R_X13Y127 TYPE INT_R TILEPROP INT_R_X13Y128 CLASS tile TILEPROP INT_R_X13Y128 COLUMN 37 TILEPROP INT_R_X13Y128 DEVICE_ID 0 TILEPROP INT_R_X13Y128 FIRST_SITE_ID 2232 TILEPROP INT_R_X13Y128 GRID_POINT_X 37 TILEPROP INT_R_X13Y128 GRID_POINT_Y 22 TILEPROP INT_R_X13Y128 INDEX 2567 TILEPROP INT_R_X13Y128 INT_TILE_X 13 TILEPROP INT_R_X13Y128 INT_TILE_Y 21 TILEPROP INT_R_X13Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y128 IS_DCM_TILE 0 TILEPROP INT_R_X13Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y128 NAME INT_R_X13Y128 TILEPROP INT_R_X13Y128 NUM_ARCS 3737 TILEPROP INT_R_X13Y128 NUM_SITES 1 TILEPROP INT_R_X13Y128 ROW 22 TILEPROP INT_R_X13Y128 SLR_REGION_ID 0 TILEPROP INT_R_X13Y128 TILE_PATTERN_IDX 4363 TILEPROP INT_R_X13Y128 TILE_TYPE INT_R TILEPROP INT_R_X13Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y128 TILE_X -35768 TILEPROP INT_R_X13Y128 TILE_Y 173496 TILEPROP INT_R_X13Y128 TYPE INT_R TILEPROP INT_R_X13Y129 CLASS tile TILEPROP INT_R_X13Y129 COLUMN 37 TILEPROP INT_R_X13Y129 DEVICE_ID 0 TILEPROP INT_R_X13Y129 FIRST_SITE_ID 2136 TILEPROP INT_R_X13Y129 GRID_POINT_X 37 TILEPROP INT_R_X13Y129 GRID_POINT_Y 21 TILEPROP INT_R_X13Y129 INDEX 2452 TILEPROP INT_R_X13Y129 INT_TILE_X 13 TILEPROP INT_R_X13Y129 INT_TILE_Y 20 TILEPROP INT_R_X13Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y129 IS_DCM_TILE 0 TILEPROP INT_R_X13Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y129 NAME INT_R_X13Y129 TILEPROP INT_R_X13Y129 NUM_ARCS 3737 TILEPROP INT_R_X13Y129 NUM_SITES 1 TILEPROP INT_R_X13Y129 ROW 21 TILEPROP INT_R_X13Y129 SLR_REGION_ID 0 TILEPROP INT_R_X13Y129 TILE_PATTERN_IDX 4325 TILEPROP INT_R_X13Y129 TILE_TYPE INT_R TILEPROP INT_R_X13Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y129 TILE_X -35768 TILEPROP INT_R_X13Y129 TILE_Y 176696 TILEPROP INT_R_X13Y129 TYPE INT_R TILEPROP INT_R_X13Y130 CLASS tile TILEPROP INT_R_X13Y130 COLUMN 37 TILEPROP INT_R_X13Y130 DEVICE_ID 0 TILEPROP INT_R_X13Y130 FIRST_SITE_ID 2020 TILEPROP INT_R_X13Y130 GRID_POINT_X 37 TILEPROP INT_R_X13Y130 GRID_POINT_Y 20 TILEPROP INT_R_X13Y130 INDEX 2337 TILEPROP INT_R_X13Y130 INT_TILE_X 13 TILEPROP INT_R_X13Y130 INT_TILE_Y 19 TILEPROP INT_R_X13Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y130 IS_DCM_TILE 0 TILEPROP INT_R_X13Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y130 NAME INT_R_X13Y130 TILEPROP INT_R_X13Y130 NUM_ARCS 3737 TILEPROP INT_R_X13Y130 NUM_SITES 1 TILEPROP INT_R_X13Y130 ROW 20 TILEPROP INT_R_X13Y130 SLR_REGION_ID 0 TILEPROP INT_R_X13Y130 TILE_PATTERN_IDX 4285 TILEPROP INT_R_X13Y130 TILE_TYPE INT_R TILEPROP INT_R_X13Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y130 TILE_X -35768 TILEPROP INT_R_X13Y130 TILE_Y 179896 TILEPROP INT_R_X13Y130 TYPE INT_R TILEPROP INT_R_X13Y131 CLASS tile TILEPROP INT_R_X13Y131 COLUMN 37 TILEPROP INT_R_X13Y131 DEVICE_ID 0 TILEPROP INT_R_X13Y131 FIRST_SITE_ID 1918 TILEPROP INT_R_X13Y131 GRID_POINT_X 37 TILEPROP INT_R_X13Y131 GRID_POINT_Y 19 TILEPROP INT_R_X13Y131 INDEX 2222 TILEPROP INT_R_X13Y131 INT_TILE_X 13 TILEPROP INT_R_X13Y131 INT_TILE_Y 18 TILEPROP INT_R_X13Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y131 IS_DCM_TILE 0 TILEPROP INT_R_X13Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y131 NAME INT_R_X13Y131 TILEPROP INT_R_X13Y131 NUM_ARCS 3737 TILEPROP INT_R_X13Y131 NUM_SITES 1 TILEPROP INT_R_X13Y131 ROW 19 TILEPROP INT_R_X13Y131 SLR_REGION_ID 0 TILEPROP INT_R_X13Y131 TILE_PATTERN_IDX 4247 TILEPROP INT_R_X13Y131 TILE_TYPE INT_R TILEPROP INT_R_X13Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y131 TILE_X -35768 TILEPROP INT_R_X13Y131 TILE_Y 183096 TILEPROP INT_R_X13Y131 TYPE INT_R TILEPROP INT_R_X13Y132 CLASS tile TILEPROP INT_R_X13Y132 COLUMN 37 TILEPROP INT_R_X13Y132 DEVICE_ID 0 TILEPROP INT_R_X13Y132 FIRST_SITE_ID 1812 TILEPROP INT_R_X13Y132 GRID_POINT_X 37 TILEPROP INT_R_X13Y132 GRID_POINT_Y 18 TILEPROP INT_R_X13Y132 INDEX 2107 TILEPROP INT_R_X13Y132 INT_TILE_X 13 TILEPROP INT_R_X13Y132 INT_TILE_Y 17 TILEPROP INT_R_X13Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y132 IS_DCM_TILE 0 TILEPROP INT_R_X13Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y132 NAME INT_R_X13Y132 TILEPROP INT_R_X13Y132 NUM_ARCS 3737 TILEPROP INT_R_X13Y132 NUM_SITES 1 TILEPROP INT_R_X13Y132 ROW 18 TILEPROP INT_R_X13Y132 SLR_REGION_ID 0 TILEPROP INT_R_X13Y132 TILE_PATTERN_IDX 4208 TILEPROP INT_R_X13Y132 TILE_TYPE INT_R TILEPROP INT_R_X13Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y132 TILE_X -35768 TILEPROP INT_R_X13Y132 TILE_Y 186296 TILEPROP INT_R_X13Y132 TYPE INT_R TILEPROP INT_R_X13Y133 CLASS tile TILEPROP INT_R_X13Y133 COLUMN 37 TILEPROP INT_R_X13Y133 DEVICE_ID 0 TILEPROP INT_R_X13Y133 FIRST_SITE_ID 1711 TILEPROP INT_R_X13Y133 GRID_POINT_X 37 TILEPROP INT_R_X13Y133 GRID_POINT_Y 17 TILEPROP INT_R_X13Y133 INDEX 1992 TILEPROP INT_R_X13Y133 INT_TILE_X 13 TILEPROP INT_R_X13Y133 INT_TILE_Y 16 TILEPROP INT_R_X13Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y133 IS_DCM_TILE 0 TILEPROP INT_R_X13Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y133 NAME INT_R_X13Y133 TILEPROP INT_R_X13Y133 NUM_ARCS 3737 TILEPROP INT_R_X13Y133 NUM_SITES 1 TILEPROP INT_R_X13Y133 ROW 17 TILEPROP INT_R_X13Y133 SLR_REGION_ID 0 TILEPROP INT_R_X13Y133 TILE_PATTERN_IDX 4170 TILEPROP INT_R_X13Y133 TILE_TYPE INT_R TILEPROP INT_R_X13Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y133 TILE_X -35768 TILEPROP INT_R_X13Y133 TILE_Y 189496 TILEPROP INT_R_X13Y133 TYPE INT_R TILEPROP INT_R_X13Y134 CLASS tile TILEPROP INT_R_X13Y134 COLUMN 37 TILEPROP INT_R_X13Y134 DEVICE_ID 0 TILEPROP INT_R_X13Y134 FIRST_SITE_ID 1607 TILEPROP INT_R_X13Y134 GRID_POINT_X 37 TILEPROP INT_R_X13Y134 GRID_POINT_Y 16 TILEPROP INT_R_X13Y134 INDEX 1877 TILEPROP INT_R_X13Y134 INT_TILE_X 13 TILEPROP INT_R_X13Y134 INT_TILE_Y 15 TILEPROP INT_R_X13Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y134 IS_DCM_TILE 0 TILEPROP INT_R_X13Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y134 NAME INT_R_X13Y134 TILEPROP INT_R_X13Y134 NUM_ARCS 3737 TILEPROP INT_R_X13Y134 NUM_SITES 1 TILEPROP INT_R_X13Y134 ROW 16 TILEPROP INT_R_X13Y134 SLR_REGION_ID 0 TILEPROP INT_R_X13Y134 TILE_PATTERN_IDX 4131 TILEPROP INT_R_X13Y134 TILE_TYPE INT_R TILEPROP INT_R_X13Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y134 TILE_X -35768 TILEPROP INT_R_X13Y134 TILE_Y 192696 TILEPROP INT_R_X13Y134 TYPE INT_R TILEPROP INT_R_X13Y135 CLASS tile TILEPROP INT_R_X13Y135 COLUMN 37 TILEPROP INT_R_X13Y135 DEVICE_ID 0 TILEPROP INT_R_X13Y135 FIRST_SITE_ID 1505 TILEPROP INT_R_X13Y135 GRID_POINT_X 37 TILEPROP INT_R_X13Y135 GRID_POINT_Y 15 TILEPROP INT_R_X13Y135 INDEX 1762 TILEPROP INT_R_X13Y135 INT_TILE_X 13 TILEPROP INT_R_X13Y135 INT_TILE_Y 14 TILEPROP INT_R_X13Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y135 IS_DCM_TILE 0 TILEPROP INT_R_X13Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y135 NAME INT_R_X13Y135 TILEPROP INT_R_X13Y135 NUM_ARCS 3737 TILEPROP INT_R_X13Y135 NUM_SITES 1 TILEPROP INT_R_X13Y135 ROW 15 TILEPROP INT_R_X13Y135 SLR_REGION_ID 0 TILEPROP INT_R_X13Y135 TILE_PATTERN_IDX 4093 TILEPROP INT_R_X13Y135 TILE_TYPE INT_R TILEPROP INT_R_X13Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y135 TILE_X -35768 TILEPROP INT_R_X13Y135 TILE_Y 195896 TILEPROP INT_R_X13Y135 TYPE INT_R TILEPROP INT_R_X13Y136 CLASS tile TILEPROP INT_R_X13Y136 COLUMN 37 TILEPROP INT_R_X13Y136 DEVICE_ID 0 TILEPROP INT_R_X13Y136 FIRST_SITE_ID 1363 TILEPROP INT_R_X13Y136 GRID_POINT_X 37 TILEPROP INT_R_X13Y136 GRID_POINT_Y 14 TILEPROP INT_R_X13Y136 INDEX 1647 TILEPROP INT_R_X13Y136 INT_TILE_X 13 TILEPROP INT_R_X13Y136 INT_TILE_Y 13 TILEPROP INT_R_X13Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y136 IS_DCM_TILE 0 TILEPROP INT_R_X13Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y136 NAME INT_R_X13Y136 TILEPROP INT_R_X13Y136 NUM_ARCS 3737 TILEPROP INT_R_X13Y136 NUM_SITES 1 TILEPROP INT_R_X13Y136 ROW 14 TILEPROP INT_R_X13Y136 SLR_REGION_ID 0 TILEPROP INT_R_X13Y136 TILE_PATTERN_IDX 4054 TILEPROP INT_R_X13Y136 TILE_TYPE INT_R TILEPROP INT_R_X13Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y136 TILE_X -35768 TILEPROP INT_R_X13Y136 TILE_Y 199096 TILEPROP INT_R_X13Y136 TYPE INT_R TILEPROP INT_R_X13Y137 CLASS tile TILEPROP INT_R_X13Y137 COLUMN 37 TILEPROP INT_R_X13Y137 DEVICE_ID 0 TILEPROP INT_R_X13Y137 FIRST_SITE_ID 1267 TILEPROP INT_R_X13Y137 GRID_POINT_X 37 TILEPROP INT_R_X13Y137 GRID_POINT_Y 13 TILEPROP INT_R_X13Y137 INDEX 1532 TILEPROP INT_R_X13Y137 INT_TILE_X 13 TILEPROP INT_R_X13Y137 INT_TILE_Y 12 TILEPROP INT_R_X13Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y137 IS_DCM_TILE 0 TILEPROP INT_R_X13Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y137 NAME INT_R_X13Y137 TILEPROP INT_R_X13Y137 NUM_ARCS 3737 TILEPROP INT_R_X13Y137 NUM_SITES 1 TILEPROP INT_R_X13Y137 ROW 13 TILEPROP INT_R_X13Y137 SLR_REGION_ID 0 TILEPROP INT_R_X13Y137 TILE_PATTERN_IDX 4016 TILEPROP INT_R_X13Y137 TILE_TYPE INT_R TILEPROP INT_R_X13Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y137 TILE_X -35768 TILEPROP INT_R_X13Y137 TILE_Y 202296 TILEPROP INT_R_X13Y137 TYPE INT_R TILEPROP INT_R_X13Y138 CLASS tile TILEPROP INT_R_X13Y138 COLUMN 37 TILEPROP INT_R_X13Y138 DEVICE_ID 0 TILEPROP INT_R_X13Y138 FIRST_SITE_ID 1163 TILEPROP INT_R_X13Y138 GRID_POINT_X 37 TILEPROP INT_R_X13Y138 GRID_POINT_Y 12 TILEPROP INT_R_X13Y138 INDEX 1417 TILEPROP INT_R_X13Y138 INT_TILE_X 13 TILEPROP INT_R_X13Y138 INT_TILE_Y 11 TILEPROP INT_R_X13Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y138 IS_DCM_TILE 0 TILEPROP INT_R_X13Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y138 NAME INT_R_X13Y138 TILEPROP INT_R_X13Y138 NUM_ARCS 3737 TILEPROP INT_R_X13Y138 NUM_SITES 1 TILEPROP INT_R_X13Y138 ROW 12 TILEPROP INT_R_X13Y138 SLR_REGION_ID 0 TILEPROP INT_R_X13Y138 TILE_PATTERN_IDX 3977 TILEPROP INT_R_X13Y138 TILE_TYPE INT_R TILEPROP INT_R_X13Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y138 TILE_X -35768 TILEPROP INT_R_X13Y138 TILE_Y 205496 TILEPROP INT_R_X13Y138 TYPE INT_R TILEPROP INT_R_X13Y139 CLASS tile TILEPROP INT_R_X13Y139 COLUMN 37 TILEPROP INT_R_X13Y139 DEVICE_ID 0 TILEPROP INT_R_X13Y139 FIRST_SITE_ID 1067 TILEPROP INT_R_X13Y139 GRID_POINT_X 37 TILEPROP INT_R_X13Y139 GRID_POINT_Y 11 TILEPROP INT_R_X13Y139 INDEX 1302 TILEPROP INT_R_X13Y139 INT_TILE_X 13 TILEPROP INT_R_X13Y139 INT_TILE_Y 10 TILEPROP INT_R_X13Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y139 IS_DCM_TILE 0 TILEPROP INT_R_X13Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y139 NAME INT_R_X13Y139 TILEPROP INT_R_X13Y139 NUM_ARCS 3737 TILEPROP INT_R_X13Y139 NUM_SITES 1 TILEPROP INT_R_X13Y139 ROW 11 TILEPROP INT_R_X13Y139 SLR_REGION_ID 0 TILEPROP INT_R_X13Y139 TILE_PATTERN_IDX 3939 TILEPROP INT_R_X13Y139 TILE_TYPE INT_R TILEPROP INT_R_X13Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y139 TILE_X -35768 TILEPROP INT_R_X13Y139 TILE_Y 208696 TILEPROP INT_R_X13Y139 TYPE INT_R TILEPROP INT_R_X13Y140 CLASS tile TILEPROP INT_R_X13Y140 COLUMN 37 TILEPROP INT_R_X13Y140 DEVICE_ID 0 TILEPROP INT_R_X13Y140 FIRST_SITE_ID 957 TILEPROP INT_R_X13Y140 GRID_POINT_X 37 TILEPROP INT_R_X13Y140 GRID_POINT_Y 10 TILEPROP INT_R_X13Y140 INDEX 1187 TILEPROP INT_R_X13Y140 INT_TILE_X 13 TILEPROP INT_R_X13Y140 INT_TILE_Y 9 TILEPROP INT_R_X13Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y140 IS_DCM_TILE 0 TILEPROP INT_R_X13Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y140 NAME INT_R_X13Y140 TILEPROP INT_R_X13Y140 NUM_ARCS 3737 TILEPROP INT_R_X13Y140 NUM_SITES 1 TILEPROP INT_R_X13Y140 ROW 10 TILEPROP INT_R_X13Y140 SLR_REGION_ID 0 TILEPROP INT_R_X13Y140 TILE_PATTERN_IDX 3900 TILEPROP INT_R_X13Y140 TILE_TYPE INT_R TILEPROP INT_R_X13Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y140 TILE_X -35768 TILEPROP INT_R_X13Y140 TILE_Y 211896 TILEPROP INT_R_X13Y140 TYPE INT_R TILEPROP INT_R_X13Y141 CLASS tile TILEPROP INT_R_X13Y141 COLUMN 37 TILEPROP INT_R_X13Y141 DEVICE_ID 0 TILEPROP INT_R_X13Y141 FIRST_SITE_ID 855 TILEPROP INT_R_X13Y141 GRID_POINT_X 37 TILEPROP INT_R_X13Y141 GRID_POINT_Y 9 TILEPROP INT_R_X13Y141 INDEX 1072 TILEPROP INT_R_X13Y141 INT_TILE_X 13 TILEPROP INT_R_X13Y141 INT_TILE_Y 8 TILEPROP INT_R_X13Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y141 IS_DCM_TILE 0 TILEPROP INT_R_X13Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y141 NAME INT_R_X13Y141 TILEPROP INT_R_X13Y141 NUM_ARCS 3737 TILEPROP INT_R_X13Y141 NUM_SITES 1 TILEPROP INT_R_X13Y141 ROW 9 TILEPROP INT_R_X13Y141 SLR_REGION_ID 0 TILEPROP INT_R_X13Y141 TILE_PATTERN_IDX 3862 TILEPROP INT_R_X13Y141 TILE_TYPE INT_R TILEPROP INT_R_X13Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y141 TILE_X -35768 TILEPROP INT_R_X13Y141 TILE_Y 215096 TILEPROP INT_R_X13Y141 TYPE INT_R TILEPROP INT_R_X13Y142 CLASS tile TILEPROP INT_R_X13Y142 COLUMN 37 TILEPROP INT_R_X13Y142 DEVICE_ID 0 TILEPROP INT_R_X13Y142 FIRST_SITE_ID 751 TILEPROP INT_R_X13Y142 GRID_POINT_X 37 TILEPROP INT_R_X13Y142 GRID_POINT_Y 8 TILEPROP INT_R_X13Y142 INDEX 957 TILEPROP INT_R_X13Y142 INT_TILE_X 13 TILEPROP INT_R_X13Y142 INT_TILE_Y 7 TILEPROP INT_R_X13Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y142 IS_DCM_TILE 0 TILEPROP INT_R_X13Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y142 NAME INT_R_X13Y142 TILEPROP INT_R_X13Y142 NUM_ARCS 3737 TILEPROP INT_R_X13Y142 NUM_SITES 1 TILEPROP INT_R_X13Y142 ROW 8 TILEPROP INT_R_X13Y142 SLR_REGION_ID 0 TILEPROP INT_R_X13Y142 TILE_PATTERN_IDX 3823 TILEPROP INT_R_X13Y142 TILE_TYPE INT_R TILEPROP INT_R_X13Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y142 TILE_X -35768 TILEPROP INT_R_X13Y142 TILE_Y 218296 TILEPROP INT_R_X13Y142 TYPE INT_R TILEPROP INT_R_X13Y143 CLASS tile TILEPROP INT_R_X13Y143 COLUMN 37 TILEPROP INT_R_X13Y143 DEVICE_ID 0 TILEPROP INT_R_X13Y143 FIRST_SITE_ID 654 TILEPROP INT_R_X13Y143 GRID_POINT_X 37 TILEPROP INT_R_X13Y143 GRID_POINT_Y 7 TILEPROP INT_R_X13Y143 INDEX 842 TILEPROP INT_R_X13Y143 INT_TILE_X 13 TILEPROP INT_R_X13Y143 INT_TILE_Y 6 TILEPROP INT_R_X13Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y143 IS_DCM_TILE 0 TILEPROP INT_R_X13Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y143 NAME INT_R_X13Y143 TILEPROP INT_R_X13Y143 NUM_ARCS 3737 TILEPROP INT_R_X13Y143 NUM_SITES 1 TILEPROP INT_R_X13Y143 ROW 7 TILEPROP INT_R_X13Y143 SLR_REGION_ID 0 TILEPROP INT_R_X13Y143 TILE_PATTERN_IDX 3785 TILEPROP INT_R_X13Y143 TILE_TYPE INT_R TILEPROP INT_R_X13Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y143 TILE_X -35768 TILEPROP INT_R_X13Y143 TILE_Y 221496 TILEPROP INT_R_X13Y143 TYPE INT_R TILEPROP INT_R_X13Y144 CLASS tile TILEPROP INT_R_X13Y144 COLUMN 37 TILEPROP INT_R_X13Y144 DEVICE_ID 0 TILEPROP INT_R_X13Y144 FIRST_SITE_ID 543 TILEPROP INT_R_X13Y144 GRID_POINT_X 37 TILEPROP INT_R_X13Y144 GRID_POINT_Y 6 TILEPROP INT_R_X13Y144 INDEX 727 TILEPROP INT_R_X13Y144 INT_TILE_X 13 TILEPROP INT_R_X13Y144 INT_TILE_Y 5 TILEPROP INT_R_X13Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y144 IS_DCM_TILE 0 TILEPROP INT_R_X13Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y144 NAME INT_R_X13Y144 TILEPROP INT_R_X13Y144 NUM_ARCS 3737 TILEPROP INT_R_X13Y144 NUM_SITES 1 TILEPROP INT_R_X13Y144 ROW 6 TILEPROP INT_R_X13Y144 SLR_REGION_ID 0 TILEPROP INT_R_X13Y144 TILE_PATTERN_IDX 3746 TILEPROP INT_R_X13Y144 TILE_TYPE INT_R TILEPROP INT_R_X13Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y144 TILE_X -35768 TILEPROP INT_R_X13Y144 TILE_Y 224696 TILEPROP INT_R_X13Y144 TYPE INT_R TILEPROP INT_R_X13Y145 CLASS tile TILEPROP INT_R_X13Y145 COLUMN 37 TILEPROP INT_R_X13Y145 DEVICE_ID 0 TILEPROP INT_R_X13Y145 FIRST_SITE_ID 441 TILEPROP INT_R_X13Y145 GRID_POINT_X 37 TILEPROP INT_R_X13Y145 GRID_POINT_Y 5 TILEPROP INT_R_X13Y145 INDEX 612 TILEPROP INT_R_X13Y145 INT_TILE_X 13 TILEPROP INT_R_X13Y145 INT_TILE_Y 4 TILEPROP INT_R_X13Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y145 IS_DCM_TILE 0 TILEPROP INT_R_X13Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y145 NAME INT_R_X13Y145 TILEPROP INT_R_X13Y145 NUM_ARCS 3737 TILEPROP INT_R_X13Y145 NUM_SITES 1 TILEPROP INT_R_X13Y145 ROW 5 TILEPROP INT_R_X13Y145 SLR_REGION_ID 0 TILEPROP INT_R_X13Y145 TILE_PATTERN_IDX 3708 TILEPROP INT_R_X13Y145 TILE_TYPE INT_R TILEPROP INT_R_X13Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y145 TILE_X -35768 TILEPROP INT_R_X13Y145 TILE_Y 227896 TILEPROP INT_R_X13Y145 TYPE INT_R TILEPROP INT_R_X13Y146 CLASS tile TILEPROP INT_R_X13Y146 COLUMN 37 TILEPROP INT_R_X13Y146 DEVICE_ID 0 TILEPROP INT_R_X13Y146 FIRST_SITE_ID 331 TILEPROP INT_R_X13Y146 GRID_POINT_X 37 TILEPROP INT_R_X13Y146 GRID_POINT_Y 4 TILEPROP INT_R_X13Y146 INDEX 497 TILEPROP INT_R_X13Y146 INT_TILE_X 13 TILEPROP INT_R_X13Y146 INT_TILE_Y 3 TILEPROP INT_R_X13Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y146 IS_DCM_TILE 0 TILEPROP INT_R_X13Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y146 NAME INT_R_X13Y146 TILEPROP INT_R_X13Y146 NUM_ARCS 3737 TILEPROP INT_R_X13Y146 NUM_SITES 1 TILEPROP INT_R_X13Y146 ROW 4 TILEPROP INT_R_X13Y146 SLR_REGION_ID 0 TILEPROP INT_R_X13Y146 TILE_PATTERN_IDX 3669 TILEPROP INT_R_X13Y146 TILE_TYPE INT_R TILEPROP INT_R_X13Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y146 TILE_X -35768 TILEPROP INT_R_X13Y146 TILE_Y 231096 TILEPROP INT_R_X13Y146 TYPE INT_R TILEPROP INT_R_X13Y147 CLASS tile TILEPROP INT_R_X13Y147 COLUMN 37 TILEPROP INT_R_X13Y147 DEVICE_ID 0 TILEPROP INT_R_X13Y147 FIRST_SITE_ID 235 TILEPROP INT_R_X13Y147 GRID_POINT_X 37 TILEPROP INT_R_X13Y147 GRID_POINT_Y 3 TILEPROP INT_R_X13Y147 INDEX 382 TILEPROP INT_R_X13Y147 INT_TILE_X 13 TILEPROP INT_R_X13Y147 INT_TILE_Y 2 TILEPROP INT_R_X13Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y147 IS_DCM_TILE 0 TILEPROP INT_R_X13Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y147 NAME INT_R_X13Y147 TILEPROP INT_R_X13Y147 NUM_ARCS 3737 TILEPROP INT_R_X13Y147 NUM_SITES 1 TILEPROP INT_R_X13Y147 ROW 3 TILEPROP INT_R_X13Y147 SLR_REGION_ID 0 TILEPROP INT_R_X13Y147 TILE_PATTERN_IDX 3631 TILEPROP INT_R_X13Y147 TILE_TYPE INT_R TILEPROP INT_R_X13Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y147 TILE_X -35768 TILEPROP INT_R_X13Y147 TILE_Y 234296 TILEPROP INT_R_X13Y147 TYPE INT_R TILEPROP INT_R_X13Y148 CLASS tile TILEPROP INT_R_X13Y148 COLUMN 37 TILEPROP INT_R_X13Y148 DEVICE_ID 0 TILEPROP INT_R_X13Y148 FIRST_SITE_ID 131 TILEPROP INT_R_X13Y148 GRID_POINT_X 37 TILEPROP INT_R_X13Y148 GRID_POINT_Y 2 TILEPROP INT_R_X13Y148 INDEX 267 TILEPROP INT_R_X13Y148 INT_TILE_X 13 TILEPROP INT_R_X13Y148 INT_TILE_Y 1 TILEPROP INT_R_X13Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y148 IS_DCM_TILE 0 TILEPROP INT_R_X13Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y148 NAME INT_R_X13Y148 TILEPROP INT_R_X13Y148 NUM_ARCS 3737 TILEPROP INT_R_X13Y148 NUM_SITES 1 TILEPROP INT_R_X13Y148 ROW 2 TILEPROP INT_R_X13Y148 SLR_REGION_ID 0 TILEPROP INT_R_X13Y148 TILE_PATTERN_IDX 3592 TILEPROP INT_R_X13Y148 TILE_TYPE INT_R TILEPROP INT_R_X13Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y148 TILE_X -35768 TILEPROP INT_R_X13Y148 TILE_Y 237496 TILEPROP INT_R_X13Y148 TYPE INT_R TILEPROP INT_R_X13Y149 CLASS tile TILEPROP INT_R_X13Y149 COLUMN 37 TILEPROP INT_R_X13Y149 DEVICE_ID 0 TILEPROP INT_R_X13Y149 FIRST_SITE_ID 35 TILEPROP INT_R_X13Y149 GRID_POINT_X 37 TILEPROP INT_R_X13Y149 GRID_POINT_Y 1 TILEPROP INT_R_X13Y149 INDEX 152 TILEPROP INT_R_X13Y149 INT_TILE_X 13 TILEPROP INT_R_X13Y149 INT_TILE_Y 0 TILEPROP INT_R_X13Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X13Y149 IS_DCM_TILE 0 TILEPROP INT_R_X13Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X13Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X13Y149 NAME INT_R_X13Y149 TILEPROP INT_R_X13Y149 NUM_ARCS 3737 TILEPROP INT_R_X13Y149 NUM_SITES 1 TILEPROP INT_R_X13Y149 ROW 1 TILEPROP INT_R_X13Y149 SLR_REGION_ID 0 TILEPROP INT_R_X13Y149 TILE_PATTERN_IDX 3554 TILEPROP INT_R_X13Y149 TILE_TYPE INT_R TILEPROP INT_R_X13Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X13Y149 TILE_X -35768 TILEPROP INT_R_X13Y149 TILE_Y 240696 TILEPROP INT_R_X13Y149 TYPE INT_R TILEPROP INT_R_X15Y100 CLASS tile TILEPROP INT_R_X15Y100 COLUMN 41 TILEPROP INT_R_X15Y100 DEVICE_ID 0 TILEPROP INT_R_X15Y100 FIRST_SITE_ID 5034 TILEPROP INT_R_X15Y100 GRID_POINT_X 41 TILEPROP INT_R_X15Y100 GRID_POINT_Y 51 TILEPROP INT_R_X15Y100 INDEX 5906 TILEPROP INT_R_X15Y100 INT_TILE_X 15 TILEPROP INT_R_X15Y100 INT_TILE_Y 49 TILEPROP INT_R_X15Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y100 IS_DCM_TILE 0 TILEPROP INT_R_X15Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y100 NAME INT_R_X15Y100 TILEPROP INT_R_X15Y100 NUM_ARCS 3737 TILEPROP INT_R_X15Y100 NUM_SITES 1 TILEPROP INT_R_X15Y100 ROW 51 TILEPROP INT_R_X15Y100 SLR_REGION_ID 0 TILEPROP INT_R_X15Y100 TILE_PATTERN_IDX 5439 TILEPROP INT_R_X15Y100 TILE_TYPE INT_R TILEPROP INT_R_X15Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y100 TILE_X -27464 TILEPROP INT_R_X15Y100 TILE_Y 82872 TILEPROP INT_R_X15Y100 TYPE INT_R TILEPROP INT_R_X15Y101 CLASS tile TILEPROP INT_R_X15Y101 COLUMN 41 TILEPROP INT_R_X15Y101 DEVICE_ID 0 TILEPROP INT_R_X15Y101 FIRST_SITE_ID 4936 TILEPROP INT_R_X15Y101 GRID_POINT_X 41 TILEPROP INT_R_X15Y101 GRID_POINT_Y 50 TILEPROP INT_R_X15Y101 INDEX 5791 TILEPROP INT_R_X15Y101 INT_TILE_X 15 TILEPROP INT_R_X15Y101 INT_TILE_Y 48 TILEPROP INT_R_X15Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y101 IS_DCM_TILE 0 TILEPROP INT_R_X15Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y101 NAME INT_R_X15Y101 TILEPROP INT_R_X15Y101 NUM_ARCS 3737 TILEPROP INT_R_X15Y101 NUM_SITES 1 TILEPROP INT_R_X15Y101 ROW 50 TILEPROP INT_R_X15Y101 SLR_REGION_ID 0 TILEPROP INT_R_X15Y101 TILE_PATTERN_IDX 5403 TILEPROP INT_R_X15Y101 TILE_TYPE INT_R TILEPROP INT_R_X15Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y101 TILE_X -27464 TILEPROP INT_R_X15Y101 TILE_Y 86072 TILEPROP INT_R_X15Y101 TYPE INT_R TILEPROP INT_R_X15Y102 CLASS tile TILEPROP INT_R_X15Y102 COLUMN 41 TILEPROP INT_R_X15Y102 DEVICE_ID 0 TILEPROP INT_R_X15Y102 FIRST_SITE_ID 4840 TILEPROP INT_R_X15Y102 GRID_POINT_X 41 TILEPROP INT_R_X15Y102 GRID_POINT_Y 49 TILEPROP INT_R_X15Y102 INDEX 5676 TILEPROP INT_R_X15Y102 INT_TILE_X 15 TILEPROP INT_R_X15Y102 INT_TILE_Y 47 TILEPROP INT_R_X15Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y102 IS_DCM_TILE 0 TILEPROP INT_R_X15Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y102 NAME INT_R_X15Y102 TILEPROP INT_R_X15Y102 NUM_ARCS 3737 TILEPROP INT_R_X15Y102 NUM_SITES 1 TILEPROP INT_R_X15Y102 ROW 49 TILEPROP INT_R_X15Y102 SLR_REGION_ID 0 TILEPROP INT_R_X15Y102 TILE_PATTERN_IDX 5366 TILEPROP INT_R_X15Y102 TILE_TYPE INT_R TILEPROP INT_R_X15Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y102 TILE_X -27464 TILEPROP INT_R_X15Y102 TILE_Y 89272 TILEPROP INT_R_X15Y102 TYPE INT_R TILEPROP INT_R_X15Y103 CLASS tile TILEPROP INT_R_X15Y103 COLUMN 41 TILEPROP INT_R_X15Y103 DEVICE_ID 0 TILEPROP INT_R_X15Y103 FIRST_SITE_ID 4752 TILEPROP INT_R_X15Y103 GRID_POINT_X 41 TILEPROP INT_R_X15Y103 GRID_POINT_Y 48 TILEPROP INT_R_X15Y103 INDEX 5561 TILEPROP INT_R_X15Y103 INT_TILE_X 15 TILEPROP INT_R_X15Y103 INT_TILE_Y 46 TILEPROP INT_R_X15Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y103 IS_DCM_TILE 0 TILEPROP INT_R_X15Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y103 NAME INT_R_X15Y103 TILEPROP INT_R_X15Y103 NUM_ARCS 3737 TILEPROP INT_R_X15Y103 NUM_SITES 1 TILEPROP INT_R_X15Y103 ROW 48 TILEPROP INT_R_X15Y103 SLR_REGION_ID 0 TILEPROP INT_R_X15Y103 TILE_PATTERN_IDX 5330 TILEPROP INT_R_X15Y103 TILE_TYPE INT_R TILEPROP INT_R_X15Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y103 TILE_X -27464 TILEPROP INT_R_X15Y103 TILE_Y 92472 TILEPROP INT_R_X15Y103 TYPE INT_R TILEPROP INT_R_X15Y104 CLASS tile TILEPROP INT_R_X15Y104 COLUMN 41 TILEPROP INT_R_X15Y104 DEVICE_ID 0 TILEPROP INT_R_X15Y104 FIRST_SITE_ID 4656 TILEPROP INT_R_X15Y104 GRID_POINT_X 41 TILEPROP INT_R_X15Y104 GRID_POINT_Y 47 TILEPROP INT_R_X15Y104 INDEX 5446 TILEPROP INT_R_X15Y104 INT_TILE_X 15 TILEPROP INT_R_X15Y104 INT_TILE_Y 45 TILEPROP INT_R_X15Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y104 IS_DCM_TILE 0 TILEPROP INT_R_X15Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y104 NAME INT_R_X15Y104 TILEPROP INT_R_X15Y104 NUM_ARCS 3737 TILEPROP INT_R_X15Y104 NUM_SITES 1 TILEPROP INT_R_X15Y104 ROW 47 TILEPROP INT_R_X15Y104 SLR_REGION_ID 0 TILEPROP INT_R_X15Y104 TILE_PATTERN_IDX 5293 TILEPROP INT_R_X15Y104 TILE_TYPE INT_R TILEPROP INT_R_X15Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y104 TILE_X -27464 TILEPROP INT_R_X15Y104 TILE_Y 95672 TILEPROP INT_R_X15Y104 TYPE INT_R TILEPROP INT_R_X15Y105 CLASS tile TILEPROP INT_R_X15Y105 COLUMN 41 TILEPROP INT_R_X15Y105 DEVICE_ID 0 TILEPROP INT_R_X15Y105 FIRST_SITE_ID 4560 TILEPROP INT_R_X15Y105 GRID_POINT_X 41 TILEPROP INT_R_X15Y105 GRID_POINT_Y 46 TILEPROP INT_R_X15Y105 INDEX 5331 TILEPROP INT_R_X15Y105 INT_TILE_X 15 TILEPROP INT_R_X15Y105 INT_TILE_Y 44 TILEPROP INT_R_X15Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y105 IS_DCM_TILE 0 TILEPROP INT_R_X15Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y105 NAME INT_R_X15Y105 TILEPROP INT_R_X15Y105 NUM_ARCS 3737 TILEPROP INT_R_X15Y105 NUM_SITES 1 TILEPROP INT_R_X15Y105 ROW 46 TILEPROP INT_R_X15Y105 SLR_REGION_ID 0 TILEPROP INT_R_X15Y105 TILE_PATTERN_IDX 5257 TILEPROP INT_R_X15Y105 TILE_TYPE INT_R TILEPROP INT_R_X15Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y105 TILE_X -27464 TILEPROP INT_R_X15Y105 TILE_Y 98872 TILEPROP INT_R_X15Y105 TYPE INT_R TILEPROP INT_R_X15Y106 CLASS tile TILEPROP INT_R_X15Y106 COLUMN 41 TILEPROP INT_R_X15Y106 DEVICE_ID 0 TILEPROP INT_R_X15Y106 FIRST_SITE_ID 4458 TILEPROP INT_R_X15Y106 GRID_POINT_X 41 TILEPROP INT_R_X15Y106 GRID_POINT_Y 45 TILEPROP INT_R_X15Y106 INDEX 5216 TILEPROP INT_R_X15Y106 INT_TILE_X 15 TILEPROP INT_R_X15Y106 INT_TILE_Y 43 TILEPROP INT_R_X15Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y106 IS_DCM_TILE 0 TILEPROP INT_R_X15Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y106 NAME INT_R_X15Y106 TILEPROP INT_R_X15Y106 NUM_ARCS 3737 TILEPROP INT_R_X15Y106 NUM_SITES 1 TILEPROP INT_R_X15Y106 ROW 45 TILEPROP INT_R_X15Y106 SLR_REGION_ID 0 TILEPROP INT_R_X15Y106 TILE_PATTERN_IDX 5220 TILEPROP INT_R_X15Y106 TILE_TYPE INT_R TILEPROP INT_R_X15Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y106 TILE_X -27464 TILEPROP INT_R_X15Y106 TILE_Y 102072 TILEPROP INT_R_X15Y106 TYPE INT_R TILEPROP INT_R_X15Y107 CLASS tile TILEPROP INT_R_X15Y107 COLUMN 41 TILEPROP INT_R_X15Y107 DEVICE_ID 0 TILEPROP INT_R_X15Y107 FIRST_SITE_ID 4370 TILEPROP INT_R_X15Y107 GRID_POINT_X 41 TILEPROP INT_R_X15Y107 GRID_POINT_Y 44 TILEPROP INT_R_X15Y107 INDEX 5101 TILEPROP INT_R_X15Y107 INT_TILE_X 15 TILEPROP INT_R_X15Y107 INT_TILE_Y 42 TILEPROP INT_R_X15Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y107 IS_DCM_TILE 0 TILEPROP INT_R_X15Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y107 NAME INT_R_X15Y107 TILEPROP INT_R_X15Y107 NUM_ARCS 3737 TILEPROP INT_R_X15Y107 NUM_SITES 1 TILEPROP INT_R_X15Y107 ROW 44 TILEPROP INT_R_X15Y107 SLR_REGION_ID 0 TILEPROP INT_R_X15Y107 TILE_PATTERN_IDX 5184 TILEPROP INT_R_X15Y107 TILE_TYPE INT_R TILEPROP INT_R_X15Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y107 TILE_X -27464 TILEPROP INT_R_X15Y107 TILE_Y 105272 TILEPROP INT_R_X15Y107 TYPE INT_R TILEPROP INT_R_X15Y108 CLASS tile TILEPROP INT_R_X15Y108 COLUMN 41 TILEPROP INT_R_X15Y108 DEVICE_ID 0 TILEPROP INT_R_X15Y108 FIRST_SITE_ID 4272 TILEPROP INT_R_X15Y108 GRID_POINT_X 41 TILEPROP INT_R_X15Y108 GRID_POINT_Y 43 TILEPROP INT_R_X15Y108 INDEX 4986 TILEPROP INT_R_X15Y108 INT_TILE_X 15 TILEPROP INT_R_X15Y108 INT_TILE_Y 41 TILEPROP INT_R_X15Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y108 IS_DCM_TILE 0 TILEPROP INT_R_X15Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y108 NAME INT_R_X15Y108 TILEPROP INT_R_X15Y108 NUM_ARCS 3737 TILEPROP INT_R_X15Y108 NUM_SITES 1 TILEPROP INT_R_X15Y108 ROW 43 TILEPROP INT_R_X15Y108 SLR_REGION_ID 0 TILEPROP INT_R_X15Y108 TILE_PATTERN_IDX 5147 TILEPROP INT_R_X15Y108 TILE_TYPE INT_R TILEPROP INT_R_X15Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y108 TILE_X -27464 TILEPROP INT_R_X15Y108 TILE_Y 108472 TILEPROP INT_R_X15Y108 TYPE INT_R TILEPROP INT_R_X15Y109 CLASS tile TILEPROP INT_R_X15Y109 COLUMN 41 TILEPROP INT_R_X15Y109 DEVICE_ID 0 TILEPROP INT_R_X15Y109 FIRST_SITE_ID 4183 TILEPROP INT_R_X15Y109 GRID_POINT_X 41 TILEPROP INT_R_X15Y109 GRID_POINT_Y 42 TILEPROP INT_R_X15Y109 INDEX 4871 TILEPROP INT_R_X15Y109 INT_TILE_X 15 TILEPROP INT_R_X15Y109 INT_TILE_Y 40 TILEPROP INT_R_X15Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y109 IS_DCM_TILE 0 TILEPROP INT_R_X15Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y109 NAME INT_R_X15Y109 TILEPROP INT_R_X15Y109 NUM_ARCS 3737 TILEPROP INT_R_X15Y109 NUM_SITES 1 TILEPROP INT_R_X15Y109 ROW 42 TILEPROP INT_R_X15Y109 SLR_REGION_ID 0 TILEPROP INT_R_X15Y109 TILE_PATTERN_IDX 5111 TILEPROP INT_R_X15Y109 TILE_TYPE INT_R TILEPROP INT_R_X15Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y109 TILE_X -27464 TILEPROP INT_R_X15Y109 TILE_Y 111672 TILEPROP INT_R_X15Y109 TYPE INT_R TILEPROP INT_R_X15Y110 CLASS tile TILEPROP INT_R_X15Y110 COLUMN 41 TILEPROP INT_R_X15Y110 DEVICE_ID 0 TILEPROP INT_R_X15Y110 FIRST_SITE_ID 4083 TILEPROP INT_R_X15Y110 GRID_POINT_X 41 TILEPROP INT_R_X15Y110 GRID_POINT_Y 41 TILEPROP INT_R_X15Y110 INDEX 4756 TILEPROP INT_R_X15Y110 INT_TILE_X 15 TILEPROP INT_R_X15Y110 INT_TILE_Y 39 TILEPROP INT_R_X15Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y110 IS_DCM_TILE 0 TILEPROP INT_R_X15Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y110 NAME INT_R_X15Y110 TILEPROP INT_R_X15Y110 NUM_ARCS 3737 TILEPROP INT_R_X15Y110 NUM_SITES 1 TILEPROP INT_R_X15Y110 ROW 41 TILEPROP INT_R_X15Y110 SLR_REGION_ID 0 TILEPROP INT_R_X15Y110 TILE_PATTERN_IDX 5074 TILEPROP INT_R_X15Y110 TILE_TYPE INT_R TILEPROP INT_R_X15Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y110 TILE_X -27464 TILEPROP INT_R_X15Y110 TILE_Y 114872 TILEPROP INT_R_X15Y110 TYPE INT_R TILEPROP INT_R_X15Y111 CLASS tile TILEPROP INT_R_X15Y111 COLUMN 41 TILEPROP INT_R_X15Y111 DEVICE_ID 0 TILEPROP INT_R_X15Y111 FIRST_SITE_ID 3989 TILEPROP INT_R_X15Y111 GRID_POINT_X 41 TILEPROP INT_R_X15Y111 GRID_POINT_Y 40 TILEPROP INT_R_X15Y111 INDEX 4641 TILEPROP INT_R_X15Y111 INT_TILE_X 15 TILEPROP INT_R_X15Y111 INT_TILE_Y 38 TILEPROP INT_R_X15Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y111 IS_DCM_TILE 0 TILEPROP INT_R_X15Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y111 NAME INT_R_X15Y111 TILEPROP INT_R_X15Y111 NUM_ARCS 3737 TILEPROP INT_R_X15Y111 NUM_SITES 1 TILEPROP INT_R_X15Y111 ROW 40 TILEPROP INT_R_X15Y111 SLR_REGION_ID 0 TILEPROP INT_R_X15Y111 TILE_PATTERN_IDX 5038 TILEPROP INT_R_X15Y111 TILE_TYPE INT_R TILEPROP INT_R_X15Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y111 TILE_X -27464 TILEPROP INT_R_X15Y111 TILE_Y 118072 TILEPROP INT_R_X15Y111 TYPE INT_R TILEPROP INT_R_X15Y112 CLASS tile TILEPROP INT_R_X15Y112 COLUMN 41 TILEPROP INT_R_X15Y112 DEVICE_ID 0 TILEPROP INT_R_X15Y112 FIRST_SITE_ID 3861 TILEPROP INT_R_X15Y112 GRID_POINT_X 41 TILEPROP INT_R_X15Y112 GRID_POINT_Y 39 TILEPROP INT_R_X15Y112 INDEX 4526 TILEPROP INT_R_X15Y112 INT_TILE_X 15 TILEPROP INT_R_X15Y112 INT_TILE_Y 37 TILEPROP INT_R_X15Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y112 IS_DCM_TILE 0 TILEPROP INT_R_X15Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y112 NAME INT_R_X15Y112 TILEPROP INT_R_X15Y112 NUM_ARCS 3737 TILEPROP INT_R_X15Y112 NUM_SITES 1 TILEPROP INT_R_X15Y112 ROW 39 TILEPROP INT_R_X15Y112 SLR_REGION_ID 0 TILEPROP INT_R_X15Y112 TILE_PATTERN_IDX 5000 TILEPROP INT_R_X15Y112 TILE_TYPE INT_R TILEPROP INT_R_X15Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y112 TILE_X -27464 TILEPROP INT_R_X15Y112 TILE_Y 121272 TILEPROP INT_R_X15Y112 TYPE INT_R TILEPROP INT_R_X15Y113 CLASS tile TILEPROP INT_R_X15Y113 COLUMN 41 TILEPROP INT_R_X15Y113 DEVICE_ID 0 TILEPROP INT_R_X15Y113 FIRST_SITE_ID 3773 TILEPROP INT_R_X15Y113 GRID_POINT_X 41 TILEPROP INT_R_X15Y113 GRID_POINT_Y 38 TILEPROP INT_R_X15Y113 INDEX 4411 TILEPROP INT_R_X15Y113 INT_TILE_X 15 TILEPROP INT_R_X15Y113 INT_TILE_Y 36 TILEPROP INT_R_X15Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y113 IS_DCM_TILE 0 TILEPROP INT_R_X15Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y113 NAME INT_R_X15Y113 TILEPROP INT_R_X15Y113 NUM_ARCS 3737 TILEPROP INT_R_X15Y113 NUM_SITES 1 TILEPROP INT_R_X15Y113 ROW 38 TILEPROP INT_R_X15Y113 SLR_REGION_ID 0 TILEPROP INT_R_X15Y113 TILE_PATTERN_IDX 4964 TILEPROP INT_R_X15Y113 TILE_TYPE INT_R TILEPROP INT_R_X15Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y113 TILE_X -27464 TILEPROP INT_R_X15Y113 TILE_Y 124472 TILEPROP INT_R_X15Y113 TYPE INT_R TILEPROP INT_R_X15Y114 CLASS tile TILEPROP INT_R_X15Y114 COLUMN 41 TILEPROP INT_R_X15Y114 DEVICE_ID 0 TILEPROP INT_R_X15Y114 FIRST_SITE_ID 3677 TILEPROP INT_R_X15Y114 GRID_POINT_X 41 TILEPROP INT_R_X15Y114 GRID_POINT_Y 37 TILEPROP INT_R_X15Y114 INDEX 4296 TILEPROP INT_R_X15Y114 INT_TILE_X 15 TILEPROP INT_R_X15Y114 INT_TILE_Y 35 TILEPROP INT_R_X15Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y114 IS_DCM_TILE 0 TILEPROP INT_R_X15Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y114 NAME INT_R_X15Y114 TILEPROP INT_R_X15Y114 NUM_ARCS 3737 TILEPROP INT_R_X15Y114 NUM_SITES 1 TILEPROP INT_R_X15Y114 ROW 37 TILEPROP INT_R_X15Y114 SLR_REGION_ID 0 TILEPROP INT_R_X15Y114 TILE_PATTERN_IDX 4927 TILEPROP INT_R_X15Y114 TILE_TYPE INT_R TILEPROP INT_R_X15Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y114 TILE_X -27464 TILEPROP INT_R_X15Y114 TILE_Y 127672 TILEPROP INT_R_X15Y114 TYPE INT_R TILEPROP INT_R_X15Y115 CLASS tile TILEPROP INT_R_X15Y115 COLUMN 41 TILEPROP INT_R_X15Y115 DEVICE_ID 0 TILEPROP INT_R_X15Y115 FIRST_SITE_ID 3586 TILEPROP INT_R_X15Y115 GRID_POINT_X 41 TILEPROP INT_R_X15Y115 GRID_POINT_Y 36 TILEPROP INT_R_X15Y115 INDEX 4181 TILEPROP INT_R_X15Y115 INT_TILE_X 15 TILEPROP INT_R_X15Y115 INT_TILE_Y 34 TILEPROP INT_R_X15Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y115 IS_DCM_TILE 0 TILEPROP INT_R_X15Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y115 NAME INT_R_X15Y115 TILEPROP INT_R_X15Y115 NUM_ARCS 3737 TILEPROP INT_R_X15Y115 NUM_SITES 1 TILEPROP INT_R_X15Y115 ROW 36 TILEPROP INT_R_X15Y115 SLR_REGION_ID 0 TILEPROP INT_R_X15Y115 TILE_PATTERN_IDX 4891 TILEPROP INT_R_X15Y115 TILE_TYPE INT_R TILEPROP INT_R_X15Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y115 TILE_X -27464 TILEPROP INT_R_X15Y115 TILE_Y 130872 TILEPROP INT_R_X15Y115 TYPE INT_R TILEPROP INT_R_X15Y116 CLASS tile TILEPROP INT_R_X15Y116 COLUMN 41 TILEPROP INT_R_X15Y116 DEVICE_ID 0 TILEPROP INT_R_X15Y116 FIRST_SITE_ID 3479 TILEPROP INT_R_X15Y116 GRID_POINT_X 41 TILEPROP INT_R_X15Y116 GRID_POINT_Y 35 TILEPROP INT_R_X15Y116 INDEX 4066 TILEPROP INT_R_X15Y116 INT_TILE_X 15 TILEPROP INT_R_X15Y116 INT_TILE_Y 33 TILEPROP INT_R_X15Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y116 IS_DCM_TILE 0 TILEPROP INT_R_X15Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y116 NAME INT_R_X15Y116 TILEPROP INT_R_X15Y116 NUM_ARCS 3737 TILEPROP INT_R_X15Y116 NUM_SITES 1 TILEPROP INT_R_X15Y116 ROW 35 TILEPROP INT_R_X15Y116 SLR_REGION_ID 0 TILEPROP INT_R_X15Y116 TILE_PATTERN_IDX 4854 TILEPROP INT_R_X15Y116 TILE_TYPE INT_R TILEPROP INT_R_X15Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y116 TILE_X -27464 TILEPROP INT_R_X15Y116 TILE_Y 134072 TILEPROP INT_R_X15Y116 TYPE INT_R TILEPROP INT_R_X15Y117 CLASS tile TILEPROP INT_R_X15Y117 COLUMN 41 TILEPROP INT_R_X15Y117 DEVICE_ID 0 TILEPROP INT_R_X15Y117 FIRST_SITE_ID 3391 TILEPROP INT_R_X15Y117 GRID_POINT_X 41 TILEPROP INT_R_X15Y117 GRID_POINT_Y 34 TILEPROP INT_R_X15Y117 INDEX 3951 TILEPROP INT_R_X15Y117 INT_TILE_X 15 TILEPROP INT_R_X15Y117 INT_TILE_Y 32 TILEPROP INT_R_X15Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y117 IS_DCM_TILE 0 TILEPROP INT_R_X15Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y117 NAME INT_R_X15Y117 TILEPROP INT_R_X15Y117 NUM_ARCS 3737 TILEPROP INT_R_X15Y117 NUM_SITES 1 TILEPROP INT_R_X15Y117 ROW 34 TILEPROP INT_R_X15Y117 SLR_REGION_ID 0 TILEPROP INT_R_X15Y117 TILE_PATTERN_IDX 4818 TILEPROP INT_R_X15Y117 TILE_TYPE INT_R TILEPROP INT_R_X15Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y117 TILE_X -27464 TILEPROP INT_R_X15Y117 TILE_Y 137272 TILEPROP INT_R_X15Y117 TYPE INT_R TILEPROP INT_R_X15Y118 CLASS tile TILEPROP INT_R_X15Y118 COLUMN 41 TILEPROP INT_R_X15Y118 DEVICE_ID 0 TILEPROP INT_R_X15Y118 FIRST_SITE_ID 3291 TILEPROP INT_R_X15Y118 GRID_POINT_X 41 TILEPROP INT_R_X15Y118 GRID_POINT_Y 33 TILEPROP INT_R_X15Y118 INDEX 3836 TILEPROP INT_R_X15Y118 INT_TILE_X 15 TILEPROP INT_R_X15Y118 INT_TILE_Y 31 TILEPROP INT_R_X15Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y118 IS_DCM_TILE 0 TILEPROP INT_R_X15Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y118 NAME INT_R_X15Y118 TILEPROP INT_R_X15Y118 NUM_ARCS 3737 TILEPROP INT_R_X15Y118 NUM_SITES 1 TILEPROP INT_R_X15Y118 ROW 33 TILEPROP INT_R_X15Y118 SLR_REGION_ID 0 TILEPROP INT_R_X15Y118 TILE_PATTERN_IDX 4780 TILEPROP INT_R_X15Y118 TILE_TYPE INT_R TILEPROP INT_R_X15Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y118 TILE_X -27464 TILEPROP INT_R_X15Y118 TILE_Y 140472 TILEPROP INT_R_X15Y118 TYPE INT_R TILEPROP INT_R_X15Y119 CLASS tile TILEPROP INT_R_X15Y119 COLUMN 41 TILEPROP INT_R_X15Y119 DEVICE_ID 0 TILEPROP INT_R_X15Y119 FIRST_SITE_ID 3203 TILEPROP INT_R_X15Y119 GRID_POINT_X 41 TILEPROP INT_R_X15Y119 GRID_POINT_Y 32 TILEPROP INT_R_X15Y119 INDEX 3721 TILEPROP INT_R_X15Y119 INT_TILE_X 15 TILEPROP INT_R_X15Y119 INT_TILE_Y 30 TILEPROP INT_R_X15Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y119 IS_DCM_TILE 0 TILEPROP INT_R_X15Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y119 NAME INT_R_X15Y119 TILEPROP INT_R_X15Y119 NUM_ARCS 3737 TILEPROP INT_R_X15Y119 NUM_SITES 1 TILEPROP INT_R_X15Y119 ROW 32 TILEPROP INT_R_X15Y119 SLR_REGION_ID 0 TILEPROP INT_R_X15Y119 TILE_PATTERN_IDX 4744 TILEPROP INT_R_X15Y119 TILE_TYPE INT_R TILEPROP INT_R_X15Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y119 TILE_X -27464 TILEPROP INT_R_X15Y119 TILE_Y 143672 TILEPROP INT_R_X15Y119 TYPE INT_R TILEPROP INT_R_X15Y120 CLASS tile TILEPROP INT_R_X15Y120 COLUMN 41 TILEPROP INT_R_X15Y120 DEVICE_ID 0 TILEPROP INT_R_X15Y120 FIRST_SITE_ID 3102 TILEPROP INT_R_X15Y120 GRID_POINT_X 41 TILEPROP INT_R_X15Y120 GRID_POINT_Y 31 TILEPROP INT_R_X15Y120 INDEX 3606 TILEPROP INT_R_X15Y120 INT_TILE_X 15 TILEPROP INT_R_X15Y120 INT_TILE_Y 29 TILEPROP INT_R_X15Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y120 IS_DCM_TILE 0 TILEPROP INT_R_X15Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y120 NAME INT_R_X15Y120 TILEPROP INT_R_X15Y120 NUM_ARCS 3737 TILEPROP INT_R_X15Y120 NUM_SITES 1 TILEPROP INT_R_X15Y120 ROW 31 TILEPROP INT_R_X15Y120 SLR_REGION_ID 0 TILEPROP INT_R_X15Y120 TILE_PATTERN_IDX 4707 TILEPROP INT_R_X15Y120 TILE_TYPE INT_R TILEPROP INT_R_X15Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y120 TILE_X -27464 TILEPROP INT_R_X15Y120 TILE_Y 146872 TILEPROP INT_R_X15Y120 TYPE INT_R TILEPROP INT_R_X15Y121 CLASS tile TILEPROP INT_R_X15Y121 COLUMN 41 TILEPROP INT_R_X15Y121 DEVICE_ID 0 TILEPROP INT_R_X15Y121 FIRST_SITE_ID 3008 TILEPROP INT_R_X15Y121 GRID_POINT_X 41 TILEPROP INT_R_X15Y121 GRID_POINT_Y 30 TILEPROP INT_R_X15Y121 INDEX 3491 TILEPROP INT_R_X15Y121 INT_TILE_X 15 TILEPROP INT_R_X15Y121 INT_TILE_Y 28 TILEPROP INT_R_X15Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y121 IS_DCM_TILE 0 TILEPROP INT_R_X15Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y121 NAME INT_R_X15Y121 TILEPROP INT_R_X15Y121 NUM_ARCS 3737 TILEPROP INT_R_X15Y121 NUM_SITES 1 TILEPROP INT_R_X15Y121 ROW 30 TILEPROP INT_R_X15Y121 SLR_REGION_ID 0 TILEPROP INT_R_X15Y121 TILE_PATTERN_IDX 4671 TILEPROP INT_R_X15Y121 TILE_TYPE INT_R TILEPROP INT_R_X15Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y121 TILE_X -27464 TILEPROP INT_R_X15Y121 TILE_Y 150072 TILEPROP INT_R_X15Y121 TYPE INT_R TILEPROP INT_R_X15Y122 CLASS tile TILEPROP INT_R_X15Y122 COLUMN 41 TILEPROP INT_R_X15Y122 DEVICE_ID 0 TILEPROP INT_R_X15Y122 FIRST_SITE_ID 2905 TILEPROP INT_R_X15Y122 GRID_POINT_X 41 TILEPROP INT_R_X15Y122 GRID_POINT_Y 29 TILEPROP INT_R_X15Y122 INDEX 3376 TILEPROP INT_R_X15Y122 INT_TILE_X 15 TILEPROP INT_R_X15Y122 INT_TILE_Y 27 TILEPROP INT_R_X15Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y122 IS_DCM_TILE 0 TILEPROP INT_R_X15Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y122 NAME INT_R_X15Y122 TILEPROP INT_R_X15Y122 NUM_ARCS 3737 TILEPROP INT_R_X15Y122 NUM_SITES 1 TILEPROP INT_R_X15Y122 ROW 29 TILEPROP INT_R_X15Y122 SLR_REGION_ID 0 TILEPROP INT_R_X15Y122 TILE_PATTERN_IDX 4633 TILEPROP INT_R_X15Y122 TILE_TYPE INT_R TILEPROP INT_R_X15Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y122 TILE_X -27464 TILEPROP INT_R_X15Y122 TILE_Y 153272 TILEPROP INT_R_X15Y122 TYPE INT_R TILEPROP INT_R_X15Y123 CLASS tile TILEPROP INT_R_X15Y123 COLUMN 41 TILEPROP INT_R_X15Y123 DEVICE_ID 0 TILEPROP INT_R_X15Y123 FIRST_SITE_ID 2817 TILEPROP INT_R_X15Y123 GRID_POINT_X 41 TILEPROP INT_R_X15Y123 GRID_POINT_Y 28 TILEPROP INT_R_X15Y123 INDEX 3261 TILEPROP INT_R_X15Y123 INT_TILE_X 15 TILEPROP INT_R_X15Y123 INT_TILE_Y 26 TILEPROP INT_R_X15Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y123 IS_DCM_TILE 0 TILEPROP INT_R_X15Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y123 NAME INT_R_X15Y123 TILEPROP INT_R_X15Y123 NUM_ARCS 3737 TILEPROP INT_R_X15Y123 NUM_SITES 1 TILEPROP INT_R_X15Y123 ROW 28 TILEPROP INT_R_X15Y123 SLR_REGION_ID 0 TILEPROP INT_R_X15Y123 TILE_PATTERN_IDX 4597 TILEPROP INT_R_X15Y123 TILE_TYPE INT_R TILEPROP INT_R_X15Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y123 TILE_X -27464 TILEPROP INT_R_X15Y123 TILE_Y 156472 TILEPROP INT_R_X15Y123 TYPE INT_R TILEPROP INT_R_X15Y124 CLASS tile TILEPROP INT_R_X15Y124 COLUMN 41 TILEPROP INT_R_X15Y124 DEVICE_ID 0 TILEPROP INT_R_X15Y124 FIRST_SITE_ID 2721 TILEPROP INT_R_X15Y124 GRID_POINT_X 41 TILEPROP INT_R_X15Y124 GRID_POINT_Y 27 TILEPROP INT_R_X15Y124 INDEX 3146 TILEPROP INT_R_X15Y124 INT_TILE_X 15 TILEPROP INT_R_X15Y124 INT_TILE_Y 25 TILEPROP INT_R_X15Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y124 IS_DCM_TILE 0 TILEPROP INT_R_X15Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y124 NAME INT_R_X15Y124 TILEPROP INT_R_X15Y124 NUM_ARCS 3737 TILEPROP INT_R_X15Y124 NUM_SITES 1 TILEPROP INT_R_X15Y124 ROW 27 TILEPROP INT_R_X15Y124 SLR_REGION_ID 0 TILEPROP INT_R_X15Y124 TILE_PATTERN_IDX 4560 TILEPROP INT_R_X15Y124 TILE_TYPE INT_R TILEPROP INT_R_X15Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y124 TILE_X -27464 TILEPROP INT_R_X15Y124 TILE_Y 159672 TILEPROP INT_R_X15Y124 TYPE INT_R TILEPROP INT_R_X15Y125 CLASS tile TILEPROP INT_R_X15Y125 COLUMN 41 TILEPROP INT_R_X15Y125 DEVICE_ID 0 TILEPROP INT_R_X15Y125 FIRST_SITE_ID 2548 TILEPROP INT_R_X15Y125 GRID_POINT_X 41 TILEPROP INT_R_X15Y125 GRID_POINT_Y 25 TILEPROP INT_R_X15Y125 INDEX 2916 TILEPROP INT_R_X15Y125 INT_TILE_X 15 TILEPROP INT_R_X15Y125 INT_TILE_Y 24 TILEPROP INT_R_X15Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y125 IS_DCM_TILE 0 TILEPROP INT_R_X15Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y125 NAME INT_R_X15Y125 TILEPROP INT_R_X15Y125 NUM_ARCS 3737 TILEPROP INT_R_X15Y125 NUM_SITES 1 TILEPROP INT_R_X15Y125 ROW 25 TILEPROP INT_R_X15Y125 SLR_REGION_ID 0 TILEPROP INT_R_X15Y125 TILE_PATTERN_IDX 4481 TILEPROP INT_R_X15Y125 TILE_TYPE INT_R TILEPROP INT_R_X15Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y125 TILE_X -27464 TILEPROP INT_R_X15Y125 TILE_Y 163896 TILEPROP INT_R_X15Y125 TYPE INT_R TILEPROP INT_R_X15Y126 CLASS tile TILEPROP INT_R_X15Y126 COLUMN 41 TILEPROP INT_R_X15Y126 DEVICE_ID 0 TILEPROP INT_R_X15Y126 FIRST_SITE_ID 2438 TILEPROP INT_R_X15Y126 GRID_POINT_X 41 TILEPROP INT_R_X15Y126 GRID_POINT_Y 24 TILEPROP INT_R_X15Y126 INDEX 2801 TILEPROP INT_R_X15Y126 INT_TILE_X 15 TILEPROP INT_R_X15Y126 INT_TILE_Y 23 TILEPROP INT_R_X15Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y126 IS_DCM_TILE 0 TILEPROP INT_R_X15Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y126 NAME INT_R_X15Y126 TILEPROP INT_R_X15Y126 NUM_ARCS 3737 TILEPROP INT_R_X15Y126 NUM_SITES 1 TILEPROP INT_R_X15Y126 ROW 24 TILEPROP INT_R_X15Y126 SLR_REGION_ID 0 TILEPROP INT_R_X15Y126 TILE_PATTERN_IDX 4442 TILEPROP INT_R_X15Y126 TILE_TYPE INT_R TILEPROP INT_R_X15Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y126 TILE_X -27464 TILEPROP INT_R_X15Y126 TILE_Y 167096 TILEPROP INT_R_X15Y126 TYPE INT_R TILEPROP INT_R_X15Y127 CLASS tile TILEPROP INT_R_X15Y127 COLUMN 41 TILEPROP INT_R_X15Y127 DEVICE_ID 0 TILEPROP INT_R_X15Y127 FIRST_SITE_ID 2342 TILEPROP INT_R_X15Y127 GRID_POINT_X 41 TILEPROP INT_R_X15Y127 GRID_POINT_Y 23 TILEPROP INT_R_X15Y127 INDEX 2686 TILEPROP INT_R_X15Y127 INT_TILE_X 15 TILEPROP INT_R_X15Y127 INT_TILE_Y 22 TILEPROP INT_R_X15Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y127 IS_DCM_TILE 0 TILEPROP INT_R_X15Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y127 NAME INT_R_X15Y127 TILEPROP INT_R_X15Y127 NUM_ARCS 3737 TILEPROP INT_R_X15Y127 NUM_SITES 1 TILEPROP INT_R_X15Y127 ROW 23 TILEPROP INT_R_X15Y127 SLR_REGION_ID 0 TILEPROP INT_R_X15Y127 TILE_PATTERN_IDX 4404 TILEPROP INT_R_X15Y127 TILE_TYPE INT_R TILEPROP INT_R_X15Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y127 TILE_X -27464 TILEPROP INT_R_X15Y127 TILE_Y 170296 TILEPROP INT_R_X15Y127 TYPE INT_R TILEPROP INT_R_X15Y128 CLASS tile TILEPROP INT_R_X15Y128 COLUMN 41 TILEPROP INT_R_X15Y128 DEVICE_ID 0 TILEPROP INT_R_X15Y128 FIRST_SITE_ID 2238 TILEPROP INT_R_X15Y128 GRID_POINT_X 41 TILEPROP INT_R_X15Y128 GRID_POINT_Y 22 TILEPROP INT_R_X15Y128 INDEX 2571 TILEPROP INT_R_X15Y128 INT_TILE_X 15 TILEPROP INT_R_X15Y128 INT_TILE_Y 21 TILEPROP INT_R_X15Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y128 IS_DCM_TILE 0 TILEPROP INT_R_X15Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y128 NAME INT_R_X15Y128 TILEPROP INT_R_X15Y128 NUM_ARCS 3737 TILEPROP INT_R_X15Y128 NUM_SITES 1 TILEPROP INT_R_X15Y128 ROW 22 TILEPROP INT_R_X15Y128 SLR_REGION_ID 0 TILEPROP INT_R_X15Y128 TILE_PATTERN_IDX 4365 TILEPROP INT_R_X15Y128 TILE_TYPE INT_R TILEPROP INT_R_X15Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y128 TILE_X -27464 TILEPROP INT_R_X15Y128 TILE_Y 173496 TILEPROP INT_R_X15Y128 TYPE INT_R TILEPROP INT_R_X15Y129 CLASS tile TILEPROP INT_R_X15Y129 COLUMN 41 TILEPROP INT_R_X15Y129 DEVICE_ID 0 TILEPROP INT_R_X15Y129 FIRST_SITE_ID 2142 TILEPROP INT_R_X15Y129 GRID_POINT_X 41 TILEPROP INT_R_X15Y129 GRID_POINT_Y 21 TILEPROP INT_R_X15Y129 INDEX 2456 TILEPROP INT_R_X15Y129 INT_TILE_X 15 TILEPROP INT_R_X15Y129 INT_TILE_Y 20 TILEPROP INT_R_X15Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y129 IS_DCM_TILE 0 TILEPROP INT_R_X15Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y129 NAME INT_R_X15Y129 TILEPROP INT_R_X15Y129 NUM_ARCS 3737 TILEPROP INT_R_X15Y129 NUM_SITES 1 TILEPROP INT_R_X15Y129 ROW 21 TILEPROP INT_R_X15Y129 SLR_REGION_ID 0 TILEPROP INT_R_X15Y129 TILE_PATTERN_IDX 4327 TILEPROP INT_R_X15Y129 TILE_TYPE INT_R TILEPROP INT_R_X15Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y129 TILE_X -27464 TILEPROP INT_R_X15Y129 TILE_Y 176696 TILEPROP INT_R_X15Y129 TYPE INT_R TILEPROP INT_R_X15Y130 CLASS tile TILEPROP INT_R_X15Y130 COLUMN 41 TILEPROP INT_R_X15Y130 DEVICE_ID 0 TILEPROP INT_R_X15Y130 FIRST_SITE_ID 2026 TILEPROP INT_R_X15Y130 GRID_POINT_X 41 TILEPROP INT_R_X15Y130 GRID_POINT_Y 20 TILEPROP INT_R_X15Y130 INDEX 2341 TILEPROP INT_R_X15Y130 INT_TILE_X 15 TILEPROP INT_R_X15Y130 INT_TILE_Y 19 TILEPROP INT_R_X15Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y130 IS_DCM_TILE 0 TILEPROP INT_R_X15Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y130 NAME INT_R_X15Y130 TILEPROP INT_R_X15Y130 NUM_ARCS 3737 TILEPROP INT_R_X15Y130 NUM_SITES 1 TILEPROP INT_R_X15Y130 ROW 20 TILEPROP INT_R_X15Y130 SLR_REGION_ID 0 TILEPROP INT_R_X15Y130 TILE_PATTERN_IDX 4287 TILEPROP INT_R_X15Y130 TILE_TYPE INT_R TILEPROP INT_R_X15Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y130 TILE_X -27464 TILEPROP INT_R_X15Y130 TILE_Y 179896 TILEPROP INT_R_X15Y130 TYPE INT_R TILEPROP INT_R_X15Y131 CLASS tile TILEPROP INT_R_X15Y131 COLUMN 41 TILEPROP INT_R_X15Y131 DEVICE_ID 0 TILEPROP INT_R_X15Y131 FIRST_SITE_ID 1924 TILEPROP INT_R_X15Y131 GRID_POINT_X 41 TILEPROP INT_R_X15Y131 GRID_POINT_Y 19 TILEPROP INT_R_X15Y131 INDEX 2226 TILEPROP INT_R_X15Y131 INT_TILE_X 15 TILEPROP INT_R_X15Y131 INT_TILE_Y 18 TILEPROP INT_R_X15Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y131 IS_DCM_TILE 0 TILEPROP INT_R_X15Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y131 NAME INT_R_X15Y131 TILEPROP INT_R_X15Y131 NUM_ARCS 3737 TILEPROP INT_R_X15Y131 NUM_SITES 1 TILEPROP INT_R_X15Y131 ROW 19 TILEPROP INT_R_X15Y131 SLR_REGION_ID 0 TILEPROP INT_R_X15Y131 TILE_PATTERN_IDX 4249 TILEPROP INT_R_X15Y131 TILE_TYPE INT_R TILEPROP INT_R_X15Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y131 TILE_X -27464 TILEPROP INT_R_X15Y131 TILE_Y 183096 TILEPROP INT_R_X15Y131 TYPE INT_R TILEPROP INT_R_X15Y132 CLASS tile TILEPROP INT_R_X15Y132 COLUMN 41 TILEPROP INT_R_X15Y132 DEVICE_ID 0 TILEPROP INT_R_X15Y132 FIRST_SITE_ID 1818 TILEPROP INT_R_X15Y132 GRID_POINT_X 41 TILEPROP INT_R_X15Y132 GRID_POINT_Y 18 TILEPROP INT_R_X15Y132 INDEX 2111 TILEPROP INT_R_X15Y132 INT_TILE_X 15 TILEPROP INT_R_X15Y132 INT_TILE_Y 17 TILEPROP INT_R_X15Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y132 IS_DCM_TILE 0 TILEPROP INT_R_X15Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y132 NAME INT_R_X15Y132 TILEPROP INT_R_X15Y132 NUM_ARCS 3737 TILEPROP INT_R_X15Y132 NUM_SITES 1 TILEPROP INT_R_X15Y132 ROW 18 TILEPROP INT_R_X15Y132 SLR_REGION_ID 0 TILEPROP INT_R_X15Y132 TILE_PATTERN_IDX 4210 TILEPROP INT_R_X15Y132 TILE_TYPE INT_R TILEPROP INT_R_X15Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y132 TILE_X -27464 TILEPROP INT_R_X15Y132 TILE_Y 186296 TILEPROP INT_R_X15Y132 TYPE INT_R TILEPROP INT_R_X15Y133 CLASS tile TILEPROP INT_R_X15Y133 COLUMN 41 TILEPROP INT_R_X15Y133 DEVICE_ID 0 TILEPROP INT_R_X15Y133 FIRST_SITE_ID 1717 TILEPROP INT_R_X15Y133 GRID_POINT_X 41 TILEPROP INT_R_X15Y133 GRID_POINT_Y 17 TILEPROP INT_R_X15Y133 INDEX 1996 TILEPROP INT_R_X15Y133 INT_TILE_X 15 TILEPROP INT_R_X15Y133 INT_TILE_Y 16 TILEPROP INT_R_X15Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y133 IS_DCM_TILE 0 TILEPROP INT_R_X15Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y133 NAME INT_R_X15Y133 TILEPROP INT_R_X15Y133 NUM_ARCS 3737 TILEPROP INT_R_X15Y133 NUM_SITES 1 TILEPROP INT_R_X15Y133 ROW 17 TILEPROP INT_R_X15Y133 SLR_REGION_ID 0 TILEPROP INT_R_X15Y133 TILE_PATTERN_IDX 4172 TILEPROP INT_R_X15Y133 TILE_TYPE INT_R TILEPROP INT_R_X15Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y133 TILE_X -27464 TILEPROP INT_R_X15Y133 TILE_Y 189496 TILEPROP INT_R_X15Y133 TYPE INT_R TILEPROP INT_R_X15Y134 CLASS tile TILEPROP INT_R_X15Y134 COLUMN 41 TILEPROP INT_R_X15Y134 DEVICE_ID 0 TILEPROP INT_R_X15Y134 FIRST_SITE_ID 1613 TILEPROP INT_R_X15Y134 GRID_POINT_X 41 TILEPROP INT_R_X15Y134 GRID_POINT_Y 16 TILEPROP INT_R_X15Y134 INDEX 1881 TILEPROP INT_R_X15Y134 INT_TILE_X 15 TILEPROP INT_R_X15Y134 INT_TILE_Y 15 TILEPROP INT_R_X15Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y134 IS_DCM_TILE 0 TILEPROP INT_R_X15Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y134 NAME INT_R_X15Y134 TILEPROP INT_R_X15Y134 NUM_ARCS 3737 TILEPROP INT_R_X15Y134 NUM_SITES 1 TILEPROP INT_R_X15Y134 ROW 16 TILEPROP INT_R_X15Y134 SLR_REGION_ID 0 TILEPROP INT_R_X15Y134 TILE_PATTERN_IDX 4133 TILEPROP INT_R_X15Y134 TILE_TYPE INT_R TILEPROP INT_R_X15Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y134 TILE_X -27464 TILEPROP INT_R_X15Y134 TILE_Y 192696 TILEPROP INT_R_X15Y134 TYPE INT_R TILEPROP INT_R_X15Y135 CLASS tile TILEPROP INT_R_X15Y135 COLUMN 41 TILEPROP INT_R_X15Y135 DEVICE_ID 0 TILEPROP INT_R_X15Y135 FIRST_SITE_ID 1511 TILEPROP INT_R_X15Y135 GRID_POINT_X 41 TILEPROP INT_R_X15Y135 GRID_POINT_Y 15 TILEPROP INT_R_X15Y135 INDEX 1766 TILEPROP INT_R_X15Y135 INT_TILE_X 15 TILEPROP INT_R_X15Y135 INT_TILE_Y 14 TILEPROP INT_R_X15Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y135 IS_DCM_TILE 0 TILEPROP INT_R_X15Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y135 NAME INT_R_X15Y135 TILEPROP INT_R_X15Y135 NUM_ARCS 3737 TILEPROP INT_R_X15Y135 NUM_SITES 1 TILEPROP INT_R_X15Y135 ROW 15 TILEPROP INT_R_X15Y135 SLR_REGION_ID 0 TILEPROP INT_R_X15Y135 TILE_PATTERN_IDX 4095 TILEPROP INT_R_X15Y135 TILE_TYPE INT_R TILEPROP INT_R_X15Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y135 TILE_X -27464 TILEPROP INT_R_X15Y135 TILE_Y 195896 TILEPROP INT_R_X15Y135 TYPE INT_R TILEPROP INT_R_X15Y136 CLASS tile TILEPROP INT_R_X15Y136 COLUMN 41 TILEPROP INT_R_X15Y136 DEVICE_ID 0 TILEPROP INT_R_X15Y136 FIRST_SITE_ID 1369 TILEPROP INT_R_X15Y136 GRID_POINT_X 41 TILEPROP INT_R_X15Y136 GRID_POINT_Y 14 TILEPROP INT_R_X15Y136 INDEX 1651 TILEPROP INT_R_X15Y136 INT_TILE_X 15 TILEPROP INT_R_X15Y136 INT_TILE_Y 13 TILEPROP INT_R_X15Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y136 IS_DCM_TILE 0 TILEPROP INT_R_X15Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y136 NAME INT_R_X15Y136 TILEPROP INT_R_X15Y136 NUM_ARCS 3737 TILEPROP INT_R_X15Y136 NUM_SITES 1 TILEPROP INT_R_X15Y136 ROW 14 TILEPROP INT_R_X15Y136 SLR_REGION_ID 0 TILEPROP INT_R_X15Y136 TILE_PATTERN_IDX 4056 TILEPROP INT_R_X15Y136 TILE_TYPE INT_R TILEPROP INT_R_X15Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y136 TILE_X -27464 TILEPROP INT_R_X15Y136 TILE_Y 199096 TILEPROP INT_R_X15Y136 TYPE INT_R TILEPROP INT_R_X15Y137 CLASS tile TILEPROP INT_R_X15Y137 COLUMN 41 TILEPROP INT_R_X15Y137 DEVICE_ID 0 TILEPROP INT_R_X15Y137 FIRST_SITE_ID 1273 TILEPROP INT_R_X15Y137 GRID_POINT_X 41 TILEPROP INT_R_X15Y137 GRID_POINT_Y 13 TILEPROP INT_R_X15Y137 INDEX 1536 TILEPROP INT_R_X15Y137 INT_TILE_X 15 TILEPROP INT_R_X15Y137 INT_TILE_Y 12 TILEPROP INT_R_X15Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y137 IS_DCM_TILE 0 TILEPROP INT_R_X15Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y137 NAME INT_R_X15Y137 TILEPROP INT_R_X15Y137 NUM_ARCS 3737 TILEPROP INT_R_X15Y137 NUM_SITES 1 TILEPROP INT_R_X15Y137 ROW 13 TILEPROP INT_R_X15Y137 SLR_REGION_ID 0 TILEPROP INT_R_X15Y137 TILE_PATTERN_IDX 4018 TILEPROP INT_R_X15Y137 TILE_TYPE INT_R TILEPROP INT_R_X15Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y137 TILE_X -27464 TILEPROP INT_R_X15Y137 TILE_Y 202296 TILEPROP INT_R_X15Y137 TYPE INT_R TILEPROP INT_R_X15Y138 CLASS tile TILEPROP INT_R_X15Y138 COLUMN 41 TILEPROP INT_R_X15Y138 DEVICE_ID 0 TILEPROP INT_R_X15Y138 FIRST_SITE_ID 1169 TILEPROP INT_R_X15Y138 GRID_POINT_X 41 TILEPROP INT_R_X15Y138 GRID_POINT_Y 12 TILEPROP INT_R_X15Y138 INDEX 1421 TILEPROP INT_R_X15Y138 INT_TILE_X 15 TILEPROP INT_R_X15Y138 INT_TILE_Y 11 TILEPROP INT_R_X15Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y138 IS_DCM_TILE 0 TILEPROP INT_R_X15Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y138 NAME INT_R_X15Y138 TILEPROP INT_R_X15Y138 NUM_ARCS 3737 TILEPROP INT_R_X15Y138 NUM_SITES 1 TILEPROP INT_R_X15Y138 ROW 12 TILEPROP INT_R_X15Y138 SLR_REGION_ID 0 TILEPROP INT_R_X15Y138 TILE_PATTERN_IDX 3979 TILEPROP INT_R_X15Y138 TILE_TYPE INT_R TILEPROP INT_R_X15Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y138 TILE_X -27464 TILEPROP INT_R_X15Y138 TILE_Y 205496 TILEPROP INT_R_X15Y138 TYPE INT_R TILEPROP INT_R_X15Y139 CLASS tile TILEPROP INT_R_X15Y139 COLUMN 41 TILEPROP INT_R_X15Y139 DEVICE_ID 0 TILEPROP INT_R_X15Y139 FIRST_SITE_ID 1073 TILEPROP INT_R_X15Y139 GRID_POINT_X 41 TILEPROP INT_R_X15Y139 GRID_POINT_Y 11 TILEPROP INT_R_X15Y139 INDEX 1306 TILEPROP INT_R_X15Y139 INT_TILE_X 15 TILEPROP INT_R_X15Y139 INT_TILE_Y 10 TILEPROP INT_R_X15Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y139 IS_DCM_TILE 0 TILEPROP INT_R_X15Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y139 NAME INT_R_X15Y139 TILEPROP INT_R_X15Y139 NUM_ARCS 3737 TILEPROP INT_R_X15Y139 NUM_SITES 1 TILEPROP INT_R_X15Y139 ROW 11 TILEPROP INT_R_X15Y139 SLR_REGION_ID 0 TILEPROP INT_R_X15Y139 TILE_PATTERN_IDX 3941 TILEPROP INT_R_X15Y139 TILE_TYPE INT_R TILEPROP INT_R_X15Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y139 TILE_X -27464 TILEPROP INT_R_X15Y139 TILE_Y 208696 TILEPROP INT_R_X15Y139 TYPE INT_R TILEPROP INT_R_X15Y140 CLASS tile TILEPROP INT_R_X15Y140 COLUMN 41 TILEPROP INT_R_X15Y140 DEVICE_ID 0 TILEPROP INT_R_X15Y140 FIRST_SITE_ID 963 TILEPROP INT_R_X15Y140 GRID_POINT_X 41 TILEPROP INT_R_X15Y140 GRID_POINT_Y 10 TILEPROP INT_R_X15Y140 INDEX 1191 TILEPROP INT_R_X15Y140 INT_TILE_X 15 TILEPROP INT_R_X15Y140 INT_TILE_Y 9 TILEPROP INT_R_X15Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y140 IS_DCM_TILE 0 TILEPROP INT_R_X15Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y140 NAME INT_R_X15Y140 TILEPROP INT_R_X15Y140 NUM_ARCS 3737 TILEPROP INT_R_X15Y140 NUM_SITES 1 TILEPROP INT_R_X15Y140 ROW 10 TILEPROP INT_R_X15Y140 SLR_REGION_ID 0 TILEPROP INT_R_X15Y140 TILE_PATTERN_IDX 3902 TILEPROP INT_R_X15Y140 TILE_TYPE INT_R TILEPROP INT_R_X15Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y140 TILE_X -27464 TILEPROP INT_R_X15Y140 TILE_Y 211896 TILEPROP INT_R_X15Y140 TYPE INT_R TILEPROP INT_R_X15Y141 CLASS tile TILEPROP INT_R_X15Y141 COLUMN 41 TILEPROP INT_R_X15Y141 DEVICE_ID 0 TILEPROP INT_R_X15Y141 FIRST_SITE_ID 861 TILEPROP INT_R_X15Y141 GRID_POINT_X 41 TILEPROP INT_R_X15Y141 GRID_POINT_Y 9 TILEPROP INT_R_X15Y141 INDEX 1076 TILEPROP INT_R_X15Y141 INT_TILE_X 15 TILEPROP INT_R_X15Y141 INT_TILE_Y 8 TILEPROP INT_R_X15Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y141 IS_DCM_TILE 0 TILEPROP INT_R_X15Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y141 NAME INT_R_X15Y141 TILEPROP INT_R_X15Y141 NUM_ARCS 3737 TILEPROP INT_R_X15Y141 NUM_SITES 1 TILEPROP INT_R_X15Y141 ROW 9 TILEPROP INT_R_X15Y141 SLR_REGION_ID 0 TILEPROP INT_R_X15Y141 TILE_PATTERN_IDX 3864 TILEPROP INT_R_X15Y141 TILE_TYPE INT_R TILEPROP INT_R_X15Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y141 TILE_X -27464 TILEPROP INT_R_X15Y141 TILE_Y 215096 TILEPROP INT_R_X15Y141 TYPE INT_R TILEPROP INT_R_X15Y142 CLASS tile TILEPROP INT_R_X15Y142 COLUMN 41 TILEPROP INT_R_X15Y142 DEVICE_ID 0 TILEPROP INT_R_X15Y142 FIRST_SITE_ID 757 TILEPROP INT_R_X15Y142 GRID_POINT_X 41 TILEPROP INT_R_X15Y142 GRID_POINT_Y 8 TILEPROP INT_R_X15Y142 INDEX 961 TILEPROP INT_R_X15Y142 INT_TILE_X 15 TILEPROP INT_R_X15Y142 INT_TILE_Y 7 TILEPROP INT_R_X15Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y142 IS_DCM_TILE 0 TILEPROP INT_R_X15Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y142 NAME INT_R_X15Y142 TILEPROP INT_R_X15Y142 NUM_ARCS 3737 TILEPROP INT_R_X15Y142 NUM_SITES 1 TILEPROP INT_R_X15Y142 ROW 8 TILEPROP INT_R_X15Y142 SLR_REGION_ID 0 TILEPROP INT_R_X15Y142 TILE_PATTERN_IDX 3825 TILEPROP INT_R_X15Y142 TILE_TYPE INT_R TILEPROP INT_R_X15Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y142 TILE_X -27464 TILEPROP INT_R_X15Y142 TILE_Y 218296 TILEPROP INT_R_X15Y142 TYPE INT_R TILEPROP INT_R_X15Y143 CLASS tile TILEPROP INT_R_X15Y143 COLUMN 41 TILEPROP INT_R_X15Y143 DEVICE_ID 0 TILEPROP INT_R_X15Y143 FIRST_SITE_ID 660 TILEPROP INT_R_X15Y143 GRID_POINT_X 41 TILEPROP INT_R_X15Y143 GRID_POINT_Y 7 TILEPROP INT_R_X15Y143 INDEX 846 TILEPROP INT_R_X15Y143 INT_TILE_X 15 TILEPROP INT_R_X15Y143 INT_TILE_Y 6 TILEPROP INT_R_X15Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y143 IS_DCM_TILE 0 TILEPROP INT_R_X15Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y143 NAME INT_R_X15Y143 TILEPROP INT_R_X15Y143 NUM_ARCS 3737 TILEPROP INT_R_X15Y143 NUM_SITES 1 TILEPROP INT_R_X15Y143 ROW 7 TILEPROP INT_R_X15Y143 SLR_REGION_ID 0 TILEPROP INT_R_X15Y143 TILE_PATTERN_IDX 3787 TILEPROP INT_R_X15Y143 TILE_TYPE INT_R TILEPROP INT_R_X15Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y143 TILE_X -27464 TILEPROP INT_R_X15Y143 TILE_Y 221496 TILEPROP INT_R_X15Y143 TYPE INT_R TILEPROP INT_R_X15Y144 CLASS tile TILEPROP INT_R_X15Y144 COLUMN 41 TILEPROP INT_R_X15Y144 DEVICE_ID 0 TILEPROP INT_R_X15Y144 FIRST_SITE_ID 549 TILEPROP INT_R_X15Y144 GRID_POINT_X 41 TILEPROP INT_R_X15Y144 GRID_POINT_Y 6 TILEPROP INT_R_X15Y144 INDEX 731 TILEPROP INT_R_X15Y144 INT_TILE_X 15 TILEPROP INT_R_X15Y144 INT_TILE_Y 5 TILEPROP INT_R_X15Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y144 IS_DCM_TILE 0 TILEPROP INT_R_X15Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y144 NAME INT_R_X15Y144 TILEPROP INT_R_X15Y144 NUM_ARCS 3737 TILEPROP INT_R_X15Y144 NUM_SITES 1 TILEPROP INT_R_X15Y144 ROW 6 TILEPROP INT_R_X15Y144 SLR_REGION_ID 0 TILEPROP INT_R_X15Y144 TILE_PATTERN_IDX 3748 TILEPROP INT_R_X15Y144 TILE_TYPE INT_R TILEPROP INT_R_X15Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y144 TILE_X -27464 TILEPROP INT_R_X15Y144 TILE_Y 224696 TILEPROP INT_R_X15Y144 TYPE INT_R TILEPROP INT_R_X15Y145 CLASS tile TILEPROP INT_R_X15Y145 COLUMN 41 TILEPROP INT_R_X15Y145 DEVICE_ID 0 TILEPROP INT_R_X15Y145 FIRST_SITE_ID 447 TILEPROP INT_R_X15Y145 GRID_POINT_X 41 TILEPROP INT_R_X15Y145 GRID_POINT_Y 5 TILEPROP INT_R_X15Y145 INDEX 616 TILEPROP INT_R_X15Y145 INT_TILE_X 15 TILEPROP INT_R_X15Y145 INT_TILE_Y 4 TILEPROP INT_R_X15Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y145 IS_DCM_TILE 0 TILEPROP INT_R_X15Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y145 NAME INT_R_X15Y145 TILEPROP INT_R_X15Y145 NUM_ARCS 3737 TILEPROP INT_R_X15Y145 NUM_SITES 1 TILEPROP INT_R_X15Y145 ROW 5 TILEPROP INT_R_X15Y145 SLR_REGION_ID 0 TILEPROP INT_R_X15Y145 TILE_PATTERN_IDX 3710 TILEPROP INT_R_X15Y145 TILE_TYPE INT_R TILEPROP INT_R_X15Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y145 TILE_X -27464 TILEPROP INT_R_X15Y145 TILE_Y 227896 TILEPROP INT_R_X15Y145 TYPE INT_R TILEPROP INT_R_X15Y146 CLASS tile TILEPROP INT_R_X15Y146 COLUMN 41 TILEPROP INT_R_X15Y146 DEVICE_ID 0 TILEPROP INT_R_X15Y146 FIRST_SITE_ID 337 TILEPROP INT_R_X15Y146 GRID_POINT_X 41 TILEPROP INT_R_X15Y146 GRID_POINT_Y 4 TILEPROP INT_R_X15Y146 INDEX 501 TILEPROP INT_R_X15Y146 INT_TILE_X 15 TILEPROP INT_R_X15Y146 INT_TILE_Y 3 TILEPROP INT_R_X15Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y146 IS_DCM_TILE 0 TILEPROP INT_R_X15Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y146 NAME INT_R_X15Y146 TILEPROP INT_R_X15Y146 NUM_ARCS 3737 TILEPROP INT_R_X15Y146 NUM_SITES 1 TILEPROP INT_R_X15Y146 ROW 4 TILEPROP INT_R_X15Y146 SLR_REGION_ID 0 TILEPROP INT_R_X15Y146 TILE_PATTERN_IDX 3671 TILEPROP INT_R_X15Y146 TILE_TYPE INT_R TILEPROP INT_R_X15Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y146 TILE_X -27464 TILEPROP INT_R_X15Y146 TILE_Y 231096 TILEPROP INT_R_X15Y146 TYPE INT_R TILEPROP INT_R_X15Y147 CLASS tile TILEPROP INT_R_X15Y147 COLUMN 41 TILEPROP INT_R_X15Y147 DEVICE_ID 0 TILEPROP INT_R_X15Y147 FIRST_SITE_ID 241 TILEPROP INT_R_X15Y147 GRID_POINT_X 41 TILEPROP INT_R_X15Y147 GRID_POINT_Y 3 TILEPROP INT_R_X15Y147 INDEX 386 TILEPROP INT_R_X15Y147 INT_TILE_X 15 TILEPROP INT_R_X15Y147 INT_TILE_Y 2 TILEPROP INT_R_X15Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y147 IS_DCM_TILE 0 TILEPROP INT_R_X15Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y147 NAME INT_R_X15Y147 TILEPROP INT_R_X15Y147 NUM_ARCS 3737 TILEPROP INT_R_X15Y147 NUM_SITES 1 TILEPROP INT_R_X15Y147 ROW 3 TILEPROP INT_R_X15Y147 SLR_REGION_ID 0 TILEPROP INT_R_X15Y147 TILE_PATTERN_IDX 3633 TILEPROP INT_R_X15Y147 TILE_TYPE INT_R TILEPROP INT_R_X15Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y147 TILE_X -27464 TILEPROP INT_R_X15Y147 TILE_Y 234296 TILEPROP INT_R_X15Y147 TYPE INT_R TILEPROP INT_R_X15Y148 CLASS tile TILEPROP INT_R_X15Y148 COLUMN 41 TILEPROP INT_R_X15Y148 DEVICE_ID 0 TILEPROP INT_R_X15Y148 FIRST_SITE_ID 137 TILEPROP INT_R_X15Y148 GRID_POINT_X 41 TILEPROP INT_R_X15Y148 GRID_POINT_Y 2 TILEPROP INT_R_X15Y148 INDEX 271 TILEPROP INT_R_X15Y148 INT_TILE_X 15 TILEPROP INT_R_X15Y148 INT_TILE_Y 1 TILEPROP INT_R_X15Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y148 IS_DCM_TILE 0 TILEPROP INT_R_X15Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y148 NAME INT_R_X15Y148 TILEPROP INT_R_X15Y148 NUM_ARCS 3737 TILEPROP INT_R_X15Y148 NUM_SITES 1 TILEPROP INT_R_X15Y148 ROW 2 TILEPROP INT_R_X15Y148 SLR_REGION_ID 0 TILEPROP INT_R_X15Y148 TILE_PATTERN_IDX 3594 TILEPROP INT_R_X15Y148 TILE_TYPE INT_R TILEPROP INT_R_X15Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y148 TILE_X -27464 TILEPROP INT_R_X15Y148 TILE_Y 237496 TILEPROP INT_R_X15Y148 TYPE INT_R TILEPROP INT_R_X15Y149 CLASS tile TILEPROP INT_R_X15Y149 COLUMN 41 TILEPROP INT_R_X15Y149 DEVICE_ID 0 TILEPROP INT_R_X15Y149 FIRST_SITE_ID 41 TILEPROP INT_R_X15Y149 GRID_POINT_X 41 TILEPROP INT_R_X15Y149 GRID_POINT_Y 1 TILEPROP INT_R_X15Y149 INDEX 156 TILEPROP INT_R_X15Y149 INT_TILE_X 15 TILEPROP INT_R_X15Y149 INT_TILE_Y 0 TILEPROP INT_R_X15Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X15Y149 IS_DCM_TILE 0 TILEPROP INT_R_X15Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X15Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X15Y149 NAME INT_R_X15Y149 TILEPROP INT_R_X15Y149 NUM_ARCS 3737 TILEPROP INT_R_X15Y149 NUM_SITES 1 TILEPROP INT_R_X15Y149 ROW 1 TILEPROP INT_R_X15Y149 SLR_REGION_ID 0 TILEPROP INT_R_X15Y149 TILE_PATTERN_IDX 3556 TILEPROP INT_R_X15Y149 TILE_TYPE INT_R TILEPROP INT_R_X15Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X15Y149 TILE_X -27464 TILEPROP INT_R_X15Y149 TILE_Y 240696 TILEPROP INT_R_X15Y149 TYPE INT_R TILEPROP INT_R_X17Y100 CLASS tile TILEPROP INT_R_X17Y100 COLUMN 45 TILEPROP INT_R_X17Y100 DEVICE_ID 0 TILEPROP INT_R_X17Y100 FIRST_SITE_ID 5040 TILEPROP INT_R_X17Y100 GRID_POINT_X 45 TILEPROP INT_R_X17Y100 GRID_POINT_Y 51 TILEPROP INT_R_X17Y100 INDEX 5910 TILEPROP INT_R_X17Y100 INT_TILE_X 17 TILEPROP INT_R_X17Y100 INT_TILE_Y 49 TILEPROP INT_R_X17Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y100 IS_DCM_TILE 0 TILEPROP INT_R_X17Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y100 NAME INT_R_X17Y100 TILEPROP INT_R_X17Y100 NUM_ARCS 3737 TILEPROP INT_R_X17Y100 NUM_SITES 1 TILEPROP INT_R_X17Y100 ROW 51 TILEPROP INT_R_X17Y100 SLR_REGION_ID 0 TILEPROP INT_R_X17Y100 TILE_PATTERN_IDX 5441 TILEPROP INT_R_X17Y100 TILE_TYPE INT_R TILEPROP INT_R_X17Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y100 TILE_X -19160 TILEPROP INT_R_X17Y100 TILE_Y 82872 TILEPROP INT_R_X17Y100 TYPE INT_R TILEPROP INT_R_X17Y101 CLASS tile TILEPROP INT_R_X17Y101 COLUMN 45 TILEPROP INT_R_X17Y101 DEVICE_ID 0 TILEPROP INT_R_X17Y101 FIRST_SITE_ID 4942 TILEPROP INT_R_X17Y101 GRID_POINT_X 45 TILEPROP INT_R_X17Y101 GRID_POINT_Y 50 TILEPROP INT_R_X17Y101 INDEX 5795 TILEPROP INT_R_X17Y101 INT_TILE_X 17 TILEPROP INT_R_X17Y101 INT_TILE_Y 48 TILEPROP INT_R_X17Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y101 IS_DCM_TILE 0 TILEPROP INT_R_X17Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y101 NAME INT_R_X17Y101 TILEPROP INT_R_X17Y101 NUM_ARCS 3737 TILEPROP INT_R_X17Y101 NUM_SITES 1 TILEPROP INT_R_X17Y101 ROW 50 TILEPROP INT_R_X17Y101 SLR_REGION_ID 0 TILEPROP INT_R_X17Y101 TILE_PATTERN_IDX 5405 TILEPROP INT_R_X17Y101 TILE_TYPE INT_R TILEPROP INT_R_X17Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y101 TILE_X -19160 TILEPROP INT_R_X17Y101 TILE_Y 86072 TILEPROP INT_R_X17Y101 TYPE INT_R TILEPROP INT_R_X17Y102 CLASS tile TILEPROP INT_R_X17Y102 COLUMN 45 TILEPROP INT_R_X17Y102 DEVICE_ID 0 TILEPROP INT_R_X17Y102 FIRST_SITE_ID 4846 TILEPROP INT_R_X17Y102 GRID_POINT_X 45 TILEPROP INT_R_X17Y102 GRID_POINT_Y 49 TILEPROP INT_R_X17Y102 INDEX 5680 TILEPROP INT_R_X17Y102 INT_TILE_X 17 TILEPROP INT_R_X17Y102 INT_TILE_Y 47 TILEPROP INT_R_X17Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y102 IS_DCM_TILE 0 TILEPROP INT_R_X17Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y102 NAME INT_R_X17Y102 TILEPROP INT_R_X17Y102 NUM_ARCS 3737 TILEPROP INT_R_X17Y102 NUM_SITES 1 TILEPROP INT_R_X17Y102 ROW 49 TILEPROP INT_R_X17Y102 SLR_REGION_ID 0 TILEPROP INT_R_X17Y102 TILE_PATTERN_IDX 5368 TILEPROP INT_R_X17Y102 TILE_TYPE INT_R TILEPROP INT_R_X17Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y102 TILE_X -19160 TILEPROP INT_R_X17Y102 TILE_Y 89272 TILEPROP INT_R_X17Y102 TYPE INT_R TILEPROP INT_R_X17Y103 CLASS tile TILEPROP INT_R_X17Y103 COLUMN 45 TILEPROP INT_R_X17Y103 DEVICE_ID 0 TILEPROP INT_R_X17Y103 FIRST_SITE_ID 4758 TILEPROP INT_R_X17Y103 GRID_POINT_X 45 TILEPROP INT_R_X17Y103 GRID_POINT_Y 48 TILEPROP INT_R_X17Y103 INDEX 5565 TILEPROP INT_R_X17Y103 INT_TILE_X 17 TILEPROP INT_R_X17Y103 INT_TILE_Y 46 TILEPROP INT_R_X17Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y103 IS_DCM_TILE 0 TILEPROP INT_R_X17Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y103 NAME INT_R_X17Y103 TILEPROP INT_R_X17Y103 NUM_ARCS 3737 TILEPROP INT_R_X17Y103 NUM_SITES 1 TILEPROP INT_R_X17Y103 ROW 48 TILEPROP INT_R_X17Y103 SLR_REGION_ID 0 TILEPROP INT_R_X17Y103 TILE_PATTERN_IDX 5332 TILEPROP INT_R_X17Y103 TILE_TYPE INT_R TILEPROP INT_R_X17Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y103 TILE_X -19160 TILEPROP INT_R_X17Y103 TILE_Y 92472 TILEPROP INT_R_X17Y103 TYPE INT_R TILEPROP INT_R_X17Y104 CLASS tile TILEPROP INT_R_X17Y104 COLUMN 45 TILEPROP INT_R_X17Y104 DEVICE_ID 0 TILEPROP INT_R_X17Y104 FIRST_SITE_ID 4662 TILEPROP INT_R_X17Y104 GRID_POINT_X 45 TILEPROP INT_R_X17Y104 GRID_POINT_Y 47 TILEPROP INT_R_X17Y104 INDEX 5450 TILEPROP INT_R_X17Y104 INT_TILE_X 17 TILEPROP INT_R_X17Y104 INT_TILE_Y 45 TILEPROP INT_R_X17Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y104 IS_DCM_TILE 0 TILEPROP INT_R_X17Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y104 NAME INT_R_X17Y104 TILEPROP INT_R_X17Y104 NUM_ARCS 3737 TILEPROP INT_R_X17Y104 NUM_SITES 1 TILEPROP INT_R_X17Y104 ROW 47 TILEPROP INT_R_X17Y104 SLR_REGION_ID 0 TILEPROP INT_R_X17Y104 TILE_PATTERN_IDX 5295 TILEPROP INT_R_X17Y104 TILE_TYPE INT_R TILEPROP INT_R_X17Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y104 TILE_X -19160 TILEPROP INT_R_X17Y104 TILE_Y 95672 TILEPROP INT_R_X17Y104 TYPE INT_R TILEPROP INT_R_X17Y105 CLASS tile TILEPROP INT_R_X17Y105 COLUMN 45 TILEPROP INT_R_X17Y105 DEVICE_ID 0 TILEPROP INT_R_X17Y105 FIRST_SITE_ID 4566 TILEPROP INT_R_X17Y105 GRID_POINT_X 45 TILEPROP INT_R_X17Y105 GRID_POINT_Y 46 TILEPROP INT_R_X17Y105 INDEX 5335 TILEPROP INT_R_X17Y105 INT_TILE_X 17 TILEPROP INT_R_X17Y105 INT_TILE_Y 44 TILEPROP INT_R_X17Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y105 IS_DCM_TILE 0 TILEPROP INT_R_X17Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y105 NAME INT_R_X17Y105 TILEPROP INT_R_X17Y105 NUM_ARCS 3737 TILEPROP INT_R_X17Y105 NUM_SITES 1 TILEPROP INT_R_X17Y105 ROW 46 TILEPROP INT_R_X17Y105 SLR_REGION_ID 0 TILEPROP INT_R_X17Y105 TILE_PATTERN_IDX 5259 TILEPROP INT_R_X17Y105 TILE_TYPE INT_R TILEPROP INT_R_X17Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y105 TILE_X -19160 TILEPROP INT_R_X17Y105 TILE_Y 98872 TILEPROP INT_R_X17Y105 TYPE INT_R TILEPROP INT_R_X17Y106 CLASS tile TILEPROP INT_R_X17Y106 COLUMN 45 TILEPROP INT_R_X17Y106 DEVICE_ID 0 TILEPROP INT_R_X17Y106 FIRST_SITE_ID 4464 TILEPROP INT_R_X17Y106 GRID_POINT_X 45 TILEPROP INT_R_X17Y106 GRID_POINT_Y 45 TILEPROP INT_R_X17Y106 INDEX 5220 TILEPROP INT_R_X17Y106 INT_TILE_X 17 TILEPROP INT_R_X17Y106 INT_TILE_Y 43 TILEPROP INT_R_X17Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y106 IS_DCM_TILE 0 TILEPROP INT_R_X17Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y106 NAME INT_R_X17Y106 TILEPROP INT_R_X17Y106 NUM_ARCS 3737 TILEPROP INT_R_X17Y106 NUM_SITES 1 TILEPROP INT_R_X17Y106 ROW 45 TILEPROP INT_R_X17Y106 SLR_REGION_ID 0 TILEPROP INT_R_X17Y106 TILE_PATTERN_IDX 5222 TILEPROP INT_R_X17Y106 TILE_TYPE INT_R TILEPROP INT_R_X17Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y106 TILE_X -19160 TILEPROP INT_R_X17Y106 TILE_Y 102072 TILEPROP INT_R_X17Y106 TYPE INT_R TILEPROP INT_R_X17Y107 CLASS tile TILEPROP INT_R_X17Y107 COLUMN 45 TILEPROP INT_R_X17Y107 DEVICE_ID 0 TILEPROP INT_R_X17Y107 FIRST_SITE_ID 4376 TILEPROP INT_R_X17Y107 GRID_POINT_X 45 TILEPROP INT_R_X17Y107 GRID_POINT_Y 44 TILEPROP INT_R_X17Y107 INDEX 5105 TILEPROP INT_R_X17Y107 INT_TILE_X 17 TILEPROP INT_R_X17Y107 INT_TILE_Y 42 TILEPROP INT_R_X17Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y107 IS_DCM_TILE 0 TILEPROP INT_R_X17Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y107 NAME INT_R_X17Y107 TILEPROP INT_R_X17Y107 NUM_ARCS 3737 TILEPROP INT_R_X17Y107 NUM_SITES 1 TILEPROP INT_R_X17Y107 ROW 44 TILEPROP INT_R_X17Y107 SLR_REGION_ID 0 TILEPROP INT_R_X17Y107 TILE_PATTERN_IDX 5186 TILEPROP INT_R_X17Y107 TILE_TYPE INT_R TILEPROP INT_R_X17Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y107 TILE_X -19160 TILEPROP INT_R_X17Y107 TILE_Y 105272 TILEPROP INT_R_X17Y107 TYPE INT_R TILEPROP INT_R_X17Y108 CLASS tile TILEPROP INT_R_X17Y108 COLUMN 45 TILEPROP INT_R_X17Y108 DEVICE_ID 0 TILEPROP INT_R_X17Y108 FIRST_SITE_ID 4278 TILEPROP INT_R_X17Y108 GRID_POINT_X 45 TILEPROP INT_R_X17Y108 GRID_POINT_Y 43 TILEPROP INT_R_X17Y108 INDEX 4990 TILEPROP INT_R_X17Y108 INT_TILE_X 17 TILEPROP INT_R_X17Y108 INT_TILE_Y 41 TILEPROP INT_R_X17Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y108 IS_DCM_TILE 0 TILEPROP INT_R_X17Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y108 NAME INT_R_X17Y108 TILEPROP INT_R_X17Y108 NUM_ARCS 3737 TILEPROP INT_R_X17Y108 NUM_SITES 1 TILEPROP INT_R_X17Y108 ROW 43 TILEPROP INT_R_X17Y108 SLR_REGION_ID 0 TILEPROP INT_R_X17Y108 TILE_PATTERN_IDX 5149 TILEPROP INT_R_X17Y108 TILE_TYPE INT_R TILEPROP INT_R_X17Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y108 TILE_X -19160 TILEPROP INT_R_X17Y108 TILE_Y 108472 TILEPROP INT_R_X17Y108 TYPE INT_R TILEPROP INT_R_X17Y109 CLASS tile TILEPROP INT_R_X17Y109 COLUMN 45 TILEPROP INT_R_X17Y109 DEVICE_ID 0 TILEPROP INT_R_X17Y109 FIRST_SITE_ID 4189 TILEPROP INT_R_X17Y109 GRID_POINT_X 45 TILEPROP INT_R_X17Y109 GRID_POINT_Y 42 TILEPROP INT_R_X17Y109 INDEX 4875 TILEPROP INT_R_X17Y109 INT_TILE_X 17 TILEPROP INT_R_X17Y109 INT_TILE_Y 40 TILEPROP INT_R_X17Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y109 IS_DCM_TILE 0 TILEPROP INT_R_X17Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y109 NAME INT_R_X17Y109 TILEPROP INT_R_X17Y109 NUM_ARCS 3737 TILEPROP INT_R_X17Y109 NUM_SITES 1 TILEPROP INT_R_X17Y109 ROW 42 TILEPROP INT_R_X17Y109 SLR_REGION_ID 0 TILEPROP INT_R_X17Y109 TILE_PATTERN_IDX 5113 TILEPROP INT_R_X17Y109 TILE_TYPE INT_R TILEPROP INT_R_X17Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y109 TILE_X -19160 TILEPROP INT_R_X17Y109 TILE_Y 111672 TILEPROP INT_R_X17Y109 TYPE INT_R TILEPROP INT_R_X17Y110 CLASS tile TILEPROP INT_R_X17Y110 COLUMN 45 TILEPROP INT_R_X17Y110 DEVICE_ID 0 TILEPROP INT_R_X17Y110 FIRST_SITE_ID 4089 TILEPROP INT_R_X17Y110 GRID_POINT_X 45 TILEPROP INT_R_X17Y110 GRID_POINT_Y 41 TILEPROP INT_R_X17Y110 INDEX 4760 TILEPROP INT_R_X17Y110 INT_TILE_X 17 TILEPROP INT_R_X17Y110 INT_TILE_Y 39 TILEPROP INT_R_X17Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y110 IS_DCM_TILE 0 TILEPROP INT_R_X17Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y110 NAME INT_R_X17Y110 TILEPROP INT_R_X17Y110 NUM_ARCS 3737 TILEPROP INT_R_X17Y110 NUM_SITES 1 TILEPROP INT_R_X17Y110 ROW 41 TILEPROP INT_R_X17Y110 SLR_REGION_ID 0 TILEPROP INT_R_X17Y110 TILE_PATTERN_IDX 5076 TILEPROP INT_R_X17Y110 TILE_TYPE INT_R TILEPROP INT_R_X17Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y110 TILE_X -19160 TILEPROP INT_R_X17Y110 TILE_Y 114872 TILEPROP INT_R_X17Y110 TYPE INT_R TILEPROP INT_R_X17Y111 CLASS tile TILEPROP INT_R_X17Y111 COLUMN 45 TILEPROP INT_R_X17Y111 DEVICE_ID 0 TILEPROP INT_R_X17Y111 FIRST_SITE_ID 3995 TILEPROP INT_R_X17Y111 GRID_POINT_X 45 TILEPROP INT_R_X17Y111 GRID_POINT_Y 40 TILEPROP INT_R_X17Y111 INDEX 4645 TILEPROP INT_R_X17Y111 INT_TILE_X 17 TILEPROP INT_R_X17Y111 INT_TILE_Y 38 TILEPROP INT_R_X17Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y111 IS_DCM_TILE 0 TILEPROP INT_R_X17Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y111 NAME INT_R_X17Y111 TILEPROP INT_R_X17Y111 NUM_ARCS 3737 TILEPROP INT_R_X17Y111 NUM_SITES 1 TILEPROP INT_R_X17Y111 ROW 40 TILEPROP INT_R_X17Y111 SLR_REGION_ID 0 TILEPROP INT_R_X17Y111 TILE_PATTERN_IDX 5040 TILEPROP INT_R_X17Y111 TILE_TYPE INT_R TILEPROP INT_R_X17Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y111 TILE_X -19160 TILEPROP INT_R_X17Y111 TILE_Y 118072 TILEPROP INT_R_X17Y111 TYPE INT_R TILEPROP INT_R_X17Y112 CLASS tile TILEPROP INT_R_X17Y112 COLUMN 45 TILEPROP INT_R_X17Y112 DEVICE_ID 0 TILEPROP INT_R_X17Y112 FIRST_SITE_ID 3867 TILEPROP INT_R_X17Y112 GRID_POINT_X 45 TILEPROP INT_R_X17Y112 GRID_POINT_Y 39 TILEPROP INT_R_X17Y112 INDEX 4530 TILEPROP INT_R_X17Y112 INT_TILE_X 17 TILEPROP INT_R_X17Y112 INT_TILE_Y 37 TILEPROP INT_R_X17Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y112 IS_DCM_TILE 0 TILEPROP INT_R_X17Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y112 NAME INT_R_X17Y112 TILEPROP INT_R_X17Y112 NUM_ARCS 3737 TILEPROP INT_R_X17Y112 NUM_SITES 1 TILEPROP INT_R_X17Y112 ROW 39 TILEPROP INT_R_X17Y112 SLR_REGION_ID 0 TILEPROP INT_R_X17Y112 TILE_PATTERN_IDX 5002 TILEPROP INT_R_X17Y112 TILE_TYPE INT_R TILEPROP INT_R_X17Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y112 TILE_X -19160 TILEPROP INT_R_X17Y112 TILE_Y 121272 TILEPROP INT_R_X17Y112 TYPE INT_R TILEPROP INT_R_X17Y113 CLASS tile TILEPROP INT_R_X17Y113 COLUMN 45 TILEPROP INT_R_X17Y113 DEVICE_ID 0 TILEPROP INT_R_X17Y113 FIRST_SITE_ID 3779 TILEPROP INT_R_X17Y113 GRID_POINT_X 45 TILEPROP INT_R_X17Y113 GRID_POINT_Y 38 TILEPROP INT_R_X17Y113 INDEX 4415 TILEPROP INT_R_X17Y113 INT_TILE_X 17 TILEPROP INT_R_X17Y113 INT_TILE_Y 36 TILEPROP INT_R_X17Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y113 IS_DCM_TILE 0 TILEPROP INT_R_X17Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y113 NAME INT_R_X17Y113 TILEPROP INT_R_X17Y113 NUM_ARCS 3737 TILEPROP INT_R_X17Y113 NUM_SITES 1 TILEPROP INT_R_X17Y113 ROW 38 TILEPROP INT_R_X17Y113 SLR_REGION_ID 0 TILEPROP INT_R_X17Y113 TILE_PATTERN_IDX 4966 TILEPROP INT_R_X17Y113 TILE_TYPE INT_R TILEPROP INT_R_X17Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y113 TILE_X -19160 TILEPROP INT_R_X17Y113 TILE_Y 124472 TILEPROP INT_R_X17Y113 TYPE INT_R TILEPROP INT_R_X17Y114 CLASS tile TILEPROP INT_R_X17Y114 COLUMN 45 TILEPROP INT_R_X17Y114 DEVICE_ID 0 TILEPROP INT_R_X17Y114 FIRST_SITE_ID 3683 TILEPROP INT_R_X17Y114 GRID_POINT_X 45 TILEPROP INT_R_X17Y114 GRID_POINT_Y 37 TILEPROP INT_R_X17Y114 INDEX 4300 TILEPROP INT_R_X17Y114 INT_TILE_X 17 TILEPROP INT_R_X17Y114 INT_TILE_Y 35 TILEPROP INT_R_X17Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y114 IS_DCM_TILE 0 TILEPROP INT_R_X17Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y114 NAME INT_R_X17Y114 TILEPROP INT_R_X17Y114 NUM_ARCS 3737 TILEPROP INT_R_X17Y114 NUM_SITES 1 TILEPROP INT_R_X17Y114 ROW 37 TILEPROP INT_R_X17Y114 SLR_REGION_ID 0 TILEPROP INT_R_X17Y114 TILE_PATTERN_IDX 4929 TILEPROP INT_R_X17Y114 TILE_TYPE INT_R TILEPROP INT_R_X17Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y114 TILE_X -19160 TILEPROP INT_R_X17Y114 TILE_Y 127672 TILEPROP INT_R_X17Y114 TYPE INT_R TILEPROP INT_R_X17Y115 CLASS tile TILEPROP INT_R_X17Y115 COLUMN 45 TILEPROP INT_R_X17Y115 DEVICE_ID 0 TILEPROP INT_R_X17Y115 FIRST_SITE_ID 3592 TILEPROP INT_R_X17Y115 GRID_POINT_X 45 TILEPROP INT_R_X17Y115 GRID_POINT_Y 36 TILEPROP INT_R_X17Y115 INDEX 4185 TILEPROP INT_R_X17Y115 INT_TILE_X 17 TILEPROP INT_R_X17Y115 INT_TILE_Y 34 TILEPROP INT_R_X17Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y115 IS_DCM_TILE 0 TILEPROP INT_R_X17Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y115 NAME INT_R_X17Y115 TILEPROP INT_R_X17Y115 NUM_ARCS 3737 TILEPROP INT_R_X17Y115 NUM_SITES 1 TILEPROP INT_R_X17Y115 ROW 36 TILEPROP INT_R_X17Y115 SLR_REGION_ID 0 TILEPROP INT_R_X17Y115 TILE_PATTERN_IDX 4893 TILEPROP INT_R_X17Y115 TILE_TYPE INT_R TILEPROP INT_R_X17Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y115 TILE_X -19160 TILEPROP INT_R_X17Y115 TILE_Y 130872 TILEPROP INT_R_X17Y115 TYPE INT_R TILEPROP INT_R_X17Y116 CLASS tile TILEPROP INT_R_X17Y116 COLUMN 45 TILEPROP INT_R_X17Y116 DEVICE_ID 0 TILEPROP INT_R_X17Y116 FIRST_SITE_ID 3485 TILEPROP INT_R_X17Y116 GRID_POINT_X 45 TILEPROP INT_R_X17Y116 GRID_POINT_Y 35 TILEPROP INT_R_X17Y116 INDEX 4070 TILEPROP INT_R_X17Y116 INT_TILE_X 17 TILEPROP INT_R_X17Y116 INT_TILE_Y 33 TILEPROP INT_R_X17Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y116 IS_DCM_TILE 0 TILEPROP INT_R_X17Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y116 NAME INT_R_X17Y116 TILEPROP INT_R_X17Y116 NUM_ARCS 3737 TILEPROP INT_R_X17Y116 NUM_SITES 1 TILEPROP INT_R_X17Y116 ROW 35 TILEPROP INT_R_X17Y116 SLR_REGION_ID 0 TILEPROP INT_R_X17Y116 TILE_PATTERN_IDX 4856 TILEPROP INT_R_X17Y116 TILE_TYPE INT_R TILEPROP INT_R_X17Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y116 TILE_X -19160 TILEPROP INT_R_X17Y116 TILE_Y 134072 TILEPROP INT_R_X17Y116 TYPE INT_R TILEPROP INT_R_X17Y117 CLASS tile TILEPROP INT_R_X17Y117 COLUMN 45 TILEPROP INT_R_X17Y117 DEVICE_ID 0 TILEPROP INT_R_X17Y117 FIRST_SITE_ID 3397 TILEPROP INT_R_X17Y117 GRID_POINT_X 45 TILEPROP INT_R_X17Y117 GRID_POINT_Y 34 TILEPROP INT_R_X17Y117 INDEX 3955 TILEPROP INT_R_X17Y117 INT_TILE_X 17 TILEPROP INT_R_X17Y117 INT_TILE_Y 32 TILEPROP INT_R_X17Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y117 IS_DCM_TILE 0 TILEPROP INT_R_X17Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y117 NAME INT_R_X17Y117 TILEPROP INT_R_X17Y117 NUM_ARCS 3737 TILEPROP INT_R_X17Y117 NUM_SITES 1 TILEPROP INT_R_X17Y117 ROW 34 TILEPROP INT_R_X17Y117 SLR_REGION_ID 0 TILEPROP INT_R_X17Y117 TILE_PATTERN_IDX 4820 TILEPROP INT_R_X17Y117 TILE_TYPE INT_R TILEPROP INT_R_X17Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y117 TILE_X -19160 TILEPROP INT_R_X17Y117 TILE_Y 137272 TILEPROP INT_R_X17Y117 TYPE INT_R TILEPROP INT_R_X17Y118 CLASS tile TILEPROP INT_R_X17Y118 COLUMN 45 TILEPROP INT_R_X17Y118 DEVICE_ID 0 TILEPROP INT_R_X17Y118 FIRST_SITE_ID 3297 TILEPROP INT_R_X17Y118 GRID_POINT_X 45 TILEPROP INT_R_X17Y118 GRID_POINT_Y 33 TILEPROP INT_R_X17Y118 INDEX 3840 TILEPROP INT_R_X17Y118 INT_TILE_X 17 TILEPROP INT_R_X17Y118 INT_TILE_Y 31 TILEPROP INT_R_X17Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y118 IS_DCM_TILE 0 TILEPROP INT_R_X17Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y118 NAME INT_R_X17Y118 TILEPROP INT_R_X17Y118 NUM_ARCS 3737 TILEPROP INT_R_X17Y118 NUM_SITES 1 TILEPROP INT_R_X17Y118 ROW 33 TILEPROP INT_R_X17Y118 SLR_REGION_ID 0 TILEPROP INT_R_X17Y118 TILE_PATTERN_IDX 4782 TILEPROP INT_R_X17Y118 TILE_TYPE INT_R TILEPROP INT_R_X17Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y118 TILE_X -19160 TILEPROP INT_R_X17Y118 TILE_Y 140472 TILEPROP INT_R_X17Y118 TYPE INT_R TILEPROP INT_R_X17Y119 CLASS tile TILEPROP INT_R_X17Y119 COLUMN 45 TILEPROP INT_R_X17Y119 DEVICE_ID 0 TILEPROP INT_R_X17Y119 FIRST_SITE_ID 3209 TILEPROP INT_R_X17Y119 GRID_POINT_X 45 TILEPROP INT_R_X17Y119 GRID_POINT_Y 32 TILEPROP INT_R_X17Y119 INDEX 3725 TILEPROP INT_R_X17Y119 INT_TILE_X 17 TILEPROP INT_R_X17Y119 INT_TILE_Y 30 TILEPROP INT_R_X17Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y119 IS_DCM_TILE 0 TILEPROP INT_R_X17Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y119 NAME INT_R_X17Y119 TILEPROP INT_R_X17Y119 NUM_ARCS 3737 TILEPROP INT_R_X17Y119 NUM_SITES 1 TILEPROP INT_R_X17Y119 ROW 32 TILEPROP INT_R_X17Y119 SLR_REGION_ID 0 TILEPROP INT_R_X17Y119 TILE_PATTERN_IDX 4746 TILEPROP INT_R_X17Y119 TILE_TYPE INT_R TILEPROP INT_R_X17Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y119 TILE_X -19160 TILEPROP INT_R_X17Y119 TILE_Y 143672 TILEPROP INT_R_X17Y119 TYPE INT_R TILEPROP INT_R_X17Y120 CLASS tile TILEPROP INT_R_X17Y120 COLUMN 45 TILEPROP INT_R_X17Y120 DEVICE_ID 0 TILEPROP INT_R_X17Y120 FIRST_SITE_ID 3108 TILEPROP INT_R_X17Y120 GRID_POINT_X 45 TILEPROP INT_R_X17Y120 GRID_POINT_Y 31 TILEPROP INT_R_X17Y120 INDEX 3610 TILEPROP INT_R_X17Y120 INT_TILE_X 17 TILEPROP INT_R_X17Y120 INT_TILE_Y 29 TILEPROP INT_R_X17Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y120 IS_DCM_TILE 0 TILEPROP INT_R_X17Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y120 NAME INT_R_X17Y120 TILEPROP INT_R_X17Y120 NUM_ARCS 3737 TILEPROP INT_R_X17Y120 NUM_SITES 1 TILEPROP INT_R_X17Y120 ROW 31 TILEPROP INT_R_X17Y120 SLR_REGION_ID 0 TILEPROP INT_R_X17Y120 TILE_PATTERN_IDX 4709 TILEPROP INT_R_X17Y120 TILE_TYPE INT_R TILEPROP INT_R_X17Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y120 TILE_X -19160 TILEPROP INT_R_X17Y120 TILE_Y 146872 TILEPROP INT_R_X17Y120 TYPE INT_R TILEPROP INT_R_X17Y121 CLASS tile TILEPROP INT_R_X17Y121 COLUMN 45 TILEPROP INT_R_X17Y121 DEVICE_ID 0 TILEPROP INT_R_X17Y121 FIRST_SITE_ID 3014 TILEPROP INT_R_X17Y121 GRID_POINT_X 45 TILEPROP INT_R_X17Y121 GRID_POINT_Y 30 TILEPROP INT_R_X17Y121 INDEX 3495 TILEPROP INT_R_X17Y121 INT_TILE_X 17 TILEPROP INT_R_X17Y121 INT_TILE_Y 28 TILEPROP INT_R_X17Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y121 IS_DCM_TILE 0 TILEPROP INT_R_X17Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y121 NAME INT_R_X17Y121 TILEPROP INT_R_X17Y121 NUM_ARCS 3737 TILEPROP INT_R_X17Y121 NUM_SITES 1 TILEPROP INT_R_X17Y121 ROW 30 TILEPROP INT_R_X17Y121 SLR_REGION_ID 0 TILEPROP INT_R_X17Y121 TILE_PATTERN_IDX 4673 TILEPROP INT_R_X17Y121 TILE_TYPE INT_R TILEPROP INT_R_X17Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y121 TILE_X -19160 TILEPROP INT_R_X17Y121 TILE_Y 150072 TILEPROP INT_R_X17Y121 TYPE INT_R TILEPROP INT_R_X17Y122 CLASS tile TILEPROP INT_R_X17Y122 COLUMN 45 TILEPROP INT_R_X17Y122 DEVICE_ID 0 TILEPROP INT_R_X17Y122 FIRST_SITE_ID 2911 TILEPROP INT_R_X17Y122 GRID_POINT_X 45 TILEPROP INT_R_X17Y122 GRID_POINT_Y 29 TILEPROP INT_R_X17Y122 INDEX 3380 TILEPROP INT_R_X17Y122 INT_TILE_X 17 TILEPROP INT_R_X17Y122 INT_TILE_Y 27 TILEPROP INT_R_X17Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y122 IS_DCM_TILE 0 TILEPROP INT_R_X17Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y122 NAME INT_R_X17Y122 TILEPROP INT_R_X17Y122 NUM_ARCS 3737 TILEPROP INT_R_X17Y122 NUM_SITES 1 TILEPROP INT_R_X17Y122 ROW 29 TILEPROP INT_R_X17Y122 SLR_REGION_ID 0 TILEPROP INT_R_X17Y122 TILE_PATTERN_IDX 4635 TILEPROP INT_R_X17Y122 TILE_TYPE INT_R TILEPROP INT_R_X17Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y122 TILE_X -19160 TILEPROP INT_R_X17Y122 TILE_Y 153272 TILEPROP INT_R_X17Y122 TYPE INT_R TILEPROP INT_R_X17Y123 CLASS tile TILEPROP INT_R_X17Y123 COLUMN 45 TILEPROP INT_R_X17Y123 DEVICE_ID 0 TILEPROP INT_R_X17Y123 FIRST_SITE_ID 2823 TILEPROP INT_R_X17Y123 GRID_POINT_X 45 TILEPROP INT_R_X17Y123 GRID_POINT_Y 28 TILEPROP INT_R_X17Y123 INDEX 3265 TILEPROP INT_R_X17Y123 INT_TILE_X 17 TILEPROP INT_R_X17Y123 INT_TILE_Y 26 TILEPROP INT_R_X17Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y123 IS_DCM_TILE 0 TILEPROP INT_R_X17Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y123 NAME INT_R_X17Y123 TILEPROP INT_R_X17Y123 NUM_ARCS 3737 TILEPROP INT_R_X17Y123 NUM_SITES 1 TILEPROP INT_R_X17Y123 ROW 28 TILEPROP INT_R_X17Y123 SLR_REGION_ID 0 TILEPROP INT_R_X17Y123 TILE_PATTERN_IDX 4599 TILEPROP INT_R_X17Y123 TILE_TYPE INT_R TILEPROP INT_R_X17Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y123 TILE_X -19160 TILEPROP INT_R_X17Y123 TILE_Y 156472 TILEPROP INT_R_X17Y123 TYPE INT_R TILEPROP INT_R_X17Y124 CLASS tile TILEPROP INT_R_X17Y124 COLUMN 45 TILEPROP INT_R_X17Y124 DEVICE_ID 0 TILEPROP INT_R_X17Y124 FIRST_SITE_ID 2727 TILEPROP INT_R_X17Y124 GRID_POINT_X 45 TILEPROP INT_R_X17Y124 GRID_POINT_Y 27 TILEPROP INT_R_X17Y124 INDEX 3150 TILEPROP INT_R_X17Y124 INT_TILE_X 17 TILEPROP INT_R_X17Y124 INT_TILE_Y 25 TILEPROP INT_R_X17Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y124 IS_DCM_TILE 0 TILEPROP INT_R_X17Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y124 NAME INT_R_X17Y124 TILEPROP INT_R_X17Y124 NUM_ARCS 3737 TILEPROP INT_R_X17Y124 NUM_SITES 1 TILEPROP INT_R_X17Y124 ROW 27 TILEPROP INT_R_X17Y124 SLR_REGION_ID 0 TILEPROP INT_R_X17Y124 TILE_PATTERN_IDX 4562 TILEPROP INT_R_X17Y124 TILE_TYPE INT_R TILEPROP INT_R_X17Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y124 TILE_X -19160 TILEPROP INT_R_X17Y124 TILE_Y 159672 TILEPROP INT_R_X17Y124 TYPE INT_R TILEPROP INT_R_X17Y125 CLASS tile TILEPROP INT_R_X17Y125 COLUMN 45 TILEPROP INT_R_X17Y125 DEVICE_ID 0 TILEPROP INT_R_X17Y125 FIRST_SITE_ID 2554 TILEPROP INT_R_X17Y125 GRID_POINT_X 45 TILEPROP INT_R_X17Y125 GRID_POINT_Y 25 TILEPROP INT_R_X17Y125 INDEX 2920 TILEPROP INT_R_X17Y125 INT_TILE_X 17 TILEPROP INT_R_X17Y125 INT_TILE_Y 24 TILEPROP INT_R_X17Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y125 IS_DCM_TILE 0 TILEPROP INT_R_X17Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y125 NAME INT_R_X17Y125 TILEPROP INT_R_X17Y125 NUM_ARCS 3737 TILEPROP INT_R_X17Y125 NUM_SITES 1 TILEPROP INT_R_X17Y125 ROW 25 TILEPROP INT_R_X17Y125 SLR_REGION_ID 0 TILEPROP INT_R_X17Y125 TILE_PATTERN_IDX 4483 TILEPROP INT_R_X17Y125 TILE_TYPE INT_R TILEPROP INT_R_X17Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y125 TILE_X -19160 TILEPROP INT_R_X17Y125 TILE_Y 163896 TILEPROP INT_R_X17Y125 TYPE INT_R TILEPROP INT_R_X17Y126 CLASS tile TILEPROP INT_R_X17Y126 COLUMN 45 TILEPROP INT_R_X17Y126 DEVICE_ID 0 TILEPROP INT_R_X17Y126 FIRST_SITE_ID 2444 TILEPROP INT_R_X17Y126 GRID_POINT_X 45 TILEPROP INT_R_X17Y126 GRID_POINT_Y 24 TILEPROP INT_R_X17Y126 INDEX 2805 TILEPROP INT_R_X17Y126 INT_TILE_X 17 TILEPROP INT_R_X17Y126 INT_TILE_Y 23 TILEPROP INT_R_X17Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y126 IS_DCM_TILE 0 TILEPROP INT_R_X17Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y126 NAME INT_R_X17Y126 TILEPROP INT_R_X17Y126 NUM_ARCS 3737 TILEPROP INT_R_X17Y126 NUM_SITES 1 TILEPROP INT_R_X17Y126 ROW 24 TILEPROP INT_R_X17Y126 SLR_REGION_ID 0 TILEPROP INT_R_X17Y126 TILE_PATTERN_IDX 4444 TILEPROP INT_R_X17Y126 TILE_TYPE INT_R TILEPROP INT_R_X17Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y126 TILE_X -19160 TILEPROP INT_R_X17Y126 TILE_Y 167096 TILEPROP INT_R_X17Y126 TYPE INT_R TILEPROP INT_R_X17Y127 CLASS tile TILEPROP INT_R_X17Y127 COLUMN 45 TILEPROP INT_R_X17Y127 DEVICE_ID 0 TILEPROP INT_R_X17Y127 FIRST_SITE_ID 2348 TILEPROP INT_R_X17Y127 GRID_POINT_X 45 TILEPROP INT_R_X17Y127 GRID_POINT_Y 23 TILEPROP INT_R_X17Y127 INDEX 2690 TILEPROP INT_R_X17Y127 INT_TILE_X 17 TILEPROP INT_R_X17Y127 INT_TILE_Y 22 TILEPROP INT_R_X17Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y127 IS_DCM_TILE 0 TILEPROP INT_R_X17Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y127 NAME INT_R_X17Y127 TILEPROP INT_R_X17Y127 NUM_ARCS 3737 TILEPROP INT_R_X17Y127 NUM_SITES 1 TILEPROP INT_R_X17Y127 ROW 23 TILEPROP INT_R_X17Y127 SLR_REGION_ID 0 TILEPROP INT_R_X17Y127 TILE_PATTERN_IDX 4406 TILEPROP INT_R_X17Y127 TILE_TYPE INT_R TILEPROP INT_R_X17Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y127 TILE_X -19160 TILEPROP INT_R_X17Y127 TILE_Y 170296 TILEPROP INT_R_X17Y127 TYPE INT_R TILEPROP INT_R_X17Y128 CLASS tile TILEPROP INT_R_X17Y128 COLUMN 45 TILEPROP INT_R_X17Y128 DEVICE_ID 0 TILEPROP INT_R_X17Y128 FIRST_SITE_ID 2244 TILEPROP INT_R_X17Y128 GRID_POINT_X 45 TILEPROP INT_R_X17Y128 GRID_POINT_Y 22 TILEPROP INT_R_X17Y128 INDEX 2575 TILEPROP INT_R_X17Y128 INT_TILE_X 17 TILEPROP INT_R_X17Y128 INT_TILE_Y 21 TILEPROP INT_R_X17Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y128 IS_DCM_TILE 0 TILEPROP INT_R_X17Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y128 NAME INT_R_X17Y128 TILEPROP INT_R_X17Y128 NUM_ARCS 3737 TILEPROP INT_R_X17Y128 NUM_SITES 1 TILEPROP INT_R_X17Y128 ROW 22 TILEPROP INT_R_X17Y128 SLR_REGION_ID 0 TILEPROP INT_R_X17Y128 TILE_PATTERN_IDX 4367 TILEPROP INT_R_X17Y128 TILE_TYPE INT_R TILEPROP INT_R_X17Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y128 TILE_X -19160 TILEPROP INT_R_X17Y128 TILE_Y 173496 TILEPROP INT_R_X17Y128 TYPE INT_R TILEPROP INT_R_X17Y129 CLASS tile TILEPROP INT_R_X17Y129 COLUMN 45 TILEPROP INT_R_X17Y129 DEVICE_ID 0 TILEPROP INT_R_X17Y129 FIRST_SITE_ID 2148 TILEPROP INT_R_X17Y129 GRID_POINT_X 45 TILEPROP INT_R_X17Y129 GRID_POINT_Y 21 TILEPROP INT_R_X17Y129 INDEX 2460 TILEPROP INT_R_X17Y129 INT_TILE_X 17 TILEPROP INT_R_X17Y129 INT_TILE_Y 20 TILEPROP INT_R_X17Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y129 IS_DCM_TILE 0 TILEPROP INT_R_X17Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y129 NAME INT_R_X17Y129 TILEPROP INT_R_X17Y129 NUM_ARCS 3737 TILEPROP INT_R_X17Y129 NUM_SITES 1 TILEPROP INT_R_X17Y129 ROW 21 TILEPROP INT_R_X17Y129 SLR_REGION_ID 0 TILEPROP INT_R_X17Y129 TILE_PATTERN_IDX 4329 TILEPROP INT_R_X17Y129 TILE_TYPE INT_R TILEPROP INT_R_X17Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y129 TILE_X -19160 TILEPROP INT_R_X17Y129 TILE_Y 176696 TILEPROP INT_R_X17Y129 TYPE INT_R TILEPROP INT_R_X17Y130 CLASS tile TILEPROP INT_R_X17Y130 COLUMN 45 TILEPROP INT_R_X17Y130 DEVICE_ID 0 TILEPROP INT_R_X17Y130 FIRST_SITE_ID 2032 TILEPROP INT_R_X17Y130 GRID_POINT_X 45 TILEPROP INT_R_X17Y130 GRID_POINT_Y 20 TILEPROP INT_R_X17Y130 INDEX 2345 TILEPROP INT_R_X17Y130 INT_TILE_X 17 TILEPROP INT_R_X17Y130 INT_TILE_Y 19 TILEPROP INT_R_X17Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y130 IS_DCM_TILE 0 TILEPROP INT_R_X17Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y130 NAME INT_R_X17Y130 TILEPROP INT_R_X17Y130 NUM_ARCS 3737 TILEPROP INT_R_X17Y130 NUM_SITES 1 TILEPROP INT_R_X17Y130 ROW 20 TILEPROP INT_R_X17Y130 SLR_REGION_ID 0 TILEPROP INT_R_X17Y130 TILE_PATTERN_IDX 4289 TILEPROP INT_R_X17Y130 TILE_TYPE INT_R TILEPROP INT_R_X17Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y130 TILE_X -19160 TILEPROP INT_R_X17Y130 TILE_Y 179896 TILEPROP INT_R_X17Y130 TYPE INT_R TILEPROP INT_R_X17Y131 CLASS tile TILEPROP INT_R_X17Y131 COLUMN 45 TILEPROP INT_R_X17Y131 DEVICE_ID 0 TILEPROP INT_R_X17Y131 FIRST_SITE_ID 1930 TILEPROP INT_R_X17Y131 GRID_POINT_X 45 TILEPROP INT_R_X17Y131 GRID_POINT_Y 19 TILEPROP INT_R_X17Y131 INDEX 2230 TILEPROP INT_R_X17Y131 INT_TILE_X 17 TILEPROP INT_R_X17Y131 INT_TILE_Y 18 TILEPROP INT_R_X17Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y131 IS_DCM_TILE 0 TILEPROP INT_R_X17Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y131 NAME INT_R_X17Y131 TILEPROP INT_R_X17Y131 NUM_ARCS 3737 TILEPROP INT_R_X17Y131 NUM_SITES 1 TILEPROP INT_R_X17Y131 ROW 19 TILEPROP INT_R_X17Y131 SLR_REGION_ID 0 TILEPROP INT_R_X17Y131 TILE_PATTERN_IDX 4251 TILEPROP INT_R_X17Y131 TILE_TYPE INT_R TILEPROP INT_R_X17Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y131 TILE_X -19160 TILEPROP INT_R_X17Y131 TILE_Y 183096 TILEPROP INT_R_X17Y131 TYPE INT_R TILEPROP INT_R_X17Y132 CLASS tile TILEPROP INT_R_X17Y132 COLUMN 45 TILEPROP INT_R_X17Y132 DEVICE_ID 0 TILEPROP INT_R_X17Y132 FIRST_SITE_ID 1824 TILEPROP INT_R_X17Y132 GRID_POINT_X 45 TILEPROP INT_R_X17Y132 GRID_POINT_Y 18 TILEPROP INT_R_X17Y132 INDEX 2115 TILEPROP INT_R_X17Y132 INT_TILE_X 17 TILEPROP INT_R_X17Y132 INT_TILE_Y 17 TILEPROP INT_R_X17Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y132 IS_DCM_TILE 0 TILEPROP INT_R_X17Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y132 NAME INT_R_X17Y132 TILEPROP INT_R_X17Y132 NUM_ARCS 3737 TILEPROP INT_R_X17Y132 NUM_SITES 1 TILEPROP INT_R_X17Y132 ROW 18 TILEPROP INT_R_X17Y132 SLR_REGION_ID 0 TILEPROP INT_R_X17Y132 TILE_PATTERN_IDX 4212 TILEPROP INT_R_X17Y132 TILE_TYPE INT_R TILEPROP INT_R_X17Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y132 TILE_X -19160 TILEPROP INT_R_X17Y132 TILE_Y 186296 TILEPROP INT_R_X17Y132 TYPE INT_R TILEPROP INT_R_X17Y133 CLASS tile TILEPROP INT_R_X17Y133 COLUMN 45 TILEPROP INT_R_X17Y133 DEVICE_ID 0 TILEPROP INT_R_X17Y133 FIRST_SITE_ID 1723 TILEPROP INT_R_X17Y133 GRID_POINT_X 45 TILEPROP INT_R_X17Y133 GRID_POINT_Y 17 TILEPROP INT_R_X17Y133 INDEX 2000 TILEPROP INT_R_X17Y133 INT_TILE_X 17 TILEPROP INT_R_X17Y133 INT_TILE_Y 16 TILEPROP INT_R_X17Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y133 IS_DCM_TILE 0 TILEPROP INT_R_X17Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y133 NAME INT_R_X17Y133 TILEPROP INT_R_X17Y133 NUM_ARCS 3737 TILEPROP INT_R_X17Y133 NUM_SITES 1 TILEPROP INT_R_X17Y133 ROW 17 TILEPROP INT_R_X17Y133 SLR_REGION_ID 0 TILEPROP INT_R_X17Y133 TILE_PATTERN_IDX 4174 TILEPROP INT_R_X17Y133 TILE_TYPE INT_R TILEPROP INT_R_X17Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y133 TILE_X -19160 TILEPROP INT_R_X17Y133 TILE_Y 189496 TILEPROP INT_R_X17Y133 TYPE INT_R TILEPROP INT_R_X17Y134 CLASS tile TILEPROP INT_R_X17Y134 COLUMN 45 TILEPROP INT_R_X17Y134 DEVICE_ID 0 TILEPROP INT_R_X17Y134 FIRST_SITE_ID 1619 TILEPROP INT_R_X17Y134 GRID_POINT_X 45 TILEPROP INT_R_X17Y134 GRID_POINT_Y 16 TILEPROP INT_R_X17Y134 INDEX 1885 TILEPROP INT_R_X17Y134 INT_TILE_X 17 TILEPROP INT_R_X17Y134 INT_TILE_Y 15 TILEPROP INT_R_X17Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y134 IS_DCM_TILE 0 TILEPROP INT_R_X17Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y134 NAME INT_R_X17Y134 TILEPROP INT_R_X17Y134 NUM_ARCS 3737 TILEPROP INT_R_X17Y134 NUM_SITES 1 TILEPROP INT_R_X17Y134 ROW 16 TILEPROP INT_R_X17Y134 SLR_REGION_ID 0 TILEPROP INT_R_X17Y134 TILE_PATTERN_IDX 4135 TILEPROP INT_R_X17Y134 TILE_TYPE INT_R TILEPROP INT_R_X17Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y134 TILE_X -19160 TILEPROP INT_R_X17Y134 TILE_Y 192696 TILEPROP INT_R_X17Y134 TYPE INT_R TILEPROP INT_R_X17Y135 CLASS tile TILEPROP INT_R_X17Y135 COLUMN 45 TILEPROP INT_R_X17Y135 DEVICE_ID 0 TILEPROP INT_R_X17Y135 FIRST_SITE_ID 1517 TILEPROP INT_R_X17Y135 GRID_POINT_X 45 TILEPROP INT_R_X17Y135 GRID_POINT_Y 15 TILEPROP INT_R_X17Y135 INDEX 1770 TILEPROP INT_R_X17Y135 INT_TILE_X 17 TILEPROP INT_R_X17Y135 INT_TILE_Y 14 TILEPROP INT_R_X17Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y135 IS_DCM_TILE 0 TILEPROP INT_R_X17Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y135 NAME INT_R_X17Y135 TILEPROP INT_R_X17Y135 NUM_ARCS 3737 TILEPROP INT_R_X17Y135 NUM_SITES 1 TILEPROP INT_R_X17Y135 ROW 15 TILEPROP INT_R_X17Y135 SLR_REGION_ID 0 TILEPROP INT_R_X17Y135 TILE_PATTERN_IDX 4097 TILEPROP INT_R_X17Y135 TILE_TYPE INT_R TILEPROP INT_R_X17Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y135 TILE_X -19160 TILEPROP INT_R_X17Y135 TILE_Y 195896 TILEPROP INT_R_X17Y135 TYPE INT_R TILEPROP INT_R_X17Y136 CLASS tile TILEPROP INT_R_X17Y136 COLUMN 45 TILEPROP INT_R_X17Y136 DEVICE_ID 0 TILEPROP INT_R_X17Y136 FIRST_SITE_ID 1375 TILEPROP INT_R_X17Y136 GRID_POINT_X 45 TILEPROP INT_R_X17Y136 GRID_POINT_Y 14 TILEPROP INT_R_X17Y136 INDEX 1655 TILEPROP INT_R_X17Y136 INT_TILE_X 17 TILEPROP INT_R_X17Y136 INT_TILE_Y 13 TILEPROP INT_R_X17Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y136 IS_DCM_TILE 0 TILEPROP INT_R_X17Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y136 NAME INT_R_X17Y136 TILEPROP INT_R_X17Y136 NUM_ARCS 3737 TILEPROP INT_R_X17Y136 NUM_SITES 1 TILEPROP INT_R_X17Y136 ROW 14 TILEPROP INT_R_X17Y136 SLR_REGION_ID 0 TILEPROP INT_R_X17Y136 TILE_PATTERN_IDX 4058 TILEPROP INT_R_X17Y136 TILE_TYPE INT_R TILEPROP INT_R_X17Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y136 TILE_X -19160 TILEPROP INT_R_X17Y136 TILE_Y 199096 TILEPROP INT_R_X17Y136 TYPE INT_R TILEPROP INT_R_X17Y137 CLASS tile TILEPROP INT_R_X17Y137 COLUMN 45 TILEPROP INT_R_X17Y137 DEVICE_ID 0 TILEPROP INT_R_X17Y137 FIRST_SITE_ID 1279 TILEPROP INT_R_X17Y137 GRID_POINT_X 45 TILEPROP INT_R_X17Y137 GRID_POINT_Y 13 TILEPROP INT_R_X17Y137 INDEX 1540 TILEPROP INT_R_X17Y137 INT_TILE_X 17 TILEPROP INT_R_X17Y137 INT_TILE_Y 12 TILEPROP INT_R_X17Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y137 IS_DCM_TILE 0 TILEPROP INT_R_X17Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y137 NAME INT_R_X17Y137 TILEPROP INT_R_X17Y137 NUM_ARCS 3737 TILEPROP INT_R_X17Y137 NUM_SITES 1 TILEPROP INT_R_X17Y137 ROW 13 TILEPROP INT_R_X17Y137 SLR_REGION_ID 0 TILEPROP INT_R_X17Y137 TILE_PATTERN_IDX 4020 TILEPROP INT_R_X17Y137 TILE_TYPE INT_R TILEPROP INT_R_X17Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y137 TILE_X -19160 TILEPROP INT_R_X17Y137 TILE_Y 202296 TILEPROP INT_R_X17Y137 TYPE INT_R TILEPROP INT_R_X17Y138 CLASS tile TILEPROP INT_R_X17Y138 COLUMN 45 TILEPROP INT_R_X17Y138 DEVICE_ID 0 TILEPROP INT_R_X17Y138 FIRST_SITE_ID 1175 TILEPROP INT_R_X17Y138 GRID_POINT_X 45 TILEPROP INT_R_X17Y138 GRID_POINT_Y 12 TILEPROP INT_R_X17Y138 INDEX 1425 TILEPROP INT_R_X17Y138 INT_TILE_X 17 TILEPROP INT_R_X17Y138 INT_TILE_Y 11 TILEPROP INT_R_X17Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y138 IS_DCM_TILE 0 TILEPROP INT_R_X17Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y138 NAME INT_R_X17Y138 TILEPROP INT_R_X17Y138 NUM_ARCS 3737 TILEPROP INT_R_X17Y138 NUM_SITES 1 TILEPROP INT_R_X17Y138 ROW 12 TILEPROP INT_R_X17Y138 SLR_REGION_ID 0 TILEPROP INT_R_X17Y138 TILE_PATTERN_IDX 3981 TILEPROP INT_R_X17Y138 TILE_TYPE INT_R TILEPROP INT_R_X17Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y138 TILE_X -19160 TILEPROP INT_R_X17Y138 TILE_Y 205496 TILEPROP INT_R_X17Y138 TYPE INT_R TILEPROP INT_R_X17Y139 CLASS tile TILEPROP INT_R_X17Y139 COLUMN 45 TILEPROP INT_R_X17Y139 DEVICE_ID 0 TILEPROP INT_R_X17Y139 FIRST_SITE_ID 1079 TILEPROP INT_R_X17Y139 GRID_POINT_X 45 TILEPROP INT_R_X17Y139 GRID_POINT_Y 11 TILEPROP INT_R_X17Y139 INDEX 1310 TILEPROP INT_R_X17Y139 INT_TILE_X 17 TILEPROP INT_R_X17Y139 INT_TILE_Y 10 TILEPROP INT_R_X17Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y139 IS_DCM_TILE 0 TILEPROP INT_R_X17Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y139 NAME INT_R_X17Y139 TILEPROP INT_R_X17Y139 NUM_ARCS 3737 TILEPROP INT_R_X17Y139 NUM_SITES 1 TILEPROP INT_R_X17Y139 ROW 11 TILEPROP INT_R_X17Y139 SLR_REGION_ID 0 TILEPROP INT_R_X17Y139 TILE_PATTERN_IDX 3943 TILEPROP INT_R_X17Y139 TILE_TYPE INT_R TILEPROP INT_R_X17Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y139 TILE_X -19160 TILEPROP INT_R_X17Y139 TILE_Y 208696 TILEPROP INT_R_X17Y139 TYPE INT_R TILEPROP INT_R_X17Y140 CLASS tile TILEPROP INT_R_X17Y140 COLUMN 45 TILEPROP INT_R_X17Y140 DEVICE_ID 0 TILEPROP INT_R_X17Y140 FIRST_SITE_ID 969 TILEPROP INT_R_X17Y140 GRID_POINT_X 45 TILEPROP INT_R_X17Y140 GRID_POINT_Y 10 TILEPROP INT_R_X17Y140 INDEX 1195 TILEPROP INT_R_X17Y140 INT_TILE_X 17 TILEPROP INT_R_X17Y140 INT_TILE_Y 9 TILEPROP INT_R_X17Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y140 IS_DCM_TILE 0 TILEPROP INT_R_X17Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y140 NAME INT_R_X17Y140 TILEPROP INT_R_X17Y140 NUM_ARCS 3737 TILEPROP INT_R_X17Y140 NUM_SITES 1 TILEPROP INT_R_X17Y140 ROW 10 TILEPROP INT_R_X17Y140 SLR_REGION_ID 0 TILEPROP INT_R_X17Y140 TILE_PATTERN_IDX 3904 TILEPROP INT_R_X17Y140 TILE_TYPE INT_R TILEPROP INT_R_X17Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y140 TILE_X -19160 TILEPROP INT_R_X17Y140 TILE_Y 211896 TILEPROP INT_R_X17Y140 TYPE INT_R TILEPROP INT_R_X17Y141 CLASS tile TILEPROP INT_R_X17Y141 COLUMN 45 TILEPROP INT_R_X17Y141 DEVICE_ID 0 TILEPROP INT_R_X17Y141 FIRST_SITE_ID 867 TILEPROP INT_R_X17Y141 GRID_POINT_X 45 TILEPROP INT_R_X17Y141 GRID_POINT_Y 9 TILEPROP INT_R_X17Y141 INDEX 1080 TILEPROP INT_R_X17Y141 INT_TILE_X 17 TILEPROP INT_R_X17Y141 INT_TILE_Y 8 TILEPROP INT_R_X17Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y141 IS_DCM_TILE 0 TILEPROP INT_R_X17Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y141 NAME INT_R_X17Y141 TILEPROP INT_R_X17Y141 NUM_ARCS 3737 TILEPROP INT_R_X17Y141 NUM_SITES 1 TILEPROP INT_R_X17Y141 ROW 9 TILEPROP INT_R_X17Y141 SLR_REGION_ID 0 TILEPROP INT_R_X17Y141 TILE_PATTERN_IDX 3866 TILEPROP INT_R_X17Y141 TILE_TYPE INT_R TILEPROP INT_R_X17Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y141 TILE_X -19160 TILEPROP INT_R_X17Y141 TILE_Y 215096 TILEPROP INT_R_X17Y141 TYPE INT_R TILEPROP INT_R_X17Y142 CLASS tile TILEPROP INT_R_X17Y142 COLUMN 45 TILEPROP INT_R_X17Y142 DEVICE_ID 0 TILEPROP INT_R_X17Y142 FIRST_SITE_ID 763 TILEPROP INT_R_X17Y142 GRID_POINT_X 45 TILEPROP INT_R_X17Y142 GRID_POINT_Y 8 TILEPROP INT_R_X17Y142 INDEX 965 TILEPROP INT_R_X17Y142 INT_TILE_X 17 TILEPROP INT_R_X17Y142 INT_TILE_Y 7 TILEPROP INT_R_X17Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y142 IS_DCM_TILE 0 TILEPROP INT_R_X17Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y142 NAME INT_R_X17Y142 TILEPROP INT_R_X17Y142 NUM_ARCS 3737 TILEPROP INT_R_X17Y142 NUM_SITES 1 TILEPROP INT_R_X17Y142 ROW 8 TILEPROP INT_R_X17Y142 SLR_REGION_ID 0 TILEPROP INT_R_X17Y142 TILE_PATTERN_IDX 3827 TILEPROP INT_R_X17Y142 TILE_TYPE INT_R TILEPROP INT_R_X17Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y142 TILE_X -19160 TILEPROP INT_R_X17Y142 TILE_Y 218296 TILEPROP INT_R_X17Y142 TYPE INT_R TILEPROP INT_R_X17Y143 CLASS tile TILEPROP INT_R_X17Y143 COLUMN 45 TILEPROP INT_R_X17Y143 DEVICE_ID 0 TILEPROP INT_R_X17Y143 FIRST_SITE_ID 666 TILEPROP INT_R_X17Y143 GRID_POINT_X 45 TILEPROP INT_R_X17Y143 GRID_POINT_Y 7 TILEPROP INT_R_X17Y143 INDEX 850 TILEPROP INT_R_X17Y143 INT_TILE_X 17 TILEPROP INT_R_X17Y143 INT_TILE_Y 6 TILEPROP INT_R_X17Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y143 IS_DCM_TILE 0 TILEPROP INT_R_X17Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y143 NAME INT_R_X17Y143 TILEPROP INT_R_X17Y143 NUM_ARCS 3737 TILEPROP INT_R_X17Y143 NUM_SITES 1 TILEPROP INT_R_X17Y143 ROW 7 TILEPROP INT_R_X17Y143 SLR_REGION_ID 0 TILEPROP INT_R_X17Y143 TILE_PATTERN_IDX 3789 TILEPROP INT_R_X17Y143 TILE_TYPE INT_R TILEPROP INT_R_X17Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y143 TILE_X -19160 TILEPROP INT_R_X17Y143 TILE_Y 221496 TILEPROP INT_R_X17Y143 TYPE INT_R TILEPROP INT_R_X17Y144 CLASS tile TILEPROP INT_R_X17Y144 COLUMN 45 TILEPROP INT_R_X17Y144 DEVICE_ID 0 TILEPROP INT_R_X17Y144 FIRST_SITE_ID 555 TILEPROP INT_R_X17Y144 GRID_POINT_X 45 TILEPROP INT_R_X17Y144 GRID_POINT_Y 6 TILEPROP INT_R_X17Y144 INDEX 735 TILEPROP INT_R_X17Y144 INT_TILE_X 17 TILEPROP INT_R_X17Y144 INT_TILE_Y 5 TILEPROP INT_R_X17Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y144 IS_DCM_TILE 0 TILEPROP INT_R_X17Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y144 NAME INT_R_X17Y144 TILEPROP INT_R_X17Y144 NUM_ARCS 3737 TILEPROP INT_R_X17Y144 NUM_SITES 1 TILEPROP INT_R_X17Y144 ROW 6 TILEPROP INT_R_X17Y144 SLR_REGION_ID 0 TILEPROP INT_R_X17Y144 TILE_PATTERN_IDX 3750 TILEPROP INT_R_X17Y144 TILE_TYPE INT_R TILEPROP INT_R_X17Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y144 TILE_X -19160 TILEPROP INT_R_X17Y144 TILE_Y 224696 TILEPROP INT_R_X17Y144 TYPE INT_R TILEPROP INT_R_X17Y145 CLASS tile TILEPROP INT_R_X17Y145 COLUMN 45 TILEPROP INT_R_X17Y145 DEVICE_ID 0 TILEPROP INT_R_X17Y145 FIRST_SITE_ID 453 TILEPROP INT_R_X17Y145 GRID_POINT_X 45 TILEPROP INT_R_X17Y145 GRID_POINT_Y 5 TILEPROP INT_R_X17Y145 INDEX 620 TILEPROP INT_R_X17Y145 INT_TILE_X 17 TILEPROP INT_R_X17Y145 INT_TILE_Y 4 TILEPROP INT_R_X17Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y145 IS_DCM_TILE 0 TILEPROP INT_R_X17Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y145 NAME INT_R_X17Y145 TILEPROP INT_R_X17Y145 NUM_ARCS 3737 TILEPROP INT_R_X17Y145 NUM_SITES 1 TILEPROP INT_R_X17Y145 ROW 5 TILEPROP INT_R_X17Y145 SLR_REGION_ID 0 TILEPROP INT_R_X17Y145 TILE_PATTERN_IDX 3712 TILEPROP INT_R_X17Y145 TILE_TYPE INT_R TILEPROP INT_R_X17Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y145 TILE_X -19160 TILEPROP INT_R_X17Y145 TILE_Y 227896 TILEPROP INT_R_X17Y145 TYPE INT_R TILEPROP INT_R_X17Y146 CLASS tile TILEPROP INT_R_X17Y146 COLUMN 45 TILEPROP INT_R_X17Y146 DEVICE_ID 0 TILEPROP INT_R_X17Y146 FIRST_SITE_ID 343 TILEPROP INT_R_X17Y146 GRID_POINT_X 45 TILEPROP INT_R_X17Y146 GRID_POINT_Y 4 TILEPROP INT_R_X17Y146 INDEX 505 TILEPROP INT_R_X17Y146 INT_TILE_X 17 TILEPROP INT_R_X17Y146 INT_TILE_Y 3 TILEPROP INT_R_X17Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y146 IS_DCM_TILE 0 TILEPROP INT_R_X17Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y146 NAME INT_R_X17Y146 TILEPROP INT_R_X17Y146 NUM_ARCS 3737 TILEPROP INT_R_X17Y146 NUM_SITES 1 TILEPROP INT_R_X17Y146 ROW 4 TILEPROP INT_R_X17Y146 SLR_REGION_ID 0 TILEPROP INT_R_X17Y146 TILE_PATTERN_IDX 3673 TILEPROP INT_R_X17Y146 TILE_TYPE INT_R TILEPROP INT_R_X17Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y146 TILE_X -19160 TILEPROP INT_R_X17Y146 TILE_Y 231096 TILEPROP INT_R_X17Y146 TYPE INT_R TILEPROP INT_R_X17Y147 CLASS tile TILEPROP INT_R_X17Y147 COLUMN 45 TILEPROP INT_R_X17Y147 DEVICE_ID 0 TILEPROP INT_R_X17Y147 FIRST_SITE_ID 247 TILEPROP INT_R_X17Y147 GRID_POINT_X 45 TILEPROP INT_R_X17Y147 GRID_POINT_Y 3 TILEPROP INT_R_X17Y147 INDEX 390 TILEPROP INT_R_X17Y147 INT_TILE_X 17 TILEPROP INT_R_X17Y147 INT_TILE_Y 2 TILEPROP INT_R_X17Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y147 IS_DCM_TILE 0 TILEPROP INT_R_X17Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y147 NAME INT_R_X17Y147 TILEPROP INT_R_X17Y147 NUM_ARCS 3737 TILEPROP INT_R_X17Y147 NUM_SITES 1 TILEPROP INT_R_X17Y147 ROW 3 TILEPROP INT_R_X17Y147 SLR_REGION_ID 0 TILEPROP INT_R_X17Y147 TILE_PATTERN_IDX 3635 TILEPROP INT_R_X17Y147 TILE_TYPE INT_R TILEPROP INT_R_X17Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y147 TILE_X -19160 TILEPROP INT_R_X17Y147 TILE_Y 234296 TILEPROP INT_R_X17Y147 TYPE INT_R TILEPROP INT_R_X17Y148 CLASS tile TILEPROP INT_R_X17Y148 COLUMN 45 TILEPROP INT_R_X17Y148 DEVICE_ID 0 TILEPROP INT_R_X17Y148 FIRST_SITE_ID 143 TILEPROP INT_R_X17Y148 GRID_POINT_X 45 TILEPROP INT_R_X17Y148 GRID_POINT_Y 2 TILEPROP INT_R_X17Y148 INDEX 275 TILEPROP INT_R_X17Y148 INT_TILE_X 17 TILEPROP INT_R_X17Y148 INT_TILE_Y 1 TILEPROP INT_R_X17Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y148 IS_DCM_TILE 0 TILEPROP INT_R_X17Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y148 NAME INT_R_X17Y148 TILEPROP INT_R_X17Y148 NUM_ARCS 3737 TILEPROP INT_R_X17Y148 NUM_SITES 1 TILEPROP INT_R_X17Y148 ROW 2 TILEPROP INT_R_X17Y148 SLR_REGION_ID 0 TILEPROP INT_R_X17Y148 TILE_PATTERN_IDX 3596 TILEPROP INT_R_X17Y148 TILE_TYPE INT_R TILEPROP INT_R_X17Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y148 TILE_X -19160 TILEPROP INT_R_X17Y148 TILE_Y 237496 TILEPROP INT_R_X17Y148 TYPE INT_R TILEPROP INT_R_X17Y149 CLASS tile TILEPROP INT_R_X17Y149 COLUMN 45 TILEPROP INT_R_X17Y149 DEVICE_ID 0 TILEPROP INT_R_X17Y149 FIRST_SITE_ID 47 TILEPROP INT_R_X17Y149 GRID_POINT_X 45 TILEPROP INT_R_X17Y149 GRID_POINT_Y 1 TILEPROP INT_R_X17Y149 INDEX 160 TILEPROP INT_R_X17Y149 INT_TILE_X 17 TILEPROP INT_R_X17Y149 INT_TILE_Y 0 TILEPROP INT_R_X17Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X17Y149 IS_DCM_TILE 0 TILEPROP INT_R_X17Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X17Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X17Y149 NAME INT_R_X17Y149 TILEPROP INT_R_X17Y149 NUM_ARCS 3737 TILEPROP INT_R_X17Y149 NUM_SITES 1 TILEPROP INT_R_X17Y149 ROW 1 TILEPROP INT_R_X17Y149 SLR_REGION_ID 0 TILEPROP INT_R_X17Y149 TILE_PATTERN_IDX 3558 TILEPROP INT_R_X17Y149 TILE_TYPE INT_R TILEPROP INT_R_X17Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X17Y149 TILE_X -19160 TILEPROP INT_R_X17Y149 TILE_Y 240696 TILEPROP INT_R_X17Y149 TYPE INT_R TILEPROP INT_R_X19Y0 CLASS tile TILEPROP INT_R_X19Y0 COLUMN 50 TILEPROP INT_R_X19Y0 DEVICE_ID 0 TILEPROP INT_R_X19Y0 FIRST_SITE_ID 15741 TILEPROP INT_R_X19Y0 GRID_POINT_X 50 TILEPROP INT_R_X19Y0 GRID_POINT_Y 155 TILEPROP INT_R_X19Y0 INDEX 17875 TILEPROP INT_R_X19Y0 INT_TILE_X 19 TILEPROP INT_R_X19Y0 INT_TILE_Y 149 TILEPROP INT_R_X19Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y0 IS_DCM_TILE 0 TILEPROP INT_R_X19Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y0 NAME INT_R_X19Y0 TILEPROP INT_R_X19Y0 NUM_ARCS 3737 TILEPROP INT_R_X19Y0 NUM_SITES 1 TILEPROP INT_R_X19Y0 ROW 155 TILEPROP INT_R_X19Y0 SLR_REGION_ID 0 TILEPROP INT_R_X19Y0 TILE_PATTERN_IDX 8746 TILEPROP INT_R_X19Y0 TILE_TYPE INT_R TILEPROP INT_R_X19Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y0 TILE_X -11424 TILEPROP INT_R_X19Y0 TILE_Y -239672 TILEPROP INT_R_X19Y0 TYPE INT_R TILEPROP INT_R_X19Y1 CLASS tile TILEPROP INT_R_X19Y1 COLUMN 50 TILEPROP INT_R_X19Y1 DEVICE_ID 0 TILEPROP INT_R_X19Y1 FIRST_SITE_ID 15630 TILEPROP INT_R_X19Y1 GRID_POINT_X 50 TILEPROP INT_R_X19Y1 GRID_POINT_Y 154 TILEPROP INT_R_X19Y1 INDEX 17760 TILEPROP INT_R_X19Y1 INT_TILE_X 19 TILEPROP INT_R_X19Y1 INT_TILE_Y 148 TILEPROP INT_R_X19Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y1 IS_DCM_TILE 0 TILEPROP INT_R_X19Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y1 NAME INT_R_X19Y1 TILEPROP INT_R_X19Y1 NUM_ARCS 3737 TILEPROP INT_R_X19Y1 NUM_SITES 1 TILEPROP INT_R_X19Y1 ROW 154 TILEPROP INT_R_X19Y1 SLR_REGION_ID 0 TILEPROP INT_R_X19Y1 TILE_PATTERN_IDX 8715 TILEPROP INT_R_X19Y1 TILE_TYPE INT_R TILEPROP INT_R_X19Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y1 TILE_X -11424 TILEPROP INT_R_X19Y1 TILE_Y -236472 TILEPROP INT_R_X19Y1 TYPE INT_R TILEPROP INT_R_X19Y2 CLASS tile TILEPROP INT_R_X19Y2 COLUMN 50 TILEPROP INT_R_X19Y2 DEVICE_ID 0 TILEPROP INT_R_X19Y2 FIRST_SITE_ID 15530 TILEPROP INT_R_X19Y2 GRID_POINT_X 50 TILEPROP INT_R_X19Y2 GRID_POINT_Y 153 TILEPROP INT_R_X19Y2 INDEX 17645 TILEPROP INT_R_X19Y2 INT_TILE_X 19 TILEPROP INT_R_X19Y2 INT_TILE_Y 147 TILEPROP INT_R_X19Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y2 IS_DCM_TILE 0 TILEPROP INT_R_X19Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y2 NAME INT_R_X19Y2 TILEPROP INT_R_X19Y2 NUM_ARCS 3737 TILEPROP INT_R_X19Y2 NUM_SITES 1 TILEPROP INT_R_X19Y2 ROW 153 TILEPROP INT_R_X19Y2 SLR_REGION_ID 0 TILEPROP INT_R_X19Y2 TILE_PATTERN_IDX 8685 TILEPROP INT_R_X19Y2 TILE_TYPE INT_R TILEPROP INT_R_X19Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y2 TILE_X -11424 TILEPROP INT_R_X19Y2 TILE_Y -233272 TILEPROP INT_R_X19Y2 TYPE INT_R TILEPROP INT_R_X19Y3 CLASS tile TILEPROP INT_R_X19Y3 COLUMN 50 TILEPROP INT_R_X19Y3 DEVICE_ID 0 TILEPROP INT_R_X19Y3 FIRST_SITE_ID 15430 TILEPROP INT_R_X19Y3 GRID_POINT_X 50 TILEPROP INT_R_X19Y3 GRID_POINT_Y 152 TILEPROP INT_R_X19Y3 INDEX 17530 TILEPROP INT_R_X19Y3 INT_TILE_X 19 TILEPROP INT_R_X19Y3 INT_TILE_Y 146 TILEPROP INT_R_X19Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y3 IS_DCM_TILE 0 TILEPROP INT_R_X19Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y3 NAME INT_R_X19Y3 TILEPROP INT_R_X19Y3 NUM_ARCS 3737 TILEPROP INT_R_X19Y3 NUM_SITES 1 TILEPROP INT_R_X19Y3 ROW 152 TILEPROP INT_R_X19Y3 SLR_REGION_ID 0 TILEPROP INT_R_X19Y3 TILE_PATTERN_IDX 8654 TILEPROP INT_R_X19Y3 TILE_TYPE INT_R TILEPROP INT_R_X19Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y3 TILE_X -11424 TILEPROP INT_R_X19Y3 TILE_Y -230072 TILEPROP INT_R_X19Y3 TYPE INT_R TILEPROP INT_R_X19Y4 CLASS tile TILEPROP INT_R_X19Y4 COLUMN 50 TILEPROP INT_R_X19Y4 DEVICE_ID 0 TILEPROP INT_R_X19Y4 FIRST_SITE_ID 15330 TILEPROP INT_R_X19Y4 GRID_POINT_X 50 TILEPROP INT_R_X19Y4 GRID_POINT_Y 151 TILEPROP INT_R_X19Y4 INDEX 17415 TILEPROP INT_R_X19Y4 INT_TILE_X 19 TILEPROP INT_R_X19Y4 INT_TILE_Y 145 TILEPROP INT_R_X19Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y4 IS_DCM_TILE 0 TILEPROP INT_R_X19Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y4 NAME INT_R_X19Y4 TILEPROP INT_R_X19Y4 NUM_ARCS 3737 TILEPROP INT_R_X19Y4 NUM_SITES 1 TILEPROP INT_R_X19Y4 ROW 151 TILEPROP INT_R_X19Y4 SLR_REGION_ID 0 TILEPROP INT_R_X19Y4 TILE_PATTERN_IDX 8624 TILEPROP INT_R_X19Y4 TILE_TYPE INT_R TILEPROP INT_R_X19Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y4 TILE_X -11424 TILEPROP INT_R_X19Y4 TILE_Y -226872 TILEPROP INT_R_X19Y4 TYPE INT_R TILEPROP INT_R_X19Y5 CLASS tile TILEPROP INT_R_X19Y5 COLUMN 50 TILEPROP INT_R_X19Y5 DEVICE_ID 0 TILEPROP INT_R_X19Y5 FIRST_SITE_ID 15221 TILEPROP INT_R_X19Y5 GRID_POINT_X 50 TILEPROP INT_R_X19Y5 GRID_POINT_Y 150 TILEPROP INT_R_X19Y5 INDEX 17300 TILEPROP INT_R_X19Y5 INT_TILE_X 19 TILEPROP INT_R_X19Y5 INT_TILE_Y 144 TILEPROP INT_R_X19Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y5 IS_DCM_TILE 0 TILEPROP INT_R_X19Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y5 NAME INT_R_X19Y5 TILEPROP INT_R_X19Y5 NUM_ARCS 3737 TILEPROP INT_R_X19Y5 NUM_SITES 1 TILEPROP INT_R_X19Y5 ROW 150 TILEPROP INT_R_X19Y5 SLR_REGION_ID 0 TILEPROP INT_R_X19Y5 TILE_PATTERN_IDX 8593 TILEPROP INT_R_X19Y5 TILE_TYPE INT_R TILEPROP INT_R_X19Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y5 TILE_X -11424 TILEPROP INT_R_X19Y5 TILE_Y -223672 TILEPROP INT_R_X19Y5 TYPE INT_R TILEPROP INT_R_X19Y6 CLASS tile TILEPROP INT_R_X19Y6 COLUMN 50 TILEPROP INT_R_X19Y6 DEVICE_ID 0 TILEPROP INT_R_X19Y6 FIRST_SITE_ID 15115 TILEPROP INT_R_X19Y6 GRID_POINT_X 50 TILEPROP INT_R_X19Y6 GRID_POINT_Y 149 TILEPROP INT_R_X19Y6 INDEX 17185 TILEPROP INT_R_X19Y6 INT_TILE_X 19 TILEPROP INT_R_X19Y6 INT_TILE_Y 143 TILEPROP INT_R_X19Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y6 IS_DCM_TILE 0 TILEPROP INT_R_X19Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y6 NAME INT_R_X19Y6 TILEPROP INT_R_X19Y6 NUM_ARCS 3737 TILEPROP INT_R_X19Y6 NUM_SITES 1 TILEPROP INT_R_X19Y6 ROW 149 TILEPROP INT_R_X19Y6 SLR_REGION_ID 0 TILEPROP INT_R_X19Y6 TILE_PATTERN_IDX 8563 TILEPROP INT_R_X19Y6 TILE_TYPE INT_R TILEPROP INT_R_X19Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y6 TILE_X -11424 TILEPROP INT_R_X19Y6 TILE_Y -220472 TILEPROP INT_R_X19Y6 TYPE INT_R TILEPROP INT_R_X19Y7 CLASS tile TILEPROP INT_R_X19Y7 COLUMN 50 TILEPROP INT_R_X19Y7 DEVICE_ID 0 TILEPROP INT_R_X19Y7 FIRST_SITE_ID 15013 TILEPROP INT_R_X19Y7 GRID_POINT_X 50 TILEPROP INT_R_X19Y7 GRID_POINT_Y 148 TILEPROP INT_R_X19Y7 INDEX 17070 TILEPROP INT_R_X19Y7 INT_TILE_X 19 TILEPROP INT_R_X19Y7 INT_TILE_Y 142 TILEPROP INT_R_X19Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y7 IS_DCM_TILE 0 TILEPROP INT_R_X19Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y7 NAME INT_R_X19Y7 TILEPROP INT_R_X19Y7 NUM_ARCS 3737 TILEPROP INT_R_X19Y7 NUM_SITES 1 TILEPROP INT_R_X19Y7 ROW 148 TILEPROP INT_R_X19Y7 SLR_REGION_ID 0 TILEPROP INT_R_X19Y7 TILE_PATTERN_IDX 8532 TILEPROP INT_R_X19Y7 TILE_TYPE INT_R TILEPROP INT_R_X19Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y7 TILE_X -11424 TILEPROP INT_R_X19Y7 TILE_Y -217272 TILEPROP INT_R_X19Y7 TYPE INT_R TILEPROP INT_R_X19Y8 CLASS tile TILEPROP INT_R_X19Y8 COLUMN 50 TILEPROP INT_R_X19Y8 DEVICE_ID 0 TILEPROP INT_R_X19Y8 FIRST_SITE_ID 14910 TILEPROP INT_R_X19Y8 GRID_POINT_X 50 TILEPROP INT_R_X19Y8 GRID_POINT_Y 147 TILEPROP INT_R_X19Y8 INDEX 16955 TILEPROP INT_R_X19Y8 INT_TILE_X 19 TILEPROP INT_R_X19Y8 INT_TILE_Y 141 TILEPROP INT_R_X19Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y8 IS_DCM_TILE 0 TILEPROP INT_R_X19Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y8 NAME INT_R_X19Y8 TILEPROP INT_R_X19Y8 NUM_ARCS 3737 TILEPROP INT_R_X19Y8 NUM_SITES 1 TILEPROP INT_R_X19Y8 ROW 147 TILEPROP INT_R_X19Y8 SLR_REGION_ID 0 TILEPROP INT_R_X19Y8 TILE_PATTERN_IDX 8502 TILEPROP INT_R_X19Y8 TILE_TYPE INT_R TILEPROP INT_R_X19Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y8 TILE_X -11424 TILEPROP INT_R_X19Y8 TILE_Y -214072 TILEPROP INT_R_X19Y8 TYPE INT_R TILEPROP INT_R_X19Y9 CLASS tile TILEPROP INT_R_X19Y9 COLUMN 50 TILEPROP INT_R_X19Y9 DEVICE_ID 0 TILEPROP INT_R_X19Y9 FIRST_SITE_ID 14809 TILEPROP INT_R_X19Y9 GRID_POINT_X 50 TILEPROP INT_R_X19Y9 GRID_POINT_Y 146 TILEPROP INT_R_X19Y9 INDEX 16840 TILEPROP INT_R_X19Y9 INT_TILE_X 19 TILEPROP INT_R_X19Y9 INT_TILE_Y 140 TILEPROP INT_R_X19Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y9 IS_DCM_TILE 0 TILEPROP INT_R_X19Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y9 NAME INT_R_X19Y9 TILEPROP INT_R_X19Y9 NUM_ARCS 3737 TILEPROP INT_R_X19Y9 NUM_SITES 1 TILEPROP INT_R_X19Y9 ROW 146 TILEPROP INT_R_X19Y9 SLR_REGION_ID 0 TILEPROP INT_R_X19Y9 TILE_PATTERN_IDX 8471 TILEPROP INT_R_X19Y9 TILE_TYPE INT_R TILEPROP INT_R_X19Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y9 TILE_X -11424 TILEPROP INT_R_X19Y9 TILE_Y -210872 TILEPROP INT_R_X19Y9 TYPE INT_R TILEPROP INT_R_X19Y10 CLASS tile TILEPROP INT_R_X19Y10 COLUMN 50 TILEPROP INT_R_X19Y10 DEVICE_ID 0 TILEPROP INT_R_X19Y10 FIRST_SITE_ID 14700 TILEPROP INT_R_X19Y10 GRID_POINT_X 50 TILEPROP INT_R_X19Y10 GRID_POINT_Y 145 TILEPROP INT_R_X19Y10 INDEX 16725 TILEPROP INT_R_X19Y10 INT_TILE_X 19 TILEPROP INT_R_X19Y10 INT_TILE_Y 139 TILEPROP INT_R_X19Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y10 IS_DCM_TILE 0 TILEPROP INT_R_X19Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y10 NAME INT_R_X19Y10 TILEPROP INT_R_X19Y10 NUM_ARCS 3737 TILEPROP INT_R_X19Y10 NUM_SITES 1 TILEPROP INT_R_X19Y10 ROW 145 TILEPROP INT_R_X19Y10 SLR_REGION_ID 0 TILEPROP INT_R_X19Y10 TILE_PATTERN_IDX 8441 TILEPROP INT_R_X19Y10 TILE_TYPE INT_R TILEPROP INT_R_X19Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y10 TILE_X -11424 TILEPROP INT_R_X19Y10 TILE_Y -207672 TILEPROP INT_R_X19Y10 TYPE INT_R TILEPROP INT_R_X19Y11 CLASS tile TILEPROP INT_R_X19Y11 COLUMN 50 TILEPROP INT_R_X19Y11 DEVICE_ID 0 TILEPROP INT_R_X19Y11 FIRST_SITE_ID 14594 TILEPROP INT_R_X19Y11 GRID_POINT_X 50 TILEPROP INT_R_X19Y11 GRID_POINT_Y 144 TILEPROP INT_R_X19Y11 INDEX 16610 TILEPROP INT_R_X19Y11 INT_TILE_X 19 TILEPROP INT_R_X19Y11 INT_TILE_Y 138 TILEPROP INT_R_X19Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y11 IS_DCM_TILE 0 TILEPROP INT_R_X19Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y11 NAME INT_R_X19Y11 TILEPROP INT_R_X19Y11 NUM_ARCS 3737 TILEPROP INT_R_X19Y11 NUM_SITES 1 TILEPROP INT_R_X19Y11 ROW 144 TILEPROP INT_R_X19Y11 SLR_REGION_ID 0 TILEPROP INT_R_X19Y11 TILE_PATTERN_IDX 8410 TILEPROP INT_R_X19Y11 TILE_TYPE INT_R TILEPROP INT_R_X19Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y11 TILE_X -11424 TILEPROP INT_R_X19Y11 TILE_Y -204472 TILEPROP INT_R_X19Y11 TYPE INT_R TILEPROP INT_R_X19Y12 CLASS tile TILEPROP INT_R_X19Y12 COLUMN 50 TILEPROP INT_R_X19Y12 DEVICE_ID 0 TILEPROP INT_R_X19Y12 FIRST_SITE_ID 14462 TILEPROP INT_R_X19Y12 GRID_POINT_X 50 TILEPROP INT_R_X19Y12 GRID_POINT_Y 143 TILEPROP INT_R_X19Y12 INDEX 16495 TILEPROP INT_R_X19Y12 INT_TILE_X 19 TILEPROP INT_R_X19Y12 INT_TILE_Y 137 TILEPROP INT_R_X19Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y12 IS_DCM_TILE 0 TILEPROP INT_R_X19Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y12 NAME INT_R_X19Y12 TILEPROP INT_R_X19Y12 NUM_ARCS 3737 TILEPROP INT_R_X19Y12 NUM_SITES 1 TILEPROP INT_R_X19Y12 ROW 143 TILEPROP INT_R_X19Y12 SLR_REGION_ID 0 TILEPROP INT_R_X19Y12 TILE_PATTERN_IDX 8380 TILEPROP INT_R_X19Y12 TILE_TYPE INT_R TILEPROP INT_R_X19Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y12 TILE_X -11424 TILEPROP INT_R_X19Y12 TILE_Y -201272 TILEPROP INT_R_X19Y12 TYPE INT_R TILEPROP INT_R_X19Y13 CLASS tile TILEPROP INT_R_X19Y13 COLUMN 50 TILEPROP INT_R_X19Y13 DEVICE_ID 0 TILEPROP INT_R_X19Y13 FIRST_SITE_ID 14362 TILEPROP INT_R_X19Y13 GRID_POINT_X 50 TILEPROP INT_R_X19Y13 GRID_POINT_Y 142 TILEPROP INT_R_X19Y13 INDEX 16380 TILEPROP INT_R_X19Y13 INT_TILE_X 19 TILEPROP INT_R_X19Y13 INT_TILE_Y 136 TILEPROP INT_R_X19Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y13 IS_DCM_TILE 0 TILEPROP INT_R_X19Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y13 NAME INT_R_X19Y13 TILEPROP INT_R_X19Y13 NUM_ARCS 3737 TILEPROP INT_R_X19Y13 NUM_SITES 1 TILEPROP INT_R_X19Y13 ROW 142 TILEPROP INT_R_X19Y13 SLR_REGION_ID 0 TILEPROP INT_R_X19Y13 TILE_PATTERN_IDX 8349 TILEPROP INT_R_X19Y13 TILE_TYPE INT_R TILEPROP INT_R_X19Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y13 TILE_X -11424 TILEPROP INT_R_X19Y13 TILE_Y -198072 TILEPROP INT_R_X19Y13 TYPE INT_R TILEPROP INT_R_X19Y14 CLASS tile TILEPROP INT_R_X19Y14 COLUMN 50 TILEPROP INT_R_X19Y14 DEVICE_ID 0 TILEPROP INT_R_X19Y14 FIRST_SITE_ID 14262 TILEPROP INT_R_X19Y14 GRID_POINT_X 50 TILEPROP INT_R_X19Y14 GRID_POINT_Y 141 TILEPROP INT_R_X19Y14 INDEX 16265 TILEPROP INT_R_X19Y14 INT_TILE_X 19 TILEPROP INT_R_X19Y14 INT_TILE_Y 135 TILEPROP INT_R_X19Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y14 IS_DCM_TILE 0 TILEPROP INT_R_X19Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y14 NAME INT_R_X19Y14 TILEPROP INT_R_X19Y14 NUM_ARCS 3737 TILEPROP INT_R_X19Y14 NUM_SITES 1 TILEPROP INT_R_X19Y14 ROW 141 TILEPROP INT_R_X19Y14 SLR_REGION_ID 0 TILEPROP INT_R_X19Y14 TILE_PATTERN_IDX 8319 TILEPROP INT_R_X19Y14 TILE_TYPE INT_R TILEPROP INT_R_X19Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y14 TILE_X -11424 TILEPROP INT_R_X19Y14 TILE_Y -194872 TILEPROP INT_R_X19Y14 TYPE INT_R TILEPROP INT_R_X19Y15 CLASS tile TILEPROP INT_R_X19Y15 COLUMN 50 TILEPROP INT_R_X19Y15 DEVICE_ID 0 TILEPROP INT_R_X19Y15 FIRST_SITE_ID 14153 TILEPROP INT_R_X19Y15 GRID_POINT_X 50 TILEPROP INT_R_X19Y15 GRID_POINT_Y 140 TILEPROP INT_R_X19Y15 INDEX 16150 TILEPROP INT_R_X19Y15 INT_TILE_X 19 TILEPROP INT_R_X19Y15 INT_TILE_Y 134 TILEPROP INT_R_X19Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y15 IS_DCM_TILE 0 TILEPROP INT_R_X19Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y15 NAME INT_R_X19Y15 TILEPROP INT_R_X19Y15 NUM_ARCS 3737 TILEPROP INT_R_X19Y15 NUM_SITES 1 TILEPROP INT_R_X19Y15 ROW 140 TILEPROP INT_R_X19Y15 SLR_REGION_ID 0 TILEPROP INT_R_X19Y15 TILE_PATTERN_IDX 8288 TILEPROP INT_R_X19Y15 TILE_TYPE INT_R TILEPROP INT_R_X19Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y15 TILE_X -11424 TILEPROP INT_R_X19Y15 TILE_Y -191672 TILEPROP INT_R_X19Y15 TYPE INT_R TILEPROP INT_R_X19Y16 CLASS tile TILEPROP INT_R_X19Y16 COLUMN 50 TILEPROP INT_R_X19Y16 DEVICE_ID 0 TILEPROP INT_R_X19Y16 FIRST_SITE_ID 14047 TILEPROP INT_R_X19Y16 GRID_POINT_X 50 TILEPROP INT_R_X19Y16 GRID_POINT_Y 139 TILEPROP INT_R_X19Y16 INDEX 16035 TILEPROP INT_R_X19Y16 INT_TILE_X 19 TILEPROP INT_R_X19Y16 INT_TILE_Y 133 TILEPROP INT_R_X19Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y16 IS_DCM_TILE 0 TILEPROP INT_R_X19Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y16 NAME INT_R_X19Y16 TILEPROP INT_R_X19Y16 NUM_ARCS 3737 TILEPROP INT_R_X19Y16 NUM_SITES 1 TILEPROP INT_R_X19Y16 ROW 139 TILEPROP INT_R_X19Y16 SLR_REGION_ID 0 TILEPROP INT_R_X19Y16 TILE_PATTERN_IDX 8258 TILEPROP INT_R_X19Y16 TILE_TYPE INT_R TILEPROP INT_R_X19Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y16 TILE_X -11424 TILEPROP INT_R_X19Y16 TILE_Y -188472 TILEPROP INT_R_X19Y16 TYPE INT_R TILEPROP INT_R_X19Y17 CLASS tile TILEPROP INT_R_X19Y17 COLUMN 50 TILEPROP INT_R_X19Y17 DEVICE_ID 0 TILEPROP INT_R_X19Y17 FIRST_SITE_ID 13942 TILEPROP INT_R_X19Y17 GRID_POINT_X 50 TILEPROP INT_R_X19Y17 GRID_POINT_Y 138 TILEPROP INT_R_X19Y17 INDEX 15920 TILEPROP INT_R_X19Y17 INT_TILE_X 19 TILEPROP INT_R_X19Y17 INT_TILE_Y 132 TILEPROP INT_R_X19Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y17 IS_DCM_TILE 0 TILEPROP INT_R_X19Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y17 NAME INT_R_X19Y17 TILEPROP INT_R_X19Y17 NUM_ARCS 3737 TILEPROP INT_R_X19Y17 NUM_SITES 1 TILEPROP INT_R_X19Y17 ROW 138 TILEPROP INT_R_X19Y17 SLR_REGION_ID 0 TILEPROP INT_R_X19Y17 TILE_PATTERN_IDX 8226 TILEPROP INT_R_X19Y17 TILE_TYPE INT_R TILEPROP INT_R_X19Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y17 TILE_X -11424 TILEPROP INT_R_X19Y17 TILE_Y -185272 TILEPROP INT_R_X19Y17 TYPE INT_R TILEPROP INT_R_X19Y18 CLASS tile TILEPROP INT_R_X19Y18 COLUMN 50 TILEPROP INT_R_X19Y18 DEVICE_ID 0 TILEPROP INT_R_X19Y18 FIRST_SITE_ID 13838 TILEPROP INT_R_X19Y18 GRID_POINT_X 50 TILEPROP INT_R_X19Y18 GRID_POINT_Y 137 TILEPROP INT_R_X19Y18 INDEX 15805 TILEPROP INT_R_X19Y18 INT_TILE_X 19 TILEPROP INT_R_X19Y18 INT_TILE_Y 131 TILEPROP INT_R_X19Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y18 IS_DCM_TILE 0 TILEPROP INT_R_X19Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y18 NAME INT_R_X19Y18 TILEPROP INT_R_X19Y18 NUM_ARCS 3737 TILEPROP INT_R_X19Y18 NUM_SITES 1 TILEPROP INT_R_X19Y18 ROW 137 TILEPROP INT_R_X19Y18 SLR_REGION_ID 0 TILEPROP INT_R_X19Y18 TILE_PATTERN_IDX 8203 TILEPROP INT_R_X19Y18 TILE_TYPE INT_R TILEPROP INT_R_X19Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y18 TILE_X -11424 TILEPROP INT_R_X19Y18 TILE_Y -182072 TILEPROP INT_R_X19Y18 TYPE INT_R TILEPROP INT_R_X19Y19 CLASS tile TILEPROP INT_R_X19Y19 COLUMN 50 TILEPROP INT_R_X19Y19 DEVICE_ID 0 TILEPROP INT_R_X19Y19 FIRST_SITE_ID 13736 TILEPROP INT_R_X19Y19 GRID_POINT_X 50 TILEPROP INT_R_X19Y19 GRID_POINT_Y 136 TILEPROP INT_R_X19Y19 INDEX 15690 TILEPROP INT_R_X19Y19 INT_TILE_X 19 TILEPROP INT_R_X19Y19 INT_TILE_Y 130 TILEPROP INT_R_X19Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y19 IS_DCM_TILE 0 TILEPROP INT_R_X19Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y19 NAME INT_R_X19Y19 TILEPROP INT_R_X19Y19 NUM_ARCS 3737 TILEPROP INT_R_X19Y19 NUM_SITES 1 TILEPROP INT_R_X19Y19 ROW 136 TILEPROP INT_R_X19Y19 SLR_REGION_ID 0 TILEPROP INT_R_X19Y19 TILE_PATTERN_IDX 8180 TILEPROP INT_R_X19Y19 TILE_TYPE INT_R TILEPROP INT_R_X19Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y19 TILE_X -11424 TILEPROP INT_R_X19Y19 TILE_Y -178872 TILEPROP INT_R_X19Y19 TYPE INT_R TILEPROP INT_R_X19Y20 CLASS tile TILEPROP INT_R_X19Y20 COLUMN 50 TILEPROP INT_R_X19Y20 DEVICE_ID 0 TILEPROP INT_R_X19Y20 FIRST_SITE_ID 13625 TILEPROP INT_R_X19Y20 GRID_POINT_X 50 TILEPROP INT_R_X19Y20 GRID_POINT_Y 135 TILEPROP INT_R_X19Y20 INDEX 15575 TILEPROP INT_R_X19Y20 INT_TILE_X 19 TILEPROP INT_R_X19Y20 INT_TILE_Y 129 TILEPROP INT_R_X19Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y20 IS_DCM_TILE 0 TILEPROP INT_R_X19Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y20 NAME INT_R_X19Y20 TILEPROP INT_R_X19Y20 NUM_ARCS 3737 TILEPROP INT_R_X19Y20 NUM_SITES 1 TILEPROP INT_R_X19Y20 ROW 135 TILEPROP INT_R_X19Y20 SLR_REGION_ID 0 TILEPROP INT_R_X19Y20 TILE_PATTERN_IDX 8158 TILEPROP INT_R_X19Y20 TILE_TYPE INT_R TILEPROP INT_R_X19Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y20 TILE_X -11424 TILEPROP INT_R_X19Y20 TILE_Y -175672 TILEPROP INT_R_X19Y20 TYPE INT_R TILEPROP INT_R_X19Y21 CLASS tile TILEPROP INT_R_X19Y21 COLUMN 50 TILEPROP INT_R_X19Y21 DEVICE_ID 0 TILEPROP INT_R_X19Y21 FIRST_SITE_ID 13519 TILEPROP INT_R_X19Y21 GRID_POINT_X 50 TILEPROP INT_R_X19Y21 GRID_POINT_Y 134 TILEPROP INT_R_X19Y21 INDEX 15460 TILEPROP INT_R_X19Y21 INT_TILE_X 19 TILEPROP INT_R_X19Y21 INT_TILE_Y 128 TILEPROP INT_R_X19Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y21 IS_DCM_TILE 0 TILEPROP INT_R_X19Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y21 NAME INT_R_X19Y21 TILEPROP INT_R_X19Y21 NUM_ARCS 3737 TILEPROP INT_R_X19Y21 NUM_SITES 1 TILEPROP INT_R_X19Y21 ROW 134 TILEPROP INT_R_X19Y21 SLR_REGION_ID 0 TILEPROP INT_R_X19Y21 TILE_PATTERN_IDX 8129 TILEPROP INT_R_X19Y21 TILE_TYPE INT_R TILEPROP INT_R_X19Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y21 TILE_X -11424 TILEPROP INT_R_X19Y21 TILE_Y -172472 TILEPROP INT_R_X19Y21 TYPE INT_R TILEPROP INT_R_X19Y22 CLASS tile TILEPROP INT_R_X19Y22 COLUMN 50 TILEPROP INT_R_X19Y22 DEVICE_ID 0 TILEPROP INT_R_X19Y22 FIRST_SITE_ID 13419 TILEPROP INT_R_X19Y22 GRID_POINT_X 50 TILEPROP INT_R_X19Y22 GRID_POINT_Y 133 TILEPROP INT_R_X19Y22 INDEX 15345 TILEPROP INT_R_X19Y22 INT_TILE_X 19 TILEPROP INT_R_X19Y22 INT_TILE_Y 127 TILEPROP INT_R_X19Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y22 IS_DCM_TILE 0 TILEPROP INT_R_X19Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y22 NAME INT_R_X19Y22 TILEPROP INT_R_X19Y22 NUM_ARCS 3737 TILEPROP INT_R_X19Y22 NUM_SITES 1 TILEPROP INT_R_X19Y22 ROW 133 TILEPROP INT_R_X19Y22 SLR_REGION_ID 0 TILEPROP INT_R_X19Y22 TILE_PATTERN_IDX 8101 TILEPROP INT_R_X19Y22 TILE_TYPE INT_R TILEPROP INT_R_X19Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y22 TILE_X -11424 TILEPROP INT_R_X19Y22 TILE_Y -169272 TILEPROP INT_R_X19Y22 TYPE INT_R TILEPROP INT_R_X19Y23 CLASS tile TILEPROP INT_R_X19Y23 COLUMN 50 TILEPROP INT_R_X19Y23 DEVICE_ID 0 TILEPROP INT_R_X19Y23 FIRST_SITE_ID 13319 TILEPROP INT_R_X19Y23 GRID_POINT_X 50 TILEPROP INT_R_X19Y23 GRID_POINT_Y 132 TILEPROP INT_R_X19Y23 INDEX 15230 TILEPROP INT_R_X19Y23 INT_TILE_X 19 TILEPROP INT_R_X19Y23 INT_TILE_Y 126 TILEPROP INT_R_X19Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y23 IS_DCM_TILE 0 TILEPROP INT_R_X19Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y23 NAME INT_R_X19Y23 TILEPROP INT_R_X19Y23 NUM_ARCS 3737 TILEPROP INT_R_X19Y23 NUM_SITES 1 TILEPROP INT_R_X19Y23 ROW 132 TILEPROP INT_R_X19Y23 SLR_REGION_ID 0 TILEPROP INT_R_X19Y23 TILE_PATTERN_IDX 8072 TILEPROP INT_R_X19Y23 TILE_TYPE INT_R TILEPROP INT_R_X19Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y23 TILE_X -11424 TILEPROP INT_R_X19Y23 TILE_Y -166072 TILEPROP INT_R_X19Y23 TYPE INT_R TILEPROP INT_R_X19Y24 CLASS tile TILEPROP INT_R_X19Y24 COLUMN 50 TILEPROP INT_R_X19Y24 DEVICE_ID 0 TILEPROP INT_R_X19Y24 FIRST_SITE_ID 13219 TILEPROP INT_R_X19Y24 GRID_POINT_X 50 TILEPROP INT_R_X19Y24 GRID_POINT_Y 131 TILEPROP INT_R_X19Y24 INDEX 15115 TILEPROP INT_R_X19Y24 INT_TILE_X 19 TILEPROP INT_R_X19Y24 INT_TILE_Y 125 TILEPROP INT_R_X19Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y24 IS_DCM_TILE 0 TILEPROP INT_R_X19Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y24 NAME INT_R_X19Y24 TILEPROP INT_R_X19Y24 NUM_ARCS 3737 TILEPROP INT_R_X19Y24 NUM_SITES 1 TILEPROP INT_R_X19Y24 ROW 131 TILEPROP INT_R_X19Y24 SLR_REGION_ID 0 TILEPROP INT_R_X19Y24 TILE_PATTERN_IDX 8044 TILEPROP INT_R_X19Y24 TILE_TYPE INT_R TILEPROP INT_R_X19Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y24 TILE_X -11424 TILEPROP INT_R_X19Y24 TILE_Y -162872 TILEPROP INT_R_X19Y24 TYPE INT_R TILEPROP INT_R_X19Y25 CLASS tile TILEPROP INT_R_X19Y25 COLUMN 50 TILEPROP INT_R_X19Y25 DEVICE_ID 0 TILEPROP INT_R_X19Y25 FIRST_SITE_ID 13027 TILEPROP INT_R_X19Y25 GRID_POINT_X 50 TILEPROP INT_R_X19Y25 GRID_POINT_Y 129 TILEPROP INT_R_X19Y25 INDEX 14885 TILEPROP INT_R_X19Y25 INT_TILE_X 19 TILEPROP INT_R_X19Y25 INT_TILE_Y 124 TILEPROP INT_R_X19Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y25 IS_DCM_TILE 0 TILEPROP INT_R_X19Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y25 NAME INT_R_X19Y25 TILEPROP INT_R_X19Y25 NUM_ARCS 3737 TILEPROP INT_R_X19Y25 NUM_SITES 1 TILEPROP INT_R_X19Y25 ROW 129 TILEPROP INT_R_X19Y25 SLR_REGION_ID 0 TILEPROP INT_R_X19Y25 TILE_PATTERN_IDX 7972 TILEPROP INT_R_X19Y25 TILE_TYPE INT_R TILEPROP INT_R_X19Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y25 TILE_X -11424 TILEPROP INT_R_X19Y25 TILE_Y -158648 TILEPROP INT_R_X19Y25 TYPE INT_R TILEPROP INT_R_X19Y26 CLASS tile TILEPROP INT_R_X19Y26 COLUMN 50 TILEPROP INT_R_X19Y26 DEVICE_ID 0 TILEPROP INT_R_X19Y26 FIRST_SITE_ID 12921 TILEPROP INT_R_X19Y26 GRID_POINT_X 50 TILEPROP INT_R_X19Y26 GRID_POINT_Y 128 TILEPROP INT_R_X19Y26 INDEX 14770 TILEPROP INT_R_X19Y26 INT_TILE_X 19 TILEPROP INT_R_X19Y26 INT_TILE_Y 123 TILEPROP INT_R_X19Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y26 IS_DCM_TILE 0 TILEPROP INT_R_X19Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y26 NAME INT_R_X19Y26 TILEPROP INT_R_X19Y26 NUM_ARCS 3737 TILEPROP INT_R_X19Y26 NUM_SITES 1 TILEPROP INT_R_X19Y26 ROW 128 TILEPROP INT_R_X19Y26 SLR_REGION_ID 0 TILEPROP INT_R_X19Y26 TILE_PATTERN_IDX 7944 TILEPROP INT_R_X19Y26 TILE_TYPE INT_R TILEPROP INT_R_X19Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y26 TILE_X -11424 TILEPROP INT_R_X19Y26 TILE_Y -155448 TILEPROP INT_R_X19Y26 TYPE INT_R TILEPROP INT_R_X19Y27 CLASS tile TILEPROP INT_R_X19Y27 COLUMN 50 TILEPROP INT_R_X19Y27 DEVICE_ID 0 TILEPROP INT_R_X19Y27 FIRST_SITE_ID 12821 TILEPROP INT_R_X19Y27 GRID_POINT_X 50 TILEPROP INT_R_X19Y27 GRID_POINT_Y 127 TILEPROP INT_R_X19Y27 INDEX 14655 TILEPROP INT_R_X19Y27 INT_TILE_X 19 TILEPROP INT_R_X19Y27 INT_TILE_Y 122 TILEPROP INT_R_X19Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y27 IS_DCM_TILE 0 TILEPROP INT_R_X19Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y27 NAME INT_R_X19Y27 TILEPROP INT_R_X19Y27 NUM_ARCS 3737 TILEPROP INT_R_X19Y27 NUM_SITES 1 TILEPROP INT_R_X19Y27 ROW 127 TILEPROP INT_R_X19Y27 SLR_REGION_ID 0 TILEPROP INT_R_X19Y27 TILE_PATTERN_IDX 7915 TILEPROP INT_R_X19Y27 TILE_TYPE INT_R TILEPROP INT_R_X19Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y27 TILE_X -11424 TILEPROP INT_R_X19Y27 TILE_Y -152248 TILEPROP INT_R_X19Y27 TYPE INT_R TILEPROP INT_R_X19Y28 CLASS tile TILEPROP INT_R_X19Y28 COLUMN 50 TILEPROP INT_R_X19Y28 DEVICE_ID 0 TILEPROP INT_R_X19Y28 FIRST_SITE_ID 12721 TILEPROP INT_R_X19Y28 GRID_POINT_X 50 TILEPROP INT_R_X19Y28 GRID_POINT_Y 126 TILEPROP INT_R_X19Y28 INDEX 14540 TILEPROP INT_R_X19Y28 INT_TILE_X 19 TILEPROP INT_R_X19Y28 INT_TILE_Y 121 TILEPROP INT_R_X19Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y28 IS_DCM_TILE 0 TILEPROP INT_R_X19Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y28 NAME INT_R_X19Y28 TILEPROP INT_R_X19Y28 NUM_ARCS 3737 TILEPROP INT_R_X19Y28 NUM_SITES 1 TILEPROP INT_R_X19Y28 ROW 126 TILEPROP INT_R_X19Y28 SLR_REGION_ID 0 TILEPROP INT_R_X19Y28 TILE_PATTERN_IDX 7887 TILEPROP INT_R_X19Y28 TILE_TYPE INT_R TILEPROP INT_R_X19Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y28 TILE_X -11424 TILEPROP INT_R_X19Y28 TILE_Y -149048 TILEPROP INT_R_X19Y28 TYPE INT_R TILEPROP INT_R_X19Y29 CLASS tile TILEPROP INT_R_X19Y29 COLUMN 50 TILEPROP INT_R_X19Y29 DEVICE_ID 0 TILEPROP INT_R_X19Y29 FIRST_SITE_ID 12615 TILEPROP INT_R_X19Y29 GRID_POINT_X 50 TILEPROP INT_R_X19Y29 GRID_POINT_Y 125 TILEPROP INT_R_X19Y29 INDEX 14425 TILEPROP INT_R_X19Y29 INT_TILE_X 19 TILEPROP INT_R_X19Y29 INT_TILE_Y 120 TILEPROP INT_R_X19Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y29 IS_DCM_TILE 0 TILEPROP INT_R_X19Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y29 NAME INT_R_X19Y29 TILEPROP INT_R_X19Y29 NUM_ARCS 3737 TILEPROP INT_R_X19Y29 NUM_SITES 1 TILEPROP INT_R_X19Y29 ROW 125 TILEPROP INT_R_X19Y29 SLR_REGION_ID 0 TILEPROP INT_R_X19Y29 TILE_PATTERN_IDX 7863 TILEPROP INT_R_X19Y29 TILE_TYPE INT_R TILEPROP INT_R_X19Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y29 TILE_X -11424 TILEPROP INT_R_X19Y29 TILE_Y -145848 TILEPROP INT_R_X19Y29 TYPE INT_R TILEPROP INT_R_X19Y30 CLASS tile TILEPROP INT_R_X19Y30 COLUMN 50 TILEPROP INT_R_X19Y30 DEVICE_ID 0 TILEPROP INT_R_X19Y30 FIRST_SITE_ID 12500 TILEPROP INT_R_X19Y30 GRID_POINT_X 50 TILEPROP INT_R_X19Y30 GRID_POINT_Y 124 TILEPROP INT_R_X19Y30 INDEX 14310 TILEPROP INT_R_X19Y30 INT_TILE_X 19 TILEPROP INT_R_X19Y30 INT_TILE_Y 119 TILEPROP INT_R_X19Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y30 IS_DCM_TILE 0 TILEPROP INT_R_X19Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y30 NAME INT_R_X19Y30 TILEPROP INT_R_X19Y30 NUM_ARCS 3737 TILEPROP INT_R_X19Y30 NUM_SITES 1 TILEPROP INT_R_X19Y30 ROW 124 TILEPROP INT_R_X19Y30 SLR_REGION_ID 0 TILEPROP INT_R_X19Y30 TILE_PATTERN_IDX 7840 TILEPROP INT_R_X19Y30 TILE_TYPE INT_R TILEPROP INT_R_X19Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y30 TILE_X -11424 TILEPROP INT_R_X19Y30 TILE_Y -142648 TILEPROP INT_R_X19Y30 TYPE INT_R TILEPROP INT_R_X19Y31 CLASS tile TILEPROP INT_R_X19Y31 COLUMN 50 TILEPROP INT_R_X19Y31 DEVICE_ID 0 TILEPROP INT_R_X19Y31 FIRST_SITE_ID 12379 TILEPROP INT_R_X19Y31 GRID_POINT_X 50 TILEPROP INT_R_X19Y31 GRID_POINT_Y 123 TILEPROP INT_R_X19Y31 INDEX 14195 TILEPROP INT_R_X19Y31 INT_TILE_X 19 TILEPROP INT_R_X19Y31 INT_TILE_Y 118 TILEPROP INT_R_X19Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y31 IS_DCM_TILE 0 TILEPROP INT_R_X19Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y31 NAME INT_R_X19Y31 TILEPROP INT_R_X19Y31 NUM_ARCS 3737 TILEPROP INT_R_X19Y31 NUM_SITES 1 TILEPROP INT_R_X19Y31 ROW 123 TILEPROP INT_R_X19Y31 SLR_REGION_ID 0 TILEPROP INT_R_X19Y31 TILE_PATTERN_IDX 7817 TILEPROP INT_R_X19Y31 TILE_TYPE INT_R TILEPROP INT_R_X19Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y31 TILE_X -11424 TILEPROP INT_R_X19Y31 TILE_Y -139448 TILEPROP INT_R_X19Y31 TYPE INT_R TILEPROP INT_R_X19Y32 CLASS tile TILEPROP INT_R_X19Y32 COLUMN 50 TILEPROP INT_R_X19Y32 DEVICE_ID 0 TILEPROP INT_R_X19Y32 FIRST_SITE_ID 12276 TILEPROP INT_R_X19Y32 GRID_POINT_X 50 TILEPROP INT_R_X19Y32 GRID_POINT_Y 122 TILEPROP INT_R_X19Y32 INDEX 14080 TILEPROP INT_R_X19Y32 INT_TILE_X 19 TILEPROP INT_R_X19Y32 INT_TILE_Y 117 TILEPROP INT_R_X19Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y32 IS_DCM_TILE 0 TILEPROP INT_R_X19Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y32 NAME INT_R_X19Y32 TILEPROP INT_R_X19Y32 NUM_ARCS 3737 TILEPROP INT_R_X19Y32 NUM_SITES 1 TILEPROP INT_R_X19Y32 ROW 122 TILEPROP INT_R_X19Y32 SLR_REGION_ID 0 TILEPROP INT_R_X19Y32 TILE_PATTERN_IDX 7783 TILEPROP INT_R_X19Y32 TILE_TYPE INT_R TILEPROP INT_R_X19Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y32 TILE_X -11424 TILEPROP INT_R_X19Y32 TILE_Y -136248 TILEPROP INT_R_X19Y32 TYPE INT_R TILEPROP INT_R_X19Y33 CLASS tile TILEPROP INT_R_X19Y33 COLUMN 50 TILEPROP INT_R_X19Y33 DEVICE_ID 0 TILEPROP INT_R_X19Y33 FIRST_SITE_ID 12176 TILEPROP INT_R_X19Y33 GRID_POINT_X 50 TILEPROP INT_R_X19Y33 GRID_POINT_Y 121 TILEPROP INT_R_X19Y33 INDEX 13965 TILEPROP INT_R_X19Y33 INT_TILE_X 19 TILEPROP INT_R_X19Y33 INT_TILE_Y 116 TILEPROP INT_R_X19Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y33 IS_DCM_TILE 0 TILEPROP INT_R_X19Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y33 NAME INT_R_X19Y33 TILEPROP INT_R_X19Y33 NUM_ARCS 3737 TILEPROP INT_R_X19Y33 NUM_SITES 1 TILEPROP INT_R_X19Y33 ROW 121 TILEPROP INT_R_X19Y33 SLR_REGION_ID 0 TILEPROP INT_R_X19Y33 TILE_PATTERN_IDX 7754 TILEPROP INT_R_X19Y33 TILE_TYPE INT_R TILEPROP INT_R_X19Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y33 TILE_X -11424 TILEPROP INT_R_X19Y33 TILE_Y -133048 TILEPROP INT_R_X19Y33 TYPE INT_R TILEPROP INT_R_X19Y34 CLASS tile TILEPROP INT_R_X19Y34 COLUMN 50 TILEPROP INT_R_X19Y34 DEVICE_ID 0 TILEPROP INT_R_X19Y34 FIRST_SITE_ID 12076 TILEPROP INT_R_X19Y34 GRID_POINT_X 50 TILEPROP INT_R_X19Y34 GRID_POINT_Y 120 TILEPROP INT_R_X19Y34 INDEX 13850 TILEPROP INT_R_X19Y34 INT_TILE_X 19 TILEPROP INT_R_X19Y34 INT_TILE_Y 115 TILEPROP INT_R_X19Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y34 IS_DCM_TILE 0 TILEPROP INT_R_X19Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y34 NAME INT_R_X19Y34 TILEPROP INT_R_X19Y34 NUM_ARCS 3737 TILEPROP INT_R_X19Y34 NUM_SITES 1 TILEPROP INT_R_X19Y34 ROW 120 TILEPROP INT_R_X19Y34 SLR_REGION_ID 0 TILEPROP INT_R_X19Y34 TILE_PATTERN_IDX 7726 TILEPROP INT_R_X19Y34 TILE_TYPE INT_R TILEPROP INT_R_X19Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y34 TILE_X -11424 TILEPROP INT_R_X19Y34 TILE_Y -129848 TILEPROP INT_R_X19Y34 TYPE INT_R TILEPROP INT_R_X19Y35 CLASS tile TILEPROP INT_R_X19Y35 COLUMN 50 TILEPROP INT_R_X19Y35 DEVICE_ID 0 TILEPROP INT_R_X19Y35 FIRST_SITE_ID 11967 TILEPROP INT_R_X19Y35 GRID_POINT_X 50 TILEPROP INT_R_X19Y35 GRID_POINT_Y 119 TILEPROP INT_R_X19Y35 INDEX 13735 TILEPROP INT_R_X19Y35 INT_TILE_X 19 TILEPROP INT_R_X19Y35 INT_TILE_Y 114 TILEPROP INT_R_X19Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y35 IS_DCM_TILE 0 TILEPROP INT_R_X19Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y35 NAME INT_R_X19Y35 TILEPROP INT_R_X19Y35 NUM_ARCS 3737 TILEPROP INT_R_X19Y35 NUM_SITES 1 TILEPROP INT_R_X19Y35 ROW 119 TILEPROP INT_R_X19Y35 SLR_REGION_ID 0 TILEPROP INT_R_X19Y35 TILE_PATTERN_IDX 7697 TILEPROP INT_R_X19Y35 TILE_TYPE INT_R TILEPROP INT_R_X19Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y35 TILE_X -11424 TILEPROP INT_R_X19Y35 TILE_Y -126648 TILEPROP INT_R_X19Y35 TYPE INT_R TILEPROP INT_R_X19Y36 CLASS tile TILEPROP INT_R_X19Y36 COLUMN 50 TILEPROP INT_R_X19Y36 DEVICE_ID 0 TILEPROP INT_R_X19Y36 FIRST_SITE_ID 11829 TILEPROP INT_R_X19Y36 GRID_POINT_X 50 TILEPROP INT_R_X19Y36 GRID_POINT_Y 118 TILEPROP INT_R_X19Y36 INDEX 13620 TILEPROP INT_R_X19Y36 INT_TILE_X 19 TILEPROP INT_R_X19Y36 INT_TILE_Y 113 TILEPROP INT_R_X19Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y36 IS_DCM_TILE 0 TILEPROP INT_R_X19Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y36 NAME INT_R_X19Y36 TILEPROP INT_R_X19Y36 NUM_ARCS 3737 TILEPROP INT_R_X19Y36 NUM_SITES 1 TILEPROP INT_R_X19Y36 ROW 118 TILEPROP INT_R_X19Y36 SLR_REGION_ID 0 TILEPROP INT_R_X19Y36 TILE_PATTERN_IDX 7669 TILEPROP INT_R_X19Y36 TILE_TYPE INT_R TILEPROP INT_R_X19Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y36 TILE_X -11424 TILEPROP INT_R_X19Y36 TILE_Y -123448 TILEPROP INT_R_X19Y36 TYPE INT_R TILEPROP INT_R_X19Y37 CLASS tile TILEPROP INT_R_X19Y37 COLUMN 50 TILEPROP INT_R_X19Y37 DEVICE_ID 0 TILEPROP INT_R_X19Y37 FIRST_SITE_ID 11729 TILEPROP INT_R_X19Y37 GRID_POINT_X 50 TILEPROP INT_R_X19Y37 GRID_POINT_Y 117 TILEPROP INT_R_X19Y37 INDEX 13505 TILEPROP INT_R_X19Y37 INT_TILE_X 19 TILEPROP INT_R_X19Y37 INT_TILE_Y 112 TILEPROP INT_R_X19Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y37 IS_DCM_TILE 0 TILEPROP INT_R_X19Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y37 NAME INT_R_X19Y37 TILEPROP INT_R_X19Y37 NUM_ARCS 3737 TILEPROP INT_R_X19Y37 NUM_SITES 1 TILEPROP INT_R_X19Y37 ROW 117 TILEPROP INT_R_X19Y37 SLR_REGION_ID 0 TILEPROP INT_R_X19Y37 TILE_PATTERN_IDX 7641 TILEPROP INT_R_X19Y37 TILE_TYPE INT_R TILEPROP INT_R_X19Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y37 TILE_X -11424 TILEPROP INT_R_X19Y37 TILE_Y -120248 TILEPROP INT_R_X19Y37 TYPE INT_R TILEPROP INT_R_X19Y38 CLASS tile TILEPROP INT_R_X19Y38 COLUMN 50 TILEPROP INT_R_X19Y38 DEVICE_ID 0 TILEPROP INT_R_X19Y38 FIRST_SITE_ID 11629 TILEPROP INT_R_X19Y38 GRID_POINT_X 50 TILEPROP INT_R_X19Y38 GRID_POINT_Y 116 TILEPROP INT_R_X19Y38 INDEX 13390 TILEPROP INT_R_X19Y38 INT_TILE_X 19 TILEPROP INT_R_X19Y38 INT_TILE_Y 111 TILEPROP INT_R_X19Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y38 IS_DCM_TILE 0 TILEPROP INT_R_X19Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y38 NAME INT_R_X19Y38 TILEPROP INT_R_X19Y38 NUM_ARCS 3737 TILEPROP INT_R_X19Y38 NUM_SITES 1 TILEPROP INT_R_X19Y38 ROW 116 TILEPROP INT_R_X19Y38 SLR_REGION_ID 0 TILEPROP INT_R_X19Y38 TILE_PATTERN_IDX 7613 TILEPROP INT_R_X19Y38 TILE_TYPE INT_R TILEPROP INT_R_X19Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y38 TILE_X -11424 TILEPROP INT_R_X19Y38 TILE_Y -117048 TILEPROP INT_R_X19Y38 TYPE INT_R TILEPROP INT_R_X19Y39 CLASS tile TILEPROP INT_R_X19Y39 COLUMN 50 TILEPROP INT_R_X19Y39 DEVICE_ID 0 TILEPROP INT_R_X19Y39 FIRST_SITE_ID 11529 TILEPROP INT_R_X19Y39 GRID_POINT_X 50 TILEPROP INT_R_X19Y39 GRID_POINT_Y 115 TILEPROP INT_R_X19Y39 INDEX 13275 TILEPROP INT_R_X19Y39 INT_TILE_X 19 TILEPROP INT_R_X19Y39 INT_TILE_Y 110 TILEPROP INT_R_X19Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y39 IS_DCM_TILE 0 TILEPROP INT_R_X19Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y39 NAME INT_R_X19Y39 TILEPROP INT_R_X19Y39 NUM_ARCS 3737 TILEPROP INT_R_X19Y39 NUM_SITES 1 TILEPROP INT_R_X19Y39 ROW 115 TILEPROP INT_R_X19Y39 SLR_REGION_ID 0 TILEPROP INT_R_X19Y39 TILE_PATTERN_IDX 7584 TILEPROP INT_R_X19Y39 TILE_TYPE INT_R TILEPROP INT_R_X19Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y39 TILE_X -11424 TILEPROP INT_R_X19Y39 TILE_Y -113848 TILEPROP INT_R_X19Y39 TYPE INT_R TILEPROP INT_R_X19Y40 CLASS tile TILEPROP INT_R_X19Y40 COLUMN 50 TILEPROP INT_R_X19Y40 DEVICE_ID 0 TILEPROP INT_R_X19Y40 FIRST_SITE_ID 11420 TILEPROP INT_R_X19Y40 GRID_POINT_X 50 TILEPROP INT_R_X19Y40 GRID_POINT_Y 114 TILEPROP INT_R_X19Y40 INDEX 13160 TILEPROP INT_R_X19Y40 INT_TILE_X 19 TILEPROP INT_R_X19Y40 INT_TILE_Y 109 TILEPROP INT_R_X19Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y40 IS_DCM_TILE 0 TILEPROP INT_R_X19Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y40 NAME INT_R_X19Y40 TILEPROP INT_R_X19Y40 NUM_ARCS 3737 TILEPROP INT_R_X19Y40 NUM_SITES 1 TILEPROP INT_R_X19Y40 ROW 114 TILEPROP INT_R_X19Y40 SLR_REGION_ID 0 TILEPROP INT_R_X19Y40 TILE_PATTERN_IDX 7555 TILEPROP INT_R_X19Y40 TILE_TYPE INT_R TILEPROP INT_R_X19Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y40 TILE_X -11424 TILEPROP INT_R_X19Y40 TILE_Y -110648 TILEPROP INT_R_X19Y40 TYPE INT_R TILEPROP INT_R_X19Y41 CLASS tile TILEPROP INT_R_X19Y41 COLUMN 50 TILEPROP INT_R_X19Y41 DEVICE_ID 0 TILEPROP INT_R_X19Y41 FIRST_SITE_ID 11311 TILEPROP INT_R_X19Y41 GRID_POINT_X 50 TILEPROP INT_R_X19Y41 GRID_POINT_Y 113 TILEPROP INT_R_X19Y41 INDEX 13045 TILEPROP INT_R_X19Y41 INT_TILE_X 19 TILEPROP INT_R_X19Y41 INT_TILE_Y 108 TILEPROP INT_R_X19Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y41 IS_DCM_TILE 0 TILEPROP INT_R_X19Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y41 NAME INT_R_X19Y41 TILEPROP INT_R_X19Y41 NUM_ARCS 3737 TILEPROP INT_R_X19Y41 NUM_SITES 1 TILEPROP INT_R_X19Y41 ROW 113 TILEPROP INT_R_X19Y41 SLR_REGION_ID 0 TILEPROP INT_R_X19Y41 TILE_PATTERN_IDX 7520 TILEPROP INT_R_X19Y41 TILE_TYPE INT_R TILEPROP INT_R_X19Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y41 TILE_X -11424 TILEPROP INT_R_X19Y41 TILE_Y -107448 TILEPROP INT_R_X19Y41 TYPE INT_R TILEPROP INT_R_X19Y42 CLASS tile TILEPROP INT_R_X19Y42 COLUMN 50 TILEPROP INT_R_X19Y42 DEVICE_ID 0 TILEPROP INT_R_X19Y42 FIRST_SITE_ID 11210 TILEPROP INT_R_X19Y42 GRID_POINT_X 50 TILEPROP INT_R_X19Y42 GRID_POINT_Y 112 TILEPROP INT_R_X19Y42 INDEX 12930 TILEPROP INT_R_X19Y42 INT_TILE_X 19 TILEPROP INT_R_X19Y42 INT_TILE_Y 107 TILEPROP INT_R_X19Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y42 IS_DCM_TILE 0 TILEPROP INT_R_X19Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y42 NAME INT_R_X19Y42 TILEPROP INT_R_X19Y42 NUM_ARCS 3737 TILEPROP INT_R_X19Y42 NUM_SITES 1 TILEPROP INT_R_X19Y42 ROW 112 TILEPROP INT_R_X19Y42 SLR_REGION_ID 0 TILEPROP INT_R_X19Y42 TILE_PATTERN_IDX 7491 TILEPROP INT_R_X19Y42 TILE_TYPE INT_R TILEPROP INT_R_X19Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y42 TILE_X -11424 TILEPROP INT_R_X19Y42 TILE_Y -104248 TILEPROP INT_R_X19Y42 TYPE INT_R TILEPROP INT_R_X19Y43 CLASS tile TILEPROP INT_R_X19Y43 COLUMN 50 TILEPROP INT_R_X19Y43 DEVICE_ID 0 TILEPROP INT_R_X19Y43 FIRST_SITE_ID 11107 TILEPROP INT_R_X19Y43 GRID_POINT_X 50 TILEPROP INT_R_X19Y43 GRID_POINT_Y 111 TILEPROP INT_R_X19Y43 INDEX 12815 TILEPROP INT_R_X19Y43 INT_TILE_X 19 TILEPROP INT_R_X19Y43 INT_TILE_Y 106 TILEPROP INT_R_X19Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y43 IS_DCM_TILE 0 TILEPROP INT_R_X19Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y43 NAME INT_R_X19Y43 TILEPROP INT_R_X19Y43 NUM_ARCS 3737 TILEPROP INT_R_X19Y43 NUM_SITES 1 TILEPROP INT_R_X19Y43 ROW 111 TILEPROP INT_R_X19Y43 SLR_REGION_ID 0 TILEPROP INT_R_X19Y43 TILE_PATTERN_IDX 7462 TILEPROP INT_R_X19Y43 TILE_TYPE INT_R TILEPROP INT_R_X19Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y43 TILE_X -11424 TILEPROP INT_R_X19Y43 TILE_Y -101048 TILEPROP INT_R_X19Y43 TYPE INT_R TILEPROP INT_R_X19Y44 CLASS tile TILEPROP INT_R_X19Y44 COLUMN 50 TILEPROP INT_R_X19Y44 DEVICE_ID 0 TILEPROP INT_R_X19Y44 FIRST_SITE_ID 11005 TILEPROP INT_R_X19Y44 GRID_POINT_X 50 TILEPROP INT_R_X19Y44 GRID_POINT_Y 110 TILEPROP INT_R_X19Y44 INDEX 12700 TILEPROP INT_R_X19Y44 INT_TILE_X 19 TILEPROP INT_R_X19Y44 INT_TILE_Y 105 TILEPROP INT_R_X19Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y44 IS_DCM_TILE 0 TILEPROP INT_R_X19Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y44 NAME INT_R_X19Y44 TILEPROP INT_R_X19Y44 NUM_ARCS 3737 TILEPROP INT_R_X19Y44 NUM_SITES 1 TILEPROP INT_R_X19Y44 ROW 110 TILEPROP INT_R_X19Y44 SLR_REGION_ID 0 TILEPROP INT_R_X19Y44 TILE_PATTERN_IDX 7434 TILEPROP INT_R_X19Y44 TILE_TYPE INT_R TILEPROP INT_R_X19Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y44 TILE_X -11424 TILEPROP INT_R_X19Y44 TILE_Y -97848 TILEPROP INT_R_X19Y44 TYPE INT_R TILEPROP INT_R_X19Y45 CLASS tile TILEPROP INT_R_X19Y45 COLUMN 50 TILEPROP INT_R_X19Y45 DEVICE_ID 0 TILEPROP INT_R_X19Y45 FIRST_SITE_ID 10895 TILEPROP INT_R_X19Y45 GRID_POINT_X 50 TILEPROP INT_R_X19Y45 GRID_POINT_Y 109 TILEPROP INT_R_X19Y45 INDEX 12585 TILEPROP INT_R_X19Y45 INT_TILE_X 19 TILEPROP INT_R_X19Y45 INT_TILE_Y 104 TILEPROP INT_R_X19Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y45 IS_DCM_TILE 0 TILEPROP INT_R_X19Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y45 NAME INT_R_X19Y45 TILEPROP INT_R_X19Y45 NUM_ARCS 3737 TILEPROP INT_R_X19Y45 NUM_SITES 1 TILEPROP INT_R_X19Y45 ROW 109 TILEPROP INT_R_X19Y45 SLR_REGION_ID 0 TILEPROP INT_R_X19Y45 TILE_PATTERN_IDX 7398 TILEPROP INT_R_X19Y45 TILE_TYPE INT_R TILEPROP INT_R_X19Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y45 TILE_X -11424 TILEPROP INT_R_X19Y45 TILE_Y -94648 TILEPROP INT_R_X19Y45 TYPE INT_R TILEPROP INT_R_X19Y46 CLASS tile TILEPROP INT_R_X19Y46 COLUMN 50 TILEPROP INT_R_X19Y46 DEVICE_ID 0 TILEPROP INT_R_X19Y46 FIRST_SITE_ID 10773 TILEPROP INT_R_X19Y46 GRID_POINT_X 50 TILEPROP INT_R_X19Y46 GRID_POINT_Y 108 TILEPROP INT_R_X19Y46 INDEX 12470 TILEPROP INT_R_X19Y46 INT_TILE_X 19 TILEPROP INT_R_X19Y46 INT_TILE_Y 103 TILEPROP INT_R_X19Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y46 IS_DCM_TILE 0 TILEPROP INT_R_X19Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y46 NAME INT_R_X19Y46 TILEPROP INT_R_X19Y46 NUM_ARCS 3737 TILEPROP INT_R_X19Y46 NUM_SITES 1 TILEPROP INT_R_X19Y46 ROW 108 TILEPROP INT_R_X19Y46 SLR_REGION_ID 0 TILEPROP INT_R_X19Y46 TILE_PATTERN_IDX 7362 TILEPROP INT_R_X19Y46 TILE_TYPE INT_R TILEPROP INT_R_X19Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y46 TILE_X -11424 TILEPROP INT_R_X19Y46 TILE_Y -91448 TILEPROP INT_R_X19Y46 TYPE INT_R TILEPROP INT_R_X19Y47 CLASS tile TILEPROP INT_R_X19Y47 COLUMN 50 TILEPROP INT_R_X19Y47 DEVICE_ID 0 TILEPROP INT_R_X19Y47 FIRST_SITE_ID 10673 TILEPROP INT_R_X19Y47 GRID_POINT_X 50 TILEPROP INT_R_X19Y47 GRID_POINT_Y 107 TILEPROP INT_R_X19Y47 INDEX 12355 TILEPROP INT_R_X19Y47 INT_TILE_X 19 TILEPROP INT_R_X19Y47 INT_TILE_Y 102 TILEPROP INT_R_X19Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y47 IS_DCM_TILE 0 TILEPROP INT_R_X19Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y47 NAME INT_R_X19Y47 TILEPROP INT_R_X19Y47 NUM_ARCS 3737 TILEPROP INT_R_X19Y47 NUM_SITES 1 TILEPROP INT_R_X19Y47 ROW 107 TILEPROP INT_R_X19Y47 SLR_REGION_ID 0 TILEPROP INT_R_X19Y47 TILE_PATTERN_IDX 7325 TILEPROP INT_R_X19Y47 TILE_TYPE INT_R TILEPROP INT_R_X19Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y47 TILE_X -11424 TILEPROP INT_R_X19Y47 TILE_Y -88248 TILEPROP INT_R_X19Y47 TYPE INT_R TILEPROP INT_R_X19Y48 CLASS tile TILEPROP INT_R_X19Y48 COLUMN 50 TILEPROP INT_R_X19Y48 DEVICE_ID 0 TILEPROP INT_R_X19Y48 FIRST_SITE_ID 10573 TILEPROP INT_R_X19Y48 GRID_POINT_X 50 TILEPROP INT_R_X19Y48 GRID_POINT_Y 106 TILEPROP INT_R_X19Y48 INDEX 12240 TILEPROP INT_R_X19Y48 INT_TILE_X 19 TILEPROP INT_R_X19Y48 INT_TILE_Y 101 TILEPROP INT_R_X19Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y48 IS_DCM_TILE 0 TILEPROP INT_R_X19Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y48 NAME INT_R_X19Y48 TILEPROP INT_R_X19Y48 NUM_ARCS 3737 TILEPROP INT_R_X19Y48 NUM_SITES 1 TILEPROP INT_R_X19Y48 ROW 106 TILEPROP INT_R_X19Y48 SLR_REGION_ID 0 TILEPROP INT_R_X19Y48 TILE_PATTERN_IDX 7289 TILEPROP INT_R_X19Y48 TILE_TYPE INT_R TILEPROP INT_R_X19Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y48 TILE_X -11424 TILEPROP INT_R_X19Y48 TILE_Y -85048 TILEPROP INT_R_X19Y48 TYPE INT_R TILEPROP INT_R_X19Y49 CLASS tile TILEPROP INT_R_X19Y49 COLUMN 50 TILEPROP INT_R_X19Y49 DEVICE_ID 0 TILEPROP INT_R_X19Y49 FIRST_SITE_ID 10477 TILEPROP INT_R_X19Y49 GRID_POINT_X 50 TILEPROP INT_R_X19Y49 GRID_POINT_Y 105 TILEPROP INT_R_X19Y49 INDEX 12125 TILEPROP INT_R_X19Y49 INT_TILE_X 19 TILEPROP INT_R_X19Y49 INT_TILE_Y 100 TILEPROP INT_R_X19Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y49 IS_DCM_TILE 0 TILEPROP INT_R_X19Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y49 NAME INT_R_X19Y49 TILEPROP INT_R_X19Y49 NUM_ARCS 3737 TILEPROP INT_R_X19Y49 NUM_SITES 1 TILEPROP INT_R_X19Y49 ROW 105 TILEPROP INT_R_X19Y49 SLR_REGION_ID 0 TILEPROP INT_R_X19Y49 TILE_PATTERN_IDX 7253 TILEPROP INT_R_X19Y49 TILE_TYPE INT_R TILEPROP INT_R_X19Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y49 TILE_X -11424 TILEPROP INT_R_X19Y49 TILE_Y -81848 TILEPROP INT_R_X19Y49 TYPE INT_R TILEPROP INT_R_X19Y50 CLASS tile TILEPROP INT_R_X19Y50 COLUMN 50 TILEPROP INT_R_X19Y50 DEVICE_ID 0 TILEPROP INT_R_X19Y50 FIRST_SITE_ID 10352 TILEPROP INT_R_X19Y50 GRID_POINT_X 50 TILEPROP INT_R_X19Y50 GRID_POINT_Y 103 TILEPROP INT_R_X19Y50 INDEX 11895 TILEPROP INT_R_X19Y50 INT_TILE_X 19 TILEPROP INT_R_X19Y50 INT_TILE_Y 99 TILEPROP INT_R_X19Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y50 IS_DCM_TILE 0 TILEPROP INT_R_X19Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y50 NAME INT_R_X19Y50 TILEPROP INT_R_X19Y50 NUM_ARCS 3737 TILEPROP INT_R_X19Y50 NUM_SITES 1 TILEPROP INT_R_X19Y50 ROW 103 TILEPROP INT_R_X19Y50 SLR_REGION_ID 0 TILEPROP INT_R_X19Y50 TILE_PATTERN_IDX 7216 TILEPROP INT_R_X19Y50 TILE_TYPE INT_R TILEPROP INT_R_X19Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y50 TILE_X -11424 TILEPROP INT_R_X19Y50 TILE_Y -78400 TILEPROP INT_R_X19Y50 TYPE INT_R TILEPROP INT_R_X19Y51 CLASS tile TILEPROP INT_R_X19Y51 COLUMN 50 TILEPROP INT_R_X19Y51 DEVICE_ID 0 TILEPROP INT_R_X19Y51 FIRST_SITE_ID 10242 TILEPROP INT_R_X19Y51 GRID_POINT_X 50 TILEPROP INT_R_X19Y51 GRID_POINT_Y 102 TILEPROP INT_R_X19Y51 INDEX 11780 TILEPROP INT_R_X19Y51 INT_TILE_X 19 TILEPROP INT_R_X19Y51 INT_TILE_Y 98 TILEPROP INT_R_X19Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y51 IS_DCM_TILE 0 TILEPROP INT_R_X19Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y51 NAME INT_R_X19Y51 TILEPROP INT_R_X19Y51 NUM_ARCS 3737 TILEPROP INT_R_X19Y51 NUM_SITES 1 TILEPROP INT_R_X19Y51 ROW 102 TILEPROP INT_R_X19Y51 SLR_REGION_ID 0 TILEPROP INT_R_X19Y51 TILE_PATTERN_IDX 7179 TILEPROP INT_R_X19Y51 TILE_TYPE INT_R TILEPROP INT_R_X19Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y51 TILE_X -11424 TILEPROP INT_R_X19Y51 TILE_Y -75200 TILEPROP INT_R_X19Y51 TYPE INT_R TILEPROP INT_R_X19Y52 CLASS tile TILEPROP INT_R_X19Y52 COLUMN 50 TILEPROP INT_R_X19Y52 DEVICE_ID 0 TILEPROP INT_R_X19Y52 FIRST_SITE_ID 10142 TILEPROP INT_R_X19Y52 GRID_POINT_X 50 TILEPROP INT_R_X19Y52 GRID_POINT_Y 101 TILEPROP INT_R_X19Y52 INDEX 11665 TILEPROP INT_R_X19Y52 INT_TILE_X 19 TILEPROP INT_R_X19Y52 INT_TILE_Y 97 TILEPROP INT_R_X19Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y52 IS_DCM_TILE 0 TILEPROP INT_R_X19Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y52 NAME INT_R_X19Y52 TILEPROP INT_R_X19Y52 NUM_ARCS 3737 TILEPROP INT_R_X19Y52 NUM_SITES 1 TILEPROP INT_R_X19Y52 ROW 101 TILEPROP INT_R_X19Y52 SLR_REGION_ID 0 TILEPROP INT_R_X19Y52 TILE_PATTERN_IDX 7142 TILEPROP INT_R_X19Y52 TILE_TYPE INT_R TILEPROP INT_R_X19Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y52 TILE_X -11424 TILEPROP INT_R_X19Y52 TILE_Y -72000 TILEPROP INT_R_X19Y52 TYPE INT_R TILEPROP INT_R_X19Y53 CLASS tile TILEPROP INT_R_X19Y53 COLUMN 50 TILEPROP INT_R_X19Y53 DEVICE_ID 0 TILEPROP INT_R_X19Y53 FIRST_SITE_ID 10042 TILEPROP INT_R_X19Y53 GRID_POINT_X 50 TILEPROP INT_R_X19Y53 GRID_POINT_Y 100 TILEPROP INT_R_X19Y53 INDEX 11550 TILEPROP INT_R_X19Y53 INT_TILE_X 19 TILEPROP INT_R_X19Y53 INT_TILE_Y 96 TILEPROP INT_R_X19Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y53 IS_DCM_TILE 0 TILEPROP INT_R_X19Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y53 NAME INT_R_X19Y53 TILEPROP INT_R_X19Y53 NUM_ARCS 3737 TILEPROP INT_R_X19Y53 NUM_SITES 1 TILEPROP INT_R_X19Y53 ROW 100 TILEPROP INT_R_X19Y53 SLR_REGION_ID 0 TILEPROP INT_R_X19Y53 TILE_PATTERN_IDX 7105 TILEPROP INT_R_X19Y53 TILE_TYPE INT_R TILEPROP INT_R_X19Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y53 TILE_X -11424 TILEPROP INT_R_X19Y53 TILE_Y -68800 TILEPROP INT_R_X19Y53 TYPE INT_R TILEPROP INT_R_X19Y54 CLASS tile TILEPROP INT_R_X19Y54 COLUMN 50 TILEPROP INT_R_X19Y54 DEVICE_ID 0 TILEPROP INT_R_X19Y54 FIRST_SITE_ID 9942 TILEPROP INT_R_X19Y54 GRID_POINT_X 50 TILEPROP INT_R_X19Y54 GRID_POINT_Y 99 TILEPROP INT_R_X19Y54 INDEX 11435 TILEPROP INT_R_X19Y54 INT_TILE_X 19 TILEPROP INT_R_X19Y54 INT_TILE_Y 95 TILEPROP INT_R_X19Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y54 IS_DCM_TILE 0 TILEPROP INT_R_X19Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y54 NAME INT_R_X19Y54 TILEPROP INT_R_X19Y54 NUM_ARCS 3737 TILEPROP INT_R_X19Y54 NUM_SITES 1 TILEPROP INT_R_X19Y54 ROW 99 TILEPROP INT_R_X19Y54 SLR_REGION_ID 0 TILEPROP INT_R_X19Y54 TILE_PATTERN_IDX 7068 TILEPROP INT_R_X19Y54 TILE_TYPE INT_R TILEPROP INT_R_X19Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y54 TILE_X -11424 TILEPROP INT_R_X19Y54 TILE_Y -65600 TILEPROP INT_R_X19Y54 TYPE INT_R TILEPROP INT_R_X19Y55 CLASS tile TILEPROP INT_R_X19Y55 COLUMN 50 TILEPROP INT_R_X19Y55 DEVICE_ID 0 TILEPROP INT_R_X19Y55 FIRST_SITE_ID 9833 TILEPROP INT_R_X19Y55 GRID_POINT_X 50 TILEPROP INT_R_X19Y55 GRID_POINT_Y 98 TILEPROP INT_R_X19Y55 INDEX 11320 TILEPROP INT_R_X19Y55 INT_TILE_X 19 TILEPROP INT_R_X19Y55 INT_TILE_Y 94 TILEPROP INT_R_X19Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y55 IS_DCM_TILE 0 TILEPROP INT_R_X19Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y55 NAME INT_R_X19Y55 TILEPROP INT_R_X19Y55 NUM_ARCS 3737 TILEPROP INT_R_X19Y55 NUM_SITES 1 TILEPROP INT_R_X19Y55 ROW 98 TILEPROP INT_R_X19Y55 SLR_REGION_ID 0 TILEPROP INT_R_X19Y55 TILE_PATTERN_IDX 7030 TILEPROP INT_R_X19Y55 TILE_TYPE INT_R TILEPROP INT_R_X19Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y55 TILE_X -11424 TILEPROP INT_R_X19Y55 TILE_Y -62400 TILEPROP INT_R_X19Y55 TYPE INT_R TILEPROP INT_R_X19Y56 CLASS tile TILEPROP INT_R_X19Y56 COLUMN 50 TILEPROP INT_R_X19Y56 DEVICE_ID 0 TILEPROP INT_R_X19Y56 FIRST_SITE_ID 9727 TILEPROP INT_R_X19Y56 GRID_POINT_X 50 TILEPROP INT_R_X19Y56 GRID_POINT_Y 97 TILEPROP INT_R_X19Y56 INDEX 11205 TILEPROP INT_R_X19Y56 INT_TILE_X 19 TILEPROP INT_R_X19Y56 INT_TILE_Y 93 TILEPROP INT_R_X19Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y56 IS_DCM_TILE 0 TILEPROP INT_R_X19Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y56 NAME INT_R_X19Y56 TILEPROP INT_R_X19Y56 NUM_ARCS 3737 TILEPROP INT_R_X19Y56 NUM_SITES 1 TILEPROP INT_R_X19Y56 ROW 97 TILEPROP INT_R_X19Y56 SLR_REGION_ID 0 TILEPROP INT_R_X19Y56 TILE_PATTERN_IDX 6993 TILEPROP INT_R_X19Y56 TILE_TYPE INT_R TILEPROP INT_R_X19Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y56 TILE_X -11424 TILEPROP INT_R_X19Y56 TILE_Y -59200 TILEPROP INT_R_X19Y56 TYPE INT_R TILEPROP INT_R_X19Y57 CLASS tile TILEPROP INT_R_X19Y57 COLUMN 50 TILEPROP INT_R_X19Y57 DEVICE_ID 0 TILEPROP INT_R_X19Y57 FIRST_SITE_ID 9625 TILEPROP INT_R_X19Y57 GRID_POINT_X 50 TILEPROP INT_R_X19Y57 GRID_POINT_Y 96 TILEPROP INT_R_X19Y57 INDEX 11090 TILEPROP INT_R_X19Y57 INT_TILE_X 19 TILEPROP INT_R_X19Y57 INT_TILE_Y 92 TILEPROP INT_R_X19Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y57 IS_DCM_TILE 0 TILEPROP INT_R_X19Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y57 NAME INT_R_X19Y57 TILEPROP INT_R_X19Y57 NUM_ARCS 3737 TILEPROP INT_R_X19Y57 NUM_SITES 1 TILEPROP INT_R_X19Y57 ROW 96 TILEPROP INT_R_X19Y57 SLR_REGION_ID 0 TILEPROP INT_R_X19Y57 TILE_PATTERN_IDX 6956 TILEPROP INT_R_X19Y57 TILE_TYPE INT_R TILEPROP INT_R_X19Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y57 TILE_X -11424 TILEPROP INT_R_X19Y57 TILE_Y -56000 TILEPROP INT_R_X19Y57 TYPE INT_R TILEPROP INT_R_X19Y58 CLASS tile TILEPROP INT_R_X19Y58 COLUMN 50 TILEPROP INT_R_X19Y58 DEVICE_ID 0 TILEPROP INT_R_X19Y58 FIRST_SITE_ID 9522 TILEPROP INT_R_X19Y58 GRID_POINT_X 50 TILEPROP INT_R_X19Y58 GRID_POINT_Y 95 TILEPROP INT_R_X19Y58 INDEX 10975 TILEPROP INT_R_X19Y58 INT_TILE_X 19 TILEPROP INT_R_X19Y58 INT_TILE_Y 91 TILEPROP INT_R_X19Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y58 IS_DCM_TILE 0 TILEPROP INT_R_X19Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y58 NAME INT_R_X19Y58 TILEPROP INT_R_X19Y58 NUM_ARCS 3737 TILEPROP INT_R_X19Y58 NUM_SITES 1 TILEPROP INT_R_X19Y58 ROW 95 TILEPROP INT_R_X19Y58 SLR_REGION_ID 0 TILEPROP INT_R_X19Y58 TILE_PATTERN_IDX 6918 TILEPROP INT_R_X19Y58 TILE_TYPE INT_R TILEPROP INT_R_X19Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y58 TILE_X -11424 TILEPROP INT_R_X19Y58 TILE_Y -52800 TILEPROP INT_R_X19Y58 TYPE INT_R TILEPROP INT_R_X19Y59 CLASS tile TILEPROP INT_R_X19Y59 COLUMN 50 TILEPROP INT_R_X19Y59 DEVICE_ID 0 TILEPROP INT_R_X19Y59 FIRST_SITE_ID 9421 TILEPROP INT_R_X19Y59 GRID_POINT_X 50 TILEPROP INT_R_X19Y59 GRID_POINT_Y 94 TILEPROP INT_R_X19Y59 INDEX 10860 TILEPROP INT_R_X19Y59 INT_TILE_X 19 TILEPROP INT_R_X19Y59 INT_TILE_Y 90 TILEPROP INT_R_X19Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y59 IS_DCM_TILE 0 TILEPROP INT_R_X19Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y59 NAME INT_R_X19Y59 TILEPROP INT_R_X19Y59 NUM_ARCS 3737 TILEPROP INT_R_X19Y59 NUM_SITES 1 TILEPROP INT_R_X19Y59 ROW 94 TILEPROP INT_R_X19Y59 SLR_REGION_ID 0 TILEPROP INT_R_X19Y59 TILE_PATTERN_IDX 6881 TILEPROP INT_R_X19Y59 TILE_TYPE INT_R TILEPROP INT_R_X19Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y59 TILE_X -11424 TILEPROP INT_R_X19Y59 TILE_Y -49600 TILEPROP INT_R_X19Y59 TYPE INT_R TILEPROP INT_R_X19Y60 CLASS tile TILEPROP INT_R_X19Y60 COLUMN 50 TILEPROP INT_R_X19Y60 DEVICE_ID 0 TILEPROP INT_R_X19Y60 FIRST_SITE_ID 9312 TILEPROP INT_R_X19Y60 GRID_POINT_X 50 TILEPROP INT_R_X19Y60 GRID_POINT_Y 93 TILEPROP INT_R_X19Y60 INDEX 10745 TILEPROP INT_R_X19Y60 INT_TILE_X 19 TILEPROP INT_R_X19Y60 INT_TILE_Y 89 TILEPROP INT_R_X19Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y60 IS_DCM_TILE 0 TILEPROP INT_R_X19Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y60 NAME INT_R_X19Y60 TILEPROP INT_R_X19Y60 NUM_ARCS 3737 TILEPROP INT_R_X19Y60 NUM_SITES 1 TILEPROP INT_R_X19Y60 ROW 93 TILEPROP INT_R_X19Y60 SLR_REGION_ID 0 TILEPROP INT_R_X19Y60 TILE_PATTERN_IDX 6844 TILEPROP INT_R_X19Y60 TILE_TYPE INT_R TILEPROP INT_R_X19Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y60 TILE_X -11424 TILEPROP INT_R_X19Y60 TILE_Y -46400 TILEPROP INT_R_X19Y60 TYPE INT_R TILEPROP INT_R_X19Y61 CLASS tile TILEPROP INT_R_X19Y61 COLUMN 50 TILEPROP INT_R_X19Y61 DEVICE_ID 0 TILEPROP INT_R_X19Y61 FIRST_SITE_ID 9206 TILEPROP INT_R_X19Y61 GRID_POINT_X 50 TILEPROP INT_R_X19Y61 GRID_POINT_Y 92 TILEPROP INT_R_X19Y61 INDEX 10630 TILEPROP INT_R_X19Y61 INT_TILE_X 19 TILEPROP INT_R_X19Y61 INT_TILE_Y 88 TILEPROP INT_R_X19Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y61 IS_DCM_TILE 0 TILEPROP INT_R_X19Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y61 NAME INT_R_X19Y61 TILEPROP INT_R_X19Y61 NUM_ARCS 3737 TILEPROP INT_R_X19Y61 NUM_SITES 1 TILEPROP INT_R_X19Y61 ROW 92 TILEPROP INT_R_X19Y61 SLR_REGION_ID 0 TILEPROP INT_R_X19Y61 TILE_PATTERN_IDX 6807 TILEPROP INT_R_X19Y61 TILE_TYPE INT_R TILEPROP INT_R_X19Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y61 TILE_X -11424 TILEPROP INT_R_X19Y61 TILE_Y -43200 TILEPROP INT_R_X19Y61 TYPE INT_R TILEPROP INT_R_X19Y62 CLASS tile TILEPROP INT_R_X19Y62 COLUMN 50 TILEPROP INT_R_X19Y62 DEVICE_ID 0 TILEPROP INT_R_X19Y62 FIRST_SITE_ID 9074 TILEPROP INT_R_X19Y62 GRID_POINT_X 50 TILEPROP INT_R_X19Y62 GRID_POINT_Y 91 TILEPROP INT_R_X19Y62 INDEX 10515 TILEPROP INT_R_X19Y62 INT_TILE_X 19 TILEPROP INT_R_X19Y62 INT_TILE_Y 87 TILEPROP INT_R_X19Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y62 IS_DCM_TILE 0 TILEPROP INT_R_X19Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y62 NAME INT_R_X19Y62 TILEPROP INT_R_X19Y62 NUM_ARCS 3737 TILEPROP INT_R_X19Y62 NUM_SITES 1 TILEPROP INT_R_X19Y62 ROW 91 TILEPROP INT_R_X19Y62 SLR_REGION_ID 0 TILEPROP INT_R_X19Y62 TILE_PATTERN_IDX 6770 TILEPROP INT_R_X19Y62 TILE_TYPE INT_R TILEPROP INT_R_X19Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y62 TILE_X -11424 TILEPROP INT_R_X19Y62 TILE_Y -40000 TILEPROP INT_R_X19Y62 TYPE INT_R TILEPROP INT_R_X19Y63 CLASS tile TILEPROP INT_R_X19Y63 COLUMN 50 TILEPROP INT_R_X19Y63 DEVICE_ID 0 TILEPROP INT_R_X19Y63 FIRST_SITE_ID 8974 TILEPROP INT_R_X19Y63 GRID_POINT_X 50 TILEPROP INT_R_X19Y63 GRID_POINT_Y 90 TILEPROP INT_R_X19Y63 INDEX 10400 TILEPROP INT_R_X19Y63 INT_TILE_X 19 TILEPROP INT_R_X19Y63 INT_TILE_Y 86 TILEPROP INT_R_X19Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y63 IS_DCM_TILE 0 TILEPROP INT_R_X19Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y63 NAME INT_R_X19Y63 TILEPROP INT_R_X19Y63 NUM_ARCS 3737 TILEPROP INT_R_X19Y63 NUM_SITES 1 TILEPROP INT_R_X19Y63 ROW 90 TILEPROP INT_R_X19Y63 SLR_REGION_ID 0 TILEPROP INT_R_X19Y63 TILE_PATTERN_IDX 6733 TILEPROP INT_R_X19Y63 TILE_TYPE INT_R TILEPROP INT_R_X19Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y63 TILE_X -11424 TILEPROP INT_R_X19Y63 TILE_Y -36800 TILEPROP INT_R_X19Y63 TYPE INT_R TILEPROP INT_R_X19Y64 CLASS tile TILEPROP INT_R_X19Y64 COLUMN 50 TILEPROP INT_R_X19Y64 DEVICE_ID 0 TILEPROP INT_R_X19Y64 FIRST_SITE_ID 8874 TILEPROP INT_R_X19Y64 GRID_POINT_X 50 TILEPROP INT_R_X19Y64 GRID_POINT_Y 89 TILEPROP INT_R_X19Y64 INDEX 10285 TILEPROP INT_R_X19Y64 INT_TILE_X 19 TILEPROP INT_R_X19Y64 INT_TILE_Y 85 TILEPROP INT_R_X19Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y64 IS_DCM_TILE 0 TILEPROP INT_R_X19Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y64 NAME INT_R_X19Y64 TILEPROP INT_R_X19Y64 NUM_ARCS 3737 TILEPROP INT_R_X19Y64 NUM_SITES 1 TILEPROP INT_R_X19Y64 ROW 89 TILEPROP INT_R_X19Y64 SLR_REGION_ID 0 TILEPROP INT_R_X19Y64 TILE_PATTERN_IDX 6696 TILEPROP INT_R_X19Y64 TILE_TYPE INT_R TILEPROP INT_R_X19Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y64 TILE_X -11424 TILEPROP INT_R_X19Y64 TILE_Y -33600 TILEPROP INT_R_X19Y64 TYPE INT_R TILEPROP INT_R_X19Y65 CLASS tile TILEPROP INT_R_X19Y65 COLUMN 50 TILEPROP INT_R_X19Y65 DEVICE_ID 0 TILEPROP INT_R_X19Y65 FIRST_SITE_ID 8765 TILEPROP INT_R_X19Y65 GRID_POINT_X 50 TILEPROP INT_R_X19Y65 GRID_POINT_Y 88 TILEPROP INT_R_X19Y65 INDEX 10170 TILEPROP INT_R_X19Y65 INT_TILE_X 19 TILEPROP INT_R_X19Y65 INT_TILE_Y 84 TILEPROP INT_R_X19Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y65 IS_DCM_TILE 0 TILEPROP INT_R_X19Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y65 NAME INT_R_X19Y65 TILEPROP INT_R_X19Y65 NUM_ARCS 3737 TILEPROP INT_R_X19Y65 NUM_SITES 1 TILEPROP INT_R_X19Y65 ROW 88 TILEPROP INT_R_X19Y65 SLR_REGION_ID 0 TILEPROP INT_R_X19Y65 TILE_PATTERN_IDX 6659 TILEPROP INT_R_X19Y65 TILE_TYPE INT_R TILEPROP INT_R_X19Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y65 TILE_X -11424 TILEPROP INT_R_X19Y65 TILE_Y -30400 TILEPROP INT_R_X19Y65 TYPE INT_R TILEPROP INT_R_X19Y66 CLASS tile TILEPROP INT_R_X19Y66 COLUMN 50 TILEPROP INT_R_X19Y66 DEVICE_ID 0 TILEPROP INT_R_X19Y66 FIRST_SITE_ID 8659 TILEPROP INT_R_X19Y66 GRID_POINT_X 50 TILEPROP INT_R_X19Y66 GRID_POINT_Y 87 TILEPROP INT_R_X19Y66 INDEX 10055 TILEPROP INT_R_X19Y66 INT_TILE_X 19 TILEPROP INT_R_X19Y66 INT_TILE_Y 83 TILEPROP INT_R_X19Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y66 IS_DCM_TILE 0 TILEPROP INT_R_X19Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y66 NAME INT_R_X19Y66 TILEPROP INT_R_X19Y66 NUM_ARCS 3737 TILEPROP INT_R_X19Y66 NUM_SITES 1 TILEPROP INT_R_X19Y66 ROW 87 TILEPROP INT_R_X19Y66 SLR_REGION_ID 0 TILEPROP INT_R_X19Y66 TILE_PATTERN_IDX 6622 TILEPROP INT_R_X19Y66 TILE_TYPE INT_R TILEPROP INT_R_X19Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y66 TILE_X -11424 TILEPROP INT_R_X19Y66 TILE_Y -27200 TILEPROP INT_R_X19Y66 TYPE INT_R TILEPROP INT_R_X19Y67 CLASS tile TILEPROP INT_R_X19Y67 COLUMN 50 TILEPROP INT_R_X19Y67 DEVICE_ID 0 TILEPROP INT_R_X19Y67 FIRST_SITE_ID 8555 TILEPROP INT_R_X19Y67 GRID_POINT_X 50 TILEPROP INT_R_X19Y67 GRID_POINT_Y 86 TILEPROP INT_R_X19Y67 INDEX 9940 TILEPROP INT_R_X19Y67 INT_TILE_X 19 TILEPROP INT_R_X19Y67 INT_TILE_Y 82 TILEPROP INT_R_X19Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y67 IS_DCM_TILE 0 TILEPROP INT_R_X19Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y67 NAME INT_R_X19Y67 TILEPROP INT_R_X19Y67 NUM_ARCS 3737 TILEPROP INT_R_X19Y67 NUM_SITES 1 TILEPROP INT_R_X19Y67 ROW 86 TILEPROP INT_R_X19Y67 SLR_REGION_ID 0 TILEPROP INT_R_X19Y67 TILE_PATTERN_IDX 6584 TILEPROP INT_R_X19Y67 TILE_TYPE INT_R TILEPROP INT_R_X19Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y67 TILE_X -11424 TILEPROP INT_R_X19Y67 TILE_Y -24000 TILEPROP INT_R_X19Y67 TYPE INT_R TILEPROP INT_R_X19Y68 CLASS tile TILEPROP INT_R_X19Y68 COLUMN 50 TILEPROP INT_R_X19Y68 DEVICE_ID 0 TILEPROP INT_R_X19Y68 FIRST_SITE_ID 8451 TILEPROP INT_R_X19Y68 GRID_POINT_X 50 TILEPROP INT_R_X19Y68 GRID_POINT_Y 85 TILEPROP INT_R_X19Y68 INDEX 9825 TILEPROP INT_R_X19Y68 INT_TILE_X 19 TILEPROP INT_R_X19Y68 INT_TILE_Y 81 TILEPROP INT_R_X19Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y68 IS_DCM_TILE 0 TILEPROP INT_R_X19Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y68 NAME INT_R_X19Y68 TILEPROP INT_R_X19Y68 NUM_ARCS 3737 TILEPROP INT_R_X19Y68 NUM_SITES 1 TILEPROP INT_R_X19Y68 ROW 85 TILEPROP INT_R_X19Y68 SLR_REGION_ID 0 TILEPROP INT_R_X19Y68 TILE_PATTERN_IDX 6552 TILEPROP INT_R_X19Y68 TILE_TYPE INT_R TILEPROP INT_R_X19Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y68 TILE_X -11424 TILEPROP INT_R_X19Y68 TILE_Y -20800 TILEPROP INT_R_X19Y68 TYPE INT_R TILEPROP INT_R_X19Y69 CLASS tile TILEPROP INT_R_X19Y69 COLUMN 50 TILEPROP INT_R_X19Y69 DEVICE_ID 0 TILEPROP INT_R_X19Y69 FIRST_SITE_ID 8349 TILEPROP INT_R_X19Y69 GRID_POINT_X 50 TILEPROP INT_R_X19Y69 GRID_POINT_Y 84 TILEPROP INT_R_X19Y69 INDEX 9710 TILEPROP INT_R_X19Y69 INT_TILE_X 19 TILEPROP INT_R_X19Y69 INT_TILE_Y 80 TILEPROP INT_R_X19Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y69 IS_DCM_TILE 0 TILEPROP INT_R_X19Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y69 NAME INT_R_X19Y69 TILEPROP INT_R_X19Y69 NUM_ARCS 3737 TILEPROP INT_R_X19Y69 NUM_SITES 1 TILEPROP INT_R_X19Y69 ROW 84 TILEPROP INT_R_X19Y69 SLR_REGION_ID 0 TILEPROP INT_R_X19Y69 TILE_PATTERN_IDX 6521 TILEPROP INT_R_X19Y69 TILE_TYPE INT_R TILEPROP INT_R_X19Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y69 TILE_X -11424 TILEPROP INT_R_X19Y69 TILE_Y -17600 TILEPROP INT_R_X19Y69 TYPE INT_R TILEPROP INT_R_X19Y70 CLASS tile TILEPROP INT_R_X19Y70 COLUMN 50 TILEPROP INT_R_X19Y70 DEVICE_ID 0 TILEPROP INT_R_X19Y70 FIRST_SITE_ID 8238 TILEPROP INT_R_X19Y70 GRID_POINT_X 50 TILEPROP INT_R_X19Y70 GRID_POINT_Y 83 TILEPROP INT_R_X19Y70 INDEX 9595 TILEPROP INT_R_X19Y70 INT_TILE_X 19 TILEPROP INT_R_X19Y70 INT_TILE_Y 79 TILEPROP INT_R_X19Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y70 IS_DCM_TILE 0 TILEPROP INT_R_X19Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y70 NAME INT_R_X19Y70 TILEPROP INT_R_X19Y70 NUM_ARCS 3737 TILEPROP INT_R_X19Y70 NUM_SITES 1 TILEPROP INT_R_X19Y70 ROW 83 TILEPROP INT_R_X19Y70 SLR_REGION_ID 0 TILEPROP INT_R_X19Y70 TILE_PATTERN_IDX 6490 TILEPROP INT_R_X19Y70 TILE_TYPE INT_R TILEPROP INT_R_X19Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y70 TILE_X -11424 TILEPROP INT_R_X19Y70 TILE_Y -14400 TILEPROP INT_R_X19Y70 TYPE INT_R TILEPROP INT_R_X19Y71 CLASS tile TILEPROP INT_R_X19Y71 COLUMN 50 TILEPROP INT_R_X19Y71 DEVICE_ID 0 TILEPROP INT_R_X19Y71 FIRST_SITE_ID 8132 TILEPROP INT_R_X19Y71 GRID_POINT_X 50 TILEPROP INT_R_X19Y71 GRID_POINT_Y 82 TILEPROP INT_R_X19Y71 INDEX 9480 TILEPROP INT_R_X19Y71 INT_TILE_X 19 TILEPROP INT_R_X19Y71 INT_TILE_Y 78 TILEPROP INT_R_X19Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y71 IS_DCM_TILE 0 TILEPROP INT_R_X19Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y71 NAME INT_R_X19Y71 TILEPROP INT_R_X19Y71 NUM_ARCS 3737 TILEPROP INT_R_X19Y71 NUM_SITES 1 TILEPROP INT_R_X19Y71 ROW 82 TILEPROP INT_R_X19Y71 SLR_REGION_ID 0 TILEPROP INT_R_X19Y71 TILE_PATTERN_IDX 6459 TILEPROP INT_R_X19Y71 TILE_TYPE INT_R TILEPROP INT_R_X19Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y71 TILE_X -11424 TILEPROP INT_R_X19Y71 TILE_Y -11200 TILEPROP INT_R_X19Y71 TYPE INT_R TILEPROP INT_R_X19Y72 CLASS tile TILEPROP INT_R_X19Y72 COLUMN 50 TILEPROP INT_R_X19Y72 DEVICE_ID 0 TILEPROP INT_R_X19Y72 FIRST_SITE_ID 8032 TILEPROP INT_R_X19Y72 GRID_POINT_X 50 TILEPROP INT_R_X19Y72 GRID_POINT_Y 81 TILEPROP INT_R_X19Y72 INDEX 9365 TILEPROP INT_R_X19Y72 INT_TILE_X 19 TILEPROP INT_R_X19Y72 INT_TILE_Y 77 TILEPROP INT_R_X19Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y72 IS_DCM_TILE 0 TILEPROP INT_R_X19Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y72 NAME INT_R_X19Y72 TILEPROP INT_R_X19Y72 NUM_ARCS 3737 TILEPROP INT_R_X19Y72 NUM_SITES 1 TILEPROP INT_R_X19Y72 ROW 81 TILEPROP INT_R_X19Y72 SLR_REGION_ID 0 TILEPROP INT_R_X19Y72 TILE_PATTERN_IDX 6428 TILEPROP INT_R_X19Y72 TILE_TYPE INT_R TILEPROP INT_R_X19Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y72 TILE_X -11424 TILEPROP INT_R_X19Y72 TILE_Y -8000 TILEPROP INT_R_X19Y72 TYPE INT_R TILEPROP INT_R_X19Y73 CLASS tile TILEPROP INT_R_X19Y73 COLUMN 50 TILEPROP INT_R_X19Y73 DEVICE_ID 0 TILEPROP INT_R_X19Y73 FIRST_SITE_ID 7932 TILEPROP INT_R_X19Y73 GRID_POINT_X 50 TILEPROP INT_R_X19Y73 GRID_POINT_Y 80 TILEPROP INT_R_X19Y73 INDEX 9250 TILEPROP INT_R_X19Y73 INT_TILE_X 19 TILEPROP INT_R_X19Y73 INT_TILE_Y 76 TILEPROP INT_R_X19Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y73 IS_DCM_TILE 0 TILEPROP INT_R_X19Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y73 NAME INT_R_X19Y73 TILEPROP INT_R_X19Y73 NUM_ARCS 3737 TILEPROP INT_R_X19Y73 NUM_SITES 1 TILEPROP INT_R_X19Y73 ROW 80 TILEPROP INT_R_X19Y73 SLR_REGION_ID 0 TILEPROP INT_R_X19Y73 TILE_PATTERN_IDX 6397 TILEPROP INT_R_X19Y73 TILE_TYPE INT_R TILEPROP INT_R_X19Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y73 TILE_X -11424 TILEPROP INT_R_X19Y73 TILE_Y -4800 TILEPROP INT_R_X19Y73 TYPE INT_R TILEPROP INT_R_X19Y74 CLASS tile TILEPROP INT_R_X19Y74 COLUMN 50 TILEPROP INT_R_X19Y74 DEVICE_ID 0 TILEPROP INT_R_X19Y74 FIRST_SITE_ID 7832 TILEPROP INT_R_X19Y74 GRID_POINT_X 50 TILEPROP INT_R_X19Y74 GRID_POINT_Y 79 TILEPROP INT_R_X19Y74 INDEX 9135 TILEPROP INT_R_X19Y74 INT_TILE_X 19 TILEPROP INT_R_X19Y74 INT_TILE_Y 75 TILEPROP INT_R_X19Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y74 IS_DCM_TILE 0 TILEPROP INT_R_X19Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y74 NAME INT_R_X19Y74 TILEPROP INT_R_X19Y74 NUM_ARCS 3737 TILEPROP INT_R_X19Y74 NUM_SITES 1 TILEPROP INT_R_X19Y74 ROW 79 TILEPROP INT_R_X19Y74 SLR_REGION_ID 0 TILEPROP INT_R_X19Y74 TILE_PATTERN_IDX 6366 TILEPROP INT_R_X19Y74 TILE_TYPE INT_R TILEPROP INT_R_X19Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y74 TILE_X -11424 TILEPROP INT_R_X19Y74 TILE_Y -1600 TILEPROP INT_R_X19Y74 TYPE INT_R TILEPROP INT_R_X19Y75 CLASS tile TILEPROP INT_R_X19Y75 COLUMN 50 TILEPROP INT_R_X19Y75 DEVICE_ID 0 TILEPROP INT_R_X19Y75 FIRST_SITE_ID 7640 TILEPROP INT_R_X19Y75 GRID_POINT_X 50 TILEPROP INT_R_X19Y75 GRID_POINT_Y 77 TILEPROP INT_R_X19Y75 INDEX 8905 TILEPROP INT_R_X19Y75 INT_TILE_X 19 TILEPROP INT_R_X19Y75 INT_TILE_Y 74 TILEPROP INT_R_X19Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y75 IS_DCM_TILE 0 TILEPROP INT_R_X19Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y75 NAME INT_R_X19Y75 TILEPROP INT_R_X19Y75 NUM_ARCS 3737 TILEPROP INT_R_X19Y75 NUM_SITES 1 TILEPROP INT_R_X19Y75 ROW 77 TILEPROP INT_R_X19Y75 SLR_REGION_ID 0 TILEPROP INT_R_X19Y75 TILE_PATTERN_IDX 6292 TILEPROP INT_R_X19Y75 TILE_TYPE INT_R TILEPROP INT_R_X19Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y75 TILE_X -11424 TILEPROP INT_R_X19Y75 TILE_Y 2624 TILEPROP INT_R_X19Y75 TYPE INT_R TILEPROP INT_R_X19Y76 CLASS tile TILEPROP INT_R_X19Y76 COLUMN 50 TILEPROP INT_R_X19Y76 DEVICE_ID 0 TILEPROP INT_R_X19Y76 FIRST_SITE_ID 7531 TILEPROP INT_R_X19Y76 GRID_POINT_X 50 TILEPROP INT_R_X19Y76 GRID_POINT_Y 76 TILEPROP INT_R_X19Y76 INDEX 8790 TILEPROP INT_R_X19Y76 INT_TILE_X 19 TILEPROP INT_R_X19Y76 INT_TILE_Y 73 TILEPROP INT_R_X19Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y76 IS_DCM_TILE 0 TILEPROP INT_R_X19Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y76 NAME INT_R_X19Y76 TILEPROP INT_R_X19Y76 NUM_ARCS 3737 TILEPROP INT_R_X19Y76 NUM_SITES 1 TILEPROP INT_R_X19Y76 ROW 76 TILEPROP INT_R_X19Y76 SLR_REGION_ID 0 TILEPROP INT_R_X19Y76 TILE_PATTERN_IDX 6258 TILEPROP INT_R_X19Y76 TILE_TYPE INT_R TILEPROP INT_R_X19Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y76 TILE_X -11424 TILEPROP INT_R_X19Y76 TILE_Y 5824 TILEPROP INT_R_X19Y76 TYPE INT_R TILEPROP INT_R_X19Y77 CLASS tile TILEPROP INT_R_X19Y77 COLUMN 50 TILEPROP INT_R_X19Y77 DEVICE_ID 0 TILEPROP INT_R_X19Y77 FIRST_SITE_ID 7431 TILEPROP INT_R_X19Y77 GRID_POINT_X 50 TILEPROP INT_R_X19Y77 GRID_POINT_Y 75 TILEPROP INT_R_X19Y77 INDEX 8675 TILEPROP INT_R_X19Y77 INT_TILE_X 19 TILEPROP INT_R_X19Y77 INT_TILE_Y 72 TILEPROP INT_R_X19Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y77 IS_DCM_TILE 0 TILEPROP INT_R_X19Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y77 NAME INT_R_X19Y77 TILEPROP INT_R_X19Y77 NUM_ARCS 3737 TILEPROP INT_R_X19Y77 NUM_SITES 1 TILEPROP INT_R_X19Y77 ROW 75 TILEPROP INT_R_X19Y77 SLR_REGION_ID 0 TILEPROP INT_R_X19Y77 TILE_PATTERN_IDX 6224 TILEPROP INT_R_X19Y77 TILE_TYPE INT_R TILEPROP INT_R_X19Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y77 TILE_X -11424 TILEPROP INT_R_X19Y77 TILE_Y 9024 TILEPROP INT_R_X19Y77 TYPE INT_R TILEPROP INT_R_X19Y78 CLASS tile TILEPROP INT_R_X19Y78 COLUMN 50 TILEPROP INT_R_X19Y78 DEVICE_ID 0 TILEPROP INT_R_X19Y78 FIRST_SITE_ID 7331 TILEPROP INT_R_X19Y78 GRID_POINT_X 50 TILEPROP INT_R_X19Y78 GRID_POINT_Y 74 TILEPROP INT_R_X19Y78 INDEX 8560 TILEPROP INT_R_X19Y78 INT_TILE_X 19 TILEPROP INT_R_X19Y78 INT_TILE_Y 71 TILEPROP INT_R_X19Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y78 IS_DCM_TILE 0 TILEPROP INT_R_X19Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y78 NAME INT_R_X19Y78 TILEPROP INT_R_X19Y78 NUM_ARCS 3737 TILEPROP INT_R_X19Y78 NUM_SITES 1 TILEPROP INT_R_X19Y78 ROW 74 TILEPROP INT_R_X19Y78 SLR_REGION_ID 0 TILEPROP INT_R_X19Y78 TILE_PATTERN_IDX 6191 TILEPROP INT_R_X19Y78 TILE_TYPE INT_R TILEPROP INT_R_X19Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y78 TILE_X -11424 TILEPROP INT_R_X19Y78 TILE_Y 12224 TILEPROP INT_R_X19Y78 TYPE INT_R TILEPROP INT_R_X19Y79 CLASS tile TILEPROP INT_R_X19Y79 COLUMN 50 TILEPROP INT_R_X19Y79 DEVICE_ID 0 TILEPROP INT_R_X19Y79 FIRST_SITE_ID 7225 TILEPROP INT_R_X19Y79 GRID_POINT_X 50 TILEPROP INT_R_X19Y79 GRID_POINT_Y 73 TILEPROP INT_R_X19Y79 INDEX 8445 TILEPROP INT_R_X19Y79 INT_TILE_X 19 TILEPROP INT_R_X19Y79 INT_TILE_Y 70 TILEPROP INT_R_X19Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y79 IS_DCM_TILE 0 TILEPROP INT_R_X19Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y79 NAME INT_R_X19Y79 TILEPROP INT_R_X19Y79 NUM_ARCS 3737 TILEPROP INT_R_X19Y79 NUM_SITES 1 TILEPROP INT_R_X19Y79 ROW 73 TILEPROP INT_R_X19Y79 SLR_REGION_ID 0 TILEPROP INT_R_X19Y79 TILE_PATTERN_IDX 6156 TILEPROP INT_R_X19Y79 TILE_TYPE INT_R TILEPROP INT_R_X19Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y79 TILE_X -11424 TILEPROP INT_R_X19Y79 TILE_Y 15424 TILEPROP INT_R_X19Y79 TYPE INT_R TILEPROP INT_R_X19Y80 CLASS tile TILEPROP INT_R_X19Y80 COLUMN 50 TILEPROP INT_R_X19Y80 DEVICE_ID 0 TILEPROP INT_R_X19Y80 FIRST_SITE_ID 7110 TILEPROP INT_R_X19Y80 GRID_POINT_X 50 TILEPROP INT_R_X19Y80 GRID_POINT_Y 72 TILEPROP INT_R_X19Y80 INDEX 8330 TILEPROP INT_R_X19Y80 INT_TILE_X 19 TILEPROP INT_R_X19Y80 INT_TILE_Y 69 TILEPROP INT_R_X19Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y80 IS_DCM_TILE 0 TILEPROP INT_R_X19Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y80 NAME INT_R_X19Y80 TILEPROP INT_R_X19Y80 NUM_ARCS 3737 TILEPROP INT_R_X19Y80 NUM_SITES 1 TILEPROP INT_R_X19Y80 ROW 72 TILEPROP INT_R_X19Y80 SLR_REGION_ID 0 TILEPROP INT_R_X19Y80 TILE_PATTERN_IDX 6121 TILEPROP INT_R_X19Y80 TILE_TYPE INT_R TILEPROP INT_R_X19Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y80 TILE_X -11424 TILEPROP INT_R_X19Y80 TILE_Y 18624 TILEPROP INT_R_X19Y80 TYPE INT_R TILEPROP INT_R_X19Y81 CLASS tile TILEPROP INT_R_X19Y81 COLUMN 50 TILEPROP INT_R_X19Y81 DEVICE_ID 0 TILEPROP INT_R_X19Y81 FIRST_SITE_ID 7002 TILEPROP INT_R_X19Y81 GRID_POINT_X 50 TILEPROP INT_R_X19Y81 GRID_POINT_Y 71 TILEPROP INT_R_X19Y81 INDEX 8215 TILEPROP INT_R_X19Y81 INT_TILE_X 19 TILEPROP INT_R_X19Y81 INT_TILE_Y 68 TILEPROP INT_R_X19Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y81 IS_DCM_TILE 0 TILEPROP INT_R_X19Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y81 NAME INT_R_X19Y81 TILEPROP INT_R_X19Y81 NUM_ARCS 3737 TILEPROP INT_R_X19Y81 NUM_SITES 1 TILEPROP INT_R_X19Y81 ROW 71 TILEPROP INT_R_X19Y81 SLR_REGION_ID 0 TILEPROP INT_R_X19Y81 TILE_PATTERN_IDX 6087 TILEPROP INT_R_X19Y81 TILE_TYPE INT_R TILEPROP INT_R_X19Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y81 TILE_X -11424 TILEPROP INT_R_X19Y81 TILE_Y 21824 TILEPROP INT_R_X19Y81 TYPE INT_R TILEPROP INT_R_X19Y82 CLASS tile TILEPROP INT_R_X19Y82 COLUMN 50 TILEPROP INT_R_X19Y82 DEVICE_ID 0 TILEPROP INT_R_X19Y82 FIRST_SITE_ID 6900 TILEPROP INT_R_X19Y82 GRID_POINT_X 50 TILEPROP INT_R_X19Y82 GRID_POINT_Y 70 TILEPROP INT_R_X19Y82 INDEX 8100 TILEPROP INT_R_X19Y82 INT_TILE_X 19 TILEPROP INT_R_X19Y82 INT_TILE_Y 67 TILEPROP INT_R_X19Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y82 IS_DCM_TILE 0 TILEPROP INT_R_X19Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y82 NAME INT_R_X19Y82 TILEPROP INT_R_X19Y82 NUM_ARCS 3737 TILEPROP INT_R_X19Y82 NUM_SITES 1 TILEPROP INT_R_X19Y82 ROW 70 TILEPROP INT_R_X19Y82 SLR_REGION_ID 0 TILEPROP INT_R_X19Y82 TILE_PATTERN_IDX 6053 TILEPROP INT_R_X19Y82 TILE_TYPE INT_R TILEPROP INT_R_X19Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y82 TILE_X -11424 TILEPROP INT_R_X19Y82 TILE_Y 25024 TILEPROP INT_R_X19Y82 TYPE INT_R TILEPROP INT_R_X19Y83 CLASS tile TILEPROP INT_R_X19Y83 COLUMN 50 TILEPROP INT_R_X19Y83 DEVICE_ID 0 TILEPROP INT_R_X19Y83 FIRST_SITE_ID 6800 TILEPROP INT_R_X19Y83 GRID_POINT_X 50 TILEPROP INT_R_X19Y83 GRID_POINT_Y 69 TILEPROP INT_R_X19Y83 INDEX 7985 TILEPROP INT_R_X19Y83 INT_TILE_X 19 TILEPROP INT_R_X19Y83 INT_TILE_Y 66 TILEPROP INT_R_X19Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y83 IS_DCM_TILE 0 TILEPROP INT_R_X19Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y83 NAME INT_R_X19Y83 TILEPROP INT_R_X19Y83 NUM_ARCS 3737 TILEPROP INT_R_X19Y83 NUM_SITES 1 TILEPROP INT_R_X19Y83 ROW 69 TILEPROP INT_R_X19Y83 SLR_REGION_ID 0 TILEPROP INT_R_X19Y83 TILE_PATTERN_IDX 6019 TILEPROP INT_R_X19Y83 TILE_TYPE INT_R TILEPROP INT_R_X19Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y83 TILE_X -11424 TILEPROP INT_R_X19Y83 TILE_Y 28224 TILEPROP INT_R_X19Y83 TYPE INT_R TILEPROP INT_R_X19Y84 CLASS tile TILEPROP INT_R_X19Y84 COLUMN 50 TILEPROP INT_R_X19Y84 DEVICE_ID 0 TILEPROP INT_R_X19Y84 FIRST_SITE_ID 6700 TILEPROP INT_R_X19Y84 GRID_POINT_X 50 TILEPROP INT_R_X19Y84 GRID_POINT_Y 68 TILEPROP INT_R_X19Y84 INDEX 7870 TILEPROP INT_R_X19Y84 INT_TILE_X 19 TILEPROP INT_R_X19Y84 INT_TILE_Y 65 TILEPROP INT_R_X19Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y84 IS_DCM_TILE 0 TILEPROP INT_R_X19Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y84 NAME INT_R_X19Y84 TILEPROP INT_R_X19Y84 NUM_ARCS 3737 TILEPROP INT_R_X19Y84 NUM_SITES 1 TILEPROP INT_R_X19Y84 ROW 68 TILEPROP INT_R_X19Y84 SLR_REGION_ID 0 TILEPROP INT_R_X19Y84 TILE_PATTERN_IDX 5985 TILEPROP INT_R_X19Y84 TILE_TYPE INT_R TILEPROP INT_R_X19Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y84 TILE_X -11424 TILEPROP INT_R_X19Y84 TILE_Y 31424 TILEPROP INT_R_X19Y84 TYPE INT_R TILEPROP INT_R_X19Y85 CLASS tile TILEPROP INT_R_X19Y85 COLUMN 50 TILEPROP INT_R_X19Y85 DEVICE_ID 0 TILEPROP INT_R_X19Y85 FIRST_SITE_ID 6591 TILEPROP INT_R_X19Y85 GRID_POINT_X 50 TILEPROP INT_R_X19Y85 GRID_POINT_Y 67 TILEPROP INT_R_X19Y85 INDEX 7755 TILEPROP INT_R_X19Y85 INT_TILE_X 19 TILEPROP INT_R_X19Y85 INT_TILE_Y 64 TILEPROP INT_R_X19Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y85 IS_DCM_TILE 0 TILEPROP INT_R_X19Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y85 NAME INT_R_X19Y85 TILEPROP INT_R_X19Y85 NUM_ARCS 3737 TILEPROP INT_R_X19Y85 NUM_SITES 1 TILEPROP INT_R_X19Y85 ROW 67 TILEPROP INT_R_X19Y85 SLR_REGION_ID 0 TILEPROP INT_R_X19Y85 TILE_PATTERN_IDX 5951 TILEPROP INT_R_X19Y85 TILE_TYPE INT_R TILEPROP INT_R_X19Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y85 TILE_X -11424 TILEPROP INT_R_X19Y85 TILE_Y 34624 TILEPROP INT_R_X19Y85 TYPE INT_R TILEPROP INT_R_X19Y86 CLASS tile TILEPROP INT_R_X19Y86 COLUMN 50 TILEPROP INT_R_X19Y86 DEVICE_ID 0 TILEPROP INT_R_X19Y86 FIRST_SITE_ID 6453 TILEPROP INT_R_X19Y86 GRID_POINT_X 50 TILEPROP INT_R_X19Y86 GRID_POINT_Y 66 TILEPROP INT_R_X19Y86 INDEX 7640 TILEPROP INT_R_X19Y86 INT_TILE_X 19 TILEPROP INT_R_X19Y86 INT_TILE_Y 63 TILEPROP INT_R_X19Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y86 IS_DCM_TILE 0 TILEPROP INT_R_X19Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y86 NAME INT_R_X19Y86 TILEPROP INT_R_X19Y86 NUM_ARCS 3737 TILEPROP INT_R_X19Y86 NUM_SITES 1 TILEPROP INT_R_X19Y86 ROW 66 TILEPROP INT_R_X19Y86 SLR_REGION_ID 0 TILEPROP INT_R_X19Y86 TILE_PATTERN_IDX 5915 TILEPROP INT_R_X19Y86 TILE_TYPE INT_R TILEPROP INT_R_X19Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y86 TILE_X -11424 TILEPROP INT_R_X19Y86 TILE_Y 37824 TILEPROP INT_R_X19Y86 TYPE INT_R TILEPROP INT_R_X19Y87 CLASS tile TILEPROP INT_R_X19Y87 COLUMN 50 TILEPROP INT_R_X19Y87 DEVICE_ID 0 TILEPROP INT_R_X19Y87 FIRST_SITE_ID 6353 TILEPROP INT_R_X19Y87 GRID_POINT_X 50 TILEPROP INT_R_X19Y87 GRID_POINT_Y 65 TILEPROP INT_R_X19Y87 INDEX 7525 TILEPROP INT_R_X19Y87 INT_TILE_X 19 TILEPROP INT_R_X19Y87 INT_TILE_Y 62 TILEPROP INT_R_X19Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y87 IS_DCM_TILE 0 TILEPROP INT_R_X19Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y87 NAME INT_R_X19Y87 TILEPROP INT_R_X19Y87 NUM_ARCS 3737 TILEPROP INT_R_X19Y87 NUM_SITES 1 TILEPROP INT_R_X19Y87 ROW 65 TILEPROP INT_R_X19Y87 SLR_REGION_ID 0 TILEPROP INT_R_X19Y87 TILE_PATTERN_IDX 5881 TILEPROP INT_R_X19Y87 TILE_TYPE INT_R TILEPROP INT_R_X19Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y87 TILE_X -11424 TILEPROP INT_R_X19Y87 TILE_Y 41024 TILEPROP INT_R_X19Y87 TYPE INT_R TILEPROP INT_R_X19Y88 CLASS tile TILEPROP INT_R_X19Y88 COLUMN 50 TILEPROP INT_R_X19Y88 DEVICE_ID 0 TILEPROP INT_R_X19Y88 FIRST_SITE_ID 6253 TILEPROP INT_R_X19Y88 GRID_POINT_X 50 TILEPROP INT_R_X19Y88 GRID_POINT_Y 64 TILEPROP INT_R_X19Y88 INDEX 7410 TILEPROP INT_R_X19Y88 INT_TILE_X 19 TILEPROP INT_R_X19Y88 INT_TILE_Y 61 TILEPROP INT_R_X19Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y88 IS_DCM_TILE 0 TILEPROP INT_R_X19Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y88 NAME INT_R_X19Y88 TILEPROP INT_R_X19Y88 NUM_ARCS 3737 TILEPROP INT_R_X19Y88 NUM_SITES 1 TILEPROP INT_R_X19Y88 ROW 64 TILEPROP INT_R_X19Y88 SLR_REGION_ID 0 TILEPROP INT_R_X19Y88 TILE_PATTERN_IDX 5848 TILEPROP INT_R_X19Y88 TILE_TYPE INT_R TILEPROP INT_R_X19Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y88 TILE_X -11424 TILEPROP INT_R_X19Y88 TILE_Y 44224 TILEPROP INT_R_X19Y88 TYPE INT_R TILEPROP INT_R_X19Y89 CLASS tile TILEPROP INT_R_X19Y89 COLUMN 50 TILEPROP INT_R_X19Y89 DEVICE_ID 0 TILEPROP INT_R_X19Y89 FIRST_SITE_ID 6153 TILEPROP INT_R_X19Y89 GRID_POINT_X 50 TILEPROP INT_R_X19Y89 GRID_POINT_Y 63 TILEPROP INT_R_X19Y89 INDEX 7295 TILEPROP INT_R_X19Y89 INT_TILE_X 19 TILEPROP INT_R_X19Y89 INT_TILE_Y 60 TILEPROP INT_R_X19Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y89 IS_DCM_TILE 0 TILEPROP INT_R_X19Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y89 NAME INT_R_X19Y89 TILEPROP INT_R_X19Y89 NUM_ARCS 3737 TILEPROP INT_R_X19Y89 NUM_SITES 1 TILEPROP INT_R_X19Y89 ROW 63 TILEPROP INT_R_X19Y89 SLR_REGION_ID 0 TILEPROP INT_R_X19Y89 TILE_PATTERN_IDX 5814 TILEPROP INT_R_X19Y89 TILE_TYPE INT_R TILEPROP INT_R_X19Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y89 TILE_X -11424 TILEPROP INT_R_X19Y89 TILE_Y 47424 TILEPROP INT_R_X19Y89 TYPE INT_R TILEPROP INT_R_X19Y90 CLASS tile TILEPROP INT_R_X19Y90 COLUMN 50 TILEPROP INT_R_X19Y90 DEVICE_ID 0 TILEPROP INT_R_X19Y90 FIRST_SITE_ID 6044 TILEPROP INT_R_X19Y90 GRID_POINT_X 50 TILEPROP INT_R_X19Y90 GRID_POINT_Y 62 TILEPROP INT_R_X19Y90 INDEX 7180 TILEPROP INT_R_X19Y90 INT_TILE_X 19 TILEPROP INT_R_X19Y90 INT_TILE_Y 59 TILEPROP INT_R_X19Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y90 IS_DCM_TILE 0 TILEPROP INT_R_X19Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y90 NAME INT_R_X19Y90 TILEPROP INT_R_X19Y90 NUM_ARCS 3737 TILEPROP INT_R_X19Y90 NUM_SITES 1 TILEPROP INT_R_X19Y90 ROW 62 TILEPROP INT_R_X19Y90 SLR_REGION_ID 0 TILEPROP INT_R_X19Y90 TILE_PATTERN_IDX 5780 TILEPROP INT_R_X19Y90 TILE_TYPE INT_R TILEPROP INT_R_X19Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y90 TILE_X -11424 TILEPROP INT_R_X19Y90 TILE_Y 50624 TILEPROP INT_R_X19Y90 TYPE INT_R TILEPROP INT_R_X19Y91 CLASS tile TILEPROP INT_R_X19Y91 COLUMN 50 TILEPROP INT_R_X19Y91 DEVICE_ID 0 TILEPROP INT_R_X19Y91 FIRST_SITE_ID 5938 TILEPROP INT_R_X19Y91 GRID_POINT_X 50 TILEPROP INT_R_X19Y91 GRID_POINT_Y 61 TILEPROP INT_R_X19Y91 INDEX 7065 TILEPROP INT_R_X19Y91 INT_TILE_X 19 TILEPROP INT_R_X19Y91 INT_TILE_Y 58 TILEPROP INT_R_X19Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y91 IS_DCM_TILE 0 TILEPROP INT_R_X19Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y91 NAME INT_R_X19Y91 TILEPROP INT_R_X19Y91 NUM_ARCS 3737 TILEPROP INT_R_X19Y91 NUM_SITES 1 TILEPROP INT_R_X19Y91 ROW 61 TILEPROP INT_R_X19Y91 SLR_REGION_ID 0 TILEPROP INT_R_X19Y91 TILE_PATTERN_IDX 5746 TILEPROP INT_R_X19Y91 TILE_TYPE INT_R TILEPROP INT_R_X19Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y91 TILE_X -11424 TILEPROP INT_R_X19Y91 TILE_Y 53824 TILEPROP INT_R_X19Y91 TYPE INT_R TILEPROP INT_R_X19Y92 CLASS tile TILEPROP INT_R_X19Y92 COLUMN 50 TILEPROP INT_R_X19Y92 DEVICE_ID 0 TILEPROP INT_R_X19Y92 FIRST_SITE_ID 5837 TILEPROP INT_R_X19Y92 GRID_POINT_X 50 TILEPROP INT_R_X19Y92 GRID_POINT_Y 60 TILEPROP INT_R_X19Y92 INDEX 6950 TILEPROP INT_R_X19Y92 INT_TILE_X 19 TILEPROP INT_R_X19Y92 INT_TILE_Y 57 TILEPROP INT_R_X19Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y92 IS_DCM_TILE 0 TILEPROP INT_R_X19Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y92 NAME INT_R_X19Y92 TILEPROP INT_R_X19Y92 NUM_ARCS 3737 TILEPROP INT_R_X19Y92 NUM_SITES 1 TILEPROP INT_R_X19Y92 ROW 60 TILEPROP INT_R_X19Y92 SLR_REGION_ID 0 TILEPROP INT_R_X19Y92 TILE_PATTERN_IDX 5713 TILEPROP INT_R_X19Y92 TILE_TYPE INT_R TILEPROP INT_R_X19Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y92 TILE_X -11424 TILEPROP INT_R_X19Y92 TILE_Y 57024 TILEPROP INT_R_X19Y92 TYPE INT_R TILEPROP INT_R_X19Y93 CLASS tile TILEPROP INT_R_X19Y93 COLUMN 50 TILEPROP INT_R_X19Y93 DEVICE_ID 0 TILEPROP INT_R_X19Y93 FIRST_SITE_ID 5734 TILEPROP INT_R_X19Y93 GRID_POINT_X 50 TILEPROP INT_R_X19Y93 GRID_POINT_Y 59 TILEPROP INT_R_X19Y93 INDEX 6835 TILEPROP INT_R_X19Y93 INT_TILE_X 19 TILEPROP INT_R_X19Y93 INT_TILE_Y 56 TILEPROP INT_R_X19Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y93 IS_DCM_TILE 0 TILEPROP INT_R_X19Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y93 NAME INT_R_X19Y93 TILEPROP INT_R_X19Y93 NUM_ARCS 3737 TILEPROP INT_R_X19Y93 NUM_SITES 1 TILEPROP INT_R_X19Y93 ROW 59 TILEPROP INT_R_X19Y93 SLR_REGION_ID 0 TILEPROP INT_R_X19Y93 TILE_PATTERN_IDX 5679 TILEPROP INT_R_X19Y93 TILE_TYPE INT_R TILEPROP INT_R_X19Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y93 TILE_X -11424 TILEPROP INT_R_X19Y93 TILE_Y 60224 TILEPROP INT_R_X19Y93 TYPE INT_R TILEPROP INT_R_X19Y94 CLASS tile TILEPROP INT_R_X19Y94 COLUMN 50 TILEPROP INT_R_X19Y94 DEVICE_ID 0 TILEPROP INT_R_X19Y94 FIRST_SITE_ID 5632 TILEPROP INT_R_X19Y94 GRID_POINT_X 50 TILEPROP INT_R_X19Y94 GRID_POINT_Y 58 TILEPROP INT_R_X19Y94 INDEX 6720 TILEPROP INT_R_X19Y94 INT_TILE_X 19 TILEPROP INT_R_X19Y94 INT_TILE_Y 55 TILEPROP INT_R_X19Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y94 IS_DCM_TILE 0 TILEPROP INT_R_X19Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y94 NAME INT_R_X19Y94 TILEPROP INT_R_X19Y94 NUM_ARCS 3737 TILEPROP INT_R_X19Y94 NUM_SITES 1 TILEPROP INT_R_X19Y94 ROW 58 TILEPROP INT_R_X19Y94 SLR_REGION_ID 0 TILEPROP INT_R_X19Y94 TILE_PATTERN_IDX 5645 TILEPROP INT_R_X19Y94 TILE_TYPE INT_R TILEPROP INT_R_X19Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y94 TILE_X -11424 TILEPROP INT_R_X19Y94 TILE_Y 63424 TILEPROP INT_R_X19Y94 TYPE INT_R TILEPROP INT_R_X19Y95 CLASS tile TILEPROP INT_R_X19Y95 COLUMN 50 TILEPROP INT_R_X19Y95 DEVICE_ID 0 TILEPROP INT_R_X19Y95 FIRST_SITE_ID 5523 TILEPROP INT_R_X19Y95 GRID_POINT_X 50 TILEPROP INT_R_X19Y95 GRID_POINT_Y 57 TILEPROP INT_R_X19Y95 INDEX 6605 TILEPROP INT_R_X19Y95 INT_TILE_X 19 TILEPROP INT_R_X19Y95 INT_TILE_Y 54 TILEPROP INT_R_X19Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y95 IS_DCM_TILE 0 TILEPROP INT_R_X19Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y95 NAME INT_R_X19Y95 TILEPROP INT_R_X19Y95 NUM_ARCS 3737 TILEPROP INT_R_X19Y95 NUM_SITES 1 TILEPROP INT_R_X19Y95 ROW 57 TILEPROP INT_R_X19Y95 SLR_REGION_ID 0 TILEPROP INT_R_X19Y95 TILE_PATTERN_IDX 5611 TILEPROP INT_R_X19Y95 TILE_TYPE INT_R TILEPROP INT_R_X19Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y95 TILE_X -11424 TILEPROP INT_R_X19Y95 TILE_Y 66624 TILEPROP INT_R_X19Y95 TYPE INT_R TILEPROP INT_R_X19Y96 CLASS tile TILEPROP INT_R_X19Y96 COLUMN 50 TILEPROP INT_R_X19Y96 DEVICE_ID 0 TILEPROP INT_R_X19Y96 FIRST_SITE_ID 5417 TILEPROP INT_R_X19Y96 GRID_POINT_X 50 TILEPROP INT_R_X19Y96 GRID_POINT_Y 56 TILEPROP INT_R_X19Y96 INDEX 6490 TILEPROP INT_R_X19Y96 INT_TILE_X 19 TILEPROP INT_R_X19Y96 INT_TILE_Y 53 TILEPROP INT_R_X19Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y96 IS_DCM_TILE 0 TILEPROP INT_R_X19Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y96 NAME INT_R_X19Y96 TILEPROP INT_R_X19Y96 NUM_ARCS 3737 TILEPROP INT_R_X19Y96 NUM_SITES 1 TILEPROP INT_R_X19Y96 ROW 56 TILEPROP INT_R_X19Y96 SLR_REGION_ID 0 TILEPROP INT_R_X19Y96 TILE_PATTERN_IDX 5576 TILEPROP INT_R_X19Y96 TILE_TYPE INT_R TILEPROP INT_R_X19Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y96 TILE_X -11424 TILEPROP INT_R_X19Y96 TILE_Y 69824 TILEPROP INT_R_X19Y96 TYPE INT_R TILEPROP INT_R_X19Y97 CLASS tile TILEPROP INT_R_X19Y97 COLUMN 50 TILEPROP INT_R_X19Y97 DEVICE_ID 0 TILEPROP INT_R_X19Y97 FIRST_SITE_ID 5317 TILEPROP INT_R_X19Y97 GRID_POINT_X 50 TILEPROP INT_R_X19Y97 GRID_POINT_Y 55 TILEPROP INT_R_X19Y97 INDEX 6375 TILEPROP INT_R_X19Y97 INT_TILE_X 19 TILEPROP INT_R_X19Y97 INT_TILE_Y 52 TILEPROP INT_R_X19Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y97 IS_DCM_TILE 0 TILEPROP INT_R_X19Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y97 NAME INT_R_X19Y97 TILEPROP INT_R_X19Y97 NUM_ARCS 3737 TILEPROP INT_R_X19Y97 NUM_SITES 1 TILEPROP INT_R_X19Y97 ROW 55 TILEPROP INT_R_X19Y97 SLR_REGION_ID 0 TILEPROP INT_R_X19Y97 TILE_PATTERN_IDX 5542 TILEPROP INT_R_X19Y97 TILE_TYPE INT_R TILEPROP INT_R_X19Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y97 TILE_X -11424 TILEPROP INT_R_X19Y97 TILE_Y 73024 TILEPROP INT_R_X19Y97 TYPE INT_R TILEPROP INT_R_X19Y98 CLASS tile TILEPROP INT_R_X19Y98 COLUMN 50 TILEPROP INT_R_X19Y98 DEVICE_ID 0 TILEPROP INT_R_X19Y98 FIRST_SITE_ID 5217 TILEPROP INT_R_X19Y98 GRID_POINT_X 50 TILEPROP INT_R_X19Y98 GRID_POINT_Y 54 TILEPROP INT_R_X19Y98 INDEX 6260 TILEPROP INT_R_X19Y98 INT_TILE_X 19 TILEPROP INT_R_X19Y98 INT_TILE_Y 51 TILEPROP INT_R_X19Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y98 IS_DCM_TILE 0 TILEPROP INT_R_X19Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y98 NAME INT_R_X19Y98 TILEPROP INT_R_X19Y98 NUM_ARCS 3737 TILEPROP INT_R_X19Y98 NUM_SITES 1 TILEPROP INT_R_X19Y98 ROW 54 TILEPROP INT_R_X19Y98 SLR_REGION_ID 0 TILEPROP INT_R_X19Y98 TILE_PATTERN_IDX 5508 TILEPROP INT_R_X19Y98 TILE_TYPE INT_R TILEPROP INT_R_X19Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y98 TILE_X -11424 TILEPROP INT_R_X19Y98 TILE_Y 76224 TILEPROP INT_R_X19Y98 TYPE INT_R TILEPROP INT_R_X19Y99 CLASS tile TILEPROP INT_R_X19Y99 COLUMN 50 TILEPROP INT_R_X19Y99 DEVICE_ID 0 TILEPROP INT_R_X19Y99 FIRST_SITE_ID 5121 TILEPROP INT_R_X19Y99 GRID_POINT_X 50 TILEPROP INT_R_X19Y99 GRID_POINT_Y 53 TILEPROP INT_R_X19Y99 INDEX 6145 TILEPROP INT_R_X19Y99 INT_TILE_X 19 TILEPROP INT_R_X19Y99 INT_TILE_Y 50 TILEPROP INT_R_X19Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y99 IS_DCM_TILE 0 TILEPROP INT_R_X19Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y99 NAME INT_R_X19Y99 TILEPROP INT_R_X19Y99 NUM_ARCS 3737 TILEPROP INT_R_X19Y99 NUM_SITES 1 TILEPROP INT_R_X19Y99 ROW 53 TILEPROP INT_R_X19Y99 SLR_REGION_ID 0 TILEPROP INT_R_X19Y99 TILE_PATTERN_IDX 5475 TILEPROP INT_R_X19Y99 TILE_TYPE INT_R TILEPROP INT_R_X19Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y99 TILE_X -11424 TILEPROP INT_R_X19Y99 TILE_Y 79424 TILEPROP INT_R_X19Y99 TYPE INT_R TILEPROP INT_R_X19Y100 CLASS tile TILEPROP INT_R_X19Y100 COLUMN 50 TILEPROP INT_R_X19Y100 DEVICE_ID 0 TILEPROP INT_R_X19Y100 FIRST_SITE_ID 5044 TILEPROP INT_R_X19Y100 GRID_POINT_X 50 TILEPROP INT_R_X19Y100 GRID_POINT_Y 51 TILEPROP INT_R_X19Y100 INDEX 5915 TILEPROP INT_R_X19Y100 INT_TILE_X 19 TILEPROP INT_R_X19Y100 INT_TILE_Y 49 TILEPROP INT_R_X19Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y100 IS_DCM_TILE 0 TILEPROP INT_R_X19Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y100 NAME INT_R_X19Y100 TILEPROP INT_R_X19Y100 NUM_ARCS 3737 TILEPROP INT_R_X19Y100 NUM_SITES 1 TILEPROP INT_R_X19Y100 ROW 51 TILEPROP INT_R_X19Y100 SLR_REGION_ID 0 TILEPROP INT_R_X19Y100 TILE_PATTERN_IDX 5443 TILEPROP INT_R_X19Y100 TILE_TYPE INT_R TILEPROP INT_R_X19Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y100 TILE_X -11424 TILEPROP INT_R_X19Y100 TILE_Y 82872 TILEPROP INT_R_X19Y100 TYPE INT_R TILEPROP INT_R_X19Y101 CLASS tile TILEPROP INT_R_X19Y101 COLUMN 50 TILEPROP INT_R_X19Y101 DEVICE_ID 0 TILEPROP INT_R_X19Y101 FIRST_SITE_ID 4946 TILEPROP INT_R_X19Y101 GRID_POINT_X 50 TILEPROP INT_R_X19Y101 GRID_POINT_Y 50 TILEPROP INT_R_X19Y101 INDEX 5800 TILEPROP INT_R_X19Y101 INT_TILE_X 19 TILEPROP INT_R_X19Y101 INT_TILE_Y 48 TILEPROP INT_R_X19Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y101 IS_DCM_TILE 0 TILEPROP INT_R_X19Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y101 NAME INT_R_X19Y101 TILEPROP INT_R_X19Y101 NUM_ARCS 3737 TILEPROP INT_R_X19Y101 NUM_SITES 1 TILEPROP INT_R_X19Y101 ROW 50 TILEPROP INT_R_X19Y101 SLR_REGION_ID 0 TILEPROP INT_R_X19Y101 TILE_PATTERN_IDX 5407 TILEPROP INT_R_X19Y101 TILE_TYPE INT_R TILEPROP INT_R_X19Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y101 TILE_X -11424 TILEPROP INT_R_X19Y101 TILE_Y 86072 TILEPROP INT_R_X19Y101 TYPE INT_R TILEPROP INT_R_X19Y102 CLASS tile TILEPROP INT_R_X19Y102 COLUMN 50 TILEPROP INT_R_X19Y102 DEVICE_ID 0 TILEPROP INT_R_X19Y102 FIRST_SITE_ID 4850 TILEPROP INT_R_X19Y102 GRID_POINT_X 50 TILEPROP INT_R_X19Y102 GRID_POINT_Y 49 TILEPROP INT_R_X19Y102 INDEX 5685 TILEPROP INT_R_X19Y102 INT_TILE_X 19 TILEPROP INT_R_X19Y102 INT_TILE_Y 47 TILEPROP INT_R_X19Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y102 IS_DCM_TILE 0 TILEPROP INT_R_X19Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y102 NAME INT_R_X19Y102 TILEPROP INT_R_X19Y102 NUM_ARCS 3737 TILEPROP INT_R_X19Y102 NUM_SITES 1 TILEPROP INT_R_X19Y102 ROW 49 TILEPROP INT_R_X19Y102 SLR_REGION_ID 0 TILEPROP INT_R_X19Y102 TILE_PATTERN_IDX 5370 TILEPROP INT_R_X19Y102 TILE_TYPE INT_R TILEPROP INT_R_X19Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y102 TILE_X -11424 TILEPROP INT_R_X19Y102 TILE_Y 89272 TILEPROP INT_R_X19Y102 TYPE INT_R TILEPROP INT_R_X19Y103 CLASS tile TILEPROP INT_R_X19Y103 COLUMN 50 TILEPROP INT_R_X19Y103 DEVICE_ID 0 TILEPROP INT_R_X19Y103 FIRST_SITE_ID 4762 TILEPROP INT_R_X19Y103 GRID_POINT_X 50 TILEPROP INT_R_X19Y103 GRID_POINT_Y 48 TILEPROP INT_R_X19Y103 INDEX 5570 TILEPROP INT_R_X19Y103 INT_TILE_X 19 TILEPROP INT_R_X19Y103 INT_TILE_Y 46 TILEPROP INT_R_X19Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y103 IS_DCM_TILE 0 TILEPROP INT_R_X19Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y103 NAME INT_R_X19Y103 TILEPROP INT_R_X19Y103 NUM_ARCS 3737 TILEPROP INT_R_X19Y103 NUM_SITES 1 TILEPROP INT_R_X19Y103 ROW 48 TILEPROP INT_R_X19Y103 SLR_REGION_ID 0 TILEPROP INT_R_X19Y103 TILE_PATTERN_IDX 5334 TILEPROP INT_R_X19Y103 TILE_TYPE INT_R TILEPROP INT_R_X19Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y103 TILE_X -11424 TILEPROP INT_R_X19Y103 TILE_Y 92472 TILEPROP INT_R_X19Y103 TYPE INT_R TILEPROP INT_R_X19Y104 CLASS tile TILEPROP INT_R_X19Y104 COLUMN 50 TILEPROP INT_R_X19Y104 DEVICE_ID 0 TILEPROP INT_R_X19Y104 FIRST_SITE_ID 4666 TILEPROP INT_R_X19Y104 GRID_POINT_X 50 TILEPROP INT_R_X19Y104 GRID_POINT_Y 47 TILEPROP INT_R_X19Y104 INDEX 5455 TILEPROP INT_R_X19Y104 INT_TILE_X 19 TILEPROP INT_R_X19Y104 INT_TILE_Y 45 TILEPROP INT_R_X19Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y104 IS_DCM_TILE 0 TILEPROP INT_R_X19Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y104 NAME INT_R_X19Y104 TILEPROP INT_R_X19Y104 NUM_ARCS 3737 TILEPROP INT_R_X19Y104 NUM_SITES 1 TILEPROP INT_R_X19Y104 ROW 47 TILEPROP INT_R_X19Y104 SLR_REGION_ID 0 TILEPROP INT_R_X19Y104 TILE_PATTERN_IDX 5297 TILEPROP INT_R_X19Y104 TILE_TYPE INT_R TILEPROP INT_R_X19Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y104 TILE_X -11424 TILEPROP INT_R_X19Y104 TILE_Y 95672 TILEPROP INT_R_X19Y104 TYPE INT_R TILEPROP INT_R_X19Y105 CLASS tile TILEPROP INT_R_X19Y105 COLUMN 50 TILEPROP INT_R_X19Y105 DEVICE_ID 0 TILEPROP INT_R_X19Y105 FIRST_SITE_ID 4570 TILEPROP INT_R_X19Y105 GRID_POINT_X 50 TILEPROP INT_R_X19Y105 GRID_POINT_Y 46 TILEPROP INT_R_X19Y105 INDEX 5340 TILEPROP INT_R_X19Y105 INT_TILE_X 19 TILEPROP INT_R_X19Y105 INT_TILE_Y 44 TILEPROP INT_R_X19Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y105 IS_DCM_TILE 0 TILEPROP INT_R_X19Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y105 NAME INT_R_X19Y105 TILEPROP INT_R_X19Y105 NUM_ARCS 3737 TILEPROP INT_R_X19Y105 NUM_SITES 1 TILEPROP INT_R_X19Y105 ROW 46 TILEPROP INT_R_X19Y105 SLR_REGION_ID 0 TILEPROP INT_R_X19Y105 TILE_PATTERN_IDX 5261 TILEPROP INT_R_X19Y105 TILE_TYPE INT_R TILEPROP INT_R_X19Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y105 TILE_X -11424 TILEPROP INT_R_X19Y105 TILE_Y 98872 TILEPROP INT_R_X19Y105 TYPE INT_R TILEPROP INT_R_X19Y106 CLASS tile TILEPROP INT_R_X19Y106 COLUMN 50 TILEPROP INT_R_X19Y106 DEVICE_ID 0 TILEPROP INT_R_X19Y106 FIRST_SITE_ID 4468 TILEPROP INT_R_X19Y106 GRID_POINT_X 50 TILEPROP INT_R_X19Y106 GRID_POINT_Y 45 TILEPROP INT_R_X19Y106 INDEX 5225 TILEPROP INT_R_X19Y106 INT_TILE_X 19 TILEPROP INT_R_X19Y106 INT_TILE_Y 43 TILEPROP INT_R_X19Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y106 IS_DCM_TILE 0 TILEPROP INT_R_X19Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y106 NAME INT_R_X19Y106 TILEPROP INT_R_X19Y106 NUM_ARCS 3737 TILEPROP INT_R_X19Y106 NUM_SITES 1 TILEPROP INT_R_X19Y106 ROW 45 TILEPROP INT_R_X19Y106 SLR_REGION_ID 0 TILEPROP INT_R_X19Y106 TILE_PATTERN_IDX 5224 TILEPROP INT_R_X19Y106 TILE_TYPE INT_R TILEPROP INT_R_X19Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y106 TILE_X -11424 TILEPROP INT_R_X19Y106 TILE_Y 102072 TILEPROP INT_R_X19Y106 TYPE INT_R TILEPROP INT_R_X19Y107 CLASS tile TILEPROP INT_R_X19Y107 COLUMN 50 TILEPROP INT_R_X19Y107 DEVICE_ID 0 TILEPROP INT_R_X19Y107 FIRST_SITE_ID 4380 TILEPROP INT_R_X19Y107 GRID_POINT_X 50 TILEPROP INT_R_X19Y107 GRID_POINT_Y 44 TILEPROP INT_R_X19Y107 INDEX 5110 TILEPROP INT_R_X19Y107 INT_TILE_X 19 TILEPROP INT_R_X19Y107 INT_TILE_Y 42 TILEPROP INT_R_X19Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y107 IS_DCM_TILE 0 TILEPROP INT_R_X19Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y107 NAME INT_R_X19Y107 TILEPROP INT_R_X19Y107 NUM_ARCS 3737 TILEPROP INT_R_X19Y107 NUM_SITES 1 TILEPROP INT_R_X19Y107 ROW 44 TILEPROP INT_R_X19Y107 SLR_REGION_ID 0 TILEPROP INT_R_X19Y107 TILE_PATTERN_IDX 5188 TILEPROP INT_R_X19Y107 TILE_TYPE INT_R TILEPROP INT_R_X19Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y107 TILE_X -11424 TILEPROP INT_R_X19Y107 TILE_Y 105272 TILEPROP INT_R_X19Y107 TYPE INT_R TILEPROP INT_R_X19Y108 CLASS tile TILEPROP INT_R_X19Y108 COLUMN 50 TILEPROP INT_R_X19Y108 DEVICE_ID 0 TILEPROP INT_R_X19Y108 FIRST_SITE_ID 4282 TILEPROP INT_R_X19Y108 GRID_POINT_X 50 TILEPROP INT_R_X19Y108 GRID_POINT_Y 43 TILEPROP INT_R_X19Y108 INDEX 4995 TILEPROP INT_R_X19Y108 INT_TILE_X 19 TILEPROP INT_R_X19Y108 INT_TILE_Y 41 TILEPROP INT_R_X19Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y108 IS_DCM_TILE 0 TILEPROP INT_R_X19Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y108 NAME INT_R_X19Y108 TILEPROP INT_R_X19Y108 NUM_ARCS 3737 TILEPROP INT_R_X19Y108 NUM_SITES 1 TILEPROP INT_R_X19Y108 ROW 43 TILEPROP INT_R_X19Y108 SLR_REGION_ID 0 TILEPROP INT_R_X19Y108 TILE_PATTERN_IDX 5151 TILEPROP INT_R_X19Y108 TILE_TYPE INT_R TILEPROP INT_R_X19Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y108 TILE_X -11424 TILEPROP INT_R_X19Y108 TILE_Y 108472 TILEPROP INT_R_X19Y108 TYPE INT_R TILEPROP INT_R_X19Y109 CLASS tile TILEPROP INT_R_X19Y109 COLUMN 50 TILEPROP INT_R_X19Y109 DEVICE_ID 0 TILEPROP INT_R_X19Y109 FIRST_SITE_ID 4193 TILEPROP INT_R_X19Y109 GRID_POINT_X 50 TILEPROP INT_R_X19Y109 GRID_POINT_Y 42 TILEPROP INT_R_X19Y109 INDEX 4880 TILEPROP INT_R_X19Y109 INT_TILE_X 19 TILEPROP INT_R_X19Y109 INT_TILE_Y 40 TILEPROP INT_R_X19Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y109 IS_DCM_TILE 0 TILEPROP INT_R_X19Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y109 NAME INT_R_X19Y109 TILEPROP INT_R_X19Y109 NUM_ARCS 3737 TILEPROP INT_R_X19Y109 NUM_SITES 1 TILEPROP INT_R_X19Y109 ROW 42 TILEPROP INT_R_X19Y109 SLR_REGION_ID 0 TILEPROP INT_R_X19Y109 TILE_PATTERN_IDX 5115 TILEPROP INT_R_X19Y109 TILE_TYPE INT_R TILEPROP INT_R_X19Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y109 TILE_X -11424 TILEPROP INT_R_X19Y109 TILE_Y 111672 TILEPROP INT_R_X19Y109 TYPE INT_R TILEPROP INT_R_X19Y110 CLASS tile TILEPROP INT_R_X19Y110 COLUMN 50 TILEPROP INT_R_X19Y110 DEVICE_ID 0 TILEPROP INT_R_X19Y110 FIRST_SITE_ID 4093 TILEPROP INT_R_X19Y110 GRID_POINT_X 50 TILEPROP INT_R_X19Y110 GRID_POINT_Y 41 TILEPROP INT_R_X19Y110 INDEX 4765 TILEPROP INT_R_X19Y110 INT_TILE_X 19 TILEPROP INT_R_X19Y110 INT_TILE_Y 39 TILEPROP INT_R_X19Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y110 IS_DCM_TILE 0 TILEPROP INT_R_X19Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y110 NAME INT_R_X19Y110 TILEPROP INT_R_X19Y110 NUM_ARCS 3737 TILEPROP INT_R_X19Y110 NUM_SITES 1 TILEPROP INT_R_X19Y110 ROW 41 TILEPROP INT_R_X19Y110 SLR_REGION_ID 0 TILEPROP INT_R_X19Y110 TILE_PATTERN_IDX 5078 TILEPROP INT_R_X19Y110 TILE_TYPE INT_R TILEPROP INT_R_X19Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y110 TILE_X -11424 TILEPROP INT_R_X19Y110 TILE_Y 114872 TILEPROP INT_R_X19Y110 TYPE INT_R TILEPROP INT_R_X19Y111 CLASS tile TILEPROP INT_R_X19Y111 COLUMN 50 TILEPROP INT_R_X19Y111 DEVICE_ID 0 TILEPROP INT_R_X19Y111 FIRST_SITE_ID 3999 TILEPROP INT_R_X19Y111 GRID_POINT_X 50 TILEPROP INT_R_X19Y111 GRID_POINT_Y 40 TILEPROP INT_R_X19Y111 INDEX 4650 TILEPROP INT_R_X19Y111 INT_TILE_X 19 TILEPROP INT_R_X19Y111 INT_TILE_Y 38 TILEPROP INT_R_X19Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y111 IS_DCM_TILE 0 TILEPROP INT_R_X19Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y111 NAME INT_R_X19Y111 TILEPROP INT_R_X19Y111 NUM_ARCS 3737 TILEPROP INT_R_X19Y111 NUM_SITES 1 TILEPROP INT_R_X19Y111 ROW 40 TILEPROP INT_R_X19Y111 SLR_REGION_ID 0 TILEPROP INT_R_X19Y111 TILE_PATTERN_IDX 5042 TILEPROP INT_R_X19Y111 TILE_TYPE INT_R TILEPROP INT_R_X19Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y111 TILE_X -11424 TILEPROP INT_R_X19Y111 TILE_Y 118072 TILEPROP INT_R_X19Y111 TYPE INT_R TILEPROP INT_R_X19Y112 CLASS tile TILEPROP INT_R_X19Y112 COLUMN 50 TILEPROP INT_R_X19Y112 DEVICE_ID 0 TILEPROP INT_R_X19Y112 FIRST_SITE_ID 3871 TILEPROP INT_R_X19Y112 GRID_POINT_X 50 TILEPROP INT_R_X19Y112 GRID_POINT_Y 39 TILEPROP INT_R_X19Y112 INDEX 4535 TILEPROP INT_R_X19Y112 INT_TILE_X 19 TILEPROP INT_R_X19Y112 INT_TILE_Y 37 TILEPROP INT_R_X19Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y112 IS_DCM_TILE 0 TILEPROP INT_R_X19Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y112 NAME INT_R_X19Y112 TILEPROP INT_R_X19Y112 NUM_ARCS 3737 TILEPROP INT_R_X19Y112 NUM_SITES 1 TILEPROP INT_R_X19Y112 ROW 39 TILEPROP INT_R_X19Y112 SLR_REGION_ID 0 TILEPROP INT_R_X19Y112 TILE_PATTERN_IDX 5004 TILEPROP INT_R_X19Y112 TILE_TYPE INT_R TILEPROP INT_R_X19Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y112 TILE_X -11424 TILEPROP INT_R_X19Y112 TILE_Y 121272 TILEPROP INT_R_X19Y112 TYPE INT_R TILEPROP INT_R_X19Y113 CLASS tile TILEPROP INT_R_X19Y113 COLUMN 50 TILEPROP INT_R_X19Y113 DEVICE_ID 0 TILEPROP INT_R_X19Y113 FIRST_SITE_ID 3783 TILEPROP INT_R_X19Y113 GRID_POINT_X 50 TILEPROP INT_R_X19Y113 GRID_POINT_Y 38 TILEPROP INT_R_X19Y113 INDEX 4420 TILEPROP INT_R_X19Y113 INT_TILE_X 19 TILEPROP INT_R_X19Y113 INT_TILE_Y 36 TILEPROP INT_R_X19Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y113 IS_DCM_TILE 0 TILEPROP INT_R_X19Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y113 NAME INT_R_X19Y113 TILEPROP INT_R_X19Y113 NUM_ARCS 3737 TILEPROP INT_R_X19Y113 NUM_SITES 1 TILEPROP INT_R_X19Y113 ROW 38 TILEPROP INT_R_X19Y113 SLR_REGION_ID 0 TILEPROP INT_R_X19Y113 TILE_PATTERN_IDX 4968 TILEPROP INT_R_X19Y113 TILE_TYPE INT_R TILEPROP INT_R_X19Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y113 TILE_X -11424 TILEPROP INT_R_X19Y113 TILE_Y 124472 TILEPROP INT_R_X19Y113 TYPE INT_R TILEPROP INT_R_X19Y114 CLASS tile TILEPROP INT_R_X19Y114 COLUMN 50 TILEPROP INT_R_X19Y114 DEVICE_ID 0 TILEPROP INT_R_X19Y114 FIRST_SITE_ID 3687 TILEPROP INT_R_X19Y114 GRID_POINT_X 50 TILEPROP INT_R_X19Y114 GRID_POINT_Y 37 TILEPROP INT_R_X19Y114 INDEX 4305 TILEPROP INT_R_X19Y114 INT_TILE_X 19 TILEPROP INT_R_X19Y114 INT_TILE_Y 35 TILEPROP INT_R_X19Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y114 IS_DCM_TILE 0 TILEPROP INT_R_X19Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y114 NAME INT_R_X19Y114 TILEPROP INT_R_X19Y114 NUM_ARCS 3737 TILEPROP INT_R_X19Y114 NUM_SITES 1 TILEPROP INT_R_X19Y114 ROW 37 TILEPROP INT_R_X19Y114 SLR_REGION_ID 0 TILEPROP INT_R_X19Y114 TILE_PATTERN_IDX 4931 TILEPROP INT_R_X19Y114 TILE_TYPE INT_R TILEPROP INT_R_X19Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y114 TILE_X -11424 TILEPROP INT_R_X19Y114 TILE_Y 127672 TILEPROP INT_R_X19Y114 TYPE INT_R TILEPROP INT_R_X19Y115 CLASS tile TILEPROP INT_R_X19Y115 COLUMN 50 TILEPROP INT_R_X19Y115 DEVICE_ID 0 TILEPROP INT_R_X19Y115 FIRST_SITE_ID 3596 TILEPROP INT_R_X19Y115 GRID_POINT_X 50 TILEPROP INT_R_X19Y115 GRID_POINT_Y 36 TILEPROP INT_R_X19Y115 INDEX 4190 TILEPROP INT_R_X19Y115 INT_TILE_X 19 TILEPROP INT_R_X19Y115 INT_TILE_Y 34 TILEPROP INT_R_X19Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y115 IS_DCM_TILE 0 TILEPROP INT_R_X19Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y115 NAME INT_R_X19Y115 TILEPROP INT_R_X19Y115 NUM_ARCS 3737 TILEPROP INT_R_X19Y115 NUM_SITES 1 TILEPROP INT_R_X19Y115 ROW 36 TILEPROP INT_R_X19Y115 SLR_REGION_ID 0 TILEPROP INT_R_X19Y115 TILE_PATTERN_IDX 4895 TILEPROP INT_R_X19Y115 TILE_TYPE INT_R TILEPROP INT_R_X19Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y115 TILE_X -11424 TILEPROP INT_R_X19Y115 TILE_Y 130872 TILEPROP INT_R_X19Y115 TYPE INT_R TILEPROP INT_R_X19Y116 CLASS tile TILEPROP INT_R_X19Y116 COLUMN 50 TILEPROP INT_R_X19Y116 DEVICE_ID 0 TILEPROP INT_R_X19Y116 FIRST_SITE_ID 3489 TILEPROP INT_R_X19Y116 GRID_POINT_X 50 TILEPROP INT_R_X19Y116 GRID_POINT_Y 35 TILEPROP INT_R_X19Y116 INDEX 4075 TILEPROP INT_R_X19Y116 INT_TILE_X 19 TILEPROP INT_R_X19Y116 INT_TILE_Y 33 TILEPROP INT_R_X19Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y116 IS_DCM_TILE 0 TILEPROP INT_R_X19Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y116 NAME INT_R_X19Y116 TILEPROP INT_R_X19Y116 NUM_ARCS 3737 TILEPROP INT_R_X19Y116 NUM_SITES 1 TILEPROP INT_R_X19Y116 ROW 35 TILEPROP INT_R_X19Y116 SLR_REGION_ID 0 TILEPROP INT_R_X19Y116 TILE_PATTERN_IDX 4858 TILEPROP INT_R_X19Y116 TILE_TYPE INT_R TILEPROP INT_R_X19Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y116 TILE_X -11424 TILEPROP INT_R_X19Y116 TILE_Y 134072 TILEPROP INT_R_X19Y116 TYPE INT_R TILEPROP INT_R_X19Y117 CLASS tile TILEPROP INT_R_X19Y117 COLUMN 50 TILEPROP INT_R_X19Y117 DEVICE_ID 0 TILEPROP INT_R_X19Y117 FIRST_SITE_ID 3401 TILEPROP INT_R_X19Y117 GRID_POINT_X 50 TILEPROP INT_R_X19Y117 GRID_POINT_Y 34 TILEPROP INT_R_X19Y117 INDEX 3960 TILEPROP INT_R_X19Y117 INT_TILE_X 19 TILEPROP INT_R_X19Y117 INT_TILE_Y 32 TILEPROP INT_R_X19Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y117 IS_DCM_TILE 0 TILEPROP INT_R_X19Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y117 NAME INT_R_X19Y117 TILEPROP INT_R_X19Y117 NUM_ARCS 3737 TILEPROP INT_R_X19Y117 NUM_SITES 1 TILEPROP INT_R_X19Y117 ROW 34 TILEPROP INT_R_X19Y117 SLR_REGION_ID 0 TILEPROP INT_R_X19Y117 TILE_PATTERN_IDX 4822 TILEPROP INT_R_X19Y117 TILE_TYPE INT_R TILEPROP INT_R_X19Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y117 TILE_X -11424 TILEPROP INT_R_X19Y117 TILE_Y 137272 TILEPROP INT_R_X19Y117 TYPE INT_R TILEPROP INT_R_X19Y118 CLASS tile TILEPROP INT_R_X19Y118 COLUMN 50 TILEPROP INT_R_X19Y118 DEVICE_ID 0 TILEPROP INT_R_X19Y118 FIRST_SITE_ID 3301 TILEPROP INT_R_X19Y118 GRID_POINT_X 50 TILEPROP INT_R_X19Y118 GRID_POINT_Y 33 TILEPROP INT_R_X19Y118 INDEX 3845 TILEPROP INT_R_X19Y118 INT_TILE_X 19 TILEPROP INT_R_X19Y118 INT_TILE_Y 31 TILEPROP INT_R_X19Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y118 IS_DCM_TILE 0 TILEPROP INT_R_X19Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y118 NAME INT_R_X19Y118 TILEPROP INT_R_X19Y118 NUM_ARCS 3737 TILEPROP INT_R_X19Y118 NUM_SITES 1 TILEPROP INT_R_X19Y118 ROW 33 TILEPROP INT_R_X19Y118 SLR_REGION_ID 0 TILEPROP INT_R_X19Y118 TILE_PATTERN_IDX 4784 TILEPROP INT_R_X19Y118 TILE_TYPE INT_R TILEPROP INT_R_X19Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y118 TILE_X -11424 TILEPROP INT_R_X19Y118 TILE_Y 140472 TILEPROP INT_R_X19Y118 TYPE INT_R TILEPROP INT_R_X19Y119 CLASS tile TILEPROP INT_R_X19Y119 COLUMN 50 TILEPROP INT_R_X19Y119 DEVICE_ID 0 TILEPROP INT_R_X19Y119 FIRST_SITE_ID 3213 TILEPROP INT_R_X19Y119 GRID_POINT_X 50 TILEPROP INT_R_X19Y119 GRID_POINT_Y 32 TILEPROP INT_R_X19Y119 INDEX 3730 TILEPROP INT_R_X19Y119 INT_TILE_X 19 TILEPROP INT_R_X19Y119 INT_TILE_Y 30 TILEPROP INT_R_X19Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y119 IS_DCM_TILE 0 TILEPROP INT_R_X19Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y119 NAME INT_R_X19Y119 TILEPROP INT_R_X19Y119 NUM_ARCS 3737 TILEPROP INT_R_X19Y119 NUM_SITES 1 TILEPROP INT_R_X19Y119 ROW 32 TILEPROP INT_R_X19Y119 SLR_REGION_ID 0 TILEPROP INT_R_X19Y119 TILE_PATTERN_IDX 4748 TILEPROP INT_R_X19Y119 TILE_TYPE INT_R TILEPROP INT_R_X19Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y119 TILE_X -11424 TILEPROP INT_R_X19Y119 TILE_Y 143672 TILEPROP INT_R_X19Y119 TYPE INT_R TILEPROP INT_R_X19Y120 CLASS tile TILEPROP INT_R_X19Y120 COLUMN 50 TILEPROP INT_R_X19Y120 DEVICE_ID 0 TILEPROP INT_R_X19Y120 FIRST_SITE_ID 3112 TILEPROP INT_R_X19Y120 GRID_POINT_X 50 TILEPROP INT_R_X19Y120 GRID_POINT_Y 31 TILEPROP INT_R_X19Y120 INDEX 3615 TILEPROP INT_R_X19Y120 INT_TILE_X 19 TILEPROP INT_R_X19Y120 INT_TILE_Y 29 TILEPROP INT_R_X19Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y120 IS_DCM_TILE 0 TILEPROP INT_R_X19Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y120 NAME INT_R_X19Y120 TILEPROP INT_R_X19Y120 NUM_ARCS 3737 TILEPROP INT_R_X19Y120 NUM_SITES 1 TILEPROP INT_R_X19Y120 ROW 31 TILEPROP INT_R_X19Y120 SLR_REGION_ID 0 TILEPROP INT_R_X19Y120 TILE_PATTERN_IDX 4711 TILEPROP INT_R_X19Y120 TILE_TYPE INT_R TILEPROP INT_R_X19Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y120 TILE_X -11424 TILEPROP INT_R_X19Y120 TILE_Y 146872 TILEPROP INT_R_X19Y120 TYPE INT_R TILEPROP INT_R_X19Y121 CLASS tile TILEPROP INT_R_X19Y121 COLUMN 50 TILEPROP INT_R_X19Y121 DEVICE_ID 0 TILEPROP INT_R_X19Y121 FIRST_SITE_ID 3018 TILEPROP INT_R_X19Y121 GRID_POINT_X 50 TILEPROP INT_R_X19Y121 GRID_POINT_Y 30 TILEPROP INT_R_X19Y121 INDEX 3500 TILEPROP INT_R_X19Y121 INT_TILE_X 19 TILEPROP INT_R_X19Y121 INT_TILE_Y 28 TILEPROP INT_R_X19Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y121 IS_DCM_TILE 0 TILEPROP INT_R_X19Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y121 NAME INT_R_X19Y121 TILEPROP INT_R_X19Y121 NUM_ARCS 3737 TILEPROP INT_R_X19Y121 NUM_SITES 1 TILEPROP INT_R_X19Y121 ROW 30 TILEPROP INT_R_X19Y121 SLR_REGION_ID 0 TILEPROP INT_R_X19Y121 TILE_PATTERN_IDX 4675 TILEPROP INT_R_X19Y121 TILE_TYPE INT_R TILEPROP INT_R_X19Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y121 TILE_X -11424 TILEPROP INT_R_X19Y121 TILE_Y 150072 TILEPROP INT_R_X19Y121 TYPE INT_R TILEPROP INT_R_X19Y122 CLASS tile TILEPROP INT_R_X19Y122 COLUMN 50 TILEPROP INT_R_X19Y122 DEVICE_ID 0 TILEPROP INT_R_X19Y122 FIRST_SITE_ID 2915 TILEPROP INT_R_X19Y122 GRID_POINT_X 50 TILEPROP INT_R_X19Y122 GRID_POINT_Y 29 TILEPROP INT_R_X19Y122 INDEX 3385 TILEPROP INT_R_X19Y122 INT_TILE_X 19 TILEPROP INT_R_X19Y122 INT_TILE_Y 27 TILEPROP INT_R_X19Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y122 IS_DCM_TILE 0 TILEPROP INT_R_X19Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y122 NAME INT_R_X19Y122 TILEPROP INT_R_X19Y122 NUM_ARCS 3737 TILEPROP INT_R_X19Y122 NUM_SITES 1 TILEPROP INT_R_X19Y122 ROW 29 TILEPROP INT_R_X19Y122 SLR_REGION_ID 0 TILEPROP INT_R_X19Y122 TILE_PATTERN_IDX 4637 TILEPROP INT_R_X19Y122 TILE_TYPE INT_R TILEPROP INT_R_X19Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y122 TILE_X -11424 TILEPROP INT_R_X19Y122 TILE_Y 153272 TILEPROP INT_R_X19Y122 TYPE INT_R TILEPROP INT_R_X19Y123 CLASS tile TILEPROP INT_R_X19Y123 COLUMN 50 TILEPROP INT_R_X19Y123 DEVICE_ID 0 TILEPROP INT_R_X19Y123 FIRST_SITE_ID 2827 TILEPROP INT_R_X19Y123 GRID_POINT_X 50 TILEPROP INT_R_X19Y123 GRID_POINT_Y 28 TILEPROP INT_R_X19Y123 INDEX 3270 TILEPROP INT_R_X19Y123 INT_TILE_X 19 TILEPROP INT_R_X19Y123 INT_TILE_Y 26 TILEPROP INT_R_X19Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y123 IS_DCM_TILE 0 TILEPROP INT_R_X19Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y123 NAME INT_R_X19Y123 TILEPROP INT_R_X19Y123 NUM_ARCS 3737 TILEPROP INT_R_X19Y123 NUM_SITES 1 TILEPROP INT_R_X19Y123 ROW 28 TILEPROP INT_R_X19Y123 SLR_REGION_ID 0 TILEPROP INT_R_X19Y123 TILE_PATTERN_IDX 4601 TILEPROP INT_R_X19Y123 TILE_TYPE INT_R TILEPROP INT_R_X19Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y123 TILE_X -11424 TILEPROP INT_R_X19Y123 TILE_Y 156472 TILEPROP INT_R_X19Y123 TYPE INT_R TILEPROP INT_R_X19Y124 CLASS tile TILEPROP INT_R_X19Y124 COLUMN 50 TILEPROP INT_R_X19Y124 DEVICE_ID 0 TILEPROP INT_R_X19Y124 FIRST_SITE_ID 2731 TILEPROP INT_R_X19Y124 GRID_POINT_X 50 TILEPROP INT_R_X19Y124 GRID_POINT_Y 27 TILEPROP INT_R_X19Y124 INDEX 3155 TILEPROP INT_R_X19Y124 INT_TILE_X 19 TILEPROP INT_R_X19Y124 INT_TILE_Y 25 TILEPROP INT_R_X19Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y124 IS_DCM_TILE 0 TILEPROP INT_R_X19Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y124 NAME INT_R_X19Y124 TILEPROP INT_R_X19Y124 NUM_ARCS 3737 TILEPROP INT_R_X19Y124 NUM_SITES 1 TILEPROP INT_R_X19Y124 ROW 27 TILEPROP INT_R_X19Y124 SLR_REGION_ID 0 TILEPROP INT_R_X19Y124 TILE_PATTERN_IDX 4564 TILEPROP INT_R_X19Y124 TILE_TYPE INT_R TILEPROP INT_R_X19Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y124 TILE_X -11424 TILEPROP INT_R_X19Y124 TILE_Y 159672 TILEPROP INT_R_X19Y124 TYPE INT_R TILEPROP INT_R_X19Y125 CLASS tile TILEPROP INT_R_X19Y125 COLUMN 50 TILEPROP INT_R_X19Y125 DEVICE_ID 0 TILEPROP INT_R_X19Y125 FIRST_SITE_ID 2558 TILEPROP INT_R_X19Y125 GRID_POINT_X 50 TILEPROP INT_R_X19Y125 GRID_POINT_Y 25 TILEPROP INT_R_X19Y125 INDEX 2925 TILEPROP INT_R_X19Y125 INT_TILE_X 19 TILEPROP INT_R_X19Y125 INT_TILE_Y 24 TILEPROP INT_R_X19Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y125 IS_DCM_TILE 0 TILEPROP INT_R_X19Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y125 NAME INT_R_X19Y125 TILEPROP INT_R_X19Y125 NUM_ARCS 3737 TILEPROP INT_R_X19Y125 NUM_SITES 1 TILEPROP INT_R_X19Y125 ROW 25 TILEPROP INT_R_X19Y125 SLR_REGION_ID 0 TILEPROP INT_R_X19Y125 TILE_PATTERN_IDX 4485 TILEPROP INT_R_X19Y125 TILE_TYPE INT_R TILEPROP INT_R_X19Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y125 TILE_X -11424 TILEPROP INT_R_X19Y125 TILE_Y 163896 TILEPROP INT_R_X19Y125 TYPE INT_R TILEPROP INT_R_X19Y126 CLASS tile TILEPROP INT_R_X19Y126 COLUMN 50 TILEPROP INT_R_X19Y126 DEVICE_ID 0 TILEPROP INT_R_X19Y126 FIRST_SITE_ID 2448 TILEPROP INT_R_X19Y126 GRID_POINT_X 50 TILEPROP INT_R_X19Y126 GRID_POINT_Y 24 TILEPROP INT_R_X19Y126 INDEX 2810 TILEPROP INT_R_X19Y126 INT_TILE_X 19 TILEPROP INT_R_X19Y126 INT_TILE_Y 23 TILEPROP INT_R_X19Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y126 IS_DCM_TILE 0 TILEPROP INT_R_X19Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y126 NAME INT_R_X19Y126 TILEPROP INT_R_X19Y126 NUM_ARCS 3737 TILEPROP INT_R_X19Y126 NUM_SITES 1 TILEPROP INT_R_X19Y126 ROW 24 TILEPROP INT_R_X19Y126 SLR_REGION_ID 0 TILEPROP INT_R_X19Y126 TILE_PATTERN_IDX 4446 TILEPROP INT_R_X19Y126 TILE_TYPE INT_R TILEPROP INT_R_X19Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y126 TILE_X -11424 TILEPROP INT_R_X19Y126 TILE_Y 167096 TILEPROP INT_R_X19Y126 TYPE INT_R TILEPROP INT_R_X19Y127 CLASS tile TILEPROP INT_R_X19Y127 COLUMN 50 TILEPROP INT_R_X19Y127 DEVICE_ID 0 TILEPROP INT_R_X19Y127 FIRST_SITE_ID 2352 TILEPROP INT_R_X19Y127 GRID_POINT_X 50 TILEPROP INT_R_X19Y127 GRID_POINT_Y 23 TILEPROP INT_R_X19Y127 INDEX 2695 TILEPROP INT_R_X19Y127 INT_TILE_X 19 TILEPROP INT_R_X19Y127 INT_TILE_Y 22 TILEPROP INT_R_X19Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y127 IS_DCM_TILE 0 TILEPROP INT_R_X19Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y127 NAME INT_R_X19Y127 TILEPROP INT_R_X19Y127 NUM_ARCS 3737 TILEPROP INT_R_X19Y127 NUM_SITES 1 TILEPROP INT_R_X19Y127 ROW 23 TILEPROP INT_R_X19Y127 SLR_REGION_ID 0 TILEPROP INT_R_X19Y127 TILE_PATTERN_IDX 4408 TILEPROP INT_R_X19Y127 TILE_TYPE INT_R TILEPROP INT_R_X19Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y127 TILE_X -11424 TILEPROP INT_R_X19Y127 TILE_Y 170296 TILEPROP INT_R_X19Y127 TYPE INT_R TILEPROP INT_R_X19Y128 CLASS tile TILEPROP INT_R_X19Y128 COLUMN 50 TILEPROP INT_R_X19Y128 DEVICE_ID 0 TILEPROP INT_R_X19Y128 FIRST_SITE_ID 2248 TILEPROP INT_R_X19Y128 GRID_POINT_X 50 TILEPROP INT_R_X19Y128 GRID_POINT_Y 22 TILEPROP INT_R_X19Y128 INDEX 2580 TILEPROP INT_R_X19Y128 INT_TILE_X 19 TILEPROP INT_R_X19Y128 INT_TILE_Y 21 TILEPROP INT_R_X19Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y128 IS_DCM_TILE 0 TILEPROP INT_R_X19Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y128 NAME INT_R_X19Y128 TILEPROP INT_R_X19Y128 NUM_ARCS 3737 TILEPROP INT_R_X19Y128 NUM_SITES 1 TILEPROP INT_R_X19Y128 ROW 22 TILEPROP INT_R_X19Y128 SLR_REGION_ID 0 TILEPROP INT_R_X19Y128 TILE_PATTERN_IDX 4369 TILEPROP INT_R_X19Y128 TILE_TYPE INT_R TILEPROP INT_R_X19Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y128 TILE_X -11424 TILEPROP INT_R_X19Y128 TILE_Y 173496 TILEPROP INT_R_X19Y128 TYPE INT_R TILEPROP INT_R_X19Y129 CLASS tile TILEPROP INT_R_X19Y129 COLUMN 50 TILEPROP INT_R_X19Y129 DEVICE_ID 0 TILEPROP INT_R_X19Y129 FIRST_SITE_ID 2152 TILEPROP INT_R_X19Y129 GRID_POINT_X 50 TILEPROP INT_R_X19Y129 GRID_POINT_Y 21 TILEPROP INT_R_X19Y129 INDEX 2465 TILEPROP INT_R_X19Y129 INT_TILE_X 19 TILEPROP INT_R_X19Y129 INT_TILE_Y 20 TILEPROP INT_R_X19Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y129 IS_DCM_TILE 0 TILEPROP INT_R_X19Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y129 NAME INT_R_X19Y129 TILEPROP INT_R_X19Y129 NUM_ARCS 3737 TILEPROP INT_R_X19Y129 NUM_SITES 1 TILEPROP INT_R_X19Y129 ROW 21 TILEPROP INT_R_X19Y129 SLR_REGION_ID 0 TILEPROP INT_R_X19Y129 TILE_PATTERN_IDX 4331 TILEPROP INT_R_X19Y129 TILE_TYPE INT_R TILEPROP INT_R_X19Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y129 TILE_X -11424 TILEPROP INT_R_X19Y129 TILE_Y 176696 TILEPROP INT_R_X19Y129 TYPE INT_R TILEPROP INT_R_X19Y130 CLASS tile TILEPROP INT_R_X19Y130 COLUMN 50 TILEPROP INT_R_X19Y130 DEVICE_ID 0 TILEPROP INT_R_X19Y130 FIRST_SITE_ID 2036 TILEPROP INT_R_X19Y130 GRID_POINT_X 50 TILEPROP INT_R_X19Y130 GRID_POINT_Y 20 TILEPROP INT_R_X19Y130 INDEX 2350 TILEPROP INT_R_X19Y130 INT_TILE_X 19 TILEPROP INT_R_X19Y130 INT_TILE_Y 19 TILEPROP INT_R_X19Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y130 IS_DCM_TILE 0 TILEPROP INT_R_X19Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y130 NAME INT_R_X19Y130 TILEPROP INT_R_X19Y130 NUM_ARCS 3737 TILEPROP INT_R_X19Y130 NUM_SITES 1 TILEPROP INT_R_X19Y130 ROW 20 TILEPROP INT_R_X19Y130 SLR_REGION_ID 0 TILEPROP INT_R_X19Y130 TILE_PATTERN_IDX 4291 TILEPROP INT_R_X19Y130 TILE_TYPE INT_R TILEPROP INT_R_X19Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y130 TILE_X -11424 TILEPROP INT_R_X19Y130 TILE_Y 179896 TILEPROP INT_R_X19Y130 TYPE INT_R TILEPROP INT_R_X19Y131 CLASS tile TILEPROP INT_R_X19Y131 COLUMN 50 TILEPROP INT_R_X19Y131 DEVICE_ID 0 TILEPROP INT_R_X19Y131 FIRST_SITE_ID 1934 TILEPROP INT_R_X19Y131 GRID_POINT_X 50 TILEPROP INT_R_X19Y131 GRID_POINT_Y 19 TILEPROP INT_R_X19Y131 INDEX 2235 TILEPROP INT_R_X19Y131 INT_TILE_X 19 TILEPROP INT_R_X19Y131 INT_TILE_Y 18 TILEPROP INT_R_X19Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y131 IS_DCM_TILE 0 TILEPROP INT_R_X19Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y131 NAME INT_R_X19Y131 TILEPROP INT_R_X19Y131 NUM_ARCS 3737 TILEPROP INT_R_X19Y131 NUM_SITES 1 TILEPROP INT_R_X19Y131 ROW 19 TILEPROP INT_R_X19Y131 SLR_REGION_ID 0 TILEPROP INT_R_X19Y131 TILE_PATTERN_IDX 4253 TILEPROP INT_R_X19Y131 TILE_TYPE INT_R TILEPROP INT_R_X19Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y131 TILE_X -11424 TILEPROP INT_R_X19Y131 TILE_Y 183096 TILEPROP INT_R_X19Y131 TYPE INT_R TILEPROP INT_R_X19Y132 CLASS tile TILEPROP INT_R_X19Y132 COLUMN 50 TILEPROP INT_R_X19Y132 DEVICE_ID 0 TILEPROP INT_R_X19Y132 FIRST_SITE_ID 1828 TILEPROP INT_R_X19Y132 GRID_POINT_X 50 TILEPROP INT_R_X19Y132 GRID_POINT_Y 18 TILEPROP INT_R_X19Y132 INDEX 2120 TILEPROP INT_R_X19Y132 INT_TILE_X 19 TILEPROP INT_R_X19Y132 INT_TILE_Y 17 TILEPROP INT_R_X19Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y132 IS_DCM_TILE 0 TILEPROP INT_R_X19Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y132 NAME INT_R_X19Y132 TILEPROP INT_R_X19Y132 NUM_ARCS 3737 TILEPROP INT_R_X19Y132 NUM_SITES 1 TILEPROP INT_R_X19Y132 ROW 18 TILEPROP INT_R_X19Y132 SLR_REGION_ID 0 TILEPROP INT_R_X19Y132 TILE_PATTERN_IDX 4214 TILEPROP INT_R_X19Y132 TILE_TYPE INT_R TILEPROP INT_R_X19Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y132 TILE_X -11424 TILEPROP INT_R_X19Y132 TILE_Y 186296 TILEPROP INT_R_X19Y132 TYPE INT_R TILEPROP INT_R_X19Y133 CLASS tile TILEPROP INT_R_X19Y133 COLUMN 50 TILEPROP INT_R_X19Y133 DEVICE_ID 0 TILEPROP INT_R_X19Y133 FIRST_SITE_ID 1727 TILEPROP INT_R_X19Y133 GRID_POINT_X 50 TILEPROP INT_R_X19Y133 GRID_POINT_Y 17 TILEPROP INT_R_X19Y133 INDEX 2005 TILEPROP INT_R_X19Y133 INT_TILE_X 19 TILEPROP INT_R_X19Y133 INT_TILE_Y 16 TILEPROP INT_R_X19Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y133 IS_DCM_TILE 0 TILEPROP INT_R_X19Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y133 NAME INT_R_X19Y133 TILEPROP INT_R_X19Y133 NUM_ARCS 3737 TILEPROP INT_R_X19Y133 NUM_SITES 1 TILEPROP INT_R_X19Y133 ROW 17 TILEPROP INT_R_X19Y133 SLR_REGION_ID 0 TILEPROP INT_R_X19Y133 TILE_PATTERN_IDX 4176 TILEPROP INT_R_X19Y133 TILE_TYPE INT_R TILEPROP INT_R_X19Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y133 TILE_X -11424 TILEPROP INT_R_X19Y133 TILE_Y 189496 TILEPROP INT_R_X19Y133 TYPE INT_R TILEPROP INT_R_X19Y134 CLASS tile TILEPROP INT_R_X19Y134 COLUMN 50 TILEPROP INT_R_X19Y134 DEVICE_ID 0 TILEPROP INT_R_X19Y134 FIRST_SITE_ID 1623 TILEPROP INT_R_X19Y134 GRID_POINT_X 50 TILEPROP INT_R_X19Y134 GRID_POINT_Y 16 TILEPROP INT_R_X19Y134 INDEX 1890 TILEPROP INT_R_X19Y134 INT_TILE_X 19 TILEPROP INT_R_X19Y134 INT_TILE_Y 15 TILEPROP INT_R_X19Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y134 IS_DCM_TILE 0 TILEPROP INT_R_X19Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y134 NAME INT_R_X19Y134 TILEPROP INT_R_X19Y134 NUM_ARCS 3737 TILEPROP INT_R_X19Y134 NUM_SITES 1 TILEPROP INT_R_X19Y134 ROW 16 TILEPROP INT_R_X19Y134 SLR_REGION_ID 0 TILEPROP INT_R_X19Y134 TILE_PATTERN_IDX 4137 TILEPROP INT_R_X19Y134 TILE_TYPE INT_R TILEPROP INT_R_X19Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y134 TILE_X -11424 TILEPROP INT_R_X19Y134 TILE_Y 192696 TILEPROP INT_R_X19Y134 TYPE INT_R TILEPROP INT_R_X19Y135 CLASS tile TILEPROP INT_R_X19Y135 COLUMN 50 TILEPROP INT_R_X19Y135 DEVICE_ID 0 TILEPROP INT_R_X19Y135 FIRST_SITE_ID 1521 TILEPROP INT_R_X19Y135 GRID_POINT_X 50 TILEPROP INT_R_X19Y135 GRID_POINT_Y 15 TILEPROP INT_R_X19Y135 INDEX 1775 TILEPROP INT_R_X19Y135 INT_TILE_X 19 TILEPROP INT_R_X19Y135 INT_TILE_Y 14 TILEPROP INT_R_X19Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y135 IS_DCM_TILE 0 TILEPROP INT_R_X19Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y135 NAME INT_R_X19Y135 TILEPROP INT_R_X19Y135 NUM_ARCS 3737 TILEPROP INT_R_X19Y135 NUM_SITES 1 TILEPROP INT_R_X19Y135 ROW 15 TILEPROP INT_R_X19Y135 SLR_REGION_ID 0 TILEPROP INT_R_X19Y135 TILE_PATTERN_IDX 4099 TILEPROP INT_R_X19Y135 TILE_TYPE INT_R TILEPROP INT_R_X19Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y135 TILE_X -11424 TILEPROP INT_R_X19Y135 TILE_Y 195896 TILEPROP INT_R_X19Y135 TYPE INT_R TILEPROP INT_R_X19Y136 CLASS tile TILEPROP INT_R_X19Y136 COLUMN 50 TILEPROP INT_R_X19Y136 DEVICE_ID 0 TILEPROP INT_R_X19Y136 FIRST_SITE_ID 1379 TILEPROP INT_R_X19Y136 GRID_POINT_X 50 TILEPROP INT_R_X19Y136 GRID_POINT_Y 14 TILEPROP INT_R_X19Y136 INDEX 1660 TILEPROP INT_R_X19Y136 INT_TILE_X 19 TILEPROP INT_R_X19Y136 INT_TILE_Y 13 TILEPROP INT_R_X19Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y136 IS_DCM_TILE 0 TILEPROP INT_R_X19Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y136 NAME INT_R_X19Y136 TILEPROP INT_R_X19Y136 NUM_ARCS 3737 TILEPROP INT_R_X19Y136 NUM_SITES 1 TILEPROP INT_R_X19Y136 ROW 14 TILEPROP INT_R_X19Y136 SLR_REGION_ID 0 TILEPROP INT_R_X19Y136 TILE_PATTERN_IDX 4060 TILEPROP INT_R_X19Y136 TILE_TYPE INT_R TILEPROP INT_R_X19Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y136 TILE_X -11424 TILEPROP INT_R_X19Y136 TILE_Y 199096 TILEPROP INT_R_X19Y136 TYPE INT_R TILEPROP INT_R_X19Y137 CLASS tile TILEPROP INT_R_X19Y137 COLUMN 50 TILEPROP INT_R_X19Y137 DEVICE_ID 0 TILEPROP INT_R_X19Y137 FIRST_SITE_ID 1283 TILEPROP INT_R_X19Y137 GRID_POINT_X 50 TILEPROP INT_R_X19Y137 GRID_POINT_Y 13 TILEPROP INT_R_X19Y137 INDEX 1545 TILEPROP INT_R_X19Y137 INT_TILE_X 19 TILEPROP INT_R_X19Y137 INT_TILE_Y 12 TILEPROP INT_R_X19Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y137 IS_DCM_TILE 0 TILEPROP INT_R_X19Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y137 NAME INT_R_X19Y137 TILEPROP INT_R_X19Y137 NUM_ARCS 3737 TILEPROP INT_R_X19Y137 NUM_SITES 1 TILEPROP INT_R_X19Y137 ROW 13 TILEPROP INT_R_X19Y137 SLR_REGION_ID 0 TILEPROP INT_R_X19Y137 TILE_PATTERN_IDX 4022 TILEPROP INT_R_X19Y137 TILE_TYPE INT_R TILEPROP INT_R_X19Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y137 TILE_X -11424 TILEPROP INT_R_X19Y137 TILE_Y 202296 TILEPROP INT_R_X19Y137 TYPE INT_R TILEPROP INT_R_X19Y138 CLASS tile TILEPROP INT_R_X19Y138 COLUMN 50 TILEPROP INT_R_X19Y138 DEVICE_ID 0 TILEPROP INT_R_X19Y138 FIRST_SITE_ID 1179 TILEPROP INT_R_X19Y138 GRID_POINT_X 50 TILEPROP INT_R_X19Y138 GRID_POINT_Y 12 TILEPROP INT_R_X19Y138 INDEX 1430 TILEPROP INT_R_X19Y138 INT_TILE_X 19 TILEPROP INT_R_X19Y138 INT_TILE_Y 11 TILEPROP INT_R_X19Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y138 IS_DCM_TILE 0 TILEPROP INT_R_X19Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y138 NAME INT_R_X19Y138 TILEPROP INT_R_X19Y138 NUM_ARCS 3737 TILEPROP INT_R_X19Y138 NUM_SITES 1 TILEPROP INT_R_X19Y138 ROW 12 TILEPROP INT_R_X19Y138 SLR_REGION_ID 0 TILEPROP INT_R_X19Y138 TILE_PATTERN_IDX 3983 TILEPROP INT_R_X19Y138 TILE_TYPE INT_R TILEPROP INT_R_X19Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y138 TILE_X -11424 TILEPROP INT_R_X19Y138 TILE_Y 205496 TILEPROP INT_R_X19Y138 TYPE INT_R TILEPROP INT_R_X19Y139 CLASS tile TILEPROP INT_R_X19Y139 COLUMN 50 TILEPROP INT_R_X19Y139 DEVICE_ID 0 TILEPROP INT_R_X19Y139 FIRST_SITE_ID 1083 TILEPROP INT_R_X19Y139 GRID_POINT_X 50 TILEPROP INT_R_X19Y139 GRID_POINT_Y 11 TILEPROP INT_R_X19Y139 INDEX 1315 TILEPROP INT_R_X19Y139 INT_TILE_X 19 TILEPROP INT_R_X19Y139 INT_TILE_Y 10 TILEPROP INT_R_X19Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y139 IS_DCM_TILE 0 TILEPROP INT_R_X19Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y139 NAME INT_R_X19Y139 TILEPROP INT_R_X19Y139 NUM_ARCS 3737 TILEPROP INT_R_X19Y139 NUM_SITES 1 TILEPROP INT_R_X19Y139 ROW 11 TILEPROP INT_R_X19Y139 SLR_REGION_ID 0 TILEPROP INT_R_X19Y139 TILE_PATTERN_IDX 3945 TILEPROP INT_R_X19Y139 TILE_TYPE INT_R TILEPROP INT_R_X19Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y139 TILE_X -11424 TILEPROP INT_R_X19Y139 TILE_Y 208696 TILEPROP INT_R_X19Y139 TYPE INT_R TILEPROP INT_R_X19Y140 CLASS tile TILEPROP INT_R_X19Y140 COLUMN 50 TILEPROP INT_R_X19Y140 DEVICE_ID 0 TILEPROP INT_R_X19Y140 FIRST_SITE_ID 973 TILEPROP INT_R_X19Y140 GRID_POINT_X 50 TILEPROP INT_R_X19Y140 GRID_POINT_Y 10 TILEPROP INT_R_X19Y140 INDEX 1200 TILEPROP INT_R_X19Y140 INT_TILE_X 19 TILEPROP INT_R_X19Y140 INT_TILE_Y 9 TILEPROP INT_R_X19Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y140 IS_DCM_TILE 0 TILEPROP INT_R_X19Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y140 NAME INT_R_X19Y140 TILEPROP INT_R_X19Y140 NUM_ARCS 3737 TILEPROP INT_R_X19Y140 NUM_SITES 1 TILEPROP INT_R_X19Y140 ROW 10 TILEPROP INT_R_X19Y140 SLR_REGION_ID 0 TILEPROP INT_R_X19Y140 TILE_PATTERN_IDX 3906 TILEPROP INT_R_X19Y140 TILE_TYPE INT_R TILEPROP INT_R_X19Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y140 TILE_X -11424 TILEPROP INT_R_X19Y140 TILE_Y 211896 TILEPROP INT_R_X19Y140 TYPE INT_R TILEPROP INT_R_X19Y141 CLASS tile TILEPROP INT_R_X19Y141 COLUMN 50 TILEPROP INT_R_X19Y141 DEVICE_ID 0 TILEPROP INT_R_X19Y141 FIRST_SITE_ID 871 TILEPROP INT_R_X19Y141 GRID_POINT_X 50 TILEPROP INT_R_X19Y141 GRID_POINT_Y 9 TILEPROP INT_R_X19Y141 INDEX 1085 TILEPROP INT_R_X19Y141 INT_TILE_X 19 TILEPROP INT_R_X19Y141 INT_TILE_Y 8 TILEPROP INT_R_X19Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y141 IS_DCM_TILE 0 TILEPROP INT_R_X19Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y141 NAME INT_R_X19Y141 TILEPROP INT_R_X19Y141 NUM_ARCS 3737 TILEPROP INT_R_X19Y141 NUM_SITES 1 TILEPROP INT_R_X19Y141 ROW 9 TILEPROP INT_R_X19Y141 SLR_REGION_ID 0 TILEPROP INT_R_X19Y141 TILE_PATTERN_IDX 3868 TILEPROP INT_R_X19Y141 TILE_TYPE INT_R TILEPROP INT_R_X19Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y141 TILE_X -11424 TILEPROP INT_R_X19Y141 TILE_Y 215096 TILEPROP INT_R_X19Y141 TYPE INT_R TILEPROP INT_R_X19Y142 CLASS tile TILEPROP INT_R_X19Y142 COLUMN 50 TILEPROP INT_R_X19Y142 DEVICE_ID 0 TILEPROP INT_R_X19Y142 FIRST_SITE_ID 767 TILEPROP INT_R_X19Y142 GRID_POINT_X 50 TILEPROP INT_R_X19Y142 GRID_POINT_Y 8 TILEPROP INT_R_X19Y142 INDEX 970 TILEPROP INT_R_X19Y142 INT_TILE_X 19 TILEPROP INT_R_X19Y142 INT_TILE_Y 7 TILEPROP INT_R_X19Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y142 IS_DCM_TILE 0 TILEPROP INT_R_X19Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y142 NAME INT_R_X19Y142 TILEPROP INT_R_X19Y142 NUM_ARCS 3737 TILEPROP INT_R_X19Y142 NUM_SITES 1 TILEPROP INT_R_X19Y142 ROW 8 TILEPROP INT_R_X19Y142 SLR_REGION_ID 0 TILEPROP INT_R_X19Y142 TILE_PATTERN_IDX 3829 TILEPROP INT_R_X19Y142 TILE_TYPE INT_R TILEPROP INT_R_X19Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y142 TILE_X -11424 TILEPROP INT_R_X19Y142 TILE_Y 218296 TILEPROP INT_R_X19Y142 TYPE INT_R TILEPROP INT_R_X19Y143 CLASS tile TILEPROP INT_R_X19Y143 COLUMN 50 TILEPROP INT_R_X19Y143 DEVICE_ID 0 TILEPROP INT_R_X19Y143 FIRST_SITE_ID 670 TILEPROP INT_R_X19Y143 GRID_POINT_X 50 TILEPROP INT_R_X19Y143 GRID_POINT_Y 7 TILEPROP INT_R_X19Y143 INDEX 855 TILEPROP INT_R_X19Y143 INT_TILE_X 19 TILEPROP INT_R_X19Y143 INT_TILE_Y 6 TILEPROP INT_R_X19Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y143 IS_DCM_TILE 0 TILEPROP INT_R_X19Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y143 NAME INT_R_X19Y143 TILEPROP INT_R_X19Y143 NUM_ARCS 3737 TILEPROP INT_R_X19Y143 NUM_SITES 1 TILEPROP INT_R_X19Y143 ROW 7 TILEPROP INT_R_X19Y143 SLR_REGION_ID 0 TILEPROP INT_R_X19Y143 TILE_PATTERN_IDX 3791 TILEPROP INT_R_X19Y143 TILE_TYPE INT_R TILEPROP INT_R_X19Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y143 TILE_X -11424 TILEPROP INT_R_X19Y143 TILE_Y 221496 TILEPROP INT_R_X19Y143 TYPE INT_R TILEPROP INT_R_X19Y144 CLASS tile TILEPROP INT_R_X19Y144 COLUMN 50 TILEPROP INT_R_X19Y144 DEVICE_ID 0 TILEPROP INT_R_X19Y144 FIRST_SITE_ID 559 TILEPROP INT_R_X19Y144 GRID_POINT_X 50 TILEPROP INT_R_X19Y144 GRID_POINT_Y 6 TILEPROP INT_R_X19Y144 INDEX 740 TILEPROP INT_R_X19Y144 INT_TILE_X 19 TILEPROP INT_R_X19Y144 INT_TILE_Y 5 TILEPROP INT_R_X19Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y144 IS_DCM_TILE 0 TILEPROP INT_R_X19Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y144 NAME INT_R_X19Y144 TILEPROP INT_R_X19Y144 NUM_ARCS 3737 TILEPROP INT_R_X19Y144 NUM_SITES 1 TILEPROP INT_R_X19Y144 ROW 6 TILEPROP INT_R_X19Y144 SLR_REGION_ID 0 TILEPROP INT_R_X19Y144 TILE_PATTERN_IDX 3752 TILEPROP INT_R_X19Y144 TILE_TYPE INT_R TILEPROP INT_R_X19Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y144 TILE_X -11424 TILEPROP INT_R_X19Y144 TILE_Y 224696 TILEPROP INT_R_X19Y144 TYPE INT_R TILEPROP INT_R_X19Y145 CLASS tile TILEPROP INT_R_X19Y145 COLUMN 50 TILEPROP INT_R_X19Y145 DEVICE_ID 0 TILEPROP INT_R_X19Y145 FIRST_SITE_ID 457 TILEPROP INT_R_X19Y145 GRID_POINT_X 50 TILEPROP INT_R_X19Y145 GRID_POINT_Y 5 TILEPROP INT_R_X19Y145 INDEX 625 TILEPROP INT_R_X19Y145 INT_TILE_X 19 TILEPROP INT_R_X19Y145 INT_TILE_Y 4 TILEPROP INT_R_X19Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y145 IS_DCM_TILE 0 TILEPROP INT_R_X19Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y145 NAME INT_R_X19Y145 TILEPROP INT_R_X19Y145 NUM_ARCS 3737 TILEPROP INT_R_X19Y145 NUM_SITES 1 TILEPROP INT_R_X19Y145 ROW 5 TILEPROP INT_R_X19Y145 SLR_REGION_ID 0 TILEPROP INT_R_X19Y145 TILE_PATTERN_IDX 3714 TILEPROP INT_R_X19Y145 TILE_TYPE INT_R TILEPROP INT_R_X19Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y145 TILE_X -11424 TILEPROP INT_R_X19Y145 TILE_Y 227896 TILEPROP INT_R_X19Y145 TYPE INT_R TILEPROP INT_R_X19Y146 CLASS tile TILEPROP INT_R_X19Y146 COLUMN 50 TILEPROP INT_R_X19Y146 DEVICE_ID 0 TILEPROP INT_R_X19Y146 FIRST_SITE_ID 347 TILEPROP INT_R_X19Y146 GRID_POINT_X 50 TILEPROP INT_R_X19Y146 GRID_POINT_Y 4 TILEPROP INT_R_X19Y146 INDEX 510 TILEPROP INT_R_X19Y146 INT_TILE_X 19 TILEPROP INT_R_X19Y146 INT_TILE_Y 3 TILEPROP INT_R_X19Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y146 IS_DCM_TILE 0 TILEPROP INT_R_X19Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y146 NAME INT_R_X19Y146 TILEPROP INT_R_X19Y146 NUM_ARCS 3737 TILEPROP INT_R_X19Y146 NUM_SITES 1 TILEPROP INT_R_X19Y146 ROW 4 TILEPROP INT_R_X19Y146 SLR_REGION_ID 0 TILEPROP INT_R_X19Y146 TILE_PATTERN_IDX 3675 TILEPROP INT_R_X19Y146 TILE_TYPE INT_R TILEPROP INT_R_X19Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y146 TILE_X -11424 TILEPROP INT_R_X19Y146 TILE_Y 231096 TILEPROP INT_R_X19Y146 TYPE INT_R TILEPROP INT_R_X19Y147 CLASS tile TILEPROP INT_R_X19Y147 COLUMN 50 TILEPROP INT_R_X19Y147 DEVICE_ID 0 TILEPROP INT_R_X19Y147 FIRST_SITE_ID 251 TILEPROP INT_R_X19Y147 GRID_POINT_X 50 TILEPROP INT_R_X19Y147 GRID_POINT_Y 3 TILEPROP INT_R_X19Y147 INDEX 395 TILEPROP INT_R_X19Y147 INT_TILE_X 19 TILEPROP INT_R_X19Y147 INT_TILE_Y 2 TILEPROP INT_R_X19Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y147 IS_DCM_TILE 0 TILEPROP INT_R_X19Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y147 NAME INT_R_X19Y147 TILEPROP INT_R_X19Y147 NUM_ARCS 3737 TILEPROP INT_R_X19Y147 NUM_SITES 1 TILEPROP INT_R_X19Y147 ROW 3 TILEPROP INT_R_X19Y147 SLR_REGION_ID 0 TILEPROP INT_R_X19Y147 TILE_PATTERN_IDX 3637 TILEPROP INT_R_X19Y147 TILE_TYPE INT_R TILEPROP INT_R_X19Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y147 TILE_X -11424 TILEPROP INT_R_X19Y147 TILE_Y 234296 TILEPROP INT_R_X19Y147 TYPE INT_R TILEPROP INT_R_X19Y148 CLASS tile TILEPROP INT_R_X19Y148 COLUMN 50 TILEPROP INT_R_X19Y148 DEVICE_ID 0 TILEPROP INT_R_X19Y148 FIRST_SITE_ID 147 TILEPROP INT_R_X19Y148 GRID_POINT_X 50 TILEPROP INT_R_X19Y148 GRID_POINT_Y 2 TILEPROP INT_R_X19Y148 INDEX 280 TILEPROP INT_R_X19Y148 INT_TILE_X 19 TILEPROP INT_R_X19Y148 INT_TILE_Y 1 TILEPROP INT_R_X19Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y148 IS_DCM_TILE 0 TILEPROP INT_R_X19Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y148 NAME INT_R_X19Y148 TILEPROP INT_R_X19Y148 NUM_ARCS 3737 TILEPROP INT_R_X19Y148 NUM_SITES 1 TILEPROP INT_R_X19Y148 ROW 2 TILEPROP INT_R_X19Y148 SLR_REGION_ID 0 TILEPROP INT_R_X19Y148 TILE_PATTERN_IDX 3598 TILEPROP INT_R_X19Y148 TILE_TYPE INT_R TILEPROP INT_R_X19Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y148 TILE_X -11424 TILEPROP INT_R_X19Y148 TILE_Y 237496 TILEPROP INT_R_X19Y148 TYPE INT_R TILEPROP INT_R_X19Y149 CLASS tile TILEPROP INT_R_X19Y149 COLUMN 50 TILEPROP INT_R_X19Y149 DEVICE_ID 0 TILEPROP INT_R_X19Y149 FIRST_SITE_ID 51 TILEPROP INT_R_X19Y149 GRID_POINT_X 50 TILEPROP INT_R_X19Y149 GRID_POINT_Y 1 TILEPROP INT_R_X19Y149 INDEX 165 TILEPROP INT_R_X19Y149 INT_TILE_X 19 TILEPROP INT_R_X19Y149 INT_TILE_Y 0 TILEPROP INT_R_X19Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X19Y149 IS_DCM_TILE 0 TILEPROP INT_R_X19Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X19Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X19Y149 NAME INT_R_X19Y149 TILEPROP INT_R_X19Y149 NUM_ARCS 3737 TILEPROP INT_R_X19Y149 NUM_SITES 1 TILEPROP INT_R_X19Y149 ROW 1 TILEPROP INT_R_X19Y149 SLR_REGION_ID 0 TILEPROP INT_R_X19Y149 TILE_PATTERN_IDX 3560 TILEPROP INT_R_X19Y149 TILE_TYPE INT_R TILEPROP INT_R_X19Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X19Y149 TILE_X -11424 TILEPROP INT_R_X19Y149 TILE_Y 240696 TILEPROP INT_R_X19Y149 TYPE INT_R TILEPROP INT_R_X1Y0 CLASS tile TILEPROP INT_R_X1Y0 COLUMN 5 TILEPROP INT_R_X1Y0 DEVICE_ID 0 TILEPROP INT_R_X1Y0 FIRST_SITE_ID 15707 TILEPROP INT_R_X1Y0 GRID_POINT_X 5 TILEPROP INT_R_X1Y0 GRID_POINT_Y 155 TILEPROP INT_R_X1Y0 INDEX 17830 TILEPROP INT_R_X1Y0 INT_TILE_X 1 TILEPROP INT_R_X1Y0 INT_TILE_Y 149 TILEPROP INT_R_X1Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y0 IS_DCM_TILE 0 TILEPROP INT_R_X1Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y0 NAME INT_R_X1Y0 TILEPROP INT_R_X1Y0 NUM_ARCS 3737 TILEPROP INT_R_X1Y0 NUM_SITES 1 TILEPROP INT_R_X1Y0 ROW 155 TILEPROP INT_R_X1Y0 SLR_REGION_ID 0 TILEPROP INT_R_X1Y0 TILE_PATTERN_IDX 3500 TILEPROP INT_R_X1Y0 TILE_TYPE INT_R TILEPROP INT_R_X1Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y0 TILE_X -93710 TILEPROP INT_R_X1Y0 TILE_Y -239672 TILEPROP INT_R_X1Y0 TYPE INT_R TILEPROP INT_R_X1Y1 CLASS tile TILEPROP INT_R_X1Y1 COLUMN 5 TILEPROP INT_R_X1Y1 DEVICE_ID 0 TILEPROP INT_R_X1Y1 FIRST_SITE_ID 15602 TILEPROP INT_R_X1Y1 GRID_POINT_X 5 TILEPROP INT_R_X1Y1 GRID_POINT_Y 154 TILEPROP INT_R_X1Y1 INDEX 17715 TILEPROP INT_R_X1Y1 INT_TILE_X 1 TILEPROP INT_R_X1Y1 INT_TILE_Y 148 TILEPROP INT_R_X1Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y1 IS_DCM_TILE 0 TILEPROP INT_R_X1Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y1 NAME INT_R_X1Y1 TILEPROP INT_R_X1Y1 NUM_ARCS 3737 TILEPROP INT_R_X1Y1 NUM_SITES 1 TILEPROP INT_R_X1Y1 ROW 154 TILEPROP INT_R_X1Y1 SLR_REGION_ID 0 TILEPROP INT_R_X1Y1 TILE_PATTERN_IDX 3462 TILEPROP INT_R_X1Y1 TILE_TYPE INT_R TILEPROP INT_R_X1Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y1 TILE_X -93710 TILEPROP INT_R_X1Y1 TILE_Y -236472 TILEPROP INT_R_X1Y1 TYPE INT_R TILEPROP INT_R_X1Y2 CLASS tile TILEPROP INT_R_X1Y2 COLUMN 5 TILEPROP INT_R_X1Y2 DEVICE_ID 0 TILEPROP INT_R_X1Y2 FIRST_SITE_ID 15502 TILEPROP INT_R_X1Y2 GRID_POINT_X 5 TILEPROP INT_R_X1Y2 GRID_POINT_Y 153 TILEPROP INT_R_X1Y2 INDEX 17600 TILEPROP INT_R_X1Y2 INT_TILE_X 1 TILEPROP INT_R_X1Y2 INT_TILE_Y 147 TILEPROP INT_R_X1Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y2 IS_DCM_TILE 0 TILEPROP INT_R_X1Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y2 NAME INT_R_X1Y2 TILEPROP INT_R_X1Y2 NUM_ARCS 3737 TILEPROP INT_R_X1Y2 NUM_SITES 1 TILEPROP INT_R_X1Y2 ROW 153 TILEPROP INT_R_X1Y2 SLR_REGION_ID 0 TILEPROP INT_R_X1Y2 TILE_PATTERN_IDX 3426 TILEPROP INT_R_X1Y2 TILE_TYPE INT_R TILEPROP INT_R_X1Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y2 TILE_X -93710 TILEPROP INT_R_X1Y2 TILE_Y -233272 TILEPROP INT_R_X1Y2 TYPE INT_R TILEPROP INT_R_X1Y3 CLASS tile TILEPROP INT_R_X1Y3 COLUMN 5 TILEPROP INT_R_X1Y3 DEVICE_ID 0 TILEPROP INT_R_X1Y3 FIRST_SITE_ID 15402 TILEPROP INT_R_X1Y3 GRID_POINT_X 5 TILEPROP INT_R_X1Y3 GRID_POINT_Y 152 TILEPROP INT_R_X1Y3 INDEX 17485 TILEPROP INT_R_X1Y3 INT_TILE_X 1 TILEPROP INT_R_X1Y3 INT_TILE_Y 146 TILEPROP INT_R_X1Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y3 IS_DCM_TILE 0 TILEPROP INT_R_X1Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y3 NAME INT_R_X1Y3 TILEPROP INT_R_X1Y3 NUM_ARCS 3737 TILEPROP INT_R_X1Y3 NUM_SITES 1 TILEPROP INT_R_X1Y3 ROW 152 TILEPROP INT_R_X1Y3 SLR_REGION_ID 0 TILEPROP INT_R_X1Y3 TILE_PATTERN_IDX 3389 TILEPROP INT_R_X1Y3 TILE_TYPE INT_R TILEPROP INT_R_X1Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y3 TILE_X -93710 TILEPROP INT_R_X1Y3 TILE_Y -230072 TILEPROP INT_R_X1Y3 TYPE INT_R TILEPROP INT_R_X1Y4 CLASS tile TILEPROP INT_R_X1Y4 COLUMN 5 TILEPROP INT_R_X1Y4 DEVICE_ID 0 TILEPROP INT_R_X1Y4 FIRST_SITE_ID 15302 TILEPROP INT_R_X1Y4 GRID_POINT_X 5 TILEPROP INT_R_X1Y4 GRID_POINT_Y 151 TILEPROP INT_R_X1Y4 INDEX 17370 TILEPROP INT_R_X1Y4 INT_TILE_X 1 TILEPROP INT_R_X1Y4 INT_TILE_Y 145 TILEPROP INT_R_X1Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y4 IS_DCM_TILE 0 TILEPROP INT_R_X1Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y4 NAME INT_R_X1Y4 TILEPROP INT_R_X1Y4 NUM_ARCS 3737 TILEPROP INT_R_X1Y4 NUM_SITES 1 TILEPROP INT_R_X1Y4 ROW 151 TILEPROP INT_R_X1Y4 SLR_REGION_ID 0 TILEPROP INT_R_X1Y4 TILE_PATTERN_IDX 3353 TILEPROP INT_R_X1Y4 TILE_TYPE INT_R TILEPROP INT_R_X1Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y4 TILE_X -93710 TILEPROP INT_R_X1Y4 TILE_Y -226872 TILEPROP INT_R_X1Y4 TYPE INT_R TILEPROP INT_R_X1Y5 CLASS tile TILEPROP INT_R_X1Y5 COLUMN 5 TILEPROP INT_R_X1Y5 DEVICE_ID 0 TILEPROP INT_R_X1Y5 FIRST_SITE_ID 15187 TILEPROP INT_R_X1Y5 GRID_POINT_X 5 TILEPROP INT_R_X1Y5 GRID_POINT_Y 150 TILEPROP INT_R_X1Y5 INDEX 17255 TILEPROP INT_R_X1Y5 INT_TILE_X 1 TILEPROP INT_R_X1Y5 INT_TILE_Y 144 TILEPROP INT_R_X1Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y5 IS_DCM_TILE 0 TILEPROP INT_R_X1Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y5 NAME INT_R_X1Y5 TILEPROP INT_R_X1Y5 NUM_ARCS 3737 TILEPROP INT_R_X1Y5 NUM_SITES 1 TILEPROP INT_R_X1Y5 ROW 150 TILEPROP INT_R_X1Y5 SLR_REGION_ID 0 TILEPROP INT_R_X1Y5 TILE_PATTERN_IDX 3314 TILEPROP INT_R_X1Y5 TILE_TYPE INT_R TILEPROP INT_R_X1Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y5 TILE_X -93710 TILEPROP INT_R_X1Y5 TILE_Y -223672 TILEPROP INT_R_X1Y5 TYPE INT_R TILEPROP INT_R_X1Y6 CLASS tile TILEPROP INT_R_X1Y6 COLUMN 5 TILEPROP INT_R_X1Y6 DEVICE_ID 0 TILEPROP INT_R_X1Y6 FIRST_SITE_ID 15087 TILEPROP INT_R_X1Y6 GRID_POINT_X 5 TILEPROP INT_R_X1Y6 GRID_POINT_Y 149 TILEPROP INT_R_X1Y6 INDEX 17140 TILEPROP INT_R_X1Y6 INT_TILE_X 1 TILEPROP INT_R_X1Y6 INT_TILE_Y 143 TILEPROP INT_R_X1Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y6 IS_DCM_TILE 0 TILEPROP INT_R_X1Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y6 NAME INT_R_X1Y6 TILEPROP INT_R_X1Y6 NUM_ARCS 3737 TILEPROP INT_R_X1Y6 NUM_SITES 1 TILEPROP INT_R_X1Y6 ROW 149 TILEPROP INT_R_X1Y6 SLR_REGION_ID 0 TILEPROP INT_R_X1Y6 TILE_PATTERN_IDX 3278 TILEPROP INT_R_X1Y6 TILE_TYPE INT_R TILEPROP INT_R_X1Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y6 TILE_X -93710 TILEPROP INT_R_X1Y6 TILE_Y -220472 TILEPROP INT_R_X1Y6 TYPE INT_R TILEPROP INT_R_X1Y7 CLASS tile TILEPROP INT_R_X1Y7 COLUMN 5 TILEPROP INT_R_X1Y7 DEVICE_ID 0 TILEPROP INT_R_X1Y7 FIRST_SITE_ID 14983 TILEPROP INT_R_X1Y7 GRID_POINT_X 5 TILEPROP INT_R_X1Y7 GRID_POINT_Y 148 TILEPROP INT_R_X1Y7 INDEX 17025 TILEPROP INT_R_X1Y7 INT_TILE_X 1 TILEPROP INT_R_X1Y7 INT_TILE_Y 142 TILEPROP INT_R_X1Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y7 IS_DCM_TILE 0 TILEPROP INT_R_X1Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y7 NAME INT_R_X1Y7 TILEPROP INT_R_X1Y7 NUM_ARCS 3737 TILEPROP INT_R_X1Y7 NUM_SITES 1 TILEPROP INT_R_X1Y7 ROW 148 TILEPROP INT_R_X1Y7 SLR_REGION_ID 0 TILEPROP INT_R_X1Y7 TILE_PATTERN_IDX 3240 TILEPROP INT_R_X1Y7 TILE_TYPE INT_R TILEPROP INT_R_X1Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y7 TILE_X -93710 TILEPROP INT_R_X1Y7 TILE_Y -217272 TILEPROP INT_R_X1Y7 TYPE INT_R TILEPROP INT_R_X1Y8 CLASS tile TILEPROP INT_R_X1Y8 COLUMN 5 TILEPROP INT_R_X1Y8 DEVICE_ID 0 TILEPROP INT_R_X1Y8 FIRST_SITE_ID 14881 TILEPROP INT_R_X1Y8 GRID_POINT_X 5 TILEPROP INT_R_X1Y8 GRID_POINT_Y 147 TILEPROP INT_R_X1Y8 INDEX 16910 TILEPROP INT_R_X1Y8 INT_TILE_X 1 TILEPROP INT_R_X1Y8 INT_TILE_Y 141 TILEPROP INT_R_X1Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y8 IS_DCM_TILE 0 TILEPROP INT_R_X1Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y8 NAME INT_R_X1Y8 TILEPROP INT_R_X1Y8 NUM_ARCS 3737 TILEPROP INT_R_X1Y8 NUM_SITES 1 TILEPROP INT_R_X1Y8 ROW 147 TILEPROP INT_R_X1Y8 SLR_REGION_ID 0 TILEPROP INT_R_X1Y8 TILE_PATTERN_IDX 3203 TILEPROP INT_R_X1Y8 TILE_TYPE INT_R TILEPROP INT_R_X1Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y8 TILE_X -93710 TILEPROP INT_R_X1Y8 TILE_Y -214072 TILEPROP INT_R_X1Y8 TYPE INT_R TILEPROP INT_R_X1Y9 CLASS tile TILEPROP INT_R_X1Y9 COLUMN 5 TILEPROP INT_R_X1Y9 DEVICE_ID 0 TILEPROP INT_R_X1Y9 FIRST_SITE_ID 14781 TILEPROP INT_R_X1Y9 GRID_POINT_X 5 TILEPROP INT_R_X1Y9 GRID_POINT_Y 146 TILEPROP INT_R_X1Y9 INDEX 16795 TILEPROP INT_R_X1Y9 INT_TILE_X 1 TILEPROP INT_R_X1Y9 INT_TILE_Y 140 TILEPROP INT_R_X1Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y9 IS_DCM_TILE 0 TILEPROP INT_R_X1Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y9 NAME INT_R_X1Y9 TILEPROP INT_R_X1Y9 NUM_ARCS 3737 TILEPROP INT_R_X1Y9 NUM_SITES 1 TILEPROP INT_R_X1Y9 ROW 146 TILEPROP INT_R_X1Y9 SLR_REGION_ID 0 TILEPROP INT_R_X1Y9 TILE_PATTERN_IDX 3167 TILEPROP INT_R_X1Y9 TILE_TYPE INT_R TILEPROP INT_R_X1Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y9 TILE_X -93710 TILEPROP INT_R_X1Y9 TILE_Y -210872 TILEPROP INT_R_X1Y9 TYPE INT_R TILEPROP INT_R_X1Y10 CLASS tile TILEPROP INT_R_X1Y10 COLUMN 5 TILEPROP INT_R_X1Y10 DEVICE_ID 0 TILEPROP INT_R_X1Y10 FIRST_SITE_ID 14666 TILEPROP INT_R_X1Y10 GRID_POINT_X 5 TILEPROP INT_R_X1Y10 GRID_POINT_Y 145 TILEPROP INT_R_X1Y10 INDEX 16680 TILEPROP INT_R_X1Y10 INT_TILE_X 1 TILEPROP INT_R_X1Y10 INT_TILE_Y 139 TILEPROP INT_R_X1Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y10 IS_DCM_TILE 0 TILEPROP INT_R_X1Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y10 NAME INT_R_X1Y10 TILEPROP INT_R_X1Y10 NUM_ARCS 3737 TILEPROP INT_R_X1Y10 NUM_SITES 1 TILEPROP INT_R_X1Y10 ROW 145 TILEPROP INT_R_X1Y10 SLR_REGION_ID 0 TILEPROP INT_R_X1Y10 TILE_PATTERN_IDX 3130 TILEPROP INT_R_X1Y10 TILE_TYPE INT_R TILEPROP INT_R_X1Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y10 TILE_X -93710 TILEPROP INT_R_X1Y10 TILE_Y -207672 TILEPROP INT_R_X1Y10 TYPE INT_R TILEPROP INT_R_X1Y11 CLASS tile TILEPROP INT_R_X1Y11 COLUMN 5 TILEPROP INT_R_X1Y11 DEVICE_ID 0 TILEPROP INT_R_X1Y11 FIRST_SITE_ID 14566 TILEPROP INT_R_X1Y11 GRID_POINT_X 5 TILEPROP INT_R_X1Y11 GRID_POINT_Y 144 TILEPROP INT_R_X1Y11 INDEX 16565 TILEPROP INT_R_X1Y11 INT_TILE_X 1 TILEPROP INT_R_X1Y11 INT_TILE_Y 138 TILEPROP INT_R_X1Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y11 IS_DCM_TILE 0 TILEPROP INT_R_X1Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y11 NAME INT_R_X1Y11 TILEPROP INT_R_X1Y11 NUM_ARCS 3737 TILEPROP INT_R_X1Y11 NUM_SITES 1 TILEPROP INT_R_X1Y11 ROW 144 TILEPROP INT_R_X1Y11 SLR_REGION_ID 0 TILEPROP INT_R_X1Y11 TILE_PATTERN_IDX 3094 TILEPROP INT_R_X1Y11 TILE_TYPE INT_R TILEPROP INT_R_X1Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y11 TILE_X -93710 TILEPROP INT_R_X1Y11 TILE_Y -204472 TILEPROP INT_R_X1Y11 TYPE INT_R TILEPROP INT_R_X1Y12 CLASS tile TILEPROP INT_R_X1Y12 COLUMN 5 TILEPROP INT_R_X1Y12 DEVICE_ID 0 TILEPROP INT_R_X1Y12 FIRST_SITE_ID 14434 TILEPROP INT_R_X1Y12 GRID_POINT_X 5 TILEPROP INT_R_X1Y12 GRID_POINT_Y 143 TILEPROP INT_R_X1Y12 INDEX 16450 TILEPROP INT_R_X1Y12 INT_TILE_X 1 TILEPROP INT_R_X1Y12 INT_TILE_Y 137 TILEPROP INT_R_X1Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y12 IS_DCM_TILE 0 TILEPROP INT_R_X1Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y12 NAME INT_R_X1Y12 TILEPROP INT_R_X1Y12 NUM_ARCS 3737 TILEPROP INT_R_X1Y12 NUM_SITES 1 TILEPROP INT_R_X1Y12 ROW 143 TILEPROP INT_R_X1Y12 SLR_REGION_ID 0 TILEPROP INT_R_X1Y12 TILE_PATTERN_IDX 3058 TILEPROP INT_R_X1Y12 TILE_TYPE INT_R TILEPROP INT_R_X1Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y12 TILE_X -93710 TILEPROP INT_R_X1Y12 TILE_Y -201272 TILEPROP INT_R_X1Y12 TYPE INT_R TILEPROP INT_R_X1Y13 CLASS tile TILEPROP INT_R_X1Y13 COLUMN 5 TILEPROP INT_R_X1Y13 DEVICE_ID 0 TILEPROP INT_R_X1Y13 FIRST_SITE_ID 14334 TILEPROP INT_R_X1Y13 GRID_POINT_X 5 TILEPROP INT_R_X1Y13 GRID_POINT_Y 142 TILEPROP INT_R_X1Y13 INDEX 16335 TILEPROP INT_R_X1Y13 INT_TILE_X 1 TILEPROP INT_R_X1Y13 INT_TILE_Y 136 TILEPROP INT_R_X1Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y13 IS_DCM_TILE 0 TILEPROP INT_R_X1Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y13 NAME INT_R_X1Y13 TILEPROP INT_R_X1Y13 NUM_ARCS 3737 TILEPROP INT_R_X1Y13 NUM_SITES 1 TILEPROP INT_R_X1Y13 ROW 142 TILEPROP INT_R_X1Y13 SLR_REGION_ID 0 TILEPROP INT_R_X1Y13 TILE_PATTERN_IDX 3022 TILEPROP INT_R_X1Y13 TILE_TYPE INT_R TILEPROP INT_R_X1Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y13 TILE_X -93710 TILEPROP INT_R_X1Y13 TILE_Y -198072 TILEPROP INT_R_X1Y13 TYPE INT_R TILEPROP INT_R_X1Y14 CLASS tile TILEPROP INT_R_X1Y14 COLUMN 5 TILEPROP INT_R_X1Y14 DEVICE_ID 0 TILEPROP INT_R_X1Y14 FIRST_SITE_ID 14234 TILEPROP INT_R_X1Y14 GRID_POINT_X 5 TILEPROP INT_R_X1Y14 GRID_POINT_Y 141 TILEPROP INT_R_X1Y14 INDEX 16220 TILEPROP INT_R_X1Y14 INT_TILE_X 1 TILEPROP INT_R_X1Y14 INT_TILE_Y 135 TILEPROP INT_R_X1Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y14 IS_DCM_TILE 0 TILEPROP INT_R_X1Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y14 NAME INT_R_X1Y14 TILEPROP INT_R_X1Y14 NUM_ARCS 3737 TILEPROP INT_R_X1Y14 NUM_SITES 1 TILEPROP INT_R_X1Y14 ROW 141 TILEPROP INT_R_X1Y14 SLR_REGION_ID 0 TILEPROP INT_R_X1Y14 TILE_PATTERN_IDX 2987 TILEPROP INT_R_X1Y14 TILE_TYPE INT_R TILEPROP INT_R_X1Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y14 TILE_X -93710 TILEPROP INT_R_X1Y14 TILE_Y -194872 TILEPROP INT_R_X1Y14 TYPE INT_R TILEPROP INT_R_X1Y15 CLASS tile TILEPROP INT_R_X1Y15 COLUMN 5 TILEPROP INT_R_X1Y15 DEVICE_ID 0 TILEPROP INT_R_X1Y15 FIRST_SITE_ID 14119 TILEPROP INT_R_X1Y15 GRID_POINT_X 5 TILEPROP INT_R_X1Y15 GRID_POINT_Y 140 TILEPROP INT_R_X1Y15 INDEX 16105 TILEPROP INT_R_X1Y15 INT_TILE_X 1 TILEPROP INT_R_X1Y15 INT_TILE_Y 134 TILEPROP INT_R_X1Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y15 IS_DCM_TILE 0 TILEPROP INT_R_X1Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y15 NAME INT_R_X1Y15 TILEPROP INT_R_X1Y15 NUM_ARCS 3737 TILEPROP INT_R_X1Y15 NUM_SITES 1 TILEPROP INT_R_X1Y15 ROW 140 TILEPROP INT_R_X1Y15 SLR_REGION_ID 0 TILEPROP INT_R_X1Y15 TILE_PATTERN_IDX 2950 TILEPROP INT_R_X1Y15 TILE_TYPE INT_R TILEPROP INT_R_X1Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y15 TILE_X -93710 TILEPROP INT_R_X1Y15 TILE_Y -191672 TILEPROP INT_R_X1Y15 TYPE INT_R TILEPROP INT_R_X1Y16 CLASS tile TILEPROP INT_R_X1Y16 COLUMN 5 TILEPROP INT_R_X1Y16 DEVICE_ID 0 TILEPROP INT_R_X1Y16 FIRST_SITE_ID 14019 TILEPROP INT_R_X1Y16 GRID_POINT_X 5 TILEPROP INT_R_X1Y16 GRID_POINT_Y 139 TILEPROP INT_R_X1Y16 INDEX 15990 TILEPROP INT_R_X1Y16 INT_TILE_X 1 TILEPROP INT_R_X1Y16 INT_TILE_Y 133 TILEPROP INT_R_X1Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y16 IS_DCM_TILE 0 TILEPROP INT_R_X1Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y16 NAME INT_R_X1Y16 TILEPROP INT_R_X1Y16 NUM_ARCS 3737 TILEPROP INT_R_X1Y16 NUM_SITES 1 TILEPROP INT_R_X1Y16 ROW 139 TILEPROP INT_R_X1Y16 SLR_REGION_ID 0 TILEPROP INT_R_X1Y16 TILE_PATTERN_IDX 2915 TILEPROP INT_R_X1Y16 TILE_TYPE INT_R TILEPROP INT_R_X1Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y16 TILE_X -93710 TILEPROP INT_R_X1Y16 TILE_Y -188472 TILEPROP INT_R_X1Y16 TYPE INT_R TILEPROP INT_R_X1Y17 CLASS tile TILEPROP INT_R_X1Y17 COLUMN 5 TILEPROP INT_R_X1Y17 DEVICE_ID 0 TILEPROP INT_R_X1Y17 FIRST_SITE_ID 13910 TILEPROP INT_R_X1Y17 GRID_POINT_X 5 TILEPROP INT_R_X1Y17 GRID_POINT_Y 138 TILEPROP INT_R_X1Y17 INDEX 15875 TILEPROP INT_R_X1Y17 INT_TILE_X 1 TILEPROP INT_R_X1Y17 INT_TILE_Y 132 TILEPROP INT_R_X1Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y17 IS_DCM_TILE 0 TILEPROP INT_R_X1Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y17 NAME INT_R_X1Y17 TILEPROP INT_R_X1Y17 NUM_ARCS 3737 TILEPROP INT_R_X1Y17 NUM_SITES 1 TILEPROP INT_R_X1Y17 ROW 138 TILEPROP INT_R_X1Y17 SLR_REGION_ID 0 TILEPROP INT_R_X1Y17 TILE_PATTERN_IDX 2875 TILEPROP INT_R_X1Y17 TILE_TYPE INT_R TILEPROP INT_R_X1Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y17 TILE_X -93710 TILEPROP INT_R_X1Y17 TILE_Y -185272 TILEPROP INT_R_X1Y17 TYPE INT_R TILEPROP INT_R_X1Y18 CLASS tile TILEPROP INT_R_X1Y18 COLUMN 5 TILEPROP INT_R_X1Y18 DEVICE_ID 0 TILEPROP INT_R_X1Y18 FIRST_SITE_ID 13810 TILEPROP INT_R_X1Y18 GRID_POINT_X 5 TILEPROP INT_R_X1Y18 GRID_POINT_Y 137 TILEPROP INT_R_X1Y18 INDEX 15760 TILEPROP INT_R_X1Y18 INT_TILE_X 1 TILEPROP INT_R_X1Y18 INT_TILE_Y 131 TILEPROP INT_R_X1Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y18 IS_DCM_TILE 0 TILEPROP INT_R_X1Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y18 NAME INT_R_X1Y18 TILEPROP INT_R_X1Y18 NUM_ARCS 3737 TILEPROP INT_R_X1Y18 NUM_SITES 1 TILEPROP INT_R_X1Y18 ROW 137 TILEPROP INT_R_X1Y18 SLR_REGION_ID 0 TILEPROP INT_R_X1Y18 TILE_PATTERN_IDX 2840 TILEPROP INT_R_X1Y18 TILE_TYPE INT_R TILEPROP INT_R_X1Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y18 TILE_X -93710 TILEPROP INT_R_X1Y18 TILE_Y -182072 TILEPROP INT_R_X1Y18 TYPE INT_R TILEPROP INT_R_X1Y19 CLASS tile TILEPROP INT_R_X1Y19 COLUMN 5 TILEPROP INT_R_X1Y19 DEVICE_ID 0 TILEPROP INT_R_X1Y19 FIRST_SITE_ID 13706 TILEPROP INT_R_X1Y19 GRID_POINT_X 5 TILEPROP INT_R_X1Y19 GRID_POINT_Y 136 TILEPROP INT_R_X1Y19 INDEX 15645 TILEPROP INT_R_X1Y19 INT_TILE_X 1 TILEPROP INT_R_X1Y19 INT_TILE_Y 130 TILEPROP INT_R_X1Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y19 IS_DCM_TILE 0 TILEPROP INT_R_X1Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y19 NAME INT_R_X1Y19 TILEPROP INT_R_X1Y19 NUM_ARCS 3737 TILEPROP INT_R_X1Y19 NUM_SITES 1 TILEPROP INT_R_X1Y19 ROW 136 TILEPROP INT_R_X1Y19 SLR_REGION_ID 0 TILEPROP INT_R_X1Y19 TILE_PATTERN_IDX 2803 TILEPROP INT_R_X1Y19 TILE_TYPE INT_R TILEPROP INT_R_X1Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y19 TILE_X -93710 TILEPROP INT_R_X1Y19 TILE_Y -178872 TILEPROP INT_R_X1Y19 TYPE INT_R TILEPROP INT_R_X1Y20 CLASS tile TILEPROP INT_R_X1Y20 COLUMN 5 TILEPROP INT_R_X1Y20 DEVICE_ID 0 TILEPROP INT_R_X1Y20 FIRST_SITE_ID 13591 TILEPROP INT_R_X1Y20 GRID_POINT_X 5 TILEPROP INT_R_X1Y20 GRID_POINT_Y 135 TILEPROP INT_R_X1Y20 INDEX 15530 TILEPROP INT_R_X1Y20 INT_TILE_X 1 TILEPROP INT_R_X1Y20 INT_TILE_Y 129 TILEPROP INT_R_X1Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y20 IS_DCM_TILE 0 TILEPROP INT_R_X1Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y20 NAME INT_R_X1Y20 TILEPROP INT_R_X1Y20 NUM_ARCS 3737 TILEPROP INT_R_X1Y20 NUM_SITES 1 TILEPROP INT_R_X1Y20 ROW 135 TILEPROP INT_R_X1Y20 SLR_REGION_ID 0 TILEPROP INT_R_X1Y20 TILE_PATTERN_IDX 2767 TILEPROP INT_R_X1Y20 TILE_TYPE INT_R TILEPROP INT_R_X1Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y20 TILE_X -93710 TILEPROP INT_R_X1Y20 TILE_Y -175672 TILEPROP INT_R_X1Y20 TYPE INT_R TILEPROP INT_R_X1Y21 CLASS tile TILEPROP INT_R_X1Y21 COLUMN 5 TILEPROP INT_R_X1Y21 DEVICE_ID 0 TILEPROP INT_R_X1Y21 FIRST_SITE_ID 13491 TILEPROP INT_R_X1Y21 GRID_POINT_X 5 TILEPROP INT_R_X1Y21 GRID_POINT_Y 134 TILEPROP INT_R_X1Y21 INDEX 15415 TILEPROP INT_R_X1Y21 INT_TILE_X 1 TILEPROP INT_R_X1Y21 INT_TILE_Y 128 TILEPROP INT_R_X1Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y21 IS_DCM_TILE 0 TILEPROP INT_R_X1Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y21 NAME INT_R_X1Y21 TILEPROP INT_R_X1Y21 NUM_ARCS 3737 TILEPROP INT_R_X1Y21 NUM_SITES 1 TILEPROP INT_R_X1Y21 ROW 134 TILEPROP INT_R_X1Y21 SLR_REGION_ID 0 TILEPROP INT_R_X1Y21 TILE_PATTERN_IDX 2730 TILEPROP INT_R_X1Y21 TILE_TYPE INT_R TILEPROP INT_R_X1Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y21 TILE_X -93710 TILEPROP INT_R_X1Y21 TILE_Y -172472 TILEPROP INT_R_X1Y21 TYPE INT_R TILEPROP INT_R_X1Y22 CLASS tile TILEPROP INT_R_X1Y22 COLUMN 5 TILEPROP INT_R_X1Y22 DEVICE_ID 0 TILEPROP INT_R_X1Y22 FIRST_SITE_ID 13391 TILEPROP INT_R_X1Y22 GRID_POINT_X 5 TILEPROP INT_R_X1Y22 GRID_POINT_Y 133 TILEPROP INT_R_X1Y22 INDEX 15300 TILEPROP INT_R_X1Y22 INT_TILE_X 1 TILEPROP INT_R_X1Y22 INT_TILE_Y 127 TILEPROP INT_R_X1Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y22 IS_DCM_TILE 0 TILEPROP INT_R_X1Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y22 NAME INT_R_X1Y22 TILEPROP INT_R_X1Y22 NUM_ARCS 3737 TILEPROP INT_R_X1Y22 NUM_SITES 1 TILEPROP INT_R_X1Y22 ROW 133 TILEPROP INT_R_X1Y22 SLR_REGION_ID 0 TILEPROP INT_R_X1Y22 TILE_PATTERN_IDX 2694 TILEPROP INT_R_X1Y22 TILE_TYPE INT_R TILEPROP INT_R_X1Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y22 TILE_X -93710 TILEPROP INT_R_X1Y22 TILE_Y -169272 TILEPROP INT_R_X1Y22 TYPE INT_R TILEPROP INT_R_X1Y23 CLASS tile TILEPROP INT_R_X1Y23 COLUMN 5 TILEPROP INT_R_X1Y23 DEVICE_ID 0 TILEPROP INT_R_X1Y23 FIRST_SITE_ID 13291 TILEPROP INT_R_X1Y23 GRID_POINT_X 5 TILEPROP INT_R_X1Y23 GRID_POINT_Y 132 TILEPROP INT_R_X1Y23 INDEX 15185 TILEPROP INT_R_X1Y23 INT_TILE_X 1 TILEPROP INT_R_X1Y23 INT_TILE_Y 126 TILEPROP INT_R_X1Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y23 IS_DCM_TILE 0 TILEPROP INT_R_X1Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y23 NAME INT_R_X1Y23 TILEPROP INT_R_X1Y23 NUM_ARCS 3737 TILEPROP INT_R_X1Y23 NUM_SITES 1 TILEPROP INT_R_X1Y23 ROW 132 TILEPROP INT_R_X1Y23 SLR_REGION_ID 0 TILEPROP INT_R_X1Y23 TILE_PATTERN_IDX 2657 TILEPROP INT_R_X1Y23 TILE_TYPE INT_R TILEPROP INT_R_X1Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y23 TILE_X -93710 TILEPROP INT_R_X1Y23 TILE_Y -166072 TILEPROP INT_R_X1Y23 TYPE INT_R TILEPROP INT_R_X1Y24 CLASS tile TILEPROP INT_R_X1Y24 COLUMN 5 TILEPROP INT_R_X1Y24 DEVICE_ID 0 TILEPROP INT_R_X1Y24 FIRST_SITE_ID 13191 TILEPROP INT_R_X1Y24 GRID_POINT_X 5 TILEPROP INT_R_X1Y24 GRID_POINT_Y 131 TILEPROP INT_R_X1Y24 INDEX 15070 TILEPROP INT_R_X1Y24 INT_TILE_X 1 TILEPROP INT_R_X1Y24 INT_TILE_Y 125 TILEPROP INT_R_X1Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y24 IS_DCM_TILE 0 TILEPROP INT_R_X1Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y24 NAME INT_R_X1Y24 TILEPROP INT_R_X1Y24 NUM_ARCS 3737 TILEPROP INT_R_X1Y24 NUM_SITES 1 TILEPROP INT_R_X1Y24 ROW 131 TILEPROP INT_R_X1Y24 SLR_REGION_ID 0 TILEPROP INT_R_X1Y24 TILE_PATTERN_IDX 2621 TILEPROP INT_R_X1Y24 TILE_TYPE INT_R TILEPROP INT_R_X1Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y24 TILE_X -93710 TILEPROP INT_R_X1Y24 TILE_Y -162872 TILEPROP INT_R_X1Y24 TYPE INT_R TILEPROP INT_R_X1Y25 CLASS tile TILEPROP INT_R_X1Y25 COLUMN 5 TILEPROP INT_R_X1Y25 DEVICE_ID 0 TILEPROP INT_R_X1Y25 FIRST_SITE_ID 12993 TILEPROP INT_R_X1Y25 GRID_POINT_X 5 TILEPROP INT_R_X1Y25 GRID_POINT_Y 129 TILEPROP INT_R_X1Y25 INDEX 14840 TILEPROP INT_R_X1Y25 INT_TILE_X 1 TILEPROP INT_R_X1Y25 INT_TILE_Y 124 TILEPROP INT_R_X1Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y25 IS_DCM_TILE 0 TILEPROP INT_R_X1Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y25 NAME INT_R_X1Y25 TILEPROP INT_R_X1Y25 NUM_ARCS 3737 TILEPROP INT_R_X1Y25 NUM_SITES 1 TILEPROP INT_R_X1Y25 ROW 129 TILEPROP INT_R_X1Y25 SLR_REGION_ID 0 TILEPROP INT_R_X1Y25 TILE_PATTERN_IDX 2545 TILEPROP INT_R_X1Y25 TILE_TYPE INT_R TILEPROP INT_R_X1Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y25 TILE_X -93710 TILEPROP INT_R_X1Y25 TILE_Y -158648 TILEPROP INT_R_X1Y25 TYPE INT_R TILEPROP INT_R_X1Y26 CLASS tile TILEPROP INT_R_X1Y26 COLUMN 5 TILEPROP INT_R_X1Y26 DEVICE_ID 0 TILEPROP INT_R_X1Y26 FIRST_SITE_ID 12893 TILEPROP INT_R_X1Y26 GRID_POINT_X 5 TILEPROP INT_R_X1Y26 GRID_POINT_Y 128 TILEPROP INT_R_X1Y26 INDEX 14725 TILEPROP INT_R_X1Y26 INT_TILE_X 1 TILEPROP INT_R_X1Y26 INT_TILE_Y 123 TILEPROP INT_R_X1Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y26 IS_DCM_TILE 0 TILEPROP INT_R_X1Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y26 NAME INT_R_X1Y26 TILEPROP INT_R_X1Y26 NUM_ARCS 3737 TILEPROP INT_R_X1Y26 NUM_SITES 1 TILEPROP INT_R_X1Y26 ROW 128 TILEPROP INT_R_X1Y26 SLR_REGION_ID 0 TILEPROP INT_R_X1Y26 TILE_PATTERN_IDX 2509 TILEPROP INT_R_X1Y26 TILE_TYPE INT_R TILEPROP INT_R_X1Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y26 TILE_X -93710 TILEPROP INT_R_X1Y26 TILE_Y -155448 TILEPROP INT_R_X1Y26 TYPE INT_R TILEPROP INT_R_X1Y27 CLASS tile TILEPROP INT_R_X1Y27 COLUMN 5 TILEPROP INT_R_X1Y27 DEVICE_ID 0 TILEPROP INT_R_X1Y27 FIRST_SITE_ID 12793 TILEPROP INT_R_X1Y27 GRID_POINT_X 5 TILEPROP INT_R_X1Y27 GRID_POINT_Y 127 TILEPROP INT_R_X1Y27 INDEX 14610 TILEPROP INT_R_X1Y27 INT_TILE_X 1 TILEPROP INT_R_X1Y27 INT_TILE_Y 122 TILEPROP INT_R_X1Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y27 IS_DCM_TILE 0 TILEPROP INT_R_X1Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y27 NAME INT_R_X1Y27 TILEPROP INT_R_X1Y27 NUM_ARCS 3737 TILEPROP INT_R_X1Y27 NUM_SITES 1 TILEPROP INT_R_X1Y27 ROW 127 TILEPROP INT_R_X1Y27 SLR_REGION_ID 0 TILEPROP INT_R_X1Y27 TILE_PATTERN_IDX 2472 TILEPROP INT_R_X1Y27 TILE_TYPE INT_R TILEPROP INT_R_X1Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y27 TILE_X -93710 TILEPROP INT_R_X1Y27 TILE_Y -152248 TILEPROP INT_R_X1Y27 TYPE INT_R TILEPROP INT_R_X1Y28 CLASS tile TILEPROP INT_R_X1Y28 COLUMN 5 TILEPROP INT_R_X1Y28 DEVICE_ID 0 TILEPROP INT_R_X1Y28 FIRST_SITE_ID 12693 TILEPROP INT_R_X1Y28 GRID_POINT_X 5 TILEPROP INT_R_X1Y28 GRID_POINT_Y 126 TILEPROP INT_R_X1Y28 INDEX 14495 TILEPROP INT_R_X1Y28 INT_TILE_X 1 TILEPROP INT_R_X1Y28 INT_TILE_Y 121 TILEPROP INT_R_X1Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y28 IS_DCM_TILE 0 TILEPROP INT_R_X1Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y28 NAME INT_R_X1Y28 TILEPROP INT_R_X1Y28 NUM_ARCS 3737 TILEPROP INT_R_X1Y28 NUM_SITES 1 TILEPROP INT_R_X1Y28 ROW 126 TILEPROP INT_R_X1Y28 SLR_REGION_ID 0 TILEPROP INT_R_X1Y28 TILE_PATTERN_IDX 2436 TILEPROP INT_R_X1Y28 TILE_TYPE INT_R TILEPROP INT_R_X1Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y28 TILE_X -93710 TILEPROP INT_R_X1Y28 TILE_Y -149048 TILEPROP INT_R_X1Y28 TYPE INT_R TILEPROP INT_R_X1Y29 CLASS tile TILEPROP INT_R_X1Y29 COLUMN 5 TILEPROP INT_R_X1Y29 DEVICE_ID 0 TILEPROP INT_R_X1Y29 FIRST_SITE_ID 12581 TILEPROP INT_R_X1Y29 GRID_POINT_X 5 TILEPROP INT_R_X1Y29 GRID_POINT_Y 125 TILEPROP INT_R_X1Y29 INDEX 14380 TILEPROP INT_R_X1Y29 INT_TILE_X 1 TILEPROP INT_R_X1Y29 INT_TILE_Y 120 TILEPROP INT_R_X1Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y29 IS_DCM_TILE 0 TILEPROP INT_R_X1Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y29 NAME INT_R_X1Y29 TILEPROP INT_R_X1Y29 NUM_ARCS 3737 TILEPROP INT_R_X1Y29 NUM_SITES 1 TILEPROP INT_R_X1Y29 ROW 125 TILEPROP INT_R_X1Y29 SLR_REGION_ID 0 TILEPROP INT_R_X1Y29 TILE_PATTERN_IDX 2398 TILEPROP INT_R_X1Y29 TILE_TYPE INT_R TILEPROP INT_R_X1Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y29 TILE_X -93710 TILEPROP INT_R_X1Y29 TILE_Y -145848 TILEPROP INT_R_X1Y29 TYPE INT_R TILEPROP INT_R_X1Y30 CLASS tile TILEPROP INT_R_X1Y30 COLUMN 5 TILEPROP INT_R_X1Y30 DEVICE_ID 0 TILEPROP INT_R_X1Y30 FIRST_SITE_ID 12453 TILEPROP INT_R_X1Y30 GRID_POINT_X 5 TILEPROP INT_R_X1Y30 GRID_POINT_Y 124 TILEPROP INT_R_X1Y30 INDEX 14265 TILEPROP INT_R_X1Y30 INT_TILE_X 1 TILEPROP INT_R_X1Y30 INT_TILE_Y 119 TILEPROP INT_R_X1Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y30 IS_DCM_TILE 0 TILEPROP INT_R_X1Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y30 NAME INT_R_X1Y30 TILEPROP INT_R_X1Y30 NUM_ARCS 3737 TILEPROP INT_R_X1Y30 NUM_SITES 1 TILEPROP INT_R_X1Y30 ROW 124 TILEPROP INT_R_X1Y30 SLR_REGION_ID 0 TILEPROP INT_R_X1Y30 TILE_PATTERN_IDX 2361 TILEPROP INT_R_X1Y30 TILE_TYPE INT_R TILEPROP INT_R_X1Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y30 TILE_X -93710 TILEPROP INT_R_X1Y30 TILE_Y -142648 TILEPROP INT_R_X1Y30 TYPE INT_R TILEPROP INT_R_X1Y31 CLASS tile TILEPROP INT_R_X1Y31 COLUMN 5 TILEPROP INT_R_X1Y31 DEVICE_ID 0 TILEPROP INT_R_X1Y31 FIRST_SITE_ID 12349 TILEPROP INT_R_X1Y31 GRID_POINT_X 5 TILEPROP INT_R_X1Y31 GRID_POINT_Y 123 TILEPROP INT_R_X1Y31 INDEX 14150 TILEPROP INT_R_X1Y31 INT_TILE_X 1 TILEPROP INT_R_X1Y31 INT_TILE_Y 118 TILEPROP INT_R_X1Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y31 IS_DCM_TILE 0 TILEPROP INT_R_X1Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y31 NAME INT_R_X1Y31 TILEPROP INT_R_X1Y31 NUM_ARCS 3737 TILEPROP INT_R_X1Y31 NUM_SITES 1 TILEPROP INT_R_X1Y31 ROW 123 TILEPROP INT_R_X1Y31 SLR_REGION_ID 0 TILEPROP INT_R_X1Y31 TILE_PATTERN_IDX 2324 TILEPROP INT_R_X1Y31 TILE_TYPE INT_R TILEPROP INT_R_X1Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y31 TILE_X -93710 TILEPROP INT_R_X1Y31 TILE_Y -139448 TILEPROP INT_R_X1Y31 TYPE INT_R TILEPROP INT_R_X1Y32 CLASS tile TILEPROP INT_R_X1Y32 COLUMN 5 TILEPROP INT_R_X1Y32 DEVICE_ID 0 TILEPROP INT_R_X1Y32 FIRST_SITE_ID 12248 TILEPROP INT_R_X1Y32 GRID_POINT_X 5 TILEPROP INT_R_X1Y32 GRID_POINT_Y 122 TILEPROP INT_R_X1Y32 INDEX 14035 TILEPROP INT_R_X1Y32 INT_TILE_X 1 TILEPROP INT_R_X1Y32 INT_TILE_Y 117 TILEPROP INT_R_X1Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y32 IS_DCM_TILE 0 TILEPROP INT_R_X1Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y32 NAME INT_R_X1Y32 TILEPROP INT_R_X1Y32 NUM_ARCS 3737 TILEPROP INT_R_X1Y32 NUM_SITES 1 TILEPROP INT_R_X1Y32 ROW 122 TILEPROP INT_R_X1Y32 SLR_REGION_ID 0 TILEPROP INT_R_X1Y32 TILE_PATTERN_IDX 2287 TILEPROP INT_R_X1Y32 TILE_TYPE INT_R TILEPROP INT_R_X1Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y32 TILE_X -93710 TILEPROP INT_R_X1Y32 TILE_Y -136248 TILEPROP INT_R_X1Y32 TYPE INT_R TILEPROP INT_R_X1Y33 CLASS tile TILEPROP INT_R_X1Y33 COLUMN 5 TILEPROP INT_R_X1Y33 DEVICE_ID 0 TILEPROP INT_R_X1Y33 FIRST_SITE_ID 12148 TILEPROP INT_R_X1Y33 GRID_POINT_X 5 TILEPROP INT_R_X1Y33 GRID_POINT_Y 121 TILEPROP INT_R_X1Y33 INDEX 13920 TILEPROP INT_R_X1Y33 INT_TILE_X 1 TILEPROP INT_R_X1Y33 INT_TILE_Y 116 TILEPROP INT_R_X1Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y33 IS_DCM_TILE 0 TILEPROP INT_R_X1Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y33 NAME INT_R_X1Y33 TILEPROP INT_R_X1Y33 NUM_ARCS 3737 TILEPROP INT_R_X1Y33 NUM_SITES 1 TILEPROP INT_R_X1Y33 ROW 121 TILEPROP INT_R_X1Y33 SLR_REGION_ID 0 TILEPROP INT_R_X1Y33 TILE_PATTERN_IDX 2251 TILEPROP INT_R_X1Y33 TILE_TYPE INT_R TILEPROP INT_R_X1Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y33 TILE_X -93710 TILEPROP INT_R_X1Y33 TILE_Y -133048 TILEPROP INT_R_X1Y33 TYPE INT_R TILEPROP INT_R_X1Y34 CLASS tile TILEPROP INT_R_X1Y34 COLUMN 5 TILEPROP INT_R_X1Y34 DEVICE_ID 0 TILEPROP INT_R_X1Y34 FIRST_SITE_ID 12048 TILEPROP INT_R_X1Y34 GRID_POINT_X 5 TILEPROP INT_R_X1Y34 GRID_POINT_Y 120 TILEPROP INT_R_X1Y34 INDEX 13805 TILEPROP INT_R_X1Y34 INT_TILE_X 1 TILEPROP INT_R_X1Y34 INT_TILE_Y 115 TILEPROP INT_R_X1Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y34 IS_DCM_TILE 0 TILEPROP INT_R_X1Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y34 NAME INT_R_X1Y34 TILEPROP INT_R_X1Y34 NUM_ARCS 3737 TILEPROP INT_R_X1Y34 NUM_SITES 1 TILEPROP INT_R_X1Y34 ROW 120 TILEPROP INT_R_X1Y34 SLR_REGION_ID 0 TILEPROP INT_R_X1Y34 TILE_PATTERN_IDX 2216 TILEPROP INT_R_X1Y34 TILE_TYPE INT_R TILEPROP INT_R_X1Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y34 TILE_X -93710 TILEPROP INT_R_X1Y34 TILE_Y -129848 TILEPROP INT_R_X1Y34 TYPE INT_R TILEPROP INT_R_X1Y35 CLASS tile TILEPROP INT_R_X1Y35 COLUMN 5 TILEPROP INT_R_X1Y35 DEVICE_ID 0 TILEPROP INT_R_X1Y35 FIRST_SITE_ID 11933 TILEPROP INT_R_X1Y35 GRID_POINT_X 5 TILEPROP INT_R_X1Y35 GRID_POINT_Y 119 TILEPROP INT_R_X1Y35 INDEX 13690 TILEPROP INT_R_X1Y35 INT_TILE_X 1 TILEPROP INT_R_X1Y35 INT_TILE_Y 114 TILEPROP INT_R_X1Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y35 IS_DCM_TILE 0 TILEPROP INT_R_X1Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y35 NAME INT_R_X1Y35 TILEPROP INT_R_X1Y35 NUM_ARCS 3737 TILEPROP INT_R_X1Y35 NUM_SITES 1 TILEPROP INT_R_X1Y35 ROW 119 TILEPROP INT_R_X1Y35 SLR_REGION_ID 0 TILEPROP INT_R_X1Y35 TILE_PATTERN_IDX 2179 TILEPROP INT_R_X1Y35 TILE_TYPE INT_R TILEPROP INT_R_X1Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y35 TILE_X -93710 TILEPROP INT_R_X1Y35 TILE_Y -126648 TILEPROP INT_R_X1Y35 TYPE INT_R TILEPROP INT_R_X1Y36 CLASS tile TILEPROP INT_R_X1Y36 COLUMN 5 TILEPROP INT_R_X1Y36 DEVICE_ID 0 TILEPROP INT_R_X1Y36 FIRST_SITE_ID 11801 TILEPROP INT_R_X1Y36 GRID_POINT_X 5 TILEPROP INT_R_X1Y36 GRID_POINT_Y 118 TILEPROP INT_R_X1Y36 INDEX 13575 TILEPROP INT_R_X1Y36 INT_TILE_X 1 TILEPROP INT_R_X1Y36 INT_TILE_Y 113 TILEPROP INT_R_X1Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y36 IS_DCM_TILE 0 TILEPROP INT_R_X1Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y36 NAME INT_R_X1Y36 TILEPROP INT_R_X1Y36 NUM_ARCS 3737 TILEPROP INT_R_X1Y36 NUM_SITES 1 TILEPROP INT_R_X1Y36 ROW 118 TILEPROP INT_R_X1Y36 SLR_REGION_ID 0 TILEPROP INT_R_X1Y36 TILE_PATTERN_IDX 2143 TILEPROP INT_R_X1Y36 TILE_TYPE INT_R TILEPROP INT_R_X1Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y36 TILE_X -93710 TILEPROP INT_R_X1Y36 TILE_Y -123448 TILEPROP INT_R_X1Y36 TYPE INT_R TILEPROP INT_R_X1Y37 CLASS tile TILEPROP INT_R_X1Y37 COLUMN 5 TILEPROP INT_R_X1Y37 DEVICE_ID 0 TILEPROP INT_R_X1Y37 FIRST_SITE_ID 11701 TILEPROP INT_R_X1Y37 GRID_POINT_X 5 TILEPROP INT_R_X1Y37 GRID_POINT_Y 117 TILEPROP INT_R_X1Y37 INDEX 13460 TILEPROP INT_R_X1Y37 INT_TILE_X 1 TILEPROP INT_R_X1Y37 INT_TILE_Y 112 TILEPROP INT_R_X1Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y37 IS_DCM_TILE 0 TILEPROP INT_R_X1Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y37 NAME INT_R_X1Y37 TILEPROP INT_R_X1Y37 NUM_ARCS 3737 TILEPROP INT_R_X1Y37 NUM_SITES 1 TILEPROP INT_R_X1Y37 ROW 117 TILEPROP INT_R_X1Y37 SLR_REGION_ID 0 TILEPROP INT_R_X1Y37 TILE_PATTERN_IDX 2106 TILEPROP INT_R_X1Y37 TILE_TYPE INT_R TILEPROP INT_R_X1Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y37 TILE_X -93710 TILEPROP INT_R_X1Y37 TILE_Y -120248 TILEPROP INT_R_X1Y37 TYPE INT_R TILEPROP INT_R_X1Y38 CLASS tile TILEPROP INT_R_X1Y38 COLUMN 5 TILEPROP INT_R_X1Y38 DEVICE_ID 0 TILEPROP INT_R_X1Y38 FIRST_SITE_ID 11601 TILEPROP INT_R_X1Y38 GRID_POINT_X 5 TILEPROP INT_R_X1Y38 GRID_POINT_Y 116 TILEPROP INT_R_X1Y38 INDEX 13345 TILEPROP INT_R_X1Y38 INT_TILE_X 1 TILEPROP INT_R_X1Y38 INT_TILE_Y 111 TILEPROP INT_R_X1Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y38 IS_DCM_TILE 0 TILEPROP INT_R_X1Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y38 NAME INT_R_X1Y38 TILEPROP INT_R_X1Y38 NUM_ARCS 3737 TILEPROP INT_R_X1Y38 NUM_SITES 1 TILEPROP INT_R_X1Y38 ROW 116 TILEPROP INT_R_X1Y38 SLR_REGION_ID 0 TILEPROP INT_R_X1Y38 TILE_PATTERN_IDX 2071 TILEPROP INT_R_X1Y38 TILE_TYPE INT_R TILEPROP INT_R_X1Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y38 TILE_X -93710 TILEPROP INT_R_X1Y38 TILE_Y -117048 TILEPROP INT_R_X1Y38 TYPE INT_R TILEPROP INT_R_X1Y39 CLASS tile TILEPROP INT_R_X1Y39 COLUMN 5 TILEPROP INT_R_X1Y39 DEVICE_ID 0 TILEPROP INT_R_X1Y39 FIRST_SITE_ID 11501 TILEPROP INT_R_X1Y39 GRID_POINT_X 5 TILEPROP INT_R_X1Y39 GRID_POINT_Y 115 TILEPROP INT_R_X1Y39 INDEX 13230 TILEPROP INT_R_X1Y39 INT_TILE_X 1 TILEPROP INT_R_X1Y39 INT_TILE_Y 110 TILEPROP INT_R_X1Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y39 IS_DCM_TILE 0 TILEPROP INT_R_X1Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y39 NAME INT_R_X1Y39 TILEPROP INT_R_X1Y39 NUM_ARCS 3737 TILEPROP INT_R_X1Y39 NUM_SITES 1 TILEPROP INT_R_X1Y39 ROW 115 TILEPROP INT_R_X1Y39 SLR_REGION_ID 0 TILEPROP INT_R_X1Y39 TILE_PATTERN_IDX 2035 TILEPROP INT_R_X1Y39 TILE_TYPE INT_R TILEPROP INT_R_X1Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y39 TILE_X -93710 TILEPROP INT_R_X1Y39 TILE_Y -113848 TILEPROP INT_R_X1Y39 TYPE INT_R TILEPROP INT_R_X1Y40 CLASS tile TILEPROP INT_R_X1Y40 COLUMN 5 TILEPROP INT_R_X1Y40 DEVICE_ID 0 TILEPROP INT_R_X1Y40 FIRST_SITE_ID 11384 TILEPROP INT_R_X1Y40 GRID_POINT_X 5 TILEPROP INT_R_X1Y40 GRID_POINT_Y 114 TILEPROP INT_R_X1Y40 INDEX 13115 TILEPROP INT_R_X1Y40 INT_TILE_X 1 TILEPROP INT_R_X1Y40 INT_TILE_Y 109 TILEPROP INT_R_X1Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y40 IS_DCM_TILE 0 TILEPROP INT_R_X1Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y40 NAME INT_R_X1Y40 TILEPROP INT_R_X1Y40 NUM_ARCS 3737 TILEPROP INT_R_X1Y40 NUM_SITES 1 TILEPROP INT_R_X1Y40 ROW 114 TILEPROP INT_R_X1Y40 SLR_REGION_ID 0 TILEPROP INT_R_X1Y40 TILE_PATTERN_IDX 1997 TILEPROP INT_R_X1Y40 TILE_TYPE INT_R TILEPROP INT_R_X1Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y40 TILE_X -93710 TILEPROP INT_R_X1Y40 TILE_Y -110648 TILEPROP INT_R_X1Y40 TYPE INT_R TILEPROP INT_R_X1Y41 CLASS tile TILEPROP INT_R_X1Y41 COLUMN 5 TILEPROP INT_R_X1Y41 DEVICE_ID 0 TILEPROP INT_R_X1Y41 FIRST_SITE_ID 11283 TILEPROP INT_R_X1Y41 GRID_POINT_X 5 TILEPROP INT_R_X1Y41 GRID_POINT_Y 113 TILEPROP INT_R_X1Y41 INDEX 13000 TILEPROP INT_R_X1Y41 INT_TILE_X 1 TILEPROP INT_R_X1Y41 INT_TILE_Y 108 TILEPROP INT_R_X1Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y41 IS_DCM_TILE 0 TILEPROP INT_R_X1Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y41 NAME INT_R_X1Y41 TILEPROP INT_R_X1Y41 NUM_ARCS 3737 TILEPROP INT_R_X1Y41 NUM_SITES 1 TILEPROP INT_R_X1Y41 ROW 113 TILEPROP INT_R_X1Y41 SLR_REGION_ID 0 TILEPROP INT_R_X1Y41 TILE_PATTERN_IDX 1959 TILEPROP INT_R_X1Y41 TILE_TYPE INT_R TILEPROP INT_R_X1Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y41 TILE_X -93710 TILEPROP INT_R_X1Y41 TILE_Y -107448 TILEPROP INT_R_X1Y41 TYPE INT_R TILEPROP INT_R_X1Y42 CLASS tile TILEPROP INT_R_X1Y42 COLUMN 5 TILEPROP INT_R_X1Y42 DEVICE_ID 0 TILEPROP INT_R_X1Y42 FIRST_SITE_ID 11181 TILEPROP INT_R_X1Y42 GRID_POINT_X 5 TILEPROP INT_R_X1Y42 GRID_POINT_Y 112 TILEPROP INT_R_X1Y42 INDEX 12885 TILEPROP INT_R_X1Y42 INT_TILE_X 1 TILEPROP INT_R_X1Y42 INT_TILE_Y 107 TILEPROP INT_R_X1Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y42 IS_DCM_TILE 0 TILEPROP INT_R_X1Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y42 NAME INT_R_X1Y42 TILEPROP INT_R_X1Y42 NUM_ARCS 3737 TILEPROP INT_R_X1Y42 NUM_SITES 1 TILEPROP INT_R_X1Y42 ROW 112 TILEPROP INT_R_X1Y42 SLR_REGION_ID 0 TILEPROP INT_R_X1Y42 TILE_PATTERN_IDX 1922 TILEPROP INT_R_X1Y42 TILE_TYPE INT_R TILEPROP INT_R_X1Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y42 TILE_X -93710 TILEPROP INT_R_X1Y42 TILE_Y -104248 TILEPROP INT_R_X1Y42 TYPE INT_R TILEPROP INT_R_X1Y43 CLASS tile TILEPROP INT_R_X1Y43 COLUMN 5 TILEPROP INT_R_X1Y43 DEVICE_ID 0 TILEPROP INT_R_X1Y43 FIRST_SITE_ID 11077 TILEPROP INT_R_X1Y43 GRID_POINT_X 5 TILEPROP INT_R_X1Y43 GRID_POINT_Y 111 TILEPROP INT_R_X1Y43 INDEX 12770 TILEPROP INT_R_X1Y43 INT_TILE_X 1 TILEPROP INT_R_X1Y43 INT_TILE_Y 106 TILEPROP INT_R_X1Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y43 IS_DCM_TILE 0 TILEPROP INT_R_X1Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y43 NAME INT_R_X1Y43 TILEPROP INT_R_X1Y43 NUM_ARCS 3737 TILEPROP INT_R_X1Y43 NUM_SITES 1 TILEPROP INT_R_X1Y43 ROW 111 TILEPROP INT_R_X1Y43 SLR_REGION_ID 0 TILEPROP INT_R_X1Y43 TILE_PATTERN_IDX 1884 TILEPROP INT_R_X1Y43 TILE_TYPE INT_R TILEPROP INT_R_X1Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y43 TILE_X -93710 TILEPROP INT_R_X1Y43 TILE_Y -101048 TILEPROP INT_R_X1Y43 TYPE INT_R TILEPROP INT_R_X1Y44 CLASS tile TILEPROP INT_R_X1Y44 COLUMN 5 TILEPROP INT_R_X1Y44 DEVICE_ID 0 TILEPROP INT_R_X1Y44 FIRST_SITE_ID 10977 TILEPROP INT_R_X1Y44 GRID_POINT_X 5 TILEPROP INT_R_X1Y44 GRID_POINT_Y 110 TILEPROP INT_R_X1Y44 INDEX 12655 TILEPROP INT_R_X1Y44 INT_TILE_X 1 TILEPROP INT_R_X1Y44 INT_TILE_Y 105 TILEPROP INT_R_X1Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y44 IS_DCM_TILE 0 TILEPROP INT_R_X1Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y44 NAME INT_R_X1Y44 TILEPROP INT_R_X1Y44 NUM_ARCS 3737 TILEPROP INT_R_X1Y44 NUM_SITES 1 TILEPROP INT_R_X1Y44 ROW 110 TILEPROP INT_R_X1Y44 SLR_REGION_ID 0 TILEPROP INT_R_X1Y44 TILE_PATTERN_IDX 1848 TILEPROP INT_R_X1Y44 TILE_TYPE INT_R TILEPROP INT_R_X1Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y44 TILE_X -93710 TILEPROP INT_R_X1Y44 TILE_Y -97848 TILEPROP INT_R_X1Y44 TYPE INT_R TILEPROP INT_R_X1Y45 CLASS tile TILEPROP INT_R_X1Y45 COLUMN 5 TILEPROP INT_R_X1Y45 DEVICE_ID 0 TILEPROP INT_R_X1Y45 FIRST_SITE_ID 10861 TILEPROP INT_R_X1Y45 GRID_POINT_X 5 TILEPROP INT_R_X1Y45 GRID_POINT_Y 109 TILEPROP INT_R_X1Y45 INDEX 12540 TILEPROP INT_R_X1Y45 INT_TILE_X 1 TILEPROP INT_R_X1Y45 INT_TILE_Y 104 TILEPROP INT_R_X1Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y45 IS_DCM_TILE 0 TILEPROP INT_R_X1Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y45 NAME INT_R_X1Y45 TILEPROP INT_R_X1Y45 NUM_ARCS 3737 TILEPROP INT_R_X1Y45 NUM_SITES 1 TILEPROP INT_R_X1Y45 ROW 109 TILEPROP INT_R_X1Y45 SLR_REGION_ID 0 TILEPROP INT_R_X1Y45 TILE_PATTERN_IDX 1805 TILEPROP INT_R_X1Y45 TILE_TYPE INT_R TILEPROP INT_R_X1Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y45 TILE_X -93710 TILEPROP INT_R_X1Y45 TILE_Y -94648 TILEPROP INT_R_X1Y45 TYPE INT_R TILEPROP INT_R_X1Y46 CLASS tile TILEPROP INT_R_X1Y46 COLUMN 5 TILEPROP INT_R_X1Y46 DEVICE_ID 0 TILEPROP INT_R_X1Y46 FIRST_SITE_ID 10745 TILEPROP INT_R_X1Y46 GRID_POINT_X 5 TILEPROP INT_R_X1Y46 GRID_POINT_Y 108 TILEPROP INT_R_X1Y46 INDEX 12425 TILEPROP INT_R_X1Y46 INT_TILE_X 1 TILEPROP INT_R_X1Y46 INT_TILE_Y 103 TILEPROP INT_R_X1Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y46 IS_DCM_TILE 0 TILEPROP INT_R_X1Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y46 NAME INT_R_X1Y46 TILEPROP INT_R_X1Y46 NUM_ARCS 3737 TILEPROP INT_R_X1Y46 NUM_SITES 1 TILEPROP INT_R_X1Y46 ROW 108 TILEPROP INT_R_X1Y46 SLR_REGION_ID 0 TILEPROP INT_R_X1Y46 TILE_PATTERN_IDX 1767 TILEPROP INT_R_X1Y46 TILE_TYPE INT_R TILEPROP INT_R_X1Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y46 TILE_X -93710 TILEPROP INT_R_X1Y46 TILE_Y -91448 TILEPROP INT_R_X1Y46 TYPE INT_R TILEPROP INT_R_X1Y47 CLASS tile TILEPROP INT_R_X1Y47 COLUMN 5 TILEPROP INT_R_X1Y47 DEVICE_ID 0 TILEPROP INT_R_X1Y47 FIRST_SITE_ID 10645 TILEPROP INT_R_X1Y47 GRID_POINT_X 5 TILEPROP INT_R_X1Y47 GRID_POINT_Y 107 TILEPROP INT_R_X1Y47 INDEX 12310 TILEPROP INT_R_X1Y47 INT_TILE_X 1 TILEPROP INT_R_X1Y47 INT_TILE_Y 102 TILEPROP INT_R_X1Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y47 IS_DCM_TILE 0 TILEPROP INT_R_X1Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y47 NAME INT_R_X1Y47 TILEPROP INT_R_X1Y47 NUM_ARCS 3737 TILEPROP INT_R_X1Y47 NUM_SITES 1 TILEPROP INT_R_X1Y47 ROW 107 TILEPROP INT_R_X1Y47 SLR_REGION_ID 0 TILEPROP INT_R_X1Y47 TILE_PATTERN_IDX 1728 TILEPROP INT_R_X1Y47 TILE_TYPE INT_R TILEPROP INT_R_X1Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y47 TILE_X -93710 TILEPROP INT_R_X1Y47 TILE_Y -88248 TILEPROP INT_R_X1Y47 TYPE INT_R TILEPROP INT_R_X1Y48 CLASS tile TILEPROP INT_R_X1Y48 COLUMN 5 TILEPROP INT_R_X1Y48 DEVICE_ID 0 TILEPROP INT_R_X1Y48 FIRST_SITE_ID 10545 TILEPROP INT_R_X1Y48 GRID_POINT_X 5 TILEPROP INT_R_X1Y48 GRID_POINT_Y 106 TILEPROP INT_R_X1Y48 INDEX 12195 TILEPROP INT_R_X1Y48 INT_TILE_X 1 TILEPROP INT_R_X1Y48 INT_TILE_Y 101 TILEPROP INT_R_X1Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y48 IS_DCM_TILE 0 TILEPROP INT_R_X1Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y48 NAME INT_R_X1Y48 TILEPROP INT_R_X1Y48 NUM_ARCS 3737 TILEPROP INT_R_X1Y48 NUM_SITES 1 TILEPROP INT_R_X1Y48 ROW 106 TILEPROP INT_R_X1Y48 SLR_REGION_ID 0 TILEPROP INT_R_X1Y48 TILE_PATTERN_IDX 1690 TILEPROP INT_R_X1Y48 TILE_TYPE INT_R TILEPROP INT_R_X1Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y48 TILE_X -93710 TILEPROP INT_R_X1Y48 TILE_Y -85048 TILEPROP INT_R_X1Y48 TYPE INT_R TILEPROP INT_R_X1Y49 CLASS tile TILEPROP INT_R_X1Y49 COLUMN 5 TILEPROP INT_R_X1Y49 DEVICE_ID 0 TILEPROP INT_R_X1Y49 FIRST_SITE_ID 10449 TILEPROP INT_R_X1Y49 GRID_POINT_X 5 TILEPROP INT_R_X1Y49 GRID_POINT_Y 105 TILEPROP INT_R_X1Y49 INDEX 12080 TILEPROP INT_R_X1Y49 INT_TILE_X 1 TILEPROP INT_R_X1Y49 INT_TILE_Y 100 TILEPROP INT_R_X1Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y49 IS_DCM_TILE 0 TILEPROP INT_R_X1Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y49 NAME INT_R_X1Y49 TILEPROP INT_R_X1Y49 NUM_ARCS 3737 TILEPROP INT_R_X1Y49 NUM_SITES 1 TILEPROP INT_R_X1Y49 ROW 105 TILEPROP INT_R_X1Y49 SLR_REGION_ID 0 TILEPROP INT_R_X1Y49 TILE_PATTERN_IDX 1645 TILEPROP INT_R_X1Y49 TILE_TYPE INT_R TILEPROP INT_R_X1Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y49 TILE_X -93710 TILEPROP INT_R_X1Y49 TILE_Y -81848 TILEPROP INT_R_X1Y49 TYPE INT_R TILEPROP INT_R_X1Y50 CLASS tile TILEPROP INT_R_X1Y50 COLUMN 5 TILEPROP INT_R_X1Y50 DEVICE_ID 0 TILEPROP INT_R_X1Y50 FIRST_SITE_ID 10318 TILEPROP INT_R_X1Y50 GRID_POINT_X 5 TILEPROP INT_R_X1Y50 GRID_POINT_Y 103 TILEPROP INT_R_X1Y50 INDEX 11850 TILEPROP INT_R_X1Y50 INT_TILE_X 1 TILEPROP INT_R_X1Y50 INT_TILE_Y 99 TILEPROP INT_R_X1Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y50 IS_DCM_TILE 0 TILEPROP INT_R_X1Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y50 NAME INT_R_X1Y50 TILEPROP INT_R_X1Y50 NUM_ARCS 3737 TILEPROP INT_R_X1Y50 NUM_SITES 1 TILEPROP INT_R_X1Y50 ROW 103 TILEPROP INT_R_X1Y50 SLR_REGION_ID 0 TILEPROP INT_R_X1Y50 TILE_PATTERN_IDX 1598 TILEPROP INT_R_X1Y50 TILE_TYPE INT_R TILEPROP INT_R_X1Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y50 TILE_X -93710 TILEPROP INT_R_X1Y50 TILE_Y -78400 TILEPROP INT_R_X1Y50 TYPE INT_R TILEPROP INT_R_X1Y51 CLASS tile TILEPROP INT_R_X1Y51 COLUMN 5 TILEPROP INT_R_X1Y51 DEVICE_ID 0 TILEPROP INT_R_X1Y51 FIRST_SITE_ID 10214 TILEPROP INT_R_X1Y51 GRID_POINT_X 5 TILEPROP INT_R_X1Y51 GRID_POINT_Y 102 TILEPROP INT_R_X1Y51 INDEX 11735 TILEPROP INT_R_X1Y51 INT_TILE_X 1 TILEPROP INT_R_X1Y51 INT_TILE_Y 98 TILEPROP INT_R_X1Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y51 IS_DCM_TILE 0 TILEPROP INT_R_X1Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y51 NAME INT_R_X1Y51 TILEPROP INT_R_X1Y51 NUM_ARCS 3737 TILEPROP INT_R_X1Y51 NUM_SITES 1 TILEPROP INT_R_X1Y51 ROW 102 TILEPROP INT_R_X1Y51 SLR_REGION_ID 0 TILEPROP INT_R_X1Y51 TILE_PATTERN_IDX 1568 TILEPROP INT_R_X1Y51 TILE_TYPE INT_R TILEPROP INT_R_X1Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y51 TILE_X -93710 TILEPROP INT_R_X1Y51 TILE_Y -75200 TILEPROP INT_R_X1Y51 TYPE INT_R TILEPROP INT_R_X1Y52 CLASS tile TILEPROP INT_R_X1Y52 COLUMN 5 TILEPROP INT_R_X1Y52 DEVICE_ID 0 TILEPROP INT_R_X1Y52 FIRST_SITE_ID 10114 TILEPROP INT_R_X1Y52 GRID_POINT_X 5 TILEPROP INT_R_X1Y52 GRID_POINT_Y 101 TILEPROP INT_R_X1Y52 INDEX 11620 TILEPROP INT_R_X1Y52 INT_TILE_X 1 TILEPROP INT_R_X1Y52 INT_TILE_Y 97 TILEPROP INT_R_X1Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y52 IS_DCM_TILE 0 TILEPROP INT_R_X1Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y52 NAME INT_R_X1Y52 TILEPROP INT_R_X1Y52 NUM_ARCS 3737 TILEPROP INT_R_X1Y52 NUM_SITES 1 TILEPROP INT_R_X1Y52 ROW 101 TILEPROP INT_R_X1Y52 SLR_REGION_ID 0 TILEPROP INT_R_X1Y52 TILE_PATTERN_IDX 1537 TILEPROP INT_R_X1Y52 TILE_TYPE INT_R TILEPROP INT_R_X1Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y52 TILE_X -93710 TILEPROP INT_R_X1Y52 TILE_Y -72000 TILEPROP INT_R_X1Y52 TYPE INT_R TILEPROP INT_R_X1Y53 CLASS tile TILEPROP INT_R_X1Y53 COLUMN 5 TILEPROP INT_R_X1Y53 DEVICE_ID 0 TILEPROP INT_R_X1Y53 FIRST_SITE_ID 10014 TILEPROP INT_R_X1Y53 GRID_POINT_X 5 TILEPROP INT_R_X1Y53 GRID_POINT_Y 100 TILEPROP INT_R_X1Y53 INDEX 11505 TILEPROP INT_R_X1Y53 INT_TILE_X 1 TILEPROP INT_R_X1Y53 INT_TILE_Y 96 TILEPROP INT_R_X1Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y53 IS_DCM_TILE 0 TILEPROP INT_R_X1Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y53 NAME INT_R_X1Y53 TILEPROP INT_R_X1Y53 NUM_ARCS 3737 TILEPROP INT_R_X1Y53 NUM_SITES 1 TILEPROP INT_R_X1Y53 ROW 100 TILEPROP INT_R_X1Y53 SLR_REGION_ID 0 TILEPROP INT_R_X1Y53 TILE_PATTERN_IDX 1508 TILEPROP INT_R_X1Y53 TILE_TYPE INT_R TILEPROP INT_R_X1Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y53 TILE_X -93710 TILEPROP INT_R_X1Y53 TILE_Y -68800 TILEPROP INT_R_X1Y53 TYPE INT_R TILEPROP INT_R_X1Y54 CLASS tile TILEPROP INT_R_X1Y54 COLUMN 5 TILEPROP INT_R_X1Y54 DEVICE_ID 0 TILEPROP INT_R_X1Y54 FIRST_SITE_ID 9914 TILEPROP INT_R_X1Y54 GRID_POINT_X 5 TILEPROP INT_R_X1Y54 GRID_POINT_Y 99 TILEPROP INT_R_X1Y54 INDEX 11390 TILEPROP INT_R_X1Y54 INT_TILE_X 1 TILEPROP INT_R_X1Y54 INT_TILE_Y 95 TILEPROP INT_R_X1Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y54 IS_DCM_TILE 0 TILEPROP INT_R_X1Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y54 NAME INT_R_X1Y54 TILEPROP INT_R_X1Y54 NUM_ARCS 3737 TILEPROP INT_R_X1Y54 NUM_SITES 1 TILEPROP INT_R_X1Y54 ROW 99 TILEPROP INT_R_X1Y54 SLR_REGION_ID 0 TILEPROP INT_R_X1Y54 TILE_PATTERN_IDX 1478 TILEPROP INT_R_X1Y54 TILE_TYPE INT_R TILEPROP INT_R_X1Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y54 TILE_X -93710 TILEPROP INT_R_X1Y54 TILE_Y -65600 TILEPROP INT_R_X1Y54 TYPE INT_R TILEPROP INT_R_X1Y55 CLASS tile TILEPROP INT_R_X1Y55 COLUMN 5 TILEPROP INT_R_X1Y55 DEVICE_ID 0 TILEPROP INT_R_X1Y55 FIRST_SITE_ID 9799 TILEPROP INT_R_X1Y55 GRID_POINT_X 5 TILEPROP INT_R_X1Y55 GRID_POINT_Y 98 TILEPROP INT_R_X1Y55 INDEX 11275 TILEPROP INT_R_X1Y55 INT_TILE_X 1 TILEPROP INT_R_X1Y55 INT_TILE_Y 94 TILEPROP INT_R_X1Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y55 IS_DCM_TILE 0 TILEPROP INT_R_X1Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y55 NAME INT_R_X1Y55 TILEPROP INT_R_X1Y55 NUM_ARCS 3737 TILEPROP INT_R_X1Y55 NUM_SITES 1 TILEPROP INT_R_X1Y55 ROW 98 TILEPROP INT_R_X1Y55 SLR_REGION_ID 0 TILEPROP INT_R_X1Y55 TILE_PATTERN_IDX 1448 TILEPROP INT_R_X1Y55 TILE_TYPE INT_R TILEPROP INT_R_X1Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y55 TILE_X -93710 TILEPROP INT_R_X1Y55 TILE_Y -62400 TILEPROP INT_R_X1Y55 TYPE INT_R TILEPROP INT_R_X1Y56 CLASS tile TILEPROP INT_R_X1Y56 COLUMN 5 TILEPROP INT_R_X1Y56 DEVICE_ID 0 TILEPROP INT_R_X1Y56 FIRST_SITE_ID 9699 TILEPROP INT_R_X1Y56 GRID_POINT_X 5 TILEPROP INT_R_X1Y56 GRID_POINT_Y 97 TILEPROP INT_R_X1Y56 INDEX 11160 TILEPROP INT_R_X1Y56 INT_TILE_X 1 TILEPROP INT_R_X1Y56 INT_TILE_Y 93 TILEPROP INT_R_X1Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y56 IS_DCM_TILE 0 TILEPROP INT_R_X1Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y56 NAME INT_R_X1Y56 TILEPROP INT_R_X1Y56 NUM_ARCS 3737 TILEPROP INT_R_X1Y56 NUM_SITES 1 TILEPROP INT_R_X1Y56 ROW 97 TILEPROP INT_R_X1Y56 SLR_REGION_ID 0 TILEPROP INT_R_X1Y56 TILE_PATTERN_IDX 1418 TILEPROP INT_R_X1Y56 TILE_TYPE INT_R TILEPROP INT_R_X1Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y56 TILE_X -93710 TILEPROP INT_R_X1Y56 TILE_Y -59200 TILEPROP INT_R_X1Y56 TYPE INT_R TILEPROP INT_R_X1Y57 CLASS tile TILEPROP INT_R_X1Y57 COLUMN 5 TILEPROP INT_R_X1Y57 DEVICE_ID 0 TILEPROP INT_R_X1Y57 FIRST_SITE_ID 9595 TILEPROP INT_R_X1Y57 GRID_POINT_X 5 TILEPROP INT_R_X1Y57 GRID_POINT_Y 96 TILEPROP INT_R_X1Y57 INDEX 11045 TILEPROP INT_R_X1Y57 INT_TILE_X 1 TILEPROP INT_R_X1Y57 INT_TILE_Y 92 TILEPROP INT_R_X1Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y57 IS_DCM_TILE 0 TILEPROP INT_R_X1Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y57 NAME INT_R_X1Y57 TILEPROP INT_R_X1Y57 NUM_ARCS 3737 TILEPROP INT_R_X1Y57 NUM_SITES 1 TILEPROP INT_R_X1Y57 ROW 96 TILEPROP INT_R_X1Y57 SLR_REGION_ID 0 TILEPROP INT_R_X1Y57 TILE_PATTERN_IDX 1389 TILEPROP INT_R_X1Y57 TILE_TYPE INT_R TILEPROP INT_R_X1Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y57 TILE_X -93710 TILEPROP INT_R_X1Y57 TILE_Y -56000 TILEPROP INT_R_X1Y57 TYPE INT_R TILEPROP INT_R_X1Y58 CLASS tile TILEPROP INT_R_X1Y58 COLUMN 5 TILEPROP INT_R_X1Y58 DEVICE_ID 0 TILEPROP INT_R_X1Y58 FIRST_SITE_ID 9493 TILEPROP INT_R_X1Y58 GRID_POINT_X 5 TILEPROP INT_R_X1Y58 GRID_POINT_Y 95 TILEPROP INT_R_X1Y58 INDEX 10930 TILEPROP INT_R_X1Y58 INT_TILE_X 1 TILEPROP INT_R_X1Y58 INT_TILE_Y 91 TILEPROP INT_R_X1Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y58 IS_DCM_TILE 0 TILEPROP INT_R_X1Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y58 NAME INT_R_X1Y58 TILEPROP INT_R_X1Y58 NUM_ARCS 3737 TILEPROP INT_R_X1Y58 NUM_SITES 1 TILEPROP INT_R_X1Y58 ROW 95 TILEPROP INT_R_X1Y58 SLR_REGION_ID 0 TILEPROP INT_R_X1Y58 TILE_PATTERN_IDX 1358 TILEPROP INT_R_X1Y58 TILE_TYPE INT_R TILEPROP INT_R_X1Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y58 TILE_X -93710 TILEPROP INT_R_X1Y58 TILE_Y -52800 TILEPROP INT_R_X1Y58 TYPE INT_R TILEPROP INT_R_X1Y59 CLASS tile TILEPROP INT_R_X1Y59 COLUMN 5 TILEPROP INT_R_X1Y59 DEVICE_ID 0 TILEPROP INT_R_X1Y59 FIRST_SITE_ID 9393 TILEPROP INT_R_X1Y59 GRID_POINT_X 5 TILEPROP INT_R_X1Y59 GRID_POINT_Y 94 TILEPROP INT_R_X1Y59 INDEX 10815 TILEPROP INT_R_X1Y59 INT_TILE_X 1 TILEPROP INT_R_X1Y59 INT_TILE_Y 90 TILEPROP INT_R_X1Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y59 IS_DCM_TILE 0 TILEPROP INT_R_X1Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y59 NAME INT_R_X1Y59 TILEPROP INT_R_X1Y59 NUM_ARCS 3737 TILEPROP INT_R_X1Y59 NUM_SITES 1 TILEPROP INT_R_X1Y59 ROW 94 TILEPROP INT_R_X1Y59 SLR_REGION_ID 0 TILEPROP INT_R_X1Y59 TILE_PATTERN_IDX 1330 TILEPROP INT_R_X1Y59 TILE_TYPE INT_R TILEPROP INT_R_X1Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y59 TILE_X -93710 TILEPROP INT_R_X1Y59 TILE_Y -49600 TILEPROP INT_R_X1Y59 TYPE INT_R TILEPROP INT_R_X1Y60 CLASS tile TILEPROP INT_R_X1Y60 COLUMN 5 TILEPROP INT_R_X1Y60 DEVICE_ID 0 TILEPROP INT_R_X1Y60 FIRST_SITE_ID 9278 TILEPROP INT_R_X1Y60 GRID_POINT_X 5 TILEPROP INT_R_X1Y60 GRID_POINT_Y 93 TILEPROP INT_R_X1Y60 INDEX 10700 TILEPROP INT_R_X1Y60 INT_TILE_X 1 TILEPROP INT_R_X1Y60 INT_TILE_Y 89 TILEPROP INT_R_X1Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y60 IS_DCM_TILE 0 TILEPROP INT_R_X1Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y60 NAME INT_R_X1Y60 TILEPROP INT_R_X1Y60 NUM_ARCS 3737 TILEPROP INT_R_X1Y60 NUM_SITES 1 TILEPROP INT_R_X1Y60 ROW 93 TILEPROP INT_R_X1Y60 SLR_REGION_ID 0 TILEPROP INT_R_X1Y60 TILE_PATTERN_IDX 1298 TILEPROP INT_R_X1Y60 TILE_TYPE INT_R TILEPROP INT_R_X1Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y60 TILE_X -93710 TILEPROP INT_R_X1Y60 TILE_Y -46400 TILEPROP INT_R_X1Y60 TYPE INT_R TILEPROP INT_R_X1Y61 CLASS tile TILEPROP INT_R_X1Y61 COLUMN 5 TILEPROP INT_R_X1Y61 DEVICE_ID 0 TILEPROP INT_R_X1Y61 FIRST_SITE_ID 9178 TILEPROP INT_R_X1Y61 GRID_POINT_X 5 TILEPROP INT_R_X1Y61 GRID_POINT_Y 92 TILEPROP INT_R_X1Y61 INDEX 10585 TILEPROP INT_R_X1Y61 INT_TILE_X 1 TILEPROP INT_R_X1Y61 INT_TILE_Y 88 TILEPROP INT_R_X1Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y61 IS_DCM_TILE 0 TILEPROP INT_R_X1Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y61 NAME INT_R_X1Y61 TILEPROP INT_R_X1Y61 NUM_ARCS 3737 TILEPROP INT_R_X1Y61 NUM_SITES 1 TILEPROP INT_R_X1Y61 ROW 92 TILEPROP INT_R_X1Y61 SLR_REGION_ID 0 TILEPROP INT_R_X1Y61 TILE_PATTERN_IDX 1270 TILEPROP INT_R_X1Y61 TILE_TYPE INT_R TILEPROP INT_R_X1Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y61 TILE_X -93710 TILEPROP INT_R_X1Y61 TILE_Y -43200 TILEPROP INT_R_X1Y61 TYPE INT_R TILEPROP INT_R_X1Y62 CLASS tile TILEPROP INT_R_X1Y62 COLUMN 5 TILEPROP INT_R_X1Y62 DEVICE_ID 0 TILEPROP INT_R_X1Y62 FIRST_SITE_ID 9046 TILEPROP INT_R_X1Y62 GRID_POINT_X 5 TILEPROP INT_R_X1Y62 GRID_POINT_Y 91 TILEPROP INT_R_X1Y62 INDEX 10470 TILEPROP INT_R_X1Y62 INT_TILE_X 1 TILEPROP INT_R_X1Y62 INT_TILE_Y 87 TILEPROP INT_R_X1Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y62 IS_DCM_TILE 0 TILEPROP INT_R_X1Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y62 NAME INT_R_X1Y62 TILEPROP INT_R_X1Y62 NUM_ARCS 3737 TILEPROP INT_R_X1Y62 NUM_SITES 1 TILEPROP INT_R_X1Y62 ROW 91 TILEPROP INT_R_X1Y62 SLR_REGION_ID 0 TILEPROP INT_R_X1Y62 TILE_PATTERN_IDX 1239 TILEPROP INT_R_X1Y62 TILE_TYPE INT_R TILEPROP INT_R_X1Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y62 TILE_X -93710 TILEPROP INT_R_X1Y62 TILE_Y -40000 TILEPROP INT_R_X1Y62 TYPE INT_R TILEPROP INT_R_X1Y63 CLASS tile TILEPROP INT_R_X1Y63 COLUMN 5 TILEPROP INT_R_X1Y63 DEVICE_ID 0 TILEPROP INT_R_X1Y63 FIRST_SITE_ID 8946 TILEPROP INT_R_X1Y63 GRID_POINT_X 5 TILEPROP INT_R_X1Y63 GRID_POINT_Y 90 TILEPROP INT_R_X1Y63 INDEX 10355 TILEPROP INT_R_X1Y63 INT_TILE_X 1 TILEPROP INT_R_X1Y63 INT_TILE_Y 86 TILEPROP INT_R_X1Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y63 IS_DCM_TILE 0 TILEPROP INT_R_X1Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y63 NAME INT_R_X1Y63 TILEPROP INT_R_X1Y63 NUM_ARCS 3737 TILEPROP INT_R_X1Y63 NUM_SITES 1 TILEPROP INT_R_X1Y63 ROW 90 TILEPROP INT_R_X1Y63 SLR_REGION_ID 0 TILEPROP INT_R_X1Y63 TILE_PATTERN_IDX 1211 TILEPROP INT_R_X1Y63 TILE_TYPE INT_R TILEPROP INT_R_X1Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y63 TILE_X -93710 TILEPROP INT_R_X1Y63 TILE_Y -36800 TILEPROP INT_R_X1Y63 TYPE INT_R TILEPROP INT_R_X1Y64 CLASS tile TILEPROP INT_R_X1Y64 COLUMN 5 TILEPROP INT_R_X1Y64 DEVICE_ID 0 TILEPROP INT_R_X1Y64 FIRST_SITE_ID 8846 TILEPROP INT_R_X1Y64 GRID_POINT_X 5 TILEPROP INT_R_X1Y64 GRID_POINT_Y 89 TILEPROP INT_R_X1Y64 INDEX 10240 TILEPROP INT_R_X1Y64 INT_TILE_X 1 TILEPROP INT_R_X1Y64 INT_TILE_Y 85 TILEPROP INT_R_X1Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y64 IS_DCM_TILE 0 TILEPROP INT_R_X1Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y64 NAME INT_R_X1Y64 TILEPROP INT_R_X1Y64 NUM_ARCS 3737 TILEPROP INT_R_X1Y64 NUM_SITES 1 TILEPROP INT_R_X1Y64 ROW 89 TILEPROP INT_R_X1Y64 SLR_REGION_ID 0 TILEPROP INT_R_X1Y64 TILE_PATTERN_IDX 1181 TILEPROP INT_R_X1Y64 TILE_TYPE INT_R TILEPROP INT_R_X1Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y64 TILE_X -93710 TILEPROP INT_R_X1Y64 TILE_Y -33600 TILEPROP INT_R_X1Y64 TYPE INT_R TILEPROP INT_R_X1Y65 CLASS tile TILEPROP INT_R_X1Y65 COLUMN 5 TILEPROP INT_R_X1Y65 DEVICE_ID 0 TILEPROP INT_R_X1Y65 FIRST_SITE_ID 8731 TILEPROP INT_R_X1Y65 GRID_POINT_X 5 TILEPROP INT_R_X1Y65 GRID_POINT_Y 88 TILEPROP INT_R_X1Y65 INDEX 10125 TILEPROP INT_R_X1Y65 INT_TILE_X 1 TILEPROP INT_R_X1Y65 INT_TILE_Y 84 TILEPROP INT_R_X1Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y65 IS_DCM_TILE 0 TILEPROP INT_R_X1Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y65 NAME INT_R_X1Y65 TILEPROP INT_R_X1Y65 NUM_ARCS 3737 TILEPROP INT_R_X1Y65 NUM_SITES 1 TILEPROP INT_R_X1Y65 ROW 88 TILEPROP INT_R_X1Y65 SLR_REGION_ID 0 TILEPROP INT_R_X1Y65 TILE_PATTERN_IDX 1152 TILEPROP INT_R_X1Y65 TILE_TYPE INT_R TILEPROP INT_R_X1Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y65 TILE_X -93710 TILEPROP INT_R_X1Y65 TILE_Y -30400 TILEPROP INT_R_X1Y65 TYPE INT_R TILEPROP INT_R_X1Y66 CLASS tile TILEPROP INT_R_X1Y66 COLUMN 5 TILEPROP INT_R_X1Y66 DEVICE_ID 0 TILEPROP INT_R_X1Y66 FIRST_SITE_ID 8631 TILEPROP INT_R_X1Y66 GRID_POINT_X 5 TILEPROP INT_R_X1Y66 GRID_POINT_Y 87 TILEPROP INT_R_X1Y66 INDEX 10010 TILEPROP INT_R_X1Y66 INT_TILE_X 1 TILEPROP INT_R_X1Y66 INT_TILE_Y 83 TILEPROP INT_R_X1Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y66 IS_DCM_TILE 0 TILEPROP INT_R_X1Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y66 NAME INT_R_X1Y66 TILEPROP INT_R_X1Y66 NUM_ARCS 3737 TILEPROP INT_R_X1Y66 NUM_SITES 1 TILEPROP INT_R_X1Y66 ROW 87 TILEPROP INT_R_X1Y66 SLR_REGION_ID 0 TILEPROP INT_R_X1Y66 TILE_PATTERN_IDX 1121 TILEPROP INT_R_X1Y66 TILE_TYPE INT_R TILEPROP INT_R_X1Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y66 TILE_X -93710 TILEPROP INT_R_X1Y66 TILE_Y -27200 TILEPROP INT_R_X1Y66 TYPE INT_R TILEPROP INT_R_X1Y67 CLASS tile TILEPROP INT_R_X1Y67 COLUMN 5 TILEPROP INT_R_X1Y67 DEVICE_ID 0 TILEPROP INT_R_X1Y67 FIRST_SITE_ID 8523 TILEPROP INT_R_X1Y67 GRID_POINT_X 5 TILEPROP INT_R_X1Y67 GRID_POINT_Y 86 TILEPROP INT_R_X1Y67 INDEX 9895 TILEPROP INT_R_X1Y67 INT_TILE_X 1 TILEPROP INT_R_X1Y67 INT_TILE_Y 82 TILEPROP INT_R_X1Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y67 IS_DCM_TILE 0 TILEPROP INT_R_X1Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y67 NAME INT_R_X1Y67 TILEPROP INT_R_X1Y67 NUM_ARCS 3737 TILEPROP INT_R_X1Y67 NUM_SITES 1 TILEPROP INT_R_X1Y67 ROW 86 TILEPROP INT_R_X1Y67 SLR_REGION_ID 0 TILEPROP INT_R_X1Y67 TILE_PATTERN_IDX 1092 TILEPROP INT_R_X1Y67 TILE_TYPE INT_R TILEPROP INT_R_X1Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y67 TILE_X -93710 TILEPROP INT_R_X1Y67 TILE_Y -24000 TILEPROP INT_R_X1Y67 TYPE INT_R TILEPROP INT_R_X1Y68 CLASS tile TILEPROP INT_R_X1Y68 COLUMN 5 TILEPROP INT_R_X1Y68 DEVICE_ID 0 TILEPROP INT_R_X1Y68 FIRST_SITE_ID 8423 TILEPROP INT_R_X1Y68 GRID_POINT_X 5 TILEPROP INT_R_X1Y68 GRID_POINT_Y 85 TILEPROP INT_R_X1Y68 INDEX 9780 TILEPROP INT_R_X1Y68 INT_TILE_X 1 TILEPROP INT_R_X1Y68 INT_TILE_Y 81 TILEPROP INT_R_X1Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y68 IS_DCM_TILE 0 TILEPROP INT_R_X1Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y68 NAME INT_R_X1Y68 TILEPROP INT_R_X1Y68 NUM_ARCS 3737 TILEPROP INT_R_X1Y68 NUM_SITES 1 TILEPROP INT_R_X1Y68 ROW 85 TILEPROP INT_R_X1Y68 SLR_REGION_ID 0 TILEPROP INT_R_X1Y68 TILE_PATTERN_IDX 1062 TILEPROP INT_R_X1Y68 TILE_TYPE INT_R TILEPROP INT_R_X1Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y68 TILE_X -93710 TILEPROP INT_R_X1Y68 TILE_Y -20800 TILEPROP INT_R_X1Y68 TYPE INT_R TILEPROP INT_R_X1Y69 CLASS tile TILEPROP INT_R_X1Y69 COLUMN 5 TILEPROP INT_R_X1Y69 DEVICE_ID 0 TILEPROP INT_R_X1Y69 FIRST_SITE_ID 8319 TILEPROP INT_R_X1Y69 GRID_POINT_X 5 TILEPROP INT_R_X1Y69 GRID_POINT_Y 84 TILEPROP INT_R_X1Y69 INDEX 9665 TILEPROP INT_R_X1Y69 INT_TILE_X 1 TILEPROP INT_R_X1Y69 INT_TILE_Y 80 TILEPROP INT_R_X1Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y69 IS_DCM_TILE 0 TILEPROP INT_R_X1Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y69 NAME INT_R_X1Y69 TILEPROP INT_R_X1Y69 NUM_ARCS 3737 TILEPROP INT_R_X1Y69 NUM_SITES 1 TILEPROP INT_R_X1Y69 ROW 84 TILEPROP INT_R_X1Y69 SLR_REGION_ID 0 TILEPROP INT_R_X1Y69 TILE_PATTERN_IDX 1033 TILEPROP INT_R_X1Y69 TILE_TYPE INT_R TILEPROP INT_R_X1Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y69 TILE_X -93710 TILEPROP INT_R_X1Y69 TILE_Y -17600 TILEPROP INT_R_X1Y69 TYPE INT_R TILEPROP INT_R_X1Y70 CLASS tile TILEPROP INT_R_X1Y70 COLUMN 5 TILEPROP INT_R_X1Y70 DEVICE_ID 0 TILEPROP INT_R_X1Y70 FIRST_SITE_ID 8204 TILEPROP INT_R_X1Y70 GRID_POINT_X 5 TILEPROP INT_R_X1Y70 GRID_POINT_Y 83 TILEPROP INT_R_X1Y70 INDEX 9550 TILEPROP INT_R_X1Y70 INT_TILE_X 1 TILEPROP INT_R_X1Y70 INT_TILE_Y 79 TILEPROP INT_R_X1Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y70 IS_DCM_TILE 0 TILEPROP INT_R_X1Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y70 NAME INT_R_X1Y70 TILEPROP INT_R_X1Y70 NUM_ARCS 3737 TILEPROP INT_R_X1Y70 NUM_SITES 1 TILEPROP INT_R_X1Y70 ROW 83 TILEPROP INT_R_X1Y70 SLR_REGION_ID 0 TILEPROP INT_R_X1Y70 TILE_PATTERN_IDX 999 TILEPROP INT_R_X1Y70 TILE_TYPE INT_R TILEPROP INT_R_X1Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y70 TILE_X -93710 TILEPROP INT_R_X1Y70 TILE_Y -14400 TILEPROP INT_R_X1Y70 TYPE INT_R TILEPROP INT_R_X1Y71 CLASS tile TILEPROP INT_R_X1Y71 COLUMN 5 TILEPROP INT_R_X1Y71 DEVICE_ID 0 TILEPROP INT_R_X1Y71 FIRST_SITE_ID 8104 TILEPROP INT_R_X1Y71 GRID_POINT_X 5 TILEPROP INT_R_X1Y71 GRID_POINT_Y 82 TILEPROP INT_R_X1Y71 INDEX 9435 TILEPROP INT_R_X1Y71 INT_TILE_X 1 TILEPROP INT_R_X1Y71 INT_TILE_Y 78 TILEPROP INT_R_X1Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y71 IS_DCM_TILE 0 TILEPROP INT_R_X1Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y71 NAME INT_R_X1Y71 TILEPROP INT_R_X1Y71 NUM_ARCS 3737 TILEPROP INT_R_X1Y71 NUM_SITES 1 TILEPROP INT_R_X1Y71 ROW 82 TILEPROP INT_R_X1Y71 SLR_REGION_ID 0 TILEPROP INT_R_X1Y71 TILE_PATTERN_IDX 970 TILEPROP INT_R_X1Y71 TILE_TYPE INT_R TILEPROP INT_R_X1Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y71 TILE_X -93710 TILEPROP INT_R_X1Y71 TILE_Y -11200 TILEPROP INT_R_X1Y71 TYPE INT_R TILEPROP INT_R_X1Y72 CLASS tile TILEPROP INT_R_X1Y72 COLUMN 5 TILEPROP INT_R_X1Y72 DEVICE_ID 0 TILEPROP INT_R_X1Y72 FIRST_SITE_ID 8004 TILEPROP INT_R_X1Y72 GRID_POINT_X 5 TILEPROP INT_R_X1Y72 GRID_POINT_Y 81 TILEPROP INT_R_X1Y72 INDEX 9320 TILEPROP INT_R_X1Y72 INT_TILE_X 1 TILEPROP INT_R_X1Y72 INT_TILE_Y 77 TILEPROP INT_R_X1Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y72 IS_DCM_TILE 0 TILEPROP INT_R_X1Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y72 NAME INT_R_X1Y72 TILEPROP INT_R_X1Y72 NUM_ARCS 3737 TILEPROP INT_R_X1Y72 NUM_SITES 1 TILEPROP INT_R_X1Y72 ROW 81 TILEPROP INT_R_X1Y72 SLR_REGION_ID 0 TILEPROP INT_R_X1Y72 TILE_PATTERN_IDX 938 TILEPROP INT_R_X1Y72 TILE_TYPE INT_R TILEPROP INT_R_X1Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y72 TILE_X -93710 TILEPROP INT_R_X1Y72 TILE_Y -8000 TILEPROP INT_R_X1Y72 TYPE INT_R TILEPROP INT_R_X1Y73 CLASS tile TILEPROP INT_R_X1Y73 COLUMN 5 TILEPROP INT_R_X1Y73 DEVICE_ID 0 TILEPROP INT_R_X1Y73 FIRST_SITE_ID 7904 TILEPROP INT_R_X1Y73 GRID_POINT_X 5 TILEPROP INT_R_X1Y73 GRID_POINT_Y 80 TILEPROP INT_R_X1Y73 INDEX 9205 TILEPROP INT_R_X1Y73 INT_TILE_X 1 TILEPROP INT_R_X1Y73 INT_TILE_Y 76 TILEPROP INT_R_X1Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y73 IS_DCM_TILE 0 TILEPROP INT_R_X1Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y73 NAME INT_R_X1Y73 TILEPROP INT_R_X1Y73 NUM_ARCS 3737 TILEPROP INT_R_X1Y73 NUM_SITES 1 TILEPROP INT_R_X1Y73 ROW 80 TILEPROP INT_R_X1Y73 SLR_REGION_ID 0 TILEPROP INT_R_X1Y73 TILE_PATTERN_IDX 909 TILEPROP INT_R_X1Y73 TILE_TYPE INT_R TILEPROP INT_R_X1Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y73 TILE_X -93710 TILEPROP INT_R_X1Y73 TILE_Y -4800 TILEPROP INT_R_X1Y73 TYPE INT_R TILEPROP INT_R_X1Y74 CLASS tile TILEPROP INT_R_X1Y74 COLUMN 5 TILEPROP INT_R_X1Y74 DEVICE_ID 0 TILEPROP INT_R_X1Y74 FIRST_SITE_ID 7804 TILEPROP INT_R_X1Y74 GRID_POINT_X 5 TILEPROP INT_R_X1Y74 GRID_POINT_Y 79 TILEPROP INT_R_X1Y74 INDEX 9090 TILEPROP INT_R_X1Y74 INT_TILE_X 1 TILEPROP INT_R_X1Y74 INT_TILE_Y 75 TILEPROP INT_R_X1Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y74 IS_DCM_TILE 0 TILEPROP INT_R_X1Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y74 NAME INT_R_X1Y74 TILEPROP INT_R_X1Y74 NUM_ARCS 3737 TILEPROP INT_R_X1Y74 NUM_SITES 1 TILEPROP INT_R_X1Y74 ROW 79 TILEPROP INT_R_X1Y74 SLR_REGION_ID 0 TILEPROP INT_R_X1Y74 TILE_PATTERN_IDX 872 TILEPROP INT_R_X1Y74 TILE_TYPE INT_R TILEPROP INT_R_X1Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y74 TILE_X -93710 TILEPROP INT_R_X1Y74 TILE_Y -1600 TILEPROP INT_R_X1Y74 TYPE INT_R TILEPROP INT_R_X1Y75 CLASS tile TILEPROP INT_R_X1Y75 COLUMN 5 TILEPROP INT_R_X1Y75 DEVICE_ID 0 TILEPROP INT_R_X1Y75 FIRST_SITE_ID 7603 TILEPROP INT_R_X1Y75 GRID_POINT_X 5 TILEPROP INT_R_X1Y75 GRID_POINT_Y 77 TILEPROP INT_R_X1Y75 INDEX 8860 TILEPROP INT_R_X1Y75 INT_TILE_X 1 TILEPROP INT_R_X1Y75 INT_TILE_Y 74 TILEPROP INT_R_X1Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y75 IS_DCM_TILE 0 TILEPROP INT_R_X1Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y75 NAME INT_R_X1Y75 TILEPROP INT_R_X1Y75 NUM_ARCS 3737 TILEPROP INT_R_X1Y75 NUM_SITES 1 TILEPROP INT_R_X1Y75 ROW 77 TILEPROP INT_R_X1Y75 SLR_REGION_ID 0 TILEPROP INT_R_X1Y75 TILE_PATTERN_IDX 6278 TILEPROP INT_R_X1Y75 TILE_TYPE INT_R TILEPROP INT_R_X1Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y75 TILE_X -93710 TILEPROP INT_R_X1Y75 TILE_Y 2624 TILEPROP INT_R_X1Y75 TYPE INT_R TILEPROP INT_R_X1Y76 CLASS tile TILEPROP INT_R_X1Y76 COLUMN 5 TILEPROP INT_R_X1Y76 DEVICE_ID 0 TILEPROP INT_R_X1Y76 FIRST_SITE_ID 7503 TILEPROP INT_R_X1Y76 GRID_POINT_X 5 TILEPROP INT_R_X1Y76 GRID_POINT_Y 76 TILEPROP INT_R_X1Y76 INDEX 8745 TILEPROP INT_R_X1Y76 INT_TILE_X 1 TILEPROP INT_R_X1Y76 INT_TILE_Y 73 TILEPROP INT_R_X1Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y76 IS_DCM_TILE 0 TILEPROP INT_R_X1Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y76 NAME INT_R_X1Y76 TILEPROP INT_R_X1Y76 NUM_ARCS 3737 TILEPROP INT_R_X1Y76 NUM_SITES 1 TILEPROP INT_R_X1Y76 ROW 76 TILEPROP INT_R_X1Y76 SLR_REGION_ID 0 TILEPROP INT_R_X1Y76 TILE_PATTERN_IDX 6245 TILEPROP INT_R_X1Y76 TILE_TYPE INT_R TILEPROP INT_R_X1Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y76 TILE_X -93710 TILEPROP INT_R_X1Y76 TILE_Y 5824 TILEPROP INT_R_X1Y76 TYPE INT_R TILEPROP INT_R_X1Y77 CLASS tile TILEPROP INT_R_X1Y77 COLUMN 5 TILEPROP INT_R_X1Y77 DEVICE_ID 0 TILEPROP INT_R_X1Y77 FIRST_SITE_ID 7403 TILEPROP INT_R_X1Y77 GRID_POINT_X 5 TILEPROP INT_R_X1Y77 GRID_POINT_Y 75 TILEPROP INT_R_X1Y77 INDEX 8630 TILEPROP INT_R_X1Y77 INT_TILE_X 1 TILEPROP INT_R_X1Y77 INT_TILE_Y 72 TILEPROP INT_R_X1Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y77 IS_DCM_TILE 0 TILEPROP INT_R_X1Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y77 NAME INT_R_X1Y77 TILEPROP INT_R_X1Y77 NUM_ARCS 3737 TILEPROP INT_R_X1Y77 NUM_SITES 1 TILEPROP INT_R_X1Y77 ROW 75 TILEPROP INT_R_X1Y77 SLR_REGION_ID 0 TILEPROP INT_R_X1Y77 TILE_PATTERN_IDX 6211 TILEPROP INT_R_X1Y77 TILE_TYPE INT_R TILEPROP INT_R_X1Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y77 TILE_X -93710 TILEPROP INT_R_X1Y77 TILE_Y 9024 TILEPROP INT_R_X1Y77 TYPE INT_R TILEPROP INT_R_X1Y78 CLASS tile TILEPROP INT_R_X1Y78 COLUMN 5 TILEPROP INT_R_X1Y78 DEVICE_ID 0 TILEPROP INT_R_X1Y78 FIRST_SITE_ID 7303 TILEPROP INT_R_X1Y78 GRID_POINT_X 5 TILEPROP INT_R_X1Y78 GRID_POINT_Y 74 TILEPROP INT_R_X1Y78 INDEX 8515 TILEPROP INT_R_X1Y78 INT_TILE_X 1 TILEPROP INT_R_X1Y78 INT_TILE_Y 71 TILEPROP INT_R_X1Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y78 IS_DCM_TILE 0 TILEPROP INT_R_X1Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y78 NAME INT_R_X1Y78 TILEPROP INT_R_X1Y78 NUM_ARCS 3737 TILEPROP INT_R_X1Y78 NUM_SITES 1 TILEPROP INT_R_X1Y78 ROW 74 TILEPROP INT_R_X1Y78 SLR_REGION_ID 0 TILEPROP INT_R_X1Y78 TILE_PATTERN_IDX 6178 TILEPROP INT_R_X1Y78 TILE_TYPE INT_R TILEPROP INT_R_X1Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y78 TILE_X -93710 TILEPROP INT_R_X1Y78 TILE_Y 12224 TILEPROP INT_R_X1Y78 TYPE INT_R TILEPROP INT_R_X1Y79 CLASS tile TILEPROP INT_R_X1Y79 COLUMN 5 TILEPROP INT_R_X1Y79 DEVICE_ID 0 TILEPROP INT_R_X1Y79 FIRST_SITE_ID 7191 TILEPROP INT_R_X1Y79 GRID_POINT_X 5 TILEPROP INT_R_X1Y79 GRID_POINT_Y 73 TILEPROP INT_R_X1Y79 INDEX 8400 TILEPROP INT_R_X1Y79 INT_TILE_X 1 TILEPROP INT_R_X1Y79 INT_TILE_Y 70 TILEPROP INT_R_X1Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y79 IS_DCM_TILE 0 TILEPROP INT_R_X1Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y79 NAME INT_R_X1Y79 TILEPROP INT_R_X1Y79 NUM_ARCS 3737 TILEPROP INT_R_X1Y79 NUM_SITES 1 TILEPROP INT_R_X1Y79 ROW 73 TILEPROP INT_R_X1Y79 SLR_REGION_ID 0 TILEPROP INT_R_X1Y79 TILE_PATTERN_IDX 6142 TILEPROP INT_R_X1Y79 TILE_TYPE INT_R TILEPROP INT_R_X1Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y79 TILE_X -93710 TILEPROP INT_R_X1Y79 TILE_Y 15424 TILEPROP INT_R_X1Y79 TYPE INT_R TILEPROP INT_R_X1Y80 CLASS tile TILEPROP INT_R_X1Y80 COLUMN 5 TILEPROP INT_R_X1Y80 DEVICE_ID 0 TILEPROP INT_R_X1Y80 FIRST_SITE_ID 7076 TILEPROP INT_R_X1Y80 GRID_POINT_X 5 TILEPROP INT_R_X1Y80 GRID_POINT_Y 72 TILEPROP INT_R_X1Y80 INDEX 8285 TILEPROP INT_R_X1Y80 INT_TILE_X 1 TILEPROP INT_R_X1Y80 INT_TILE_Y 69 TILEPROP INT_R_X1Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y80 IS_DCM_TILE 0 TILEPROP INT_R_X1Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y80 NAME INT_R_X1Y80 TILEPROP INT_R_X1Y80 NUM_ARCS 3737 TILEPROP INT_R_X1Y80 NUM_SITES 1 TILEPROP INT_R_X1Y80 ROW 72 TILEPROP INT_R_X1Y80 SLR_REGION_ID 0 TILEPROP INT_R_X1Y80 TILE_PATTERN_IDX 6108 TILEPROP INT_R_X1Y80 TILE_TYPE INT_R TILEPROP INT_R_X1Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y80 TILE_X -93710 TILEPROP INT_R_X1Y80 TILE_Y 18624 TILEPROP INT_R_X1Y80 TYPE INT_R TILEPROP INT_R_X1Y81 CLASS tile TILEPROP INT_R_X1Y81 COLUMN 5 TILEPROP INT_R_X1Y81 DEVICE_ID 0 TILEPROP INT_R_X1Y81 FIRST_SITE_ID 6972 TILEPROP INT_R_X1Y81 GRID_POINT_X 5 TILEPROP INT_R_X1Y81 GRID_POINT_Y 71 TILEPROP INT_R_X1Y81 INDEX 8170 TILEPROP INT_R_X1Y81 INT_TILE_X 1 TILEPROP INT_R_X1Y81 INT_TILE_Y 68 TILEPROP INT_R_X1Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y81 IS_DCM_TILE 0 TILEPROP INT_R_X1Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y81 NAME INT_R_X1Y81 TILEPROP INT_R_X1Y81 NUM_ARCS 3737 TILEPROP INT_R_X1Y81 NUM_SITES 1 TILEPROP INT_R_X1Y81 ROW 71 TILEPROP INT_R_X1Y81 SLR_REGION_ID 0 TILEPROP INT_R_X1Y81 TILE_PATTERN_IDX 6074 TILEPROP INT_R_X1Y81 TILE_TYPE INT_R TILEPROP INT_R_X1Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y81 TILE_X -93710 TILEPROP INT_R_X1Y81 TILE_Y 21824 TILEPROP INT_R_X1Y81 TYPE INT_R TILEPROP INT_R_X1Y82 CLASS tile TILEPROP INT_R_X1Y82 COLUMN 5 TILEPROP INT_R_X1Y82 DEVICE_ID 0 TILEPROP INT_R_X1Y82 FIRST_SITE_ID 6872 TILEPROP INT_R_X1Y82 GRID_POINT_X 5 TILEPROP INT_R_X1Y82 GRID_POINT_Y 70 TILEPROP INT_R_X1Y82 INDEX 8055 TILEPROP INT_R_X1Y82 INT_TILE_X 1 TILEPROP INT_R_X1Y82 INT_TILE_Y 67 TILEPROP INT_R_X1Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y82 IS_DCM_TILE 0 TILEPROP INT_R_X1Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y82 NAME INT_R_X1Y82 TILEPROP INT_R_X1Y82 NUM_ARCS 3737 TILEPROP INT_R_X1Y82 NUM_SITES 1 TILEPROP INT_R_X1Y82 ROW 70 TILEPROP INT_R_X1Y82 SLR_REGION_ID 0 TILEPROP INT_R_X1Y82 TILE_PATTERN_IDX 6040 TILEPROP INT_R_X1Y82 TILE_TYPE INT_R TILEPROP INT_R_X1Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y82 TILE_X -93710 TILEPROP INT_R_X1Y82 TILE_Y 25024 TILEPROP INT_R_X1Y82 TYPE INT_R TILEPROP INT_R_X1Y83 CLASS tile TILEPROP INT_R_X1Y83 COLUMN 5 TILEPROP INT_R_X1Y83 DEVICE_ID 0 TILEPROP INT_R_X1Y83 FIRST_SITE_ID 6772 TILEPROP INT_R_X1Y83 GRID_POINT_X 5 TILEPROP INT_R_X1Y83 GRID_POINT_Y 69 TILEPROP INT_R_X1Y83 INDEX 7940 TILEPROP INT_R_X1Y83 INT_TILE_X 1 TILEPROP INT_R_X1Y83 INT_TILE_Y 66 TILEPROP INT_R_X1Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y83 IS_DCM_TILE 0 TILEPROP INT_R_X1Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y83 NAME INT_R_X1Y83 TILEPROP INT_R_X1Y83 NUM_ARCS 3737 TILEPROP INT_R_X1Y83 NUM_SITES 1 TILEPROP INT_R_X1Y83 ROW 69 TILEPROP INT_R_X1Y83 SLR_REGION_ID 0 TILEPROP INT_R_X1Y83 TILE_PATTERN_IDX 6006 TILEPROP INT_R_X1Y83 TILE_TYPE INT_R TILEPROP INT_R_X1Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y83 TILE_X -93710 TILEPROP INT_R_X1Y83 TILE_Y 28224 TILEPROP INT_R_X1Y83 TYPE INT_R TILEPROP INT_R_X1Y84 CLASS tile TILEPROP INT_R_X1Y84 COLUMN 5 TILEPROP INT_R_X1Y84 DEVICE_ID 0 TILEPROP INT_R_X1Y84 FIRST_SITE_ID 6672 TILEPROP INT_R_X1Y84 GRID_POINT_X 5 TILEPROP INT_R_X1Y84 GRID_POINT_Y 68 TILEPROP INT_R_X1Y84 INDEX 7825 TILEPROP INT_R_X1Y84 INT_TILE_X 1 TILEPROP INT_R_X1Y84 INT_TILE_Y 65 TILEPROP INT_R_X1Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y84 IS_DCM_TILE 0 TILEPROP INT_R_X1Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y84 NAME INT_R_X1Y84 TILEPROP INT_R_X1Y84 NUM_ARCS 3737 TILEPROP INT_R_X1Y84 NUM_SITES 1 TILEPROP INT_R_X1Y84 ROW 68 TILEPROP INT_R_X1Y84 SLR_REGION_ID 0 TILEPROP INT_R_X1Y84 TILE_PATTERN_IDX 5972 TILEPROP INT_R_X1Y84 TILE_TYPE INT_R TILEPROP INT_R_X1Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y84 TILE_X -93710 TILEPROP INT_R_X1Y84 TILE_Y 31424 TILEPROP INT_R_X1Y84 TYPE INT_R TILEPROP INT_R_X1Y85 CLASS tile TILEPROP INT_R_X1Y85 COLUMN 5 TILEPROP INT_R_X1Y85 DEVICE_ID 0 TILEPROP INT_R_X1Y85 FIRST_SITE_ID 6557 TILEPROP INT_R_X1Y85 GRID_POINT_X 5 TILEPROP INT_R_X1Y85 GRID_POINT_Y 67 TILEPROP INT_R_X1Y85 INDEX 7710 TILEPROP INT_R_X1Y85 INT_TILE_X 1 TILEPROP INT_R_X1Y85 INT_TILE_Y 64 TILEPROP INT_R_X1Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y85 IS_DCM_TILE 0 TILEPROP INT_R_X1Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y85 NAME INT_R_X1Y85 TILEPROP INT_R_X1Y85 NUM_ARCS 3737 TILEPROP INT_R_X1Y85 NUM_SITES 1 TILEPROP INT_R_X1Y85 ROW 67 TILEPROP INT_R_X1Y85 SLR_REGION_ID 0 TILEPROP INT_R_X1Y85 TILE_PATTERN_IDX 5937 TILEPROP INT_R_X1Y85 TILE_TYPE INT_R TILEPROP INT_R_X1Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y85 TILE_X -93710 TILEPROP INT_R_X1Y85 TILE_Y 34624 TILEPROP INT_R_X1Y85 TYPE INT_R TILEPROP INT_R_X1Y86 CLASS tile TILEPROP INT_R_X1Y86 COLUMN 5 TILEPROP INT_R_X1Y86 DEVICE_ID 0 TILEPROP INT_R_X1Y86 FIRST_SITE_ID 6425 TILEPROP INT_R_X1Y86 GRID_POINT_X 5 TILEPROP INT_R_X1Y86 GRID_POINT_Y 66 TILEPROP INT_R_X1Y86 INDEX 7595 TILEPROP INT_R_X1Y86 INT_TILE_X 1 TILEPROP INT_R_X1Y86 INT_TILE_Y 63 TILEPROP INT_R_X1Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y86 IS_DCM_TILE 0 TILEPROP INT_R_X1Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y86 NAME INT_R_X1Y86 TILEPROP INT_R_X1Y86 NUM_ARCS 3737 TILEPROP INT_R_X1Y86 NUM_SITES 1 TILEPROP INT_R_X1Y86 ROW 66 TILEPROP INT_R_X1Y86 SLR_REGION_ID 0 TILEPROP INT_R_X1Y86 TILE_PATTERN_IDX 5902 TILEPROP INT_R_X1Y86 TILE_TYPE INT_R TILEPROP INT_R_X1Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y86 TILE_X -93710 TILEPROP INT_R_X1Y86 TILE_Y 37824 TILEPROP INT_R_X1Y86 TYPE INT_R TILEPROP INT_R_X1Y87 CLASS tile TILEPROP INT_R_X1Y87 COLUMN 5 TILEPROP INT_R_X1Y87 DEVICE_ID 0 TILEPROP INT_R_X1Y87 FIRST_SITE_ID 6325 TILEPROP INT_R_X1Y87 GRID_POINT_X 5 TILEPROP INT_R_X1Y87 GRID_POINT_Y 65 TILEPROP INT_R_X1Y87 INDEX 7480 TILEPROP INT_R_X1Y87 INT_TILE_X 1 TILEPROP INT_R_X1Y87 INT_TILE_Y 62 TILEPROP INT_R_X1Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y87 IS_DCM_TILE 0 TILEPROP INT_R_X1Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y87 NAME INT_R_X1Y87 TILEPROP INT_R_X1Y87 NUM_ARCS 3737 TILEPROP INT_R_X1Y87 NUM_SITES 1 TILEPROP INT_R_X1Y87 ROW 65 TILEPROP INT_R_X1Y87 SLR_REGION_ID 0 TILEPROP INT_R_X1Y87 TILE_PATTERN_IDX 5868 TILEPROP INT_R_X1Y87 TILE_TYPE INT_R TILEPROP INT_R_X1Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y87 TILE_X -93710 TILEPROP INT_R_X1Y87 TILE_Y 41024 TILEPROP INT_R_X1Y87 TYPE INT_R TILEPROP INT_R_X1Y88 CLASS tile TILEPROP INT_R_X1Y88 COLUMN 5 TILEPROP INT_R_X1Y88 DEVICE_ID 0 TILEPROP INT_R_X1Y88 FIRST_SITE_ID 6225 TILEPROP INT_R_X1Y88 GRID_POINT_X 5 TILEPROP INT_R_X1Y88 GRID_POINT_Y 64 TILEPROP INT_R_X1Y88 INDEX 7365 TILEPROP INT_R_X1Y88 INT_TILE_X 1 TILEPROP INT_R_X1Y88 INT_TILE_Y 61 TILEPROP INT_R_X1Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y88 IS_DCM_TILE 0 TILEPROP INT_R_X1Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y88 NAME INT_R_X1Y88 TILEPROP INT_R_X1Y88 NUM_ARCS 3737 TILEPROP INT_R_X1Y88 NUM_SITES 1 TILEPROP INT_R_X1Y88 ROW 64 TILEPROP INT_R_X1Y88 SLR_REGION_ID 0 TILEPROP INT_R_X1Y88 TILE_PATTERN_IDX 5835 TILEPROP INT_R_X1Y88 TILE_TYPE INT_R TILEPROP INT_R_X1Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y88 TILE_X -93710 TILEPROP INT_R_X1Y88 TILE_Y 44224 TILEPROP INT_R_X1Y88 TYPE INT_R TILEPROP INT_R_X1Y89 CLASS tile TILEPROP INT_R_X1Y89 COLUMN 5 TILEPROP INT_R_X1Y89 DEVICE_ID 0 TILEPROP INT_R_X1Y89 FIRST_SITE_ID 6125 TILEPROP INT_R_X1Y89 GRID_POINT_X 5 TILEPROP INT_R_X1Y89 GRID_POINT_Y 63 TILEPROP INT_R_X1Y89 INDEX 7250 TILEPROP INT_R_X1Y89 INT_TILE_X 1 TILEPROP INT_R_X1Y89 INT_TILE_Y 60 TILEPROP INT_R_X1Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y89 IS_DCM_TILE 0 TILEPROP INT_R_X1Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y89 NAME INT_R_X1Y89 TILEPROP INT_R_X1Y89 NUM_ARCS 3737 TILEPROP INT_R_X1Y89 NUM_SITES 1 TILEPROP INT_R_X1Y89 ROW 63 TILEPROP INT_R_X1Y89 SLR_REGION_ID 0 TILEPROP INT_R_X1Y89 TILE_PATTERN_IDX 5801 TILEPROP INT_R_X1Y89 TILE_TYPE INT_R TILEPROP INT_R_X1Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y89 TILE_X -93710 TILEPROP INT_R_X1Y89 TILE_Y 47424 TILEPROP INT_R_X1Y89 TYPE INT_R TILEPROP INT_R_X1Y90 CLASS tile TILEPROP INT_R_X1Y90 COLUMN 5 TILEPROP INT_R_X1Y90 DEVICE_ID 0 TILEPROP INT_R_X1Y90 FIRST_SITE_ID 6010 TILEPROP INT_R_X1Y90 GRID_POINT_X 5 TILEPROP INT_R_X1Y90 GRID_POINT_Y 62 TILEPROP INT_R_X1Y90 INDEX 7135 TILEPROP INT_R_X1Y90 INT_TILE_X 1 TILEPROP INT_R_X1Y90 INT_TILE_Y 59 TILEPROP INT_R_X1Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y90 IS_DCM_TILE 0 TILEPROP INT_R_X1Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y90 NAME INT_R_X1Y90 TILEPROP INT_R_X1Y90 NUM_ARCS 3737 TILEPROP INT_R_X1Y90 NUM_SITES 1 TILEPROP INT_R_X1Y90 ROW 62 TILEPROP INT_R_X1Y90 SLR_REGION_ID 0 TILEPROP INT_R_X1Y90 TILE_PATTERN_IDX 5767 TILEPROP INT_R_X1Y90 TILE_TYPE INT_R TILEPROP INT_R_X1Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y90 TILE_X -93710 TILEPROP INT_R_X1Y90 TILE_Y 50624 TILEPROP INT_R_X1Y90 TYPE INT_R TILEPROP INT_R_X1Y91 CLASS tile TILEPROP INT_R_X1Y91 COLUMN 5 TILEPROP INT_R_X1Y91 DEVICE_ID 0 TILEPROP INT_R_X1Y91 FIRST_SITE_ID 5910 TILEPROP INT_R_X1Y91 GRID_POINT_X 5 TILEPROP INT_R_X1Y91 GRID_POINT_Y 61 TILEPROP INT_R_X1Y91 INDEX 7020 TILEPROP INT_R_X1Y91 INT_TILE_X 1 TILEPROP INT_R_X1Y91 INT_TILE_Y 58 TILEPROP INT_R_X1Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y91 IS_DCM_TILE 0 TILEPROP INT_R_X1Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y91 NAME INT_R_X1Y91 TILEPROP INT_R_X1Y91 NUM_ARCS 3737 TILEPROP INT_R_X1Y91 NUM_SITES 1 TILEPROP INT_R_X1Y91 ROW 61 TILEPROP INT_R_X1Y91 SLR_REGION_ID 0 TILEPROP INT_R_X1Y91 TILE_PATTERN_IDX 5733 TILEPROP INT_R_X1Y91 TILE_TYPE INT_R TILEPROP INT_R_X1Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y91 TILE_X -93710 TILEPROP INT_R_X1Y91 TILE_Y 53824 TILEPROP INT_R_X1Y91 TYPE INT_R TILEPROP INT_R_X1Y92 CLASS tile TILEPROP INT_R_X1Y92 COLUMN 5 TILEPROP INT_R_X1Y92 DEVICE_ID 0 TILEPROP INT_R_X1Y92 FIRST_SITE_ID 5808 TILEPROP INT_R_X1Y92 GRID_POINT_X 5 TILEPROP INT_R_X1Y92 GRID_POINT_Y 60 TILEPROP INT_R_X1Y92 INDEX 6905 TILEPROP INT_R_X1Y92 INT_TILE_X 1 TILEPROP INT_R_X1Y92 INT_TILE_Y 57 TILEPROP INT_R_X1Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y92 IS_DCM_TILE 0 TILEPROP INT_R_X1Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y92 NAME INT_R_X1Y92 TILEPROP INT_R_X1Y92 NUM_ARCS 3737 TILEPROP INT_R_X1Y92 NUM_SITES 1 TILEPROP INT_R_X1Y92 ROW 60 TILEPROP INT_R_X1Y92 SLR_REGION_ID 0 TILEPROP INT_R_X1Y92 TILE_PATTERN_IDX 5700 TILEPROP INT_R_X1Y92 TILE_TYPE INT_R TILEPROP INT_R_X1Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y92 TILE_X -93710 TILEPROP INT_R_X1Y92 TILE_Y 57024 TILEPROP INT_R_X1Y92 TYPE INT_R TILEPROP INT_R_X1Y93 CLASS tile TILEPROP INT_R_X1Y93 COLUMN 5 TILEPROP INT_R_X1Y93 DEVICE_ID 0 TILEPROP INT_R_X1Y93 FIRST_SITE_ID 5704 TILEPROP INT_R_X1Y93 GRID_POINT_X 5 TILEPROP INT_R_X1Y93 GRID_POINT_Y 59 TILEPROP INT_R_X1Y93 INDEX 6790 TILEPROP INT_R_X1Y93 INT_TILE_X 1 TILEPROP INT_R_X1Y93 INT_TILE_Y 56 TILEPROP INT_R_X1Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y93 IS_DCM_TILE 0 TILEPROP INT_R_X1Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y93 NAME INT_R_X1Y93 TILEPROP INT_R_X1Y93 NUM_ARCS 3737 TILEPROP INT_R_X1Y93 NUM_SITES 1 TILEPROP INT_R_X1Y93 ROW 59 TILEPROP INT_R_X1Y93 SLR_REGION_ID 0 TILEPROP INT_R_X1Y93 TILE_PATTERN_IDX 5666 TILEPROP INT_R_X1Y93 TILE_TYPE INT_R TILEPROP INT_R_X1Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y93 TILE_X -93710 TILEPROP INT_R_X1Y93 TILE_Y 60224 TILEPROP INT_R_X1Y93 TYPE INT_R TILEPROP INT_R_X1Y94 CLASS tile TILEPROP INT_R_X1Y94 COLUMN 5 TILEPROP INT_R_X1Y94 DEVICE_ID 0 TILEPROP INT_R_X1Y94 FIRST_SITE_ID 5604 TILEPROP INT_R_X1Y94 GRID_POINT_X 5 TILEPROP INT_R_X1Y94 GRID_POINT_Y 58 TILEPROP INT_R_X1Y94 INDEX 6675 TILEPROP INT_R_X1Y94 INT_TILE_X 1 TILEPROP INT_R_X1Y94 INT_TILE_Y 55 TILEPROP INT_R_X1Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y94 IS_DCM_TILE 0 TILEPROP INT_R_X1Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y94 NAME INT_R_X1Y94 TILEPROP INT_R_X1Y94 NUM_ARCS 3737 TILEPROP INT_R_X1Y94 NUM_SITES 1 TILEPROP INT_R_X1Y94 ROW 58 TILEPROP INT_R_X1Y94 SLR_REGION_ID 0 TILEPROP INT_R_X1Y94 TILE_PATTERN_IDX 5632 TILEPROP INT_R_X1Y94 TILE_TYPE INT_R TILEPROP INT_R_X1Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y94 TILE_X -93710 TILEPROP INT_R_X1Y94 TILE_Y 63424 TILEPROP INT_R_X1Y94 TYPE INT_R TILEPROP INT_R_X1Y95 CLASS tile TILEPROP INT_R_X1Y95 COLUMN 5 TILEPROP INT_R_X1Y95 DEVICE_ID 0 TILEPROP INT_R_X1Y95 FIRST_SITE_ID 5489 TILEPROP INT_R_X1Y95 GRID_POINT_X 5 TILEPROP INT_R_X1Y95 GRID_POINT_Y 57 TILEPROP INT_R_X1Y95 INDEX 6560 TILEPROP INT_R_X1Y95 INT_TILE_X 1 TILEPROP INT_R_X1Y95 INT_TILE_Y 54 TILEPROP INT_R_X1Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y95 IS_DCM_TILE 0 TILEPROP INT_R_X1Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y95 NAME INT_R_X1Y95 TILEPROP INT_R_X1Y95 NUM_ARCS 3737 TILEPROP INT_R_X1Y95 NUM_SITES 1 TILEPROP INT_R_X1Y95 ROW 57 TILEPROP INT_R_X1Y95 SLR_REGION_ID 0 TILEPROP INT_R_X1Y95 TILE_PATTERN_IDX 5597 TILEPROP INT_R_X1Y95 TILE_TYPE INT_R TILEPROP INT_R_X1Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y95 TILE_X -93710 TILEPROP INT_R_X1Y95 TILE_Y 66624 TILEPROP INT_R_X1Y95 TYPE INT_R TILEPROP INT_R_X1Y96 CLASS tile TILEPROP INT_R_X1Y96 COLUMN 5 TILEPROP INT_R_X1Y96 DEVICE_ID 0 TILEPROP INT_R_X1Y96 FIRST_SITE_ID 5389 TILEPROP INT_R_X1Y96 GRID_POINT_X 5 TILEPROP INT_R_X1Y96 GRID_POINT_Y 56 TILEPROP INT_R_X1Y96 INDEX 6445 TILEPROP INT_R_X1Y96 INT_TILE_X 1 TILEPROP INT_R_X1Y96 INT_TILE_Y 53 TILEPROP INT_R_X1Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y96 IS_DCM_TILE 0 TILEPROP INT_R_X1Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y96 NAME INT_R_X1Y96 TILEPROP INT_R_X1Y96 NUM_ARCS 3737 TILEPROP INT_R_X1Y96 NUM_SITES 1 TILEPROP INT_R_X1Y96 ROW 56 TILEPROP INT_R_X1Y96 SLR_REGION_ID 0 TILEPROP INT_R_X1Y96 TILE_PATTERN_IDX 5563 TILEPROP INT_R_X1Y96 TILE_TYPE INT_R TILEPROP INT_R_X1Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y96 TILE_X -93710 TILEPROP INT_R_X1Y96 TILE_Y 69824 TILEPROP INT_R_X1Y96 TYPE INT_R TILEPROP INT_R_X1Y97 CLASS tile TILEPROP INT_R_X1Y97 COLUMN 5 TILEPROP INT_R_X1Y97 DEVICE_ID 0 TILEPROP INT_R_X1Y97 FIRST_SITE_ID 5289 TILEPROP INT_R_X1Y97 GRID_POINT_X 5 TILEPROP INT_R_X1Y97 GRID_POINT_Y 55 TILEPROP INT_R_X1Y97 INDEX 6330 TILEPROP INT_R_X1Y97 INT_TILE_X 1 TILEPROP INT_R_X1Y97 INT_TILE_Y 52 TILEPROP INT_R_X1Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y97 IS_DCM_TILE 0 TILEPROP INT_R_X1Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y97 NAME INT_R_X1Y97 TILEPROP INT_R_X1Y97 NUM_ARCS 3737 TILEPROP INT_R_X1Y97 NUM_SITES 1 TILEPROP INT_R_X1Y97 ROW 55 TILEPROP INT_R_X1Y97 SLR_REGION_ID 0 TILEPROP INT_R_X1Y97 TILE_PATTERN_IDX 5529 TILEPROP INT_R_X1Y97 TILE_TYPE INT_R TILEPROP INT_R_X1Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y97 TILE_X -93710 TILEPROP INT_R_X1Y97 TILE_Y 73024 TILEPROP INT_R_X1Y97 TYPE INT_R TILEPROP INT_R_X1Y98 CLASS tile TILEPROP INT_R_X1Y98 COLUMN 5 TILEPROP INT_R_X1Y98 DEVICE_ID 0 TILEPROP INT_R_X1Y98 FIRST_SITE_ID 5189 TILEPROP INT_R_X1Y98 GRID_POINT_X 5 TILEPROP INT_R_X1Y98 GRID_POINT_Y 54 TILEPROP INT_R_X1Y98 INDEX 6215 TILEPROP INT_R_X1Y98 INT_TILE_X 1 TILEPROP INT_R_X1Y98 INT_TILE_Y 51 TILEPROP INT_R_X1Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y98 IS_DCM_TILE 0 TILEPROP INT_R_X1Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y98 NAME INT_R_X1Y98 TILEPROP INT_R_X1Y98 NUM_ARCS 3737 TILEPROP INT_R_X1Y98 NUM_SITES 1 TILEPROP INT_R_X1Y98 ROW 54 TILEPROP INT_R_X1Y98 SLR_REGION_ID 0 TILEPROP INT_R_X1Y98 TILE_PATTERN_IDX 5495 TILEPROP INT_R_X1Y98 TILE_TYPE INT_R TILEPROP INT_R_X1Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y98 TILE_X -93710 TILEPROP INT_R_X1Y98 TILE_Y 76224 TILEPROP INT_R_X1Y98 TYPE INT_R TILEPROP INT_R_X1Y99 CLASS tile TILEPROP INT_R_X1Y99 COLUMN 5 TILEPROP INT_R_X1Y99 DEVICE_ID 0 TILEPROP INT_R_X1Y99 FIRST_SITE_ID 5093 TILEPROP INT_R_X1Y99 GRID_POINT_X 5 TILEPROP INT_R_X1Y99 GRID_POINT_Y 53 TILEPROP INT_R_X1Y99 INDEX 6100 TILEPROP INT_R_X1Y99 INT_TILE_X 1 TILEPROP INT_R_X1Y99 INT_TILE_Y 50 TILEPROP INT_R_X1Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y99 IS_DCM_TILE 0 TILEPROP INT_R_X1Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y99 NAME INT_R_X1Y99 TILEPROP INT_R_X1Y99 NUM_ARCS 3737 TILEPROP INT_R_X1Y99 NUM_SITES 1 TILEPROP INT_R_X1Y99 ROW 53 TILEPROP INT_R_X1Y99 SLR_REGION_ID 0 TILEPROP INT_R_X1Y99 TILE_PATTERN_IDX 5462 TILEPROP INT_R_X1Y99 TILE_TYPE INT_R TILEPROP INT_R_X1Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y99 TILE_X -93710 TILEPROP INT_R_X1Y99 TILE_Y 79424 TILEPROP INT_R_X1Y99 TYPE INT_R TILEPROP INT_R_X1Y100 CLASS tile TILEPROP INT_R_X1Y100 COLUMN 5 TILEPROP INT_R_X1Y100 DEVICE_ID 0 TILEPROP INT_R_X1Y100 FIRST_SITE_ID 4992 TILEPROP INT_R_X1Y100 GRID_POINT_X 5 TILEPROP INT_R_X1Y100 GRID_POINT_Y 51 TILEPROP INT_R_X1Y100 INDEX 5870 TILEPROP INT_R_X1Y100 INT_TILE_X 1 TILEPROP INT_R_X1Y100 INT_TILE_Y 49 TILEPROP INT_R_X1Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y100 IS_DCM_TILE 0 TILEPROP INT_R_X1Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y100 NAME INT_R_X1Y100 TILEPROP INT_R_X1Y100 NUM_ARCS 3737 TILEPROP INT_R_X1Y100 NUM_SITES 1 TILEPROP INT_R_X1Y100 ROW 51 TILEPROP INT_R_X1Y100 SLR_REGION_ID 0 TILEPROP INT_R_X1Y100 TILE_PATTERN_IDX 5425 TILEPROP INT_R_X1Y100 TILE_TYPE INT_R TILEPROP INT_R_X1Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y100 TILE_X -93710 TILEPROP INT_R_X1Y100 TILE_Y 82872 TILEPROP INT_R_X1Y100 TYPE INT_R TILEPROP INT_R_X1Y101 CLASS tile TILEPROP INT_R_X1Y101 COLUMN 5 TILEPROP INT_R_X1Y101 DEVICE_ID 0 TILEPROP INT_R_X1Y101 FIRST_SITE_ID 4900 TILEPROP INT_R_X1Y101 GRID_POINT_X 5 TILEPROP INT_R_X1Y101 GRID_POINT_Y 50 TILEPROP INT_R_X1Y101 INDEX 5755 TILEPROP INT_R_X1Y101 INT_TILE_X 1 TILEPROP INT_R_X1Y101 INT_TILE_Y 48 TILEPROP INT_R_X1Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y101 IS_DCM_TILE 0 TILEPROP INT_R_X1Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y101 NAME INT_R_X1Y101 TILEPROP INT_R_X1Y101 NUM_ARCS 3737 TILEPROP INT_R_X1Y101 NUM_SITES 1 TILEPROP INT_R_X1Y101 ROW 50 TILEPROP INT_R_X1Y101 SLR_REGION_ID 0 TILEPROP INT_R_X1Y101 TILE_PATTERN_IDX 5389 TILEPROP INT_R_X1Y101 TILE_TYPE INT_R TILEPROP INT_R_X1Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y101 TILE_X -93710 TILEPROP INT_R_X1Y101 TILE_Y 86072 TILEPROP INT_R_X1Y101 TYPE INT_R TILEPROP INT_R_X1Y102 CLASS tile TILEPROP INT_R_X1Y102 COLUMN 5 TILEPROP INT_R_X1Y102 DEVICE_ID 0 TILEPROP INT_R_X1Y102 FIRST_SITE_ID 4804 TILEPROP INT_R_X1Y102 GRID_POINT_X 5 TILEPROP INT_R_X1Y102 GRID_POINT_Y 49 TILEPROP INT_R_X1Y102 INDEX 5640 TILEPROP INT_R_X1Y102 INT_TILE_X 1 TILEPROP INT_R_X1Y102 INT_TILE_Y 47 TILEPROP INT_R_X1Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y102 IS_DCM_TILE 0 TILEPROP INT_R_X1Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y102 NAME INT_R_X1Y102 TILEPROP INT_R_X1Y102 NUM_ARCS 3737 TILEPROP INT_R_X1Y102 NUM_SITES 1 TILEPROP INT_R_X1Y102 ROW 49 TILEPROP INT_R_X1Y102 SLR_REGION_ID 0 TILEPROP INT_R_X1Y102 TILE_PATTERN_IDX 5352 TILEPROP INT_R_X1Y102 TILE_TYPE INT_R TILEPROP INT_R_X1Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y102 TILE_X -93710 TILEPROP INT_R_X1Y102 TILE_Y 89272 TILEPROP INT_R_X1Y102 TYPE INT_R TILEPROP INT_R_X1Y103 CLASS tile TILEPROP INT_R_X1Y103 COLUMN 5 TILEPROP INT_R_X1Y103 DEVICE_ID 0 TILEPROP INT_R_X1Y103 FIRST_SITE_ID 4716 TILEPROP INT_R_X1Y103 GRID_POINT_X 5 TILEPROP INT_R_X1Y103 GRID_POINT_Y 48 TILEPROP INT_R_X1Y103 INDEX 5525 TILEPROP INT_R_X1Y103 INT_TILE_X 1 TILEPROP INT_R_X1Y103 INT_TILE_Y 46 TILEPROP INT_R_X1Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y103 IS_DCM_TILE 0 TILEPROP INT_R_X1Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y103 NAME INT_R_X1Y103 TILEPROP INT_R_X1Y103 NUM_ARCS 3737 TILEPROP INT_R_X1Y103 NUM_SITES 1 TILEPROP INT_R_X1Y103 ROW 48 TILEPROP INT_R_X1Y103 SLR_REGION_ID 0 TILEPROP INT_R_X1Y103 TILE_PATTERN_IDX 5316 TILEPROP INT_R_X1Y103 TILE_TYPE INT_R TILEPROP INT_R_X1Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y103 TILE_X -93710 TILEPROP INT_R_X1Y103 TILE_Y 92472 TILEPROP INT_R_X1Y103 TYPE INT_R TILEPROP INT_R_X1Y104 CLASS tile TILEPROP INT_R_X1Y104 COLUMN 5 TILEPROP INT_R_X1Y104 DEVICE_ID 0 TILEPROP INT_R_X1Y104 FIRST_SITE_ID 4620 TILEPROP INT_R_X1Y104 GRID_POINT_X 5 TILEPROP INT_R_X1Y104 GRID_POINT_Y 47 TILEPROP INT_R_X1Y104 INDEX 5410 TILEPROP INT_R_X1Y104 INT_TILE_X 1 TILEPROP INT_R_X1Y104 INT_TILE_Y 45 TILEPROP INT_R_X1Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y104 IS_DCM_TILE 0 TILEPROP INT_R_X1Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y104 NAME INT_R_X1Y104 TILEPROP INT_R_X1Y104 NUM_ARCS 3737 TILEPROP INT_R_X1Y104 NUM_SITES 1 TILEPROP INT_R_X1Y104 ROW 47 TILEPROP INT_R_X1Y104 SLR_REGION_ID 0 TILEPROP INT_R_X1Y104 TILE_PATTERN_IDX 5279 TILEPROP INT_R_X1Y104 TILE_TYPE INT_R TILEPROP INT_R_X1Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y104 TILE_X -93710 TILEPROP INT_R_X1Y104 TILE_Y 95672 TILEPROP INT_R_X1Y104 TYPE INT_R TILEPROP INT_R_X1Y105 CLASS tile TILEPROP INT_R_X1Y105 COLUMN 5 TILEPROP INT_R_X1Y105 DEVICE_ID 0 TILEPROP INT_R_X1Y105 FIRST_SITE_ID 4518 TILEPROP INT_R_X1Y105 GRID_POINT_X 5 TILEPROP INT_R_X1Y105 GRID_POINT_Y 46 TILEPROP INT_R_X1Y105 INDEX 5295 TILEPROP INT_R_X1Y105 INT_TILE_X 1 TILEPROP INT_R_X1Y105 INT_TILE_Y 44 TILEPROP INT_R_X1Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y105 IS_DCM_TILE 0 TILEPROP INT_R_X1Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y105 NAME INT_R_X1Y105 TILEPROP INT_R_X1Y105 NUM_ARCS 3737 TILEPROP INT_R_X1Y105 NUM_SITES 1 TILEPROP INT_R_X1Y105 ROW 46 TILEPROP INT_R_X1Y105 SLR_REGION_ID 0 TILEPROP INT_R_X1Y105 TILE_PATTERN_IDX 5243 TILEPROP INT_R_X1Y105 TILE_TYPE INT_R TILEPROP INT_R_X1Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y105 TILE_X -93710 TILEPROP INT_R_X1Y105 TILE_Y 98872 TILEPROP INT_R_X1Y105 TYPE INT_R TILEPROP INT_R_X1Y106 CLASS tile TILEPROP INT_R_X1Y106 COLUMN 5 TILEPROP INT_R_X1Y106 DEVICE_ID 0 TILEPROP INT_R_X1Y106 FIRST_SITE_ID 4422 TILEPROP INT_R_X1Y106 GRID_POINT_X 5 TILEPROP INT_R_X1Y106 GRID_POINT_Y 45 TILEPROP INT_R_X1Y106 INDEX 5180 TILEPROP INT_R_X1Y106 INT_TILE_X 1 TILEPROP INT_R_X1Y106 INT_TILE_Y 43 TILEPROP INT_R_X1Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y106 IS_DCM_TILE 0 TILEPROP INT_R_X1Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y106 NAME INT_R_X1Y106 TILEPROP INT_R_X1Y106 NUM_ARCS 3737 TILEPROP INT_R_X1Y106 NUM_SITES 1 TILEPROP INT_R_X1Y106 ROW 45 TILEPROP INT_R_X1Y106 SLR_REGION_ID 0 TILEPROP INT_R_X1Y106 TILE_PATTERN_IDX 5206 TILEPROP INT_R_X1Y106 TILE_TYPE INT_R TILEPROP INT_R_X1Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y106 TILE_X -93710 TILEPROP INT_R_X1Y106 TILE_Y 102072 TILEPROP INT_R_X1Y106 TYPE INT_R TILEPROP INT_R_X1Y107 CLASS tile TILEPROP INT_R_X1Y107 COLUMN 5 TILEPROP INT_R_X1Y107 DEVICE_ID 0 TILEPROP INT_R_X1Y107 FIRST_SITE_ID 4332 TILEPROP INT_R_X1Y107 GRID_POINT_X 5 TILEPROP INT_R_X1Y107 GRID_POINT_Y 44 TILEPROP INT_R_X1Y107 INDEX 5065 TILEPROP INT_R_X1Y107 INT_TILE_X 1 TILEPROP INT_R_X1Y107 INT_TILE_Y 42 TILEPROP INT_R_X1Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y107 IS_DCM_TILE 0 TILEPROP INT_R_X1Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y107 NAME INT_R_X1Y107 TILEPROP INT_R_X1Y107 NUM_ARCS 3737 TILEPROP INT_R_X1Y107 NUM_SITES 1 TILEPROP INT_R_X1Y107 ROW 44 TILEPROP INT_R_X1Y107 SLR_REGION_ID 0 TILEPROP INT_R_X1Y107 TILE_PATTERN_IDX 5170 TILEPROP INT_R_X1Y107 TILE_TYPE INT_R TILEPROP INT_R_X1Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y107 TILE_X -93710 TILEPROP INT_R_X1Y107 TILE_Y 105272 TILEPROP INT_R_X1Y107 TYPE INT_R TILEPROP INT_R_X1Y108 CLASS tile TILEPROP INT_R_X1Y108 COLUMN 5 TILEPROP INT_R_X1Y108 DEVICE_ID 0 TILEPROP INT_R_X1Y108 FIRST_SITE_ID 4235 TILEPROP INT_R_X1Y108 GRID_POINT_X 5 TILEPROP INT_R_X1Y108 GRID_POINT_Y 43 TILEPROP INT_R_X1Y108 INDEX 4950 TILEPROP INT_R_X1Y108 INT_TILE_X 1 TILEPROP INT_R_X1Y108 INT_TILE_Y 41 TILEPROP INT_R_X1Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y108 IS_DCM_TILE 0 TILEPROP INT_R_X1Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y108 NAME INT_R_X1Y108 TILEPROP INT_R_X1Y108 NUM_ARCS 3737 TILEPROP INT_R_X1Y108 NUM_SITES 1 TILEPROP INT_R_X1Y108 ROW 43 TILEPROP INT_R_X1Y108 SLR_REGION_ID 0 TILEPROP INT_R_X1Y108 TILE_PATTERN_IDX 5133 TILEPROP INT_R_X1Y108 TILE_TYPE INT_R TILEPROP INT_R_X1Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y108 TILE_X -93710 TILEPROP INT_R_X1Y108 TILE_Y 108472 TILEPROP INT_R_X1Y108 TYPE INT_R TILEPROP INT_R_X1Y109 CLASS tile TILEPROP INT_R_X1Y109 COLUMN 5 TILEPROP INT_R_X1Y109 DEVICE_ID 0 TILEPROP INT_R_X1Y109 FIRST_SITE_ID 4147 TILEPROP INT_R_X1Y109 GRID_POINT_X 5 TILEPROP INT_R_X1Y109 GRID_POINT_Y 42 TILEPROP INT_R_X1Y109 INDEX 4835 TILEPROP INT_R_X1Y109 INT_TILE_X 1 TILEPROP INT_R_X1Y109 INT_TILE_Y 40 TILEPROP INT_R_X1Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y109 IS_DCM_TILE 0 TILEPROP INT_R_X1Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y109 NAME INT_R_X1Y109 TILEPROP INT_R_X1Y109 NUM_ARCS 3737 TILEPROP INT_R_X1Y109 NUM_SITES 1 TILEPROP INT_R_X1Y109 ROW 42 TILEPROP INT_R_X1Y109 SLR_REGION_ID 0 TILEPROP INT_R_X1Y109 TILE_PATTERN_IDX 5097 TILEPROP INT_R_X1Y109 TILE_TYPE INT_R TILEPROP INT_R_X1Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y109 TILE_X -93710 TILEPROP INT_R_X1Y109 TILE_Y 111672 TILEPROP INT_R_X1Y109 TYPE INT_R TILEPROP INT_R_X1Y110 CLASS tile TILEPROP INT_R_X1Y110 COLUMN 5 TILEPROP INT_R_X1Y110 DEVICE_ID 0 TILEPROP INT_R_X1Y110 FIRST_SITE_ID 4041 TILEPROP INT_R_X1Y110 GRID_POINT_X 5 TILEPROP INT_R_X1Y110 GRID_POINT_Y 41 TILEPROP INT_R_X1Y110 INDEX 4720 TILEPROP INT_R_X1Y110 INT_TILE_X 1 TILEPROP INT_R_X1Y110 INT_TILE_Y 39 TILEPROP INT_R_X1Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y110 IS_DCM_TILE 0 TILEPROP INT_R_X1Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y110 NAME INT_R_X1Y110 TILEPROP INT_R_X1Y110 NUM_ARCS 3737 TILEPROP INT_R_X1Y110 NUM_SITES 1 TILEPROP INT_R_X1Y110 ROW 41 TILEPROP INT_R_X1Y110 SLR_REGION_ID 0 TILEPROP INT_R_X1Y110 TILE_PATTERN_IDX 5060 TILEPROP INT_R_X1Y110 TILE_TYPE INT_R TILEPROP INT_R_X1Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y110 TILE_X -93710 TILEPROP INT_R_X1Y110 TILE_Y 114872 TILEPROP INT_R_X1Y110 TYPE INT_R TILEPROP INT_R_X1Y111 CLASS tile TILEPROP INT_R_X1Y111 COLUMN 5 TILEPROP INT_R_X1Y111 DEVICE_ID 0 TILEPROP INT_R_X1Y111 FIRST_SITE_ID 3953 TILEPROP INT_R_X1Y111 GRID_POINT_X 5 TILEPROP INT_R_X1Y111 GRID_POINT_Y 40 TILEPROP INT_R_X1Y111 INDEX 4605 TILEPROP INT_R_X1Y111 INT_TILE_X 1 TILEPROP INT_R_X1Y111 INT_TILE_Y 38 TILEPROP INT_R_X1Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y111 IS_DCM_TILE 0 TILEPROP INT_R_X1Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y111 NAME INT_R_X1Y111 TILEPROP INT_R_X1Y111 NUM_ARCS 3737 TILEPROP INT_R_X1Y111 NUM_SITES 1 TILEPROP INT_R_X1Y111 ROW 40 TILEPROP INT_R_X1Y111 SLR_REGION_ID 0 TILEPROP INT_R_X1Y111 TILE_PATTERN_IDX 5024 TILEPROP INT_R_X1Y111 TILE_TYPE INT_R TILEPROP INT_R_X1Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y111 TILE_X -93710 TILEPROP INT_R_X1Y111 TILE_Y 118072 TILEPROP INT_R_X1Y111 TYPE INT_R TILEPROP INT_R_X1Y112 CLASS tile TILEPROP INT_R_X1Y112 COLUMN 5 TILEPROP INT_R_X1Y112 DEVICE_ID 0 TILEPROP INT_R_X1Y112 FIRST_SITE_ID 3825 TILEPROP INT_R_X1Y112 GRID_POINT_X 5 TILEPROP INT_R_X1Y112 GRID_POINT_Y 39 TILEPROP INT_R_X1Y112 INDEX 4490 TILEPROP INT_R_X1Y112 INT_TILE_X 1 TILEPROP INT_R_X1Y112 INT_TILE_Y 37 TILEPROP INT_R_X1Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y112 IS_DCM_TILE 0 TILEPROP INT_R_X1Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y112 NAME INT_R_X1Y112 TILEPROP INT_R_X1Y112 NUM_ARCS 3737 TILEPROP INT_R_X1Y112 NUM_SITES 1 TILEPROP INT_R_X1Y112 ROW 39 TILEPROP INT_R_X1Y112 SLR_REGION_ID 0 TILEPROP INT_R_X1Y112 TILE_PATTERN_IDX 4986 TILEPROP INT_R_X1Y112 TILE_TYPE INT_R TILEPROP INT_R_X1Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y112 TILE_X -93710 TILEPROP INT_R_X1Y112 TILE_Y 121272 TILEPROP INT_R_X1Y112 TYPE INT_R TILEPROP INT_R_X1Y113 CLASS tile TILEPROP INT_R_X1Y113 COLUMN 5 TILEPROP INT_R_X1Y113 DEVICE_ID 0 TILEPROP INT_R_X1Y113 FIRST_SITE_ID 3737 TILEPROP INT_R_X1Y113 GRID_POINT_X 5 TILEPROP INT_R_X1Y113 GRID_POINT_Y 38 TILEPROP INT_R_X1Y113 INDEX 4375 TILEPROP INT_R_X1Y113 INT_TILE_X 1 TILEPROP INT_R_X1Y113 INT_TILE_Y 36 TILEPROP INT_R_X1Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y113 IS_DCM_TILE 0 TILEPROP INT_R_X1Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y113 NAME INT_R_X1Y113 TILEPROP INT_R_X1Y113 NUM_ARCS 3737 TILEPROP INT_R_X1Y113 NUM_SITES 1 TILEPROP INT_R_X1Y113 ROW 38 TILEPROP INT_R_X1Y113 SLR_REGION_ID 0 TILEPROP INT_R_X1Y113 TILE_PATTERN_IDX 4950 TILEPROP INT_R_X1Y113 TILE_TYPE INT_R TILEPROP INT_R_X1Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y113 TILE_X -93710 TILEPROP INT_R_X1Y113 TILE_Y 124472 TILEPROP INT_R_X1Y113 TYPE INT_R TILEPROP INT_R_X1Y114 CLASS tile TILEPROP INT_R_X1Y114 COLUMN 5 TILEPROP INT_R_X1Y114 DEVICE_ID 0 TILEPROP INT_R_X1Y114 FIRST_SITE_ID 3641 TILEPROP INT_R_X1Y114 GRID_POINT_X 5 TILEPROP INT_R_X1Y114 GRID_POINT_Y 37 TILEPROP INT_R_X1Y114 INDEX 4260 TILEPROP INT_R_X1Y114 INT_TILE_X 1 TILEPROP INT_R_X1Y114 INT_TILE_Y 35 TILEPROP INT_R_X1Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y114 IS_DCM_TILE 0 TILEPROP INT_R_X1Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y114 NAME INT_R_X1Y114 TILEPROP INT_R_X1Y114 NUM_ARCS 3737 TILEPROP INT_R_X1Y114 NUM_SITES 1 TILEPROP INT_R_X1Y114 ROW 37 TILEPROP INT_R_X1Y114 SLR_REGION_ID 0 TILEPROP INT_R_X1Y114 TILE_PATTERN_IDX 4913 TILEPROP INT_R_X1Y114 TILE_TYPE INT_R TILEPROP INT_R_X1Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y114 TILE_X -93710 TILEPROP INT_R_X1Y114 TILE_Y 127672 TILEPROP INT_R_X1Y114 TYPE INT_R TILEPROP INT_R_X1Y115 CLASS tile TILEPROP INT_R_X1Y115 COLUMN 5 TILEPROP INT_R_X1Y115 DEVICE_ID 0 TILEPROP INT_R_X1Y115 FIRST_SITE_ID 3544 TILEPROP INT_R_X1Y115 GRID_POINT_X 5 TILEPROP INT_R_X1Y115 GRID_POINT_Y 36 TILEPROP INT_R_X1Y115 INDEX 4145 TILEPROP INT_R_X1Y115 INT_TILE_X 1 TILEPROP INT_R_X1Y115 INT_TILE_Y 34 TILEPROP INT_R_X1Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y115 IS_DCM_TILE 0 TILEPROP INT_R_X1Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y115 NAME INT_R_X1Y115 TILEPROP INT_R_X1Y115 NUM_ARCS 3737 TILEPROP INT_R_X1Y115 NUM_SITES 1 TILEPROP INT_R_X1Y115 ROW 36 TILEPROP INT_R_X1Y115 SLR_REGION_ID 0 TILEPROP INT_R_X1Y115 TILE_PATTERN_IDX 4877 TILEPROP INT_R_X1Y115 TILE_TYPE INT_R TILEPROP INT_R_X1Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y115 TILE_X -93710 TILEPROP INT_R_X1Y115 TILE_Y 130872 TILEPROP INT_R_X1Y115 TYPE INT_R TILEPROP INT_R_X1Y116 CLASS tile TILEPROP INT_R_X1Y116 COLUMN 5 TILEPROP INT_R_X1Y116 DEVICE_ID 0 TILEPROP INT_R_X1Y116 FIRST_SITE_ID 3443 TILEPROP INT_R_X1Y116 GRID_POINT_X 5 TILEPROP INT_R_X1Y116 GRID_POINT_Y 35 TILEPROP INT_R_X1Y116 INDEX 4030 TILEPROP INT_R_X1Y116 INT_TILE_X 1 TILEPROP INT_R_X1Y116 INT_TILE_Y 33 TILEPROP INT_R_X1Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y116 IS_DCM_TILE 0 TILEPROP INT_R_X1Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y116 NAME INT_R_X1Y116 TILEPROP INT_R_X1Y116 NUM_ARCS 3737 TILEPROP INT_R_X1Y116 NUM_SITES 1 TILEPROP INT_R_X1Y116 ROW 35 TILEPROP INT_R_X1Y116 SLR_REGION_ID 0 TILEPROP INT_R_X1Y116 TILE_PATTERN_IDX 4840 TILEPROP INT_R_X1Y116 TILE_TYPE INT_R TILEPROP INT_R_X1Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y116 TILE_X -93710 TILEPROP INT_R_X1Y116 TILE_Y 134072 TILEPROP INT_R_X1Y116 TYPE INT_R TILEPROP INT_R_X1Y117 CLASS tile TILEPROP INT_R_X1Y117 COLUMN 5 TILEPROP INT_R_X1Y117 DEVICE_ID 0 TILEPROP INT_R_X1Y117 FIRST_SITE_ID 3351 TILEPROP INT_R_X1Y117 GRID_POINT_X 5 TILEPROP INT_R_X1Y117 GRID_POINT_Y 34 TILEPROP INT_R_X1Y117 INDEX 3915 TILEPROP INT_R_X1Y117 INT_TILE_X 1 TILEPROP INT_R_X1Y117 INT_TILE_Y 32 TILEPROP INT_R_X1Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y117 IS_DCM_TILE 0 TILEPROP INT_R_X1Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y117 NAME INT_R_X1Y117 TILEPROP INT_R_X1Y117 NUM_ARCS 3737 TILEPROP INT_R_X1Y117 NUM_SITES 1 TILEPROP INT_R_X1Y117 ROW 34 TILEPROP INT_R_X1Y117 SLR_REGION_ID 0 TILEPROP INT_R_X1Y117 TILE_PATTERN_IDX 4803 TILEPROP INT_R_X1Y117 TILE_TYPE INT_R TILEPROP INT_R_X1Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y117 TILE_X -93710 TILEPROP INT_R_X1Y117 TILE_Y 137272 TILEPROP INT_R_X1Y117 TYPE INT_R TILEPROP INT_R_X1Y118 CLASS tile TILEPROP INT_R_X1Y118 COLUMN 5 TILEPROP INT_R_X1Y118 DEVICE_ID 0 TILEPROP INT_R_X1Y118 FIRST_SITE_ID 3255 TILEPROP INT_R_X1Y118 GRID_POINT_X 5 TILEPROP INT_R_X1Y118 GRID_POINT_Y 33 TILEPROP INT_R_X1Y118 INDEX 3800 TILEPROP INT_R_X1Y118 INT_TILE_X 1 TILEPROP INT_R_X1Y118 INT_TILE_Y 31 TILEPROP INT_R_X1Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y118 IS_DCM_TILE 0 TILEPROP INT_R_X1Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y118 NAME INT_R_X1Y118 TILEPROP INT_R_X1Y118 NUM_ARCS 3737 TILEPROP INT_R_X1Y118 NUM_SITES 1 TILEPROP INT_R_X1Y118 ROW 33 TILEPROP INT_R_X1Y118 SLR_REGION_ID 0 TILEPROP INT_R_X1Y118 TILE_PATTERN_IDX 4766 TILEPROP INT_R_X1Y118 TILE_TYPE INT_R TILEPROP INT_R_X1Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y118 TILE_X -93710 TILEPROP INT_R_X1Y118 TILE_Y 140472 TILEPROP INT_R_X1Y118 TYPE INT_R TILEPROP INT_R_X1Y119 CLASS tile TILEPROP INT_R_X1Y119 COLUMN 5 TILEPROP INT_R_X1Y119 DEVICE_ID 0 TILEPROP INT_R_X1Y119 FIRST_SITE_ID 3165 TILEPROP INT_R_X1Y119 GRID_POINT_X 5 TILEPROP INT_R_X1Y119 GRID_POINT_Y 32 TILEPROP INT_R_X1Y119 INDEX 3685 TILEPROP INT_R_X1Y119 INT_TILE_X 1 TILEPROP INT_R_X1Y119 INT_TILE_Y 30 TILEPROP INT_R_X1Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y119 IS_DCM_TILE 0 TILEPROP INT_R_X1Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y119 NAME INT_R_X1Y119 TILEPROP INT_R_X1Y119 NUM_ARCS 3737 TILEPROP INT_R_X1Y119 NUM_SITES 1 TILEPROP INT_R_X1Y119 ROW 32 TILEPROP INT_R_X1Y119 SLR_REGION_ID 0 TILEPROP INT_R_X1Y119 TILE_PATTERN_IDX 4730 TILEPROP INT_R_X1Y119 TILE_TYPE INT_R TILEPROP INT_R_X1Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y119 TILE_X -93710 TILEPROP INT_R_X1Y119 TILE_Y 143672 TILEPROP INT_R_X1Y119 TYPE INT_R TILEPROP INT_R_X1Y120 CLASS tile TILEPROP INT_R_X1Y120 COLUMN 5 TILEPROP INT_R_X1Y120 DEVICE_ID 0 TILEPROP INT_R_X1Y120 FIRST_SITE_ID 3060 TILEPROP INT_R_X1Y120 GRID_POINT_X 5 TILEPROP INT_R_X1Y120 GRID_POINT_Y 31 TILEPROP INT_R_X1Y120 INDEX 3570 TILEPROP INT_R_X1Y120 INT_TILE_X 1 TILEPROP INT_R_X1Y120 INT_TILE_Y 29 TILEPROP INT_R_X1Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y120 IS_DCM_TILE 0 TILEPROP INT_R_X1Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y120 NAME INT_R_X1Y120 TILEPROP INT_R_X1Y120 NUM_ARCS 3737 TILEPROP INT_R_X1Y120 NUM_SITES 1 TILEPROP INT_R_X1Y120 ROW 31 TILEPROP INT_R_X1Y120 SLR_REGION_ID 0 TILEPROP INT_R_X1Y120 TILE_PATTERN_IDX 4693 TILEPROP INT_R_X1Y120 TILE_TYPE INT_R TILEPROP INT_R_X1Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y120 TILE_X -93710 TILEPROP INT_R_X1Y120 TILE_Y 146872 TILEPROP INT_R_X1Y120 TYPE INT_R TILEPROP INT_R_X1Y121 CLASS tile TILEPROP INT_R_X1Y121 COLUMN 5 TILEPROP INT_R_X1Y121 DEVICE_ID 0 TILEPROP INT_R_X1Y121 FIRST_SITE_ID 2972 TILEPROP INT_R_X1Y121 GRID_POINT_X 5 TILEPROP INT_R_X1Y121 GRID_POINT_Y 30 TILEPROP INT_R_X1Y121 INDEX 3455 TILEPROP INT_R_X1Y121 INT_TILE_X 1 TILEPROP INT_R_X1Y121 INT_TILE_Y 28 TILEPROP INT_R_X1Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y121 IS_DCM_TILE 0 TILEPROP INT_R_X1Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y121 NAME INT_R_X1Y121 TILEPROP INT_R_X1Y121 NUM_ARCS 3737 TILEPROP INT_R_X1Y121 NUM_SITES 1 TILEPROP INT_R_X1Y121 ROW 30 TILEPROP INT_R_X1Y121 SLR_REGION_ID 0 TILEPROP INT_R_X1Y121 TILE_PATTERN_IDX 4657 TILEPROP INT_R_X1Y121 TILE_TYPE INT_R TILEPROP INT_R_X1Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y121 TILE_X -93710 TILEPROP INT_R_X1Y121 TILE_Y 150072 TILEPROP INT_R_X1Y121 TYPE INT_R TILEPROP INT_R_X1Y122 CLASS tile TILEPROP INT_R_X1Y122 COLUMN 5 TILEPROP INT_R_X1Y122 DEVICE_ID 0 TILEPROP INT_R_X1Y122 FIRST_SITE_ID 2869 TILEPROP INT_R_X1Y122 GRID_POINT_X 5 TILEPROP INT_R_X1Y122 GRID_POINT_Y 29 TILEPROP INT_R_X1Y122 INDEX 3340 TILEPROP INT_R_X1Y122 INT_TILE_X 1 TILEPROP INT_R_X1Y122 INT_TILE_Y 27 TILEPROP INT_R_X1Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y122 IS_DCM_TILE 0 TILEPROP INT_R_X1Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y122 NAME INT_R_X1Y122 TILEPROP INT_R_X1Y122 NUM_ARCS 3737 TILEPROP INT_R_X1Y122 NUM_SITES 1 TILEPROP INT_R_X1Y122 ROW 29 TILEPROP INT_R_X1Y122 SLR_REGION_ID 0 TILEPROP INT_R_X1Y122 TILE_PATTERN_IDX 4619 TILEPROP INT_R_X1Y122 TILE_TYPE INT_R TILEPROP INT_R_X1Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y122 TILE_X -93710 TILEPROP INT_R_X1Y122 TILE_Y 153272 TILEPROP INT_R_X1Y122 TYPE INT_R TILEPROP INT_R_X1Y123 CLASS tile TILEPROP INT_R_X1Y123 COLUMN 5 TILEPROP INT_R_X1Y123 DEVICE_ID 0 TILEPROP INT_R_X1Y123 FIRST_SITE_ID 2781 TILEPROP INT_R_X1Y123 GRID_POINT_X 5 TILEPROP INT_R_X1Y123 GRID_POINT_Y 28 TILEPROP INT_R_X1Y123 INDEX 3225 TILEPROP INT_R_X1Y123 INT_TILE_X 1 TILEPROP INT_R_X1Y123 INT_TILE_Y 26 TILEPROP INT_R_X1Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y123 IS_DCM_TILE 0 TILEPROP INT_R_X1Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y123 NAME INT_R_X1Y123 TILEPROP INT_R_X1Y123 NUM_ARCS 3737 TILEPROP INT_R_X1Y123 NUM_SITES 1 TILEPROP INT_R_X1Y123 ROW 28 TILEPROP INT_R_X1Y123 SLR_REGION_ID 0 TILEPROP INT_R_X1Y123 TILE_PATTERN_IDX 4583 TILEPROP INT_R_X1Y123 TILE_TYPE INT_R TILEPROP INT_R_X1Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y123 TILE_X -93710 TILEPROP INT_R_X1Y123 TILE_Y 156472 TILEPROP INT_R_X1Y123 TYPE INT_R TILEPROP INT_R_X1Y124 CLASS tile TILEPROP INT_R_X1Y124 COLUMN 5 TILEPROP INT_R_X1Y124 DEVICE_ID 0 TILEPROP INT_R_X1Y124 FIRST_SITE_ID 2685 TILEPROP INT_R_X1Y124 GRID_POINT_X 5 TILEPROP INT_R_X1Y124 GRID_POINT_Y 27 TILEPROP INT_R_X1Y124 INDEX 3110 TILEPROP INT_R_X1Y124 INT_TILE_X 1 TILEPROP INT_R_X1Y124 INT_TILE_Y 25 TILEPROP INT_R_X1Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y124 IS_DCM_TILE 0 TILEPROP INT_R_X1Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y124 NAME INT_R_X1Y124 TILEPROP INT_R_X1Y124 NUM_ARCS 3737 TILEPROP INT_R_X1Y124 NUM_SITES 1 TILEPROP INT_R_X1Y124 ROW 27 TILEPROP INT_R_X1Y124 SLR_REGION_ID 0 TILEPROP INT_R_X1Y124 TILE_PATTERN_IDX 4546 TILEPROP INT_R_X1Y124 TILE_TYPE INT_R TILEPROP INT_R_X1Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y124 TILE_X -93710 TILEPROP INT_R_X1Y124 TILE_Y 159672 TILEPROP INT_R_X1Y124 TYPE INT_R TILEPROP INT_R_X1Y125 CLASS tile TILEPROP INT_R_X1Y125 COLUMN 5 TILEPROP INT_R_X1Y125 DEVICE_ID 0 TILEPROP INT_R_X1Y125 FIRST_SITE_ID 2506 TILEPROP INT_R_X1Y125 GRID_POINT_X 5 TILEPROP INT_R_X1Y125 GRID_POINT_Y 25 TILEPROP INT_R_X1Y125 INDEX 2880 TILEPROP INT_R_X1Y125 INT_TILE_X 1 TILEPROP INT_R_X1Y125 INT_TILE_Y 24 TILEPROP INT_R_X1Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y125 IS_DCM_TILE 0 TILEPROP INT_R_X1Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y125 NAME INT_R_X1Y125 TILEPROP INT_R_X1Y125 NUM_ARCS 3737 TILEPROP INT_R_X1Y125 NUM_SITES 1 TILEPROP INT_R_X1Y125 ROW 25 TILEPROP INT_R_X1Y125 SLR_REGION_ID 0 TILEPROP INT_R_X1Y125 TILE_PATTERN_IDX 4467 TILEPROP INT_R_X1Y125 TILE_TYPE INT_R TILEPROP INT_R_X1Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y125 TILE_X -93710 TILEPROP INT_R_X1Y125 TILE_Y 163896 TILEPROP INT_R_X1Y125 TYPE INT_R TILEPROP INT_R_X1Y126 CLASS tile TILEPROP INT_R_X1Y126 COLUMN 5 TILEPROP INT_R_X1Y126 DEVICE_ID 0 TILEPROP INT_R_X1Y126 FIRST_SITE_ID 2402 TILEPROP INT_R_X1Y126 GRID_POINT_X 5 TILEPROP INT_R_X1Y126 GRID_POINT_Y 24 TILEPROP INT_R_X1Y126 INDEX 2765 TILEPROP INT_R_X1Y126 INT_TILE_X 1 TILEPROP INT_R_X1Y126 INT_TILE_Y 23 TILEPROP INT_R_X1Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y126 IS_DCM_TILE 0 TILEPROP INT_R_X1Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y126 NAME INT_R_X1Y126 TILEPROP INT_R_X1Y126 NUM_ARCS 3737 TILEPROP INT_R_X1Y126 NUM_SITES 1 TILEPROP INT_R_X1Y126 ROW 24 TILEPROP INT_R_X1Y126 SLR_REGION_ID 0 TILEPROP INT_R_X1Y126 TILE_PATTERN_IDX 4428 TILEPROP INT_R_X1Y126 TILE_TYPE INT_R TILEPROP INT_R_X1Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y126 TILE_X -93710 TILEPROP INT_R_X1Y126 TILE_Y 167096 TILEPROP INT_R_X1Y126 TYPE INT_R TILEPROP INT_R_X1Y127 CLASS tile TILEPROP INT_R_X1Y127 COLUMN 5 TILEPROP INT_R_X1Y127 DEVICE_ID 0 TILEPROP INT_R_X1Y127 FIRST_SITE_ID 2306 TILEPROP INT_R_X1Y127 GRID_POINT_X 5 TILEPROP INT_R_X1Y127 GRID_POINT_Y 23 TILEPROP INT_R_X1Y127 INDEX 2650 TILEPROP INT_R_X1Y127 INT_TILE_X 1 TILEPROP INT_R_X1Y127 INT_TILE_Y 22 TILEPROP INT_R_X1Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y127 IS_DCM_TILE 0 TILEPROP INT_R_X1Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y127 NAME INT_R_X1Y127 TILEPROP INT_R_X1Y127 NUM_ARCS 3737 TILEPROP INT_R_X1Y127 NUM_SITES 1 TILEPROP INT_R_X1Y127 ROW 23 TILEPROP INT_R_X1Y127 SLR_REGION_ID 0 TILEPROP INT_R_X1Y127 TILE_PATTERN_IDX 4390 TILEPROP INT_R_X1Y127 TILE_TYPE INT_R TILEPROP INT_R_X1Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y127 TILE_X -93710 TILEPROP INT_R_X1Y127 TILE_Y 170296 TILEPROP INT_R_X1Y127 TYPE INT_R TILEPROP INT_R_X1Y128 CLASS tile TILEPROP INT_R_X1Y128 COLUMN 5 TILEPROP INT_R_X1Y128 DEVICE_ID 0 TILEPROP INT_R_X1Y128 FIRST_SITE_ID 2202 TILEPROP INT_R_X1Y128 GRID_POINT_X 5 TILEPROP INT_R_X1Y128 GRID_POINT_Y 22 TILEPROP INT_R_X1Y128 INDEX 2535 TILEPROP INT_R_X1Y128 INT_TILE_X 1 TILEPROP INT_R_X1Y128 INT_TILE_Y 21 TILEPROP INT_R_X1Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y128 IS_DCM_TILE 0 TILEPROP INT_R_X1Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y128 NAME INT_R_X1Y128 TILEPROP INT_R_X1Y128 NUM_ARCS 3737 TILEPROP INT_R_X1Y128 NUM_SITES 1 TILEPROP INT_R_X1Y128 ROW 22 TILEPROP INT_R_X1Y128 SLR_REGION_ID 0 TILEPROP INT_R_X1Y128 TILE_PATTERN_IDX 4351 TILEPROP INT_R_X1Y128 TILE_TYPE INT_R TILEPROP INT_R_X1Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y128 TILE_X -93710 TILEPROP INT_R_X1Y128 TILE_Y 173496 TILEPROP INT_R_X1Y128 TYPE INT_R TILEPROP INT_R_X1Y129 CLASS tile TILEPROP INT_R_X1Y129 COLUMN 5 TILEPROP INT_R_X1Y129 DEVICE_ID 0 TILEPROP INT_R_X1Y129 FIRST_SITE_ID 2100 TILEPROP INT_R_X1Y129 GRID_POINT_X 5 TILEPROP INT_R_X1Y129 GRID_POINT_Y 21 TILEPROP INT_R_X1Y129 INDEX 2420 TILEPROP INT_R_X1Y129 INT_TILE_X 1 TILEPROP INT_R_X1Y129 INT_TILE_Y 20 TILEPROP INT_R_X1Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y129 IS_DCM_TILE 0 TILEPROP INT_R_X1Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y129 NAME INT_R_X1Y129 TILEPROP INT_R_X1Y129 NUM_ARCS 3737 TILEPROP INT_R_X1Y129 NUM_SITES 1 TILEPROP INT_R_X1Y129 ROW 21 TILEPROP INT_R_X1Y129 SLR_REGION_ID 0 TILEPROP INT_R_X1Y129 TILE_PATTERN_IDX 4312 TILEPROP INT_R_X1Y129 TILE_TYPE INT_R TILEPROP INT_R_X1Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y129 TILE_X -93710 TILEPROP INT_R_X1Y129 TILE_Y 176696 TILEPROP INT_R_X1Y129 TYPE INT_R TILEPROP INT_R_X1Y130 CLASS tile TILEPROP INT_R_X1Y130 COLUMN 5 TILEPROP INT_R_X1Y130 DEVICE_ID 0 TILEPROP INT_R_X1Y130 FIRST_SITE_ID 1984 TILEPROP INT_R_X1Y130 GRID_POINT_X 5 TILEPROP INT_R_X1Y130 GRID_POINT_Y 20 TILEPROP INT_R_X1Y130 INDEX 2305 TILEPROP INT_R_X1Y130 INT_TILE_X 1 TILEPROP INT_R_X1Y130 INT_TILE_Y 19 TILEPROP INT_R_X1Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y130 IS_DCM_TILE 0 TILEPROP INT_R_X1Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y130 NAME INT_R_X1Y130 TILEPROP INT_R_X1Y130 NUM_ARCS 3737 TILEPROP INT_R_X1Y130 NUM_SITES 1 TILEPROP INT_R_X1Y130 ROW 20 TILEPROP INT_R_X1Y130 SLR_REGION_ID 0 TILEPROP INT_R_X1Y130 TILE_PATTERN_IDX 4273 TILEPROP INT_R_X1Y130 TILE_TYPE INT_R TILEPROP INT_R_X1Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y130 TILE_X -93710 TILEPROP INT_R_X1Y130 TILE_Y 179896 TILEPROP INT_R_X1Y130 TYPE INT_R TILEPROP INT_R_X1Y131 CLASS tile TILEPROP INT_R_X1Y131 COLUMN 5 TILEPROP INT_R_X1Y131 DEVICE_ID 0 TILEPROP INT_R_X1Y131 FIRST_SITE_ID 1886 TILEPROP INT_R_X1Y131 GRID_POINT_X 5 TILEPROP INT_R_X1Y131 GRID_POINT_Y 19 TILEPROP INT_R_X1Y131 INDEX 2190 TILEPROP INT_R_X1Y131 INT_TILE_X 1 TILEPROP INT_R_X1Y131 INT_TILE_Y 18 TILEPROP INT_R_X1Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y131 IS_DCM_TILE 0 TILEPROP INT_R_X1Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y131 NAME INT_R_X1Y131 TILEPROP INT_R_X1Y131 NUM_ARCS 3737 TILEPROP INT_R_X1Y131 NUM_SITES 1 TILEPROP INT_R_X1Y131 ROW 19 TILEPROP INT_R_X1Y131 SLR_REGION_ID 0 TILEPROP INT_R_X1Y131 TILE_PATTERN_IDX 4235 TILEPROP INT_R_X1Y131 TILE_TYPE INT_R TILEPROP INT_R_X1Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y131 TILE_X -93710 TILEPROP INT_R_X1Y131 TILE_Y 183096 TILEPROP INT_R_X1Y131 TYPE INT_R TILEPROP INT_R_X1Y132 CLASS tile TILEPROP INT_R_X1Y132 COLUMN 5 TILEPROP INT_R_X1Y132 DEVICE_ID 0 TILEPROP INT_R_X1Y132 FIRST_SITE_ID 1782 TILEPROP INT_R_X1Y132 GRID_POINT_X 5 TILEPROP INT_R_X1Y132 GRID_POINT_Y 18 TILEPROP INT_R_X1Y132 INDEX 2075 TILEPROP INT_R_X1Y132 INT_TILE_X 1 TILEPROP INT_R_X1Y132 INT_TILE_Y 17 TILEPROP INT_R_X1Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y132 IS_DCM_TILE 0 TILEPROP INT_R_X1Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y132 NAME INT_R_X1Y132 TILEPROP INT_R_X1Y132 NUM_ARCS 3737 TILEPROP INT_R_X1Y132 NUM_SITES 1 TILEPROP INT_R_X1Y132 ROW 18 TILEPROP INT_R_X1Y132 SLR_REGION_ID 0 TILEPROP INT_R_X1Y132 TILE_PATTERN_IDX 4196 TILEPROP INT_R_X1Y132 TILE_TYPE INT_R TILEPROP INT_R_X1Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y132 TILE_X -93710 TILEPROP INT_R_X1Y132 TILE_Y 186296 TILEPROP INT_R_X1Y132 TYPE INT_R TILEPROP INT_R_X1Y133 CLASS tile TILEPROP INT_R_X1Y133 COLUMN 5 TILEPROP INT_R_X1Y133 DEVICE_ID 0 TILEPROP INT_R_X1Y133 FIRST_SITE_ID 1681 TILEPROP INT_R_X1Y133 GRID_POINT_X 5 TILEPROP INT_R_X1Y133 GRID_POINT_Y 17 TILEPROP INT_R_X1Y133 INDEX 1960 TILEPROP INT_R_X1Y133 INT_TILE_X 1 TILEPROP INT_R_X1Y133 INT_TILE_Y 16 TILEPROP INT_R_X1Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y133 IS_DCM_TILE 0 TILEPROP INT_R_X1Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y133 NAME INT_R_X1Y133 TILEPROP INT_R_X1Y133 NUM_ARCS 3737 TILEPROP INT_R_X1Y133 NUM_SITES 1 TILEPROP INT_R_X1Y133 ROW 17 TILEPROP INT_R_X1Y133 SLR_REGION_ID 0 TILEPROP INT_R_X1Y133 TILE_PATTERN_IDX 4158 TILEPROP INT_R_X1Y133 TILE_TYPE INT_R TILEPROP INT_R_X1Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y133 TILE_X -93710 TILEPROP INT_R_X1Y133 TILE_Y 189496 TILEPROP INT_R_X1Y133 TYPE INT_R TILEPROP INT_R_X1Y134 CLASS tile TILEPROP INT_R_X1Y134 COLUMN 5 TILEPROP INT_R_X1Y134 DEVICE_ID 0 TILEPROP INT_R_X1Y134 FIRST_SITE_ID 1577 TILEPROP INT_R_X1Y134 GRID_POINT_X 5 TILEPROP INT_R_X1Y134 GRID_POINT_Y 16 TILEPROP INT_R_X1Y134 INDEX 1845 TILEPROP INT_R_X1Y134 INT_TILE_X 1 TILEPROP INT_R_X1Y134 INT_TILE_Y 15 TILEPROP INT_R_X1Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y134 IS_DCM_TILE 0 TILEPROP INT_R_X1Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y134 NAME INT_R_X1Y134 TILEPROP INT_R_X1Y134 NUM_ARCS 3737 TILEPROP INT_R_X1Y134 NUM_SITES 1 TILEPROP INT_R_X1Y134 ROW 16 TILEPROP INT_R_X1Y134 SLR_REGION_ID 0 TILEPROP INT_R_X1Y134 TILE_PATTERN_IDX 4119 TILEPROP INT_R_X1Y134 TILE_TYPE INT_R TILEPROP INT_R_X1Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y134 TILE_X -93710 TILEPROP INT_R_X1Y134 TILE_Y 192696 TILEPROP INT_R_X1Y134 TYPE INT_R TILEPROP INT_R_X1Y135 CLASS tile TILEPROP INT_R_X1Y135 COLUMN 5 TILEPROP INT_R_X1Y135 DEVICE_ID 0 TILEPROP INT_R_X1Y135 FIRST_SITE_ID 1469 TILEPROP INT_R_X1Y135 GRID_POINT_X 5 TILEPROP INT_R_X1Y135 GRID_POINT_Y 15 TILEPROP INT_R_X1Y135 INDEX 1730 TILEPROP INT_R_X1Y135 INT_TILE_X 1 TILEPROP INT_R_X1Y135 INT_TILE_Y 14 TILEPROP INT_R_X1Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y135 IS_DCM_TILE 0 TILEPROP INT_R_X1Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y135 NAME INT_R_X1Y135 TILEPROP INT_R_X1Y135 NUM_ARCS 3737 TILEPROP INT_R_X1Y135 NUM_SITES 1 TILEPROP INT_R_X1Y135 ROW 15 TILEPROP INT_R_X1Y135 SLR_REGION_ID 0 TILEPROP INT_R_X1Y135 TILE_PATTERN_IDX 4081 TILEPROP INT_R_X1Y135 TILE_TYPE INT_R TILEPROP INT_R_X1Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y135 TILE_X -93710 TILEPROP INT_R_X1Y135 TILE_Y 195896 TILEPROP INT_R_X1Y135 TYPE INT_R TILEPROP INT_R_X1Y136 CLASS tile TILEPROP INT_R_X1Y136 COLUMN 5 TILEPROP INT_R_X1Y136 DEVICE_ID 0 TILEPROP INT_R_X1Y136 FIRST_SITE_ID 1333 TILEPROP INT_R_X1Y136 GRID_POINT_X 5 TILEPROP INT_R_X1Y136 GRID_POINT_Y 14 TILEPROP INT_R_X1Y136 INDEX 1615 TILEPROP INT_R_X1Y136 INT_TILE_X 1 TILEPROP INT_R_X1Y136 INT_TILE_Y 13 TILEPROP INT_R_X1Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y136 IS_DCM_TILE 0 TILEPROP INT_R_X1Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y136 NAME INT_R_X1Y136 TILEPROP INT_R_X1Y136 NUM_ARCS 3737 TILEPROP INT_R_X1Y136 NUM_SITES 1 TILEPROP INT_R_X1Y136 ROW 14 TILEPROP INT_R_X1Y136 SLR_REGION_ID 0 TILEPROP INT_R_X1Y136 TILE_PATTERN_IDX 4042 TILEPROP INT_R_X1Y136 TILE_TYPE INT_R TILEPROP INT_R_X1Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y136 TILE_X -93710 TILEPROP INT_R_X1Y136 TILE_Y 199096 TILEPROP INT_R_X1Y136 TYPE INT_R TILEPROP INT_R_X1Y137 CLASS tile TILEPROP INT_R_X1Y137 COLUMN 5 TILEPROP INT_R_X1Y137 DEVICE_ID 0 TILEPROP INT_R_X1Y137 FIRST_SITE_ID 1237 TILEPROP INT_R_X1Y137 GRID_POINT_X 5 TILEPROP INT_R_X1Y137 GRID_POINT_Y 13 TILEPROP INT_R_X1Y137 INDEX 1500 TILEPROP INT_R_X1Y137 INT_TILE_X 1 TILEPROP INT_R_X1Y137 INT_TILE_Y 12 TILEPROP INT_R_X1Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y137 IS_DCM_TILE 0 TILEPROP INT_R_X1Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y137 NAME INT_R_X1Y137 TILEPROP INT_R_X1Y137 NUM_ARCS 3737 TILEPROP INT_R_X1Y137 NUM_SITES 1 TILEPROP INT_R_X1Y137 ROW 13 TILEPROP INT_R_X1Y137 SLR_REGION_ID 0 TILEPROP INT_R_X1Y137 TILE_PATTERN_IDX 4004 TILEPROP INT_R_X1Y137 TILE_TYPE INT_R TILEPROP INT_R_X1Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y137 TILE_X -93710 TILEPROP INT_R_X1Y137 TILE_Y 202296 TILEPROP INT_R_X1Y137 TYPE INT_R TILEPROP INT_R_X1Y138 CLASS tile TILEPROP INT_R_X1Y138 COLUMN 5 TILEPROP INT_R_X1Y138 DEVICE_ID 0 TILEPROP INT_R_X1Y138 FIRST_SITE_ID 1133 TILEPROP INT_R_X1Y138 GRID_POINT_X 5 TILEPROP INT_R_X1Y138 GRID_POINT_Y 12 TILEPROP INT_R_X1Y138 INDEX 1385 TILEPROP INT_R_X1Y138 INT_TILE_X 1 TILEPROP INT_R_X1Y138 INT_TILE_Y 11 TILEPROP INT_R_X1Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y138 IS_DCM_TILE 0 TILEPROP INT_R_X1Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y138 NAME INT_R_X1Y138 TILEPROP INT_R_X1Y138 NUM_ARCS 3737 TILEPROP INT_R_X1Y138 NUM_SITES 1 TILEPROP INT_R_X1Y138 ROW 12 TILEPROP INT_R_X1Y138 SLR_REGION_ID 0 TILEPROP INT_R_X1Y138 TILE_PATTERN_IDX 3965 TILEPROP INT_R_X1Y138 TILE_TYPE INT_R TILEPROP INT_R_X1Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y138 TILE_X -93710 TILEPROP INT_R_X1Y138 TILE_Y 205496 TILEPROP INT_R_X1Y138 TYPE INT_R TILEPROP INT_R_X1Y139 CLASS tile TILEPROP INT_R_X1Y139 COLUMN 5 TILEPROP INT_R_X1Y139 DEVICE_ID 0 TILEPROP INT_R_X1Y139 FIRST_SITE_ID 1037 TILEPROP INT_R_X1Y139 GRID_POINT_X 5 TILEPROP INT_R_X1Y139 GRID_POINT_Y 11 TILEPROP INT_R_X1Y139 INDEX 1270 TILEPROP INT_R_X1Y139 INT_TILE_X 1 TILEPROP INT_R_X1Y139 INT_TILE_Y 10 TILEPROP INT_R_X1Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y139 IS_DCM_TILE 0 TILEPROP INT_R_X1Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y139 NAME INT_R_X1Y139 TILEPROP INT_R_X1Y139 NUM_ARCS 3737 TILEPROP INT_R_X1Y139 NUM_SITES 1 TILEPROP INT_R_X1Y139 ROW 11 TILEPROP INT_R_X1Y139 SLR_REGION_ID 0 TILEPROP INT_R_X1Y139 TILE_PATTERN_IDX 3927 TILEPROP INT_R_X1Y139 TILE_TYPE INT_R TILEPROP INT_R_X1Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y139 TILE_X -93710 TILEPROP INT_R_X1Y139 TILE_Y 208696 TILEPROP INT_R_X1Y139 TYPE INT_R TILEPROP INT_R_X1Y140 CLASS tile TILEPROP INT_R_X1Y140 COLUMN 5 TILEPROP INT_R_X1Y140 DEVICE_ID 0 TILEPROP INT_R_X1Y140 FIRST_SITE_ID 921 TILEPROP INT_R_X1Y140 GRID_POINT_X 5 TILEPROP INT_R_X1Y140 GRID_POINT_Y 10 TILEPROP INT_R_X1Y140 INDEX 1155 TILEPROP INT_R_X1Y140 INT_TILE_X 1 TILEPROP INT_R_X1Y140 INT_TILE_Y 9 TILEPROP INT_R_X1Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y140 IS_DCM_TILE 0 TILEPROP INT_R_X1Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y140 NAME INT_R_X1Y140 TILEPROP INT_R_X1Y140 NUM_ARCS 3737 TILEPROP INT_R_X1Y140 NUM_SITES 1 TILEPROP INT_R_X1Y140 ROW 10 TILEPROP INT_R_X1Y140 SLR_REGION_ID 0 TILEPROP INT_R_X1Y140 TILE_PATTERN_IDX 3888 TILEPROP INT_R_X1Y140 TILE_TYPE INT_R TILEPROP INT_R_X1Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y140 TILE_X -93710 TILEPROP INT_R_X1Y140 TILE_Y 211896 TILEPROP INT_R_X1Y140 TYPE INT_R TILEPROP INT_R_X1Y141 CLASS tile TILEPROP INT_R_X1Y141 COLUMN 5 TILEPROP INT_R_X1Y141 DEVICE_ID 0 TILEPROP INT_R_X1Y141 FIRST_SITE_ID 825 TILEPROP INT_R_X1Y141 GRID_POINT_X 5 TILEPROP INT_R_X1Y141 GRID_POINT_Y 9 TILEPROP INT_R_X1Y141 INDEX 1040 TILEPROP INT_R_X1Y141 INT_TILE_X 1 TILEPROP INT_R_X1Y141 INT_TILE_Y 8 TILEPROP INT_R_X1Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y141 IS_DCM_TILE 0 TILEPROP INT_R_X1Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y141 NAME INT_R_X1Y141 TILEPROP INT_R_X1Y141 NUM_ARCS 3737 TILEPROP INT_R_X1Y141 NUM_SITES 1 TILEPROP INT_R_X1Y141 ROW 9 TILEPROP INT_R_X1Y141 SLR_REGION_ID 0 TILEPROP INT_R_X1Y141 TILE_PATTERN_IDX 3850 TILEPROP INT_R_X1Y141 TILE_TYPE INT_R TILEPROP INT_R_X1Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y141 TILE_X -93710 TILEPROP INT_R_X1Y141 TILE_Y 215096 TILEPROP INT_R_X1Y141 TYPE INT_R TILEPROP INT_R_X1Y142 CLASS tile TILEPROP INT_R_X1Y142 COLUMN 5 TILEPROP INT_R_X1Y142 DEVICE_ID 0 TILEPROP INT_R_X1Y142 FIRST_SITE_ID 720 TILEPROP INT_R_X1Y142 GRID_POINT_X 5 TILEPROP INT_R_X1Y142 GRID_POINT_Y 8 TILEPROP INT_R_X1Y142 INDEX 925 TILEPROP INT_R_X1Y142 INT_TILE_X 1 TILEPROP INT_R_X1Y142 INT_TILE_Y 7 TILEPROP INT_R_X1Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y142 IS_DCM_TILE 0 TILEPROP INT_R_X1Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y142 NAME INT_R_X1Y142 TILEPROP INT_R_X1Y142 NUM_ARCS 3737 TILEPROP INT_R_X1Y142 NUM_SITES 1 TILEPROP INT_R_X1Y142 ROW 8 TILEPROP INT_R_X1Y142 SLR_REGION_ID 0 TILEPROP INT_R_X1Y142 TILE_PATTERN_IDX 3811 TILEPROP INT_R_X1Y142 TILE_TYPE INT_R TILEPROP INT_R_X1Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y142 TILE_X -93710 TILEPROP INT_R_X1Y142 TILE_Y 218296 TILEPROP INT_R_X1Y142 TYPE INT_R TILEPROP INT_R_X1Y143 CLASS tile TILEPROP INT_R_X1Y143 COLUMN 5 TILEPROP INT_R_X1Y143 DEVICE_ID 0 TILEPROP INT_R_X1Y143 FIRST_SITE_ID 622 TILEPROP INT_R_X1Y143 GRID_POINT_X 5 TILEPROP INT_R_X1Y143 GRID_POINT_Y 7 TILEPROP INT_R_X1Y143 INDEX 810 TILEPROP INT_R_X1Y143 INT_TILE_X 1 TILEPROP INT_R_X1Y143 INT_TILE_Y 6 TILEPROP INT_R_X1Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y143 IS_DCM_TILE 0 TILEPROP INT_R_X1Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y143 NAME INT_R_X1Y143 TILEPROP INT_R_X1Y143 NUM_ARCS 3737 TILEPROP INT_R_X1Y143 NUM_SITES 1 TILEPROP INT_R_X1Y143 ROW 7 TILEPROP INT_R_X1Y143 SLR_REGION_ID 0 TILEPROP INT_R_X1Y143 TILE_PATTERN_IDX 3773 TILEPROP INT_R_X1Y143 TILE_TYPE INT_R TILEPROP INT_R_X1Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y143 TILE_X -93710 TILEPROP INT_R_X1Y143 TILE_Y 221496 TILEPROP INT_R_X1Y143 TYPE INT_R TILEPROP INT_R_X1Y144 CLASS tile TILEPROP INT_R_X1Y144 COLUMN 5 TILEPROP INT_R_X1Y144 DEVICE_ID 0 TILEPROP INT_R_X1Y144 FIRST_SITE_ID 513 TILEPROP INT_R_X1Y144 GRID_POINT_X 5 TILEPROP INT_R_X1Y144 GRID_POINT_Y 6 TILEPROP INT_R_X1Y144 INDEX 695 TILEPROP INT_R_X1Y144 INT_TILE_X 1 TILEPROP INT_R_X1Y144 INT_TILE_Y 5 TILEPROP INT_R_X1Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y144 IS_DCM_TILE 0 TILEPROP INT_R_X1Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y144 NAME INT_R_X1Y144 TILEPROP INT_R_X1Y144 NUM_ARCS 3737 TILEPROP INT_R_X1Y144 NUM_SITES 1 TILEPROP INT_R_X1Y144 ROW 6 TILEPROP INT_R_X1Y144 SLR_REGION_ID 0 TILEPROP INT_R_X1Y144 TILE_PATTERN_IDX 3734 TILEPROP INT_R_X1Y144 TILE_TYPE INT_R TILEPROP INT_R_X1Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y144 TILE_X -93710 TILEPROP INT_R_X1Y144 TILE_Y 224696 TILEPROP INT_R_X1Y144 TYPE INT_R TILEPROP INT_R_X1Y145 CLASS tile TILEPROP INT_R_X1Y145 COLUMN 5 TILEPROP INT_R_X1Y145 DEVICE_ID 0 TILEPROP INT_R_X1Y145 FIRST_SITE_ID 405 TILEPROP INT_R_X1Y145 GRID_POINT_X 5 TILEPROP INT_R_X1Y145 GRID_POINT_Y 5 TILEPROP INT_R_X1Y145 INDEX 580 TILEPROP INT_R_X1Y145 INT_TILE_X 1 TILEPROP INT_R_X1Y145 INT_TILE_Y 4 TILEPROP INT_R_X1Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y145 IS_DCM_TILE 0 TILEPROP INT_R_X1Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y145 NAME INT_R_X1Y145 TILEPROP INT_R_X1Y145 NUM_ARCS 3737 TILEPROP INT_R_X1Y145 NUM_SITES 1 TILEPROP INT_R_X1Y145 ROW 5 TILEPROP INT_R_X1Y145 SLR_REGION_ID 0 TILEPROP INT_R_X1Y145 TILE_PATTERN_IDX 3696 TILEPROP INT_R_X1Y145 TILE_TYPE INT_R TILEPROP INT_R_X1Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y145 TILE_X -93710 TILEPROP INT_R_X1Y145 TILE_Y 227896 TILEPROP INT_R_X1Y145 TYPE INT_R TILEPROP INT_R_X1Y146 CLASS tile TILEPROP INT_R_X1Y146 COLUMN 5 TILEPROP INT_R_X1Y146 DEVICE_ID 0 TILEPROP INT_R_X1Y146 FIRST_SITE_ID 301 TILEPROP INT_R_X1Y146 GRID_POINT_X 5 TILEPROP INT_R_X1Y146 GRID_POINT_Y 4 TILEPROP INT_R_X1Y146 INDEX 465 TILEPROP INT_R_X1Y146 INT_TILE_X 1 TILEPROP INT_R_X1Y146 INT_TILE_Y 3 TILEPROP INT_R_X1Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y146 IS_DCM_TILE 0 TILEPROP INT_R_X1Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y146 NAME INT_R_X1Y146 TILEPROP INT_R_X1Y146 NUM_ARCS 3737 TILEPROP INT_R_X1Y146 NUM_SITES 1 TILEPROP INT_R_X1Y146 ROW 4 TILEPROP INT_R_X1Y146 SLR_REGION_ID 0 TILEPROP INT_R_X1Y146 TILE_PATTERN_IDX 3657 TILEPROP INT_R_X1Y146 TILE_TYPE INT_R TILEPROP INT_R_X1Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y146 TILE_X -93710 TILEPROP INT_R_X1Y146 TILE_Y 231096 TILEPROP INT_R_X1Y146 TYPE INT_R TILEPROP INT_R_X1Y147 CLASS tile TILEPROP INT_R_X1Y147 COLUMN 5 TILEPROP INT_R_X1Y147 DEVICE_ID 0 TILEPROP INT_R_X1Y147 FIRST_SITE_ID 205 TILEPROP INT_R_X1Y147 GRID_POINT_X 5 TILEPROP INT_R_X1Y147 GRID_POINT_Y 3 TILEPROP INT_R_X1Y147 INDEX 350 TILEPROP INT_R_X1Y147 INT_TILE_X 1 TILEPROP INT_R_X1Y147 INT_TILE_Y 2 TILEPROP INT_R_X1Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y147 IS_DCM_TILE 0 TILEPROP INT_R_X1Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y147 NAME INT_R_X1Y147 TILEPROP INT_R_X1Y147 NUM_ARCS 3737 TILEPROP INT_R_X1Y147 NUM_SITES 1 TILEPROP INT_R_X1Y147 ROW 3 TILEPROP INT_R_X1Y147 SLR_REGION_ID 0 TILEPROP INT_R_X1Y147 TILE_PATTERN_IDX 3619 TILEPROP INT_R_X1Y147 TILE_TYPE INT_R TILEPROP INT_R_X1Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y147 TILE_X -93710 TILEPROP INT_R_X1Y147 TILE_Y 234296 TILEPROP INT_R_X1Y147 TYPE INT_R TILEPROP INT_R_X1Y148 CLASS tile TILEPROP INT_R_X1Y148 COLUMN 5 TILEPROP INT_R_X1Y148 DEVICE_ID 0 TILEPROP INT_R_X1Y148 FIRST_SITE_ID 101 TILEPROP INT_R_X1Y148 GRID_POINT_X 5 TILEPROP INT_R_X1Y148 GRID_POINT_Y 2 TILEPROP INT_R_X1Y148 INDEX 235 TILEPROP INT_R_X1Y148 INT_TILE_X 1 TILEPROP INT_R_X1Y148 INT_TILE_Y 1 TILEPROP INT_R_X1Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y148 IS_DCM_TILE 0 TILEPROP INT_R_X1Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y148 NAME INT_R_X1Y148 TILEPROP INT_R_X1Y148 NUM_ARCS 3737 TILEPROP INT_R_X1Y148 NUM_SITES 1 TILEPROP INT_R_X1Y148 ROW 2 TILEPROP INT_R_X1Y148 SLR_REGION_ID 0 TILEPROP INT_R_X1Y148 TILE_PATTERN_IDX 3580 TILEPROP INT_R_X1Y148 TILE_TYPE INT_R TILEPROP INT_R_X1Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y148 TILE_X -93710 TILEPROP INT_R_X1Y148 TILE_Y 237496 TILEPROP INT_R_X1Y148 TYPE INT_R TILEPROP INT_R_X1Y149 CLASS tile TILEPROP INT_R_X1Y149 COLUMN 5 TILEPROP INT_R_X1Y149 DEVICE_ID 0 TILEPROP INT_R_X1Y149 FIRST_SITE_ID 5 TILEPROP INT_R_X1Y149 GRID_POINT_X 5 TILEPROP INT_R_X1Y149 GRID_POINT_Y 1 TILEPROP INT_R_X1Y149 INDEX 120 TILEPROP INT_R_X1Y149 INT_TILE_X 1 TILEPROP INT_R_X1Y149 INT_TILE_Y 0 TILEPROP INT_R_X1Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X1Y149 IS_DCM_TILE 0 TILEPROP INT_R_X1Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X1Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X1Y149 NAME INT_R_X1Y149 TILEPROP INT_R_X1Y149 NUM_ARCS 3737 TILEPROP INT_R_X1Y149 NUM_SITES 1 TILEPROP INT_R_X1Y149 ROW 1 TILEPROP INT_R_X1Y149 SLR_REGION_ID 0 TILEPROP INT_R_X1Y149 TILE_PATTERN_IDX 3542 TILEPROP INT_R_X1Y149 TILE_TYPE INT_R TILEPROP INT_R_X1Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X1Y149 TILE_X -93710 TILEPROP INT_R_X1Y149 TILE_Y 240696 TILEPROP INT_R_X1Y149 TYPE INT_R TILEPROP INT_R_X21Y0 CLASS tile TILEPROP INT_R_X21Y0 COLUMN 54 TILEPROP INT_R_X21Y0 DEVICE_ID 0 TILEPROP INT_R_X21Y0 FIRST_SITE_ID 15747 TILEPROP INT_R_X21Y0 GRID_POINT_X 54 TILEPROP INT_R_X21Y0 GRID_POINT_Y 155 TILEPROP INT_R_X21Y0 INDEX 17879 TILEPROP INT_R_X21Y0 INT_TILE_X 21 TILEPROP INT_R_X21Y0 INT_TILE_Y 149 TILEPROP INT_R_X21Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y0 IS_DCM_TILE 0 TILEPROP INT_R_X21Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y0 NAME INT_R_X21Y0 TILEPROP INT_R_X21Y0 NUM_ARCS 3737 TILEPROP INT_R_X21Y0 NUM_SITES 1 TILEPROP INT_R_X21Y0 ROW 155 TILEPROP INT_R_X21Y0 SLR_REGION_ID 0 TILEPROP INT_R_X21Y0 TILE_PATTERN_IDX 8748 TILEPROP INT_R_X21Y0 TILE_TYPE INT_R TILEPROP INT_R_X21Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y0 TILE_X -3120 TILEPROP INT_R_X21Y0 TILE_Y -239672 TILEPROP INT_R_X21Y0 TYPE INT_R TILEPROP INT_R_X21Y1 CLASS tile TILEPROP INT_R_X21Y1 COLUMN 54 TILEPROP INT_R_X21Y1 DEVICE_ID 0 TILEPROP INT_R_X21Y1 FIRST_SITE_ID 15636 TILEPROP INT_R_X21Y1 GRID_POINT_X 54 TILEPROP INT_R_X21Y1 GRID_POINT_Y 154 TILEPROP INT_R_X21Y1 INDEX 17764 TILEPROP INT_R_X21Y1 INT_TILE_X 21 TILEPROP INT_R_X21Y1 INT_TILE_Y 148 TILEPROP INT_R_X21Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y1 IS_DCM_TILE 0 TILEPROP INT_R_X21Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y1 NAME INT_R_X21Y1 TILEPROP INT_R_X21Y1 NUM_ARCS 3737 TILEPROP INT_R_X21Y1 NUM_SITES 1 TILEPROP INT_R_X21Y1 ROW 154 TILEPROP INT_R_X21Y1 SLR_REGION_ID 0 TILEPROP INT_R_X21Y1 TILE_PATTERN_IDX 8717 TILEPROP INT_R_X21Y1 TILE_TYPE INT_R TILEPROP INT_R_X21Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y1 TILE_X -3120 TILEPROP INT_R_X21Y1 TILE_Y -236472 TILEPROP INT_R_X21Y1 TYPE INT_R TILEPROP INT_R_X21Y2 CLASS tile TILEPROP INT_R_X21Y2 COLUMN 54 TILEPROP INT_R_X21Y2 DEVICE_ID 0 TILEPROP INT_R_X21Y2 FIRST_SITE_ID 15536 TILEPROP INT_R_X21Y2 GRID_POINT_X 54 TILEPROP INT_R_X21Y2 GRID_POINT_Y 153 TILEPROP INT_R_X21Y2 INDEX 17649 TILEPROP INT_R_X21Y2 INT_TILE_X 21 TILEPROP INT_R_X21Y2 INT_TILE_Y 147 TILEPROP INT_R_X21Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y2 IS_DCM_TILE 0 TILEPROP INT_R_X21Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y2 NAME INT_R_X21Y2 TILEPROP INT_R_X21Y2 NUM_ARCS 3737 TILEPROP INT_R_X21Y2 NUM_SITES 1 TILEPROP INT_R_X21Y2 ROW 153 TILEPROP INT_R_X21Y2 SLR_REGION_ID 0 TILEPROP INT_R_X21Y2 TILE_PATTERN_IDX 8687 TILEPROP INT_R_X21Y2 TILE_TYPE INT_R TILEPROP INT_R_X21Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y2 TILE_X -3120 TILEPROP INT_R_X21Y2 TILE_Y -233272 TILEPROP INT_R_X21Y2 TYPE INT_R TILEPROP INT_R_X21Y3 CLASS tile TILEPROP INT_R_X21Y3 COLUMN 54 TILEPROP INT_R_X21Y3 DEVICE_ID 0 TILEPROP INT_R_X21Y3 FIRST_SITE_ID 15436 TILEPROP INT_R_X21Y3 GRID_POINT_X 54 TILEPROP INT_R_X21Y3 GRID_POINT_Y 152 TILEPROP INT_R_X21Y3 INDEX 17534 TILEPROP INT_R_X21Y3 INT_TILE_X 21 TILEPROP INT_R_X21Y3 INT_TILE_Y 146 TILEPROP INT_R_X21Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y3 IS_DCM_TILE 0 TILEPROP INT_R_X21Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y3 NAME INT_R_X21Y3 TILEPROP INT_R_X21Y3 NUM_ARCS 3737 TILEPROP INT_R_X21Y3 NUM_SITES 1 TILEPROP INT_R_X21Y3 ROW 152 TILEPROP INT_R_X21Y3 SLR_REGION_ID 0 TILEPROP INT_R_X21Y3 TILE_PATTERN_IDX 8656 TILEPROP INT_R_X21Y3 TILE_TYPE INT_R TILEPROP INT_R_X21Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y3 TILE_X -3120 TILEPROP INT_R_X21Y3 TILE_Y -230072 TILEPROP INT_R_X21Y3 TYPE INT_R TILEPROP INT_R_X21Y4 CLASS tile TILEPROP INT_R_X21Y4 COLUMN 54 TILEPROP INT_R_X21Y4 DEVICE_ID 0 TILEPROP INT_R_X21Y4 FIRST_SITE_ID 15336 TILEPROP INT_R_X21Y4 GRID_POINT_X 54 TILEPROP INT_R_X21Y4 GRID_POINT_Y 151 TILEPROP INT_R_X21Y4 INDEX 17419 TILEPROP INT_R_X21Y4 INT_TILE_X 21 TILEPROP INT_R_X21Y4 INT_TILE_Y 145 TILEPROP INT_R_X21Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y4 IS_DCM_TILE 0 TILEPROP INT_R_X21Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y4 NAME INT_R_X21Y4 TILEPROP INT_R_X21Y4 NUM_ARCS 3737 TILEPROP INT_R_X21Y4 NUM_SITES 1 TILEPROP INT_R_X21Y4 ROW 151 TILEPROP INT_R_X21Y4 SLR_REGION_ID 0 TILEPROP INT_R_X21Y4 TILE_PATTERN_IDX 8626 TILEPROP INT_R_X21Y4 TILE_TYPE INT_R TILEPROP INT_R_X21Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y4 TILE_X -3120 TILEPROP INT_R_X21Y4 TILE_Y -226872 TILEPROP INT_R_X21Y4 TYPE INT_R TILEPROP INT_R_X21Y5 CLASS tile TILEPROP INT_R_X21Y5 COLUMN 54 TILEPROP INT_R_X21Y5 DEVICE_ID 0 TILEPROP INT_R_X21Y5 FIRST_SITE_ID 15227 TILEPROP INT_R_X21Y5 GRID_POINT_X 54 TILEPROP INT_R_X21Y5 GRID_POINT_Y 150 TILEPROP INT_R_X21Y5 INDEX 17304 TILEPROP INT_R_X21Y5 INT_TILE_X 21 TILEPROP INT_R_X21Y5 INT_TILE_Y 144 TILEPROP INT_R_X21Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y5 IS_DCM_TILE 0 TILEPROP INT_R_X21Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y5 NAME INT_R_X21Y5 TILEPROP INT_R_X21Y5 NUM_ARCS 3737 TILEPROP INT_R_X21Y5 NUM_SITES 1 TILEPROP INT_R_X21Y5 ROW 150 TILEPROP INT_R_X21Y5 SLR_REGION_ID 0 TILEPROP INT_R_X21Y5 TILE_PATTERN_IDX 8595 TILEPROP INT_R_X21Y5 TILE_TYPE INT_R TILEPROP INT_R_X21Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y5 TILE_X -3120 TILEPROP INT_R_X21Y5 TILE_Y -223672 TILEPROP INT_R_X21Y5 TYPE INT_R TILEPROP INT_R_X21Y6 CLASS tile TILEPROP INT_R_X21Y6 COLUMN 54 TILEPROP INT_R_X21Y6 DEVICE_ID 0 TILEPROP INT_R_X21Y6 FIRST_SITE_ID 15121 TILEPROP INT_R_X21Y6 GRID_POINT_X 54 TILEPROP INT_R_X21Y6 GRID_POINT_Y 149 TILEPROP INT_R_X21Y6 INDEX 17189 TILEPROP INT_R_X21Y6 INT_TILE_X 21 TILEPROP INT_R_X21Y6 INT_TILE_Y 143 TILEPROP INT_R_X21Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y6 IS_DCM_TILE 0 TILEPROP INT_R_X21Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y6 NAME INT_R_X21Y6 TILEPROP INT_R_X21Y6 NUM_ARCS 3737 TILEPROP INT_R_X21Y6 NUM_SITES 1 TILEPROP INT_R_X21Y6 ROW 149 TILEPROP INT_R_X21Y6 SLR_REGION_ID 0 TILEPROP INT_R_X21Y6 TILE_PATTERN_IDX 8565 TILEPROP INT_R_X21Y6 TILE_TYPE INT_R TILEPROP INT_R_X21Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y6 TILE_X -3120 TILEPROP INT_R_X21Y6 TILE_Y -220472 TILEPROP INT_R_X21Y6 TYPE INT_R TILEPROP INT_R_X21Y7 CLASS tile TILEPROP INT_R_X21Y7 COLUMN 54 TILEPROP INT_R_X21Y7 DEVICE_ID 0 TILEPROP INT_R_X21Y7 FIRST_SITE_ID 15019 TILEPROP INT_R_X21Y7 GRID_POINT_X 54 TILEPROP INT_R_X21Y7 GRID_POINT_Y 148 TILEPROP INT_R_X21Y7 INDEX 17074 TILEPROP INT_R_X21Y7 INT_TILE_X 21 TILEPROP INT_R_X21Y7 INT_TILE_Y 142 TILEPROP INT_R_X21Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y7 IS_DCM_TILE 0 TILEPROP INT_R_X21Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y7 NAME INT_R_X21Y7 TILEPROP INT_R_X21Y7 NUM_ARCS 3737 TILEPROP INT_R_X21Y7 NUM_SITES 1 TILEPROP INT_R_X21Y7 ROW 148 TILEPROP INT_R_X21Y7 SLR_REGION_ID 0 TILEPROP INT_R_X21Y7 TILE_PATTERN_IDX 8534 TILEPROP INT_R_X21Y7 TILE_TYPE INT_R TILEPROP INT_R_X21Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y7 TILE_X -3120 TILEPROP INT_R_X21Y7 TILE_Y -217272 TILEPROP INT_R_X21Y7 TYPE INT_R TILEPROP INT_R_X21Y8 CLASS tile TILEPROP INT_R_X21Y8 COLUMN 54 TILEPROP INT_R_X21Y8 DEVICE_ID 0 TILEPROP INT_R_X21Y8 FIRST_SITE_ID 14916 TILEPROP INT_R_X21Y8 GRID_POINT_X 54 TILEPROP INT_R_X21Y8 GRID_POINT_Y 147 TILEPROP INT_R_X21Y8 INDEX 16959 TILEPROP INT_R_X21Y8 INT_TILE_X 21 TILEPROP INT_R_X21Y8 INT_TILE_Y 141 TILEPROP INT_R_X21Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y8 IS_DCM_TILE 0 TILEPROP INT_R_X21Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y8 NAME INT_R_X21Y8 TILEPROP INT_R_X21Y8 NUM_ARCS 3737 TILEPROP INT_R_X21Y8 NUM_SITES 1 TILEPROP INT_R_X21Y8 ROW 147 TILEPROP INT_R_X21Y8 SLR_REGION_ID 0 TILEPROP INT_R_X21Y8 TILE_PATTERN_IDX 8504 TILEPROP INT_R_X21Y8 TILE_TYPE INT_R TILEPROP INT_R_X21Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y8 TILE_X -3120 TILEPROP INT_R_X21Y8 TILE_Y -214072 TILEPROP INT_R_X21Y8 TYPE INT_R TILEPROP INT_R_X21Y9 CLASS tile TILEPROP INT_R_X21Y9 COLUMN 54 TILEPROP INT_R_X21Y9 DEVICE_ID 0 TILEPROP INT_R_X21Y9 FIRST_SITE_ID 14815 TILEPROP INT_R_X21Y9 GRID_POINT_X 54 TILEPROP INT_R_X21Y9 GRID_POINT_Y 146 TILEPROP INT_R_X21Y9 INDEX 16844 TILEPROP INT_R_X21Y9 INT_TILE_X 21 TILEPROP INT_R_X21Y9 INT_TILE_Y 140 TILEPROP INT_R_X21Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y9 IS_DCM_TILE 0 TILEPROP INT_R_X21Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y9 NAME INT_R_X21Y9 TILEPROP INT_R_X21Y9 NUM_ARCS 3737 TILEPROP INT_R_X21Y9 NUM_SITES 1 TILEPROP INT_R_X21Y9 ROW 146 TILEPROP INT_R_X21Y9 SLR_REGION_ID 0 TILEPROP INT_R_X21Y9 TILE_PATTERN_IDX 8473 TILEPROP INT_R_X21Y9 TILE_TYPE INT_R TILEPROP INT_R_X21Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y9 TILE_X -3120 TILEPROP INT_R_X21Y9 TILE_Y -210872 TILEPROP INT_R_X21Y9 TYPE INT_R TILEPROP INT_R_X21Y10 CLASS tile TILEPROP INT_R_X21Y10 COLUMN 54 TILEPROP INT_R_X21Y10 DEVICE_ID 0 TILEPROP INT_R_X21Y10 FIRST_SITE_ID 14706 TILEPROP INT_R_X21Y10 GRID_POINT_X 54 TILEPROP INT_R_X21Y10 GRID_POINT_Y 145 TILEPROP INT_R_X21Y10 INDEX 16729 TILEPROP INT_R_X21Y10 INT_TILE_X 21 TILEPROP INT_R_X21Y10 INT_TILE_Y 139 TILEPROP INT_R_X21Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y10 IS_DCM_TILE 0 TILEPROP INT_R_X21Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y10 NAME INT_R_X21Y10 TILEPROP INT_R_X21Y10 NUM_ARCS 3737 TILEPROP INT_R_X21Y10 NUM_SITES 1 TILEPROP INT_R_X21Y10 ROW 145 TILEPROP INT_R_X21Y10 SLR_REGION_ID 0 TILEPROP INT_R_X21Y10 TILE_PATTERN_IDX 8443 TILEPROP INT_R_X21Y10 TILE_TYPE INT_R TILEPROP INT_R_X21Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y10 TILE_X -3120 TILEPROP INT_R_X21Y10 TILE_Y -207672 TILEPROP INT_R_X21Y10 TYPE INT_R TILEPROP INT_R_X21Y11 CLASS tile TILEPROP INT_R_X21Y11 COLUMN 54 TILEPROP INT_R_X21Y11 DEVICE_ID 0 TILEPROP INT_R_X21Y11 FIRST_SITE_ID 14600 TILEPROP INT_R_X21Y11 GRID_POINT_X 54 TILEPROP INT_R_X21Y11 GRID_POINT_Y 144 TILEPROP INT_R_X21Y11 INDEX 16614 TILEPROP INT_R_X21Y11 INT_TILE_X 21 TILEPROP INT_R_X21Y11 INT_TILE_Y 138 TILEPROP INT_R_X21Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y11 IS_DCM_TILE 0 TILEPROP INT_R_X21Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y11 NAME INT_R_X21Y11 TILEPROP INT_R_X21Y11 NUM_ARCS 3737 TILEPROP INT_R_X21Y11 NUM_SITES 1 TILEPROP INT_R_X21Y11 ROW 144 TILEPROP INT_R_X21Y11 SLR_REGION_ID 0 TILEPROP INT_R_X21Y11 TILE_PATTERN_IDX 8412 TILEPROP INT_R_X21Y11 TILE_TYPE INT_R TILEPROP INT_R_X21Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y11 TILE_X -3120 TILEPROP INT_R_X21Y11 TILE_Y -204472 TILEPROP INT_R_X21Y11 TYPE INT_R TILEPROP INT_R_X21Y12 CLASS tile TILEPROP INT_R_X21Y12 COLUMN 54 TILEPROP INT_R_X21Y12 DEVICE_ID 0 TILEPROP INT_R_X21Y12 FIRST_SITE_ID 14468 TILEPROP INT_R_X21Y12 GRID_POINT_X 54 TILEPROP INT_R_X21Y12 GRID_POINT_Y 143 TILEPROP INT_R_X21Y12 INDEX 16499 TILEPROP INT_R_X21Y12 INT_TILE_X 21 TILEPROP INT_R_X21Y12 INT_TILE_Y 137 TILEPROP INT_R_X21Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y12 IS_DCM_TILE 0 TILEPROP INT_R_X21Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y12 NAME INT_R_X21Y12 TILEPROP INT_R_X21Y12 NUM_ARCS 3737 TILEPROP INT_R_X21Y12 NUM_SITES 1 TILEPROP INT_R_X21Y12 ROW 143 TILEPROP INT_R_X21Y12 SLR_REGION_ID 0 TILEPROP INT_R_X21Y12 TILE_PATTERN_IDX 8382 TILEPROP INT_R_X21Y12 TILE_TYPE INT_R TILEPROP INT_R_X21Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y12 TILE_X -3120 TILEPROP INT_R_X21Y12 TILE_Y -201272 TILEPROP INT_R_X21Y12 TYPE INT_R TILEPROP INT_R_X21Y13 CLASS tile TILEPROP INT_R_X21Y13 COLUMN 54 TILEPROP INT_R_X21Y13 DEVICE_ID 0 TILEPROP INT_R_X21Y13 FIRST_SITE_ID 14368 TILEPROP INT_R_X21Y13 GRID_POINT_X 54 TILEPROP INT_R_X21Y13 GRID_POINT_Y 142 TILEPROP INT_R_X21Y13 INDEX 16384 TILEPROP INT_R_X21Y13 INT_TILE_X 21 TILEPROP INT_R_X21Y13 INT_TILE_Y 136 TILEPROP INT_R_X21Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y13 IS_DCM_TILE 0 TILEPROP INT_R_X21Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y13 NAME INT_R_X21Y13 TILEPROP INT_R_X21Y13 NUM_ARCS 3737 TILEPROP INT_R_X21Y13 NUM_SITES 1 TILEPROP INT_R_X21Y13 ROW 142 TILEPROP INT_R_X21Y13 SLR_REGION_ID 0 TILEPROP INT_R_X21Y13 TILE_PATTERN_IDX 8351 TILEPROP INT_R_X21Y13 TILE_TYPE INT_R TILEPROP INT_R_X21Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y13 TILE_X -3120 TILEPROP INT_R_X21Y13 TILE_Y -198072 TILEPROP INT_R_X21Y13 TYPE INT_R TILEPROP INT_R_X21Y14 CLASS tile TILEPROP INT_R_X21Y14 COLUMN 54 TILEPROP INT_R_X21Y14 DEVICE_ID 0 TILEPROP INT_R_X21Y14 FIRST_SITE_ID 14268 TILEPROP INT_R_X21Y14 GRID_POINT_X 54 TILEPROP INT_R_X21Y14 GRID_POINT_Y 141 TILEPROP INT_R_X21Y14 INDEX 16269 TILEPROP INT_R_X21Y14 INT_TILE_X 21 TILEPROP INT_R_X21Y14 INT_TILE_Y 135 TILEPROP INT_R_X21Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y14 IS_DCM_TILE 0 TILEPROP INT_R_X21Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y14 NAME INT_R_X21Y14 TILEPROP INT_R_X21Y14 NUM_ARCS 3737 TILEPROP INT_R_X21Y14 NUM_SITES 1 TILEPROP INT_R_X21Y14 ROW 141 TILEPROP INT_R_X21Y14 SLR_REGION_ID 0 TILEPROP INT_R_X21Y14 TILE_PATTERN_IDX 8321 TILEPROP INT_R_X21Y14 TILE_TYPE INT_R TILEPROP INT_R_X21Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y14 TILE_X -3120 TILEPROP INT_R_X21Y14 TILE_Y -194872 TILEPROP INT_R_X21Y14 TYPE INT_R TILEPROP INT_R_X21Y15 CLASS tile TILEPROP INT_R_X21Y15 COLUMN 54 TILEPROP INT_R_X21Y15 DEVICE_ID 0 TILEPROP INT_R_X21Y15 FIRST_SITE_ID 14159 TILEPROP INT_R_X21Y15 GRID_POINT_X 54 TILEPROP INT_R_X21Y15 GRID_POINT_Y 140 TILEPROP INT_R_X21Y15 INDEX 16154 TILEPROP INT_R_X21Y15 INT_TILE_X 21 TILEPROP INT_R_X21Y15 INT_TILE_Y 134 TILEPROP INT_R_X21Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y15 IS_DCM_TILE 0 TILEPROP INT_R_X21Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y15 NAME INT_R_X21Y15 TILEPROP INT_R_X21Y15 NUM_ARCS 3737 TILEPROP INT_R_X21Y15 NUM_SITES 1 TILEPROP INT_R_X21Y15 ROW 140 TILEPROP INT_R_X21Y15 SLR_REGION_ID 0 TILEPROP INT_R_X21Y15 TILE_PATTERN_IDX 8290 TILEPROP INT_R_X21Y15 TILE_TYPE INT_R TILEPROP INT_R_X21Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y15 TILE_X -3120 TILEPROP INT_R_X21Y15 TILE_Y -191672 TILEPROP INT_R_X21Y15 TYPE INT_R TILEPROP INT_R_X21Y16 CLASS tile TILEPROP INT_R_X21Y16 COLUMN 54 TILEPROP INT_R_X21Y16 DEVICE_ID 0 TILEPROP INT_R_X21Y16 FIRST_SITE_ID 14053 TILEPROP INT_R_X21Y16 GRID_POINT_X 54 TILEPROP INT_R_X21Y16 GRID_POINT_Y 139 TILEPROP INT_R_X21Y16 INDEX 16039 TILEPROP INT_R_X21Y16 INT_TILE_X 21 TILEPROP INT_R_X21Y16 INT_TILE_Y 133 TILEPROP INT_R_X21Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y16 IS_DCM_TILE 0 TILEPROP INT_R_X21Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y16 NAME INT_R_X21Y16 TILEPROP INT_R_X21Y16 NUM_ARCS 3737 TILEPROP INT_R_X21Y16 NUM_SITES 1 TILEPROP INT_R_X21Y16 ROW 139 TILEPROP INT_R_X21Y16 SLR_REGION_ID 0 TILEPROP INT_R_X21Y16 TILE_PATTERN_IDX 8260 TILEPROP INT_R_X21Y16 TILE_TYPE INT_R TILEPROP INT_R_X21Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y16 TILE_X -3120 TILEPROP INT_R_X21Y16 TILE_Y -188472 TILEPROP INT_R_X21Y16 TYPE INT_R TILEPROP INT_R_X21Y17 CLASS tile TILEPROP INT_R_X21Y17 COLUMN 54 TILEPROP INT_R_X21Y17 DEVICE_ID 0 TILEPROP INT_R_X21Y17 FIRST_SITE_ID 13948 TILEPROP INT_R_X21Y17 GRID_POINT_X 54 TILEPROP INT_R_X21Y17 GRID_POINT_Y 138 TILEPROP INT_R_X21Y17 INDEX 15924 TILEPROP INT_R_X21Y17 INT_TILE_X 21 TILEPROP INT_R_X21Y17 INT_TILE_Y 132 TILEPROP INT_R_X21Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y17 IS_DCM_TILE 0 TILEPROP INT_R_X21Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y17 NAME INT_R_X21Y17 TILEPROP INT_R_X21Y17 NUM_ARCS 3737 TILEPROP INT_R_X21Y17 NUM_SITES 1 TILEPROP INT_R_X21Y17 ROW 138 TILEPROP INT_R_X21Y17 SLR_REGION_ID 0 TILEPROP INT_R_X21Y17 TILE_PATTERN_IDX 8228 TILEPROP INT_R_X21Y17 TILE_TYPE INT_R TILEPROP INT_R_X21Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y17 TILE_X -3120 TILEPROP INT_R_X21Y17 TILE_Y -185272 TILEPROP INT_R_X21Y17 TYPE INT_R TILEPROP INT_R_X21Y18 CLASS tile TILEPROP INT_R_X21Y18 COLUMN 54 TILEPROP INT_R_X21Y18 DEVICE_ID 0 TILEPROP INT_R_X21Y18 FIRST_SITE_ID 13844 TILEPROP INT_R_X21Y18 GRID_POINT_X 54 TILEPROP INT_R_X21Y18 GRID_POINT_Y 137 TILEPROP INT_R_X21Y18 INDEX 15809 TILEPROP INT_R_X21Y18 INT_TILE_X 21 TILEPROP INT_R_X21Y18 INT_TILE_Y 131 TILEPROP INT_R_X21Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y18 IS_DCM_TILE 0 TILEPROP INT_R_X21Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y18 NAME INT_R_X21Y18 TILEPROP INT_R_X21Y18 NUM_ARCS 3737 TILEPROP INT_R_X21Y18 NUM_SITES 1 TILEPROP INT_R_X21Y18 ROW 137 TILEPROP INT_R_X21Y18 SLR_REGION_ID 0 TILEPROP INT_R_X21Y18 TILE_PATTERN_IDX 8205 TILEPROP INT_R_X21Y18 TILE_TYPE INT_R TILEPROP INT_R_X21Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y18 TILE_X -3120 TILEPROP INT_R_X21Y18 TILE_Y -182072 TILEPROP INT_R_X21Y18 TYPE INT_R TILEPROP INT_R_X21Y19 CLASS tile TILEPROP INT_R_X21Y19 COLUMN 54 TILEPROP INT_R_X21Y19 DEVICE_ID 0 TILEPROP INT_R_X21Y19 FIRST_SITE_ID 13742 TILEPROP INT_R_X21Y19 GRID_POINT_X 54 TILEPROP INT_R_X21Y19 GRID_POINT_Y 136 TILEPROP INT_R_X21Y19 INDEX 15694 TILEPROP INT_R_X21Y19 INT_TILE_X 21 TILEPROP INT_R_X21Y19 INT_TILE_Y 130 TILEPROP INT_R_X21Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y19 IS_DCM_TILE 0 TILEPROP INT_R_X21Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y19 NAME INT_R_X21Y19 TILEPROP INT_R_X21Y19 NUM_ARCS 3737 TILEPROP INT_R_X21Y19 NUM_SITES 1 TILEPROP INT_R_X21Y19 ROW 136 TILEPROP INT_R_X21Y19 SLR_REGION_ID 0 TILEPROP INT_R_X21Y19 TILE_PATTERN_IDX 8182 TILEPROP INT_R_X21Y19 TILE_TYPE INT_R TILEPROP INT_R_X21Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y19 TILE_X -3120 TILEPROP INT_R_X21Y19 TILE_Y -178872 TILEPROP INT_R_X21Y19 TYPE INT_R TILEPROP INT_R_X21Y20 CLASS tile TILEPROP INT_R_X21Y20 COLUMN 54 TILEPROP INT_R_X21Y20 DEVICE_ID 0 TILEPROP INT_R_X21Y20 FIRST_SITE_ID 13631 TILEPROP INT_R_X21Y20 GRID_POINT_X 54 TILEPROP INT_R_X21Y20 GRID_POINT_Y 135 TILEPROP INT_R_X21Y20 INDEX 15579 TILEPROP INT_R_X21Y20 INT_TILE_X 21 TILEPROP INT_R_X21Y20 INT_TILE_Y 129 TILEPROP INT_R_X21Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y20 IS_DCM_TILE 0 TILEPROP INT_R_X21Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y20 NAME INT_R_X21Y20 TILEPROP INT_R_X21Y20 NUM_ARCS 3737 TILEPROP INT_R_X21Y20 NUM_SITES 1 TILEPROP INT_R_X21Y20 ROW 135 TILEPROP INT_R_X21Y20 SLR_REGION_ID 0 TILEPROP INT_R_X21Y20 TILE_PATTERN_IDX 8160 TILEPROP INT_R_X21Y20 TILE_TYPE INT_R TILEPROP INT_R_X21Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y20 TILE_X -3120 TILEPROP INT_R_X21Y20 TILE_Y -175672 TILEPROP INT_R_X21Y20 TYPE INT_R TILEPROP INT_R_X21Y21 CLASS tile TILEPROP INT_R_X21Y21 COLUMN 54 TILEPROP INT_R_X21Y21 DEVICE_ID 0 TILEPROP INT_R_X21Y21 FIRST_SITE_ID 13525 TILEPROP INT_R_X21Y21 GRID_POINT_X 54 TILEPROP INT_R_X21Y21 GRID_POINT_Y 134 TILEPROP INT_R_X21Y21 INDEX 15464 TILEPROP INT_R_X21Y21 INT_TILE_X 21 TILEPROP INT_R_X21Y21 INT_TILE_Y 128 TILEPROP INT_R_X21Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y21 IS_DCM_TILE 0 TILEPROP INT_R_X21Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y21 NAME INT_R_X21Y21 TILEPROP INT_R_X21Y21 NUM_ARCS 3737 TILEPROP INT_R_X21Y21 NUM_SITES 1 TILEPROP INT_R_X21Y21 ROW 134 TILEPROP INT_R_X21Y21 SLR_REGION_ID 0 TILEPROP INT_R_X21Y21 TILE_PATTERN_IDX 8131 TILEPROP INT_R_X21Y21 TILE_TYPE INT_R TILEPROP INT_R_X21Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y21 TILE_X -3120 TILEPROP INT_R_X21Y21 TILE_Y -172472 TILEPROP INT_R_X21Y21 TYPE INT_R TILEPROP INT_R_X21Y22 CLASS tile TILEPROP INT_R_X21Y22 COLUMN 54 TILEPROP INT_R_X21Y22 DEVICE_ID 0 TILEPROP INT_R_X21Y22 FIRST_SITE_ID 13425 TILEPROP INT_R_X21Y22 GRID_POINT_X 54 TILEPROP INT_R_X21Y22 GRID_POINT_Y 133 TILEPROP INT_R_X21Y22 INDEX 15349 TILEPROP INT_R_X21Y22 INT_TILE_X 21 TILEPROP INT_R_X21Y22 INT_TILE_Y 127 TILEPROP INT_R_X21Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y22 IS_DCM_TILE 0 TILEPROP INT_R_X21Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y22 NAME INT_R_X21Y22 TILEPROP INT_R_X21Y22 NUM_ARCS 3737 TILEPROP INT_R_X21Y22 NUM_SITES 1 TILEPROP INT_R_X21Y22 ROW 133 TILEPROP INT_R_X21Y22 SLR_REGION_ID 0 TILEPROP INT_R_X21Y22 TILE_PATTERN_IDX 8103 TILEPROP INT_R_X21Y22 TILE_TYPE INT_R TILEPROP INT_R_X21Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y22 TILE_X -3120 TILEPROP INT_R_X21Y22 TILE_Y -169272 TILEPROP INT_R_X21Y22 TYPE INT_R TILEPROP INT_R_X21Y23 CLASS tile TILEPROP INT_R_X21Y23 COLUMN 54 TILEPROP INT_R_X21Y23 DEVICE_ID 0 TILEPROP INT_R_X21Y23 FIRST_SITE_ID 13325 TILEPROP INT_R_X21Y23 GRID_POINT_X 54 TILEPROP INT_R_X21Y23 GRID_POINT_Y 132 TILEPROP INT_R_X21Y23 INDEX 15234 TILEPROP INT_R_X21Y23 INT_TILE_X 21 TILEPROP INT_R_X21Y23 INT_TILE_Y 126 TILEPROP INT_R_X21Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y23 IS_DCM_TILE 0 TILEPROP INT_R_X21Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y23 NAME INT_R_X21Y23 TILEPROP INT_R_X21Y23 NUM_ARCS 3737 TILEPROP INT_R_X21Y23 NUM_SITES 1 TILEPROP INT_R_X21Y23 ROW 132 TILEPROP INT_R_X21Y23 SLR_REGION_ID 0 TILEPROP INT_R_X21Y23 TILE_PATTERN_IDX 8074 TILEPROP INT_R_X21Y23 TILE_TYPE INT_R TILEPROP INT_R_X21Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y23 TILE_X -3120 TILEPROP INT_R_X21Y23 TILE_Y -166072 TILEPROP INT_R_X21Y23 TYPE INT_R TILEPROP INT_R_X21Y24 CLASS tile TILEPROP INT_R_X21Y24 COLUMN 54 TILEPROP INT_R_X21Y24 DEVICE_ID 0 TILEPROP INT_R_X21Y24 FIRST_SITE_ID 13225 TILEPROP INT_R_X21Y24 GRID_POINT_X 54 TILEPROP INT_R_X21Y24 GRID_POINT_Y 131 TILEPROP INT_R_X21Y24 INDEX 15119 TILEPROP INT_R_X21Y24 INT_TILE_X 21 TILEPROP INT_R_X21Y24 INT_TILE_Y 125 TILEPROP INT_R_X21Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y24 IS_DCM_TILE 0 TILEPROP INT_R_X21Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y24 NAME INT_R_X21Y24 TILEPROP INT_R_X21Y24 NUM_ARCS 3737 TILEPROP INT_R_X21Y24 NUM_SITES 1 TILEPROP INT_R_X21Y24 ROW 131 TILEPROP INT_R_X21Y24 SLR_REGION_ID 0 TILEPROP INT_R_X21Y24 TILE_PATTERN_IDX 8046 TILEPROP INT_R_X21Y24 TILE_TYPE INT_R TILEPROP INT_R_X21Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y24 TILE_X -3120 TILEPROP INT_R_X21Y24 TILE_Y -162872 TILEPROP INT_R_X21Y24 TYPE INT_R TILEPROP INT_R_X21Y25 CLASS tile TILEPROP INT_R_X21Y25 COLUMN 54 TILEPROP INT_R_X21Y25 DEVICE_ID 0 TILEPROP INT_R_X21Y25 FIRST_SITE_ID 13033 TILEPROP INT_R_X21Y25 GRID_POINT_X 54 TILEPROP INT_R_X21Y25 GRID_POINT_Y 129 TILEPROP INT_R_X21Y25 INDEX 14889 TILEPROP INT_R_X21Y25 INT_TILE_X 21 TILEPROP INT_R_X21Y25 INT_TILE_Y 124 TILEPROP INT_R_X21Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y25 IS_DCM_TILE 0 TILEPROP INT_R_X21Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y25 NAME INT_R_X21Y25 TILEPROP INT_R_X21Y25 NUM_ARCS 3737 TILEPROP INT_R_X21Y25 NUM_SITES 1 TILEPROP INT_R_X21Y25 ROW 129 TILEPROP INT_R_X21Y25 SLR_REGION_ID 0 TILEPROP INT_R_X21Y25 TILE_PATTERN_IDX 7974 TILEPROP INT_R_X21Y25 TILE_TYPE INT_R TILEPROP INT_R_X21Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y25 TILE_X -3120 TILEPROP INT_R_X21Y25 TILE_Y -158648 TILEPROP INT_R_X21Y25 TYPE INT_R TILEPROP INT_R_X21Y26 CLASS tile TILEPROP INT_R_X21Y26 COLUMN 54 TILEPROP INT_R_X21Y26 DEVICE_ID 0 TILEPROP INT_R_X21Y26 FIRST_SITE_ID 12927 TILEPROP INT_R_X21Y26 GRID_POINT_X 54 TILEPROP INT_R_X21Y26 GRID_POINT_Y 128 TILEPROP INT_R_X21Y26 INDEX 14774 TILEPROP INT_R_X21Y26 INT_TILE_X 21 TILEPROP INT_R_X21Y26 INT_TILE_Y 123 TILEPROP INT_R_X21Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y26 IS_DCM_TILE 0 TILEPROP INT_R_X21Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y26 NAME INT_R_X21Y26 TILEPROP INT_R_X21Y26 NUM_ARCS 3737 TILEPROP INT_R_X21Y26 NUM_SITES 1 TILEPROP INT_R_X21Y26 ROW 128 TILEPROP INT_R_X21Y26 SLR_REGION_ID 0 TILEPROP INT_R_X21Y26 TILE_PATTERN_IDX 7946 TILEPROP INT_R_X21Y26 TILE_TYPE INT_R TILEPROP INT_R_X21Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y26 TILE_X -3120 TILEPROP INT_R_X21Y26 TILE_Y -155448 TILEPROP INT_R_X21Y26 TYPE INT_R TILEPROP INT_R_X21Y27 CLASS tile TILEPROP INT_R_X21Y27 COLUMN 54 TILEPROP INT_R_X21Y27 DEVICE_ID 0 TILEPROP INT_R_X21Y27 FIRST_SITE_ID 12827 TILEPROP INT_R_X21Y27 GRID_POINT_X 54 TILEPROP INT_R_X21Y27 GRID_POINT_Y 127 TILEPROP INT_R_X21Y27 INDEX 14659 TILEPROP INT_R_X21Y27 INT_TILE_X 21 TILEPROP INT_R_X21Y27 INT_TILE_Y 122 TILEPROP INT_R_X21Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y27 IS_DCM_TILE 0 TILEPROP INT_R_X21Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y27 NAME INT_R_X21Y27 TILEPROP INT_R_X21Y27 NUM_ARCS 3737 TILEPROP INT_R_X21Y27 NUM_SITES 1 TILEPROP INT_R_X21Y27 ROW 127 TILEPROP INT_R_X21Y27 SLR_REGION_ID 0 TILEPROP INT_R_X21Y27 TILE_PATTERN_IDX 7917 TILEPROP INT_R_X21Y27 TILE_TYPE INT_R TILEPROP INT_R_X21Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y27 TILE_X -3120 TILEPROP INT_R_X21Y27 TILE_Y -152248 TILEPROP INT_R_X21Y27 TYPE INT_R TILEPROP INT_R_X21Y28 CLASS tile TILEPROP INT_R_X21Y28 COLUMN 54 TILEPROP INT_R_X21Y28 DEVICE_ID 0 TILEPROP INT_R_X21Y28 FIRST_SITE_ID 12727 TILEPROP INT_R_X21Y28 GRID_POINT_X 54 TILEPROP INT_R_X21Y28 GRID_POINT_Y 126 TILEPROP INT_R_X21Y28 INDEX 14544 TILEPROP INT_R_X21Y28 INT_TILE_X 21 TILEPROP INT_R_X21Y28 INT_TILE_Y 121 TILEPROP INT_R_X21Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y28 IS_DCM_TILE 0 TILEPROP INT_R_X21Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y28 NAME INT_R_X21Y28 TILEPROP INT_R_X21Y28 NUM_ARCS 3737 TILEPROP INT_R_X21Y28 NUM_SITES 1 TILEPROP INT_R_X21Y28 ROW 126 TILEPROP INT_R_X21Y28 SLR_REGION_ID 0 TILEPROP INT_R_X21Y28 TILE_PATTERN_IDX 7889 TILEPROP INT_R_X21Y28 TILE_TYPE INT_R TILEPROP INT_R_X21Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y28 TILE_X -3120 TILEPROP INT_R_X21Y28 TILE_Y -149048 TILEPROP INT_R_X21Y28 TYPE INT_R TILEPROP INT_R_X21Y29 CLASS tile TILEPROP INT_R_X21Y29 COLUMN 54 TILEPROP INT_R_X21Y29 DEVICE_ID 0 TILEPROP INT_R_X21Y29 FIRST_SITE_ID 12621 TILEPROP INT_R_X21Y29 GRID_POINT_X 54 TILEPROP INT_R_X21Y29 GRID_POINT_Y 125 TILEPROP INT_R_X21Y29 INDEX 14429 TILEPROP INT_R_X21Y29 INT_TILE_X 21 TILEPROP INT_R_X21Y29 INT_TILE_Y 120 TILEPROP INT_R_X21Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y29 IS_DCM_TILE 0 TILEPROP INT_R_X21Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y29 NAME INT_R_X21Y29 TILEPROP INT_R_X21Y29 NUM_ARCS 3737 TILEPROP INT_R_X21Y29 NUM_SITES 1 TILEPROP INT_R_X21Y29 ROW 125 TILEPROP INT_R_X21Y29 SLR_REGION_ID 0 TILEPROP INT_R_X21Y29 TILE_PATTERN_IDX 7865 TILEPROP INT_R_X21Y29 TILE_TYPE INT_R TILEPROP INT_R_X21Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y29 TILE_X -3120 TILEPROP INT_R_X21Y29 TILE_Y -145848 TILEPROP INT_R_X21Y29 TYPE INT_R TILEPROP INT_R_X21Y30 CLASS tile TILEPROP INT_R_X21Y30 COLUMN 54 TILEPROP INT_R_X21Y30 DEVICE_ID 0 TILEPROP INT_R_X21Y30 FIRST_SITE_ID 12506 TILEPROP INT_R_X21Y30 GRID_POINT_X 54 TILEPROP INT_R_X21Y30 GRID_POINT_Y 124 TILEPROP INT_R_X21Y30 INDEX 14314 TILEPROP INT_R_X21Y30 INT_TILE_X 21 TILEPROP INT_R_X21Y30 INT_TILE_Y 119 TILEPROP INT_R_X21Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y30 IS_DCM_TILE 0 TILEPROP INT_R_X21Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y30 NAME INT_R_X21Y30 TILEPROP INT_R_X21Y30 NUM_ARCS 3737 TILEPROP INT_R_X21Y30 NUM_SITES 1 TILEPROP INT_R_X21Y30 ROW 124 TILEPROP INT_R_X21Y30 SLR_REGION_ID 0 TILEPROP INT_R_X21Y30 TILE_PATTERN_IDX 7842 TILEPROP INT_R_X21Y30 TILE_TYPE INT_R TILEPROP INT_R_X21Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y30 TILE_X -3120 TILEPROP INT_R_X21Y30 TILE_Y -142648 TILEPROP INT_R_X21Y30 TYPE INT_R TILEPROP INT_R_X21Y31 CLASS tile TILEPROP INT_R_X21Y31 COLUMN 54 TILEPROP INT_R_X21Y31 DEVICE_ID 0 TILEPROP INT_R_X21Y31 FIRST_SITE_ID 12385 TILEPROP INT_R_X21Y31 GRID_POINT_X 54 TILEPROP INT_R_X21Y31 GRID_POINT_Y 123 TILEPROP INT_R_X21Y31 INDEX 14199 TILEPROP INT_R_X21Y31 INT_TILE_X 21 TILEPROP INT_R_X21Y31 INT_TILE_Y 118 TILEPROP INT_R_X21Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y31 IS_DCM_TILE 0 TILEPROP INT_R_X21Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y31 NAME INT_R_X21Y31 TILEPROP INT_R_X21Y31 NUM_ARCS 3737 TILEPROP INT_R_X21Y31 NUM_SITES 1 TILEPROP INT_R_X21Y31 ROW 123 TILEPROP INT_R_X21Y31 SLR_REGION_ID 0 TILEPROP INT_R_X21Y31 TILE_PATTERN_IDX 7819 TILEPROP INT_R_X21Y31 TILE_TYPE INT_R TILEPROP INT_R_X21Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y31 TILE_X -3120 TILEPROP INT_R_X21Y31 TILE_Y -139448 TILEPROP INT_R_X21Y31 TYPE INT_R TILEPROP INT_R_X21Y32 CLASS tile TILEPROP INT_R_X21Y32 COLUMN 54 TILEPROP INT_R_X21Y32 DEVICE_ID 0 TILEPROP INT_R_X21Y32 FIRST_SITE_ID 12282 TILEPROP INT_R_X21Y32 GRID_POINT_X 54 TILEPROP INT_R_X21Y32 GRID_POINT_Y 122 TILEPROP INT_R_X21Y32 INDEX 14084 TILEPROP INT_R_X21Y32 INT_TILE_X 21 TILEPROP INT_R_X21Y32 INT_TILE_Y 117 TILEPROP INT_R_X21Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y32 IS_DCM_TILE 0 TILEPROP INT_R_X21Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y32 NAME INT_R_X21Y32 TILEPROP INT_R_X21Y32 NUM_ARCS 3737 TILEPROP INT_R_X21Y32 NUM_SITES 1 TILEPROP INT_R_X21Y32 ROW 122 TILEPROP INT_R_X21Y32 SLR_REGION_ID 0 TILEPROP INT_R_X21Y32 TILE_PATTERN_IDX 7785 TILEPROP INT_R_X21Y32 TILE_TYPE INT_R TILEPROP INT_R_X21Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y32 TILE_X -3120 TILEPROP INT_R_X21Y32 TILE_Y -136248 TILEPROP INT_R_X21Y32 TYPE INT_R TILEPROP INT_R_X21Y33 CLASS tile TILEPROP INT_R_X21Y33 COLUMN 54 TILEPROP INT_R_X21Y33 DEVICE_ID 0 TILEPROP INT_R_X21Y33 FIRST_SITE_ID 12182 TILEPROP INT_R_X21Y33 GRID_POINT_X 54 TILEPROP INT_R_X21Y33 GRID_POINT_Y 121 TILEPROP INT_R_X21Y33 INDEX 13969 TILEPROP INT_R_X21Y33 INT_TILE_X 21 TILEPROP INT_R_X21Y33 INT_TILE_Y 116 TILEPROP INT_R_X21Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y33 IS_DCM_TILE 0 TILEPROP INT_R_X21Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y33 NAME INT_R_X21Y33 TILEPROP INT_R_X21Y33 NUM_ARCS 3737 TILEPROP INT_R_X21Y33 NUM_SITES 1 TILEPROP INT_R_X21Y33 ROW 121 TILEPROP INT_R_X21Y33 SLR_REGION_ID 0 TILEPROP INT_R_X21Y33 TILE_PATTERN_IDX 7756 TILEPROP INT_R_X21Y33 TILE_TYPE INT_R TILEPROP INT_R_X21Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y33 TILE_X -3120 TILEPROP INT_R_X21Y33 TILE_Y -133048 TILEPROP INT_R_X21Y33 TYPE INT_R TILEPROP INT_R_X21Y34 CLASS tile TILEPROP INT_R_X21Y34 COLUMN 54 TILEPROP INT_R_X21Y34 DEVICE_ID 0 TILEPROP INT_R_X21Y34 FIRST_SITE_ID 12082 TILEPROP INT_R_X21Y34 GRID_POINT_X 54 TILEPROP INT_R_X21Y34 GRID_POINT_Y 120 TILEPROP INT_R_X21Y34 INDEX 13854 TILEPROP INT_R_X21Y34 INT_TILE_X 21 TILEPROP INT_R_X21Y34 INT_TILE_Y 115 TILEPROP INT_R_X21Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y34 IS_DCM_TILE 0 TILEPROP INT_R_X21Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y34 NAME INT_R_X21Y34 TILEPROP INT_R_X21Y34 NUM_ARCS 3737 TILEPROP INT_R_X21Y34 NUM_SITES 1 TILEPROP INT_R_X21Y34 ROW 120 TILEPROP INT_R_X21Y34 SLR_REGION_ID 0 TILEPROP INT_R_X21Y34 TILE_PATTERN_IDX 7728 TILEPROP INT_R_X21Y34 TILE_TYPE INT_R TILEPROP INT_R_X21Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y34 TILE_X -3120 TILEPROP INT_R_X21Y34 TILE_Y -129848 TILEPROP INT_R_X21Y34 TYPE INT_R TILEPROP INT_R_X21Y35 CLASS tile TILEPROP INT_R_X21Y35 COLUMN 54 TILEPROP INT_R_X21Y35 DEVICE_ID 0 TILEPROP INT_R_X21Y35 FIRST_SITE_ID 11973 TILEPROP INT_R_X21Y35 GRID_POINT_X 54 TILEPROP INT_R_X21Y35 GRID_POINT_Y 119 TILEPROP INT_R_X21Y35 INDEX 13739 TILEPROP INT_R_X21Y35 INT_TILE_X 21 TILEPROP INT_R_X21Y35 INT_TILE_Y 114 TILEPROP INT_R_X21Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y35 IS_DCM_TILE 0 TILEPROP INT_R_X21Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y35 NAME INT_R_X21Y35 TILEPROP INT_R_X21Y35 NUM_ARCS 3737 TILEPROP INT_R_X21Y35 NUM_SITES 1 TILEPROP INT_R_X21Y35 ROW 119 TILEPROP INT_R_X21Y35 SLR_REGION_ID 0 TILEPROP INT_R_X21Y35 TILE_PATTERN_IDX 7699 TILEPROP INT_R_X21Y35 TILE_TYPE INT_R TILEPROP INT_R_X21Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y35 TILE_X -3120 TILEPROP INT_R_X21Y35 TILE_Y -126648 TILEPROP INT_R_X21Y35 TYPE INT_R TILEPROP INT_R_X21Y36 CLASS tile TILEPROP INT_R_X21Y36 COLUMN 54 TILEPROP INT_R_X21Y36 DEVICE_ID 0 TILEPROP INT_R_X21Y36 FIRST_SITE_ID 11835 TILEPROP INT_R_X21Y36 GRID_POINT_X 54 TILEPROP INT_R_X21Y36 GRID_POINT_Y 118 TILEPROP INT_R_X21Y36 INDEX 13624 TILEPROP INT_R_X21Y36 INT_TILE_X 21 TILEPROP INT_R_X21Y36 INT_TILE_Y 113 TILEPROP INT_R_X21Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y36 IS_DCM_TILE 0 TILEPROP INT_R_X21Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y36 NAME INT_R_X21Y36 TILEPROP INT_R_X21Y36 NUM_ARCS 3737 TILEPROP INT_R_X21Y36 NUM_SITES 1 TILEPROP INT_R_X21Y36 ROW 118 TILEPROP INT_R_X21Y36 SLR_REGION_ID 0 TILEPROP INT_R_X21Y36 TILE_PATTERN_IDX 7671 TILEPROP INT_R_X21Y36 TILE_TYPE INT_R TILEPROP INT_R_X21Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y36 TILE_X -3120 TILEPROP INT_R_X21Y36 TILE_Y -123448 TILEPROP INT_R_X21Y36 TYPE INT_R TILEPROP INT_R_X21Y37 CLASS tile TILEPROP INT_R_X21Y37 COLUMN 54 TILEPROP INT_R_X21Y37 DEVICE_ID 0 TILEPROP INT_R_X21Y37 FIRST_SITE_ID 11735 TILEPROP INT_R_X21Y37 GRID_POINT_X 54 TILEPROP INT_R_X21Y37 GRID_POINT_Y 117 TILEPROP INT_R_X21Y37 INDEX 13509 TILEPROP INT_R_X21Y37 INT_TILE_X 21 TILEPROP INT_R_X21Y37 INT_TILE_Y 112 TILEPROP INT_R_X21Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y37 IS_DCM_TILE 0 TILEPROP INT_R_X21Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y37 NAME INT_R_X21Y37 TILEPROP INT_R_X21Y37 NUM_ARCS 3737 TILEPROP INT_R_X21Y37 NUM_SITES 1 TILEPROP INT_R_X21Y37 ROW 117 TILEPROP INT_R_X21Y37 SLR_REGION_ID 0 TILEPROP INT_R_X21Y37 TILE_PATTERN_IDX 7643 TILEPROP INT_R_X21Y37 TILE_TYPE INT_R TILEPROP INT_R_X21Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y37 TILE_X -3120 TILEPROP INT_R_X21Y37 TILE_Y -120248 TILEPROP INT_R_X21Y37 TYPE INT_R TILEPROP INT_R_X21Y38 CLASS tile TILEPROP INT_R_X21Y38 COLUMN 54 TILEPROP INT_R_X21Y38 DEVICE_ID 0 TILEPROP INT_R_X21Y38 FIRST_SITE_ID 11635 TILEPROP INT_R_X21Y38 GRID_POINT_X 54 TILEPROP INT_R_X21Y38 GRID_POINT_Y 116 TILEPROP INT_R_X21Y38 INDEX 13394 TILEPROP INT_R_X21Y38 INT_TILE_X 21 TILEPROP INT_R_X21Y38 INT_TILE_Y 111 TILEPROP INT_R_X21Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y38 IS_DCM_TILE 0 TILEPROP INT_R_X21Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y38 NAME INT_R_X21Y38 TILEPROP INT_R_X21Y38 NUM_ARCS 3737 TILEPROP INT_R_X21Y38 NUM_SITES 1 TILEPROP INT_R_X21Y38 ROW 116 TILEPROP INT_R_X21Y38 SLR_REGION_ID 0 TILEPROP INT_R_X21Y38 TILE_PATTERN_IDX 7615 TILEPROP INT_R_X21Y38 TILE_TYPE INT_R TILEPROP INT_R_X21Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y38 TILE_X -3120 TILEPROP INT_R_X21Y38 TILE_Y -117048 TILEPROP INT_R_X21Y38 TYPE INT_R TILEPROP INT_R_X21Y39 CLASS tile TILEPROP INT_R_X21Y39 COLUMN 54 TILEPROP INT_R_X21Y39 DEVICE_ID 0 TILEPROP INT_R_X21Y39 FIRST_SITE_ID 11535 TILEPROP INT_R_X21Y39 GRID_POINT_X 54 TILEPROP INT_R_X21Y39 GRID_POINT_Y 115 TILEPROP INT_R_X21Y39 INDEX 13279 TILEPROP INT_R_X21Y39 INT_TILE_X 21 TILEPROP INT_R_X21Y39 INT_TILE_Y 110 TILEPROP INT_R_X21Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y39 IS_DCM_TILE 0 TILEPROP INT_R_X21Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y39 NAME INT_R_X21Y39 TILEPROP INT_R_X21Y39 NUM_ARCS 3737 TILEPROP INT_R_X21Y39 NUM_SITES 1 TILEPROP INT_R_X21Y39 ROW 115 TILEPROP INT_R_X21Y39 SLR_REGION_ID 0 TILEPROP INT_R_X21Y39 TILE_PATTERN_IDX 7586 TILEPROP INT_R_X21Y39 TILE_TYPE INT_R TILEPROP INT_R_X21Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y39 TILE_X -3120 TILEPROP INT_R_X21Y39 TILE_Y -113848 TILEPROP INT_R_X21Y39 TYPE INT_R TILEPROP INT_R_X21Y40 CLASS tile TILEPROP INT_R_X21Y40 COLUMN 54 TILEPROP INT_R_X21Y40 DEVICE_ID 0 TILEPROP INT_R_X21Y40 FIRST_SITE_ID 11426 TILEPROP INT_R_X21Y40 GRID_POINT_X 54 TILEPROP INT_R_X21Y40 GRID_POINT_Y 114 TILEPROP INT_R_X21Y40 INDEX 13164 TILEPROP INT_R_X21Y40 INT_TILE_X 21 TILEPROP INT_R_X21Y40 INT_TILE_Y 109 TILEPROP INT_R_X21Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y40 IS_DCM_TILE 0 TILEPROP INT_R_X21Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y40 NAME INT_R_X21Y40 TILEPROP INT_R_X21Y40 NUM_ARCS 3737 TILEPROP INT_R_X21Y40 NUM_SITES 1 TILEPROP INT_R_X21Y40 ROW 114 TILEPROP INT_R_X21Y40 SLR_REGION_ID 0 TILEPROP INT_R_X21Y40 TILE_PATTERN_IDX 7557 TILEPROP INT_R_X21Y40 TILE_TYPE INT_R TILEPROP INT_R_X21Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y40 TILE_X -3120 TILEPROP INT_R_X21Y40 TILE_Y -110648 TILEPROP INT_R_X21Y40 TYPE INT_R TILEPROP INT_R_X21Y41 CLASS tile TILEPROP INT_R_X21Y41 COLUMN 54 TILEPROP INT_R_X21Y41 DEVICE_ID 0 TILEPROP INT_R_X21Y41 FIRST_SITE_ID 11317 TILEPROP INT_R_X21Y41 GRID_POINT_X 54 TILEPROP INT_R_X21Y41 GRID_POINT_Y 113 TILEPROP INT_R_X21Y41 INDEX 13049 TILEPROP INT_R_X21Y41 INT_TILE_X 21 TILEPROP INT_R_X21Y41 INT_TILE_Y 108 TILEPROP INT_R_X21Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y41 IS_DCM_TILE 0 TILEPROP INT_R_X21Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y41 NAME INT_R_X21Y41 TILEPROP INT_R_X21Y41 NUM_ARCS 3737 TILEPROP INT_R_X21Y41 NUM_SITES 1 TILEPROP INT_R_X21Y41 ROW 113 TILEPROP INT_R_X21Y41 SLR_REGION_ID 0 TILEPROP INT_R_X21Y41 TILE_PATTERN_IDX 7522 TILEPROP INT_R_X21Y41 TILE_TYPE INT_R TILEPROP INT_R_X21Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y41 TILE_X -3120 TILEPROP INT_R_X21Y41 TILE_Y -107448 TILEPROP INT_R_X21Y41 TYPE INT_R TILEPROP INT_R_X21Y42 CLASS tile TILEPROP INT_R_X21Y42 COLUMN 54 TILEPROP INT_R_X21Y42 DEVICE_ID 0 TILEPROP INT_R_X21Y42 FIRST_SITE_ID 11216 TILEPROP INT_R_X21Y42 GRID_POINT_X 54 TILEPROP INT_R_X21Y42 GRID_POINT_Y 112 TILEPROP INT_R_X21Y42 INDEX 12934 TILEPROP INT_R_X21Y42 INT_TILE_X 21 TILEPROP INT_R_X21Y42 INT_TILE_Y 107 TILEPROP INT_R_X21Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y42 IS_DCM_TILE 0 TILEPROP INT_R_X21Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y42 NAME INT_R_X21Y42 TILEPROP INT_R_X21Y42 NUM_ARCS 3737 TILEPROP INT_R_X21Y42 NUM_SITES 1 TILEPROP INT_R_X21Y42 ROW 112 TILEPROP INT_R_X21Y42 SLR_REGION_ID 0 TILEPROP INT_R_X21Y42 TILE_PATTERN_IDX 7493 TILEPROP INT_R_X21Y42 TILE_TYPE INT_R TILEPROP INT_R_X21Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y42 TILE_X -3120 TILEPROP INT_R_X21Y42 TILE_Y -104248 TILEPROP INT_R_X21Y42 TYPE INT_R TILEPROP INT_R_X21Y43 CLASS tile TILEPROP INT_R_X21Y43 COLUMN 54 TILEPROP INT_R_X21Y43 DEVICE_ID 0 TILEPROP INT_R_X21Y43 FIRST_SITE_ID 11113 TILEPROP INT_R_X21Y43 GRID_POINT_X 54 TILEPROP INT_R_X21Y43 GRID_POINT_Y 111 TILEPROP INT_R_X21Y43 INDEX 12819 TILEPROP INT_R_X21Y43 INT_TILE_X 21 TILEPROP INT_R_X21Y43 INT_TILE_Y 106 TILEPROP INT_R_X21Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y43 IS_DCM_TILE 0 TILEPROP INT_R_X21Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y43 NAME INT_R_X21Y43 TILEPROP INT_R_X21Y43 NUM_ARCS 3737 TILEPROP INT_R_X21Y43 NUM_SITES 1 TILEPROP INT_R_X21Y43 ROW 111 TILEPROP INT_R_X21Y43 SLR_REGION_ID 0 TILEPROP INT_R_X21Y43 TILE_PATTERN_IDX 7464 TILEPROP INT_R_X21Y43 TILE_TYPE INT_R TILEPROP INT_R_X21Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y43 TILE_X -3120 TILEPROP INT_R_X21Y43 TILE_Y -101048 TILEPROP INT_R_X21Y43 TYPE INT_R TILEPROP INT_R_X21Y44 CLASS tile TILEPROP INT_R_X21Y44 COLUMN 54 TILEPROP INT_R_X21Y44 DEVICE_ID 0 TILEPROP INT_R_X21Y44 FIRST_SITE_ID 11011 TILEPROP INT_R_X21Y44 GRID_POINT_X 54 TILEPROP INT_R_X21Y44 GRID_POINT_Y 110 TILEPROP INT_R_X21Y44 INDEX 12704 TILEPROP INT_R_X21Y44 INT_TILE_X 21 TILEPROP INT_R_X21Y44 INT_TILE_Y 105 TILEPROP INT_R_X21Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y44 IS_DCM_TILE 0 TILEPROP INT_R_X21Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y44 NAME INT_R_X21Y44 TILEPROP INT_R_X21Y44 NUM_ARCS 3737 TILEPROP INT_R_X21Y44 NUM_SITES 1 TILEPROP INT_R_X21Y44 ROW 110 TILEPROP INT_R_X21Y44 SLR_REGION_ID 0 TILEPROP INT_R_X21Y44 TILE_PATTERN_IDX 7436 TILEPROP INT_R_X21Y44 TILE_TYPE INT_R TILEPROP INT_R_X21Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y44 TILE_X -3120 TILEPROP INT_R_X21Y44 TILE_Y -97848 TILEPROP INT_R_X21Y44 TYPE INT_R TILEPROP INT_R_X21Y45 CLASS tile TILEPROP INT_R_X21Y45 COLUMN 54 TILEPROP INT_R_X21Y45 DEVICE_ID 0 TILEPROP INT_R_X21Y45 FIRST_SITE_ID 10901 TILEPROP INT_R_X21Y45 GRID_POINT_X 54 TILEPROP INT_R_X21Y45 GRID_POINT_Y 109 TILEPROP INT_R_X21Y45 INDEX 12589 TILEPROP INT_R_X21Y45 INT_TILE_X 21 TILEPROP INT_R_X21Y45 INT_TILE_Y 104 TILEPROP INT_R_X21Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y45 IS_DCM_TILE 0 TILEPROP INT_R_X21Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y45 NAME INT_R_X21Y45 TILEPROP INT_R_X21Y45 NUM_ARCS 3737 TILEPROP INT_R_X21Y45 NUM_SITES 1 TILEPROP INT_R_X21Y45 ROW 109 TILEPROP INT_R_X21Y45 SLR_REGION_ID 0 TILEPROP INT_R_X21Y45 TILE_PATTERN_IDX 7400 TILEPROP INT_R_X21Y45 TILE_TYPE INT_R TILEPROP INT_R_X21Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y45 TILE_X -3120 TILEPROP INT_R_X21Y45 TILE_Y -94648 TILEPROP INT_R_X21Y45 TYPE INT_R TILEPROP INT_R_X21Y46 CLASS tile TILEPROP INT_R_X21Y46 COLUMN 54 TILEPROP INT_R_X21Y46 DEVICE_ID 0 TILEPROP INT_R_X21Y46 FIRST_SITE_ID 10779 TILEPROP INT_R_X21Y46 GRID_POINT_X 54 TILEPROP INT_R_X21Y46 GRID_POINT_Y 108 TILEPROP INT_R_X21Y46 INDEX 12474 TILEPROP INT_R_X21Y46 INT_TILE_X 21 TILEPROP INT_R_X21Y46 INT_TILE_Y 103 TILEPROP INT_R_X21Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y46 IS_DCM_TILE 0 TILEPROP INT_R_X21Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y46 NAME INT_R_X21Y46 TILEPROP INT_R_X21Y46 NUM_ARCS 3737 TILEPROP INT_R_X21Y46 NUM_SITES 1 TILEPROP INT_R_X21Y46 ROW 108 TILEPROP INT_R_X21Y46 SLR_REGION_ID 0 TILEPROP INT_R_X21Y46 TILE_PATTERN_IDX 7364 TILEPROP INT_R_X21Y46 TILE_TYPE INT_R TILEPROP INT_R_X21Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y46 TILE_X -3120 TILEPROP INT_R_X21Y46 TILE_Y -91448 TILEPROP INT_R_X21Y46 TYPE INT_R TILEPROP INT_R_X21Y47 CLASS tile TILEPROP INT_R_X21Y47 COLUMN 54 TILEPROP INT_R_X21Y47 DEVICE_ID 0 TILEPROP INT_R_X21Y47 FIRST_SITE_ID 10679 TILEPROP INT_R_X21Y47 GRID_POINT_X 54 TILEPROP INT_R_X21Y47 GRID_POINT_Y 107 TILEPROP INT_R_X21Y47 INDEX 12359 TILEPROP INT_R_X21Y47 INT_TILE_X 21 TILEPROP INT_R_X21Y47 INT_TILE_Y 102 TILEPROP INT_R_X21Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y47 IS_DCM_TILE 0 TILEPROP INT_R_X21Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y47 NAME INT_R_X21Y47 TILEPROP INT_R_X21Y47 NUM_ARCS 3737 TILEPROP INT_R_X21Y47 NUM_SITES 1 TILEPROP INT_R_X21Y47 ROW 107 TILEPROP INT_R_X21Y47 SLR_REGION_ID 0 TILEPROP INT_R_X21Y47 TILE_PATTERN_IDX 7327 TILEPROP INT_R_X21Y47 TILE_TYPE INT_R TILEPROP INT_R_X21Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y47 TILE_X -3120 TILEPROP INT_R_X21Y47 TILE_Y -88248 TILEPROP INT_R_X21Y47 TYPE INT_R TILEPROP INT_R_X21Y48 CLASS tile TILEPROP INT_R_X21Y48 COLUMN 54 TILEPROP INT_R_X21Y48 DEVICE_ID 0 TILEPROP INT_R_X21Y48 FIRST_SITE_ID 10579 TILEPROP INT_R_X21Y48 GRID_POINT_X 54 TILEPROP INT_R_X21Y48 GRID_POINT_Y 106 TILEPROP INT_R_X21Y48 INDEX 12244 TILEPROP INT_R_X21Y48 INT_TILE_X 21 TILEPROP INT_R_X21Y48 INT_TILE_Y 101 TILEPROP INT_R_X21Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y48 IS_DCM_TILE 0 TILEPROP INT_R_X21Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y48 NAME INT_R_X21Y48 TILEPROP INT_R_X21Y48 NUM_ARCS 3737 TILEPROP INT_R_X21Y48 NUM_SITES 1 TILEPROP INT_R_X21Y48 ROW 106 TILEPROP INT_R_X21Y48 SLR_REGION_ID 0 TILEPROP INT_R_X21Y48 TILE_PATTERN_IDX 7291 TILEPROP INT_R_X21Y48 TILE_TYPE INT_R TILEPROP INT_R_X21Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y48 TILE_X -3120 TILEPROP INT_R_X21Y48 TILE_Y -85048 TILEPROP INT_R_X21Y48 TYPE INT_R TILEPROP INT_R_X21Y49 CLASS tile TILEPROP INT_R_X21Y49 COLUMN 54 TILEPROP INT_R_X21Y49 DEVICE_ID 0 TILEPROP INT_R_X21Y49 FIRST_SITE_ID 10483 TILEPROP INT_R_X21Y49 GRID_POINT_X 54 TILEPROP INT_R_X21Y49 GRID_POINT_Y 105 TILEPROP INT_R_X21Y49 INDEX 12129 TILEPROP INT_R_X21Y49 INT_TILE_X 21 TILEPROP INT_R_X21Y49 INT_TILE_Y 100 TILEPROP INT_R_X21Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y49 IS_DCM_TILE 0 TILEPROP INT_R_X21Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y49 NAME INT_R_X21Y49 TILEPROP INT_R_X21Y49 NUM_ARCS 3737 TILEPROP INT_R_X21Y49 NUM_SITES 1 TILEPROP INT_R_X21Y49 ROW 105 TILEPROP INT_R_X21Y49 SLR_REGION_ID 0 TILEPROP INT_R_X21Y49 TILE_PATTERN_IDX 7255 TILEPROP INT_R_X21Y49 TILE_TYPE INT_R TILEPROP INT_R_X21Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y49 TILE_X -3120 TILEPROP INT_R_X21Y49 TILE_Y -81848 TILEPROP INT_R_X21Y49 TYPE INT_R TILEPROP INT_R_X21Y50 CLASS tile TILEPROP INT_R_X21Y50 COLUMN 54 TILEPROP INT_R_X21Y50 DEVICE_ID 0 TILEPROP INT_R_X21Y50 FIRST_SITE_ID 10358 TILEPROP INT_R_X21Y50 GRID_POINT_X 54 TILEPROP INT_R_X21Y50 GRID_POINT_Y 103 TILEPROP INT_R_X21Y50 INDEX 11899 TILEPROP INT_R_X21Y50 INT_TILE_X 21 TILEPROP INT_R_X21Y50 INT_TILE_Y 99 TILEPROP INT_R_X21Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y50 IS_DCM_TILE 0 TILEPROP INT_R_X21Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y50 NAME INT_R_X21Y50 TILEPROP INT_R_X21Y50 NUM_ARCS 3737 TILEPROP INT_R_X21Y50 NUM_SITES 1 TILEPROP INT_R_X21Y50 ROW 103 TILEPROP INT_R_X21Y50 SLR_REGION_ID 0 TILEPROP INT_R_X21Y50 TILE_PATTERN_IDX 7218 TILEPROP INT_R_X21Y50 TILE_TYPE INT_R TILEPROP INT_R_X21Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y50 TILE_X -3120 TILEPROP INT_R_X21Y50 TILE_Y -78400 TILEPROP INT_R_X21Y50 TYPE INT_R TILEPROP INT_R_X21Y51 CLASS tile TILEPROP INT_R_X21Y51 COLUMN 54 TILEPROP INT_R_X21Y51 DEVICE_ID 0 TILEPROP INT_R_X21Y51 FIRST_SITE_ID 10248 TILEPROP INT_R_X21Y51 GRID_POINT_X 54 TILEPROP INT_R_X21Y51 GRID_POINT_Y 102 TILEPROP INT_R_X21Y51 INDEX 11784 TILEPROP INT_R_X21Y51 INT_TILE_X 21 TILEPROP INT_R_X21Y51 INT_TILE_Y 98 TILEPROP INT_R_X21Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y51 IS_DCM_TILE 0 TILEPROP INT_R_X21Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y51 NAME INT_R_X21Y51 TILEPROP INT_R_X21Y51 NUM_ARCS 3737 TILEPROP INT_R_X21Y51 NUM_SITES 1 TILEPROP INT_R_X21Y51 ROW 102 TILEPROP INT_R_X21Y51 SLR_REGION_ID 0 TILEPROP INT_R_X21Y51 TILE_PATTERN_IDX 7181 TILEPROP INT_R_X21Y51 TILE_TYPE INT_R TILEPROP INT_R_X21Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y51 TILE_X -3120 TILEPROP INT_R_X21Y51 TILE_Y -75200 TILEPROP INT_R_X21Y51 TYPE INT_R TILEPROP INT_R_X21Y52 CLASS tile TILEPROP INT_R_X21Y52 COLUMN 54 TILEPROP INT_R_X21Y52 DEVICE_ID 0 TILEPROP INT_R_X21Y52 FIRST_SITE_ID 10148 TILEPROP INT_R_X21Y52 GRID_POINT_X 54 TILEPROP INT_R_X21Y52 GRID_POINT_Y 101 TILEPROP INT_R_X21Y52 INDEX 11669 TILEPROP INT_R_X21Y52 INT_TILE_X 21 TILEPROP INT_R_X21Y52 INT_TILE_Y 97 TILEPROP INT_R_X21Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y52 IS_DCM_TILE 0 TILEPROP INT_R_X21Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y52 NAME INT_R_X21Y52 TILEPROP INT_R_X21Y52 NUM_ARCS 3737 TILEPROP INT_R_X21Y52 NUM_SITES 1 TILEPROP INT_R_X21Y52 ROW 101 TILEPROP INT_R_X21Y52 SLR_REGION_ID 0 TILEPROP INT_R_X21Y52 TILE_PATTERN_IDX 7144 TILEPROP INT_R_X21Y52 TILE_TYPE INT_R TILEPROP INT_R_X21Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y52 TILE_X -3120 TILEPROP INT_R_X21Y52 TILE_Y -72000 TILEPROP INT_R_X21Y52 TYPE INT_R TILEPROP INT_R_X21Y53 CLASS tile TILEPROP INT_R_X21Y53 COLUMN 54 TILEPROP INT_R_X21Y53 DEVICE_ID 0 TILEPROP INT_R_X21Y53 FIRST_SITE_ID 10048 TILEPROP INT_R_X21Y53 GRID_POINT_X 54 TILEPROP INT_R_X21Y53 GRID_POINT_Y 100 TILEPROP INT_R_X21Y53 INDEX 11554 TILEPROP INT_R_X21Y53 INT_TILE_X 21 TILEPROP INT_R_X21Y53 INT_TILE_Y 96 TILEPROP INT_R_X21Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y53 IS_DCM_TILE 0 TILEPROP INT_R_X21Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y53 NAME INT_R_X21Y53 TILEPROP INT_R_X21Y53 NUM_ARCS 3737 TILEPROP INT_R_X21Y53 NUM_SITES 1 TILEPROP INT_R_X21Y53 ROW 100 TILEPROP INT_R_X21Y53 SLR_REGION_ID 0 TILEPROP INT_R_X21Y53 TILE_PATTERN_IDX 7107 TILEPROP INT_R_X21Y53 TILE_TYPE INT_R TILEPROP INT_R_X21Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y53 TILE_X -3120 TILEPROP INT_R_X21Y53 TILE_Y -68800 TILEPROP INT_R_X21Y53 TYPE INT_R TILEPROP INT_R_X21Y54 CLASS tile TILEPROP INT_R_X21Y54 COLUMN 54 TILEPROP INT_R_X21Y54 DEVICE_ID 0 TILEPROP INT_R_X21Y54 FIRST_SITE_ID 9948 TILEPROP INT_R_X21Y54 GRID_POINT_X 54 TILEPROP INT_R_X21Y54 GRID_POINT_Y 99 TILEPROP INT_R_X21Y54 INDEX 11439 TILEPROP INT_R_X21Y54 INT_TILE_X 21 TILEPROP INT_R_X21Y54 INT_TILE_Y 95 TILEPROP INT_R_X21Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y54 IS_DCM_TILE 0 TILEPROP INT_R_X21Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y54 NAME INT_R_X21Y54 TILEPROP INT_R_X21Y54 NUM_ARCS 3737 TILEPROP INT_R_X21Y54 NUM_SITES 1 TILEPROP INT_R_X21Y54 ROW 99 TILEPROP INT_R_X21Y54 SLR_REGION_ID 0 TILEPROP INT_R_X21Y54 TILE_PATTERN_IDX 7070 TILEPROP INT_R_X21Y54 TILE_TYPE INT_R TILEPROP INT_R_X21Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y54 TILE_X -3120 TILEPROP INT_R_X21Y54 TILE_Y -65600 TILEPROP INT_R_X21Y54 TYPE INT_R TILEPROP INT_R_X21Y55 CLASS tile TILEPROP INT_R_X21Y55 COLUMN 54 TILEPROP INT_R_X21Y55 DEVICE_ID 0 TILEPROP INT_R_X21Y55 FIRST_SITE_ID 9839 TILEPROP INT_R_X21Y55 GRID_POINT_X 54 TILEPROP INT_R_X21Y55 GRID_POINT_Y 98 TILEPROP INT_R_X21Y55 INDEX 11324 TILEPROP INT_R_X21Y55 INT_TILE_X 21 TILEPROP INT_R_X21Y55 INT_TILE_Y 94 TILEPROP INT_R_X21Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y55 IS_DCM_TILE 0 TILEPROP INT_R_X21Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y55 NAME INT_R_X21Y55 TILEPROP INT_R_X21Y55 NUM_ARCS 3737 TILEPROP INT_R_X21Y55 NUM_SITES 1 TILEPROP INT_R_X21Y55 ROW 98 TILEPROP INT_R_X21Y55 SLR_REGION_ID 0 TILEPROP INT_R_X21Y55 TILE_PATTERN_IDX 7032 TILEPROP INT_R_X21Y55 TILE_TYPE INT_R TILEPROP INT_R_X21Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y55 TILE_X -3120 TILEPROP INT_R_X21Y55 TILE_Y -62400 TILEPROP INT_R_X21Y55 TYPE INT_R TILEPROP INT_R_X21Y56 CLASS tile TILEPROP INT_R_X21Y56 COLUMN 54 TILEPROP INT_R_X21Y56 DEVICE_ID 0 TILEPROP INT_R_X21Y56 FIRST_SITE_ID 9733 TILEPROP INT_R_X21Y56 GRID_POINT_X 54 TILEPROP INT_R_X21Y56 GRID_POINT_Y 97 TILEPROP INT_R_X21Y56 INDEX 11209 TILEPROP INT_R_X21Y56 INT_TILE_X 21 TILEPROP INT_R_X21Y56 INT_TILE_Y 93 TILEPROP INT_R_X21Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y56 IS_DCM_TILE 0 TILEPROP INT_R_X21Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y56 NAME INT_R_X21Y56 TILEPROP INT_R_X21Y56 NUM_ARCS 3737 TILEPROP INT_R_X21Y56 NUM_SITES 1 TILEPROP INT_R_X21Y56 ROW 97 TILEPROP INT_R_X21Y56 SLR_REGION_ID 0 TILEPROP INT_R_X21Y56 TILE_PATTERN_IDX 6995 TILEPROP INT_R_X21Y56 TILE_TYPE INT_R TILEPROP INT_R_X21Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y56 TILE_X -3120 TILEPROP INT_R_X21Y56 TILE_Y -59200 TILEPROP INT_R_X21Y56 TYPE INT_R TILEPROP INT_R_X21Y57 CLASS tile TILEPROP INT_R_X21Y57 COLUMN 54 TILEPROP INT_R_X21Y57 DEVICE_ID 0 TILEPROP INT_R_X21Y57 FIRST_SITE_ID 9631 TILEPROP INT_R_X21Y57 GRID_POINT_X 54 TILEPROP INT_R_X21Y57 GRID_POINT_Y 96 TILEPROP INT_R_X21Y57 INDEX 11094 TILEPROP INT_R_X21Y57 INT_TILE_X 21 TILEPROP INT_R_X21Y57 INT_TILE_Y 92 TILEPROP INT_R_X21Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y57 IS_DCM_TILE 0 TILEPROP INT_R_X21Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y57 NAME INT_R_X21Y57 TILEPROP INT_R_X21Y57 NUM_ARCS 3737 TILEPROP INT_R_X21Y57 NUM_SITES 1 TILEPROP INT_R_X21Y57 ROW 96 TILEPROP INT_R_X21Y57 SLR_REGION_ID 0 TILEPROP INT_R_X21Y57 TILE_PATTERN_IDX 6958 TILEPROP INT_R_X21Y57 TILE_TYPE INT_R TILEPROP INT_R_X21Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y57 TILE_X -3120 TILEPROP INT_R_X21Y57 TILE_Y -56000 TILEPROP INT_R_X21Y57 TYPE INT_R TILEPROP INT_R_X21Y58 CLASS tile TILEPROP INT_R_X21Y58 COLUMN 54 TILEPROP INT_R_X21Y58 DEVICE_ID 0 TILEPROP INT_R_X21Y58 FIRST_SITE_ID 9528 TILEPROP INT_R_X21Y58 GRID_POINT_X 54 TILEPROP INT_R_X21Y58 GRID_POINT_Y 95 TILEPROP INT_R_X21Y58 INDEX 10979 TILEPROP INT_R_X21Y58 INT_TILE_X 21 TILEPROP INT_R_X21Y58 INT_TILE_Y 91 TILEPROP INT_R_X21Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y58 IS_DCM_TILE 0 TILEPROP INT_R_X21Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y58 NAME INT_R_X21Y58 TILEPROP INT_R_X21Y58 NUM_ARCS 3737 TILEPROP INT_R_X21Y58 NUM_SITES 1 TILEPROP INT_R_X21Y58 ROW 95 TILEPROP INT_R_X21Y58 SLR_REGION_ID 0 TILEPROP INT_R_X21Y58 TILE_PATTERN_IDX 6920 TILEPROP INT_R_X21Y58 TILE_TYPE INT_R TILEPROP INT_R_X21Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y58 TILE_X -3120 TILEPROP INT_R_X21Y58 TILE_Y -52800 TILEPROP INT_R_X21Y58 TYPE INT_R TILEPROP INT_R_X21Y59 CLASS tile TILEPROP INT_R_X21Y59 COLUMN 54 TILEPROP INT_R_X21Y59 DEVICE_ID 0 TILEPROP INT_R_X21Y59 FIRST_SITE_ID 9427 TILEPROP INT_R_X21Y59 GRID_POINT_X 54 TILEPROP INT_R_X21Y59 GRID_POINT_Y 94 TILEPROP INT_R_X21Y59 INDEX 10864 TILEPROP INT_R_X21Y59 INT_TILE_X 21 TILEPROP INT_R_X21Y59 INT_TILE_Y 90 TILEPROP INT_R_X21Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y59 IS_DCM_TILE 0 TILEPROP INT_R_X21Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y59 NAME INT_R_X21Y59 TILEPROP INT_R_X21Y59 NUM_ARCS 3737 TILEPROP INT_R_X21Y59 NUM_SITES 1 TILEPROP INT_R_X21Y59 ROW 94 TILEPROP INT_R_X21Y59 SLR_REGION_ID 0 TILEPROP INT_R_X21Y59 TILE_PATTERN_IDX 6883 TILEPROP INT_R_X21Y59 TILE_TYPE INT_R TILEPROP INT_R_X21Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y59 TILE_X -3120 TILEPROP INT_R_X21Y59 TILE_Y -49600 TILEPROP INT_R_X21Y59 TYPE INT_R TILEPROP INT_R_X21Y60 CLASS tile TILEPROP INT_R_X21Y60 COLUMN 54 TILEPROP INT_R_X21Y60 DEVICE_ID 0 TILEPROP INT_R_X21Y60 FIRST_SITE_ID 9318 TILEPROP INT_R_X21Y60 GRID_POINT_X 54 TILEPROP INT_R_X21Y60 GRID_POINT_Y 93 TILEPROP INT_R_X21Y60 INDEX 10749 TILEPROP INT_R_X21Y60 INT_TILE_X 21 TILEPROP INT_R_X21Y60 INT_TILE_Y 89 TILEPROP INT_R_X21Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y60 IS_DCM_TILE 0 TILEPROP INT_R_X21Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y60 NAME INT_R_X21Y60 TILEPROP INT_R_X21Y60 NUM_ARCS 3737 TILEPROP INT_R_X21Y60 NUM_SITES 1 TILEPROP INT_R_X21Y60 ROW 93 TILEPROP INT_R_X21Y60 SLR_REGION_ID 0 TILEPROP INT_R_X21Y60 TILE_PATTERN_IDX 6846 TILEPROP INT_R_X21Y60 TILE_TYPE INT_R TILEPROP INT_R_X21Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y60 TILE_X -3120 TILEPROP INT_R_X21Y60 TILE_Y -46400 TILEPROP INT_R_X21Y60 TYPE INT_R TILEPROP INT_R_X21Y61 CLASS tile TILEPROP INT_R_X21Y61 COLUMN 54 TILEPROP INT_R_X21Y61 DEVICE_ID 0 TILEPROP INT_R_X21Y61 FIRST_SITE_ID 9212 TILEPROP INT_R_X21Y61 GRID_POINT_X 54 TILEPROP INT_R_X21Y61 GRID_POINT_Y 92 TILEPROP INT_R_X21Y61 INDEX 10634 TILEPROP INT_R_X21Y61 INT_TILE_X 21 TILEPROP INT_R_X21Y61 INT_TILE_Y 88 TILEPROP INT_R_X21Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y61 IS_DCM_TILE 0 TILEPROP INT_R_X21Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y61 NAME INT_R_X21Y61 TILEPROP INT_R_X21Y61 NUM_ARCS 3737 TILEPROP INT_R_X21Y61 NUM_SITES 1 TILEPROP INT_R_X21Y61 ROW 92 TILEPROP INT_R_X21Y61 SLR_REGION_ID 0 TILEPROP INT_R_X21Y61 TILE_PATTERN_IDX 6809 TILEPROP INT_R_X21Y61 TILE_TYPE INT_R TILEPROP INT_R_X21Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y61 TILE_X -3120 TILEPROP INT_R_X21Y61 TILE_Y -43200 TILEPROP INT_R_X21Y61 TYPE INT_R TILEPROP INT_R_X21Y62 CLASS tile TILEPROP INT_R_X21Y62 COLUMN 54 TILEPROP INT_R_X21Y62 DEVICE_ID 0 TILEPROP INT_R_X21Y62 FIRST_SITE_ID 9080 TILEPROP INT_R_X21Y62 GRID_POINT_X 54 TILEPROP INT_R_X21Y62 GRID_POINT_Y 91 TILEPROP INT_R_X21Y62 INDEX 10519 TILEPROP INT_R_X21Y62 INT_TILE_X 21 TILEPROP INT_R_X21Y62 INT_TILE_Y 87 TILEPROP INT_R_X21Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y62 IS_DCM_TILE 0 TILEPROP INT_R_X21Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y62 NAME INT_R_X21Y62 TILEPROP INT_R_X21Y62 NUM_ARCS 3737 TILEPROP INT_R_X21Y62 NUM_SITES 1 TILEPROP INT_R_X21Y62 ROW 91 TILEPROP INT_R_X21Y62 SLR_REGION_ID 0 TILEPROP INT_R_X21Y62 TILE_PATTERN_IDX 6772 TILEPROP INT_R_X21Y62 TILE_TYPE INT_R TILEPROP INT_R_X21Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y62 TILE_X -3120 TILEPROP INT_R_X21Y62 TILE_Y -40000 TILEPROP INT_R_X21Y62 TYPE INT_R TILEPROP INT_R_X21Y63 CLASS tile TILEPROP INT_R_X21Y63 COLUMN 54 TILEPROP INT_R_X21Y63 DEVICE_ID 0 TILEPROP INT_R_X21Y63 FIRST_SITE_ID 8980 TILEPROP INT_R_X21Y63 GRID_POINT_X 54 TILEPROP INT_R_X21Y63 GRID_POINT_Y 90 TILEPROP INT_R_X21Y63 INDEX 10404 TILEPROP INT_R_X21Y63 INT_TILE_X 21 TILEPROP INT_R_X21Y63 INT_TILE_Y 86 TILEPROP INT_R_X21Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y63 IS_DCM_TILE 0 TILEPROP INT_R_X21Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y63 NAME INT_R_X21Y63 TILEPROP INT_R_X21Y63 NUM_ARCS 3737 TILEPROP INT_R_X21Y63 NUM_SITES 1 TILEPROP INT_R_X21Y63 ROW 90 TILEPROP INT_R_X21Y63 SLR_REGION_ID 0 TILEPROP INT_R_X21Y63 TILE_PATTERN_IDX 6735 TILEPROP INT_R_X21Y63 TILE_TYPE INT_R TILEPROP INT_R_X21Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y63 TILE_X -3120 TILEPROP INT_R_X21Y63 TILE_Y -36800 TILEPROP INT_R_X21Y63 TYPE INT_R TILEPROP INT_R_X21Y64 CLASS tile TILEPROP INT_R_X21Y64 COLUMN 54 TILEPROP INT_R_X21Y64 DEVICE_ID 0 TILEPROP INT_R_X21Y64 FIRST_SITE_ID 8880 TILEPROP INT_R_X21Y64 GRID_POINT_X 54 TILEPROP INT_R_X21Y64 GRID_POINT_Y 89 TILEPROP INT_R_X21Y64 INDEX 10289 TILEPROP INT_R_X21Y64 INT_TILE_X 21 TILEPROP INT_R_X21Y64 INT_TILE_Y 85 TILEPROP INT_R_X21Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y64 IS_DCM_TILE 0 TILEPROP INT_R_X21Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y64 NAME INT_R_X21Y64 TILEPROP INT_R_X21Y64 NUM_ARCS 3737 TILEPROP INT_R_X21Y64 NUM_SITES 1 TILEPROP INT_R_X21Y64 ROW 89 TILEPROP INT_R_X21Y64 SLR_REGION_ID 0 TILEPROP INT_R_X21Y64 TILE_PATTERN_IDX 6698 TILEPROP INT_R_X21Y64 TILE_TYPE INT_R TILEPROP INT_R_X21Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y64 TILE_X -3120 TILEPROP INT_R_X21Y64 TILE_Y -33600 TILEPROP INT_R_X21Y64 TYPE INT_R TILEPROP INT_R_X21Y65 CLASS tile TILEPROP INT_R_X21Y65 COLUMN 54 TILEPROP INT_R_X21Y65 DEVICE_ID 0 TILEPROP INT_R_X21Y65 FIRST_SITE_ID 8771 TILEPROP INT_R_X21Y65 GRID_POINT_X 54 TILEPROP INT_R_X21Y65 GRID_POINT_Y 88 TILEPROP INT_R_X21Y65 INDEX 10174 TILEPROP INT_R_X21Y65 INT_TILE_X 21 TILEPROP INT_R_X21Y65 INT_TILE_Y 84 TILEPROP INT_R_X21Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y65 IS_DCM_TILE 0 TILEPROP INT_R_X21Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y65 NAME INT_R_X21Y65 TILEPROP INT_R_X21Y65 NUM_ARCS 3737 TILEPROP INT_R_X21Y65 NUM_SITES 1 TILEPROP INT_R_X21Y65 ROW 88 TILEPROP INT_R_X21Y65 SLR_REGION_ID 0 TILEPROP INT_R_X21Y65 TILE_PATTERN_IDX 6661 TILEPROP INT_R_X21Y65 TILE_TYPE INT_R TILEPROP INT_R_X21Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y65 TILE_X -3120 TILEPROP INT_R_X21Y65 TILE_Y -30400 TILEPROP INT_R_X21Y65 TYPE INT_R TILEPROP INT_R_X21Y66 CLASS tile TILEPROP INT_R_X21Y66 COLUMN 54 TILEPROP INT_R_X21Y66 DEVICE_ID 0 TILEPROP INT_R_X21Y66 FIRST_SITE_ID 8665 TILEPROP INT_R_X21Y66 GRID_POINT_X 54 TILEPROP INT_R_X21Y66 GRID_POINT_Y 87 TILEPROP INT_R_X21Y66 INDEX 10059 TILEPROP INT_R_X21Y66 INT_TILE_X 21 TILEPROP INT_R_X21Y66 INT_TILE_Y 83 TILEPROP INT_R_X21Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y66 IS_DCM_TILE 0 TILEPROP INT_R_X21Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y66 NAME INT_R_X21Y66 TILEPROP INT_R_X21Y66 NUM_ARCS 3737 TILEPROP INT_R_X21Y66 NUM_SITES 1 TILEPROP INT_R_X21Y66 ROW 87 TILEPROP INT_R_X21Y66 SLR_REGION_ID 0 TILEPROP INT_R_X21Y66 TILE_PATTERN_IDX 6624 TILEPROP INT_R_X21Y66 TILE_TYPE INT_R TILEPROP INT_R_X21Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y66 TILE_X -3120 TILEPROP INT_R_X21Y66 TILE_Y -27200 TILEPROP INT_R_X21Y66 TYPE INT_R TILEPROP INT_R_X21Y67 CLASS tile TILEPROP INT_R_X21Y67 COLUMN 54 TILEPROP INT_R_X21Y67 DEVICE_ID 0 TILEPROP INT_R_X21Y67 FIRST_SITE_ID 8561 TILEPROP INT_R_X21Y67 GRID_POINT_X 54 TILEPROP INT_R_X21Y67 GRID_POINT_Y 86 TILEPROP INT_R_X21Y67 INDEX 9944 TILEPROP INT_R_X21Y67 INT_TILE_X 21 TILEPROP INT_R_X21Y67 INT_TILE_Y 82 TILEPROP INT_R_X21Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y67 IS_DCM_TILE 0 TILEPROP INT_R_X21Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y67 NAME INT_R_X21Y67 TILEPROP INT_R_X21Y67 NUM_ARCS 3737 TILEPROP INT_R_X21Y67 NUM_SITES 1 TILEPROP INT_R_X21Y67 ROW 86 TILEPROP INT_R_X21Y67 SLR_REGION_ID 0 TILEPROP INT_R_X21Y67 TILE_PATTERN_IDX 6586 TILEPROP INT_R_X21Y67 TILE_TYPE INT_R TILEPROP INT_R_X21Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y67 TILE_X -3120 TILEPROP INT_R_X21Y67 TILE_Y -24000 TILEPROP INT_R_X21Y67 TYPE INT_R TILEPROP INT_R_X21Y68 CLASS tile TILEPROP INT_R_X21Y68 COLUMN 54 TILEPROP INT_R_X21Y68 DEVICE_ID 0 TILEPROP INT_R_X21Y68 FIRST_SITE_ID 8457 TILEPROP INT_R_X21Y68 GRID_POINT_X 54 TILEPROP INT_R_X21Y68 GRID_POINT_Y 85 TILEPROP INT_R_X21Y68 INDEX 9829 TILEPROP INT_R_X21Y68 INT_TILE_X 21 TILEPROP INT_R_X21Y68 INT_TILE_Y 81 TILEPROP INT_R_X21Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y68 IS_DCM_TILE 0 TILEPROP INT_R_X21Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y68 NAME INT_R_X21Y68 TILEPROP INT_R_X21Y68 NUM_ARCS 3737 TILEPROP INT_R_X21Y68 NUM_SITES 1 TILEPROP INT_R_X21Y68 ROW 85 TILEPROP INT_R_X21Y68 SLR_REGION_ID 0 TILEPROP INT_R_X21Y68 TILE_PATTERN_IDX 6554 TILEPROP INT_R_X21Y68 TILE_TYPE INT_R TILEPROP INT_R_X21Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y68 TILE_X -3120 TILEPROP INT_R_X21Y68 TILE_Y -20800 TILEPROP INT_R_X21Y68 TYPE INT_R TILEPROP INT_R_X21Y69 CLASS tile TILEPROP INT_R_X21Y69 COLUMN 54 TILEPROP INT_R_X21Y69 DEVICE_ID 0 TILEPROP INT_R_X21Y69 FIRST_SITE_ID 8355 TILEPROP INT_R_X21Y69 GRID_POINT_X 54 TILEPROP INT_R_X21Y69 GRID_POINT_Y 84 TILEPROP INT_R_X21Y69 INDEX 9714 TILEPROP INT_R_X21Y69 INT_TILE_X 21 TILEPROP INT_R_X21Y69 INT_TILE_Y 80 TILEPROP INT_R_X21Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y69 IS_DCM_TILE 0 TILEPROP INT_R_X21Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y69 NAME INT_R_X21Y69 TILEPROP INT_R_X21Y69 NUM_ARCS 3737 TILEPROP INT_R_X21Y69 NUM_SITES 1 TILEPROP INT_R_X21Y69 ROW 84 TILEPROP INT_R_X21Y69 SLR_REGION_ID 0 TILEPROP INT_R_X21Y69 TILE_PATTERN_IDX 6523 TILEPROP INT_R_X21Y69 TILE_TYPE INT_R TILEPROP INT_R_X21Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y69 TILE_X -3120 TILEPROP INT_R_X21Y69 TILE_Y -17600 TILEPROP INT_R_X21Y69 TYPE INT_R TILEPROP INT_R_X21Y70 CLASS tile TILEPROP INT_R_X21Y70 COLUMN 54 TILEPROP INT_R_X21Y70 DEVICE_ID 0 TILEPROP INT_R_X21Y70 FIRST_SITE_ID 8244 TILEPROP INT_R_X21Y70 GRID_POINT_X 54 TILEPROP INT_R_X21Y70 GRID_POINT_Y 83 TILEPROP INT_R_X21Y70 INDEX 9599 TILEPROP INT_R_X21Y70 INT_TILE_X 21 TILEPROP INT_R_X21Y70 INT_TILE_Y 79 TILEPROP INT_R_X21Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y70 IS_DCM_TILE 0 TILEPROP INT_R_X21Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y70 NAME INT_R_X21Y70 TILEPROP INT_R_X21Y70 NUM_ARCS 3737 TILEPROP INT_R_X21Y70 NUM_SITES 1 TILEPROP INT_R_X21Y70 ROW 83 TILEPROP INT_R_X21Y70 SLR_REGION_ID 0 TILEPROP INT_R_X21Y70 TILE_PATTERN_IDX 6492 TILEPROP INT_R_X21Y70 TILE_TYPE INT_R TILEPROP INT_R_X21Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y70 TILE_X -3120 TILEPROP INT_R_X21Y70 TILE_Y -14400 TILEPROP INT_R_X21Y70 TYPE INT_R TILEPROP INT_R_X21Y71 CLASS tile TILEPROP INT_R_X21Y71 COLUMN 54 TILEPROP INT_R_X21Y71 DEVICE_ID 0 TILEPROP INT_R_X21Y71 FIRST_SITE_ID 8138 TILEPROP INT_R_X21Y71 GRID_POINT_X 54 TILEPROP INT_R_X21Y71 GRID_POINT_Y 82 TILEPROP INT_R_X21Y71 INDEX 9484 TILEPROP INT_R_X21Y71 INT_TILE_X 21 TILEPROP INT_R_X21Y71 INT_TILE_Y 78 TILEPROP INT_R_X21Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y71 IS_DCM_TILE 0 TILEPROP INT_R_X21Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y71 NAME INT_R_X21Y71 TILEPROP INT_R_X21Y71 NUM_ARCS 3737 TILEPROP INT_R_X21Y71 NUM_SITES 1 TILEPROP INT_R_X21Y71 ROW 82 TILEPROP INT_R_X21Y71 SLR_REGION_ID 0 TILEPROP INT_R_X21Y71 TILE_PATTERN_IDX 6461 TILEPROP INT_R_X21Y71 TILE_TYPE INT_R TILEPROP INT_R_X21Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y71 TILE_X -3120 TILEPROP INT_R_X21Y71 TILE_Y -11200 TILEPROP INT_R_X21Y71 TYPE INT_R TILEPROP INT_R_X21Y72 CLASS tile TILEPROP INT_R_X21Y72 COLUMN 54 TILEPROP INT_R_X21Y72 DEVICE_ID 0 TILEPROP INT_R_X21Y72 FIRST_SITE_ID 8038 TILEPROP INT_R_X21Y72 GRID_POINT_X 54 TILEPROP INT_R_X21Y72 GRID_POINT_Y 81 TILEPROP INT_R_X21Y72 INDEX 9369 TILEPROP INT_R_X21Y72 INT_TILE_X 21 TILEPROP INT_R_X21Y72 INT_TILE_Y 77 TILEPROP INT_R_X21Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y72 IS_DCM_TILE 0 TILEPROP INT_R_X21Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y72 NAME INT_R_X21Y72 TILEPROP INT_R_X21Y72 NUM_ARCS 3737 TILEPROP INT_R_X21Y72 NUM_SITES 1 TILEPROP INT_R_X21Y72 ROW 81 TILEPROP INT_R_X21Y72 SLR_REGION_ID 0 TILEPROP INT_R_X21Y72 TILE_PATTERN_IDX 6430 TILEPROP INT_R_X21Y72 TILE_TYPE INT_R TILEPROP INT_R_X21Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y72 TILE_X -3120 TILEPROP INT_R_X21Y72 TILE_Y -8000 TILEPROP INT_R_X21Y72 TYPE INT_R TILEPROP INT_R_X21Y73 CLASS tile TILEPROP INT_R_X21Y73 COLUMN 54 TILEPROP INT_R_X21Y73 DEVICE_ID 0 TILEPROP INT_R_X21Y73 FIRST_SITE_ID 7938 TILEPROP INT_R_X21Y73 GRID_POINT_X 54 TILEPROP INT_R_X21Y73 GRID_POINT_Y 80 TILEPROP INT_R_X21Y73 INDEX 9254 TILEPROP INT_R_X21Y73 INT_TILE_X 21 TILEPROP INT_R_X21Y73 INT_TILE_Y 76 TILEPROP INT_R_X21Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y73 IS_DCM_TILE 0 TILEPROP INT_R_X21Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y73 NAME INT_R_X21Y73 TILEPROP INT_R_X21Y73 NUM_ARCS 3737 TILEPROP INT_R_X21Y73 NUM_SITES 1 TILEPROP INT_R_X21Y73 ROW 80 TILEPROP INT_R_X21Y73 SLR_REGION_ID 0 TILEPROP INT_R_X21Y73 TILE_PATTERN_IDX 6399 TILEPROP INT_R_X21Y73 TILE_TYPE INT_R TILEPROP INT_R_X21Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y73 TILE_X -3120 TILEPROP INT_R_X21Y73 TILE_Y -4800 TILEPROP INT_R_X21Y73 TYPE INT_R TILEPROP INT_R_X21Y74 CLASS tile TILEPROP INT_R_X21Y74 COLUMN 54 TILEPROP INT_R_X21Y74 DEVICE_ID 0 TILEPROP INT_R_X21Y74 FIRST_SITE_ID 7838 TILEPROP INT_R_X21Y74 GRID_POINT_X 54 TILEPROP INT_R_X21Y74 GRID_POINT_Y 79 TILEPROP INT_R_X21Y74 INDEX 9139 TILEPROP INT_R_X21Y74 INT_TILE_X 21 TILEPROP INT_R_X21Y74 INT_TILE_Y 75 TILEPROP INT_R_X21Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y74 IS_DCM_TILE 0 TILEPROP INT_R_X21Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y74 NAME INT_R_X21Y74 TILEPROP INT_R_X21Y74 NUM_ARCS 3737 TILEPROP INT_R_X21Y74 NUM_SITES 1 TILEPROP INT_R_X21Y74 ROW 79 TILEPROP INT_R_X21Y74 SLR_REGION_ID 0 TILEPROP INT_R_X21Y74 TILE_PATTERN_IDX 6368 TILEPROP INT_R_X21Y74 TILE_TYPE INT_R TILEPROP INT_R_X21Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y74 TILE_X -3120 TILEPROP INT_R_X21Y74 TILE_Y -1600 TILEPROP INT_R_X21Y74 TYPE INT_R TILEPROP INT_R_X21Y75 CLASS tile TILEPROP INT_R_X21Y75 COLUMN 54 TILEPROP INT_R_X21Y75 DEVICE_ID 0 TILEPROP INT_R_X21Y75 FIRST_SITE_ID 7646 TILEPROP INT_R_X21Y75 GRID_POINT_X 54 TILEPROP INT_R_X21Y75 GRID_POINT_Y 77 TILEPROP INT_R_X21Y75 INDEX 8909 TILEPROP INT_R_X21Y75 INT_TILE_X 21 TILEPROP INT_R_X21Y75 INT_TILE_Y 74 TILEPROP INT_R_X21Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y75 IS_DCM_TILE 0 TILEPROP INT_R_X21Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y75 NAME INT_R_X21Y75 TILEPROP INT_R_X21Y75 NUM_ARCS 3737 TILEPROP INT_R_X21Y75 NUM_SITES 1 TILEPROP INT_R_X21Y75 ROW 77 TILEPROP INT_R_X21Y75 SLR_REGION_ID 0 TILEPROP INT_R_X21Y75 TILE_PATTERN_IDX 6294 TILEPROP INT_R_X21Y75 TILE_TYPE INT_R TILEPROP INT_R_X21Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y75 TILE_X -3120 TILEPROP INT_R_X21Y75 TILE_Y 2624 TILEPROP INT_R_X21Y75 TYPE INT_R TILEPROP INT_R_X21Y76 CLASS tile TILEPROP INT_R_X21Y76 COLUMN 54 TILEPROP INT_R_X21Y76 DEVICE_ID 0 TILEPROP INT_R_X21Y76 FIRST_SITE_ID 7537 TILEPROP INT_R_X21Y76 GRID_POINT_X 54 TILEPROP INT_R_X21Y76 GRID_POINT_Y 76 TILEPROP INT_R_X21Y76 INDEX 8794 TILEPROP INT_R_X21Y76 INT_TILE_X 21 TILEPROP INT_R_X21Y76 INT_TILE_Y 73 TILEPROP INT_R_X21Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y76 IS_DCM_TILE 0 TILEPROP INT_R_X21Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y76 NAME INT_R_X21Y76 TILEPROP INT_R_X21Y76 NUM_ARCS 3737 TILEPROP INT_R_X21Y76 NUM_SITES 1 TILEPROP INT_R_X21Y76 ROW 76 TILEPROP INT_R_X21Y76 SLR_REGION_ID 0 TILEPROP INT_R_X21Y76 TILE_PATTERN_IDX 6260 TILEPROP INT_R_X21Y76 TILE_TYPE INT_R TILEPROP INT_R_X21Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y76 TILE_X -3120 TILEPROP INT_R_X21Y76 TILE_Y 5824 TILEPROP INT_R_X21Y76 TYPE INT_R TILEPROP INT_R_X21Y77 CLASS tile TILEPROP INT_R_X21Y77 COLUMN 54 TILEPROP INT_R_X21Y77 DEVICE_ID 0 TILEPROP INT_R_X21Y77 FIRST_SITE_ID 7437 TILEPROP INT_R_X21Y77 GRID_POINT_X 54 TILEPROP INT_R_X21Y77 GRID_POINT_Y 75 TILEPROP INT_R_X21Y77 INDEX 8679 TILEPROP INT_R_X21Y77 INT_TILE_X 21 TILEPROP INT_R_X21Y77 INT_TILE_Y 72 TILEPROP INT_R_X21Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y77 IS_DCM_TILE 0 TILEPROP INT_R_X21Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y77 NAME INT_R_X21Y77 TILEPROP INT_R_X21Y77 NUM_ARCS 3737 TILEPROP INT_R_X21Y77 NUM_SITES 1 TILEPROP INT_R_X21Y77 ROW 75 TILEPROP INT_R_X21Y77 SLR_REGION_ID 0 TILEPROP INT_R_X21Y77 TILE_PATTERN_IDX 6226 TILEPROP INT_R_X21Y77 TILE_TYPE INT_R TILEPROP INT_R_X21Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y77 TILE_X -3120 TILEPROP INT_R_X21Y77 TILE_Y 9024 TILEPROP INT_R_X21Y77 TYPE INT_R TILEPROP INT_R_X21Y78 CLASS tile TILEPROP INT_R_X21Y78 COLUMN 54 TILEPROP INT_R_X21Y78 DEVICE_ID 0 TILEPROP INT_R_X21Y78 FIRST_SITE_ID 7337 TILEPROP INT_R_X21Y78 GRID_POINT_X 54 TILEPROP INT_R_X21Y78 GRID_POINT_Y 74 TILEPROP INT_R_X21Y78 INDEX 8564 TILEPROP INT_R_X21Y78 INT_TILE_X 21 TILEPROP INT_R_X21Y78 INT_TILE_Y 71 TILEPROP INT_R_X21Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y78 IS_DCM_TILE 0 TILEPROP INT_R_X21Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y78 NAME INT_R_X21Y78 TILEPROP INT_R_X21Y78 NUM_ARCS 3737 TILEPROP INT_R_X21Y78 NUM_SITES 1 TILEPROP INT_R_X21Y78 ROW 74 TILEPROP INT_R_X21Y78 SLR_REGION_ID 0 TILEPROP INT_R_X21Y78 TILE_PATTERN_IDX 6193 TILEPROP INT_R_X21Y78 TILE_TYPE INT_R TILEPROP INT_R_X21Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y78 TILE_X -3120 TILEPROP INT_R_X21Y78 TILE_Y 12224 TILEPROP INT_R_X21Y78 TYPE INT_R TILEPROP INT_R_X21Y79 CLASS tile TILEPROP INT_R_X21Y79 COLUMN 54 TILEPROP INT_R_X21Y79 DEVICE_ID 0 TILEPROP INT_R_X21Y79 FIRST_SITE_ID 7231 TILEPROP INT_R_X21Y79 GRID_POINT_X 54 TILEPROP INT_R_X21Y79 GRID_POINT_Y 73 TILEPROP INT_R_X21Y79 INDEX 8449 TILEPROP INT_R_X21Y79 INT_TILE_X 21 TILEPROP INT_R_X21Y79 INT_TILE_Y 70 TILEPROP INT_R_X21Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y79 IS_DCM_TILE 0 TILEPROP INT_R_X21Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y79 NAME INT_R_X21Y79 TILEPROP INT_R_X21Y79 NUM_ARCS 3737 TILEPROP INT_R_X21Y79 NUM_SITES 1 TILEPROP INT_R_X21Y79 ROW 73 TILEPROP INT_R_X21Y79 SLR_REGION_ID 0 TILEPROP INT_R_X21Y79 TILE_PATTERN_IDX 6158 TILEPROP INT_R_X21Y79 TILE_TYPE INT_R TILEPROP INT_R_X21Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y79 TILE_X -3120 TILEPROP INT_R_X21Y79 TILE_Y 15424 TILEPROP INT_R_X21Y79 TYPE INT_R TILEPROP INT_R_X21Y80 CLASS tile TILEPROP INT_R_X21Y80 COLUMN 54 TILEPROP INT_R_X21Y80 DEVICE_ID 0 TILEPROP INT_R_X21Y80 FIRST_SITE_ID 7116 TILEPROP INT_R_X21Y80 GRID_POINT_X 54 TILEPROP INT_R_X21Y80 GRID_POINT_Y 72 TILEPROP INT_R_X21Y80 INDEX 8334 TILEPROP INT_R_X21Y80 INT_TILE_X 21 TILEPROP INT_R_X21Y80 INT_TILE_Y 69 TILEPROP INT_R_X21Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y80 IS_DCM_TILE 0 TILEPROP INT_R_X21Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y80 NAME INT_R_X21Y80 TILEPROP INT_R_X21Y80 NUM_ARCS 3737 TILEPROP INT_R_X21Y80 NUM_SITES 1 TILEPROP INT_R_X21Y80 ROW 72 TILEPROP INT_R_X21Y80 SLR_REGION_ID 0 TILEPROP INT_R_X21Y80 TILE_PATTERN_IDX 6123 TILEPROP INT_R_X21Y80 TILE_TYPE INT_R TILEPROP INT_R_X21Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y80 TILE_X -3120 TILEPROP INT_R_X21Y80 TILE_Y 18624 TILEPROP INT_R_X21Y80 TYPE INT_R TILEPROP INT_R_X21Y81 CLASS tile TILEPROP INT_R_X21Y81 COLUMN 54 TILEPROP INT_R_X21Y81 DEVICE_ID 0 TILEPROP INT_R_X21Y81 FIRST_SITE_ID 7008 TILEPROP INT_R_X21Y81 GRID_POINT_X 54 TILEPROP INT_R_X21Y81 GRID_POINT_Y 71 TILEPROP INT_R_X21Y81 INDEX 8219 TILEPROP INT_R_X21Y81 INT_TILE_X 21 TILEPROP INT_R_X21Y81 INT_TILE_Y 68 TILEPROP INT_R_X21Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y81 IS_DCM_TILE 0 TILEPROP INT_R_X21Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y81 NAME INT_R_X21Y81 TILEPROP INT_R_X21Y81 NUM_ARCS 3737 TILEPROP INT_R_X21Y81 NUM_SITES 1 TILEPROP INT_R_X21Y81 ROW 71 TILEPROP INT_R_X21Y81 SLR_REGION_ID 0 TILEPROP INT_R_X21Y81 TILE_PATTERN_IDX 6089 TILEPROP INT_R_X21Y81 TILE_TYPE INT_R TILEPROP INT_R_X21Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y81 TILE_X -3120 TILEPROP INT_R_X21Y81 TILE_Y 21824 TILEPROP INT_R_X21Y81 TYPE INT_R TILEPROP INT_R_X21Y82 CLASS tile TILEPROP INT_R_X21Y82 COLUMN 54 TILEPROP INT_R_X21Y82 DEVICE_ID 0 TILEPROP INT_R_X21Y82 FIRST_SITE_ID 6906 TILEPROP INT_R_X21Y82 GRID_POINT_X 54 TILEPROP INT_R_X21Y82 GRID_POINT_Y 70 TILEPROP INT_R_X21Y82 INDEX 8104 TILEPROP INT_R_X21Y82 INT_TILE_X 21 TILEPROP INT_R_X21Y82 INT_TILE_Y 67 TILEPROP INT_R_X21Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y82 IS_DCM_TILE 0 TILEPROP INT_R_X21Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y82 NAME INT_R_X21Y82 TILEPROP INT_R_X21Y82 NUM_ARCS 3737 TILEPROP INT_R_X21Y82 NUM_SITES 1 TILEPROP INT_R_X21Y82 ROW 70 TILEPROP INT_R_X21Y82 SLR_REGION_ID 0 TILEPROP INT_R_X21Y82 TILE_PATTERN_IDX 6055 TILEPROP INT_R_X21Y82 TILE_TYPE INT_R TILEPROP INT_R_X21Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y82 TILE_X -3120 TILEPROP INT_R_X21Y82 TILE_Y 25024 TILEPROP INT_R_X21Y82 TYPE INT_R TILEPROP INT_R_X21Y83 CLASS tile TILEPROP INT_R_X21Y83 COLUMN 54 TILEPROP INT_R_X21Y83 DEVICE_ID 0 TILEPROP INT_R_X21Y83 FIRST_SITE_ID 6806 TILEPROP INT_R_X21Y83 GRID_POINT_X 54 TILEPROP INT_R_X21Y83 GRID_POINT_Y 69 TILEPROP INT_R_X21Y83 INDEX 7989 TILEPROP INT_R_X21Y83 INT_TILE_X 21 TILEPROP INT_R_X21Y83 INT_TILE_Y 66 TILEPROP INT_R_X21Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y83 IS_DCM_TILE 0 TILEPROP INT_R_X21Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y83 NAME INT_R_X21Y83 TILEPROP INT_R_X21Y83 NUM_ARCS 3737 TILEPROP INT_R_X21Y83 NUM_SITES 1 TILEPROP INT_R_X21Y83 ROW 69 TILEPROP INT_R_X21Y83 SLR_REGION_ID 0 TILEPROP INT_R_X21Y83 TILE_PATTERN_IDX 6021 TILEPROP INT_R_X21Y83 TILE_TYPE INT_R TILEPROP INT_R_X21Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y83 TILE_X -3120 TILEPROP INT_R_X21Y83 TILE_Y 28224 TILEPROP INT_R_X21Y83 TYPE INT_R TILEPROP INT_R_X21Y84 CLASS tile TILEPROP INT_R_X21Y84 COLUMN 54 TILEPROP INT_R_X21Y84 DEVICE_ID 0 TILEPROP INT_R_X21Y84 FIRST_SITE_ID 6706 TILEPROP INT_R_X21Y84 GRID_POINT_X 54 TILEPROP INT_R_X21Y84 GRID_POINT_Y 68 TILEPROP INT_R_X21Y84 INDEX 7874 TILEPROP INT_R_X21Y84 INT_TILE_X 21 TILEPROP INT_R_X21Y84 INT_TILE_Y 65 TILEPROP INT_R_X21Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y84 IS_DCM_TILE 0 TILEPROP INT_R_X21Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y84 NAME INT_R_X21Y84 TILEPROP INT_R_X21Y84 NUM_ARCS 3737 TILEPROP INT_R_X21Y84 NUM_SITES 1 TILEPROP INT_R_X21Y84 ROW 68 TILEPROP INT_R_X21Y84 SLR_REGION_ID 0 TILEPROP INT_R_X21Y84 TILE_PATTERN_IDX 5987 TILEPROP INT_R_X21Y84 TILE_TYPE INT_R TILEPROP INT_R_X21Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y84 TILE_X -3120 TILEPROP INT_R_X21Y84 TILE_Y 31424 TILEPROP INT_R_X21Y84 TYPE INT_R TILEPROP INT_R_X21Y85 CLASS tile TILEPROP INT_R_X21Y85 COLUMN 54 TILEPROP INT_R_X21Y85 DEVICE_ID 0 TILEPROP INT_R_X21Y85 FIRST_SITE_ID 6597 TILEPROP INT_R_X21Y85 GRID_POINT_X 54 TILEPROP INT_R_X21Y85 GRID_POINT_Y 67 TILEPROP INT_R_X21Y85 INDEX 7759 TILEPROP INT_R_X21Y85 INT_TILE_X 21 TILEPROP INT_R_X21Y85 INT_TILE_Y 64 TILEPROP INT_R_X21Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y85 IS_DCM_TILE 0 TILEPROP INT_R_X21Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y85 NAME INT_R_X21Y85 TILEPROP INT_R_X21Y85 NUM_ARCS 3737 TILEPROP INT_R_X21Y85 NUM_SITES 1 TILEPROP INT_R_X21Y85 ROW 67 TILEPROP INT_R_X21Y85 SLR_REGION_ID 0 TILEPROP INT_R_X21Y85 TILE_PATTERN_IDX 5953 TILEPROP INT_R_X21Y85 TILE_TYPE INT_R TILEPROP INT_R_X21Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y85 TILE_X -3120 TILEPROP INT_R_X21Y85 TILE_Y 34624 TILEPROP INT_R_X21Y85 TYPE INT_R TILEPROP INT_R_X21Y86 CLASS tile TILEPROP INT_R_X21Y86 COLUMN 54 TILEPROP INT_R_X21Y86 DEVICE_ID 0 TILEPROP INT_R_X21Y86 FIRST_SITE_ID 6459 TILEPROP INT_R_X21Y86 GRID_POINT_X 54 TILEPROP INT_R_X21Y86 GRID_POINT_Y 66 TILEPROP INT_R_X21Y86 INDEX 7644 TILEPROP INT_R_X21Y86 INT_TILE_X 21 TILEPROP INT_R_X21Y86 INT_TILE_Y 63 TILEPROP INT_R_X21Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y86 IS_DCM_TILE 0 TILEPROP INT_R_X21Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y86 NAME INT_R_X21Y86 TILEPROP INT_R_X21Y86 NUM_ARCS 3737 TILEPROP INT_R_X21Y86 NUM_SITES 1 TILEPROP INT_R_X21Y86 ROW 66 TILEPROP INT_R_X21Y86 SLR_REGION_ID 0 TILEPROP INT_R_X21Y86 TILE_PATTERN_IDX 5917 TILEPROP INT_R_X21Y86 TILE_TYPE INT_R TILEPROP INT_R_X21Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y86 TILE_X -3120 TILEPROP INT_R_X21Y86 TILE_Y 37824 TILEPROP INT_R_X21Y86 TYPE INT_R TILEPROP INT_R_X21Y87 CLASS tile TILEPROP INT_R_X21Y87 COLUMN 54 TILEPROP INT_R_X21Y87 DEVICE_ID 0 TILEPROP INT_R_X21Y87 FIRST_SITE_ID 6359 TILEPROP INT_R_X21Y87 GRID_POINT_X 54 TILEPROP INT_R_X21Y87 GRID_POINT_Y 65 TILEPROP INT_R_X21Y87 INDEX 7529 TILEPROP INT_R_X21Y87 INT_TILE_X 21 TILEPROP INT_R_X21Y87 INT_TILE_Y 62 TILEPROP INT_R_X21Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y87 IS_DCM_TILE 0 TILEPROP INT_R_X21Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y87 NAME INT_R_X21Y87 TILEPROP INT_R_X21Y87 NUM_ARCS 3737 TILEPROP INT_R_X21Y87 NUM_SITES 1 TILEPROP INT_R_X21Y87 ROW 65 TILEPROP INT_R_X21Y87 SLR_REGION_ID 0 TILEPROP INT_R_X21Y87 TILE_PATTERN_IDX 5883 TILEPROP INT_R_X21Y87 TILE_TYPE INT_R TILEPROP INT_R_X21Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y87 TILE_X -3120 TILEPROP INT_R_X21Y87 TILE_Y 41024 TILEPROP INT_R_X21Y87 TYPE INT_R TILEPROP INT_R_X21Y88 CLASS tile TILEPROP INT_R_X21Y88 COLUMN 54 TILEPROP INT_R_X21Y88 DEVICE_ID 0 TILEPROP INT_R_X21Y88 FIRST_SITE_ID 6259 TILEPROP INT_R_X21Y88 GRID_POINT_X 54 TILEPROP INT_R_X21Y88 GRID_POINT_Y 64 TILEPROP INT_R_X21Y88 INDEX 7414 TILEPROP INT_R_X21Y88 INT_TILE_X 21 TILEPROP INT_R_X21Y88 INT_TILE_Y 61 TILEPROP INT_R_X21Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y88 IS_DCM_TILE 0 TILEPROP INT_R_X21Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y88 NAME INT_R_X21Y88 TILEPROP INT_R_X21Y88 NUM_ARCS 3737 TILEPROP INT_R_X21Y88 NUM_SITES 1 TILEPROP INT_R_X21Y88 ROW 64 TILEPROP INT_R_X21Y88 SLR_REGION_ID 0 TILEPROP INT_R_X21Y88 TILE_PATTERN_IDX 5850 TILEPROP INT_R_X21Y88 TILE_TYPE INT_R TILEPROP INT_R_X21Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y88 TILE_X -3120 TILEPROP INT_R_X21Y88 TILE_Y 44224 TILEPROP INT_R_X21Y88 TYPE INT_R TILEPROP INT_R_X21Y89 CLASS tile TILEPROP INT_R_X21Y89 COLUMN 54 TILEPROP INT_R_X21Y89 DEVICE_ID 0 TILEPROP INT_R_X21Y89 FIRST_SITE_ID 6159 TILEPROP INT_R_X21Y89 GRID_POINT_X 54 TILEPROP INT_R_X21Y89 GRID_POINT_Y 63 TILEPROP INT_R_X21Y89 INDEX 7299 TILEPROP INT_R_X21Y89 INT_TILE_X 21 TILEPROP INT_R_X21Y89 INT_TILE_Y 60 TILEPROP INT_R_X21Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y89 IS_DCM_TILE 0 TILEPROP INT_R_X21Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y89 NAME INT_R_X21Y89 TILEPROP INT_R_X21Y89 NUM_ARCS 3737 TILEPROP INT_R_X21Y89 NUM_SITES 1 TILEPROP INT_R_X21Y89 ROW 63 TILEPROP INT_R_X21Y89 SLR_REGION_ID 0 TILEPROP INT_R_X21Y89 TILE_PATTERN_IDX 5816 TILEPROP INT_R_X21Y89 TILE_TYPE INT_R TILEPROP INT_R_X21Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y89 TILE_X -3120 TILEPROP INT_R_X21Y89 TILE_Y 47424 TILEPROP INT_R_X21Y89 TYPE INT_R TILEPROP INT_R_X21Y90 CLASS tile TILEPROP INT_R_X21Y90 COLUMN 54 TILEPROP INT_R_X21Y90 DEVICE_ID 0 TILEPROP INT_R_X21Y90 FIRST_SITE_ID 6050 TILEPROP INT_R_X21Y90 GRID_POINT_X 54 TILEPROP INT_R_X21Y90 GRID_POINT_Y 62 TILEPROP INT_R_X21Y90 INDEX 7184 TILEPROP INT_R_X21Y90 INT_TILE_X 21 TILEPROP INT_R_X21Y90 INT_TILE_Y 59 TILEPROP INT_R_X21Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y90 IS_DCM_TILE 0 TILEPROP INT_R_X21Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y90 NAME INT_R_X21Y90 TILEPROP INT_R_X21Y90 NUM_ARCS 3737 TILEPROP INT_R_X21Y90 NUM_SITES 1 TILEPROP INT_R_X21Y90 ROW 62 TILEPROP INT_R_X21Y90 SLR_REGION_ID 0 TILEPROP INT_R_X21Y90 TILE_PATTERN_IDX 5782 TILEPROP INT_R_X21Y90 TILE_TYPE INT_R TILEPROP INT_R_X21Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y90 TILE_X -3120 TILEPROP INT_R_X21Y90 TILE_Y 50624 TILEPROP INT_R_X21Y90 TYPE INT_R TILEPROP INT_R_X21Y91 CLASS tile TILEPROP INT_R_X21Y91 COLUMN 54 TILEPROP INT_R_X21Y91 DEVICE_ID 0 TILEPROP INT_R_X21Y91 FIRST_SITE_ID 5944 TILEPROP INT_R_X21Y91 GRID_POINT_X 54 TILEPROP INT_R_X21Y91 GRID_POINT_Y 61 TILEPROP INT_R_X21Y91 INDEX 7069 TILEPROP INT_R_X21Y91 INT_TILE_X 21 TILEPROP INT_R_X21Y91 INT_TILE_Y 58 TILEPROP INT_R_X21Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y91 IS_DCM_TILE 0 TILEPROP INT_R_X21Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y91 NAME INT_R_X21Y91 TILEPROP INT_R_X21Y91 NUM_ARCS 3737 TILEPROP INT_R_X21Y91 NUM_SITES 1 TILEPROP INT_R_X21Y91 ROW 61 TILEPROP INT_R_X21Y91 SLR_REGION_ID 0 TILEPROP INT_R_X21Y91 TILE_PATTERN_IDX 5748 TILEPROP INT_R_X21Y91 TILE_TYPE INT_R TILEPROP INT_R_X21Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y91 TILE_X -3120 TILEPROP INT_R_X21Y91 TILE_Y 53824 TILEPROP INT_R_X21Y91 TYPE INT_R TILEPROP INT_R_X21Y92 CLASS tile TILEPROP INT_R_X21Y92 COLUMN 54 TILEPROP INT_R_X21Y92 DEVICE_ID 0 TILEPROP INT_R_X21Y92 FIRST_SITE_ID 5843 TILEPROP INT_R_X21Y92 GRID_POINT_X 54 TILEPROP INT_R_X21Y92 GRID_POINT_Y 60 TILEPROP INT_R_X21Y92 INDEX 6954 TILEPROP INT_R_X21Y92 INT_TILE_X 21 TILEPROP INT_R_X21Y92 INT_TILE_Y 57 TILEPROP INT_R_X21Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y92 IS_DCM_TILE 0 TILEPROP INT_R_X21Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y92 NAME INT_R_X21Y92 TILEPROP INT_R_X21Y92 NUM_ARCS 3737 TILEPROP INT_R_X21Y92 NUM_SITES 1 TILEPROP INT_R_X21Y92 ROW 60 TILEPROP INT_R_X21Y92 SLR_REGION_ID 0 TILEPROP INT_R_X21Y92 TILE_PATTERN_IDX 5715 TILEPROP INT_R_X21Y92 TILE_TYPE INT_R TILEPROP INT_R_X21Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y92 TILE_X -3120 TILEPROP INT_R_X21Y92 TILE_Y 57024 TILEPROP INT_R_X21Y92 TYPE INT_R TILEPROP INT_R_X21Y93 CLASS tile TILEPROP INT_R_X21Y93 COLUMN 54 TILEPROP INT_R_X21Y93 DEVICE_ID 0 TILEPROP INT_R_X21Y93 FIRST_SITE_ID 5740 TILEPROP INT_R_X21Y93 GRID_POINT_X 54 TILEPROP INT_R_X21Y93 GRID_POINT_Y 59 TILEPROP INT_R_X21Y93 INDEX 6839 TILEPROP INT_R_X21Y93 INT_TILE_X 21 TILEPROP INT_R_X21Y93 INT_TILE_Y 56 TILEPROP INT_R_X21Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y93 IS_DCM_TILE 0 TILEPROP INT_R_X21Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y93 NAME INT_R_X21Y93 TILEPROP INT_R_X21Y93 NUM_ARCS 3737 TILEPROP INT_R_X21Y93 NUM_SITES 1 TILEPROP INT_R_X21Y93 ROW 59 TILEPROP INT_R_X21Y93 SLR_REGION_ID 0 TILEPROP INT_R_X21Y93 TILE_PATTERN_IDX 5681 TILEPROP INT_R_X21Y93 TILE_TYPE INT_R TILEPROP INT_R_X21Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y93 TILE_X -3120 TILEPROP INT_R_X21Y93 TILE_Y 60224 TILEPROP INT_R_X21Y93 TYPE INT_R TILEPROP INT_R_X21Y94 CLASS tile TILEPROP INT_R_X21Y94 COLUMN 54 TILEPROP INT_R_X21Y94 DEVICE_ID 0 TILEPROP INT_R_X21Y94 FIRST_SITE_ID 5638 TILEPROP INT_R_X21Y94 GRID_POINT_X 54 TILEPROP INT_R_X21Y94 GRID_POINT_Y 58 TILEPROP INT_R_X21Y94 INDEX 6724 TILEPROP INT_R_X21Y94 INT_TILE_X 21 TILEPROP INT_R_X21Y94 INT_TILE_Y 55 TILEPROP INT_R_X21Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y94 IS_DCM_TILE 0 TILEPROP INT_R_X21Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y94 NAME INT_R_X21Y94 TILEPROP INT_R_X21Y94 NUM_ARCS 3737 TILEPROP INT_R_X21Y94 NUM_SITES 1 TILEPROP INT_R_X21Y94 ROW 58 TILEPROP INT_R_X21Y94 SLR_REGION_ID 0 TILEPROP INT_R_X21Y94 TILE_PATTERN_IDX 5647 TILEPROP INT_R_X21Y94 TILE_TYPE INT_R TILEPROP INT_R_X21Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y94 TILE_X -3120 TILEPROP INT_R_X21Y94 TILE_Y 63424 TILEPROP INT_R_X21Y94 TYPE INT_R TILEPROP INT_R_X21Y95 CLASS tile TILEPROP INT_R_X21Y95 COLUMN 54 TILEPROP INT_R_X21Y95 DEVICE_ID 0 TILEPROP INT_R_X21Y95 FIRST_SITE_ID 5529 TILEPROP INT_R_X21Y95 GRID_POINT_X 54 TILEPROP INT_R_X21Y95 GRID_POINT_Y 57 TILEPROP INT_R_X21Y95 INDEX 6609 TILEPROP INT_R_X21Y95 INT_TILE_X 21 TILEPROP INT_R_X21Y95 INT_TILE_Y 54 TILEPROP INT_R_X21Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y95 IS_DCM_TILE 0 TILEPROP INT_R_X21Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y95 NAME INT_R_X21Y95 TILEPROP INT_R_X21Y95 NUM_ARCS 3737 TILEPROP INT_R_X21Y95 NUM_SITES 1 TILEPROP INT_R_X21Y95 ROW 57 TILEPROP INT_R_X21Y95 SLR_REGION_ID 0 TILEPROP INT_R_X21Y95 TILE_PATTERN_IDX 5613 TILEPROP INT_R_X21Y95 TILE_TYPE INT_R TILEPROP INT_R_X21Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y95 TILE_X -3120 TILEPROP INT_R_X21Y95 TILE_Y 66624 TILEPROP INT_R_X21Y95 TYPE INT_R TILEPROP INT_R_X21Y96 CLASS tile TILEPROP INT_R_X21Y96 COLUMN 54 TILEPROP INT_R_X21Y96 DEVICE_ID 0 TILEPROP INT_R_X21Y96 FIRST_SITE_ID 5423 TILEPROP INT_R_X21Y96 GRID_POINT_X 54 TILEPROP INT_R_X21Y96 GRID_POINT_Y 56 TILEPROP INT_R_X21Y96 INDEX 6494 TILEPROP INT_R_X21Y96 INT_TILE_X 21 TILEPROP INT_R_X21Y96 INT_TILE_Y 53 TILEPROP INT_R_X21Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y96 IS_DCM_TILE 0 TILEPROP INT_R_X21Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y96 NAME INT_R_X21Y96 TILEPROP INT_R_X21Y96 NUM_ARCS 3737 TILEPROP INT_R_X21Y96 NUM_SITES 1 TILEPROP INT_R_X21Y96 ROW 56 TILEPROP INT_R_X21Y96 SLR_REGION_ID 0 TILEPROP INT_R_X21Y96 TILE_PATTERN_IDX 5578 TILEPROP INT_R_X21Y96 TILE_TYPE INT_R TILEPROP INT_R_X21Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y96 TILE_X -3120 TILEPROP INT_R_X21Y96 TILE_Y 69824 TILEPROP INT_R_X21Y96 TYPE INT_R TILEPROP INT_R_X21Y97 CLASS tile TILEPROP INT_R_X21Y97 COLUMN 54 TILEPROP INT_R_X21Y97 DEVICE_ID 0 TILEPROP INT_R_X21Y97 FIRST_SITE_ID 5323 TILEPROP INT_R_X21Y97 GRID_POINT_X 54 TILEPROP INT_R_X21Y97 GRID_POINT_Y 55 TILEPROP INT_R_X21Y97 INDEX 6379 TILEPROP INT_R_X21Y97 INT_TILE_X 21 TILEPROP INT_R_X21Y97 INT_TILE_Y 52 TILEPROP INT_R_X21Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y97 IS_DCM_TILE 0 TILEPROP INT_R_X21Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y97 NAME INT_R_X21Y97 TILEPROP INT_R_X21Y97 NUM_ARCS 3737 TILEPROP INT_R_X21Y97 NUM_SITES 1 TILEPROP INT_R_X21Y97 ROW 55 TILEPROP INT_R_X21Y97 SLR_REGION_ID 0 TILEPROP INT_R_X21Y97 TILE_PATTERN_IDX 5544 TILEPROP INT_R_X21Y97 TILE_TYPE INT_R TILEPROP INT_R_X21Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y97 TILE_X -3120 TILEPROP INT_R_X21Y97 TILE_Y 73024 TILEPROP INT_R_X21Y97 TYPE INT_R TILEPROP INT_R_X21Y98 CLASS tile TILEPROP INT_R_X21Y98 COLUMN 54 TILEPROP INT_R_X21Y98 DEVICE_ID 0 TILEPROP INT_R_X21Y98 FIRST_SITE_ID 5223 TILEPROP INT_R_X21Y98 GRID_POINT_X 54 TILEPROP INT_R_X21Y98 GRID_POINT_Y 54 TILEPROP INT_R_X21Y98 INDEX 6264 TILEPROP INT_R_X21Y98 INT_TILE_X 21 TILEPROP INT_R_X21Y98 INT_TILE_Y 51 TILEPROP INT_R_X21Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y98 IS_DCM_TILE 0 TILEPROP INT_R_X21Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y98 NAME INT_R_X21Y98 TILEPROP INT_R_X21Y98 NUM_ARCS 3737 TILEPROP INT_R_X21Y98 NUM_SITES 1 TILEPROP INT_R_X21Y98 ROW 54 TILEPROP INT_R_X21Y98 SLR_REGION_ID 0 TILEPROP INT_R_X21Y98 TILE_PATTERN_IDX 5510 TILEPROP INT_R_X21Y98 TILE_TYPE INT_R TILEPROP INT_R_X21Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y98 TILE_X -3120 TILEPROP INT_R_X21Y98 TILE_Y 76224 TILEPROP INT_R_X21Y98 TYPE INT_R TILEPROP INT_R_X21Y99 CLASS tile TILEPROP INT_R_X21Y99 COLUMN 54 TILEPROP INT_R_X21Y99 DEVICE_ID 0 TILEPROP INT_R_X21Y99 FIRST_SITE_ID 5127 TILEPROP INT_R_X21Y99 GRID_POINT_X 54 TILEPROP INT_R_X21Y99 GRID_POINT_Y 53 TILEPROP INT_R_X21Y99 INDEX 6149 TILEPROP INT_R_X21Y99 INT_TILE_X 21 TILEPROP INT_R_X21Y99 INT_TILE_Y 50 TILEPROP INT_R_X21Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y99 IS_DCM_TILE 0 TILEPROP INT_R_X21Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y99 NAME INT_R_X21Y99 TILEPROP INT_R_X21Y99 NUM_ARCS 3737 TILEPROP INT_R_X21Y99 NUM_SITES 1 TILEPROP INT_R_X21Y99 ROW 53 TILEPROP INT_R_X21Y99 SLR_REGION_ID 0 TILEPROP INT_R_X21Y99 TILE_PATTERN_IDX 5477 TILEPROP INT_R_X21Y99 TILE_TYPE INT_R TILEPROP INT_R_X21Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y99 TILE_X -3120 TILEPROP INT_R_X21Y99 TILE_Y 79424 TILEPROP INT_R_X21Y99 TYPE INT_R TILEPROP INT_R_X21Y100 CLASS tile TILEPROP INT_R_X21Y100 COLUMN 54 TILEPROP INT_R_X21Y100 DEVICE_ID 0 TILEPROP INT_R_X21Y100 FIRST_SITE_ID 5050 TILEPROP INT_R_X21Y100 GRID_POINT_X 54 TILEPROP INT_R_X21Y100 GRID_POINT_Y 51 TILEPROP INT_R_X21Y100 INDEX 5919 TILEPROP INT_R_X21Y100 INT_TILE_X 21 TILEPROP INT_R_X21Y100 INT_TILE_Y 49 TILEPROP INT_R_X21Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y100 IS_DCM_TILE 0 TILEPROP INT_R_X21Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y100 NAME INT_R_X21Y100 TILEPROP INT_R_X21Y100 NUM_ARCS 3737 TILEPROP INT_R_X21Y100 NUM_SITES 1 TILEPROP INT_R_X21Y100 ROW 51 TILEPROP INT_R_X21Y100 SLR_REGION_ID 0 TILEPROP INT_R_X21Y100 TILE_PATTERN_IDX 5445 TILEPROP INT_R_X21Y100 TILE_TYPE INT_R TILEPROP INT_R_X21Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y100 TILE_X -3120 TILEPROP INT_R_X21Y100 TILE_Y 82872 TILEPROP INT_R_X21Y100 TYPE INT_R TILEPROP INT_R_X21Y101 CLASS tile TILEPROP INT_R_X21Y101 COLUMN 54 TILEPROP INT_R_X21Y101 DEVICE_ID 0 TILEPROP INT_R_X21Y101 FIRST_SITE_ID 4952 TILEPROP INT_R_X21Y101 GRID_POINT_X 54 TILEPROP INT_R_X21Y101 GRID_POINT_Y 50 TILEPROP INT_R_X21Y101 INDEX 5804 TILEPROP INT_R_X21Y101 INT_TILE_X 21 TILEPROP INT_R_X21Y101 INT_TILE_Y 48 TILEPROP INT_R_X21Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y101 IS_DCM_TILE 0 TILEPROP INT_R_X21Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y101 NAME INT_R_X21Y101 TILEPROP INT_R_X21Y101 NUM_ARCS 3737 TILEPROP INT_R_X21Y101 NUM_SITES 1 TILEPROP INT_R_X21Y101 ROW 50 TILEPROP INT_R_X21Y101 SLR_REGION_ID 0 TILEPROP INT_R_X21Y101 TILE_PATTERN_IDX 5409 TILEPROP INT_R_X21Y101 TILE_TYPE INT_R TILEPROP INT_R_X21Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y101 TILE_X -3120 TILEPROP INT_R_X21Y101 TILE_Y 86072 TILEPROP INT_R_X21Y101 TYPE INT_R TILEPROP INT_R_X21Y102 CLASS tile TILEPROP INT_R_X21Y102 COLUMN 54 TILEPROP INT_R_X21Y102 DEVICE_ID 0 TILEPROP INT_R_X21Y102 FIRST_SITE_ID 4856 TILEPROP INT_R_X21Y102 GRID_POINT_X 54 TILEPROP INT_R_X21Y102 GRID_POINT_Y 49 TILEPROP INT_R_X21Y102 INDEX 5689 TILEPROP INT_R_X21Y102 INT_TILE_X 21 TILEPROP INT_R_X21Y102 INT_TILE_Y 47 TILEPROP INT_R_X21Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y102 IS_DCM_TILE 0 TILEPROP INT_R_X21Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y102 NAME INT_R_X21Y102 TILEPROP INT_R_X21Y102 NUM_ARCS 3737 TILEPROP INT_R_X21Y102 NUM_SITES 1 TILEPROP INT_R_X21Y102 ROW 49 TILEPROP INT_R_X21Y102 SLR_REGION_ID 0 TILEPROP INT_R_X21Y102 TILE_PATTERN_IDX 5372 TILEPROP INT_R_X21Y102 TILE_TYPE INT_R TILEPROP INT_R_X21Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y102 TILE_X -3120 TILEPROP INT_R_X21Y102 TILE_Y 89272 TILEPROP INT_R_X21Y102 TYPE INT_R TILEPROP INT_R_X21Y103 CLASS tile TILEPROP INT_R_X21Y103 COLUMN 54 TILEPROP INT_R_X21Y103 DEVICE_ID 0 TILEPROP INT_R_X21Y103 FIRST_SITE_ID 4768 TILEPROP INT_R_X21Y103 GRID_POINT_X 54 TILEPROP INT_R_X21Y103 GRID_POINT_Y 48 TILEPROP INT_R_X21Y103 INDEX 5574 TILEPROP INT_R_X21Y103 INT_TILE_X 21 TILEPROP INT_R_X21Y103 INT_TILE_Y 46 TILEPROP INT_R_X21Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y103 IS_DCM_TILE 0 TILEPROP INT_R_X21Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y103 NAME INT_R_X21Y103 TILEPROP INT_R_X21Y103 NUM_ARCS 3737 TILEPROP INT_R_X21Y103 NUM_SITES 1 TILEPROP INT_R_X21Y103 ROW 48 TILEPROP INT_R_X21Y103 SLR_REGION_ID 0 TILEPROP INT_R_X21Y103 TILE_PATTERN_IDX 5336 TILEPROP INT_R_X21Y103 TILE_TYPE INT_R TILEPROP INT_R_X21Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y103 TILE_X -3120 TILEPROP INT_R_X21Y103 TILE_Y 92472 TILEPROP INT_R_X21Y103 TYPE INT_R TILEPROP INT_R_X21Y104 CLASS tile TILEPROP INT_R_X21Y104 COLUMN 54 TILEPROP INT_R_X21Y104 DEVICE_ID 0 TILEPROP INT_R_X21Y104 FIRST_SITE_ID 4672 TILEPROP INT_R_X21Y104 GRID_POINT_X 54 TILEPROP INT_R_X21Y104 GRID_POINT_Y 47 TILEPROP INT_R_X21Y104 INDEX 5459 TILEPROP INT_R_X21Y104 INT_TILE_X 21 TILEPROP INT_R_X21Y104 INT_TILE_Y 45 TILEPROP INT_R_X21Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y104 IS_DCM_TILE 0 TILEPROP INT_R_X21Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y104 NAME INT_R_X21Y104 TILEPROP INT_R_X21Y104 NUM_ARCS 3737 TILEPROP INT_R_X21Y104 NUM_SITES 1 TILEPROP INT_R_X21Y104 ROW 47 TILEPROP INT_R_X21Y104 SLR_REGION_ID 0 TILEPROP INT_R_X21Y104 TILE_PATTERN_IDX 5299 TILEPROP INT_R_X21Y104 TILE_TYPE INT_R TILEPROP INT_R_X21Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y104 TILE_X -3120 TILEPROP INT_R_X21Y104 TILE_Y 95672 TILEPROP INT_R_X21Y104 TYPE INT_R TILEPROP INT_R_X21Y105 CLASS tile TILEPROP INT_R_X21Y105 COLUMN 54 TILEPROP INT_R_X21Y105 DEVICE_ID 0 TILEPROP INT_R_X21Y105 FIRST_SITE_ID 4576 TILEPROP INT_R_X21Y105 GRID_POINT_X 54 TILEPROP INT_R_X21Y105 GRID_POINT_Y 46 TILEPROP INT_R_X21Y105 INDEX 5344 TILEPROP INT_R_X21Y105 INT_TILE_X 21 TILEPROP INT_R_X21Y105 INT_TILE_Y 44 TILEPROP INT_R_X21Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y105 IS_DCM_TILE 0 TILEPROP INT_R_X21Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y105 NAME INT_R_X21Y105 TILEPROP INT_R_X21Y105 NUM_ARCS 3737 TILEPROP INT_R_X21Y105 NUM_SITES 1 TILEPROP INT_R_X21Y105 ROW 46 TILEPROP INT_R_X21Y105 SLR_REGION_ID 0 TILEPROP INT_R_X21Y105 TILE_PATTERN_IDX 5263 TILEPROP INT_R_X21Y105 TILE_TYPE INT_R TILEPROP INT_R_X21Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y105 TILE_X -3120 TILEPROP INT_R_X21Y105 TILE_Y 98872 TILEPROP INT_R_X21Y105 TYPE INT_R TILEPROP INT_R_X21Y106 CLASS tile TILEPROP INT_R_X21Y106 COLUMN 54 TILEPROP INT_R_X21Y106 DEVICE_ID 0 TILEPROP INT_R_X21Y106 FIRST_SITE_ID 4474 TILEPROP INT_R_X21Y106 GRID_POINT_X 54 TILEPROP INT_R_X21Y106 GRID_POINT_Y 45 TILEPROP INT_R_X21Y106 INDEX 5229 TILEPROP INT_R_X21Y106 INT_TILE_X 21 TILEPROP INT_R_X21Y106 INT_TILE_Y 43 TILEPROP INT_R_X21Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y106 IS_DCM_TILE 0 TILEPROP INT_R_X21Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y106 NAME INT_R_X21Y106 TILEPROP INT_R_X21Y106 NUM_ARCS 3737 TILEPROP INT_R_X21Y106 NUM_SITES 1 TILEPROP INT_R_X21Y106 ROW 45 TILEPROP INT_R_X21Y106 SLR_REGION_ID 0 TILEPROP INT_R_X21Y106 TILE_PATTERN_IDX 5226 TILEPROP INT_R_X21Y106 TILE_TYPE INT_R TILEPROP INT_R_X21Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y106 TILE_X -3120 TILEPROP INT_R_X21Y106 TILE_Y 102072 TILEPROP INT_R_X21Y106 TYPE INT_R TILEPROP INT_R_X21Y107 CLASS tile TILEPROP INT_R_X21Y107 COLUMN 54 TILEPROP INT_R_X21Y107 DEVICE_ID 0 TILEPROP INT_R_X21Y107 FIRST_SITE_ID 4386 TILEPROP INT_R_X21Y107 GRID_POINT_X 54 TILEPROP INT_R_X21Y107 GRID_POINT_Y 44 TILEPROP INT_R_X21Y107 INDEX 5114 TILEPROP INT_R_X21Y107 INT_TILE_X 21 TILEPROP INT_R_X21Y107 INT_TILE_Y 42 TILEPROP INT_R_X21Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y107 IS_DCM_TILE 0 TILEPROP INT_R_X21Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y107 NAME INT_R_X21Y107 TILEPROP INT_R_X21Y107 NUM_ARCS 3737 TILEPROP INT_R_X21Y107 NUM_SITES 1 TILEPROP INT_R_X21Y107 ROW 44 TILEPROP INT_R_X21Y107 SLR_REGION_ID 0 TILEPROP INT_R_X21Y107 TILE_PATTERN_IDX 5190 TILEPROP INT_R_X21Y107 TILE_TYPE INT_R TILEPROP INT_R_X21Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y107 TILE_X -3120 TILEPROP INT_R_X21Y107 TILE_Y 105272 TILEPROP INT_R_X21Y107 TYPE INT_R TILEPROP INT_R_X21Y108 CLASS tile TILEPROP INT_R_X21Y108 COLUMN 54 TILEPROP INT_R_X21Y108 DEVICE_ID 0 TILEPROP INT_R_X21Y108 FIRST_SITE_ID 4288 TILEPROP INT_R_X21Y108 GRID_POINT_X 54 TILEPROP INT_R_X21Y108 GRID_POINT_Y 43 TILEPROP INT_R_X21Y108 INDEX 4999 TILEPROP INT_R_X21Y108 INT_TILE_X 21 TILEPROP INT_R_X21Y108 INT_TILE_Y 41 TILEPROP INT_R_X21Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y108 IS_DCM_TILE 0 TILEPROP INT_R_X21Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y108 NAME INT_R_X21Y108 TILEPROP INT_R_X21Y108 NUM_ARCS 3737 TILEPROP INT_R_X21Y108 NUM_SITES 1 TILEPROP INT_R_X21Y108 ROW 43 TILEPROP INT_R_X21Y108 SLR_REGION_ID 0 TILEPROP INT_R_X21Y108 TILE_PATTERN_IDX 5153 TILEPROP INT_R_X21Y108 TILE_TYPE INT_R TILEPROP INT_R_X21Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y108 TILE_X -3120 TILEPROP INT_R_X21Y108 TILE_Y 108472 TILEPROP INT_R_X21Y108 TYPE INT_R TILEPROP INT_R_X21Y109 CLASS tile TILEPROP INT_R_X21Y109 COLUMN 54 TILEPROP INT_R_X21Y109 DEVICE_ID 0 TILEPROP INT_R_X21Y109 FIRST_SITE_ID 4199 TILEPROP INT_R_X21Y109 GRID_POINT_X 54 TILEPROP INT_R_X21Y109 GRID_POINT_Y 42 TILEPROP INT_R_X21Y109 INDEX 4884 TILEPROP INT_R_X21Y109 INT_TILE_X 21 TILEPROP INT_R_X21Y109 INT_TILE_Y 40 TILEPROP INT_R_X21Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y109 IS_DCM_TILE 0 TILEPROP INT_R_X21Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y109 NAME INT_R_X21Y109 TILEPROP INT_R_X21Y109 NUM_ARCS 3737 TILEPROP INT_R_X21Y109 NUM_SITES 1 TILEPROP INT_R_X21Y109 ROW 42 TILEPROP INT_R_X21Y109 SLR_REGION_ID 0 TILEPROP INT_R_X21Y109 TILE_PATTERN_IDX 5117 TILEPROP INT_R_X21Y109 TILE_TYPE INT_R TILEPROP INT_R_X21Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y109 TILE_X -3120 TILEPROP INT_R_X21Y109 TILE_Y 111672 TILEPROP INT_R_X21Y109 TYPE INT_R TILEPROP INT_R_X21Y110 CLASS tile TILEPROP INT_R_X21Y110 COLUMN 54 TILEPROP INT_R_X21Y110 DEVICE_ID 0 TILEPROP INT_R_X21Y110 FIRST_SITE_ID 4099 TILEPROP INT_R_X21Y110 GRID_POINT_X 54 TILEPROP INT_R_X21Y110 GRID_POINT_Y 41 TILEPROP INT_R_X21Y110 INDEX 4769 TILEPROP INT_R_X21Y110 INT_TILE_X 21 TILEPROP INT_R_X21Y110 INT_TILE_Y 39 TILEPROP INT_R_X21Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y110 IS_DCM_TILE 0 TILEPROP INT_R_X21Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y110 NAME INT_R_X21Y110 TILEPROP INT_R_X21Y110 NUM_ARCS 3737 TILEPROP INT_R_X21Y110 NUM_SITES 1 TILEPROP INT_R_X21Y110 ROW 41 TILEPROP INT_R_X21Y110 SLR_REGION_ID 0 TILEPROP INT_R_X21Y110 TILE_PATTERN_IDX 5080 TILEPROP INT_R_X21Y110 TILE_TYPE INT_R TILEPROP INT_R_X21Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y110 TILE_X -3120 TILEPROP INT_R_X21Y110 TILE_Y 114872 TILEPROP INT_R_X21Y110 TYPE INT_R TILEPROP INT_R_X21Y111 CLASS tile TILEPROP INT_R_X21Y111 COLUMN 54 TILEPROP INT_R_X21Y111 DEVICE_ID 0 TILEPROP INT_R_X21Y111 FIRST_SITE_ID 4005 TILEPROP INT_R_X21Y111 GRID_POINT_X 54 TILEPROP INT_R_X21Y111 GRID_POINT_Y 40 TILEPROP INT_R_X21Y111 INDEX 4654 TILEPROP INT_R_X21Y111 INT_TILE_X 21 TILEPROP INT_R_X21Y111 INT_TILE_Y 38 TILEPROP INT_R_X21Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y111 IS_DCM_TILE 0 TILEPROP INT_R_X21Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y111 NAME INT_R_X21Y111 TILEPROP INT_R_X21Y111 NUM_ARCS 3737 TILEPROP INT_R_X21Y111 NUM_SITES 1 TILEPROP INT_R_X21Y111 ROW 40 TILEPROP INT_R_X21Y111 SLR_REGION_ID 0 TILEPROP INT_R_X21Y111 TILE_PATTERN_IDX 5044 TILEPROP INT_R_X21Y111 TILE_TYPE INT_R TILEPROP INT_R_X21Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y111 TILE_X -3120 TILEPROP INT_R_X21Y111 TILE_Y 118072 TILEPROP INT_R_X21Y111 TYPE INT_R TILEPROP INT_R_X21Y112 CLASS tile TILEPROP INT_R_X21Y112 COLUMN 54 TILEPROP INT_R_X21Y112 DEVICE_ID 0 TILEPROP INT_R_X21Y112 FIRST_SITE_ID 3877 TILEPROP INT_R_X21Y112 GRID_POINT_X 54 TILEPROP INT_R_X21Y112 GRID_POINT_Y 39 TILEPROP INT_R_X21Y112 INDEX 4539 TILEPROP INT_R_X21Y112 INT_TILE_X 21 TILEPROP INT_R_X21Y112 INT_TILE_Y 37 TILEPROP INT_R_X21Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y112 IS_DCM_TILE 0 TILEPROP INT_R_X21Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y112 NAME INT_R_X21Y112 TILEPROP INT_R_X21Y112 NUM_ARCS 3737 TILEPROP INT_R_X21Y112 NUM_SITES 1 TILEPROP INT_R_X21Y112 ROW 39 TILEPROP INT_R_X21Y112 SLR_REGION_ID 0 TILEPROP INT_R_X21Y112 TILE_PATTERN_IDX 5006 TILEPROP INT_R_X21Y112 TILE_TYPE INT_R TILEPROP INT_R_X21Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y112 TILE_X -3120 TILEPROP INT_R_X21Y112 TILE_Y 121272 TILEPROP INT_R_X21Y112 TYPE INT_R TILEPROP INT_R_X21Y113 CLASS tile TILEPROP INT_R_X21Y113 COLUMN 54 TILEPROP INT_R_X21Y113 DEVICE_ID 0 TILEPROP INT_R_X21Y113 FIRST_SITE_ID 3789 TILEPROP INT_R_X21Y113 GRID_POINT_X 54 TILEPROP INT_R_X21Y113 GRID_POINT_Y 38 TILEPROP INT_R_X21Y113 INDEX 4424 TILEPROP INT_R_X21Y113 INT_TILE_X 21 TILEPROP INT_R_X21Y113 INT_TILE_Y 36 TILEPROP INT_R_X21Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y113 IS_DCM_TILE 0 TILEPROP INT_R_X21Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y113 NAME INT_R_X21Y113 TILEPROP INT_R_X21Y113 NUM_ARCS 3737 TILEPROP INT_R_X21Y113 NUM_SITES 1 TILEPROP INT_R_X21Y113 ROW 38 TILEPROP INT_R_X21Y113 SLR_REGION_ID 0 TILEPROP INT_R_X21Y113 TILE_PATTERN_IDX 4970 TILEPROP INT_R_X21Y113 TILE_TYPE INT_R TILEPROP INT_R_X21Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y113 TILE_X -3120 TILEPROP INT_R_X21Y113 TILE_Y 124472 TILEPROP INT_R_X21Y113 TYPE INT_R TILEPROP INT_R_X21Y114 CLASS tile TILEPROP INT_R_X21Y114 COLUMN 54 TILEPROP INT_R_X21Y114 DEVICE_ID 0 TILEPROP INT_R_X21Y114 FIRST_SITE_ID 3693 TILEPROP INT_R_X21Y114 GRID_POINT_X 54 TILEPROP INT_R_X21Y114 GRID_POINT_Y 37 TILEPROP INT_R_X21Y114 INDEX 4309 TILEPROP INT_R_X21Y114 INT_TILE_X 21 TILEPROP INT_R_X21Y114 INT_TILE_Y 35 TILEPROP INT_R_X21Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y114 IS_DCM_TILE 0 TILEPROP INT_R_X21Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y114 NAME INT_R_X21Y114 TILEPROP INT_R_X21Y114 NUM_ARCS 3737 TILEPROP INT_R_X21Y114 NUM_SITES 1 TILEPROP INT_R_X21Y114 ROW 37 TILEPROP INT_R_X21Y114 SLR_REGION_ID 0 TILEPROP INT_R_X21Y114 TILE_PATTERN_IDX 4933 TILEPROP INT_R_X21Y114 TILE_TYPE INT_R TILEPROP INT_R_X21Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y114 TILE_X -3120 TILEPROP INT_R_X21Y114 TILE_Y 127672 TILEPROP INT_R_X21Y114 TYPE INT_R TILEPROP INT_R_X21Y115 CLASS tile TILEPROP INT_R_X21Y115 COLUMN 54 TILEPROP INT_R_X21Y115 DEVICE_ID 0 TILEPROP INT_R_X21Y115 FIRST_SITE_ID 3602 TILEPROP INT_R_X21Y115 GRID_POINT_X 54 TILEPROP INT_R_X21Y115 GRID_POINT_Y 36 TILEPROP INT_R_X21Y115 INDEX 4194 TILEPROP INT_R_X21Y115 INT_TILE_X 21 TILEPROP INT_R_X21Y115 INT_TILE_Y 34 TILEPROP INT_R_X21Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y115 IS_DCM_TILE 0 TILEPROP INT_R_X21Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y115 NAME INT_R_X21Y115 TILEPROP INT_R_X21Y115 NUM_ARCS 3737 TILEPROP INT_R_X21Y115 NUM_SITES 1 TILEPROP INT_R_X21Y115 ROW 36 TILEPROP INT_R_X21Y115 SLR_REGION_ID 0 TILEPROP INT_R_X21Y115 TILE_PATTERN_IDX 4897 TILEPROP INT_R_X21Y115 TILE_TYPE INT_R TILEPROP INT_R_X21Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y115 TILE_X -3120 TILEPROP INT_R_X21Y115 TILE_Y 130872 TILEPROP INT_R_X21Y115 TYPE INT_R TILEPROP INT_R_X21Y116 CLASS tile TILEPROP INT_R_X21Y116 COLUMN 54 TILEPROP INT_R_X21Y116 DEVICE_ID 0 TILEPROP INT_R_X21Y116 FIRST_SITE_ID 3495 TILEPROP INT_R_X21Y116 GRID_POINT_X 54 TILEPROP INT_R_X21Y116 GRID_POINT_Y 35 TILEPROP INT_R_X21Y116 INDEX 4079 TILEPROP INT_R_X21Y116 INT_TILE_X 21 TILEPROP INT_R_X21Y116 INT_TILE_Y 33 TILEPROP INT_R_X21Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y116 IS_DCM_TILE 0 TILEPROP INT_R_X21Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y116 NAME INT_R_X21Y116 TILEPROP INT_R_X21Y116 NUM_ARCS 3737 TILEPROP INT_R_X21Y116 NUM_SITES 1 TILEPROP INT_R_X21Y116 ROW 35 TILEPROP INT_R_X21Y116 SLR_REGION_ID 0 TILEPROP INT_R_X21Y116 TILE_PATTERN_IDX 4860 TILEPROP INT_R_X21Y116 TILE_TYPE INT_R TILEPROP INT_R_X21Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y116 TILE_X -3120 TILEPROP INT_R_X21Y116 TILE_Y 134072 TILEPROP INT_R_X21Y116 TYPE INT_R TILEPROP INT_R_X21Y117 CLASS tile TILEPROP INT_R_X21Y117 COLUMN 54 TILEPROP INT_R_X21Y117 DEVICE_ID 0 TILEPROP INT_R_X21Y117 FIRST_SITE_ID 3407 TILEPROP INT_R_X21Y117 GRID_POINT_X 54 TILEPROP INT_R_X21Y117 GRID_POINT_Y 34 TILEPROP INT_R_X21Y117 INDEX 3964 TILEPROP INT_R_X21Y117 INT_TILE_X 21 TILEPROP INT_R_X21Y117 INT_TILE_Y 32 TILEPROP INT_R_X21Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y117 IS_DCM_TILE 0 TILEPROP INT_R_X21Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y117 NAME INT_R_X21Y117 TILEPROP INT_R_X21Y117 NUM_ARCS 3737 TILEPROP INT_R_X21Y117 NUM_SITES 1 TILEPROP INT_R_X21Y117 ROW 34 TILEPROP INT_R_X21Y117 SLR_REGION_ID 0 TILEPROP INT_R_X21Y117 TILE_PATTERN_IDX 4824 TILEPROP INT_R_X21Y117 TILE_TYPE INT_R TILEPROP INT_R_X21Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y117 TILE_X -3120 TILEPROP INT_R_X21Y117 TILE_Y 137272 TILEPROP INT_R_X21Y117 TYPE INT_R TILEPROP INT_R_X21Y118 CLASS tile TILEPROP INT_R_X21Y118 COLUMN 54 TILEPROP INT_R_X21Y118 DEVICE_ID 0 TILEPROP INT_R_X21Y118 FIRST_SITE_ID 3307 TILEPROP INT_R_X21Y118 GRID_POINT_X 54 TILEPROP INT_R_X21Y118 GRID_POINT_Y 33 TILEPROP INT_R_X21Y118 INDEX 3849 TILEPROP INT_R_X21Y118 INT_TILE_X 21 TILEPROP INT_R_X21Y118 INT_TILE_Y 31 TILEPROP INT_R_X21Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y118 IS_DCM_TILE 0 TILEPROP INT_R_X21Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y118 NAME INT_R_X21Y118 TILEPROP INT_R_X21Y118 NUM_ARCS 3737 TILEPROP INT_R_X21Y118 NUM_SITES 1 TILEPROP INT_R_X21Y118 ROW 33 TILEPROP INT_R_X21Y118 SLR_REGION_ID 0 TILEPROP INT_R_X21Y118 TILE_PATTERN_IDX 4786 TILEPROP INT_R_X21Y118 TILE_TYPE INT_R TILEPROP INT_R_X21Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y118 TILE_X -3120 TILEPROP INT_R_X21Y118 TILE_Y 140472 TILEPROP INT_R_X21Y118 TYPE INT_R TILEPROP INT_R_X21Y119 CLASS tile TILEPROP INT_R_X21Y119 COLUMN 54 TILEPROP INT_R_X21Y119 DEVICE_ID 0 TILEPROP INT_R_X21Y119 FIRST_SITE_ID 3219 TILEPROP INT_R_X21Y119 GRID_POINT_X 54 TILEPROP INT_R_X21Y119 GRID_POINT_Y 32 TILEPROP INT_R_X21Y119 INDEX 3734 TILEPROP INT_R_X21Y119 INT_TILE_X 21 TILEPROP INT_R_X21Y119 INT_TILE_Y 30 TILEPROP INT_R_X21Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y119 IS_DCM_TILE 0 TILEPROP INT_R_X21Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y119 NAME INT_R_X21Y119 TILEPROP INT_R_X21Y119 NUM_ARCS 3737 TILEPROP INT_R_X21Y119 NUM_SITES 1 TILEPROP INT_R_X21Y119 ROW 32 TILEPROP INT_R_X21Y119 SLR_REGION_ID 0 TILEPROP INT_R_X21Y119 TILE_PATTERN_IDX 4750 TILEPROP INT_R_X21Y119 TILE_TYPE INT_R TILEPROP INT_R_X21Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y119 TILE_X -3120 TILEPROP INT_R_X21Y119 TILE_Y 143672 TILEPROP INT_R_X21Y119 TYPE INT_R TILEPROP INT_R_X21Y120 CLASS tile TILEPROP INT_R_X21Y120 COLUMN 54 TILEPROP INT_R_X21Y120 DEVICE_ID 0 TILEPROP INT_R_X21Y120 FIRST_SITE_ID 3118 TILEPROP INT_R_X21Y120 GRID_POINT_X 54 TILEPROP INT_R_X21Y120 GRID_POINT_Y 31 TILEPROP INT_R_X21Y120 INDEX 3619 TILEPROP INT_R_X21Y120 INT_TILE_X 21 TILEPROP INT_R_X21Y120 INT_TILE_Y 29 TILEPROP INT_R_X21Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y120 IS_DCM_TILE 0 TILEPROP INT_R_X21Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y120 NAME INT_R_X21Y120 TILEPROP INT_R_X21Y120 NUM_ARCS 3737 TILEPROP INT_R_X21Y120 NUM_SITES 1 TILEPROP INT_R_X21Y120 ROW 31 TILEPROP INT_R_X21Y120 SLR_REGION_ID 0 TILEPROP INT_R_X21Y120 TILE_PATTERN_IDX 4713 TILEPROP INT_R_X21Y120 TILE_TYPE INT_R TILEPROP INT_R_X21Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y120 TILE_X -3120 TILEPROP INT_R_X21Y120 TILE_Y 146872 TILEPROP INT_R_X21Y120 TYPE INT_R TILEPROP INT_R_X21Y121 CLASS tile TILEPROP INT_R_X21Y121 COLUMN 54 TILEPROP INT_R_X21Y121 DEVICE_ID 0 TILEPROP INT_R_X21Y121 FIRST_SITE_ID 3024 TILEPROP INT_R_X21Y121 GRID_POINT_X 54 TILEPROP INT_R_X21Y121 GRID_POINT_Y 30 TILEPROP INT_R_X21Y121 INDEX 3504 TILEPROP INT_R_X21Y121 INT_TILE_X 21 TILEPROP INT_R_X21Y121 INT_TILE_Y 28 TILEPROP INT_R_X21Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y121 IS_DCM_TILE 0 TILEPROP INT_R_X21Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y121 NAME INT_R_X21Y121 TILEPROP INT_R_X21Y121 NUM_ARCS 3737 TILEPROP INT_R_X21Y121 NUM_SITES 1 TILEPROP INT_R_X21Y121 ROW 30 TILEPROP INT_R_X21Y121 SLR_REGION_ID 0 TILEPROP INT_R_X21Y121 TILE_PATTERN_IDX 4677 TILEPROP INT_R_X21Y121 TILE_TYPE INT_R TILEPROP INT_R_X21Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y121 TILE_X -3120 TILEPROP INT_R_X21Y121 TILE_Y 150072 TILEPROP INT_R_X21Y121 TYPE INT_R TILEPROP INT_R_X21Y122 CLASS tile TILEPROP INT_R_X21Y122 COLUMN 54 TILEPROP INT_R_X21Y122 DEVICE_ID 0 TILEPROP INT_R_X21Y122 FIRST_SITE_ID 2921 TILEPROP INT_R_X21Y122 GRID_POINT_X 54 TILEPROP INT_R_X21Y122 GRID_POINT_Y 29 TILEPROP INT_R_X21Y122 INDEX 3389 TILEPROP INT_R_X21Y122 INT_TILE_X 21 TILEPROP INT_R_X21Y122 INT_TILE_Y 27 TILEPROP INT_R_X21Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y122 IS_DCM_TILE 0 TILEPROP INT_R_X21Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y122 NAME INT_R_X21Y122 TILEPROP INT_R_X21Y122 NUM_ARCS 3737 TILEPROP INT_R_X21Y122 NUM_SITES 1 TILEPROP INT_R_X21Y122 ROW 29 TILEPROP INT_R_X21Y122 SLR_REGION_ID 0 TILEPROP INT_R_X21Y122 TILE_PATTERN_IDX 4639 TILEPROP INT_R_X21Y122 TILE_TYPE INT_R TILEPROP INT_R_X21Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y122 TILE_X -3120 TILEPROP INT_R_X21Y122 TILE_Y 153272 TILEPROP INT_R_X21Y122 TYPE INT_R TILEPROP INT_R_X21Y123 CLASS tile TILEPROP INT_R_X21Y123 COLUMN 54 TILEPROP INT_R_X21Y123 DEVICE_ID 0 TILEPROP INT_R_X21Y123 FIRST_SITE_ID 2833 TILEPROP INT_R_X21Y123 GRID_POINT_X 54 TILEPROP INT_R_X21Y123 GRID_POINT_Y 28 TILEPROP INT_R_X21Y123 INDEX 3274 TILEPROP INT_R_X21Y123 INT_TILE_X 21 TILEPROP INT_R_X21Y123 INT_TILE_Y 26 TILEPROP INT_R_X21Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y123 IS_DCM_TILE 0 TILEPROP INT_R_X21Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y123 NAME INT_R_X21Y123 TILEPROP INT_R_X21Y123 NUM_ARCS 3737 TILEPROP INT_R_X21Y123 NUM_SITES 1 TILEPROP INT_R_X21Y123 ROW 28 TILEPROP INT_R_X21Y123 SLR_REGION_ID 0 TILEPROP INT_R_X21Y123 TILE_PATTERN_IDX 4603 TILEPROP INT_R_X21Y123 TILE_TYPE INT_R TILEPROP INT_R_X21Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y123 TILE_X -3120 TILEPROP INT_R_X21Y123 TILE_Y 156472 TILEPROP INT_R_X21Y123 TYPE INT_R TILEPROP INT_R_X21Y124 CLASS tile TILEPROP INT_R_X21Y124 COLUMN 54 TILEPROP INT_R_X21Y124 DEVICE_ID 0 TILEPROP INT_R_X21Y124 FIRST_SITE_ID 2737 TILEPROP INT_R_X21Y124 GRID_POINT_X 54 TILEPROP INT_R_X21Y124 GRID_POINT_Y 27 TILEPROP INT_R_X21Y124 INDEX 3159 TILEPROP INT_R_X21Y124 INT_TILE_X 21 TILEPROP INT_R_X21Y124 INT_TILE_Y 25 TILEPROP INT_R_X21Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y124 IS_DCM_TILE 0 TILEPROP INT_R_X21Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y124 NAME INT_R_X21Y124 TILEPROP INT_R_X21Y124 NUM_ARCS 3737 TILEPROP INT_R_X21Y124 NUM_SITES 1 TILEPROP INT_R_X21Y124 ROW 27 TILEPROP INT_R_X21Y124 SLR_REGION_ID 0 TILEPROP INT_R_X21Y124 TILE_PATTERN_IDX 4566 TILEPROP INT_R_X21Y124 TILE_TYPE INT_R TILEPROP INT_R_X21Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y124 TILE_X -3120 TILEPROP INT_R_X21Y124 TILE_Y 159672 TILEPROP INT_R_X21Y124 TYPE INT_R TILEPROP INT_R_X21Y125 CLASS tile TILEPROP INT_R_X21Y125 COLUMN 54 TILEPROP INT_R_X21Y125 DEVICE_ID 0 TILEPROP INT_R_X21Y125 FIRST_SITE_ID 2564 TILEPROP INT_R_X21Y125 GRID_POINT_X 54 TILEPROP INT_R_X21Y125 GRID_POINT_Y 25 TILEPROP INT_R_X21Y125 INDEX 2929 TILEPROP INT_R_X21Y125 INT_TILE_X 21 TILEPROP INT_R_X21Y125 INT_TILE_Y 24 TILEPROP INT_R_X21Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y125 IS_DCM_TILE 0 TILEPROP INT_R_X21Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y125 NAME INT_R_X21Y125 TILEPROP INT_R_X21Y125 NUM_ARCS 3737 TILEPROP INT_R_X21Y125 NUM_SITES 1 TILEPROP INT_R_X21Y125 ROW 25 TILEPROP INT_R_X21Y125 SLR_REGION_ID 0 TILEPROP INT_R_X21Y125 TILE_PATTERN_IDX 4487 TILEPROP INT_R_X21Y125 TILE_TYPE INT_R TILEPROP INT_R_X21Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y125 TILE_X -3120 TILEPROP INT_R_X21Y125 TILE_Y 163896 TILEPROP INT_R_X21Y125 TYPE INT_R TILEPROP INT_R_X21Y126 CLASS tile TILEPROP INT_R_X21Y126 COLUMN 54 TILEPROP INT_R_X21Y126 DEVICE_ID 0 TILEPROP INT_R_X21Y126 FIRST_SITE_ID 2454 TILEPROP INT_R_X21Y126 GRID_POINT_X 54 TILEPROP INT_R_X21Y126 GRID_POINT_Y 24 TILEPROP INT_R_X21Y126 INDEX 2814 TILEPROP INT_R_X21Y126 INT_TILE_X 21 TILEPROP INT_R_X21Y126 INT_TILE_Y 23 TILEPROP INT_R_X21Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y126 IS_DCM_TILE 0 TILEPROP INT_R_X21Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y126 NAME INT_R_X21Y126 TILEPROP INT_R_X21Y126 NUM_ARCS 3737 TILEPROP INT_R_X21Y126 NUM_SITES 1 TILEPROP INT_R_X21Y126 ROW 24 TILEPROP INT_R_X21Y126 SLR_REGION_ID 0 TILEPROP INT_R_X21Y126 TILE_PATTERN_IDX 4448 TILEPROP INT_R_X21Y126 TILE_TYPE INT_R TILEPROP INT_R_X21Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y126 TILE_X -3120 TILEPROP INT_R_X21Y126 TILE_Y 167096 TILEPROP INT_R_X21Y126 TYPE INT_R TILEPROP INT_R_X21Y127 CLASS tile TILEPROP INT_R_X21Y127 COLUMN 54 TILEPROP INT_R_X21Y127 DEVICE_ID 0 TILEPROP INT_R_X21Y127 FIRST_SITE_ID 2358 TILEPROP INT_R_X21Y127 GRID_POINT_X 54 TILEPROP INT_R_X21Y127 GRID_POINT_Y 23 TILEPROP INT_R_X21Y127 INDEX 2699 TILEPROP INT_R_X21Y127 INT_TILE_X 21 TILEPROP INT_R_X21Y127 INT_TILE_Y 22 TILEPROP INT_R_X21Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y127 IS_DCM_TILE 0 TILEPROP INT_R_X21Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y127 NAME INT_R_X21Y127 TILEPROP INT_R_X21Y127 NUM_ARCS 3737 TILEPROP INT_R_X21Y127 NUM_SITES 1 TILEPROP INT_R_X21Y127 ROW 23 TILEPROP INT_R_X21Y127 SLR_REGION_ID 0 TILEPROP INT_R_X21Y127 TILE_PATTERN_IDX 4410 TILEPROP INT_R_X21Y127 TILE_TYPE INT_R TILEPROP INT_R_X21Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y127 TILE_X -3120 TILEPROP INT_R_X21Y127 TILE_Y 170296 TILEPROP INT_R_X21Y127 TYPE INT_R TILEPROP INT_R_X21Y128 CLASS tile TILEPROP INT_R_X21Y128 COLUMN 54 TILEPROP INT_R_X21Y128 DEVICE_ID 0 TILEPROP INT_R_X21Y128 FIRST_SITE_ID 2254 TILEPROP INT_R_X21Y128 GRID_POINT_X 54 TILEPROP INT_R_X21Y128 GRID_POINT_Y 22 TILEPROP INT_R_X21Y128 INDEX 2584 TILEPROP INT_R_X21Y128 INT_TILE_X 21 TILEPROP INT_R_X21Y128 INT_TILE_Y 21 TILEPROP INT_R_X21Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y128 IS_DCM_TILE 0 TILEPROP INT_R_X21Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y128 NAME INT_R_X21Y128 TILEPROP INT_R_X21Y128 NUM_ARCS 3737 TILEPROP INT_R_X21Y128 NUM_SITES 1 TILEPROP INT_R_X21Y128 ROW 22 TILEPROP INT_R_X21Y128 SLR_REGION_ID 0 TILEPROP INT_R_X21Y128 TILE_PATTERN_IDX 4371 TILEPROP INT_R_X21Y128 TILE_TYPE INT_R TILEPROP INT_R_X21Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y128 TILE_X -3120 TILEPROP INT_R_X21Y128 TILE_Y 173496 TILEPROP INT_R_X21Y128 TYPE INT_R TILEPROP INT_R_X21Y129 CLASS tile TILEPROP INT_R_X21Y129 COLUMN 54 TILEPROP INT_R_X21Y129 DEVICE_ID 0 TILEPROP INT_R_X21Y129 FIRST_SITE_ID 2158 TILEPROP INT_R_X21Y129 GRID_POINT_X 54 TILEPROP INT_R_X21Y129 GRID_POINT_Y 21 TILEPROP INT_R_X21Y129 INDEX 2469 TILEPROP INT_R_X21Y129 INT_TILE_X 21 TILEPROP INT_R_X21Y129 INT_TILE_Y 20 TILEPROP INT_R_X21Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y129 IS_DCM_TILE 0 TILEPROP INT_R_X21Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y129 NAME INT_R_X21Y129 TILEPROP INT_R_X21Y129 NUM_ARCS 3737 TILEPROP INT_R_X21Y129 NUM_SITES 1 TILEPROP INT_R_X21Y129 ROW 21 TILEPROP INT_R_X21Y129 SLR_REGION_ID 0 TILEPROP INT_R_X21Y129 TILE_PATTERN_IDX 4333 TILEPROP INT_R_X21Y129 TILE_TYPE INT_R TILEPROP INT_R_X21Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y129 TILE_X -3120 TILEPROP INT_R_X21Y129 TILE_Y 176696 TILEPROP INT_R_X21Y129 TYPE INT_R TILEPROP INT_R_X21Y130 CLASS tile TILEPROP INT_R_X21Y130 COLUMN 54 TILEPROP INT_R_X21Y130 DEVICE_ID 0 TILEPROP INT_R_X21Y130 FIRST_SITE_ID 2042 TILEPROP INT_R_X21Y130 GRID_POINT_X 54 TILEPROP INT_R_X21Y130 GRID_POINT_Y 20 TILEPROP INT_R_X21Y130 INDEX 2354 TILEPROP INT_R_X21Y130 INT_TILE_X 21 TILEPROP INT_R_X21Y130 INT_TILE_Y 19 TILEPROP INT_R_X21Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y130 IS_DCM_TILE 0 TILEPROP INT_R_X21Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y130 NAME INT_R_X21Y130 TILEPROP INT_R_X21Y130 NUM_ARCS 3737 TILEPROP INT_R_X21Y130 NUM_SITES 1 TILEPROP INT_R_X21Y130 ROW 20 TILEPROP INT_R_X21Y130 SLR_REGION_ID 0 TILEPROP INT_R_X21Y130 TILE_PATTERN_IDX 4293 TILEPROP INT_R_X21Y130 TILE_TYPE INT_R TILEPROP INT_R_X21Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y130 TILE_X -3120 TILEPROP INT_R_X21Y130 TILE_Y 179896 TILEPROP INT_R_X21Y130 TYPE INT_R TILEPROP INT_R_X21Y131 CLASS tile TILEPROP INT_R_X21Y131 COLUMN 54 TILEPROP INT_R_X21Y131 DEVICE_ID 0 TILEPROP INT_R_X21Y131 FIRST_SITE_ID 1940 TILEPROP INT_R_X21Y131 GRID_POINT_X 54 TILEPROP INT_R_X21Y131 GRID_POINT_Y 19 TILEPROP INT_R_X21Y131 INDEX 2239 TILEPROP INT_R_X21Y131 INT_TILE_X 21 TILEPROP INT_R_X21Y131 INT_TILE_Y 18 TILEPROP INT_R_X21Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y131 IS_DCM_TILE 0 TILEPROP INT_R_X21Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y131 NAME INT_R_X21Y131 TILEPROP INT_R_X21Y131 NUM_ARCS 3737 TILEPROP INT_R_X21Y131 NUM_SITES 1 TILEPROP INT_R_X21Y131 ROW 19 TILEPROP INT_R_X21Y131 SLR_REGION_ID 0 TILEPROP INT_R_X21Y131 TILE_PATTERN_IDX 4255 TILEPROP INT_R_X21Y131 TILE_TYPE INT_R TILEPROP INT_R_X21Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y131 TILE_X -3120 TILEPROP INT_R_X21Y131 TILE_Y 183096 TILEPROP INT_R_X21Y131 TYPE INT_R TILEPROP INT_R_X21Y132 CLASS tile TILEPROP INT_R_X21Y132 COLUMN 54 TILEPROP INT_R_X21Y132 DEVICE_ID 0 TILEPROP INT_R_X21Y132 FIRST_SITE_ID 1834 TILEPROP INT_R_X21Y132 GRID_POINT_X 54 TILEPROP INT_R_X21Y132 GRID_POINT_Y 18 TILEPROP INT_R_X21Y132 INDEX 2124 TILEPROP INT_R_X21Y132 INT_TILE_X 21 TILEPROP INT_R_X21Y132 INT_TILE_Y 17 TILEPROP INT_R_X21Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y132 IS_DCM_TILE 0 TILEPROP INT_R_X21Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y132 NAME INT_R_X21Y132 TILEPROP INT_R_X21Y132 NUM_ARCS 3737 TILEPROP INT_R_X21Y132 NUM_SITES 1 TILEPROP INT_R_X21Y132 ROW 18 TILEPROP INT_R_X21Y132 SLR_REGION_ID 0 TILEPROP INT_R_X21Y132 TILE_PATTERN_IDX 4216 TILEPROP INT_R_X21Y132 TILE_TYPE INT_R TILEPROP INT_R_X21Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y132 TILE_X -3120 TILEPROP INT_R_X21Y132 TILE_Y 186296 TILEPROP INT_R_X21Y132 TYPE INT_R TILEPROP INT_R_X21Y133 CLASS tile TILEPROP INT_R_X21Y133 COLUMN 54 TILEPROP INT_R_X21Y133 DEVICE_ID 0 TILEPROP INT_R_X21Y133 FIRST_SITE_ID 1733 TILEPROP INT_R_X21Y133 GRID_POINT_X 54 TILEPROP INT_R_X21Y133 GRID_POINT_Y 17 TILEPROP INT_R_X21Y133 INDEX 2009 TILEPROP INT_R_X21Y133 INT_TILE_X 21 TILEPROP INT_R_X21Y133 INT_TILE_Y 16 TILEPROP INT_R_X21Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y133 IS_DCM_TILE 0 TILEPROP INT_R_X21Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y133 NAME INT_R_X21Y133 TILEPROP INT_R_X21Y133 NUM_ARCS 3737 TILEPROP INT_R_X21Y133 NUM_SITES 1 TILEPROP INT_R_X21Y133 ROW 17 TILEPROP INT_R_X21Y133 SLR_REGION_ID 0 TILEPROP INT_R_X21Y133 TILE_PATTERN_IDX 4178 TILEPROP INT_R_X21Y133 TILE_TYPE INT_R TILEPROP INT_R_X21Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y133 TILE_X -3120 TILEPROP INT_R_X21Y133 TILE_Y 189496 TILEPROP INT_R_X21Y133 TYPE INT_R TILEPROP INT_R_X21Y134 CLASS tile TILEPROP INT_R_X21Y134 COLUMN 54 TILEPROP INT_R_X21Y134 DEVICE_ID 0 TILEPROP INT_R_X21Y134 FIRST_SITE_ID 1629 TILEPROP INT_R_X21Y134 GRID_POINT_X 54 TILEPROP INT_R_X21Y134 GRID_POINT_Y 16 TILEPROP INT_R_X21Y134 INDEX 1894 TILEPROP INT_R_X21Y134 INT_TILE_X 21 TILEPROP INT_R_X21Y134 INT_TILE_Y 15 TILEPROP INT_R_X21Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y134 IS_DCM_TILE 0 TILEPROP INT_R_X21Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y134 NAME INT_R_X21Y134 TILEPROP INT_R_X21Y134 NUM_ARCS 3737 TILEPROP INT_R_X21Y134 NUM_SITES 1 TILEPROP INT_R_X21Y134 ROW 16 TILEPROP INT_R_X21Y134 SLR_REGION_ID 0 TILEPROP INT_R_X21Y134 TILE_PATTERN_IDX 4139 TILEPROP INT_R_X21Y134 TILE_TYPE INT_R TILEPROP INT_R_X21Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y134 TILE_X -3120 TILEPROP INT_R_X21Y134 TILE_Y 192696 TILEPROP INT_R_X21Y134 TYPE INT_R TILEPROP INT_R_X21Y135 CLASS tile TILEPROP INT_R_X21Y135 COLUMN 54 TILEPROP INT_R_X21Y135 DEVICE_ID 0 TILEPROP INT_R_X21Y135 FIRST_SITE_ID 1527 TILEPROP INT_R_X21Y135 GRID_POINT_X 54 TILEPROP INT_R_X21Y135 GRID_POINT_Y 15 TILEPROP INT_R_X21Y135 INDEX 1779 TILEPROP INT_R_X21Y135 INT_TILE_X 21 TILEPROP INT_R_X21Y135 INT_TILE_Y 14 TILEPROP INT_R_X21Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y135 IS_DCM_TILE 0 TILEPROP INT_R_X21Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y135 NAME INT_R_X21Y135 TILEPROP INT_R_X21Y135 NUM_ARCS 3737 TILEPROP INT_R_X21Y135 NUM_SITES 1 TILEPROP INT_R_X21Y135 ROW 15 TILEPROP INT_R_X21Y135 SLR_REGION_ID 0 TILEPROP INT_R_X21Y135 TILE_PATTERN_IDX 4101 TILEPROP INT_R_X21Y135 TILE_TYPE INT_R TILEPROP INT_R_X21Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y135 TILE_X -3120 TILEPROP INT_R_X21Y135 TILE_Y 195896 TILEPROP INT_R_X21Y135 TYPE INT_R TILEPROP INT_R_X21Y136 CLASS tile TILEPROP INT_R_X21Y136 COLUMN 54 TILEPROP INT_R_X21Y136 DEVICE_ID 0 TILEPROP INT_R_X21Y136 FIRST_SITE_ID 1385 TILEPROP INT_R_X21Y136 GRID_POINT_X 54 TILEPROP INT_R_X21Y136 GRID_POINT_Y 14 TILEPROP INT_R_X21Y136 INDEX 1664 TILEPROP INT_R_X21Y136 INT_TILE_X 21 TILEPROP INT_R_X21Y136 INT_TILE_Y 13 TILEPROP INT_R_X21Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y136 IS_DCM_TILE 0 TILEPROP INT_R_X21Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y136 NAME INT_R_X21Y136 TILEPROP INT_R_X21Y136 NUM_ARCS 3737 TILEPROP INT_R_X21Y136 NUM_SITES 1 TILEPROP INT_R_X21Y136 ROW 14 TILEPROP INT_R_X21Y136 SLR_REGION_ID 0 TILEPROP INT_R_X21Y136 TILE_PATTERN_IDX 4062 TILEPROP INT_R_X21Y136 TILE_TYPE INT_R TILEPROP INT_R_X21Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y136 TILE_X -3120 TILEPROP INT_R_X21Y136 TILE_Y 199096 TILEPROP INT_R_X21Y136 TYPE INT_R TILEPROP INT_R_X21Y137 CLASS tile TILEPROP INT_R_X21Y137 COLUMN 54 TILEPROP INT_R_X21Y137 DEVICE_ID 0 TILEPROP INT_R_X21Y137 FIRST_SITE_ID 1289 TILEPROP INT_R_X21Y137 GRID_POINT_X 54 TILEPROP INT_R_X21Y137 GRID_POINT_Y 13 TILEPROP INT_R_X21Y137 INDEX 1549 TILEPROP INT_R_X21Y137 INT_TILE_X 21 TILEPROP INT_R_X21Y137 INT_TILE_Y 12 TILEPROP INT_R_X21Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y137 IS_DCM_TILE 0 TILEPROP INT_R_X21Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y137 NAME INT_R_X21Y137 TILEPROP INT_R_X21Y137 NUM_ARCS 3737 TILEPROP INT_R_X21Y137 NUM_SITES 1 TILEPROP INT_R_X21Y137 ROW 13 TILEPROP INT_R_X21Y137 SLR_REGION_ID 0 TILEPROP INT_R_X21Y137 TILE_PATTERN_IDX 4024 TILEPROP INT_R_X21Y137 TILE_TYPE INT_R TILEPROP INT_R_X21Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y137 TILE_X -3120 TILEPROP INT_R_X21Y137 TILE_Y 202296 TILEPROP INT_R_X21Y137 TYPE INT_R TILEPROP INT_R_X21Y138 CLASS tile TILEPROP INT_R_X21Y138 COLUMN 54 TILEPROP INT_R_X21Y138 DEVICE_ID 0 TILEPROP INT_R_X21Y138 FIRST_SITE_ID 1185 TILEPROP INT_R_X21Y138 GRID_POINT_X 54 TILEPROP INT_R_X21Y138 GRID_POINT_Y 12 TILEPROP INT_R_X21Y138 INDEX 1434 TILEPROP INT_R_X21Y138 INT_TILE_X 21 TILEPROP INT_R_X21Y138 INT_TILE_Y 11 TILEPROP INT_R_X21Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y138 IS_DCM_TILE 0 TILEPROP INT_R_X21Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y138 NAME INT_R_X21Y138 TILEPROP INT_R_X21Y138 NUM_ARCS 3737 TILEPROP INT_R_X21Y138 NUM_SITES 1 TILEPROP INT_R_X21Y138 ROW 12 TILEPROP INT_R_X21Y138 SLR_REGION_ID 0 TILEPROP INT_R_X21Y138 TILE_PATTERN_IDX 3985 TILEPROP INT_R_X21Y138 TILE_TYPE INT_R TILEPROP INT_R_X21Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y138 TILE_X -3120 TILEPROP INT_R_X21Y138 TILE_Y 205496 TILEPROP INT_R_X21Y138 TYPE INT_R TILEPROP INT_R_X21Y139 CLASS tile TILEPROP INT_R_X21Y139 COLUMN 54 TILEPROP INT_R_X21Y139 DEVICE_ID 0 TILEPROP INT_R_X21Y139 FIRST_SITE_ID 1089 TILEPROP INT_R_X21Y139 GRID_POINT_X 54 TILEPROP INT_R_X21Y139 GRID_POINT_Y 11 TILEPROP INT_R_X21Y139 INDEX 1319 TILEPROP INT_R_X21Y139 INT_TILE_X 21 TILEPROP INT_R_X21Y139 INT_TILE_Y 10 TILEPROP INT_R_X21Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y139 IS_DCM_TILE 0 TILEPROP INT_R_X21Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y139 NAME INT_R_X21Y139 TILEPROP INT_R_X21Y139 NUM_ARCS 3737 TILEPROP INT_R_X21Y139 NUM_SITES 1 TILEPROP INT_R_X21Y139 ROW 11 TILEPROP INT_R_X21Y139 SLR_REGION_ID 0 TILEPROP INT_R_X21Y139 TILE_PATTERN_IDX 3947 TILEPROP INT_R_X21Y139 TILE_TYPE INT_R TILEPROP INT_R_X21Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y139 TILE_X -3120 TILEPROP INT_R_X21Y139 TILE_Y 208696 TILEPROP INT_R_X21Y139 TYPE INT_R TILEPROP INT_R_X21Y140 CLASS tile TILEPROP INT_R_X21Y140 COLUMN 54 TILEPROP INT_R_X21Y140 DEVICE_ID 0 TILEPROP INT_R_X21Y140 FIRST_SITE_ID 979 TILEPROP INT_R_X21Y140 GRID_POINT_X 54 TILEPROP INT_R_X21Y140 GRID_POINT_Y 10 TILEPROP INT_R_X21Y140 INDEX 1204 TILEPROP INT_R_X21Y140 INT_TILE_X 21 TILEPROP INT_R_X21Y140 INT_TILE_Y 9 TILEPROP INT_R_X21Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y140 IS_DCM_TILE 0 TILEPROP INT_R_X21Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y140 NAME INT_R_X21Y140 TILEPROP INT_R_X21Y140 NUM_ARCS 3737 TILEPROP INT_R_X21Y140 NUM_SITES 1 TILEPROP INT_R_X21Y140 ROW 10 TILEPROP INT_R_X21Y140 SLR_REGION_ID 0 TILEPROP INT_R_X21Y140 TILE_PATTERN_IDX 3908 TILEPROP INT_R_X21Y140 TILE_TYPE INT_R TILEPROP INT_R_X21Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y140 TILE_X -3120 TILEPROP INT_R_X21Y140 TILE_Y 211896 TILEPROP INT_R_X21Y140 TYPE INT_R TILEPROP INT_R_X21Y141 CLASS tile TILEPROP INT_R_X21Y141 COLUMN 54 TILEPROP INT_R_X21Y141 DEVICE_ID 0 TILEPROP INT_R_X21Y141 FIRST_SITE_ID 877 TILEPROP INT_R_X21Y141 GRID_POINT_X 54 TILEPROP INT_R_X21Y141 GRID_POINT_Y 9 TILEPROP INT_R_X21Y141 INDEX 1089 TILEPROP INT_R_X21Y141 INT_TILE_X 21 TILEPROP INT_R_X21Y141 INT_TILE_Y 8 TILEPROP INT_R_X21Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y141 IS_DCM_TILE 0 TILEPROP INT_R_X21Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y141 NAME INT_R_X21Y141 TILEPROP INT_R_X21Y141 NUM_ARCS 3737 TILEPROP INT_R_X21Y141 NUM_SITES 1 TILEPROP INT_R_X21Y141 ROW 9 TILEPROP INT_R_X21Y141 SLR_REGION_ID 0 TILEPROP INT_R_X21Y141 TILE_PATTERN_IDX 3870 TILEPROP INT_R_X21Y141 TILE_TYPE INT_R TILEPROP INT_R_X21Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y141 TILE_X -3120 TILEPROP INT_R_X21Y141 TILE_Y 215096 TILEPROP INT_R_X21Y141 TYPE INT_R TILEPROP INT_R_X21Y142 CLASS tile TILEPROP INT_R_X21Y142 COLUMN 54 TILEPROP INT_R_X21Y142 DEVICE_ID 0 TILEPROP INT_R_X21Y142 FIRST_SITE_ID 773 TILEPROP INT_R_X21Y142 GRID_POINT_X 54 TILEPROP INT_R_X21Y142 GRID_POINT_Y 8 TILEPROP INT_R_X21Y142 INDEX 974 TILEPROP INT_R_X21Y142 INT_TILE_X 21 TILEPROP INT_R_X21Y142 INT_TILE_Y 7 TILEPROP INT_R_X21Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y142 IS_DCM_TILE 0 TILEPROP INT_R_X21Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y142 NAME INT_R_X21Y142 TILEPROP INT_R_X21Y142 NUM_ARCS 3737 TILEPROP INT_R_X21Y142 NUM_SITES 1 TILEPROP INT_R_X21Y142 ROW 8 TILEPROP INT_R_X21Y142 SLR_REGION_ID 0 TILEPROP INT_R_X21Y142 TILE_PATTERN_IDX 3831 TILEPROP INT_R_X21Y142 TILE_TYPE INT_R TILEPROP INT_R_X21Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y142 TILE_X -3120 TILEPROP INT_R_X21Y142 TILE_Y 218296 TILEPROP INT_R_X21Y142 TYPE INT_R TILEPROP INT_R_X21Y143 CLASS tile TILEPROP INT_R_X21Y143 COLUMN 54 TILEPROP INT_R_X21Y143 DEVICE_ID 0 TILEPROP INT_R_X21Y143 FIRST_SITE_ID 676 TILEPROP INT_R_X21Y143 GRID_POINT_X 54 TILEPROP INT_R_X21Y143 GRID_POINT_Y 7 TILEPROP INT_R_X21Y143 INDEX 859 TILEPROP INT_R_X21Y143 INT_TILE_X 21 TILEPROP INT_R_X21Y143 INT_TILE_Y 6 TILEPROP INT_R_X21Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y143 IS_DCM_TILE 0 TILEPROP INT_R_X21Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y143 NAME INT_R_X21Y143 TILEPROP INT_R_X21Y143 NUM_ARCS 3737 TILEPROP INT_R_X21Y143 NUM_SITES 1 TILEPROP INT_R_X21Y143 ROW 7 TILEPROP INT_R_X21Y143 SLR_REGION_ID 0 TILEPROP INT_R_X21Y143 TILE_PATTERN_IDX 3793 TILEPROP INT_R_X21Y143 TILE_TYPE INT_R TILEPROP INT_R_X21Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y143 TILE_X -3120 TILEPROP INT_R_X21Y143 TILE_Y 221496 TILEPROP INT_R_X21Y143 TYPE INT_R TILEPROP INT_R_X21Y144 CLASS tile TILEPROP INT_R_X21Y144 COLUMN 54 TILEPROP INT_R_X21Y144 DEVICE_ID 0 TILEPROP INT_R_X21Y144 FIRST_SITE_ID 565 TILEPROP INT_R_X21Y144 GRID_POINT_X 54 TILEPROP INT_R_X21Y144 GRID_POINT_Y 6 TILEPROP INT_R_X21Y144 INDEX 744 TILEPROP INT_R_X21Y144 INT_TILE_X 21 TILEPROP INT_R_X21Y144 INT_TILE_Y 5 TILEPROP INT_R_X21Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y144 IS_DCM_TILE 0 TILEPROP INT_R_X21Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y144 NAME INT_R_X21Y144 TILEPROP INT_R_X21Y144 NUM_ARCS 3737 TILEPROP INT_R_X21Y144 NUM_SITES 1 TILEPROP INT_R_X21Y144 ROW 6 TILEPROP INT_R_X21Y144 SLR_REGION_ID 0 TILEPROP INT_R_X21Y144 TILE_PATTERN_IDX 3754 TILEPROP INT_R_X21Y144 TILE_TYPE INT_R TILEPROP INT_R_X21Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y144 TILE_X -3120 TILEPROP INT_R_X21Y144 TILE_Y 224696 TILEPROP INT_R_X21Y144 TYPE INT_R TILEPROP INT_R_X21Y145 CLASS tile TILEPROP INT_R_X21Y145 COLUMN 54 TILEPROP INT_R_X21Y145 DEVICE_ID 0 TILEPROP INT_R_X21Y145 FIRST_SITE_ID 463 TILEPROP INT_R_X21Y145 GRID_POINT_X 54 TILEPROP INT_R_X21Y145 GRID_POINT_Y 5 TILEPROP INT_R_X21Y145 INDEX 629 TILEPROP INT_R_X21Y145 INT_TILE_X 21 TILEPROP INT_R_X21Y145 INT_TILE_Y 4 TILEPROP INT_R_X21Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y145 IS_DCM_TILE 0 TILEPROP INT_R_X21Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y145 NAME INT_R_X21Y145 TILEPROP INT_R_X21Y145 NUM_ARCS 3737 TILEPROP INT_R_X21Y145 NUM_SITES 1 TILEPROP INT_R_X21Y145 ROW 5 TILEPROP INT_R_X21Y145 SLR_REGION_ID 0 TILEPROP INT_R_X21Y145 TILE_PATTERN_IDX 3716 TILEPROP INT_R_X21Y145 TILE_TYPE INT_R TILEPROP INT_R_X21Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y145 TILE_X -3120 TILEPROP INT_R_X21Y145 TILE_Y 227896 TILEPROP INT_R_X21Y145 TYPE INT_R TILEPROP INT_R_X21Y146 CLASS tile TILEPROP INT_R_X21Y146 COLUMN 54 TILEPROP INT_R_X21Y146 DEVICE_ID 0 TILEPROP INT_R_X21Y146 FIRST_SITE_ID 353 TILEPROP INT_R_X21Y146 GRID_POINT_X 54 TILEPROP INT_R_X21Y146 GRID_POINT_Y 4 TILEPROP INT_R_X21Y146 INDEX 514 TILEPROP INT_R_X21Y146 INT_TILE_X 21 TILEPROP INT_R_X21Y146 INT_TILE_Y 3 TILEPROP INT_R_X21Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y146 IS_DCM_TILE 0 TILEPROP INT_R_X21Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y146 NAME INT_R_X21Y146 TILEPROP INT_R_X21Y146 NUM_ARCS 3737 TILEPROP INT_R_X21Y146 NUM_SITES 1 TILEPROP INT_R_X21Y146 ROW 4 TILEPROP INT_R_X21Y146 SLR_REGION_ID 0 TILEPROP INT_R_X21Y146 TILE_PATTERN_IDX 3677 TILEPROP INT_R_X21Y146 TILE_TYPE INT_R TILEPROP INT_R_X21Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y146 TILE_X -3120 TILEPROP INT_R_X21Y146 TILE_Y 231096 TILEPROP INT_R_X21Y146 TYPE INT_R TILEPROP INT_R_X21Y147 CLASS tile TILEPROP INT_R_X21Y147 COLUMN 54 TILEPROP INT_R_X21Y147 DEVICE_ID 0 TILEPROP INT_R_X21Y147 FIRST_SITE_ID 257 TILEPROP INT_R_X21Y147 GRID_POINT_X 54 TILEPROP INT_R_X21Y147 GRID_POINT_Y 3 TILEPROP INT_R_X21Y147 INDEX 399 TILEPROP INT_R_X21Y147 INT_TILE_X 21 TILEPROP INT_R_X21Y147 INT_TILE_Y 2 TILEPROP INT_R_X21Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y147 IS_DCM_TILE 0 TILEPROP INT_R_X21Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y147 NAME INT_R_X21Y147 TILEPROP INT_R_X21Y147 NUM_ARCS 3737 TILEPROP INT_R_X21Y147 NUM_SITES 1 TILEPROP INT_R_X21Y147 ROW 3 TILEPROP INT_R_X21Y147 SLR_REGION_ID 0 TILEPROP INT_R_X21Y147 TILE_PATTERN_IDX 3639 TILEPROP INT_R_X21Y147 TILE_TYPE INT_R TILEPROP INT_R_X21Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y147 TILE_X -3120 TILEPROP INT_R_X21Y147 TILE_Y 234296 TILEPROP INT_R_X21Y147 TYPE INT_R TILEPROP INT_R_X21Y148 CLASS tile TILEPROP INT_R_X21Y148 COLUMN 54 TILEPROP INT_R_X21Y148 DEVICE_ID 0 TILEPROP INT_R_X21Y148 FIRST_SITE_ID 153 TILEPROP INT_R_X21Y148 GRID_POINT_X 54 TILEPROP INT_R_X21Y148 GRID_POINT_Y 2 TILEPROP INT_R_X21Y148 INDEX 284 TILEPROP INT_R_X21Y148 INT_TILE_X 21 TILEPROP INT_R_X21Y148 INT_TILE_Y 1 TILEPROP INT_R_X21Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y148 IS_DCM_TILE 0 TILEPROP INT_R_X21Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y148 NAME INT_R_X21Y148 TILEPROP INT_R_X21Y148 NUM_ARCS 3737 TILEPROP INT_R_X21Y148 NUM_SITES 1 TILEPROP INT_R_X21Y148 ROW 2 TILEPROP INT_R_X21Y148 SLR_REGION_ID 0 TILEPROP INT_R_X21Y148 TILE_PATTERN_IDX 3600 TILEPROP INT_R_X21Y148 TILE_TYPE INT_R TILEPROP INT_R_X21Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y148 TILE_X -3120 TILEPROP INT_R_X21Y148 TILE_Y 237496 TILEPROP INT_R_X21Y148 TYPE INT_R TILEPROP INT_R_X21Y149 CLASS tile TILEPROP INT_R_X21Y149 COLUMN 54 TILEPROP INT_R_X21Y149 DEVICE_ID 0 TILEPROP INT_R_X21Y149 FIRST_SITE_ID 57 TILEPROP INT_R_X21Y149 GRID_POINT_X 54 TILEPROP INT_R_X21Y149 GRID_POINT_Y 1 TILEPROP INT_R_X21Y149 INDEX 169 TILEPROP INT_R_X21Y149 INT_TILE_X 21 TILEPROP INT_R_X21Y149 INT_TILE_Y 0 TILEPROP INT_R_X21Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X21Y149 IS_DCM_TILE 0 TILEPROP INT_R_X21Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X21Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X21Y149 NAME INT_R_X21Y149 TILEPROP INT_R_X21Y149 NUM_ARCS 3737 TILEPROP INT_R_X21Y149 NUM_SITES 1 TILEPROP INT_R_X21Y149 ROW 1 TILEPROP INT_R_X21Y149 SLR_REGION_ID 0 TILEPROP INT_R_X21Y149 TILE_PATTERN_IDX 3562 TILEPROP INT_R_X21Y149 TILE_TYPE INT_R TILEPROP INT_R_X21Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X21Y149 TILE_X -3120 TILEPROP INT_R_X21Y149 TILE_Y 240696 TILEPROP INT_R_X21Y149 TYPE INT_R TILEPROP INT_R_X23Y0 CLASS tile TILEPROP INT_R_X23Y0 COLUMN 58 TILEPROP INT_R_X23Y0 DEVICE_ID 0 TILEPROP INT_R_X23Y0 FIRST_SITE_ID 15753 TILEPROP INT_R_X23Y0 GRID_POINT_X 58 TILEPROP INT_R_X23Y0 GRID_POINT_Y 155 TILEPROP INT_R_X23Y0 INDEX 17883 TILEPROP INT_R_X23Y0 INT_TILE_X 23 TILEPROP INT_R_X23Y0 INT_TILE_Y 149 TILEPROP INT_R_X23Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y0 IS_DCM_TILE 0 TILEPROP INT_R_X23Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y0 NAME INT_R_X23Y0 TILEPROP INT_R_X23Y0 NUM_ARCS 3737 TILEPROP INT_R_X23Y0 NUM_SITES 1 TILEPROP INT_R_X23Y0 ROW 155 TILEPROP INT_R_X23Y0 SLR_REGION_ID 0 TILEPROP INT_R_X23Y0 TILE_PATTERN_IDX 8750 TILEPROP INT_R_X23Y0 TILE_TYPE INT_R TILEPROP INT_R_X23Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y0 TILE_X 5184 TILEPROP INT_R_X23Y0 TILE_Y -239672 TILEPROP INT_R_X23Y0 TYPE INT_R TILEPROP INT_R_X23Y1 CLASS tile TILEPROP INT_R_X23Y1 COLUMN 58 TILEPROP INT_R_X23Y1 DEVICE_ID 0 TILEPROP INT_R_X23Y1 FIRST_SITE_ID 15642 TILEPROP INT_R_X23Y1 GRID_POINT_X 58 TILEPROP INT_R_X23Y1 GRID_POINT_Y 154 TILEPROP INT_R_X23Y1 INDEX 17768 TILEPROP INT_R_X23Y1 INT_TILE_X 23 TILEPROP INT_R_X23Y1 INT_TILE_Y 148 TILEPROP INT_R_X23Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y1 IS_DCM_TILE 0 TILEPROP INT_R_X23Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y1 NAME INT_R_X23Y1 TILEPROP INT_R_X23Y1 NUM_ARCS 3737 TILEPROP INT_R_X23Y1 NUM_SITES 1 TILEPROP INT_R_X23Y1 ROW 154 TILEPROP INT_R_X23Y1 SLR_REGION_ID 0 TILEPROP INT_R_X23Y1 TILE_PATTERN_IDX 8719 TILEPROP INT_R_X23Y1 TILE_TYPE INT_R TILEPROP INT_R_X23Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y1 TILE_X 5184 TILEPROP INT_R_X23Y1 TILE_Y -236472 TILEPROP INT_R_X23Y1 TYPE INT_R TILEPROP INT_R_X23Y2 CLASS tile TILEPROP INT_R_X23Y2 COLUMN 58 TILEPROP INT_R_X23Y2 DEVICE_ID 0 TILEPROP INT_R_X23Y2 FIRST_SITE_ID 15542 TILEPROP INT_R_X23Y2 GRID_POINT_X 58 TILEPROP INT_R_X23Y2 GRID_POINT_Y 153 TILEPROP INT_R_X23Y2 INDEX 17653 TILEPROP INT_R_X23Y2 INT_TILE_X 23 TILEPROP INT_R_X23Y2 INT_TILE_Y 147 TILEPROP INT_R_X23Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y2 IS_DCM_TILE 0 TILEPROP INT_R_X23Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y2 NAME INT_R_X23Y2 TILEPROP INT_R_X23Y2 NUM_ARCS 3737 TILEPROP INT_R_X23Y2 NUM_SITES 1 TILEPROP INT_R_X23Y2 ROW 153 TILEPROP INT_R_X23Y2 SLR_REGION_ID 0 TILEPROP INT_R_X23Y2 TILE_PATTERN_IDX 8689 TILEPROP INT_R_X23Y2 TILE_TYPE INT_R TILEPROP INT_R_X23Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y2 TILE_X 5184 TILEPROP INT_R_X23Y2 TILE_Y -233272 TILEPROP INT_R_X23Y2 TYPE INT_R TILEPROP INT_R_X23Y3 CLASS tile TILEPROP INT_R_X23Y3 COLUMN 58 TILEPROP INT_R_X23Y3 DEVICE_ID 0 TILEPROP INT_R_X23Y3 FIRST_SITE_ID 15442 TILEPROP INT_R_X23Y3 GRID_POINT_X 58 TILEPROP INT_R_X23Y3 GRID_POINT_Y 152 TILEPROP INT_R_X23Y3 INDEX 17538 TILEPROP INT_R_X23Y3 INT_TILE_X 23 TILEPROP INT_R_X23Y3 INT_TILE_Y 146 TILEPROP INT_R_X23Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y3 IS_DCM_TILE 0 TILEPROP INT_R_X23Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y3 NAME INT_R_X23Y3 TILEPROP INT_R_X23Y3 NUM_ARCS 3737 TILEPROP INT_R_X23Y3 NUM_SITES 1 TILEPROP INT_R_X23Y3 ROW 152 TILEPROP INT_R_X23Y3 SLR_REGION_ID 0 TILEPROP INT_R_X23Y3 TILE_PATTERN_IDX 8658 TILEPROP INT_R_X23Y3 TILE_TYPE INT_R TILEPROP INT_R_X23Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y3 TILE_X 5184 TILEPROP INT_R_X23Y3 TILE_Y -230072 TILEPROP INT_R_X23Y3 TYPE INT_R TILEPROP INT_R_X23Y4 CLASS tile TILEPROP INT_R_X23Y4 COLUMN 58 TILEPROP INT_R_X23Y4 DEVICE_ID 0 TILEPROP INT_R_X23Y4 FIRST_SITE_ID 15342 TILEPROP INT_R_X23Y4 GRID_POINT_X 58 TILEPROP INT_R_X23Y4 GRID_POINT_Y 151 TILEPROP INT_R_X23Y4 INDEX 17423 TILEPROP INT_R_X23Y4 INT_TILE_X 23 TILEPROP INT_R_X23Y4 INT_TILE_Y 145 TILEPROP INT_R_X23Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y4 IS_DCM_TILE 0 TILEPROP INT_R_X23Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y4 NAME INT_R_X23Y4 TILEPROP INT_R_X23Y4 NUM_ARCS 3737 TILEPROP INT_R_X23Y4 NUM_SITES 1 TILEPROP INT_R_X23Y4 ROW 151 TILEPROP INT_R_X23Y4 SLR_REGION_ID 0 TILEPROP INT_R_X23Y4 TILE_PATTERN_IDX 8628 TILEPROP INT_R_X23Y4 TILE_TYPE INT_R TILEPROP INT_R_X23Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y4 TILE_X 5184 TILEPROP INT_R_X23Y4 TILE_Y -226872 TILEPROP INT_R_X23Y4 TYPE INT_R TILEPROP INT_R_X23Y5 CLASS tile TILEPROP INT_R_X23Y5 COLUMN 58 TILEPROP INT_R_X23Y5 DEVICE_ID 0 TILEPROP INT_R_X23Y5 FIRST_SITE_ID 15233 TILEPROP INT_R_X23Y5 GRID_POINT_X 58 TILEPROP INT_R_X23Y5 GRID_POINT_Y 150 TILEPROP INT_R_X23Y5 INDEX 17308 TILEPROP INT_R_X23Y5 INT_TILE_X 23 TILEPROP INT_R_X23Y5 INT_TILE_Y 144 TILEPROP INT_R_X23Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y5 IS_DCM_TILE 0 TILEPROP INT_R_X23Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y5 NAME INT_R_X23Y5 TILEPROP INT_R_X23Y5 NUM_ARCS 3737 TILEPROP INT_R_X23Y5 NUM_SITES 1 TILEPROP INT_R_X23Y5 ROW 150 TILEPROP INT_R_X23Y5 SLR_REGION_ID 0 TILEPROP INT_R_X23Y5 TILE_PATTERN_IDX 8597 TILEPROP INT_R_X23Y5 TILE_TYPE INT_R TILEPROP INT_R_X23Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y5 TILE_X 5184 TILEPROP INT_R_X23Y5 TILE_Y -223672 TILEPROP INT_R_X23Y5 TYPE INT_R TILEPROP INT_R_X23Y6 CLASS tile TILEPROP INT_R_X23Y6 COLUMN 58 TILEPROP INT_R_X23Y6 DEVICE_ID 0 TILEPROP INT_R_X23Y6 FIRST_SITE_ID 15127 TILEPROP INT_R_X23Y6 GRID_POINT_X 58 TILEPROP INT_R_X23Y6 GRID_POINT_Y 149 TILEPROP INT_R_X23Y6 INDEX 17193 TILEPROP INT_R_X23Y6 INT_TILE_X 23 TILEPROP INT_R_X23Y6 INT_TILE_Y 143 TILEPROP INT_R_X23Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y6 IS_DCM_TILE 0 TILEPROP INT_R_X23Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y6 NAME INT_R_X23Y6 TILEPROP INT_R_X23Y6 NUM_ARCS 3737 TILEPROP INT_R_X23Y6 NUM_SITES 1 TILEPROP INT_R_X23Y6 ROW 149 TILEPROP INT_R_X23Y6 SLR_REGION_ID 0 TILEPROP INT_R_X23Y6 TILE_PATTERN_IDX 8567 TILEPROP INT_R_X23Y6 TILE_TYPE INT_R TILEPROP INT_R_X23Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y6 TILE_X 5184 TILEPROP INT_R_X23Y6 TILE_Y -220472 TILEPROP INT_R_X23Y6 TYPE INT_R TILEPROP INT_R_X23Y7 CLASS tile TILEPROP INT_R_X23Y7 COLUMN 58 TILEPROP INT_R_X23Y7 DEVICE_ID 0 TILEPROP INT_R_X23Y7 FIRST_SITE_ID 15025 TILEPROP INT_R_X23Y7 GRID_POINT_X 58 TILEPROP INT_R_X23Y7 GRID_POINT_Y 148 TILEPROP INT_R_X23Y7 INDEX 17078 TILEPROP INT_R_X23Y7 INT_TILE_X 23 TILEPROP INT_R_X23Y7 INT_TILE_Y 142 TILEPROP INT_R_X23Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y7 IS_DCM_TILE 0 TILEPROP INT_R_X23Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y7 NAME INT_R_X23Y7 TILEPROP INT_R_X23Y7 NUM_ARCS 3737 TILEPROP INT_R_X23Y7 NUM_SITES 1 TILEPROP INT_R_X23Y7 ROW 148 TILEPROP INT_R_X23Y7 SLR_REGION_ID 0 TILEPROP INT_R_X23Y7 TILE_PATTERN_IDX 8536 TILEPROP INT_R_X23Y7 TILE_TYPE INT_R TILEPROP INT_R_X23Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y7 TILE_X 5184 TILEPROP INT_R_X23Y7 TILE_Y -217272 TILEPROP INT_R_X23Y7 TYPE INT_R TILEPROP INT_R_X23Y8 CLASS tile TILEPROP INT_R_X23Y8 COLUMN 58 TILEPROP INT_R_X23Y8 DEVICE_ID 0 TILEPROP INT_R_X23Y8 FIRST_SITE_ID 14922 TILEPROP INT_R_X23Y8 GRID_POINT_X 58 TILEPROP INT_R_X23Y8 GRID_POINT_Y 147 TILEPROP INT_R_X23Y8 INDEX 16963 TILEPROP INT_R_X23Y8 INT_TILE_X 23 TILEPROP INT_R_X23Y8 INT_TILE_Y 141 TILEPROP INT_R_X23Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y8 IS_DCM_TILE 0 TILEPROP INT_R_X23Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y8 NAME INT_R_X23Y8 TILEPROP INT_R_X23Y8 NUM_ARCS 3737 TILEPROP INT_R_X23Y8 NUM_SITES 1 TILEPROP INT_R_X23Y8 ROW 147 TILEPROP INT_R_X23Y8 SLR_REGION_ID 0 TILEPROP INT_R_X23Y8 TILE_PATTERN_IDX 8506 TILEPROP INT_R_X23Y8 TILE_TYPE INT_R TILEPROP INT_R_X23Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y8 TILE_X 5184 TILEPROP INT_R_X23Y8 TILE_Y -214072 TILEPROP INT_R_X23Y8 TYPE INT_R TILEPROP INT_R_X23Y9 CLASS tile TILEPROP INT_R_X23Y9 COLUMN 58 TILEPROP INT_R_X23Y9 DEVICE_ID 0 TILEPROP INT_R_X23Y9 FIRST_SITE_ID 14821 TILEPROP INT_R_X23Y9 GRID_POINT_X 58 TILEPROP INT_R_X23Y9 GRID_POINT_Y 146 TILEPROP INT_R_X23Y9 INDEX 16848 TILEPROP INT_R_X23Y9 INT_TILE_X 23 TILEPROP INT_R_X23Y9 INT_TILE_Y 140 TILEPROP INT_R_X23Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y9 IS_DCM_TILE 0 TILEPROP INT_R_X23Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y9 NAME INT_R_X23Y9 TILEPROP INT_R_X23Y9 NUM_ARCS 3737 TILEPROP INT_R_X23Y9 NUM_SITES 1 TILEPROP INT_R_X23Y9 ROW 146 TILEPROP INT_R_X23Y9 SLR_REGION_ID 0 TILEPROP INT_R_X23Y9 TILE_PATTERN_IDX 8475 TILEPROP INT_R_X23Y9 TILE_TYPE INT_R TILEPROP INT_R_X23Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y9 TILE_X 5184 TILEPROP INT_R_X23Y9 TILE_Y -210872 TILEPROP INT_R_X23Y9 TYPE INT_R TILEPROP INT_R_X23Y10 CLASS tile TILEPROP INT_R_X23Y10 COLUMN 58 TILEPROP INT_R_X23Y10 DEVICE_ID 0 TILEPROP INT_R_X23Y10 FIRST_SITE_ID 14712 TILEPROP INT_R_X23Y10 GRID_POINT_X 58 TILEPROP INT_R_X23Y10 GRID_POINT_Y 145 TILEPROP INT_R_X23Y10 INDEX 16733 TILEPROP INT_R_X23Y10 INT_TILE_X 23 TILEPROP INT_R_X23Y10 INT_TILE_Y 139 TILEPROP INT_R_X23Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y10 IS_DCM_TILE 0 TILEPROP INT_R_X23Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y10 NAME INT_R_X23Y10 TILEPROP INT_R_X23Y10 NUM_ARCS 3737 TILEPROP INT_R_X23Y10 NUM_SITES 1 TILEPROP INT_R_X23Y10 ROW 145 TILEPROP INT_R_X23Y10 SLR_REGION_ID 0 TILEPROP INT_R_X23Y10 TILE_PATTERN_IDX 8445 TILEPROP INT_R_X23Y10 TILE_TYPE INT_R TILEPROP INT_R_X23Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y10 TILE_X 5184 TILEPROP INT_R_X23Y10 TILE_Y -207672 TILEPROP INT_R_X23Y10 TYPE INT_R TILEPROP INT_R_X23Y11 CLASS tile TILEPROP INT_R_X23Y11 COLUMN 58 TILEPROP INT_R_X23Y11 DEVICE_ID 0 TILEPROP INT_R_X23Y11 FIRST_SITE_ID 14606 TILEPROP INT_R_X23Y11 GRID_POINT_X 58 TILEPROP INT_R_X23Y11 GRID_POINT_Y 144 TILEPROP INT_R_X23Y11 INDEX 16618 TILEPROP INT_R_X23Y11 INT_TILE_X 23 TILEPROP INT_R_X23Y11 INT_TILE_Y 138 TILEPROP INT_R_X23Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y11 IS_DCM_TILE 0 TILEPROP INT_R_X23Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y11 NAME INT_R_X23Y11 TILEPROP INT_R_X23Y11 NUM_ARCS 3737 TILEPROP INT_R_X23Y11 NUM_SITES 1 TILEPROP INT_R_X23Y11 ROW 144 TILEPROP INT_R_X23Y11 SLR_REGION_ID 0 TILEPROP INT_R_X23Y11 TILE_PATTERN_IDX 8414 TILEPROP INT_R_X23Y11 TILE_TYPE INT_R TILEPROP INT_R_X23Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y11 TILE_X 5184 TILEPROP INT_R_X23Y11 TILE_Y -204472 TILEPROP INT_R_X23Y11 TYPE INT_R TILEPROP INT_R_X23Y12 CLASS tile TILEPROP INT_R_X23Y12 COLUMN 58 TILEPROP INT_R_X23Y12 DEVICE_ID 0 TILEPROP INT_R_X23Y12 FIRST_SITE_ID 14474 TILEPROP INT_R_X23Y12 GRID_POINT_X 58 TILEPROP INT_R_X23Y12 GRID_POINT_Y 143 TILEPROP INT_R_X23Y12 INDEX 16503 TILEPROP INT_R_X23Y12 INT_TILE_X 23 TILEPROP INT_R_X23Y12 INT_TILE_Y 137 TILEPROP INT_R_X23Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y12 IS_DCM_TILE 0 TILEPROP INT_R_X23Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y12 NAME INT_R_X23Y12 TILEPROP INT_R_X23Y12 NUM_ARCS 3737 TILEPROP INT_R_X23Y12 NUM_SITES 1 TILEPROP INT_R_X23Y12 ROW 143 TILEPROP INT_R_X23Y12 SLR_REGION_ID 0 TILEPROP INT_R_X23Y12 TILE_PATTERN_IDX 8384 TILEPROP INT_R_X23Y12 TILE_TYPE INT_R TILEPROP INT_R_X23Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y12 TILE_X 5184 TILEPROP INT_R_X23Y12 TILE_Y -201272 TILEPROP INT_R_X23Y12 TYPE INT_R TILEPROP INT_R_X23Y13 CLASS tile TILEPROP INT_R_X23Y13 COLUMN 58 TILEPROP INT_R_X23Y13 DEVICE_ID 0 TILEPROP INT_R_X23Y13 FIRST_SITE_ID 14374 TILEPROP INT_R_X23Y13 GRID_POINT_X 58 TILEPROP INT_R_X23Y13 GRID_POINT_Y 142 TILEPROP INT_R_X23Y13 INDEX 16388 TILEPROP INT_R_X23Y13 INT_TILE_X 23 TILEPROP INT_R_X23Y13 INT_TILE_Y 136 TILEPROP INT_R_X23Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y13 IS_DCM_TILE 0 TILEPROP INT_R_X23Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y13 NAME INT_R_X23Y13 TILEPROP INT_R_X23Y13 NUM_ARCS 3737 TILEPROP INT_R_X23Y13 NUM_SITES 1 TILEPROP INT_R_X23Y13 ROW 142 TILEPROP INT_R_X23Y13 SLR_REGION_ID 0 TILEPROP INT_R_X23Y13 TILE_PATTERN_IDX 8353 TILEPROP INT_R_X23Y13 TILE_TYPE INT_R TILEPROP INT_R_X23Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y13 TILE_X 5184 TILEPROP INT_R_X23Y13 TILE_Y -198072 TILEPROP INT_R_X23Y13 TYPE INT_R TILEPROP INT_R_X23Y14 CLASS tile TILEPROP INT_R_X23Y14 COLUMN 58 TILEPROP INT_R_X23Y14 DEVICE_ID 0 TILEPROP INT_R_X23Y14 FIRST_SITE_ID 14274 TILEPROP INT_R_X23Y14 GRID_POINT_X 58 TILEPROP INT_R_X23Y14 GRID_POINT_Y 141 TILEPROP INT_R_X23Y14 INDEX 16273 TILEPROP INT_R_X23Y14 INT_TILE_X 23 TILEPROP INT_R_X23Y14 INT_TILE_Y 135 TILEPROP INT_R_X23Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y14 IS_DCM_TILE 0 TILEPROP INT_R_X23Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y14 NAME INT_R_X23Y14 TILEPROP INT_R_X23Y14 NUM_ARCS 3737 TILEPROP INT_R_X23Y14 NUM_SITES 1 TILEPROP INT_R_X23Y14 ROW 141 TILEPROP INT_R_X23Y14 SLR_REGION_ID 0 TILEPROP INT_R_X23Y14 TILE_PATTERN_IDX 8323 TILEPROP INT_R_X23Y14 TILE_TYPE INT_R TILEPROP INT_R_X23Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y14 TILE_X 5184 TILEPROP INT_R_X23Y14 TILE_Y -194872 TILEPROP INT_R_X23Y14 TYPE INT_R TILEPROP INT_R_X23Y15 CLASS tile TILEPROP INT_R_X23Y15 COLUMN 58 TILEPROP INT_R_X23Y15 DEVICE_ID 0 TILEPROP INT_R_X23Y15 FIRST_SITE_ID 14165 TILEPROP INT_R_X23Y15 GRID_POINT_X 58 TILEPROP INT_R_X23Y15 GRID_POINT_Y 140 TILEPROP INT_R_X23Y15 INDEX 16158 TILEPROP INT_R_X23Y15 INT_TILE_X 23 TILEPROP INT_R_X23Y15 INT_TILE_Y 134 TILEPROP INT_R_X23Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y15 IS_DCM_TILE 0 TILEPROP INT_R_X23Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y15 NAME INT_R_X23Y15 TILEPROP INT_R_X23Y15 NUM_ARCS 3737 TILEPROP INT_R_X23Y15 NUM_SITES 1 TILEPROP INT_R_X23Y15 ROW 140 TILEPROP INT_R_X23Y15 SLR_REGION_ID 0 TILEPROP INT_R_X23Y15 TILE_PATTERN_IDX 8292 TILEPROP INT_R_X23Y15 TILE_TYPE INT_R TILEPROP INT_R_X23Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y15 TILE_X 5184 TILEPROP INT_R_X23Y15 TILE_Y -191672 TILEPROP INT_R_X23Y15 TYPE INT_R TILEPROP INT_R_X23Y16 CLASS tile TILEPROP INT_R_X23Y16 COLUMN 58 TILEPROP INT_R_X23Y16 DEVICE_ID 0 TILEPROP INT_R_X23Y16 FIRST_SITE_ID 14059 TILEPROP INT_R_X23Y16 GRID_POINT_X 58 TILEPROP INT_R_X23Y16 GRID_POINT_Y 139 TILEPROP INT_R_X23Y16 INDEX 16043 TILEPROP INT_R_X23Y16 INT_TILE_X 23 TILEPROP INT_R_X23Y16 INT_TILE_Y 133 TILEPROP INT_R_X23Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y16 IS_DCM_TILE 0 TILEPROP INT_R_X23Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y16 NAME INT_R_X23Y16 TILEPROP INT_R_X23Y16 NUM_ARCS 3737 TILEPROP INT_R_X23Y16 NUM_SITES 1 TILEPROP INT_R_X23Y16 ROW 139 TILEPROP INT_R_X23Y16 SLR_REGION_ID 0 TILEPROP INT_R_X23Y16 TILE_PATTERN_IDX 8262 TILEPROP INT_R_X23Y16 TILE_TYPE INT_R TILEPROP INT_R_X23Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y16 TILE_X 5184 TILEPROP INT_R_X23Y16 TILE_Y -188472 TILEPROP INT_R_X23Y16 TYPE INT_R TILEPROP INT_R_X23Y17 CLASS tile TILEPROP INT_R_X23Y17 COLUMN 58 TILEPROP INT_R_X23Y17 DEVICE_ID 0 TILEPROP INT_R_X23Y17 FIRST_SITE_ID 13954 TILEPROP INT_R_X23Y17 GRID_POINT_X 58 TILEPROP INT_R_X23Y17 GRID_POINT_Y 138 TILEPROP INT_R_X23Y17 INDEX 15928 TILEPROP INT_R_X23Y17 INT_TILE_X 23 TILEPROP INT_R_X23Y17 INT_TILE_Y 132 TILEPROP INT_R_X23Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y17 IS_DCM_TILE 0 TILEPROP INT_R_X23Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y17 NAME INT_R_X23Y17 TILEPROP INT_R_X23Y17 NUM_ARCS 3737 TILEPROP INT_R_X23Y17 NUM_SITES 1 TILEPROP INT_R_X23Y17 ROW 138 TILEPROP INT_R_X23Y17 SLR_REGION_ID 0 TILEPROP INT_R_X23Y17 TILE_PATTERN_IDX 8230 TILEPROP INT_R_X23Y17 TILE_TYPE INT_R TILEPROP INT_R_X23Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y17 TILE_X 5184 TILEPROP INT_R_X23Y17 TILE_Y -185272 TILEPROP INT_R_X23Y17 TYPE INT_R TILEPROP INT_R_X23Y18 CLASS tile TILEPROP INT_R_X23Y18 COLUMN 58 TILEPROP INT_R_X23Y18 DEVICE_ID 0 TILEPROP INT_R_X23Y18 FIRST_SITE_ID 13850 TILEPROP INT_R_X23Y18 GRID_POINT_X 58 TILEPROP INT_R_X23Y18 GRID_POINT_Y 137 TILEPROP INT_R_X23Y18 INDEX 15813 TILEPROP INT_R_X23Y18 INT_TILE_X 23 TILEPROP INT_R_X23Y18 INT_TILE_Y 131 TILEPROP INT_R_X23Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y18 IS_DCM_TILE 0 TILEPROP INT_R_X23Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y18 NAME INT_R_X23Y18 TILEPROP INT_R_X23Y18 NUM_ARCS 3737 TILEPROP INT_R_X23Y18 NUM_SITES 1 TILEPROP INT_R_X23Y18 ROW 137 TILEPROP INT_R_X23Y18 SLR_REGION_ID 0 TILEPROP INT_R_X23Y18 TILE_PATTERN_IDX 8207 TILEPROP INT_R_X23Y18 TILE_TYPE INT_R TILEPROP INT_R_X23Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y18 TILE_X 5184 TILEPROP INT_R_X23Y18 TILE_Y -182072 TILEPROP INT_R_X23Y18 TYPE INT_R TILEPROP INT_R_X23Y19 CLASS tile TILEPROP INT_R_X23Y19 COLUMN 58 TILEPROP INT_R_X23Y19 DEVICE_ID 0 TILEPROP INT_R_X23Y19 FIRST_SITE_ID 13748 TILEPROP INT_R_X23Y19 GRID_POINT_X 58 TILEPROP INT_R_X23Y19 GRID_POINT_Y 136 TILEPROP INT_R_X23Y19 INDEX 15698 TILEPROP INT_R_X23Y19 INT_TILE_X 23 TILEPROP INT_R_X23Y19 INT_TILE_Y 130 TILEPROP INT_R_X23Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y19 IS_DCM_TILE 0 TILEPROP INT_R_X23Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y19 NAME INT_R_X23Y19 TILEPROP INT_R_X23Y19 NUM_ARCS 3737 TILEPROP INT_R_X23Y19 NUM_SITES 1 TILEPROP INT_R_X23Y19 ROW 136 TILEPROP INT_R_X23Y19 SLR_REGION_ID 0 TILEPROP INT_R_X23Y19 TILE_PATTERN_IDX 8184 TILEPROP INT_R_X23Y19 TILE_TYPE INT_R TILEPROP INT_R_X23Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y19 TILE_X 5184 TILEPROP INT_R_X23Y19 TILE_Y -178872 TILEPROP INT_R_X23Y19 TYPE INT_R TILEPROP INT_R_X23Y20 CLASS tile TILEPROP INT_R_X23Y20 COLUMN 58 TILEPROP INT_R_X23Y20 DEVICE_ID 0 TILEPROP INT_R_X23Y20 FIRST_SITE_ID 13637 TILEPROP INT_R_X23Y20 GRID_POINT_X 58 TILEPROP INT_R_X23Y20 GRID_POINT_Y 135 TILEPROP INT_R_X23Y20 INDEX 15583 TILEPROP INT_R_X23Y20 INT_TILE_X 23 TILEPROP INT_R_X23Y20 INT_TILE_Y 129 TILEPROP INT_R_X23Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y20 IS_DCM_TILE 0 TILEPROP INT_R_X23Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y20 NAME INT_R_X23Y20 TILEPROP INT_R_X23Y20 NUM_ARCS 3737 TILEPROP INT_R_X23Y20 NUM_SITES 1 TILEPROP INT_R_X23Y20 ROW 135 TILEPROP INT_R_X23Y20 SLR_REGION_ID 0 TILEPROP INT_R_X23Y20 TILE_PATTERN_IDX 8162 TILEPROP INT_R_X23Y20 TILE_TYPE INT_R TILEPROP INT_R_X23Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y20 TILE_X 5184 TILEPROP INT_R_X23Y20 TILE_Y -175672 TILEPROP INT_R_X23Y20 TYPE INT_R TILEPROP INT_R_X23Y21 CLASS tile TILEPROP INT_R_X23Y21 COLUMN 58 TILEPROP INT_R_X23Y21 DEVICE_ID 0 TILEPROP INT_R_X23Y21 FIRST_SITE_ID 13531 TILEPROP INT_R_X23Y21 GRID_POINT_X 58 TILEPROP INT_R_X23Y21 GRID_POINT_Y 134 TILEPROP INT_R_X23Y21 INDEX 15468 TILEPROP INT_R_X23Y21 INT_TILE_X 23 TILEPROP INT_R_X23Y21 INT_TILE_Y 128 TILEPROP INT_R_X23Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y21 IS_DCM_TILE 0 TILEPROP INT_R_X23Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y21 NAME INT_R_X23Y21 TILEPROP INT_R_X23Y21 NUM_ARCS 3737 TILEPROP INT_R_X23Y21 NUM_SITES 1 TILEPROP INT_R_X23Y21 ROW 134 TILEPROP INT_R_X23Y21 SLR_REGION_ID 0 TILEPROP INT_R_X23Y21 TILE_PATTERN_IDX 8133 TILEPROP INT_R_X23Y21 TILE_TYPE INT_R TILEPROP INT_R_X23Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y21 TILE_X 5184 TILEPROP INT_R_X23Y21 TILE_Y -172472 TILEPROP INT_R_X23Y21 TYPE INT_R TILEPROP INT_R_X23Y22 CLASS tile TILEPROP INT_R_X23Y22 COLUMN 58 TILEPROP INT_R_X23Y22 DEVICE_ID 0 TILEPROP INT_R_X23Y22 FIRST_SITE_ID 13431 TILEPROP INT_R_X23Y22 GRID_POINT_X 58 TILEPROP INT_R_X23Y22 GRID_POINT_Y 133 TILEPROP INT_R_X23Y22 INDEX 15353 TILEPROP INT_R_X23Y22 INT_TILE_X 23 TILEPROP INT_R_X23Y22 INT_TILE_Y 127 TILEPROP INT_R_X23Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y22 IS_DCM_TILE 0 TILEPROP INT_R_X23Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y22 NAME INT_R_X23Y22 TILEPROP INT_R_X23Y22 NUM_ARCS 3737 TILEPROP INT_R_X23Y22 NUM_SITES 1 TILEPROP INT_R_X23Y22 ROW 133 TILEPROP INT_R_X23Y22 SLR_REGION_ID 0 TILEPROP INT_R_X23Y22 TILE_PATTERN_IDX 8105 TILEPROP INT_R_X23Y22 TILE_TYPE INT_R TILEPROP INT_R_X23Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y22 TILE_X 5184 TILEPROP INT_R_X23Y22 TILE_Y -169272 TILEPROP INT_R_X23Y22 TYPE INT_R TILEPROP INT_R_X23Y23 CLASS tile TILEPROP INT_R_X23Y23 COLUMN 58 TILEPROP INT_R_X23Y23 DEVICE_ID 0 TILEPROP INT_R_X23Y23 FIRST_SITE_ID 13331 TILEPROP INT_R_X23Y23 GRID_POINT_X 58 TILEPROP INT_R_X23Y23 GRID_POINT_Y 132 TILEPROP INT_R_X23Y23 INDEX 15238 TILEPROP INT_R_X23Y23 INT_TILE_X 23 TILEPROP INT_R_X23Y23 INT_TILE_Y 126 TILEPROP INT_R_X23Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y23 IS_DCM_TILE 0 TILEPROP INT_R_X23Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y23 NAME INT_R_X23Y23 TILEPROP INT_R_X23Y23 NUM_ARCS 3737 TILEPROP INT_R_X23Y23 NUM_SITES 1 TILEPROP INT_R_X23Y23 ROW 132 TILEPROP INT_R_X23Y23 SLR_REGION_ID 0 TILEPROP INT_R_X23Y23 TILE_PATTERN_IDX 8076 TILEPROP INT_R_X23Y23 TILE_TYPE INT_R TILEPROP INT_R_X23Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y23 TILE_X 5184 TILEPROP INT_R_X23Y23 TILE_Y -166072 TILEPROP INT_R_X23Y23 TYPE INT_R TILEPROP INT_R_X23Y24 CLASS tile TILEPROP INT_R_X23Y24 COLUMN 58 TILEPROP INT_R_X23Y24 DEVICE_ID 0 TILEPROP INT_R_X23Y24 FIRST_SITE_ID 13231 TILEPROP INT_R_X23Y24 GRID_POINT_X 58 TILEPROP INT_R_X23Y24 GRID_POINT_Y 131 TILEPROP INT_R_X23Y24 INDEX 15123 TILEPROP INT_R_X23Y24 INT_TILE_X 23 TILEPROP INT_R_X23Y24 INT_TILE_Y 125 TILEPROP INT_R_X23Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y24 IS_DCM_TILE 0 TILEPROP INT_R_X23Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y24 NAME INT_R_X23Y24 TILEPROP INT_R_X23Y24 NUM_ARCS 3737 TILEPROP INT_R_X23Y24 NUM_SITES 1 TILEPROP INT_R_X23Y24 ROW 131 TILEPROP INT_R_X23Y24 SLR_REGION_ID 0 TILEPROP INT_R_X23Y24 TILE_PATTERN_IDX 8048 TILEPROP INT_R_X23Y24 TILE_TYPE INT_R TILEPROP INT_R_X23Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y24 TILE_X 5184 TILEPROP INT_R_X23Y24 TILE_Y -162872 TILEPROP INT_R_X23Y24 TYPE INT_R TILEPROP INT_R_X23Y25 CLASS tile TILEPROP INT_R_X23Y25 COLUMN 58 TILEPROP INT_R_X23Y25 DEVICE_ID 0 TILEPROP INT_R_X23Y25 FIRST_SITE_ID 13039 TILEPROP INT_R_X23Y25 GRID_POINT_X 58 TILEPROP INT_R_X23Y25 GRID_POINT_Y 129 TILEPROP INT_R_X23Y25 INDEX 14893 TILEPROP INT_R_X23Y25 INT_TILE_X 23 TILEPROP INT_R_X23Y25 INT_TILE_Y 124 TILEPROP INT_R_X23Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y25 IS_DCM_TILE 0 TILEPROP INT_R_X23Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y25 NAME INT_R_X23Y25 TILEPROP INT_R_X23Y25 NUM_ARCS 3737 TILEPROP INT_R_X23Y25 NUM_SITES 1 TILEPROP INT_R_X23Y25 ROW 129 TILEPROP INT_R_X23Y25 SLR_REGION_ID 0 TILEPROP INT_R_X23Y25 TILE_PATTERN_IDX 7976 TILEPROP INT_R_X23Y25 TILE_TYPE INT_R TILEPROP INT_R_X23Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y25 TILE_X 5184 TILEPROP INT_R_X23Y25 TILE_Y -158648 TILEPROP INT_R_X23Y25 TYPE INT_R TILEPROP INT_R_X23Y26 CLASS tile TILEPROP INT_R_X23Y26 COLUMN 58 TILEPROP INT_R_X23Y26 DEVICE_ID 0 TILEPROP INT_R_X23Y26 FIRST_SITE_ID 12933 TILEPROP INT_R_X23Y26 GRID_POINT_X 58 TILEPROP INT_R_X23Y26 GRID_POINT_Y 128 TILEPROP INT_R_X23Y26 INDEX 14778 TILEPROP INT_R_X23Y26 INT_TILE_X 23 TILEPROP INT_R_X23Y26 INT_TILE_Y 123 TILEPROP INT_R_X23Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y26 IS_DCM_TILE 0 TILEPROP INT_R_X23Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y26 NAME INT_R_X23Y26 TILEPROP INT_R_X23Y26 NUM_ARCS 3737 TILEPROP INT_R_X23Y26 NUM_SITES 1 TILEPROP INT_R_X23Y26 ROW 128 TILEPROP INT_R_X23Y26 SLR_REGION_ID 0 TILEPROP INT_R_X23Y26 TILE_PATTERN_IDX 7948 TILEPROP INT_R_X23Y26 TILE_TYPE INT_R TILEPROP INT_R_X23Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y26 TILE_X 5184 TILEPROP INT_R_X23Y26 TILE_Y -155448 TILEPROP INT_R_X23Y26 TYPE INT_R TILEPROP INT_R_X23Y27 CLASS tile TILEPROP INT_R_X23Y27 COLUMN 58 TILEPROP INT_R_X23Y27 DEVICE_ID 0 TILEPROP INT_R_X23Y27 FIRST_SITE_ID 12833 TILEPROP INT_R_X23Y27 GRID_POINT_X 58 TILEPROP INT_R_X23Y27 GRID_POINT_Y 127 TILEPROP INT_R_X23Y27 INDEX 14663 TILEPROP INT_R_X23Y27 INT_TILE_X 23 TILEPROP INT_R_X23Y27 INT_TILE_Y 122 TILEPROP INT_R_X23Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y27 IS_DCM_TILE 0 TILEPROP INT_R_X23Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y27 NAME INT_R_X23Y27 TILEPROP INT_R_X23Y27 NUM_ARCS 3737 TILEPROP INT_R_X23Y27 NUM_SITES 1 TILEPROP INT_R_X23Y27 ROW 127 TILEPROP INT_R_X23Y27 SLR_REGION_ID 0 TILEPROP INT_R_X23Y27 TILE_PATTERN_IDX 7919 TILEPROP INT_R_X23Y27 TILE_TYPE INT_R TILEPROP INT_R_X23Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y27 TILE_X 5184 TILEPROP INT_R_X23Y27 TILE_Y -152248 TILEPROP INT_R_X23Y27 TYPE INT_R TILEPROP INT_R_X23Y28 CLASS tile TILEPROP INT_R_X23Y28 COLUMN 58 TILEPROP INT_R_X23Y28 DEVICE_ID 0 TILEPROP INT_R_X23Y28 FIRST_SITE_ID 12733 TILEPROP INT_R_X23Y28 GRID_POINT_X 58 TILEPROP INT_R_X23Y28 GRID_POINT_Y 126 TILEPROP INT_R_X23Y28 INDEX 14548 TILEPROP INT_R_X23Y28 INT_TILE_X 23 TILEPROP INT_R_X23Y28 INT_TILE_Y 121 TILEPROP INT_R_X23Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y28 IS_DCM_TILE 0 TILEPROP INT_R_X23Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y28 NAME INT_R_X23Y28 TILEPROP INT_R_X23Y28 NUM_ARCS 3737 TILEPROP INT_R_X23Y28 NUM_SITES 1 TILEPROP INT_R_X23Y28 ROW 126 TILEPROP INT_R_X23Y28 SLR_REGION_ID 0 TILEPROP INT_R_X23Y28 TILE_PATTERN_IDX 7891 TILEPROP INT_R_X23Y28 TILE_TYPE INT_R TILEPROP INT_R_X23Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y28 TILE_X 5184 TILEPROP INT_R_X23Y28 TILE_Y -149048 TILEPROP INT_R_X23Y28 TYPE INT_R TILEPROP INT_R_X23Y29 CLASS tile TILEPROP INT_R_X23Y29 COLUMN 58 TILEPROP INT_R_X23Y29 DEVICE_ID 0 TILEPROP INT_R_X23Y29 FIRST_SITE_ID 12627 TILEPROP INT_R_X23Y29 GRID_POINT_X 58 TILEPROP INT_R_X23Y29 GRID_POINT_Y 125 TILEPROP INT_R_X23Y29 INDEX 14433 TILEPROP INT_R_X23Y29 INT_TILE_X 23 TILEPROP INT_R_X23Y29 INT_TILE_Y 120 TILEPROP INT_R_X23Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y29 IS_DCM_TILE 0 TILEPROP INT_R_X23Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y29 NAME INT_R_X23Y29 TILEPROP INT_R_X23Y29 NUM_ARCS 3737 TILEPROP INT_R_X23Y29 NUM_SITES 1 TILEPROP INT_R_X23Y29 ROW 125 TILEPROP INT_R_X23Y29 SLR_REGION_ID 0 TILEPROP INT_R_X23Y29 TILE_PATTERN_IDX 7867 TILEPROP INT_R_X23Y29 TILE_TYPE INT_R TILEPROP INT_R_X23Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y29 TILE_X 5184 TILEPROP INT_R_X23Y29 TILE_Y -145848 TILEPROP INT_R_X23Y29 TYPE INT_R TILEPROP INT_R_X23Y30 CLASS tile TILEPROP INT_R_X23Y30 COLUMN 58 TILEPROP INT_R_X23Y30 DEVICE_ID 0 TILEPROP INT_R_X23Y30 FIRST_SITE_ID 12512 TILEPROP INT_R_X23Y30 GRID_POINT_X 58 TILEPROP INT_R_X23Y30 GRID_POINT_Y 124 TILEPROP INT_R_X23Y30 INDEX 14318 TILEPROP INT_R_X23Y30 INT_TILE_X 23 TILEPROP INT_R_X23Y30 INT_TILE_Y 119 TILEPROP INT_R_X23Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y30 IS_DCM_TILE 0 TILEPROP INT_R_X23Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y30 NAME INT_R_X23Y30 TILEPROP INT_R_X23Y30 NUM_ARCS 3737 TILEPROP INT_R_X23Y30 NUM_SITES 1 TILEPROP INT_R_X23Y30 ROW 124 TILEPROP INT_R_X23Y30 SLR_REGION_ID 0 TILEPROP INT_R_X23Y30 TILE_PATTERN_IDX 7844 TILEPROP INT_R_X23Y30 TILE_TYPE INT_R TILEPROP INT_R_X23Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y30 TILE_X 5184 TILEPROP INT_R_X23Y30 TILE_Y -142648 TILEPROP INT_R_X23Y30 TYPE INT_R TILEPROP INT_R_X23Y31 CLASS tile TILEPROP INT_R_X23Y31 COLUMN 58 TILEPROP INT_R_X23Y31 DEVICE_ID 0 TILEPROP INT_R_X23Y31 FIRST_SITE_ID 12391 TILEPROP INT_R_X23Y31 GRID_POINT_X 58 TILEPROP INT_R_X23Y31 GRID_POINT_Y 123 TILEPROP INT_R_X23Y31 INDEX 14203 TILEPROP INT_R_X23Y31 INT_TILE_X 23 TILEPROP INT_R_X23Y31 INT_TILE_Y 118 TILEPROP INT_R_X23Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y31 IS_DCM_TILE 0 TILEPROP INT_R_X23Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y31 NAME INT_R_X23Y31 TILEPROP INT_R_X23Y31 NUM_ARCS 3737 TILEPROP INT_R_X23Y31 NUM_SITES 1 TILEPROP INT_R_X23Y31 ROW 123 TILEPROP INT_R_X23Y31 SLR_REGION_ID 0 TILEPROP INT_R_X23Y31 TILE_PATTERN_IDX 7821 TILEPROP INT_R_X23Y31 TILE_TYPE INT_R TILEPROP INT_R_X23Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y31 TILE_X 5184 TILEPROP INT_R_X23Y31 TILE_Y -139448 TILEPROP INT_R_X23Y31 TYPE INT_R TILEPROP INT_R_X23Y32 CLASS tile TILEPROP INT_R_X23Y32 COLUMN 58 TILEPROP INT_R_X23Y32 DEVICE_ID 0 TILEPROP INT_R_X23Y32 FIRST_SITE_ID 12288 TILEPROP INT_R_X23Y32 GRID_POINT_X 58 TILEPROP INT_R_X23Y32 GRID_POINT_Y 122 TILEPROP INT_R_X23Y32 INDEX 14088 TILEPROP INT_R_X23Y32 INT_TILE_X 23 TILEPROP INT_R_X23Y32 INT_TILE_Y 117 TILEPROP INT_R_X23Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y32 IS_DCM_TILE 0 TILEPROP INT_R_X23Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y32 NAME INT_R_X23Y32 TILEPROP INT_R_X23Y32 NUM_ARCS 3737 TILEPROP INT_R_X23Y32 NUM_SITES 1 TILEPROP INT_R_X23Y32 ROW 122 TILEPROP INT_R_X23Y32 SLR_REGION_ID 0 TILEPROP INT_R_X23Y32 TILE_PATTERN_IDX 7787 TILEPROP INT_R_X23Y32 TILE_TYPE INT_R TILEPROP INT_R_X23Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y32 TILE_X 5184 TILEPROP INT_R_X23Y32 TILE_Y -136248 TILEPROP INT_R_X23Y32 TYPE INT_R TILEPROP INT_R_X23Y33 CLASS tile TILEPROP INT_R_X23Y33 COLUMN 58 TILEPROP INT_R_X23Y33 DEVICE_ID 0 TILEPROP INT_R_X23Y33 FIRST_SITE_ID 12188 TILEPROP INT_R_X23Y33 GRID_POINT_X 58 TILEPROP INT_R_X23Y33 GRID_POINT_Y 121 TILEPROP INT_R_X23Y33 INDEX 13973 TILEPROP INT_R_X23Y33 INT_TILE_X 23 TILEPROP INT_R_X23Y33 INT_TILE_Y 116 TILEPROP INT_R_X23Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y33 IS_DCM_TILE 0 TILEPROP INT_R_X23Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y33 NAME INT_R_X23Y33 TILEPROP INT_R_X23Y33 NUM_ARCS 3737 TILEPROP INT_R_X23Y33 NUM_SITES 1 TILEPROP INT_R_X23Y33 ROW 121 TILEPROP INT_R_X23Y33 SLR_REGION_ID 0 TILEPROP INT_R_X23Y33 TILE_PATTERN_IDX 7758 TILEPROP INT_R_X23Y33 TILE_TYPE INT_R TILEPROP INT_R_X23Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y33 TILE_X 5184 TILEPROP INT_R_X23Y33 TILE_Y -133048 TILEPROP INT_R_X23Y33 TYPE INT_R TILEPROP INT_R_X23Y34 CLASS tile TILEPROP INT_R_X23Y34 COLUMN 58 TILEPROP INT_R_X23Y34 DEVICE_ID 0 TILEPROP INT_R_X23Y34 FIRST_SITE_ID 12088 TILEPROP INT_R_X23Y34 GRID_POINT_X 58 TILEPROP INT_R_X23Y34 GRID_POINT_Y 120 TILEPROP INT_R_X23Y34 INDEX 13858 TILEPROP INT_R_X23Y34 INT_TILE_X 23 TILEPROP INT_R_X23Y34 INT_TILE_Y 115 TILEPROP INT_R_X23Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y34 IS_DCM_TILE 0 TILEPROP INT_R_X23Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y34 NAME INT_R_X23Y34 TILEPROP INT_R_X23Y34 NUM_ARCS 3737 TILEPROP INT_R_X23Y34 NUM_SITES 1 TILEPROP INT_R_X23Y34 ROW 120 TILEPROP INT_R_X23Y34 SLR_REGION_ID 0 TILEPROP INT_R_X23Y34 TILE_PATTERN_IDX 7730 TILEPROP INT_R_X23Y34 TILE_TYPE INT_R TILEPROP INT_R_X23Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y34 TILE_X 5184 TILEPROP INT_R_X23Y34 TILE_Y -129848 TILEPROP INT_R_X23Y34 TYPE INT_R TILEPROP INT_R_X23Y35 CLASS tile TILEPROP INT_R_X23Y35 COLUMN 58 TILEPROP INT_R_X23Y35 DEVICE_ID 0 TILEPROP INT_R_X23Y35 FIRST_SITE_ID 11979 TILEPROP INT_R_X23Y35 GRID_POINT_X 58 TILEPROP INT_R_X23Y35 GRID_POINT_Y 119 TILEPROP INT_R_X23Y35 INDEX 13743 TILEPROP INT_R_X23Y35 INT_TILE_X 23 TILEPROP INT_R_X23Y35 INT_TILE_Y 114 TILEPROP INT_R_X23Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y35 IS_DCM_TILE 0 TILEPROP INT_R_X23Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y35 NAME INT_R_X23Y35 TILEPROP INT_R_X23Y35 NUM_ARCS 3737 TILEPROP INT_R_X23Y35 NUM_SITES 1 TILEPROP INT_R_X23Y35 ROW 119 TILEPROP INT_R_X23Y35 SLR_REGION_ID 0 TILEPROP INT_R_X23Y35 TILE_PATTERN_IDX 7701 TILEPROP INT_R_X23Y35 TILE_TYPE INT_R TILEPROP INT_R_X23Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y35 TILE_X 5184 TILEPROP INT_R_X23Y35 TILE_Y -126648 TILEPROP INT_R_X23Y35 TYPE INT_R TILEPROP INT_R_X23Y36 CLASS tile TILEPROP INT_R_X23Y36 COLUMN 58 TILEPROP INT_R_X23Y36 DEVICE_ID 0 TILEPROP INT_R_X23Y36 FIRST_SITE_ID 11841 TILEPROP INT_R_X23Y36 GRID_POINT_X 58 TILEPROP INT_R_X23Y36 GRID_POINT_Y 118 TILEPROP INT_R_X23Y36 INDEX 13628 TILEPROP INT_R_X23Y36 INT_TILE_X 23 TILEPROP INT_R_X23Y36 INT_TILE_Y 113 TILEPROP INT_R_X23Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y36 IS_DCM_TILE 0 TILEPROP INT_R_X23Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y36 NAME INT_R_X23Y36 TILEPROP INT_R_X23Y36 NUM_ARCS 3737 TILEPROP INT_R_X23Y36 NUM_SITES 1 TILEPROP INT_R_X23Y36 ROW 118 TILEPROP INT_R_X23Y36 SLR_REGION_ID 0 TILEPROP INT_R_X23Y36 TILE_PATTERN_IDX 7673 TILEPROP INT_R_X23Y36 TILE_TYPE INT_R TILEPROP INT_R_X23Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y36 TILE_X 5184 TILEPROP INT_R_X23Y36 TILE_Y -123448 TILEPROP INT_R_X23Y36 TYPE INT_R TILEPROP INT_R_X23Y37 CLASS tile TILEPROP INT_R_X23Y37 COLUMN 58 TILEPROP INT_R_X23Y37 DEVICE_ID 0 TILEPROP INT_R_X23Y37 FIRST_SITE_ID 11741 TILEPROP INT_R_X23Y37 GRID_POINT_X 58 TILEPROP INT_R_X23Y37 GRID_POINT_Y 117 TILEPROP INT_R_X23Y37 INDEX 13513 TILEPROP INT_R_X23Y37 INT_TILE_X 23 TILEPROP INT_R_X23Y37 INT_TILE_Y 112 TILEPROP INT_R_X23Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y37 IS_DCM_TILE 0 TILEPROP INT_R_X23Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y37 NAME INT_R_X23Y37 TILEPROP INT_R_X23Y37 NUM_ARCS 3737 TILEPROP INT_R_X23Y37 NUM_SITES 1 TILEPROP INT_R_X23Y37 ROW 117 TILEPROP INT_R_X23Y37 SLR_REGION_ID 0 TILEPROP INT_R_X23Y37 TILE_PATTERN_IDX 7645 TILEPROP INT_R_X23Y37 TILE_TYPE INT_R TILEPROP INT_R_X23Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y37 TILE_X 5184 TILEPROP INT_R_X23Y37 TILE_Y -120248 TILEPROP INT_R_X23Y37 TYPE INT_R TILEPROP INT_R_X23Y38 CLASS tile TILEPROP INT_R_X23Y38 COLUMN 58 TILEPROP INT_R_X23Y38 DEVICE_ID 0 TILEPROP INT_R_X23Y38 FIRST_SITE_ID 11641 TILEPROP INT_R_X23Y38 GRID_POINT_X 58 TILEPROP INT_R_X23Y38 GRID_POINT_Y 116 TILEPROP INT_R_X23Y38 INDEX 13398 TILEPROP INT_R_X23Y38 INT_TILE_X 23 TILEPROP INT_R_X23Y38 INT_TILE_Y 111 TILEPROP INT_R_X23Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y38 IS_DCM_TILE 0 TILEPROP INT_R_X23Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y38 NAME INT_R_X23Y38 TILEPROP INT_R_X23Y38 NUM_ARCS 3737 TILEPROP INT_R_X23Y38 NUM_SITES 1 TILEPROP INT_R_X23Y38 ROW 116 TILEPROP INT_R_X23Y38 SLR_REGION_ID 0 TILEPROP INT_R_X23Y38 TILE_PATTERN_IDX 7617 TILEPROP INT_R_X23Y38 TILE_TYPE INT_R TILEPROP INT_R_X23Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y38 TILE_X 5184 TILEPROP INT_R_X23Y38 TILE_Y -117048 TILEPROP INT_R_X23Y38 TYPE INT_R TILEPROP INT_R_X23Y39 CLASS tile TILEPROP INT_R_X23Y39 COLUMN 58 TILEPROP INT_R_X23Y39 DEVICE_ID 0 TILEPROP INT_R_X23Y39 FIRST_SITE_ID 11541 TILEPROP INT_R_X23Y39 GRID_POINT_X 58 TILEPROP INT_R_X23Y39 GRID_POINT_Y 115 TILEPROP INT_R_X23Y39 INDEX 13283 TILEPROP INT_R_X23Y39 INT_TILE_X 23 TILEPROP INT_R_X23Y39 INT_TILE_Y 110 TILEPROP INT_R_X23Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y39 IS_DCM_TILE 0 TILEPROP INT_R_X23Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y39 NAME INT_R_X23Y39 TILEPROP INT_R_X23Y39 NUM_ARCS 3737 TILEPROP INT_R_X23Y39 NUM_SITES 1 TILEPROP INT_R_X23Y39 ROW 115 TILEPROP INT_R_X23Y39 SLR_REGION_ID 0 TILEPROP INT_R_X23Y39 TILE_PATTERN_IDX 7588 TILEPROP INT_R_X23Y39 TILE_TYPE INT_R TILEPROP INT_R_X23Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y39 TILE_X 5184 TILEPROP INT_R_X23Y39 TILE_Y -113848 TILEPROP INT_R_X23Y39 TYPE INT_R TILEPROP INT_R_X23Y40 CLASS tile TILEPROP INT_R_X23Y40 COLUMN 58 TILEPROP INT_R_X23Y40 DEVICE_ID 0 TILEPROP INT_R_X23Y40 FIRST_SITE_ID 11432 TILEPROP INT_R_X23Y40 GRID_POINT_X 58 TILEPROP INT_R_X23Y40 GRID_POINT_Y 114 TILEPROP INT_R_X23Y40 INDEX 13168 TILEPROP INT_R_X23Y40 INT_TILE_X 23 TILEPROP INT_R_X23Y40 INT_TILE_Y 109 TILEPROP INT_R_X23Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y40 IS_DCM_TILE 0 TILEPROP INT_R_X23Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y40 NAME INT_R_X23Y40 TILEPROP INT_R_X23Y40 NUM_ARCS 3737 TILEPROP INT_R_X23Y40 NUM_SITES 1 TILEPROP INT_R_X23Y40 ROW 114 TILEPROP INT_R_X23Y40 SLR_REGION_ID 0 TILEPROP INT_R_X23Y40 TILE_PATTERN_IDX 7559 TILEPROP INT_R_X23Y40 TILE_TYPE INT_R TILEPROP INT_R_X23Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y40 TILE_X 5184 TILEPROP INT_R_X23Y40 TILE_Y -110648 TILEPROP INT_R_X23Y40 TYPE INT_R TILEPROP INT_R_X23Y41 CLASS tile TILEPROP INT_R_X23Y41 COLUMN 58 TILEPROP INT_R_X23Y41 DEVICE_ID 0 TILEPROP INT_R_X23Y41 FIRST_SITE_ID 11323 TILEPROP INT_R_X23Y41 GRID_POINT_X 58 TILEPROP INT_R_X23Y41 GRID_POINT_Y 113 TILEPROP INT_R_X23Y41 INDEX 13053 TILEPROP INT_R_X23Y41 INT_TILE_X 23 TILEPROP INT_R_X23Y41 INT_TILE_Y 108 TILEPROP INT_R_X23Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y41 IS_DCM_TILE 0 TILEPROP INT_R_X23Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y41 NAME INT_R_X23Y41 TILEPROP INT_R_X23Y41 NUM_ARCS 3737 TILEPROP INT_R_X23Y41 NUM_SITES 1 TILEPROP INT_R_X23Y41 ROW 113 TILEPROP INT_R_X23Y41 SLR_REGION_ID 0 TILEPROP INT_R_X23Y41 TILE_PATTERN_IDX 7524 TILEPROP INT_R_X23Y41 TILE_TYPE INT_R TILEPROP INT_R_X23Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y41 TILE_X 5184 TILEPROP INT_R_X23Y41 TILE_Y -107448 TILEPROP INT_R_X23Y41 TYPE INT_R TILEPROP INT_R_X23Y42 CLASS tile TILEPROP INT_R_X23Y42 COLUMN 58 TILEPROP INT_R_X23Y42 DEVICE_ID 0 TILEPROP INT_R_X23Y42 FIRST_SITE_ID 11222 TILEPROP INT_R_X23Y42 GRID_POINT_X 58 TILEPROP INT_R_X23Y42 GRID_POINT_Y 112 TILEPROP INT_R_X23Y42 INDEX 12938 TILEPROP INT_R_X23Y42 INT_TILE_X 23 TILEPROP INT_R_X23Y42 INT_TILE_Y 107 TILEPROP INT_R_X23Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y42 IS_DCM_TILE 0 TILEPROP INT_R_X23Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y42 NAME INT_R_X23Y42 TILEPROP INT_R_X23Y42 NUM_ARCS 3737 TILEPROP INT_R_X23Y42 NUM_SITES 1 TILEPROP INT_R_X23Y42 ROW 112 TILEPROP INT_R_X23Y42 SLR_REGION_ID 0 TILEPROP INT_R_X23Y42 TILE_PATTERN_IDX 7495 TILEPROP INT_R_X23Y42 TILE_TYPE INT_R TILEPROP INT_R_X23Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y42 TILE_X 5184 TILEPROP INT_R_X23Y42 TILE_Y -104248 TILEPROP INT_R_X23Y42 TYPE INT_R TILEPROP INT_R_X23Y43 CLASS tile TILEPROP INT_R_X23Y43 COLUMN 58 TILEPROP INT_R_X23Y43 DEVICE_ID 0 TILEPROP INT_R_X23Y43 FIRST_SITE_ID 11119 TILEPROP INT_R_X23Y43 GRID_POINT_X 58 TILEPROP INT_R_X23Y43 GRID_POINT_Y 111 TILEPROP INT_R_X23Y43 INDEX 12823 TILEPROP INT_R_X23Y43 INT_TILE_X 23 TILEPROP INT_R_X23Y43 INT_TILE_Y 106 TILEPROP INT_R_X23Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y43 IS_DCM_TILE 0 TILEPROP INT_R_X23Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y43 NAME INT_R_X23Y43 TILEPROP INT_R_X23Y43 NUM_ARCS 3737 TILEPROP INT_R_X23Y43 NUM_SITES 1 TILEPROP INT_R_X23Y43 ROW 111 TILEPROP INT_R_X23Y43 SLR_REGION_ID 0 TILEPROP INT_R_X23Y43 TILE_PATTERN_IDX 7466 TILEPROP INT_R_X23Y43 TILE_TYPE INT_R TILEPROP INT_R_X23Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y43 TILE_X 5184 TILEPROP INT_R_X23Y43 TILE_Y -101048 TILEPROP INT_R_X23Y43 TYPE INT_R TILEPROP INT_R_X23Y44 CLASS tile TILEPROP INT_R_X23Y44 COLUMN 58 TILEPROP INT_R_X23Y44 DEVICE_ID 0 TILEPROP INT_R_X23Y44 FIRST_SITE_ID 11017 TILEPROP INT_R_X23Y44 GRID_POINT_X 58 TILEPROP INT_R_X23Y44 GRID_POINT_Y 110 TILEPROP INT_R_X23Y44 INDEX 12708 TILEPROP INT_R_X23Y44 INT_TILE_X 23 TILEPROP INT_R_X23Y44 INT_TILE_Y 105 TILEPROP INT_R_X23Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y44 IS_DCM_TILE 0 TILEPROP INT_R_X23Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y44 NAME INT_R_X23Y44 TILEPROP INT_R_X23Y44 NUM_ARCS 3737 TILEPROP INT_R_X23Y44 NUM_SITES 1 TILEPROP INT_R_X23Y44 ROW 110 TILEPROP INT_R_X23Y44 SLR_REGION_ID 0 TILEPROP INT_R_X23Y44 TILE_PATTERN_IDX 7438 TILEPROP INT_R_X23Y44 TILE_TYPE INT_R TILEPROP INT_R_X23Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y44 TILE_X 5184 TILEPROP INT_R_X23Y44 TILE_Y -97848 TILEPROP INT_R_X23Y44 TYPE INT_R TILEPROP INT_R_X23Y45 CLASS tile TILEPROP INT_R_X23Y45 COLUMN 58 TILEPROP INT_R_X23Y45 DEVICE_ID 0 TILEPROP INT_R_X23Y45 FIRST_SITE_ID 10907 TILEPROP INT_R_X23Y45 GRID_POINT_X 58 TILEPROP INT_R_X23Y45 GRID_POINT_Y 109 TILEPROP INT_R_X23Y45 INDEX 12593 TILEPROP INT_R_X23Y45 INT_TILE_X 23 TILEPROP INT_R_X23Y45 INT_TILE_Y 104 TILEPROP INT_R_X23Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y45 IS_DCM_TILE 0 TILEPROP INT_R_X23Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y45 NAME INT_R_X23Y45 TILEPROP INT_R_X23Y45 NUM_ARCS 3737 TILEPROP INT_R_X23Y45 NUM_SITES 1 TILEPROP INT_R_X23Y45 ROW 109 TILEPROP INT_R_X23Y45 SLR_REGION_ID 0 TILEPROP INT_R_X23Y45 TILE_PATTERN_IDX 7402 TILEPROP INT_R_X23Y45 TILE_TYPE INT_R TILEPROP INT_R_X23Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y45 TILE_X 5184 TILEPROP INT_R_X23Y45 TILE_Y -94648 TILEPROP INT_R_X23Y45 TYPE INT_R TILEPROP INT_R_X23Y46 CLASS tile TILEPROP INT_R_X23Y46 COLUMN 58 TILEPROP INT_R_X23Y46 DEVICE_ID 0 TILEPROP INT_R_X23Y46 FIRST_SITE_ID 10785 TILEPROP INT_R_X23Y46 GRID_POINT_X 58 TILEPROP INT_R_X23Y46 GRID_POINT_Y 108 TILEPROP INT_R_X23Y46 INDEX 12478 TILEPROP INT_R_X23Y46 INT_TILE_X 23 TILEPROP INT_R_X23Y46 INT_TILE_Y 103 TILEPROP INT_R_X23Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y46 IS_DCM_TILE 0 TILEPROP INT_R_X23Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y46 NAME INT_R_X23Y46 TILEPROP INT_R_X23Y46 NUM_ARCS 3737 TILEPROP INT_R_X23Y46 NUM_SITES 1 TILEPROP INT_R_X23Y46 ROW 108 TILEPROP INT_R_X23Y46 SLR_REGION_ID 0 TILEPROP INT_R_X23Y46 TILE_PATTERN_IDX 7366 TILEPROP INT_R_X23Y46 TILE_TYPE INT_R TILEPROP INT_R_X23Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y46 TILE_X 5184 TILEPROP INT_R_X23Y46 TILE_Y -91448 TILEPROP INT_R_X23Y46 TYPE INT_R TILEPROP INT_R_X23Y47 CLASS tile TILEPROP INT_R_X23Y47 COLUMN 58 TILEPROP INT_R_X23Y47 DEVICE_ID 0 TILEPROP INT_R_X23Y47 FIRST_SITE_ID 10685 TILEPROP INT_R_X23Y47 GRID_POINT_X 58 TILEPROP INT_R_X23Y47 GRID_POINT_Y 107 TILEPROP INT_R_X23Y47 INDEX 12363 TILEPROP INT_R_X23Y47 INT_TILE_X 23 TILEPROP INT_R_X23Y47 INT_TILE_Y 102 TILEPROP INT_R_X23Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y47 IS_DCM_TILE 0 TILEPROP INT_R_X23Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y47 NAME INT_R_X23Y47 TILEPROP INT_R_X23Y47 NUM_ARCS 3737 TILEPROP INT_R_X23Y47 NUM_SITES 1 TILEPROP INT_R_X23Y47 ROW 107 TILEPROP INT_R_X23Y47 SLR_REGION_ID 0 TILEPROP INT_R_X23Y47 TILE_PATTERN_IDX 7329 TILEPROP INT_R_X23Y47 TILE_TYPE INT_R TILEPROP INT_R_X23Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y47 TILE_X 5184 TILEPROP INT_R_X23Y47 TILE_Y -88248 TILEPROP INT_R_X23Y47 TYPE INT_R TILEPROP INT_R_X23Y48 CLASS tile TILEPROP INT_R_X23Y48 COLUMN 58 TILEPROP INT_R_X23Y48 DEVICE_ID 0 TILEPROP INT_R_X23Y48 FIRST_SITE_ID 10585 TILEPROP INT_R_X23Y48 GRID_POINT_X 58 TILEPROP INT_R_X23Y48 GRID_POINT_Y 106 TILEPROP INT_R_X23Y48 INDEX 12248 TILEPROP INT_R_X23Y48 INT_TILE_X 23 TILEPROP INT_R_X23Y48 INT_TILE_Y 101 TILEPROP INT_R_X23Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y48 IS_DCM_TILE 0 TILEPROP INT_R_X23Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y48 NAME INT_R_X23Y48 TILEPROP INT_R_X23Y48 NUM_ARCS 3737 TILEPROP INT_R_X23Y48 NUM_SITES 1 TILEPROP INT_R_X23Y48 ROW 106 TILEPROP INT_R_X23Y48 SLR_REGION_ID 0 TILEPROP INT_R_X23Y48 TILE_PATTERN_IDX 7293 TILEPROP INT_R_X23Y48 TILE_TYPE INT_R TILEPROP INT_R_X23Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y48 TILE_X 5184 TILEPROP INT_R_X23Y48 TILE_Y -85048 TILEPROP INT_R_X23Y48 TYPE INT_R TILEPROP INT_R_X23Y49 CLASS tile TILEPROP INT_R_X23Y49 COLUMN 58 TILEPROP INT_R_X23Y49 DEVICE_ID 0 TILEPROP INT_R_X23Y49 FIRST_SITE_ID 10489 TILEPROP INT_R_X23Y49 GRID_POINT_X 58 TILEPROP INT_R_X23Y49 GRID_POINT_Y 105 TILEPROP INT_R_X23Y49 INDEX 12133 TILEPROP INT_R_X23Y49 INT_TILE_X 23 TILEPROP INT_R_X23Y49 INT_TILE_Y 100 TILEPROP INT_R_X23Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y49 IS_DCM_TILE 0 TILEPROP INT_R_X23Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y49 NAME INT_R_X23Y49 TILEPROP INT_R_X23Y49 NUM_ARCS 3737 TILEPROP INT_R_X23Y49 NUM_SITES 1 TILEPROP INT_R_X23Y49 ROW 105 TILEPROP INT_R_X23Y49 SLR_REGION_ID 0 TILEPROP INT_R_X23Y49 TILE_PATTERN_IDX 7257 TILEPROP INT_R_X23Y49 TILE_TYPE INT_R TILEPROP INT_R_X23Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y49 TILE_X 5184 TILEPROP INT_R_X23Y49 TILE_Y -81848 TILEPROP INT_R_X23Y49 TYPE INT_R TILEPROP INT_R_X23Y50 CLASS tile TILEPROP INT_R_X23Y50 COLUMN 58 TILEPROP INT_R_X23Y50 DEVICE_ID 0 TILEPROP INT_R_X23Y50 FIRST_SITE_ID 10364 TILEPROP INT_R_X23Y50 GRID_POINT_X 58 TILEPROP INT_R_X23Y50 GRID_POINT_Y 103 TILEPROP INT_R_X23Y50 INDEX 11903 TILEPROP INT_R_X23Y50 INT_TILE_X 23 TILEPROP INT_R_X23Y50 INT_TILE_Y 99 TILEPROP INT_R_X23Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y50 IS_DCM_TILE 0 TILEPROP INT_R_X23Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y50 NAME INT_R_X23Y50 TILEPROP INT_R_X23Y50 NUM_ARCS 3737 TILEPROP INT_R_X23Y50 NUM_SITES 1 TILEPROP INT_R_X23Y50 ROW 103 TILEPROP INT_R_X23Y50 SLR_REGION_ID 0 TILEPROP INT_R_X23Y50 TILE_PATTERN_IDX 7220 TILEPROP INT_R_X23Y50 TILE_TYPE INT_R TILEPROP INT_R_X23Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y50 TILE_X 5184 TILEPROP INT_R_X23Y50 TILE_Y -78400 TILEPROP INT_R_X23Y50 TYPE INT_R TILEPROP INT_R_X23Y51 CLASS tile TILEPROP INT_R_X23Y51 COLUMN 58 TILEPROP INT_R_X23Y51 DEVICE_ID 0 TILEPROP INT_R_X23Y51 FIRST_SITE_ID 10254 TILEPROP INT_R_X23Y51 GRID_POINT_X 58 TILEPROP INT_R_X23Y51 GRID_POINT_Y 102 TILEPROP INT_R_X23Y51 INDEX 11788 TILEPROP INT_R_X23Y51 INT_TILE_X 23 TILEPROP INT_R_X23Y51 INT_TILE_Y 98 TILEPROP INT_R_X23Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y51 IS_DCM_TILE 0 TILEPROP INT_R_X23Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y51 NAME INT_R_X23Y51 TILEPROP INT_R_X23Y51 NUM_ARCS 3737 TILEPROP INT_R_X23Y51 NUM_SITES 1 TILEPROP INT_R_X23Y51 ROW 102 TILEPROP INT_R_X23Y51 SLR_REGION_ID 0 TILEPROP INT_R_X23Y51 TILE_PATTERN_IDX 7183 TILEPROP INT_R_X23Y51 TILE_TYPE INT_R TILEPROP INT_R_X23Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y51 TILE_X 5184 TILEPROP INT_R_X23Y51 TILE_Y -75200 TILEPROP INT_R_X23Y51 TYPE INT_R TILEPROP INT_R_X23Y52 CLASS tile TILEPROP INT_R_X23Y52 COLUMN 58 TILEPROP INT_R_X23Y52 DEVICE_ID 0 TILEPROP INT_R_X23Y52 FIRST_SITE_ID 10154 TILEPROP INT_R_X23Y52 GRID_POINT_X 58 TILEPROP INT_R_X23Y52 GRID_POINT_Y 101 TILEPROP INT_R_X23Y52 INDEX 11673 TILEPROP INT_R_X23Y52 INT_TILE_X 23 TILEPROP INT_R_X23Y52 INT_TILE_Y 97 TILEPROP INT_R_X23Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y52 IS_DCM_TILE 0 TILEPROP INT_R_X23Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y52 NAME INT_R_X23Y52 TILEPROP INT_R_X23Y52 NUM_ARCS 3737 TILEPROP INT_R_X23Y52 NUM_SITES 1 TILEPROP INT_R_X23Y52 ROW 101 TILEPROP INT_R_X23Y52 SLR_REGION_ID 0 TILEPROP INT_R_X23Y52 TILE_PATTERN_IDX 7146 TILEPROP INT_R_X23Y52 TILE_TYPE INT_R TILEPROP INT_R_X23Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y52 TILE_X 5184 TILEPROP INT_R_X23Y52 TILE_Y -72000 TILEPROP INT_R_X23Y52 TYPE INT_R TILEPROP INT_R_X23Y53 CLASS tile TILEPROP INT_R_X23Y53 COLUMN 58 TILEPROP INT_R_X23Y53 DEVICE_ID 0 TILEPROP INT_R_X23Y53 FIRST_SITE_ID 10054 TILEPROP INT_R_X23Y53 GRID_POINT_X 58 TILEPROP INT_R_X23Y53 GRID_POINT_Y 100 TILEPROP INT_R_X23Y53 INDEX 11558 TILEPROP INT_R_X23Y53 INT_TILE_X 23 TILEPROP INT_R_X23Y53 INT_TILE_Y 96 TILEPROP INT_R_X23Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y53 IS_DCM_TILE 0 TILEPROP INT_R_X23Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y53 NAME INT_R_X23Y53 TILEPROP INT_R_X23Y53 NUM_ARCS 3737 TILEPROP INT_R_X23Y53 NUM_SITES 1 TILEPROP INT_R_X23Y53 ROW 100 TILEPROP INT_R_X23Y53 SLR_REGION_ID 0 TILEPROP INT_R_X23Y53 TILE_PATTERN_IDX 7109 TILEPROP INT_R_X23Y53 TILE_TYPE INT_R TILEPROP INT_R_X23Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y53 TILE_X 5184 TILEPROP INT_R_X23Y53 TILE_Y -68800 TILEPROP INT_R_X23Y53 TYPE INT_R TILEPROP INT_R_X23Y54 CLASS tile TILEPROP INT_R_X23Y54 COLUMN 58 TILEPROP INT_R_X23Y54 DEVICE_ID 0 TILEPROP INT_R_X23Y54 FIRST_SITE_ID 9954 TILEPROP INT_R_X23Y54 GRID_POINT_X 58 TILEPROP INT_R_X23Y54 GRID_POINT_Y 99 TILEPROP INT_R_X23Y54 INDEX 11443 TILEPROP INT_R_X23Y54 INT_TILE_X 23 TILEPROP INT_R_X23Y54 INT_TILE_Y 95 TILEPROP INT_R_X23Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y54 IS_DCM_TILE 0 TILEPROP INT_R_X23Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y54 NAME INT_R_X23Y54 TILEPROP INT_R_X23Y54 NUM_ARCS 3737 TILEPROP INT_R_X23Y54 NUM_SITES 1 TILEPROP INT_R_X23Y54 ROW 99 TILEPROP INT_R_X23Y54 SLR_REGION_ID 0 TILEPROP INT_R_X23Y54 TILE_PATTERN_IDX 7072 TILEPROP INT_R_X23Y54 TILE_TYPE INT_R TILEPROP INT_R_X23Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y54 TILE_X 5184 TILEPROP INT_R_X23Y54 TILE_Y -65600 TILEPROP INT_R_X23Y54 TYPE INT_R TILEPROP INT_R_X23Y55 CLASS tile TILEPROP INT_R_X23Y55 COLUMN 58 TILEPROP INT_R_X23Y55 DEVICE_ID 0 TILEPROP INT_R_X23Y55 FIRST_SITE_ID 9845 TILEPROP INT_R_X23Y55 GRID_POINT_X 58 TILEPROP INT_R_X23Y55 GRID_POINT_Y 98 TILEPROP INT_R_X23Y55 INDEX 11328 TILEPROP INT_R_X23Y55 INT_TILE_X 23 TILEPROP INT_R_X23Y55 INT_TILE_Y 94 TILEPROP INT_R_X23Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y55 IS_DCM_TILE 0 TILEPROP INT_R_X23Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y55 NAME INT_R_X23Y55 TILEPROP INT_R_X23Y55 NUM_ARCS 3737 TILEPROP INT_R_X23Y55 NUM_SITES 1 TILEPROP INT_R_X23Y55 ROW 98 TILEPROP INT_R_X23Y55 SLR_REGION_ID 0 TILEPROP INT_R_X23Y55 TILE_PATTERN_IDX 7034 TILEPROP INT_R_X23Y55 TILE_TYPE INT_R TILEPROP INT_R_X23Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y55 TILE_X 5184 TILEPROP INT_R_X23Y55 TILE_Y -62400 TILEPROP INT_R_X23Y55 TYPE INT_R TILEPROP INT_R_X23Y56 CLASS tile TILEPROP INT_R_X23Y56 COLUMN 58 TILEPROP INT_R_X23Y56 DEVICE_ID 0 TILEPROP INT_R_X23Y56 FIRST_SITE_ID 9739 TILEPROP INT_R_X23Y56 GRID_POINT_X 58 TILEPROP INT_R_X23Y56 GRID_POINT_Y 97 TILEPROP INT_R_X23Y56 INDEX 11213 TILEPROP INT_R_X23Y56 INT_TILE_X 23 TILEPROP INT_R_X23Y56 INT_TILE_Y 93 TILEPROP INT_R_X23Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y56 IS_DCM_TILE 0 TILEPROP INT_R_X23Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y56 NAME INT_R_X23Y56 TILEPROP INT_R_X23Y56 NUM_ARCS 3737 TILEPROP INT_R_X23Y56 NUM_SITES 1 TILEPROP INT_R_X23Y56 ROW 97 TILEPROP INT_R_X23Y56 SLR_REGION_ID 0 TILEPROP INT_R_X23Y56 TILE_PATTERN_IDX 6997 TILEPROP INT_R_X23Y56 TILE_TYPE INT_R TILEPROP INT_R_X23Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y56 TILE_X 5184 TILEPROP INT_R_X23Y56 TILE_Y -59200 TILEPROP INT_R_X23Y56 TYPE INT_R TILEPROP INT_R_X23Y57 CLASS tile TILEPROP INT_R_X23Y57 COLUMN 58 TILEPROP INT_R_X23Y57 DEVICE_ID 0 TILEPROP INT_R_X23Y57 FIRST_SITE_ID 9637 TILEPROP INT_R_X23Y57 GRID_POINT_X 58 TILEPROP INT_R_X23Y57 GRID_POINT_Y 96 TILEPROP INT_R_X23Y57 INDEX 11098 TILEPROP INT_R_X23Y57 INT_TILE_X 23 TILEPROP INT_R_X23Y57 INT_TILE_Y 92 TILEPROP INT_R_X23Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y57 IS_DCM_TILE 0 TILEPROP INT_R_X23Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y57 NAME INT_R_X23Y57 TILEPROP INT_R_X23Y57 NUM_ARCS 3737 TILEPROP INT_R_X23Y57 NUM_SITES 1 TILEPROP INT_R_X23Y57 ROW 96 TILEPROP INT_R_X23Y57 SLR_REGION_ID 0 TILEPROP INT_R_X23Y57 TILE_PATTERN_IDX 6960 TILEPROP INT_R_X23Y57 TILE_TYPE INT_R TILEPROP INT_R_X23Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y57 TILE_X 5184 TILEPROP INT_R_X23Y57 TILE_Y -56000 TILEPROP INT_R_X23Y57 TYPE INT_R TILEPROP INT_R_X23Y58 CLASS tile TILEPROP INT_R_X23Y58 COLUMN 58 TILEPROP INT_R_X23Y58 DEVICE_ID 0 TILEPROP INT_R_X23Y58 FIRST_SITE_ID 9534 TILEPROP INT_R_X23Y58 GRID_POINT_X 58 TILEPROP INT_R_X23Y58 GRID_POINT_Y 95 TILEPROP INT_R_X23Y58 INDEX 10983 TILEPROP INT_R_X23Y58 INT_TILE_X 23 TILEPROP INT_R_X23Y58 INT_TILE_Y 91 TILEPROP INT_R_X23Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y58 IS_DCM_TILE 0 TILEPROP INT_R_X23Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y58 NAME INT_R_X23Y58 TILEPROP INT_R_X23Y58 NUM_ARCS 3737 TILEPROP INT_R_X23Y58 NUM_SITES 1 TILEPROP INT_R_X23Y58 ROW 95 TILEPROP INT_R_X23Y58 SLR_REGION_ID 0 TILEPROP INT_R_X23Y58 TILE_PATTERN_IDX 6922 TILEPROP INT_R_X23Y58 TILE_TYPE INT_R TILEPROP INT_R_X23Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y58 TILE_X 5184 TILEPROP INT_R_X23Y58 TILE_Y -52800 TILEPROP INT_R_X23Y58 TYPE INT_R TILEPROP INT_R_X23Y59 CLASS tile TILEPROP INT_R_X23Y59 COLUMN 58 TILEPROP INT_R_X23Y59 DEVICE_ID 0 TILEPROP INT_R_X23Y59 FIRST_SITE_ID 9433 TILEPROP INT_R_X23Y59 GRID_POINT_X 58 TILEPROP INT_R_X23Y59 GRID_POINT_Y 94 TILEPROP INT_R_X23Y59 INDEX 10868 TILEPROP INT_R_X23Y59 INT_TILE_X 23 TILEPROP INT_R_X23Y59 INT_TILE_Y 90 TILEPROP INT_R_X23Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y59 IS_DCM_TILE 0 TILEPROP INT_R_X23Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y59 NAME INT_R_X23Y59 TILEPROP INT_R_X23Y59 NUM_ARCS 3737 TILEPROP INT_R_X23Y59 NUM_SITES 1 TILEPROP INT_R_X23Y59 ROW 94 TILEPROP INT_R_X23Y59 SLR_REGION_ID 0 TILEPROP INT_R_X23Y59 TILE_PATTERN_IDX 6885 TILEPROP INT_R_X23Y59 TILE_TYPE INT_R TILEPROP INT_R_X23Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y59 TILE_X 5184 TILEPROP INT_R_X23Y59 TILE_Y -49600 TILEPROP INT_R_X23Y59 TYPE INT_R TILEPROP INT_R_X23Y60 CLASS tile TILEPROP INT_R_X23Y60 COLUMN 58 TILEPROP INT_R_X23Y60 DEVICE_ID 0 TILEPROP INT_R_X23Y60 FIRST_SITE_ID 9324 TILEPROP INT_R_X23Y60 GRID_POINT_X 58 TILEPROP INT_R_X23Y60 GRID_POINT_Y 93 TILEPROP INT_R_X23Y60 INDEX 10753 TILEPROP INT_R_X23Y60 INT_TILE_X 23 TILEPROP INT_R_X23Y60 INT_TILE_Y 89 TILEPROP INT_R_X23Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y60 IS_DCM_TILE 0 TILEPROP INT_R_X23Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y60 NAME INT_R_X23Y60 TILEPROP INT_R_X23Y60 NUM_ARCS 3737 TILEPROP INT_R_X23Y60 NUM_SITES 1 TILEPROP INT_R_X23Y60 ROW 93 TILEPROP INT_R_X23Y60 SLR_REGION_ID 0 TILEPROP INT_R_X23Y60 TILE_PATTERN_IDX 6848 TILEPROP INT_R_X23Y60 TILE_TYPE INT_R TILEPROP INT_R_X23Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y60 TILE_X 5184 TILEPROP INT_R_X23Y60 TILE_Y -46400 TILEPROP INT_R_X23Y60 TYPE INT_R TILEPROP INT_R_X23Y61 CLASS tile TILEPROP INT_R_X23Y61 COLUMN 58 TILEPROP INT_R_X23Y61 DEVICE_ID 0 TILEPROP INT_R_X23Y61 FIRST_SITE_ID 9218 TILEPROP INT_R_X23Y61 GRID_POINT_X 58 TILEPROP INT_R_X23Y61 GRID_POINT_Y 92 TILEPROP INT_R_X23Y61 INDEX 10638 TILEPROP INT_R_X23Y61 INT_TILE_X 23 TILEPROP INT_R_X23Y61 INT_TILE_Y 88 TILEPROP INT_R_X23Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y61 IS_DCM_TILE 0 TILEPROP INT_R_X23Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y61 NAME INT_R_X23Y61 TILEPROP INT_R_X23Y61 NUM_ARCS 3737 TILEPROP INT_R_X23Y61 NUM_SITES 1 TILEPROP INT_R_X23Y61 ROW 92 TILEPROP INT_R_X23Y61 SLR_REGION_ID 0 TILEPROP INT_R_X23Y61 TILE_PATTERN_IDX 6811 TILEPROP INT_R_X23Y61 TILE_TYPE INT_R TILEPROP INT_R_X23Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y61 TILE_X 5184 TILEPROP INT_R_X23Y61 TILE_Y -43200 TILEPROP INT_R_X23Y61 TYPE INT_R TILEPROP INT_R_X23Y62 CLASS tile TILEPROP INT_R_X23Y62 COLUMN 58 TILEPROP INT_R_X23Y62 DEVICE_ID 0 TILEPROP INT_R_X23Y62 FIRST_SITE_ID 9086 TILEPROP INT_R_X23Y62 GRID_POINT_X 58 TILEPROP INT_R_X23Y62 GRID_POINT_Y 91 TILEPROP INT_R_X23Y62 INDEX 10523 TILEPROP INT_R_X23Y62 INT_TILE_X 23 TILEPROP INT_R_X23Y62 INT_TILE_Y 87 TILEPROP INT_R_X23Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y62 IS_DCM_TILE 0 TILEPROP INT_R_X23Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y62 NAME INT_R_X23Y62 TILEPROP INT_R_X23Y62 NUM_ARCS 3737 TILEPROP INT_R_X23Y62 NUM_SITES 1 TILEPROP INT_R_X23Y62 ROW 91 TILEPROP INT_R_X23Y62 SLR_REGION_ID 0 TILEPROP INT_R_X23Y62 TILE_PATTERN_IDX 6774 TILEPROP INT_R_X23Y62 TILE_TYPE INT_R TILEPROP INT_R_X23Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y62 TILE_X 5184 TILEPROP INT_R_X23Y62 TILE_Y -40000 TILEPROP INT_R_X23Y62 TYPE INT_R TILEPROP INT_R_X23Y63 CLASS tile TILEPROP INT_R_X23Y63 COLUMN 58 TILEPROP INT_R_X23Y63 DEVICE_ID 0 TILEPROP INT_R_X23Y63 FIRST_SITE_ID 8986 TILEPROP INT_R_X23Y63 GRID_POINT_X 58 TILEPROP INT_R_X23Y63 GRID_POINT_Y 90 TILEPROP INT_R_X23Y63 INDEX 10408 TILEPROP INT_R_X23Y63 INT_TILE_X 23 TILEPROP INT_R_X23Y63 INT_TILE_Y 86 TILEPROP INT_R_X23Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y63 IS_DCM_TILE 0 TILEPROP INT_R_X23Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y63 NAME INT_R_X23Y63 TILEPROP INT_R_X23Y63 NUM_ARCS 3737 TILEPROP INT_R_X23Y63 NUM_SITES 1 TILEPROP INT_R_X23Y63 ROW 90 TILEPROP INT_R_X23Y63 SLR_REGION_ID 0 TILEPROP INT_R_X23Y63 TILE_PATTERN_IDX 6737 TILEPROP INT_R_X23Y63 TILE_TYPE INT_R TILEPROP INT_R_X23Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y63 TILE_X 5184 TILEPROP INT_R_X23Y63 TILE_Y -36800 TILEPROP INT_R_X23Y63 TYPE INT_R TILEPROP INT_R_X23Y64 CLASS tile TILEPROP INT_R_X23Y64 COLUMN 58 TILEPROP INT_R_X23Y64 DEVICE_ID 0 TILEPROP INT_R_X23Y64 FIRST_SITE_ID 8886 TILEPROP INT_R_X23Y64 GRID_POINT_X 58 TILEPROP INT_R_X23Y64 GRID_POINT_Y 89 TILEPROP INT_R_X23Y64 INDEX 10293 TILEPROP INT_R_X23Y64 INT_TILE_X 23 TILEPROP INT_R_X23Y64 INT_TILE_Y 85 TILEPROP INT_R_X23Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y64 IS_DCM_TILE 0 TILEPROP INT_R_X23Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y64 NAME INT_R_X23Y64 TILEPROP INT_R_X23Y64 NUM_ARCS 3737 TILEPROP INT_R_X23Y64 NUM_SITES 1 TILEPROP INT_R_X23Y64 ROW 89 TILEPROP INT_R_X23Y64 SLR_REGION_ID 0 TILEPROP INT_R_X23Y64 TILE_PATTERN_IDX 6700 TILEPROP INT_R_X23Y64 TILE_TYPE INT_R TILEPROP INT_R_X23Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y64 TILE_X 5184 TILEPROP INT_R_X23Y64 TILE_Y -33600 TILEPROP INT_R_X23Y64 TYPE INT_R TILEPROP INT_R_X23Y65 CLASS tile TILEPROP INT_R_X23Y65 COLUMN 58 TILEPROP INT_R_X23Y65 DEVICE_ID 0 TILEPROP INT_R_X23Y65 FIRST_SITE_ID 8777 TILEPROP INT_R_X23Y65 GRID_POINT_X 58 TILEPROP INT_R_X23Y65 GRID_POINT_Y 88 TILEPROP INT_R_X23Y65 INDEX 10178 TILEPROP INT_R_X23Y65 INT_TILE_X 23 TILEPROP INT_R_X23Y65 INT_TILE_Y 84 TILEPROP INT_R_X23Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y65 IS_DCM_TILE 0 TILEPROP INT_R_X23Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y65 NAME INT_R_X23Y65 TILEPROP INT_R_X23Y65 NUM_ARCS 3737 TILEPROP INT_R_X23Y65 NUM_SITES 1 TILEPROP INT_R_X23Y65 ROW 88 TILEPROP INT_R_X23Y65 SLR_REGION_ID 0 TILEPROP INT_R_X23Y65 TILE_PATTERN_IDX 6663 TILEPROP INT_R_X23Y65 TILE_TYPE INT_R TILEPROP INT_R_X23Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y65 TILE_X 5184 TILEPROP INT_R_X23Y65 TILE_Y -30400 TILEPROP INT_R_X23Y65 TYPE INT_R TILEPROP INT_R_X23Y66 CLASS tile TILEPROP INT_R_X23Y66 COLUMN 58 TILEPROP INT_R_X23Y66 DEVICE_ID 0 TILEPROP INT_R_X23Y66 FIRST_SITE_ID 8671 TILEPROP INT_R_X23Y66 GRID_POINT_X 58 TILEPROP INT_R_X23Y66 GRID_POINT_Y 87 TILEPROP INT_R_X23Y66 INDEX 10063 TILEPROP INT_R_X23Y66 INT_TILE_X 23 TILEPROP INT_R_X23Y66 INT_TILE_Y 83 TILEPROP INT_R_X23Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y66 IS_DCM_TILE 0 TILEPROP INT_R_X23Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y66 NAME INT_R_X23Y66 TILEPROP INT_R_X23Y66 NUM_ARCS 3737 TILEPROP INT_R_X23Y66 NUM_SITES 1 TILEPROP INT_R_X23Y66 ROW 87 TILEPROP INT_R_X23Y66 SLR_REGION_ID 0 TILEPROP INT_R_X23Y66 TILE_PATTERN_IDX 6626 TILEPROP INT_R_X23Y66 TILE_TYPE INT_R TILEPROP INT_R_X23Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y66 TILE_X 5184 TILEPROP INT_R_X23Y66 TILE_Y -27200 TILEPROP INT_R_X23Y66 TYPE INT_R TILEPROP INT_R_X23Y67 CLASS tile TILEPROP INT_R_X23Y67 COLUMN 58 TILEPROP INT_R_X23Y67 DEVICE_ID 0 TILEPROP INT_R_X23Y67 FIRST_SITE_ID 8567 TILEPROP INT_R_X23Y67 GRID_POINT_X 58 TILEPROP INT_R_X23Y67 GRID_POINT_Y 86 TILEPROP INT_R_X23Y67 INDEX 9948 TILEPROP INT_R_X23Y67 INT_TILE_X 23 TILEPROP INT_R_X23Y67 INT_TILE_Y 82 TILEPROP INT_R_X23Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y67 IS_DCM_TILE 0 TILEPROP INT_R_X23Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y67 NAME INT_R_X23Y67 TILEPROP INT_R_X23Y67 NUM_ARCS 3737 TILEPROP INT_R_X23Y67 NUM_SITES 1 TILEPROP INT_R_X23Y67 ROW 86 TILEPROP INT_R_X23Y67 SLR_REGION_ID 0 TILEPROP INT_R_X23Y67 TILE_PATTERN_IDX 6588 TILEPROP INT_R_X23Y67 TILE_TYPE INT_R TILEPROP INT_R_X23Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y67 TILE_X 5184 TILEPROP INT_R_X23Y67 TILE_Y -24000 TILEPROP INT_R_X23Y67 TYPE INT_R TILEPROP INT_R_X23Y68 CLASS tile TILEPROP INT_R_X23Y68 COLUMN 58 TILEPROP INT_R_X23Y68 DEVICE_ID 0 TILEPROP INT_R_X23Y68 FIRST_SITE_ID 8463 TILEPROP INT_R_X23Y68 GRID_POINT_X 58 TILEPROP INT_R_X23Y68 GRID_POINT_Y 85 TILEPROP INT_R_X23Y68 INDEX 9833 TILEPROP INT_R_X23Y68 INT_TILE_X 23 TILEPROP INT_R_X23Y68 INT_TILE_Y 81 TILEPROP INT_R_X23Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y68 IS_DCM_TILE 0 TILEPROP INT_R_X23Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y68 NAME INT_R_X23Y68 TILEPROP INT_R_X23Y68 NUM_ARCS 3737 TILEPROP INT_R_X23Y68 NUM_SITES 1 TILEPROP INT_R_X23Y68 ROW 85 TILEPROP INT_R_X23Y68 SLR_REGION_ID 0 TILEPROP INT_R_X23Y68 TILE_PATTERN_IDX 6556 TILEPROP INT_R_X23Y68 TILE_TYPE INT_R TILEPROP INT_R_X23Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y68 TILE_X 5184 TILEPROP INT_R_X23Y68 TILE_Y -20800 TILEPROP INT_R_X23Y68 TYPE INT_R TILEPROP INT_R_X23Y69 CLASS tile TILEPROP INT_R_X23Y69 COLUMN 58 TILEPROP INT_R_X23Y69 DEVICE_ID 0 TILEPROP INT_R_X23Y69 FIRST_SITE_ID 8361 TILEPROP INT_R_X23Y69 GRID_POINT_X 58 TILEPROP INT_R_X23Y69 GRID_POINT_Y 84 TILEPROP INT_R_X23Y69 INDEX 9718 TILEPROP INT_R_X23Y69 INT_TILE_X 23 TILEPROP INT_R_X23Y69 INT_TILE_Y 80 TILEPROP INT_R_X23Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y69 IS_DCM_TILE 0 TILEPROP INT_R_X23Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y69 NAME INT_R_X23Y69 TILEPROP INT_R_X23Y69 NUM_ARCS 3737 TILEPROP INT_R_X23Y69 NUM_SITES 1 TILEPROP INT_R_X23Y69 ROW 84 TILEPROP INT_R_X23Y69 SLR_REGION_ID 0 TILEPROP INT_R_X23Y69 TILE_PATTERN_IDX 6525 TILEPROP INT_R_X23Y69 TILE_TYPE INT_R TILEPROP INT_R_X23Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y69 TILE_X 5184 TILEPROP INT_R_X23Y69 TILE_Y -17600 TILEPROP INT_R_X23Y69 TYPE INT_R TILEPROP INT_R_X23Y70 CLASS tile TILEPROP INT_R_X23Y70 COLUMN 58 TILEPROP INT_R_X23Y70 DEVICE_ID 0 TILEPROP INT_R_X23Y70 FIRST_SITE_ID 8250 TILEPROP INT_R_X23Y70 GRID_POINT_X 58 TILEPROP INT_R_X23Y70 GRID_POINT_Y 83 TILEPROP INT_R_X23Y70 INDEX 9603 TILEPROP INT_R_X23Y70 INT_TILE_X 23 TILEPROP INT_R_X23Y70 INT_TILE_Y 79 TILEPROP INT_R_X23Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y70 IS_DCM_TILE 0 TILEPROP INT_R_X23Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y70 NAME INT_R_X23Y70 TILEPROP INT_R_X23Y70 NUM_ARCS 3737 TILEPROP INT_R_X23Y70 NUM_SITES 1 TILEPROP INT_R_X23Y70 ROW 83 TILEPROP INT_R_X23Y70 SLR_REGION_ID 0 TILEPROP INT_R_X23Y70 TILE_PATTERN_IDX 6494 TILEPROP INT_R_X23Y70 TILE_TYPE INT_R TILEPROP INT_R_X23Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y70 TILE_X 5184 TILEPROP INT_R_X23Y70 TILE_Y -14400 TILEPROP INT_R_X23Y70 TYPE INT_R TILEPROP INT_R_X23Y71 CLASS tile TILEPROP INT_R_X23Y71 COLUMN 58 TILEPROP INT_R_X23Y71 DEVICE_ID 0 TILEPROP INT_R_X23Y71 FIRST_SITE_ID 8144 TILEPROP INT_R_X23Y71 GRID_POINT_X 58 TILEPROP INT_R_X23Y71 GRID_POINT_Y 82 TILEPROP INT_R_X23Y71 INDEX 9488 TILEPROP INT_R_X23Y71 INT_TILE_X 23 TILEPROP INT_R_X23Y71 INT_TILE_Y 78 TILEPROP INT_R_X23Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y71 IS_DCM_TILE 0 TILEPROP INT_R_X23Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y71 NAME INT_R_X23Y71 TILEPROP INT_R_X23Y71 NUM_ARCS 3737 TILEPROP INT_R_X23Y71 NUM_SITES 1 TILEPROP INT_R_X23Y71 ROW 82 TILEPROP INT_R_X23Y71 SLR_REGION_ID 0 TILEPROP INT_R_X23Y71 TILE_PATTERN_IDX 6463 TILEPROP INT_R_X23Y71 TILE_TYPE INT_R TILEPROP INT_R_X23Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y71 TILE_X 5184 TILEPROP INT_R_X23Y71 TILE_Y -11200 TILEPROP INT_R_X23Y71 TYPE INT_R TILEPROP INT_R_X23Y72 CLASS tile TILEPROP INT_R_X23Y72 COLUMN 58 TILEPROP INT_R_X23Y72 DEVICE_ID 0 TILEPROP INT_R_X23Y72 FIRST_SITE_ID 8044 TILEPROP INT_R_X23Y72 GRID_POINT_X 58 TILEPROP INT_R_X23Y72 GRID_POINT_Y 81 TILEPROP INT_R_X23Y72 INDEX 9373 TILEPROP INT_R_X23Y72 INT_TILE_X 23 TILEPROP INT_R_X23Y72 INT_TILE_Y 77 TILEPROP INT_R_X23Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y72 IS_DCM_TILE 0 TILEPROP INT_R_X23Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y72 NAME INT_R_X23Y72 TILEPROP INT_R_X23Y72 NUM_ARCS 3737 TILEPROP INT_R_X23Y72 NUM_SITES 1 TILEPROP INT_R_X23Y72 ROW 81 TILEPROP INT_R_X23Y72 SLR_REGION_ID 0 TILEPROP INT_R_X23Y72 TILE_PATTERN_IDX 6432 TILEPROP INT_R_X23Y72 TILE_TYPE INT_R TILEPROP INT_R_X23Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y72 TILE_X 5184 TILEPROP INT_R_X23Y72 TILE_Y -8000 TILEPROP INT_R_X23Y72 TYPE INT_R TILEPROP INT_R_X23Y73 CLASS tile TILEPROP INT_R_X23Y73 COLUMN 58 TILEPROP INT_R_X23Y73 DEVICE_ID 0 TILEPROP INT_R_X23Y73 FIRST_SITE_ID 7944 TILEPROP INT_R_X23Y73 GRID_POINT_X 58 TILEPROP INT_R_X23Y73 GRID_POINT_Y 80 TILEPROP INT_R_X23Y73 INDEX 9258 TILEPROP INT_R_X23Y73 INT_TILE_X 23 TILEPROP INT_R_X23Y73 INT_TILE_Y 76 TILEPROP INT_R_X23Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y73 IS_DCM_TILE 0 TILEPROP INT_R_X23Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y73 NAME INT_R_X23Y73 TILEPROP INT_R_X23Y73 NUM_ARCS 3737 TILEPROP INT_R_X23Y73 NUM_SITES 1 TILEPROP INT_R_X23Y73 ROW 80 TILEPROP INT_R_X23Y73 SLR_REGION_ID 0 TILEPROP INT_R_X23Y73 TILE_PATTERN_IDX 6401 TILEPROP INT_R_X23Y73 TILE_TYPE INT_R TILEPROP INT_R_X23Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y73 TILE_X 5184 TILEPROP INT_R_X23Y73 TILE_Y -4800 TILEPROP INT_R_X23Y73 TYPE INT_R TILEPROP INT_R_X23Y74 CLASS tile TILEPROP INT_R_X23Y74 COLUMN 58 TILEPROP INT_R_X23Y74 DEVICE_ID 0 TILEPROP INT_R_X23Y74 FIRST_SITE_ID 7844 TILEPROP INT_R_X23Y74 GRID_POINT_X 58 TILEPROP INT_R_X23Y74 GRID_POINT_Y 79 TILEPROP INT_R_X23Y74 INDEX 9143 TILEPROP INT_R_X23Y74 INT_TILE_X 23 TILEPROP INT_R_X23Y74 INT_TILE_Y 75 TILEPROP INT_R_X23Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y74 IS_DCM_TILE 0 TILEPROP INT_R_X23Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y74 NAME INT_R_X23Y74 TILEPROP INT_R_X23Y74 NUM_ARCS 3737 TILEPROP INT_R_X23Y74 NUM_SITES 1 TILEPROP INT_R_X23Y74 ROW 79 TILEPROP INT_R_X23Y74 SLR_REGION_ID 0 TILEPROP INT_R_X23Y74 TILE_PATTERN_IDX 6370 TILEPROP INT_R_X23Y74 TILE_TYPE INT_R TILEPROP INT_R_X23Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y74 TILE_X 5184 TILEPROP INT_R_X23Y74 TILE_Y -1600 TILEPROP INT_R_X23Y74 TYPE INT_R TILEPROP INT_R_X23Y75 CLASS tile TILEPROP INT_R_X23Y75 COLUMN 58 TILEPROP INT_R_X23Y75 DEVICE_ID 0 TILEPROP INT_R_X23Y75 FIRST_SITE_ID 7652 TILEPROP INT_R_X23Y75 GRID_POINT_X 58 TILEPROP INT_R_X23Y75 GRID_POINT_Y 77 TILEPROP INT_R_X23Y75 INDEX 8913 TILEPROP INT_R_X23Y75 INT_TILE_X 23 TILEPROP INT_R_X23Y75 INT_TILE_Y 74 TILEPROP INT_R_X23Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y75 IS_DCM_TILE 0 TILEPROP INT_R_X23Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y75 NAME INT_R_X23Y75 TILEPROP INT_R_X23Y75 NUM_ARCS 3737 TILEPROP INT_R_X23Y75 NUM_SITES 1 TILEPROP INT_R_X23Y75 ROW 77 TILEPROP INT_R_X23Y75 SLR_REGION_ID 0 TILEPROP INT_R_X23Y75 TILE_PATTERN_IDX 6296 TILEPROP INT_R_X23Y75 TILE_TYPE INT_R TILEPROP INT_R_X23Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y75 TILE_X 5184 TILEPROP INT_R_X23Y75 TILE_Y 2624 TILEPROP INT_R_X23Y75 TYPE INT_R TILEPROP INT_R_X23Y76 CLASS tile TILEPROP INT_R_X23Y76 COLUMN 58 TILEPROP INT_R_X23Y76 DEVICE_ID 0 TILEPROP INT_R_X23Y76 FIRST_SITE_ID 7543 TILEPROP INT_R_X23Y76 GRID_POINT_X 58 TILEPROP INT_R_X23Y76 GRID_POINT_Y 76 TILEPROP INT_R_X23Y76 INDEX 8798 TILEPROP INT_R_X23Y76 INT_TILE_X 23 TILEPROP INT_R_X23Y76 INT_TILE_Y 73 TILEPROP INT_R_X23Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y76 IS_DCM_TILE 0 TILEPROP INT_R_X23Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y76 NAME INT_R_X23Y76 TILEPROP INT_R_X23Y76 NUM_ARCS 3737 TILEPROP INT_R_X23Y76 NUM_SITES 1 TILEPROP INT_R_X23Y76 ROW 76 TILEPROP INT_R_X23Y76 SLR_REGION_ID 0 TILEPROP INT_R_X23Y76 TILE_PATTERN_IDX 6262 TILEPROP INT_R_X23Y76 TILE_TYPE INT_R TILEPROP INT_R_X23Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y76 TILE_X 5184 TILEPROP INT_R_X23Y76 TILE_Y 5824 TILEPROP INT_R_X23Y76 TYPE INT_R TILEPROP INT_R_X23Y77 CLASS tile TILEPROP INT_R_X23Y77 COLUMN 58 TILEPROP INT_R_X23Y77 DEVICE_ID 0 TILEPROP INT_R_X23Y77 FIRST_SITE_ID 7443 TILEPROP INT_R_X23Y77 GRID_POINT_X 58 TILEPROP INT_R_X23Y77 GRID_POINT_Y 75 TILEPROP INT_R_X23Y77 INDEX 8683 TILEPROP INT_R_X23Y77 INT_TILE_X 23 TILEPROP INT_R_X23Y77 INT_TILE_Y 72 TILEPROP INT_R_X23Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y77 IS_DCM_TILE 0 TILEPROP INT_R_X23Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y77 NAME INT_R_X23Y77 TILEPROP INT_R_X23Y77 NUM_ARCS 3737 TILEPROP INT_R_X23Y77 NUM_SITES 1 TILEPROP INT_R_X23Y77 ROW 75 TILEPROP INT_R_X23Y77 SLR_REGION_ID 0 TILEPROP INT_R_X23Y77 TILE_PATTERN_IDX 6228 TILEPROP INT_R_X23Y77 TILE_TYPE INT_R TILEPROP INT_R_X23Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y77 TILE_X 5184 TILEPROP INT_R_X23Y77 TILE_Y 9024 TILEPROP INT_R_X23Y77 TYPE INT_R TILEPROP INT_R_X23Y78 CLASS tile TILEPROP INT_R_X23Y78 COLUMN 58 TILEPROP INT_R_X23Y78 DEVICE_ID 0 TILEPROP INT_R_X23Y78 FIRST_SITE_ID 7343 TILEPROP INT_R_X23Y78 GRID_POINT_X 58 TILEPROP INT_R_X23Y78 GRID_POINT_Y 74 TILEPROP INT_R_X23Y78 INDEX 8568 TILEPROP INT_R_X23Y78 INT_TILE_X 23 TILEPROP INT_R_X23Y78 INT_TILE_Y 71 TILEPROP INT_R_X23Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y78 IS_DCM_TILE 0 TILEPROP INT_R_X23Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y78 NAME INT_R_X23Y78 TILEPROP INT_R_X23Y78 NUM_ARCS 3737 TILEPROP INT_R_X23Y78 NUM_SITES 1 TILEPROP INT_R_X23Y78 ROW 74 TILEPROP INT_R_X23Y78 SLR_REGION_ID 0 TILEPROP INT_R_X23Y78 TILE_PATTERN_IDX 6195 TILEPROP INT_R_X23Y78 TILE_TYPE INT_R TILEPROP INT_R_X23Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y78 TILE_X 5184 TILEPROP INT_R_X23Y78 TILE_Y 12224 TILEPROP INT_R_X23Y78 TYPE INT_R TILEPROP INT_R_X23Y79 CLASS tile TILEPROP INT_R_X23Y79 COLUMN 58 TILEPROP INT_R_X23Y79 DEVICE_ID 0 TILEPROP INT_R_X23Y79 FIRST_SITE_ID 7237 TILEPROP INT_R_X23Y79 GRID_POINT_X 58 TILEPROP INT_R_X23Y79 GRID_POINT_Y 73 TILEPROP INT_R_X23Y79 INDEX 8453 TILEPROP INT_R_X23Y79 INT_TILE_X 23 TILEPROP INT_R_X23Y79 INT_TILE_Y 70 TILEPROP INT_R_X23Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y79 IS_DCM_TILE 0 TILEPROP INT_R_X23Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y79 NAME INT_R_X23Y79 TILEPROP INT_R_X23Y79 NUM_ARCS 3737 TILEPROP INT_R_X23Y79 NUM_SITES 1 TILEPROP INT_R_X23Y79 ROW 73 TILEPROP INT_R_X23Y79 SLR_REGION_ID 0 TILEPROP INT_R_X23Y79 TILE_PATTERN_IDX 6160 TILEPROP INT_R_X23Y79 TILE_TYPE INT_R TILEPROP INT_R_X23Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y79 TILE_X 5184 TILEPROP INT_R_X23Y79 TILE_Y 15424 TILEPROP INT_R_X23Y79 TYPE INT_R TILEPROP INT_R_X23Y80 CLASS tile TILEPROP INT_R_X23Y80 COLUMN 58 TILEPROP INT_R_X23Y80 DEVICE_ID 0 TILEPROP INT_R_X23Y80 FIRST_SITE_ID 7122 TILEPROP INT_R_X23Y80 GRID_POINT_X 58 TILEPROP INT_R_X23Y80 GRID_POINT_Y 72 TILEPROP INT_R_X23Y80 INDEX 8338 TILEPROP INT_R_X23Y80 INT_TILE_X 23 TILEPROP INT_R_X23Y80 INT_TILE_Y 69 TILEPROP INT_R_X23Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y80 IS_DCM_TILE 0 TILEPROP INT_R_X23Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y80 NAME INT_R_X23Y80 TILEPROP INT_R_X23Y80 NUM_ARCS 3737 TILEPROP INT_R_X23Y80 NUM_SITES 1 TILEPROP INT_R_X23Y80 ROW 72 TILEPROP INT_R_X23Y80 SLR_REGION_ID 0 TILEPROP INT_R_X23Y80 TILE_PATTERN_IDX 6125 TILEPROP INT_R_X23Y80 TILE_TYPE INT_R TILEPROP INT_R_X23Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y80 TILE_X 5184 TILEPROP INT_R_X23Y80 TILE_Y 18624 TILEPROP INT_R_X23Y80 TYPE INT_R TILEPROP INT_R_X23Y81 CLASS tile TILEPROP INT_R_X23Y81 COLUMN 58 TILEPROP INT_R_X23Y81 DEVICE_ID 0 TILEPROP INT_R_X23Y81 FIRST_SITE_ID 7014 TILEPROP INT_R_X23Y81 GRID_POINT_X 58 TILEPROP INT_R_X23Y81 GRID_POINT_Y 71 TILEPROP INT_R_X23Y81 INDEX 8223 TILEPROP INT_R_X23Y81 INT_TILE_X 23 TILEPROP INT_R_X23Y81 INT_TILE_Y 68 TILEPROP INT_R_X23Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y81 IS_DCM_TILE 0 TILEPROP INT_R_X23Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y81 NAME INT_R_X23Y81 TILEPROP INT_R_X23Y81 NUM_ARCS 3737 TILEPROP INT_R_X23Y81 NUM_SITES 1 TILEPROP INT_R_X23Y81 ROW 71 TILEPROP INT_R_X23Y81 SLR_REGION_ID 0 TILEPROP INT_R_X23Y81 TILE_PATTERN_IDX 6091 TILEPROP INT_R_X23Y81 TILE_TYPE INT_R TILEPROP INT_R_X23Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y81 TILE_X 5184 TILEPROP INT_R_X23Y81 TILE_Y 21824 TILEPROP INT_R_X23Y81 TYPE INT_R TILEPROP INT_R_X23Y82 CLASS tile TILEPROP INT_R_X23Y82 COLUMN 58 TILEPROP INT_R_X23Y82 DEVICE_ID 0 TILEPROP INT_R_X23Y82 FIRST_SITE_ID 6912 TILEPROP INT_R_X23Y82 GRID_POINT_X 58 TILEPROP INT_R_X23Y82 GRID_POINT_Y 70 TILEPROP INT_R_X23Y82 INDEX 8108 TILEPROP INT_R_X23Y82 INT_TILE_X 23 TILEPROP INT_R_X23Y82 INT_TILE_Y 67 TILEPROP INT_R_X23Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y82 IS_DCM_TILE 0 TILEPROP INT_R_X23Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y82 NAME INT_R_X23Y82 TILEPROP INT_R_X23Y82 NUM_ARCS 3737 TILEPROP INT_R_X23Y82 NUM_SITES 1 TILEPROP INT_R_X23Y82 ROW 70 TILEPROP INT_R_X23Y82 SLR_REGION_ID 0 TILEPROP INT_R_X23Y82 TILE_PATTERN_IDX 6057 TILEPROP INT_R_X23Y82 TILE_TYPE INT_R TILEPROP INT_R_X23Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y82 TILE_X 5184 TILEPROP INT_R_X23Y82 TILE_Y 25024 TILEPROP INT_R_X23Y82 TYPE INT_R TILEPROP INT_R_X23Y83 CLASS tile TILEPROP INT_R_X23Y83 COLUMN 58 TILEPROP INT_R_X23Y83 DEVICE_ID 0 TILEPROP INT_R_X23Y83 FIRST_SITE_ID 6812 TILEPROP INT_R_X23Y83 GRID_POINT_X 58 TILEPROP INT_R_X23Y83 GRID_POINT_Y 69 TILEPROP INT_R_X23Y83 INDEX 7993 TILEPROP INT_R_X23Y83 INT_TILE_X 23 TILEPROP INT_R_X23Y83 INT_TILE_Y 66 TILEPROP INT_R_X23Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y83 IS_DCM_TILE 0 TILEPROP INT_R_X23Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y83 NAME INT_R_X23Y83 TILEPROP INT_R_X23Y83 NUM_ARCS 3737 TILEPROP INT_R_X23Y83 NUM_SITES 1 TILEPROP INT_R_X23Y83 ROW 69 TILEPROP INT_R_X23Y83 SLR_REGION_ID 0 TILEPROP INT_R_X23Y83 TILE_PATTERN_IDX 6023 TILEPROP INT_R_X23Y83 TILE_TYPE INT_R TILEPROP INT_R_X23Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y83 TILE_X 5184 TILEPROP INT_R_X23Y83 TILE_Y 28224 TILEPROP INT_R_X23Y83 TYPE INT_R TILEPROP INT_R_X23Y84 CLASS tile TILEPROP INT_R_X23Y84 COLUMN 58 TILEPROP INT_R_X23Y84 DEVICE_ID 0 TILEPROP INT_R_X23Y84 FIRST_SITE_ID 6712 TILEPROP INT_R_X23Y84 GRID_POINT_X 58 TILEPROP INT_R_X23Y84 GRID_POINT_Y 68 TILEPROP INT_R_X23Y84 INDEX 7878 TILEPROP INT_R_X23Y84 INT_TILE_X 23 TILEPROP INT_R_X23Y84 INT_TILE_Y 65 TILEPROP INT_R_X23Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y84 IS_DCM_TILE 0 TILEPROP INT_R_X23Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y84 NAME INT_R_X23Y84 TILEPROP INT_R_X23Y84 NUM_ARCS 3737 TILEPROP INT_R_X23Y84 NUM_SITES 1 TILEPROP INT_R_X23Y84 ROW 68 TILEPROP INT_R_X23Y84 SLR_REGION_ID 0 TILEPROP INT_R_X23Y84 TILE_PATTERN_IDX 5989 TILEPROP INT_R_X23Y84 TILE_TYPE INT_R TILEPROP INT_R_X23Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y84 TILE_X 5184 TILEPROP INT_R_X23Y84 TILE_Y 31424 TILEPROP INT_R_X23Y84 TYPE INT_R TILEPROP INT_R_X23Y85 CLASS tile TILEPROP INT_R_X23Y85 COLUMN 58 TILEPROP INT_R_X23Y85 DEVICE_ID 0 TILEPROP INT_R_X23Y85 FIRST_SITE_ID 6603 TILEPROP INT_R_X23Y85 GRID_POINT_X 58 TILEPROP INT_R_X23Y85 GRID_POINT_Y 67 TILEPROP INT_R_X23Y85 INDEX 7763 TILEPROP INT_R_X23Y85 INT_TILE_X 23 TILEPROP INT_R_X23Y85 INT_TILE_Y 64 TILEPROP INT_R_X23Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y85 IS_DCM_TILE 0 TILEPROP INT_R_X23Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y85 NAME INT_R_X23Y85 TILEPROP INT_R_X23Y85 NUM_ARCS 3737 TILEPROP INT_R_X23Y85 NUM_SITES 1 TILEPROP INT_R_X23Y85 ROW 67 TILEPROP INT_R_X23Y85 SLR_REGION_ID 0 TILEPROP INT_R_X23Y85 TILE_PATTERN_IDX 5955 TILEPROP INT_R_X23Y85 TILE_TYPE INT_R TILEPROP INT_R_X23Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y85 TILE_X 5184 TILEPROP INT_R_X23Y85 TILE_Y 34624 TILEPROP INT_R_X23Y85 TYPE INT_R TILEPROP INT_R_X23Y86 CLASS tile TILEPROP INT_R_X23Y86 COLUMN 58 TILEPROP INT_R_X23Y86 DEVICE_ID 0 TILEPROP INT_R_X23Y86 FIRST_SITE_ID 6465 TILEPROP INT_R_X23Y86 GRID_POINT_X 58 TILEPROP INT_R_X23Y86 GRID_POINT_Y 66 TILEPROP INT_R_X23Y86 INDEX 7648 TILEPROP INT_R_X23Y86 INT_TILE_X 23 TILEPROP INT_R_X23Y86 INT_TILE_Y 63 TILEPROP INT_R_X23Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y86 IS_DCM_TILE 0 TILEPROP INT_R_X23Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y86 NAME INT_R_X23Y86 TILEPROP INT_R_X23Y86 NUM_ARCS 3737 TILEPROP INT_R_X23Y86 NUM_SITES 1 TILEPROP INT_R_X23Y86 ROW 66 TILEPROP INT_R_X23Y86 SLR_REGION_ID 0 TILEPROP INT_R_X23Y86 TILE_PATTERN_IDX 5919 TILEPROP INT_R_X23Y86 TILE_TYPE INT_R TILEPROP INT_R_X23Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y86 TILE_X 5184 TILEPROP INT_R_X23Y86 TILE_Y 37824 TILEPROP INT_R_X23Y86 TYPE INT_R TILEPROP INT_R_X23Y87 CLASS tile TILEPROP INT_R_X23Y87 COLUMN 58 TILEPROP INT_R_X23Y87 DEVICE_ID 0 TILEPROP INT_R_X23Y87 FIRST_SITE_ID 6365 TILEPROP INT_R_X23Y87 GRID_POINT_X 58 TILEPROP INT_R_X23Y87 GRID_POINT_Y 65 TILEPROP INT_R_X23Y87 INDEX 7533 TILEPROP INT_R_X23Y87 INT_TILE_X 23 TILEPROP INT_R_X23Y87 INT_TILE_Y 62 TILEPROP INT_R_X23Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y87 IS_DCM_TILE 0 TILEPROP INT_R_X23Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y87 NAME INT_R_X23Y87 TILEPROP INT_R_X23Y87 NUM_ARCS 3737 TILEPROP INT_R_X23Y87 NUM_SITES 1 TILEPROP INT_R_X23Y87 ROW 65 TILEPROP INT_R_X23Y87 SLR_REGION_ID 0 TILEPROP INT_R_X23Y87 TILE_PATTERN_IDX 5885 TILEPROP INT_R_X23Y87 TILE_TYPE INT_R TILEPROP INT_R_X23Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y87 TILE_X 5184 TILEPROP INT_R_X23Y87 TILE_Y 41024 TILEPROP INT_R_X23Y87 TYPE INT_R TILEPROP INT_R_X23Y88 CLASS tile TILEPROP INT_R_X23Y88 COLUMN 58 TILEPROP INT_R_X23Y88 DEVICE_ID 0 TILEPROP INT_R_X23Y88 FIRST_SITE_ID 6265 TILEPROP INT_R_X23Y88 GRID_POINT_X 58 TILEPROP INT_R_X23Y88 GRID_POINT_Y 64 TILEPROP INT_R_X23Y88 INDEX 7418 TILEPROP INT_R_X23Y88 INT_TILE_X 23 TILEPROP INT_R_X23Y88 INT_TILE_Y 61 TILEPROP INT_R_X23Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y88 IS_DCM_TILE 0 TILEPROP INT_R_X23Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y88 NAME INT_R_X23Y88 TILEPROP INT_R_X23Y88 NUM_ARCS 3737 TILEPROP INT_R_X23Y88 NUM_SITES 1 TILEPROP INT_R_X23Y88 ROW 64 TILEPROP INT_R_X23Y88 SLR_REGION_ID 0 TILEPROP INT_R_X23Y88 TILE_PATTERN_IDX 5852 TILEPROP INT_R_X23Y88 TILE_TYPE INT_R TILEPROP INT_R_X23Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y88 TILE_X 5184 TILEPROP INT_R_X23Y88 TILE_Y 44224 TILEPROP INT_R_X23Y88 TYPE INT_R TILEPROP INT_R_X23Y89 CLASS tile TILEPROP INT_R_X23Y89 COLUMN 58 TILEPROP INT_R_X23Y89 DEVICE_ID 0 TILEPROP INT_R_X23Y89 FIRST_SITE_ID 6165 TILEPROP INT_R_X23Y89 GRID_POINT_X 58 TILEPROP INT_R_X23Y89 GRID_POINT_Y 63 TILEPROP INT_R_X23Y89 INDEX 7303 TILEPROP INT_R_X23Y89 INT_TILE_X 23 TILEPROP INT_R_X23Y89 INT_TILE_Y 60 TILEPROP INT_R_X23Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y89 IS_DCM_TILE 0 TILEPROP INT_R_X23Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y89 NAME INT_R_X23Y89 TILEPROP INT_R_X23Y89 NUM_ARCS 3737 TILEPROP INT_R_X23Y89 NUM_SITES 1 TILEPROP INT_R_X23Y89 ROW 63 TILEPROP INT_R_X23Y89 SLR_REGION_ID 0 TILEPROP INT_R_X23Y89 TILE_PATTERN_IDX 5818 TILEPROP INT_R_X23Y89 TILE_TYPE INT_R TILEPROP INT_R_X23Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y89 TILE_X 5184 TILEPROP INT_R_X23Y89 TILE_Y 47424 TILEPROP INT_R_X23Y89 TYPE INT_R TILEPROP INT_R_X23Y90 CLASS tile TILEPROP INT_R_X23Y90 COLUMN 58 TILEPROP INT_R_X23Y90 DEVICE_ID 0 TILEPROP INT_R_X23Y90 FIRST_SITE_ID 6056 TILEPROP INT_R_X23Y90 GRID_POINT_X 58 TILEPROP INT_R_X23Y90 GRID_POINT_Y 62 TILEPROP INT_R_X23Y90 INDEX 7188 TILEPROP INT_R_X23Y90 INT_TILE_X 23 TILEPROP INT_R_X23Y90 INT_TILE_Y 59 TILEPROP INT_R_X23Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y90 IS_DCM_TILE 0 TILEPROP INT_R_X23Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y90 NAME INT_R_X23Y90 TILEPROP INT_R_X23Y90 NUM_ARCS 3737 TILEPROP INT_R_X23Y90 NUM_SITES 1 TILEPROP INT_R_X23Y90 ROW 62 TILEPROP INT_R_X23Y90 SLR_REGION_ID 0 TILEPROP INT_R_X23Y90 TILE_PATTERN_IDX 5784 TILEPROP INT_R_X23Y90 TILE_TYPE INT_R TILEPROP INT_R_X23Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y90 TILE_X 5184 TILEPROP INT_R_X23Y90 TILE_Y 50624 TILEPROP INT_R_X23Y90 TYPE INT_R TILEPROP INT_R_X23Y91 CLASS tile TILEPROP INT_R_X23Y91 COLUMN 58 TILEPROP INT_R_X23Y91 DEVICE_ID 0 TILEPROP INT_R_X23Y91 FIRST_SITE_ID 5950 TILEPROP INT_R_X23Y91 GRID_POINT_X 58 TILEPROP INT_R_X23Y91 GRID_POINT_Y 61 TILEPROP INT_R_X23Y91 INDEX 7073 TILEPROP INT_R_X23Y91 INT_TILE_X 23 TILEPROP INT_R_X23Y91 INT_TILE_Y 58 TILEPROP INT_R_X23Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y91 IS_DCM_TILE 0 TILEPROP INT_R_X23Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y91 NAME INT_R_X23Y91 TILEPROP INT_R_X23Y91 NUM_ARCS 3737 TILEPROP INT_R_X23Y91 NUM_SITES 1 TILEPROP INT_R_X23Y91 ROW 61 TILEPROP INT_R_X23Y91 SLR_REGION_ID 0 TILEPROP INT_R_X23Y91 TILE_PATTERN_IDX 5750 TILEPROP INT_R_X23Y91 TILE_TYPE INT_R TILEPROP INT_R_X23Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y91 TILE_X 5184 TILEPROP INT_R_X23Y91 TILE_Y 53824 TILEPROP INT_R_X23Y91 TYPE INT_R TILEPROP INT_R_X23Y92 CLASS tile TILEPROP INT_R_X23Y92 COLUMN 58 TILEPROP INT_R_X23Y92 DEVICE_ID 0 TILEPROP INT_R_X23Y92 FIRST_SITE_ID 5849 TILEPROP INT_R_X23Y92 GRID_POINT_X 58 TILEPROP INT_R_X23Y92 GRID_POINT_Y 60 TILEPROP INT_R_X23Y92 INDEX 6958 TILEPROP INT_R_X23Y92 INT_TILE_X 23 TILEPROP INT_R_X23Y92 INT_TILE_Y 57 TILEPROP INT_R_X23Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y92 IS_DCM_TILE 0 TILEPROP INT_R_X23Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y92 NAME INT_R_X23Y92 TILEPROP INT_R_X23Y92 NUM_ARCS 3737 TILEPROP INT_R_X23Y92 NUM_SITES 1 TILEPROP INT_R_X23Y92 ROW 60 TILEPROP INT_R_X23Y92 SLR_REGION_ID 0 TILEPROP INT_R_X23Y92 TILE_PATTERN_IDX 5717 TILEPROP INT_R_X23Y92 TILE_TYPE INT_R TILEPROP INT_R_X23Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y92 TILE_X 5184 TILEPROP INT_R_X23Y92 TILE_Y 57024 TILEPROP INT_R_X23Y92 TYPE INT_R TILEPROP INT_R_X23Y93 CLASS tile TILEPROP INT_R_X23Y93 COLUMN 58 TILEPROP INT_R_X23Y93 DEVICE_ID 0 TILEPROP INT_R_X23Y93 FIRST_SITE_ID 5746 TILEPROP INT_R_X23Y93 GRID_POINT_X 58 TILEPROP INT_R_X23Y93 GRID_POINT_Y 59 TILEPROP INT_R_X23Y93 INDEX 6843 TILEPROP INT_R_X23Y93 INT_TILE_X 23 TILEPROP INT_R_X23Y93 INT_TILE_Y 56 TILEPROP INT_R_X23Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y93 IS_DCM_TILE 0 TILEPROP INT_R_X23Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y93 NAME INT_R_X23Y93 TILEPROP INT_R_X23Y93 NUM_ARCS 3737 TILEPROP INT_R_X23Y93 NUM_SITES 1 TILEPROP INT_R_X23Y93 ROW 59 TILEPROP INT_R_X23Y93 SLR_REGION_ID 0 TILEPROP INT_R_X23Y93 TILE_PATTERN_IDX 5683 TILEPROP INT_R_X23Y93 TILE_TYPE INT_R TILEPROP INT_R_X23Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y93 TILE_X 5184 TILEPROP INT_R_X23Y93 TILE_Y 60224 TILEPROP INT_R_X23Y93 TYPE INT_R TILEPROP INT_R_X23Y94 CLASS tile TILEPROP INT_R_X23Y94 COLUMN 58 TILEPROP INT_R_X23Y94 DEVICE_ID 0 TILEPROP INT_R_X23Y94 FIRST_SITE_ID 5644 TILEPROP INT_R_X23Y94 GRID_POINT_X 58 TILEPROP INT_R_X23Y94 GRID_POINT_Y 58 TILEPROP INT_R_X23Y94 INDEX 6728 TILEPROP INT_R_X23Y94 INT_TILE_X 23 TILEPROP INT_R_X23Y94 INT_TILE_Y 55 TILEPROP INT_R_X23Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y94 IS_DCM_TILE 0 TILEPROP INT_R_X23Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y94 NAME INT_R_X23Y94 TILEPROP INT_R_X23Y94 NUM_ARCS 3737 TILEPROP INT_R_X23Y94 NUM_SITES 1 TILEPROP INT_R_X23Y94 ROW 58 TILEPROP INT_R_X23Y94 SLR_REGION_ID 0 TILEPROP INT_R_X23Y94 TILE_PATTERN_IDX 5649 TILEPROP INT_R_X23Y94 TILE_TYPE INT_R TILEPROP INT_R_X23Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y94 TILE_X 5184 TILEPROP INT_R_X23Y94 TILE_Y 63424 TILEPROP INT_R_X23Y94 TYPE INT_R TILEPROP INT_R_X23Y95 CLASS tile TILEPROP INT_R_X23Y95 COLUMN 58 TILEPROP INT_R_X23Y95 DEVICE_ID 0 TILEPROP INT_R_X23Y95 FIRST_SITE_ID 5535 TILEPROP INT_R_X23Y95 GRID_POINT_X 58 TILEPROP INT_R_X23Y95 GRID_POINT_Y 57 TILEPROP INT_R_X23Y95 INDEX 6613 TILEPROP INT_R_X23Y95 INT_TILE_X 23 TILEPROP INT_R_X23Y95 INT_TILE_Y 54 TILEPROP INT_R_X23Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y95 IS_DCM_TILE 0 TILEPROP INT_R_X23Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y95 NAME INT_R_X23Y95 TILEPROP INT_R_X23Y95 NUM_ARCS 3737 TILEPROP INT_R_X23Y95 NUM_SITES 1 TILEPROP INT_R_X23Y95 ROW 57 TILEPROP INT_R_X23Y95 SLR_REGION_ID 0 TILEPROP INT_R_X23Y95 TILE_PATTERN_IDX 5615 TILEPROP INT_R_X23Y95 TILE_TYPE INT_R TILEPROP INT_R_X23Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y95 TILE_X 5184 TILEPROP INT_R_X23Y95 TILE_Y 66624 TILEPROP INT_R_X23Y95 TYPE INT_R TILEPROP INT_R_X23Y96 CLASS tile TILEPROP INT_R_X23Y96 COLUMN 58 TILEPROP INT_R_X23Y96 DEVICE_ID 0 TILEPROP INT_R_X23Y96 FIRST_SITE_ID 5429 TILEPROP INT_R_X23Y96 GRID_POINT_X 58 TILEPROP INT_R_X23Y96 GRID_POINT_Y 56 TILEPROP INT_R_X23Y96 INDEX 6498 TILEPROP INT_R_X23Y96 INT_TILE_X 23 TILEPROP INT_R_X23Y96 INT_TILE_Y 53 TILEPROP INT_R_X23Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y96 IS_DCM_TILE 0 TILEPROP INT_R_X23Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y96 NAME INT_R_X23Y96 TILEPROP INT_R_X23Y96 NUM_ARCS 3737 TILEPROP INT_R_X23Y96 NUM_SITES 1 TILEPROP INT_R_X23Y96 ROW 56 TILEPROP INT_R_X23Y96 SLR_REGION_ID 0 TILEPROP INT_R_X23Y96 TILE_PATTERN_IDX 5580 TILEPROP INT_R_X23Y96 TILE_TYPE INT_R TILEPROP INT_R_X23Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y96 TILE_X 5184 TILEPROP INT_R_X23Y96 TILE_Y 69824 TILEPROP INT_R_X23Y96 TYPE INT_R TILEPROP INT_R_X23Y97 CLASS tile TILEPROP INT_R_X23Y97 COLUMN 58 TILEPROP INT_R_X23Y97 DEVICE_ID 0 TILEPROP INT_R_X23Y97 FIRST_SITE_ID 5329 TILEPROP INT_R_X23Y97 GRID_POINT_X 58 TILEPROP INT_R_X23Y97 GRID_POINT_Y 55 TILEPROP INT_R_X23Y97 INDEX 6383 TILEPROP INT_R_X23Y97 INT_TILE_X 23 TILEPROP INT_R_X23Y97 INT_TILE_Y 52 TILEPROP INT_R_X23Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y97 IS_DCM_TILE 0 TILEPROP INT_R_X23Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y97 NAME INT_R_X23Y97 TILEPROP INT_R_X23Y97 NUM_ARCS 3737 TILEPROP INT_R_X23Y97 NUM_SITES 1 TILEPROP INT_R_X23Y97 ROW 55 TILEPROP INT_R_X23Y97 SLR_REGION_ID 0 TILEPROP INT_R_X23Y97 TILE_PATTERN_IDX 5546 TILEPROP INT_R_X23Y97 TILE_TYPE INT_R TILEPROP INT_R_X23Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y97 TILE_X 5184 TILEPROP INT_R_X23Y97 TILE_Y 73024 TILEPROP INT_R_X23Y97 TYPE INT_R TILEPROP INT_R_X23Y98 CLASS tile TILEPROP INT_R_X23Y98 COLUMN 58 TILEPROP INT_R_X23Y98 DEVICE_ID 0 TILEPROP INT_R_X23Y98 FIRST_SITE_ID 5229 TILEPROP INT_R_X23Y98 GRID_POINT_X 58 TILEPROP INT_R_X23Y98 GRID_POINT_Y 54 TILEPROP INT_R_X23Y98 INDEX 6268 TILEPROP INT_R_X23Y98 INT_TILE_X 23 TILEPROP INT_R_X23Y98 INT_TILE_Y 51 TILEPROP INT_R_X23Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y98 IS_DCM_TILE 0 TILEPROP INT_R_X23Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y98 NAME INT_R_X23Y98 TILEPROP INT_R_X23Y98 NUM_ARCS 3737 TILEPROP INT_R_X23Y98 NUM_SITES 1 TILEPROP INT_R_X23Y98 ROW 54 TILEPROP INT_R_X23Y98 SLR_REGION_ID 0 TILEPROP INT_R_X23Y98 TILE_PATTERN_IDX 5512 TILEPROP INT_R_X23Y98 TILE_TYPE INT_R TILEPROP INT_R_X23Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y98 TILE_X 5184 TILEPROP INT_R_X23Y98 TILE_Y 76224 TILEPROP INT_R_X23Y98 TYPE INT_R TILEPROP INT_R_X23Y99 CLASS tile TILEPROP INT_R_X23Y99 COLUMN 58 TILEPROP INT_R_X23Y99 DEVICE_ID 0 TILEPROP INT_R_X23Y99 FIRST_SITE_ID 5133 TILEPROP INT_R_X23Y99 GRID_POINT_X 58 TILEPROP INT_R_X23Y99 GRID_POINT_Y 53 TILEPROP INT_R_X23Y99 INDEX 6153 TILEPROP INT_R_X23Y99 INT_TILE_X 23 TILEPROP INT_R_X23Y99 INT_TILE_Y 50 TILEPROP INT_R_X23Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y99 IS_DCM_TILE 0 TILEPROP INT_R_X23Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y99 NAME INT_R_X23Y99 TILEPROP INT_R_X23Y99 NUM_ARCS 3737 TILEPROP INT_R_X23Y99 NUM_SITES 1 TILEPROP INT_R_X23Y99 ROW 53 TILEPROP INT_R_X23Y99 SLR_REGION_ID 0 TILEPROP INT_R_X23Y99 TILE_PATTERN_IDX 5479 TILEPROP INT_R_X23Y99 TILE_TYPE INT_R TILEPROP INT_R_X23Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y99 TILE_X 5184 TILEPROP INT_R_X23Y99 TILE_Y 79424 TILEPROP INT_R_X23Y99 TYPE INT_R TILEPROP INT_R_X23Y100 CLASS tile TILEPROP INT_R_X23Y100 COLUMN 58 TILEPROP INT_R_X23Y100 DEVICE_ID 0 TILEPROP INT_R_X23Y100 FIRST_SITE_ID 5056 TILEPROP INT_R_X23Y100 GRID_POINT_X 58 TILEPROP INT_R_X23Y100 GRID_POINT_Y 51 TILEPROP INT_R_X23Y100 INDEX 5923 TILEPROP INT_R_X23Y100 INT_TILE_X 23 TILEPROP INT_R_X23Y100 INT_TILE_Y 49 TILEPROP INT_R_X23Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y100 IS_DCM_TILE 0 TILEPROP INT_R_X23Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y100 NAME INT_R_X23Y100 TILEPROP INT_R_X23Y100 NUM_ARCS 3737 TILEPROP INT_R_X23Y100 NUM_SITES 1 TILEPROP INT_R_X23Y100 ROW 51 TILEPROP INT_R_X23Y100 SLR_REGION_ID 0 TILEPROP INT_R_X23Y100 TILE_PATTERN_IDX 5447 TILEPROP INT_R_X23Y100 TILE_TYPE INT_R TILEPROP INT_R_X23Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y100 TILE_X 5184 TILEPROP INT_R_X23Y100 TILE_Y 82872 TILEPROP INT_R_X23Y100 TYPE INT_R TILEPROP INT_R_X23Y101 CLASS tile TILEPROP INT_R_X23Y101 COLUMN 58 TILEPROP INT_R_X23Y101 DEVICE_ID 0 TILEPROP INT_R_X23Y101 FIRST_SITE_ID 4958 TILEPROP INT_R_X23Y101 GRID_POINT_X 58 TILEPROP INT_R_X23Y101 GRID_POINT_Y 50 TILEPROP INT_R_X23Y101 INDEX 5808 TILEPROP INT_R_X23Y101 INT_TILE_X 23 TILEPROP INT_R_X23Y101 INT_TILE_Y 48 TILEPROP INT_R_X23Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y101 IS_DCM_TILE 0 TILEPROP INT_R_X23Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y101 NAME INT_R_X23Y101 TILEPROP INT_R_X23Y101 NUM_ARCS 3737 TILEPROP INT_R_X23Y101 NUM_SITES 1 TILEPROP INT_R_X23Y101 ROW 50 TILEPROP INT_R_X23Y101 SLR_REGION_ID 0 TILEPROP INT_R_X23Y101 TILE_PATTERN_IDX 5411 TILEPROP INT_R_X23Y101 TILE_TYPE INT_R TILEPROP INT_R_X23Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y101 TILE_X 5184 TILEPROP INT_R_X23Y101 TILE_Y 86072 TILEPROP INT_R_X23Y101 TYPE INT_R TILEPROP INT_R_X23Y102 CLASS tile TILEPROP INT_R_X23Y102 COLUMN 58 TILEPROP INT_R_X23Y102 DEVICE_ID 0 TILEPROP INT_R_X23Y102 FIRST_SITE_ID 4862 TILEPROP INT_R_X23Y102 GRID_POINT_X 58 TILEPROP INT_R_X23Y102 GRID_POINT_Y 49 TILEPROP INT_R_X23Y102 INDEX 5693 TILEPROP INT_R_X23Y102 INT_TILE_X 23 TILEPROP INT_R_X23Y102 INT_TILE_Y 47 TILEPROP INT_R_X23Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y102 IS_DCM_TILE 0 TILEPROP INT_R_X23Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y102 NAME INT_R_X23Y102 TILEPROP INT_R_X23Y102 NUM_ARCS 3737 TILEPROP INT_R_X23Y102 NUM_SITES 1 TILEPROP INT_R_X23Y102 ROW 49 TILEPROP INT_R_X23Y102 SLR_REGION_ID 0 TILEPROP INT_R_X23Y102 TILE_PATTERN_IDX 5374 TILEPROP INT_R_X23Y102 TILE_TYPE INT_R TILEPROP INT_R_X23Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y102 TILE_X 5184 TILEPROP INT_R_X23Y102 TILE_Y 89272 TILEPROP INT_R_X23Y102 TYPE INT_R TILEPROP INT_R_X23Y103 CLASS tile TILEPROP INT_R_X23Y103 COLUMN 58 TILEPROP INT_R_X23Y103 DEVICE_ID 0 TILEPROP INT_R_X23Y103 FIRST_SITE_ID 4774 TILEPROP INT_R_X23Y103 GRID_POINT_X 58 TILEPROP INT_R_X23Y103 GRID_POINT_Y 48 TILEPROP INT_R_X23Y103 INDEX 5578 TILEPROP INT_R_X23Y103 INT_TILE_X 23 TILEPROP INT_R_X23Y103 INT_TILE_Y 46 TILEPROP INT_R_X23Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y103 IS_DCM_TILE 0 TILEPROP INT_R_X23Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y103 NAME INT_R_X23Y103 TILEPROP INT_R_X23Y103 NUM_ARCS 3737 TILEPROP INT_R_X23Y103 NUM_SITES 1 TILEPROP INT_R_X23Y103 ROW 48 TILEPROP INT_R_X23Y103 SLR_REGION_ID 0 TILEPROP INT_R_X23Y103 TILE_PATTERN_IDX 5338 TILEPROP INT_R_X23Y103 TILE_TYPE INT_R TILEPROP INT_R_X23Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y103 TILE_X 5184 TILEPROP INT_R_X23Y103 TILE_Y 92472 TILEPROP INT_R_X23Y103 TYPE INT_R TILEPROP INT_R_X23Y104 CLASS tile TILEPROP INT_R_X23Y104 COLUMN 58 TILEPROP INT_R_X23Y104 DEVICE_ID 0 TILEPROP INT_R_X23Y104 FIRST_SITE_ID 4678 TILEPROP INT_R_X23Y104 GRID_POINT_X 58 TILEPROP INT_R_X23Y104 GRID_POINT_Y 47 TILEPROP INT_R_X23Y104 INDEX 5463 TILEPROP INT_R_X23Y104 INT_TILE_X 23 TILEPROP INT_R_X23Y104 INT_TILE_Y 45 TILEPROP INT_R_X23Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y104 IS_DCM_TILE 0 TILEPROP INT_R_X23Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y104 NAME INT_R_X23Y104 TILEPROP INT_R_X23Y104 NUM_ARCS 3737 TILEPROP INT_R_X23Y104 NUM_SITES 1 TILEPROP INT_R_X23Y104 ROW 47 TILEPROP INT_R_X23Y104 SLR_REGION_ID 0 TILEPROP INT_R_X23Y104 TILE_PATTERN_IDX 5301 TILEPROP INT_R_X23Y104 TILE_TYPE INT_R TILEPROP INT_R_X23Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y104 TILE_X 5184 TILEPROP INT_R_X23Y104 TILE_Y 95672 TILEPROP INT_R_X23Y104 TYPE INT_R TILEPROP INT_R_X23Y105 CLASS tile TILEPROP INT_R_X23Y105 COLUMN 58 TILEPROP INT_R_X23Y105 DEVICE_ID 0 TILEPROP INT_R_X23Y105 FIRST_SITE_ID 4582 TILEPROP INT_R_X23Y105 GRID_POINT_X 58 TILEPROP INT_R_X23Y105 GRID_POINT_Y 46 TILEPROP INT_R_X23Y105 INDEX 5348 TILEPROP INT_R_X23Y105 INT_TILE_X 23 TILEPROP INT_R_X23Y105 INT_TILE_Y 44 TILEPROP INT_R_X23Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y105 IS_DCM_TILE 0 TILEPROP INT_R_X23Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y105 NAME INT_R_X23Y105 TILEPROP INT_R_X23Y105 NUM_ARCS 3737 TILEPROP INT_R_X23Y105 NUM_SITES 1 TILEPROP INT_R_X23Y105 ROW 46 TILEPROP INT_R_X23Y105 SLR_REGION_ID 0 TILEPROP INT_R_X23Y105 TILE_PATTERN_IDX 5265 TILEPROP INT_R_X23Y105 TILE_TYPE INT_R TILEPROP INT_R_X23Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y105 TILE_X 5184 TILEPROP INT_R_X23Y105 TILE_Y 98872 TILEPROP INT_R_X23Y105 TYPE INT_R TILEPROP INT_R_X23Y106 CLASS tile TILEPROP INT_R_X23Y106 COLUMN 58 TILEPROP INT_R_X23Y106 DEVICE_ID 0 TILEPROP INT_R_X23Y106 FIRST_SITE_ID 4480 TILEPROP INT_R_X23Y106 GRID_POINT_X 58 TILEPROP INT_R_X23Y106 GRID_POINT_Y 45 TILEPROP INT_R_X23Y106 INDEX 5233 TILEPROP INT_R_X23Y106 INT_TILE_X 23 TILEPROP INT_R_X23Y106 INT_TILE_Y 43 TILEPROP INT_R_X23Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y106 IS_DCM_TILE 0 TILEPROP INT_R_X23Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y106 NAME INT_R_X23Y106 TILEPROP INT_R_X23Y106 NUM_ARCS 3737 TILEPROP INT_R_X23Y106 NUM_SITES 1 TILEPROP INT_R_X23Y106 ROW 45 TILEPROP INT_R_X23Y106 SLR_REGION_ID 0 TILEPROP INT_R_X23Y106 TILE_PATTERN_IDX 5228 TILEPROP INT_R_X23Y106 TILE_TYPE INT_R TILEPROP INT_R_X23Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y106 TILE_X 5184 TILEPROP INT_R_X23Y106 TILE_Y 102072 TILEPROP INT_R_X23Y106 TYPE INT_R TILEPROP INT_R_X23Y107 CLASS tile TILEPROP INT_R_X23Y107 COLUMN 58 TILEPROP INT_R_X23Y107 DEVICE_ID 0 TILEPROP INT_R_X23Y107 FIRST_SITE_ID 4392 TILEPROP INT_R_X23Y107 GRID_POINT_X 58 TILEPROP INT_R_X23Y107 GRID_POINT_Y 44 TILEPROP INT_R_X23Y107 INDEX 5118 TILEPROP INT_R_X23Y107 INT_TILE_X 23 TILEPROP INT_R_X23Y107 INT_TILE_Y 42 TILEPROP INT_R_X23Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y107 IS_DCM_TILE 0 TILEPROP INT_R_X23Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y107 NAME INT_R_X23Y107 TILEPROP INT_R_X23Y107 NUM_ARCS 3737 TILEPROP INT_R_X23Y107 NUM_SITES 1 TILEPROP INT_R_X23Y107 ROW 44 TILEPROP INT_R_X23Y107 SLR_REGION_ID 0 TILEPROP INT_R_X23Y107 TILE_PATTERN_IDX 5192 TILEPROP INT_R_X23Y107 TILE_TYPE INT_R TILEPROP INT_R_X23Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y107 TILE_X 5184 TILEPROP INT_R_X23Y107 TILE_Y 105272 TILEPROP INT_R_X23Y107 TYPE INT_R TILEPROP INT_R_X23Y108 CLASS tile TILEPROP INT_R_X23Y108 COLUMN 58 TILEPROP INT_R_X23Y108 DEVICE_ID 0 TILEPROP INT_R_X23Y108 FIRST_SITE_ID 4294 TILEPROP INT_R_X23Y108 GRID_POINT_X 58 TILEPROP INT_R_X23Y108 GRID_POINT_Y 43 TILEPROP INT_R_X23Y108 INDEX 5003 TILEPROP INT_R_X23Y108 INT_TILE_X 23 TILEPROP INT_R_X23Y108 INT_TILE_Y 41 TILEPROP INT_R_X23Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y108 IS_DCM_TILE 0 TILEPROP INT_R_X23Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y108 NAME INT_R_X23Y108 TILEPROP INT_R_X23Y108 NUM_ARCS 3737 TILEPROP INT_R_X23Y108 NUM_SITES 1 TILEPROP INT_R_X23Y108 ROW 43 TILEPROP INT_R_X23Y108 SLR_REGION_ID 0 TILEPROP INT_R_X23Y108 TILE_PATTERN_IDX 5155 TILEPROP INT_R_X23Y108 TILE_TYPE INT_R TILEPROP INT_R_X23Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y108 TILE_X 5184 TILEPROP INT_R_X23Y108 TILE_Y 108472 TILEPROP INT_R_X23Y108 TYPE INT_R TILEPROP INT_R_X23Y109 CLASS tile TILEPROP INT_R_X23Y109 COLUMN 58 TILEPROP INT_R_X23Y109 DEVICE_ID 0 TILEPROP INT_R_X23Y109 FIRST_SITE_ID 4205 TILEPROP INT_R_X23Y109 GRID_POINT_X 58 TILEPROP INT_R_X23Y109 GRID_POINT_Y 42 TILEPROP INT_R_X23Y109 INDEX 4888 TILEPROP INT_R_X23Y109 INT_TILE_X 23 TILEPROP INT_R_X23Y109 INT_TILE_Y 40 TILEPROP INT_R_X23Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y109 IS_DCM_TILE 0 TILEPROP INT_R_X23Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y109 NAME INT_R_X23Y109 TILEPROP INT_R_X23Y109 NUM_ARCS 3737 TILEPROP INT_R_X23Y109 NUM_SITES 1 TILEPROP INT_R_X23Y109 ROW 42 TILEPROP INT_R_X23Y109 SLR_REGION_ID 0 TILEPROP INT_R_X23Y109 TILE_PATTERN_IDX 5119 TILEPROP INT_R_X23Y109 TILE_TYPE INT_R TILEPROP INT_R_X23Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y109 TILE_X 5184 TILEPROP INT_R_X23Y109 TILE_Y 111672 TILEPROP INT_R_X23Y109 TYPE INT_R TILEPROP INT_R_X23Y110 CLASS tile TILEPROP INT_R_X23Y110 COLUMN 58 TILEPROP INT_R_X23Y110 DEVICE_ID 0 TILEPROP INT_R_X23Y110 FIRST_SITE_ID 4105 TILEPROP INT_R_X23Y110 GRID_POINT_X 58 TILEPROP INT_R_X23Y110 GRID_POINT_Y 41 TILEPROP INT_R_X23Y110 INDEX 4773 TILEPROP INT_R_X23Y110 INT_TILE_X 23 TILEPROP INT_R_X23Y110 INT_TILE_Y 39 TILEPROP INT_R_X23Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y110 IS_DCM_TILE 0 TILEPROP INT_R_X23Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y110 NAME INT_R_X23Y110 TILEPROP INT_R_X23Y110 NUM_ARCS 3737 TILEPROP INT_R_X23Y110 NUM_SITES 1 TILEPROP INT_R_X23Y110 ROW 41 TILEPROP INT_R_X23Y110 SLR_REGION_ID 0 TILEPROP INT_R_X23Y110 TILE_PATTERN_IDX 5082 TILEPROP INT_R_X23Y110 TILE_TYPE INT_R TILEPROP INT_R_X23Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y110 TILE_X 5184 TILEPROP INT_R_X23Y110 TILE_Y 114872 TILEPROP INT_R_X23Y110 TYPE INT_R TILEPROP INT_R_X23Y111 CLASS tile TILEPROP INT_R_X23Y111 COLUMN 58 TILEPROP INT_R_X23Y111 DEVICE_ID 0 TILEPROP INT_R_X23Y111 FIRST_SITE_ID 4011 TILEPROP INT_R_X23Y111 GRID_POINT_X 58 TILEPROP INT_R_X23Y111 GRID_POINT_Y 40 TILEPROP INT_R_X23Y111 INDEX 4658 TILEPROP INT_R_X23Y111 INT_TILE_X 23 TILEPROP INT_R_X23Y111 INT_TILE_Y 38 TILEPROP INT_R_X23Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y111 IS_DCM_TILE 0 TILEPROP INT_R_X23Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y111 NAME INT_R_X23Y111 TILEPROP INT_R_X23Y111 NUM_ARCS 3737 TILEPROP INT_R_X23Y111 NUM_SITES 1 TILEPROP INT_R_X23Y111 ROW 40 TILEPROP INT_R_X23Y111 SLR_REGION_ID 0 TILEPROP INT_R_X23Y111 TILE_PATTERN_IDX 5046 TILEPROP INT_R_X23Y111 TILE_TYPE INT_R TILEPROP INT_R_X23Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y111 TILE_X 5184 TILEPROP INT_R_X23Y111 TILE_Y 118072 TILEPROP INT_R_X23Y111 TYPE INT_R TILEPROP INT_R_X23Y112 CLASS tile TILEPROP INT_R_X23Y112 COLUMN 58 TILEPROP INT_R_X23Y112 DEVICE_ID 0 TILEPROP INT_R_X23Y112 FIRST_SITE_ID 3883 TILEPROP INT_R_X23Y112 GRID_POINT_X 58 TILEPROP INT_R_X23Y112 GRID_POINT_Y 39 TILEPROP INT_R_X23Y112 INDEX 4543 TILEPROP INT_R_X23Y112 INT_TILE_X 23 TILEPROP INT_R_X23Y112 INT_TILE_Y 37 TILEPROP INT_R_X23Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y112 IS_DCM_TILE 0 TILEPROP INT_R_X23Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y112 NAME INT_R_X23Y112 TILEPROP INT_R_X23Y112 NUM_ARCS 3737 TILEPROP INT_R_X23Y112 NUM_SITES 1 TILEPROP INT_R_X23Y112 ROW 39 TILEPROP INT_R_X23Y112 SLR_REGION_ID 0 TILEPROP INT_R_X23Y112 TILE_PATTERN_IDX 5008 TILEPROP INT_R_X23Y112 TILE_TYPE INT_R TILEPROP INT_R_X23Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y112 TILE_X 5184 TILEPROP INT_R_X23Y112 TILE_Y 121272 TILEPROP INT_R_X23Y112 TYPE INT_R TILEPROP INT_R_X23Y113 CLASS tile TILEPROP INT_R_X23Y113 COLUMN 58 TILEPROP INT_R_X23Y113 DEVICE_ID 0 TILEPROP INT_R_X23Y113 FIRST_SITE_ID 3795 TILEPROP INT_R_X23Y113 GRID_POINT_X 58 TILEPROP INT_R_X23Y113 GRID_POINT_Y 38 TILEPROP INT_R_X23Y113 INDEX 4428 TILEPROP INT_R_X23Y113 INT_TILE_X 23 TILEPROP INT_R_X23Y113 INT_TILE_Y 36 TILEPROP INT_R_X23Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y113 IS_DCM_TILE 0 TILEPROP INT_R_X23Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y113 NAME INT_R_X23Y113 TILEPROP INT_R_X23Y113 NUM_ARCS 3737 TILEPROP INT_R_X23Y113 NUM_SITES 1 TILEPROP INT_R_X23Y113 ROW 38 TILEPROP INT_R_X23Y113 SLR_REGION_ID 0 TILEPROP INT_R_X23Y113 TILE_PATTERN_IDX 4972 TILEPROP INT_R_X23Y113 TILE_TYPE INT_R TILEPROP INT_R_X23Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y113 TILE_X 5184 TILEPROP INT_R_X23Y113 TILE_Y 124472 TILEPROP INT_R_X23Y113 TYPE INT_R TILEPROP INT_R_X23Y114 CLASS tile TILEPROP INT_R_X23Y114 COLUMN 58 TILEPROP INT_R_X23Y114 DEVICE_ID 0 TILEPROP INT_R_X23Y114 FIRST_SITE_ID 3699 TILEPROP INT_R_X23Y114 GRID_POINT_X 58 TILEPROP INT_R_X23Y114 GRID_POINT_Y 37 TILEPROP INT_R_X23Y114 INDEX 4313 TILEPROP INT_R_X23Y114 INT_TILE_X 23 TILEPROP INT_R_X23Y114 INT_TILE_Y 35 TILEPROP INT_R_X23Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y114 IS_DCM_TILE 0 TILEPROP INT_R_X23Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y114 NAME INT_R_X23Y114 TILEPROP INT_R_X23Y114 NUM_ARCS 3737 TILEPROP INT_R_X23Y114 NUM_SITES 1 TILEPROP INT_R_X23Y114 ROW 37 TILEPROP INT_R_X23Y114 SLR_REGION_ID 0 TILEPROP INT_R_X23Y114 TILE_PATTERN_IDX 4935 TILEPROP INT_R_X23Y114 TILE_TYPE INT_R TILEPROP INT_R_X23Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y114 TILE_X 5184 TILEPROP INT_R_X23Y114 TILE_Y 127672 TILEPROP INT_R_X23Y114 TYPE INT_R TILEPROP INT_R_X23Y115 CLASS tile TILEPROP INT_R_X23Y115 COLUMN 58 TILEPROP INT_R_X23Y115 DEVICE_ID 0 TILEPROP INT_R_X23Y115 FIRST_SITE_ID 3608 TILEPROP INT_R_X23Y115 GRID_POINT_X 58 TILEPROP INT_R_X23Y115 GRID_POINT_Y 36 TILEPROP INT_R_X23Y115 INDEX 4198 TILEPROP INT_R_X23Y115 INT_TILE_X 23 TILEPROP INT_R_X23Y115 INT_TILE_Y 34 TILEPROP INT_R_X23Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y115 IS_DCM_TILE 0 TILEPROP INT_R_X23Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y115 NAME INT_R_X23Y115 TILEPROP INT_R_X23Y115 NUM_ARCS 3737 TILEPROP INT_R_X23Y115 NUM_SITES 1 TILEPROP INT_R_X23Y115 ROW 36 TILEPROP INT_R_X23Y115 SLR_REGION_ID 0 TILEPROP INT_R_X23Y115 TILE_PATTERN_IDX 4899 TILEPROP INT_R_X23Y115 TILE_TYPE INT_R TILEPROP INT_R_X23Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y115 TILE_X 5184 TILEPROP INT_R_X23Y115 TILE_Y 130872 TILEPROP INT_R_X23Y115 TYPE INT_R TILEPROP INT_R_X23Y116 CLASS tile TILEPROP INT_R_X23Y116 COLUMN 58 TILEPROP INT_R_X23Y116 DEVICE_ID 0 TILEPROP INT_R_X23Y116 FIRST_SITE_ID 3501 TILEPROP INT_R_X23Y116 GRID_POINT_X 58 TILEPROP INT_R_X23Y116 GRID_POINT_Y 35 TILEPROP INT_R_X23Y116 INDEX 4083 TILEPROP INT_R_X23Y116 INT_TILE_X 23 TILEPROP INT_R_X23Y116 INT_TILE_Y 33 TILEPROP INT_R_X23Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y116 IS_DCM_TILE 0 TILEPROP INT_R_X23Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y116 NAME INT_R_X23Y116 TILEPROP INT_R_X23Y116 NUM_ARCS 3737 TILEPROP INT_R_X23Y116 NUM_SITES 1 TILEPROP INT_R_X23Y116 ROW 35 TILEPROP INT_R_X23Y116 SLR_REGION_ID 0 TILEPROP INT_R_X23Y116 TILE_PATTERN_IDX 4862 TILEPROP INT_R_X23Y116 TILE_TYPE INT_R TILEPROP INT_R_X23Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y116 TILE_X 5184 TILEPROP INT_R_X23Y116 TILE_Y 134072 TILEPROP INT_R_X23Y116 TYPE INT_R TILEPROP INT_R_X23Y117 CLASS tile TILEPROP INT_R_X23Y117 COLUMN 58 TILEPROP INT_R_X23Y117 DEVICE_ID 0 TILEPROP INT_R_X23Y117 FIRST_SITE_ID 3413 TILEPROP INT_R_X23Y117 GRID_POINT_X 58 TILEPROP INT_R_X23Y117 GRID_POINT_Y 34 TILEPROP INT_R_X23Y117 INDEX 3968 TILEPROP INT_R_X23Y117 INT_TILE_X 23 TILEPROP INT_R_X23Y117 INT_TILE_Y 32 TILEPROP INT_R_X23Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y117 IS_DCM_TILE 0 TILEPROP INT_R_X23Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y117 NAME INT_R_X23Y117 TILEPROP INT_R_X23Y117 NUM_ARCS 3737 TILEPROP INT_R_X23Y117 NUM_SITES 1 TILEPROP INT_R_X23Y117 ROW 34 TILEPROP INT_R_X23Y117 SLR_REGION_ID 0 TILEPROP INT_R_X23Y117 TILE_PATTERN_IDX 4826 TILEPROP INT_R_X23Y117 TILE_TYPE INT_R TILEPROP INT_R_X23Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y117 TILE_X 5184 TILEPROP INT_R_X23Y117 TILE_Y 137272 TILEPROP INT_R_X23Y117 TYPE INT_R TILEPROP INT_R_X23Y118 CLASS tile TILEPROP INT_R_X23Y118 COLUMN 58 TILEPROP INT_R_X23Y118 DEVICE_ID 0 TILEPROP INT_R_X23Y118 FIRST_SITE_ID 3313 TILEPROP INT_R_X23Y118 GRID_POINT_X 58 TILEPROP INT_R_X23Y118 GRID_POINT_Y 33 TILEPROP INT_R_X23Y118 INDEX 3853 TILEPROP INT_R_X23Y118 INT_TILE_X 23 TILEPROP INT_R_X23Y118 INT_TILE_Y 31 TILEPROP INT_R_X23Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y118 IS_DCM_TILE 0 TILEPROP INT_R_X23Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y118 NAME INT_R_X23Y118 TILEPROP INT_R_X23Y118 NUM_ARCS 3737 TILEPROP INT_R_X23Y118 NUM_SITES 1 TILEPROP INT_R_X23Y118 ROW 33 TILEPROP INT_R_X23Y118 SLR_REGION_ID 0 TILEPROP INT_R_X23Y118 TILE_PATTERN_IDX 4788 TILEPROP INT_R_X23Y118 TILE_TYPE INT_R TILEPROP INT_R_X23Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y118 TILE_X 5184 TILEPROP INT_R_X23Y118 TILE_Y 140472 TILEPROP INT_R_X23Y118 TYPE INT_R TILEPROP INT_R_X23Y119 CLASS tile TILEPROP INT_R_X23Y119 COLUMN 58 TILEPROP INT_R_X23Y119 DEVICE_ID 0 TILEPROP INT_R_X23Y119 FIRST_SITE_ID 3225 TILEPROP INT_R_X23Y119 GRID_POINT_X 58 TILEPROP INT_R_X23Y119 GRID_POINT_Y 32 TILEPROP INT_R_X23Y119 INDEX 3738 TILEPROP INT_R_X23Y119 INT_TILE_X 23 TILEPROP INT_R_X23Y119 INT_TILE_Y 30 TILEPROP INT_R_X23Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y119 IS_DCM_TILE 0 TILEPROP INT_R_X23Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y119 NAME INT_R_X23Y119 TILEPROP INT_R_X23Y119 NUM_ARCS 3737 TILEPROP INT_R_X23Y119 NUM_SITES 1 TILEPROP INT_R_X23Y119 ROW 32 TILEPROP INT_R_X23Y119 SLR_REGION_ID 0 TILEPROP INT_R_X23Y119 TILE_PATTERN_IDX 4752 TILEPROP INT_R_X23Y119 TILE_TYPE INT_R TILEPROP INT_R_X23Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y119 TILE_X 5184 TILEPROP INT_R_X23Y119 TILE_Y 143672 TILEPROP INT_R_X23Y119 TYPE INT_R TILEPROP INT_R_X23Y120 CLASS tile TILEPROP INT_R_X23Y120 COLUMN 58 TILEPROP INT_R_X23Y120 DEVICE_ID 0 TILEPROP INT_R_X23Y120 FIRST_SITE_ID 3124 TILEPROP INT_R_X23Y120 GRID_POINT_X 58 TILEPROP INT_R_X23Y120 GRID_POINT_Y 31 TILEPROP INT_R_X23Y120 INDEX 3623 TILEPROP INT_R_X23Y120 INT_TILE_X 23 TILEPROP INT_R_X23Y120 INT_TILE_Y 29 TILEPROP INT_R_X23Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y120 IS_DCM_TILE 0 TILEPROP INT_R_X23Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y120 NAME INT_R_X23Y120 TILEPROP INT_R_X23Y120 NUM_ARCS 3737 TILEPROP INT_R_X23Y120 NUM_SITES 1 TILEPROP INT_R_X23Y120 ROW 31 TILEPROP INT_R_X23Y120 SLR_REGION_ID 0 TILEPROP INT_R_X23Y120 TILE_PATTERN_IDX 4715 TILEPROP INT_R_X23Y120 TILE_TYPE INT_R TILEPROP INT_R_X23Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y120 TILE_X 5184 TILEPROP INT_R_X23Y120 TILE_Y 146872 TILEPROP INT_R_X23Y120 TYPE INT_R TILEPROP INT_R_X23Y121 CLASS tile TILEPROP INT_R_X23Y121 COLUMN 58 TILEPROP INT_R_X23Y121 DEVICE_ID 0 TILEPROP INT_R_X23Y121 FIRST_SITE_ID 3030 TILEPROP INT_R_X23Y121 GRID_POINT_X 58 TILEPROP INT_R_X23Y121 GRID_POINT_Y 30 TILEPROP INT_R_X23Y121 INDEX 3508 TILEPROP INT_R_X23Y121 INT_TILE_X 23 TILEPROP INT_R_X23Y121 INT_TILE_Y 28 TILEPROP INT_R_X23Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y121 IS_DCM_TILE 0 TILEPROP INT_R_X23Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y121 NAME INT_R_X23Y121 TILEPROP INT_R_X23Y121 NUM_ARCS 3737 TILEPROP INT_R_X23Y121 NUM_SITES 1 TILEPROP INT_R_X23Y121 ROW 30 TILEPROP INT_R_X23Y121 SLR_REGION_ID 0 TILEPROP INT_R_X23Y121 TILE_PATTERN_IDX 4679 TILEPROP INT_R_X23Y121 TILE_TYPE INT_R TILEPROP INT_R_X23Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y121 TILE_X 5184 TILEPROP INT_R_X23Y121 TILE_Y 150072 TILEPROP INT_R_X23Y121 TYPE INT_R TILEPROP INT_R_X23Y122 CLASS tile TILEPROP INT_R_X23Y122 COLUMN 58 TILEPROP INT_R_X23Y122 DEVICE_ID 0 TILEPROP INT_R_X23Y122 FIRST_SITE_ID 2927 TILEPROP INT_R_X23Y122 GRID_POINT_X 58 TILEPROP INT_R_X23Y122 GRID_POINT_Y 29 TILEPROP INT_R_X23Y122 INDEX 3393 TILEPROP INT_R_X23Y122 INT_TILE_X 23 TILEPROP INT_R_X23Y122 INT_TILE_Y 27 TILEPROP INT_R_X23Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y122 IS_DCM_TILE 0 TILEPROP INT_R_X23Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y122 NAME INT_R_X23Y122 TILEPROP INT_R_X23Y122 NUM_ARCS 3737 TILEPROP INT_R_X23Y122 NUM_SITES 1 TILEPROP INT_R_X23Y122 ROW 29 TILEPROP INT_R_X23Y122 SLR_REGION_ID 0 TILEPROP INT_R_X23Y122 TILE_PATTERN_IDX 4641 TILEPROP INT_R_X23Y122 TILE_TYPE INT_R TILEPROP INT_R_X23Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y122 TILE_X 5184 TILEPROP INT_R_X23Y122 TILE_Y 153272 TILEPROP INT_R_X23Y122 TYPE INT_R TILEPROP INT_R_X23Y123 CLASS tile TILEPROP INT_R_X23Y123 COLUMN 58 TILEPROP INT_R_X23Y123 DEVICE_ID 0 TILEPROP INT_R_X23Y123 FIRST_SITE_ID 2839 TILEPROP INT_R_X23Y123 GRID_POINT_X 58 TILEPROP INT_R_X23Y123 GRID_POINT_Y 28 TILEPROP INT_R_X23Y123 INDEX 3278 TILEPROP INT_R_X23Y123 INT_TILE_X 23 TILEPROP INT_R_X23Y123 INT_TILE_Y 26 TILEPROP INT_R_X23Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y123 IS_DCM_TILE 0 TILEPROP INT_R_X23Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y123 NAME INT_R_X23Y123 TILEPROP INT_R_X23Y123 NUM_ARCS 3737 TILEPROP INT_R_X23Y123 NUM_SITES 1 TILEPROP INT_R_X23Y123 ROW 28 TILEPROP INT_R_X23Y123 SLR_REGION_ID 0 TILEPROP INT_R_X23Y123 TILE_PATTERN_IDX 4605 TILEPROP INT_R_X23Y123 TILE_TYPE INT_R TILEPROP INT_R_X23Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y123 TILE_X 5184 TILEPROP INT_R_X23Y123 TILE_Y 156472 TILEPROP INT_R_X23Y123 TYPE INT_R TILEPROP INT_R_X23Y124 CLASS tile TILEPROP INT_R_X23Y124 COLUMN 58 TILEPROP INT_R_X23Y124 DEVICE_ID 0 TILEPROP INT_R_X23Y124 FIRST_SITE_ID 2743 TILEPROP INT_R_X23Y124 GRID_POINT_X 58 TILEPROP INT_R_X23Y124 GRID_POINT_Y 27 TILEPROP INT_R_X23Y124 INDEX 3163 TILEPROP INT_R_X23Y124 INT_TILE_X 23 TILEPROP INT_R_X23Y124 INT_TILE_Y 25 TILEPROP INT_R_X23Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y124 IS_DCM_TILE 0 TILEPROP INT_R_X23Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y124 NAME INT_R_X23Y124 TILEPROP INT_R_X23Y124 NUM_ARCS 3737 TILEPROP INT_R_X23Y124 NUM_SITES 1 TILEPROP INT_R_X23Y124 ROW 27 TILEPROP INT_R_X23Y124 SLR_REGION_ID 0 TILEPROP INT_R_X23Y124 TILE_PATTERN_IDX 4568 TILEPROP INT_R_X23Y124 TILE_TYPE INT_R TILEPROP INT_R_X23Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y124 TILE_X 5184 TILEPROP INT_R_X23Y124 TILE_Y 159672 TILEPROP INT_R_X23Y124 TYPE INT_R TILEPROP INT_R_X23Y125 CLASS tile TILEPROP INT_R_X23Y125 COLUMN 58 TILEPROP INT_R_X23Y125 DEVICE_ID 0 TILEPROP INT_R_X23Y125 FIRST_SITE_ID 2570 TILEPROP INT_R_X23Y125 GRID_POINT_X 58 TILEPROP INT_R_X23Y125 GRID_POINT_Y 25 TILEPROP INT_R_X23Y125 INDEX 2933 TILEPROP INT_R_X23Y125 INT_TILE_X 23 TILEPROP INT_R_X23Y125 INT_TILE_Y 24 TILEPROP INT_R_X23Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y125 IS_DCM_TILE 0 TILEPROP INT_R_X23Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y125 NAME INT_R_X23Y125 TILEPROP INT_R_X23Y125 NUM_ARCS 3737 TILEPROP INT_R_X23Y125 NUM_SITES 1 TILEPROP INT_R_X23Y125 ROW 25 TILEPROP INT_R_X23Y125 SLR_REGION_ID 0 TILEPROP INT_R_X23Y125 TILE_PATTERN_IDX 4489 TILEPROP INT_R_X23Y125 TILE_TYPE INT_R TILEPROP INT_R_X23Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y125 TILE_X 5184 TILEPROP INT_R_X23Y125 TILE_Y 163896 TILEPROP INT_R_X23Y125 TYPE INT_R TILEPROP INT_R_X23Y126 CLASS tile TILEPROP INT_R_X23Y126 COLUMN 58 TILEPROP INT_R_X23Y126 DEVICE_ID 0 TILEPROP INT_R_X23Y126 FIRST_SITE_ID 2460 TILEPROP INT_R_X23Y126 GRID_POINT_X 58 TILEPROP INT_R_X23Y126 GRID_POINT_Y 24 TILEPROP INT_R_X23Y126 INDEX 2818 TILEPROP INT_R_X23Y126 INT_TILE_X 23 TILEPROP INT_R_X23Y126 INT_TILE_Y 23 TILEPROP INT_R_X23Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y126 IS_DCM_TILE 0 TILEPROP INT_R_X23Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y126 NAME INT_R_X23Y126 TILEPROP INT_R_X23Y126 NUM_ARCS 3737 TILEPROP INT_R_X23Y126 NUM_SITES 1 TILEPROP INT_R_X23Y126 ROW 24 TILEPROP INT_R_X23Y126 SLR_REGION_ID 0 TILEPROP INT_R_X23Y126 TILE_PATTERN_IDX 4450 TILEPROP INT_R_X23Y126 TILE_TYPE INT_R TILEPROP INT_R_X23Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y126 TILE_X 5184 TILEPROP INT_R_X23Y126 TILE_Y 167096 TILEPROP INT_R_X23Y126 TYPE INT_R TILEPROP INT_R_X23Y127 CLASS tile TILEPROP INT_R_X23Y127 COLUMN 58 TILEPROP INT_R_X23Y127 DEVICE_ID 0 TILEPROP INT_R_X23Y127 FIRST_SITE_ID 2364 TILEPROP INT_R_X23Y127 GRID_POINT_X 58 TILEPROP INT_R_X23Y127 GRID_POINT_Y 23 TILEPROP INT_R_X23Y127 INDEX 2703 TILEPROP INT_R_X23Y127 INT_TILE_X 23 TILEPROP INT_R_X23Y127 INT_TILE_Y 22 TILEPROP INT_R_X23Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y127 IS_DCM_TILE 0 TILEPROP INT_R_X23Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y127 NAME INT_R_X23Y127 TILEPROP INT_R_X23Y127 NUM_ARCS 3737 TILEPROP INT_R_X23Y127 NUM_SITES 1 TILEPROP INT_R_X23Y127 ROW 23 TILEPROP INT_R_X23Y127 SLR_REGION_ID 0 TILEPROP INT_R_X23Y127 TILE_PATTERN_IDX 4412 TILEPROP INT_R_X23Y127 TILE_TYPE INT_R TILEPROP INT_R_X23Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y127 TILE_X 5184 TILEPROP INT_R_X23Y127 TILE_Y 170296 TILEPROP INT_R_X23Y127 TYPE INT_R TILEPROP INT_R_X23Y128 CLASS tile TILEPROP INT_R_X23Y128 COLUMN 58 TILEPROP INT_R_X23Y128 DEVICE_ID 0 TILEPROP INT_R_X23Y128 FIRST_SITE_ID 2260 TILEPROP INT_R_X23Y128 GRID_POINT_X 58 TILEPROP INT_R_X23Y128 GRID_POINT_Y 22 TILEPROP INT_R_X23Y128 INDEX 2588 TILEPROP INT_R_X23Y128 INT_TILE_X 23 TILEPROP INT_R_X23Y128 INT_TILE_Y 21 TILEPROP INT_R_X23Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y128 IS_DCM_TILE 0 TILEPROP INT_R_X23Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y128 NAME INT_R_X23Y128 TILEPROP INT_R_X23Y128 NUM_ARCS 3737 TILEPROP INT_R_X23Y128 NUM_SITES 1 TILEPROP INT_R_X23Y128 ROW 22 TILEPROP INT_R_X23Y128 SLR_REGION_ID 0 TILEPROP INT_R_X23Y128 TILE_PATTERN_IDX 4373 TILEPROP INT_R_X23Y128 TILE_TYPE INT_R TILEPROP INT_R_X23Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y128 TILE_X 5184 TILEPROP INT_R_X23Y128 TILE_Y 173496 TILEPROP INT_R_X23Y128 TYPE INT_R TILEPROP INT_R_X23Y129 CLASS tile TILEPROP INT_R_X23Y129 COLUMN 58 TILEPROP INT_R_X23Y129 DEVICE_ID 0 TILEPROP INT_R_X23Y129 FIRST_SITE_ID 2164 TILEPROP INT_R_X23Y129 GRID_POINT_X 58 TILEPROP INT_R_X23Y129 GRID_POINT_Y 21 TILEPROP INT_R_X23Y129 INDEX 2473 TILEPROP INT_R_X23Y129 INT_TILE_X 23 TILEPROP INT_R_X23Y129 INT_TILE_Y 20 TILEPROP INT_R_X23Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y129 IS_DCM_TILE 0 TILEPROP INT_R_X23Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y129 NAME INT_R_X23Y129 TILEPROP INT_R_X23Y129 NUM_ARCS 3737 TILEPROP INT_R_X23Y129 NUM_SITES 1 TILEPROP INT_R_X23Y129 ROW 21 TILEPROP INT_R_X23Y129 SLR_REGION_ID 0 TILEPROP INT_R_X23Y129 TILE_PATTERN_IDX 4335 TILEPROP INT_R_X23Y129 TILE_TYPE INT_R TILEPROP INT_R_X23Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y129 TILE_X 5184 TILEPROP INT_R_X23Y129 TILE_Y 176696 TILEPROP INT_R_X23Y129 TYPE INT_R TILEPROP INT_R_X23Y130 CLASS tile TILEPROP INT_R_X23Y130 COLUMN 58 TILEPROP INT_R_X23Y130 DEVICE_ID 0 TILEPROP INT_R_X23Y130 FIRST_SITE_ID 2048 TILEPROP INT_R_X23Y130 GRID_POINT_X 58 TILEPROP INT_R_X23Y130 GRID_POINT_Y 20 TILEPROP INT_R_X23Y130 INDEX 2358 TILEPROP INT_R_X23Y130 INT_TILE_X 23 TILEPROP INT_R_X23Y130 INT_TILE_Y 19 TILEPROP INT_R_X23Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y130 IS_DCM_TILE 0 TILEPROP INT_R_X23Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y130 NAME INT_R_X23Y130 TILEPROP INT_R_X23Y130 NUM_ARCS 3737 TILEPROP INT_R_X23Y130 NUM_SITES 1 TILEPROP INT_R_X23Y130 ROW 20 TILEPROP INT_R_X23Y130 SLR_REGION_ID 0 TILEPROP INT_R_X23Y130 TILE_PATTERN_IDX 4295 TILEPROP INT_R_X23Y130 TILE_TYPE INT_R TILEPROP INT_R_X23Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y130 TILE_X 5184 TILEPROP INT_R_X23Y130 TILE_Y 179896 TILEPROP INT_R_X23Y130 TYPE INT_R TILEPROP INT_R_X23Y131 CLASS tile TILEPROP INT_R_X23Y131 COLUMN 58 TILEPROP INT_R_X23Y131 DEVICE_ID 0 TILEPROP INT_R_X23Y131 FIRST_SITE_ID 1946 TILEPROP INT_R_X23Y131 GRID_POINT_X 58 TILEPROP INT_R_X23Y131 GRID_POINT_Y 19 TILEPROP INT_R_X23Y131 INDEX 2243 TILEPROP INT_R_X23Y131 INT_TILE_X 23 TILEPROP INT_R_X23Y131 INT_TILE_Y 18 TILEPROP INT_R_X23Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y131 IS_DCM_TILE 0 TILEPROP INT_R_X23Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y131 NAME INT_R_X23Y131 TILEPROP INT_R_X23Y131 NUM_ARCS 3737 TILEPROP INT_R_X23Y131 NUM_SITES 1 TILEPROP INT_R_X23Y131 ROW 19 TILEPROP INT_R_X23Y131 SLR_REGION_ID 0 TILEPROP INT_R_X23Y131 TILE_PATTERN_IDX 4257 TILEPROP INT_R_X23Y131 TILE_TYPE INT_R TILEPROP INT_R_X23Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y131 TILE_X 5184 TILEPROP INT_R_X23Y131 TILE_Y 183096 TILEPROP INT_R_X23Y131 TYPE INT_R TILEPROP INT_R_X23Y132 CLASS tile TILEPROP INT_R_X23Y132 COLUMN 58 TILEPROP INT_R_X23Y132 DEVICE_ID 0 TILEPROP INT_R_X23Y132 FIRST_SITE_ID 1840 TILEPROP INT_R_X23Y132 GRID_POINT_X 58 TILEPROP INT_R_X23Y132 GRID_POINT_Y 18 TILEPROP INT_R_X23Y132 INDEX 2128 TILEPROP INT_R_X23Y132 INT_TILE_X 23 TILEPROP INT_R_X23Y132 INT_TILE_Y 17 TILEPROP INT_R_X23Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y132 IS_DCM_TILE 0 TILEPROP INT_R_X23Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y132 NAME INT_R_X23Y132 TILEPROP INT_R_X23Y132 NUM_ARCS 3737 TILEPROP INT_R_X23Y132 NUM_SITES 1 TILEPROP INT_R_X23Y132 ROW 18 TILEPROP INT_R_X23Y132 SLR_REGION_ID 0 TILEPROP INT_R_X23Y132 TILE_PATTERN_IDX 4218 TILEPROP INT_R_X23Y132 TILE_TYPE INT_R TILEPROP INT_R_X23Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y132 TILE_X 5184 TILEPROP INT_R_X23Y132 TILE_Y 186296 TILEPROP INT_R_X23Y132 TYPE INT_R TILEPROP INT_R_X23Y133 CLASS tile TILEPROP INT_R_X23Y133 COLUMN 58 TILEPROP INT_R_X23Y133 DEVICE_ID 0 TILEPROP INT_R_X23Y133 FIRST_SITE_ID 1739 TILEPROP INT_R_X23Y133 GRID_POINT_X 58 TILEPROP INT_R_X23Y133 GRID_POINT_Y 17 TILEPROP INT_R_X23Y133 INDEX 2013 TILEPROP INT_R_X23Y133 INT_TILE_X 23 TILEPROP INT_R_X23Y133 INT_TILE_Y 16 TILEPROP INT_R_X23Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y133 IS_DCM_TILE 0 TILEPROP INT_R_X23Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y133 NAME INT_R_X23Y133 TILEPROP INT_R_X23Y133 NUM_ARCS 3737 TILEPROP INT_R_X23Y133 NUM_SITES 1 TILEPROP INT_R_X23Y133 ROW 17 TILEPROP INT_R_X23Y133 SLR_REGION_ID 0 TILEPROP INT_R_X23Y133 TILE_PATTERN_IDX 4180 TILEPROP INT_R_X23Y133 TILE_TYPE INT_R TILEPROP INT_R_X23Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y133 TILE_X 5184 TILEPROP INT_R_X23Y133 TILE_Y 189496 TILEPROP INT_R_X23Y133 TYPE INT_R TILEPROP INT_R_X23Y134 CLASS tile TILEPROP INT_R_X23Y134 COLUMN 58 TILEPROP INT_R_X23Y134 DEVICE_ID 0 TILEPROP INT_R_X23Y134 FIRST_SITE_ID 1635 TILEPROP INT_R_X23Y134 GRID_POINT_X 58 TILEPROP INT_R_X23Y134 GRID_POINT_Y 16 TILEPROP INT_R_X23Y134 INDEX 1898 TILEPROP INT_R_X23Y134 INT_TILE_X 23 TILEPROP INT_R_X23Y134 INT_TILE_Y 15 TILEPROP INT_R_X23Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y134 IS_DCM_TILE 0 TILEPROP INT_R_X23Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y134 NAME INT_R_X23Y134 TILEPROP INT_R_X23Y134 NUM_ARCS 3737 TILEPROP INT_R_X23Y134 NUM_SITES 1 TILEPROP INT_R_X23Y134 ROW 16 TILEPROP INT_R_X23Y134 SLR_REGION_ID 0 TILEPROP INT_R_X23Y134 TILE_PATTERN_IDX 4141 TILEPROP INT_R_X23Y134 TILE_TYPE INT_R TILEPROP INT_R_X23Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y134 TILE_X 5184 TILEPROP INT_R_X23Y134 TILE_Y 192696 TILEPROP INT_R_X23Y134 TYPE INT_R TILEPROP INT_R_X23Y135 CLASS tile TILEPROP INT_R_X23Y135 COLUMN 58 TILEPROP INT_R_X23Y135 DEVICE_ID 0 TILEPROP INT_R_X23Y135 FIRST_SITE_ID 1533 TILEPROP INT_R_X23Y135 GRID_POINT_X 58 TILEPROP INT_R_X23Y135 GRID_POINT_Y 15 TILEPROP INT_R_X23Y135 INDEX 1783 TILEPROP INT_R_X23Y135 INT_TILE_X 23 TILEPROP INT_R_X23Y135 INT_TILE_Y 14 TILEPROP INT_R_X23Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y135 IS_DCM_TILE 0 TILEPROP INT_R_X23Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y135 NAME INT_R_X23Y135 TILEPROP INT_R_X23Y135 NUM_ARCS 3737 TILEPROP INT_R_X23Y135 NUM_SITES 1 TILEPROP INT_R_X23Y135 ROW 15 TILEPROP INT_R_X23Y135 SLR_REGION_ID 0 TILEPROP INT_R_X23Y135 TILE_PATTERN_IDX 4103 TILEPROP INT_R_X23Y135 TILE_TYPE INT_R TILEPROP INT_R_X23Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y135 TILE_X 5184 TILEPROP INT_R_X23Y135 TILE_Y 195896 TILEPROP INT_R_X23Y135 TYPE INT_R TILEPROP INT_R_X23Y136 CLASS tile TILEPROP INT_R_X23Y136 COLUMN 58 TILEPROP INT_R_X23Y136 DEVICE_ID 0 TILEPROP INT_R_X23Y136 FIRST_SITE_ID 1391 TILEPROP INT_R_X23Y136 GRID_POINT_X 58 TILEPROP INT_R_X23Y136 GRID_POINT_Y 14 TILEPROP INT_R_X23Y136 INDEX 1668 TILEPROP INT_R_X23Y136 INT_TILE_X 23 TILEPROP INT_R_X23Y136 INT_TILE_Y 13 TILEPROP INT_R_X23Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y136 IS_DCM_TILE 0 TILEPROP INT_R_X23Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y136 NAME INT_R_X23Y136 TILEPROP INT_R_X23Y136 NUM_ARCS 3737 TILEPROP INT_R_X23Y136 NUM_SITES 1 TILEPROP INT_R_X23Y136 ROW 14 TILEPROP INT_R_X23Y136 SLR_REGION_ID 0 TILEPROP INT_R_X23Y136 TILE_PATTERN_IDX 4064 TILEPROP INT_R_X23Y136 TILE_TYPE INT_R TILEPROP INT_R_X23Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y136 TILE_X 5184 TILEPROP INT_R_X23Y136 TILE_Y 199096 TILEPROP INT_R_X23Y136 TYPE INT_R TILEPROP INT_R_X23Y137 CLASS tile TILEPROP INT_R_X23Y137 COLUMN 58 TILEPROP INT_R_X23Y137 DEVICE_ID 0 TILEPROP INT_R_X23Y137 FIRST_SITE_ID 1295 TILEPROP INT_R_X23Y137 GRID_POINT_X 58 TILEPROP INT_R_X23Y137 GRID_POINT_Y 13 TILEPROP INT_R_X23Y137 INDEX 1553 TILEPROP INT_R_X23Y137 INT_TILE_X 23 TILEPROP INT_R_X23Y137 INT_TILE_Y 12 TILEPROP INT_R_X23Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y137 IS_DCM_TILE 0 TILEPROP INT_R_X23Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y137 NAME INT_R_X23Y137 TILEPROP INT_R_X23Y137 NUM_ARCS 3737 TILEPROP INT_R_X23Y137 NUM_SITES 1 TILEPROP INT_R_X23Y137 ROW 13 TILEPROP INT_R_X23Y137 SLR_REGION_ID 0 TILEPROP INT_R_X23Y137 TILE_PATTERN_IDX 4026 TILEPROP INT_R_X23Y137 TILE_TYPE INT_R TILEPROP INT_R_X23Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y137 TILE_X 5184 TILEPROP INT_R_X23Y137 TILE_Y 202296 TILEPROP INT_R_X23Y137 TYPE INT_R TILEPROP INT_R_X23Y138 CLASS tile TILEPROP INT_R_X23Y138 COLUMN 58 TILEPROP INT_R_X23Y138 DEVICE_ID 0 TILEPROP INT_R_X23Y138 FIRST_SITE_ID 1191 TILEPROP INT_R_X23Y138 GRID_POINT_X 58 TILEPROP INT_R_X23Y138 GRID_POINT_Y 12 TILEPROP INT_R_X23Y138 INDEX 1438 TILEPROP INT_R_X23Y138 INT_TILE_X 23 TILEPROP INT_R_X23Y138 INT_TILE_Y 11 TILEPROP INT_R_X23Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y138 IS_DCM_TILE 0 TILEPROP INT_R_X23Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y138 NAME INT_R_X23Y138 TILEPROP INT_R_X23Y138 NUM_ARCS 3737 TILEPROP INT_R_X23Y138 NUM_SITES 1 TILEPROP INT_R_X23Y138 ROW 12 TILEPROP INT_R_X23Y138 SLR_REGION_ID 0 TILEPROP INT_R_X23Y138 TILE_PATTERN_IDX 3987 TILEPROP INT_R_X23Y138 TILE_TYPE INT_R TILEPROP INT_R_X23Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y138 TILE_X 5184 TILEPROP INT_R_X23Y138 TILE_Y 205496 TILEPROP INT_R_X23Y138 TYPE INT_R TILEPROP INT_R_X23Y139 CLASS tile TILEPROP INT_R_X23Y139 COLUMN 58 TILEPROP INT_R_X23Y139 DEVICE_ID 0 TILEPROP INT_R_X23Y139 FIRST_SITE_ID 1095 TILEPROP INT_R_X23Y139 GRID_POINT_X 58 TILEPROP INT_R_X23Y139 GRID_POINT_Y 11 TILEPROP INT_R_X23Y139 INDEX 1323 TILEPROP INT_R_X23Y139 INT_TILE_X 23 TILEPROP INT_R_X23Y139 INT_TILE_Y 10 TILEPROP INT_R_X23Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y139 IS_DCM_TILE 0 TILEPROP INT_R_X23Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y139 NAME INT_R_X23Y139 TILEPROP INT_R_X23Y139 NUM_ARCS 3737 TILEPROP INT_R_X23Y139 NUM_SITES 1 TILEPROP INT_R_X23Y139 ROW 11 TILEPROP INT_R_X23Y139 SLR_REGION_ID 0 TILEPROP INT_R_X23Y139 TILE_PATTERN_IDX 3949 TILEPROP INT_R_X23Y139 TILE_TYPE INT_R TILEPROP INT_R_X23Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y139 TILE_X 5184 TILEPROP INT_R_X23Y139 TILE_Y 208696 TILEPROP INT_R_X23Y139 TYPE INT_R TILEPROP INT_R_X23Y140 CLASS tile TILEPROP INT_R_X23Y140 COLUMN 58 TILEPROP INT_R_X23Y140 DEVICE_ID 0 TILEPROP INT_R_X23Y140 FIRST_SITE_ID 985 TILEPROP INT_R_X23Y140 GRID_POINT_X 58 TILEPROP INT_R_X23Y140 GRID_POINT_Y 10 TILEPROP INT_R_X23Y140 INDEX 1208 TILEPROP INT_R_X23Y140 INT_TILE_X 23 TILEPROP INT_R_X23Y140 INT_TILE_Y 9 TILEPROP INT_R_X23Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y140 IS_DCM_TILE 0 TILEPROP INT_R_X23Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y140 NAME INT_R_X23Y140 TILEPROP INT_R_X23Y140 NUM_ARCS 3737 TILEPROP INT_R_X23Y140 NUM_SITES 1 TILEPROP INT_R_X23Y140 ROW 10 TILEPROP INT_R_X23Y140 SLR_REGION_ID 0 TILEPROP INT_R_X23Y140 TILE_PATTERN_IDX 3910 TILEPROP INT_R_X23Y140 TILE_TYPE INT_R TILEPROP INT_R_X23Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y140 TILE_X 5184 TILEPROP INT_R_X23Y140 TILE_Y 211896 TILEPROP INT_R_X23Y140 TYPE INT_R TILEPROP INT_R_X23Y141 CLASS tile TILEPROP INT_R_X23Y141 COLUMN 58 TILEPROP INT_R_X23Y141 DEVICE_ID 0 TILEPROP INT_R_X23Y141 FIRST_SITE_ID 883 TILEPROP INT_R_X23Y141 GRID_POINT_X 58 TILEPROP INT_R_X23Y141 GRID_POINT_Y 9 TILEPROP INT_R_X23Y141 INDEX 1093 TILEPROP INT_R_X23Y141 INT_TILE_X 23 TILEPROP INT_R_X23Y141 INT_TILE_Y 8 TILEPROP INT_R_X23Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y141 IS_DCM_TILE 0 TILEPROP INT_R_X23Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y141 NAME INT_R_X23Y141 TILEPROP INT_R_X23Y141 NUM_ARCS 3737 TILEPROP INT_R_X23Y141 NUM_SITES 1 TILEPROP INT_R_X23Y141 ROW 9 TILEPROP INT_R_X23Y141 SLR_REGION_ID 0 TILEPROP INT_R_X23Y141 TILE_PATTERN_IDX 3872 TILEPROP INT_R_X23Y141 TILE_TYPE INT_R TILEPROP INT_R_X23Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y141 TILE_X 5184 TILEPROP INT_R_X23Y141 TILE_Y 215096 TILEPROP INT_R_X23Y141 TYPE INT_R TILEPROP INT_R_X23Y142 CLASS tile TILEPROP INT_R_X23Y142 COLUMN 58 TILEPROP INT_R_X23Y142 DEVICE_ID 0 TILEPROP INT_R_X23Y142 FIRST_SITE_ID 779 TILEPROP INT_R_X23Y142 GRID_POINT_X 58 TILEPROP INT_R_X23Y142 GRID_POINT_Y 8 TILEPROP INT_R_X23Y142 INDEX 978 TILEPROP INT_R_X23Y142 INT_TILE_X 23 TILEPROP INT_R_X23Y142 INT_TILE_Y 7 TILEPROP INT_R_X23Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y142 IS_DCM_TILE 0 TILEPROP INT_R_X23Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y142 NAME INT_R_X23Y142 TILEPROP INT_R_X23Y142 NUM_ARCS 3737 TILEPROP INT_R_X23Y142 NUM_SITES 1 TILEPROP INT_R_X23Y142 ROW 8 TILEPROP INT_R_X23Y142 SLR_REGION_ID 0 TILEPROP INT_R_X23Y142 TILE_PATTERN_IDX 3833 TILEPROP INT_R_X23Y142 TILE_TYPE INT_R TILEPROP INT_R_X23Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y142 TILE_X 5184 TILEPROP INT_R_X23Y142 TILE_Y 218296 TILEPROP INT_R_X23Y142 TYPE INT_R TILEPROP INT_R_X23Y143 CLASS tile TILEPROP INT_R_X23Y143 COLUMN 58 TILEPROP INT_R_X23Y143 DEVICE_ID 0 TILEPROP INT_R_X23Y143 FIRST_SITE_ID 682 TILEPROP INT_R_X23Y143 GRID_POINT_X 58 TILEPROP INT_R_X23Y143 GRID_POINT_Y 7 TILEPROP INT_R_X23Y143 INDEX 863 TILEPROP INT_R_X23Y143 INT_TILE_X 23 TILEPROP INT_R_X23Y143 INT_TILE_Y 6 TILEPROP INT_R_X23Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y143 IS_DCM_TILE 0 TILEPROP INT_R_X23Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y143 NAME INT_R_X23Y143 TILEPROP INT_R_X23Y143 NUM_ARCS 3737 TILEPROP INT_R_X23Y143 NUM_SITES 1 TILEPROP INT_R_X23Y143 ROW 7 TILEPROP INT_R_X23Y143 SLR_REGION_ID 0 TILEPROP INT_R_X23Y143 TILE_PATTERN_IDX 3795 TILEPROP INT_R_X23Y143 TILE_TYPE INT_R TILEPROP INT_R_X23Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y143 TILE_X 5184 TILEPROP INT_R_X23Y143 TILE_Y 221496 TILEPROP INT_R_X23Y143 TYPE INT_R TILEPROP INT_R_X23Y144 CLASS tile TILEPROP INT_R_X23Y144 COLUMN 58 TILEPROP INT_R_X23Y144 DEVICE_ID 0 TILEPROP INT_R_X23Y144 FIRST_SITE_ID 571 TILEPROP INT_R_X23Y144 GRID_POINT_X 58 TILEPROP INT_R_X23Y144 GRID_POINT_Y 6 TILEPROP INT_R_X23Y144 INDEX 748 TILEPROP INT_R_X23Y144 INT_TILE_X 23 TILEPROP INT_R_X23Y144 INT_TILE_Y 5 TILEPROP INT_R_X23Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y144 IS_DCM_TILE 0 TILEPROP INT_R_X23Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y144 NAME INT_R_X23Y144 TILEPROP INT_R_X23Y144 NUM_ARCS 3737 TILEPROP INT_R_X23Y144 NUM_SITES 1 TILEPROP INT_R_X23Y144 ROW 6 TILEPROP INT_R_X23Y144 SLR_REGION_ID 0 TILEPROP INT_R_X23Y144 TILE_PATTERN_IDX 3756 TILEPROP INT_R_X23Y144 TILE_TYPE INT_R TILEPROP INT_R_X23Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y144 TILE_X 5184 TILEPROP INT_R_X23Y144 TILE_Y 224696 TILEPROP INT_R_X23Y144 TYPE INT_R TILEPROP INT_R_X23Y145 CLASS tile TILEPROP INT_R_X23Y145 COLUMN 58 TILEPROP INT_R_X23Y145 DEVICE_ID 0 TILEPROP INT_R_X23Y145 FIRST_SITE_ID 469 TILEPROP INT_R_X23Y145 GRID_POINT_X 58 TILEPROP INT_R_X23Y145 GRID_POINT_Y 5 TILEPROP INT_R_X23Y145 INDEX 633 TILEPROP INT_R_X23Y145 INT_TILE_X 23 TILEPROP INT_R_X23Y145 INT_TILE_Y 4 TILEPROP INT_R_X23Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y145 IS_DCM_TILE 0 TILEPROP INT_R_X23Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y145 NAME INT_R_X23Y145 TILEPROP INT_R_X23Y145 NUM_ARCS 3737 TILEPROP INT_R_X23Y145 NUM_SITES 1 TILEPROP INT_R_X23Y145 ROW 5 TILEPROP INT_R_X23Y145 SLR_REGION_ID 0 TILEPROP INT_R_X23Y145 TILE_PATTERN_IDX 3718 TILEPROP INT_R_X23Y145 TILE_TYPE INT_R TILEPROP INT_R_X23Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y145 TILE_X 5184 TILEPROP INT_R_X23Y145 TILE_Y 227896 TILEPROP INT_R_X23Y145 TYPE INT_R TILEPROP INT_R_X23Y146 CLASS tile TILEPROP INT_R_X23Y146 COLUMN 58 TILEPROP INT_R_X23Y146 DEVICE_ID 0 TILEPROP INT_R_X23Y146 FIRST_SITE_ID 359 TILEPROP INT_R_X23Y146 GRID_POINT_X 58 TILEPROP INT_R_X23Y146 GRID_POINT_Y 4 TILEPROP INT_R_X23Y146 INDEX 518 TILEPROP INT_R_X23Y146 INT_TILE_X 23 TILEPROP INT_R_X23Y146 INT_TILE_Y 3 TILEPROP INT_R_X23Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y146 IS_DCM_TILE 0 TILEPROP INT_R_X23Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y146 NAME INT_R_X23Y146 TILEPROP INT_R_X23Y146 NUM_ARCS 3737 TILEPROP INT_R_X23Y146 NUM_SITES 1 TILEPROP INT_R_X23Y146 ROW 4 TILEPROP INT_R_X23Y146 SLR_REGION_ID 0 TILEPROP INT_R_X23Y146 TILE_PATTERN_IDX 3679 TILEPROP INT_R_X23Y146 TILE_TYPE INT_R TILEPROP INT_R_X23Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y146 TILE_X 5184 TILEPROP INT_R_X23Y146 TILE_Y 231096 TILEPROP INT_R_X23Y146 TYPE INT_R TILEPROP INT_R_X23Y147 CLASS tile TILEPROP INT_R_X23Y147 COLUMN 58 TILEPROP INT_R_X23Y147 DEVICE_ID 0 TILEPROP INT_R_X23Y147 FIRST_SITE_ID 263 TILEPROP INT_R_X23Y147 GRID_POINT_X 58 TILEPROP INT_R_X23Y147 GRID_POINT_Y 3 TILEPROP INT_R_X23Y147 INDEX 403 TILEPROP INT_R_X23Y147 INT_TILE_X 23 TILEPROP INT_R_X23Y147 INT_TILE_Y 2 TILEPROP INT_R_X23Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y147 IS_DCM_TILE 0 TILEPROP INT_R_X23Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y147 NAME INT_R_X23Y147 TILEPROP INT_R_X23Y147 NUM_ARCS 3737 TILEPROP INT_R_X23Y147 NUM_SITES 1 TILEPROP INT_R_X23Y147 ROW 3 TILEPROP INT_R_X23Y147 SLR_REGION_ID 0 TILEPROP INT_R_X23Y147 TILE_PATTERN_IDX 3641 TILEPROP INT_R_X23Y147 TILE_TYPE INT_R TILEPROP INT_R_X23Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y147 TILE_X 5184 TILEPROP INT_R_X23Y147 TILE_Y 234296 TILEPROP INT_R_X23Y147 TYPE INT_R TILEPROP INT_R_X23Y148 CLASS tile TILEPROP INT_R_X23Y148 COLUMN 58 TILEPROP INT_R_X23Y148 DEVICE_ID 0 TILEPROP INT_R_X23Y148 FIRST_SITE_ID 159 TILEPROP INT_R_X23Y148 GRID_POINT_X 58 TILEPROP INT_R_X23Y148 GRID_POINT_Y 2 TILEPROP INT_R_X23Y148 INDEX 288 TILEPROP INT_R_X23Y148 INT_TILE_X 23 TILEPROP INT_R_X23Y148 INT_TILE_Y 1 TILEPROP INT_R_X23Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y148 IS_DCM_TILE 0 TILEPROP INT_R_X23Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y148 NAME INT_R_X23Y148 TILEPROP INT_R_X23Y148 NUM_ARCS 3737 TILEPROP INT_R_X23Y148 NUM_SITES 1 TILEPROP INT_R_X23Y148 ROW 2 TILEPROP INT_R_X23Y148 SLR_REGION_ID 0 TILEPROP INT_R_X23Y148 TILE_PATTERN_IDX 3602 TILEPROP INT_R_X23Y148 TILE_TYPE INT_R TILEPROP INT_R_X23Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y148 TILE_X 5184 TILEPROP INT_R_X23Y148 TILE_Y 237496 TILEPROP INT_R_X23Y148 TYPE INT_R TILEPROP INT_R_X23Y149 CLASS tile TILEPROP INT_R_X23Y149 COLUMN 58 TILEPROP INT_R_X23Y149 DEVICE_ID 0 TILEPROP INT_R_X23Y149 FIRST_SITE_ID 63 TILEPROP INT_R_X23Y149 GRID_POINT_X 58 TILEPROP INT_R_X23Y149 GRID_POINT_Y 1 TILEPROP INT_R_X23Y149 INDEX 173 TILEPROP INT_R_X23Y149 INT_TILE_X 23 TILEPROP INT_R_X23Y149 INT_TILE_Y 0 TILEPROP INT_R_X23Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X23Y149 IS_DCM_TILE 0 TILEPROP INT_R_X23Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X23Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X23Y149 NAME INT_R_X23Y149 TILEPROP INT_R_X23Y149 NUM_ARCS 3737 TILEPROP INT_R_X23Y149 NUM_SITES 1 TILEPROP INT_R_X23Y149 ROW 1 TILEPROP INT_R_X23Y149 SLR_REGION_ID 0 TILEPROP INT_R_X23Y149 TILE_PATTERN_IDX 3564 TILEPROP INT_R_X23Y149 TILE_TYPE INT_R TILEPROP INT_R_X23Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X23Y149 TILE_X 5184 TILEPROP INT_R_X23Y149 TILE_Y 240696 TILEPROP INT_R_X23Y149 TYPE INT_R TILEPROP INT_R_X25Y0 CLASS tile TILEPROP INT_R_X25Y0 COLUMN 64 TILEPROP INT_R_X25Y0 DEVICE_ID 0 TILEPROP INT_R_X25Y0 FIRST_SITE_ID 15757 TILEPROP INT_R_X25Y0 GRID_POINT_X 64 TILEPROP INT_R_X25Y0 GRID_POINT_Y 155 TILEPROP INT_R_X25Y0 INDEX 17889 TILEPROP INT_R_X25Y0 INT_TILE_X 25 TILEPROP INT_R_X25Y0 INT_TILE_Y 149 TILEPROP INT_R_X25Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y0 IS_DCM_TILE 0 TILEPROP INT_R_X25Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y0 NAME INT_R_X25Y0 TILEPROP INT_R_X25Y0 NUM_ARCS 3737 TILEPROP INT_R_X25Y0 NUM_SITES 1 TILEPROP INT_R_X25Y0 ROW 155 TILEPROP INT_R_X25Y0 SLR_REGION_ID 0 TILEPROP INT_R_X25Y0 TILE_PATTERN_IDX 8752 TILEPROP INT_R_X25Y0 TILE_TYPE INT_R TILEPROP INT_R_X25Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y0 TILE_X 16520 TILEPROP INT_R_X25Y0 TILE_Y -239672 TILEPROP INT_R_X25Y0 TYPE INT_R TILEPROP INT_R_X25Y1 CLASS tile TILEPROP INT_R_X25Y1 COLUMN 64 TILEPROP INT_R_X25Y1 DEVICE_ID 0 TILEPROP INT_R_X25Y1 FIRST_SITE_ID 15647 TILEPROP INT_R_X25Y1 GRID_POINT_X 64 TILEPROP INT_R_X25Y1 GRID_POINT_Y 154 TILEPROP INT_R_X25Y1 INDEX 17774 TILEPROP INT_R_X25Y1 INT_TILE_X 25 TILEPROP INT_R_X25Y1 INT_TILE_Y 148 TILEPROP INT_R_X25Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y1 IS_DCM_TILE 0 TILEPROP INT_R_X25Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y1 NAME INT_R_X25Y1 TILEPROP INT_R_X25Y1 NUM_ARCS 3737 TILEPROP INT_R_X25Y1 NUM_SITES 1 TILEPROP INT_R_X25Y1 ROW 154 TILEPROP INT_R_X25Y1 SLR_REGION_ID 0 TILEPROP INT_R_X25Y1 TILE_PATTERN_IDX 8721 TILEPROP INT_R_X25Y1 TILE_TYPE INT_R TILEPROP INT_R_X25Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y1 TILE_X 16520 TILEPROP INT_R_X25Y1 TILE_Y -236472 TILEPROP INT_R_X25Y1 TYPE INT_R TILEPROP INT_R_X25Y2 CLASS tile TILEPROP INT_R_X25Y2 COLUMN 64 TILEPROP INT_R_X25Y2 DEVICE_ID 0 TILEPROP INT_R_X25Y2 FIRST_SITE_ID 15546 TILEPROP INT_R_X25Y2 GRID_POINT_X 64 TILEPROP INT_R_X25Y2 GRID_POINT_Y 153 TILEPROP INT_R_X25Y2 INDEX 17659 TILEPROP INT_R_X25Y2 INT_TILE_X 25 TILEPROP INT_R_X25Y2 INT_TILE_Y 147 TILEPROP INT_R_X25Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y2 IS_DCM_TILE 0 TILEPROP INT_R_X25Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y2 NAME INT_R_X25Y2 TILEPROP INT_R_X25Y2 NUM_ARCS 3737 TILEPROP INT_R_X25Y2 NUM_SITES 1 TILEPROP INT_R_X25Y2 ROW 153 TILEPROP INT_R_X25Y2 SLR_REGION_ID 0 TILEPROP INT_R_X25Y2 TILE_PATTERN_IDX 8691 TILEPROP INT_R_X25Y2 TILE_TYPE INT_R TILEPROP INT_R_X25Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y2 TILE_X 16520 TILEPROP INT_R_X25Y2 TILE_Y -233272 TILEPROP INT_R_X25Y2 TYPE INT_R TILEPROP INT_R_X25Y3 CLASS tile TILEPROP INT_R_X25Y3 COLUMN 64 TILEPROP INT_R_X25Y3 DEVICE_ID 0 TILEPROP INT_R_X25Y3 FIRST_SITE_ID 15446 TILEPROP INT_R_X25Y3 GRID_POINT_X 64 TILEPROP INT_R_X25Y3 GRID_POINT_Y 152 TILEPROP INT_R_X25Y3 INDEX 17544 TILEPROP INT_R_X25Y3 INT_TILE_X 25 TILEPROP INT_R_X25Y3 INT_TILE_Y 146 TILEPROP INT_R_X25Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y3 IS_DCM_TILE 0 TILEPROP INT_R_X25Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y3 NAME INT_R_X25Y3 TILEPROP INT_R_X25Y3 NUM_ARCS 3737 TILEPROP INT_R_X25Y3 NUM_SITES 1 TILEPROP INT_R_X25Y3 ROW 152 TILEPROP INT_R_X25Y3 SLR_REGION_ID 0 TILEPROP INT_R_X25Y3 TILE_PATTERN_IDX 8660 TILEPROP INT_R_X25Y3 TILE_TYPE INT_R TILEPROP INT_R_X25Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y3 TILE_X 16520 TILEPROP INT_R_X25Y3 TILE_Y -230072 TILEPROP INT_R_X25Y3 TYPE INT_R TILEPROP INT_R_X25Y4 CLASS tile TILEPROP INT_R_X25Y4 COLUMN 64 TILEPROP INT_R_X25Y4 DEVICE_ID 0 TILEPROP INT_R_X25Y4 FIRST_SITE_ID 15346 TILEPROP INT_R_X25Y4 GRID_POINT_X 64 TILEPROP INT_R_X25Y4 GRID_POINT_Y 151 TILEPROP INT_R_X25Y4 INDEX 17429 TILEPROP INT_R_X25Y4 INT_TILE_X 25 TILEPROP INT_R_X25Y4 INT_TILE_Y 145 TILEPROP INT_R_X25Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y4 IS_DCM_TILE 0 TILEPROP INT_R_X25Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y4 NAME INT_R_X25Y4 TILEPROP INT_R_X25Y4 NUM_ARCS 3737 TILEPROP INT_R_X25Y4 NUM_SITES 1 TILEPROP INT_R_X25Y4 ROW 151 TILEPROP INT_R_X25Y4 SLR_REGION_ID 0 TILEPROP INT_R_X25Y4 TILE_PATTERN_IDX 8630 TILEPROP INT_R_X25Y4 TILE_TYPE INT_R TILEPROP INT_R_X25Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y4 TILE_X 16520 TILEPROP INT_R_X25Y4 TILE_Y -226872 TILEPROP INT_R_X25Y4 TYPE INT_R TILEPROP INT_R_X25Y5 CLASS tile TILEPROP INT_R_X25Y5 COLUMN 64 TILEPROP INT_R_X25Y5 DEVICE_ID 0 TILEPROP INT_R_X25Y5 FIRST_SITE_ID 15237 TILEPROP INT_R_X25Y5 GRID_POINT_X 64 TILEPROP INT_R_X25Y5 GRID_POINT_Y 150 TILEPROP INT_R_X25Y5 INDEX 17314 TILEPROP INT_R_X25Y5 INT_TILE_X 25 TILEPROP INT_R_X25Y5 INT_TILE_Y 144 TILEPROP INT_R_X25Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y5 IS_DCM_TILE 0 TILEPROP INT_R_X25Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y5 NAME INT_R_X25Y5 TILEPROP INT_R_X25Y5 NUM_ARCS 3737 TILEPROP INT_R_X25Y5 NUM_SITES 1 TILEPROP INT_R_X25Y5 ROW 150 TILEPROP INT_R_X25Y5 SLR_REGION_ID 0 TILEPROP INT_R_X25Y5 TILE_PATTERN_IDX 8599 TILEPROP INT_R_X25Y5 TILE_TYPE INT_R TILEPROP INT_R_X25Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y5 TILE_X 16520 TILEPROP INT_R_X25Y5 TILE_Y -223672 TILEPROP INT_R_X25Y5 TYPE INT_R TILEPROP INT_R_X25Y6 CLASS tile TILEPROP INT_R_X25Y6 COLUMN 64 TILEPROP INT_R_X25Y6 DEVICE_ID 0 TILEPROP INT_R_X25Y6 FIRST_SITE_ID 15131 TILEPROP INT_R_X25Y6 GRID_POINT_X 64 TILEPROP INT_R_X25Y6 GRID_POINT_Y 149 TILEPROP INT_R_X25Y6 INDEX 17199 TILEPROP INT_R_X25Y6 INT_TILE_X 25 TILEPROP INT_R_X25Y6 INT_TILE_Y 143 TILEPROP INT_R_X25Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y6 IS_DCM_TILE 0 TILEPROP INT_R_X25Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y6 NAME INT_R_X25Y6 TILEPROP INT_R_X25Y6 NUM_ARCS 3737 TILEPROP INT_R_X25Y6 NUM_SITES 1 TILEPROP INT_R_X25Y6 ROW 149 TILEPROP INT_R_X25Y6 SLR_REGION_ID 0 TILEPROP INT_R_X25Y6 TILE_PATTERN_IDX 8569 TILEPROP INT_R_X25Y6 TILE_TYPE INT_R TILEPROP INT_R_X25Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y6 TILE_X 16520 TILEPROP INT_R_X25Y6 TILE_Y -220472 TILEPROP INT_R_X25Y6 TYPE INT_R TILEPROP INT_R_X25Y7 CLASS tile TILEPROP INT_R_X25Y7 COLUMN 64 TILEPROP INT_R_X25Y7 DEVICE_ID 0 TILEPROP INT_R_X25Y7 FIRST_SITE_ID 15029 TILEPROP INT_R_X25Y7 GRID_POINT_X 64 TILEPROP INT_R_X25Y7 GRID_POINT_Y 148 TILEPROP INT_R_X25Y7 INDEX 17084 TILEPROP INT_R_X25Y7 INT_TILE_X 25 TILEPROP INT_R_X25Y7 INT_TILE_Y 142 TILEPROP INT_R_X25Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y7 IS_DCM_TILE 0 TILEPROP INT_R_X25Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y7 NAME INT_R_X25Y7 TILEPROP INT_R_X25Y7 NUM_ARCS 3737 TILEPROP INT_R_X25Y7 NUM_SITES 1 TILEPROP INT_R_X25Y7 ROW 148 TILEPROP INT_R_X25Y7 SLR_REGION_ID 0 TILEPROP INT_R_X25Y7 TILE_PATTERN_IDX 8538 TILEPROP INT_R_X25Y7 TILE_TYPE INT_R TILEPROP INT_R_X25Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y7 TILE_X 16520 TILEPROP INT_R_X25Y7 TILE_Y -217272 TILEPROP INT_R_X25Y7 TYPE INT_R TILEPROP INT_R_X25Y8 CLASS tile TILEPROP INT_R_X25Y8 COLUMN 64 TILEPROP INT_R_X25Y8 DEVICE_ID 0 TILEPROP INT_R_X25Y8 FIRST_SITE_ID 14926 TILEPROP INT_R_X25Y8 GRID_POINT_X 64 TILEPROP INT_R_X25Y8 GRID_POINT_Y 147 TILEPROP INT_R_X25Y8 INDEX 16969 TILEPROP INT_R_X25Y8 INT_TILE_X 25 TILEPROP INT_R_X25Y8 INT_TILE_Y 141 TILEPROP INT_R_X25Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y8 IS_DCM_TILE 0 TILEPROP INT_R_X25Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y8 NAME INT_R_X25Y8 TILEPROP INT_R_X25Y8 NUM_ARCS 3737 TILEPROP INT_R_X25Y8 NUM_SITES 1 TILEPROP INT_R_X25Y8 ROW 147 TILEPROP INT_R_X25Y8 SLR_REGION_ID 0 TILEPROP INT_R_X25Y8 TILE_PATTERN_IDX 8508 TILEPROP INT_R_X25Y8 TILE_TYPE INT_R TILEPROP INT_R_X25Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y8 TILE_X 16520 TILEPROP INT_R_X25Y8 TILE_Y -214072 TILEPROP INT_R_X25Y8 TYPE INT_R TILEPROP INT_R_X25Y9 CLASS tile TILEPROP INT_R_X25Y9 COLUMN 64 TILEPROP INT_R_X25Y9 DEVICE_ID 0 TILEPROP INT_R_X25Y9 FIRST_SITE_ID 14825 TILEPROP INT_R_X25Y9 GRID_POINT_X 64 TILEPROP INT_R_X25Y9 GRID_POINT_Y 146 TILEPROP INT_R_X25Y9 INDEX 16854 TILEPROP INT_R_X25Y9 INT_TILE_X 25 TILEPROP INT_R_X25Y9 INT_TILE_Y 140 TILEPROP INT_R_X25Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y9 IS_DCM_TILE 0 TILEPROP INT_R_X25Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y9 NAME INT_R_X25Y9 TILEPROP INT_R_X25Y9 NUM_ARCS 3737 TILEPROP INT_R_X25Y9 NUM_SITES 1 TILEPROP INT_R_X25Y9 ROW 146 TILEPROP INT_R_X25Y9 SLR_REGION_ID 0 TILEPROP INT_R_X25Y9 TILE_PATTERN_IDX 8477 TILEPROP INT_R_X25Y9 TILE_TYPE INT_R TILEPROP INT_R_X25Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y9 TILE_X 16520 TILEPROP INT_R_X25Y9 TILE_Y -210872 TILEPROP INT_R_X25Y9 TYPE INT_R TILEPROP INT_R_X25Y10 CLASS tile TILEPROP INT_R_X25Y10 COLUMN 64 TILEPROP INT_R_X25Y10 DEVICE_ID 0 TILEPROP INT_R_X25Y10 FIRST_SITE_ID 14716 TILEPROP INT_R_X25Y10 GRID_POINT_X 64 TILEPROP INT_R_X25Y10 GRID_POINT_Y 145 TILEPROP INT_R_X25Y10 INDEX 16739 TILEPROP INT_R_X25Y10 INT_TILE_X 25 TILEPROP INT_R_X25Y10 INT_TILE_Y 139 TILEPROP INT_R_X25Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y10 IS_DCM_TILE 0 TILEPROP INT_R_X25Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y10 NAME INT_R_X25Y10 TILEPROP INT_R_X25Y10 NUM_ARCS 3737 TILEPROP INT_R_X25Y10 NUM_SITES 1 TILEPROP INT_R_X25Y10 ROW 145 TILEPROP INT_R_X25Y10 SLR_REGION_ID 0 TILEPROP INT_R_X25Y10 TILE_PATTERN_IDX 8447 TILEPROP INT_R_X25Y10 TILE_TYPE INT_R TILEPROP INT_R_X25Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y10 TILE_X 16520 TILEPROP INT_R_X25Y10 TILE_Y -207672 TILEPROP INT_R_X25Y10 TYPE INT_R TILEPROP INT_R_X25Y11 CLASS tile TILEPROP INT_R_X25Y11 COLUMN 64 TILEPROP INT_R_X25Y11 DEVICE_ID 0 TILEPROP INT_R_X25Y11 FIRST_SITE_ID 14610 TILEPROP INT_R_X25Y11 GRID_POINT_X 64 TILEPROP INT_R_X25Y11 GRID_POINT_Y 144 TILEPROP INT_R_X25Y11 INDEX 16624 TILEPROP INT_R_X25Y11 INT_TILE_X 25 TILEPROP INT_R_X25Y11 INT_TILE_Y 138 TILEPROP INT_R_X25Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y11 IS_DCM_TILE 0 TILEPROP INT_R_X25Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y11 NAME INT_R_X25Y11 TILEPROP INT_R_X25Y11 NUM_ARCS 3737 TILEPROP INT_R_X25Y11 NUM_SITES 1 TILEPROP INT_R_X25Y11 ROW 144 TILEPROP INT_R_X25Y11 SLR_REGION_ID 0 TILEPROP INT_R_X25Y11 TILE_PATTERN_IDX 8416 TILEPROP INT_R_X25Y11 TILE_TYPE INT_R TILEPROP INT_R_X25Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y11 TILE_X 16520 TILEPROP INT_R_X25Y11 TILE_Y -204472 TILEPROP INT_R_X25Y11 TYPE INT_R TILEPROP INT_R_X25Y12 CLASS tile TILEPROP INT_R_X25Y12 COLUMN 64 TILEPROP INT_R_X25Y12 DEVICE_ID 0 TILEPROP INT_R_X25Y12 FIRST_SITE_ID 14510 TILEPROP INT_R_X25Y12 GRID_POINT_X 64 TILEPROP INT_R_X25Y12 GRID_POINT_Y 143 TILEPROP INT_R_X25Y12 INDEX 16509 TILEPROP INT_R_X25Y12 INT_TILE_X 25 TILEPROP INT_R_X25Y12 INT_TILE_Y 137 TILEPROP INT_R_X25Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y12 IS_DCM_TILE 0 TILEPROP INT_R_X25Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y12 NAME INT_R_X25Y12 TILEPROP INT_R_X25Y12 NUM_ARCS 3737 TILEPROP INT_R_X25Y12 NUM_SITES 1 TILEPROP INT_R_X25Y12 ROW 143 TILEPROP INT_R_X25Y12 SLR_REGION_ID 0 TILEPROP INT_R_X25Y12 TILE_PATTERN_IDX 8386 TILEPROP INT_R_X25Y12 TILE_TYPE INT_R TILEPROP INT_R_X25Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y12 TILE_X 16520 TILEPROP INT_R_X25Y12 TILE_Y -201272 TILEPROP INT_R_X25Y12 TYPE INT_R TILEPROP INT_R_X25Y13 CLASS tile TILEPROP INT_R_X25Y13 COLUMN 64 TILEPROP INT_R_X25Y13 DEVICE_ID 0 TILEPROP INT_R_X25Y13 FIRST_SITE_ID 14378 TILEPROP INT_R_X25Y13 GRID_POINT_X 64 TILEPROP INT_R_X25Y13 GRID_POINT_Y 142 TILEPROP INT_R_X25Y13 INDEX 16394 TILEPROP INT_R_X25Y13 INT_TILE_X 25 TILEPROP INT_R_X25Y13 INT_TILE_Y 136 TILEPROP INT_R_X25Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y13 IS_DCM_TILE 0 TILEPROP INT_R_X25Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y13 NAME INT_R_X25Y13 TILEPROP INT_R_X25Y13 NUM_ARCS 3737 TILEPROP INT_R_X25Y13 NUM_SITES 1 TILEPROP INT_R_X25Y13 ROW 142 TILEPROP INT_R_X25Y13 SLR_REGION_ID 0 TILEPROP INT_R_X25Y13 TILE_PATTERN_IDX 8355 TILEPROP INT_R_X25Y13 TILE_TYPE INT_R TILEPROP INT_R_X25Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y13 TILE_X 16520 TILEPROP INT_R_X25Y13 TILE_Y -198072 TILEPROP INT_R_X25Y13 TYPE INT_R TILEPROP INT_R_X25Y14 CLASS tile TILEPROP INT_R_X25Y14 COLUMN 64 TILEPROP INT_R_X25Y14 DEVICE_ID 0 TILEPROP INT_R_X25Y14 FIRST_SITE_ID 14278 TILEPROP INT_R_X25Y14 GRID_POINT_X 64 TILEPROP INT_R_X25Y14 GRID_POINT_Y 141 TILEPROP INT_R_X25Y14 INDEX 16279 TILEPROP INT_R_X25Y14 INT_TILE_X 25 TILEPROP INT_R_X25Y14 INT_TILE_Y 135 TILEPROP INT_R_X25Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y14 IS_DCM_TILE 0 TILEPROP INT_R_X25Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y14 NAME INT_R_X25Y14 TILEPROP INT_R_X25Y14 NUM_ARCS 3737 TILEPROP INT_R_X25Y14 NUM_SITES 1 TILEPROP INT_R_X25Y14 ROW 141 TILEPROP INT_R_X25Y14 SLR_REGION_ID 0 TILEPROP INT_R_X25Y14 TILE_PATTERN_IDX 8325 TILEPROP INT_R_X25Y14 TILE_TYPE INT_R TILEPROP INT_R_X25Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y14 TILE_X 16520 TILEPROP INT_R_X25Y14 TILE_Y -194872 TILEPROP INT_R_X25Y14 TYPE INT_R TILEPROP INT_R_X25Y15 CLASS tile TILEPROP INT_R_X25Y15 COLUMN 64 TILEPROP INT_R_X25Y15 DEVICE_ID 0 TILEPROP INT_R_X25Y15 FIRST_SITE_ID 14169 TILEPROP INT_R_X25Y15 GRID_POINT_X 64 TILEPROP INT_R_X25Y15 GRID_POINT_Y 140 TILEPROP INT_R_X25Y15 INDEX 16164 TILEPROP INT_R_X25Y15 INT_TILE_X 25 TILEPROP INT_R_X25Y15 INT_TILE_Y 134 TILEPROP INT_R_X25Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y15 IS_DCM_TILE 0 TILEPROP INT_R_X25Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y15 NAME INT_R_X25Y15 TILEPROP INT_R_X25Y15 NUM_ARCS 3737 TILEPROP INT_R_X25Y15 NUM_SITES 1 TILEPROP INT_R_X25Y15 ROW 140 TILEPROP INT_R_X25Y15 SLR_REGION_ID 0 TILEPROP INT_R_X25Y15 TILE_PATTERN_IDX 8294 TILEPROP INT_R_X25Y15 TILE_TYPE INT_R TILEPROP INT_R_X25Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y15 TILE_X 16520 TILEPROP INT_R_X25Y15 TILE_Y -191672 TILEPROP INT_R_X25Y15 TYPE INT_R TILEPROP INT_R_X25Y16 CLASS tile TILEPROP INT_R_X25Y16 COLUMN 64 TILEPROP INT_R_X25Y16 DEVICE_ID 0 TILEPROP INT_R_X25Y16 FIRST_SITE_ID 14063 TILEPROP INT_R_X25Y16 GRID_POINT_X 64 TILEPROP INT_R_X25Y16 GRID_POINT_Y 139 TILEPROP INT_R_X25Y16 INDEX 16049 TILEPROP INT_R_X25Y16 INT_TILE_X 25 TILEPROP INT_R_X25Y16 INT_TILE_Y 133 TILEPROP INT_R_X25Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y16 IS_DCM_TILE 0 TILEPROP INT_R_X25Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y16 NAME INT_R_X25Y16 TILEPROP INT_R_X25Y16 NUM_ARCS 3737 TILEPROP INT_R_X25Y16 NUM_SITES 1 TILEPROP INT_R_X25Y16 ROW 139 TILEPROP INT_R_X25Y16 SLR_REGION_ID 0 TILEPROP INT_R_X25Y16 TILE_PATTERN_IDX 8264 TILEPROP INT_R_X25Y16 TILE_TYPE INT_R TILEPROP INT_R_X25Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y16 TILE_X 16520 TILEPROP INT_R_X25Y16 TILE_Y -188472 TILEPROP INT_R_X25Y16 TYPE INT_R TILEPROP INT_R_X25Y17 CLASS tile TILEPROP INT_R_X25Y17 COLUMN 64 TILEPROP INT_R_X25Y17 DEVICE_ID 0 TILEPROP INT_R_X25Y17 FIRST_SITE_ID 13959 TILEPROP INT_R_X25Y17 GRID_POINT_X 64 TILEPROP INT_R_X25Y17 GRID_POINT_Y 138 TILEPROP INT_R_X25Y17 INDEX 15934 TILEPROP INT_R_X25Y17 INT_TILE_X 25 TILEPROP INT_R_X25Y17 INT_TILE_Y 132 TILEPROP INT_R_X25Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y17 IS_DCM_TILE 0 TILEPROP INT_R_X25Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y17 NAME INT_R_X25Y17 TILEPROP INT_R_X25Y17 NUM_ARCS 3737 TILEPROP INT_R_X25Y17 NUM_SITES 1 TILEPROP INT_R_X25Y17 ROW 138 TILEPROP INT_R_X25Y17 SLR_REGION_ID 0 TILEPROP INT_R_X25Y17 TILE_PATTERN_IDX 8232 TILEPROP INT_R_X25Y17 TILE_TYPE INT_R TILEPROP INT_R_X25Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y17 TILE_X 16520 TILEPROP INT_R_X25Y17 TILE_Y -185272 TILEPROP INT_R_X25Y17 TYPE INT_R TILEPROP INT_R_X25Y18 CLASS tile TILEPROP INT_R_X25Y18 COLUMN 64 TILEPROP INT_R_X25Y18 DEVICE_ID 0 TILEPROP INT_R_X25Y18 FIRST_SITE_ID 13854 TILEPROP INT_R_X25Y18 GRID_POINT_X 64 TILEPROP INT_R_X25Y18 GRID_POINT_Y 137 TILEPROP INT_R_X25Y18 INDEX 15819 TILEPROP INT_R_X25Y18 INT_TILE_X 25 TILEPROP INT_R_X25Y18 INT_TILE_Y 131 TILEPROP INT_R_X25Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y18 IS_DCM_TILE 0 TILEPROP INT_R_X25Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y18 NAME INT_R_X25Y18 TILEPROP INT_R_X25Y18 NUM_ARCS 3737 TILEPROP INT_R_X25Y18 NUM_SITES 1 TILEPROP INT_R_X25Y18 ROW 137 TILEPROP INT_R_X25Y18 SLR_REGION_ID 0 TILEPROP INT_R_X25Y18 TILE_PATTERN_IDX 8209 TILEPROP INT_R_X25Y18 TILE_TYPE INT_R TILEPROP INT_R_X25Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y18 TILE_X 16520 TILEPROP INT_R_X25Y18 TILE_Y -182072 TILEPROP INT_R_X25Y18 TYPE INT_R TILEPROP INT_R_X25Y19 CLASS tile TILEPROP INT_R_X25Y19 COLUMN 64 TILEPROP INT_R_X25Y19 DEVICE_ID 0 TILEPROP INT_R_X25Y19 FIRST_SITE_ID 13752 TILEPROP INT_R_X25Y19 GRID_POINT_X 64 TILEPROP INT_R_X25Y19 GRID_POINT_Y 136 TILEPROP INT_R_X25Y19 INDEX 15704 TILEPROP INT_R_X25Y19 INT_TILE_X 25 TILEPROP INT_R_X25Y19 INT_TILE_Y 130 TILEPROP INT_R_X25Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y19 IS_DCM_TILE 0 TILEPROP INT_R_X25Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y19 NAME INT_R_X25Y19 TILEPROP INT_R_X25Y19 NUM_ARCS 3737 TILEPROP INT_R_X25Y19 NUM_SITES 1 TILEPROP INT_R_X25Y19 ROW 136 TILEPROP INT_R_X25Y19 SLR_REGION_ID 0 TILEPROP INT_R_X25Y19 TILE_PATTERN_IDX 8186 TILEPROP INT_R_X25Y19 TILE_TYPE INT_R TILEPROP INT_R_X25Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y19 TILE_X 16520 TILEPROP INT_R_X25Y19 TILE_Y -178872 TILEPROP INT_R_X25Y19 TYPE INT_R TILEPROP INT_R_X25Y20 CLASS tile TILEPROP INT_R_X25Y20 COLUMN 64 TILEPROP INT_R_X25Y20 DEVICE_ID 0 TILEPROP INT_R_X25Y20 FIRST_SITE_ID 13641 TILEPROP INT_R_X25Y20 GRID_POINT_X 64 TILEPROP INT_R_X25Y20 GRID_POINT_Y 135 TILEPROP INT_R_X25Y20 INDEX 15589 TILEPROP INT_R_X25Y20 INT_TILE_X 25 TILEPROP INT_R_X25Y20 INT_TILE_Y 129 TILEPROP INT_R_X25Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y20 IS_DCM_TILE 0 TILEPROP INT_R_X25Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y20 NAME INT_R_X25Y20 TILEPROP INT_R_X25Y20 NUM_ARCS 3737 TILEPROP INT_R_X25Y20 NUM_SITES 1 TILEPROP INT_R_X25Y20 ROW 135 TILEPROP INT_R_X25Y20 SLR_REGION_ID 0 TILEPROP INT_R_X25Y20 TILE_PATTERN_IDX 8164 TILEPROP INT_R_X25Y20 TILE_TYPE INT_R TILEPROP INT_R_X25Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y20 TILE_X 16520 TILEPROP INT_R_X25Y20 TILE_Y -175672 TILEPROP INT_R_X25Y20 TYPE INT_R TILEPROP INT_R_X25Y21 CLASS tile TILEPROP INT_R_X25Y21 COLUMN 64 TILEPROP INT_R_X25Y21 DEVICE_ID 0 TILEPROP INT_R_X25Y21 FIRST_SITE_ID 13535 TILEPROP INT_R_X25Y21 GRID_POINT_X 64 TILEPROP INT_R_X25Y21 GRID_POINT_Y 134 TILEPROP INT_R_X25Y21 INDEX 15474 TILEPROP INT_R_X25Y21 INT_TILE_X 25 TILEPROP INT_R_X25Y21 INT_TILE_Y 128 TILEPROP INT_R_X25Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y21 IS_DCM_TILE 0 TILEPROP INT_R_X25Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y21 NAME INT_R_X25Y21 TILEPROP INT_R_X25Y21 NUM_ARCS 3737 TILEPROP INT_R_X25Y21 NUM_SITES 1 TILEPROP INT_R_X25Y21 ROW 134 TILEPROP INT_R_X25Y21 SLR_REGION_ID 0 TILEPROP INT_R_X25Y21 TILE_PATTERN_IDX 8135 TILEPROP INT_R_X25Y21 TILE_TYPE INT_R TILEPROP INT_R_X25Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y21 TILE_X 16520 TILEPROP INT_R_X25Y21 TILE_Y -172472 TILEPROP INT_R_X25Y21 TYPE INT_R TILEPROP INT_R_X25Y22 CLASS tile TILEPROP INT_R_X25Y22 COLUMN 64 TILEPROP INT_R_X25Y22 DEVICE_ID 0 TILEPROP INT_R_X25Y22 FIRST_SITE_ID 13435 TILEPROP INT_R_X25Y22 GRID_POINT_X 64 TILEPROP INT_R_X25Y22 GRID_POINT_Y 133 TILEPROP INT_R_X25Y22 INDEX 15359 TILEPROP INT_R_X25Y22 INT_TILE_X 25 TILEPROP INT_R_X25Y22 INT_TILE_Y 127 TILEPROP INT_R_X25Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y22 IS_DCM_TILE 0 TILEPROP INT_R_X25Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y22 NAME INT_R_X25Y22 TILEPROP INT_R_X25Y22 NUM_ARCS 3737 TILEPROP INT_R_X25Y22 NUM_SITES 1 TILEPROP INT_R_X25Y22 ROW 133 TILEPROP INT_R_X25Y22 SLR_REGION_ID 0 TILEPROP INT_R_X25Y22 TILE_PATTERN_IDX 8107 TILEPROP INT_R_X25Y22 TILE_TYPE INT_R TILEPROP INT_R_X25Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y22 TILE_X 16520 TILEPROP INT_R_X25Y22 TILE_Y -169272 TILEPROP INT_R_X25Y22 TYPE INT_R TILEPROP INT_R_X25Y23 CLASS tile TILEPROP INT_R_X25Y23 COLUMN 64 TILEPROP INT_R_X25Y23 DEVICE_ID 0 TILEPROP INT_R_X25Y23 FIRST_SITE_ID 13335 TILEPROP INT_R_X25Y23 GRID_POINT_X 64 TILEPROP INT_R_X25Y23 GRID_POINT_Y 132 TILEPROP INT_R_X25Y23 INDEX 15244 TILEPROP INT_R_X25Y23 INT_TILE_X 25 TILEPROP INT_R_X25Y23 INT_TILE_Y 126 TILEPROP INT_R_X25Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y23 IS_DCM_TILE 0 TILEPROP INT_R_X25Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y23 NAME INT_R_X25Y23 TILEPROP INT_R_X25Y23 NUM_ARCS 3737 TILEPROP INT_R_X25Y23 NUM_SITES 1 TILEPROP INT_R_X25Y23 ROW 132 TILEPROP INT_R_X25Y23 SLR_REGION_ID 0 TILEPROP INT_R_X25Y23 TILE_PATTERN_IDX 8078 TILEPROP INT_R_X25Y23 TILE_TYPE INT_R TILEPROP INT_R_X25Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y23 TILE_X 16520 TILEPROP INT_R_X25Y23 TILE_Y -166072 TILEPROP INT_R_X25Y23 TYPE INT_R TILEPROP INT_R_X25Y24 CLASS tile TILEPROP INT_R_X25Y24 COLUMN 64 TILEPROP INT_R_X25Y24 DEVICE_ID 0 TILEPROP INT_R_X25Y24 FIRST_SITE_ID 13235 TILEPROP INT_R_X25Y24 GRID_POINT_X 64 TILEPROP INT_R_X25Y24 GRID_POINT_Y 131 TILEPROP INT_R_X25Y24 INDEX 15129 TILEPROP INT_R_X25Y24 INT_TILE_X 25 TILEPROP INT_R_X25Y24 INT_TILE_Y 125 TILEPROP INT_R_X25Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y24 IS_DCM_TILE 0 TILEPROP INT_R_X25Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y24 NAME INT_R_X25Y24 TILEPROP INT_R_X25Y24 NUM_ARCS 3737 TILEPROP INT_R_X25Y24 NUM_SITES 1 TILEPROP INT_R_X25Y24 ROW 131 TILEPROP INT_R_X25Y24 SLR_REGION_ID 0 TILEPROP INT_R_X25Y24 TILE_PATTERN_IDX 8050 TILEPROP INT_R_X25Y24 TILE_TYPE INT_R TILEPROP INT_R_X25Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y24 TILE_X 16520 TILEPROP INT_R_X25Y24 TILE_Y -162872 TILEPROP INT_R_X25Y24 TYPE INT_R TILEPROP INT_R_X25Y25 CLASS tile TILEPROP INT_R_X25Y25 COLUMN 64 TILEPROP INT_R_X25Y25 DEVICE_ID 0 TILEPROP INT_R_X25Y25 FIRST_SITE_ID 13043 TILEPROP INT_R_X25Y25 GRID_POINT_X 64 TILEPROP INT_R_X25Y25 GRID_POINT_Y 129 TILEPROP INT_R_X25Y25 INDEX 14899 TILEPROP INT_R_X25Y25 INT_TILE_X 25 TILEPROP INT_R_X25Y25 INT_TILE_Y 124 TILEPROP INT_R_X25Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y25 IS_DCM_TILE 0 TILEPROP INT_R_X25Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y25 NAME INT_R_X25Y25 TILEPROP INT_R_X25Y25 NUM_ARCS 3737 TILEPROP INT_R_X25Y25 NUM_SITES 1 TILEPROP INT_R_X25Y25 ROW 129 TILEPROP INT_R_X25Y25 SLR_REGION_ID 0 TILEPROP INT_R_X25Y25 TILE_PATTERN_IDX 7978 TILEPROP INT_R_X25Y25 TILE_TYPE INT_R TILEPROP INT_R_X25Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y25 TILE_X 16520 TILEPROP INT_R_X25Y25 TILE_Y -158648 TILEPROP INT_R_X25Y25 TYPE INT_R TILEPROP INT_R_X25Y26 CLASS tile TILEPROP INT_R_X25Y26 COLUMN 64 TILEPROP INT_R_X25Y26 DEVICE_ID 0 TILEPROP INT_R_X25Y26 FIRST_SITE_ID 12937 TILEPROP INT_R_X25Y26 GRID_POINT_X 64 TILEPROP INT_R_X25Y26 GRID_POINT_Y 128 TILEPROP INT_R_X25Y26 INDEX 14784 TILEPROP INT_R_X25Y26 INT_TILE_X 25 TILEPROP INT_R_X25Y26 INT_TILE_Y 123 TILEPROP INT_R_X25Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y26 IS_DCM_TILE 0 TILEPROP INT_R_X25Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y26 NAME INT_R_X25Y26 TILEPROP INT_R_X25Y26 NUM_ARCS 3737 TILEPROP INT_R_X25Y26 NUM_SITES 1 TILEPROP INT_R_X25Y26 ROW 128 TILEPROP INT_R_X25Y26 SLR_REGION_ID 0 TILEPROP INT_R_X25Y26 TILE_PATTERN_IDX 7950 TILEPROP INT_R_X25Y26 TILE_TYPE INT_R TILEPROP INT_R_X25Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y26 TILE_X 16520 TILEPROP INT_R_X25Y26 TILE_Y -155448 TILEPROP INT_R_X25Y26 TYPE INT_R TILEPROP INT_R_X25Y27 CLASS tile TILEPROP INT_R_X25Y27 COLUMN 64 TILEPROP INT_R_X25Y27 DEVICE_ID 0 TILEPROP INT_R_X25Y27 FIRST_SITE_ID 12837 TILEPROP INT_R_X25Y27 GRID_POINT_X 64 TILEPROP INT_R_X25Y27 GRID_POINT_Y 127 TILEPROP INT_R_X25Y27 INDEX 14669 TILEPROP INT_R_X25Y27 INT_TILE_X 25 TILEPROP INT_R_X25Y27 INT_TILE_Y 122 TILEPROP INT_R_X25Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y27 IS_DCM_TILE 0 TILEPROP INT_R_X25Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y27 NAME INT_R_X25Y27 TILEPROP INT_R_X25Y27 NUM_ARCS 3737 TILEPROP INT_R_X25Y27 NUM_SITES 1 TILEPROP INT_R_X25Y27 ROW 127 TILEPROP INT_R_X25Y27 SLR_REGION_ID 0 TILEPROP INT_R_X25Y27 TILE_PATTERN_IDX 7921 TILEPROP INT_R_X25Y27 TILE_TYPE INT_R TILEPROP INT_R_X25Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y27 TILE_X 16520 TILEPROP INT_R_X25Y27 TILE_Y -152248 TILEPROP INT_R_X25Y27 TYPE INT_R TILEPROP INT_R_X25Y28 CLASS tile TILEPROP INT_R_X25Y28 COLUMN 64 TILEPROP INT_R_X25Y28 DEVICE_ID 0 TILEPROP INT_R_X25Y28 FIRST_SITE_ID 12737 TILEPROP INT_R_X25Y28 GRID_POINT_X 64 TILEPROP INT_R_X25Y28 GRID_POINT_Y 126 TILEPROP INT_R_X25Y28 INDEX 14554 TILEPROP INT_R_X25Y28 INT_TILE_X 25 TILEPROP INT_R_X25Y28 INT_TILE_Y 121 TILEPROP INT_R_X25Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y28 IS_DCM_TILE 0 TILEPROP INT_R_X25Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y28 NAME INT_R_X25Y28 TILEPROP INT_R_X25Y28 NUM_ARCS 3737 TILEPROP INT_R_X25Y28 NUM_SITES 1 TILEPROP INT_R_X25Y28 ROW 126 TILEPROP INT_R_X25Y28 SLR_REGION_ID 0 TILEPROP INT_R_X25Y28 TILE_PATTERN_IDX 7893 TILEPROP INT_R_X25Y28 TILE_TYPE INT_R TILEPROP INT_R_X25Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y28 TILE_X 16520 TILEPROP INT_R_X25Y28 TILE_Y -149048 TILEPROP INT_R_X25Y28 TYPE INT_R TILEPROP INT_R_X25Y29 CLASS tile TILEPROP INT_R_X25Y29 COLUMN 64 TILEPROP INT_R_X25Y29 DEVICE_ID 0 TILEPROP INT_R_X25Y29 FIRST_SITE_ID 12631 TILEPROP INT_R_X25Y29 GRID_POINT_X 64 TILEPROP INT_R_X25Y29 GRID_POINT_Y 125 TILEPROP INT_R_X25Y29 INDEX 14439 TILEPROP INT_R_X25Y29 INT_TILE_X 25 TILEPROP INT_R_X25Y29 INT_TILE_Y 120 TILEPROP INT_R_X25Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y29 IS_DCM_TILE 0 TILEPROP INT_R_X25Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y29 NAME INT_R_X25Y29 TILEPROP INT_R_X25Y29 NUM_ARCS 3737 TILEPROP INT_R_X25Y29 NUM_SITES 1 TILEPROP INT_R_X25Y29 ROW 125 TILEPROP INT_R_X25Y29 SLR_REGION_ID 0 TILEPROP INT_R_X25Y29 TILE_PATTERN_IDX 7869 TILEPROP INT_R_X25Y29 TILE_TYPE INT_R TILEPROP INT_R_X25Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y29 TILE_X 16520 TILEPROP INT_R_X25Y29 TILE_Y -145848 TILEPROP INT_R_X25Y29 TYPE INT_R TILEPROP INT_R_X25Y30 CLASS tile TILEPROP INT_R_X25Y30 COLUMN 64 TILEPROP INT_R_X25Y30 DEVICE_ID 0 TILEPROP INT_R_X25Y30 FIRST_SITE_ID 12516 TILEPROP INT_R_X25Y30 GRID_POINT_X 64 TILEPROP INT_R_X25Y30 GRID_POINT_Y 124 TILEPROP INT_R_X25Y30 INDEX 14324 TILEPROP INT_R_X25Y30 INT_TILE_X 25 TILEPROP INT_R_X25Y30 INT_TILE_Y 119 TILEPROP INT_R_X25Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y30 IS_DCM_TILE 0 TILEPROP INT_R_X25Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y30 NAME INT_R_X25Y30 TILEPROP INT_R_X25Y30 NUM_ARCS 3737 TILEPROP INT_R_X25Y30 NUM_SITES 1 TILEPROP INT_R_X25Y30 ROW 124 TILEPROP INT_R_X25Y30 SLR_REGION_ID 0 TILEPROP INT_R_X25Y30 TILE_PATTERN_IDX 7846 TILEPROP INT_R_X25Y30 TILE_TYPE INT_R TILEPROP INT_R_X25Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y30 TILE_X 16520 TILEPROP INT_R_X25Y30 TILE_Y -142648 TILEPROP INT_R_X25Y30 TYPE INT_R TILEPROP INT_R_X25Y31 CLASS tile TILEPROP INT_R_X25Y31 COLUMN 64 TILEPROP INT_R_X25Y31 DEVICE_ID 0 TILEPROP INT_R_X25Y31 FIRST_SITE_ID 12395 TILEPROP INT_R_X25Y31 GRID_POINT_X 64 TILEPROP INT_R_X25Y31 GRID_POINT_Y 123 TILEPROP INT_R_X25Y31 INDEX 14209 TILEPROP INT_R_X25Y31 INT_TILE_X 25 TILEPROP INT_R_X25Y31 INT_TILE_Y 118 TILEPROP INT_R_X25Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y31 IS_DCM_TILE 0 TILEPROP INT_R_X25Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y31 NAME INT_R_X25Y31 TILEPROP INT_R_X25Y31 NUM_ARCS 3737 TILEPROP INT_R_X25Y31 NUM_SITES 1 TILEPROP INT_R_X25Y31 ROW 123 TILEPROP INT_R_X25Y31 SLR_REGION_ID 0 TILEPROP INT_R_X25Y31 TILE_PATTERN_IDX 7823 TILEPROP INT_R_X25Y31 TILE_TYPE INT_R TILEPROP INT_R_X25Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y31 TILE_X 16520 TILEPROP INT_R_X25Y31 TILE_Y -139448 TILEPROP INT_R_X25Y31 TYPE INT_R TILEPROP INT_R_X25Y32 CLASS tile TILEPROP INT_R_X25Y32 COLUMN 64 TILEPROP INT_R_X25Y32 DEVICE_ID 0 TILEPROP INT_R_X25Y32 FIRST_SITE_ID 12293 TILEPROP INT_R_X25Y32 GRID_POINT_X 64 TILEPROP INT_R_X25Y32 GRID_POINT_Y 122 TILEPROP INT_R_X25Y32 INDEX 14094 TILEPROP INT_R_X25Y32 INT_TILE_X 25 TILEPROP INT_R_X25Y32 INT_TILE_Y 117 TILEPROP INT_R_X25Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y32 IS_DCM_TILE 0 TILEPROP INT_R_X25Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y32 NAME INT_R_X25Y32 TILEPROP INT_R_X25Y32 NUM_ARCS 3737 TILEPROP INT_R_X25Y32 NUM_SITES 1 TILEPROP INT_R_X25Y32 ROW 122 TILEPROP INT_R_X25Y32 SLR_REGION_ID 0 TILEPROP INT_R_X25Y32 TILE_PATTERN_IDX 7789 TILEPROP INT_R_X25Y32 TILE_TYPE INT_R TILEPROP INT_R_X25Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y32 TILE_X 16520 TILEPROP INT_R_X25Y32 TILE_Y -136248 TILEPROP INT_R_X25Y32 TYPE INT_R TILEPROP INT_R_X25Y33 CLASS tile TILEPROP INT_R_X25Y33 COLUMN 64 TILEPROP INT_R_X25Y33 DEVICE_ID 0 TILEPROP INT_R_X25Y33 FIRST_SITE_ID 12192 TILEPROP INT_R_X25Y33 GRID_POINT_X 64 TILEPROP INT_R_X25Y33 GRID_POINT_Y 121 TILEPROP INT_R_X25Y33 INDEX 13979 TILEPROP INT_R_X25Y33 INT_TILE_X 25 TILEPROP INT_R_X25Y33 INT_TILE_Y 116 TILEPROP INT_R_X25Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y33 IS_DCM_TILE 0 TILEPROP INT_R_X25Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y33 NAME INT_R_X25Y33 TILEPROP INT_R_X25Y33 NUM_ARCS 3737 TILEPROP INT_R_X25Y33 NUM_SITES 1 TILEPROP INT_R_X25Y33 ROW 121 TILEPROP INT_R_X25Y33 SLR_REGION_ID 0 TILEPROP INT_R_X25Y33 TILE_PATTERN_IDX 7760 TILEPROP INT_R_X25Y33 TILE_TYPE INT_R TILEPROP INT_R_X25Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y33 TILE_X 16520 TILEPROP INT_R_X25Y33 TILE_Y -133048 TILEPROP INT_R_X25Y33 TYPE INT_R TILEPROP INT_R_X25Y34 CLASS tile TILEPROP INT_R_X25Y34 COLUMN 64 TILEPROP INT_R_X25Y34 DEVICE_ID 0 TILEPROP INT_R_X25Y34 FIRST_SITE_ID 12092 TILEPROP INT_R_X25Y34 GRID_POINT_X 64 TILEPROP INT_R_X25Y34 GRID_POINT_Y 120 TILEPROP INT_R_X25Y34 INDEX 13864 TILEPROP INT_R_X25Y34 INT_TILE_X 25 TILEPROP INT_R_X25Y34 INT_TILE_Y 115 TILEPROP INT_R_X25Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y34 IS_DCM_TILE 0 TILEPROP INT_R_X25Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y34 NAME INT_R_X25Y34 TILEPROP INT_R_X25Y34 NUM_ARCS 3737 TILEPROP INT_R_X25Y34 NUM_SITES 1 TILEPROP INT_R_X25Y34 ROW 120 TILEPROP INT_R_X25Y34 SLR_REGION_ID 0 TILEPROP INT_R_X25Y34 TILE_PATTERN_IDX 7732 TILEPROP INT_R_X25Y34 TILE_TYPE INT_R TILEPROP INT_R_X25Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y34 TILE_X 16520 TILEPROP INT_R_X25Y34 TILE_Y -129848 TILEPROP INT_R_X25Y34 TYPE INT_R TILEPROP INT_R_X25Y35 CLASS tile TILEPROP INT_R_X25Y35 COLUMN 64 TILEPROP INT_R_X25Y35 DEVICE_ID 0 TILEPROP INT_R_X25Y35 FIRST_SITE_ID 11983 TILEPROP INT_R_X25Y35 GRID_POINT_X 64 TILEPROP INT_R_X25Y35 GRID_POINT_Y 119 TILEPROP INT_R_X25Y35 INDEX 13749 TILEPROP INT_R_X25Y35 INT_TILE_X 25 TILEPROP INT_R_X25Y35 INT_TILE_Y 114 TILEPROP INT_R_X25Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y35 IS_DCM_TILE 0 TILEPROP INT_R_X25Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y35 NAME INT_R_X25Y35 TILEPROP INT_R_X25Y35 NUM_ARCS 3737 TILEPROP INT_R_X25Y35 NUM_SITES 1 TILEPROP INT_R_X25Y35 ROW 119 TILEPROP INT_R_X25Y35 SLR_REGION_ID 0 TILEPROP INT_R_X25Y35 TILE_PATTERN_IDX 7703 TILEPROP INT_R_X25Y35 TILE_TYPE INT_R TILEPROP INT_R_X25Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y35 TILE_X 16520 TILEPROP INT_R_X25Y35 TILE_Y -126648 TILEPROP INT_R_X25Y35 TYPE INT_R TILEPROP INT_R_X25Y36 CLASS tile TILEPROP INT_R_X25Y36 COLUMN 64 TILEPROP INT_R_X25Y36 DEVICE_ID 0 TILEPROP INT_R_X25Y36 FIRST_SITE_ID 11877 TILEPROP INT_R_X25Y36 GRID_POINT_X 64 TILEPROP INT_R_X25Y36 GRID_POINT_Y 118 TILEPROP INT_R_X25Y36 INDEX 13634 TILEPROP INT_R_X25Y36 INT_TILE_X 25 TILEPROP INT_R_X25Y36 INT_TILE_Y 113 TILEPROP INT_R_X25Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y36 IS_DCM_TILE 0 TILEPROP INT_R_X25Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y36 NAME INT_R_X25Y36 TILEPROP INT_R_X25Y36 NUM_ARCS 3737 TILEPROP INT_R_X25Y36 NUM_SITES 1 TILEPROP INT_R_X25Y36 ROW 118 TILEPROP INT_R_X25Y36 SLR_REGION_ID 0 TILEPROP INT_R_X25Y36 TILE_PATTERN_IDX 7675 TILEPROP INT_R_X25Y36 TILE_TYPE INT_R TILEPROP INT_R_X25Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y36 TILE_X 16520 TILEPROP INT_R_X25Y36 TILE_Y -123448 TILEPROP INT_R_X25Y36 TYPE INT_R TILEPROP INT_R_X25Y37 CLASS tile TILEPROP INT_R_X25Y37 COLUMN 64 TILEPROP INT_R_X25Y37 DEVICE_ID 0 TILEPROP INT_R_X25Y37 FIRST_SITE_ID 11745 TILEPROP INT_R_X25Y37 GRID_POINT_X 64 TILEPROP INT_R_X25Y37 GRID_POINT_Y 117 TILEPROP INT_R_X25Y37 INDEX 13519 TILEPROP INT_R_X25Y37 INT_TILE_X 25 TILEPROP INT_R_X25Y37 INT_TILE_Y 112 TILEPROP INT_R_X25Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y37 IS_DCM_TILE 0 TILEPROP INT_R_X25Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y37 NAME INT_R_X25Y37 TILEPROP INT_R_X25Y37 NUM_ARCS 3737 TILEPROP INT_R_X25Y37 NUM_SITES 1 TILEPROP INT_R_X25Y37 ROW 117 TILEPROP INT_R_X25Y37 SLR_REGION_ID 0 TILEPROP INT_R_X25Y37 TILE_PATTERN_IDX 7647 TILEPROP INT_R_X25Y37 TILE_TYPE INT_R TILEPROP INT_R_X25Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y37 TILE_X 16520 TILEPROP INT_R_X25Y37 TILE_Y -120248 TILEPROP INT_R_X25Y37 TYPE INT_R TILEPROP INT_R_X25Y38 CLASS tile TILEPROP INT_R_X25Y38 COLUMN 64 TILEPROP INT_R_X25Y38 DEVICE_ID 0 TILEPROP INT_R_X25Y38 FIRST_SITE_ID 11645 TILEPROP INT_R_X25Y38 GRID_POINT_X 64 TILEPROP INT_R_X25Y38 GRID_POINT_Y 116 TILEPROP INT_R_X25Y38 INDEX 13404 TILEPROP INT_R_X25Y38 INT_TILE_X 25 TILEPROP INT_R_X25Y38 INT_TILE_Y 111 TILEPROP INT_R_X25Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y38 IS_DCM_TILE 0 TILEPROP INT_R_X25Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y38 NAME INT_R_X25Y38 TILEPROP INT_R_X25Y38 NUM_ARCS 3737 TILEPROP INT_R_X25Y38 NUM_SITES 1 TILEPROP INT_R_X25Y38 ROW 116 TILEPROP INT_R_X25Y38 SLR_REGION_ID 0 TILEPROP INT_R_X25Y38 TILE_PATTERN_IDX 7619 TILEPROP INT_R_X25Y38 TILE_TYPE INT_R TILEPROP INT_R_X25Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y38 TILE_X 16520 TILEPROP INT_R_X25Y38 TILE_Y -117048 TILEPROP INT_R_X25Y38 TYPE INT_R TILEPROP INT_R_X25Y39 CLASS tile TILEPROP INT_R_X25Y39 COLUMN 64 TILEPROP INT_R_X25Y39 DEVICE_ID 0 TILEPROP INT_R_X25Y39 FIRST_SITE_ID 11545 TILEPROP INT_R_X25Y39 GRID_POINT_X 64 TILEPROP INT_R_X25Y39 GRID_POINT_Y 115 TILEPROP INT_R_X25Y39 INDEX 13289 TILEPROP INT_R_X25Y39 INT_TILE_X 25 TILEPROP INT_R_X25Y39 INT_TILE_Y 110 TILEPROP INT_R_X25Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y39 IS_DCM_TILE 0 TILEPROP INT_R_X25Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y39 NAME INT_R_X25Y39 TILEPROP INT_R_X25Y39 NUM_ARCS 3737 TILEPROP INT_R_X25Y39 NUM_SITES 1 TILEPROP INT_R_X25Y39 ROW 115 TILEPROP INT_R_X25Y39 SLR_REGION_ID 0 TILEPROP INT_R_X25Y39 TILE_PATTERN_IDX 7590 TILEPROP INT_R_X25Y39 TILE_TYPE INT_R TILEPROP INT_R_X25Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y39 TILE_X 16520 TILEPROP INT_R_X25Y39 TILE_Y -113848 TILEPROP INT_R_X25Y39 TYPE INT_R TILEPROP INT_R_X25Y40 CLASS tile TILEPROP INT_R_X25Y40 COLUMN 64 TILEPROP INT_R_X25Y40 DEVICE_ID 0 TILEPROP INT_R_X25Y40 FIRST_SITE_ID 11436 TILEPROP INT_R_X25Y40 GRID_POINT_X 64 TILEPROP INT_R_X25Y40 GRID_POINT_Y 114 TILEPROP INT_R_X25Y40 INDEX 13174 TILEPROP INT_R_X25Y40 INT_TILE_X 25 TILEPROP INT_R_X25Y40 INT_TILE_Y 109 TILEPROP INT_R_X25Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y40 IS_DCM_TILE 0 TILEPROP INT_R_X25Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y40 NAME INT_R_X25Y40 TILEPROP INT_R_X25Y40 NUM_ARCS 3737 TILEPROP INT_R_X25Y40 NUM_SITES 1 TILEPROP INT_R_X25Y40 ROW 114 TILEPROP INT_R_X25Y40 SLR_REGION_ID 0 TILEPROP INT_R_X25Y40 TILE_PATTERN_IDX 7561 TILEPROP INT_R_X25Y40 TILE_TYPE INT_R TILEPROP INT_R_X25Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y40 TILE_X 16520 TILEPROP INT_R_X25Y40 TILE_Y -110648 TILEPROP INT_R_X25Y40 TYPE INT_R TILEPROP INT_R_X25Y41 CLASS tile TILEPROP INT_R_X25Y41 COLUMN 64 TILEPROP INT_R_X25Y41 DEVICE_ID 0 TILEPROP INT_R_X25Y41 FIRST_SITE_ID 11328 TILEPROP INT_R_X25Y41 GRID_POINT_X 64 TILEPROP INT_R_X25Y41 GRID_POINT_Y 113 TILEPROP INT_R_X25Y41 INDEX 13059 TILEPROP INT_R_X25Y41 INT_TILE_X 25 TILEPROP INT_R_X25Y41 INT_TILE_Y 108 TILEPROP INT_R_X25Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y41 IS_DCM_TILE 0 TILEPROP INT_R_X25Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y41 NAME INT_R_X25Y41 TILEPROP INT_R_X25Y41 NUM_ARCS 3737 TILEPROP INT_R_X25Y41 NUM_SITES 1 TILEPROP INT_R_X25Y41 ROW 113 TILEPROP INT_R_X25Y41 SLR_REGION_ID 0 TILEPROP INT_R_X25Y41 TILE_PATTERN_IDX 7526 TILEPROP INT_R_X25Y41 TILE_TYPE INT_R TILEPROP INT_R_X25Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y41 TILE_X 16520 TILEPROP INT_R_X25Y41 TILE_Y -107448 TILEPROP INT_R_X25Y41 TYPE INT_R TILEPROP INT_R_X25Y42 CLASS tile TILEPROP INT_R_X25Y42 COLUMN 64 TILEPROP INT_R_X25Y42 DEVICE_ID 0 TILEPROP INT_R_X25Y42 FIRST_SITE_ID 11226 TILEPROP INT_R_X25Y42 GRID_POINT_X 64 TILEPROP INT_R_X25Y42 GRID_POINT_Y 112 TILEPROP INT_R_X25Y42 INDEX 12944 TILEPROP INT_R_X25Y42 INT_TILE_X 25 TILEPROP INT_R_X25Y42 INT_TILE_Y 107 TILEPROP INT_R_X25Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y42 IS_DCM_TILE 0 TILEPROP INT_R_X25Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y42 NAME INT_R_X25Y42 TILEPROP INT_R_X25Y42 NUM_ARCS 3737 TILEPROP INT_R_X25Y42 NUM_SITES 1 TILEPROP INT_R_X25Y42 ROW 112 TILEPROP INT_R_X25Y42 SLR_REGION_ID 0 TILEPROP INT_R_X25Y42 TILE_PATTERN_IDX 7497 TILEPROP INT_R_X25Y42 TILE_TYPE INT_R TILEPROP INT_R_X25Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y42 TILE_X 16520 TILEPROP INT_R_X25Y42 TILE_Y -104248 TILEPROP INT_R_X25Y42 TYPE INT_R TILEPROP INT_R_X25Y43 CLASS tile TILEPROP INT_R_X25Y43 COLUMN 64 TILEPROP INT_R_X25Y43 DEVICE_ID 0 TILEPROP INT_R_X25Y43 FIRST_SITE_ID 11123 TILEPROP INT_R_X25Y43 GRID_POINT_X 64 TILEPROP INT_R_X25Y43 GRID_POINT_Y 111 TILEPROP INT_R_X25Y43 INDEX 12829 TILEPROP INT_R_X25Y43 INT_TILE_X 25 TILEPROP INT_R_X25Y43 INT_TILE_Y 106 TILEPROP INT_R_X25Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y43 IS_DCM_TILE 0 TILEPROP INT_R_X25Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y43 NAME INT_R_X25Y43 TILEPROP INT_R_X25Y43 NUM_ARCS 3737 TILEPROP INT_R_X25Y43 NUM_SITES 1 TILEPROP INT_R_X25Y43 ROW 111 TILEPROP INT_R_X25Y43 SLR_REGION_ID 0 TILEPROP INT_R_X25Y43 TILE_PATTERN_IDX 7468 TILEPROP INT_R_X25Y43 TILE_TYPE INT_R TILEPROP INT_R_X25Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y43 TILE_X 16520 TILEPROP INT_R_X25Y43 TILE_Y -101048 TILEPROP INT_R_X25Y43 TYPE INT_R TILEPROP INT_R_X25Y44 CLASS tile TILEPROP INT_R_X25Y44 COLUMN 64 TILEPROP INT_R_X25Y44 DEVICE_ID 0 TILEPROP INT_R_X25Y44 FIRST_SITE_ID 11021 TILEPROP INT_R_X25Y44 GRID_POINT_X 64 TILEPROP INT_R_X25Y44 GRID_POINT_Y 110 TILEPROP INT_R_X25Y44 INDEX 12714 TILEPROP INT_R_X25Y44 INT_TILE_X 25 TILEPROP INT_R_X25Y44 INT_TILE_Y 105 TILEPROP INT_R_X25Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y44 IS_DCM_TILE 0 TILEPROP INT_R_X25Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y44 NAME INT_R_X25Y44 TILEPROP INT_R_X25Y44 NUM_ARCS 3737 TILEPROP INT_R_X25Y44 NUM_SITES 1 TILEPROP INT_R_X25Y44 ROW 110 TILEPROP INT_R_X25Y44 SLR_REGION_ID 0 TILEPROP INT_R_X25Y44 TILE_PATTERN_IDX 7440 TILEPROP INT_R_X25Y44 TILE_TYPE INT_R TILEPROP INT_R_X25Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y44 TILE_X 16520 TILEPROP INT_R_X25Y44 TILE_Y -97848 TILEPROP INT_R_X25Y44 TYPE INT_R TILEPROP INT_R_X25Y45 CLASS tile TILEPROP INT_R_X25Y45 COLUMN 64 TILEPROP INT_R_X25Y45 DEVICE_ID 0 TILEPROP INT_R_X25Y45 FIRST_SITE_ID 10912 TILEPROP INT_R_X25Y45 GRID_POINT_X 64 TILEPROP INT_R_X25Y45 GRID_POINT_Y 109 TILEPROP INT_R_X25Y45 INDEX 12599 TILEPROP INT_R_X25Y45 INT_TILE_X 25 TILEPROP INT_R_X25Y45 INT_TILE_Y 104 TILEPROP INT_R_X25Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y45 IS_DCM_TILE 0 TILEPROP INT_R_X25Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y45 NAME INT_R_X25Y45 TILEPROP INT_R_X25Y45 NUM_ARCS 3737 TILEPROP INT_R_X25Y45 NUM_SITES 1 TILEPROP INT_R_X25Y45 ROW 109 TILEPROP INT_R_X25Y45 SLR_REGION_ID 0 TILEPROP INT_R_X25Y45 TILE_PATTERN_IDX 7404 TILEPROP INT_R_X25Y45 TILE_TYPE INT_R TILEPROP INT_R_X25Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y45 TILE_X 16520 TILEPROP INT_R_X25Y45 TILE_Y -94648 TILEPROP INT_R_X25Y45 TYPE INT_R TILEPROP INT_R_X25Y46 CLASS tile TILEPROP INT_R_X25Y46 COLUMN 64 TILEPROP INT_R_X25Y46 DEVICE_ID 0 TILEPROP INT_R_X25Y46 FIRST_SITE_ID 10805 TILEPROP INT_R_X25Y46 GRID_POINT_X 64 TILEPROP INT_R_X25Y46 GRID_POINT_Y 108 TILEPROP INT_R_X25Y46 INDEX 12484 TILEPROP INT_R_X25Y46 INT_TILE_X 25 TILEPROP INT_R_X25Y46 INT_TILE_Y 103 TILEPROP INT_R_X25Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y46 IS_DCM_TILE 0 TILEPROP INT_R_X25Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y46 NAME INT_R_X25Y46 TILEPROP INT_R_X25Y46 NUM_ARCS 3737 TILEPROP INT_R_X25Y46 NUM_SITES 1 TILEPROP INT_R_X25Y46 ROW 108 TILEPROP INT_R_X25Y46 SLR_REGION_ID 0 TILEPROP INT_R_X25Y46 TILE_PATTERN_IDX 7368 TILEPROP INT_R_X25Y46 TILE_TYPE INT_R TILEPROP INT_R_X25Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y46 TILE_X 16520 TILEPROP INT_R_X25Y46 TILE_Y -91448 TILEPROP INT_R_X25Y46 TYPE INT_R TILEPROP INT_R_X25Y47 CLASS tile TILEPROP INT_R_X25Y47 COLUMN 64 TILEPROP INT_R_X25Y47 DEVICE_ID 0 TILEPROP INT_R_X25Y47 FIRST_SITE_ID 10689 TILEPROP INT_R_X25Y47 GRID_POINT_X 64 TILEPROP INT_R_X25Y47 GRID_POINT_Y 107 TILEPROP INT_R_X25Y47 INDEX 12369 TILEPROP INT_R_X25Y47 INT_TILE_X 25 TILEPROP INT_R_X25Y47 INT_TILE_Y 102 TILEPROP INT_R_X25Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y47 IS_DCM_TILE 0 TILEPROP INT_R_X25Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y47 NAME INT_R_X25Y47 TILEPROP INT_R_X25Y47 NUM_ARCS 3737 TILEPROP INT_R_X25Y47 NUM_SITES 1 TILEPROP INT_R_X25Y47 ROW 107 TILEPROP INT_R_X25Y47 SLR_REGION_ID 0 TILEPROP INT_R_X25Y47 TILE_PATTERN_IDX 7331 TILEPROP INT_R_X25Y47 TILE_TYPE INT_R TILEPROP INT_R_X25Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y47 TILE_X 16520 TILEPROP INT_R_X25Y47 TILE_Y -88248 TILEPROP INT_R_X25Y47 TYPE INT_R TILEPROP INT_R_X25Y48 CLASS tile TILEPROP INT_R_X25Y48 COLUMN 64 TILEPROP INT_R_X25Y48 DEVICE_ID 0 TILEPROP INT_R_X25Y48 FIRST_SITE_ID 10589 TILEPROP INT_R_X25Y48 GRID_POINT_X 64 TILEPROP INT_R_X25Y48 GRID_POINT_Y 106 TILEPROP INT_R_X25Y48 INDEX 12254 TILEPROP INT_R_X25Y48 INT_TILE_X 25 TILEPROP INT_R_X25Y48 INT_TILE_Y 101 TILEPROP INT_R_X25Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y48 IS_DCM_TILE 0 TILEPROP INT_R_X25Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y48 NAME INT_R_X25Y48 TILEPROP INT_R_X25Y48 NUM_ARCS 3737 TILEPROP INT_R_X25Y48 NUM_SITES 1 TILEPROP INT_R_X25Y48 ROW 106 TILEPROP INT_R_X25Y48 SLR_REGION_ID 0 TILEPROP INT_R_X25Y48 TILE_PATTERN_IDX 7295 TILEPROP INT_R_X25Y48 TILE_TYPE INT_R TILEPROP INT_R_X25Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y48 TILE_X 16520 TILEPROP INT_R_X25Y48 TILE_Y -85048 TILEPROP INT_R_X25Y48 TYPE INT_R TILEPROP INT_R_X25Y49 CLASS tile TILEPROP INT_R_X25Y49 COLUMN 64 TILEPROP INT_R_X25Y49 DEVICE_ID 0 TILEPROP INT_R_X25Y49 FIRST_SITE_ID 10493 TILEPROP INT_R_X25Y49 GRID_POINT_X 64 TILEPROP INT_R_X25Y49 GRID_POINT_Y 105 TILEPROP INT_R_X25Y49 INDEX 12139 TILEPROP INT_R_X25Y49 INT_TILE_X 25 TILEPROP INT_R_X25Y49 INT_TILE_Y 100 TILEPROP INT_R_X25Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y49 IS_DCM_TILE 0 TILEPROP INT_R_X25Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y49 NAME INT_R_X25Y49 TILEPROP INT_R_X25Y49 NUM_ARCS 3737 TILEPROP INT_R_X25Y49 NUM_SITES 1 TILEPROP INT_R_X25Y49 ROW 105 TILEPROP INT_R_X25Y49 SLR_REGION_ID 0 TILEPROP INT_R_X25Y49 TILE_PATTERN_IDX 7259 TILEPROP INT_R_X25Y49 TILE_TYPE INT_R TILEPROP INT_R_X25Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y49 TILE_X 16520 TILEPROP INT_R_X25Y49 TILE_Y -81848 TILEPROP INT_R_X25Y49 TYPE INT_R TILEPROP INT_R_X25Y50 CLASS tile TILEPROP INT_R_X25Y50 COLUMN 64 TILEPROP INT_R_X25Y50 DEVICE_ID 0 TILEPROP INT_R_X25Y50 FIRST_SITE_ID 10384 TILEPROP INT_R_X25Y50 GRID_POINT_X 64 TILEPROP INT_R_X25Y50 GRID_POINT_Y 103 TILEPROP INT_R_X25Y50 INDEX 11909 TILEPROP INT_R_X25Y50 INT_TILE_X 25 TILEPROP INT_R_X25Y50 INT_TILE_Y 99 TILEPROP INT_R_X25Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y50 IS_DCM_TILE 0 TILEPROP INT_R_X25Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y50 NAME INT_R_X25Y50 TILEPROP INT_R_X25Y50 NUM_ARCS 3737 TILEPROP INT_R_X25Y50 NUM_SITES 1 TILEPROP INT_R_X25Y50 ROW 103 TILEPROP INT_R_X25Y50 SLR_REGION_ID 0 TILEPROP INT_R_X25Y50 TILE_PATTERN_IDX 7222 TILEPROP INT_R_X25Y50 TILE_TYPE INT_R TILEPROP INT_R_X25Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y50 TILE_X 16520 TILEPROP INT_R_X25Y50 TILE_Y -78400 TILEPROP INT_R_X25Y50 TYPE INT_R TILEPROP INT_R_X25Y51 CLASS tile TILEPROP INT_R_X25Y51 COLUMN 64 TILEPROP INT_R_X25Y51 DEVICE_ID 0 TILEPROP INT_R_X25Y51 FIRST_SITE_ID 10258 TILEPROP INT_R_X25Y51 GRID_POINT_X 64 TILEPROP INT_R_X25Y51 GRID_POINT_Y 102 TILEPROP INT_R_X25Y51 INDEX 11794 TILEPROP INT_R_X25Y51 INT_TILE_X 25 TILEPROP INT_R_X25Y51 INT_TILE_Y 98 TILEPROP INT_R_X25Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y51 IS_DCM_TILE 0 TILEPROP INT_R_X25Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y51 NAME INT_R_X25Y51 TILEPROP INT_R_X25Y51 NUM_ARCS 3737 TILEPROP INT_R_X25Y51 NUM_SITES 1 TILEPROP INT_R_X25Y51 ROW 102 TILEPROP INT_R_X25Y51 SLR_REGION_ID 0 TILEPROP INT_R_X25Y51 TILE_PATTERN_IDX 7185 TILEPROP INT_R_X25Y51 TILE_TYPE INT_R TILEPROP INT_R_X25Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y51 TILE_X 16520 TILEPROP INT_R_X25Y51 TILE_Y -75200 TILEPROP INT_R_X25Y51 TYPE INT_R TILEPROP INT_R_X25Y52 CLASS tile TILEPROP INT_R_X25Y52 COLUMN 64 TILEPROP INT_R_X25Y52 DEVICE_ID 0 TILEPROP INT_R_X25Y52 FIRST_SITE_ID 10158 TILEPROP INT_R_X25Y52 GRID_POINT_X 64 TILEPROP INT_R_X25Y52 GRID_POINT_Y 101 TILEPROP INT_R_X25Y52 INDEX 11679 TILEPROP INT_R_X25Y52 INT_TILE_X 25 TILEPROP INT_R_X25Y52 INT_TILE_Y 97 TILEPROP INT_R_X25Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y52 IS_DCM_TILE 0 TILEPROP INT_R_X25Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y52 NAME INT_R_X25Y52 TILEPROP INT_R_X25Y52 NUM_ARCS 3737 TILEPROP INT_R_X25Y52 NUM_SITES 1 TILEPROP INT_R_X25Y52 ROW 101 TILEPROP INT_R_X25Y52 SLR_REGION_ID 0 TILEPROP INT_R_X25Y52 TILE_PATTERN_IDX 7148 TILEPROP INT_R_X25Y52 TILE_TYPE INT_R TILEPROP INT_R_X25Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y52 TILE_X 16520 TILEPROP INT_R_X25Y52 TILE_Y -72000 TILEPROP INT_R_X25Y52 TYPE INT_R TILEPROP INT_R_X25Y53 CLASS tile TILEPROP INT_R_X25Y53 COLUMN 64 TILEPROP INT_R_X25Y53 DEVICE_ID 0 TILEPROP INT_R_X25Y53 FIRST_SITE_ID 10058 TILEPROP INT_R_X25Y53 GRID_POINT_X 64 TILEPROP INT_R_X25Y53 GRID_POINT_Y 100 TILEPROP INT_R_X25Y53 INDEX 11564 TILEPROP INT_R_X25Y53 INT_TILE_X 25 TILEPROP INT_R_X25Y53 INT_TILE_Y 96 TILEPROP INT_R_X25Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y53 IS_DCM_TILE 0 TILEPROP INT_R_X25Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y53 NAME INT_R_X25Y53 TILEPROP INT_R_X25Y53 NUM_ARCS 3737 TILEPROP INT_R_X25Y53 NUM_SITES 1 TILEPROP INT_R_X25Y53 ROW 100 TILEPROP INT_R_X25Y53 SLR_REGION_ID 0 TILEPROP INT_R_X25Y53 TILE_PATTERN_IDX 7111 TILEPROP INT_R_X25Y53 TILE_TYPE INT_R TILEPROP INT_R_X25Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y53 TILE_X 16520 TILEPROP INT_R_X25Y53 TILE_Y -68800 TILEPROP INT_R_X25Y53 TYPE INT_R TILEPROP INT_R_X25Y54 CLASS tile TILEPROP INT_R_X25Y54 COLUMN 64 TILEPROP INT_R_X25Y54 DEVICE_ID 0 TILEPROP INT_R_X25Y54 FIRST_SITE_ID 9958 TILEPROP INT_R_X25Y54 GRID_POINT_X 64 TILEPROP INT_R_X25Y54 GRID_POINT_Y 99 TILEPROP INT_R_X25Y54 INDEX 11449 TILEPROP INT_R_X25Y54 INT_TILE_X 25 TILEPROP INT_R_X25Y54 INT_TILE_Y 95 TILEPROP INT_R_X25Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y54 IS_DCM_TILE 0 TILEPROP INT_R_X25Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y54 NAME INT_R_X25Y54 TILEPROP INT_R_X25Y54 NUM_ARCS 3737 TILEPROP INT_R_X25Y54 NUM_SITES 1 TILEPROP INT_R_X25Y54 ROW 99 TILEPROP INT_R_X25Y54 SLR_REGION_ID 0 TILEPROP INT_R_X25Y54 TILE_PATTERN_IDX 7074 TILEPROP INT_R_X25Y54 TILE_TYPE INT_R TILEPROP INT_R_X25Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y54 TILE_X 16520 TILEPROP INT_R_X25Y54 TILE_Y -65600 TILEPROP INT_R_X25Y54 TYPE INT_R TILEPROP INT_R_X25Y55 CLASS tile TILEPROP INT_R_X25Y55 COLUMN 64 TILEPROP INT_R_X25Y55 DEVICE_ID 0 TILEPROP INT_R_X25Y55 FIRST_SITE_ID 9849 TILEPROP INT_R_X25Y55 GRID_POINT_X 64 TILEPROP INT_R_X25Y55 GRID_POINT_Y 98 TILEPROP INT_R_X25Y55 INDEX 11334 TILEPROP INT_R_X25Y55 INT_TILE_X 25 TILEPROP INT_R_X25Y55 INT_TILE_Y 94 TILEPROP INT_R_X25Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y55 IS_DCM_TILE 0 TILEPROP INT_R_X25Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y55 NAME INT_R_X25Y55 TILEPROP INT_R_X25Y55 NUM_ARCS 3737 TILEPROP INT_R_X25Y55 NUM_SITES 1 TILEPROP INT_R_X25Y55 ROW 98 TILEPROP INT_R_X25Y55 SLR_REGION_ID 0 TILEPROP INT_R_X25Y55 TILE_PATTERN_IDX 7036 TILEPROP INT_R_X25Y55 TILE_TYPE INT_R TILEPROP INT_R_X25Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y55 TILE_X 16520 TILEPROP INT_R_X25Y55 TILE_Y -62400 TILEPROP INT_R_X25Y55 TYPE INT_R TILEPROP INT_R_X25Y56 CLASS tile TILEPROP INT_R_X25Y56 COLUMN 64 TILEPROP INT_R_X25Y56 DEVICE_ID 0 TILEPROP INT_R_X25Y56 FIRST_SITE_ID 9743 TILEPROP INT_R_X25Y56 GRID_POINT_X 64 TILEPROP INT_R_X25Y56 GRID_POINT_Y 97 TILEPROP INT_R_X25Y56 INDEX 11219 TILEPROP INT_R_X25Y56 INT_TILE_X 25 TILEPROP INT_R_X25Y56 INT_TILE_Y 93 TILEPROP INT_R_X25Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y56 IS_DCM_TILE 0 TILEPROP INT_R_X25Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y56 NAME INT_R_X25Y56 TILEPROP INT_R_X25Y56 NUM_ARCS 3737 TILEPROP INT_R_X25Y56 NUM_SITES 1 TILEPROP INT_R_X25Y56 ROW 97 TILEPROP INT_R_X25Y56 SLR_REGION_ID 0 TILEPROP INT_R_X25Y56 TILE_PATTERN_IDX 6999 TILEPROP INT_R_X25Y56 TILE_TYPE INT_R TILEPROP INT_R_X25Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y56 TILE_X 16520 TILEPROP INT_R_X25Y56 TILE_Y -59200 TILEPROP INT_R_X25Y56 TYPE INT_R TILEPROP INT_R_X25Y57 CLASS tile TILEPROP INT_R_X25Y57 COLUMN 64 TILEPROP INT_R_X25Y57 DEVICE_ID 0 TILEPROP INT_R_X25Y57 FIRST_SITE_ID 9641 TILEPROP INT_R_X25Y57 GRID_POINT_X 64 TILEPROP INT_R_X25Y57 GRID_POINT_Y 96 TILEPROP INT_R_X25Y57 INDEX 11104 TILEPROP INT_R_X25Y57 INT_TILE_X 25 TILEPROP INT_R_X25Y57 INT_TILE_Y 92 TILEPROP INT_R_X25Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y57 IS_DCM_TILE 0 TILEPROP INT_R_X25Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y57 NAME INT_R_X25Y57 TILEPROP INT_R_X25Y57 NUM_ARCS 3737 TILEPROP INT_R_X25Y57 NUM_SITES 1 TILEPROP INT_R_X25Y57 ROW 96 TILEPROP INT_R_X25Y57 SLR_REGION_ID 0 TILEPROP INT_R_X25Y57 TILE_PATTERN_IDX 6962 TILEPROP INT_R_X25Y57 TILE_TYPE INT_R TILEPROP INT_R_X25Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y57 TILE_X 16520 TILEPROP INT_R_X25Y57 TILE_Y -56000 TILEPROP INT_R_X25Y57 TYPE INT_R TILEPROP INT_R_X25Y58 CLASS tile TILEPROP INT_R_X25Y58 COLUMN 64 TILEPROP INT_R_X25Y58 DEVICE_ID 0 TILEPROP INT_R_X25Y58 FIRST_SITE_ID 9538 TILEPROP INT_R_X25Y58 GRID_POINT_X 64 TILEPROP INT_R_X25Y58 GRID_POINT_Y 95 TILEPROP INT_R_X25Y58 INDEX 10989 TILEPROP INT_R_X25Y58 INT_TILE_X 25 TILEPROP INT_R_X25Y58 INT_TILE_Y 91 TILEPROP INT_R_X25Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y58 IS_DCM_TILE 0 TILEPROP INT_R_X25Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y58 NAME INT_R_X25Y58 TILEPROP INT_R_X25Y58 NUM_ARCS 3737 TILEPROP INT_R_X25Y58 NUM_SITES 1 TILEPROP INT_R_X25Y58 ROW 95 TILEPROP INT_R_X25Y58 SLR_REGION_ID 0 TILEPROP INT_R_X25Y58 TILE_PATTERN_IDX 6924 TILEPROP INT_R_X25Y58 TILE_TYPE INT_R TILEPROP INT_R_X25Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y58 TILE_X 16520 TILEPROP INT_R_X25Y58 TILE_Y -52800 TILEPROP INT_R_X25Y58 TYPE INT_R TILEPROP INT_R_X25Y59 CLASS tile TILEPROP INT_R_X25Y59 COLUMN 64 TILEPROP INT_R_X25Y59 DEVICE_ID 0 TILEPROP INT_R_X25Y59 FIRST_SITE_ID 9437 TILEPROP INT_R_X25Y59 GRID_POINT_X 64 TILEPROP INT_R_X25Y59 GRID_POINT_Y 94 TILEPROP INT_R_X25Y59 INDEX 10874 TILEPROP INT_R_X25Y59 INT_TILE_X 25 TILEPROP INT_R_X25Y59 INT_TILE_Y 90 TILEPROP INT_R_X25Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y59 IS_DCM_TILE 0 TILEPROP INT_R_X25Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y59 NAME INT_R_X25Y59 TILEPROP INT_R_X25Y59 NUM_ARCS 3737 TILEPROP INT_R_X25Y59 NUM_SITES 1 TILEPROP INT_R_X25Y59 ROW 94 TILEPROP INT_R_X25Y59 SLR_REGION_ID 0 TILEPROP INT_R_X25Y59 TILE_PATTERN_IDX 6887 TILEPROP INT_R_X25Y59 TILE_TYPE INT_R TILEPROP INT_R_X25Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y59 TILE_X 16520 TILEPROP INT_R_X25Y59 TILE_Y -49600 TILEPROP INT_R_X25Y59 TYPE INT_R TILEPROP INT_R_X25Y60 CLASS tile TILEPROP INT_R_X25Y60 COLUMN 64 TILEPROP INT_R_X25Y60 DEVICE_ID 0 TILEPROP INT_R_X25Y60 FIRST_SITE_ID 9328 TILEPROP INT_R_X25Y60 GRID_POINT_X 64 TILEPROP INT_R_X25Y60 GRID_POINT_Y 93 TILEPROP INT_R_X25Y60 INDEX 10759 TILEPROP INT_R_X25Y60 INT_TILE_X 25 TILEPROP INT_R_X25Y60 INT_TILE_Y 89 TILEPROP INT_R_X25Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y60 IS_DCM_TILE 0 TILEPROP INT_R_X25Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y60 NAME INT_R_X25Y60 TILEPROP INT_R_X25Y60 NUM_ARCS 3737 TILEPROP INT_R_X25Y60 NUM_SITES 1 TILEPROP INT_R_X25Y60 ROW 93 TILEPROP INT_R_X25Y60 SLR_REGION_ID 0 TILEPROP INT_R_X25Y60 TILE_PATTERN_IDX 6850 TILEPROP INT_R_X25Y60 TILE_TYPE INT_R TILEPROP INT_R_X25Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y60 TILE_X 16520 TILEPROP INT_R_X25Y60 TILE_Y -46400 TILEPROP INT_R_X25Y60 TYPE INT_R TILEPROP INT_R_X25Y61 CLASS tile TILEPROP INT_R_X25Y61 COLUMN 64 TILEPROP INT_R_X25Y61 DEVICE_ID 0 TILEPROP INT_R_X25Y61 FIRST_SITE_ID 9222 TILEPROP INT_R_X25Y61 GRID_POINT_X 64 TILEPROP INT_R_X25Y61 GRID_POINT_Y 92 TILEPROP INT_R_X25Y61 INDEX 10644 TILEPROP INT_R_X25Y61 INT_TILE_X 25 TILEPROP INT_R_X25Y61 INT_TILE_Y 88 TILEPROP INT_R_X25Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y61 IS_DCM_TILE 0 TILEPROP INT_R_X25Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y61 NAME INT_R_X25Y61 TILEPROP INT_R_X25Y61 NUM_ARCS 3737 TILEPROP INT_R_X25Y61 NUM_SITES 1 TILEPROP INT_R_X25Y61 ROW 92 TILEPROP INT_R_X25Y61 SLR_REGION_ID 0 TILEPROP INT_R_X25Y61 TILE_PATTERN_IDX 6813 TILEPROP INT_R_X25Y61 TILE_TYPE INT_R TILEPROP INT_R_X25Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y61 TILE_X 16520 TILEPROP INT_R_X25Y61 TILE_Y -43200 TILEPROP INT_R_X25Y61 TYPE INT_R TILEPROP INT_R_X25Y62 CLASS tile TILEPROP INT_R_X25Y62 COLUMN 64 TILEPROP INT_R_X25Y62 DEVICE_ID 0 TILEPROP INT_R_X25Y62 FIRST_SITE_ID 9122 TILEPROP INT_R_X25Y62 GRID_POINT_X 64 TILEPROP INT_R_X25Y62 GRID_POINT_Y 91 TILEPROP INT_R_X25Y62 INDEX 10529 TILEPROP INT_R_X25Y62 INT_TILE_X 25 TILEPROP INT_R_X25Y62 INT_TILE_Y 87 TILEPROP INT_R_X25Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y62 IS_DCM_TILE 0 TILEPROP INT_R_X25Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y62 NAME INT_R_X25Y62 TILEPROP INT_R_X25Y62 NUM_ARCS 3737 TILEPROP INT_R_X25Y62 NUM_SITES 1 TILEPROP INT_R_X25Y62 ROW 91 TILEPROP INT_R_X25Y62 SLR_REGION_ID 0 TILEPROP INT_R_X25Y62 TILE_PATTERN_IDX 6776 TILEPROP INT_R_X25Y62 TILE_TYPE INT_R TILEPROP INT_R_X25Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y62 TILE_X 16520 TILEPROP INT_R_X25Y62 TILE_Y -40000 TILEPROP INT_R_X25Y62 TYPE INT_R TILEPROP INT_R_X25Y63 CLASS tile TILEPROP INT_R_X25Y63 COLUMN 64 TILEPROP INT_R_X25Y63 DEVICE_ID 0 TILEPROP INT_R_X25Y63 FIRST_SITE_ID 8990 TILEPROP INT_R_X25Y63 GRID_POINT_X 64 TILEPROP INT_R_X25Y63 GRID_POINT_Y 90 TILEPROP INT_R_X25Y63 INDEX 10414 TILEPROP INT_R_X25Y63 INT_TILE_X 25 TILEPROP INT_R_X25Y63 INT_TILE_Y 86 TILEPROP INT_R_X25Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y63 IS_DCM_TILE 0 TILEPROP INT_R_X25Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y63 NAME INT_R_X25Y63 TILEPROP INT_R_X25Y63 NUM_ARCS 3737 TILEPROP INT_R_X25Y63 NUM_SITES 1 TILEPROP INT_R_X25Y63 ROW 90 TILEPROP INT_R_X25Y63 SLR_REGION_ID 0 TILEPROP INT_R_X25Y63 TILE_PATTERN_IDX 6739 TILEPROP INT_R_X25Y63 TILE_TYPE INT_R TILEPROP INT_R_X25Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y63 TILE_X 16520 TILEPROP INT_R_X25Y63 TILE_Y -36800 TILEPROP INT_R_X25Y63 TYPE INT_R TILEPROP INT_R_X25Y64 CLASS tile TILEPROP INT_R_X25Y64 COLUMN 64 TILEPROP INT_R_X25Y64 DEVICE_ID 0 TILEPROP INT_R_X25Y64 FIRST_SITE_ID 8890 TILEPROP INT_R_X25Y64 GRID_POINT_X 64 TILEPROP INT_R_X25Y64 GRID_POINT_Y 89 TILEPROP INT_R_X25Y64 INDEX 10299 TILEPROP INT_R_X25Y64 INT_TILE_X 25 TILEPROP INT_R_X25Y64 INT_TILE_Y 85 TILEPROP INT_R_X25Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y64 IS_DCM_TILE 0 TILEPROP INT_R_X25Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y64 NAME INT_R_X25Y64 TILEPROP INT_R_X25Y64 NUM_ARCS 3737 TILEPROP INT_R_X25Y64 NUM_SITES 1 TILEPROP INT_R_X25Y64 ROW 89 TILEPROP INT_R_X25Y64 SLR_REGION_ID 0 TILEPROP INT_R_X25Y64 TILE_PATTERN_IDX 6702 TILEPROP INT_R_X25Y64 TILE_TYPE INT_R TILEPROP INT_R_X25Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y64 TILE_X 16520 TILEPROP INT_R_X25Y64 TILE_Y -33600 TILEPROP INT_R_X25Y64 TYPE INT_R TILEPROP INT_R_X25Y65 CLASS tile TILEPROP INT_R_X25Y65 COLUMN 64 TILEPROP INT_R_X25Y65 DEVICE_ID 0 TILEPROP INT_R_X25Y65 FIRST_SITE_ID 8781 TILEPROP INT_R_X25Y65 GRID_POINT_X 64 TILEPROP INT_R_X25Y65 GRID_POINT_Y 88 TILEPROP INT_R_X25Y65 INDEX 10184 TILEPROP INT_R_X25Y65 INT_TILE_X 25 TILEPROP INT_R_X25Y65 INT_TILE_Y 84 TILEPROP INT_R_X25Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y65 IS_DCM_TILE 0 TILEPROP INT_R_X25Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y65 NAME INT_R_X25Y65 TILEPROP INT_R_X25Y65 NUM_ARCS 3737 TILEPROP INT_R_X25Y65 NUM_SITES 1 TILEPROP INT_R_X25Y65 ROW 88 TILEPROP INT_R_X25Y65 SLR_REGION_ID 0 TILEPROP INT_R_X25Y65 TILE_PATTERN_IDX 6665 TILEPROP INT_R_X25Y65 TILE_TYPE INT_R TILEPROP INT_R_X25Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y65 TILE_X 16520 TILEPROP INT_R_X25Y65 TILE_Y -30400 TILEPROP INT_R_X25Y65 TYPE INT_R TILEPROP INT_R_X25Y66 CLASS tile TILEPROP INT_R_X25Y66 COLUMN 64 TILEPROP INT_R_X25Y66 DEVICE_ID 0 TILEPROP INT_R_X25Y66 FIRST_SITE_ID 8675 TILEPROP INT_R_X25Y66 GRID_POINT_X 64 TILEPROP INT_R_X25Y66 GRID_POINT_Y 87 TILEPROP INT_R_X25Y66 INDEX 10069 TILEPROP INT_R_X25Y66 INT_TILE_X 25 TILEPROP INT_R_X25Y66 INT_TILE_Y 83 TILEPROP INT_R_X25Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y66 IS_DCM_TILE 0 TILEPROP INT_R_X25Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y66 NAME INT_R_X25Y66 TILEPROP INT_R_X25Y66 NUM_ARCS 3737 TILEPROP INT_R_X25Y66 NUM_SITES 1 TILEPROP INT_R_X25Y66 ROW 87 TILEPROP INT_R_X25Y66 SLR_REGION_ID 0 TILEPROP INT_R_X25Y66 TILE_PATTERN_IDX 6628 TILEPROP INT_R_X25Y66 TILE_TYPE INT_R TILEPROP INT_R_X25Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y66 TILE_X 16520 TILEPROP INT_R_X25Y66 TILE_Y -27200 TILEPROP INT_R_X25Y66 TYPE INT_R TILEPROP INT_R_X25Y67 CLASS tile TILEPROP INT_R_X25Y67 COLUMN 64 TILEPROP INT_R_X25Y67 DEVICE_ID 0 TILEPROP INT_R_X25Y67 FIRST_SITE_ID 8571 TILEPROP INT_R_X25Y67 GRID_POINT_X 64 TILEPROP INT_R_X25Y67 GRID_POINT_Y 86 TILEPROP INT_R_X25Y67 INDEX 9954 TILEPROP INT_R_X25Y67 INT_TILE_X 25 TILEPROP INT_R_X25Y67 INT_TILE_Y 82 TILEPROP INT_R_X25Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y67 IS_DCM_TILE 0 TILEPROP INT_R_X25Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y67 NAME INT_R_X25Y67 TILEPROP INT_R_X25Y67 NUM_ARCS 3737 TILEPROP INT_R_X25Y67 NUM_SITES 1 TILEPROP INT_R_X25Y67 ROW 86 TILEPROP INT_R_X25Y67 SLR_REGION_ID 0 TILEPROP INT_R_X25Y67 TILE_PATTERN_IDX 6590 TILEPROP INT_R_X25Y67 TILE_TYPE INT_R TILEPROP INT_R_X25Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y67 TILE_X 16520 TILEPROP INT_R_X25Y67 TILE_Y -24000 TILEPROP INT_R_X25Y67 TYPE INT_R TILEPROP INT_R_X25Y68 CLASS tile TILEPROP INT_R_X25Y68 COLUMN 64 TILEPROP INT_R_X25Y68 DEVICE_ID 0 TILEPROP INT_R_X25Y68 FIRST_SITE_ID 8467 TILEPROP INT_R_X25Y68 GRID_POINT_X 64 TILEPROP INT_R_X25Y68 GRID_POINT_Y 85 TILEPROP INT_R_X25Y68 INDEX 9839 TILEPROP INT_R_X25Y68 INT_TILE_X 25 TILEPROP INT_R_X25Y68 INT_TILE_Y 81 TILEPROP INT_R_X25Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y68 IS_DCM_TILE 0 TILEPROP INT_R_X25Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y68 NAME INT_R_X25Y68 TILEPROP INT_R_X25Y68 NUM_ARCS 3737 TILEPROP INT_R_X25Y68 NUM_SITES 1 TILEPROP INT_R_X25Y68 ROW 85 TILEPROP INT_R_X25Y68 SLR_REGION_ID 0 TILEPROP INT_R_X25Y68 TILE_PATTERN_IDX 6558 TILEPROP INT_R_X25Y68 TILE_TYPE INT_R TILEPROP INT_R_X25Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y68 TILE_X 16520 TILEPROP INT_R_X25Y68 TILE_Y -20800 TILEPROP INT_R_X25Y68 TYPE INT_R TILEPROP INT_R_X25Y69 CLASS tile TILEPROP INT_R_X25Y69 COLUMN 64 TILEPROP INT_R_X25Y69 DEVICE_ID 0 TILEPROP INT_R_X25Y69 FIRST_SITE_ID 8365 TILEPROP INT_R_X25Y69 GRID_POINT_X 64 TILEPROP INT_R_X25Y69 GRID_POINT_Y 84 TILEPROP INT_R_X25Y69 INDEX 9724 TILEPROP INT_R_X25Y69 INT_TILE_X 25 TILEPROP INT_R_X25Y69 INT_TILE_Y 80 TILEPROP INT_R_X25Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y69 IS_DCM_TILE 0 TILEPROP INT_R_X25Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y69 NAME INT_R_X25Y69 TILEPROP INT_R_X25Y69 NUM_ARCS 3737 TILEPROP INT_R_X25Y69 NUM_SITES 1 TILEPROP INT_R_X25Y69 ROW 84 TILEPROP INT_R_X25Y69 SLR_REGION_ID 0 TILEPROP INT_R_X25Y69 TILE_PATTERN_IDX 6527 TILEPROP INT_R_X25Y69 TILE_TYPE INT_R TILEPROP INT_R_X25Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y69 TILE_X 16520 TILEPROP INT_R_X25Y69 TILE_Y -17600 TILEPROP INT_R_X25Y69 TYPE INT_R TILEPROP INT_R_X25Y70 CLASS tile TILEPROP INT_R_X25Y70 COLUMN 64 TILEPROP INT_R_X25Y70 DEVICE_ID 0 TILEPROP INT_R_X25Y70 FIRST_SITE_ID 8254 TILEPROP INT_R_X25Y70 GRID_POINT_X 64 TILEPROP INT_R_X25Y70 GRID_POINT_Y 83 TILEPROP INT_R_X25Y70 INDEX 9609 TILEPROP INT_R_X25Y70 INT_TILE_X 25 TILEPROP INT_R_X25Y70 INT_TILE_Y 79 TILEPROP INT_R_X25Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y70 IS_DCM_TILE 0 TILEPROP INT_R_X25Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y70 NAME INT_R_X25Y70 TILEPROP INT_R_X25Y70 NUM_ARCS 3737 TILEPROP INT_R_X25Y70 NUM_SITES 1 TILEPROP INT_R_X25Y70 ROW 83 TILEPROP INT_R_X25Y70 SLR_REGION_ID 0 TILEPROP INT_R_X25Y70 TILE_PATTERN_IDX 6496 TILEPROP INT_R_X25Y70 TILE_TYPE INT_R TILEPROP INT_R_X25Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y70 TILE_X 16520 TILEPROP INT_R_X25Y70 TILE_Y -14400 TILEPROP INT_R_X25Y70 TYPE INT_R TILEPROP INT_R_X25Y71 CLASS tile TILEPROP INT_R_X25Y71 COLUMN 64 TILEPROP INT_R_X25Y71 DEVICE_ID 0 TILEPROP INT_R_X25Y71 FIRST_SITE_ID 8148 TILEPROP INT_R_X25Y71 GRID_POINT_X 64 TILEPROP INT_R_X25Y71 GRID_POINT_Y 82 TILEPROP INT_R_X25Y71 INDEX 9494 TILEPROP INT_R_X25Y71 INT_TILE_X 25 TILEPROP INT_R_X25Y71 INT_TILE_Y 78 TILEPROP INT_R_X25Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y71 IS_DCM_TILE 0 TILEPROP INT_R_X25Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y71 NAME INT_R_X25Y71 TILEPROP INT_R_X25Y71 NUM_ARCS 3737 TILEPROP INT_R_X25Y71 NUM_SITES 1 TILEPROP INT_R_X25Y71 ROW 82 TILEPROP INT_R_X25Y71 SLR_REGION_ID 0 TILEPROP INT_R_X25Y71 TILE_PATTERN_IDX 6465 TILEPROP INT_R_X25Y71 TILE_TYPE INT_R TILEPROP INT_R_X25Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y71 TILE_X 16520 TILEPROP INT_R_X25Y71 TILE_Y -11200 TILEPROP INT_R_X25Y71 TYPE INT_R TILEPROP INT_R_X25Y72 CLASS tile TILEPROP INT_R_X25Y72 COLUMN 64 TILEPROP INT_R_X25Y72 DEVICE_ID 0 TILEPROP INT_R_X25Y72 FIRST_SITE_ID 8048 TILEPROP INT_R_X25Y72 GRID_POINT_X 64 TILEPROP INT_R_X25Y72 GRID_POINT_Y 81 TILEPROP INT_R_X25Y72 INDEX 9379 TILEPROP INT_R_X25Y72 INT_TILE_X 25 TILEPROP INT_R_X25Y72 INT_TILE_Y 77 TILEPROP INT_R_X25Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y72 IS_DCM_TILE 0 TILEPROP INT_R_X25Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y72 NAME INT_R_X25Y72 TILEPROP INT_R_X25Y72 NUM_ARCS 3737 TILEPROP INT_R_X25Y72 NUM_SITES 1 TILEPROP INT_R_X25Y72 ROW 81 TILEPROP INT_R_X25Y72 SLR_REGION_ID 0 TILEPROP INT_R_X25Y72 TILE_PATTERN_IDX 6434 TILEPROP INT_R_X25Y72 TILE_TYPE INT_R TILEPROP INT_R_X25Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y72 TILE_X 16520 TILEPROP INT_R_X25Y72 TILE_Y -8000 TILEPROP INT_R_X25Y72 TYPE INT_R TILEPROP INT_R_X25Y73 CLASS tile TILEPROP INT_R_X25Y73 COLUMN 64 TILEPROP INT_R_X25Y73 DEVICE_ID 0 TILEPROP INT_R_X25Y73 FIRST_SITE_ID 7948 TILEPROP INT_R_X25Y73 GRID_POINT_X 64 TILEPROP INT_R_X25Y73 GRID_POINT_Y 80 TILEPROP INT_R_X25Y73 INDEX 9264 TILEPROP INT_R_X25Y73 INT_TILE_X 25 TILEPROP INT_R_X25Y73 INT_TILE_Y 76 TILEPROP INT_R_X25Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y73 IS_DCM_TILE 0 TILEPROP INT_R_X25Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y73 NAME INT_R_X25Y73 TILEPROP INT_R_X25Y73 NUM_ARCS 3737 TILEPROP INT_R_X25Y73 NUM_SITES 1 TILEPROP INT_R_X25Y73 ROW 80 TILEPROP INT_R_X25Y73 SLR_REGION_ID 0 TILEPROP INT_R_X25Y73 TILE_PATTERN_IDX 6403 TILEPROP INT_R_X25Y73 TILE_TYPE INT_R TILEPROP INT_R_X25Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y73 TILE_X 16520 TILEPROP INT_R_X25Y73 TILE_Y -4800 TILEPROP INT_R_X25Y73 TYPE INT_R TILEPROP INT_R_X25Y74 CLASS tile TILEPROP INT_R_X25Y74 COLUMN 64 TILEPROP INT_R_X25Y74 DEVICE_ID 0 TILEPROP INT_R_X25Y74 FIRST_SITE_ID 7848 TILEPROP INT_R_X25Y74 GRID_POINT_X 64 TILEPROP INT_R_X25Y74 GRID_POINT_Y 79 TILEPROP INT_R_X25Y74 INDEX 9149 TILEPROP INT_R_X25Y74 INT_TILE_X 25 TILEPROP INT_R_X25Y74 INT_TILE_Y 75 TILEPROP INT_R_X25Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y74 IS_DCM_TILE 0 TILEPROP INT_R_X25Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y74 NAME INT_R_X25Y74 TILEPROP INT_R_X25Y74 NUM_ARCS 3737 TILEPROP INT_R_X25Y74 NUM_SITES 1 TILEPROP INT_R_X25Y74 ROW 79 TILEPROP INT_R_X25Y74 SLR_REGION_ID 0 TILEPROP INT_R_X25Y74 TILE_PATTERN_IDX 6372 TILEPROP INT_R_X25Y74 TILE_TYPE INT_R TILEPROP INT_R_X25Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y74 TILE_X 16520 TILEPROP INT_R_X25Y74 TILE_Y -1600 TILEPROP INT_R_X25Y74 TYPE INT_R TILEPROP INT_R_X25Y75 CLASS tile TILEPROP INT_R_X25Y75 COLUMN 64 TILEPROP INT_R_X25Y75 DEVICE_ID 0 TILEPROP INT_R_X25Y75 FIRST_SITE_ID 7656 TILEPROP INT_R_X25Y75 GRID_POINT_X 64 TILEPROP INT_R_X25Y75 GRID_POINT_Y 77 TILEPROP INT_R_X25Y75 INDEX 8919 TILEPROP INT_R_X25Y75 INT_TILE_X 25 TILEPROP INT_R_X25Y75 INT_TILE_Y 74 TILEPROP INT_R_X25Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y75 IS_DCM_TILE 0 TILEPROP INT_R_X25Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y75 NAME INT_R_X25Y75 TILEPROP INT_R_X25Y75 NUM_ARCS 3737 TILEPROP INT_R_X25Y75 NUM_SITES 1 TILEPROP INT_R_X25Y75 ROW 77 TILEPROP INT_R_X25Y75 SLR_REGION_ID 0 TILEPROP INT_R_X25Y75 TILE_PATTERN_IDX 6298 TILEPROP INT_R_X25Y75 TILE_TYPE INT_R TILEPROP INT_R_X25Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y75 TILE_X 16520 TILEPROP INT_R_X25Y75 TILE_Y 2624 TILEPROP INT_R_X25Y75 TYPE INT_R TILEPROP INT_R_X25Y76 CLASS tile TILEPROP INT_R_X25Y76 COLUMN 64 TILEPROP INT_R_X25Y76 DEVICE_ID 0 TILEPROP INT_R_X25Y76 FIRST_SITE_ID 7547 TILEPROP INT_R_X25Y76 GRID_POINT_X 64 TILEPROP INT_R_X25Y76 GRID_POINT_Y 76 TILEPROP INT_R_X25Y76 INDEX 8804 TILEPROP INT_R_X25Y76 INT_TILE_X 25 TILEPROP INT_R_X25Y76 INT_TILE_Y 73 TILEPROP INT_R_X25Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y76 IS_DCM_TILE 0 TILEPROP INT_R_X25Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y76 NAME INT_R_X25Y76 TILEPROP INT_R_X25Y76 NUM_ARCS 3737 TILEPROP INT_R_X25Y76 NUM_SITES 1 TILEPROP INT_R_X25Y76 ROW 76 TILEPROP INT_R_X25Y76 SLR_REGION_ID 0 TILEPROP INT_R_X25Y76 TILE_PATTERN_IDX 6264 TILEPROP INT_R_X25Y76 TILE_TYPE INT_R TILEPROP INT_R_X25Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y76 TILE_X 16520 TILEPROP INT_R_X25Y76 TILE_Y 5824 TILEPROP INT_R_X25Y76 TYPE INT_R TILEPROP INT_R_X25Y77 CLASS tile TILEPROP INT_R_X25Y77 COLUMN 64 TILEPROP INT_R_X25Y77 DEVICE_ID 0 TILEPROP INT_R_X25Y77 FIRST_SITE_ID 7447 TILEPROP INT_R_X25Y77 GRID_POINT_X 64 TILEPROP INT_R_X25Y77 GRID_POINT_Y 75 TILEPROP INT_R_X25Y77 INDEX 8689 TILEPROP INT_R_X25Y77 INT_TILE_X 25 TILEPROP INT_R_X25Y77 INT_TILE_Y 72 TILEPROP INT_R_X25Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y77 IS_DCM_TILE 0 TILEPROP INT_R_X25Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y77 NAME INT_R_X25Y77 TILEPROP INT_R_X25Y77 NUM_ARCS 3737 TILEPROP INT_R_X25Y77 NUM_SITES 1 TILEPROP INT_R_X25Y77 ROW 75 TILEPROP INT_R_X25Y77 SLR_REGION_ID 0 TILEPROP INT_R_X25Y77 TILE_PATTERN_IDX 6230 TILEPROP INT_R_X25Y77 TILE_TYPE INT_R TILEPROP INT_R_X25Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y77 TILE_X 16520 TILEPROP INT_R_X25Y77 TILE_Y 9024 TILEPROP INT_R_X25Y77 TYPE INT_R TILEPROP INT_R_X25Y78 CLASS tile TILEPROP INT_R_X25Y78 COLUMN 64 TILEPROP INT_R_X25Y78 DEVICE_ID 0 TILEPROP INT_R_X25Y78 FIRST_SITE_ID 7347 TILEPROP INT_R_X25Y78 GRID_POINT_X 64 TILEPROP INT_R_X25Y78 GRID_POINT_Y 74 TILEPROP INT_R_X25Y78 INDEX 8574 TILEPROP INT_R_X25Y78 INT_TILE_X 25 TILEPROP INT_R_X25Y78 INT_TILE_Y 71 TILEPROP INT_R_X25Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y78 IS_DCM_TILE 0 TILEPROP INT_R_X25Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y78 NAME INT_R_X25Y78 TILEPROP INT_R_X25Y78 NUM_ARCS 3737 TILEPROP INT_R_X25Y78 NUM_SITES 1 TILEPROP INT_R_X25Y78 ROW 74 TILEPROP INT_R_X25Y78 SLR_REGION_ID 0 TILEPROP INT_R_X25Y78 TILE_PATTERN_IDX 6197 TILEPROP INT_R_X25Y78 TILE_TYPE INT_R TILEPROP INT_R_X25Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y78 TILE_X 16520 TILEPROP INT_R_X25Y78 TILE_Y 12224 TILEPROP INT_R_X25Y78 TYPE INT_R TILEPROP INT_R_X25Y79 CLASS tile TILEPROP INT_R_X25Y79 COLUMN 64 TILEPROP INT_R_X25Y79 DEVICE_ID 0 TILEPROP INT_R_X25Y79 FIRST_SITE_ID 7241 TILEPROP INT_R_X25Y79 GRID_POINT_X 64 TILEPROP INT_R_X25Y79 GRID_POINT_Y 73 TILEPROP INT_R_X25Y79 INDEX 8459 TILEPROP INT_R_X25Y79 INT_TILE_X 25 TILEPROP INT_R_X25Y79 INT_TILE_Y 70 TILEPROP INT_R_X25Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y79 IS_DCM_TILE 0 TILEPROP INT_R_X25Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y79 NAME INT_R_X25Y79 TILEPROP INT_R_X25Y79 NUM_ARCS 3737 TILEPROP INT_R_X25Y79 NUM_SITES 1 TILEPROP INT_R_X25Y79 ROW 73 TILEPROP INT_R_X25Y79 SLR_REGION_ID 0 TILEPROP INT_R_X25Y79 TILE_PATTERN_IDX 6162 TILEPROP INT_R_X25Y79 TILE_TYPE INT_R TILEPROP INT_R_X25Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y79 TILE_X 16520 TILEPROP INT_R_X25Y79 TILE_Y 15424 TILEPROP INT_R_X25Y79 TYPE INT_R TILEPROP INT_R_X25Y80 CLASS tile TILEPROP INT_R_X25Y80 COLUMN 64 TILEPROP INT_R_X25Y80 DEVICE_ID 0 TILEPROP INT_R_X25Y80 FIRST_SITE_ID 7126 TILEPROP INT_R_X25Y80 GRID_POINT_X 64 TILEPROP INT_R_X25Y80 GRID_POINT_Y 72 TILEPROP INT_R_X25Y80 INDEX 8344 TILEPROP INT_R_X25Y80 INT_TILE_X 25 TILEPROP INT_R_X25Y80 INT_TILE_Y 69 TILEPROP INT_R_X25Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y80 IS_DCM_TILE 0 TILEPROP INT_R_X25Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y80 NAME INT_R_X25Y80 TILEPROP INT_R_X25Y80 NUM_ARCS 3737 TILEPROP INT_R_X25Y80 NUM_SITES 1 TILEPROP INT_R_X25Y80 ROW 72 TILEPROP INT_R_X25Y80 SLR_REGION_ID 0 TILEPROP INT_R_X25Y80 TILE_PATTERN_IDX 6127 TILEPROP INT_R_X25Y80 TILE_TYPE INT_R TILEPROP INT_R_X25Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y80 TILE_X 16520 TILEPROP INT_R_X25Y80 TILE_Y 18624 TILEPROP INT_R_X25Y80 TYPE INT_R TILEPROP INT_R_X25Y81 CLASS tile TILEPROP INT_R_X25Y81 COLUMN 64 TILEPROP INT_R_X25Y81 DEVICE_ID 0 TILEPROP INT_R_X25Y81 FIRST_SITE_ID 7018 TILEPROP INT_R_X25Y81 GRID_POINT_X 64 TILEPROP INT_R_X25Y81 GRID_POINT_Y 71 TILEPROP INT_R_X25Y81 INDEX 8229 TILEPROP INT_R_X25Y81 INT_TILE_X 25 TILEPROP INT_R_X25Y81 INT_TILE_Y 68 TILEPROP INT_R_X25Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y81 IS_DCM_TILE 0 TILEPROP INT_R_X25Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y81 NAME INT_R_X25Y81 TILEPROP INT_R_X25Y81 NUM_ARCS 3737 TILEPROP INT_R_X25Y81 NUM_SITES 1 TILEPROP INT_R_X25Y81 ROW 71 TILEPROP INT_R_X25Y81 SLR_REGION_ID 0 TILEPROP INT_R_X25Y81 TILE_PATTERN_IDX 6093 TILEPROP INT_R_X25Y81 TILE_TYPE INT_R TILEPROP INT_R_X25Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y81 TILE_X 16520 TILEPROP INT_R_X25Y81 TILE_Y 21824 TILEPROP INT_R_X25Y81 TYPE INT_R TILEPROP INT_R_X25Y82 CLASS tile TILEPROP INT_R_X25Y82 COLUMN 64 TILEPROP INT_R_X25Y82 DEVICE_ID 0 TILEPROP INT_R_X25Y82 FIRST_SITE_ID 6916 TILEPROP INT_R_X25Y82 GRID_POINT_X 64 TILEPROP INT_R_X25Y82 GRID_POINT_Y 70 TILEPROP INT_R_X25Y82 INDEX 8114 TILEPROP INT_R_X25Y82 INT_TILE_X 25 TILEPROP INT_R_X25Y82 INT_TILE_Y 67 TILEPROP INT_R_X25Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y82 IS_DCM_TILE 0 TILEPROP INT_R_X25Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y82 NAME INT_R_X25Y82 TILEPROP INT_R_X25Y82 NUM_ARCS 3737 TILEPROP INT_R_X25Y82 NUM_SITES 1 TILEPROP INT_R_X25Y82 ROW 70 TILEPROP INT_R_X25Y82 SLR_REGION_ID 0 TILEPROP INT_R_X25Y82 TILE_PATTERN_IDX 6059 TILEPROP INT_R_X25Y82 TILE_TYPE INT_R TILEPROP INT_R_X25Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y82 TILE_X 16520 TILEPROP INT_R_X25Y82 TILE_Y 25024 TILEPROP INT_R_X25Y82 TYPE INT_R TILEPROP INT_R_X25Y83 CLASS tile TILEPROP INT_R_X25Y83 COLUMN 64 TILEPROP INT_R_X25Y83 DEVICE_ID 0 TILEPROP INT_R_X25Y83 FIRST_SITE_ID 6816 TILEPROP INT_R_X25Y83 GRID_POINT_X 64 TILEPROP INT_R_X25Y83 GRID_POINT_Y 69 TILEPROP INT_R_X25Y83 INDEX 7999 TILEPROP INT_R_X25Y83 INT_TILE_X 25 TILEPROP INT_R_X25Y83 INT_TILE_Y 66 TILEPROP INT_R_X25Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y83 IS_DCM_TILE 0 TILEPROP INT_R_X25Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y83 NAME INT_R_X25Y83 TILEPROP INT_R_X25Y83 NUM_ARCS 3737 TILEPROP INT_R_X25Y83 NUM_SITES 1 TILEPROP INT_R_X25Y83 ROW 69 TILEPROP INT_R_X25Y83 SLR_REGION_ID 0 TILEPROP INT_R_X25Y83 TILE_PATTERN_IDX 6025 TILEPROP INT_R_X25Y83 TILE_TYPE INT_R TILEPROP INT_R_X25Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y83 TILE_X 16520 TILEPROP INT_R_X25Y83 TILE_Y 28224 TILEPROP INT_R_X25Y83 TYPE INT_R TILEPROP INT_R_X25Y84 CLASS tile TILEPROP INT_R_X25Y84 COLUMN 64 TILEPROP INT_R_X25Y84 DEVICE_ID 0 TILEPROP INT_R_X25Y84 FIRST_SITE_ID 6716 TILEPROP INT_R_X25Y84 GRID_POINT_X 64 TILEPROP INT_R_X25Y84 GRID_POINT_Y 68 TILEPROP INT_R_X25Y84 INDEX 7884 TILEPROP INT_R_X25Y84 INT_TILE_X 25 TILEPROP INT_R_X25Y84 INT_TILE_Y 65 TILEPROP INT_R_X25Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y84 IS_DCM_TILE 0 TILEPROP INT_R_X25Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y84 NAME INT_R_X25Y84 TILEPROP INT_R_X25Y84 NUM_ARCS 3737 TILEPROP INT_R_X25Y84 NUM_SITES 1 TILEPROP INT_R_X25Y84 ROW 68 TILEPROP INT_R_X25Y84 SLR_REGION_ID 0 TILEPROP INT_R_X25Y84 TILE_PATTERN_IDX 5991 TILEPROP INT_R_X25Y84 TILE_TYPE INT_R TILEPROP INT_R_X25Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y84 TILE_X 16520 TILEPROP INT_R_X25Y84 TILE_Y 31424 TILEPROP INT_R_X25Y84 TYPE INT_R TILEPROP INT_R_X25Y85 CLASS tile TILEPROP INT_R_X25Y85 COLUMN 64 TILEPROP INT_R_X25Y85 DEVICE_ID 0 TILEPROP INT_R_X25Y85 FIRST_SITE_ID 6607 TILEPROP INT_R_X25Y85 GRID_POINT_X 64 TILEPROP INT_R_X25Y85 GRID_POINT_Y 67 TILEPROP INT_R_X25Y85 INDEX 7769 TILEPROP INT_R_X25Y85 INT_TILE_X 25 TILEPROP INT_R_X25Y85 INT_TILE_Y 64 TILEPROP INT_R_X25Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y85 IS_DCM_TILE 0 TILEPROP INT_R_X25Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y85 NAME INT_R_X25Y85 TILEPROP INT_R_X25Y85 NUM_ARCS 3737 TILEPROP INT_R_X25Y85 NUM_SITES 1 TILEPROP INT_R_X25Y85 ROW 67 TILEPROP INT_R_X25Y85 SLR_REGION_ID 0 TILEPROP INT_R_X25Y85 TILE_PATTERN_IDX 5957 TILEPROP INT_R_X25Y85 TILE_TYPE INT_R TILEPROP INT_R_X25Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y85 TILE_X 16520 TILEPROP INT_R_X25Y85 TILE_Y 34624 TILEPROP INT_R_X25Y85 TYPE INT_R TILEPROP INT_R_X25Y86 CLASS tile TILEPROP INT_R_X25Y86 COLUMN 64 TILEPROP INT_R_X25Y86 DEVICE_ID 0 TILEPROP INT_R_X25Y86 FIRST_SITE_ID 6501 TILEPROP INT_R_X25Y86 GRID_POINT_X 64 TILEPROP INT_R_X25Y86 GRID_POINT_Y 66 TILEPROP INT_R_X25Y86 INDEX 7654 TILEPROP INT_R_X25Y86 INT_TILE_X 25 TILEPROP INT_R_X25Y86 INT_TILE_Y 63 TILEPROP INT_R_X25Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y86 IS_DCM_TILE 0 TILEPROP INT_R_X25Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y86 NAME INT_R_X25Y86 TILEPROP INT_R_X25Y86 NUM_ARCS 3737 TILEPROP INT_R_X25Y86 NUM_SITES 1 TILEPROP INT_R_X25Y86 ROW 66 TILEPROP INT_R_X25Y86 SLR_REGION_ID 0 TILEPROP INT_R_X25Y86 TILE_PATTERN_IDX 5922 TILEPROP INT_R_X25Y86 TILE_TYPE INT_R TILEPROP INT_R_X25Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y86 TILE_X 16520 TILEPROP INT_R_X25Y86 TILE_Y 37824 TILEPROP INT_R_X25Y86 TYPE INT_R TILEPROP INT_R_X25Y87 CLASS tile TILEPROP INT_R_X25Y87 COLUMN 64 TILEPROP INT_R_X25Y87 DEVICE_ID 0 TILEPROP INT_R_X25Y87 FIRST_SITE_ID 6369 TILEPROP INT_R_X25Y87 GRID_POINT_X 64 TILEPROP INT_R_X25Y87 GRID_POINT_Y 65 TILEPROP INT_R_X25Y87 INDEX 7539 TILEPROP INT_R_X25Y87 INT_TILE_X 25 TILEPROP INT_R_X25Y87 INT_TILE_Y 62 TILEPROP INT_R_X25Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y87 IS_DCM_TILE 0 TILEPROP INT_R_X25Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y87 NAME INT_R_X25Y87 TILEPROP INT_R_X25Y87 NUM_ARCS 3737 TILEPROP INT_R_X25Y87 NUM_SITES 1 TILEPROP INT_R_X25Y87 ROW 65 TILEPROP INT_R_X25Y87 SLR_REGION_ID 0 TILEPROP INT_R_X25Y87 TILE_PATTERN_IDX 5887 TILEPROP INT_R_X25Y87 TILE_TYPE INT_R TILEPROP INT_R_X25Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y87 TILE_X 16520 TILEPROP INT_R_X25Y87 TILE_Y 41024 TILEPROP INT_R_X25Y87 TYPE INT_R TILEPROP INT_R_X25Y88 CLASS tile TILEPROP INT_R_X25Y88 COLUMN 64 TILEPROP INT_R_X25Y88 DEVICE_ID 0 TILEPROP INT_R_X25Y88 FIRST_SITE_ID 6269 TILEPROP INT_R_X25Y88 GRID_POINT_X 64 TILEPROP INT_R_X25Y88 GRID_POINT_Y 64 TILEPROP INT_R_X25Y88 INDEX 7424 TILEPROP INT_R_X25Y88 INT_TILE_X 25 TILEPROP INT_R_X25Y88 INT_TILE_Y 61 TILEPROP INT_R_X25Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y88 IS_DCM_TILE 0 TILEPROP INT_R_X25Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y88 NAME INT_R_X25Y88 TILEPROP INT_R_X25Y88 NUM_ARCS 3737 TILEPROP INT_R_X25Y88 NUM_SITES 1 TILEPROP INT_R_X25Y88 ROW 64 TILEPROP INT_R_X25Y88 SLR_REGION_ID 0 TILEPROP INT_R_X25Y88 TILE_PATTERN_IDX 5854 TILEPROP INT_R_X25Y88 TILE_TYPE INT_R TILEPROP INT_R_X25Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y88 TILE_X 16520 TILEPROP INT_R_X25Y88 TILE_Y 44224 TILEPROP INT_R_X25Y88 TYPE INT_R TILEPROP INT_R_X25Y89 CLASS tile TILEPROP INT_R_X25Y89 COLUMN 64 TILEPROP INT_R_X25Y89 DEVICE_ID 0 TILEPROP INT_R_X25Y89 FIRST_SITE_ID 6169 TILEPROP INT_R_X25Y89 GRID_POINT_X 64 TILEPROP INT_R_X25Y89 GRID_POINT_Y 63 TILEPROP INT_R_X25Y89 INDEX 7309 TILEPROP INT_R_X25Y89 INT_TILE_X 25 TILEPROP INT_R_X25Y89 INT_TILE_Y 60 TILEPROP INT_R_X25Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y89 IS_DCM_TILE 0 TILEPROP INT_R_X25Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y89 NAME INT_R_X25Y89 TILEPROP INT_R_X25Y89 NUM_ARCS 3737 TILEPROP INT_R_X25Y89 NUM_SITES 1 TILEPROP INT_R_X25Y89 ROW 63 TILEPROP INT_R_X25Y89 SLR_REGION_ID 0 TILEPROP INT_R_X25Y89 TILE_PATTERN_IDX 5820 TILEPROP INT_R_X25Y89 TILE_TYPE INT_R TILEPROP INT_R_X25Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y89 TILE_X 16520 TILEPROP INT_R_X25Y89 TILE_Y 47424 TILEPROP INT_R_X25Y89 TYPE INT_R TILEPROP INT_R_X25Y90 CLASS tile TILEPROP INT_R_X25Y90 COLUMN 64 TILEPROP INT_R_X25Y90 DEVICE_ID 0 TILEPROP INT_R_X25Y90 FIRST_SITE_ID 6060 TILEPROP INT_R_X25Y90 GRID_POINT_X 64 TILEPROP INT_R_X25Y90 GRID_POINT_Y 62 TILEPROP INT_R_X25Y90 INDEX 7194 TILEPROP INT_R_X25Y90 INT_TILE_X 25 TILEPROP INT_R_X25Y90 INT_TILE_Y 59 TILEPROP INT_R_X25Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y90 IS_DCM_TILE 0 TILEPROP INT_R_X25Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y90 NAME INT_R_X25Y90 TILEPROP INT_R_X25Y90 NUM_ARCS 3737 TILEPROP INT_R_X25Y90 NUM_SITES 1 TILEPROP INT_R_X25Y90 ROW 62 TILEPROP INT_R_X25Y90 SLR_REGION_ID 0 TILEPROP INT_R_X25Y90 TILE_PATTERN_IDX 5786 TILEPROP INT_R_X25Y90 TILE_TYPE INT_R TILEPROP INT_R_X25Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y90 TILE_X 16520 TILEPROP INT_R_X25Y90 TILE_Y 50624 TILEPROP INT_R_X25Y90 TYPE INT_R TILEPROP INT_R_X25Y91 CLASS tile TILEPROP INT_R_X25Y91 COLUMN 64 TILEPROP INT_R_X25Y91 DEVICE_ID 0 TILEPROP INT_R_X25Y91 FIRST_SITE_ID 5954 TILEPROP INT_R_X25Y91 GRID_POINT_X 64 TILEPROP INT_R_X25Y91 GRID_POINT_Y 61 TILEPROP INT_R_X25Y91 INDEX 7079 TILEPROP INT_R_X25Y91 INT_TILE_X 25 TILEPROP INT_R_X25Y91 INT_TILE_Y 58 TILEPROP INT_R_X25Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y91 IS_DCM_TILE 0 TILEPROP INT_R_X25Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y91 NAME INT_R_X25Y91 TILEPROP INT_R_X25Y91 NUM_ARCS 3737 TILEPROP INT_R_X25Y91 NUM_SITES 1 TILEPROP INT_R_X25Y91 ROW 61 TILEPROP INT_R_X25Y91 SLR_REGION_ID 0 TILEPROP INT_R_X25Y91 TILE_PATTERN_IDX 5752 TILEPROP INT_R_X25Y91 TILE_TYPE INT_R TILEPROP INT_R_X25Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y91 TILE_X 16520 TILEPROP INT_R_X25Y91 TILE_Y 53824 TILEPROP INT_R_X25Y91 TYPE INT_R TILEPROP INT_R_X25Y92 CLASS tile TILEPROP INT_R_X25Y92 COLUMN 64 TILEPROP INT_R_X25Y92 DEVICE_ID 0 TILEPROP INT_R_X25Y92 FIRST_SITE_ID 5853 TILEPROP INT_R_X25Y92 GRID_POINT_X 64 TILEPROP INT_R_X25Y92 GRID_POINT_Y 60 TILEPROP INT_R_X25Y92 INDEX 6964 TILEPROP INT_R_X25Y92 INT_TILE_X 25 TILEPROP INT_R_X25Y92 INT_TILE_Y 57 TILEPROP INT_R_X25Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y92 IS_DCM_TILE 0 TILEPROP INT_R_X25Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y92 NAME INT_R_X25Y92 TILEPROP INT_R_X25Y92 NUM_ARCS 3737 TILEPROP INT_R_X25Y92 NUM_SITES 1 TILEPROP INT_R_X25Y92 ROW 60 TILEPROP INT_R_X25Y92 SLR_REGION_ID 0 TILEPROP INT_R_X25Y92 TILE_PATTERN_IDX 5719 TILEPROP INT_R_X25Y92 TILE_TYPE INT_R TILEPROP INT_R_X25Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y92 TILE_X 16520 TILEPROP INT_R_X25Y92 TILE_Y 57024 TILEPROP INT_R_X25Y92 TYPE INT_R TILEPROP INT_R_X25Y93 CLASS tile TILEPROP INT_R_X25Y93 COLUMN 64 TILEPROP INT_R_X25Y93 DEVICE_ID 0 TILEPROP INT_R_X25Y93 FIRST_SITE_ID 5750 TILEPROP INT_R_X25Y93 GRID_POINT_X 64 TILEPROP INT_R_X25Y93 GRID_POINT_Y 59 TILEPROP INT_R_X25Y93 INDEX 6849 TILEPROP INT_R_X25Y93 INT_TILE_X 25 TILEPROP INT_R_X25Y93 INT_TILE_Y 56 TILEPROP INT_R_X25Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y93 IS_DCM_TILE 0 TILEPROP INT_R_X25Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y93 NAME INT_R_X25Y93 TILEPROP INT_R_X25Y93 NUM_ARCS 3737 TILEPROP INT_R_X25Y93 NUM_SITES 1 TILEPROP INT_R_X25Y93 ROW 59 TILEPROP INT_R_X25Y93 SLR_REGION_ID 0 TILEPROP INT_R_X25Y93 TILE_PATTERN_IDX 5685 TILEPROP INT_R_X25Y93 TILE_TYPE INT_R TILEPROP INT_R_X25Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y93 TILE_X 16520 TILEPROP INT_R_X25Y93 TILE_Y 60224 TILEPROP INT_R_X25Y93 TYPE INT_R TILEPROP INT_R_X25Y94 CLASS tile TILEPROP INT_R_X25Y94 COLUMN 64 TILEPROP INT_R_X25Y94 DEVICE_ID 0 TILEPROP INT_R_X25Y94 FIRST_SITE_ID 5648 TILEPROP INT_R_X25Y94 GRID_POINT_X 64 TILEPROP INT_R_X25Y94 GRID_POINT_Y 58 TILEPROP INT_R_X25Y94 INDEX 6734 TILEPROP INT_R_X25Y94 INT_TILE_X 25 TILEPROP INT_R_X25Y94 INT_TILE_Y 55 TILEPROP INT_R_X25Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y94 IS_DCM_TILE 0 TILEPROP INT_R_X25Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y94 NAME INT_R_X25Y94 TILEPROP INT_R_X25Y94 NUM_ARCS 3737 TILEPROP INT_R_X25Y94 NUM_SITES 1 TILEPROP INT_R_X25Y94 ROW 58 TILEPROP INT_R_X25Y94 SLR_REGION_ID 0 TILEPROP INT_R_X25Y94 TILE_PATTERN_IDX 5651 TILEPROP INT_R_X25Y94 TILE_TYPE INT_R TILEPROP INT_R_X25Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y94 TILE_X 16520 TILEPROP INT_R_X25Y94 TILE_Y 63424 TILEPROP INT_R_X25Y94 TYPE INT_R TILEPROP INT_R_X25Y95 CLASS tile TILEPROP INT_R_X25Y95 COLUMN 64 TILEPROP INT_R_X25Y95 DEVICE_ID 0 TILEPROP INT_R_X25Y95 FIRST_SITE_ID 5539 TILEPROP INT_R_X25Y95 GRID_POINT_X 64 TILEPROP INT_R_X25Y95 GRID_POINT_Y 57 TILEPROP INT_R_X25Y95 INDEX 6619 TILEPROP INT_R_X25Y95 INT_TILE_X 25 TILEPROP INT_R_X25Y95 INT_TILE_Y 54 TILEPROP INT_R_X25Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y95 IS_DCM_TILE 0 TILEPROP INT_R_X25Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y95 NAME INT_R_X25Y95 TILEPROP INT_R_X25Y95 NUM_ARCS 3737 TILEPROP INT_R_X25Y95 NUM_SITES 1 TILEPROP INT_R_X25Y95 ROW 57 TILEPROP INT_R_X25Y95 SLR_REGION_ID 0 TILEPROP INT_R_X25Y95 TILE_PATTERN_IDX 5617 TILEPROP INT_R_X25Y95 TILE_TYPE INT_R TILEPROP INT_R_X25Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y95 TILE_X 16520 TILEPROP INT_R_X25Y95 TILE_Y 66624 TILEPROP INT_R_X25Y95 TYPE INT_R TILEPROP INT_R_X25Y96 CLASS tile TILEPROP INT_R_X25Y96 COLUMN 64 TILEPROP INT_R_X25Y96 DEVICE_ID 0 TILEPROP INT_R_X25Y96 FIRST_SITE_ID 5433 TILEPROP INT_R_X25Y96 GRID_POINT_X 64 TILEPROP INT_R_X25Y96 GRID_POINT_Y 56 TILEPROP INT_R_X25Y96 INDEX 6504 TILEPROP INT_R_X25Y96 INT_TILE_X 25 TILEPROP INT_R_X25Y96 INT_TILE_Y 53 TILEPROP INT_R_X25Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y96 IS_DCM_TILE 0 TILEPROP INT_R_X25Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y96 NAME INT_R_X25Y96 TILEPROP INT_R_X25Y96 NUM_ARCS 3737 TILEPROP INT_R_X25Y96 NUM_SITES 1 TILEPROP INT_R_X25Y96 ROW 56 TILEPROP INT_R_X25Y96 SLR_REGION_ID 0 TILEPROP INT_R_X25Y96 TILE_PATTERN_IDX 5582 TILEPROP INT_R_X25Y96 TILE_TYPE INT_R TILEPROP INT_R_X25Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y96 TILE_X 16520 TILEPROP INT_R_X25Y96 TILE_Y 69824 TILEPROP INT_R_X25Y96 TYPE INT_R TILEPROP INT_R_X25Y97 CLASS tile TILEPROP INT_R_X25Y97 COLUMN 64 TILEPROP INT_R_X25Y97 DEVICE_ID 0 TILEPROP INT_R_X25Y97 FIRST_SITE_ID 5333 TILEPROP INT_R_X25Y97 GRID_POINT_X 64 TILEPROP INT_R_X25Y97 GRID_POINT_Y 55 TILEPROP INT_R_X25Y97 INDEX 6389 TILEPROP INT_R_X25Y97 INT_TILE_X 25 TILEPROP INT_R_X25Y97 INT_TILE_Y 52 TILEPROP INT_R_X25Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y97 IS_DCM_TILE 0 TILEPROP INT_R_X25Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y97 NAME INT_R_X25Y97 TILEPROP INT_R_X25Y97 NUM_ARCS 3737 TILEPROP INT_R_X25Y97 NUM_SITES 1 TILEPROP INT_R_X25Y97 ROW 55 TILEPROP INT_R_X25Y97 SLR_REGION_ID 0 TILEPROP INT_R_X25Y97 TILE_PATTERN_IDX 5548 TILEPROP INT_R_X25Y97 TILE_TYPE INT_R TILEPROP INT_R_X25Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y97 TILE_X 16520 TILEPROP INT_R_X25Y97 TILE_Y 73024 TILEPROP INT_R_X25Y97 TYPE INT_R TILEPROP INT_R_X25Y98 CLASS tile TILEPROP INT_R_X25Y98 COLUMN 64 TILEPROP INT_R_X25Y98 DEVICE_ID 0 TILEPROP INT_R_X25Y98 FIRST_SITE_ID 5233 TILEPROP INT_R_X25Y98 GRID_POINT_X 64 TILEPROP INT_R_X25Y98 GRID_POINT_Y 54 TILEPROP INT_R_X25Y98 INDEX 6274 TILEPROP INT_R_X25Y98 INT_TILE_X 25 TILEPROP INT_R_X25Y98 INT_TILE_Y 51 TILEPROP INT_R_X25Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y98 IS_DCM_TILE 0 TILEPROP INT_R_X25Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y98 NAME INT_R_X25Y98 TILEPROP INT_R_X25Y98 NUM_ARCS 3737 TILEPROP INT_R_X25Y98 NUM_SITES 1 TILEPROP INT_R_X25Y98 ROW 54 TILEPROP INT_R_X25Y98 SLR_REGION_ID 0 TILEPROP INT_R_X25Y98 TILE_PATTERN_IDX 5514 TILEPROP INT_R_X25Y98 TILE_TYPE INT_R TILEPROP INT_R_X25Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y98 TILE_X 16520 TILEPROP INT_R_X25Y98 TILE_Y 76224 TILEPROP INT_R_X25Y98 TYPE INT_R TILEPROP INT_R_X25Y99 CLASS tile TILEPROP INT_R_X25Y99 COLUMN 64 TILEPROP INT_R_X25Y99 DEVICE_ID 0 TILEPROP INT_R_X25Y99 FIRST_SITE_ID 5137 TILEPROP INT_R_X25Y99 GRID_POINT_X 64 TILEPROP INT_R_X25Y99 GRID_POINT_Y 53 TILEPROP INT_R_X25Y99 INDEX 6159 TILEPROP INT_R_X25Y99 INT_TILE_X 25 TILEPROP INT_R_X25Y99 INT_TILE_Y 50 TILEPROP INT_R_X25Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y99 IS_DCM_TILE 0 TILEPROP INT_R_X25Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y99 NAME INT_R_X25Y99 TILEPROP INT_R_X25Y99 NUM_ARCS 3737 TILEPROP INT_R_X25Y99 NUM_SITES 1 TILEPROP INT_R_X25Y99 ROW 53 TILEPROP INT_R_X25Y99 SLR_REGION_ID 0 TILEPROP INT_R_X25Y99 TILE_PATTERN_IDX 5481 TILEPROP INT_R_X25Y99 TILE_TYPE INT_R TILEPROP INT_R_X25Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y99 TILE_X 16520 TILEPROP INT_R_X25Y99 TILE_Y 79424 TILEPROP INT_R_X25Y99 TYPE INT_R TILEPROP INT_R_X25Y100 CLASS tile TILEPROP INT_R_X25Y100 COLUMN 64 TILEPROP INT_R_X25Y100 DEVICE_ID 0 TILEPROP INT_R_X25Y100 FIRST_SITE_ID 5060 TILEPROP INT_R_X25Y100 GRID_POINT_X 64 TILEPROP INT_R_X25Y100 GRID_POINT_Y 51 TILEPROP INT_R_X25Y100 INDEX 5929 TILEPROP INT_R_X25Y100 INT_TILE_X 25 TILEPROP INT_R_X25Y100 INT_TILE_Y 49 TILEPROP INT_R_X25Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y100 IS_DCM_TILE 0 TILEPROP INT_R_X25Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y100 NAME INT_R_X25Y100 TILEPROP INT_R_X25Y100 NUM_ARCS 3737 TILEPROP INT_R_X25Y100 NUM_SITES 1 TILEPROP INT_R_X25Y100 ROW 51 TILEPROP INT_R_X25Y100 SLR_REGION_ID 0 TILEPROP INT_R_X25Y100 TILE_PATTERN_IDX 5449 TILEPROP INT_R_X25Y100 TILE_TYPE INT_R TILEPROP INT_R_X25Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y100 TILE_X 16520 TILEPROP INT_R_X25Y100 TILE_Y 82872 TILEPROP INT_R_X25Y100 TYPE INT_R TILEPROP INT_R_X25Y101 CLASS tile TILEPROP INT_R_X25Y101 COLUMN 64 TILEPROP INT_R_X25Y101 DEVICE_ID 0 TILEPROP INT_R_X25Y101 FIRST_SITE_ID 4962 TILEPROP INT_R_X25Y101 GRID_POINT_X 64 TILEPROP INT_R_X25Y101 GRID_POINT_Y 50 TILEPROP INT_R_X25Y101 INDEX 5814 TILEPROP INT_R_X25Y101 INT_TILE_X 25 TILEPROP INT_R_X25Y101 INT_TILE_Y 48 TILEPROP INT_R_X25Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y101 IS_DCM_TILE 0 TILEPROP INT_R_X25Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y101 NAME INT_R_X25Y101 TILEPROP INT_R_X25Y101 NUM_ARCS 3737 TILEPROP INT_R_X25Y101 NUM_SITES 1 TILEPROP INT_R_X25Y101 ROW 50 TILEPROP INT_R_X25Y101 SLR_REGION_ID 0 TILEPROP INT_R_X25Y101 TILE_PATTERN_IDX 5413 TILEPROP INT_R_X25Y101 TILE_TYPE INT_R TILEPROP INT_R_X25Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y101 TILE_X 16520 TILEPROP INT_R_X25Y101 TILE_Y 86072 TILEPROP INT_R_X25Y101 TYPE INT_R TILEPROP INT_R_X25Y102 CLASS tile TILEPROP INT_R_X25Y102 COLUMN 64 TILEPROP INT_R_X25Y102 DEVICE_ID 0 TILEPROP INT_R_X25Y102 FIRST_SITE_ID 4866 TILEPROP INT_R_X25Y102 GRID_POINT_X 64 TILEPROP INT_R_X25Y102 GRID_POINT_Y 49 TILEPROP INT_R_X25Y102 INDEX 5699 TILEPROP INT_R_X25Y102 INT_TILE_X 25 TILEPROP INT_R_X25Y102 INT_TILE_Y 47 TILEPROP INT_R_X25Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y102 IS_DCM_TILE 0 TILEPROP INT_R_X25Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y102 NAME INT_R_X25Y102 TILEPROP INT_R_X25Y102 NUM_ARCS 3737 TILEPROP INT_R_X25Y102 NUM_SITES 1 TILEPROP INT_R_X25Y102 ROW 49 TILEPROP INT_R_X25Y102 SLR_REGION_ID 0 TILEPROP INT_R_X25Y102 TILE_PATTERN_IDX 5376 TILEPROP INT_R_X25Y102 TILE_TYPE INT_R TILEPROP INT_R_X25Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y102 TILE_X 16520 TILEPROP INT_R_X25Y102 TILE_Y 89272 TILEPROP INT_R_X25Y102 TYPE INT_R TILEPROP INT_R_X25Y103 CLASS tile TILEPROP INT_R_X25Y103 COLUMN 64 TILEPROP INT_R_X25Y103 DEVICE_ID 0 TILEPROP INT_R_X25Y103 FIRST_SITE_ID 4778 TILEPROP INT_R_X25Y103 GRID_POINT_X 64 TILEPROP INT_R_X25Y103 GRID_POINT_Y 48 TILEPROP INT_R_X25Y103 INDEX 5584 TILEPROP INT_R_X25Y103 INT_TILE_X 25 TILEPROP INT_R_X25Y103 INT_TILE_Y 46 TILEPROP INT_R_X25Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y103 IS_DCM_TILE 0 TILEPROP INT_R_X25Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y103 NAME INT_R_X25Y103 TILEPROP INT_R_X25Y103 NUM_ARCS 3737 TILEPROP INT_R_X25Y103 NUM_SITES 1 TILEPROP INT_R_X25Y103 ROW 48 TILEPROP INT_R_X25Y103 SLR_REGION_ID 0 TILEPROP INT_R_X25Y103 TILE_PATTERN_IDX 5340 TILEPROP INT_R_X25Y103 TILE_TYPE INT_R TILEPROP INT_R_X25Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y103 TILE_X 16520 TILEPROP INT_R_X25Y103 TILE_Y 92472 TILEPROP INT_R_X25Y103 TYPE INT_R TILEPROP INT_R_X25Y104 CLASS tile TILEPROP INT_R_X25Y104 COLUMN 64 TILEPROP INT_R_X25Y104 DEVICE_ID 0 TILEPROP INT_R_X25Y104 FIRST_SITE_ID 4682 TILEPROP INT_R_X25Y104 GRID_POINT_X 64 TILEPROP INT_R_X25Y104 GRID_POINT_Y 47 TILEPROP INT_R_X25Y104 INDEX 5469 TILEPROP INT_R_X25Y104 INT_TILE_X 25 TILEPROP INT_R_X25Y104 INT_TILE_Y 45 TILEPROP INT_R_X25Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y104 IS_DCM_TILE 0 TILEPROP INT_R_X25Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y104 NAME INT_R_X25Y104 TILEPROP INT_R_X25Y104 NUM_ARCS 3737 TILEPROP INT_R_X25Y104 NUM_SITES 1 TILEPROP INT_R_X25Y104 ROW 47 TILEPROP INT_R_X25Y104 SLR_REGION_ID 0 TILEPROP INT_R_X25Y104 TILE_PATTERN_IDX 5303 TILEPROP INT_R_X25Y104 TILE_TYPE INT_R TILEPROP INT_R_X25Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y104 TILE_X 16520 TILEPROP INT_R_X25Y104 TILE_Y 95672 TILEPROP INT_R_X25Y104 TYPE INT_R TILEPROP INT_R_X25Y105 CLASS tile TILEPROP INT_R_X25Y105 COLUMN 64 TILEPROP INT_R_X25Y105 DEVICE_ID 0 TILEPROP INT_R_X25Y105 FIRST_SITE_ID 4586 TILEPROP INT_R_X25Y105 GRID_POINT_X 64 TILEPROP INT_R_X25Y105 GRID_POINT_Y 46 TILEPROP INT_R_X25Y105 INDEX 5354 TILEPROP INT_R_X25Y105 INT_TILE_X 25 TILEPROP INT_R_X25Y105 INT_TILE_Y 44 TILEPROP INT_R_X25Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y105 IS_DCM_TILE 0 TILEPROP INT_R_X25Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y105 NAME INT_R_X25Y105 TILEPROP INT_R_X25Y105 NUM_ARCS 3737 TILEPROP INT_R_X25Y105 NUM_SITES 1 TILEPROP INT_R_X25Y105 ROW 46 TILEPROP INT_R_X25Y105 SLR_REGION_ID 0 TILEPROP INT_R_X25Y105 TILE_PATTERN_IDX 5267 TILEPROP INT_R_X25Y105 TILE_TYPE INT_R TILEPROP INT_R_X25Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y105 TILE_X 16520 TILEPROP INT_R_X25Y105 TILE_Y 98872 TILEPROP INT_R_X25Y105 TYPE INT_R TILEPROP INT_R_X25Y106 CLASS tile TILEPROP INT_R_X25Y106 COLUMN 64 TILEPROP INT_R_X25Y106 DEVICE_ID 0 TILEPROP INT_R_X25Y106 FIRST_SITE_ID 4484 TILEPROP INT_R_X25Y106 GRID_POINT_X 64 TILEPROP INT_R_X25Y106 GRID_POINT_Y 45 TILEPROP INT_R_X25Y106 INDEX 5239 TILEPROP INT_R_X25Y106 INT_TILE_X 25 TILEPROP INT_R_X25Y106 INT_TILE_Y 43 TILEPROP INT_R_X25Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y106 IS_DCM_TILE 0 TILEPROP INT_R_X25Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y106 NAME INT_R_X25Y106 TILEPROP INT_R_X25Y106 NUM_ARCS 3737 TILEPROP INT_R_X25Y106 NUM_SITES 1 TILEPROP INT_R_X25Y106 ROW 45 TILEPROP INT_R_X25Y106 SLR_REGION_ID 0 TILEPROP INT_R_X25Y106 TILE_PATTERN_IDX 5230 TILEPROP INT_R_X25Y106 TILE_TYPE INT_R TILEPROP INT_R_X25Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y106 TILE_X 16520 TILEPROP INT_R_X25Y106 TILE_Y 102072 TILEPROP INT_R_X25Y106 TYPE INT_R TILEPROP INT_R_X25Y107 CLASS tile TILEPROP INT_R_X25Y107 COLUMN 64 TILEPROP INT_R_X25Y107 DEVICE_ID 0 TILEPROP INT_R_X25Y107 FIRST_SITE_ID 4396 TILEPROP INT_R_X25Y107 GRID_POINT_X 64 TILEPROP INT_R_X25Y107 GRID_POINT_Y 44 TILEPROP INT_R_X25Y107 INDEX 5124 TILEPROP INT_R_X25Y107 INT_TILE_X 25 TILEPROP INT_R_X25Y107 INT_TILE_Y 42 TILEPROP INT_R_X25Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y107 IS_DCM_TILE 0 TILEPROP INT_R_X25Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y107 NAME INT_R_X25Y107 TILEPROP INT_R_X25Y107 NUM_ARCS 3737 TILEPROP INT_R_X25Y107 NUM_SITES 1 TILEPROP INT_R_X25Y107 ROW 44 TILEPROP INT_R_X25Y107 SLR_REGION_ID 0 TILEPROP INT_R_X25Y107 TILE_PATTERN_IDX 5194 TILEPROP INT_R_X25Y107 TILE_TYPE INT_R TILEPROP INT_R_X25Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y107 TILE_X 16520 TILEPROP INT_R_X25Y107 TILE_Y 105272 TILEPROP INT_R_X25Y107 TYPE INT_R TILEPROP INT_R_X25Y108 CLASS tile TILEPROP INT_R_X25Y108 COLUMN 64 TILEPROP INT_R_X25Y108 DEVICE_ID 0 TILEPROP INT_R_X25Y108 FIRST_SITE_ID 4298 TILEPROP INT_R_X25Y108 GRID_POINT_X 64 TILEPROP INT_R_X25Y108 GRID_POINT_Y 43 TILEPROP INT_R_X25Y108 INDEX 5009 TILEPROP INT_R_X25Y108 INT_TILE_X 25 TILEPROP INT_R_X25Y108 INT_TILE_Y 41 TILEPROP INT_R_X25Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y108 IS_DCM_TILE 0 TILEPROP INT_R_X25Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y108 NAME INT_R_X25Y108 TILEPROP INT_R_X25Y108 NUM_ARCS 3737 TILEPROP INT_R_X25Y108 NUM_SITES 1 TILEPROP INT_R_X25Y108 ROW 43 TILEPROP INT_R_X25Y108 SLR_REGION_ID 0 TILEPROP INT_R_X25Y108 TILE_PATTERN_IDX 5157 TILEPROP INT_R_X25Y108 TILE_TYPE INT_R TILEPROP INT_R_X25Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y108 TILE_X 16520 TILEPROP INT_R_X25Y108 TILE_Y 108472 TILEPROP INT_R_X25Y108 TYPE INT_R TILEPROP INT_R_X25Y109 CLASS tile TILEPROP INT_R_X25Y109 COLUMN 64 TILEPROP INT_R_X25Y109 DEVICE_ID 0 TILEPROP INT_R_X25Y109 FIRST_SITE_ID 4209 TILEPROP INT_R_X25Y109 GRID_POINT_X 64 TILEPROP INT_R_X25Y109 GRID_POINT_Y 42 TILEPROP INT_R_X25Y109 INDEX 4894 TILEPROP INT_R_X25Y109 INT_TILE_X 25 TILEPROP INT_R_X25Y109 INT_TILE_Y 40 TILEPROP INT_R_X25Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y109 IS_DCM_TILE 0 TILEPROP INT_R_X25Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y109 NAME INT_R_X25Y109 TILEPROP INT_R_X25Y109 NUM_ARCS 3737 TILEPROP INT_R_X25Y109 NUM_SITES 1 TILEPROP INT_R_X25Y109 ROW 42 TILEPROP INT_R_X25Y109 SLR_REGION_ID 0 TILEPROP INT_R_X25Y109 TILE_PATTERN_IDX 5121 TILEPROP INT_R_X25Y109 TILE_TYPE INT_R TILEPROP INT_R_X25Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y109 TILE_X 16520 TILEPROP INT_R_X25Y109 TILE_Y 111672 TILEPROP INT_R_X25Y109 TYPE INT_R TILEPROP INT_R_X25Y110 CLASS tile TILEPROP INT_R_X25Y110 COLUMN 64 TILEPROP INT_R_X25Y110 DEVICE_ID 0 TILEPROP INT_R_X25Y110 FIRST_SITE_ID 4109 TILEPROP INT_R_X25Y110 GRID_POINT_X 64 TILEPROP INT_R_X25Y110 GRID_POINT_Y 41 TILEPROP INT_R_X25Y110 INDEX 4779 TILEPROP INT_R_X25Y110 INT_TILE_X 25 TILEPROP INT_R_X25Y110 INT_TILE_Y 39 TILEPROP INT_R_X25Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y110 IS_DCM_TILE 0 TILEPROP INT_R_X25Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y110 NAME INT_R_X25Y110 TILEPROP INT_R_X25Y110 NUM_ARCS 3737 TILEPROP INT_R_X25Y110 NUM_SITES 1 TILEPROP INT_R_X25Y110 ROW 41 TILEPROP INT_R_X25Y110 SLR_REGION_ID 0 TILEPROP INT_R_X25Y110 TILE_PATTERN_IDX 5084 TILEPROP INT_R_X25Y110 TILE_TYPE INT_R TILEPROP INT_R_X25Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y110 TILE_X 16520 TILEPROP INT_R_X25Y110 TILE_Y 114872 TILEPROP INT_R_X25Y110 TYPE INT_R TILEPROP INT_R_X25Y111 CLASS tile TILEPROP INT_R_X25Y111 COLUMN 64 TILEPROP INT_R_X25Y111 DEVICE_ID 0 TILEPROP INT_R_X25Y111 FIRST_SITE_ID 4015 TILEPROP INT_R_X25Y111 GRID_POINT_X 64 TILEPROP INT_R_X25Y111 GRID_POINT_Y 40 TILEPROP INT_R_X25Y111 INDEX 4664 TILEPROP INT_R_X25Y111 INT_TILE_X 25 TILEPROP INT_R_X25Y111 INT_TILE_Y 38 TILEPROP INT_R_X25Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y111 IS_DCM_TILE 0 TILEPROP INT_R_X25Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y111 NAME INT_R_X25Y111 TILEPROP INT_R_X25Y111 NUM_ARCS 3737 TILEPROP INT_R_X25Y111 NUM_SITES 1 TILEPROP INT_R_X25Y111 ROW 40 TILEPROP INT_R_X25Y111 SLR_REGION_ID 0 TILEPROP INT_R_X25Y111 TILE_PATTERN_IDX 5048 TILEPROP INT_R_X25Y111 TILE_TYPE INT_R TILEPROP INT_R_X25Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y111 TILE_X 16520 TILEPROP INT_R_X25Y111 TILE_Y 118072 TILEPROP INT_R_X25Y111 TYPE INT_R TILEPROP INT_R_X25Y112 CLASS tile TILEPROP INT_R_X25Y112 COLUMN 64 TILEPROP INT_R_X25Y112 DEVICE_ID 0 TILEPROP INT_R_X25Y112 FIRST_SITE_ID 3919 TILEPROP INT_R_X25Y112 GRID_POINT_X 64 TILEPROP INT_R_X25Y112 GRID_POINT_Y 39 TILEPROP INT_R_X25Y112 INDEX 4549 TILEPROP INT_R_X25Y112 INT_TILE_X 25 TILEPROP INT_R_X25Y112 INT_TILE_Y 37 TILEPROP INT_R_X25Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y112 IS_DCM_TILE 0 TILEPROP INT_R_X25Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y112 NAME INT_R_X25Y112 TILEPROP INT_R_X25Y112 NUM_ARCS 3737 TILEPROP INT_R_X25Y112 NUM_SITES 1 TILEPROP INT_R_X25Y112 ROW 39 TILEPROP INT_R_X25Y112 SLR_REGION_ID 0 TILEPROP INT_R_X25Y112 TILE_PATTERN_IDX 5011 TILEPROP INT_R_X25Y112 TILE_TYPE INT_R TILEPROP INT_R_X25Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y112 TILE_X 16520 TILEPROP INT_R_X25Y112 TILE_Y 121272 TILEPROP INT_R_X25Y112 TYPE INT_R TILEPROP INT_R_X25Y113 CLASS tile TILEPROP INT_R_X25Y113 COLUMN 64 TILEPROP INT_R_X25Y113 DEVICE_ID 0 TILEPROP INT_R_X25Y113 FIRST_SITE_ID 3799 TILEPROP INT_R_X25Y113 GRID_POINT_X 64 TILEPROP INT_R_X25Y113 GRID_POINT_Y 38 TILEPROP INT_R_X25Y113 INDEX 4434 TILEPROP INT_R_X25Y113 INT_TILE_X 25 TILEPROP INT_R_X25Y113 INT_TILE_Y 36 TILEPROP INT_R_X25Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y113 IS_DCM_TILE 0 TILEPROP INT_R_X25Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y113 NAME INT_R_X25Y113 TILEPROP INT_R_X25Y113 NUM_ARCS 3737 TILEPROP INT_R_X25Y113 NUM_SITES 1 TILEPROP INT_R_X25Y113 ROW 38 TILEPROP INT_R_X25Y113 SLR_REGION_ID 0 TILEPROP INT_R_X25Y113 TILE_PATTERN_IDX 4974 TILEPROP INT_R_X25Y113 TILE_TYPE INT_R TILEPROP INT_R_X25Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y113 TILE_X 16520 TILEPROP INT_R_X25Y113 TILE_Y 124472 TILEPROP INT_R_X25Y113 TYPE INT_R TILEPROP INT_R_X25Y114 CLASS tile TILEPROP INT_R_X25Y114 COLUMN 64 TILEPROP INT_R_X25Y114 DEVICE_ID 0 TILEPROP INT_R_X25Y114 FIRST_SITE_ID 3703 TILEPROP INT_R_X25Y114 GRID_POINT_X 64 TILEPROP INT_R_X25Y114 GRID_POINT_Y 37 TILEPROP INT_R_X25Y114 INDEX 4319 TILEPROP INT_R_X25Y114 INT_TILE_X 25 TILEPROP INT_R_X25Y114 INT_TILE_Y 35 TILEPROP INT_R_X25Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y114 IS_DCM_TILE 0 TILEPROP INT_R_X25Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y114 NAME INT_R_X25Y114 TILEPROP INT_R_X25Y114 NUM_ARCS 3737 TILEPROP INT_R_X25Y114 NUM_SITES 1 TILEPROP INT_R_X25Y114 ROW 37 TILEPROP INT_R_X25Y114 SLR_REGION_ID 0 TILEPROP INT_R_X25Y114 TILE_PATTERN_IDX 4937 TILEPROP INT_R_X25Y114 TILE_TYPE INT_R TILEPROP INT_R_X25Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y114 TILE_X 16520 TILEPROP INT_R_X25Y114 TILE_Y 127672 TILEPROP INT_R_X25Y114 TYPE INT_R TILEPROP INT_R_X25Y115 CLASS tile TILEPROP INT_R_X25Y115 COLUMN 64 TILEPROP INT_R_X25Y115 DEVICE_ID 0 TILEPROP INT_R_X25Y115 FIRST_SITE_ID 3612 TILEPROP INT_R_X25Y115 GRID_POINT_X 64 TILEPROP INT_R_X25Y115 GRID_POINT_Y 36 TILEPROP INT_R_X25Y115 INDEX 4204 TILEPROP INT_R_X25Y115 INT_TILE_X 25 TILEPROP INT_R_X25Y115 INT_TILE_Y 34 TILEPROP INT_R_X25Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y115 IS_DCM_TILE 0 TILEPROP INT_R_X25Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y115 NAME INT_R_X25Y115 TILEPROP INT_R_X25Y115 NUM_ARCS 3737 TILEPROP INT_R_X25Y115 NUM_SITES 1 TILEPROP INT_R_X25Y115 ROW 36 TILEPROP INT_R_X25Y115 SLR_REGION_ID 0 TILEPROP INT_R_X25Y115 TILE_PATTERN_IDX 4901 TILEPROP INT_R_X25Y115 TILE_TYPE INT_R TILEPROP INT_R_X25Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y115 TILE_X 16520 TILEPROP INT_R_X25Y115 TILE_Y 130872 TILEPROP INT_R_X25Y115 TYPE INT_R TILEPROP INT_R_X25Y116 CLASS tile TILEPROP INT_R_X25Y116 COLUMN 64 TILEPROP INT_R_X25Y116 DEVICE_ID 0 TILEPROP INT_R_X25Y116 FIRST_SITE_ID 3505 TILEPROP INT_R_X25Y116 GRID_POINT_X 64 TILEPROP INT_R_X25Y116 GRID_POINT_Y 35 TILEPROP INT_R_X25Y116 INDEX 4089 TILEPROP INT_R_X25Y116 INT_TILE_X 25 TILEPROP INT_R_X25Y116 INT_TILE_Y 33 TILEPROP INT_R_X25Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y116 IS_DCM_TILE 0 TILEPROP INT_R_X25Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y116 NAME INT_R_X25Y116 TILEPROP INT_R_X25Y116 NUM_ARCS 3737 TILEPROP INT_R_X25Y116 NUM_SITES 1 TILEPROP INT_R_X25Y116 ROW 35 TILEPROP INT_R_X25Y116 SLR_REGION_ID 0 TILEPROP INT_R_X25Y116 TILE_PATTERN_IDX 4864 TILEPROP INT_R_X25Y116 TILE_TYPE INT_R TILEPROP INT_R_X25Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y116 TILE_X 16520 TILEPROP INT_R_X25Y116 TILE_Y 134072 TILEPROP INT_R_X25Y116 TYPE INT_R TILEPROP INT_R_X25Y117 CLASS tile TILEPROP INT_R_X25Y117 COLUMN 64 TILEPROP INT_R_X25Y117 DEVICE_ID 0 TILEPROP INT_R_X25Y117 FIRST_SITE_ID 3417 TILEPROP INT_R_X25Y117 GRID_POINT_X 64 TILEPROP INT_R_X25Y117 GRID_POINT_Y 34 TILEPROP INT_R_X25Y117 INDEX 3974 TILEPROP INT_R_X25Y117 INT_TILE_X 25 TILEPROP INT_R_X25Y117 INT_TILE_Y 32 TILEPROP INT_R_X25Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y117 IS_DCM_TILE 0 TILEPROP INT_R_X25Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y117 NAME INT_R_X25Y117 TILEPROP INT_R_X25Y117 NUM_ARCS 3737 TILEPROP INT_R_X25Y117 NUM_SITES 1 TILEPROP INT_R_X25Y117 ROW 34 TILEPROP INT_R_X25Y117 SLR_REGION_ID 0 TILEPROP INT_R_X25Y117 TILE_PATTERN_IDX 4828 TILEPROP INT_R_X25Y117 TILE_TYPE INT_R TILEPROP INT_R_X25Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y117 TILE_X 16520 TILEPROP INT_R_X25Y117 TILE_Y 137272 TILEPROP INT_R_X25Y117 TYPE INT_R TILEPROP INT_R_X25Y118 CLASS tile TILEPROP INT_R_X25Y118 COLUMN 64 TILEPROP INT_R_X25Y118 DEVICE_ID 0 TILEPROP INT_R_X25Y118 FIRST_SITE_ID 3317 TILEPROP INT_R_X25Y118 GRID_POINT_X 64 TILEPROP INT_R_X25Y118 GRID_POINT_Y 33 TILEPROP INT_R_X25Y118 INDEX 3859 TILEPROP INT_R_X25Y118 INT_TILE_X 25 TILEPROP INT_R_X25Y118 INT_TILE_Y 31 TILEPROP INT_R_X25Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y118 IS_DCM_TILE 0 TILEPROP INT_R_X25Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y118 NAME INT_R_X25Y118 TILEPROP INT_R_X25Y118 NUM_ARCS 3737 TILEPROP INT_R_X25Y118 NUM_SITES 1 TILEPROP INT_R_X25Y118 ROW 33 TILEPROP INT_R_X25Y118 SLR_REGION_ID 0 TILEPROP INT_R_X25Y118 TILE_PATTERN_IDX 4790 TILEPROP INT_R_X25Y118 TILE_TYPE INT_R TILEPROP INT_R_X25Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y118 TILE_X 16520 TILEPROP INT_R_X25Y118 TILE_Y 140472 TILEPROP INT_R_X25Y118 TYPE INT_R TILEPROP INT_R_X25Y119 CLASS tile TILEPROP INT_R_X25Y119 COLUMN 64 TILEPROP INT_R_X25Y119 DEVICE_ID 0 TILEPROP INT_R_X25Y119 FIRST_SITE_ID 3229 TILEPROP INT_R_X25Y119 GRID_POINT_X 64 TILEPROP INT_R_X25Y119 GRID_POINT_Y 32 TILEPROP INT_R_X25Y119 INDEX 3744 TILEPROP INT_R_X25Y119 INT_TILE_X 25 TILEPROP INT_R_X25Y119 INT_TILE_Y 30 TILEPROP INT_R_X25Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y119 IS_DCM_TILE 0 TILEPROP INT_R_X25Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y119 NAME INT_R_X25Y119 TILEPROP INT_R_X25Y119 NUM_ARCS 3737 TILEPROP INT_R_X25Y119 NUM_SITES 1 TILEPROP INT_R_X25Y119 ROW 32 TILEPROP INT_R_X25Y119 SLR_REGION_ID 0 TILEPROP INT_R_X25Y119 TILE_PATTERN_IDX 4754 TILEPROP INT_R_X25Y119 TILE_TYPE INT_R TILEPROP INT_R_X25Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y119 TILE_X 16520 TILEPROP INT_R_X25Y119 TILE_Y 143672 TILEPROP INT_R_X25Y119 TYPE INT_R TILEPROP INT_R_X25Y120 CLASS tile TILEPROP INT_R_X25Y120 COLUMN 64 TILEPROP INT_R_X25Y120 DEVICE_ID 0 TILEPROP INT_R_X25Y120 FIRST_SITE_ID 3128 TILEPROP INT_R_X25Y120 GRID_POINT_X 64 TILEPROP INT_R_X25Y120 GRID_POINT_Y 31 TILEPROP INT_R_X25Y120 INDEX 3629 TILEPROP INT_R_X25Y120 INT_TILE_X 25 TILEPROP INT_R_X25Y120 INT_TILE_Y 29 TILEPROP INT_R_X25Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y120 IS_DCM_TILE 0 TILEPROP INT_R_X25Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y120 NAME INT_R_X25Y120 TILEPROP INT_R_X25Y120 NUM_ARCS 3737 TILEPROP INT_R_X25Y120 NUM_SITES 1 TILEPROP INT_R_X25Y120 ROW 31 TILEPROP INT_R_X25Y120 SLR_REGION_ID 0 TILEPROP INT_R_X25Y120 TILE_PATTERN_IDX 4717 TILEPROP INT_R_X25Y120 TILE_TYPE INT_R TILEPROP INT_R_X25Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y120 TILE_X 16520 TILEPROP INT_R_X25Y120 TILE_Y 146872 TILEPROP INT_R_X25Y120 TYPE INT_R TILEPROP INT_R_X25Y121 CLASS tile TILEPROP INT_R_X25Y121 COLUMN 64 TILEPROP INT_R_X25Y121 DEVICE_ID 0 TILEPROP INT_R_X25Y121 FIRST_SITE_ID 3034 TILEPROP INT_R_X25Y121 GRID_POINT_X 64 TILEPROP INT_R_X25Y121 GRID_POINT_Y 30 TILEPROP INT_R_X25Y121 INDEX 3514 TILEPROP INT_R_X25Y121 INT_TILE_X 25 TILEPROP INT_R_X25Y121 INT_TILE_Y 28 TILEPROP INT_R_X25Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y121 IS_DCM_TILE 0 TILEPROP INT_R_X25Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y121 NAME INT_R_X25Y121 TILEPROP INT_R_X25Y121 NUM_ARCS 3737 TILEPROP INT_R_X25Y121 NUM_SITES 1 TILEPROP INT_R_X25Y121 ROW 30 TILEPROP INT_R_X25Y121 SLR_REGION_ID 0 TILEPROP INT_R_X25Y121 TILE_PATTERN_IDX 4681 TILEPROP INT_R_X25Y121 TILE_TYPE INT_R TILEPROP INT_R_X25Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y121 TILE_X 16520 TILEPROP INT_R_X25Y121 TILE_Y 150072 TILEPROP INT_R_X25Y121 TYPE INT_R TILEPROP INT_R_X25Y122 CLASS tile TILEPROP INT_R_X25Y122 COLUMN 64 TILEPROP INT_R_X25Y122 DEVICE_ID 0 TILEPROP INT_R_X25Y122 FIRST_SITE_ID 2931 TILEPROP INT_R_X25Y122 GRID_POINT_X 64 TILEPROP INT_R_X25Y122 GRID_POINT_Y 29 TILEPROP INT_R_X25Y122 INDEX 3399 TILEPROP INT_R_X25Y122 INT_TILE_X 25 TILEPROP INT_R_X25Y122 INT_TILE_Y 27 TILEPROP INT_R_X25Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y122 IS_DCM_TILE 0 TILEPROP INT_R_X25Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y122 NAME INT_R_X25Y122 TILEPROP INT_R_X25Y122 NUM_ARCS 3737 TILEPROP INT_R_X25Y122 NUM_SITES 1 TILEPROP INT_R_X25Y122 ROW 29 TILEPROP INT_R_X25Y122 SLR_REGION_ID 0 TILEPROP INT_R_X25Y122 TILE_PATTERN_IDX 4643 TILEPROP INT_R_X25Y122 TILE_TYPE INT_R TILEPROP INT_R_X25Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y122 TILE_X 16520 TILEPROP INT_R_X25Y122 TILE_Y 153272 TILEPROP INT_R_X25Y122 TYPE INT_R TILEPROP INT_R_X25Y123 CLASS tile TILEPROP INT_R_X25Y123 COLUMN 64 TILEPROP INT_R_X25Y123 DEVICE_ID 0 TILEPROP INT_R_X25Y123 FIRST_SITE_ID 2843 TILEPROP INT_R_X25Y123 GRID_POINT_X 64 TILEPROP INT_R_X25Y123 GRID_POINT_Y 28 TILEPROP INT_R_X25Y123 INDEX 3284 TILEPROP INT_R_X25Y123 INT_TILE_X 25 TILEPROP INT_R_X25Y123 INT_TILE_Y 26 TILEPROP INT_R_X25Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y123 IS_DCM_TILE 0 TILEPROP INT_R_X25Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y123 NAME INT_R_X25Y123 TILEPROP INT_R_X25Y123 NUM_ARCS 3737 TILEPROP INT_R_X25Y123 NUM_SITES 1 TILEPROP INT_R_X25Y123 ROW 28 TILEPROP INT_R_X25Y123 SLR_REGION_ID 0 TILEPROP INT_R_X25Y123 TILE_PATTERN_IDX 4607 TILEPROP INT_R_X25Y123 TILE_TYPE INT_R TILEPROP INT_R_X25Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y123 TILE_X 16520 TILEPROP INT_R_X25Y123 TILE_Y 156472 TILEPROP INT_R_X25Y123 TYPE INT_R TILEPROP INT_R_X25Y124 CLASS tile TILEPROP INT_R_X25Y124 COLUMN 64 TILEPROP INT_R_X25Y124 DEVICE_ID 0 TILEPROP INT_R_X25Y124 FIRST_SITE_ID 2747 TILEPROP INT_R_X25Y124 GRID_POINT_X 64 TILEPROP INT_R_X25Y124 GRID_POINT_Y 27 TILEPROP INT_R_X25Y124 INDEX 3169 TILEPROP INT_R_X25Y124 INT_TILE_X 25 TILEPROP INT_R_X25Y124 INT_TILE_Y 25 TILEPROP INT_R_X25Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y124 IS_DCM_TILE 0 TILEPROP INT_R_X25Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y124 NAME INT_R_X25Y124 TILEPROP INT_R_X25Y124 NUM_ARCS 3737 TILEPROP INT_R_X25Y124 NUM_SITES 1 TILEPROP INT_R_X25Y124 ROW 27 TILEPROP INT_R_X25Y124 SLR_REGION_ID 0 TILEPROP INT_R_X25Y124 TILE_PATTERN_IDX 4570 TILEPROP INT_R_X25Y124 TILE_TYPE INT_R TILEPROP INT_R_X25Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y124 TILE_X 16520 TILEPROP INT_R_X25Y124 TILE_Y 159672 TILEPROP INT_R_X25Y124 TYPE INT_R TILEPROP INT_R_X25Y125 CLASS tile TILEPROP INT_R_X25Y125 COLUMN 64 TILEPROP INT_R_X25Y125 DEVICE_ID 0 TILEPROP INT_R_X25Y125 FIRST_SITE_ID 2574 TILEPROP INT_R_X25Y125 GRID_POINT_X 64 TILEPROP INT_R_X25Y125 GRID_POINT_Y 25 TILEPROP INT_R_X25Y125 INDEX 2939 TILEPROP INT_R_X25Y125 INT_TILE_X 25 TILEPROP INT_R_X25Y125 INT_TILE_Y 24 TILEPROP INT_R_X25Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y125 IS_DCM_TILE 0 TILEPROP INT_R_X25Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y125 NAME INT_R_X25Y125 TILEPROP INT_R_X25Y125 NUM_ARCS 3737 TILEPROP INT_R_X25Y125 NUM_SITES 1 TILEPROP INT_R_X25Y125 ROW 25 TILEPROP INT_R_X25Y125 SLR_REGION_ID 0 TILEPROP INT_R_X25Y125 TILE_PATTERN_IDX 4491 TILEPROP INT_R_X25Y125 TILE_TYPE INT_R TILEPROP INT_R_X25Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y125 TILE_X 16520 TILEPROP INT_R_X25Y125 TILE_Y 163896 TILEPROP INT_R_X25Y125 TYPE INT_R TILEPROP INT_R_X25Y126 CLASS tile TILEPROP INT_R_X25Y126 COLUMN 64 TILEPROP INT_R_X25Y126 DEVICE_ID 0 TILEPROP INT_R_X25Y126 FIRST_SITE_ID 2464 TILEPROP INT_R_X25Y126 GRID_POINT_X 64 TILEPROP INT_R_X25Y126 GRID_POINT_Y 24 TILEPROP INT_R_X25Y126 INDEX 2824 TILEPROP INT_R_X25Y126 INT_TILE_X 25 TILEPROP INT_R_X25Y126 INT_TILE_Y 23 TILEPROP INT_R_X25Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y126 IS_DCM_TILE 0 TILEPROP INT_R_X25Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y126 NAME INT_R_X25Y126 TILEPROP INT_R_X25Y126 NUM_ARCS 3737 TILEPROP INT_R_X25Y126 NUM_SITES 1 TILEPROP INT_R_X25Y126 ROW 24 TILEPROP INT_R_X25Y126 SLR_REGION_ID 0 TILEPROP INT_R_X25Y126 TILE_PATTERN_IDX 4452 TILEPROP INT_R_X25Y126 TILE_TYPE INT_R TILEPROP INT_R_X25Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y126 TILE_X 16520 TILEPROP INT_R_X25Y126 TILE_Y 167096 TILEPROP INT_R_X25Y126 TYPE INT_R TILEPROP INT_R_X25Y127 CLASS tile TILEPROP INT_R_X25Y127 COLUMN 64 TILEPROP INT_R_X25Y127 DEVICE_ID 0 TILEPROP INT_R_X25Y127 FIRST_SITE_ID 2368 TILEPROP INT_R_X25Y127 GRID_POINT_X 64 TILEPROP INT_R_X25Y127 GRID_POINT_Y 23 TILEPROP INT_R_X25Y127 INDEX 2709 TILEPROP INT_R_X25Y127 INT_TILE_X 25 TILEPROP INT_R_X25Y127 INT_TILE_Y 22 TILEPROP INT_R_X25Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y127 IS_DCM_TILE 0 TILEPROP INT_R_X25Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y127 NAME INT_R_X25Y127 TILEPROP INT_R_X25Y127 NUM_ARCS 3737 TILEPROP INT_R_X25Y127 NUM_SITES 1 TILEPROP INT_R_X25Y127 ROW 23 TILEPROP INT_R_X25Y127 SLR_REGION_ID 0 TILEPROP INT_R_X25Y127 TILE_PATTERN_IDX 4414 TILEPROP INT_R_X25Y127 TILE_TYPE INT_R TILEPROP INT_R_X25Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y127 TILE_X 16520 TILEPROP INT_R_X25Y127 TILE_Y 170296 TILEPROP INT_R_X25Y127 TYPE INT_R TILEPROP INT_R_X25Y128 CLASS tile TILEPROP INT_R_X25Y128 COLUMN 64 TILEPROP INT_R_X25Y128 DEVICE_ID 0 TILEPROP INT_R_X25Y128 FIRST_SITE_ID 2264 TILEPROP INT_R_X25Y128 GRID_POINT_X 64 TILEPROP INT_R_X25Y128 GRID_POINT_Y 22 TILEPROP INT_R_X25Y128 INDEX 2594 TILEPROP INT_R_X25Y128 INT_TILE_X 25 TILEPROP INT_R_X25Y128 INT_TILE_Y 21 TILEPROP INT_R_X25Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y128 IS_DCM_TILE 0 TILEPROP INT_R_X25Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y128 NAME INT_R_X25Y128 TILEPROP INT_R_X25Y128 NUM_ARCS 3737 TILEPROP INT_R_X25Y128 NUM_SITES 1 TILEPROP INT_R_X25Y128 ROW 22 TILEPROP INT_R_X25Y128 SLR_REGION_ID 0 TILEPROP INT_R_X25Y128 TILE_PATTERN_IDX 4375 TILEPROP INT_R_X25Y128 TILE_TYPE INT_R TILEPROP INT_R_X25Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y128 TILE_X 16520 TILEPROP INT_R_X25Y128 TILE_Y 173496 TILEPROP INT_R_X25Y128 TYPE INT_R TILEPROP INT_R_X25Y129 CLASS tile TILEPROP INT_R_X25Y129 COLUMN 64 TILEPROP INT_R_X25Y129 DEVICE_ID 0 TILEPROP INT_R_X25Y129 FIRST_SITE_ID 2168 TILEPROP INT_R_X25Y129 GRID_POINT_X 64 TILEPROP INT_R_X25Y129 GRID_POINT_Y 21 TILEPROP INT_R_X25Y129 INDEX 2479 TILEPROP INT_R_X25Y129 INT_TILE_X 25 TILEPROP INT_R_X25Y129 INT_TILE_Y 20 TILEPROP INT_R_X25Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y129 IS_DCM_TILE 0 TILEPROP INT_R_X25Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y129 NAME INT_R_X25Y129 TILEPROP INT_R_X25Y129 NUM_ARCS 3737 TILEPROP INT_R_X25Y129 NUM_SITES 1 TILEPROP INT_R_X25Y129 ROW 21 TILEPROP INT_R_X25Y129 SLR_REGION_ID 0 TILEPROP INT_R_X25Y129 TILE_PATTERN_IDX 4337 TILEPROP INT_R_X25Y129 TILE_TYPE INT_R TILEPROP INT_R_X25Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y129 TILE_X 16520 TILEPROP INT_R_X25Y129 TILE_Y 176696 TILEPROP INT_R_X25Y129 TYPE INT_R TILEPROP INT_R_X25Y130 CLASS tile TILEPROP INT_R_X25Y130 COLUMN 64 TILEPROP INT_R_X25Y130 DEVICE_ID 0 TILEPROP INT_R_X25Y130 FIRST_SITE_ID 2052 TILEPROP INT_R_X25Y130 GRID_POINT_X 64 TILEPROP INT_R_X25Y130 GRID_POINT_Y 20 TILEPROP INT_R_X25Y130 INDEX 2364 TILEPROP INT_R_X25Y130 INT_TILE_X 25 TILEPROP INT_R_X25Y130 INT_TILE_Y 19 TILEPROP INT_R_X25Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y130 IS_DCM_TILE 0 TILEPROP INT_R_X25Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y130 NAME INT_R_X25Y130 TILEPROP INT_R_X25Y130 NUM_ARCS 3737 TILEPROP INT_R_X25Y130 NUM_SITES 1 TILEPROP INT_R_X25Y130 ROW 20 TILEPROP INT_R_X25Y130 SLR_REGION_ID 0 TILEPROP INT_R_X25Y130 TILE_PATTERN_IDX 4297 TILEPROP INT_R_X25Y130 TILE_TYPE INT_R TILEPROP INT_R_X25Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y130 TILE_X 16520 TILEPROP INT_R_X25Y130 TILE_Y 179896 TILEPROP INT_R_X25Y130 TYPE INT_R TILEPROP INT_R_X25Y131 CLASS tile TILEPROP INT_R_X25Y131 COLUMN 64 TILEPROP INT_R_X25Y131 DEVICE_ID 0 TILEPROP INT_R_X25Y131 FIRST_SITE_ID 1950 TILEPROP INT_R_X25Y131 GRID_POINT_X 64 TILEPROP INT_R_X25Y131 GRID_POINT_Y 19 TILEPROP INT_R_X25Y131 INDEX 2249 TILEPROP INT_R_X25Y131 INT_TILE_X 25 TILEPROP INT_R_X25Y131 INT_TILE_Y 18 TILEPROP INT_R_X25Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y131 IS_DCM_TILE 0 TILEPROP INT_R_X25Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y131 NAME INT_R_X25Y131 TILEPROP INT_R_X25Y131 NUM_ARCS 3737 TILEPROP INT_R_X25Y131 NUM_SITES 1 TILEPROP INT_R_X25Y131 ROW 19 TILEPROP INT_R_X25Y131 SLR_REGION_ID 0 TILEPROP INT_R_X25Y131 TILE_PATTERN_IDX 4259 TILEPROP INT_R_X25Y131 TILE_TYPE INT_R TILEPROP INT_R_X25Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y131 TILE_X 16520 TILEPROP INT_R_X25Y131 TILE_Y 183096 TILEPROP INT_R_X25Y131 TYPE INT_R TILEPROP INT_R_X25Y132 CLASS tile TILEPROP INT_R_X25Y132 COLUMN 64 TILEPROP INT_R_X25Y132 DEVICE_ID 0 TILEPROP INT_R_X25Y132 FIRST_SITE_ID 1844 TILEPROP INT_R_X25Y132 GRID_POINT_X 64 TILEPROP INT_R_X25Y132 GRID_POINT_Y 18 TILEPROP INT_R_X25Y132 INDEX 2134 TILEPROP INT_R_X25Y132 INT_TILE_X 25 TILEPROP INT_R_X25Y132 INT_TILE_Y 17 TILEPROP INT_R_X25Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y132 IS_DCM_TILE 0 TILEPROP INT_R_X25Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y132 NAME INT_R_X25Y132 TILEPROP INT_R_X25Y132 NUM_ARCS 3737 TILEPROP INT_R_X25Y132 NUM_SITES 1 TILEPROP INT_R_X25Y132 ROW 18 TILEPROP INT_R_X25Y132 SLR_REGION_ID 0 TILEPROP INT_R_X25Y132 TILE_PATTERN_IDX 4220 TILEPROP INT_R_X25Y132 TILE_TYPE INT_R TILEPROP INT_R_X25Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y132 TILE_X 16520 TILEPROP INT_R_X25Y132 TILE_Y 186296 TILEPROP INT_R_X25Y132 TYPE INT_R TILEPROP INT_R_X25Y133 CLASS tile TILEPROP INT_R_X25Y133 COLUMN 64 TILEPROP INT_R_X25Y133 DEVICE_ID 0 TILEPROP INT_R_X25Y133 FIRST_SITE_ID 1743 TILEPROP INT_R_X25Y133 GRID_POINT_X 64 TILEPROP INT_R_X25Y133 GRID_POINT_Y 17 TILEPROP INT_R_X25Y133 INDEX 2019 TILEPROP INT_R_X25Y133 INT_TILE_X 25 TILEPROP INT_R_X25Y133 INT_TILE_Y 16 TILEPROP INT_R_X25Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y133 IS_DCM_TILE 0 TILEPROP INT_R_X25Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y133 NAME INT_R_X25Y133 TILEPROP INT_R_X25Y133 NUM_ARCS 3737 TILEPROP INT_R_X25Y133 NUM_SITES 1 TILEPROP INT_R_X25Y133 ROW 17 TILEPROP INT_R_X25Y133 SLR_REGION_ID 0 TILEPROP INT_R_X25Y133 TILE_PATTERN_IDX 4182 TILEPROP INT_R_X25Y133 TILE_TYPE INT_R TILEPROP INT_R_X25Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y133 TILE_X 16520 TILEPROP INT_R_X25Y133 TILE_Y 189496 TILEPROP INT_R_X25Y133 TYPE INT_R TILEPROP INT_R_X25Y134 CLASS tile TILEPROP INT_R_X25Y134 COLUMN 64 TILEPROP INT_R_X25Y134 DEVICE_ID 0 TILEPROP INT_R_X25Y134 FIRST_SITE_ID 1639 TILEPROP INT_R_X25Y134 GRID_POINT_X 64 TILEPROP INT_R_X25Y134 GRID_POINT_Y 16 TILEPROP INT_R_X25Y134 INDEX 1904 TILEPROP INT_R_X25Y134 INT_TILE_X 25 TILEPROP INT_R_X25Y134 INT_TILE_Y 15 TILEPROP INT_R_X25Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y134 IS_DCM_TILE 0 TILEPROP INT_R_X25Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y134 NAME INT_R_X25Y134 TILEPROP INT_R_X25Y134 NUM_ARCS 3737 TILEPROP INT_R_X25Y134 NUM_SITES 1 TILEPROP INT_R_X25Y134 ROW 16 TILEPROP INT_R_X25Y134 SLR_REGION_ID 0 TILEPROP INT_R_X25Y134 TILE_PATTERN_IDX 4143 TILEPROP INT_R_X25Y134 TILE_TYPE INT_R TILEPROP INT_R_X25Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y134 TILE_X 16520 TILEPROP INT_R_X25Y134 TILE_Y 192696 TILEPROP INT_R_X25Y134 TYPE INT_R TILEPROP INT_R_X25Y135 CLASS tile TILEPROP INT_R_X25Y135 COLUMN 64 TILEPROP INT_R_X25Y135 DEVICE_ID 0 TILEPROP INT_R_X25Y135 FIRST_SITE_ID 1537 TILEPROP INT_R_X25Y135 GRID_POINT_X 64 TILEPROP INT_R_X25Y135 GRID_POINT_Y 15 TILEPROP INT_R_X25Y135 INDEX 1789 TILEPROP INT_R_X25Y135 INT_TILE_X 25 TILEPROP INT_R_X25Y135 INT_TILE_Y 14 TILEPROP INT_R_X25Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y135 IS_DCM_TILE 0 TILEPROP INT_R_X25Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y135 NAME INT_R_X25Y135 TILEPROP INT_R_X25Y135 NUM_ARCS 3737 TILEPROP INT_R_X25Y135 NUM_SITES 1 TILEPROP INT_R_X25Y135 ROW 15 TILEPROP INT_R_X25Y135 SLR_REGION_ID 0 TILEPROP INT_R_X25Y135 TILE_PATTERN_IDX 4105 TILEPROP INT_R_X25Y135 TILE_TYPE INT_R TILEPROP INT_R_X25Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y135 TILE_X 16520 TILEPROP INT_R_X25Y135 TILE_Y 195896 TILEPROP INT_R_X25Y135 TYPE INT_R TILEPROP INT_R_X25Y136 CLASS tile TILEPROP INT_R_X25Y136 COLUMN 64 TILEPROP INT_R_X25Y136 DEVICE_ID 0 TILEPROP INT_R_X25Y136 FIRST_SITE_ID 1427 TILEPROP INT_R_X25Y136 GRID_POINT_X 64 TILEPROP INT_R_X25Y136 GRID_POINT_Y 14 TILEPROP INT_R_X25Y136 INDEX 1674 TILEPROP INT_R_X25Y136 INT_TILE_X 25 TILEPROP INT_R_X25Y136 INT_TILE_Y 13 TILEPROP INT_R_X25Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y136 IS_DCM_TILE 0 TILEPROP INT_R_X25Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y136 NAME INT_R_X25Y136 TILEPROP INT_R_X25Y136 NUM_ARCS 3737 TILEPROP INT_R_X25Y136 NUM_SITES 1 TILEPROP INT_R_X25Y136 ROW 14 TILEPROP INT_R_X25Y136 SLR_REGION_ID 0 TILEPROP INT_R_X25Y136 TILE_PATTERN_IDX 4066 TILEPROP INT_R_X25Y136 TILE_TYPE INT_R TILEPROP INT_R_X25Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y136 TILE_X 16520 TILEPROP INT_R_X25Y136 TILE_Y 199096 TILEPROP INT_R_X25Y136 TYPE INT_R TILEPROP INT_R_X25Y137 CLASS tile TILEPROP INT_R_X25Y137 COLUMN 64 TILEPROP INT_R_X25Y137 DEVICE_ID 0 TILEPROP INT_R_X25Y137 FIRST_SITE_ID 1299 TILEPROP INT_R_X25Y137 GRID_POINT_X 64 TILEPROP INT_R_X25Y137 GRID_POINT_Y 13 TILEPROP INT_R_X25Y137 INDEX 1559 TILEPROP INT_R_X25Y137 INT_TILE_X 25 TILEPROP INT_R_X25Y137 INT_TILE_Y 12 TILEPROP INT_R_X25Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y137 IS_DCM_TILE 0 TILEPROP INT_R_X25Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y137 NAME INT_R_X25Y137 TILEPROP INT_R_X25Y137 NUM_ARCS 3737 TILEPROP INT_R_X25Y137 NUM_SITES 1 TILEPROP INT_R_X25Y137 ROW 13 TILEPROP INT_R_X25Y137 SLR_REGION_ID 0 TILEPROP INT_R_X25Y137 TILE_PATTERN_IDX 4028 TILEPROP INT_R_X25Y137 TILE_TYPE INT_R TILEPROP INT_R_X25Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y137 TILE_X 16520 TILEPROP INT_R_X25Y137 TILE_Y 202296 TILEPROP INT_R_X25Y137 TYPE INT_R TILEPROP INT_R_X25Y138 CLASS tile TILEPROP INT_R_X25Y138 COLUMN 64 TILEPROP INT_R_X25Y138 DEVICE_ID 0 TILEPROP INT_R_X25Y138 FIRST_SITE_ID 1195 TILEPROP INT_R_X25Y138 GRID_POINT_X 64 TILEPROP INT_R_X25Y138 GRID_POINT_Y 12 TILEPROP INT_R_X25Y138 INDEX 1444 TILEPROP INT_R_X25Y138 INT_TILE_X 25 TILEPROP INT_R_X25Y138 INT_TILE_Y 11 TILEPROP INT_R_X25Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y138 IS_DCM_TILE 0 TILEPROP INT_R_X25Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y138 NAME INT_R_X25Y138 TILEPROP INT_R_X25Y138 NUM_ARCS 3737 TILEPROP INT_R_X25Y138 NUM_SITES 1 TILEPROP INT_R_X25Y138 ROW 12 TILEPROP INT_R_X25Y138 SLR_REGION_ID 0 TILEPROP INT_R_X25Y138 TILE_PATTERN_IDX 3989 TILEPROP INT_R_X25Y138 TILE_TYPE INT_R TILEPROP INT_R_X25Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y138 TILE_X 16520 TILEPROP INT_R_X25Y138 TILE_Y 205496 TILEPROP INT_R_X25Y138 TYPE INT_R TILEPROP INT_R_X25Y139 CLASS tile TILEPROP INT_R_X25Y139 COLUMN 64 TILEPROP INT_R_X25Y139 DEVICE_ID 0 TILEPROP INT_R_X25Y139 FIRST_SITE_ID 1099 TILEPROP INT_R_X25Y139 GRID_POINT_X 64 TILEPROP INT_R_X25Y139 GRID_POINT_Y 11 TILEPROP INT_R_X25Y139 INDEX 1329 TILEPROP INT_R_X25Y139 INT_TILE_X 25 TILEPROP INT_R_X25Y139 INT_TILE_Y 10 TILEPROP INT_R_X25Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y139 IS_DCM_TILE 0 TILEPROP INT_R_X25Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y139 NAME INT_R_X25Y139 TILEPROP INT_R_X25Y139 NUM_ARCS 3737 TILEPROP INT_R_X25Y139 NUM_SITES 1 TILEPROP INT_R_X25Y139 ROW 11 TILEPROP INT_R_X25Y139 SLR_REGION_ID 0 TILEPROP INT_R_X25Y139 TILE_PATTERN_IDX 3951 TILEPROP INT_R_X25Y139 TILE_TYPE INT_R TILEPROP INT_R_X25Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y139 TILE_X 16520 TILEPROP INT_R_X25Y139 TILE_Y 208696 TILEPROP INT_R_X25Y139 TYPE INT_R TILEPROP INT_R_X25Y140 CLASS tile TILEPROP INT_R_X25Y140 COLUMN 64 TILEPROP INT_R_X25Y140 DEVICE_ID 0 TILEPROP INT_R_X25Y140 FIRST_SITE_ID 989 TILEPROP INT_R_X25Y140 GRID_POINT_X 64 TILEPROP INT_R_X25Y140 GRID_POINT_Y 10 TILEPROP INT_R_X25Y140 INDEX 1214 TILEPROP INT_R_X25Y140 INT_TILE_X 25 TILEPROP INT_R_X25Y140 INT_TILE_Y 9 TILEPROP INT_R_X25Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y140 IS_DCM_TILE 0 TILEPROP INT_R_X25Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y140 NAME INT_R_X25Y140 TILEPROP INT_R_X25Y140 NUM_ARCS 3737 TILEPROP INT_R_X25Y140 NUM_SITES 1 TILEPROP INT_R_X25Y140 ROW 10 TILEPROP INT_R_X25Y140 SLR_REGION_ID 0 TILEPROP INT_R_X25Y140 TILE_PATTERN_IDX 3912 TILEPROP INT_R_X25Y140 TILE_TYPE INT_R TILEPROP INT_R_X25Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y140 TILE_X 16520 TILEPROP INT_R_X25Y140 TILE_Y 211896 TILEPROP INT_R_X25Y140 TYPE INT_R TILEPROP INT_R_X25Y141 CLASS tile TILEPROP INT_R_X25Y141 COLUMN 64 TILEPROP INT_R_X25Y141 DEVICE_ID 0 TILEPROP INT_R_X25Y141 FIRST_SITE_ID 887 TILEPROP INT_R_X25Y141 GRID_POINT_X 64 TILEPROP INT_R_X25Y141 GRID_POINT_Y 9 TILEPROP INT_R_X25Y141 INDEX 1099 TILEPROP INT_R_X25Y141 INT_TILE_X 25 TILEPROP INT_R_X25Y141 INT_TILE_Y 8 TILEPROP INT_R_X25Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y141 IS_DCM_TILE 0 TILEPROP INT_R_X25Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y141 NAME INT_R_X25Y141 TILEPROP INT_R_X25Y141 NUM_ARCS 3737 TILEPROP INT_R_X25Y141 NUM_SITES 1 TILEPROP INT_R_X25Y141 ROW 9 TILEPROP INT_R_X25Y141 SLR_REGION_ID 0 TILEPROP INT_R_X25Y141 TILE_PATTERN_IDX 3874 TILEPROP INT_R_X25Y141 TILE_TYPE INT_R TILEPROP INT_R_X25Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y141 TILE_X 16520 TILEPROP INT_R_X25Y141 TILE_Y 215096 TILEPROP INT_R_X25Y141 TYPE INT_R TILEPROP INT_R_X25Y142 CLASS tile TILEPROP INT_R_X25Y142 COLUMN 64 TILEPROP INT_R_X25Y142 DEVICE_ID 0 TILEPROP INT_R_X25Y142 FIRST_SITE_ID 783 TILEPROP INT_R_X25Y142 GRID_POINT_X 64 TILEPROP INT_R_X25Y142 GRID_POINT_Y 8 TILEPROP INT_R_X25Y142 INDEX 984 TILEPROP INT_R_X25Y142 INT_TILE_X 25 TILEPROP INT_R_X25Y142 INT_TILE_Y 7 TILEPROP INT_R_X25Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y142 IS_DCM_TILE 0 TILEPROP INT_R_X25Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y142 NAME INT_R_X25Y142 TILEPROP INT_R_X25Y142 NUM_ARCS 3737 TILEPROP INT_R_X25Y142 NUM_SITES 1 TILEPROP INT_R_X25Y142 ROW 8 TILEPROP INT_R_X25Y142 SLR_REGION_ID 0 TILEPROP INT_R_X25Y142 TILE_PATTERN_IDX 3835 TILEPROP INT_R_X25Y142 TILE_TYPE INT_R TILEPROP INT_R_X25Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y142 TILE_X 16520 TILEPROP INT_R_X25Y142 TILE_Y 218296 TILEPROP INT_R_X25Y142 TYPE INT_R TILEPROP INT_R_X25Y143 CLASS tile TILEPROP INT_R_X25Y143 COLUMN 64 TILEPROP INT_R_X25Y143 DEVICE_ID 0 TILEPROP INT_R_X25Y143 FIRST_SITE_ID 686 TILEPROP INT_R_X25Y143 GRID_POINT_X 64 TILEPROP INT_R_X25Y143 GRID_POINT_Y 7 TILEPROP INT_R_X25Y143 INDEX 869 TILEPROP INT_R_X25Y143 INT_TILE_X 25 TILEPROP INT_R_X25Y143 INT_TILE_Y 6 TILEPROP INT_R_X25Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y143 IS_DCM_TILE 0 TILEPROP INT_R_X25Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y143 NAME INT_R_X25Y143 TILEPROP INT_R_X25Y143 NUM_ARCS 3737 TILEPROP INT_R_X25Y143 NUM_SITES 1 TILEPROP INT_R_X25Y143 ROW 7 TILEPROP INT_R_X25Y143 SLR_REGION_ID 0 TILEPROP INT_R_X25Y143 TILE_PATTERN_IDX 3797 TILEPROP INT_R_X25Y143 TILE_TYPE INT_R TILEPROP INT_R_X25Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y143 TILE_X 16520 TILEPROP INT_R_X25Y143 TILE_Y 221496 TILEPROP INT_R_X25Y143 TYPE INT_R TILEPROP INT_R_X25Y144 CLASS tile TILEPROP INT_R_X25Y144 COLUMN 64 TILEPROP INT_R_X25Y144 DEVICE_ID 0 TILEPROP INT_R_X25Y144 FIRST_SITE_ID 575 TILEPROP INT_R_X25Y144 GRID_POINT_X 64 TILEPROP INT_R_X25Y144 GRID_POINT_Y 6 TILEPROP INT_R_X25Y144 INDEX 754 TILEPROP INT_R_X25Y144 INT_TILE_X 25 TILEPROP INT_R_X25Y144 INT_TILE_Y 5 TILEPROP INT_R_X25Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y144 IS_DCM_TILE 0 TILEPROP INT_R_X25Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y144 NAME INT_R_X25Y144 TILEPROP INT_R_X25Y144 NUM_ARCS 3737 TILEPROP INT_R_X25Y144 NUM_SITES 1 TILEPROP INT_R_X25Y144 ROW 6 TILEPROP INT_R_X25Y144 SLR_REGION_ID 0 TILEPROP INT_R_X25Y144 TILE_PATTERN_IDX 3758 TILEPROP INT_R_X25Y144 TILE_TYPE INT_R TILEPROP INT_R_X25Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y144 TILE_X 16520 TILEPROP INT_R_X25Y144 TILE_Y 224696 TILEPROP INT_R_X25Y144 TYPE INT_R TILEPROP INT_R_X25Y145 CLASS tile TILEPROP INT_R_X25Y145 COLUMN 64 TILEPROP INT_R_X25Y145 DEVICE_ID 0 TILEPROP INT_R_X25Y145 FIRST_SITE_ID 473 TILEPROP INT_R_X25Y145 GRID_POINT_X 64 TILEPROP INT_R_X25Y145 GRID_POINT_Y 5 TILEPROP INT_R_X25Y145 INDEX 639 TILEPROP INT_R_X25Y145 INT_TILE_X 25 TILEPROP INT_R_X25Y145 INT_TILE_Y 4 TILEPROP INT_R_X25Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y145 IS_DCM_TILE 0 TILEPROP INT_R_X25Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y145 NAME INT_R_X25Y145 TILEPROP INT_R_X25Y145 NUM_ARCS 3737 TILEPROP INT_R_X25Y145 NUM_SITES 1 TILEPROP INT_R_X25Y145 ROW 5 TILEPROP INT_R_X25Y145 SLR_REGION_ID 0 TILEPROP INT_R_X25Y145 TILE_PATTERN_IDX 3720 TILEPROP INT_R_X25Y145 TILE_TYPE INT_R TILEPROP INT_R_X25Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y145 TILE_X 16520 TILEPROP INT_R_X25Y145 TILE_Y 227896 TILEPROP INT_R_X25Y145 TYPE INT_R TILEPROP INT_R_X25Y146 CLASS tile TILEPROP INT_R_X25Y146 COLUMN 64 TILEPROP INT_R_X25Y146 DEVICE_ID 0 TILEPROP INT_R_X25Y146 FIRST_SITE_ID 363 TILEPROP INT_R_X25Y146 GRID_POINT_X 64 TILEPROP INT_R_X25Y146 GRID_POINT_Y 4 TILEPROP INT_R_X25Y146 INDEX 524 TILEPROP INT_R_X25Y146 INT_TILE_X 25 TILEPROP INT_R_X25Y146 INT_TILE_Y 3 TILEPROP INT_R_X25Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y146 IS_DCM_TILE 0 TILEPROP INT_R_X25Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y146 NAME INT_R_X25Y146 TILEPROP INT_R_X25Y146 NUM_ARCS 3737 TILEPROP INT_R_X25Y146 NUM_SITES 1 TILEPROP INT_R_X25Y146 ROW 4 TILEPROP INT_R_X25Y146 SLR_REGION_ID 0 TILEPROP INT_R_X25Y146 TILE_PATTERN_IDX 3681 TILEPROP INT_R_X25Y146 TILE_TYPE INT_R TILEPROP INT_R_X25Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y146 TILE_X 16520 TILEPROP INT_R_X25Y146 TILE_Y 231096 TILEPROP INT_R_X25Y146 TYPE INT_R TILEPROP INT_R_X25Y147 CLASS tile TILEPROP INT_R_X25Y147 COLUMN 64 TILEPROP INT_R_X25Y147 DEVICE_ID 0 TILEPROP INT_R_X25Y147 FIRST_SITE_ID 267 TILEPROP INT_R_X25Y147 GRID_POINT_X 64 TILEPROP INT_R_X25Y147 GRID_POINT_Y 3 TILEPROP INT_R_X25Y147 INDEX 409 TILEPROP INT_R_X25Y147 INT_TILE_X 25 TILEPROP INT_R_X25Y147 INT_TILE_Y 2 TILEPROP INT_R_X25Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y147 IS_DCM_TILE 0 TILEPROP INT_R_X25Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y147 NAME INT_R_X25Y147 TILEPROP INT_R_X25Y147 NUM_ARCS 3737 TILEPROP INT_R_X25Y147 NUM_SITES 1 TILEPROP INT_R_X25Y147 ROW 3 TILEPROP INT_R_X25Y147 SLR_REGION_ID 0 TILEPROP INT_R_X25Y147 TILE_PATTERN_IDX 3643 TILEPROP INT_R_X25Y147 TILE_TYPE INT_R TILEPROP INT_R_X25Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y147 TILE_X 16520 TILEPROP INT_R_X25Y147 TILE_Y 234296 TILEPROP INT_R_X25Y147 TYPE INT_R TILEPROP INT_R_X25Y148 CLASS tile TILEPROP INT_R_X25Y148 COLUMN 64 TILEPROP INT_R_X25Y148 DEVICE_ID 0 TILEPROP INT_R_X25Y148 FIRST_SITE_ID 163 TILEPROP INT_R_X25Y148 GRID_POINT_X 64 TILEPROP INT_R_X25Y148 GRID_POINT_Y 2 TILEPROP INT_R_X25Y148 INDEX 294 TILEPROP INT_R_X25Y148 INT_TILE_X 25 TILEPROP INT_R_X25Y148 INT_TILE_Y 1 TILEPROP INT_R_X25Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y148 IS_DCM_TILE 0 TILEPROP INT_R_X25Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y148 NAME INT_R_X25Y148 TILEPROP INT_R_X25Y148 NUM_ARCS 3737 TILEPROP INT_R_X25Y148 NUM_SITES 1 TILEPROP INT_R_X25Y148 ROW 2 TILEPROP INT_R_X25Y148 SLR_REGION_ID 0 TILEPROP INT_R_X25Y148 TILE_PATTERN_IDX 3604 TILEPROP INT_R_X25Y148 TILE_TYPE INT_R TILEPROP INT_R_X25Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y148 TILE_X 16520 TILEPROP INT_R_X25Y148 TILE_Y 237496 TILEPROP INT_R_X25Y148 TYPE INT_R TILEPROP INT_R_X25Y149 CLASS tile TILEPROP INT_R_X25Y149 COLUMN 64 TILEPROP INT_R_X25Y149 DEVICE_ID 0 TILEPROP INT_R_X25Y149 FIRST_SITE_ID 67 TILEPROP INT_R_X25Y149 GRID_POINT_X 64 TILEPROP INT_R_X25Y149 GRID_POINT_Y 1 TILEPROP INT_R_X25Y149 INDEX 179 TILEPROP INT_R_X25Y149 INT_TILE_X 25 TILEPROP INT_R_X25Y149 INT_TILE_Y 0 TILEPROP INT_R_X25Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X25Y149 IS_DCM_TILE 0 TILEPROP INT_R_X25Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X25Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X25Y149 NAME INT_R_X25Y149 TILEPROP INT_R_X25Y149 NUM_ARCS 3737 TILEPROP INT_R_X25Y149 NUM_SITES 1 TILEPROP INT_R_X25Y149 ROW 1 TILEPROP INT_R_X25Y149 SLR_REGION_ID 0 TILEPROP INT_R_X25Y149 TILE_PATTERN_IDX 3566 TILEPROP INT_R_X25Y149 TILE_TYPE INT_R TILEPROP INT_R_X25Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X25Y149 TILE_X 16520 TILEPROP INT_R_X25Y149 TILE_Y 240696 TILEPROP INT_R_X25Y149 TYPE INT_R TILEPROP INT_R_X27Y0 CLASS tile TILEPROP INT_R_X27Y0 COLUMN 69 TILEPROP INT_R_X27Y0 DEVICE_ID 0 TILEPROP INT_R_X27Y0 FIRST_SITE_ID 15763 TILEPROP INT_R_X27Y0 GRID_POINT_X 69 TILEPROP INT_R_X27Y0 GRID_POINT_Y 155 TILEPROP INT_R_X27Y0 INDEX 17894 TILEPROP INT_R_X27Y0 INT_TILE_X 27 TILEPROP INT_R_X27Y0 INT_TILE_Y 149 TILEPROP INT_R_X27Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y0 IS_DCM_TILE 0 TILEPROP INT_R_X27Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y0 NAME INT_R_X27Y0 TILEPROP INT_R_X27Y0 NUM_ARCS 3737 TILEPROP INT_R_X27Y0 NUM_SITES 1 TILEPROP INT_R_X27Y0 ROW 155 TILEPROP INT_R_X27Y0 SLR_REGION_ID 0 TILEPROP INT_R_X27Y0 TILE_PATTERN_IDX 8754 TILEPROP INT_R_X27Y0 TILE_TYPE INT_R TILEPROP INT_R_X27Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y0 TILE_X 24888 TILEPROP INT_R_X27Y0 TILE_Y -239672 TILEPROP INT_R_X27Y0 TYPE INT_R TILEPROP INT_R_X27Y1 CLASS tile TILEPROP INT_R_X27Y1 COLUMN 69 TILEPROP INT_R_X27Y1 DEVICE_ID 0 TILEPROP INT_R_X27Y1 FIRST_SITE_ID 15653 TILEPROP INT_R_X27Y1 GRID_POINT_X 69 TILEPROP INT_R_X27Y1 GRID_POINT_Y 154 TILEPROP INT_R_X27Y1 INDEX 17779 TILEPROP INT_R_X27Y1 INT_TILE_X 27 TILEPROP INT_R_X27Y1 INT_TILE_Y 148 TILEPROP INT_R_X27Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y1 IS_DCM_TILE 0 TILEPROP INT_R_X27Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y1 NAME INT_R_X27Y1 TILEPROP INT_R_X27Y1 NUM_ARCS 3737 TILEPROP INT_R_X27Y1 NUM_SITES 1 TILEPROP INT_R_X27Y1 ROW 154 TILEPROP INT_R_X27Y1 SLR_REGION_ID 0 TILEPROP INT_R_X27Y1 TILE_PATTERN_IDX 8723 TILEPROP INT_R_X27Y1 TILE_TYPE INT_R TILEPROP INT_R_X27Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y1 TILE_X 24888 TILEPROP INT_R_X27Y1 TILE_Y -236472 TILEPROP INT_R_X27Y1 TYPE INT_R TILEPROP INT_R_X27Y2 CLASS tile TILEPROP INT_R_X27Y2 COLUMN 69 TILEPROP INT_R_X27Y2 DEVICE_ID 0 TILEPROP INT_R_X27Y2 FIRST_SITE_ID 15552 TILEPROP INT_R_X27Y2 GRID_POINT_X 69 TILEPROP INT_R_X27Y2 GRID_POINT_Y 153 TILEPROP INT_R_X27Y2 INDEX 17664 TILEPROP INT_R_X27Y2 INT_TILE_X 27 TILEPROP INT_R_X27Y2 INT_TILE_Y 147 TILEPROP INT_R_X27Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y2 IS_DCM_TILE 0 TILEPROP INT_R_X27Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y2 NAME INT_R_X27Y2 TILEPROP INT_R_X27Y2 NUM_ARCS 3737 TILEPROP INT_R_X27Y2 NUM_SITES 1 TILEPROP INT_R_X27Y2 ROW 153 TILEPROP INT_R_X27Y2 SLR_REGION_ID 0 TILEPROP INT_R_X27Y2 TILE_PATTERN_IDX 8693 TILEPROP INT_R_X27Y2 TILE_TYPE INT_R TILEPROP INT_R_X27Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y2 TILE_X 24888 TILEPROP INT_R_X27Y2 TILE_Y -233272 TILEPROP INT_R_X27Y2 TYPE INT_R TILEPROP INT_R_X27Y3 CLASS tile TILEPROP INT_R_X27Y3 COLUMN 69 TILEPROP INT_R_X27Y3 DEVICE_ID 0 TILEPROP INT_R_X27Y3 FIRST_SITE_ID 15452 TILEPROP INT_R_X27Y3 GRID_POINT_X 69 TILEPROP INT_R_X27Y3 GRID_POINT_Y 152 TILEPROP INT_R_X27Y3 INDEX 17549 TILEPROP INT_R_X27Y3 INT_TILE_X 27 TILEPROP INT_R_X27Y3 INT_TILE_Y 146 TILEPROP INT_R_X27Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y3 IS_DCM_TILE 0 TILEPROP INT_R_X27Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y3 NAME INT_R_X27Y3 TILEPROP INT_R_X27Y3 NUM_ARCS 3737 TILEPROP INT_R_X27Y3 NUM_SITES 1 TILEPROP INT_R_X27Y3 ROW 152 TILEPROP INT_R_X27Y3 SLR_REGION_ID 0 TILEPROP INT_R_X27Y3 TILE_PATTERN_IDX 8662 TILEPROP INT_R_X27Y3 TILE_TYPE INT_R TILEPROP INT_R_X27Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y3 TILE_X 24888 TILEPROP INT_R_X27Y3 TILE_Y -230072 TILEPROP INT_R_X27Y3 TYPE INT_R TILEPROP INT_R_X27Y4 CLASS tile TILEPROP INT_R_X27Y4 COLUMN 69 TILEPROP INT_R_X27Y4 DEVICE_ID 0 TILEPROP INT_R_X27Y4 FIRST_SITE_ID 15352 TILEPROP INT_R_X27Y4 GRID_POINT_X 69 TILEPROP INT_R_X27Y4 GRID_POINT_Y 151 TILEPROP INT_R_X27Y4 INDEX 17434 TILEPROP INT_R_X27Y4 INT_TILE_X 27 TILEPROP INT_R_X27Y4 INT_TILE_Y 145 TILEPROP INT_R_X27Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y4 IS_DCM_TILE 0 TILEPROP INT_R_X27Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y4 NAME INT_R_X27Y4 TILEPROP INT_R_X27Y4 NUM_ARCS 3737 TILEPROP INT_R_X27Y4 NUM_SITES 1 TILEPROP INT_R_X27Y4 ROW 151 TILEPROP INT_R_X27Y4 SLR_REGION_ID 0 TILEPROP INT_R_X27Y4 TILE_PATTERN_IDX 8632 TILEPROP INT_R_X27Y4 TILE_TYPE INT_R TILEPROP INT_R_X27Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y4 TILE_X 24888 TILEPROP INT_R_X27Y4 TILE_Y -226872 TILEPROP INT_R_X27Y4 TYPE INT_R TILEPROP INT_R_X27Y5 CLASS tile TILEPROP INT_R_X27Y5 COLUMN 69 TILEPROP INT_R_X27Y5 DEVICE_ID 0 TILEPROP INT_R_X27Y5 FIRST_SITE_ID 15243 TILEPROP INT_R_X27Y5 GRID_POINT_X 69 TILEPROP INT_R_X27Y5 GRID_POINT_Y 150 TILEPROP INT_R_X27Y5 INDEX 17319 TILEPROP INT_R_X27Y5 INT_TILE_X 27 TILEPROP INT_R_X27Y5 INT_TILE_Y 144 TILEPROP INT_R_X27Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y5 IS_DCM_TILE 0 TILEPROP INT_R_X27Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y5 NAME INT_R_X27Y5 TILEPROP INT_R_X27Y5 NUM_ARCS 3737 TILEPROP INT_R_X27Y5 NUM_SITES 1 TILEPROP INT_R_X27Y5 ROW 150 TILEPROP INT_R_X27Y5 SLR_REGION_ID 0 TILEPROP INT_R_X27Y5 TILE_PATTERN_IDX 8601 TILEPROP INT_R_X27Y5 TILE_TYPE INT_R TILEPROP INT_R_X27Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y5 TILE_X 24888 TILEPROP INT_R_X27Y5 TILE_Y -223672 TILEPROP INT_R_X27Y5 TYPE INT_R TILEPROP INT_R_X27Y6 CLASS tile TILEPROP INT_R_X27Y6 COLUMN 69 TILEPROP INT_R_X27Y6 DEVICE_ID 0 TILEPROP INT_R_X27Y6 FIRST_SITE_ID 15137 TILEPROP INT_R_X27Y6 GRID_POINT_X 69 TILEPROP INT_R_X27Y6 GRID_POINT_Y 149 TILEPROP INT_R_X27Y6 INDEX 17204 TILEPROP INT_R_X27Y6 INT_TILE_X 27 TILEPROP INT_R_X27Y6 INT_TILE_Y 143 TILEPROP INT_R_X27Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y6 IS_DCM_TILE 0 TILEPROP INT_R_X27Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y6 NAME INT_R_X27Y6 TILEPROP INT_R_X27Y6 NUM_ARCS 3737 TILEPROP INT_R_X27Y6 NUM_SITES 1 TILEPROP INT_R_X27Y6 ROW 149 TILEPROP INT_R_X27Y6 SLR_REGION_ID 0 TILEPROP INT_R_X27Y6 TILE_PATTERN_IDX 8571 TILEPROP INT_R_X27Y6 TILE_TYPE INT_R TILEPROP INT_R_X27Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y6 TILE_X 24888 TILEPROP INT_R_X27Y6 TILE_Y -220472 TILEPROP INT_R_X27Y6 TYPE INT_R TILEPROP INT_R_X27Y7 CLASS tile TILEPROP INT_R_X27Y7 COLUMN 69 TILEPROP INT_R_X27Y7 DEVICE_ID 0 TILEPROP INT_R_X27Y7 FIRST_SITE_ID 15035 TILEPROP INT_R_X27Y7 GRID_POINT_X 69 TILEPROP INT_R_X27Y7 GRID_POINT_Y 148 TILEPROP INT_R_X27Y7 INDEX 17089 TILEPROP INT_R_X27Y7 INT_TILE_X 27 TILEPROP INT_R_X27Y7 INT_TILE_Y 142 TILEPROP INT_R_X27Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y7 IS_DCM_TILE 0 TILEPROP INT_R_X27Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y7 NAME INT_R_X27Y7 TILEPROP INT_R_X27Y7 NUM_ARCS 3737 TILEPROP INT_R_X27Y7 NUM_SITES 1 TILEPROP INT_R_X27Y7 ROW 148 TILEPROP INT_R_X27Y7 SLR_REGION_ID 0 TILEPROP INT_R_X27Y7 TILE_PATTERN_IDX 8540 TILEPROP INT_R_X27Y7 TILE_TYPE INT_R TILEPROP INT_R_X27Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y7 TILE_X 24888 TILEPROP INT_R_X27Y7 TILE_Y -217272 TILEPROP INT_R_X27Y7 TYPE INT_R TILEPROP INT_R_X27Y8 CLASS tile TILEPROP INT_R_X27Y8 COLUMN 69 TILEPROP INT_R_X27Y8 DEVICE_ID 0 TILEPROP INT_R_X27Y8 FIRST_SITE_ID 14932 TILEPROP INT_R_X27Y8 GRID_POINT_X 69 TILEPROP INT_R_X27Y8 GRID_POINT_Y 147 TILEPROP INT_R_X27Y8 INDEX 16974 TILEPROP INT_R_X27Y8 INT_TILE_X 27 TILEPROP INT_R_X27Y8 INT_TILE_Y 141 TILEPROP INT_R_X27Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y8 IS_DCM_TILE 0 TILEPROP INT_R_X27Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y8 NAME INT_R_X27Y8 TILEPROP INT_R_X27Y8 NUM_ARCS 3737 TILEPROP INT_R_X27Y8 NUM_SITES 1 TILEPROP INT_R_X27Y8 ROW 147 TILEPROP INT_R_X27Y8 SLR_REGION_ID 0 TILEPROP INT_R_X27Y8 TILE_PATTERN_IDX 8510 TILEPROP INT_R_X27Y8 TILE_TYPE INT_R TILEPROP INT_R_X27Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y8 TILE_X 24888 TILEPROP INT_R_X27Y8 TILE_Y -214072 TILEPROP INT_R_X27Y8 TYPE INT_R TILEPROP INT_R_X27Y9 CLASS tile TILEPROP INT_R_X27Y9 COLUMN 69 TILEPROP INT_R_X27Y9 DEVICE_ID 0 TILEPROP INT_R_X27Y9 FIRST_SITE_ID 14831 TILEPROP INT_R_X27Y9 GRID_POINT_X 69 TILEPROP INT_R_X27Y9 GRID_POINT_Y 146 TILEPROP INT_R_X27Y9 INDEX 16859 TILEPROP INT_R_X27Y9 INT_TILE_X 27 TILEPROP INT_R_X27Y9 INT_TILE_Y 140 TILEPROP INT_R_X27Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y9 IS_DCM_TILE 0 TILEPROP INT_R_X27Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y9 NAME INT_R_X27Y9 TILEPROP INT_R_X27Y9 NUM_ARCS 3737 TILEPROP INT_R_X27Y9 NUM_SITES 1 TILEPROP INT_R_X27Y9 ROW 146 TILEPROP INT_R_X27Y9 SLR_REGION_ID 0 TILEPROP INT_R_X27Y9 TILE_PATTERN_IDX 8479 TILEPROP INT_R_X27Y9 TILE_TYPE INT_R TILEPROP INT_R_X27Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y9 TILE_X 24888 TILEPROP INT_R_X27Y9 TILE_Y -210872 TILEPROP INT_R_X27Y9 TYPE INT_R TILEPROP INT_R_X27Y10 CLASS tile TILEPROP INT_R_X27Y10 COLUMN 69 TILEPROP INT_R_X27Y10 DEVICE_ID 0 TILEPROP INT_R_X27Y10 FIRST_SITE_ID 14722 TILEPROP INT_R_X27Y10 GRID_POINT_X 69 TILEPROP INT_R_X27Y10 GRID_POINT_Y 145 TILEPROP INT_R_X27Y10 INDEX 16744 TILEPROP INT_R_X27Y10 INT_TILE_X 27 TILEPROP INT_R_X27Y10 INT_TILE_Y 139 TILEPROP INT_R_X27Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y10 IS_DCM_TILE 0 TILEPROP INT_R_X27Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y10 NAME INT_R_X27Y10 TILEPROP INT_R_X27Y10 NUM_ARCS 3737 TILEPROP INT_R_X27Y10 NUM_SITES 1 TILEPROP INT_R_X27Y10 ROW 145 TILEPROP INT_R_X27Y10 SLR_REGION_ID 0 TILEPROP INT_R_X27Y10 TILE_PATTERN_IDX 8449 TILEPROP INT_R_X27Y10 TILE_TYPE INT_R TILEPROP INT_R_X27Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y10 TILE_X 24888 TILEPROP INT_R_X27Y10 TILE_Y -207672 TILEPROP INT_R_X27Y10 TYPE INT_R TILEPROP INT_R_X27Y11 CLASS tile TILEPROP INT_R_X27Y11 COLUMN 69 TILEPROP INT_R_X27Y11 DEVICE_ID 0 TILEPROP INT_R_X27Y11 FIRST_SITE_ID 14616 TILEPROP INT_R_X27Y11 GRID_POINT_X 69 TILEPROP INT_R_X27Y11 GRID_POINT_Y 144 TILEPROP INT_R_X27Y11 INDEX 16629 TILEPROP INT_R_X27Y11 INT_TILE_X 27 TILEPROP INT_R_X27Y11 INT_TILE_Y 138 TILEPROP INT_R_X27Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y11 IS_DCM_TILE 0 TILEPROP INT_R_X27Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y11 NAME INT_R_X27Y11 TILEPROP INT_R_X27Y11 NUM_ARCS 3737 TILEPROP INT_R_X27Y11 NUM_SITES 1 TILEPROP INT_R_X27Y11 ROW 144 TILEPROP INT_R_X27Y11 SLR_REGION_ID 0 TILEPROP INT_R_X27Y11 TILE_PATTERN_IDX 8418 TILEPROP INT_R_X27Y11 TILE_TYPE INT_R TILEPROP INT_R_X27Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y11 TILE_X 24888 TILEPROP INT_R_X27Y11 TILE_Y -204472 TILEPROP INT_R_X27Y11 TYPE INT_R TILEPROP INT_R_X27Y12 CLASS tile TILEPROP INT_R_X27Y12 COLUMN 69 TILEPROP INT_R_X27Y12 DEVICE_ID 0 TILEPROP INT_R_X27Y12 FIRST_SITE_ID 14516 TILEPROP INT_R_X27Y12 GRID_POINT_X 69 TILEPROP INT_R_X27Y12 GRID_POINT_Y 143 TILEPROP INT_R_X27Y12 INDEX 16514 TILEPROP INT_R_X27Y12 INT_TILE_X 27 TILEPROP INT_R_X27Y12 INT_TILE_Y 137 TILEPROP INT_R_X27Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y12 IS_DCM_TILE 0 TILEPROP INT_R_X27Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y12 NAME INT_R_X27Y12 TILEPROP INT_R_X27Y12 NUM_ARCS 3737 TILEPROP INT_R_X27Y12 NUM_SITES 1 TILEPROP INT_R_X27Y12 ROW 143 TILEPROP INT_R_X27Y12 SLR_REGION_ID 0 TILEPROP INT_R_X27Y12 TILE_PATTERN_IDX 8388 TILEPROP INT_R_X27Y12 TILE_TYPE INT_R TILEPROP INT_R_X27Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y12 TILE_X 24888 TILEPROP INT_R_X27Y12 TILE_Y -201272 TILEPROP INT_R_X27Y12 TYPE INT_R TILEPROP INT_R_X27Y13 CLASS tile TILEPROP INT_R_X27Y13 COLUMN 69 TILEPROP INT_R_X27Y13 DEVICE_ID 0 TILEPROP INT_R_X27Y13 FIRST_SITE_ID 14384 TILEPROP INT_R_X27Y13 GRID_POINT_X 69 TILEPROP INT_R_X27Y13 GRID_POINT_Y 142 TILEPROP INT_R_X27Y13 INDEX 16399 TILEPROP INT_R_X27Y13 INT_TILE_X 27 TILEPROP INT_R_X27Y13 INT_TILE_Y 136 TILEPROP INT_R_X27Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y13 IS_DCM_TILE 0 TILEPROP INT_R_X27Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y13 NAME INT_R_X27Y13 TILEPROP INT_R_X27Y13 NUM_ARCS 3737 TILEPROP INT_R_X27Y13 NUM_SITES 1 TILEPROP INT_R_X27Y13 ROW 142 TILEPROP INT_R_X27Y13 SLR_REGION_ID 0 TILEPROP INT_R_X27Y13 TILE_PATTERN_IDX 8357 TILEPROP INT_R_X27Y13 TILE_TYPE INT_R TILEPROP INT_R_X27Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y13 TILE_X 24888 TILEPROP INT_R_X27Y13 TILE_Y -198072 TILEPROP INT_R_X27Y13 TYPE INT_R TILEPROP INT_R_X27Y14 CLASS tile TILEPROP INT_R_X27Y14 COLUMN 69 TILEPROP INT_R_X27Y14 DEVICE_ID 0 TILEPROP INT_R_X27Y14 FIRST_SITE_ID 14284 TILEPROP INT_R_X27Y14 GRID_POINT_X 69 TILEPROP INT_R_X27Y14 GRID_POINT_Y 141 TILEPROP INT_R_X27Y14 INDEX 16284 TILEPROP INT_R_X27Y14 INT_TILE_X 27 TILEPROP INT_R_X27Y14 INT_TILE_Y 135 TILEPROP INT_R_X27Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y14 IS_DCM_TILE 0 TILEPROP INT_R_X27Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y14 NAME INT_R_X27Y14 TILEPROP INT_R_X27Y14 NUM_ARCS 3737 TILEPROP INT_R_X27Y14 NUM_SITES 1 TILEPROP INT_R_X27Y14 ROW 141 TILEPROP INT_R_X27Y14 SLR_REGION_ID 0 TILEPROP INT_R_X27Y14 TILE_PATTERN_IDX 8327 TILEPROP INT_R_X27Y14 TILE_TYPE INT_R TILEPROP INT_R_X27Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y14 TILE_X 24888 TILEPROP INT_R_X27Y14 TILE_Y -194872 TILEPROP INT_R_X27Y14 TYPE INT_R TILEPROP INT_R_X27Y15 CLASS tile TILEPROP INT_R_X27Y15 COLUMN 69 TILEPROP INT_R_X27Y15 DEVICE_ID 0 TILEPROP INT_R_X27Y15 FIRST_SITE_ID 14175 TILEPROP INT_R_X27Y15 GRID_POINT_X 69 TILEPROP INT_R_X27Y15 GRID_POINT_Y 140 TILEPROP INT_R_X27Y15 INDEX 16169 TILEPROP INT_R_X27Y15 INT_TILE_X 27 TILEPROP INT_R_X27Y15 INT_TILE_Y 134 TILEPROP INT_R_X27Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y15 IS_DCM_TILE 0 TILEPROP INT_R_X27Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y15 NAME INT_R_X27Y15 TILEPROP INT_R_X27Y15 NUM_ARCS 3737 TILEPROP INT_R_X27Y15 NUM_SITES 1 TILEPROP INT_R_X27Y15 ROW 140 TILEPROP INT_R_X27Y15 SLR_REGION_ID 0 TILEPROP INT_R_X27Y15 TILE_PATTERN_IDX 8296 TILEPROP INT_R_X27Y15 TILE_TYPE INT_R TILEPROP INT_R_X27Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y15 TILE_X 24888 TILEPROP INT_R_X27Y15 TILE_Y -191672 TILEPROP INT_R_X27Y15 TYPE INT_R TILEPROP INT_R_X27Y16 CLASS tile TILEPROP INT_R_X27Y16 COLUMN 69 TILEPROP INT_R_X27Y16 DEVICE_ID 0 TILEPROP INT_R_X27Y16 FIRST_SITE_ID 14069 TILEPROP INT_R_X27Y16 GRID_POINT_X 69 TILEPROP INT_R_X27Y16 GRID_POINT_Y 139 TILEPROP INT_R_X27Y16 INDEX 16054 TILEPROP INT_R_X27Y16 INT_TILE_X 27 TILEPROP INT_R_X27Y16 INT_TILE_Y 133 TILEPROP INT_R_X27Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y16 IS_DCM_TILE 0 TILEPROP INT_R_X27Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y16 NAME INT_R_X27Y16 TILEPROP INT_R_X27Y16 NUM_ARCS 3737 TILEPROP INT_R_X27Y16 NUM_SITES 1 TILEPROP INT_R_X27Y16 ROW 139 TILEPROP INT_R_X27Y16 SLR_REGION_ID 0 TILEPROP INT_R_X27Y16 TILE_PATTERN_IDX 8266 TILEPROP INT_R_X27Y16 TILE_TYPE INT_R TILEPROP INT_R_X27Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y16 TILE_X 24888 TILEPROP INT_R_X27Y16 TILE_Y -188472 TILEPROP INT_R_X27Y16 TYPE INT_R TILEPROP INT_R_X27Y17 CLASS tile TILEPROP INT_R_X27Y17 COLUMN 69 TILEPROP INT_R_X27Y17 DEVICE_ID 0 TILEPROP INT_R_X27Y17 FIRST_SITE_ID 13965 TILEPROP INT_R_X27Y17 GRID_POINT_X 69 TILEPROP INT_R_X27Y17 GRID_POINT_Y 138 TILEPROP INT_R_X27Y17 INDEX 15939 TILEPROP INT_R_X27Y17 INT_TILE_X 27 TILEPROP INT_R_X27Y17 INT_TILE_Y 132 TILEPROP INT_R_X27Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y17 IS_DCM_TILE 0 TILEPROP INT_R_X27Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y17 NAME INT_R_X27Y17 TILEPROP INT_R_X27Y17 NUM_ARCS 3737 TILEPROP INT_R_X27Y17 NUM_SITES 1 TILEPROP INT_R_X27Y17 ROW 138 TILEPROP INT_R_X27Y17 SLR_REGION_ID 0 TILEPROP INT_R_X27Y17 TILE_PATTERN_IDX 8234 TILEPROP INT_R_X27Y17 TILE_TYPE INT_R TILEPROP INT_R_X27Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y17 TILE_X 24888 TILEPROP INT_R_X27Y17 TILE_Y -185272 TILEPROP INT_R_X27Y17 TYPE INT_R TILEPROP INT_R_X27Y18 CLASS tile TILEPROP INT_R_X27Y18 COLUMN 69 TILEPROP INT_R_X27Y18 DEVICE_ID 0 TILEPROP INT_R_X27Y18 FIRST_SITE_ID 13860 TILEPROP INT_R_X27Y18 GRID_POINT_X 69 TILEPROP INT_R_X27Y18 GRID_POINT_Y 137 TILEPROP INT_R_X27Y18 INDEX 15824 TILEPROP INT_R_X27Y18 INT_TILE_X 27 TILEPROP INT_R_X27Y18 INT_TILE_Y 131 TILEPROP INT_R_X27Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y18 IS_DCM_TILE 0 TILEPROP INT_R_X27Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y18 NAME INT_R_X27Y18 TILEPROP INT_R_X27Y18 NUM_ARCS 3737 TILEPROP INT_R_X27Y18 NUM_SITES 1 TILEPROP INT_R_X27Y18 ROW 137 TILEPROP INT_R_X27Y18 SLR_REGION_ID 0 TILEPROP INT_R_X27Y18 TILE_PATTERN_IDX 8211 TILEPROP INT_R_X27Y18 TILE_TYPE INT_R TILEPROP INT_R_X27Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y18 TILE_X 24888 TILEPROP INT_R_X27Y18 TILE_Y -182072 TILEPROP INT_R_X27Y18 TYPE INT_R TILEPROP INT_R_X27Y19 CLASS tile TILEPROP INT_R_X27Y19 COLUMN 69 TILEPROP INT_R_X27Y19 DEVICE_ID 0 TILEPROP INT_R_X27Y19 FIRST_SITE_ID 13758 TILEPROP INT_R_X27Y19 GRID_POINT_X 69 TILEPROP INT_R_X27Y19 GRID_POINT_Y 136 TILEPROP INT_R_X27Y19 INDEX 15709 TILEPROP INT_R_X27Y19 INT_TILE_X 27 TILEPROP INT_R_X27Y19 INT_TILE_Y 130 TILEPROP INT_R_X27Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y19 IS_DCM_TILE 0 TILEPROP INT_R_X27Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y19 NAME INT_R_X27Y19 TILEPROP INT_R_X27Y19 NUM_ARCS 3737 TILEPROP INT_R_X27Y19 NUM_SITES 1 TILEPROP INT_R_X27Y19 ROW 136 TILEPROP INT_R_X27Y19 SLR_REGION_ID 0 TILEPROP INT_R_X27Y19 TILE_PATTERN_IDX 8188 TILEPROP INT_R_X27Y19 TILE_TYPE INT_R TILEPROP INT_R_X27Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y19 TILE_X 24888 TILEPROP INT_R_X27Y19 TILE_Y -178872 TILEPROP INT_R_X27Y19 TYPE INT_R TILEPROP INT_R_X27Y20 CLASS tile TILEPROP INT_R_X27Y20 COLUMN 69 TILEPROP INT_R_X27Y20 DEVICE_ID 0 TILEPROP INT_R_X27Y20 FIRST_SITE_ID 13647 TILEPROP INT_R_X27Y20 GRID_POINT_X 69 TILEPROP INT_R_X27Y20 GRID_POINT_Y 135 TILEPROP INT_R_X27Y20 INDEX 15594 TILEPROP INT_R_X27Y20 INT_TILE_X 27 TILEPROP INT_R_X27Y20 INT_TILE_Y 129 TILEPROP INT_R_X27Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y20 IS_DCM_TILE 0 TILEPROP INT_R_X27Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y20 NAME INT_R_X27Y20 TILEPROP INT_R_X27Y20 NUM_ARCS 3737 TILEPROP INT_R_X27Y20 NUM_SITES 1 TILEPROP INT_R_X27Y20 ROW 135 TILEPROP INT_R_X27Y20 SLR_REGION_ID 0 TILEPROP INT_R_X27Y20 TILE_PATTERN_IDX 8166 TILEPROP INT_R_X27Y20 TILE_TYPE INT_R TILEPROP INT_R_X27Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y20 TILE_X 24888 TILEPROP INT_R_X27Y20 TILE_Y -175672 TILEPROP INT_R_X27Y20 TYPE INT_R TILEPROP INT_R_X27Y21 CLASS tile TILEPROP INT_R_X27Y21 COLUMN 69 TILEPROP INT_R_X27Y21 DEVICE_ID 0 TILEPROP INT_R_X27Y21 FIRST_SITE_ID 13541 TILEPROP INT_R_X27Y21 GRID_POINT_X 69 TILEPROP INT_R_X27Y21 GRID_POINT_Y 134 TILEPROP INT_R_X27Y21 INDEX 15479 TILEPROP INT_R_X27Y21 INT_TILE_X 27 TILEPROP INT_R_X27Y21 INT_TILE_Y 128 TILEPROP INT_R_X27Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y21 IS_DCM_TILE 0 TILEPROP INT_R_X27Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y21 NAME INT_R_X27Y21 TILEPROP INT_R_X27Y21 NUM_ARCS 3737 TILEPROP INT_R_X27Y21 NUM_SITES 1 TILEPROP INT_R_X27Y21 ROW 134 TILEPROP INT_R_X27Y21 SLR_REGION_ID 0 TILEPROP INT_R_X27Y21 TILE_PATTERN_IDX 8137 TILEPROP INT_R_X27Y21 TILE_TYPE INT_R TILEPROP INT_R_X27Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y21 TILE_X 24888 TILEPROP INT_R_X27Y21 TILE_Y -172472 TILEPROP INT_R_X27Y21 TYPE INT_R TILEPROP INT_R_X27Y22 CLASS tile TILEPROP INT_R_X27Y22 COLUMN 69 TILEPROP INT_R_X27Y22 DEVICE_ID 0 TILEPROP INT_R_X27Y22 FIRST_SITE_ID 13441 TILEPROP INT_R_X27Y22 GRID_POINT_X 69 TILEPROP INT_R_X27Y22 GRID_POINT_Y 133 TILEPROP INT_R_X27Y22 INDEX 15364 TILEPROP INT_R_X27Y22 INT_TILE_X 27 TILEPROP INT_R_X27Y22 INT_TILE_Y 127 TILEPROP INT_R_X27Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y22 IS_DCM_TILE 0 TILEPROP INT_R_X27Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y22 NAME INT_R_X27Y22 TILEPROP INT_R_X27Y22 NUM_ARCS 3737 TILEPROP INT_R_X27Y22 NUM_SITES 1 TILEPROP INT_R_X27Y22 ROW 133 TILEPROP INT_R_X27Y22 SLR_REGION_ID 0 TILEPROP INT_R_X27Y22 TILE_PATTERN_IDX 8109 TILEPROP INT_R_X27Y22 TILE_TYPE INT_R TILEPROP INT_R_X27Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y22 TILE_X 24888 TILEPROP INT_R_X27Y22 TILE_Y -169272 TILEPROP INT_R_X27Y22 TYPE INT_R TILEPROP INT_R_X27Y23 CLASS tile TILEPROP INT_R_X27Y23 COLUMN 69 TILEPROP INT_R_X27Y23 DEVICE_ID 0 TILEPROP INT_R_X27Y23 FIRST_SITE_ID 13341 TILEPROP INT_R_X27Y23 GRID_POINT_X 69 TILEPROP INT_R_X27Y23 GRID_POINT_Y 132 TILEPROP INT_R_X27Y23 INDEX 15249 TILEPROP INT_R_X27Y23 INT_TILE_X 27 TILEPROP INT_R_X27Y23 INT_TILE_Y 126 TILEPROP INT_R_X27Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y23 IS_DCM_TILE 0 TILEPROP INT_R_X27Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y23 NAME INT_R_X27Y23 TILEPROP INT_R_X27Y23 NUM_ARCS 3737 TILEPROP INT_R_X27Y23 NUM_SITES 1 TILEPROP INT_R_X27Y23 ROW 132 TILEPROP INT_R_X27Y23 SLR_REGION_ID 0 TILEPROP INT_R_X27Y23 TILE_PATTERN_IDX 8080 TILEPROP INT_R_X27Y23 TILE_TYPE INT_R TILEPROP INT_R_X27Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y23 TILE_X 24888 TILEPROP INT_R_X27Y23 TILE_Y -166072 TILEPROP INT_R_X27Y23 TYPE INT_R TILEPROP INT_R_X27Y24 CLASS tile TILEPROP INT_R_X27Y24 COLUMN 69 TILEPROP INT_R_X27Y24 DEVICE_ID 0 TILEPROP INT_R_X27Y24 FIRST_SITE_ID 13241 TILEPROP INT_R_X27Y24 GRID_POINT_X 69 TILEPROP INT_R_X27Y24 GRID_POINT_Y 131 TILEPROP INT_R_X27Y24 INDEX 15134 TILEPROP INT_R_X27Y24 INT_TILE_X 27 TILEPROP INT_R_X27Y24 INT_TILE_Y 125 TILEPROP INT_R_X27Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y24 IS_DCM_TILE 0 TILEPROP INT_R_X27Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y24 NAME INT_R_X27Y24 TILEPROP INT_R_X27Y24 NUM_ARCS 3737 TILEPROP INT_R_X27Y24 NUM_SITES 1 TILEPROP INT_R_X27Y24 ROW 131 TILEPROP INT_R_X27Y24 SLR_REGION_ID 0 TILEPROP INT_R_X27Y24 TILE_PATTERN_IDX 8052 TILEPROP INT_R_X27Y24 TILE_TYPE INT_R TILEPROP INT_R_X27Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y24 TILE_X 24888 TILEPROP INT_R_X27Y24 TILE_Y -162872 TILEPROP INT_R_X27Y24 TYPE INT_R TILEPROP INT_R_X27Y25 CLASS tile TILEPROP INT_R_X27Y25 COLUMN 69 TILEPROP INT_R_X27Y25 DEVICE_ID 0 TILEPROP INT_R_X27Y25 FIRST_SITE_ID 13049 TILEPROP INT_R_X27Y25 GRID_POINT_X 69 TILEPROP INT_R_X27Y25 GRID_POINT_Y 129 TILEPROP INT_R_X27Y25 INDEX 14904 TILEPROP INT_R_X27Y25 INT_TILE_X 27 TILEPROP INT_R_X27Y25 INT_TILE_Y 124 TILEPROP INT_R_X27Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y25 IS_DCM_TILE 0 TILEPROP INT_R_X27Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y25 NAME INT_R_X27Y25 TILEPROP INT_R_X27Y25 NUM_ARCS 3737 TILEPROP INT_R_X27Y25 NUM_SITES 1 TILEPROP INT_R_X27Y25 ROW 129 TILEPROP INT_R_X27Y25 SLR_REGION_ID 0 TILEPROP INT_R_X27Y25 TILE_PATTERN_IDX 7980 TILEPROP INT_R_X27Y25 TILE_TYPE INT_R TILEPROP INT_R_X27Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y25 TILE_X 24888 TILEPROP INT_R_X27Y25 TILE_Y -158648 TILEPROP INT_R_X27Y25 TYPE INT_R TILEPROP INT_R_X27Y26 CLASS tile TILEPROP INT_R_X27Y26 COLUMN 69 TILEPROP INT_R_X27Y26 DEVICE_ID 0 TILEPROP INT_R_X27Y26 FIRST_SITE_ID 12943 TILEPROP INT_R_X27Y26 GRID_POINT_X 69 TILEPROP INT_R_X27Y26 GRID_POINT_Y 128 TILEPROP INT_R_X27Y26 INDEX 14789 TILEPROP INT_R_X27Y26 INT_TILE_X 27 TILEPROP INT_R_X27Y26 INT_TILE_Y 123 TILEPROP INT_R_X27Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y26 IS_DCM_TILE 0 TILEPROP INT_R_X27Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y26 NAME INT_R_X27Y26 TILEPROP INT_R_X27Y26 NUM_ARCS 3737 TILEPROP INT_R_X27Y26 NUM_SITES 1 TILEPROP INT_R_X27Y26 ROW 128 TILEPROP INT_R_X27Y26 SLR_REGION_ID 0 TILEPROP INT_R_X27Y26 TILE_PATTERN_IDX 7952 TILEPROP INT_R_X27Y26 TILE_TYPE INT_R TILEPROP INT_R_X27Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y26 TILE_X 24888 TILEPROP INT_R_X27Y26 TILE_Y -155448 TILEPROP INT_R_X27Y26 TYPE INT_R TILEPROP INT_R_X27Y27 CLASS tile TILEPROP INT_R_X27Y27 COLUMN 69 TILEPROP INT_R_X27Y27 DEVICE_ID 0 TILEPROP INT_R_X27Y27 FIRST_SITE_ID 12843 TILEPROP INT_R_X27Y27 GRID_POINT_X 69 TILEPROP INT_R_X27Y27 GRID_POINT_Y 127 TILEPROP INT_R_X27Y27 INDEX 14674 TILEPROP INT_R_X27Y27 INT_TILE_X 27 TILEPROP INT_R_X27Y27 INT_TILE_Y 122 TILEPROP INT_R_X27Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y27 IS_DCM_TILE 0 TILEPROP INT_R_X27Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y27 NAME INT_R_X27Y27 TILEPROP INT_R_X27Y27 NUM_ARCS 3737 TILEPROP INT_R_X27Y27 NUM_SITES 1 TILEPROP INT_R_X27Y27 ROW 127 TILEPROP INT_R_X27Y27 SLR_REGION_ID 0 TILEPROP INT_R_X27Y27 TILE_PATTERN_IDX 7923 TILEPROP INT_R_X27Y27 TILE_TYPE INT_R TILEPROP INT_R_X27Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y27 TILE_X 24888 TILEPROP INT_R_X27Y27 TILE_Y -152248 TILEPROP INT_R_X27Y27 TYPE INT_R TILEPROP INT_R_X27Y28 CLASS tile TILEPROP INT_R_X27Y28 COLUMN 69 TILEPROP INT_R_X27Y28 DEVICE_ID 0 TILEPROP INT_R_X27Y28 FIRST_SITE_ID 12743 TILEPROP INT_R_X27Y28 GRID_POINT_X 69 TILEPROP INT_R_X27Y28 GRID_POINT_Y 126 TILEPROP INT_R_X27Y28 INDEX 14559 TILEPROP INT_R_X27Y28 INT_TILE_X 27 TILEPROP INT_R_X27Y28 INT_TILE_Y 121 TILEPROP INT_R_X27Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y28 IS_DCM_TILE 0 TILEPROP INT_R_X27Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y28 NAME INT_R_X27Y28 TILEPROP INT_R_X27Y28 NUM_ARCS 3737 TILEPROP INT_R_X27Y28 NUM_SITES 1 TILEPROP INT_R_X27Y28 ROW 126 TILEPROP INT_R_X27Y28 SLR_REGION_ID 0 TILEPROP INT_R_X27Y28 TILE_PATTERN_IDX 7895 TILEPROP INT_R_X27Y28 TILE_TYPE INT_R TILEPROP INT_R_X27Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y28 TILE_X 24888 TILEPROP INT_R_X27Y28 TILE_Y -149048 TILEPROP INT_R_X27Y28 TYPE INT_R TILEPROP INT_R_X27Y29 CLASS tile TILEPROP INT_R_X27Y29 COLUMN 69 TILEPROP INT_R_X27Y29 DEVICE_ID 0 TILEPROP INT_R_X27Y29 FIRST_SITE_ID 12637 TILEPROP INT_R_X27Y29 GRID_POINT_X 69 TILEPROP INT_R_X27Y29 GRID_POINT_Y 125 TILEPROP INT_R_X27Y29 INDEX 14444 TILEPROP INT_R_X27Y29 INT_TILE_X 27 TILEPROP INT_R_X27Y29 INT_TILE_Y 120 TILEPROP INT_R_X27Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y29 IS_DCM_TILE 0 TILEPROP INT_R_X27Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y29 NAME INT_R_X27Y29 TILEPROP INT_R_X27Y29 NUM_ARCS 3737 TILEPROP INT_R_X27Y29 NUM_SITES 1 TILEPROP INT_R_X27Y29 ROW 125 TILEPROP INT_R_X27Y29 SLR_REGION_ID 0 TILEPROP INT_R_X27Y29 TILE_PATTERN_IDX 7871 TILEPROP INT_R_X27Y29 TILE_TYPE INT_R TILEPROP INT_R_X27Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y29 TILE_X 24888 TILEPROP INT_R_X27Y29 TILE_Y -145848 TILEPROP INT_R_X27Y29 TYPE INT_R TILEPROP INT_R_X27Y30 CLASS tile TILEPROP INT_R_X27Y30 COLUMN 69 TILEPROP INT_R_X27Y30 DEVICE_ID 0 TILEPROP INT_R_X27Y30 FIRST_SITE_ID 12522 TILEPROP INT_R_X27Y30 GRID_POINT_X 69 TILEPROP INT_R_X27Y30 GRID_POINT_Y 124 TILEPROP INT_R_X27Y30 INDEX 14329 TILEPROP INT_R_X27Y30 INT_TILE_X 27 TILEPROP INT_R_X27Y30 INT_TILE_Y 119 TILEPROP INT_R_X27Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y30 IS_DCM_TILE 0 TILEPROP INT_R_X27Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y30 NAME INT_R_X27Y30 TILEPROP INT_R_X27Y30 NUM_ARCS 3737 TILEPROP INT_R_X27Y30 NUM_SITES 1 TILEPROP INT_R_X27Y30 ROW 124 TILEPROP INT_R_X27Y30 SLR_REGION_ID 0 TILEPROP INT_R_X27Y30 TILE_PATTERN_IDX 7848 TILEPROP INT_R_X27Y30 TILE_TYPE INT_R TILEPROP INT_R_X27Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y30 TILE_X 24888 TILEPROP INT_R_X27Y30 TILE_Y -142648 TILEPROP INT_R_X27Y30 TYPE INT_R TILEPROP INT_R_X27Y31 CLASS tile TILEPROP INT_R_X27Y31 COLUMN 69 TILEPROP INT_R_X27Y31 DEVICE_ID 0 TILEPROP INT_R_X27Y31 FIRST_SITE_ID 12401 TILEPROP INT_R_X27Y31 GRID_POINT_X 69 TILEPROP INT_R_X27Y31 GRID_POINT_Y 123 TILEPROP INT_R_X27Y31 INDEX 14214 TILEPROP INT_R_X27Y31 INT_TILE_X 27 TILEPROP INT_R_X27Y31 INT_TILE_Y 118 TILEPROP INT_R_X27Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y31 IS_DCM_TILE 0 TILEPROP INT_R_X27Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y31 NAME INT_R_X27Y31 TILEPROP INT_R_X27Y31 NUM_ARCS 3737 TILEPROP INT_R_X27Y31 NUM_SITES 1 TILEPROP INT_R_X27Y31 ROW 123 TILEPROP INT_R_X27Y31 SLR_REGION_ID 0 TILEPROP INT_R_X27Y31 TILE_PATTERN_IDX 7825 TILEPROP INT_R_X27Y31 TILE_TYPE INT_R TILEPROP INT_R_X27Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y31 TILE_X 24888 TILEPROP INT_R_X27Y31 TILE_Y -139448 TILEPROP INT_R_X27Y31 TYPE INT_R TILEPROP INT_R_X27Y32 CLASS tile TILEPROP INT_R_X27Y32 COLUMN 69 TILEPROP INT_R_X27Y32 DEVICE_ID 0 TILEPROP INT_R_X27Y32 FIRST_SITE_ID 12299 TILEPROP INT_R_X27Y32 GRID_POINT_X 69 TILEPROP INT_R_X27Y32 GRID_POINT_Y 122 TILEPROP INT_R_X27Y32 INDEX 14099 TILEPROP INT_R_X27Y32 INT_TILE_X 27 TILEPROP INT_R_X27Y32 INT_TILE_Y 117 TILEPROP INT_R_X27Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y32 IS_DCM_TILE 0 TILEPROP INT_R_X27Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y32 NAME INT_R_X27Y32 TILEPROP INT_R_X27Y32 NUM_ARCS 3737 TILEPROP INT_R_X27Y32 NUM_SITES 1 TILEPROP INT_R_X27Y32 ROW 122 TILEPROP INT_R_X27Y32 SLR_REGION_ID 0 TILEPROP INT_R_X27Y32 TILE_PATTERN_IDX 7791 TILEPROP INT_R_X27Y32 TILE_TYPE INT_R TILEPROP INT_R_X27Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y32 TILE_X 24888 TILEPROP INT_R_X27Y32 TILE_Y -136248 TILEPROP INT_R_X27Y32 TYPE INT_R TILEPROP INT_R_X27Y33 CLASS tile TILEPROP INT_R_X27Y33 COLUMN 69 TILEPROP INT_R_X27Y33 DEVICE_ID 0 TILEPROP INT_R_X27Y33 FIRST_SITE_ID 12198 TILEPROP INT_R_X27Y33 GRID_POINT_X 69 TILEPROP INT_R_X27Y33 GRID_POINT_Y 121 TILEPROP INT_R_X27Y33 INDEX 13984 TILEPROP INT_R_X27Y33 INT_TILE_X 27 TILEPROP INT_R_X27Y33 INT_TILE_Y 116 TILEPROP INT_R_X27Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y33 IS_DCM_TILE 0 TILEPROP INT_R_X27Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y33 NAME INT_R_X27Y33 TILEPROP INT_R_X27Y33 NUM_ARCS 3737 TILEPROP INT_R_X27Y33 NUM_SITES 1 TILEPROP INT_R_X27Y33 ROW 121 TILEPROP INT_R_X27Y33 SLR_REGION_ID 0 TILEPROP INT_R_X27Y33 TILE_PATTERN_IDX 7762 TILEPROP INT_R_X27Y33 TILE_TYPE INT_R TILEPROP INT_R_X27Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y33 TILE_X 24888 TILEPROP INT_R_X27Y33 TILE_Y -133048 TILEPROP INT_R_X27Y33 TYPE INT_R TILEPROP INT_R_X27Y34 CLASS tile TILEPROP INT_R_X27Y34 COLUMN 69 TILEPROP INT_R_X27Y34 DEVICE_ID 0 TILEPROP INT_R_X27Y34 FIRST_SITE_ID 12098 TILEPROP INT_R_X27Y34 GRID_POINT_X 69 TILEPROP INT_R_X27Y34 GRID_POINT_Y 120 TILEPROP INT_R_X27Y34 INDEX 13869 TILEPROP INT_R_X27Y34 INT_TILE_X 27 TILEPROP INT_R_X27Y34 INT_TILE_Y 115 TILEPROP INT_R_X27Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y34 IS_DCM_TILE 0 TILEPROP INT_R_X27Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y34 NAME INT_R_X27Y34 TILEPROP INT_R_X27Y34 NUM_ARCS 3737 TILEPROP INT_R_X27Y34 NUM_SITES 1 TILEPROP INT_R_X27Y34 ROW 120 TILEPROP INT_R_X27Y34 SLR_REGION_ID 0 TILEPROP INT_R_X27Y34 TILE_PATTERN_IDX 7734 TILEPROP INT_R_X27Y34 TILE_TYPE INT_R TILEPROP INT_R_X27Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y34 TILE_X 24888 TILEPROP INT_R_X27Y34 TILE_Y -129848 TILEPROP INT_R_X27Y34 TYPE INT_R TILEPROP INT_R_X27Y35 CLASS tile TILEPROP INT_R_X27Y35 COLUMN 69 TILEPROP INT_R_X27Y35 DEVICE_ID 0 TILEPROP INT_R_X27Y35 FIRST_SITE_ID 11989 TILEPROP INT_R_X27Y35 GRID_POINT_X 69 TILEPROP INT_R_X27Y35 GRID_POINT_Y 119 TILEPROP INT_R_X27Y35 INDEX 13754 TILEPROP INT_R_X27Y35 INT_TILE_X 27 TILEPROP INT_R_X27Y35 INT_TILE_Y 114 TILEPROP INT_R_X27Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y35 IS_DCM_TILE 0 TILEPROP INT_R_X27Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y35 NAME INT_R_X27Y35 TILEPROP INT_R_X27Y35 NUM_ARCS 3737 TILEPROP INT_R_X27Y35 NUM_SITES 1 TILEPROP INT_R_X27Y35 ROW 119 TILEPROP INT_R_X27Y35 SLR_REGION_ID 0 TILEPROP INT_R_X27Y35 TILE_PATTERN_IDX 7705 TILEPROP INT_R_X27Y35 TILE_TYPE INT_R TILEPROP INT_R_X27Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y35 TILE_X 24888 TILEPROP INT_R_X27Y35 TILE_Y -126648 TILEPROP INT_R_X27Y35 TYPE INT_R TILEPROP INT_R_X27Y36 CLASS tile TILEPROP INT_R_X27Y36 COLUMN 69 TILEPROP INT_R_X27Y36 DEVICE_ID 0 TILEPROP INT_R_X27Y36 FIRST_SITE_ID 11883 TILEPROP INT_R_X27Y36 GRID_POINT_X 69 TILEPROP INT_R_X27Y36 GRID_POINT_Y 118 TILEPROP INT_R_X27Y36 INDEX 13639 TILEPROP INT_R_X27Y36 INT_TILE_X 27 TILEPROP INT_R_X27Y36 INT_TILE_Y 113 TILEPROP INT_R_X27Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y36 IS_DCM_TILE 0 TILEPROP INT_R_X27Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y36 NAME INT_R_X27Y36 TILEPROP INT_R_X27Y36 NUM_ARCS 3737 TILEPROP INT_R_X27Y36 NUM_SITES 1 TILEPROP INT_R_X27Y36 ROW 118 TILEPROP INT_R_X27Y36 SLR_REGION_ID 0 TILEPROP INT_R_X27Y36 TILE_PATTERN_IDX 7677 TILEPROP INT_R_X27Y36 TILE_TYPE INT_R TILEPROP INT_R_X27Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y36 TILE_X 24888 TILEPROP INT_R_X27Y36 TILE_Y -123448 TILEPROP INT_R_X27Y36 TYPE INT_R TILEPROP INT_R_X27Y37 CLASS tile TILEPROP INT_R_X27Y37 COLUMN 69 TILEPROP INT_R_X27Y37 DEVICE_ID 0 TILEPROP INT_R_X27Y37 FIRST_SITE_ID 11751 TILEPROP INT_R_X27Y37 GRID_POINT_X 69 TILEPROP INT_R_X27Y37 GRID_POINT_Y 117 TILEPROP INT_R_X27Y37 INDEX 13524 TILEPROP INT_R_X27Y37 INT_TILE_X 27 TILEPROP INT_R_X27Y37 INT_TILE_Y 112 TILEPROP INT_R_X27Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y37 IS_DCM_TILE 0 TILEPROP INT_R_X27Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y37 NAME INT_R_X27Y37 TILEPROP INT_R_X27Y37 NUM_ARCS 3737 TILEPROP INT_R_X27Y37 NUM_SITES 1 TILEPROP INT_R_X27Y37 ROW 117 TILEPROP INT_R_X27Y37 SLR_REGION_ID 0 TILEPROP INT_R_X27Y37 TILE_PATTERN_IDX 7649 TILEPROP INT_R_X27Y37 TILE_TYPE INT_R TILEPROP INT_R_X27Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y37 TILE_X 24888 TILEPROP INT_R_X27Y37 TILE_Y -120248 TILEPROP INT_R_X27Y37 TYPE INT_R TILEPROP INT_R_X27Y38 CLASS tile TILEPROP INT_R_X27Y38 COLUMN 69 TILEPROP INT_R_X27Y38 DEVICE_ID 0 TILEPROP INT_R_X27Y38 FIRST_SITE_ID 11651 TILEPROP INT_R_X27Y38 GRID_POINT_X 69 TILEPROP INT_R_X27Y38 GRID_POINT_Y 116 TILEPROP INT_R_X27Y38 INDEX 13409 TILEPROP INT_R_X27Y38 INT_TILE_X 27 TILEPROP INT_R_X27Y38 INT_TILE_Y 111 TILEPROP INT_R_X27Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y38 IS_DCM_TILE 0 TILEPROP INT_R_X27Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y38 NAME INT_R_X27Y38 TILEPROP INT_R_X27Y38 NUM_ARCS 3737 TILEPROP INT_R_X27Y38 NUM_SITES 1 TILEPROP INT_R_X27Y38 ROW 116 TILEPROP INT_R_X27Y38 SLR_REGION_ID 0 TILEPROP INT_R_X27Y38 TILE_PATTERN_IDX 7621 TILEPROP INT_R_X27Y38 TILE_TYPE INT_R TILEPROP INT_R_X27Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y38 TILE_X 24888 TILEPROP INT_R_X27Y38 TILE_Y -117048 TILEPROP INT_R_X27Y38 TYPE INT_R TILEPROP INT_R_X27Y39 CLASS tile TILEPROP INT_R_X27Y39 COLUMN 69 TILEPROP INT_R_X27Y39 DEVICE_ID 0 TILEPROP INT_R_X27Y39 FIRST_SITE_ID 11551 TILEPROP INT_R_X27Y39 GRID_POINT_X 69 TILEPROP INT_R_X27Y39 GRID_POINT_Y 115 TILEPROP INT_R_X27Y39 INDEX 13294 TILEPROP INT_R_X27Y39 INT_TILE_X 27 TILEPROP INT_R_X27Y39 INT_TILE_Y 110 TILEPROP INT_R_X27Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y39 IS_DCM_TILE 0 TILEPROP INT_R_X27Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y39 NAME INT_R_X27Y39 TILEPROP INT_R_X27Y39 NUM_ARCS 3737 TILEPROP INT_R_X27Y39 NUM_SITES 1 TILEPROP INT_R_X27Y39 ROW 115 TILEPROP INT_R_X27Y39 SLR_REGION_ID 0 TILEPROP INT_R_X27Y39 TILE_PATTERN_IDX 7592 TILEPROP INT_R_X27Y39 TILE_TYPE INT_R TILEPROP INT_R_X27Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y39 TILE_X 24888 TILEPROP INT_R_X27Y39 TILE_Y -113848 TILEPROP INT_R_X27Y39 TYPE INT_R TILEPROP INT_R_X27Y40 CLASS tile TILEPROP INT_R_X27Y40 COLUMN 69 TILEPROP INT_R_X27Y40 DEVICE_ID 0 TILEPROP INT_R_X27Y40 FIRST_SITE_ID 11442 TILEPROP INT_R_X27Y40 GRID_POINT_X 69 TILEPROP INT_R_X27Y40 GRID_POINT_Y 114 TILEPROP INT_R_X27Y40 INDEX 13179 TILEPROP INT_R_X27Y40 INT_TILE_X 27 TILEPROP INT_R_X27Y40 INT_TILE_Y 109 TILEPROP INT_R_X27Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y40 IS_DCM_TILE 0 TILEPROP INT_R_X27Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y40 NAME INT_R_X27Y40 TILEPROP INT_R_X27Y40 NUM_ARCS 3737 TILEPROP INT_R_X27Y40 NUM_SITES 1 TILEPROP INT_R_X27Y40 ROW 114 TILEPROP INT_R_X27Y40 SLR_REGION_ID 0 TILEPROP INT_R_X27Y40 TILE_PATTERN_IDX 7563 TILEPROP INT_R_X27Y40 TILE_TYPE INT_R TILEPROP INT_R_X27Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y40 TILE_X 24888 TILEPROP INT_R_X27Y40 TILE_Y -110648 TILEPROP INT_R_X27Y40 TYPE INT_R TILEPROP INT_R_X27Y41 CLASS tile TILEPROP INT_R_X27Y41 COLUMN 69 TILEPROP INT_R_X27Y41 DEVICE_ID 0 TILEPROP INT_R_X27Y41 FIRST_SITE_ID 11334 TILEPROP INT_R_X27Y41 GRID_POINT_X 69 TILEPROP INT_R_X27Y41 GRID_POINT_Y 113 TILEPROP INT_R_X27Y41 INDEX 13064 TILEPROP INT_R_X27Y41 INT_TILE_X 27 TILEPROP INT_R_X27Y41 INT_TILE_Y 108 TILEPROP INT_R_X27Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y41 IS_DCM_TILE 0 TILEPROP INT_R_X27Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y41 NAME INT_R_X27Y41 TILEPROP INT_R_X27Y41 NUM_ARCS 3737 TILEPROP INT_R_X27Y41 NUM_SITES 1 TILEPROP INT_R_X27Y41 ROW 113 TILEPROP INT_R_X27Y41 SLR_REGION_ID 0 TILEPROP INT_R_X27Y41 TILE_PATTERN_IDX 7528 TILEPROP INT_R_X27Y41 TILE_TYPE INT_R TILEPROP INT_R_X27Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y41 TILE_X 24888 TILEPROP INT_R_X27Y41 TILE_Y -107448 TILEPROP INT_R_X27Y41 TYPE INT_R TILEPROP INT_R_X27Y42 CLASS tile TILEPROP INT_R_X27Y42 COLUMN 69 TILEPROP INT_R_X27Y42 DEVICE_ID 0 TILEPROP INT_R_X27Y42 FIRST_SITE_ID 11232 TILEPROP INT_R_X27Y42 GRID_POINT_X 69 TILEPROP INT_R_X27Y42 GRID_POINT_Y 112 TILEPROP INT_R_X27Y42 INDEX 12949 TILEPROP INT_R_X27Y42 INT_TILE_X 27 TILEPROP INT_R_X27Y42 INT_TILE_Y 107 TILEPROP INT_R_X27Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y42 IS_DCM_TILE 0 TILEPROP INT_R_X27Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y42 NAME INT_R_X27Y42 TILEPROP INT_R_X27Y42 NUM_ARCS 3737 TILEPROP INT_R_X27Y42 NUM_SITES 1 TILEPROP INT_R_X27Y42 ROW 112 TILEPROP INT_R_X27Y42 SLR_REGION_ID 0 TILEPROP INT_R_X27Y42 TILE_PATTERN_IDX 7499 TILEPROP INT_R_X27Y42 TILE_TYPE INT_R TILEPROP INT_R_X27Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y42 TILE_X 24888 TILEPROP INT_R_X27Y42 TILE_Y -104248 TILEPROP INT_R_X27Y42 TYPE INT_R TILEPROP INT_R_X27Y43 CLASS tile TILEPROP INT_R_X27Y43 COLUMN 69 TILEPROP INT_R_X27Y43 DEVICE_ID 0 TILEPROP INT_R_X27Y43 FIRST_SITE_ID 11129 TILEPROP INT_R_X27Y43 GRID_POINT_X 69 TILEPROP INT_R_X27Y43 GRID_POINT_Y 111 TILEPROP INT_R_X27Y43 INDEX 12834 TILEPROP INT_R_X27Y43 INT_TILE_X 27 TILEPROP INT_R_X27Y43 INT_TILE_Y 106 TILEPROP INT_R_X27Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y43 IS_DCM_TILE 0 TILEPROP INT_R_X27Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y43 NAME INT_R_X27Y43 TILEPROP INT_R_X27Y43 NUM_ARCS 3737 TILEPROP INT_R_X27Y43 NUM_SITES 1 TILEPROP INT_R_X27Y43 ROW 111 TILEPROP INT_R_X27Y43 SLR_REGION_ID 0 TILEPROP INT_R_X27Y43 TILE_PATTERN_IDX 7470 TILEPROP INT_R_X27Y43 TILE_TYPE INT_R TILEPROP INT_R_X27Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y43 TILE_X 24888 TILEPROP INT_R_X27Y43 TILE_Y -101048 TILEPROP INT_R_X27Y43 TYPE INT_R TILEPROP INT_R_X27Y44 CLASS tile TILEPROP INT_R_X27Y44 COLUMN 69 TILEPROP INT_R_X27Y44 DEVICE_ID 0 TILEPROP INT_R_X27Y44 FIRST_SITE_ID 11027 TILEPROP INT_R_X27Y44 GRID_POINT_X 69 TILEPROP INT_R_X27Y44 GRID_POINT_Y 110 TILEPROP INT_R_X27Y44 INDEX 12719 TILEPROP INT_R_X27Y44 INT_TILE_X 27 TILEPROP INT_R_X27Y44 INT_TILE_Y 105 TILEPROP INT_R_X27Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y44 IS_DCM_TILE 0 TILEPROP INT_R_X27Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y44 NAME INT_R_X27Y44 TILEPROP INT_R_X27Y44 NUM_ARCS 3737 TILEPROP INT_R_X27Y44 NUM_SITES 1 TILEPROP INT_R_X27Y44 ROW 110 TILEPROP INT_R_X27Y44 SLR_REGION_ID 0 TILEPROP INT_R_X27Y44 TILE_PATTERN_IDX 7442 TILEPROP INT_R_X27Y44 TILE_TYPE INT_R TILEPROP INT_R_X27Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y44 TILE_X 24888 TILEPROP INT_R_X27Y44 TILE_Y -97848 TILEPROP INT_R_X27Y44 TYPE INT_R TILEPROP INT_R_X27Y45 CLASS tile TILEPROP INT_R_X27Y45 COLUMN 69 TILEPROP INT_R_X27Y45 DEVICE_ID 0 TILEPROP INT_R_X27Y45 FIRST_SITE_ID 10918 TILEPROP INT_R_X27Y45 GRID_POINT_X 69 TILEPROP INT_R_X27Y45 GRID_POINT_Y 109 TILEPROP INT_R_X27Y45 INDEX 12604 TILEPROP INT_R_X27Y45 INT_TILE_X 27 TILEPROP INT_R_X27Y45 INT_TILE_Y 104 TILEPROP INT_R_X27Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y45 IS_DCM_TILE 0 TILEPROP INT_R_X27Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y45 NAME INT_R_X27Y45 TILEPROP INT_R_X27Y45 NUM_ARCS 3737 TILEPROP INT_R_X27Y45 NUM_SITES 1 TILEPROP INT_R_X27Y45 ROW 109 TILEPROP INT_R_X27Y45 SLR_REGION_ID 0 TILEPROP INT_R_X27Y45 TILE_PATTERN_IDX 7406 TILEPROP INT_R_X27Y45 TILE_TYPE INT_R TILEPROP INT_R_X27Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y45 TILE_X 24888 TILEPROP INT_R_X27Y45 TILE_Y -94648 TILEPROP INT_R_X27Y45 TYPE INT_R TILEPROP INT_R_X27Y46 CLASS tile TILEPROP INT_R_X27Y46 COLUMN 69 TILEPROP INT_R_X27Y46 DEVICE_ID 0 TILEPROP INT_R_X27Y46 FIRST_SITE_ID 10811 TILEPROP INT_R_X27Y46 GRID_POINT_X 69 TILEPROP INT_R_X27Y46 GRID_POINT_Y 108 TILEPROP INT_R_X27Y46 INDEX 12489 TILEPROP INT_R_X27Y46 INT_TILE_X 27 TILEPROP INT_R_X27Y46 INT_TILE_Y 103 TILEPROP INT_R_X27Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y46 IS_DCM_TILE 0 TILEPROP INT_R_X27Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y46 NAME INT_R_X27Y46 TILEPROP INT_R_X27Y46 NUM_ARCS 3737 TILEPROP INT_R_X27Y46 NUM_SITES 1 TILEPROP INT_R_X27Y46 ROW 108 TILEPROP INT_R_X27Y46 SLR_REGION_ID 0 TILEPROP INT_R_X27Y46 TILE_PATTERN_IDX 7370 TILEPROP INT_R_X27Y46 TILE_TYPE INT_R TILEPROP INT_R_X27Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y46 TILE_X 24888 TILEPROP INT_R_X27Y46 TILE_Y -91448 TILEPROP INT_R_X27Y46 TYPE INT_R TILEPROP INT_R_X27Y47 CLASS tile TILEPROP INT_R_X27Y47 COLUMN 69 TILEPROP INT_R_X27Y47 DEVICE_ID 0 TILEPROP INT_R_X27Y47 FIRST_SITE_ID 10695 TILEPROP INT_R_X27Y47 GRID_POINT_X 69 TILEPROP INT_R_X27Y47 GRID_POINT_Y 107 TILEPROP INT_R_X27Y47 INDEX 12374 TILEPROP INT_R_X27Y47 INT_TILE_X 27 TILEPROP INT_R_X27Y47 INT_TILE_Y 102 TILEPROP INT_R_X27Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y47 IS_DCM_TILE 0 TILEPROP INT_R_X27Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y47 NAME INT_R_X27Y47 TILEPROP INT_R_X27Y47 NUM_ARCS 3737 TILEPROP INT_R_X27Y47 NUM_SITES 1 TILEPROP INT_R_X27Y47 ROW 107 TILEPROP INT_R_X27Y47 SLR_REGION_ID 0 TILEPROP INT_R_X27Y47 TILE_PATTERN_IDX 7333 TILEPROP INT_R_X27Y47 TILE_TYPE INT_R TILEPROP INT_R_X27Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y47 TILE_X 24888 TILEPROP INT_R_X27Y47 TILE_Y -88248 TILEPROP INT_R_X27Y47 TYPE INT_R TILEPROP INT_R_X27Y48 CLASS tile TILEPROP INT_R_X27Y48 COLUMN 69 TILEPROP INT_R_X27Y48 DEVICE_ID 0 TILEPROP INT_R_X27Y48 FIRST_SITE_ID 10595 TILEPROP INT_R_X27Y48 GRID_POINT_X 69 TILEPROP INT_R_X27Y48 GRID_POINT_Y 106 TILEPROP INT_R_X27Y48 INDEX 12259 TILEPROP INT_R_X27Y48 INT_TILE_X 27 TILEPROP INT_R_X27Y48 INT_TILE_Y 101 TILEPROP INT_R_X27Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y48 IS_DCM_TILE 0 TILEPROP INT_R_X27Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y48 NAME INT_R_X27Y48 TILEPROP INT_R_X27Y48 NUM_ARCS 3737 TILEPROP INT_R_X27Y48 NUM_SITES 1 TILEPROP INT_R_X27Y48 ROW 106 TILEPROP INT_R_X27Y48 SLR_REGION_ID 0 TILEPROP INT_R_X27Y48 TILE_PATTERN_IDX 7297 TILEPROP INT_R_X27Y48 TILE_TYPE INT_R TILEPROP INT_R_X27Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y48 TILE_X 24888 TILEPROP INT_R_X27Y48 TILE_Y -85048 TILEPROP INT_R_X27Y48 TYPE INT_R TILEPROP INT_R_X27Y49 CLASS tile TILEPROP INT_R_X27Y49 COLUMN 69 TILEPROP INT_R_X27Y49 DEVICE_ID 0 TILEPROP INT_R_X27Y49 FIRST_SITE_ID 10499 TILEPROP INT_R_X27Y49 GRID_POINT_X 69 TILEPROP INT_R_X27Y49 GRID_POINT_Y 105 TILEPROP INT_R_X27Y49 INDEX 12144 TILEPROP INT_R_X27Y49 INT_TILE_X 27 TILEPROP INT_R_X27Y49 INT_TILE_Y 100 TILEPROP INT_R_X27Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y49 IS_DCM_TILE 0 TILEPROP INT_R_X27Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y49 NAME INT_R_X27Y49 TILEPROP INT_R_X27Y49 NUM_ARCS 3737 TILEPROP INT_R_X27Y49 NUM_SITES 1 TILEPROP INT_R_X27Y49 ROW 105 TILEPROP INT_R_X27Y49 SLR_REGION_ID 0 TILEPROP INT_R_X27Y49 TILE_PATTERN_IDX 7261 TILEPROP INT_R_X27Y49 TILE_TYPE INT_R TILEPROP INT_R_X27Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y49 TILE_X 24888 TILEPROP INT_R_X27Y49 TILE_Y -81848 TILEPROP INT_R_X27Y49 TYPE INT_R TILEPROP INT_R_X27Y50 CLASS tile TILEPROP INT_R_X27Y50 COLUMN 69 TILEPROP INT_R_X27Y50 DEVICE_ID 0 TILEPROP INT_R_X27Y50 FIRST_SITE_ID 10390 TILEPROP INT_R_X27Y50 GRID_POINT_X 69 TILEPROP INT_R_X27Y50 GRID_POINT_Y 103 TILEPROP INT_R_X27Y50 INDEX 11914 TILEPROP INT_R_X27Y50 INT_TILE_X 27 TILEPROP INT_R_X27Y50 INT_TILE_Y 99 TILEPROP INT_R_X27Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y50 IS_DCM_TILE 0 TILEPROP INT_R_X27Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y50 NAME INT_R_X27Y50 TILEPROP INT_R_X27Y50 NUM_ARCS 3737 TILEPROP INT_R_X27Y50 NUM_SITES 1 TILEPROP INT_R_X27Y50 ROW 103 TILEPROP INT_R_X27Y50 SLR_REGION_ID 0 TILEPROP INT_R_X27Y50 TILE_PATTERN_IDX 7224 TILEPROP INT_R_X27Y50 TILE_TYPE INT_R TILEPROP INT_R_X27Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y50 TILE_X 24888 TILEPROP INT_R_X27Y50 TILE_Y -78400 TILEPROP INT_R_X27Y50 TYPE INT_R TILEPROP INT_R_X27Y51 CLASS tile TILEPROP INT_R_X27Y51 COLUMN 69 TILEPROP INT_R_X27Y51 DEVICE_ID 0 TILEPROP INT_R_X27Y51 FIRST_SITE_ID 10264 TILEPROP INT_R_X27Y51 GRID_POINT_X 69 TILEPROP INT_R_X27Y51 GRID_POINT_Y 102 TILEPROP INT_R_X27Y51 INDEX 11799 TILEPROP INT_R_X27Y51 INT_TILE_X 27 TILEPROP INT_R_X27Y51 INT_TILE_Y 98 TILEPROP INT_R_X27Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y51 IS_DCM_TILE 0 TILEPROP INT_R_X27Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y51 NAME INT_R_X27Y51 TILEPROP INT_R_X27Y51 NUM_ARCS 3737 TILEPROP INT_R_X27Y51 NUM_SITES 1 TILEPROP INT_R_X27Y51 ROW 102 TILEPROP INT_R_X27Y51 SLR_REGION_ID 0 TILEPROP INT_R_X27Y51 TILE_PATTERN_IDX 7187 TILEPROP INT_R_X27Y51 TILE_TYPE INT_R TILEPROP INT_R_X27Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y51 TILE_X 24888 TILEPROP INT_R_X27Y51 TILE_Y -75200 TILEPROP INT_R_X27Y51 TYPE INT_R TILEPROP INT_R_X27Y52 CLASS tile TILEPROP INT_R_X27Y52 COLUMN 69 TILEPROP INT_R_X27Y52 DEVICE_ID 0 TILEPROP INT_R_X27Y52 FIRST_SITE_ID 10164 TILEPROP INT_R_X27Y52 GRID_POINT_X 69 TILEPROP INT_R_X27Y52 GRID_POINT_Y 101 TILEPROP INT_R_X27Y52 INDEX 11684 TILEPROP INT_R_X27Y52 INT_TILE_X 27 TILEPROP INT_R_X27Y52 INT_TILE_Y 97 TILEPROP INT_R_X27Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y52 IS_DCM_TILE 0 TILEPROP INT_R_X27Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y52 NAME INT_R_X27Y52 TILEPROP INT_R_X27Y52 NUM_ARCS 3737 TILEPROP INT_R_X27Y52 NUM_SITES 1 TILEPROP INT_R_X27Y52 ROW 101 TILEPROP INT_R_X27Y52 SLR_REGION_ID 0 TILEPROP INT_R_X27Y52 TILE_PATTERN_IDX 7150 TILEPROP INT_R_X27Y52 TILE_TYPE INT_R TILEPROP INT_R_X27Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y52 TILE_X 24888 TILEPROP INT_R_X27Y52 TILE_Y -72000 TILEPROP INT_R_X27Y52 TYPE INT_R TILEPROP INT_R_X27Y53 CLASS tile TILEPROP INT_R_X27Y53 COLUMN 69 TILEPROP INT_R_X27Y53 DEVICE_ID 0 TILEPROP INT_R_X27Y53 FIRST_SITE_ID 10064 TILEPROP INT_R_X27Y53 GRID_POINT_X 69 TILEPROP INT_R_X27Y53 GRID_POINT_Y 100 TILEPROP INT_R_X27Y53 INDEX 11569 TILEPROP INT_R_X27Y53 INT_TILE_X 27 TILEPROP INT_R_X27Y53 INT_TILE_Y 96 TILEPROP INT_R_X27Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y53 IS_DCM_TILE 0 TILEPROP INT_R_X27Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y53 NAME INT_R_X27Y53 TILEPROP INT_R_X27Y53 NUM_ARCS 3737 TILEPROP INT_R_X27Y53 NUM_SITES 1 TILEPROP INT_R_X27Y53 ROW 100 TILEPROP INT_R_X27Y53 SLR_REGION_ID 0 TILEPROP INT_R_X27Y53 TILE_PATTERN_IDX 7113 TILEPROP INT_R_X27Y53 TILE_TYPE INT_R TILEPROP INT_R_X27Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y53 TILE_X 24888 TILEPROP INT_R_X27Y53 TILE_Y -68800 TILEPROP INT_R_X27Y53 TYPE INT_R TILEPROP INT_R_X27Y54 CLASS tile TILEPROP INT_R_X27Y54 COLUMN 69 TILEPROP INT_R_X27Y54 DEVICE_ID 0 TILEPROP INT_R_X27Y54 FIRST_SITE_ID 9964 TILEPROP INT_R_X27Y54 GRID_POINT_X 69 TILEPROP INT_R_X27Y54 GRID_POINT_Y 99 TILEPROP INT_R_X27Y54 INDEX 11454 TILEPROP INT_R_X27Y54 INT_TILE_X 27 TILEPROP INT_R_X27Y54 INT_TILE_Y 95 TILEPROP INT_R_X27Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y54 IS_DCM_TILE 0 TILEPROP INT_R_X27Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y54 NAME INT_R_X27Y54 TILEPROP INT_R_X27Y54 NUM_ARCS 3737 TILEPROP INT_R_X27Y54 NUM_SITES 1 TILEPROP INT_R_X27Y54 ROW 99 TILEPROP INT_R_X27Y54 SLR_REGION_ID 0 TILEPROP INT_R_X27Y54 TILE_PATTERN_IDX 7076 TILEPROP INT_R_X27Y54 TILE_TYPE INT_R TILEPROP INT_R_X27Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y54 TILE_X 24888 TILEPROP INT_R_X27Y54 TILE_Y -65600 TILEPROP INT_R_X27Y54 TYPE INT_R TILEPROP INT_R_X27Y55 CLASS tile TILEPROP INT_R_X27Y55 COLUMN 69 TILEPROP INT_R_X27Y55 DEVICE_ID 0 TILEPROP INT_R_X27Y55 FIRST_SITE_ID 9855 TILEPROP INT_R_X27Y55 GRID_POINT_X 69 TILEPROP INT_R_X27Y55 GRID_POINT_Y 98 TILEPROP INT_R_X27Y55 INDEX 11339 TILEPROP INT_R_X27Y55 INT_TILE_X 27 TILEPROP INT_R_X27Y55 INT_TILE_Y 94 TILEPROP INT_R_X27Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y55 IS_DCM_TILE 0 TILEPROP INT_R_X27Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y55 NAME INT_R_X27Y55 TILEPROP INT_R_X27Y55 NUM_ARCS 3737 TILEPROP INT_R_X27Y55 NUM_SITES 1 TILEPROP INT_R_X27Y55 ROW 98 TILEPROP INT_R_X27Y55 SLR_REGION_ID 0 TILEPROP INT_R_X27Y55 TILE_PATTERN_IDX 7038 TILEPROP INT_R_X27Y55 TILE_TYPE INT_R TILEPROP INT_R_X27Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y55 TILE_X 24888 TILEPROP INT_R_X27Y55 TILE_Y -62400 TILEPROP INT_R_X27Y55 TYPE INT_R TILEPROP INT_R_X27Y56 CLASS tile TILEPROP INT_R_X27Y56 COLUMN 69 TILEPROP INT_R_X27Y56 DEVICE_ID 0 TILEPROP INT_R_X27Y56 FIRST_SITE_ID 9749 TILEPROP INT_R_X27Y56 GRID_POINT_X 69 TILEPROP INT_R_X27Y56 GRID_POINT_Y 97 TILEPROP INT_R_X27Y56 INDEX 11224 TILEPROP INT_R_X27Y56 INT_TILE_X 27 TILEPROP INT_R_X27Y56 INT_TILE_Y 93 TILEPROP INT_R_X27Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y56 IS_DCM_TILE 0 TILEPROP INT_R_X27Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y56 NAME INT_R_X27Y56 TILEPROP INT_R_X27Y56 NUM_ARCS 3737 TILEPROP INT_R_X27Y56 NUM_SITES 1 TILEPROP INT_R_X27Y56 ROW 97 TILEPROP INT_R_X27Y56 SLR_REGION_ID 0 TILEPROP INT_R_X27Y56 TILE_PATTERN_IDX 7001 TILEPROP INT_R_X27Y56 TILE_TYPE INT_R TILEPROP INT_R_X27Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y56 TILE_X 24888 TILEPROP INT_R_X27Y56 TILE_Y -59200 TILEPROP INT_R_X27Y56 TYPE INT_R TILEPROP INT_R_X27Y57 CLASS tile TILEPROP INT_R_X27Y57 COLUMN 69 TILEPROP INT_R_X27Y57 DEVICE_ID 0 TILEPROP INT_R_X27Y57 FIRST_SITE_ID 9647 TILEPROP INT_R_X27Y57 GRID_POINT_X 69 TILEPROP INT_R_X27Y57 GRID_POINT_Y 96 TILEPROP INT_R_X27Y57 INDEX 11109 TILEPROP INT_R_X27Y57 INT_TILE_X 27 TILEPROP INT_R_X27Y57 INT_TILE_Y 92 TILEPROP INT_R_X27Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y57 IS_DCM_TILE 0 TILEPROP INT_R_X27Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y57 NAME INT_R_X27Y57 TILEPROP INT_R_X27Y57 NUM_ARCS 3737 TILEPROP INT_R_X27Y57 NUM_SITES 1 TILEPROP INT_R_X27Y57 ROW 96 TILEPROP INT_R_X27Y57 SLR_REGION_ID 0 TILEPROP INT_R_X27Y57 TILE_PATTERN_IDX 6964 TILEPROP INT_R_X27Y57 TILE_TYPE INT_R TILEPROP INT_R_X27Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y57 TILE_X 24888 TILEPROP INT_R_X27Y57 TILE_Y -56000 TILEPROP INT_R_X27Y57 TYPE INT_R TILEPROP INT_R_X27Y58 CLASS tile TILEPROP INT_R_X27Y58 COLUMN 69 TILEPROP INT_R_X27Y58 DEVICE_ID 0 TILEPROP INT_R_X27Y58 FIRST_SITE_ID 9544 TILEPROP INT_R_X27Y58 GRID_POINT_X 69 TILEPROP INT_R_X27Y58 GRID_POINT_Y 95 TILEPROP INT_R_X27Y58 INDEX 10994 TILEPROP INT_R_X27Y58 INT_TILE_X 27 TILEPROP INT_R_X27Y58 INT_TILE_Y 91 TILEPROP INT_R_X27Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y58 IS_DCM_TILE 0 TILEPROP INT_R_X27Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y58 NAME INT_R_X27Y58 TILEPROP INT_R_X27Y58 NUM_ARCS 3737 TILEPROP INT_R_X27Y58 NUM_SITES 1 TILEPROP INT_R_X27Y58 ROW 95 TILEPROP INT_R_X27Y58 SLR_REGION_ID 0 TILEPROP INT_R_X27Y58 TILE_PATTERN_IDX 6926 TILEPROP INT_R_X27Y58 TILE_TYPE INT_R TILEPROP INT_R_X27Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y58 TILE_X 24888 TILEPROP INT_R_X27Y58 TILE_Y -52800 TILEPROP INT_R_X27Y58 TYPE INT_R TILEPROP INT_R_X27Y59 CLASS tile TILEPROP INT_R_X27Y59 COLUMN 69 TILEPROP INT_R_X27Y59 DEVICE_ID 0 TILEPROP INT_R_X27Y59 FIRST_SITE_ID 9443 TILEPROP INT_R_X27Y59 GRID_POINT_X 69 TILEPROP INT_R_X27Y59 GRID_POINT_Y 94 TILEPROP INT_R_X27Y59 INDEX 10879 TILEPROP INT_R_X27Y59 INT_TILE_X 27 TILEPROP INT_R_X27Y59 INT_TILE_Y 90 TILEPROP INT_R_X27Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y59 IS_DCM_TILE 0 TILEPROP INT_R_X27Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y59 NAME INT_R_X27Y59 TILEPROP INT_R_X27Y59 NUM_ARCS 3737 TILEPROP INT_R_X27Y59 NUM_SITES 1 TILEPROP INT_R_X27Y59 ROW 94 TILEPROP INT_R_X27Y59 SLR_REGION_ID 0 TILEPROP INT_R_X27Y59 TILE_PATTERN_IDX 6889 TILEPROP INT_R_X27Y59 TILE_TYPE INT_R TILEPROP INT_R_X27Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y59 TILE_X 24888 TILEPROP INT_R_X27Y59 TILE_Y -49600 TILEPROP INT_R_X27Y59 TYPE INT_R TILEPROP INT_R_X27Y60 CLASS tile TILEPROP INT_R_X27Y60 COLUMN 69 TILEPROP INT_R_X27Y60 DEVICE_ID 0 TILEPROP INT_R_X27Y60 FIRST_SITE_ID 9334 TILEPROP INT_R_X27Y60 GRID_POINT_X 69 TILEPROP INT_R_X27Y60 GRID_POINT_Y 93 TILEPROP INT_R_X27Y60 INDEX 10764 TILEPROP INT_R_X27Y60 INT_TILE_X 27 TILEPROP INT_R_X27Y60 INT_TILE_Y 89 TILEPROP INT_R_X27Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y60 IS_DCM_TILE 0 TILEPROP INT_R_X27Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y60 NAME INT_R_X27Y60 TILEPROP INT_R_X27Y60 NUM_ARCS 3737 TILEPROP INT_R_X27Y60 NUM_SITES 1 TILEPROP INT_R_X27Y60 ROW 93 TILEPROP INT_R_X27Y60 SLR_REGION_ID 0 TILEPROP INT_R_X27Y60 TILE_PATTERN_IDX 6852 TILEPROP INT_R_X27Y60 TILE_TYPE INT_R TILEPROP INT_R_X27Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y60 TILE_X 24888 TILEPROP INT_R_X27Y60 TILE_Y -46400 TILEPROP INT_R_X27Y60 TYPE INT_R TILEPROP INT_R_X27Y61 CLASS tile TILEPROP INT_R_X27Y61 COLUMN 69 TILEPROP INT_R_X27Y61 DEVICE_ID 0 TILEPROP INT_R_X27Y61 FIRST_SITE_ID 9228 TILEPROP INT_R_X27Y61 GRID_POINT_X 69 TILEPROP INT_R_X27Y61 GRID_POINT_Y 92 TILEPROP INT_R_X27Y61 INDEX 10649 TILEPROP INT_R_X27Y61 INT_TILE_X 27 TILEPROP INT_R_X27Y61 INT_TILE_Y 88 TILEPROP INT_R_X27Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y61 IS_DCM_TILE 0 TILEPROP INT_R_X27Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y61 NAME INT_R_X27Y61 TILEPROP INT_R_X27Y61 NUM_ARCS 3737 TILEPROP INT_R_X27Y61 NUM_SITES 1 TILEPROP INT_R_X27Y61 ROW 92 TILEPROP INT_R_X27Y61 SLR_REGION_ID 0 TILEPROP INT_R_X27Y61 TILE_PATTERN_IDX 6815 TILEPROP INT_R_X27Y61 TILE_TYPE INT_R TILEPROP INT_R_X27Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y61 TILE_X 24888 TILEPROP INT_R_X27Y61 TILE_Y -43200 TILEPROP INT_R_X27Y61 TYPE INT_R TILEPROP INT_R_X27Y62 CLASS tile TILEPROP INT_R_X27Y62 COLUMN 69 TILEPROP INT_R_X27Y62 DEVICE_ID 0 TILEPROP INT_R_X27Y62 FIRST_SITE_ID 9128 TILEPROP INT_R_X27Y62 GRID_POINT_X 69 TILEPROP INT_R_X27Y62 GRID_POINT_Y 91 TILEPROP INT_R_X27Y62 INDEX 10534 TILEPROP INT_R_X27Y62 INT_TILE_X 27 TILEPROP INT_R_X27Y62 INT_TILE_Y 87 TILEPROP INT_R_X27Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y62 IS_DCM_TILE 0 TILEPROP INT_R_X27Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y62 NAME INT_R_X27Y62 TILEPROP INT_R_X27Y62 NUM_ARCS 3737 TILEPROP INT_R_X27Y62 NUM_SITES 1 TILEPROP INT_R_X27Y62 ROW 91 TILEPROP INT_R_X27Y62 SLR_REGION_ID 0 TILEPROP INT_R_X27Y62 TILE_PATTERN_IDX 6778 TILEPROP INT_R_X27Y62 TILE_TYPE INT_R TILEPROP INT_R_X27Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y62 TILE_X 24888 TILEPROP INT_R_X27Y62 TILE_Y -40000 TILEPROP INT_R_X27Y62 TYPE INT_R TILEPROP INT_R_X27Y63 CLASS tile TILEPROP INT_R_X27Y63 COLUMN 69 TILEPROP INT_R_X27Y63 DEVICE_ID 0 TILEPROP INT_R_X27Y63 FIRST_SITE_ID 8996 TILEPROP INT_R_X27Y63 GRID_POINT_X 69 TILEPROP INT_R_X27Y63 GRID_POINT_Y 90 TILEPROP INT_R_X27Y63 INDEX 10419 TILEPROP INT_R_X27Y63 INT_TILE_X 27 TILEPROP INT_R_X27Y63 INT_TILE_Y 86 TILEPROP INT_R_X27Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y63 IS_DCM_TILE 0 TILEPROP INT_R_X27Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y63 NAME INT_R_X27Y63 TILEPROP INT_R_X27Y63 NUM_ARCS 3737 TILEPROP INT_R_X27Y63 NUM_SITES 1 TILEPROP INT_R_X27Y63 ROW 90 TILEPROP INT_R_X27Y63 SLR_REGION_ID 0 TILEPROP INT_R_X27Y63 TILE_PATTERN_IDX 6741 TILEPROP INT_R_X27Y63 TILE_TYPE INT_R TILEPROP INT_R_X27Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y63 TILE_X 24888 TILEPROP INT_R_X27Y63 TILE_Y -36800 TILEPROP INT_R_X27Y63 TYPE INT_R TILEPROP INT_R_X27Y64 CLASS tile TILEPROP INT_R_X27Y64 COLUMN 69 TILEPROP INT_R_X27Y64 DEVICE_ID 0 TILEPROP INT_R_X27Y64 FIRST_SITE_ID 8896 TILEPROP INT_R_X27Y64 GRID_POINT_X 69 TILEPROP INT_R_X27Y64 GRID_POINT_Y 89 TILEPROP INT_R_X27Y64 INDEX 10304 TILEPROP INT_R_X27Y64 INT_TILE_X 27 TILEPROP INT_R_X27Y64 INT_TILE_Y 85 TILEPROP INT_R_X27Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y64 IS_DCM_TILE 0 TILEPROP INT_R_X27Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y64 NAME INT_R_X27Y64 TILEPROP INT_R_X27Y64 NUM_ARCS 3737 TILEPROP INT_R_X27Y64 NUM_SITES 1 TILEPROP INT_R_X27Y64 ROW 89 TILEPROP INT_R_X27Y64 SLR_REGION_ID 0 TILEPROP INT_R_X27Y64 TILE_PATTERN_IDX 6704 TILEPROP INT_R_X27Y64 TILE_TYPE INT_R TILEPROP INT_R_X27Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y64 TILE_X 24888 TILEPROP INT_R_X27Y64 TILE_Y -33600 TILEPROP INT_R_X27Y64 TYPE INT_R TILEPROP INT_R_X27Y65 CLASS tile TILEPROP INT_R_X27Y65 COLUMN 69 TILEPROP INT_R_X27Y65 DEVICE_ID 0 TILEPROP INT_R_X27Y65 FIRST_SITE_ID 8787 TILEPROP INT_R_X27Y65 GRID_POINT_X 69 TILEPROP INT_R_X27Y65 GRID_POINT_Y 88 TILEPROP INT_R_X27Y65 INDEX 10189 TILEPROP INT_R_X27Y65 INT_TILE_X 27 TILEPROP INT_R_X27Y65 INT_TILE_Y 84 TILEPROP INT_R_X27Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y65 IS_DCM_TILE 0 TILEPROP INT_R_X27Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y65 NAME INT_R_X27Y65 TILEPROP INT_R_X27Y65 NUM_ARCS 3737 TILEPROP INT_R_X27Y65 NUM_SITES 1 TILEPROP INT_R_X27Y65 ROW 88 TILEPROP INT_R_X27Y65 SLR_REGION_ID 0 TILEPROP INT_R_X27Y65 TILE_PATTERN_IDX 6667 TILEPROP INT_R_X27Y65 TILE_TYPE INT_R TILEPROP INT_R_X27Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y65 TILE_X 24888 TILEPROP INT_R_X27Y65 TILE_Y -30400 TILEPROP INT_R_X27Y65 TYPE INT_R TILEPROP INT_R_X27Y66 CLASS tile TILEPROP INT_R_X27Y66 COLUMN 69 TILEPROP INT_R_X27Y66 DEVICE_ID 0 TILEPROP INT_R_X27Y66 FIRST_SITE_ID 8681 TILEPROP INT_R_X27Y66 GRID_POINT_X 69 TILEPROP INT_R_X27Y66 GRID_POINT_Y 87 TILEPROP INT_R_X27Y66 INDEX 10074 TILEPROP INT_R_X27Y66 INT_TILE_X 27 TILEPROP INT_R_X27Y66 INT_TILE_Y 83 TILEPROP INT_R_X27Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y66 IS_DCM_TILE 0 TILEPROP INT_R_X27Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y66 NAME INT_R_X27Y66 TILEPROP INT_R_X27Y66 NUM_ARCS 3737 TILEPROP INT_R_X27Y66 NUM_SITES 1 TILEPROP INT_R_X27Y66 ROW 87 TILEPROP INT_R_X27Y66 SLR_REGION_ID 0 TILEPROP INT_R_X27Y66 TILE_PATTERN_IDX 6630 TILEPROP INT_R_X27Y66 TILE_TYPE INT_R TILEPROP INT_R_X27Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y66 TILE_X 24888 TILEPROP INT_R_X27Y66 TILE_Y -27200 TILEPROP INT_R_X27Y66 TYPE INT_R TILEPROP INT_R_X27Y67 CLASS tile TILEPROP INT_R_X27Y67 COLUMN 69 TILEPROP INT_R_X27Y67 DEVICE_ID 0 TILEPROP INT_R_X27Y67 FIRST_SITE_ID 8577 TILEPROP INT_R_X27Y67 GRID_POINT_X 69 TILEPROP INT_R_X27Y67 GRID_POINT_Y 86 TILEPROP INT_R_X27Y67 INDEX 9959 TILEPROP INT_R_X27Y67 INT_TILE_X 27 TILEPROP INT_R_X27Y67 INT_TILE_Y 82 TILEPROP INT_R_X27Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y67 IS_DCM_TILE 0 TILEPROP INT_R_X27Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y67 NAME INT_R_X27Y67 TILEPROP INT_R_X27Y67 NUM_ARCS 3737 TILEPROP INT_R_X27Y67 NUM_SITES 1 TILEPROP INT_R_X27Y67 ROW 86 TILEPROP INT_R_X27Y67 SLR_REGION_ID 0 TILEPROP INT_R_X27Y67 TILE_PATTERN_IDX 6592 TILEPROP INT_R_X27Y67 TILE_TYPE INT_R TILEPROP INT_R_X27Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y67 TILE_X 24888 TILEPROP INT_R_X27Y67 TILE_Y -24000 TILEPROP INT_R_X27Y67 TYPE INT_R TILEPROP INT_R_X27Y68 CLASS tile TILEPROP INT_R_X27Y68 COLUMN 69 TILEPROP INT_R_X27Y68 DEVICE_ID 0 TILEPROP INT_R_X27Y68 FIRST_SITE_ID 8473 TILEPROP INT_R_X27Y68 GRID_POINT_X 69 TILEPROP INT_R_X27Y68 GRID_POINT_Y 85 TILEPROP INT_R_X27Y68 INDEX 9844 TILEPROP INT_R_X27Y68 INT_TILE_X 27 TILEPROP INT_R_X27Y68 INT_TILE_Y 81 TILEPROP INT_R_X27Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y68 IS_DCM_TILE 0 TILEPROP INT_R_X27Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y68 NAME INT_R_X27Y68 TILEPROP INT_R_X27Y68 NUM_ARCS 3737 TILEPROP INT_R_X27Y68 NUM_SITES 1 TILEPROP INT_R_X27Y68 ROW 85 TILEPROP INT_R_X27Y68 SLR_REGION_ID 0 TILEPROP INT_R_X27Y68 TILE_PATTERN_IDX 6560 TILEPROP INT_R_X27Y68 TILE_TYPE INT_R TILEPROP INT_R_X27Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y68 TILE_X 24888 TILEPROP INT_R_X27Y68 TILE_Y -20800 TILEPROP INT_R_X27Y68 TYPE INT_R TILEPROP INT_R_X27Y69 CLASS tile TILEPROP INT_R_X27Y69 COLUMN 69 TILEPROP INT_R_X27Y69 DEVICE_ID 0 TILEPROP INT_R_X27Y69 FIRST_SITE_ID 8371 TILEPROP INT_R_X27Y69 GRID_POINT_X 69 TILEPROP INT_R_X27Y69 GRID_POINT_Y 84 TILEPROP INT_R_X27Y69 INDEX 9729 TILEPROP INT_R_X27Y69 INT_TILE_X 27 TILEPROP INT_R_X27Y69 INT_TILE_Y 80 TILEPROP INT_R_X27Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y69 IS_DCM_TILE 0 TILEPROP INT_R_X27Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y69 NAME INT_R_X27Y69 TILEPROP INT_R_X27Y69 NUM_ARCS 3737 TILEPROP INT_R_X27Y69 NUM_SITES 1 TILEPROP INT_R_X27Y69 ROW 84 TILEPROP INT_R_X27Y69 SLR_REGION_ID 0 TILEPROP INT_R_X27Y69 TILE_PATTERN_IDX 6529 TILEPROP INT_R_X27Y69 TILE_TYPE INT_R TILEPROP INT_R_X27Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y69 TILE_X 24888 TILEPROP INT_R_X27Y69 TILE_Y -17600 TILEPROP INT_R_X27Y69 TYPE INT_R TILEPROP INT_R_X27Y70 CLASS tile TILEPROP INT_R_X27Y70 COLUMN 69 TILEPROP INT_R_X27Y70 DEVICE_ID 0 TILEPROP INT_R_X27Y70 FIRST_SITE_ID 8260 TILEPROP INT_R_X27Y70 GRID_POINT_X 69 TILEPROP INT_R_X27Y70 GRID_POINT_Y 83 TILEPROP INT_R_X27Y70 INDEX 9614 TILEPROP INT_R_X27Y70 INT_TILE_X 27 TILEPROP INT_R_X27Y70 INT_TILE_Y 79 TILEPROP INT_R_X27Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y70 IS_DCM_TILE 0 TILEPROP INT_R_X27Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y70 NAME INT_R_X27Y70 TILEPROP INT_R_X27Y70 NUM_ARCS 3737 TILEPROP INT_R_X27Y70 NUM_SITES 1 TILEPROP INT_R_X27Y70 ROW 83 TILEPROP INT_R_X27Y70 SLR_REGION_ID 0 TILEPROP INT_R_X27Y70 TILE_PATTERN_IDX 6498 TILEPROP INT_R_X27Y70 TILE_TYPE INT_R TILEPROP INT_R_X27Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y70 TILE_X 24888 TILEPROP INT_R_X27Y70 TILE_Y -14400 TILEPROP INT_R_X27Y70 TYPE INT_R TILEPROP INT_R_X27Y71 CLASS tile TILEPROP INT_R_X27Y71 COLUMN 69 TILEPROP INT_R_X27Y71 DEVICE_ID 0 TILEPROP INT_R_X27Y71 FIRST_SITE_ID 8154 TILEPROP INT_R_X27Y71 GRID_POINT_X 69 TILEPROP INT_R_X27Y71 GRID_POINT_Y 82 TILEPROP INT_R_X27Y71 INDEX 9499 TILEPROP INT_R_X27Y71 INT_TILE_X 27 TILEPROP INT_R_X27Y71 INT_TILE_Y 78 TILEPROP INT_R_X27Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y71 IS_DCM_TILE 0 TILEPROP INT_R_X27Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y71 NAME INT_R_X27Y71 TILEPROP INT_R_X27Y71 NUM_ARCS 3737 TILEPROP INT_R_X27Y71 NUM_SITES 1 TILEPROP INT_R_X27Y71 ROW 82 TILEPROP INT_R_X27Y71 SLR_REGION_ID 0 TILEPROP INT_R_X27Y71 TILE_PATTERN_IDX 6467 TILEPROP INT_R_X27Y71 TILE_TYPE INT_R TILEPROP INT_R_X27Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y71 TILE_X 24888 TILEPROP INT_R_X27Y71 TILE_Y -11200 TILEPROP INT_R_X27Y71 TYPE INT_R TILEPROP INT_R_X27Y72 CLASS tile TILEPROP INT_R_X27Y72 COLUMN 69 TILEPROP INT_R_X27Y72 DEVICE_ID 0 TILEPROP INT_R_X27Y72 FIRST_SITE_ID 8054 TILEPROP INT_R_X27Y72 GRID_POINT_X 69 TILEPROP INT_R_X27Y72 GRID_POINT_Y 81 TILEPROP INT_R_X27Y72 INDEX 9384 TILEPROP INT_R_X27Y72 INT_TILE_X 27 TILEPROP INT_R_X27Y72 INT_TILE_Y 77 TILEPROP INT_R_X27Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y72 IS_DCM_TILE 0 TILEPROP INT_R_X27Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y72 NAME INT_R_X27Y72 TILEPROP INT_R_X27Y72 NUM_ARCS 3737 TILEPROP INT_R_X27Y72 NUM_SITES 1 TILEPROP INT_R_X27Y72 ROW 81 TILEPROP INT_R_X27Y72 SLR_REGION_ID 0 TILEPROP INT_R_X27Y72 TILE_PATTERN_IDX 6436 TILEPROP INT_R_X27Y72 TILE_TYPE INT_R TILEPROP INT_R_X27Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y72 TILE_X 24888 TILEPROP INT_R_X27Y72 TILE_Y -8000 TILEPROP INT_R_X27Y72 TYPE INT_R TILEPROP INT_R_X27Y73 CLASS tile TILEPROP INT_R_X27Y73 COLUMN 69 TILEPROP INT_R_X27Y73 DEVICE_ID 0 TILEPROP INT_R_X27Y73 FIRST_SITE_ID 7954 TILEPROP INT_R_X27Y73 GRID_POINT_X 69 TILEPROP INT_R_X27Y73 GRID_POINT_Y 80 TILEPROP INT_R_X27Y73 INDEX 9269 TILEPROP INT_R_X27Y73 INT_TILE_X 27 TILEPROP INT_R_X27Y73 INT_TILE_Y 76 TILEPROP INT_R_X27Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y73 IS_DCM_TILE 0 TILEPROP INT_R_X27Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y73 NAME INT_R_X27Y73 TILEPROP INT_R_X27Y73 NUM_ARCS 3737 TILEPROP INT_R_X27Y73 NUM_SITES 1 TILEPROP INT_R_X27Y73 ROW 80 TILEPROP INT_R_X27Y73 SLR_REGION_ID 0 TILEPROP INT_R_X27Y73 TILE_PATTERN_IDX 6405 TILEPROP INT_R_X27Y73 TILE_TYPE INT_R TILEPROP INT_R_X27Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y73 TILE_X 24888 TILEPROP INT_R_X27Y73 TILE_Y -4800 TILEPROP INT_R_X27Y73 TYPE INT_R TILEPROP INT_R_X27Y74 CLASS tile TILEPROP INT_R_X27Y74 COLUMN 69 TILEPROP INT_R_X27Y74 DEVICE_ID 0 TILEPROP INT_R_X27Y74 FIRST_SITE_ID 7854 TILEPROP INT_R_X27Y74 GRID_POINT_X 69 TILEPROP INT_R_X27Y74 GRID_POINT_Y 79 TILEPROP INT_R_X27Y74 INDEX 9154 TILEPROP INT_R_X27Y74 INT_TILE_X 27 TILEPROP INT_R_X27Y74 INT_TILE_Y 75 TILEPROP INT_R_X27Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y74 IS_DCM_TILE 0 TILEPROP INT_R_X27Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y74 NAME INT_R_X27Y74 TILEPROP INT_R_X27Y74 NUM_ARCS 3737 TILEPROP INT_R_X27Y74 NUM_SITES 1 TILEPROP INT_R_X27Y74 ROW 79 TILEPROP INT_R_X27Y74 SLR_REGION_ID 0 TILEPROP INT_R_X27Y74 TILE_PATTERN_IDX 6374 TILEPROP INT_R_X27Y74 TILE_TYPE INT_R TILEPROP INT_R_X27Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y74 TILE_X 24888 TILEPROP INT_R_X27Y74 TILE_Y -1600 TILEPROP INT_R_X27Y74 TYPE INT_R TILEPROP INT_R_X27Y75 CLASS tile TILEPROP INT_R_X27Y75 COLUMN 69 TILEPROP INT_R_X27Y75 DEVICE_ID 0 TILEPROP INT_R_X27Y75 FIRST_SITE_ID 7662 TILEPROP INT_R_X27Y75 GRID_POINT_X 69 TILEPROP INT_R_X27Y75 GRID_POINT_Y 77 TILEPROP INT_R_X27Y75 INDEX 8924 TILEPROP INT_R_X27Y75 INT_TILE_X 27 TILEPROP INT_R_X27Y75 INT_TILE_Y 74 TILEPROP INT_R_X27Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y75 IS_DCM_TILE 0 TILEPROP INT_R_X27Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y75 NAME INT_R_X27Y75 TILEPROP INT_R_X27Y75 NUM_ARCS 3737 TILEPROP INT_R_X27Y75 NUM_SITES 1 TILEPROP INT_R_X27Y75 ROW 77 TILEPROP INT_R_X27Y75 SLR_REGION_ID 0 TILEPROP INT_R_X27Y75 TILE_PATTERN_IDX 6300 TILEPROP INT_R_X27Y75 TILE_TYPE INT_R TILEPROP INT_R_X27Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y75 TILE_X 24888 TILEPROP INT_R_X27Y75 TILE_Y 2624 TILEPROP INT_R_X27Y75 TYPE INT_R TILEPROP INT_R_X27Y76 CLASS tile TILEPROP INT_R_X27Y76 COLUMN 69 TILEPROP INT_R_X27Y76 DEVICE_ID 0 TILEPROP INT_R_X27Y76 FIRST_SITE_ID 7553 TILEPROP INT_R_X27Y76 GRID_POINT_X 69 TILEPROP INT_R_X27Y76 GRID_POINT_Y 76 TILEPROP INT_R_X27Y76 INDEX 8809 TILEPROP INT_R_X27Y76 INT_TILE_X 27 TILEPROP INT_R_X27Y76 INT_TILE_Y 73 TILEPROP INT_R_X27Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y76 IS_DCM_TILE 0 TILEPROP INT_R_X27Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y76 NAME INT_R_X27Y76 TILEPROP INT_R_X27Y76 NUM_ARCS 3737 TILEPROP INT_R_X27Y76 NUM_SITES 1 TILEPROP INT_R_X27Y76 ROW 76 TILEPROP INT_R_X27Y76 SLR_REGION_ID 0 TILEPROP INT_R_X27Y76 TILE_PATTERN_IDX 6266 TILEPROP INT_R_X27Y76 TILE_TYPE INT_R TILEPROP INT_R_X27Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y76 TILE_X 24888 TILEPROP INT_R_X27Y76 TILE_Y 5824 TILEPROP INT_R_X27Y76 TYPE INT_R TILEPROP INT_R_X27Y77 CLASS tile TILEPROP INT_R_X27Y77 COLUMN 69 TILEPROP INT_R_X27Y77 DEVICE_ID 0 TILEPROP INT_R_X27Y77 FIRST_SITE_ID 7453 TILEPROP INT_R_X27Y77 GRID_POINT_X 69 TILEPROP INT_R_X27Y77 GRID_POINT_Y 75 TILEPROP INT_R_X27Y77 INDEX 8694 TILEPROP INT_R_X27Y77 INT_TILE_X 27 TILEPROP INT_R_X27Y77 INT_TILE_Y 72 TILEPROP INT_R_X27Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y77 IS_DCM_TILE 0 TILEPROP INT_R_X27Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y77 NAME INT_R_X27Y77 TILEPROP INT_R_X27Y77 NUM_ARCS 3737 TILEPROP INT_R_X27Y77 NUM_SITES 1 TILEPROP INT_R_X27Y77 ROW 75 TILEPROP INT_R_X27Y77 SLR_REGION_ID 0 TILEPROP INT_R_X27Y77 TILE_PATTERN_IDX 6232 TILEPROP INT_R_X27Y77 TILE_TYPE INT_R TILEPROP INT_R_X27Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y77 TILE_X 24888 TILEPROP INT_R_X27Y77 TILE_Y 9024 TILEPROP INT_R_X27Y77 TYPE INT_R TILEPROP INT_R_X27Y78 CLASS tile TILEPROP INT_R_X27Y78 COLUMN 69 TILEPROP INT_R_X27Y78 DEVICE_ID 0 TILEPROP INT_R_X27Y78 FIRST_SITE_ID 7353 TILEPROP INT_R_X27Y78 GRID_POINT_X 69 TILEPROP INT_R_X27Y78 GRID_POINT_Y 74 TILEPROP INT_R_X27Y78 INDEX 8579 TILEPROP INT_R_X27Y78 INT_TILE_X 27 TILEPROP INT_R_X27Y78 INT_TILE_Y 71 TILEPROP INT_R_X27Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y78 IS_DCM_TILE 0 TILEPROP INT_R_X27Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y78 NAME INT_R_X27Y78 TILEPROP INT_R_X27Y78 NUM_ARCS 3737 TILEPROP INT_R_X27Y78 NUM_SITES 1 TILEPROP INT_R_X27Y78 ROW 74 TILEPROP INT_R_X27Y78 SLR_REGION_ID 0 TILEPROP INT_R_X27Y78 TILE_PATTERN_IDX 6199 TILEPROP INT_R_X27Y78 TILE_TYPE INT_R TILEPROP INT_R_X27Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y78 TILE_X 24888 TILEPROP INT_R_X27Y78 TILE_Y 12224 TILEPROP INT_R_X27Y78 TYPE INT_R TILEPROP INT_R_X27Y79 CLASS tile TILEPROP INT_R_X27Y79 COLUMN 69 TILEPROP INT_R_X27Y79 DEVICE_ID 0 TILEPROP INT_R_X27Y79 FIRST_SITE_ID 7247 TILEPROP INT_R_X27Y79 GRID_POINT_X 69 TILEPROP INT_R_X27Y79 GRID_POINT_Y 73 TILEPROP INT_R_X27Y79 INDEX 8464 TILEPROP INT_R_X27Y79 INT_TILE_X 27 TILEPROP INT_R_X27Y79 INT_TILE_Y 70 TILEPROP INT_R_X27Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y79 IS_DCM_TILE 0 TILEPROP INT_R_X27Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y79 NAME INT_R_X27Y79 TILEPROP INT_R_X27Y79 NUM_ARCS 3737 TILEPROP INT_R_X27Y79 NUM_SITES 1 TILEPROP INT_R_X27Y79 ROW 73 TILEPROP INT_R_X27Y79 SLR_REGION_ID 0 TILEPROP INT_R_X27Y79 TILE_PATTERN_IDX 6164 TILEPROP INT_R_X27Y79 TILE_TYPE INT_R TILEPROP INT_R_X27Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y79 TILE_X 24888 TILEPROP INT_R_X27Y79 TILE_Y 15424 TILEPROP INT_R_X27Y79 TYPE INT_R TILEPROP INT_R_X27Y80 CLASS tile TILEPROP INT_R_X27Y80 COLUMN 69 TILEPROP INT_R_X27Y80 DEVICE_ID 0 TILEPROP INT_R_X27Y80 FIRST_SITE_ID 7132 TILEPROP INT_R_X27Y80 GRID_POINT_X 69 TILEPROP INT_R_X27Y80 GRID_POINT_Y 72 TILEPROP INT_R_X27Y80 INDEX 8349 TILEPROP INT_R_X27Y80 INT_TILE_X 27 TILEPROP INT_R_X27Y80 INT_TILE_Y 69 TILEPROP INT_R_X27Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y80 IS_DCM_TILE 0 TILEPROP INT_R_X27Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y80 NAME INT_R_X27Y80 TILEPROP INT_R_X27Y80 NUM_ARCS 3737 TILEPROP INT_R_X27Y80 NUM_SITES 1 TILEPROP INT_R_X27Y80 ROW 72 TILEPROP INT_R_X27Y80 SLR_REGION_ID 0 TILEPROP INT_R_X27Y80 TILE_PATTERN_IDX 6129 TILEPROP INT_R_X27Y80 TILE_TYPE INT_R TILEPROP INT_R_X27Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y80 TILE_X 24888 TILEPROP INT_R_X27Y80 TILE_Y 18624 TILEPROP INT_R_X27Y80 TYPE INT_R TILEPROP INT_R_X27Y81 CLASS tile TILEPROP INT_R_X27Y81 COLUMN 69 TILEPROP INT_R_X27Y81 DEVICE_ID 0 TILEPROP INT_R_X27Y81 FIRST_SITE_ID 7024 TILEPROP INT_R_X27Y81 GRID_POINT_X 69 TILEPROP INT_R_X27Y81 GRID_POINT_Y 71 TILEPROP INT_R_X27Y81 INDEX 8234 TILEPROP INT_R_X27Y81 INT_TILE_X 27 TILEPROP INT_R_X27Y81 INT_TILE_Y 68 TILEPROP INT_R_X27Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y81 IS_DCM_TILE 0 TILEPROP INT_R_X27Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y81 NAME INT_R_X27Y81 TILEPROP INT_R_X27Y81 NUM_ARCS 3737 TILEPROP INT_R_X27Y81 NUM_SITES 1 TILEPROP INT_R_X27Y81 ROW 71 TILEPROP INT_R_X27Y81 SLR_REGION_ID 0 TILEPROP INT_R_X27Y81 TILE_PATTERN_IDX 6095 TILEPROP INT_R_X27Y81 TILE_TYPE INT_R TILEPROP INT_R_X27Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y81 TILE_X 24888 TILEPROP INT_R_X27Y81 TILE_Y 21824 TILEPROP INT_R_X27Y81 TYPE INT_R TILEPROP INT_R_X27Y82 CLASS tile TILEPROP INT_R_X27Y82 COLUMN 69 TILEPROP INT_R_X27Y82 DEVICE_ID 0 TILEPROP INT_R_X27Y82 FIRST_SITE_ID 6922 TILEPROP INT_R_X27Y82 GRID_POINT_X 69 TILEPROP INT_R_X27Y82 GRID_POINT_Y 70 TILEPROP INT_R_X27Y82 INDEX 8119 TILEPROP INT_R_X27Y82 INT_TILE_X 27 TILEPROP INT_R_X27Y82 INT_TILE_Y 67 TILEPROP INT_R_X27Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y82 IS_DCM_TILE 0 TILEPROP INT_R_X27Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y82 NAME INT_R_X27Y82 TILEPROP INT_R_X27Y82 NUM_ARCS 3737 TILEPROP INT_R_X27Y82 NUM_SITES 1 TILEPROP INT_R_X27Y82 ROW 70 TILEPROP INT_R_X27Y82 SLR_REGION_ID 0 TILEPROP INT_R_X27Y82 TILE_PATTERN_IDX 6061 TILEPROP INT_R_X27Y82 TILE_TYPE INT_R TILEPROP INT_R_X27Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y82 TILE_X 24888 TILEPROP INT_R_X27Y82 TILE_Y 25024 TILEPROP INT_R_X27Y82 TYPE INT_R TILEPROP INT_R_X27Y83 CLASS tile TILEPROP INT_R_X27Y83 COLUMN 69 TILEPROP INT_R_X27Y83 DEVICE_ID 0 TILEPROP INT_R_X27Y83 FIRST_SITE_ID 6822 TILEPROP INT_R_X27Y83 GRID_POINT_X 69 TILEPROP INT_R_X27Y83 GRID_POINT_Y 69 TILEPROP INT_R_X27Y83 INDEX 8004 TILEPROP INT_R_X27Y83 INT_TILE_X 27 TILEPROP INT_R_X27Y83 INT_TILE_Y 66 TILEPROP INT_R_X27Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y83 IS_DCM_TILE 0 TILEPROP INT_R_X27Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y83 NAME INT_R_X27Y83 TILEPROP INT_R_X27Y83 NUM_ARCS 3737 TILEPROP INT_R_X27Y83 NUM_SITES 1 TILEPROP INT_R_X27Y83 ROW 69 TILEPROP INT_R_X27Y83 SLR_REGION_ID 0 TILEPROP INT_R_X27Y83 TILE_PATTERN_IDX 6027 TILEPROP INT_R_X27Y83 TILE_TYPE INT_R TILEPROP INT_R_X27Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y83 TILE_X 24888 TILEPROP INT_R_X27Y83 TILE_Y 28224 TILEPROP INT_R_X27Y83 TYPE INT_R TILEPROP INT_R_X27Y84 CLASS tile TILEPROP INT_R_X27Y84 COLUMN 69 TILEPROP INT_R_X27Y84 DEVICE_ID 0 TILEPROP INT_R_X27Y84 FIRST_SITE_ID 6722 TILEPROP INT_R_X27Y84 GRID_POINT_X 69 TILEPROP INT_R_X27Y84 GRID_POINT_Y 68 TILEPROP INT_R_X27Y84 INDEX 7889 TILEPROP INT_R_X27Y84 INT_TILE_X 27 TILEPROP INT_R_X27Y84 INT_TILE_Y 65 TILEPROP INT_R_X27Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y84 IS_DCM_TILE 0 TILEPROP INT_R_X27Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y84 NAME INT_R_X27Y84 TILEPROP INT_R_X27Y84 NUM_ARCS 3737 TILEPROP INT_R_X27Y84 NUM_SITES 1 TILEPROP INT_R_X27Y84 ROW 68 TILEPROP INT_R_X27Y84 SLR_REGION_ID 0 TILEPROP INT_R_X27Y84 TILE_PATTERN_IDX 5993 TILEPROP INT_R_X27Y84 TILE_TYPE INT_R TILEPROP INT_R_X27Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y84 TILE_X 24888 TILEPROP INT_R_X27Y84 TILE_Y 31424 TILEPROP INT_R_X27Y84 TYPE INT_R TILEPROP INT_R_X27Y85 CLASS tile TILEPROP INT_R_X27Y85 COLUMN 69 TILEPROP INT_R_X27Y85 DEVICE_ID 0 TILEPROP INT_R_X27Y85 FIRST_SITE_ID 6613 TILEPROP INT_R_X27Y85 GRID_POINT_X 69 TILEPROP INT_R_X27Y85 GRID_POINT_Y 67 TILEPROP INT_R_X27Y85 INDEX 7774 TILEPROP INT_R_X27Y85 INT_TILE_X 27 TILEPROP INT_R_X27Y85 INT_TILE_Y 64 TILEPROP INT_R_X27Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y85 IS_DCM_TILE 0 TILEPROP INT_R_X27Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y85 NAME INT_R_X27Y85 TILEPROP INT_R_X27Y85 NUM_ARCS 3737 TILEPROP INT_R_X27Y85 NUM_SITES 1 TILEPROP INT_R_X27Y85 ROW 67 TILEPROP INT_R_X27Y85 SLR_REGION_ID 0 TILEPROP INT_R_X27Y85 TILE_PATTERN_IDX 5959 TILEPROP INT_R_X27Y85 TILE_TYPE INT_R TILEPROP INT_R_X27Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y85 TILE_X 24888 TILEPROP INT_R_X27Y85 TILE_Y 34624 TILEPROP INT_R_X27Y85 TYPE INT_R TILEPROP INT_R_X27Y86 CLASS tile TILEPROP INT_R_X27Y86 COLUMN 69 TILEPROP INT_R_X27Y86 DEVICE_ID 0 TILEPROP INT_R_X27Y86 FIRST_SITE_ID 6507 TILEPROP INT_R_X27Y86 GRID_POINT_X 69 TILEPROP INT_R_X27Y86 GRID_POINT_Y 66 TILEPROP INT_R_X27Y86 INDEX 7659 TILEPROP INT_R_X27Y86 INT_TILE_X 27 TILEPROP INT_R_X27Y86 INT_TILE_Y 63 TILEPROP INT_R_X27Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y86 IS_DCM_TILE 0 TILEPROP INT_R_X27Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y86 NAME INT_R_X27Y86 TILEPROP INT_R_X27Y86 NUM_ARCS 3737 TILEPROP INT_R_X27Y86 NUM_SITES 1 TILEPROP INT_R_X27Y86 ROW 66 TILEPROP INT_R_X27Y86 SLR_REGION_ID 0 TILEPROP INT_R_X27Y86 TILE_PATTERN_IDX 5924 TILEPROP INT_R_X27Y86 TILE_TYPE INT_R TILEPROP INT_R_X27Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y86 TILE_X 24888 TILEPROP INT_R_X27Y86 TILE_Y 37824 TILEPROP INT_R_X27Y86 TYPE INT_R TILEPROP INT_R_X27Y87 CLASS tile TILEPROP INT_R_X27Y87 COLUMN 69 TILEPROP INT_R_X27Y87 DEVICE_ID 0 TILEPROP INT_R_X27Y87 FIRST_SITE_ID 6375 TILEPROP INT_R_X27Y87 GRID_POINT_X 69 TILEPROP INT_R_X27Y87 GRID_POINT_Y 65 TILEPROP INT_R_X27Y87 INDEX 7544 TILEPROP INT_R_X27Y87 INT_TILE_X 27 TILEPROP INT_R_X27Y87 INT_TILE_Y 62 TILEPROP INT_R_X27Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y87 IS_DCM_TILE 0 TILEPROP INT_R_X27Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y87 NAME INT_R_X27Y87 TILEPROP INT_R_X27Y87 NUM_ARCS 3737 TILEPROP INT_R_X27Y87 NUM_SITES 1 TILEPROP INT_R_X27Y87 ROW 65 TILEPROP INT_R_X27Y87 SLR_REGION_ID 0 TILEPROP INT_R_X27Y87 TILE_PATTERN_IDX 5889 TILEPROP INT_R_X27Y87 TILE_TYPE INT_R TILEPROP INT_R_X27Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y87 TILE_X 24888 TILEPROP INT_R_X27Y87 TILE_Y 41024 TILEPROP INT_R_X27Y87 TYPE INT_R TILEPROP INT_R_X27Y88 CLASS tile TILEPROP INT_R_X27Y88 COLUMN 69 TILEPROP INT_R_X27Y88 DEVICE_ID 0 TILEPROP INT_R_X27Y88 FIRST_SITE_ID 6275 TILEPROP INT_R_X27Y88 GRID_POINT_X 69 TILEPROP INT_R_X27Y88 GRID_POINT_Y 64 TILEPROP INT_R_X27Y88 INDEX 7429 TILEPROP INT_R_X27Y88 INT_TILE_X 27 TILEPROP INT_R_X27Y88 INT_TILE_Y 61 TILEPROP INT_R_X27Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y88 IS_DCM_TILE 0 TILEPROP INT_R_X27Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y88 NAME INT_R_X27Y88 TILEPROP INT_R_X27Y88 NUM_ARCS 3737 TILEPROP INT_R_X27Y88 NUM_SITES 1 TILEPROP INT_R_X27Y88 ROW 64 TILEPROP INT_R_X27Y88 SLR_REGION_ID 0 TILEPROP INT_R_X27Y88 TILE_PATTERN_IDX 5856 TILEPROP INT_R_X27Y88 TILE_TYPE INT_R TILEPROP INT_R_X27Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y88 TILE_X 24888 TILEPROP INT_R_X27Y88 TILE_Y 44224 TILEPROP INT_R_X27Y88 TYPE INT_R TILEPROP INT_R_X27Y89 CLASS tile TILEPROP INT_R_X27Y89 COLUMN 69 TILEPROP INT_R_X27Y89 DEVICE_ID 0 TILEPROP INT_R_X27Y89 FIRST_SITE_ID 6175 TILEPROP INT_R_X27Y89 GRID_POINT_X 69 TILEPROP INT_R_X27Y89 GRID_POINT_Y 63 TILEPROP INT_R_X27Y89 INDEX 7314 TILEPROP INT_R_X27Y89 INT_TILE_X 27 TILEPROP INT_R_X27Y89 INT_TILE_Y 60 TILEPROP INT_R_X27Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y89 IS_DCM_TILE 0 TILEPROP INT_R_X27Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y89 NAME INT_R_X27Y89 TILEPROP INT_R_X27Y89 NUM_ARCS 3737 TILEPROP INT_R_X27Y89 NUM_SITES 1 TILEPROP INT_R_X27Y89 ROW 63 TILEPROP INT_R_X27Y89 SLR_REGION_ID 0 TILEPROP INT_R_X27Y89 TILE_PATTERN_IDX 5822 TILEPROP INT_R_X27Y89 TILE_TYPE INT_R TILEPROP INT_R_X27Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y89 TILE_X 24888 TILEPROP INT_R_X27Y89 TILE_Y 47424 TILEPROP INT_R_X27Y89 TYPE INT_R TILEPROP INT_R_X27Y90 CLASS tile TILEPROP INT_R_X27Y90 COLUMN 69 TILEPROP INT_R_X27Y90 DEVICE_ID 0 TILEPROP INT_R_X27Y90 FIRST_SITE_ID 6066 TILEPROP INT_R_X27Y90 GRID_POINT_X 69 TILEPROP INT_R_X27Y90 GRID_POINT_Y 62 TILEPROP INT_R_X27Y90 INDEX 7199 TILEPROP INT_R_X27Y90 INT_TILE_X 27 TILEPROP INT_R_X27Y90 INT_TILE_Y 59 TILEPROP INT_R_X27Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y90 IS_DCM_TILE 0 TILEPROP INT_R_X27Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y90 NAME INT_R_X27Y90 TILEPROP INT_R_X27Y90 NUM_ARCS 3737 TILEPROP INT_R_X27Y90 NUM_SITES 1 TILEPROP INT_R_X27Y90 ROW 62 TILEPROP INT_R_X27Y90 SLR_REGION_ID 0 TILEPROP INT_R_X27Y90 TILE_PATTERN_IDX 5788 TILEPROP INT_R_X27Y90 TILE_TYPE INT_R TILEPROP INT_R_X27Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y90 TILE_X 24888 TILEPROP INT_R_X27Y90 TILE_Y 50624 TILEPROP INT_R_X27Y90 TYPE INT_R TILEPROP INT_R_X27Y91 CLASS tile TILEPROP INT_R_X27Y91 COLUMN 69 TILEPROP INT_R_X27Y91 DEVICE_ID 0 TILEPROP INT_R_X27Y91 FIRST_SITE_ID 5960 TILEPROP INT_R_X27Y91 GRID_POINT_X 69 TILEPROP INT_R_X27Y91 GRID_POINT_Y 61 TILEPROP INT_R_X27Y91 INDEX 7084 TILEPROP INT_R_X27Y91 INT_TILE_X 27 TILEPROP INT_R_X27Y91 INT_TILE_Y 58 TILEPROP INT_R_X27Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y91 IS_DCM_TILE 0 TILEPROP INT_R_X27Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y91 NAME INT_R_X27Y91 TILEPROP INT_R_X27Y91 NUM_ARCS 3737 TILEPROP INT_R_X27Y91 NUM_SITES 1 TILEPROP INT_R_X27Y91 ROW 61 TILEPROP INT_R_X27Y91 SLR_REGION_ID 0 TILEPROP INT_R_X27Y91 TILE_PATTERN_IDX 5754 TILEPROP INT_R_X27Y91 TILE_TYPE INT_R TILEPROP INT_R_X27Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y91 TILE_X 24888 TILEPROP INT_R_X27Y91 TILE_Y 53824 TILEPROP INT_R_X27Y91 TYPE INT_R TILEPROP INT_R_X27Y92 CLASS tile TILEPROP INT_R_X27Y92 COLUMN 69 TILEPROP INT_R_X27Y92 DEVICE_ID 0 TILEPROP INT_R_X27Y92 FIRST_SITE_ID 5859 TILEPROP INT_R_X27Y92 GRID_POINT_X 69 TILEPROP INT_R_X27Y92 GRID_POINT_Y 60 TILEPROP INT_R_X27Y92 INDEX 6969 TILEPROP INT_R_X27Y92 INT_TILE_X 27 TILEPROP INT_R_X27Y92 INT_TILE_Y 57 TILEPROP INT_R_X27Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y92 IS_DCM_TILE 0 TILEPROP INT_R_X27Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y92 NAME INT_R_X27Y92 TILEPROP INT_R_X27Y92 NUM_ARCS 3737 TILEPROP INT_R_X27Y92 NUM_SITES 1 TILEPROP INT_R_X27Y92 ROW 60 TILEPROP INT_R_X27Y92 SLR_REGION_ID 0 TILEPROP INT_R_X27Y92 TILE_PATTERN_IDX 5721 TILEPROP INT_R_X27Y92 TILE_TYPE INT_R TILEPROP INT_R_X27Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y92 TILE_X 24888 TILEPROP INT_R_X27Y92 TILE_Y 57024 TILEPROP INT_R_X27Y92 TYPE INT_R TILEPROP INT_R_X27Y93 CLASS tile TILEPROP INT_R_X27Y93 COLUMN 69 TILEPROP INT_R_X27Y93 DEVICE_ID 0 TILEPROP INT_R_X27Y93 FIRST_SITE_ID 5756 TILEPROP INT_R_X27Y93 GRID_POINT_X 69 TILEPROP INT_R_X27Y93 GRID_POINT_Y 59 TILEPROP INT_R_X27Y93 INDEX 6854 TILEPROP INT_R_X27Y93 INT_TILE_X 27 TILEPROP INT_R_X27Y93 INT_TILE_Y 56 TILEPROP INT_R_X27Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y93 IS_DCM_TILE 0 TILEPROP INT_R_X27Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y93 NAME INT_R_X27Y93 TILEPROP INT_R_X27Y93 NUM_ARCS 3737 TILEPROP INT_R_X27Y93 NUM_SITES 1 TILEPROP INT_R_X27Y93 ROW 59 TILEPROP INT_R_X27Y93 SLR_REGION_ID 0 TILEPROP INT_R_X27Y93 TILE_PATTERN_IDX 5687 TILEPROP INT_R_X27Y93 TILE_TYPE INT_R TILEPROP INT_R_X27Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y93 TILE_X 24888 TILEPROP INT_R_X27Y93 TILE_Y 60224 TILEPROP INT_R_X27Y93 TYPE INT_R TILEPROP INT_R_X27Y94 CLASS tile TILEPROP INT_R_X27Y94 COLUMN 69 TILEPROP INT_R_X27Y94 DEVICE_ID 0 TILEPROP INT_R_X27Y94 FIRST_SITE_ID 5654 TILEPROP INT_R_X27Y94 GRID_POINT_X 69 TILEPROP INT_R_X27Y94 GRID_POINT_Y 58 TILEPROP INT_R_X27Y94 INDEX 6739 TILEPROP INT_R_X27Y94 INT_TILE_X 27 TILEPROP INT_R_X27Y94 INT_TILE_Y 55 TILEPROP INT_R_X27Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y94 IS_DCM_TILE 0 TILEPROP INT_R_X27Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y94 NAME INT_R_X27Y94 TILEPROP INT_R_X27Y94 NUM_ARCS 3737 TILEPROP INT_R_X27Y94 NUM_SITES 1 TILEPROP INT_R_X27Y94 ROW 58 TILEPROP INT_R_X27Y94 SLR_REGION_ID 0 TILEPROP INT_R_X27Y94 TILE_PATTERN_IDX 5653 TILEPROP INT_R_X27Y94 TILE_TYPE INT_R TILEPROP INT_R_X27Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y94 TILE_X 24888 TILEPROP INT_R_X27Y94 TILE_Y 63424 TILEPROP INT_R_X27Y94 TYPE INT_R TILEPROP INT_R_X27Y95 CLASS tile TILEPROP INT_R_X27Y95 COLUMN 69 TILEPROP INT_R_X27Y95 DEVICE_ID 0 TILEPROP INT_R_X27Y95 FIRST_SITE_ID 5545 TILEPROP INT_R_X27Y95 GRID_POINT_X 69 TILEPROP INT_R_X27Y95 GRID_POINT_Y 57 TILEPROP INT_R_X27Y95 INDEX 6624 TILEPROP INT_R_X27Y95 INT_TILE_X 27 TILEPROP INT_R_X27Y95 INT_TILE_Y 54 TILEPROP INT_R_X27Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y95 IS_DCM_TILE 0 TILEPROP INT_R_X27Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y95 NAME INT_R_X27Y95 TILEPROP INT_R_X27Y95 NUM_ARCS 3737 TILEPROP INT_R_X27Y95 NUM_SITES 1 TILEPROP INT_R_X27Y95 ROW 57 TILEPROP INT_R_X27Y95 SLR_REGION_ID 0 TILEPROP INT_R_X27Y95 TILE_PATTERN_IDX 5619 TILEPROP INT_R_X27Y95 TILE_TYPE INT_R TILEPROP INT_R_X27Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y95 TILE_X 24888 TILEPROP INT_R_X27Y95 TILE_Y 66624 TILEPROP INT_R_X27Y95 TYPE INT_R TILEPROP INT_R_X27Y96 CLASS tile TILEPROP INT_R_X27Y96 COLUMN 69 TILEPROP INT_R_X27Y96 DEVICE_ID 0 TILEPROP INT_R_X27Y96 FIRST_SITE_ID 5439 TILEPROP INT_R_X27Y96 GRID_POINT_X 69 TILEPROP INT_R_X27Y96 GRID_POINT_Y 56 TILEPROP INT_R_X27Y96 INDEX 6509 TILEPROP INT_R_X27Y96 INT_TILE_X 27 TILEPROP INT_R_X27Y96 INT_TILE_Y 53 TILEPROP INT_R_X27Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y96 IS_DCM_TILE 0 TILEPROP INT_R_X27Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y96 NAME INT_R_X27Y96 TILEPROP INT_R_X27Y96 NUM_ARCS 3737 TILEPROP INT_R_X27Y96 NUM_SITES 1 TILEPROP INT_R_X27Y96 ROW 56 TILEPROP INT_R_X27Y96 SLR_REGION_ID 0 TILEPROP INT_R_X27Y96 TILE_PATTERN_IDX 5584 TILEPROP INT_R_X27Y96 TILE_TYPE INT_R TILEPROP INT_R_X27Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y96 TILE_X 24888 TILEPROP INT_R_X27Y96 TILE_Y 69824 TILEPROP INT_R_X27Y96 TYPE INT_R TILEPROP INT_R_X27Y97 CLASS tile TILEPROP INT_R_X27Y97 COLUMN 69 TILEPROP INT_R_X27Y97 DEVICE_ID 0 TILEPROP INT_R_X27Y97 FIRST_SITE_ID 5339 TILEPROP INT_R_X27Y97 GRID_POINT_X 69 TILEPROP INT_R_X27Y97 GRID_POINT_Y 55 TILEPROP INT_R_X27Y97 INDEX 6394 TILEPROP INT_R_X27Y97 INT_TILE_X 27 TILEPROP INT_R_X27Y97 INT_TILE_Y 52 TILEPROP INT_R_X27Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y97 IS_DCM_TILE 0 TILEPROP INT_R_X27Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y97 NAME INT_R_X27Y97 TILEPROP INT_R_X27Y97 NUM_ARCS 3737 TILEPROP INT_R_X27Y97 NUM_SITES 1 TILEPROP INT_R_X27Y97 ROW 55 TILEPROP INT_R_X27Y97 SLR_REGION_ID 0 TILEPROP INT_R_X27Y97 TILE_PATTERN_IDX 5550 TILEPROP INT_R_X27Y97 TILE_TYPE INT_R TILEPROP INT_R_X27Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y97 TILE_X 24888 TILEPROP INT_R_X27Y97 TILE_Y 73024 TILEPROP INT_R_X27Y97 TYPE INT_R TILEPROP INT_R_X27Y98 CLASS tile TILEPROP INT_R_X27Y98 COLUMN 69 TILEPROP INT_R_X27Y98 DEVICE_ID 0 TILEPROP INT_R_X27Y98 FIRST_SITE_ID 5239 TILEPROP INT_R_X27Y98 GRID_POINT_X 69 TILEPROP INT_R_X27Y98 GRID_POINT_Y 54 TILEPROP INT_R_X27Y98 INDEX 6279 TILEPROP INT_R_X27Y98 INT_TILE_X 27 TILEPROP INT_R_X27Y98 INT_TILE_Y 51 TILEPROP INT_R_X27Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y98 IS_DCM_TILE 0 TILEPROP INT_R_X27Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y98 NAME INT_R_X27Y98 TILEPROP INT_R_X27Y98 NUM_ARCS 3737 TILEPROP INT_R_X27Y98 NUM_SITES 1 TILEPROP INT_R_X27Y98 ROW 54 TILEPROP INT_R_X27Y98 SLR_REGION_ID 0 TILEPROP INT_R_X27Y98 TILE_PATTERN_IDX 5516 TILEPROP INT_R_X27Y98 TILE_TYPE INT_R TILEPROP INT_R_X27Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y98 TILE_X 24888 TILEPROP INT_R_X27Y98 TILE_Y 76224 TILEPROP INT_R_X27Y98 TYPE INT_R TILEPROP INT_R_X27Y99 CLASS tile TILEPROP INT_R_X27Y99 COLUMN 69 TILEPROP INT_R_X27Y99 DEVICE_ID 0 TILEPROP INT_R_X27Y99 FIRST_SITE_ID 5143 TILEPROP INT_R_X27Y99 GRID_POINT_X 69 TILEPROP INT_R_X27Y99 GRID_POINT_Y 53 TILEPROP INT_R_X27Y99 INDEX 6164 TILEPROP INT_R_X27Y99 INT_TILE_X 27 TILEPROP INT_R_X27Y99 INT_TILE_Y 50 TILEPROP INT_R_X27Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y99 IS_DCM_TILE 0 TILEPROP INT_R_X27Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y99 NAME INT_R_X27Y99 TILEPROP INT_R_X27Y99 NUM_ARCS 3737 TILEPROP INT_R_X27Y99 NUM_SITES 1 TILEPROP INT_R_X27Y99 ROW 53 TILEPROP INT_R_X27Y99 SLR_REGION_ID 0 TILEPROP INT_R_X27Y99 TILE_PATTERN_IDX 5483 TILEPROP INT_R_X27Y99 TILE_TYPE INT_R TILEPROP INT_R_X27Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y99 TILE_X 24888 TILEPROP INT_R_X27Y99 TILE_Y 79424 TILEPROP INT_R_X27Y99 TYPE INT_R TILEPROP INT_R_X27Y100 CLASS tile TILEPROP INT_R_X27Y100 COLUMN 69 TILEPROP INT_R_X27Y100 DEVICE_ID 0 TILEPROP INT_R_X27Y100 FIRST_SITE_ID 5066 TILEPROP INT_R_X27Y100 GRID_POINT_X 69 TILEPROP INT_R_X27Y100 GRID_POINT_Y 51 TILEPROP INT_R_X27Y100 INDEX 5934 TILEPROP INT_R_X27Y100 INT_TILE_X 27 TILEPROP INT_R_X27Y100 INT_TILE_Y 49 TILEPROP INT_R_X27Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y100 IS_DCM_TILE 0 TILEPROP INT_R_X27Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y100 NAME INT_R_X27Y100 TILEPROP INT_R_X27Y100 NUM_ARCS 3737 TILEPROP INT_R_X27Y100 NUM_SITES 1 TILEPROP INT_R_X27Y100 ROW 51 TILEPROP INT_R_X27Y100 SLR_REGION_ID 0 TILEPROP INT_R_X27Y100 TILE_PATTERN_IDX 5451 TILEPROP INT_R_X27Y100 TILE_TYPE INT_R TILEPROP INT_R_X27Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y100 TILE_X 24888 TILEPROP INT_R_X27Y100 TILE_Y 82872 TILEPROP INT_R_X27Y100 TYPE INT_R TILEPROP INT_R_X27Y101 CLASS tile TILEPROP INT_R_X27Y101 COLUMN 69 TILEPROP INT_R_X27Y101 DEVICE_ID 0 TILEPROP INT_R_X27Y101 FIRST_SITE_ID 4968 TILEPROP INT_R_X27Y101 GRID_POINT_X 69 TILEPROP INT_R_X27Y101 GRID_POINT_Y 50 TILEPROP INT_R_X27Y101 INDEX 5819 TILEPROP INT_R_X27Y101 INT_TILE_X 27 TILEPROP INT_R_X27Y101 INT_TILE_Y 48 TILEPROP INT_R_X27Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y101 IS_DCM_TILE 0 TILEPROP INT_R_X27Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y101 NAME INT_R_X27Y101 TILEPROP INT_R_X27Y101 NUM_ARCS 3737 TILEPROP INT_R_X27Y101 NUM_SITES 1 TILEPROP INT_R_X27Y101 ROW 50 TILEPROP INT_R_X27Y101 SLR_REGION_ID 0 TILEPROP INT_R_X27Y101 TILE_PATTERN_IDX 5415 TILEPROP INT_R_X27Y101 TILE_TYPE INT_R TILEPROP INT_R_X27Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y101 TILE_X 24888 TILEPROP INT_R_X27Y101 TILE_Y 86072 TILEPROP INT_R_X27Y101 TYPE INT_R TILEPROP INT_R_X27Y102 CLASS tile TILEPROP INT_R_X27Y102 COLUMN 69 TILEPROP INT_R_X27Y102 DEVICE_ID 0 TILEPROP INT_R_X27Y102 FIRST_SITE_ID 4872 TILEPROP INT_R_X27Y102 GRID_POINT_X 69 TILEPROP INT_R_X27Y102 GRID_POINT_Y 49 TILEPROP INT_R_X27Y102 INDEX 5704 TILEPROP INT_R_X27Y102 INT_TILE_X 27 TILEPROP INT_R_X27Y102 INT_TILE_Y 47 TILEPROP INT_R_X27Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y102 IS_DCM_TILE 0 TILEPROP INT_R_X27Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y102 NAME INT_R_X27Y102 TILEPROP INT_R_X27Y102 NUM_ARCS 3737 TILEPROP INT_R_X27Y102 NUM_SITES 1 TILEPROP INT_R_X27Y102 ROW 49 TILEPROP INT_R_X27Y102 SLR_REGION_ID 0 TILEPROP INT_R_X27Y102 TILE_PATTERN_IDX 5378 TILEPROP INT_R_X27Y102 TILE_TYPE INT_R TILEPROP INT_R_X27Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y102 TILE_X 24888 TILEPROP INT_R_X27Y102 TILE_Y 89272 TILEPROP INT_R_X27Y102 TYPE INT_R TILEPROP INT_R_X27Y103 CLASS tile TILEPROP INT_R_X27Y103 COLUMN 69 TILEPROP INT_R_X27Y103 DEVICE_ID 0 TILEPROP INT_R_X27Y103 FIRST_SITE_ID 4784 TILEPROP INT_R_X27Y103 GRID_POINT_X 69 TILEPROP INT_R_X27Y103 GRID_POINT_Y 48 TILEPROP INT_R_X27Y103 INDEX 5589 TILEPROP INT_R_X27Y103 INT_TILE_X 27 TILEPROP INT_R_X27Y103 INT_TILE_Y 46 TILEPROP INT_R_X27Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y103 IS_DCM_TILE 0 TILEPROP INT_R_X27Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y103 NAME INT_R_X27Y103 TILEPROP INT_R_X27Y103 NUM_ARCS 3737 TILEPROP INT_R_X27Y103 NUM_SITES 1 TILEPROP INT_R_X27Y103 ROW 48 TILEPROP INT_R_X27Y103 SLR_REGION_ID 0 TILEPROP INT_R_X27Y103 TILE_PATTERN_IDX 5342 TILEPROP INT_R_X27Y103 TILE_TYPE INT_R TILEPROP INT_R_X27Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y103 TILE_X 24888 TILEPROP INT_R_X27Y103 TILE_Y 92472 TILEPROP INT_R_X27Y103 TYPE INT_R TILEPROP INT_R_X27Y104 CLASS tile TILEPROP INT_R_X27Y104 COLUMN 69 TILEPROP INT_R_X27Y104 DEVICE_ID 0 TILEPROP INT_R_X27Y104 FIRST_SITE_ID 4688 TILEPROP INT_R_X27Y104 GRID_POINT_X 69 TILEPROP INT_R_X27Y104 GRID_POINT_Y 47 TILEPROP INT_R_X27Y104 INDEX 5474 TILEPROP INT_R_X27Y104 INT_TILE_X 27 TILEPROP INT_R_X27Y104 INT_TILE_Y 45 TILEPROP INT_R_X27Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y104 IS_DCM_TILE 0 TILEPROP INT_R_X27Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y104 NAME INT_R_X27Y104 TILEPROP INT_R_X27Y104 NUM_ARCS 3737 TILEPROP INT_R_X27Y104 NUM_SITES 1 TILEPROP INT_R_X27Y104 ROW 47 TILEPROP INT_R_X27Y104 SLR_REGION_ID 0 TILEPROP INT_R_X27Y104 TILE_PATTERN_IDX 5305 TILEPROP INT_R_X27Y104 TILE_TYPE INT_R TILEPROP INT_R_X27Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y104 TILE_X 24888 TILEPROP INT_R_X27Y104 TILE_Y 95672 TILEPROP INT_R_X27Y104 TYPE INT_R TILEPROP INT_R_X27Y105 CLASS tile TILEPROP INT_R_X27Y105 COLUMN 69 TILEPROP INT_R_X27Y105 DEVICE_ID 0 TILEPROP INT_R_X27Y105 FIRST_SITE_ID 4592 TILEPROP INT_R_X27Y105 GRID_POINT_X 69 TILEPROP INT_R_X27Y105 GRID_POINT_Y 46 TILEPROP INT_R_X27Y105 INDEX 5359 TILEPROP INT_R_X27Y105 INT_TILE_X 27 TILEPROP INT_R_X27Y105 INT_TILE_Y 44 TILEPROP INT_R_X27Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y105 IS_DCM_TILE 0 TILEPROP INT_R_X27Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y105 NAME INT_R_X27Y105 TILEPROP INT_R_X27Y105 NUM_ARCS 3737 TILEPROP INT_R_X27Y105 NUM_SITES 1 TILEPROP INT_R_X27Y105 ROW 46 TILEPROP INT_R_X27Y105 SLR_REGION_ID 0 TILEPROP INT_R_X27Y105 TILE_PATTERN_IDX 5269 TILEPROP INT_R_X27Y105 TILE_TYPE INT_R TILEPROP INT_R_X27Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y105 TILE_X 24888 TILEPROP INT_R_X27Y105 TILE_Y 98872 TILEPROP INT_R_X27Y105 TYPE INT_R TILEPROP INT_R_X27Y106 CLASS tile TILEPROP INT_R_X27Y106 COLUMN 69 TILEPROP INT_R_X27Y106 DEVICE_ID 0 TILEPROP INT_R_X27Y106 FIRST_SITE_ID 4490 TILEPROP INT_R_X27Y106 GRID_POINT_X 69 TILEPROP INT_R_X27Y106 GRID_POINT_Y 45 TILEPROP INT_R_X27Y106 INDEX 5244 TILEPROP INT_R_X27Y106 INT_TILE_X 27 TILEPROP INT_R_X27Y106 INT_TILE_Y 43 TILEPROP INT_R_X27Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y106 IS_DCM_TILE 0 TILEPROP INT_R_X27Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y106 NAME INT_R_X27Y106 TILEPROP INT_R_X27Y106 NUM_ARCS 3737 TILEPROP INT_R_X27Y106 NUM_SITES 1 TILEPROP INT_R_X27Y106 ROW 45 TILEPROP INT_R_X27Y106 SLR_REGION_ID 0 TILEPROP INT_R_X27Y106 TILE_PATTERN_IDX 5232 TILEPROP INT_R_X27Y106 TILE_TYPE INT_R TILEPROP INT_R_X27Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y106 TILE_X 24888 TILEPROP INT_R_X27Y106 TILE_Y 102072 TILEPROP INT_R_X27Y106 TYPE INT_R TILEPROP INT_R_X27Y107 CLASS tile TILEPROP INT_R_X27Y107 COLUMN 69 TILEPROP INT_R_X27Y107 DEVICE_ID 0 TILEPROP INT_R_X27Y107 FIRST_SITE_ID 4402 TILEPROP INT_R_X27Y107 GRID_POINT_X 69 TILEPROP INT_R_X27Y107 GRID_POINT_Y 44 TILEPROP INT_R_X27Y107 INDEX 5129 TILEPROP INT_R_X27Y107 INT_TILE_X 27 TILEPROP INT_R_X27Y107 INT_TILE_Y 42 TILEPROP INT_R_X27Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y107 IS_DCM_TILE 0 TILEPROP INT_R_X27Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y107 NAME INT_R_X27Y107 TILEPROP INT_R_X27Y107 NUM_ARCS 3737 TILEPROP INT_R_X27Y107 NUM_SITES 1 TILEPROP INT_R_X27Y107 ROW 44 TILEPROP INT_R_X27Y107 SLR_REGION_ID 0 TILEPROP INT_R_X27Y107 TILE_PATTERN_IDX 5196 TILEPROP INT_R_X27Y107 TILE_TYPE INT_R TILEPROP INT_R_X27Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y107 TILE_X 24888 TILEPROP INT_R_X27Y107 TILE_Y 105272 TILEPROP INT_R_X27Y107 TYPE INT_R TILEPROP INT_R_X27Y108 CLASS tile TILEPROP INT_R_X27Y108 COLUMN 69 TILEPROP INT_R_X27Y108 DEVICE_ID 0 TILEPROP INT_R_X27Y108 FIRST_SITE_ID 4304 TILEPROP INT_R_X27Y108 GRID_POINT_X 69 TILEPROP INT_R_X27Y108 GRID_POINT_Y 43 TILEPROP INT_R_X27Y108 INDEX 5014 TILEPROP INT_R_X27Y108 INT_TILE_X 27 TILEPROP INT_R_X27Y108 INT_TILE_Y 41 TILEPROP INT_R_X27Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y108 IS_DCM_TILE 0 TILEPROP INT_R_X27Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y108 NAME INT_R_X27Y108 TILEPROP INT_R_X27Y108 NUM_ARCS 3737 TILEPROP INT_R_X27Y108 NUM_SITES 1 TILEPROP INT_R_X27Y108 ROW 43 TILEPROP INT_R_X27Y108 SLR_REGION_ID 0 TILEPROP INT_R_X27Y108 TILE_PATTERN_IDX 5159 TILEPROP INT_R_X27Y108 TILE_TYPE INT_R TILEPROP INT_R_X27Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y108 TILE_X 24888 TILEPROP INT_R_X27Y108 TILE_Y 108472 TILEPROP INT_R_X27Y108 TYPE INT_R TILEPROP INT_R_X27Y109 CLASS tile TILEPROP INT_R_X27Y109 COLUMN 69 TILEPROP INT_R_X27Y109 DEVICE_ID 0 TILEPROP INT_R_X27Y109 FIRST_SITE_ID 4215 TILEPROP INT_R_X27Y109 GRID_POINT_X 69 TILEPROP INT_R_X27Y109 GRID_POINT_Y 42 TILEPROP INT_R_X27Y109 INDEX 4899 TILEPROP INT_R_X27Y109 INT_TILE_X 27 TILEPROP INT_R_X27Y109 INT_TILE_Y 40 TILEPROP INT_R_X27Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y109 IS_DCM_TILE 0 TILEPROP INT_R_X27Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y109 NAME INT_R_X27Y109 TILEPROP INT_R_X27Y109 NUM_ARCS 3737 TILEPROP INT_R_X27Y109 NUM_SITES 1 TILEPROP INT_R_X27Y109 ROW 42 TILEPROP INT_R_X27Y109 SLR_REGION_ID 0 TILEPROP INT_R_X27Y109 TILE_PATTERN_IDX 5123 TILEPROP INT_R_X27Y109 TILE_TYPE INT_R TILEPROP INT_R_X27Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y109 TILE_X 24888 TILEPROP INT_R_X27Y109 TILE_Y 111672 TILEPROP INT_R_X27Y109 TYPE INT_R TILEPROP INT_R_X27Y110 CLASS tile TILEPROP INT_R_X27Y110 COLUMN 69 TILEPROP INT_R_X27Y110 DEVICE_ID 0 TILEPROP INT_R_X27Y110 FIRST_SITE_ID 4115 TILEPROP INT_R_X27Y110 GRID_POINT_X 69 TILEPROP INT_R_X27Y110 GRID_POINT_Y 41 TILEPROP INT_R_X27Y110 INDEX 4784 TILEPROP INT_R_X27Y110 INT_TILE_X 27 TILEPROP INT_R_X27Y110 INT_TILE_Y 39 TILEPROP INT_R_X27Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y110 IS_DCM_TILE 0 TILEPROP INT_R_X27Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y110 NAME INT_R_X27Y110 TILEPROP INT_R_X27Y110 NUM_ARCS 3737 TILEPROP INT_R_X27Y110 NUM_SITES 1 TILEPROP INT_R_X27Y110 ROW 41 TILEPROP INT_R_X27Y110 SLR_REGION_ID 0 TILEPROP INT_R_X27Y110 TILE_PATTERN_IDX 5086 TILEPROP INT_R_X27Y110 TILE_TYPE INT_R TILEPROP INT_R_X27Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y110 TILE_X 24888 TILEPROP INT_R_X27Y110 TILE_Y 114872 TILEPROP INT_R_X27Y110 TYPE INT_R TILEPROP INT_R_X27Y111 CLASS tile TILEPROP INT_R_X27Y111 COLUMN 69 TILEPROP INT_R_X27Y111 DEVICE_ID 0 TILEPROP INT_R_X27Y111 FIRST_SITE_ID 4021 TILEPROP INT_R_X27Y111 GRID_POINT_X 69 TILEPROP INT_R_X27Y111 GRID_POINT_Y 40 TILEPROP INT_R_X27Y111 INDEX 4669 TILEPROP INT_R_X27Y111 INT_TILE_X 27 TILEPROP INT_R_X27Y111 INT_TILE_Y 38 TILEPROP INT_R_X27Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y111 IS_DCM_TILE 0 TILEPROP INT_R_X27Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y111 NAME INT_R_X27Y111 TILEPROP INT_R_X27Y111 NUM_ARCS 3737 TILEPROP INT_R_X27Y111 NUM_SITES 1 TILEPROP INT_R_X27Y111 ROW 40 TILEPROP INT_R_X27Y111 SLR_REGION_ID 0 TILEPROP INT_R_X27Y111 TILE_PATTERN_IDX 5050 TILEPROP INT_R_X27Y111 TILE_TYPE INT_R TILEPROP INT_R_X27Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y111 TILE_X 24888 TILEPROP INT_R_X27Y111 TILE_Y 118072 TILEPROP INT_R_X27Y111 TYPE INT_R TILEPROP INT_R_X27Y112 CLASS tile TILEPROP INT_R_X27Y112 COLUMN 69 TILEPROP INT_R_X27Y112 DEVICE_ID 0 TILEPROP INT_R_X27Y112 FIRST_SITE_ID 3925 TILEPROP INT_R_X27Y112 GRID_POINT_X 69 TILEPROP INT_R_X27Y112 GRID_POINT_Y 39 TILEPROP INT_R_X27Y112 INDEX 4554 TILEPROP INT_R_X27Y112 INT_TILE_X 27 TILEPROP INT_R_X27Y112 INT_TILE_Y 37 TILEPROP INT_R_X27Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y112 IS_DCM_TILE 0 TILEPROP INT_R_X27Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y112 NAME INT_R_X27Y112 TILEPROP INT_R_X27Y112 NUM_ARCS 3737 TILEPROP INT_R_X27Y112 NUM_SITES 1 TILEPROP INT_R_X27Y112 ROW 39 TILEPROP INT_R_X27Y112 SLR_REGION_ID 0 TILEPROP INT_R_X27Y112 TILE_PATTERN_IDX 5013 TILEPROP INT_R_X27Y112 TILE_TYPE INT_R TILEPROP INT_R_X27Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y112 TILE_X 24888 TILEPROP INT_R_X27Y112 TILE_Y 121272 TILEPROP INT_R_X27Y112 TYPE INT_R TILEPROP INT_R_X27Y113 CLASS tile TILEPROP INT_R_X27Y113 COLUMN 69 TILEPROP INT_R_X27Y113 DEVICE_ID 0 TILEPROP INT_R_X27Y113 FIRST_SITE_ID 3805 TILEPROP INT_R_X27Y113 GRID_POINT_X 69 TILEPROP INT_R_X27Y113 GRID_POINT_Y 38 TILEPROP INT_R_X27Y113 INDEX 4439 TILEPROP INT_R_X27Y113 INT_TILE_X 27 TILEPROP INT_R_X27Y113 INT_TILE_Y 36 TILEPROP INT_R_X27Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y113 IS_DCM_TILE 0 TILEPROP INT_R_X27Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y113 NAME INT_R_X27Y113 TILEPROP INT_R_X27Y113 NUM_ARCS 3737 TILEPROP INT_R_X27Y113 NUM_SITES 1 TILEPROP INT_R_X27Y113 ROW 38 TILEPROP INT_R_X27Y113 SLR_REGION_ID 0 TILEPROP INT_R_X27Y113 TILE_PATTERN_IDX 4976 TILEPROP INT_R_X27Y113 TILE_TYPE INT_R TILEPROP INT_R_X27Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y113 TILE_X 24888 TILEPROP INT_R_X27Y113 TILE_Y 124472 TILEPROP INT_R_X27Y113 TYPE INT_R TILEPROP INT_R_X27Y114 CLASS tile TILEPROP INT_R_X27Y114 COLUMN 69 TILEPROP INT_R_X27Y114 DEVICE_ID 0 TILEPROP INT_R_X27Y114 FIRST_SITE_ID 3709 TILEPROP INT_R_X27Y114 GRID_POINT_X 69 TILEPROP INT_R_X27Y114 GRID_POINT_Y 37 TILEPROP INT_R_X27Y114 INDEX 4324 TILEPROP INT_R_X27Y114 INT_TILE_X 27 TILEPROP INT_R_X27Y114 INT_TILE_Y 35 TILEPROP INT_R_X27Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y114 IS_DCM_TILE 0 TILEPROP INT_R_X27Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y114 NAME INT_R_X27Y114 TILEPROP INT_R_X27Y114 NUM_ARCS 3737 TILEPROP INT_R_X27Y114 NUM_SITES 1 TILEPROP INT_R_X27Y114 ROW 37 TILEPROP INT_R_X27Y114 SLR_REGION_ID 0 TILEPROP INT_R_X27Y114 TILE_PATTERN_IDX 4939 TILEPROP INT_R_X27Y114 TILE_TYPE INT_R TILEPROP INT_R_X27Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y114 TILE_X 24888 TILEPROP INT_R_X27Y114 TILE_Y 127672 TILEPROP INT_R_X27Y114 TYPE INT_R TILEPROP INT_R_X27Y115 CLASS tile TILEPROP INT_R_X27Y115 COLUMN 69 TILEPROP INT_R_X27Y115 DEVICE_ID 0 TILEPROP INT_R_X27Y115 FIRST_SITE_ID 3618 TILEPROP INT_R_X27Y115 GRID_POINT_X 69 TILEPROP INT_R_X27Y115 GRID_POINT_Y 36 TILEPROP INT_R_X27Y115 INDEX 4209 TILEPROP INT_R_X27Y115 INT_TILE_X 27 TILEPROP INT_R_X27Y115 INT_TILE_Y 34 TILEPROP INT_R_X27Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y115 IS_DCM_TILE 0 TILEPROP INT_R_X27Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y115 NAME INT_R_X27Y115 TILEPROP INT_R_X27Y115 NUM_ARCS 3737 TILEPROP INT_R_X27Y115 NUM_SITES 1 TILEPROP INT_R_X27Y115 ROW 36 TILEPROP INT_R_X27Y115 SLR_REGION_ID 0 TILEPROP INT_R_X27Y115 TILE_PATTERN_IDX 4903 TILEPROP INT_R_X27Y115 TILE_TYPE INT_R TILEPROP INT_R_X27Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y115 TILE_X 24888 TILEPROP INT_R_X27Y115 TILE_Y 130872 TILEPROP INT_R_X27Y115 TYPE INT_R TILEPROP INT_R_X27Y116 CLASS tile TILEPROP INT_R_X27Y116 COLUMN 69 TILEPROP INT_R_X27Y116 DEVICE_ID 0 TILEPROP INT_R_X27Y116 FIRST_SITE_ID 3511 TILEPROP INT_R_X27Y116 GRID_POINT_X 69 TILEPROP INT_R_X27Y116 GRID_POINT_Y 35 TILEPROP INT_R_X27Y116 INDEX 4094 TILEPROP INT_R_X27Y116 INT_TILE_X 27 TILEPROP INT_R_X27Y116 INT_TILE_Y 33 TILEPROP INT_R_X27Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y116 IS_DCM_TILE 0 TILEPROP INT_R_X27Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y116 NAME INT_R_X27Y116 TILEPROP INT_R_X27Y116 NUM_ARCS 3737 TILEPROP INT_R_X27Y116 NUM_SITES 1 TILEPROP INT_R_X27Y116 ROW 35 TILEPROP INT_R_X27Y116 SLR_REGION_ID 0 TILEPROP INT_R_X27Y116 TILE_PATTERN_IDX 4866 TILEPROP INT_R_X27Y116 TILE_TYPE INT_R TILEPROP INT_R_X27Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y116 TILE_X 24888 TILEPROP INT_R_X27Y116 TILE_Y 134072 TILEPROP INT_R_X27Y116 TYPE INT_R TILEPROP INT_R_X27Y117 CLASS tile TILEPROP INT_R_X27Y117 COLUMN 69 TILEPROP INT_R_X27Y117 DEVICE_ID 0 TILEPROP INT_R_X27Y117 FIRST_SITE_ID 3423 TILEPROP INT_R_X27Y117 GRID_POINT_X 69 TILEPROP INT_R_X27Y117 GRID_POINT_Y 34 TILEPROP INT_R_X27Y117 INDEX 3979 TILEPROP INT_R_X27Y117 INT_TILE_X 27 TILEPROP INT_R_X27Y117 INT_TILE_Y 32 TILEPROP INT_R_X27Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y117 IS_DCM_TILE 0 TILEPROP INT_R_X27Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y117 NAME INT_R_X27Y117 TILEPROP INT_R_X27Y117 NUM_ARCS 3737 TILEPROP INT_R_X27Y117 NUM_SITES 1 TILEPROP INT_R_X27Y117 ROW 34 TILEPROP INT_R_X27Y117 SLR_REGION_ID 0 TILEPROP INT_R_X27Y117 TILE_PATTERN_IDX 4830 TILEPROP INT_R_X27Y117 TILE_TYPE INT_R TILEPROP INT_R_X27Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y117 TILE_X 24888 TILEPROP INT_R_X27Y117 TILE_Y 137272 TILEPROP INT_R_X27Y117 TYPE INT_R TILEPROP INT_R_X27Y118 CLASS tile TILEPROP INT_R_X27Y118 COLUMN 69 TILEPROP INT_R_X27Y118 DEVICE_ID 0 TILEPROP INT_R_X27Y118 FIRST_SITE_ID 3323 TILEPROP INT_R_X27Y118 GRID_POINT_X 69 TILEPROP INT_R_X27Y118 GRID_POINT_Y 33 TILEPROP INT_R_X27Y118 INDEX 3864 TILEPROP INT_R_X27Y118 INT_TILE_X 27 TILEPROP INT_R_X27Y118 INT_TILE_Y 31 TILEPROP INT_R_X27Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y118 IS_DCM_TILE 0 TILEPROP INT_R_X27Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y118 NAME INT_R_X27Y118 TILEPROP INT_R_X27Y118 NUM_ARCS 3737 TILEPROP INT_R_X27Y118 NUM_SITES 1 TILEPROP INT_R_X27Y118 ROW 33 TILEPROP INT_R_X27Y118 SLR_REGION_ID 0 TILEPROP INT_R_X27Y118 TILE_PATTERN_IDX 4792 TILEPROP INT_R_X27Y118 TILE_TYPE INT_R TILEPROP INT_R_X27Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y118 TILE_X 24888 TILEPROP INT_R_X27Y118 TILE_Y 140472 TILEPROP INT_R_X27Y118 TYPE INT_R TILEPROP INT_R_X27Y119 CLASS tile TILEPROP INT_R_X27Y119 COLUMN 69 TILEPROP INT_R_X27Y119 DEVICE_ID 0 TILEPROP INT_R_X27Y119 FIRST_SITE_ID 3235 TILEPROP INT_R_X27Y119 GRID_POINT_X 69 TILEPROP INT_R_X27Y119 GRID_POINT_Y 32 TILEPROP INT_R_X27Y119 INDEX 3749 TILEPROP INT_R_X27Y119 INT_TILE_X 27 TILEPROP INT_R_X27Y119 INT_TILE_Y 30 TILEPROP INT_R_X27Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y119 IS_DCM_TILE 0 TILEPROP INT_R_X27Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y119 NAME INT_R_X27Y119 TILEPROP INT_R_X27Y119 NUM_ARCS 3737 TILEPROP INT_R_X27Y119 NUM_SITES 1 TILEPROP INT_R_X27Y119 ROW 32 TILEPROP INT_R_X27Y119 SLR_REGION_ID 0 TILEPROP INT_R_X27Y119 TILE_PATTERN_IDX 4756 TILEPROP INT_R_X27Y119 TILE_TYPE INT_R TILEPROP INT_R_X27Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y119 TILE_X 24888 TILEPROP INT_R_X27Y119 TILE_Y 143672 TILEPROP INT_R_X27Y119 TYPE INT_R TILEPROP INT_R_X27Y120 CLASS tile TILEPROP INT_R_X27Y120 COLUMN 69 TILEPROP INT_R_X27Y120 DEVICE_ID 0 TILEPROP INT_R_X27Y120 FIRST_SITE_ID 3134 TILEPROP INT_R_X27Y120 GRID_POINT_X 69 TILEPROP INT_R_X27Y120 GRID_POINT_Y 31 TILEPROP INT_R_X27Y120 INDEX 3634 TILEPROP INT_R_X27Y120 INT_TILE_X 27 TILEPROP INT_R_X27Y120 INT_TILE_Y 29 TILEPROP INT_R_X27Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y120 IS_DCM_TILE 0 TILEPROP INT_R_X27Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y120 NAME INT_R_X27Y120 TILEPROP INT_R_X27Y120 NUM_ARCS 3737 TILEPROP INT_R_X27Y120 NUM_SITES 1 TILEPROP INT_R_X27Y120 ROW 31 TILEPROP INT_R_X27Y120 SLR_REGION_ID 0 TILEPROP INT_R_X27Y120 TILE_PATTERN_IDX 4719 TILEPROP INT_R_X27Y120 TILE_TYPE INT_R TILEPROP INT_R_X27Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y120 TILE_X 24888 TILEPROP INT_R_X27Y120 TILE_Y 146872 TILEPROP INT_R_X27Y120 TYPE INT_R TILEPROP INT_R_X27Y121 CLASS tile TILEPROP INT_R_X27Y121 COLUMN 69 TILEPROP INT_R_X27Y121 DEVICE_ID 0 TILEPROP INT_R_X27Y121 FIRST_SITE_ID 3040 TILEPROP INT_R_X27Y121 GRID_POINT_X 69 TILEPROP INT_R_X27Y121 GRID_POINT_Y 30 TILEPROP INT_R_X27Y121 INDEX 3519 TILEPROP INT_R_X27Y121 INT_TILE_X 27 TILEPROP INT_R_X27Y121 INT_TILE_Y 28 TILEPROP INT_R_X27Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y121 IS_DCM_TILE 0 TILEPROP INT_R_X27Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y121 NAME INT_R_X27Y121 TILEPROP INT_R_X27Y121 NUM_ARCS 3737 TILEPROP INT_R_X27Y121 NUM_SITES 1 TILEPROP INT_R_X27Y121 ROW 30 TILEPROP INT_R_X27Y121 SLR_REGION_ID 0 TILEPROP INT_R_X27Y121 TILE_PATTERN_IDX 4683 TILEPROP INT_R_X27Y121 TILE_TYPE INT_R TILEPROP INT_R_X27Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y121 TILE_X 24888 TILEPROP INT_R_X27Y121 TILE_Y 150072 TILEPROP INT_R_X27Y121 TYPE INT_R TILEPROP INT_R_X27Y122 CLASS tile TILEPROP INT_R_X27Y122 COLUMN 69 TILEPROP INT_R_X27Y122 DEVICE_ID 0 TILEPROP INT_R_X27Y122 FIRST_SITE_ID 2937 TILEPROP INT_R_X27Y122 GRID_POINT_X 69 TILEPROP INT_R_X27Y122 GRID_POINT_Y 29 TILEPROP INT_R_X27Y122 INDEX 3404 TILEPROP INT_R_X27Y122 INT_TILE_X 27 TILEPROP INT_R_X27Y122 INT_TILE_Y 27 TILEPROP INT_R_X27Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y122 IS_DCM_TILE 0 TILEPROP INT_R_X27Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y122 NAME INT_R_X27Y122 TILEPROP INT_R_X27Y122 NUM_ARCS 3737 TILEPROP INT_R_X27Y122 NUM_SITES 1 TILEPROP INT_R_X27Y122 ROW 29 TILEPROP INT_R_X27Y122 SLR_REGION_ID 0 TILEPROP INT_R_X27Y122 TILE_PATTERN_IDX 4645 TILEPROP INT_R_X27Y122 TILE_TYPE INT_R TILEPROP INT_R_X27Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y122 TILE_X 24888 TILEPROP INT_R_X27Y122 TILE_Y 153272 TILEPROP INT_R_X27Y122 TYPE INT_R TILEPROP INT_R_X27Y123 CLASS tile TILEPROP INT_R_X27Y123 COLUMN 69 TILEPROP INT_R_X27Y123 DEVICE_ID 0 TILEPROP INT_R_X27Y123 FIRST_SITE_ID 2849 TILEPROP INT_R_X27Y123 GRID_POINT_X 69 TILEPROP INT_R_X27Y123 GRID_POINT_Y 28 TILEPROP INT_R_X27Y123 INDEX 3289 TILEPROP INT_R_X27Y123 INT_TILE_X 27 TILEPROP INT_R_X27Y123 INT_TILE_Y 26 TILEPROP INT_R_X27Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y123 IS_DCM_TILE 0 TILEPROP INT_R_X27Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y123 NAME INT_R_X27Y123 TILEPROP INT_R_X27Y123 NUM_ARCS 3737 TILEPROP INT_R_X27Y123 NUM_SITES 1 TILEPROP INT_R_X27Y123 ROW 28 TILEPROP INT_R_X27Y123 SLR_REGION_ID 0 TILEPROP INT_R_X27Y123 TILE_PATTERN_IDX 4609 TILEPROP INT_R_X27Y123 TILE_TYPE INT_R TILEPROP INT_R_X27Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y123 TILE_X 24888 TILEPROP INT_R_X27Y123 TILE_Y 156472 TILEPROP INT_R_X27Y123 TYPE INT_R TILEPROP INT_R_X27Y124 CLASS tile TILEPROP INT_R_X27Y124 COLUMN 69 TILEPROP INT_R_X27Y124 DEVICE_ID 0 TILEPROP INT_R_X27Y124 FIRST_SITE_ID 2753 TILEPROP INT_R_X27Y124 GRID_POINT_X 69 TILEPROP INT_R_X27Y124 GRID_POINT_Y 27 TILEPROP INT_R_X27Y124 INDEX 3174 TILEPROP INT_R_X27Y124 INT_TILE_X 27 TILEPROP INT_R_X27Y124 INT_TILE_Y 25 TILEPROP INT_R_X27Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y124 IS_DCM_TILE 0 TILEPROP INT_R_X27Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y124 NAME INT_R_X27Y124 TILEPROP INT_R_X27Y124 NUM_ARCS 3737 TILEPROP INT_R_X27Y124 NUM_SITES 1 TILEPROP INT_R_X27Y124 ROW 27 TILEPROP INT_R_X27Y124 SLR_REGION_ID 0 TILEPROP INT_R_X27Y124 TILE_PATTERN_IDX 4572 TILEPROP INT_R_X27Y124 TILE_TYPE INT_R TILEPROP INT_R_X27Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y124 TILE_X 24888 TILEPROP INT_R_X27Y124 TILE_Y 159672 TILEPROP INT_R_X27Y124 TYPE INT_R TILEPROP INT_R_X27Y125 CLASS tile TILEPROP INT_R_X27Y125 COLUMN 69 TILEPROP INT_R_X27Y125 DEVICE_ID 0 TILEPROP INT_R_X27Y125 FIRST_SITE_ID 2580 TILEPROP INT_R_X27Y125 GRID_POINT_X 69 TILEPROP INT_R_X27Y125 GRID_POINT_Y 25 TILEPROP INT_R_X27Y125 INDEX 2944 TILEPROP INT_R_X27Y125 INT_TILE_X 27 TILEPROP INT_R_X27Y125 INT_TILE_Y 24 TILEPROP INT_R_X27Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y125 IS_DCM_TILE 0 TILEPROP INT_R_X27Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y125 NAME INT_R_X27Y125 TILEPROP INT_R_X27Y125 NUM_ARCS 3737 TILEPROP INT_R_X27Y125 NUM_SITES 1 TILEPROP INT_R_X27Y125 ROW 25 TILEPROP INT_R_X27Y125 SLR_REGION_ID 0 TILEPROP INT_R_X27Y125 TILE_PATTERN_IDX 4493 TILEPROP INT_R_X27Y125 TILE_TYPE INT_R TILEPROP INT_R_X27Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y125 TILE_X 24888 TILEPROP INT_R_X27Y125 TILE_Y 163896 TILEPROP INT_R_X27Y125 TYPE INT_R TILEPROP INT_R_X27Y126 CLASS tile TILEPROP INT_R_X27Y126 COLUMN 69 TILEPROP INT_R_X27Y126 DEVICE_ID 0 TILEPROP INT_R_X27Y126 FIRST_SITE_ID 2470 TILEPROP INT_R_X27Y126 GRID_POINT_X 69 TILEPROP INT_R_X27Y126 GRID_POINT_Y 24 TILEPROP INT_R_X27Y126 INDEX 2829 TILEPROP INT_R_X27Y126 INT_TILE_X 27 TILEPROP INT_R_X27Y126 INT_TILE_Y 23 TILEPROP INT_R_X27Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y126 IS_DCM_TILE 0 TILEPROP INT_R_X27Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y126 NAME INT_R_X27Y126 TILEPROP INT_R_X27Y126 NUM_ARCS 3737 TILEPROP INT_R_X27Y126 NUM_SITES 1 TILEPROP INT_R_X27Y126 ROW 24 TILEPROP INT_R_X27Y126 SLR_REGION_ID 0 TILEPROP INT_R_X27Y126 TILE_PATTERN_IDX 4454 TILEPROP INT_R_X27Y126 TILE_TYPE INT_R TILEPROP INT_R_X27Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y126 TILE_X 24888 TILEPROP INT_R_X27Y126 TILE_Y 167096 TILEPROP INT_R_X27Y126 TYPE INT_R TILEPROP INT_R_X27Y127 CLASS tile TILEPROP INT_R_X27Y127 COLUMN 69 TILEPROP INT_R_X27Y127 DEVICE_ID 0 TILEPROP INT_R_X27Y127 FIRST_SITE_ID 2374 TILEPROP INT_R_X27Y127 GRID_POINT_X 69 TILEPROP INT_R_X27Y127 GRID_POINT_Y 23 TILEPROP INT_R_X27Y127 INDEX 2714 TILEPROP INT_R_X27Y127 INT_TILE_X 27 TILEPROP INT_R_X27Y127 INT_TILE_Y 22 TILEPROP INT_R_X27Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y127 IS_DCM_TILE 0 TILEPROP INT_R_X27Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y127 NAME INT_R_X27Y127 TILEPROP INT_R_X27Y127 NUM_ARCS 3737 TILEPROP INT_R_X27Y127 NUM_SITES 1 TILEPROP INT_R_X27Y127 ROW 23 TILEPROP INT_R_X27Y127 SLR_REGION_ID 0 TILEPROP INT_R_X27Y127 TILE_PATTERN_IDX 4416 TILEPROP INT_R_X27Y127 TILE_TYPE INT_R TILEPROP INT_R_X27Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y127 TILE_X 24888 TILEPROP INT_R_X27Y127 TILE_Y 170296 TILEPROP INT_R_X27Y127 TYPE INT_R TILEPROP INT_R_X27Y128 CLASS tile TILEPROP INT_R_X27Y128 COLUMN 69 TILEPROP INT_R_X27Y128 DEVICE_ID 0 TILEPROP INT_R_X27Y128 FIRST_SITE_ID 2270 TILEPROP INT_R_X27Y128 GRID_POINT_X 69 TILEPROP INT_R_X27Y128 GRID_POINT_Y 22 TILEPROP INT_R_X27Y128 INDEX 2599 TILEPROP INT_R_X27Y128 INT_TILE_X 27 TILEPROP INT_R_X27Y128 INT_TILE_Y 21 TILEPROP INT_R_X27Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y128 IS_DCM_TILE 0 TILEPROP INT_R_X27Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y128 NAME INT_R_X27Y128 TILEPROP INT_R_X27Y128 NUM_ARCS 3737 TILEPROP INT_R_X27Y128 NUM_SITES 1 TILEPROP INT_R_X27Y128 ROW 22 TILEPROP INT_R_X27Y128 SLR_REGION_ID 0 TILEPROP INT_R_X27Y128 TILE_PATTERN_IDX 4377 TILEPROP INT_R_X27Y128 TILE_TYPE INT_R TILEPROP INT_R_X27Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y128 TILE_X 24888 TILEPROP INT_R_X27Y128 TILE_Y 173496 TILEPROP INT_R_X27Y128 TYPE INT_R TILEPROP INT_R_X27Y129 CLASS tile TILEPROP INT_R_X27Y129 COLUMN 69 TILEPROP INT_R_X27Y129 DEVICE_ID 0 TILEPROP INT_R_X27Y129 FIRST_SITE_ID 2174 TILEPROP INT_R_X27Y129 GRID_POINT_X 69 TILEPROP INT_R_X27Y129 GRID_POINT_Y 21 TILEPROP INT_R_X27Y129 INDEX 2484 TILEPROP INT_R_X27Y129 INT_TILE_X 27 TILEPROP INT_R_X27Y129 INT_TILE_Y 20 TILEPROP INT_R_X27Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y129 IS_DCM_TILE 0 TILEPROP INT_R_X27Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y129 NAME INT_R_X27Y129 TILEPROP INT_R_X27Y129 NUM_ARCS 3737 TILEPROP INT_R_X27Y129 NUM_SITES 1 TILEPROP INT_R_X27Y129 ROW 21 TILEPROP INT_R_X27Y129 SLR_REGION_ID 0 TILEPROP INT_R_X27Y129 TILE_PATTERN_IDX 4339 TILEPROP INT_R_X27Y129 TILE_TYPE INT_R TILEPROP INT_R_X27Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y129 TILE_X 24888 TILEPROP INT_R_X27Y129 TILE_Y 176696 TILEPROP INT_R_X27Y129 TYPE INT_R TILEPROP INT_R_X27Y130 CLASS tile TILEPROP INT_R_X27Y130 COLUMN 69 TILEPROP INT_R_X27Y130 DEVICE_ID 0 TILEPROP INT_R_X27Y130 FIRST_SITE_ID 2058 TILEPROP INT_R_X27Y130 GRID_POINT_X 69 TILEPROP INT_R_X27Y130 GRID_POINT_Y 20 TILEPROP INT_R_X27Y130 INDEX 2369 TILEPROP INT_R_X27Y130 INT_TILE_X 27 TILEPROP INT_R_X27Y130 INT_TILE_Y 19 TILEPROP INT_R_X27Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y130 IS_DCM_TILE 0 TILEPROP INT_R_X27Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y130 NAME INT_R_X27Y130 TILEPROP INT_R_X27Y130 NUM_ARCS 3737 TILEPROP INT_R_X27Y130 NUM_SITES 1 TILEPROP INT_R_X27Y130 ROW 20 TILEPROP INT_R_X27Y130 SLR_REGION_ID 0 TILEPROP INT_R_X27Y130 TILE_PATTERN_IDX 4299 TILEPROP INT_R_X27Y130 TILE_TYPE INT_R TILEPROP INT_R_X27Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y130 TILE_X 24888 TILEPROP INT_R_X27Y130 TILE_Y 179896 TILEPROP INT_R_X27Y130 TYPE INT_R TILEPROP INT_R_X27Y131 CLASS tile TILEPROP INT_R_X27Y131 COLUMN 69 TILEPROP INT_R_X27Y131 DEVICE_ID 0 TILEPROP INT_R_X27Y131 FIRST_SITE_ID 1956 TILEPROP INT_R_X27Y131 GRID_POINT_X 69 TILEPROP INT_R_X27Y131 GRID_POINT_Y 19 TILEPROP INT_R_X27Y131 INDEX 2254 TILEPROP INT_R_X27Y131 INT_TILE_X 27 TILEPROP INT_R_X27Y131 INT_TILE_Y 18 TILEPROP INT_R_X27Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y131 IS_DCM_TILE 0 TILEPROP INT_R_X27Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y131 NAME INT_R_X27Y131 TILEPROP INT_R_X27Y131 NUM_ARCS 3737 TILEPROP INT_R_X27Y131 NUM_SITES 1 TILEPROP INT_R_X27Y131 ROW 19 TILEPROP INT_R_X27Y131 SLR_REGION_ID 0 TILEPROP INT_R_X27Y131 TILE_PATTERN_IDX 4261 TILEPROP INT_R_X27Y131 TILE_TYPE INT_R TILEPROP INT_R_X27Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y131 TILE_X 24888 TILEPROP INT_R_X27Y131 TILE_Y 183096 TILEPROP INT_R_X27Y131 TYPE INT_R TILEPROP INT_R_X27Y132 CLASS tile TILEPROP INT_R_X27Y132 COLUMN 69 TILEPROP INT_R_X27Y132 DEVICE_ID 0 TILEPROP INT_R_X27Y132 FIRST_SITE_ID 1850 TILEPROP INT_R_X27Y132 GRID_POINT_X 69 TILEPROP INT_R_X27Y132 GRID_POINT_Y 18 TILEPROP INT_R_X27Y132 INDEX 2139 TILEPROP INT_R_X27Y132 INT_TILE_X 27 TILEPROP INT_R_X27Y132 INT_TILE_Y 17 TILEPROP INT_R_X27Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y132 IS_DCM_TILE 0 TILEPROP INT_R_X27Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y132 NAME INT_R_X27Y132 TILEPROP INT_R_X27Y132 NUM_ARCS 3737 TILEPROP INT_R_X27Y132 NUM_SITES 1 TILEPROP INT_R_X27Y132 ROW 18 TILEPROP INT_R_X27Y132 SLR_REGION_ID 0 TILEPROP INT_R_X27Y132 TILE_PATTERN_IDX 4222 TILEPROP INT_R_X27Y132 TILE_TYPE INT_R TILEPROP INT_R_X27Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y132 TILE_X 24888 TILEPROP INT_R_X27Y132 TILE_Y 186296 TILEPROP INT_R_X27Y132 TYPE INT_R TILEPROP INT_R_X27Y133 CLASS tile TILEPROP INT_R_X27Y133 COLUMN 69 TILEPROP INT_R_X27Y133 DEVICE_ID 0 TILEPROP INT_R_X27Y133 FIRST_SITE_ID 1749 TILEPROP INT_R_X27Y133 GRID_POINT_X 69 TILEPROP INT_R_X27Y133 GRID_POINT_Y 17 TILEPROP INT_R_X27Y133 INDEX 2024 TILEPROP INT_R_X27Y133 INT_TILE_X 27 TILEPROP INT_R_X27Y133 INT_TILE_Y 16 TILEPROP INT_R_X27Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y133 IS_DCM_TILE 0 TILEPROP INT_R_X27Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y133 NAME INT_R_X27Y133 TILEPROP INT_R_X27Y133 NUM_ARCS 3737 TILEPROP INT_R_X27Y133 NUM_SITES 1 TILEPROP INT_R_X27Y133 ROW 17 TILEPROP INT_R_X27Y133 SLR_REGION_ID 0 TILEPROP INT_R_X27Y133 TILE_PATTERN_IDX 4184 TILEPROP INT_R_X27Y133 TILE_TYPE INT_R TILEPROP INT_R_X27Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y133 TILE_X 24888 TILEPROP INT_R_X27Y133 TILE_Y 189496 TILEPROP INT_R_X27Y133 TYPE INT_R TILEPROP INT_R_X27Y134 CLASS tile TILEPROP INT_R_X27Y134 COLUMN 69 TILEPROP INT_R_X27Y134 DEVICE_ID 0 TILEPROP INT_R_X27Y134 FIRST_SITE_ID 1645 TILEPROP INT_R_X27Y134 GRID_POINT_X 69 TILEPROP INT_R_X27Y134 GRID_POINT_Y 16 TILEPROP INT_R_X27Y134 INDEX 1909 TILEPROP INT_R_X27Y134 INT_TILE_X 27 TILEPROP INT_R_X27Y134 INT_TILE_Y 15 TILEPROP INT_R_X27Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y134 IS_DCM_TILE 0 TILEPROP INT_R_X27Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y134 NAME INT_R_X27Y134 TILEPROP INT_R_X27Y134 NUM_ARCS 3737 TILEPROP INT_R_X27Y134 NUM_SITES 1 TILEPROP INT_R_X27Y134 ROW 16 TILEPROP INT_R_X27Y134 SLR_REGION_ID 0 TILEPROP INT_R_X27Y134 TILE_PATTERN_IDX 4145 TILEPROP INT_R_X27Y134 TILE_TYPE INT_R TILEPROP INT_R_X27Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y134 TILE_X 24888 TILEPROP INT_R_X27Y134 TILE_Y 192696 TILEPROP INT_R_X27Y134 TYPE INT_R TILEPROP INT_R_X27Y135 CLASS tile TILEPROP INT_R_X27Y135 COLUMN 69 TILEPROP INT_R_X27Y135 DEVICE_ID 0 TILEPROP INT_R_X27Y135 FIRST_SITE_ID 1543 TILEPROP INT_R_X27Y135 GRID_POINT_X 69 TILEPROP INT_R_X27Y135 GRID_POINT_Y 15 TILEPROP INT_R_X27Y135 INDEX 1794 TILEPROP INT_R_X27Y135 INT_TILE_X 27 TILEPROP INT_R_X27Y135 INT_TILE_Y 14 TILEPROP INT_R_X27Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y135 IS_DCM_TILE 0 TILEPROP INT_R_X27Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y135 NAME INT_R_X27Y135 TILEPROP INT_R_X27Y135 NUM_ARCS 3737 TILEPROP INT_R_X27Y135 NUM_SITES 1 TILEPROP INT_R_X27Y135 ROW 15 TILEPROP INT_R_X27Y135 SLR_REGION_ID 0 TILEPROP INT_R_X27Y135 TILE_PATTERN_IDX 4107 TILEPROP INT_R_X27Y135 TILE_TYPE INT_R TILEPROP INT_R_X27Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y135 TILE_X 24888 TILEPROP INT_R_X27Y135 TILE_Y 195896 TILEPROP INT_R_X27Y135 TYPE INT_R TILEPROP INT_R_X27Y136 CLASS tile TILEPROP INT_R_X27Y136 COLUMN 69 TILEPROP INT_R_X27Y136 DEVICE_ID 0 TILEPROP INT_R_X27Y136 FIRST_SITE_ID 1433 TILEPROP INT_R_X27Y136 GRID_POINT_X 69 TILEPROP INT_R_X27Y136 GRID_POINT_Y 14 TILEPROP INT_R_X27Y136 INDEX 1679 TILEPROP INT_R_X27Y136 INT_TILE_X 27 TILEPROP INT_R_X27Y136 INT_TILE_Y 13 TILEPROP INT_R_X27Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y136 IS_DCM_TILE 0 TILEPROP INT_R_X27Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y136 NAME INT_R_X27Y136 TILEPROP INT_R_X27Y136 NUM_ARCS 3737 TILEPROP INT_R_X27Y136 NUM_SITES 1 TILEPROP INT_R_X27Y136 ROW 14 TILEPROP INT_R_X27Y136 SLR_REGION_ID 0 TILEPROP INT_R_X27Y136 TILE_PATTERN_IDX 4068 TILEPROP INT_R_X27Y136 TILE_TYPE INT_R TILEPROP INT_R_X27Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y136 TILE_X 24888 TILEPROP INT_R_X27Y136 TILE_Y 199096 TILEPROP INT_R_X27Y136 TYPE INT_R TILEPROP INT_R_X27Y137 CLASS tile TILEPROP INT_R_X27Y137 COLUMN 69 TILEPROP INT_R_X27Y137 DEVICE_ID 0 TILEPROP INT_R_X27Y137 FIRST_SITE_ID 1305 TILEPROP INT_R_X27Y137 GRID_POINT_X 69 TILEPROP INT_R_X27Y137 GRID_POINT_Y 13 TILEPROP INT_R_X27Y137 INDEX 1564 TILEPROP INT_R_X27Y137 INT_TILE_X 27 TILEPROP INT_R_X27Y137 INT_TILE_Y 12 TILEPROP INT_R_X27Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y137 IS_DCM_TILE 0 TILEPROP INT_R_X27Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y137 NAME INT_R_X27Y137 TILEPROP INT_R_X27Y137 NUM_ARCS 3737 TILEPROP INT_R_X27Y137 NUM_SITES 1 TILEPROP INT_R_X27Y137 ROW 13 TILEPROP INT_R_X27Y137 SLR_REGION_ID 0 TILEPROP INT_R_X27Y137 TILE_PATTERN_IDX 4030 TILEPROP INT_R_X27Y137 TILE_TYPE INT_R TILEPROP INT_R_X27Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y137 TILE_X 24888 TILEPROP INT_R_X27Y137 TILE_Y 202296 TILEPROP INT_R_X27Y137 TYPE INT_R TILEPROP INT_R_X27Y138 CLASS tile TILEPROP INT_R_X27Y138 COLUMN 69 TILEPROP INT_R_X27Y138 DEVICE_ID 0 TILEPROP INT_R_X27Y138 FIRST_SITE_ID 1201 TILEPROP INT_R_X27Y138 GRID_POINT_X 69 TILEPROP INT_R_X27Y138 GRID_POINT_Y 12 TILEPROP INT_R_X27Y138 INDEX 1449 TILEPROP INT_R_X27Y138 INT_TILE_X 27 TILEPROP INT_R_X27Y138 INT_TILE_Y 11 TILEPROP INT_R_X27Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y138 IS_DCM_TILE 0 TILEPROP INT_R_X27Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y138 NAME INT_R_X27Y138 TILEPROP INT_R_X27Y138 NUM_ARCS 3737 TILEPROP INT_R_X27Y138 NUM_SITES 1 TILEPROP INT_R_X27Y138 ROW 12 TILEPROP INT_R_X27Y138 SLR_REGION_ID 0 TILEPROP INT_R_X27Y138 TILE_PATTERN_IDX 3991 TILEPROP INT_R_X27Y138 TILE_TYPE INT_R TILEPROP INT_R_X27Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y138 TILE_X 24888 TILEPROP INT_R_X27Y138 TILE_Y 205496 TILEPROP INT_R_X27Y138 TYPE INT_R TILEPROP INT_R_X27Y139 CLASS tile TILEPROP INT_R_X27Y139 COLUMN 69 TILEPROP INT_R_X27Y139 DEVICE_ID 0 TILEPROP INT_R_X27Y139 FIRST_SITE_ID 1105 TILEPROP INT_R_X27Y139 GRID_POINT_X 69 TILEPROP INT_R_X27Y139 GRID_POINT_Y 11 TILEPROP INT_R_X27Y139 INDEX 1334 TILEPROP INT_R_X27Y139 INT_TILE_X 27 TILEPROP INT_R_X27Y139 INT_TILE_Y 10 TILEPROP INT_R_X27Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y139 IS_DCM_TILE 0 TILEPROP INT_R_X27Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y139 NAME INT_R_X27Y139 TILEPROP INT_R_X27Y139 NUM_ARCS 3737 TILEPROP INT_R_X27Y139 NUM_SITES 1 TILEPROP INT_R_X27Y139 ROW 11 TILEPROP INT_R_X27Y139 SLR_REGION_ID 0 TILEPROP INT_R_X27Y139 TILE_PATTERN_IDX 3953 TILEPROP INT_R_X27Y139 TILE_TYPE INT_R TILEPROP INT_R_X27Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y139 TILE_X 24888 TILEPROP INT_R_X27Y139 TILE_Y 208696 TILEPROP INT_R_X27Y139 TYPE INT_R TILEPROP INT_R_X27Y140 CLASS tile TILEPROP INT_R_X27Y140 COLUMN 69 TILEPROP INT_R_X27Y140 DEVICE_ID 0 TILEPROP INT_R_X27Y140 FIRST_SITE_ID 995 TILEPROP INT_R_X27Y140 GRID_POINT_X 69 TILEPROP INT_R_X27Y140 GRID_POINT_Y 10 TILEPROP INT_R_X27Y140 INDEX 1219 TILEPROP INT_R_X27Y140 INT_TILE_X 27 TILEPROP INT_R_X27Y140 INT_TILE_Y 9 TILEPROP INT_R_X27Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y140 IS_DCM_TILE 0 TILEPROP INT_R_X27Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y140 NAME INT_R_X27Y140 TILEPROP INT_R_X27Y140 NUM_ARCS 3737 TILEPROP INT_R_X27Y140 NUM_SITES 1 TILEPROP INT_R_X27Y140 ROW 10 TILEPROP INT_R_X27Y140 SLR_REGION_ID 0 TILEPROP INT_R_X27Y140 TILE_PATTERN_IDX 3914 TILEPROP INT_R_X27Y140 TILE_TYPE INT_R TILEPROP INT_R_X27Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y140 TILE_X 24888 TILEPROP INT_R_X27Y140 TILE_Y 211896 TILEPROP INT_R_X27Y140 TYPE INT_R TILEPROP INT_R_X27Y141 CLASS tile TILEPROP INT_R_X27Y141 COLUMN 69 TILEPROP INT_R_X27Y141 DEVICE_ID 0 TILEPROP INT_R_X27Y141 FIRST_SITE_ID 893 TILEPROP INT_R_X27Y141 GRID_POINT_X 69 TILEPROP INT_R_X27Y141 GRID_POINT_Y 9 TILEPROP INT_R_X27Y141 INDEX 1104 TILEPROP INT_R_X27Y141 INT_TILE_X 27 TILEPROP INT_R_X27Y141 INT_TILE_Y 8 TILEPROP INT_R_X27Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y141 IS_DCM_TILE 0 TILEPROP INT_R_X27Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y141 NAME INT_R_X27Y141 TILEPROP INT_R_X27Y141 NUM_ARCS 3737 TILEPROP INT_R_X27Y141 NUM_SITES 1 TILEPROP INT_R_X27Y141 ROW 9 TILEPROP INT_R_X27Y141 SLR_REGION_ID 0 TILEPROP INT_R_X27Y141 TILE_PATTERN_IDX 3876 TILEPROP INT_R_X27Y141 TILE_TYPE INT_R TILEPROP INT_R_X27Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y141 TILE_X 24888 TILEPROP INT_R_X27Y141 TILE_Y 215096 TILEPROP INT_R_X27Y141 TYPE INT_R TILEPROP INT_R_X27Y142 CLASS tile TILEPROP INT_R_X27Y142 COLUMN 69 TILEPROP INT_R_X27Y142 DEVICE_ID 0 TILEPROP INT_R_X27Y142 FIRST_SITE_ID 789 TILEPROP INT_R_X27Y142 GRID_POINT_X 69 TILEPROP INT_R_X27Y142 GRID_POINT_Y 8 TILEPROP INT_R_X27Y142 INDEX 989 TILEPROP INT_R_X27Y142 INT_TILE_X 27 TILEPROP INT_R_X27Y142 INT_TILE_Y 7 TILEPROP INT_R_X27Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y142 IS_DCM_TILE 0 TILEPROP INT_R_X27Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y142 NAME INT_R_X27Y142 TILEPROP INT_R_X27Y142 NUM_ARCS 3737 TILEPROP INT_R_X27Y142 NUM_SITES 1 TILEPROP INT_R_X27Y142 ROW 8 TILEPROP INT_R_X27Y142 SLR_REGION_ID 0 TILEPROP INT_R_X27Y142 TILE_PATTERN_IDX 3837 TILEPROP INT_R_X27Y142 TILE_TYPE INT_R TILEPROP INT_R_X27Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y142 TILE_X 24888 TILEPROP INT_R_X27Y142 TILE_Y 218296 TILEPROP INT_R_X27Y142 TYPE INT_R TILEPROP INT_R_X27Y143 CLASS tile TILEPROP INT_R_X27Y143 COLUMN 69 TILEPROP INT_R_X27Y143 DEVICE_ID 0 TILEPROP INT_R_X27Y143 FIRST_SITE_ID 692 TILEPROP INT_R_X27Y143 GRID_POINT_X 69 TILEPROP INT_R_X27Y143 GRID_POINT_Y 7 TILEPROP INT_R_X27Y143 INDEX 874 TILEPROP INT_R_X27Y143 INT_TILE_X 27 TILEPROP INT_R_X27Y143 INT_TILE_Y 6 TILEPROP INT_R_X27Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y143 IS_DCM_TILE 0 TILEPROP INT_R_X27Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y143 NAME INT_R_X27Y143 TILEPROP INT_R_X27Y143 NUM_ARCS 3737 TILEPROP INT_R_X27Y143 NUM_SITES 1 TILEPROP INT_R_X27Y143 ROW 7 TILEPROP INT_R_X27Y143 SLR_REGION_ID 0 TILEPROP INT_R_X27Y143 TILE_PATTERN_IDX 3799 TILEPROP INT_R_X27Y143 TILE_TYPE INT_R TILEPROP INT_R_X27Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y143 TILE_X 24888 TILEPROP INT_R_X27Y143 TILE_Y 221496 TILEPROP INT_R_X27Y143 TYPE INT_R TILEPROP INT_R_X27Y144 CLASS tile TILEPROP INT_R_X27Y144 COLUMN 69 TILEPROP INT_R_X27Y144 DEVICE_ID 0 TILEPROP INT_R_X27Y144 FIRST_SITE_ID 581 TILEPROP INT_R_X27Y144 GRID_POINT_X 69 TILEPROP INT_R_X27Y144 GRID_POINT_Y 6 TILEPROP INT_R_X27Y144 INDEX 759 TILEPROP INT_R_X27Y144 INT_TILE_X 27 TILEPROP INT_R_X27Y144 INT_TILE_Y 5 TILEPROP INT_R_X27Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y144 IS_DCM_TILE 0 TILEPROP INT_R_X27Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y144 NAME INT_R_X27Y144 TILEPROP INT_R_X27Y144 NUM_ARCS 3737 TILEPROP INT_R_X27Y144 NUM_SITES 1 TILEPROP INT_R_X27Y144 ROW 6 TILEPROP INT_R_X27Y144 SLR_REGION_ID 0 TILEPROP INT_R_X27Y144 TILE_PATTERN_IDX 3760 TILEPROP INT_R_X27Y144 TILE_TYPE INT_R TILEPROP INT_R_X27Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y144 TILE_X 24888 TILEPROP INT_R_X27Y144 TILE_Y 224696 TILEPROP INT_R_X27Y144 TYPE INT_R TILEPROP INT_R_X27Y145 CLASS tile TILEPROP INT_R_X27Y145 COLUMN 69 TILEPROP INT_R_X27Y145 DEVICE_ID 0 TILEPROP INT_R_X27Y145 FIRST_SITE_ID 479 TILEPROP INT_R_X27Y145 GRID_POINT_X 69 TILEPROP INT_R_X27Y145 GRID_POINT_Y 5 TILEPROP INT_R_X27Y145 INDEX 644 TILEPROP INT_R_X27Y145 INT_TILE_X 27 TILEPROP INT_R_X27Y145 INT_TILE_Y 4 TILEPROP INT_R_X27Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y145 IS_DCM_TILE 0 TILEPROP INT_R_X27Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y145 NAME INT_R_X27Y145 TILEPROP INT_R_X27Y145 NUM_ARCS 3737 TILEPROP INT_R_X27Y145 NUM_SITES 1 TILEPROP INT_R_X27Y145 ROW 5 TILEPROP INT_R_X27Y145 SLR_REGION_ID 0 TILEPROP INT_R_X27Y145 TILE_PATTERN_IDX 3722 TILEPROP INT_R_X27Y145 TILE_TYPE INT_R TILEPROP INT_R_X27Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y145 TILE_X 24888 TILEPROP INT_R_X27Y145 TILE_Y 227896 TILEPROP INT_R_X27Y145 TYPE INT_R TILEPROP INT_R_X27Y146 CLASS tile TILEPROP INT_R_X27Y146 COLUMN 69 TILEPROP INT_R_X27Y146 DEVICE_ID 0 TILEPROP INT_R_X27Y146 FIRST_SITE_ID 369 TILEPROP INT_R_X27Y146 GRID_POINT_X 69 TILEPROP INT_R_X27Y146 GRID_POINT_Y 4 TILEPROP INT_R_X27Y146 INDEX 529 TILEPROP INT_R_X27Y146 INT_TILE_X 27 TILEPROP INT_R_X27Y146 INT_TILE_Y 3 TILEPROP INT_R_X27Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y146 IS_DCM_TILE 0 TILEPROP INT_R_X27Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y146 NAME INT_R_X27Y146 TILEPROP INT_R_X27Y146 NUM_ARCS 3737 TILEPROP INT_R_X27Y146 NUM_SITES 1 TILEPROP INT_R_X27Y146 ROW 4 TILEPROP INT_R_X27Y146 SLR_REGION_ID 0 TILEPROP INT_R_X27Y146 TILE_PATTERN_IDX 3683 TILEPROP INT_R_X27Y146 TILE_TYPE INT_R TILEPROP INT_R_X27Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y146 TILE_X 24888 TILEPROP INT_R_X27Y146 TILE_Y 231096 TILEPROP INT_R_X27Y146 TYPE INT_R TILEPROP INT_R_X27Y147 CLASS tile TILEPROP INT_R_X27Y147 COLUMN 69 TILEPROP INT_R_X27Y147 DEVICE_ID 0 TILEPROP INT_R_X27Y147 FIRST_SITE_ID 273 TILEPROP INT_R_X27Y147 GRID_POINT_X 69 TILEPROP INT_R_X27Y147 GRID_POINT_Y 3 TILEPROP INT_R_X27Y147 INDEX 414 TILEPROP INT_R_X27Y147 INT_TILE_X 27 TILEPROP INT_R_X27Y147 INT_TILE_Y 2 TILEPROP INT_R_X27Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y147 IS_DCM_TILE 0 TILEPROP INT_R_X27Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y147 NAME INT_R_X27Y147 TILEPROP INT_R_X27Y147 NUM_ARCS 3737 TILEPROP INT_R_X27Y147 NUM_SITES 1 TILEPROP INT_R_X27Y147 ROW 3 TILEPROP INT_R_X27Y147 SLR_REGION_ID 0 TILEPROP INT_R_X27Y147 TILE_PATTERN_IDX 3645 TILEPROP INT_R_X27Y147 TILE_TYPE INT_R TILEPROP INT_R_X27Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y147 TILE_X 24888 TILEPROP INT_R_X27Y147 TILE_Y 234296 TILEPROP INT_R_X27Y147 TYPE INT_R TILEPROP INT_R_X27Y148 CLASS tile TILEPROP INT_R_X27Y148 COLUMN 69 TILEPROP INT_R_X27Y148 DEVICE_ID 0 TILEPROP INT_R_X27Y148 FIRST_SITE_ID 169 TILEPROP INT_R_X27Y148 GRID_POINT_X 69 TILEPROP INT_R_X27Y148 GRID_POINT_Y 2 TILEPROP INT_R_X27Y148 INDEX 299 TILEPROP INT_R_X27Y148 INT_TILE_X 27 TILEPROP INT_R_X27Y148 INT_TILE_Y 1 TILEPROP INT_R_X27Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y148 IS_DCM_TILE 0 TILEPROP INT_R_X27Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y148 NAME INT_R_X27Y148 TILEPROP INT_R_X27Y148 NUM_ARCS 3737 TILEPROP INT_R_X27Y148 NUM_SITES 1 TILEPROP INT_R_X27Y148 ROW 2 TILEPROP INT_R_X27Y148 SLR_REGION_ID 0 TILEPROP INT_R_X27Y148 TILE_PATTERN_IDX 3606 TILEPROP INT_R_X27Y148 TILE_TYPE INT_R TILEPROP INT_R_X27Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y148 TILE_X 24888 TILEPROP INT_R_X27Y148 TILE_Y 237496 TILEPROP INT_R_X27Y148 TYPE INT_R TILEPROP INT_R_X27Y149 CLASS tile TILEPROP INT_R_X27Y149 COLUMN 69 TILEPROP INT_R_X27Y149 DEVICE_ID 0 TILEPROP INT_R_X27Y149 FIRST_SITE_ID 73 TILEPROP INT_R_X27Y149 GRID_POINT_X 69 TILEPROP INT_R_X27Y149 GRID_POINT_Y 1 TILEPROP INT_R_X27Y149 INDEX 184 TILEPROP INT_R_X27Y149 INT_TILE_X 27 TILEPROP INT_R_X27Y149 INT_TILE_Y 0 TILEPROP INT_R_X27Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X27Y149 IS_DCM_TILE 0 TILEPROP INT_R_X27Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X27Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X27Y149 NAME INT_R_X27Y149 TILEPROP INT_R_X27Y149 NUM_ARCS 3737 TILEPROP INT_R_X27Y149 NUM_SITES 1 TILEPROP INT_R_X27Y149 ROW 1 TILEPROP INT_R_X27Y149 SLR_REGION_ID 0 TILEPROP INT_R_X27Y149 TILE_PATTERN_IDX 3568 TILEPROP INT_R_X27Y149 TILE_TYPE INT_R TILEPROP INT_R_X27Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X27Y149 TILE_X 24888 TILEPROP INT_R_X27Y149 TILE_Y 240696 TILEPROP INT_R_X27Y149 TYPE INT_R TILEPROP INT_R_X29Y0 CLASS tile TILEPROP INT_R_X29Y0 COLUMN 73 TILEPROP INT_R_X29Y0 DEVICE_ID 0 TILEPROP INT_R_X29Y0 FIRST_SITE_ID 15769 TILEPROP INT_R_X29Y0 GRID_POINT_X 73 TILEPROP INT_R_X29Y0 GRID_POINT_Y 155 TILEPROP INT_R_X29Y0 INDEX 17898 TILEPROP INT_R_X29Y0 INT_TILE_X 29 TILEPROP INT_R_X29Y0 INT_TILE_Y 149 TILEPROP INT_R_X29Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y0 IS_DCM_TILE 0 TILEPROP INT_R_X29Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y0 NAME INT_R_X29Y0 TILEPROP INT_R_X29Y0 NUM_ARCS 3737 TILEPROP INT_R_X29Y0 NUM_SITES 1 TILEPROP INT_R_X29Y0 ROW 155 TILEPROP INT_R_X29Y0 SLR_REGION_ID 0 TILEPROP INT_R_X29Y0 TILE_PATTERN_IDX 8756 TILEPROP INT_R_X29Y0 TILE_TYPE INT_R TILEPROP INT_R_X29Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y0 TILE_X 33192 TILEPROP INT_R_X29Y0 TILE_Y -239672 TILEPROP INT_R_X29Y0 TYPE INT_R TILEPROP INT_R_X29Y1 CLASS tile TILEPROP INT_R_X29Y1 COLUMN 73 TILEPROP INT_R_X29Y1 DEVICE_ID 0 TILEPROP INT_R_X29Y1 FIRST_SITE_ID 15659 TILEPROP INT_R_X29Y1 GRID_POINT_X 73 TILEPROP INT_R_X29Y1 GRID_POINT_Y 154 TILEPROP INT_R_X29Y1 INDEX 17783 TILEPROP INT_R_X29Y1 INT_TILE_X 29 TILEPROP INT_R_X29Y1 INT_TILE_Y 148 TILEPROP INT_R_X29Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y1 IS_DCM_TILE 0 TILEPROP INT_R_X29Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y1 NAME INT_R_X29Y1 TILEPROP INT_R_X29Y1 NUM_ARCS 3737 TILEPROP INT_R_X29Y1 NUM_SITES 1 TILEPROP INT_R_X29Y1 ROW 154 TILEPROP INT_R_X29Y1 SLR_REGION_ID 0 TILEPROP INT_R_X29Y1 TILE_PATTERN_IDX 8725 TILEPROP INT_R_X29Y1 TILE_TYPE INT_R TILEPROP INT_R_X29Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y1 TILE_X 33192 TILEPROP INT_R_X29Y1 TILE_Y -236472 TILEPROP INT_R_X29Y1 TYPE INT_R TILEPROP INT_R_X29Y2 CLASS tile TILEPROP INT_R_X29Y2 COLUMN 73 TILEPROP INT_R_X29Y2 DEVICE_ID 0 TILEPROP INT_R_X29Y2 FIRST_SITE_ID 15558 TILEPROP INT_R_X29Y2 GRID_POINT_X 73 TILEPROP INT_R_X29Y2 GRID_POINT_Y 153 TILEPROP INT_R_X29Y2 INDEX 17668 TILEPROP INT_R_X29Y2 INT_TILE_X 29 TILEPROP INT_R_X29Y2 INT_TILE_Y 147 TILEPROP INT_R_X29Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y2 IS_DCM_TILE 0 TILEPROP INT_R_X29Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y2 NAME INT_R_X29Y2 TILEPROP INT_R_X29Y2 NUM_ARCS 3737 TILEPROP INT_R_X29Y2 NUM_SITES 1 TILEPROP INT_R_X29Y2 ROW 153 TILEPROP INT_R_X29Y2 SLR_REGION_ID 0 TILEPROP INT_R_X29Y2 TILE_PATTERN_IDX 8695 TILEPROP INT_R_X29Y2 TILE_TYPE INT_R TILEPROP INT_R_X29Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y2 TILE_X 33192 TILEPROP INT_R_X29Y2 TILE_Y -233272 TILEPROP INT_R_X29Y2 TYPE INT_R TILEPROP INT_R_X29Y3 CLASS tile TILEPROP INT_R_X29Y3 COLUMN 73 TILEPROP INT_R_X29Y3 DEVICE_ID 0 TILEPROP INT_R_X29Y3 FIRST_SITE_ID 15458 TILEPROP INT_R_X29Y3 GRID_POINT_X 73 TILEPROP INT_R_X29Y3 GRID_POINT_Y 152 TILEPROP INT_R_X29Y3 INDEX 17553 TILEPROP INT_R_X29Y3 INT_TILE_X 29 TILEPROP INT_R_X29Y3 INT_TILE_Y 146 TILEPROP INT_R_X29Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y3 IS_DCM_TILE 0 TILEPROP INT_R_X29Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y3 NAME INT_R_X29Y3 TILEPROP INT_R_X29Y3 NUM_ARCS 3737 TILEPROP INT_R_X29Y3 NUM_SITES 1 TILEPROP INT_R_X29Y3 ROW 152 TILEPROP INT_R_X29Y3 SLR_REGION_ID 0 TILEPROP INT_R_X29Y3 TILE_PATTERN_IDX 8664 TILEPROP INT_R_X29Y3 TILE_TYPE INT_R TILEPROP INT_R_X29Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y3 TILE_X 33192 TILEPROP INT_R_X29Y3 TILE_Y -230072 TILEPROP INT_R_X29Y3 TYPE INT_R TILEPROP INT_R_X29Y4 CLASS tile TILEPROP INT_R_X29Y4 COLUMN 73 TILEPROP INT_R_X29Y4 DEVICE_ID 0 TILEPROP INT_R_X29Y4 FIRST_SITE_ID 15358 TILEPROP INT_R_X29Y4 GRID_POINT_X 73 TILEPROP INT_R_X29Y4 GRID_POINT_Y 151 TILEPROP INT_R_X29Y4 INDEX 17438 TILEPROP INT_R_X29Y4 INT_TILE_X 29 TILEPROP INT_R_X29Y4 INT_TILE_Y 145 TILEPROP INT_R_X29Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y4 IS_DCM_TILE 0 TILEPROP INT_R_X29Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y4 NAME INT_R_X29Y4 TILEPROP INT_R_X29Y4 NUM_ARCS 3737 TILEPROP INT_R_X29Y4 NUM_SITES 1 TILEPROP INT_R_X29Y4 ROW 151 TILEPROP INT_R_X29Y4 SLR_REGION_ID 0 TILEPROP INT_R_X29Y4 TILE_PATTERN_IDX 8634 TILEPROP INT_R_X29Y4 TILE_TYPE INT_R TILEPROP INT_R_X29Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y4 TILE_X 33192 TILEPROP INT_R_X29Y4 TILE_Y -226872 TILEPROP INT_R_X29Y4 TYPE INT_R TILEPROP INT_R_X29Y5 CLASS tile TILEPROP INT_R_X29Y5 COLUMN 73 TILEPROP INT_R_X29Y5 DEVICE_ID 0 TILEPROP INT_R_X29Y5 FIRST_SITE_ID 15249 TILEPROP INT_R_X29Y5 GRID_POINT_X 73 TILEPROP INT_R_X29Y5 GRID_POINT_Y 150 TILEPROP INT_R_X29Y5 INDEX 17323 TILEPROP INT_R_X29Y5 INT_TILE_X 29 TILEPROP INT_R_X29Y5 INT_TILE_Y 144 TILEPROP INT_R_X29Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y5 IS_DCM_TILE 0 TILEPROP INT_R_X29Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y5 NAME INT_R_X29Y5 TILEPROP INT_R_X29Y5 NUM_ARCS 3737 TILEPROP INT_R_X29Y5 NUM_SITES 1 TILEPROP INT_R_X29Y5 ROW 150 TILEPROP INT_R_X29Y5 SLR_REGION_ID 0 TILEPROP INT_R_X29Y5 TILE_PATTERN_IDX 8603 TILEPROP INT_R_X29Y5 TILE_TYPE INT_R TILEPROP INT_R_X29Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y5 TILE_X 33192 TILEPROP INT_R_X29Y5 TILE_Y -223672 TILEPROP INT_R_X29Y5 TYPE INT_R TILEPROP INT_R_X29Y6 CLASS tile TILEPROP INT_R_X29Y6 COLUMN 73 TILEPROP INT_R_X29Y6 DEVICE_ID 0 TILEPROP INT_R_X29Y6 FIRST_SITE_ID 15143 TILEPROP INT_R_X29Y6 GRID_POINT_X 73 TILEPROP INT_R_X29Y6 GRID_POINT_Y 149 TILEPROP INT_R_X29Y6 INDEX 17208 TILEPROP INT_R_X29Y6 INT_TILE_X 29 TILEPROP INT_R_X29Y6 INT_TILE_Y 143 TILEPROP INT_R_X29Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y6 IS_DCM_TILE 0 TILEPROP INT_R_X29Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y6 NAME INT_R_X29Y6 TILEPROP INT_R_X29Y6 NUM_ARCS 3737 TILEPROP INT_R_X29Y6 NUM_SITES 1 TILEPROP INT_R_X29Y6 ROW 149 TILEPROP INT_R_X29Y6 SLR_REGION_ID 0 TILEPROP INT_R_X29Y6 TILE_PATTERN_IDX 8573 TILEPROP INT_R_X29Y6 TILE_TYPE INT_R TILEPROP INT_R_X29Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y6 TILE_X 33192 TILEPROP INT_R_X29Y6 TILE_Y -220472 TILEPROP INT_R_X29Y6 TYPE INT_R TILEPROP INT_R_X29Y7 CLASS tile TILEPROP INT_R_X29Y7 COLUMN 73 TILEPROP INT_R_X29Y7 DEVICE_ID 0 TILEPROP INT_R_X29Y7 FIRST_SITE_ID 15041 TILEPROP INT_R_X29Y7 GRID_POINT_X 73 TILEPROP INT_R_X29Y7 GRID_POINT_Y 148 TILEPROP INT_R_X29Y7 INDEX 17093 TILEPROP INT_R_X29Y7 INT_TILE_X 29 TILEPROP INT_R_X29Y7 INT_TILE_Y 142 TILEPROP INT_R_X29Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y7 IS_DCM_TILE 0 TILEPROP INT_R_X29Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y7 NAME INT_R_X29Y7 TILEPROP INT_R_X29Y7 NUM_ARCS 3737 TILEPROP INT_R_X29Y7 NUM_SITES 1 TILEPROP INT_R_X29Y7 ROW 148 TILEPROP INT_R_X29Y7 SLR_REGION_ID 0 TILEPROP INT_R_X29Y7 TILE_PATTERN_IDX 8542 TILEPROP INT_R_X29Y7 TILE_TYPE INT_R TILEPROP INT_R_X29Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y7 TILE_X 33192 TILEPROP INT_R_X29Y7 TILE_Y -217272 TILEPROP INT_R_X29Y7 TYPE INT_R TILEPROP INT_R_X29Y8 CLASS tile TILEPROP INT_R_X29Y8 COLUMN 73 TILEPROP INT_R_X29Y8 DEVICE_ID 0 TILEPROP INT_R_X29Y8 FIRST_SITE_ID 14938 TILEPROP INT_R_X29Y8 GRID_POINT_X 73 TILEPROP INT_R_X29Y8 GRID_POINT_Y 147 TILEPROP INT_R_X29Y8 INDEX 16978 TILEPROP INT_R_X29Y8 INT_TILE_X 29 TILEPROP INT_R_X29Y8 INT_TILE_Y 141 TILEPROP INT_R_X29Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y8 IS_DCM_TILE 0 TILEPROP INT_R_X29Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y8 NAME INT_R_X29Y8 TILEPROP INT_R_X29Y8 NUM_ARCS 3737 TILEPROP INT_R_X29Y8 NUM_SITES 1 TILEPROP INT_R_X29Y8 ROW 147 TILEPROP INT_R_X29Y8 SLR_REGION_ID 0 TILEPROP INT_R_X29Y8 TILE_PATTERN_IDX 8512 TILEPROP INT_R_X29Y8 TILE_TYPE INT_R TILEPROP INT_R_X29Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y8 TILE_X 33192 TILEPROP INT_R_X29Y8 TILE_Y -214072 TILEPROP INT_R_X29Y8 TYPE INT_R TILEPROP INT_R_X29Y9 CLASS tile TILEPROP INT_R_X29Y9 COLUMN 73 TILEPROP INT_R_X29Y9 DEVICE_ID 0 TILEPROP INT_R_X29Y9 FIRST_SITE_ID 14837 TILEPROP INT_R_X29Y9 GRID_POINT_X 73 TILEPROP INT_R_X29Y9 GRID_POINT_Y 146 TILEPROP INT_R_X29Y9 INDEX 16863 TILEPROP INT_R_X29Y9 INT_TILE_X 29 TILEPROP INT_R_X29Y9 INT_TILE_Y 140 TILEPROP INT_R_X29Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y9 IS_DCM_TILE 0 TILEPROP INT_R_X29Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y9 NAME INT_R_X29Y9 TILEPROP INT_R_X29Y9 NUM_ARCS 3737 TILEPROP INT_R_X29Y9 NUM_SITES 1 TILEPROP INT_R_X29Y9 ROW 146 TILEPROP INT_R_X29Y9 SLR_REGION_ID 0 TILEPROP INT_R_X29Y9 TILE_PATTERN_IDX 8481 TILEPROP INT_R_X29Y9 TILE_TYPE INT_R TILEPROP INT_R_X29Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y9 TILE_X 33192 TILEPROP INT_R_X29Y9 TILE_Y -210872 TILEPROP INT_R_X29Y9 TYPE INT_R TILEPROP INT_R_X29Y10 CLASS tile TILEPROP INT_R_X29Y10 COLUMN 73 TILEPROP INT_R_X29Y10 DEVICE_ID 0 TILEPROP INT_R_X29Y10 FIRST_SITE_ID 14728 TILEPROP INT_R_X29Y10 GRID_POINT_X 73 TILEPROP INT_R_X29Y10 GRID_POINT_Y 145 TILEPROP INT_R_X29Y10 INDEX 16748 TILEPROP INT_R_X29Y10 INT_TILE_X 29 TILEPROP INT_R_X29Y10 INT_TILE_Y 139 TILEPROP INT_R_X29Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y10 IS_DCM_TILE 0 TILEPROP INT_R_X29Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y10 NAME INT_R_X29Y10 TILEPROP INT_R_X29Y10 NUM_ARCS 3737 TILEPROP INT_R_X29Y10 NUM_SITES 1 TILEPROP INT_R_X29Y10 ROW 145 TILEPROP INT_R_X29Y10 SLR_REGION_ID 0 TILEPROP INT_R_X29Y10 TILE_PATTERN_IDX 8451 TILEPROP INT_R_X29Y10 TILE_TYPE INT_R TILEPROP INT_R_X29Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y10 TILE_X 33192 TILEPROP INT_R_X29Y10 TILE_Y -207672 TILEPROP INT_R_X29Y10 TYPE INT_R TILEPROP INT_R_X29Y11 CLASS tile TILEPROP INT_R_X29Y11 COLUMN 73 TILEPROP INT_R_X29Y11 DEVICE_ID 0 TILEPROP INT_R_X29Y11 FIRST_SITE_ID 14622 TILEPROP INT_R_X29Y11 GRID_POINT_X 73 TILEPROP INT_R_X29Y11 GRID_POINT_Y 144 TILEPROP INT_R_X29Y11 INDEX 16633 TILEPROP INT_R_X29Y11 INT_TILE_X 29 TILEPROP INT_R_X29Y11 INT_TILE_Y 138 TILEPROP INT_R_X29Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y11 IS_DCM_TILE 0 TILEPROP INT_R_X29Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y11 NAME INT_R_X29Y11 TILEPROP INT_R_X29Y11 NUM_ARCS 3737 TILEPROP INT_R_X29Y11 NUM_SITES 1 TILEPROP INT_R_X29Y11 ROW 144 TILEPROP INT_R_X29Y11 SLR_REGION_ID 0 TILEPROP INT_R_X29Y11 TILE_PATTERN_IDX 8420 TILEPROP INT_R_X29Y11 TILE_TYPE INT_R TILEPROP INT_R_X29Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y11 TILE_X 33192 TILEPROP INT_R_X29Y11 TILE_Y -204472 TILEPROP INT_R_X29Y11 TYPE INT_R TILEPROP INT_R_X29Y12 CLASS tile TILEPROP INT_R_X29Y12 COLUMN 73 TILEPROP INT_R_X29Y12 DEVICE_ID 0 TILEPROP INT_R_X29Y12 FIRST_SITE_ID 14522 TILEPROP INT_R_X29Y12 GRID_POINT_X 73 TILEPROP INT_R_X29Y12 GRID_POINT_Y 143 TILEPROP INT_R_X29Y12 INDEX 16518 TILEPROP INT_R_X29Y12 INT_TILE_X 29 TILEPROP INT_R_X29Y12 INT_TILE_Y 137 TILEPROP INT_R_X29Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y12 IS_DCM_TILE 0 TILEPROP INT_R_X29Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y12 NAME INT_R_X29Y12 TILEPROP INT_R_X29Y12 NUM_ARCS 3737 TILEPROP INT_R_X29Y12 NUM_SITES 1 TILEPROP INT_R_X29Y12 ROW 143 TILEPROP INT_R_X29Y12 SLR_REGION_ID 0 TILEPROP INT_R_X29Y12 TILE_PATTERN_IDX 8390 TILEPROP INT_R_X29Y12 TILE_TYPE INT_R TILEPROP INT_R_X29Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y12 TILE_X 33192 TILEPROP INT_R_X29Y12 TILE_Y -201272 TILEPROP INT_R_X29Y12 TYPE INT_R TILEPROP INT_R_X29Y13 CLASS tile TILEPROP INT_R_X29Y13 COLUMN 73 TILEPROP INT_R_X29Y13 DEVICE_ID 0 TILEPROP INT_R_X29Y13 FIRST_SITE_ID 14390 TILEPROP INT_R_X29Y13 GRID_POINT_X 73 TILEPROP INT_R_X29Y13 GRID_POINT_Y 142 TILEPROP INT_R_X29Y13 INDEX 16403 TILEPROP INT_R_X29Y13 INT_TILE_X 29 TILEPROP INT_R_X29Y13 INT_TILE_Y 136 TILEPROP INT_R_X29Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y13 IS_DCM_TILE 0 TILEPROP INT_R_X29Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y13 NAME INT_R_X29Y13 TILEPROP INT_R_X29Y13 NUM_ARCS 3737 TILEPROP INT_R_X29Y13 NUM_SITES 1 TILEPROP INT_R_X29Y13 ROW 142 TILEPROP INT_R_X29Y13 SLR_REGION_ID 0 TILEPROP INT_R_X29Y13 TILE_PATTERN_IDX 8359 TILEPROP INT_R_X29Y13 TILE_TYPE INT_R TILEPROP INT_R_X29Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y13 TILE_X 33192 TILEPROP INT_R_X29Y13 TILE_Y -198072 TILEPROP INT_R_X29Y13 TYPE INT_R TILEPROP INT_R_X29Y14 CLASS tile TILEPROP INT_R_X29Y14 COLUMN 73 TILEPROP INT_R_X29Y14 DEVICE_ID 0 TILEPROP INT_R_X29Y14 FIRST_SITE_ID 14290 TILEPROP INT_R_X29Y14 GRID_POINT_X 73 TILEPROP INT_R_X29Y14 GRID_POINT_Y 141 TILEPROP INT_R_X29Y14 INDEX 16288 TILEPROP INT_R_X29Y14 INT_TILE_X 29 TILEPROP INT_R_X29Y14 INT_TILE_Y 135 TILEPROP INT_R_X29Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y14 IS_DCM_TILE 0 TILEPROP INT_R_X29Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y14 NAME INT_R_X29Y14 TILEPROP INT_R_X29Y14 NUM_ARCS 3737 TILEPROP INT_R_X29Y14 NUM_SITES 1 TILEPROP INT_R_X29Y14 ROW 141 TILEPROP INT_R_X29Y14 SLR_REGION_ID 0 TILEPROP INT_R_X29Y14 TILE_PATTERN_IDX 8329 TILEPROP INT_R_X29Y14 TILE_TYPE INT_R TILEPROP INT_R_X29Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y14 TILE_X 33192 TILEPROP INT_R_X29Y14 TILE_Y -194872 TILEPROP INT_R_X29Y14 TYPE INT_R TILEPROP INT_R_X29Y15 CLASS tile TILEPROP INT_R_X29Y15 COLUMN 73 TILEPROP INT_R_X29Y15 DEVICE_ID 0 TILEPROP INT_R_X29Y15 FIRST_SITE_ID 14181 TILEPROP INT_R_X29Y15 GRID_POINT_X 73 TILEPROP INT_R_X29Y15 GRID_POINT_Y 140 TILEPROP INT_R_X29Y15 INDEX 16173 TILEPROP INT_R_X29Y15 INT_TILE_X 29 TILEPROP INT_R_X29Y15 INT_TILE_Y 134 TILEPROP INT_R_X29Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y15 IS_DCM_TILE 0 TILEPROP INT_R_X29Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y15 NAME INT_R_X29Y15 TILEPROP INT_R_X29Y15 NUM_ARCS 3737 TILEPROP INT_R_X29Y15 NUM_SITES 1 TILEPROP INT_R_X29Y15 ROW 140 TILEPROP INT_R_X29Y15 SLR_REGION_ID 0 TILEPROP INT_R_X29Y15 TILE_PATTERN_IDX 8298 TILEPROP INT_R_X29Y15 TILE_TYPE INT_R TILEPROP INT_R_X29Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y15 TILE_X 33192 TILEPROP INT_R_X29Y15 TILE_Y -191672 TILEPROP INT_R_X29Y15 TYPE INT_R TILEPROP INT_R_X29Y16 CLASS tile TILEPROP INT_R_X29Y16 COLUMN 73 TILEPROP INT_R_X29Y16 DEVICE_ID 0 TILEPROP INT_R_X29Y16 FIRST_SITE_ID 14075 TILEPROP INT_R_X29Y16 GRID_POINT_X 73 TILEPROP INT_R_X29Y16 GRID_POINT_Y 139 TILEPROP INT_R_X29Y16 INDEX 16058 TILEPROP INT_R_X29Y16 INT_TILE_X 29 TILEPROP INT_R_X29Y16 INT_TILE_Y 133 TILEPROP INT_R_X29Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y16 IS_DCM_TILE 0 TILEPROP INT_R_X29Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y16 NAME INT_R_X29Y16 TILEPROP INT_R_X29Y16 NUM_ARCS 3737 TILEPROP INT_R_X29Y16 NUM_SITES 1 TILEPROP INT_R_X29Y16 ROW 139 TILEPROP INT_R_X29Y16 SLR_REGION_ID 0 TILEPROP INT_R_X29Y16 TILE_PATTERN_IDX 8268 TILEPROP INT_R_X29Y16 TILE_TYPE INT_R TILEPROP INT_R_X29Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y16 TILE_X 33192 TILEPROP INT_R_X29Y16 TILE_Y -188472 TILEPROP INT_R_X29Y16 TYPE INT_R TILEPROP INT_R_X29Y17 CLASS tile TILEPROP INT_R_X29Y17 COLUMN 73 TILEPROP INT_R_X29Y17 DEVICE_ID 0 TILEPROP INT_R_X29Y17 FIRST_SITE_ID 13971 TILEPROP INT_R_X29Y17 GRID_POINT_X 73 TILEPROP INT_R_X29Y17 GRID_POINT_Y 138 TILEPROP INT_R_X29Y17 INDEX 15943 TILEPROP INT_R_X29Y17 INT_TILE_X 29 TILEPROP INT_R_X29Y17 INT_TILE_Y 132 TILEPROP INT_R_X29Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y17 IS_DCM_TILE 0 TILEPROP INT_R_X29Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y17 NAME INT_R_X29Y17 TILEPROP INT_R_X29Y17 NUM_ARCS 3737 TILEPROP INT_R_X29Y17 NUM_SITES 1 TILEPROP INT_R_X29Y17 ROW 138 TILEPROP INT_R_X29Y17 SLR_REGION_ID 0 TILEPROP INT_R_X29Y17 TILE_PATTERN_IDX 8236 TILEPROP INT_R_X29Y17 TILE_TYPE INT_R TILEPROP INT_R_X29Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y17 TILE_X 33192 TILEPROP INT_R_X29Y17 TILE_Y -185272 TILEPROP INT_R_X29Y17 TYPE INT_R TILEPROP INT_R_X29Y18 CLASS tile TILEPROP INT_R_X29Y18 COLUMN 73 TILEPROP INT_R_X29Y18 DEVICE_ID 0 TILEPROP INT_R_X29Y18 FIRST_SITE_ID 13866 TILEPROP INT_R_X29Y18 GRID_POINT_X 73 TILEPROP INT_R_X29Y18 GRID_POINT_Y 137 TILEPROP INT_R_X29Y18 INDEX 15828 TILEPROP INT_R_X29Y18 INT_TILE_X 29 TILEPROP INT_R_X29Y18 INT_TILE_Y 131 TILEPROP INT_R_X29Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y18 IS_DCM_TILE 0 TILEPROP INT_R_X29Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y18 NAME INT_R_X29Y18 TILEPROP INT_R_X29Y18 NUM_ARCS 3737 TILEPROP INT_R_X29Y18 NUM_SITES 1 TILEPROP INT_R_X29Y18 ROW 137 TILEPROP INT_R_X29Y18 SLR_REGION_ID 0 TILEPROP INT_R_X29Y18 TILE_PATTERN_IDX 8213 TILEPROP INT_R_X29Y18 TILE_TYPE INT_R TILEPROP INT_R_X29Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y18 TILE_X 33192 TILEPROP INT_R_X29Y18 TILE_Y -182072 TILEPROP INT_R_X29Y18 TYPE INT_R TILEPROP INT_R_X29Y19 CLASS tile TILEPROP INT_R_X29Y19 COLUMN 73 TILEPROP INT_R_X29Y19 DEVICE_ID 0 TILEPROP INT_R_X29Y19 FIRST_SITE_ID 13764 TILEPROP INT_R_X29Y19 GRID_POINT_X 73 TILEPROP INT_R_X29Y19 GRID_POINT_Y 136 TILEPROP INT_R_X29Y19 INDEX 15713 TILEPROP INT_R_X29Y19 INT_TILE_X 29 TILEPROP INT_R_X29Y19 INT_TILE_Y 130 TILEPROP INT_R_X29Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y19 IS_DCM_TILE 0 TILEPROP INT_R_X29Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y19 NAME INT_R_X29Y19 TILEPROP INT_R_X29Y19 NUM_ARCS 3737 TILEPROP INT_R_X29Y19 NUM_SITES 1 TILEPROP INT_R_X29Y19 ROW 136 TILEPROP INT_R_X29Y19 SLR_REGION_ID 0 TILEPROP INT_R_X29Y19 TILE_PATTERN_IDX 8190 TILEPROP INT_R_X29Y19 TILE_TYPE INT_R TILEPROP INT_R_X29Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y19 TILE_X 33192 TILEPROP INT_R_X29Y19 TILE_Y -178872 TILEPROP INT_R_X29Y19 TYPE INT_R TILEPROP INT_R_X29Y20 CLASS tile TILEPROP INT_R_X29Y20 COLUMN 73 TILEPROP INT_R_X29Y20 DEVICE_ID 0 TILEPROP INT_R_X29Y20 FIRST_SITE_ID 13653 TILEPROP INT_R_X29Y20 GRID_POINT_X 73 TILEPROP INT_R_X29Y20 GRID_POINT_Y 135 TILEPROP INT_R_X29Y20 INDEX 15598 TILEPROP INT_R_X29Y20 INT_TILE_X 29 TILEPROP INT_R_X29Y20 INT_TILE_Y 129 TILEPROP INT_R_X29Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y20 IS_DCM_TILE 0 TILEPROP INT_R_X29Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y20 NAME INT_R_X29Y20 TILEPROP INT_R_X29Y20 NUM_ARCS 3737 TILEPROP INT_R_X29Y20 NUM_SITES 1 TILEPROP INT_R_X29Y20 ROW 135 TILEPROP INT_R_X29Y20 SLR_REGION_ID 0 TILEPROP INT_R_X29Y20 TILE_PATTERN_IDX 8168 TILEPROP INT_R_X29Y20 TILE_TYPE INT_R TILEPROP INT_R_X29Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y20 TILE_X 33192 TILEPROP INT_R_X29Y20 TILE_Y -175672 TILEPROP INT_R_X29Y20 TYPE INT_R TILEPROP INT_R_X29Y21 CLASS tile TILEPROP INT_R_X29Y21 COLUMN 73 TILEPROP INT_R_X29Y21 DEVICE_ID 0 TILEPROP INT_R_X29Y21 FIRST_SITE_ID 13547 TILEPROP INT_R_X29Y21 GRID_POINT_X 73 TILEPROP INT_R_X29Y21 GRID_POINT_Y 134 TILEPROP INT_R_X29Y21 INDEX 15483 TILEPROP INT_R_X29Y21 INT_TILE_X 29 TILEPROP INT_R_X29Y21 INT_TILE_Y 128 TILEPROP INT_R_X29Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y21 IS_DCM_TILE 0 TILEPROP INT_R_X29Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y21 NAME INT_R_X29Y21 TILEPROP INT_R_X29Y21 NUM_ARCS 3737 TILEPROP INT_R_X29Y21 NUM_SITES 1 TILEPROP INT_R_X29Y21 ROW 134 TILEPROP INT_R_X29Y21 SLR_REGION_ID 0 TILEPROP INT_R_X29Y21 TILE_PATTERN_IDX 8139 TILEPROP INT_R_X29Y21 TILE_TYPE INT_R TILEPROP INT_R_X29Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y21 TILE_X 33192 TILEPROP INT_R_X29Y21 TILE_Y -172472 TILEPROP INT_R_X29Y21 TYPE INT_R TILEPROP INT_R_X29Y22 CLASS tile TILEPROP INT_R_X29Y22 COLUMN 73 TILEPROP INT_R_X29Y22 DEVICE_ID 0 TILEPROP INT_R_X29Y22 FIRST_SITE_ID 13447 TILEPROP INT_R_X29Y22 GRID_POINT_X 73 TILEPROP INT_R_X29Y22 GRID_POINT_Y 133 TILEPROP INT_R_X29Y22 INDEX 15368 TILEPROP INT_R_X29Y22 INT_TILE_X 29 TILEPROP INT_R_X29Y22 INT_TILE_Y 127 TILEPROP INT_R_X29Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y22 IS_DCM_TILE 0 TILEPROP INT_R_X29Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y22 NAME INT_R_X29Y22 TILEPROP INT_R_X29Y22 NUM_ARCS 3737 TILEPROP INT_R_X29Y22 NUM_SITES 1 TILEPROP INT_R_X29Y22 ROW 133 TILEPROP INT_R_X29Y22 SLR_REGION_ID 0 TILEPROP INT_R_X29Y22 TILE_PATTERN_IDX 8111 TILEPROP INT_R_X29Y22 TILE_TYPE INT_R TILEPROP INT_R_X29Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y22 TILE_X 33192 TILEPROP INT_R_X29Y22 TILE_Y -169272 TILEPROP INT_R_X29Y22 TYPE INT_R TILEPROP INT_R_X29Y23 CLASS tile TILEPROP INT_R_X29Y23 COLUMN 73 TILEPROP INT_R_X29Y23 DEVICE_ID 0 TILEPROP INT_R_X29Y23 FIRST_SITE_ID 13347 TILEPROP INT_R_X29Y23 GRID_POINT_X 73 TILEPROP INT_R_X29Y23 GRID_POINT_Y 132 TILEPROP INT_R_X29Y23 INDEX 15253 TILEPROP INT_R_X29Y23 INT_TILE_X 29 TILEPROP INT_R_X29Y23 INT_TILE_Y 126 TILEPROP INT_R_X29Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y23 IS_DCM_TILE 0 TILEPROP INT_R_X29Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y23 NAME INT_R_X29Y23 TILEPROP INT_R_X29Y23 NUM_ARCS 3737 TILEPROP INT_R_X29Y23 NUM_SITES 1 TILEPROP INT_R_X29Y23 ROW 132 TILEPROP INT_R_X29Y23 SLR_REGION_ID 0 TILEPROP INT_R_X29Y23 TILE_PATTERN_IDX 8082 TILEPROP INT_R_X29Y23 TILE_TYPE INT_R TILEPROP INT_R_X29Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y23 TILE_X 33192 TILEPROP INT_R_X29Y23 TILE_Y -166072 TILEPROP INT_R_X29Y23 TYPE INT_R TILEPROP INT_R_X29Y24 CLASS tile TILEPROP INT_R_X29Y24 COLUMN 73 TILEPROP INT_R_X29Y24 DEVICE_ID 0 TILEPROP INT_R_X29Y24 FIRST_SITE_ID 13247 TILEPROP INT_R_X29Y24 GRID_POINT_X 73 TILEPROP INT_R_X29Y24 GRID_POINT_Y 131 TILEPROP INT_R_X29Y24 INDEX 15138 TILEPROP INT_R_X29Y24 INT_TILE_X 29 TILEPROP INT_R_X29Y24 INT_TILE_Y 125 TILEPROP INT_R_X29Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y24 IS_DCM_TILE 0 TILEPROP INT_R_X29Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y24 NAME INT_R_X29Y24 TILEPROP INT_R_X29Y24 NUM_ARCS 3737 TILEPROP INT_R_X29Y24 NUM_SITES 1 TILEPROP INT_R_X29Y24 ROW 131 TILEPROP INT_R_X29Y24 SLR_REGION_ID 0 TILEPROP INT_R_X29Y24 TILE_PATTERN_IDX 8054 TILEPROP INT_R_X29Y24 TILE_TYPE INT_R TILEPROP INT_R_X29Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y24 TILE_X 33192 TILEPROP INT_R_X29Y24 TILE_Y -162872 TILEPROP INT_R_X29Y24 TYPE INT_R TILEPROP INT_R_X29Y25 CLASS tile TILEPROP INT_R_X29Y25 COLUMN 73 TILEPROP INT_R_X29Y25 DEVICE_ID 0 TILEPROP INT_R_X29Y25 FIRST_SITE_ID 13055 TILEPROP INT_R_X29Y25 GRID_POINT_X 73 TILEPROP INT_R_X29Y25 GRID_POINT_Y 129 TILEPROP INT_R_X29Y25 INDEX 14908 TILEPROP INT_R_X29Y25 INT_TILE_X 29 TILEPROP INT_R_X29Y25 INT_TILE_Y 124 TILEPROP INT_R_X29Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y25 IS_DCM_TILE 0 TILEPROP INT_R_X29Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y25 NAME INT_R_X29Y25 TILEPROP INT_R_X29Y25 NUM_ARCS 3737 TILEPROP INT_R_X29Y25 NUM_SITES 1 TILEPROP INT_R_X29Y25 ROW 129 TILEPROP INT_R_X29Y25 SLR_REGION_ID 0 TILEPROP INT_R_X29Y25 TILE_PATTERN_IDX 7982 TILEPROP INT_R_X29Y25 TILE_TYPE INT_R TILEPROP INT_R_X29Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y25 TILE_X 33192 TILEPROP INT_R_X29Y25 TILE_Y -158648 TILEPROP INT_R_X29Y25 TYPE INT_R TILEPROP INT_R_X29Y26 CLASS tile TILEPROP INT_R_X29Y26 COLUMN 73 TILEPROP INT_R_X29Y26 DEVICE_ID 0 TILEPROP INT_R_X29Y26 FIRST_SITE_ID 12949 TILEPROP INT_R_X29Y26 GRID_POINT_X 73 TILEPROP INT_R_X29Y26 GRID_POINT_Y 128 TILEPROP INT_R_X29Y26 INDEX 14793 TILEPROP INT_R_X29Y26 INT_TILE_X 29 TILEPROP INT_R_X29Y26 INT_TILE_Y 123 TILEPROP INT_R_X29Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y26 IS_DCM_TILE 0 TILEPROP INT_R_X29Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y26 NAME INT_R_X29Y26 TILEPROP INT_R_X29Y26 NUM_ARCS 3737 TILEPROP INT_R_X29Y26 NUM_SITES 1 TILEPROP INT_R_X29Y26 ROW 128 TILEPROP INT_R_X29Y26 SLR_REGION_ID 0 TILEPROP INT_R_X29Y26 TILE_PATTERN_IDX 7954 TILEPROP INT_R_X29Y26 TILE_TYPE INT_R TILEPROP INT_R_X29Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y26 TILE_X 33192 TILEPROP INT_R_X29Y26 TILE_Y -155448 TILEPROP INT_R_X29Y26 TYPE INT_R TILEPROP INT_R_X29Y27 CLASS tile TILEPROP INT_R_X29Y27 COLUMN 73 TILEPROP INT_R_X29Y27 DEVICE_ID 0 TILEPROP INT_R_X29Y27 FIRST_SITE_ID 12849 TILEPROP INT_R_X29Y27 GRID_POINT_X 73 TILEPROP INT_R_X29Y27 GRID_POINT_Y 127 TILEPROP INT_R_X29Y27 INDEX 14678 TILEPROP INT_R_X29Y27 INT_TILE_X 29 TILEPROP INT_R_X29Y27 INT_TILE_Y 122 TILEPROP INT_R_X29Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y27 IS_DCM_TILE 0 TILEPROP INT_R_X29Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y27 NAME INT_R_X29Y27 TILEPROP INT_R_X29Y27 NUM_ARCS 3737 TILEPROP INT_R_X29Y27 NUM_SITES 1 TILEPROP INT_R_X29Y27 ROW 127 TILEPROP INT_R_X29Y27 SLR_REGION_ID 0 TILEPROP INT_R_X29Y27 TILE_PATTERN_IDX 7925 TILEPROP INT_R_X29Y27 TILE_TYPE INT_R TILEPROP INT_R_X29Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y27 TILE_X 33192 TILEPROP INT_R_X29Y27 TILE_Y -152248 TILEPROP INT_R_X29Y27 TYPE INT_R TILEPROP INT_R_X29Y28 CLASS tile TILEPROP INT_R_X29Y28 COLUMN 73 TILEPROP INT_R_X29Y28 DEVICE_ID 0 TILEPROP INT_R_X29Y28 FIRST_SITE_ID 12749 TILEPROP INT_R_X29Y28 GRID_POINT_X 73 TILEPROP INT_R_X29Y28 GRID_POINT_Y 126 TILEPROP INT_R_X29Y28 INDEX 14563 TILEPROP INT_R_X29Y28 INT_TILE_X 29 TILEPROP INT_R_X29Y28 INT_TILE_Y 121 TILEPROP INT_R_X29Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y28 IS_DCM_TILE 0 TILEPROP INT_R_X29Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y28 NAME INT_R_X29Y28 TILEPROP INT_R_X29Y28 NUM_ARCS 3737 TILEPROP INT_R_X29Y28 NUM_SITES 1 TILEPROP INT_R_X29Y28 ROW 126 TILEPROP INT_R_X29Y28 SLR_REGION_ID 0 TILEPROP INT_R_X29Y28 TILE_PATTERN_IDX 7897 TILEPROP INT_R_X29Y28 TILE_TYPE INT_R TILEPROP INT_R_X29Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y28 TILE_X 33192 TILEPROP INT_R_X29Y28 TILE_Y -149048 TILEPROP INT_R_X29Y28 TYPE INT_R TILEPROP INT_R_X29Y29 CLASS tile TILEPROP INT_R_X29Y29 COLUMN 73 TILEPROP INT_R_X29Y29 DEVICE_ID 0 TILEPROP INT_R_X29Y29 FIRST_SITE_ID 12643 TILEPROP INT_R_X29Y29 GRID_POINT_X 73 TILEPROP INT_R_X29Y29 GRID_POINT_Y 125 TILEPROP INT_R_X29Y29 INDEX 14448 TILEPROP INT_R_X29Y29 INT_TILE_X 29 TILEPROP INT_R_X29Y29 INT_TILE_Y 120 TILEPROP INT_R_X29Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y29 IS_DCM_TILE 0 TILEPROP INT_R_X29Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y29 NAME INT_R_X29Y29 TILEPROP INT_R_X29Y29 NUM_ARCS 3737 TILEPROP INT_R_X29Y29 NUM_SITES 1 TILEPROP INT_R_X29Y29 ROW 125 TILEPROP INT_R_X29Y29 SLR_REGION_ID 0 TILEPROP INT_R_X29Y29 TILE_PATTERN_IDX 7873 TILEPROP INT_R_X29Y29 TILE_TYPE INT_R TILEPROP INT_R_X29Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y29 TILE_X 33192 TILEPROP INT_R_X29Y29 TILE_Y -145848 TILEPROP INT_R_X29Y29 TYPE INT_R TILEPROP INT_R_X29Y30 CLASS tile TILEPROP INT_R_X29Y30 COLUMN 73 TILEPROP INT_R_X29Y30 DEVICE_ID 0 TILEPROP INT_R_X29Y30 FIRST_SITE_ID 12528 TILEPROP INT_R_X29Y30 GRID_POINT_X 73 TILEPROP INT_R_X29Y30 GRID_POINT_Y 124 TILEPROP INT_R_X29Y30 INDEX 14333 TILEPROP INT_R_X29Y30 INT_TILE_X 29 TILEPROP INT_R_X29Y30 INT_TILE_Y 119 TILEPROP INT_R_X29Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y30 IS_DCM_TILE 0 TILEPROP INT_R_X29Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y30 NAME INT_R_X29Y30 TILEPROP INT_R_X29Y30 NUM_ARCS 3737 TILEPROP INT_R_X29Y30 NUM_SITES 1 TILEPROP INT_R_X29Y30 ROW 124 TILEPROP INT_R_X29Y30 SLR_REGION_ID 0 TILEPROP INT_R_X29Y30 TILE_PATTERN_IDX 7850 TILEPROP INT_R_X29Y30 TILE_TYPE INT_R TILEPROP INT_R_X29Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y30 TILE_X 33192 TILEPROP INT_R_X29Y30 TILE_Y -142648 TILEPROP INT_R_X29Y30 TYPE INT_R TILEPROP INT_R_X29Y31 CLASS tile TILEPROP INT_R_X29Y31 COLUMN 73 TILEPROP INT_R_X29Y31 DEVICE_ID 0 TILEPROP INT_R_X29Y31 FIRST_SITE_ID 12407 TILEPROP INT_R_X29Y31 GRID_POINT_X 73 TILEPROP INT_R_X29Y31 GRID_POINT_Y 123 TILEPROP INT_R_X29Y31 INDEX 14218 TILEPROP INT_R_X29Y31 INT_TILE_X 29 TILEPROP INT_R_X29Y31 INT_TILE_Y 118 TILEPROP INT_R_X29Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y31 IS_DCM_TILE 0 TILEPROP INT_R_X29Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y31 NAME INT_R_X29Y31 TILEPROP INT_R_X29Y31 NUM_ARCS 3737 TILEPROP INT_R_X29Y31 NUM_SITES 1 TILEPROP INT_R_X29Y31 ROW 123 TILEPROP INT_R_X29Y31 SLR_REGION_ID 0 TILEPROP INT_R_X29Y31 TILE_PATTERN_IDX 7827 TILEPROP INT_R_X29Y31 TILE_TYPE INT_R TILEPROP INT_R_X29Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y31 TILE_X 33192 TILEPROP INT_R_X29Y31 TILE_Y -139448 TILEPROP INT_R_X29Y31 TYPE INT_R TILEPROP INT_R_X29Y32 CLASS tile TILEPROP INT_R_X29Y32 COLUMN 73 TILEPROP INT_R_X29Y32 DEVICE_ID 0 TILEPROP INT_R_X29Y32 FIRST_SITE_ID 12305 TILEPROP INT_R_X29Y32 GRID_POINT_X 73 TILEPROP INT_R_X29Y32 GRID_POINT_Y 122 TILEPROP INT_R_X29Y32 INDEX 14103 TILEPROP INT_R_X29Y32 INT_TILE_X 29 TILEPROP INT_R_X29Y32 INT_TILE_Y 117 TILEPROP INT_R_X29Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y32 IS_DCM_TILE 0 TILEPROP INT_R_X29Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y32 NAME INT_R_X29Y32 TILEPROP INT_R_X29Y32 NUM_ARCS 3737 TILEPROP INT_R_X29Y32 NUM_SITES 1 TILEPROP INT_R_X29Y32 ROW 122 TILEPROP INT_R_X29Y32 SLR_REGION_ID 0 TILEPROP INT_R_X29Y32 TILE_PATTERN_IDX 7793 TILEPROP INT_R_X29Y32 TILE_TYPE INT_R TILEPROP INT_R_X29Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y32 TILE_X 33192 TILEPROP INT_R_X29Y32 TILE_Y -136248 TILEPROP INT_R_X29Y32 TYPE INT_R TILEPROP INT_R_X29Y33 CLASS tile TILEPROP INT_R_X29Y33 COLUMN 73 TILEPROP INT_R_X29Y33 DEVICE_ID 0 TILEPROP INT_R_X29Y33 FIRST_SITE_ID 12204 TILEPROP INT_R_X29Y33 GRID_POINT_X 73 TILEPROP INT_R_X29Y33 GRID_POINT_Y 121 TILEPROP INT_R_X29Y33 INDEX 13988 TILEPROP INT_R_X29Y33 INT_TILE_X 29 TILEPROP INT_R_X29Y33 INT_TILE_Y 116 TILEPROP INT_R_X29Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y33 IS_DCM_TILE 0 TILEPROP INT_R_X29Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y33 NAME INT_R_X29Y33 TILEPROP INT_R_X29Y33 NUM_ARCS 3737 TILEPROP INT_R_X29Y33 NUM_SITES 1 TILEPROP INT_R_X29Y33 ROW 121 TILEPROP INT_R_X29Y33 SLR_REGION_ID 0 TILEPROP INT_R_X29Y33 TILE_PATTERN_IDX 7764 TILEPROP INT_R_X29Y33 TILE_TYPE INT_R TILEPROP INT_R_X29Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y33 TILE_X 33192 TILEPROP INT_R_X29Y33 TILE_Y -133048 TILEPROP INT_R_X29Y33 TYPE INT_R TILEPROP INT_R_X29Y34 CLASS tile TILEPROP INT_R_X29Y34 COLUMN 73 TILEPROP INT_R_X29Y34 DEVICE_ID 0 TILEPROP INT_R_X29Y34 FIRST_SITE_ID 12104 TILEPROP INT_R_X29Y34 GRID_POINT_X 73 TILEPROP INT_R_X29Y34 GRID_POINT_Y 120 TILEPROP INT_R_X29Y34 INDEX 13873 TILEPROP INT_R_X29Y34 INT_TILE_X 29 TILEPROP INT_R_X29Y34 INT_TILE_Y 115 TILEPROP INT_R_X29Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y34 IS_DCM_TILE 0 TILEPROP INT_R_X29Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y34 NAME INT_R_X29Y34 TILEPROP INT_R_X29Y34 NUM_ARCS 3737 TILEPROP INT_R_X29Y34 NUM_SITES 1 TILEPROP INT_R_X29Y34 ROW 120 TILEPROP INT_R_X29Y34 SLR_REGION_ID 0 TILEPROP INT_R_X29Y34 TILE_PATTERN_IDX 7736 TILEPROP INT_R_X29Y34 TILE_TYPE INT_R TILEPROP INT_R_X29Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y34 TILE_X 33192 TILEPROP INT_R_X29Y34 TILE_Y -129848 TILEPROP INT_R_X29Y34 TYPE INT_R TILEPROP INT_R_X29Y35 CLASS tile TILEPROP INT_R_X29Y35 COLUMN 73 TILEPROP INT_R_X29Y35 DEVICE_ID 0 TILEPROP INT_R_X29Y35 FIRST_SITE_ID 11995 TILEPROP INT_R_X29Y35 GRID_POINT_X 73 TILEPROP INT_R_X29Y35 GRID_POINT_Y 119 TILEPROP INT_R_X29Y35 INDEX 13758 TILEPROP INT_R_X29Y35 INT_TILE_X 29 TILEPROP INT_R_X29Y35 INT_TILE_Y 114 TILEPROP INT_R_X29Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y35 IS_DCM_TILE 0 TILEPROP INT_R_X29Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y35 NAME INT_R_X29Y35 TILEPROP INT_R_X29Y35 NUM_ARCS 3737 TILEPROP INT_R_X29Y35 NUM_SITES 1 TILEPROP INT_R_X29Y35 ROW 119 TILEPROP INT_R_X29Y35 SLR_REGION_ID 0 TILEPROP INT_R_X29Y35 TILE_PATTERN_IDX 7707 TILEPROP INT_R_X29Y35 TILE_TYPE INT_R TILEPROP INT_R_X29Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y35 TILE_X 33192 TILEPROP INT_R_X29Y35 TILE_Y -126648 TILEPROP INT_R_X29Y35 TYPE INT_R TILEPROP INT_R_X29Y36 CLASS tile TILEPROP INT_R_X29Y36 COLUMN 73 TILEPROP INT_R_X29Y36 DEVICE_ID 0 TILEPROP INT_R_X29Y36 FIRST_SITE_ID 11889 TILEPROP INT_R_X29Y36 GRID_POINT_X 73 TILEPROP INT_R_X29Y36 GRID_POINT_Y 118 TILEPROP INT_R_X29Y36 INDEX 13643 TILEPROP INT_R_X29Y36 INT_TILE_X 29 TILEPROP INT_R_X29Y36 INT_TILE_Y 113 TILEPROP INT_R_X29Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y36 IS_DCM_TILE 0 TILEPROP INT_R_X29Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y36 NAME INT_R_X29Y36 TILEPROP INT_R_X29Y36 NUM_ARCS 3737 TILEPROP INT_R_X29Y36 NUM_SITES 1 TILEPROP INT_R_X29Y36 ROW 118 TILEPROP INT_R_X29Y36 SLR_REGION_ID 0 TILEPROP INT_R_X29Y36 TILE_PATTERN_IDX 7679 TILEPROP INT_R_X29Y36 TILE_TYPE INT_R TILEPROP INT_R_X29Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y36 TILE_X 33192 TILEPROP INT_R_X29Y36 TILE_Y -123448 TILEPROP INT_R_X29Y36 TYPE INT_R TILEPROP INT_R_X29Y37 CLASS tile TILEPROP INT_R_X29Y37 COLUMN 73 TILEPROP INT_R_X29Y37 DEVICE_ID 0 TILEPROP INT_R_X29Y37 FIRST_SITE_ID 11757 TILEPROP INT_R_X29Y37 GRID_POINT_X 73 TILEPROP INT_R_X29Y37 GRID_POINT_Y 117 TILEPROP INT_R_X29Y37 INDEX 13528 TILEPROP INT_R_X29Y37 INT_TILE_X 29 TILEPROP INT_R_X29Y37 INT_TILE_Y 112 TILEPROP INT_R_X29Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y37 IS_DCM_TILE 0 TILEPROP INT_R_X29Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y37 NAME INT_R_X29Y37 TILEPROP INT_R_X29Y37 NUM_ARCS 3737 TILEPROP INT_R_X29Y37 NUM_SITES 1 TILEPROP INT_R_X29Y37 ROW 117 TILEPROP INT_R_X29Y37 SLR_REGION_ID 0 TILEPROP INT_R_X29Y37 TILE_PATTERN_IDX 7651 TILEPROP INT_R_X29Y37 TILE_TYPE INT_R TILEPROP INT_R_X29Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y37 TILE_X 33192 TILEPROP INT_R_X29Y37 TILE_Y -120248 TILEPROP INT_R_X29Y37 TYPE INT_R TILEPROP INT_R_X29Y38 CLASS tile TILEPROP INT_R_X29Y38 COLUMN 73 TILEPROP INT_R_X29Y38 DEVICE_ID 0 TILEPROP INT_R_X29Y38 FIRST_SITE_ID 11657 TILEPROP INT_R_X29Y38 GRID_POINT_X 73 TILEPROP INT_R_X29Y38 GRID_POINT_Y 116 TILEPROP INT_R_X29Y38 INDEX 13413 TILEPROP INT_R_X29Y38 INT_TILE_X 29 TILEPROP INT_R_X29Y38 INT_TILE_Y 111 TILEPROP INT_R_X29Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y38 IS_DCM_TILE 0 TILEPROP INT_R_X29Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y38 NAME INT_R_X29Y38 TILEPROP INT_R_X29Y38 NUM_ARCS 3737 TILEPROP INT_R_X29Y38 NUM_SITES 1 TILEPROP INT_R_X29Y38 ROW 116 TILEPROP INT_R_X29Y38 SLR_REGION_ID 0 TILEPROP INT_R_X29Y38 TILE_PATTERN_IDX 7623 TILEPROP INT_R_X29Y38 TILE_TYPE INT_R TILEPROP INT_R_X29Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y38 TILE_X 33192 TILEPROP INT_R_X29Y38 TILE_Y -117048 TILEPROP INT_R_X29Y38 TYPE INT_R TILEPROP INT_R_X29Y39 CLASS tile TILEPROP INT_R_X29Y39 COLUMN 73 TILEPROP INT_R_X29Y39 DEVICE_ID 0 TILEPROP INT_R_X29Y39 FIRST_SITE_ID 11557 TILEPROP INT_R_X29Y39 GRID_POINT_X 73 TILEPROP INT_R_X29Y39 GRID_POINT_Y 115 TILEPROP INT_R_X29Y39 INDEX 13298 TILEPROP INT_R_X29Y39 INT_TILE_X 29 TILEPROP INT_R_X29Y39 INT_TILE_Y 110 TILEPROP INT_R_X29Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y39 IS_DCM_TILE 0 TILEPROP INT_R_X29Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y39 NAME INT_R_X29Y39 TILEPROP INT_R_X29Y39 NUM_ARCS 3737 TILEPROP INT_R_X29Y39 NUM_SITES 1 TILEPROP INT_R_X29Y39 ROW 115 TILEPROP INT_R_X29Y39 SLR_REGION_ID 0 TILEPROP INT_R_X29Y39 TILE_PATTERN_IDX 7594 TILEPROP INT_R_X29Y39 TILE_TYPE INT_R TILEPROP INT_R_X29Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y39 TILE_X 33192 TILEPROP INT_R_X29Y39 TILE_Y -113848 TILEPROP INT_R_X29Y39 TYPE INT_R TILEPROP INT_R_X29Y40 CLASS tile TILEPROP INT_R_X29Y40 COLUMN 73 TILEPROP INT_R_X29Y40 DEVICE_ID 0 TILEPROP INT_R_X29Y40 FIRST_SITE_ID 11448 TILEPROP INT_R_X29Y40 GRID_POINT_X 73 TILEPROP INT_R_X29Y40 GRID_POINT_Y 114 TILEPROP INT_R_X29Y40 INDEX 13183 TILEPROP INT_R_X29Y40 INT_TILE_X 29 TILEPROP INT_R_X29Y40 INT_TILE_Y 109 TILEPROP INT_R_X29Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y40 IS_DCM_TILE 0 TILEPROP INT_R_X29Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y40 NAME INT_R_X29Y40 TILEPROP INT_R_X29Y40 NUM_ARCS 3737 TILEPROP INT_R_X29Y40 NUM_SITES 1 TILEPROP INT_R_X29Y40 ROW 114 TILEPROP INT_R_X29Y40 SLR_REGION_ID 0 TILEPROP INT_R_X29Y40 TILE_PATTERN_IDX 7565 TILEPROP INT_R_X29Y40 TILE_TYPE INT_R TILEPROP INT_R_X29Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y40 TILE_X 33192 TILEPROP INT_R_X29Y40 TILE_Y -110648 TILEPROP INT_R_X29Y40 TYPE INT_R TILEPROP INT_R_X29Y41 CLASS tile TILEPROP INT_R_X29Y41 COLUMN 73 TILEPROP INT_R_X29Y41 DEVICE_ID 0 TILEPROP INT_R_X29Y41 FIRST_SITE_ID 11340 TILEPROP INT_R_X29Y41 GRID_POINT_X 73 TILEPROP INT_R_X29Y41 GRID_POINT_Y 113 TILEPROP INT_R_X29Y41 INDEX 13068 TILEPROP INT_R_X29Y41 INT_TILE_X 29 TILEPROP INT_R_X29Y41 INT_TILE_Y 108 TILEPROP INT_R_X29Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y41 IS_DCM_TILE 0 TILEPROP INT_R_X29Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y41 NAME INT_R_X29Y41 TILEPROP INT_R_X29Y41 NUM_ARCS 3737 TILEPROP INT_R_X29Y41 NUM_SITES 1 TILEPROP INT_R_X29Y41 ROW 113 TILEPROP INT_R_X29Y41 SLR_REGION_ID 0 TILEPROP INT_R_X29Y41 TILE_PATTERN_IDX 7530 TILEPROP INT_R_X29Y41 TILE_TYPE INT_R TILEPROP INT_R_X29Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y41 TILE_X 33192 TILEPROP INT_R_X29Y41 TILE_Y -107448 TILEPROP INT_R_X29Y41 TYPE INT_R TILEPROP INT_R_X29Y42 CLASS tile TILEPROP INT_R_X29Y42 COLUMN 73 TILEPROP INT_R_X29Y42 DEVICE_ID 0 TILEPROP INT_R_X29Y42 FIRST_SITE_ID 11238 TILEPROP INT_R_X29Y42 GRID_POINT_X 73 TILEPROP INT_R_X29Y42 GRID_POINT_Y 112 TILEPROP INT_R_X29Y42 INDEX 12953 TILEPROP INT_R_X29Y42 INT_TILE_X 29 TILEPROP INT_R_X29Y42 INT_TILE_Y 107 TILEPROP INT_R_X29Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y42 IS_DCM_TILE 0 TILEPROP INT_R_X29Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y42 NAME INT_R_X29Y42 TILEPROP INT_R_X29Y42 NUM_ARCS 3737 TILEPROP INT_R_X29Y42 NUM_SITES 1 TILEPROP INT_R_X29Y42 ROW 112 TILEPROP INT_R_X29Y42 SLR_REGION_ID 0 TILEPROP INT_R_X29Y42 TILE_PATTERN_IDX 7501 TILEPROP INT_R_X29Y42 TILE_TYPE INT_R TILEPROP INT_R_X29Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y42 TILE_X 33192 TILEPROP INT_R_X29Y42 TILE_Y -104248 TILEPROP INT_R_X29Y42 TYPE INT_R TILEPROP INT_R_X29Y43 CLASS tile TILEPROP INT_R_X29Y43 COLUMN 73 TILEPROP INT_R_X29Y43 DEVICE_ID 0 TILEPROP INT_R_X29Y43 FIRST_SITE_ID 11135 TILEPROP INT_R_X29Y43 GRID_POINT_X 73 TILEPROP INT_R_X29Y43 GRID_POINT_Y 111 TILEPROP INT_R_X29Y43 INDEX 12838 TILEPROP INT_R_X29Y43 INT_TILE_X 29 TILEPROP INT_R_X29Y43 INT_TILE_Y 106 TILEPROP INT_R_X29Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y43 IS_DCM_TILE 0 TILEPROP INT_R_X29Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y43 NAME INT_R_X29Y43 TILEPROP INT_R_X29Y43 NUM_ARCS 3737 TILEPROP INT_R_X29Y43 NUM_SITES 1 TILEPROP INT_R_X29Y43 ROW 111 TILEPROP INT_R_X29Y43 SLR_REGION_ID 0 TILEPROP INT_R_X29Y43 TILE_PATTERN_IDX 7472 TILEPROP INT_R_X29Y43 TILE_TYPE INT_R TILEPROP INT_R_X29Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y43 TILE_X 33192 TILEPROP INT_R_X29Y43 TILE_Y -101048 TILEPROP INT_R_X29Y43 TYPE INT_R TILEPROP INT_R_X29Y44 CLASS tile TILEPROP INT_R_X29Y44 COLUMN 73 TILEPROP INT_R_X29Y44 DEVICE_ID 0 TILEPROP INT_R_X29Y44 FIRST_SITE_ID 11033 TILEPROP INT_R_X29Y44 GRID_POINT_X 73 TILEPROP INT_R_X29Y44 GRID_POINT_Y 110 TILEPROP INT_R_X29Y44 INDEX 12723 TILEPROP INT_R_X29Y44 INT_TILE_X 29 TILEPROP INT_R_X29Y44 INT_TILE_Y 105 TILEPROP INT_R_X29Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y44 IS_DCM_TILE 0 TILEPROP INT_R_X29Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y44 NAME INT_R_X29Y44 TILEPROP INT_R_X29Y44 NUM_ARCS 3737 TILEPROP INT_R_X29Y44 NUM_SITES 1 TILEPROP INT_R_X29Y44 ROW 110 TILEPROP INT_R_X29Y44 SLR_REGION_ID 0 TILEPROP INT_R_X29Y44 TILE_PATTERN_IDX 7444 TILEPROP INT_R_X29Y44 TILE_TYPE INT_R TILEPROP INT_R_X29Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y44 TILE_X 33192 TILEPROP INT_R_X29Y44 TILE_Y -97848 TILEPROP INT_R_X29Y44 TYPE INT_R TILEPROP INT_R_X29Y45 CLASS tile TILEPROP INT_R_X29Y45 COLUMN 73 TILEPROP INT_R_X29Y45 DEVICE_ID 0 TILEPROP INT_R_X29Y45 FIRST_SITE_ID 10924 TILEPROP INT_R_X29Y45 GRID_POINT_X 73 TILEPROP INT_R_X29Y45 GRID_POINT_Y 109 TILEPROP INT_R_X29Y45 INDEX 12608 TILEPROP INT_R_X29Y45 INT_TILE_X 29 TILEPROP INT_R_X29Y45 INT_TILE_Y 104 TILEPROP INT_R_X29Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y45 IS_DCM_TILE 0 TILEPROP INT_R_X29Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y45 NAME INT_R_X29Y45 TILEPROP INT_R_X29Y45 NUM_ARCS 3737 TILEPROP INT_R_X29Y45 NUM_SITES 1 TILEPROP INT_R_X29Y45 ROW 109 TILEPROP INT_R_X29Y45 SLR_REGION_ID 0 TILEPROP INT_R_X29Y45 TILE_PATTERN_IDX 7408 TILEPROP INT_R_X29Y45 TILE_TYPE INT_R TILEPROP INT_R_X29Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y45 TILE_X 33192 TILEPROP INT_R_X29Y45 TILE_Y -94648 TILEPROP INT_R_X29Y45 TYPE INT_R TILEPROP INT_R_X29Y46 CLASS tile TILEPROP INT_R_X29Y46 COLUMN 73 TILEPROP INT_R_X29Y46 DEVICE_ID 0 TILEPROP INT_R_X29Y46 FIRST_SITE_ID 10817 TILEPROP INT_R_X29Y46 GRID_POINT_X 73 TILEPROP INT_R_X29Y46 GRID_POINT_Y 108 TILEPROP INT_R_X29Y46 INDEX 12493 TILEPROP INT_R_X29Y46 INT_TILE_X 29 TILEPROP INT_R_X29Y46 INT_TILE_Y 103 TILEPROP INT_R_X29Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y46 IS_DCM_TILE 0 TILEPROP INT_R_X29Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y46 NAME INT_R_X29Y46 TILEPROP INT_R_X29Y46 NUM_ARCS 3737 TILEPROP INT_R_X29Y46 NUM_SITES 1 TILEPROP INT_R_X29Y46 ROW 108 TILEPROP INT_R_X29Y46 SLR_REGION_ID 0 TILEPROP INT_R_X29Y46 TILE_PATTERN_IDX 7372 TILEPROP INT_R_X29Y46 TILE_TYPE INT_R TILEPROP INT_R_X29Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y46 TILE_X 33192 TILEPROP INT_R_X29Y46 TILE_Y -91448 TILEPROP INT_R_X29Y46 TYPE INT_R TILEPROP INT_R_X29Y47 CLASS tile TILEPROP INT_R_X29Y47 COLUMN 73 TILEPROP INT_R_X29Y47 DEVICE_ID 0 TILEPROP INT_R_X29Y47 FIRST_SITE_ID 10701 TILEPROP INT_R_X29Y47 GRID_POINT_X 73 TILEPROP INT_R_X29Y47 GRID_POINT_Y 107 TILEPROP INT_R_X29Y47 INDEX 12378 TILEPROP INT_R_X29Y47 INT_TILE_X 29 TILEPROP INT_R_X29Y47 INT_TILE_Y 102 TILEPROP INT_R_X29Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y47 IS_DCM_TILE 0 TILEPROP INT_R_X29Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y47 NAME INT_R_X29Y47 TILEPROP INT_R_X29Y47 NUM_ARCS 3737 TILEPROP INT_R_X29Y47 NUM_SITES 1 TILEPROP INT_R_X29Y47 ROW 107 TILEPROP INT_R_X29Y47 SLR_REGION_ID 0 TILEPROP INT_R_X29Y47 TILE_PATTERN_IDX 7335 TILEPROP INT_R_X29Y47 TILE_TYPE INT_R TILEPROP INT_R_X29Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y47 TILE_X 33192 TILEPROP INT_R_X29Y47 TILE_Y -88248 TILEPROP INT_R_X29Y47 TYPE INT_R TILEPROP INT_R_X29Y48 CLASS tile TILEPROP INT_R_X29Y48 COLUMN 73 TILEPROP INT_R_X29Y48 DEVICE_ID 0 TILEPROP INT_R_X29Y48 FIRST_SITE_ID 10601 TILEPROP INT_R_X29Y48 GRID_POINT_X 73 TILEPROP INT_R_X29Y48 GRID_POINT_Y 106 TILEPROP INT_R_X29Y48 INDEX 12263 TILEPROP INT_R_X29Y48 INT_TILE_X 29 TILEPROP INT_R_X29Y48 INT_TILE_Y 101 TILEPROP INT_R_X29Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y48 IS_DCM_TILE 0 TILEPROP INT_R_X29Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y48 NAME INT_R_X29Y48 TILEPROP INT_R_X29Y48 NUM_ARCS 3737 TILEPROP INT_R_X29Y48 NUM_SITES 1 TILEPROP INT_R_X29Y48 ROW 106 TILEPROP INT_R_X29Y48 SLR_REGION_ID 0 TILEPROP INT_R_X29Y48 TILE_PATTERN_IDX 7299 TILEPROP INT_R_X29Y48 TILE_TYPE INT_R TILEPROP INT_R_X29Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y48 TILE_X 33192 TILEPROP INT_R_X29Y48 TILE_Y -85048 TILEPROP INT_R_X29Y48 TYPE INT_R TILEPROP INT_R_X29Y49 CLASS tile TILEPROP INT_R_X29Y49 COLUMN 73 TILEPROP INT_R_X29Y49 DEVICE_ID 0 TILEPROP INT_R_X29Y49 FIRST_SITE_ID 10505 TILEPROP INT_R_X29Y49 GRID_POINT_X 73 TILEPROP INT_R_X29Y49 GRID_POINT_Y 105 TILEPROP INT_R_X29Y49 INDEX 12148 TILEPROP INT_R_X29Y49 INT_TILE_X 29 TILEPROP INT_R_X29Y49 INT_TILE_Y 100 TILEPROP INT_R_X29Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y49 IS_DCM_TILE 0 TILEPROP INT_R_X29Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y49 NAME INT_R_X29Y49 TILEPROP INT_R_X29Y49 NUM_ARCS 3737 TILEPROP INT_R_X29Y49 NUM_SITES 1 TILEPROP INT_R_X29Y49 ROW 105 TILEPROP INT_R_X29Y49 SLR_REGION_ID 0 TILEPROP INT_R_X29Y49 TILE_PATTERN_IDX 7263 TILEPROP INT_R_X29Y49 TILE_TYPE INT_R TILEPROP INT_R_X29Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y49 TILE_X 33192 TILEPROP INT_R_X29Y49 TILE_Y -81848 TILEPROP INT_R_X29Y49 TYPE INT_R TILEPROP INT_R_X29Y50 CLASS tile TILEPROP INT_R_X29Y50 COLUMN 73 TILEPROP INT_R_X29Y50 DEVICE_ID 0 TILEPROP INT_R_X29Y50 FIRST_SITE_ID 10396 TILEPROP INT_R_X29Y50 GRID_POINT_X 73 TILEPROP INT_R_X29Y50 GRID_POINT_Y 103 TILEPROP INT_R_X29Y50 INDEX 11918 TILEPROP INT_R_X29Y50 INT_TILE_X 29 TILEPROP INT_R_X29Y50 INT_TILE_Y 99 TILEPROP INT_R_X29Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y50 IS_DCM_TILE 0 TILEPROP INT_R_X29Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y50 NAME INT_R_X29Y50 TILEPROP INT_R_X29Y50 NUM_ARCS 3737 TILEPROP INT_R_X29Y50 NUM_SITES 1 TILEPROP INT_R_X29Y50 ROW 103 TILEPROP INT_R_X29Y50 SLR_REGION_ID 0 TILEPROP INT_R_X29Y50 TILE_PATTERN_IDX 7226 TILEPROP INT_R_X29Y50 TILE_TYPE INT_R TILEPROP INT_R_X29Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y50 TILE_X 33192 TILEPROP INT_R_X29Y50 TILE_Y -78400 TILEPROP INT_R_X29Y50 TYPE INT_R TILEPROP INT_R_X29Y51 CLASS tile TILEPROP INT_R_X29Y51 COLUMN 73 TILEPROP INT_R_X29Y51 DEVICE_ID 0 TILEPROP INT_R_X29Y51 FIRST_SITE_ID 10270 TILEPROP INT_R_X29Y51 GRID_POINT_X 73 TILEPROP INT_R_X29Y51 GRID_POINT_Y 102 TILEPROP INT_R_X29Y51 INDEX 11803 TILEPROP INT_R_X29Y51 INT_TILE_X 29 TILEPROP INT_R_X29Y51 INT_TILE_Y 98 TILEPROP INT_R_X29Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y51 IS_DCM_TILE 0 TILEPROP INT_R_X29Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y51 NAME INT_R_X29Y51 TILEPROP INT_R_X29Y51 NUM_ARCS 3737 TILEPROP INT_R_X29Y51 NUM_SITES 1 TILEPROP INT_R_X29Y51 ROW 102 TILEPROP INT_R_X29Y51 SLR_REGION_ID 0 TILEPROP INT_R_X29Y51 TILE_PATTERN_IDX 7189 TILEPROP INT_R_X29Y51 TILE_TYPE INT_R TILEPROP INT_R_X29Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y51 TILE_X 33192 TILEPROP INT_R_X29Y51 TILE_Y -75200 TILEPROP INT_R_X29Y51 TYPE INT_R TILEPROP INT_R_X29Y52 CLASS tile TILEPROP INT_R_X29Y52 COLUMN 73 TILEPROP INT_R_X29Y52 DEVICE_ID 0 TILEPROP INT_R_X29Y52 FIRST_SITE_ID 10170 TILEPROP INT_R_X29Y52 GRID_POINT_X 73 TILEPROP INT_R_X29Y52 GRID_POINT_Y 101 TILEPROP INT_R_X29Y52 INDEX 11688 TILEPROP INT_R_X29Y52 INT_TILE_X 29 TILEPROP INT_R_X29Y52 INT_TILE_Y 97 TILEPROP INT_R_X29Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y52 IS_DCM_TILE 0 TILEPROP INT_R_X29Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y52 NAME INT_R_X29Y52 TILEPROP INT_R_X29Y52 NUM_ARCS 3737 TILEPROP INT_R_X29Y52 NUM_SITES 1 TILEPROP INT_R_X29Y52 ROW 101 TILEPROP INT_R_X29Y52 SLR_REGION_ID 0 TILEPROP INT_R_X29Y52 TILE_PATTERN_IDX 7152 TILEPROP INT_R_X29Y52 TILE_TYPE INT_R TILEPROP INT_R_X29Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y52 TILE_X 33192 TILEPROP INT_R_X29Y52 TILE_Y -72000 TILEPROP INT_R_X29Y52 TYPE INT_R TILEPROP INT_R_X29Y53 CLASS tile TILEPROP INT_R_X29Y53 COLUMN 73 TILEPROP INT_R_X29Y53 DEVICE_ID 0 TILEPROP INT_R_X29Y53 FIRST_SITE_ID 10070 TILEPROP INT_R_X29Y53 GRID_POINT_X 73 TILEPROP INT_R_X29Y53 GRID_POINT_Y 100 TILEPROP INT_R_X29Y53 INDEX 11573 TILEPROP INT_R_X29Y53 INT_TILE_X 29 TILEPROP INT_R_X29Y53 INT_TILE_Y 96 TILEPROP INT_R_X29Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y53 IS_DCM_TILE 0 TILEPROP INT_R_X29Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y53 NAME INT_R_X29Y53 TILEPROP INT_R_X29Y53 NUM_ARCS 3737 TILEPROP INT_R_X29Y53 NUM_SITES 1 TILEPROP INT_R_X29Y53 ROW 100 TILEPROP INT_R_X29Y53 SLR_REGION_ID 0 TILEPROP INT_R_X29Y53 TILE_PATTERN_IDX 7115 TILEPROP INT_R_X29Y53 TILE_TYPE INT_R TILEPROP INT_R_X29Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y53 TILE_X 33192 TILEPROP INT_R_X29Y53 TILE_Y -68800 TILEPROP INT_R_X29Y53 TYPE INT_R TILEPROP INT_R_X29Y54 CLASS tile TILEPROP INT_R_X29Y54 COLUMN 73 TILEPROP INT_R_X29Y54 DEVICE_ID 0 TILEPROP INT_R_X29Y54 FIRST_SITE_ID 9970 TILEPROP INT_R_X29Y54 GRID_POINT_X 73 TILEPROP INT_R_X29Y54 GRID_POINT_Y 99 TILEPROP INT_R_X29Y54 INDEX 11458 TILEPROP INT_R_X29Y54 INT_TILE_X 29 TILEPROP INT_R_X29Y54 INT_TILE_Y 95 TILEPROP INT_R_X29Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y54 IS_DCM_TILE 0 TILEPROP INT_R_X29Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y54 NAME INT_R_X29Y54 TILEPROP INT_R_X29Y54 NUM_ARCS 3737 TILEPROP INT_R_X29Y54 NUM_SITES 1 TILEPROP INT_R_X29Y54 ROW 99 TILEPROP INT_R_X29Y54 SLR_REGION_ID 0 TILEPROP INT_R_X29Y54 TILE_PATTERN_IDX 7078 TILEPROP INT_R_X29Y54 TILE_TYPE INT_R TILEPROP INT_R_X29Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y54 TILE_X 33192 TILEPROP INT_R_X29Y54 TILE_Y -65600 TILEPROP INT_R_X29Y54 TYPE INT_R TILEPROP INT_R_X29Y55 CLASS tile TILEPROP INT_R_X29Y55 COLUMN 73 TILEPROP INT_R_X29Y55 DEVICE_ID 0 TILEPROP INT_R_X29Y55 FIRST_SITE_ID 9861 TILEPROP INT_R_X29Y55 GRID_POINT_X 73 TILEPROP INT_R_X29Y55 GRID_POINT_Y 98 TILEPROP INT_R_X29Y55 INDEX 11343 TILEPROP INT_R_X29Y55 INT_TILE_X 29 TILEPROP INT_R_X29Y55 INT_TILE_Y 94 TILEPROP INT_R_X29Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y55 IS_DCM_TILE 0 TILEPROP INT_R_X29Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y55 NAME INT_R_X29Y55 TILEPROP INT_R_X29Y55 NUM_ARCS 3737 TILEPROP INT_R_X29Y55 NUM_SITES 1 TILEPROP INT_R_X29Y55 ROW 98 TILEPROP INT_R_X29Y55 SLR_REGION_ID 0 TILEPROP INT_R_X29Y55 TILE_PATTERN_IDX 7040 TILEPROP INT_R_X29Y55 TILE_TYPE INT_R TILEPROP INT_R_X29Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y55 TILE_X 33192 TILEPROP INT_R_X29Y55 TILE_Y -62400 TILEPROP INT_R_X29Y55 TYPE INT_R TILEPROP INT_R_X29Y56 CLASS tile TILEPROP INT_R_X29Y56 COLUMN 73 TILEPROP INT_R_X29Y56 DEVICE_ID 0 TILEPROP INT_R_X29Y56 FIRST_SITE_ID 9755 TILEPROP INT_R_X29Y56 GRID_POINT_X 73 TILEPROP INT_R_X29Y56 GRID_POINT_Y 97 TILEPROP INT_R_X29Y56 INDEX 11228 TILEPROP INT_R_X29Y56 INT_TILE_X 29 TILEPROP INT_R_X29Y56 INT_TILE_Y 93 TILEPROP INT_R_X29Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y56 IS_DCM_TILE 0 TILEPROP INT_R_X29Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y56 NAME INT_R_X29Y56 TILEPROP INT_R_X29Y56 NUM_ARCS 3737 TILEPROP INT_R_X29Y56 NUM_SITES 1 TILEPROP INT_R_X29Y56 ROW 97 TILEPROP INT_R_X29Y56 SLR_REGION_ID 0 TILEPROP INT_R_X29Y56 TILE_PATTERN_IDX 7003 TILEPROP INT_R_X29Y56 TILE_TYPE INT_R TILEPROP INT_R_X29Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y56 TILE_X 33192 TILEPROP INT_R_X29Y56 TILE_Y -59200 TILEPROP INT_R_X29Y56 TYPE INT_R TILEPROP INT_R_X29Y57 CLASS tile TILEPROP INT_R_X29Y57 COLUMN 73 TILEPROP INT_R_X29Y57 DEVICE_ID 0 TILEPROP INT_R_X29Y57 FIRST_SITE_ID 9653 TILEPROP INT_R_X29Y57 GRID_POINT_X 73 TILEPROP INT_R_X29Y57 GRID_POINT_Y 96 TILEPROP INT_R_X29Y57 INDEX 11113 TILEPROP INT_R_X29Y57 INT_TILE_X 29 TILEPROP INT_R_X29Y57 INT_TILE_Y 92 TILEPROP INT_R_X29Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y57 IS_DCM_TILE 0 TILEPROP INT_R_X29Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y57 NAME INT_R_X29Y57 TILEPROP INT_R_X29Y57 NUM_ARCS 3737 TILEPROP INT_R_X29Y57 NUM_SITES 1 TILEPROP INT_R_X29Y57 ROW 96 TILEPROP INT_R_X29Y57 SLR_REGION_ID 0 TILEPROP INT_R_X29Y57 TILE_PATTERN_IDX 6966 TILEPROP INT_R_X29Y57 TILE_TYPE INT_R TILEPROP INT_R_X29Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y57 TILE_X 33192 TILEPROP INT_R_X29Y57 TILE_Y -56000 TILEPROP INT_R_X29Y57 TYPE INT_R TILEPROP INT_R_X29Y58 CLASS tile TILEPROP INT_R_X29Y58 COLUMN 73 TILEPROP INT_R_X29Y58 DEVICE_ID 0 TILEPROP INT_R_X29Y58 FIRST_SITE_ID 9550 TILEPROP INT_R_X29Y58 GRID_POINT_X 73 TILEPROP INT_R_X29Y58 GRID_POINT_Y 95 TILEPROP INT_R_X29Y58 INDEX 10998 TILEPROP INT_R_X29Y58 INT_TILE_X 29 TILEPROP INT_R_X29Y58 INT_TILE_Y 91 TILEPROP INT_R_X29Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y58 IS_DCM_TILE 0 TILEPROP INT_R_X29Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y58 NAME INT_R_X29Y58 TILEPROP INT_R_X29Y58 NUM_ARCS 3737 TILEPROP INT_R_X29Y58 NUM_SITES 1 TILEPROP INT_R_X29Y58 ROW 95 TILEPROP INT_R_X29Y58 SLR_REGION_ID 0 TILEPROP INT_R_X29Y58 TILE_PATTERN_IDX 6928 TILEPROP INT_R_X29Y58 TILE_TYPE INT_R TILEPROP INT_R_X29Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y58 TILE_X 33192 TILEPROP INT_R_X29Y58 TILE_Y -52800 TILEPROP INT_R_X29Y58 TYPE INT_R TILEPROP INT_R_X29Y59 CLASS tile TILEPROP INT_R_X29Y59 COLUMN 73 TILEPROP INT_R_X29Y59 DEVICE_ID 0 TILEPROP INT_R_X29Y59 FIRST_SITE_ID 9449 TILEPROP INT_R_X29Y59 GRID_POINT_X 73 TILEPROP INT_R_X29Y59 GRID_POINT_Y 94 TILEPROP INT_R_X29Y59 INDEX 10883 TILEPROP INT_R_X29Y59 INT_TILE_X 29 TILEPROP INT_R_X29Y59 INT_TILE_Y 90 TILEPROP INT_R_X29Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y59 IS_DCM_TILE 0 TILEPROP INT_R_X29Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y59 NAME INT_R_X29Y59 TILEPROP INT_R_X29Y59 NUM_ARCS 3737 TILEPROP INT_R_X29Y59 NUM_SITES 1 TILEPROP INT_R_X29Y59 ROW 94 TILEPROP INT_R_X29Y59 SLR_REGION_ID 0 TILEPROP INT_R_X29Y59 TILE_PATTERN_IDX 6891 TILEPROP INT_R_X29Y59 TILE_TYPE INT_R TILEPROP INT_R_X29Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y59 TILE_X 33192 TILEPROP INT_R_X29Y59 TILE_Y -49600 TILEPROP INT_R_X29Y59 TYPE INT_R TILEPROP INT_R_X29Y60 CLASS tile TILEPROP INT_R_X29Y60 COLUMN 73 TILEPROP INT_R_X29Y60 DEVICE_ID 0 TILEPROP INT_R_X29Y60 FIRST_SITE_ID 9340 TILEPROP INT_R_X29Y60 GRID_POINT_X 73 TILEPROP INT_R_X29Y60 GRID_POINT_Y 93 TILEPROP INT_R_X29Y60 INDEX 10768 TILEPROP INT_R_X29Y60 INT_TILE_X 29 TILEPROP INT_R_X29Y60 INT_TILE_Y 89 TILEPROP INT_R_X29Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y60 IS_DCM_TILE 0 TILEPROP INT_R_X29Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y60 NAME INT_R_X29Y60 TILEPROP INT_R_X29Y60 NUM_ARCS 3737 TILEPROP INT_R_X29Y60 NUM_SITES 1 TILEPROP INT_R_X29Y60 ROW 93 TILEPROP INT_R_X29Y60 SLR_REGION_ID 0 TILEPROP INT_R_X29Y60 TILE_PATTERN_IDX 6854 TILEPROP INT_R_X29Y60 TILE_TYPE INT_R TILEPROP INT_R_X29Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y60 TILE_X 33192 TILEPROP INT_R_X29Y60 TILE_Y -46400 TILEPROP INT_R_X29Y60 TYPE INT_R TILEPROP INT_R_X29Y61 CLASS tile TILEPROP INT_R_X29Y61 COLUMN 73 TILEPROP INT_R_X29Y61 DEVICE_ID 0 TILEPROP INT_R_X29Y61 FIRST_SITE_ID 9234 TILEPROP INT_R_X29Y61 GRID_POINT_X 73 TILEPROP INT_R_X29Y61 GRID_POINT_Y 92 TILEPROP INT_R_X29Y61 INDEX 10653 TILEPROP INT_R_X29Y61 INT_TILE_X 29 TILEPROP INT_R_X29Y61 INT_TILE_Y 88 TILEPROP INT_R_X29Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y61 IS_DCM_TILE 0 TILEPROP INT_R_X29Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y61 NAME INT_R_X29Y61 TILEPROP INT_R_X29Y61 NUM_ARCS 3737 TILEPROP INT_R_X29Y61 NUM_SITES 1 TILEPROP INT_R_X29Y61 ROW 92 TILEPROP INT_R_X29Y61 SLR_REGION_ID 0 TILEPROP INT_R_X29Y61 TILE_PATTERN_IDX 6817 TILEPROP INT_R_X29Y61 TILE_TYPE INT_R TILEPROP INT_R_X29Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y61 TILE_X 33192 TILEPROP INT_R_X29Y61 TILE_Y -43200 TILEPROP INT_R_X29Y61 TYPE INT_R TILEPROP INT_R_X29Y62 CLASS tile TILEPROP INT_R_X29Y62 COLUMN 73 TILEPROP INT_R_X29Y62 DEVICE_ID 0 TILEPROP INT_R_X29Y62 FIRST_SITE_ID 9134 TILEPROP INT_R_X29Y62 GRID_POINT_X 73 TILEPROP INT_R_X29Y62 GRID_POINT_Y 91 TILEPROP INT_R_X29Y62 INDEX 10538 TILEPROP INT_R_X29Y62 INT_TILE_X 29 TILEPROP INT_R_X29Y62 INT_TILE_Y 87 TILEPROP INT_R_X29Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y62 IS_DCM_TILE 0 TILEPROP INT_R_X29Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y62 NAME INT_R_X29Y62 TILEPROP INT_R_X29Y62 NUM_ARCS 3737 TILEPROP INT_R_X29Y62 NUM_SITES 1 TILEPROP INT_R_X29Y62 ROW 91 TILEPROP INT_R_X29Y62 SLR_REGION_ID 0 TILEPROP INT_R_X29Y62 TILE_PATTERN_IDX 6780 TILEPROP INT_R_X29Y62 TILE_TYPE INT_R TILEPROP INT_R_X29Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y62 TILE_X 33192 TILEPROP INT_R_X29Y62 TILE_Y -40000 TILEPROP INT_R_X29Y62 TYPE INT_R TILEPROP INT_R_X29Y63 CLASS tile TILEPROP INT_R_X29Y63 COLUMN 73 TILEPROP INT_R_X29Y63 DEVICE_ID 0 TILEPROP INT_R_X29Y63 FIRST_SITE_ID 9002 TILEPROP INT_R_X29Y63 GRID_POINT_X 73 TILEPROP INT_R_X29Y63 GRID_POINT_Y 90 TILEPROP INT_R_X29Y63 INDEX 10423 TILEPROP INT_R_X29Y63 INT_TILE_X 29 TILEPROP INT_R_X29Y63 INT_TILE_Y 86 TILEPROP INT_R_X29Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y63 IS_DCM_TILE 0 TILEPROP INT_R_X29Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y63 NAME INT_R_X29Y63 TILEPROP INT_R_X29Y63 NUM_ARCS 3737 TILEPROP INT_R_X29Y63 NUM_SITES 1 TILEPROP INT_R_X29Y63 ROW 90 TILEPROP INT_R_X29Y63 SLR_REGION_ID 0 TILEPROP INT_R_X29Y63 TILE_PATTERN_IDX 6743 TILEPROP INT_R_X29Y63 TILE_TYPE INT_R TILEPROP INT_R_X29Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y63 TILE_X 33192 TILEPROP INT_R_X29Y63 TILE_Y -36800 TILEPROP INT_R_X29Y63 TYPE INT_R TILEPROP INT_R_X29Y64 CLASS tile TILEPROP INT_R_X29Y64 COLUMN 73 TILEPROP INT_R_X29Y64 DEVICE_ID 0 TILEPROP INT_R_X29Y64 FIRST_SITE_ID 8902 TILEPROP INT_R_X29Y64 GRID_POINT_X 73 TILEPROP INT_R_X29Y64 GRID_POINT_Y 89 TILEPROP INT_R_X29Y64 INDEX 10308 TILEPROP INT_R_X29Y64 INT_TILE_X 29 TILEPROP INT_R_X29Y64 INT_TILE_Y 85 TILEPROP INT_R_X29Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y64 IS_DCM_TILE 0 TILEPROP INT_R_X29Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y64 NAME INT_R_X29Y64 TILEPROP INT_R_X29Y64 NUM_ARCS 3737 TILEPROP INT_R_X29Y64 NUM_SITES 1 TILEPROP INT_R_X29Y64 ROW 89 TILEPROP INT_R_X29Y64 SLR_REGION_ID 0 TILEPROP INT_R_X29Y64 TILE_PATTERN_IDX 6706 TILEPROP INT_R_X29Y64 TILE_TYPE INT_R TILEPROP INT_R_X29Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y64 TILE_X 33192 TILEPROP INT_R_X29Y64 TILE_Y -33600 TILEPROP INT_R_X29Y64 TYPE INT_R TILEPROP INT_R_X29Y65 CLASS tile TILEPROP INT_R_X29Y65 COLUMN 73 TILEPROP INT_R_X29Y65 DEVICE_ID 0 TILEPROP INT_R_X29Y65 FIRST_SITE_ID 8793 TILEPROP INT_R_X29Y65 GRID_POINT_X 73 TILEPROP INT_R_X29Y65 GRID_POINT_Y 88 TILEPROP INT_R_X29Y65 INDEX 10193 TILEPROP INT_R_X29Y65 INT_TILE_X 29 TILEPROP INT_R_X29Y65 INT_TILE_Y 84 TILEPROP INT_R_X29Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y65 IS_DCM_TILE 0 TILEPROP INT_R_X29Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y65 NAME INT_R_X29Y65 TILEPROP INT_R_X29Y65 NUM_ARCS 3737 TILEPROP INT_R_X29Y65 NUM_SITES 1 TILEPROP INT_R_X29Y65 ROW 88 TILEPROP INT_R_X29Y65 SLR_REGION_ID 0 TILEPROP INT_R_X29Y65 TILE_PATTERN_IDX 6669 TILEPROP INT_R_X29Y65 TILE_TYPE INT_R TILEPROP INT_R_X29Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y65 TILE_X 33192 TILEPROP INT_R_X29Y65 TILE_Y -30400 TILEPROP INT_R_X29Y65 TYPE INT_R TILEPROP INT_R_X29Y66 CLASS tile TILEPROP INT_R_X29Y66 COLUMN 73 TILEPROP INT_R_X29Y66 DEVICE_ID 0 TILEPROP INT_R_X29Y66 FIRST_SITE_ID 8687 TILEPROP INT_R_X29Y66 GRID_POINT_X 73 TILEPROP INT_R_X29Y66 GRID_POINT_Y 87 TILEPROP INT_R_X29Y66 INDEX 10078 TILEPROP INT_R_X29Y66 INT_TILE_X 29 TILEPROP INT_R_X29Y66 INT_TILE_Y 83 TILEPROP INT_R_X29Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y66 IS_DCM_TILE 0 TILEPROP INT_R_X29Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y66 NAME INT_R_X29Y66 TILEPROP INT_R_X29Y66 NUM_ARCS 3737 TILEPROP INT_R_X29Y66 NUM_SITES 1 TILEPROP INT_R_X29Y66 ROW 87 TILEPROP INT_R_X29Y66 SLR_REGION_ID 0 TILEPROP INT_R_X29Y66 TILE_PATTERN_IDX 6632 TILEPROP INT_R_X29Y66 TILE_TYPE INT_R TILEPROP INT_R_X29Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y66 TILE_X 33192 TILEPROP INT_R_X29Y66 TILE_Y -27200 TILEPROP INT_R_X29Y66 TYPE INT_R TILEPROP INT_R_X29Y67 CLASS tile TILEPROP INT_R_X29Y67 COLUMN 73 TILEPROP INT_R_X29Y67 DEVICE_ID 0 TILEPROP INT_R_X29Y67 FIRST_SITE_ID 8583 TILEPROP INT_R_X29Y67 GRID_POINT_X 73 TILEPROP INT_R_X29Y67 GRID_POINT_Y 86 TILEPROP INT_R_X29Y67 INDEX 9963 TILEPROP INT_R_X29Y67 INT_TILE_X 29 TILEPROP INT_R_X29Y67 INT_TILE_Y 82 TILEPROP INT_R_X29Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y67 IS_DCM_TILE 0 TILEPROP INT_R_X29Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y67 NAME INT_R_X29Y67 TILEPROP INT_R_X29Y67 NUM_ARCS 3737 TILEPROP INT_R_X29Y67 NUM_SITES 1 TILEPROP INT_R_X29Y67 ROW 86 TILEPROP INT_R_X29Y67 SLR_REGION_ID 0 TILEPROP INT_R_X29Y67 TILE_PATTERN_IDX 6594 TILEPROP INT_R_X29Y67 TILE_TYPE INT_R TILEPROP INT_R_X29Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y67 TILE_X 33192 TILEPROP INT_R_X29Y67 TILE_Y -24000 TILEPROP INT_R_X29Y67 TYPE INT_R TILEPROP INT_R_X29Y68 CLASS tile TILEPROP INT_R_X29Y68 COLUMN 73 TILEPROP INT_R_X29Y68 DEVICE_ID 0 TILEPROP INT_R_X29Y68 FIRST_SITE_ID 8479 TILEPROP INT_R_X29Y68 GRID_POINT_X 73 TILEPROP INT_R_X29Y68 GRID_POINT_Y 85 TILEPROP INT_R_X29Y68 INDEX 9848 TILEPROP INT_R_X29Y68 INT_TILE_X 29 TILEPROP INT_R_X29Y68 INT_TILE_Y 81 TILEPROP INT_R_X29Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y68 IS_DCM_TILE 0 TILEPROP INT_R_X29Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y68 NAME INT_R_X29Y68 TILEPROP INT_R_X29Y68 NUM_ARCS 3737 TILEPROP INT_R_X29Y68 NUM_SITES 1 TILEPROP INT_R_X29Y68 ROW 85 TILEPROP INT_R_X29Y68 SLR_REGION_ID 0 TILEPROP INT_R_X29Y68 TILE_PATTERN_IDX 6562 TILEPROP INT_R_X29Y68 TILE_TYPE INT_R TILEPROP INT_R_X29Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y68 TILE_X 33192 TILEPROP INT_R_X29Y68 TILE_Y -20800 TILEPROP INT_R_X29Y68 TYPE INT_R TILEPROP INT_R_X29Y69 CLASS tile TILEPROP INT_R_X29Y69 COLUMN 73 TILEPROP INT_R_X29Y69 DEVICE_ID 0 TILEPROP INT_R_X29Y69 FIRST_SITE_ID 8377 TILEPROP INT_R_X29Y69 GRID_POINT_X 73 TILEPROP INT_R_X29Y69 GRID_POINT_Y 84 TILEPROP INT_R_X29Y69 INDEX 9733 TILEPROP INT_R_X29Y69 INT_TILE_X 29 TILEPROP INT_R_X29Y69 INT_TILE_Y 80 TILEPROP INT_R_X29Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y69 IS_DCM_TILE 0 TILEPROP INT_R_X29Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y69 NAME INT_R_X29Y69 TILEPROP INT_R_X29Y69 NUM_ARCS 3737 TILEPROP INT_R_X29Y69 NUM_SITES 1 TILEPROP INT_R_X29Y69 ROW 84 TILEPROP INT_R_X29Y69 SLR_REGION_ID 0 TILEPROP INT_R_X29Y69 TILE_PATTERN_IDX 6531 TILEPROP INT_R_X29Y69 TILE_TYPE INT_R TILEPROP INT_R_X29Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y69 TILE_X 33192 TILEPROP INT_R_X29Y69 TILE_Y -17600 TILEPROP INT_R_X29Y69 TYPE INT_R TILEPROP INT_R_X29Y70 CLASS tile TILEPROP INT_R_X29Y70 COLUMN 73 TILEPROP INT_R_X29Y70 DEVICE_ID 0 TILEPROP INT_R_X29Y70 FIRST_SITE_ID 8266 TILEPROP INT_R_X29Y70 GRID_POINT_X 73 TILEPROP INT_R_X29Y70 GRID_POINT_Y 83 TILEPROP INT_R_X29Y70 INDEX 9618 TILEPROP INT_R_X29Y70 INT_TILE_X 29 TILEPROP INT_R_X29Y70 INT_TILE_Y 79 TILEPROP INT_R_X29Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y70 IS_DCM_TILE 0 TILEPROP INT_R_X29Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y70 NAME INT_R_X29Y70 TILEPROP INT_R_X29Y70 NUM_ARCS 3737 TILEPROP INT_R_X29Y70 NUM_SITES 1 TILEPROP INT_R_X29Y70 ROW 83 TILEPROP INT_R_X29Y70 SLR_REGION_ID 0 TILEPROP INT_R_X29Y70 TILE_PATTERN_IDX 6500 TILEPROP INT_R_X29Y70 TILE_TYPE INT_R TILEPROP INT_R_X29Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y70 TILE_X 33192 TILEPROP INT_R_X29Y70 TILE_Y -14400 TILEPROP INT_R_X29Y70 TYPE INT_R TILEPROP INT_R_X29Y71 CLASS tile TILEPROP INT_R_X29Y71 COLUMN 73 TILEPROP INT_R_X29Y71 DEVICE_ID 0 TILEPROP INT_R_X29Y71 FIRST_SITE_ID 8160 TILEPROP INT_R_X29Y71 GRID_POINT_X 73 TILEPROP INT_R_X29Y71 GRID_POINT_Y 82 TILEPROP INT_R_X29Y71 INDEX 9503 TILEPROP INT_R_X29Y71 INT_TILE_X 29 TILEPROP INT_R_X29Y71 INT_TILE_Y 78 TILEPROP INT_R_X29Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y71 IS_DCM_TILE 0 TILEPROP INT_R_X29Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y71 NAME INT_R_X29Y71 TILEPROP INT_R_X29Y71 NUM_ARCS 3737 TILEPROP INT_R_X29Y71 NUM_SITES 1 TILEPROP INT_R_X29Y71 ROW 82 TILEPROP INT_R_X29Y71 SLR_REGION_ID 0 TILEPROP INT_R_X29Y71 TILE_PATTERN_IDX 6469 TILEPROP INT_R_X29Y71 TILE_TYPE INT_R TILEPROP INT_R_X29Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y71 TILE_X 33192 TILEPROP INT_R_X29Y71 TILE_Y -11200 TILEPROP INT_R_X29Y71 TYPE INT_R TILEPROP INT_R_X29Y72 CLASS tile TILEPROP INT_R_X29Y72 COLUMN 73 TILEPROP INT_R_X29Y72 DEVICE_ID 0 TILEPROP INT_R_X29Y72 FIRST_SITE_ID 8060 TILEPROP INT_R_X29Y72 GRID_POINT_X 73 TILEPROP INT_R_X29Y72 GRID_POINT_Y 81 TILEPROP INT_R_X29Y72 INDEX 9388 TILEPROP INT_R_X29Y72 INT_TILE_X 29 TILEPROP INT_R_X29Y72 INT_TILE_Y 77 TILEPROP INT_R_X29Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y72 IS_DCM_TILE 0 TILEPROP INT_R_X29Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y72 NAME INT_R_X29Y72 TILEPROP INT_R_X29Y72 NUM_ARCS 3737 TILEPROP INT_R_X29Y72 NUM_SITES 1 TILEPROP INT_R_X29Y72 ROW 81 TILEPROP INT_R_X29Y72 SLR_REGION_ID 0 TILEPROP INT_R_X29Y72 TILE_PATTERN_IDX 6438 TILEPROP INT_R_X29Y72 TILE_TYPE INT_R TILEPROP INT_R_X29Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y72 TILE_X 33192 TILEPROP INT_R_X29Y72 TILE_Y -8000 TILEPROP INT_R_X29Y72 TYPE INT_R TILEPROP INT_R_X29Y73 CLASS tile TILEPROP INT_R_X29Y73 COLUMN 73 TILEPROP INT_R_X29Y73 DEVICE_ID 0 TILEPROP INT_R_X29Y73 FIRST_SITE_ID 7960 TILEPROP INT_R_X29Y73 GRID_POINT_X 73 TILEPROP INT_R_X29Y73 GRID_POINT_Y 80 TILEPROP INT_R_X29Y73 INDEX 9273 TILEPROP INT_R_X29Y73 INT_TILE_X 29 TILEPROP INT_R_X29Y73 INT_TILE_Y 76 TILEPROP INT_R_X29Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y73 IS_DCM_TILE 0 TILEPROP INT_R_X29Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y73 NAME INT_R_X29Y73 TILEPROP INT_R_X29Y73 NUM_ARCS 3737 TILEPROP INT_R_X29Y73 NUM_SITES 1 TILEPROP INT_R_X29Y73 ROW 80 TILEPROP INT_R_X29Y73 SLR_REGION_ID 0 TILEPROP INT_R_X29Y73 TILE_PATTERN_IDX 6407 TILEPROP INT_R_X29Y73 TILE_TYPE INT_R TILEPROP INT_R_X29Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y73 TILE_X 33192 TILEPROP INT_R_X29Y73 TILE_Y -4800 TILEPROP INT_R_X29Y73 TYPE INT_R TILEPROP INT_R_X29Y74 CLASS tile TILEPROP INT_R_X29Y74 COLUMN 73 TILEPROP INT_R_X29Y74 DEVICE_ID 0 TILEPROP INT_R_X29Y74 FIRST_SITE_ID 7860 TILEPROP INT_R_X29Y74 GRID_POINT_X 73 TILEPROP INT_R_X29Y74 GRID_POINT_Y 79 TILEPROP INT_R_X29Y74 INDEX 9158 TILEPROP INT_R_X29Y74 INT_TILE_X 29 TILEPROP INT_R_X29Y74 INT_TILE_Y 75 TILEPROP INT_R_X29Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y74 IS_DCM_TILE 0 TILEPROP INT_R_X29Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y74 NAME INT_R_X29Y74 TILEPROP INT_R_X29Y74 NUM_ARCS 3737 TILEPROP INT_R_X29Y74 NUM_SITES 1 TILEPROP INT_R_X29Y74 ROW 79 TILEPROP INT_R_X29Y74 SLR_REGION_ID 0 TILEPROP INT_R_X29Y74 TILE_PATTERN_IDX 6376 TILEPROP INT_R_X29Y74 TILE_TYPE INT_R TILEPROP INT_R_X29Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y74 TILE_X 33192 TILEPROP INT_R_X29Y74 TILE_Y -1600 TILEPROP INT_R_X29Y74 TYPE INT_R TILEPROP INT_R_X29Y75 CLASS tile TILEPROP INT_R_X29Y75 COLUMN 73 TILEPROP INT_R_X29Y75 DEVICE_ID 0 TILEPROP INT_R_X29Y75 FIRST_SITE_ID 7668 TILEPROP INT_R_X29Y75 GRID_POINT_X 73 TILEPROP INT_R_X29Y75 GRID_POINT_Y 77 TILEPROP INT_R_X29Y75 INDEX 8928 TILEPROP INT_R_X29Y75 INT_TILE_X 29 TILEPROP INT_R_X29Y75 INT_TILE_Y 74 TILEPROP INT_R_X29Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y75 IS_DCM_TILE 0 TILEPROP INT_R_X29Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y75 NAME INT_R_X29Y75 TILEPROP INT_R_X29Y75 NUM_ARCS 3737 TILEPROP INT_R_X29Y75 NUM_SITES 1 TILEPROP INT_R_X29Y75 ROW 77 TILEPROP INT_R_X29Y75 SLR_REGION_ID 0 TILEPROP INT_R_X29Y75 TILE_PATTERN_IDX 6302 TILEPROP INT_R_X29Y75 TILE_TYPE INT_R TILEPROP INT_R_X29Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y75 TILE_X 33192 TILEPROP INT_R_X29Y75 TILE_Y 2624 TILEPROP INT_R_X29Y75 TYPE INT_R TILEPROP INT_R_X29Y76 CLASS tile TILEPROP INT_R_X29Y76 COLUMN 73 TILEPROP INT_R_X29Y76 DEVICE_ID 0 TILEPROP INT_R_X29Y76 FIRST_SITE_ID 7559 TILEPROP INT_R_X29Y76 GRID_POINT_X 73 TILEPROP INT_R_X29Y76 GRID_POINT_Y 76 TILEPROP INT_R_X29Y76 INDEX 8813 TILEPROP INT_R_X29Y76 INT_TILE_X 29 TILEPROP INT_R_X29Y76 INT_TILE_Y 73 TILEPROP INT_R_X29Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y76 IS_DCM_TILE 0 TILEPROP INT_R_X29Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y76 NAME INT_R_X29Y76 TILEPROP INT_R_X29Y76 NUM_ARCS 3737 TILEPROP INT_R_X29Y76 NUM_SITES 1 TILEPROP INT_R_X29Y76 ROW 76 TILEPROP INT_R_X29Y76 SLR_REGION_ID 0 TILEPROP INT_R_X29Y76 TILE_PATTERN_IDX 6268 TILEPROP INT_R_X29Y76 TILE_TYPE INT_R TILEPROP INT_R_X29Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y76 TILE_X 33192 TILEPROP INT_R_X29Y76 TILE_Y 5824 TILEPROP INT_R_X29Y76 TYPE INT_R TILEPROP INT_R_X29Y77 CLASS tile TILEPROP INT_R_X29Y77 COLUMN 73 TILEPROP INT_R_X29Y77 DEVICE_ID 0 TILEPROP INT_R_X29Y77 FIRST_SITE_ID 7459 TILEPROP INT_R_X29Y77 GRID_POINT_X 73 TILEPROP INT_R_X29Y77 GRID_POINT_Y 75 TILEPROP INT_R_X29Y77 INDEX 8698 TILEPROP INT_R_X29Y77 INT_TILE_X 29 TILEPROP INT_R_X29Y77 INT_TILE_Y 72 TILEPROP INT_R_X29Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y77 IS_DCM_TILE 0 TILEPROP INT_R_X29Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y77 NAME INT_R_X29Y77 TILEPROP INT_R_X29Y77 NUM_ARCS 3737 TILEPROP INT_R_X29Y77 NUM_SITES 1 TILEPROP INT_R_X29Y77 ROW 75 TILEPROP INT_R_X29Y77 SLR_REGION_ID 0 TILEPROP INT_R_X29Y77 TILE_PATTERN_IDX 6234 TILEPROP INT_R_X29Y77 TILE_TYPE INT_R TILEPROP INT_R_X29Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y77 TILE_X 33192 TILEPROP INT_R_X29Y77 TILE_Y 9024 TILEPROP INT_R_X29Y77 TYPE INT_R TILEPROP INT_R_X29Y78 CLASS tile TILEPROP INT_R_X29Y78 COLUMN 73 TILEPROP INT_R_X29Y78 DEVICE_ID 0 TILEPROP INT_R_X29Y78 FIRST_SITE_ID 7359 TILEPROP INT_R_X29Y78 GRID_POINT_X 73 TILEPROP INT_R_X29Y78 GRID_POINT_Y 74 TILEPROP INT_R_X29Y78 INDEX 8583 TILEPROP INT_R_X29Y78 INT_TILE_X 29 TILEPROP INT_R_X29Y78 INT_TILE_Y 71 TILEPROP INT_R_X29Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y78 IS_DCM_TILE 0 TILEPROP INT_R_X29Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y78 NAME INT_R_X29Y78 TILEPROP INT_R_X29Y78 NUM_ARCS 3737 TILEPROP INT_R_X29Y78 NUM_SITES 1 TILEPROP INT_R_X29Y78 ROW 74 TILEPROP INT_R_X29Y78 SLR_REGION_ID 0 TILEPROP INT_R_X29Y78 TILE_PATTERN_IDX 6201 TILEPROP INT_R_X29Y78 TILE_TYPE INT_R TILEPROP INT_R_X29Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y78 TILE_X 33192 TILEPROP INT_R_X29Y78 TILE_Y 12224 TILEPROP INT_R_X29Y78 TYPE INT_R TILEPROP INT_R_X29Y79 CLASS tile TILEPROP INT_R_X29Y79 COLUMN 73 TILEPROP INT_R_X29Y79 DEVICE_ID 0 TILEPROP INT_R_X29Y79 FIRST_SITE_ID 7253 TILEPROP INT_R_X29Y79 GRID_POINT_X 73 TILEPROP INT_R_X29Y79 GRID_POINT_Y 73 TILEPROP INT_R_X29Y79 INDEX 8468 TILEPROP INT_R_X29Y79 INT_TILE_X 29 TILEPROP INT_R_X29Y79 INT_TILE_Y 70 TILEPROP INT_R_X29Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y79 IS_DCM_TILE 0 TILEPROP INT_R_X29Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y79 NAME INT_R_X29Y79 TILEPROP INT_R_X29Y79 NUM_ARCS 3737 TILEPROP INT_R_X29Y79 NUM_SITES 1 TILEPROP INT_R_X29Y79 ROW 73 TILEPROP INT_R_X29Y79 SLR_REGION_ID 0 TILEPROP INT_R_X29Y79 TILE_PATTERN_IDX 6166 TILEPROP INT_R_X29Y79 TILE_TYPE INT_R TILEPROP INT_R_X29Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y79 TILE_X 33192 TILEPROP INT_R_X29Y79 TILE_Y 15424 TILEPROP INT_R_X29Y79 TYPE INT_R TILEPROP INT_R_X29Y80 CLASS tile TILEPROP INT_R_X29Y80 COLUMN 73 TILEPROP INT_R_X29Y80 DEVICE_ID 0 TILEPROP INT_R_X29Y80 FIRST_SITE_ID 7138 TILEPROP INT_R_X29Y80 GRID_POINT_X 73 TILEPROP INT_R_X29Y80 GRID_POINT_Y 72 TILEPROP INT_R_X29Y80 INDEX 8353 TILEPROP INT_R_X29Y80 INT_TILE_X 29 TILEPROP INT_R_X29Y80 INT_TILE_Y 69 TILEPROP INT_R_X29Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y80 IS_DCM_TILE 0 TILEPROP INT_R_X29Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y80 NAME INT_R_X29Y80 TILEPROP INT_R_X29Y80 NUM_ARCS 3737 TILEPROP INT_R_X29Y80 NUM_SITES 1 TILEPROP INT_R_X29Y80 ROW 72 TILEPROP INT_R_X29Y80 SLR_REGION_ID 0 TILEPROP INT_R_X29Y80 TILE_PATTERN_IDX 6131 TILEPROP INT_R_X29Y80 TILE_TYPE INT_R TILEPROP INT_R_X29Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y80 TILE_X 33192 TILEPROP INT_R_X29Y80 TILE_Y 18624 TILEPROP INT_R_X29Y80 TYPE INT_R TILEPROP INT_R_X29Y81 CLASS tile TILEPROP INT_R_X29Y81 COLUMN 73 TILEPROP INT_R_X29Y81 DEVICE_ID 0 TILEPROP INT_R_X29Y81 FIRST_SITE_ID 7030 TILEPROP INT_R_X29Y81 GRID_POINT_X 73 TILEPROP INT_R_X29Y81 GRID_POINT_Y 71 TILEPROP INT_R_X29Y81 INDEX 8238 TILEPROP INT_R_X29Y81 INT_TILE_X 29 TILEPROP INT_R_X29Y81 INT_TILE_Y 68 TILEPROP INT_R_X29Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y81 IS_DCM_TILE 0 TILEPROP INT_R_X29Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y81 NAME INT_R_X29Y81 TILEPROP INT_R_X29Y81 NUM_ARCS 3737 TILEPROP INT_R_X29Y81 NUM_SITES 1 TILEPROP INT_R_X29Y81 ROW 71 TILEPROP INT_R_X29Y81 SLR_REGION_ID 0 TILEPROP INT_R_X29Y81 TILE_PATTERN_IDX 6097 TILEPROP INT_R_X29Y81 TILE_TYPE INT_R TILEPROP INT_R_X29Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y81 TILE_X 33192 TILEPROP INT_R_X29Y81 TILE_Y 21824 TILEPROP INT_R_X29Y81 TYPE INT_R TILEPROP INT_R_X29Y82 CLASS tile TILEPROP INT_R_X29Y82 COLUMN 73 TILEPROP INT_R_X29Y82 DEVICE_ID 0 TILEPROP INT_R_X29Y82 FIRST_SITE_ID 6928 TILEPROP INT_R_X29Y82 GRID_POINT_X 73 TILEPROP INT_R_X29Y82 GRID_POINT_Y 70 TILEPROP INT_R_X29Y82 INDEX 8123 TILEPROP INT_R_X29Y82 INT_TILE_X 29 TILEPROP INT_R_X29Y82 INT_TILE_Y 67 TILEPROP INT_R_X29Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y82 IS_DCM_TILE 0 TILEPROP INT_R_X29Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y82 NAME INT_R_X29Y82 TILEPROP INT_R_X29Y82 NUM_ARCS 3737 TILEPROP INT_R_X29Y82 NUM_SITES 1 TILEPROP INT_R_X29Y82 ROW 70 TILEPROP INT_R_X29Y82 SLR_REGION_ID 0 TILEPROP INT_R_X29Y82 TILE_PATTERN_IDX 6063 TILEPROP INT_R_X29Y82 TILE_TYPE INT_R TILEPROP INT_R_X29Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y82 TILE_X 33192 TILEPROP INT_R_X29Y82 TILE_Y 25024 TILEPROP INT_R_X29Y82 TYPE INT_R TILEPROP INT_R_X29Y83 CLASS tile TILEPROP INT_R_X29Y83 COLUMN 73 TILEPROP INT_R_X29Y83 DEVICE_ID 0 TILEPROP INT_R_X29Y83 FIRST_SITE_ID 6828 TILEPROP INT_R_X29Y83 GRID_POINT_X 73 TILEPROP INT_R_X29Y83 GRID_POINT_Y 69 TILEPROP INT_R_X29Y83 INDEX 8008 TILEPROP INT_R_X29Y83 INT_TILE_X 29 TILEPROP INT_R_X29Y83 INT_TILE_Y 66 TILEPROP INT_R_X29Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y83 IS_DCM_TILE 0 TILEPROP INT_R_X29Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y83 NAME INT_R_X29Y83 TILEPROP INT_R_X29Y83 NUM_ARCS 3737 TILEPROP INT_R_X29Y83 NUM_SITES 1 TILEPROP INT_R_X29Y83 ROW 69 TILEPROP INT_R_X29Y83 SLR_REGION_ID 0 TILEPROP INT_R_X29Y83 TILE_PATTERN_IDX 6029 TILEPROP INT_R_X29Y83 TILE_TYPE INT_R TILEPROP INT_R_X29Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y83 TILE_X 33192 TILEPROP INT_R_X29Y83 TILE_Y 28224 TILEPROP INT_R_X29Y83 TYPE INT_R TILEPROP INT_R_X29Y84 CLASS tile TILEPROP INT_R_X29Y84 COLUMN 73 TILEPROP INT_R_X29Y84 DEVICE_ID 0 TILEPROP INT_R_X29Y84 FIRST_SITE_ID 6728 TILEPROP INT_R_X29Y84 GRID_POINT_X 73 TILEPROP INT_R_X29Y84 GRID_POINT_Y 68 TILEPROP INT_R_X29Y84 INDEX 7893 TILEPROP INT_R_X29Y84 INT_TILE_X 29 TILEPROP INT_R_X29Y84 INT_TILE_Y 65 TILEPROP INT_R_X29Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y84 IS_DCM_TILE 0 TILEPROP INT_R_X29Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y84 NAME INT_R_X29Y84 TILEPROP INT_R_X29Y84 NUM_ARCS 3737 TILEPROP INT_R_X29Y84 NUM_SITES 1 TILEPROP INT_R_X29Y84 ROW 68 TILEPROP INT_R_X29Y84 SLR_REGION_ID 0 TILEPROP INT_R_X29Y84 TILE_PATTERN_IDX 5995 TILEPROP INT_R_X29Y84 TILE_TYPE INT_R TILEPROP INT_R_X29Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y84 TILE_X 33192 TILEPROP INT_R_X29Y84 TILE_Y 31424 TILEPROP INT_R_X29Y84 TYPE INT_R TILEPROP INT_R_X29Y85 CLASS tile TILEPROP INT_R_X29Y85 COLUMN 73 TILEPROP INT_R_X29Y85 DEVICE_ID 0 TILEPROP INT_R_X29Y85 FIRST_SITE_ID 6619 TILEPROP INT_R_X29Y85 GRID_POINT_X 73 TILEPROP INT_R_X29Y85 GRID_POINT_Y 67 TILEPROP INT_R_X29Y85 INDEX 7778 TILEPROP INT_R_X29Y85 INT_TILE_X 29 TILEPROP INT_R_X29Y85 INT_TILE_Y 64 TILEPROP INT_R_X29Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y85 IS_DCM_TILE 0 TILEPROP INT_R_X29Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y85 NAME INT_R_X29Y85 TILEPROP INT_R_X29Y85 NUM_ARCS 3737 TILEPROP INT_R_X29Y85 NUM_SITES 1 TILEPROP INT_R_X29Y85 ROW 67 TILEPROP INT_R_X29Y85 SLR_REGION_ID 0 TILEPROP INT_R_X29Y85 TILE_PATTERN_IDX 5961 TILEPROP INT_R_X29Y85 TILE_TYPE INT_R TILEPROP INT_R_X29Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y85 TILE_X 33192 TILEPROP INT_R_X29Y85 TILE_Y 34624 TILEPROP INT_R_X29Y85 TYPE INT_R TILEPROP INT_R_X29Y86 CLASS tile TILEPROP INT_R_X29Y86 COLUMN 73 TILEPROP INT_R_X29Y86 DEVICE_ID 0 TILEPROP INT_R_X29Y86 FIRST_SITE_ID 6513 TILEPROP INT_R_X29Y86 GRID_POINT_X 73 TILEPROP INT_R_X29Y86 GRID_POINT_Y 66 TILEPROP INT_R_X29Y86 INDEX 7663 TILEPROP INT_R_X29Y86 INT_TILE_X 29 TILEPROP INT_R_X29Y86 INT_TILE_Y 63 TILEPROP INT_R_X29Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y86 IS_DCM_TILE 0 TILEPROP INT_R_X29Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y86 NAME INT_R_X29Y86 TILEPROP INT_R_X29Y86 NUM_ARCS 3737 TILEPROP INT_R_X29Y86 NUM_SITES 1 TILEPROP INT_R_X29Y86 ROW 66 TILEPROP INT_R_X29Y86 SLR_REGION_ID 0 TILEPROP INT_R_X29Y86 TILE_PATTERN_IDX 5926 TILEPROP INT_R_X29Y86 TILE_TYPE INT_R TILEPROP INT_R_X29Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y86 TILE_X 33192 TILEPROP INT_R_X29Y86 TILE_Y 37824 TILEPROP INT_R_X29Y86 TYPE INT_R TILEPROP INT_R_X29Y87 CLASS tile TILEPROP INT_R_X29Y87 COLUMN 73 TILEPROP INT_R_X29Y87 DEVICE_ID 0 TILEPROP INT_R_X29Y87 FIRST_SITE_ID 6381 TILEPROP INT_R_X29Y87 GRID_POINT_X 73 TILEPROP INT_R_X29Y87 GRID_POINT_Y 65 TILEPROP INT_R_X29Y87 INDEX 7548 TILEPROP INT_R_X29Y87 INT_TILE_X 29 TILEPROP INT_R_X29Y87 INT_TILE_Y 62 TILEPROP INT_R_X29Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y87 IS_DCM_TILE 0 TILEPROP INT_R_X29Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y87 NAME INT_R_X29Y87 TILEPROP INT_R_X29Y87 NUM_ARCS 3737 TILEPROP INT_R_X29Y87 NUM_SITES 1 TILEPROP INT_R_X29Y87 ROW 65 TILEPROP INT_R_X29Y87 SLR_REGION_ID 0 TILEPROP INT_R_X29Y87 TILE_PATTERN_IDX 5891 TILEPROP INT_R_X29Y87 TILE_TYPE INT_R TILEPROP INT_R_X29Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y87 TILE_X 33192 TILEPROP INT_R_X29Y87 TILE_Y 41024 TILEPROP INT_R_X29Y87 TYPE INT_R TILEPROP INT_R_X29Y88 CLASS tile TILEPROP INT_R_X29Y88 COLUMN 73 TILEPROP INT_R_X29Y88 DEVICE_ID 0 TILEPROP INT_R_X29Y88 FIRST_SITE_ID 6281 TILEPROP INT_R_X29Y88 GRID_POINT_X 73 TILEPROP INT_R_X29Y88 GRID_POINT_Y 64 TILEPROP INT_R_X29Y88 INDEX 7433 TILEPROP INT_R_X29Y88 INT_TILE_X 29 TILEPROP INT_R_X29Y88 INT_TILE_Y 61 TILEPROP INT_R_X29Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y88 IS_DCM_TILE 0 TILEPROP INT_R_X29Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y88 NAME INT_R_X29Y88 TILEPROP INT_R_X29Y88 NUM_ARCS 3737 TILEPROP INT_R_X29Y88 NUM_SITES 1 TILEPROP INT_R_X29Y88 ROW 64 TILEPROP INT_R_X29Y88 SLR_REGION_ID 0 TILEPROP INT_R_X29Y88 TILE_PATTERN_IDX 5858 TILEPROP INT_R_X29Y88 TILE_TYPE INT_R TILEPROP INT_R_X29Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y88 TILE_X 33192 TILEPROP INT_R_X29Y88 TILE_Y 44224 TILEPROP INT_R_X29Y88 TYPE INT_R TILEPROP INT_R_X29Y89 CLASS tile TILEPROP INT_R_X29Y89 COLUMN 73 TILEPROP INT_R_X29Y89 DEVICE_ID 0 TILEPROP INT_R_X29Y89 FIRST_SITE_ID 6181 TILEPROP INT_R_X29Y89 GRID_POINT_X 73 TILEPROP INT_R_X29Y89 GRID_POINT_Y 63 TILEPROP INT_R_X29Y89 INDEX 7318 TILEPROP INT_R_X29Y89 INT_TILE_X 29 TILEPROP INT_R_X29Y89 INT_TILE_Y 60 TILEPROP INT_R_X29Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y89 IS_DCM_TILE 0 TILEPROP INT_R_X29Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y89 NAME INT_R_X29Y89 TILEPROP INT_R_X29Y89 NUM_ARCS 3737 TILEPROP INT_R_X29Y89 NUM_SITES 1 TILEPROP INT_R_X29Y89 ROW 63 TILEPROP INT_R_X29Y89 SLR_REGION_ID 0 TILEPROP INT_R_X29Y89 TILE_PATTERN_IDX 5824 TILEPROP INT_R_X29Y89 TILE_TYPE INT_R TILEPROP INT_R_X29Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y89 TILE_X 33192 TILEPROP INT_R_X29Y89 TILE_Y 47424 TILEPROP INT_R_X29Y89 TYPE INT_R TILEPROP INT_R_X29Y90 CLASS tile TILEPROP INT_R_X29Y90 COLUMN 73 TILEPROP INT_R_X29Y90 DEVICE_ID 0 TILEPROP INT_R_X29Y90 FIRST_SITE_ID 6072 TILEPROP INT_R_X29Y90 GRID_POINT_X 73 TILEPROP INT_R_X29Y90 GRID_POINT_Y 62 TILEPROP INT_R_X29Y90 INDEX 7203 TILEPROP INT_R_X29Y90 INT_TILE_X 29 TILEPROP INT_R_X29Y90 INT_TILE_Y 59 TILEPROP INT_R_X29Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y90 IS_DCM_TILE 0 TILEPROP INT_R_X29Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y90 NAME INT_R_X29Y90 TILEPROP INT_R_X29Y90 NUM_ARCS 3737 TILEPROP INT_R_X29Y90 NUM_SITES 1 TILEPROP INT_R_X29Y90 ROW 62 TILEPROP INT_R_X29Y90 SLR_REGION_ID 0 TILEPROP INT_R_X29Y90 TILE_PATTERN_IDX 5790 TILEPROP INT_R_X29Y90 TILE_TYPE INT_R TILEPROP INT_R_X29Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y90 TILE_X 33192 TILEPROP INT_R_X29Y90 TILE_Y 50624 TILEPROP INT_R_X29Y90 TYPE INT_R TILEPROP INT_R_X29Y91 CLASS tile TILEPROP INT_R_X29Y91 COLUMN 73 TILEPROP INT_R_X29Y91 DEVICE_ID 0 TILEPROP INT_R_X29Y91 FIRST_SITE_ID 5966 TILEPROP INT_R_X29Y91 GRID_POINT_X 73 TILEPROP INT_R_X29Y91 GRID_POINT_Y 61 TILEPROP INT_R_X29Y91 INDEX 7088 TILEPROP INT_R_X29Y91 INT_TILE_X 29 TILEPROP INT_R_X29Y91 INT_TILE_Y 58 TILEPROP INT_R_X29Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y91 IS_DCM_TILE 0 TILEPROP INT_R_X29Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y91 NAME INT_R_X29Y91 TILEPROP INT_R_X29Y91 NUM_ARCS 3737 TILEPROP INT_R_X29Y91 NUM_SITES 1 TILEPROP INT_R_X29Y91 ROW 61 TILEPROP INT_R_X29Y91 SLR_REGION_ID 0 TILEPROP INT_R_X29Y91 TILE_PATTERN_IDX 5756 TILEPROP INT_R_X29Y91 TILE_TYPE INT_R TILEPROP INT_R_X29Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y91 TILE_X 33192 TILEPROP INT_R_X29Y91 TILE_Y 53824 TILEPROP INT_R_X29Y91 TYPE INT_R TILEPROP INT_R_X29Y92 CLASS tile TILEPROP INT_R_X29Y92 COLUMN 73 TILEPROP INT_R_X29Y92 DEVICE_ID 0 TILEPROP INT_R_X29Y92 FIRST_SITE_ID 5865 TILEPROP INT_R_X29Y92 GRID_POINT_X 73 TILEPROP INT_R_X29Y92 GRID_POINT_Y 60 TILEPROP INT_R_X29Y92 INDEX 6973 TILEPROP INT_R_X29Y92 INT_TILE_X 29 TILEPROP INT_R_X29Y92 INT_TILE_Y 57 TILEPROP INT_R_X29Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y92 IS_DCM_TILE 0 TILEPROP INT_R_X29Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y92 NAME INT_R_X29Y92 TILEPROP INT_R_X29Y92 NUM_ARCS 3737 TILEPROP INT_R_X29Y92 NUM_SITES 1 TILEPROP INT_R_X29Y92 ROW 60 TILEPROP INT_R_X29Y92 SLR_REGION_ID 0 TILEPROP INT_R_X29Y92 TILE_PATTERN_IDX 5723 TILEPROP INT_R_X29Y92 TILE_TYPE INT_R TILEPROP INT_R_X29Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y92 TILE_X 33192 TILEPROP INT_R_X29Y92 TILE_Y 57024 TILEPROP INT_R_X29Y92 TYPE INT_R TILEPROP INT_R_X29Y93 CLASS tile TILEPROP INT_R_X29Y93 COLUMN 73 TILEPROP INT_R_X29Y93 DEVICE_ID 0 TILEPROP INT_R_X29Y93 FIRST_SITE_ID 5762 TILEPROP INT_R_X29Y93 GRID_POINT_X 73 TILEPROP INT_R_X29Y93 GRID_POINT_Y 59 TILEPROP INT_R_X29Y93 INDEX 6858 TILEPROP INT_R_X29Y93 INT_TILE_X 29 TILEPROP INT_R_X29Y93 INT_TILE_Y 56 TILEPROP INT_R_X29Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y93 IS_DCM_TILE 0 TILEPROP INT_R_X29Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y93 NAME INT_R_X29Y93 TILEPROP INT_R_X29Y93 NUM_ARCS 3737 TILEPROP INT_R_X29Y93 NUM_SITES 1 TILEPROP INT_R_X29Y93 ROW 59 TILEPROP INT_R_X29Y93 SLR_REGION_ID 0 TILEPROP INT_R_X29Y93 TILE_PATTERN_IDX 5689 TILEPROP INT_R_X29Y93 TILE_TYPE INT_R TILEPROP INT_R_X29Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y93 TILE_X 33192 TILEPROP INT_R_X29Y93 TILE_Y 60224 TILEPROP INT_R_X29Y93 TYPE INT_R TILEPROP INT_R_X29Y94 CLASS tile TILEPROP INT_R_X29Y94 COLUMN 73 TILEPROP INT_R_X29Y94 DEVICE_ID 0 TILEPROP INT_R_X29Y94 FIRST_SITE_ID 5660 TILEPROP INT_R_X29Y94 GRID_POINT_X 73 TILEPROP INT_R_X29Y94 GRID_POINT_Y 58 TILEPROP INT_R_X29Y94 INDEX 6743 TILEPROP INT_R_X29Y94 INT_TILE_X 29 TILEPROP INT_R_X29Y94 INT_TILE_Y 55 TILEPROP INT_R_X29Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y94 IS_DCM_TILE 0 TILEPROP INT_R_X29Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y94 NAME INT_R_X29Y94 TILEPROP INT_R_X29Y94 NUM_ARCS 3737 TILEPROP INT_R_X29Y94 NUM_SITES 1 TILEPROP INT_R_X29Y94 ROW 58 TILEPROP INT_R_X29Y94 SLR_REGION_ID 0 TILEPROP INT_R_X29Y94 TILE_PATTERN_IDX 5655 TILEPROP INT_R_X29Y94 TILE_TYPE INT_R TILEPROP INT_R_X29Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y94 TILE_X 33192 TILEPROP INT_R_X29Y94 TILE_Y 63424 TILEPROP INT_R_X29Y94 TYPE INT_R TILEPROP INT_R_X29Y95 CLASS tile TILEPROP INT_R_X29Y95 COLUMN 73 TILEPROP INT_R_X29Y95 DEVICE_ID 0 TILEPROP INT_R_X29Y95 FIRST_SITE_ID 5551 TILEPROP INT_R_X29Y95 GRID_POINT_X 73 TILEPROP INT_R_X29Y95 GRID_POINT_Y 57 TILEPROP INT_R_X29Y95 INDEX 6628 TILEPROP INT_R_X29Y95 INT_TILE_X 29 TILEPROP INT_R_X29Y95 INT_TILE_Y 54 TILEPROP INT_R_X29Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y95 IS_DCM_TILE 0 TILEPROP INT_R_X29Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y95 NAME INT_R_X29Y95 TILEPROP INT_R_X29Y95 NUM_ARCS 3737 TILEPROP INT_R_X29Y95 NUM_SITES 1 TILEPROP INT_R_X29Y95 ROW 57 TILEPROP INT_R_X29Y95 SLR_REGION_ID 0 TILEPROP INT_R_X29Y95 TILE_PATTERN_IDX 5621 TILEPROP INT_R_X29Y95 TILE_TYPE INT_R TILEPROP INT_R_X29Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y95 TILE_X 33192 TILEPROP INT_R_X29Y95 TILE_Y 66624 TILEPROP INT_R_X29Y95 TYPE INT_R TILEPROP INT_R_X29Y96 CLASS tile TILEPROP INT_R_X29Y96 COLUMN 73 TILEPROP INT_R_X29Y96 DEVICE_ID 0 TILEPROP INT_R_X29Y96 FIRST_SITE_ID 5445 TILEPROP INT_R_X29Y96 GRID_POINT_X 73 TILEPROP INT_R_X29Y96 GRID_POINT_Y 56 TILEPROP INT_R_X29Y96 INDEX 6513 TILEPROP INT_R_X29Y96 INT_TILE_X 29 TILEPROP INT_R_X29Y96 INT_TILE_Y 53 TILEPROP INT_R_X29Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y96 IS_DCM_TILE 0 TILEPROP INT_R_X29Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y96 NAME INT_R_X29Y96 TILEPROP INT_R_X29Y96 NUM_ARCS 3737 TILEPROP INT_R_X29Y96 NUM_SITES 1 TILEPROP INT_R_X29Y96 ROW 56 TILEPROP INT_R_X29Y96 SLR_REGION_ID 0 TILEPROP INT_R_X29Y96 TILE_PATTERN_IDX 5586 TILEPROP INT_R_X29Y96 TILE_TYPE INT_R TILEPROP INT_R_X29Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y96 TILE_X 33192 TILEPROP INT_R_X29Y96 TILE_Y 69824 TILEPROP INT_R_X29Y96 TYPE INT_R TILEPROP INT_R_X29Y97 CLASS tile TILEPROP INT_R_X29Y97 COLUMN 73 TILEPROP INT_R_X29Y97 DEVICE_ID 0 TILEPROP INT_R_X29Y97 FIRST_SITE_ID 5345 TILEPROP INT_R_X29Y97 GRID_POINT_X 73 TILEPROP INT_R_X29Y97 GRID_POINT_Y 55 TILEPROP INT_R_X29Y97 INDEX 6398 TILEPROP INT_R_X29Y97 INT_TILE_X 29 TILEPROP INT_R_X29Y97 INT_TILE_Y 52 TILEPROP INT_R_X29Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y97 IS_DCM_TILE 0 TILEPROP INT_R_X29Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y97 NAME INT_R_X29Y97 TILEPROP INT_R_X29Y97 NUM_ARCS 3737 TILEPROP INT_R_X29Y97 NUM_SITES 1 TILEPROP INT_R_X29Y97 ROW 55 TILEPROP INT_R_X29Y97 SLR_REGION_ID 0 TILEPROP INT_R_X29Y97 TILE_PATTERN_IDX 5552 TILEPROP INT_R_X29Y97 TILE_TYPE INT_R TILEPROP INT_R_X29Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y97 TILE_X 33192 TILEPROP INT_R_X29Y97 TILE_Y 73024 TILEPROP INT_R_X29Y97 TYPE INT_R TILEPROP INT_R_X29Y98 CLASS tile TILEPROP INT_R_X29Y98 COLUMN 73 TILEPROP INT_R_X29Y98 DEVICE_ID 0 TILEPROP INT_R_X29Y98 FIRST_SITE_ID 5245 TILEPROP INT_R_X29Y98 GRID_POINT_X 73 TILEPROP INT_R_X29Y98 GRID_POINT_Y 54 TILEPROP INT_R_X29Y98 INDEX 6283 TILEPROP INT_R_X29Y98 INT_TILE_X 29 TILEPROP INT_R_X29Y98 INT_TILE_Y 51 TILEPROP INT_R_X29Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y98 IS_DCM_TILE 0 TILEPROP INT_R_X29Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y98 NAME INT_R_X29Y98 TILEPROP INT_R_X29Y98 NUM_ARCS 3737 TILEPROP INT_R_X29Y98 NUM_SITES 1 TILEPROP INT_R_X29Y98 ROW 54 TILEPROP INT_R_X29Y98 SLR_REGION_ID 0 TILEPROP INT_R_X29Y98 TILE_PATTERN_IDX 5518 TILEPROP INT_R_X29Y98 TILE_TYPE INT_R TILEPROP INT_R_X29Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y98 TILE_X 33192 TILEPROP INT_R_X29Y98 TILE_Y 76224 TILEPROP INT_R_X29Y98 TYPE INT_R TILEPROP INT_R_X29Y99 CLASS tile TILEPROP INT_R_X29Y99 COLUMN 73 TILEPROP INT_R_X29Y99 DEVICE_ID 0 TILEPROP INT_R_X29Y99 FIRST_SITE_ID 5149 TILEPROP INT_R_X29Y99 GRID_POINT_X 73 TILEPROP INT_R_X29Y99 GRID_POINT_Y 53 TILEPROP INT_R_X29Y99 INDEX 6168 TILEPROP INT_R_X29Y99 INT_TILE_X 29 TILEPROP INT_R_X29Y99 INT_TILE_Y 50 TILEPROP INT_R_X29Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y99 IS_DCM_TILE 0 TILEPROP INT_R_X29Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y99 NAME INT_R_X29Y99 TILEPROP INT_R_X29Y99 NUM_ARCS 3737 TILEPROP INT_R_X29Y99 NUM_SITES 1 TILEPROP INT_R_X29Y99 ROW 53 TILEPROP INT_R_X29Y99 SLR_REGION_ID 0 TILEPROP INT_R_X29Y99 TILE_PATTERN_IDX 5485 TILEPROP INT_R_X29Y99 TILE_TYPE INT_R TILEPROP INT_R_X29Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y99 TILE_X 33192 TILEPROP INT_R_X29Y99 TILE_Y 79424 TILEPROP INT_R_X29Y99 TYPE INT_R TILEPROP INT_R_X29Y125 CLASS tile TILEPROP INT_R_X29Y125 COLUMN 73 TILEPROP INT_R_X29Y125 DEVICE_ID 0 TILEPROP INT_R_X29Y125 FIRST_SITE_ID 2586 TILEPROP INT_R_X29Y125 GRID_POINT_X 73 TILEPROP INT_R_X29Y125 GRID_POINT_Y 25 TILEPROP INT_R_X29Y125 INDEX 2948 TILEPROP INT_R_X29Y125 INT_TILE_X 29 TILEPROP INT_R_X29Y125 INT_TILE_Y 24 TILEPROP INT_R_X29Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y125 IS_DCM_TILE 0 TILEPROP INT_R_X29Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y125 NAME INT_R_X29Y125 TILEPROP INT_R_X29Y125 NUM_ARCS 3737 TILEPROP INT_R_X29Y125 NUM_SITES 1 TILEPROP INT_R_X29Y125 ROW 25 TILEPROP INT_R_X29Y125 SLR_REGION_ID 0 TILEPROP INT_R_X29Y125 TILE_PATTERN_IDX 4495 TILEPROP INT_R_X29Y125 TILE_TYPE INT_R TILEPROP INT_R_X29Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y125 TILE_X 33192 TILEPROP INT_R_X29Y125 TILE_Y 163896 TILEPROP INT_R_X29Y125 TYPE INT_R TILEPROP INT_R_X29Y126 CLASS tile TILEPROP INT_R_X29Y126 COLUMN 73 TILEPROP INT_R_X29Y126 DEVICE_ID 0 TILEPROP INT_R_X29Y126 FIRST_SITE_ID 2476 TILEPROP INT_R_X29Y126 GRID_POINT_X 73 TILEPROP INT_R_X29Y126 GRID_POINT_Y 24 TILEPROP INT_R_X29Y126 INDEX 2833 TILEPROP INT_R_X29Y126 INT_TILE_X 29 TILEPROP INT_R_X29Y126 INT_TILE_Y 23 TILEPROP INT_R_X29Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y126 IS_DCM_TILE 0 TILEPROP INT_R_X29Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y126 NAME INT_R_X29Y126 TILEPROP INT_R_X29Y126 NUM_ARCS 3737 TILEPROP INT_R_X29Y126 NUM_SITES 1 TILEPROP INT_R_X29Y126 ROW 24 TILEPROP INT_R_X29Y126 SLR_REGION_ID 0 TILEPROP INT_R_X29Y126 TILE_PATTERN_IDX 4456 TILEPROP INT_R_X29Y126 TILE_TYPE INT_R TILEPROP INT_R_X29Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y126 TILE_X 33192 TILEPROP INT_R_X29Y126 TILE_Y 167096 TILEPROP INT_R_X29Y126 TYPE INT_R TILEPROP INT_R_X29Y127 CLASS tile TILEPROP INT_R_X29Y127 COLUMN 73 TILEPROP INT_R_X29Y127 DEVICE_ID 0 TILEPROP INT_R_X29Y127 FIRST_SITE_ID 2380 TILEPROP INT_R_X29Y127 GRID_POINT_X 73 TILEPROP INT_R_X29Y127 GRID_POINT_Y 23 TILEPROP INT_R_X29Y127 INDEX 2718 TILEPROP INT_R_X29Y127 INT_TILE_X 29 TILEPROP INT_R_X29Y127 INT_TILE_Y 22 TILEPROP INT_R_X29Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y127 IS_DCM_TILE 0 TILEPROP INT_R_X29Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y127 NAME INT_R_X29Y127 TILEPROP INT_R_X29Y127 NUM_ARCS 3737 TILEPROP INT_R_X29Y127 NUM_SITES 1 TILEPROP INT_R_X29Y127 ROW 23 TILEPROP INT_R_X29Y127 SLR_REGION_ID 0 TILEPROP INT_R_X29Y127 TILE_PATTERN_IDX 4418 TILEPROP INT_R_X29Y127 TILE_TYPE INT_R TILEPROP INT_R_X29Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y127 TILE_X 33192 TILEPROP INT_R_X29Y127 TILE_Y 170296 TILEPROP INT_R_X29Y127 TYPE INT_R TILEPROP INT_R_X29Y128 CLASS tile TILEPROP INT_R_X29Y128 COLUMN 73 TILEPROP INT_R_X29Y128 DEVICE_ID 0 TILEPROP INT_R_X29Y128 FIRST_SITE_ID 2276 TILEPROP INT_R_X29Y128 GRID_POINT_X 73 TILEPROP INT_R_X29Y128 GRID_POINT_Y 22 TILEPROP INT_R_X29Y128 INDEX 2603 TILEPROP INT_R_X29Y128 INT_TILE_X 29 TILEPROP INT_R_X29Y128 INT_TILE_Y 21 TILEPROP INT_R_X29Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y128 IS_DCM_TILE 0 TILEPROP INT_R_X29Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y128 NAME INT_R_X29Y128 TILEPROP INT_R_X29Y128 NUM_ARCS 3737 TILEPROP INT_R_X29Y128 NUM_SITES 1 TILEPROP INT_R_X29Y128 ROW 22 TILEPROP INT_R_X29Y128 SLR_REGION_ID 0 TILEPROP INT_R_X29Y128 TILE_PATTERN_IDX 4379 TILEPROP INT_R_X29Y128 TILE_TYPE INT_R TILEPROP INT_R_X29Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y128 TILE_X 33192 TILEPROP INT_R_X29Y128 TILE_Y 173496 TILEPROP INT_R_X29Y128 TYPE INT_R TILEPROP INT_R_X29Y129 CLASS tile TILEPROP INT_R_X29Y129 COLUMN 73 TILEPROP INT_R_X29Y129 DEVICE_ID 0 TILEPROP INT_R_X29Y129 FIRST_SITE_ID 2180 TILEPROP INT_R_X29Y129 GRID_POINT_X 73 TILEPROP INT_R_X29Y129 GRID_POINT_Y 21 TILEPROP INT_R_X29Y129 INDEX 2488 TILEPROP INT_R_X29Y129 INT_TILE_X 29 TILEPROP INT_R_X29Y129 INT_TILE_Y 20 TILEPROP INT_R_X29Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y129 IS_DCM_TILE 0 TILEPROP INT_R_X29Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y129 NAME INT_R_X29Y129 TILEPROP INT_R_X29Y129 NUM_ARCS 3737 TILEPROP INT_R_X29Y129 NUM_SITES 1 TILEPROP INT_R_X29Y129 ROW 21 TILEPROP INT_R_X29Y129 SLR_REGION_ID 0 TILEPROP INT_R_X29Y129 TILE_PATTERN_IDX 4341 TILEPROP INT_R_X29Y129 TILE_TYPE INT_R TILEPROP INT_R_X29Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y129 TILE_X 33192 TILEPROP INT_R_X29Y129 TILE_Y 176696 TILEPROP INT_R_X29Y129 TYPE INT_R TILEPROP INT_R_X29Y130 CLASS tile TILEPROP INT_R_X29Y130 COLUMN 73 TILEPROP INT_R_X29Y130 DEVICE_ID 0 TILEPROP INT_R_X29Y130 FIRST_SITE_ID 2064 TILEPROP INT_R_X29Y130 GRID_POINT_X 73 TILEPROP INT_R_X29Y130 GRID_POINT_Y 20 TILEPROP INT_R_X29Y130 INDEX 2373 TILEPROP INT_R_X29Y130 INT_TILE_X 29 TILEPROP INT_R_X29Y130 INT_TILE_Y 19 TILEPROP INT_R_X29Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y130 IS_DCM_TILE 0 TILEPROP INT_R_X29Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y130 NAME INT_R_X29Y130 TILEPROP INT_R_X29Y130 NUM_ARCS 3737 TILEPROP INT_R_X29Y130 NUM_SITES 1 TILEPROP INT_R_X29Y130 ROW 20 TILEPROP INT_R_X29Y130 SLR_REGION_ID 0 TILEPROP INT_R_X29Y130 TILE_PATTERN_IDX 4301 TILEPROP INT_R_X29Y130 TILE_TYPE INT_R TILEPROP INT_R_X29Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y130 TILE_X 33192 TILEPROP INT_R_X29Y130 TILE_Y 179896 TILEPROP INT_R_X29Y130 TYPE INT_R TILEPROP INT_R_X29Y131 CLASS tile TILEPROP INT_R_X29Y131 COLUMN 73 TILEPROP INT_R_X29Y131 DEVICE_ID 0 TILEPROP INT_R_X29Y131 FIRST_SITE_ID 1962 TILEPROP INT_R_X29Y131 GRID_POINT_X 73 TILEPROP INT_R_X29Y131 GRID_POINT_Y 19 TILEPROP INT_R_X29Y131 INDEX 2258 TILEPROP INT_R_X29Y131 INT_TILE_X 29 TILEPROP INT_R_X29Y131 INT_TILE_Y 18 TILEPROP INT_R_X29Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y131 IS_DCM_TILE 0 TILEPROP INT_R_X29Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y131 NAME INT_R_X29Y131 TILEPROP INT_R_X29Y131 NUM_ARCS 3737 TILEPROP INT_R_X29Y131 NUM_SITES 1 TILEPROP INT_R_X29Y131 ROW 19 TILEPROP INT_R_X29Y131 SLR_REGION_ID 0 TILEPROP INT_R_X29Y131 TILE_PATTERN_IDX 4263 TILEPROP INT_R_X29Y131 TILE_TYPE INT_R TILEPROP INT_R_X29Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y131 TILE_X 33192 TILEPROP INT_R_X29Y131 TILE_Y 183096 TILEPROP INT_R_X29Y131 TYPE INT_R TILEPROP INT_R_X29Y132 CLASS tile TILEPROP INT_R_X29Y132 COLUMN 73 TILEPROP INT_R_X29Y132 DEVICE_ID 0 TILEPROP INT_R_X29Y132 FIRST_SITE_ID 1856 TILEPROP INT_R_X29Y132 GRID_POINT_X 73 TILEPROP INT_R_X29Y132 GRID_POINT_Y 18 TILEPROP INT_R_X29Y132 INDEX 2143 TILEPROP INT_R_X29Y132 INT_TILE_X 29 TILEPROP INT_R_X29Y132 INT_TILE_Y 17 TILEPROP INT_R_X29Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y132 IS_DCM_TILE 0 TILEPROP INT_R_X29Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y132 NAME INT_R_X29Y132 TILEPROP INT_R_X29Y132 NUM_ARCS 3737 TILEPROP INT_R_X29Y132 NUM_SITES 1 TILEPROP INT_R_X29Y132 ROW 18 TILEPROP INT_R_X29Y132 SLR_REGION_ID 0 TILEPROP INT_R_X29Y132 TILE_PATTERN_IDX 4224 TILEPROP INT_R_X29Y132 TILE_TYPE INT_R TILEPROP INT_R_X29Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y132 TILE_X 33192 TILEPROP INT_R_X29Y132 TILE_Y 186296 TILEPROP INT_R_X29Y132 TYPE INT_R TILEPROP INT_R_X29Y133 CLASS tile TILEPROP INT_R_X29Y133 COLUMN 73 TILEPROP INT_R_X29Y133 DEVICE_ID 0 TILEPROP INT_R_X29Y133 FIRST_SITE_ID 1755 TILEPROP INT_R_X29Y133 GRID_POINT_X 73 TILEPROP INT_R_X29Y133 GRID_POINT_Y 17 TILEPROP INT_R_X29Y133 INDEX 2028 TILEPROP INT_R_X29Y133 INT_TILE_X 29 TILEPROP INT_R_X29Y133 INT_TILE_Y 16 TILEPROP INT_R_X29Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y133 IS_DCM_TILE 0 TILEPROP INT_R_X29Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y133 NAME INT_R_X29Y133 TILEPROP INT_R_X29Y133 NUM_ARCS 3737 TILEPROP INT_R_X29Y133 NUM_SITES 1 TILEPROP INT_R_X29Y133 ROW 17 TILEPROP INT_R_X29Y133 SLR_REGION_ID 0 TILEPROP INT_R_X29Y133 TILE_PATTERN_IDX 4186 TILEPROP INT_R_X29Y133 TILE_TYPE INT_R TILEPROP INT_R_X29Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y133 TILE_X 33192 TILEPROP INT_R_X29Y133 TILE_Y 189496 TILEPROP INT_R_X29Y133 TYPE INT_R TILEPROP INT_R_X29Y134 CLASS tile TILEPROP INT_R_X29Y134 COLUMN 73 TILEPROP INT_R_X29Y134 DEVICE_ID 0 TILEPROP INT_R_X29Y134 FIRST_SITE_ID 1651 TILEPROP INT_R_X29Y134 GRID_POINT_X 73 TILEPROP INT_R_X29Y134 GRID_POINT_Y 16 TILEPROP INT_R_X29Y134 INDEX 1913 TILEPROP INT_R_X29Y134 INT_TILE_X 29 TILEPROP INT_R_X29Y134 INT_TILE_Y 15 TILEPROP INT_R_X29Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y134 IS_DCM_TILE 0 TILEPROP INT_R_X29Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y134 NAME INT_R_X29Y134 TILEPROP INT_R_X29Y134 NUM_ARCS 3737 TILEPROP INT_R_X29Y134 NUM_SITES 1 TILEPROP INT_R_X29Y134 ROW 16 TILEPROP INT_R_X29Y134 SLR_REGION_ID 0 TILEPROP INT_R_X29Y134 TILE_PATTERN_IDX 4147 TILEPROP INT_R_X29Y134 TILE_TYPE INT_R TILEPROP INT_R_X29Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y134 TILE_X 33192 TILEPROP INT_R_X29Y134 TILE_Y 192696 TILEPROP INT_R_X29Y134 TYPE INT_R TILEPROP INT_R_X29Y135 CLASS tile TILEPROP INT_R_X29Y135 COLUMN 73 TILEPROP INT_R_X29Y135 DEVICE_ID 0 TILEPROP INT_R_X29Y135 FIRST_SITE_ID 1549 TILEPROP INT_R_X29Y135 GRID_POINT_X 73 TILEPROP INT_R_X29Y135 GRID_POINT_Y 15 TILEPROP INT_R_X29Y135 INDEX 1798 TILEPROP INT_R_X29Y135 INT_TILE_X 29 TILEPROP INT_R_X29Y135 INT_TILE_Y 14 TILEPROP INT_R_X29Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y135 IS_DCM_TILE 0 TILEPROP INT_R_X29Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y135 NAME INT_R_X29Y135 TILEPROP INT_R_X29Y135 NUM_ARCS 3737 TILEPROP INT_R_X29Y135 NUM_SITES 1 TILEPROP INT_R_X29Y135 ROW 15 TILEPROP INT_R_X29Y135 SLR_REGION_ID 0 TILEPROP INT_R_X29Y135 TILE_PATTERN_IDX 4109 TILEPROP INT_R_X29Y135 TILE_TYPE INT_R TILEPROP INT_R_X29Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y135 TILE_X 33192 TILEPROP INT_R_X29Y135 TILE_Y 195896 TILEPROP INT_R_X29Y135 TYPE INT_R TILEPROP INT_R_X29Y136 CLASS tile TILEPROP INT_R_X29Y136 COLUMN 73 TILEPROP INT_R_X29Y136 DEVICE_ID 0 TILEPROP INT_R_X29Y136 FIRST_SITE_ID 1439 TILEPROP INT_R_X29Y136 GRID_POINT_X 73 TILEPROP INT_R_X29Y136 GRID_POINT_Y 14 TILEPROP INT_R_X29Y136 INDEX 1683 TILEPROP INT_R_X29Y136 INT_TILE_X 29 TILEPROP INT_R_X29Y136 INT_TILE_Y 13 TILEPROP INT_R_X29Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y136 IS_DCM_TILE 0 TILEPROP INT_R_X29Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y136 NAME INT_R_X29Y136 TILEPROP INT_R_X29Y136 NUM_ARCS 3737 TILEPROP INT_R_X29Y136 NUM_SITES 1 TILEPROP INT_R_X29Y136 ROW 14 TILEPROP INT_R_X29Y136 SLR_REGION_ID 0 TILEPROP INT_R_X29Y136 TILE_PATTERN_IDX 4070 TILEPROP INT_R_X29Y136 TILE_TYPE INT_R TILEPROP INT_R_X29Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y136 TILE_X 33192 TILEPROP INT_R_X29Y136 TILE_Y 199096 TILEPROP INT_R_X29Y136 TYPE INT_R TILEPROP INT_R_X29Y137 CLASS tile TILEPROP INT_R_X29Y137 COLUMN 73 TILEPROP INT_R_X29Y137 DEVICE_ID 0 TILEPROP INT_R_X29Y137 FIRST_SITE_ID 1311 TILEPROP INT_R_X29Y137 GRID_POINT_X 73 TILEPROP INT_R_X29Y137 GRID_POINT_Y 13 TILEPROP INT_R_X29Y137 INDEX 1568 TILEPROP INT_R_X29Y137 INT_TILE_X 29 TILEPROP INT_R_X29Y137 INT_TILE_Y 12 TILEPROP INT_R_X29Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y137 IS_DCM_TILE 0 TILEPROP INT_R_X29Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y137 NAME INT_R_X29Y137 TILEPROP INT_R_X29Y137 NUM_ARCS 3737 TILEPROP INT_R_X29Y137 NUM_SITES 1 TILEPROP INT_R_X29Y137 ROW 13 TILEPROP INT_R_X29Y137 SLR_REGION_ID 0 TILEPROP INT_R_X29Y137 TILE_PATTERN_IDX 4032 TILEPROP INT_R_X29Y137 TILE_TYPE INT_R TILEPROP INT_R_X29Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y137 TILE_X 33192 TILEPROP INT_R_X29Y137 TILE_Y 202296 TILEPROP INT_R_X29Y137 TYPE INT_R TILEPROP INT_R_X29Y138 CLASS tile TILEPROP INT_R_X29Y138 COLUMN 73 TILEPROP INT_R_X29Y138 DEVICE_ID 0 TILEPROP INT_R_X29Y138 FIRST_SITE_ID 1207 TILEPROP INT_R_X29Y138 GRID_POINT_X 73 TILEPROP INT_R_X29Y138 GRID_POINT_Y 12 TILEPROP INT_R_X29Y138 INDEX 1453 TILEPROP INT_R_X29Y138 INT_TILE_X 29 TILEPROP INT_R_X29Y138 INT_TILE_Y 11 TILEPROP INT_R_X29Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y138 IS_DCM_TILE 0 TILEPROP INT_R_X29Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y138 NAME INT_R_X29Y138 TILEPROP INT_R_X29Y138 NUM_ARCS 3737 TILEPROP INT_R_X29Y138 NUM_SITES 1 TILEPROP INT_R_X29Y138 ROW 12 TILEPROP INT_R_X29Y138 SLR_REGION_ID 0 TILEPROP INT_R_X29Y138 TILE_PATTERN_IDX 3993 TILEPROP INT_R_X29Y138 TILE_TYPE INT_R TILEPROP INT_R_X29Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y138 TILE_X 33192 TILEPROP INT_R_X29Y138 TILE_Y 205496 TILEPROP INT_R_X29Y138 TYPE INT_R TILEPROP INT_R_X29Y139 CLASS tile TILEPROP INT_R_X29Y139 COLUMN 73 TILEPROP INT_R_X29Y139 DEVICE_ID 0 TILEPROP INT_R_X29Y139 FIRST_SITE_ID 1111 TILEPROP INT_R_X29Y139 GRID_POINT_X 73 TILEPROP INT_R_X29Y139 GRID_POINT_Y 11 TILEPROP INT_R_X29Y139 INDEX 1338 TILEPROP INT_R_X29Y139 INT_TILE_X 29 TILEPROP INT_R_X29Y139 INT_TILE_Y 10 TILEPROP INT_R_X29Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y139 IS_DCM_TILE 0 TILEPROP INT_R_X29Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y139 NAME INT_R_X29Y139 TILEPROP INT_R_X29Y139 NUM_ARCS 3737 TILEPROP INT_R_X29Y139 NUM_SITES 1 TILEPROP INT_R_X29Y139 ROW 11 TILEPROP INT_R_X29Y139 SLR_REGION_ID 0 TILEPROP INT_R_X29Y139 TILE_PATTERN_IDX 3955 TILEPROP INT_R_X29Y139 TILE_TYPE INT_R TILEPROP INT_R_X29Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y139 TILE_X 33192 TILEPROP INT_R_X29Y139 TILE_Y 208696 TILEPROP INT_R_X29Y139 TYPE INT_R TILEPROP INT_R_X29Y140 CLASS tile TILEPROP INT_R_X29Y140 COLUMN 73 TILEPROP INT_R_X29Y140 DEVICE_ID 0 TILEPROP INT_R_X29Y140 FIRST_SITE_ID 1001 TILEPROP INT_R_X29Y140 GRID_POINT_X 73 TILEPROP INT_R_X29Y140 GRID_POINT_Y 10 TILEPROP INT_R_X29Y140 INDEX 1223 TILEPROP INT_R_X29Y140 INT_TILE_X 29 TILEPROP INT_R_X29Y140 INT_TILE_Y 9 TILEPROP INT_R_X29Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y140 IS_DCM_TILE 0 TILEPROP INT_R_X29Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y140 NAME INT_R_X29Y140 TILEPROP INT_R_X29Y140 NUM_ARCS 3737 TILEPROP INT_R_X29Y140 NUM_SITES 1 TILEPROP INT_R_X29Y140 ROW 10 TILEPROP INT_R_X29Y140 SLR_REGION_ID 0 TILEPROP INT_R_X29Y140 TILE_PATTERN_IDX 3916 TILEPROP INT_R_X29Y140 TILE_TYPE INT_R TILEPROP INT_R_X29Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y140 TILE_X 33192 TILEPROP INT_R_X29Y140 TILE_Y 211896 TILEPROP INT_R_X29Y140 TYPE INT_R TILEPROP INT_R_X29Y141 CLASS tile TILEPROP INT_R_X29Y141 COLUMN 73 TILEPROP INT_R_X29Y141 DEVICE_ID 0 TILEPROP INT_R_X29Y141 FIRST_SITE_ID 899 TILEPROP INT_R_X29Y141 GRID_POINT_X 73 TILEPROP INT_R_X29Y141 GRID_POINT_Y 9 TILEPROP INT_R_X29Y141 INDEX 1108 TILEPROP INT_R_X29Y141 INT_TILE_X 29 TILEPROP INT_R_X29Y141 INT_TILE_Y 8 TILEPROP INT_R_X29Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y141 IS_DCM_TILE 0 TILEPROP INT_R_X29Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y141 NAME INT_R_X29Y141 TILEPROP INT_R_X29Y141 NUM_ARCS 3737 TILEPROP INT_R_X29Y141 NUM_SITES 1 TILEPROP INT_R_X29Y141 ROW 9 TILEPROP INT_R_X29Y141 SLR_REGION_ID 0 TILEPROP INT_R_X29Y141 TILE_PATTERN_IDX 3878 TILEPROP INT_R_X29Y141 TILE_TYPE INT_R TILEPROP INT_R_X29Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y141 TILE_X 33192 TILEPROP INT_R_X29Y141 TILE_Y 215096 TILEPROP INT_R_X29Y141 TYPE INT_R TILEPROP INT_R_X29Y142 CLASS tile TILEPROP INT_R_X29Y142 COLUMN 73 TILEPROP INT_R_X29Y142 DEVICE_ID 0 TILEPROP INT_R_X29Y142 FIRST_SITE_ID 795 TILEPROP INT_R_X29Y142 GRID_POINT_X 73 TILEPROP INT_R_X29Y142 GRID_POINT_Y 8 TILEPROP INT_R_X29Y142 INDEX 993 TILEPROP INT_R_X29Y142 INT_TILE_X 29 TILEPROP INT_R_X29Y142 INT_TILE_Y 7 TILEPROP INT_R_X29Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y142 IS_DCM_TILE 0 TILEPROP INT_R_X29Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y142 NAME INT_R_X29Y142 TILEPROP INT_R_X29Y142 NUM_ARCS 3737 TILEPROP INT_R_X29Y142 NUM_SITES 1 TILEPROP INT_R_X29Y142 ROW 8 TILEPROP INT_R_X29Y142 SLR_REGION_ID 0 TILEPROP INT_R_X29Y142 TILE_PATTERN_IDX 3839 TILEPROP INT_R_X29Y142 TILE_TYPE INT_R TILEPROP INT_R_X29Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y142 TILE_X 33192 TILEPROP INT_R_X29Y142 TILE_Y 218296 TILEPROP INT_R_X29Y142 TYPE INT_R TILEPROP INT_R_X29Y143 CLASS tile TILEPROP INT_R_X29Y143 COLUMN 73 TILEPROP INT_R_X29Y143 DEVICE_ID 0 TILEPROP INT_R_X29Y143 FIRST_SITE_ID 698 TILEPROP INT_R_X29Y143 GRID_POINT_X 73 TILEPROP INT_R_X29Y143 GRID_POINT_Y 7 TILEPROP INT_R_X29Y143 INDEX 878 TILEPROP INT_R_X29Y143 INT_TILE_X 29 TILEPROP INT_R_X29Y143 INT_TILE_Y 6 TILEPROP INT_R_X29Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y143 IS_DCM_TILE 0 TILEPROP INT_R_X29Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y143 NAME INT_R_X29Y143 TILEPROP INT_R_X29Y143 NUM_ARCS 3737 TILEPROP INT_R_X29Y143 NUM_SITES 1 TILEPROP INT_R_X29Y143 ROW 7 TILEPROP INT_R_X29Y143 SLR_REGION_ID 0 TILEPROP INT_R_X29Y143 TILE_PATTERN_IDX 3801 TILEPROP INT_R_X29Y143 TILE_TYPE INT_R TILEPROP INT_R_X29Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y143 TILE_X 33192 TILEPROP INT_R_X29Y143 TILE_Y 221496 TILEPROP INT_R_X29Y143 TYPE INT_R TILEPROP INT_R_X29Y144 CLASS tile TILEPROP INT_R_X29Y144 COLUMN 73 TILEPROP INT_R_X29Y144 DEVICE_ID 0 TILEPROP INT_R_X29Y144 FIRST_SITE_ID 587 TILEPROP INT_R_X29Y144 GRID_POINT_X 73 TILEPROP INT_R_X29Y144 GRID_POINT_Y 6 TILEPROP INT_R_X29Y144 INDEX 763 TILEPROP INT_R_X29Y144 INT_TILE_X 29 TILEPROP INT_R_X29Y144 INT_TILE_Y 5 TILEPROP INT_R_X29Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y144 IS_DCM_TILE 0 TILEPROP INT_R_X29Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y144 NAME INT_R_X29Y144 TILEPROP INT_R_X29Y144 NUM_ARCS 3737 TILEPROP INT_R_X29Y144 NUM_SITES 1 TILEPROP INT_R_X29Y144 ROW 6 TILEPROP INT_R_X29Y144 SLR_REGION_ID 0 TILEPROP INT_R_X29Y144 TILE_PATTERN_IDX 3762 TILEPROP INT_R_X29Y144 TILE_TYPE INT_R TILEPROP INT_R_X29Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y144 TILE_X 33192 TILEPROP INT_R_X29Y144 TILE_Y 224696 TILEPROP INT_R_X29Y144 TYPE INT_R TILEPROP INT_R_X29Y145 CLASS tile TILEPROP INT_R_X29Y145 COLUMN 73 TILEPROP INT_R_X29Y145 DEVICE_ID 0 TILEPROP INT_R_X29Y145 FIRST_SITE_ID 485 TILEPROP INT_R_X29Y145 GRID_POINT_X 73 TILEPROP INT_R_X29Y145 GRID_POINT_Y 5 TILEPROP INT_R_X29Y145 INDEX 648 TILEPROP INT_R_X29Y145 INT_TILE_X 29 TILEPROP INT_R_X29Y145 INT_TILE_Y 4 TILEPROP INT_R_X29Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y145 IS_DCM_TILE 0 TILEPROP INT_R_X29Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y145 NAME INT_R_X29Y145 TILEPROP INT_R_X29Y145 NUM_ARCS 3737 TILEPROP INT_R_X29Y145 NUM_SITES 1 TILEPROP INT_R_X29Y145 ROW 5 TILEPROP INT_R_X29Y145 SLR_REGION_ID 0 TILEPROP INT_R_X29Y145 TILE_PATTERN_IDX 3724 TILEPROP INT_R_X29Y145 TILE_TYPE INT_R TILEPROP INT_R_X29Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y145 TILE_X 33192 TILEPROP INT_R_X29Y145 TILE_Y 227896 TILEPROP INT_R_X29Y145 TYPE INT_R TILEPROP INT_R_X29Y146 CLASS tile TILEPROP INT_R_X29Y146 COLUMN 73 TILEPROP INT_R_X29Y146 DEVICE_ID 0 TILEPROP INT_R_X29Y146 FIRST_SITE_ID 375 TILEPROP INT_R_X29Y146 GRID_POINT_X 73 TILEPROP INT_R_X29Y146 GRID_POINT_Y 4 TILEPROP INT_R_X29Y146 INDEX 533 TILEPROP INT_R_X29Y146 INT_TILE_X 29 TILEPROP INT_R_X29Y146 INT_TILE_Y 3 TILEPROP INT_R_X29Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y146 IS_DCM_TILE 0 TILEPROP INT_R_X29Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y146 NAME INT_R_X29Y146 TILEPROP INT_R_X29Y146 NUM_ARCS 3737 TILEPROP INT_R_X29Y146 NUM_SITES 1 TILEPROP INT_R_X29Y146 ROW 4 TILEPROP INT_R_X29Y146 SLR_REGION_ID 0 TILEPROP INT_R_X29Y146 TILE_PATTERN_IDX 3685 TILEPROP INT_R_X29Y146 TILE_TYPE INT_R TILEPROP INT_R_X29Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y146 TILE_X 33192 TILEPROP INT_R_X29Y146 TILE_Y 231096 TILEPROP INT_R_X29Y146 TYPE INT_R TILEPROP INT_R_X29Y147 CLASS tile TILEPROP INT_R_X29Y147 COLUMN 73 TILEPROP INT_R_X29Y147 DEVICE_ID 0 TILEPROP INT_R_X29Y147 FIRST_SITE_ID 279 TILEPROP INT_R_X29Y147 GRID_POINT_X 73 TILEPROP INT_R_X29Y147 GRID_POINT_Y 3 TILEPROP INT_R_X29Y147 INDEX 418 TILEPROP INT_R_X29Y147 INT_TILE_X 29 TILEPROP INT_R_X29Y147 INT_TILE_Y 2 TILEPROP INT_R_X29Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y147 IS_DCM_TILE 0 TILEPROP INT_R_X29Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y147 NAME INT_R_X29Y147 TILEPROP INT_R_X29Y147 NUM_ARCS 3737 TILEPROP INT_R_X29Y147 NUM_SITES 1 TILEPROP INT_R_X29Y147 ROW 3 TILEPROP INT_R_X29Y147 SLR_REGION_ID 0 TILEPROP INT_R_X29Y147 TILE_PATTERN_IDX 3647 TILEPROP INT_R_X29Y147 TILE_TYPE INT_R TILEPROP INT_R_X29Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y147 TILE_X 33192 TILEPROP INT_R_X29Y147 TILE_Y 234296 TILEPROP INT_R_X29Y147 TYPE INT_R TILEPROP INT_R_X29Y148 CLASS tile TILEPROP INT_R_X29Y148 COLUMN 73 TILEPROP INT_R_X29Y148 DEVICE_ID 0 TILEPROP INT_R_X29Y148 FIRST_SITE_ID 175 TILEPROP INT_R_X29Y148 GRID_POINT_X 73 TILEPROP INT_R_X29Y148 GRID_POINT_Y 2 TILEPROP INT_R_X29Y148 INDEX 303 TILEPROP INT_R_X29Y148 INT_TILE_X 29 TILEPROP INT_R_X29Y148 INT_TILE_Y 1 TILEPROP INT_R_X29Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y148 IS_DCM_TILE 0 TILEPROP INT_R_X29Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y148 NAME INT_R_X29Y148 TILEPROP INT_R_X29Y148 NUM_ARCS 3737 TILEPROP INT_R_X29Y148 NUM_SITES 1 TILEPROP INT_R_X29Y148 ROW 2 TILEPROP INT_R_X29Y148 SLR_REGION_ID 0 TILEPROP INT_R_X29Y148 TILE_PATTERN_IDX 3608 TILEPROP INT_R_X29Y148 TILE_TYPE INT_R TILEPROP INT_R_X29Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y148 TILE_X 33192 TILEPROP INT_R_X29Y148 TILE_Y 237496 TILEPROP INT_R_X29Y148 TYPE INT_R TILEPROP INT_R_X29Y149 CLASS tile TILEPROP INT_R_X29Y149 COLUMN 73 TILEPROP INT_R_X29Y149 DEVICE_ID 0 TILEPROP INT_R_X29Y149 FIRST_SITE_ID 79 TILEPROP INT_R_X29Y149 GRID_POINT_X 73 TILEPROP INT_R_X29Y149 GRID_POINT_Y 1 TILEPROP INT_R_X29Y149 INDEX 188 TILEPROP INT_R_X29Y149 INT_TILE_X 29 TILEPROP INT_R_X29Y149 INT_TILE_Y 0 TILEPROP INT_R_X29Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X29Y149 IS_DCM_TILE 0 TILEPROP INT_R_X29Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X29Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X29Y149 NAME INT_R_X29Y149 TILEPROP INT_R_X29Y149 NUM_ARCS 3737 TILEPROP INT_R_X29Y149 NUM_SITES 1 TILEPROP INT_R_X29Y149 ROW 1 TILEPROP INT_R_X29Y149 SLR_REGION_ID 0 TILEPROP INT_R_X29Y149 TILE_PATTERN_IDX 3570 TILEPROP INT_R_X29Y149 TILE_TYPE INT_R TILEPROP INT_R_X29Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X29Y149 TILE_X 33192 TILEPROP INT_R_X29Y149 TILE_Y 240696 TILEPROP INT_R_X29Y149 TYPE INT_R TILEPROP INT_R_X31Y0 CLASS tile TILEPROP INT_R_X31Y0 COLUMN 78 TILEPROP INT_R_X31Y0 DEVICE_ID 0 TILEPROP INT_R_X31Y0 FIRST_SITE_ID 15776 TILEPROP INT_R_X31Y0 GRID_POINT_X 78 TILEPROP INT_R_X31Y0 GRID_POINT_Y 155 TILEPROP INT_R_X31Y0 INDEX 17903 TILEPROP INT_R_X31Y0 INT_TILE_X 31 TILEPROP INT_R_X31Y0 INT_TILE_Y 149 TILEPROP INT_R_X31Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y0 IS_DCM_TILE 0 TILEPROP INT_R_X31Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y0 NAME INT_R_X31Y0 TILEPROP INT_R_X31Y0 NUM_ARCS 3737 TILEPROP INT_R_X31Y0 NUM_SITES 1 TILEPROP INT_R_X31Y0 ROW 155 TILEPROP INT_R_X31Y0 SLR_REGION_ID 0 TILEPROP INT_R_X31Y0 TILE_PATTERN_IDX 8758 TILEPROP INT_R_X31Y0 TILE_TYPE INT_R TILEPROP INT_R_X31Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y0 TILE_X 45240 TILEPROP INT_R_X31Y0 TILE_Y -239672 TILEPROP INT_R_X31Y0 TYPE INT_R TILEPROP INT_R_X31Y1 CLASS tile TILEPROP INT_R_X31Y1 COLUMN 78 TILEPROP INT_R_X31Y1 DEVICE_ID 0 TILEPROP INT_R_X31Y1 FIRST_SITE_ID 15663 TILEPROP INT_R_X31Y1 GRID_POINT_X 78 TILEPROP INT_R_X31Y1 GRID_POINT_Y 154 TILEPROP INT_R_X31Y1 INDEX 17788 TILEPROP INT_R_X31Y1 INT_TILE_X 31 TILEPROP INT_R_X31Y1 INT_TILE_Y 148 TILEPROP INT_R_X31Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y1 IS_DCM_TILE 0 TILEPROP INT_R_X31Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y1 NAME INT_R_X31Y1 TILEPROP INT_R_X31Y1 NUM_ARCS 3737 TILEPROP INT_R_X31Y1 NUM_SITES 1 TILEPROP INT_R_X31Y1 ROW 154 TILEPROP INT_R_X31Y1 SLR_REGION_ID 0 TILEPROP INT_R_X31Y1 TILE_PATTERN_IDX 8727 TILEPROP INT_R_X31Y1 TILE_TYPE INT_R TILEPROP INT_R_X31Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y1 TILE_X 45240 TILEPROP INT_R_X31Y1 TILE_Y -236472 TILEPROP INT_R_X31Y1 TYPE INT_R TILEPROP INT_R_X31Y2 CLASS tile TILEPROP INT_R_X31Y2 COLUMN 78 TILEPROP INT_R_X31Y2 DEVICE_ID 0 TILEPROP INT_R_X31Y2 FIRST_SITE_ID 15562 TILEPROP INT_R_X31Y2 GRID_POINT_X 78 TILEPROP INT_R_X31Y2 GRID_POINT_Y 153 TILEPROP INT_R_X31Y2 INDEX 17673 TILEPROP INT_R_X31Y2 INT_TILE_X 31 TILEPROP INT_R_X31Y2 INT_TILE_Y 147 TILEPROP INT_R_X31Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y2 IS_DCM_TILE 0 TILEPROP INT_R_X31Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y2 NAME INT_R_X31Y2 TILEPROP INT_R_X31Y2 NUM_ARCS 3737 TILEPROP INT_R_X31Y2 NUM_SITES 1 TILEPROP INT_R_X31Y2 ROW 153 TILEPROP INT_R_X31Y2 SLR_REGION_ID 0 TILEPROP INT_R_X31Y2 TILE_PATTERN_IDX 8697 TILEPROP INT_R_X31Y2 TILE_TYPE INT_R TILEPROP INT_R_X31Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y2 TILE_X 45240 TILEPROP INT_R_X31Y2 TILE_Y -233272 TILEPROP INT_R_X31Y2 TYPE INT_R TILEPROP INT_R_X31Y3 CLASS tile TILEPROP INT_R_X31Y3 COLUMN 78 TILEPROP INT_R_X31Y3 DEVICE_ID 0 TILEPROP INT_R_X31Y3 FIRST_SITE_ID 15462 TILEPROP INT_R_X31Y3 GRID_POINT_X 78 TILEPROP INT_R_X31Y3 GRID_POINT_Y 152 TILEPROP INT_R_X31Y3 INDEX 17558 TILEPROP INT_R_X31Y3 INT_TILE_X 31 TILEPROP INT_R_X31Y3 INT_TILE_Y 146 TILEPROP INT_R_X31Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y3 IS_DCM_TILE 0 TILEPROP INT_R_X31Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y3 NAME INT_R_X31Y3 TILEPROP INT_R_X31Y3 NUM_ARCS 3737 TILEPROP INT_R_X31Y3 NUM_SITES 1 TILEPROP INT_R_X31Y3 ROW 152 TILEPROP INT_R_X31Y3 SLR_REGION_ID 0 TILEPROP INT_R_X31Y3 TILE_PATTERN_IDX 8666 TILEPROP INT_R_X31Y3 TILE_TYPE INT_R TILEPROP INT_R_X31Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y3 TILE_X 45240 TILEPROP INT_R_X31Y3 TILE_Y -230072 TILEPROP INT_R_X31Y3 TYPE INT_R TILEPROP INT_R_X31Y4 CLASS tile TILEPROP INT_R_X31Y4 COLUMN 78 TILEPROP INT_R_X31Y4 DEVICE_ID 0 TILEPROP INT_R_X31Y4 FIRST_SITE_ID 15362 TILEPROP INT_R_X31Y4 GRID_POINT_X 78 TILEPROP INT_R_X31Y4 GRID_POINT_Y 151 TILEPROP INT_R_X31Y4 INDEX 17443 TILEPROP INT_R_X31Y4 INT_TILE_X 31 TILEPROP INT_R_X31Y4 INT_TILE_Y 145 TILEPROP INT_R_X31Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y4 IS_DCM_TILE 0 TILEPROP INT_R_X31Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y4 NAME INT_R_X31Y4 TILEPROP INT_R_X31Y4 NUM_ARCS 3737 TILEPROP INT_R_X31Y4 NUM_SITES 1 TILEPROP INT_R_X31Y4 ROW 151 TILEPROP INT_R_X31Y4 SLR_REGION_ID 0 TILEPROP INT_R_X31Y4 TILE_PATTERN_IDX 8636 TILEPROP INT_R_X31Y4 TILE_TYPE INT_R TILEPROP INT_R_X31Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y4 TILE_X 45240 TILEPROP INT_R_X31Y4 TILE_Y -226872 TILEPROP INT_R_X31Y4 TYPE INT_R TILEPROP INT_R_X31Y5 CLASS tile TILEPROP INT_R_X31Y5 COLUMN 78 TILEPROP INT_R_X31Y5 DEVICE_ID 0 TILEPROP INT_R_X31Y5 FIRST_SITE_ID 15256 TILEPROP INT_R_X31Y5 GRID_POINT_X 78 TILEPROP INT_R_X31Y5 GRID_POINT_Y 150 TILEPROP INT_R_X31Y5 INDEX 17328 TILEPROP INT_R_X31Y5 INT_TILE_X 31 TILEPROP INT_R_X31Y5 INT_TILE_Y 144 TILEPROP INT_R_X31Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y5 IS_DCM_TILE 0 TILEPROP INT_R_X31Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y5 NAME INT_R_X31Y5 TILEPROP INT_R_X31Y5 NUM_ARCS 3737 TILEPROP INT_R_X31Y5 NUM_SITES 1 TILEPROP INT_R_X31Y5 ROW 150 TILEPROP INT_R_X31Y5 SLR_REGION_ID 0 TILEPROP INT_R_X31Y5 TILE_PATTERN_IDX 8605 TILEPROP INT_R_X31Y5 TILE_TYPE INT_R TILEPROP INT_R_X31Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y5 TILE_X 45240 TILEPROP INT_R_X31Y5 TILE_Y -223672 TILEPROP INT_R_X31Y5 TYPE INT_R TILEPROP INT_R_X31Y6 CLASS tile TILEPROP INT_R_X31Y6 COLUMN 78 TILEPROP INT_R_X31Y6 DEVICE_ID 0 TILEPROP INT_R_X31Y6 FIRST_SITE_ID 15147 TILEPROP INT_R_X31Y6 GRID_POINT_X 78 TILEPROP INT_R_X31Y6 GRID_POINT_Y 149 TILEPROP INT_R_X31Y6 INDEX 17213 TILEPROP INT_R_X31Y6 INT_TILE_X 31 TILEPROP INT_R_X31Y6 INT_TILE_Y 143 TILEPROP INT_R_X31Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y6 IS_DCM_TILE 0 TILEPROP INT_R_X31Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y6 NAME INT_R_X31Y6 TILEPROP INT_R_X31Y6 NUM_ARCS 3737 TILEPROP INT_R_X31Y6 NUM_SITES 1 TILEPROP INT_R_X31Y6 ROW 149 TILEPROP INT_R_X31Y6 SLR_REGION_ID 0 TILEPROP INT_R_X31Y6 TILE_PATTERN_IDX 8575 TILEPROP INT_R_X31Y6 TILE_TYPE INT_R TILEPROP INT_R_X31Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y6 TILE_X 45240 TILEPROP INT_R_X31Y6 TILE_Y -220472 TILEPROP INT_R_X31Y6 TYPE INT_R TILEPROP INT_R_X31Y7 CLASS tile TILEPROP INT_R_X31Y7 COLUMN 78 TILEPROP INT_R_X31Y7 DEVICE_ID 0 TILEPROP INT_R_X31Y7 FIRST_SITE_ID 15045 TILEPROP INT_R_X31Y7 GRID_POINT_X 78 TILEPROP INT_R_X31Y7 GRID_POINT_Y 148 TILEPROP INT_R_X31Y7 INDEX 17098 TILEPROP INT_R_X31Y7 INT_TILE_X 31 TILEPROP INT_R_X31Y7 INT_TILE_Y 142 TILEPROP INT_R_X31Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y7 IS_DCM_TILE 0 TILEPROP INT_R_X31Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y7 NAME INT_R_X31Y7 TILEPROP INT_R_X31Y7 NUM_ARCS 3737 TILEPROP INT_R_X31Y7 NUM_SITES 1 TILEPROP INT_R_X31Y7 ROW 148 TILEPROP INT_R_X31Y7 SLR_REGION_ID 0 TILEPROP INT_R_X31Y7 TILE_PATTERN_IDX 8544 TILEPROP INT_R_X31Y7 TILE_TYPE INT_R TILEPROP INT_R_X31Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y7 TILE_X 45240 TILEPROP INT_R_X31Y7 TILE_Y -217272 TILEPROP INT_R_X31Y7 TYPE INT_R TILEPROP INT_R_X31Y8 CLASS tile TILEPROP INT_R_X31Y8 COLUMN 78 TILEPROP INT_R_X31Y8 DEVICE_ID 0 TILEPROP INT_R_X31Y8 FIRST_SITE_ID 14942 TILEPROP INT_R_X31Y8 GRID_POINT_X 78 TILEPROP INT_R_X31Y8 GRID_POINT_Y 147 TILEPROP INT_R_X31Y8 INDEX 16983 TILEPROP INT_R_X31Y8 INT_TILE_X 31 TILEPROP INT_R_X31Y8 INT_TILE_Y 141 TILEPROP INT_R_X31Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y8 IS_DCM_TILE 0 TILEPROP INT_R_X31Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y8 NAME INT_R_X31Y8 TILEPROP INT_R_X31Y8 NUM_ARCS 3737 TILEPROP INT_R_X31Y8 NUM_SITES 1 TILEPROP INT_R_X31Y8 ROW 147 TILEPROP INT_R_X31Y8 SLR_REGION_ID 0 TILEPROP INT_R_X31Y8 TILE_PATTERN_IDX 8514 TILEPROP INT_R_X31Y8 TILE_TYPE INT_R TILEPROP INT_R_X31Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y8 TILE_X 45240 TILEPROP INT_R_X31Y8 TILE_Y -214072 TILEPROP INT_R_X31Y8 TYPE INT_R TILEPROP INT_R_X31Y9 CLASS tile TILEPROP INT_R_X31Y9 COLUMN 78 TILEPROP INT_R_X31Y9 DEVICE_ID 0 TILEPROP INT_R_X31Y9 FIRST_SITE_ID 14841 TILEPROP INT_R_X31Y9 GRID_POINT_X 78 TILEPROP INT_R_X31Y9 GRID_POINT_Y 146 TILEPROP INT_R_X31Y9 INDEX 16868 TILEPROP INT_R_X31Y9 INT_TILE_X 31 TILEPROP INT_R_X31Y9 INT_TILE_Y 140 TILEPROP INT_R_X31Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y9 IS_DCM_TILE 0 TILEPROP INT_R_X31Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y9 NAME INT_R_X31Y9 TILEPROP INT_R_X31Y9 NUM_ARCS 3737 TILEPROP INT_R_X31Y9 NUM_SITES 1 TILEPROP INT_R_X31Y9 ROW 146 TILEPROP INT_R_X31Y9 SLR_REGION_ID 0 TILEPROP INT_R_X31Y9 TILE_PATTERN_IDX 8483 TILEPROP INT_R_X31Y9 TILE_TYPE INT_R TILEPROP INT_R_X31Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y9 TILE_X 45240 TILEPROP INT_R_X31Y9 TILE_Y -210872 TILEPROP INT_R_X31Y9 TYPE INT_R TILEPROP INT_R_X31Y10 CLASS tile TILEPROP INT_R_X31Y10 COLUMN 78 TILEPROP INT_R_X31Y10 DEVICE_ID 0 TILEPROP INT_R_X31Y10 FIRST_SITE_ID 14735 TILEPROP INT_R_X31Y10 GRID_POINT_X 78 TILEPROP INT_R_X31Y10 GRID_POINT_Y 145 TILEPROP INT_R_X31Y10 INDEX 16753 TILEPROP INT_R_X31Y10 INT_TILE_X 31 TILEPROP INT_R_X31Y10 INT_TILE_Y 139 TILEPROP INT_R_X31Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y10 IS_DCM_TILE 0 TILEPROP INT_R_X31Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y10 NAME INT_R_X31Y10 TILEPROP INT_R_X31Y10 NUM_ARCS 3737 TILEPROP INT_R_X31Y10 NUM_SITES 1 TILEPROP INT_R_X31Y10 ROW 145 TILEPROP INT_R_X31Y10 SLR_REGION_ID 0 TILEPROP INT_R_X31Y10 TILE_PATTERN_IDX 8453 TILEPROP INT_R_X31Y10 TILE_TYPE INT_R TILEPROP INT_R_X31Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y10 TILE_X 45240 TILEPROP INT_R_X31Y10 TILE_Y -207672 TILEPROP INT_R_X31Y10 TYPE INT_R TILEPROP INT_R_X31Y11 CLASS tile TILEPROP INT_R_X31Y11 COLUMN 78 TILEPROP INT_R_X31Y11 DEVICE_ID 0 TILEPROP INT_R_X31Y11 FIRST_SITE_ID 14626 TILEPROP INT_R_X31Y11 GRID_POINT_X 78 TILEPROP INT_R_X31Y11 GRID_POINT_Y 144 TILEPROP INT_R_X31Y11 INDEX 16638 TILEPROP INT_R_X31Y11 INT_TILE_X 31 TILEPROP INT_R_X31Y11 INT_TILE_Y 138 TILEPROP INT_R_X31Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y11 IS_DCM_TILE 0 TILEPROP INT_R_X31Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y11 NAME INT_R_X31Y11 TILEPROP INT_R_X31Y11 NUM_ARCS 3737 TILEPROP INT_R_X31Y11 NUM_SITES 1 TILEPROP INT_R_X31Y11 ROW 144 TILEPROP INT_R_X31Y11 SLR_REGION_ID 0 TILEPROP INT_R_X31Y11 TILE_PATTERN_IDX 8422 TILEPROP INT_R_X31Y11 TILE_TYPE INT_R TILEPROP INT_R_X31Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y11 TILE_X 45240 TILEPROP INT_R_X31Y11 TILE_Y -204472 TILEPROP INT_R_X31Y11 TYPE INT_R TILEPROP INT_R_X31Y12 CLASS tile TILEPROP INT_R_X31Y12 COLUMN 78 TILEPROP INT_R_X31Y12 DEVICE_ID 0 TILEPROP INT_R_X31Y12 FIRST_SITE_ID 14526 TILEPROP INT_R_X31Y12 GRID_POINT_X 78 TILEPROP INT_R_X31Y12 GRID_POINT_Y 143 TILEPROP INT_R_X31Y12 INDEX 16523 TILEPROP INT_R_X31Y12 INT_TILE_X 31 TILEPROP INT_R_X31Y12 INT_TILE_Y 137 TILEPROP INT_R_X31Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y12 IS_DCM_TILE 0 TILEPROP INT_R_X31Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y12 NAME INT_R_X31Y12 TILEPROP INT_R_X31Y12 NUM_ARCS 3737 TILEPROP INT_R_X31Y12 NUM_SITES 1 TILEPROP INT_R_X31Y12 ROW 143 TILEPROP INT_R_X31Y12 SLR_REGION_ID 0 TILEPROP INT_R_X31Y12 TILE_PATTERN_IDX 8392 TILEPROP INT_R_X31Y12 TILE_TYPE INT_R TILEPROP INT_R_X31Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y12 TILE_X 45240 TILEPROP INT_R_X31Y12 TILE_Y -201272 TILEPROP INT_R_X31Y12 TYPE INT_R TILEPROP INT_R_X31Y13 CLASS tile TILEPROP INT_R_X31Y13 COLUMN 78 TILEPROP INT_R_X31Y13 DEVICE_ID 0 TILEPROP INT_R_X31Y13 FIRST_SITE_ID 14394 TILEPROP INT_R_X31Y13 GRID_POINT_X 78 TILEPROP INT_R_X31Y13 GRID_POINT_Y 142 TILEPROP INT_R_X31Y13 INDEX 16408 TILEPROP INT_R_X31Y13 INT_TILE_X 31 TILEPROP INT_R_X31Y13 INT_TILE_Y 136 TILEPROP INT_R_X31Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y13 IS_DCM_TILE 0 TILEPROP INT_R_X31Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y13 NAME INT_R_X31Y13 TILEPROP INT_R_X31Y13 NUM_ARCS 3737 TILEPROP INT_R_X31Y13 NUM_SITES 1 TILEPROP INT_R_X31Y13 ROW 142 TILEPROP INT_R_X31Y13 SLR_REGION_ID 0 TILEPROP INT_R_X31Y13 TILE_PATTERN_IDX 8361 TILEPROP INT_R_X31Y13 TILE_TYPE INT_R TILEPROP INT_R_X31Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y13 TILE_X 45240 TILEPROP INT_R_X31Y13 TILE_Y -198072 TILEPROP INT_R_X31Y13 TYPE INT_R TILEPROP INT_R_X31Y14 CLASS tile TILEPROP INT_R_X31Y14 COLUMN 78 TILEPROP INT_R_X31Y14 DEVICE_ID 0 TILEPROP INT_R_X31Y14 FIRST_SITE_ID 14294 TILEPROP INT_R_X31Y14 GRID_POINT_X 78 TILEPROP INT_R_X31Y14 GRID_POINT_Y 141 TILEPROP INT_R_X31Y14 INDEX 16293 TILEPROP INT_R_X31Y14 INT_TILE_X 31 TILEPROP INT_R_X31Y14 INT_TILE_Y 135 TILEPROP INT_R_X31Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y14 IS_DCM_TILE 0 TILEPROP INT_R_X31Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y14 NAME INT_R_X31Y14 TILEPROP INT_R_X31Y14 NUM_ARCS 3737 TILEPROP INT_R_X31Y14 NUM_SITES 1 TILEPROP INT_R_X31Y14 ROW 141 TILEPROP INT_R_X31Y14 SLR_REGION_ID 0 TILEPROP INT_R_X31Y14 TILE_PATTERN_IDX 8331 TILEPROP INT_R_X31Y14 TILE_TYPE INT_R TILEPROP INT_R_X31Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y14 TILE_X 45240 TILEPROP INT_R_X31Y14 TILE_Y -194872 TILEPROP INT_R_X31Y14 TYPE INT_R TILEPROP INT_R_X31Y15 CLASS tile TILEPROP INT_R_X31Y15 COLUMN 78 TILEPROP INT_R_X31Y15 DEVICE_ID 0 TILEPROP INT_R_X31Y15 FIRST_SITE_ID 14188 TILEPROP INT_R_X31Y15 GRID_POINT_X 78 TILEPROP INT_R_X31Y15 GRID_POINT_Y 140 TILEPROP INT_R_X31Y15 INDEX 16178 TILEPROP INT_R_X31Y15 INT_TILE_X 31 TILEPROP INT_R_X31Y15 INT_TILE_Y 134 TILEPROP INT_R_X31Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y15 IS_DCM_TILE 0 TILEPROP INT_R_X31Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y15 NAME INT_R_X31Y15 TILEPROP INT_R_X31Y15 NUM_ARCS 3737 TILEPROP INT_R_X31Y15 NUM_SITES 1 TILEPROP INT_R_X31Y15 ROW 140 TILEPROP INT_R_X31Y15 SLR_REGION_ID 0 TILEPROP INT_R_X31Y15 TILE_PATTERN_IDX 8300 TILEPROP INT_R_X31Y15 TILE_TYPE INT_R TILEPROP INT_R_X31Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y15 TILE_X 45240 TILEPROP INT_R_X31Y15 TILE_Y -191672 TILEPROP INT_R_X31Y15 TYPE INT_R TILEPROP INT_R_X31Y16 CLASS tile TILEPROP INT_R_X31Y16 COLUMN 78 TILEPROP INT_R_X31Y16 DEVICE_ID 0 TILEPROP INT_R_X31Y16 FIRST_SITE_ID 14079 TILEPROP INT_R_X31Y16 GRID_POINT_X 78 TILEPROP INT_R_X31Y16 GRID_POINT_Y 139 TILEPROP INT_R_X31Y16 INDEX 16063 TILEPROP INT_R_X31Y16 INT_TILE_X 31 TILEPROP INT_R_X31Y16 INT_TILE_Y 133 TILEPROP INT_R_X31Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y16 IS_DCM_TILE 0 TILEPROP INT_R_X31Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y16 NAME INT_R_X31Y16 TILEPROP INT_R_X31Y16 NUM_ARCS 3737 TILEPROP INT_R_X31Y16 NUM_SITES 1 TILEPROP INT_R_X31Y16 ROW 139 TILEPROP INT_R_X31Y16 SLR_REGION_ID 0 TILEPROP INT_R_X31Y16 TILE_PATTERN_IDX 8270 TILEPROP INT_R_X31Y16 TILE_TYPE INT_R TILEPROP INT_R_X31Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y16 TILE_X 45240 TILEPROP INT_R_X31Y16 TILE_Y -188472 TILEPROP INT_R_X31Y16 TYPE INT_R TILEPROP INT_R_X31Y17 CLASS tile TILEPROP INT_R_X31Y17 COLUMN 78 TILEPROP INT_R_X31Y17 DEVICE_ID 0 TILEPROP INT_R_X31Y17 FIRST_SITE_ID 13975 TILEPROP INT_R_X31Y17 GRID_POINT_X 78 TILEPROP INT_R_X31Y17 GRID_POINT_Y 138 TILEPROP INT_R_X31Y17 INDEX 15948 TILEPROP INT_R_X31Y17 INT_TILE_X 31 TILEPROP INT_R_X31Y17 INT_TILE_Y 132 TILEPROP INT_R_X31Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y17 IS_DCM_TILE 0 TILEPROP INT_R_X31Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y17 NAME INT_R_X31Y17 TILEPROP INT_R_X31Y17 NUM_ARCS 3737 TILEPROP INT_R_X31Y17 NUM_SITES 1 TILEPROP INT_R_X31Y17 ROW 138 TILEPROP INT_R_X31Y17 SLR_REGION_ID 0 TILEPROP INT_R_X31Y17 TILE_PATTERN_IDX 8238 TILEPROP INT_R_X31Y17 TILE_TYPE INT_R TILEPROP INT_R_X31Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y17 TILE_X 45240 TILEPROP INT_R_X31Y17 TILE_Y -185272 TILEPROP INT_R_X31Y17 TYPE INT_R TILEPROP INT_R_X31Y18 CLASS tile TILEPROP INT_R_X31Y18 COLUMN 78 TILEPROP INT_R_X31Y18 DEVICE_ID 0 TILEPROP INT_R_X31Y18 FIRST_SITE_ID 13870 TILEPROP INT_R_X31Y18 GRID_POINT_X 78 TILEPROP INT_R_X31Y18 GRID_POINT_Y 137 TILEPROP INT_R_X31Y18 INDEX 15833 TILEPROP INT_R_X31Y18 INT_TILE_X 31 TILEPROP INT_R_X31Y18 INT_TILE_Y 131 TILEPROP INT_R_X31Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y18 IS_DCM_TILE 0 TILEPROP INT_R_X31Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y18 NAME INT_R_X31Y18 TILEPROP INT_R_X31Y18 NUM_ARCS 3737 TILEPROP INT_R_X31Y18 NUM_SITES 1 TILEPROP INT_R_X31Y18 ROW 137 TILEPROP INT_R_X31Y18 SLR_REGION_ID 0 TILEPROP INT_R_X31Y18 TILE_PATTERN_IDX 6564 TILEPROP INT_R_X31Y18 TILE_TYPE INT_R TILEPROP INT_R_X31Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y18 TILE_X 45240 TILEPROP INT_R_X31Y18 TILE_Y -182072 TILEPROP INT_R_X31Y18 TYPE INT_R TILEPROP INT_R_X31Y19 CLASS tile TILEPROP INT_R_X31Y19 COLUMN 78 TILEPROP INT_R_X31Y19 DEVICE_ID 0 TILEPROP INT_R_X31Y19 FIRST_SITE_ID 13768 TILEPROP INT_R_X31Y19 GRID_POINT_X 78 TILEPROP INT_R_X31Y19 GRID_POINT_Y 136 TILEPROP INT_R_X31Y19 INDEX 15718 TILEPROP INT_R_X31Y19 INT_TILE_X 31 TILEPROP INT_R_X31Y19 INT_TILE_Y 130 TILEPROP INT_R_X31Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y19 IS_DCM_TILE 0 TILEPROP INT_R_X31Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y19 NAME INT_R_X31Y19 TILEPROP INT_R_X31Y19 NUM_ARCS 3737 TILEPROP INT_R_X31Y19 NUM_SITES 1 TILEPROP INT_R_X31Y19 ROW 136 TILEPROP INT_R_X31Y19 SLR_REGION_ID 0 TILEPROP INT_R_X31Y19 TILE_PATTERN_IDX 6533 TILEPROP INT_R_X31Y19 TILE_TYPE INT_R TILEPROP INT_R_X31Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y19 TILE_X 45240 TILEPROP INT_R_X31Y19 TILE_Y -178872 TILEPROP INT_R_X31Y19 TYPE INT_R TILEPROP INT_R_X31Y20 CLASS tile TILEPROP INT_R_X31Y20 COLUMN 78 TILEPROP INT_R_X31Y20 DEVICE_ID 0 TILEPROP INT_R_X31Y20 FIRST_SITE_ID 13660 TILEPROP INT_R_X31Y20 GRID_POINT_X 78 TILEPROP INT_R_X31Y20 GRID_POINT_Y 135 TILEPROP INT_R_X31Y20 INDEX 15603 TILEPROP INT_R_X31Y20 INT_TILE_X 31 TILEPROP INT_R_X31Y20 INT_TILE_Y 129 TILEPROP INT_R_X31Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y20 IS_DCM_TILE 0 TILEPROP INT_R_X31Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y20 NAME INT_R_X31Y20 TILEPROP INT_R_X31Y20 NUM_ARCS 3737 TILEPROP INT_R_X31Y20 NUM_SITES 1 TILEPROP INT_R_X31Y20 ROW 135 TILEPROP INT_R_X31Y20 SLR_REGION_ID 0 TILEPROP INT_R_X31Y20 TILE_PATTERN_IDX 6502 TILEPROP INT_R_X31Y20 TILE_TYPE INT_R TILEPROP INT_R_X31Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y20 TILE_X 45240 TILEPROP INT_R_X31Y20 TILE_Y -175672 TILEPROP INT_R_X31Y20 TYPE INT_R TILEPROP INT_R_X31Y21 CLASS tile TILEPROP INT_R_X31Y21 COLUMN 78 TILEPROP INT_R_X31Y21 DEVICE_ID 0 TILEPROP INT_R_X31Y21 FIRST_SITE_ID 13551 TILEPROP INT_R_X31Y21 GRID_POINT_X 78 TILEPROP INT_R_X31Y21 GRID_POINT_Y 134 TILEPROP INT_R_X31Y21 INDEX 15488 TILEPROP INT_R_X31Y21 INT_TILE_X 31 TILEPROP INT_R_X31Y21 INT_TILE_Y 128 TILEPROP INT_R_X31Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y21 IS_DCM_TILE 0 TILEPROP INT_R_X31Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y21 NAME INT_R_X31Y21 TILEPROP INT_R_X31Y21 NUM_ARCS 3737 TILEPROP INT_R_X31Y21 NUM_SITES 1 TILEPROP INT_R_X31Y21 ROW 134 TILEPROP INT_R_X31Y21 SLR_REGION_ID 0 TILEPROP INT_R_X31Y21 TILE_PATTERN_IDX 8141 TILEPROP INT_R_X31Y21 TILE_TYPE INT_R TILEPROP INT_R_X31Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y21 TILE_X 45240 TILEPROP INT_R_X31Y21 TILE_Y -172472 TILEPROP INT_R_X31Y21 TYPE INT_R TILEPROP INT_R_X31Y22 CLASS tile TILEPROP INT_R_X31Y22 COLUMN 78 TILEPROP INT_R_X31Y22 DEVICE_ID 0 TILEPROP INT_R_X31Y22 FIRST_SITE_ID 13451 TILEPROP INT_R_X31Y22 GRID_POINT_X 78 TILEPROP INT_R_X31Y22 GRID_POINT_Y 133 TILEPROP INT_R_X31Y22 INDEX 15373 TILEPROP INT_R_X31Y22 INT_TILE_X 31 TILEPROP INT_R_X31Y22 INT_TILE_Y 127 TILEPROP INT_R_X31Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y22 IS_DCM_TILE 0 TILEPROP INT_R_X31Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y22 NAME INT_R_X31Y22 TILEPROP INT_R_X31Y22 NUM_ARCS 3737 TILEPROP INT_R_X31Y22 NUM_SITES 1 TILEPROP INT_R_X31Y22 ROW 133 TILEPROP INT_R_X31Y22 SLR_REGION_ID 0 TILEPROP INT_R_X31Y22 TILE_PATTERN_IDX 8113 TILEPROP INT_R_X31Y22 TILE_TYPE INT_R TILEPROP INT_R_X31Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y22 TILE_X 45240 TILEPROP INT_R_X31Y22 TILE_Y -169272 TILEPROP INT_R_X31Y22 TYPE INT_R TILEPROP INT_R_X31Y23 CLASS tile TILEPROP INT_R_X31Y23 COLUMN 78 TILEPROP INT_R_X31Y23 DEVICE_ID 0 TILEPROP INT_R_X31Y23 FIRST_SITE_ID 13351 TILEPROP INT_R_X31Y23 GRID_POINT_X 78 TILEPROP INT_R_X31Y23 GRID_POINT_Y 132 TILEPROP INT_R_X31Y23 INDEX 15258 TILEPROP INT_R_X31Y23 INT_TILE_X 31 TILEPROP INT_R_X31Y23 INT_TILE_Y 126 TILEPROP INT_R_X31Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y23 IS_DCM_TILE 0 TILEPROP INT_R_X31Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y23 NAME INT_R_X31Y23 TILEPROP INT_R_X31Y23 NUM_ARCS 3737 TILEPROP INT_R_X31Y23 NUM_SITES 1 TILEPROP INT_R_X31Y23 ROW 132 TILEPROP INT_R_X31Y23 SLR_REGION_ID 0 TILEPROP INT_R_X31Y23 TILE_PATTERN_IDX 8084 TILEPROP INT_R_X31Y23 TILE_TYPE INT_R TILEPROP INT_R_X31Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y23 TILE_X 45240 TILEPROP INT_R_X31Y23 TILE_Y -166072 TILEPROP INT_R_X31Y23 TYPE INT_R TILEPROP INT_R_X31Y24 CLASS tile TILEPROP INT_R_X31Y24 COLUMN 78 TILEPROP INT_R_X31Y24 DEVICE_ID 0 TILEPROP INT_R_X31Y24 FIRST_SITE_ID 13251 TILEPROP INT_R_X31Y24 GRID_POINT_X 78 TILEPROP INT_R_X31Y24 GRID_POINT_Y 131 TILEPROP INT_R_X31Y24 INDEX 15143 TILEPROP INT_R_X31Y24 INT_TILE_X 31 TILEPROP INT_R_X31Y24 INT_TILE_Y 125 TILEPROP INT_R_X31Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y24 IS_DCM_TILE 0 TILEPROP INT_R_X31Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y24 NAME INT_R_X31Y24 TILEPROP INT_R_X31Y24 NUM_ARCS 3737 TILEPROP INT_R_X31Y24 NUM_SITES 1 TILEPROP INT_R_X31Y24 ROW 131 TILEPROP INT_R_X31Y24 SLR_REGION_ID 0 TILEPROP INT_R_X31Y24 TILE_PATTERN_IDX 8056 TILEPROP INT_R_X31Y24 TILE_TYPE INT_R TILEPROP INT_R_X31Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y24 TILE_X 45240 TILEPROP INT_R_X31Y24 TILE_Y -162872 TILEPROP INT_R_X31Y24 TYPE INT_R TILEPROP INT_R_X31Y25 CLASS tile TILEPROP INT_R_X31Y25 COLUMN 78 TILEPROP INT_R_X31Y25 DEVICE_ID 0 TILEPROP INT_R_X31Y25 FIRST_SITE_ID 13062 TILEPROP INT_R_X31Y25 GRID_POINT_X 78 TILEPROP INT_R_X31Y25 GRID_POINT_Y 129 TILEPROP INT_R_X31Y25 INDEX 14913 TILEPROP INT_R_X31Y25 INT_TILE_X 31 TILEPROP INT_R_X31Y25 INT_TILE_Y 124 TILEPROP INT_R_X31Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y25 IS_DCM_TILE 0 TILEPROP INT_R_X31Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y25 NAME INT_R_X31Y25 TILEPROP INT_R_X31Y25 NUM_ARCS 3737 TILEPROP INT_R_X31Y25 NUM_SITES 1 TILEPROP INT_R_X31Y25 ROW 129 TILEPROP INT_R_X31Y25 SLR_REGION_ID 0 TILEPROP INT_R_X31Y25 TILE_PATTERN_IDX 7984 TILEPROP INT_R_X31Y25 TILE_TYPE INT_R TILEPROP INT_R_X31Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y25 TILE_X 45240 TILEPROP INT_R_X31Y25 TILE_Y -158648 TILEPROP INT_R_X31Y25 TYPE INT_R TILEPROP INT_R_X31Y26 CLASS tile TILEPROP INT_R_X31Y26 COLUMN 78 TILEPROP INT_R_X31Y26 DEVICE_ID 0 TILEPROP INT_R_X31Y26 FIRST_SITE_ID 12953 TILEPROP INT_R_X31Y26 GRID_POINT_X 78 TILEPROP INT_R_X31Y26 GRID_POINT_Y 128 TILEPROP INT_R_X31Y26 INDEX 14798 TILEPROP INT_R_X31Y26 INT_TILE_X 31 TILEPROP INT_R_X31Y26 INT_TILE_Y 123 TILEPROP INT_R_X31Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y26 IS_DCM_TILE 0 TILEPROP INT_R_X31Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y26 NAME INT_R_X31Y26 TILEPROP INT_R_X31Y26 NUM_ARCS 3737 TILEPROP INT_R_X31Y26 NUM_SITES 1 TILEPROP INT_R_X31Y26 ROW 128 TILEPROP INT_R_X31Y26 SLR_REGION_ID 0 TILEPROP INT_R_X31Y26 TILE_PATTERN_IDX 7956 TILEPROP INT_R_X31Y26 TILE_TYPE INT_R TILEPROP INT_R_X31Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y26 TILE_X 45240 TILEPROP INT_R_X31Y26 TILE_Y -155448 TILEPROP INT_R_X31Y26 TYPE INT_R TILEPROP INT_R_X31Y27 CLASS tile TILEPROP INT_R_X31Y27 COLUMN 78 TILEPROP INT_R_X31Y27 DEVICE_ID 0 TILEPROP INT_R_X31Y27 FIRST_SITE_ID 12853 TILEPROP INT_R_X31Y27 GRID_POINT_X 78 TILEPROP INT_R_X31Y27 GRID_POINT_Y 127 TILEPROP INT_R_X31Y27 INDEX 14683 TILEPROP INT_R_X31Y27 INT_TILE_X 31 TILEPROP INT_R_X31Y27 INT_TILE_Y 122 TILEPROP INT_R_X31Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y27 IS_DCM_TILE 0 TILEPROP INT_R_X31Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y27 NAME INT_R_X31Y27 TILEPROP INT_R_X31Y27 NUM_ARCS 3737 TILEPROP INT_R_X31Y27 NUM_SITES 1 TILEPROP INT_R_X31Y27 ROW 127 TILEPROP INT_R_X31Y27 SLR_REGION_ID 0 TILEPROP INT_R_X31Y27 TILE_PATTERN_IDX 7927 TILEPROP INT_R_X31Y27 TILE_TYPE INT_R TILEPROP INT_R_X31Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y27 TILE_X 45240 TILEPROP INT_R_X31Y27 TILE_Y -152248 TILEPROP INT_R_X31Y27 TYPE INT_R TILEPROP INT_R_X31Y28 CLASS tile TILEPROP INT_R_X31Y28 COLUMN 78 TILEPROP INT_R_X31Y28 DEVICE_ID 0 TILEPROP INT_R_X31Y28 FIRST_SITE_ID 12753 TILEPROP INT_R_X31Y28 GRID_POINT_X 78 TILEPROP INT_R_X31Y28 GRID_POINT_Y 126 TILEPROP INT_R_X31Y28 INDEX 14568 TILEPROP INT_R_X31Y28 INT_TILE_X 31 TILEPROP INT_R_X31Y28 INT_TILE_Y 121 TILEPROP INT_R_X31Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y28 IS_DCM_TILE 0 TILEPROP INT_R_X31Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y28 NAME INT_R_X31Y28 TILEPROP INT_R_X31Y28 NUM_ARCS 3737 TILEPROP INT_R_X31Y28 NUM_SITES 1 TILEPROP INT_R_X31Y28 ROW 126 TILEPROP INT_R_X31Y28 SLR_REGION_ID 0 TILEPROP INT_R_X31Y28 TILE_PATTERN_IDX 7899 TILEPROP INT_R_X31Y28 TILE_TYPE INT_R TILEPROP INT_R_X31Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y28 TILE_X 45240 TILEPROP INT_R_X31Y28 TILE_Y -149048 TILEPROP INT_R_X31Y28 TYPE INT_R TILEPROP INT_R_X31Y29 CLASS tile TILEPROP INT_R_X31Y29 COLUMN 78 TILEPROP INT_R_X31Y29 DEVICE_ID 0 TILEPROP INT_R_X31Y29 FIRST_SITE_ID 12647 TILEPROP INT_R_X31Y29 GRID_POINT_X 78 TILEPROP INT_R_X31Y29 GRID_POINT_Y 125 TILEPROP INT_R_X31Y29 INDEX 14453 TILEPROP INT_R_X31Y29 INT_TILE_X 31 TILEPROP INT_R_X31Y29 INT_TILE_Y 120 TILEPROP INT_R_X31Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y29 IS_DCM_TILE 0 TILEPROP INT_R_X31Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y29 NAME INT_R_X31Y29 TILEPROP INT_R_X31Y29 NUM_ARCS 3737 TILEPROP INT_R_X31Y29 NUM_SITES 1 TILEPROP INT_R_X31Y29 ROW 125 TILEPROP INT_R_X31Y29 SLR_REGION_ID 0 TILEPROP INT_R_X31Y29 TILE_PATTERN_IDX 6168 TILEPROP INT_R_X31Y29 TILE_TYPE INT_R TILEPROP INT_R_X31Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y29 TILE_X 45240 TILEPROP INT_R_X31Y29 TILE_Y -145848 TILEPROP INT_R_X31Y29 TYPE INT_R TILEPROP INT_R_X31Y30 CLASS tile TILEPROP INT_R_X31Y30 COLUMN 78 TILEPROP INT_R_X31Y30 DEVICE_ID 0 TILEPROP INT_R_X31Y30 FIRST_SITE_ID 12535 TILEPROP INT_R_X31Y30 GRID_POINT_X 78 TILEPROP INT_R_X31Y30 GRID_POINT_Y 124 TILEPROP INT_R_X31Y30 INDEX 14338 TILEPROP INT_R_X31Y30 INT_TILE_X 31 TILEPROP INT_R_X31Y30 INT_TILE_Y 119 TILEPROP INT_R_X31Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y30 IS_DCM_TILE 0 TILEPROP INT_R_X31Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y30 NAME INT_R_X31Y30 TILEPROP INT_R_X31Y30 NUM_ARCS 3737 TILEPROP INT_R_X31Y30 NUM_SITES 1 TILEPROP INT_R_X31Y30 ROW 124 TILEPROP INT_R_X31Y30 SLR_REGION_ID 0 TILEPROP INT_R_X31Y30 TILE_PATTERN_IDX 6133 TILEPROP INT_R_X31Y30 TILE_TYPE INT_R TILEPROP INT_R_X31Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y30 TILE_X 45240 TILEPROP INT_R_X31Y30 TILE_Y -142648 TILEPROP INT_R_X31Y30 TYPE INT_R TILEPROP INT_R_X31Y31 CLASS tile TILEPROP INT_R_X31Y31 COLUMN 78 TILEPROP INT_R_X31Y31 DEVICE_ID 0 TILEPROP INT_R_X31Y31 FIRST_SITE_ID 12411 TILEPROP INT_R_X31Y31 GRID_POINT_X 78 TILEPROP INT_R_X31Y31 GRID_POINT_Y 123 TILEPROP INT_R_X31Y31 INDEX 14223 TILEPROP INT_R_X31Y31 INT_TILE_X 31 TILEPROP INT_R_X31Y31 INT_TILE_Y 118 TILEPROP INT_R_X31Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y31 IS_DCM_TILE 0 TILEPROP INT_R_X31Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y31 NAME INT_R_X31Y31 TILEPROP INT_R_X31Y31 NUM_ARCS 3737 TILEPROP INT_R_X31Y31 NUM_SITES 1 TILEPROP INT_R_X31Y31 ROW 123 TILEPROP INT_R_X31Y31 SLR_REGION_ID 0 TILEPROP INT_R_X31Y31 TILE_PATTERN_IDX 6099 TILEPROP INT_R_X31Y31 TILE_TYPE INT_R TILEPROP INT_R_X31Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y31 TILE_X 45240 TILEPROP INT_R_X31Y31 TILE_Y -139448 TILEPROP INT_R_X31Y31 TYPE INT_R TILEPROP INT_R_X31Y32 CLASS tile TILEPROP INT_R_X31Y32 COLUMN 78 TILEPROP INT_R_X31Y32 DEVICE_ID 0 TILEPROP INT_R_X31Y32 FIRST_SITE_ID 12309 TILEPROP INT_R_X31Y32 GRID_POINT_X 78 TILEPROP INT_R_X31Y32 GRID_POINT_Y 122 TILEPROP INT_R_X31Y32 INDEX 14108 TILEPROP INT_R_X31Y32 INT_TILE_X 31 TILEPROP INT_R_X31Y32 INT_TILE_Y 117 TILEPROP INT_R_X31Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y32 IS_DCM_TILE 0 TILEPROP INT_R_X31Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y32 NAME INT_R_X31Y32 TILEPROP INT_R_X31Y32 NUM_ARCS 3737 TILEPROP INT_R_X31Y32 NUM_SITES 1 TILEPROP INT_R_X31Y32 ROW 122 TILEPROP INT_R_X31Y32 SLR_REGION_ID 0 TILEPROP INT_R_X31Y32 TILE_PATTERN_IDX 7795 TILEPROP INT_R_X31Y32 TILE_TYPE INT_R TILEPROP INT_R_X31Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y32 TILE_X 45240 TILEPROP INT_R_X31Y32 TILE_Y -136248 TILEPROP INT_R_X31Y32 TYPE INT_R TILEPROP INT_R_X31Y33 CLASS tile TILEPROP INT_R_X31Y33 COLUMN 78 TILEPROP INT_R_X31Y33 DEVICE_ID 0 TILEPROP INT_R_X31Y33 FIRST_SITE_ID 12208 TILEPROP INT_R_X31Y33 GRID_POINT_X 78 TILEPROP INT_R_X31Y33 GRID_POINT_Y 121 TILEPROP INT_R_X31Y33 INDEX 13993 TILEPROP INT_R_X31Y33 INT_TILE_X 31 TILEPROP INT_R_X31Y33 INT_TILE_Y 116 TILEPROP INT_R_X31Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y33 IS_DCM_TILE 0 TILEPROP INT_R_X31Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y33 NAME INT_R_X31Y33 TILEPROP INT_R_X31Y33 NUM_ARCS 3737 TILEPROP INT_R_X31Y33 NUM_SITES 1 TILEPROP INT_R_X31Y33 ROW 121 TILEPROP INT_R_X31Y33 SLR_REGION_ID 0 TILEPROP INT_R_X31Y33 TILE_PATTERN_IDX 6031 TILEPROP INT_R_X31Y33 TILE_TYPE INT_R TILEPROP INT_R_X31Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y33 TILE_X 45240 TILEPROP INT_R_X31Y33 TILE_Y -133048 TILEPROP INT_R_X31Y33 TYPE INT_R TILEPROP INT_R_X31Y34 CLASS tile TILEPROP INT_R_X31Y34 COLUMN 78 TILEPROP INT_R_X31Y34 DEVICE_ID 0 TILEPROP INT_R_X31Y34 FIRST_SITE_ID 12108 TILEPROP INT_R_X31Y34 GRID_POINT_X 78 TILEPROP INT_R_X31Y34 GRID_POINT_Y 120 TILEPROP INT_R_X31Y34 INDEX 13878 TILEPROP INT_R_X31Y34 INT_TILE_X 31 TILEPROP INT_R_X31Y34 INT_TILE_Y 115 TILEPROP INT_R_X31Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y34 IS_DCM_TILE 0 TILEPROP INT_R_X31Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y34 NAME INT_R_X31Y34 TILEPROP INT_R_X31Y34 NUM_ARCS 3737 TILEPROP INT_R_X31Y34 NUM_SITES 1 TILEPROP INT_R_X31Y34 ROW 120 TILEPROP INT_R_X31Y34 SLR_REGION_ID 0 TILEPROP INT_R_X31Y34 TILE_PATTERN_IDX 5997 TILEPROP INT_R_X31Y34 TILE_TYPE INT_R TILEPROP INT_R_X31Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y34 TILE_X 45240 TILEPROP INT_R_X31Y34 TILE_Y -129848 TILEPROP INT_R_X31Y34 TYPE INT_R TILEPROP INT_R_X31Y35 CLASS tile TILEPROP INT_R_X31Y35 COLUMN 78 TILEPROP INT_R_X31Y35 DEVICE_ID 0 TILEPROP INT_R_X31Y35 FIRST_SITE_ID 12002 TILEPROP INT_R_X31Y35 GRID_POINT_X 78 TILEPROP INT_R_X31Y35 GRID_POINT_Y 119 TILEPROP INT_R_X31Y35 INDEX 13763 TILEPROP INT_R_X31Y35 INT_TILE_X 31 TILEPROP INT_R_X31Y35 INT_TILE_Y 114 TILEPROP INT_R_X31Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y35 IS_DCM_TILE 0 TILEPROP INT_R_X31Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y35 NAME INT_R_X31Y35 TILEPROP INT_R_X31Y35 NUM_ARCS 3737 TILEPROP INT_R_X31Y35 NUM_SITES 1 TILEPROP INT_R_X31Y35 ROW 119 TILEPROP INT_R_X31Y35 SLR_REGION_ID 0 TILEPROP INT_R_X31Y35 TILE_PATTERN_IDX 5963 TILEPROP INT_R_X31Y35 TILE_TYPE INT_R TILEPROP INT_R_X31Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y35 TILE_X 45240 TILEPROP INT_R_X31Y35 TILE_Y -126648 TILEPROP INT_R_X31Y35 TYPE INT_R TILEPROP INT_R_X31Y36 CLASS tile TILEPROP INT_R_X31Y36 COLUMN 78 TILEPROP INT_R_X31Y36 DEVICE_ID 0 TILEPROP INT_R_X31Y36 FIRST_SITE_ID 11893 TILEPROP INT_R_X31Y36 GRID_POINT_X 78 TILEPROP INT_R_X31Y36 GRID_POINT_Y 118 TILEPROP INT_R_X31Y36 INDEX 13648 TILEPROP INT_R_X31Y36 INT_TILE_X 31 TILEPROP INT_R_X31Y36 INT_TILE_Y 113 TILEPROP INT_R_X31Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y36 IS_DCM_TILE 0 TILEPROP INT_R_X31Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y36 NAME INT_R_X31Y36 TILEPROP INT_R_X31Y36 NUM_ARCS 3737 TILEPROP INT_R_X31Y36 NUM_SITES 1 TILEPROP INT_R_X31Y36 ROW 118 TILEPROP INT_R_X31Y36 SLR_REGION_ID 0 TILEPROP INT_R_X31Y36 TILE_PATTERN_IDX 5928 TILEPROP INT_R_X31Y36 TILE_TYPE INT_R TILEPROP INT_R_X31Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y36 TILE_X 45240 TILEPROP INT_R_X31Y36 TILE_Y -123448 TILEPROP INT_R_X31Y36 TYPE INT_R TILEPROP INT_R_X31Y37 CLASS tile TILEPROP INT_R_X31Y37 COLUMN 78 TILEPROP INT_R_X31Y37 DEVICE_ID 0 TILEPROP INT_R_X31Y37 FIRST_SITE_ID 11761 TILEPROP INT_R_X31Y37 GRID_POINT_X 78 TILEPROP INT_R_X31Y37 GRID_POINT_Y 117 TILEPROP INT_R_X31Y37 INDEX 13533 TILEPROP INT_R_X31Y37 INT_TILE_X 31 TILEPROP INT_R_X31Y37 INT_TILE_Y 112 TILEPROP INT_R_X31Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y37 IS_DCM_TILE 0 TILEPROP INT_R_X31Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y37 NAME INT_R_X31Y37 TILEPROP INT_R_X31Y37 NUM_ARCS 3737 TILEPROP INT_R_X31Y37 NUM_SITES 1 TILEPROP INT_R_X31Y37 ROW 117 TILEPROP INT_R_X31Y37 SLR_REGION_ID 0 TILEPROP INT_R_X31Y37 TILE_PATTERN_IDX 5893 TILEPROP INT_R_X31Y37 TILE_TYPE INT_R TILEPROP INT_R_X31Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y37 TILE_X 45240 TILEPROP INT_R_X31Y37 TILE_Y -120248 TILEPROP INT_R_X31Y37 TYPE INT_R TILEPROP INT_R_X31Y38 CLASS tile TILEPROP INT_R_X31Y38 COLUMN 78 TILEPROP INT_R_X31Y38 DEVICE_ID 0 TILEPROP INT_R_X31Y38 FIRST_SITE_ID 11661 TILEPROP INT_R_X31Y38 GRID_POINT_X 78 TILEPROP INT_R_X31Y38 GRID_POINT_Y 116 TILEPROP INT_R_X31Y38 INDEX 13418 TILEPROP INT_R_X31Y38 INT_TILE_X 31 TILEPROP INT_R_X31Y38 INT_TILE_Y 111 TILEPROP INT_R_X31Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y38 IS_DCM_TILE 0 TILEPROP INT_R_X31Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y38 NAME INT_R_X31Y38 TILEPROP INT_R_X31Y38 NUM_ARCS 3737 TILEPROP INT_R_X31Y38 NUM_SITES 1 TILEPROP INT_R_X31Y38 ROW 116 TILEPROP INT_R_X31Y38 SLR_REGION_ID 0 TILEPROP INT_R_X31Y38 TILE_PATTERN_IDX 5860 TILEPROP INT_R_X31Y38 TILE_TYPE INT_R TILEPROP INT_R_X31Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y38 TILE_X 45240 TILEPROP INT_R_X31Y38 TILE_Y -117048 TILEPROP INT_R_X31Y38 TYPE INT_R TILEPROP INT_R_X31Y39 CLASS tile TILEPROP INT_R_X31Y39 COLUMN 78 TILEPROP INT_R_X31Y39 DEVICE_ID 0 TILEPROP INT_R_X31Y39 FIRST_SITE_ID 11561 TILEPROP INT_R_X31Y39 GRID_POINT_X 78 TILEPROP INT_R_X31Y39 GRID_POINT_Y 115 TILEPROP INT_R_X31Y39 INDEX 13303 TILEPROP INT_R_X31Y39 INT_TILE_X 31 TILEPROP INT_R_X31Y39 INT_TILE_Y 110 TILEPROP INT_R_X31Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y39 IS_DCM_TILE 0 TILEPROP INT_R_X31Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y39 NAME INT_R_X31Y39 TILEPROP INT_R_X31Y39 NUM_ARCS 3737 TILEPROP INT_R_X31Y39 NUM_SITES 1 TILEPROP INT_R_X31Y39 ROW 115 TILEPROP INT_R_X31Y39 SLR_REGION_ID 0 TILEPROP INT_R_X31Y39 TILE_PATTERN_IDX 5826 TILEPROP INT_R_X31Y39 TILE_TYPE INT_R TILEPROP INT_R_X31Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y39 TILE_X 45240 TILEPROP INT_R_X31Y39 TILE_Y -113848 TILEPROP INT_R_X31Y39 TYPE INT_R TILEPROP INT_R_X31Y40 CLASS tile TILEPROP INT_R_X31Y40 COLUMN 78 TILEPROP INT_R_X31Y40 DEVICE_ID 0 TILEPROP INT_R_X31Y40 FIRST_SITE_ID 11455 TILEPROP INT_R_X31Y40 GRID_POINT_X 78 TILEPROP INT_R_X31Y40 GRID_POINT_Y 114 TILEPROP INT_R_X31Y40 INDEX 13188 TILEPROP INT_R_X31Y40 INT_TILE_X 31 TILEPROP INT_R_X31Y40 INT_TILE_Y 109 TILEPROP INT_R_X31Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y40 IS_DCM_TILE 0 TILEPROP INT_R_X31Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y40 NAME INT_R_X31Y40 TILEPROP INT_R_X31Y40 NUM_ARCS 3737 TILEPROP INT_R_X31Y40 NUM_SITES 1 TILEPROP INT_R_X31Y40 ROW 114 TILEPROP INT_R_X31Y40 SLR_REGION_ID 0 TILEPROP INT_R_X31Y40 TILE_PATTERN_IDX 5792 TILEPROP INT_R_X31Y40 TILE_TYPE INT_R TILEPROP INT_R_X31Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y40 TILE_X 45240 TILEPROP INT_R_X31Y40 TILE_Y -110648 TILEPROP INT_R_X31Y40 TYPE INT_R TILEPROP INT_R_X31Y41 CLASS tile TILEPROP INT_R_X31Y41 COLUMN 78 TILEPROP INT_R_X31Y41 DEVICE_ID 0 TILEPROP INT_R_X31Y41 FIRST_SITE_ID 11344 TILEPROP INT_R_X31Y41 GRID_POINT_X 78 TILEPROP INT_R_X31Y41 GRID_POINT_Y 113 TILEPROP INT_R_X31Y41 INDEX 13073 TILEPROP INT_R_X31Y41 INT_TILE_X 31 TILEPROP INT_R_X31Y41 INT_TILE_Y 108 TILEPROP INT_R_X31Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y41 IS_DCM_TILE 0 TILEPROP INT_R_X31Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y41 NAME INT_R_X31Y41 TILEPROP INT_R_X31Y41 NUM_ARCS 3737 TILEPROP INT_R_X31Y41 NUM_SITES 1 TILEPROP INT_R_X31Y41 ROW 113 TILEPROP INT_R_X31Y41 SLR_REGION_ID 0 TILEPROP INT_R_X31Y41 TILE_PATTERN_IDX 7532 TILEPROP INT_R_X31Y41 TILE_TYPE INT_R TILEPROP INT_R_X31Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y41 TILE_X 45240 TILEPROP INT_R_X31Y41 TILE_Y -107448 TILEPROP INT_R_X31Y41 TYPE INT_R TILEPROP INT_R_X31Y42 CLASS tile TILEPROP INT_R_X31Y42 COLUMN 78 TILEPROP INT_R_X31Y42 DEVICE_ID 0 TILEPROP INT_R_X31Y42 FIRST_SITE_ID 11242 TILEPROP INT_R_X31Y42 GRID_POINT_X 78 TILEPROP INT_R_X31Y42 GRID_POINT_Y 112 TILEPROP INT_R_X31Y42 INDEX 12958 TILEPROP INT_R_X31Y42 INT_TILE_X 31 TILEPROP INT_R_X31Y42 INT_TILE_Y 107 TILEPROP INT_R_X31Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y42 IS_DCM_TILE 0 TILEPROP INT_R_X31Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y42 NAME INT_R_X31Y42 TILEPROP INT_R_X31Y42 NUM_ARCS 3737 TILEPROP INT_R_X31Y42 NUM_SITES 1 TILEPROP INT_R_X31Y42 ROW 112 TILEPROP INT_R_X31Y42 SLR_REGION_ID 0 TILEPROP INT_R_X31Y42 TILE_PATTERN_IDX 5725 TILEPROP INT_R_X31Y42 TILE_TYPE INT_R TILEPROP INT_R_X31Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y42 TILE_X 45240 TILEPROP INT_R_X31Y42 TILE_Y -104248 TILEPROP INT_R_X31Y42 TYPE INT_R TILEPROP INT_R_X31Y43 CLASS tile TILEPROP INT_R_X31Y43 COLUMN 78 TILEPROP INT_R_X31Y43 DEVICE_ID 0 TILEPROP INT_R_X31Y43 FIRST_SITE_ID 11139 TILEPROP INT_R_X31Y43 GRID_POINT_X 78 TILEPROP INT_R_X31Y43 GRID_POINT_Y 111 TILEPROP INT_R_X31Y43 INDEX 12843 TILEPROP INT_R_X31Y43 INT_TILE_X 31 TILEPROP INT_R_X31Y43 INT_TILE_Y 106 TILEPROP INT_R_X31Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y43 IS_DCM_TILE 0 TILEPROP INT_R_X31Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y43 NAME INT_R_X31Y43 TILEPROP INT_R_X31Y43 NUM_ARCS 3737 TILEPROP INT_R_X31Y43 NUM_SITES 1 TILEPROP INT_R_X31Y43 ROW 111 TILEPROP INT_R_X31Y43 SLR_REGION_ID 0 TILEPROP INT_R_X31Y43 TILE_PATTERN_IDX 5691 TILEPROP INT_R_X31Y43 TILE_TYPE INT_R TILEPROP INT_R_X31Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y43 TILE_X 45240 TILEPROP INT_R_X31Y43 TILE_Y -101048 TILEPROP INT_R_X31Y43 TYPE INT_R TILEPROP INT_R_X31Y44 CLASS tile TILEPROP INT_R_X31Y44 COLUMN 78 TILEPROP INT_R_X31Y44 DEVICE_ID 0 TILEPROP INT_R_X31Y44 FIRST_SITE_ID 11037 TILEPROP INT_R_X31Y44 GRID_POINT_X 78 TILEPROP INT_R_X31Y44 GRID_POINT_Y 110 TILEPROP INT_R_X31Y44 INDEX 12728 TILEPROP INT_R_X31Y44 INT_TILE_X 31 TILEPROP INT_R_X31Y44 INT_TILE_Y 105 TILEPROP INT_R_X31Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y44 IS_DCM_TILE 0 TILEPROP INT_R_X31Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y44 NAME INT_R_X31Y44 TILEPROP INT_R_X31Y44 NUM_ARCS 3737 TILEPROP INT_R_X31Y44 NUM_SITES 1 TILEPROP INT_R_X31Y44 ROW 110 TILEPROP INT_R_X31Y44 SLR_REGION_ID 0 TILEPROP INT_R_X31Y44 TILE_PATTERN_IDX 5657 TILEPROP INT_R_X31Y44 TILE_TYPE INT_R TILEPROP INT_R_X31Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y44 TILE_X 45240 TILEPROP INT_R_X31Y44 TILE_Y -97848 TILEPROP INT_R_X31Y44 TYPE INT_R TILEPROP INT_R_X31Y45 CLASS tile TILEPROP INT_R_X31Y45 COLUMN 78 TILEPROP INT_R_X31Y45 DEVICE_ID 0 TILEPROP INT_R_X31Y45 FIRST_SITE_ID 10931 TILEPROP INT_R_X31Y45 GRID_POINT_X 78 TILEPROP INT_R_X31Y45 GRID_POINT_Y 109 TILEPROP INT_R_X31Y45 INDEX 12613 TILEPROP INT_R_X31Y45 INT_TILE_X 31 TILEPROP INT_R_X31Y45 INT_TILE_Y 104 TILEPROP INT_R_X31Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y45 IS_DCM_TILE 0 TILEPROP INT_R_X31Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y45 NAME INT_R_X31Y45 TILEPROP INT_R_X31Y45 NUM_ARCS 3737 TILEPROP INT_R_X31Y45 NUM_SITES 1 TILEPROP INT_R_X31Y45 ROW 109 TILEPROP INT_R_X31Y45 SLR_REGION_ID 0 TILEPROP INT_R_X31Y45 TILE_PATTERN_IDX 7410 TILEPROP INT_R_X31Y45 TILE_TYPE INT_R TILEPROP INT_R_X31Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y45 TILE_X 45240 TILEPROP INT_R_X31Y45 TILE_Y -94648 TILEPROP INT_R_X31Y45 TYPE INT_R TILEPROP INT_R_X31Y46 CLASS tile TILEPROP INT_R_X31Y46 COLUMN 78 TILEPROP INT_R_X31Y46 DEVICE_ID 0 TILEPROP INT_R_X31Y46 FIRST_SITE_ID 10821 TILEPROP INT_R_X31Y46 GRID_POINT_X 78 TILEPROP INT_R_X31Y46 GRID_POINT_Y 108 TILEPROP INT_R_X31Y46 INDEX 12498 TILEPROP INT_R_X31Y46 INT_TILE_X 31 TILEPROP INT_R_X31Y46 INT_TILE_Y 103 TILEPROP INT_R_X31Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y46 IS_DCM_TILE 0 TILEPROP INT_R_X31Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y46 NAME INT_R_X31Y46 TILEPROP INT_R_X31Y46 NUM_ARCS 3737 TILEPROP INT_R_X31Y46 NUM_SITES 1 TILEPROP INT_R_X31Y46 ROW 108 TILEPROP INT_R_X31Y46 SLR_REGION_ID 0 TILEPROP INT_R_X31Y46 TILE_PATTERN_IDX 7374 TILEPROP INT_R_X31Y46 TILE_TYPE INT_R TILEPROP INT_R_X31Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y46 TILE_X 45240 TILEPROP INT_R_X31Y46 TILE_Y -91448 TILEPROP INT_R_X31Y46 TYPE INT_R TILEPROP INT_R_X31Y47 CLASS tile TILEPROP INT_R_X31Y47 COLUMN 78 TILEPROP INT_R_X31Y47 DEVICE_ID 0 TILEPROP INT_R_X31Y47 FIRST_SITE_ID 10705 TILEPROP INT_R_X31Y47 GRID_POINT_X 78 TILEPROP INT_R_X31Y47 GRID_POINT_Y 107 TILEPROP INT_R_X31Y47 INDEX 12383 TILEPROP INT_R_X31Y47 INT_TILE_X 31 TILEPROP INT_R_X31Y47 INT_TILE_Y 102 TILEPROP INT_R_X31Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y47 IS_DCM_TILE 0 TILEPROP INT_R_X31Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y47 NAME INT_R_X31Y47 TILEPROP INT_R_X31Y47 NUM_ARCS 3737 TILEPROP INT_R_X31Y47 NUM_SITES 1 TILEPROP INT_R_X31Y47 ROW 107 TILEPROP INT_R_X31Y47 SLR_REGION_ID 0 TILEPROP INT_R_X31Y47 TILE_PATTERN_IDX 7337 TILEPROP INT_R_X31Y47 TILE_TYPE INT_R TILEPROP INT_R_X31Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y47 TILE_X 45240 TILEPROP INT_R_X31Y47 TILE_Y -88248 TILEPROP INT_R_X31Y47 TYPE INT_R TILEPROP INT_R_X31Y48 CLASS tile TILEPROP INT_R_X31Y48 COLUMN 78 TILEPROP INT_R_X31Y48 DEVICE_ID 0 TILEPROP INT_R_X31Y48 FIRST_SITE_ID 10605 TILEPROP INT_R_X31Y48 GRID_POINT_X 78 TILEPROP INT_R_X31Y48 GRID_POINT_Y 106 TILEPROP INT_R_X31Y48 INDEX 12268 TILEPROP INT_R_X31Y48 INT_TILE_X 31 TILEPROP INT_R_X31Y48 INT_TILE_Y 101 TILEPROP INT_R_X31Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y48 IS_DCM_TILE 0 TILEPROP INT_R_X31Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y48 NAME INT_R_X31Y48 TILEPROP INT_R_X31Y48 NUM_ARCS 3737 TILEPROP INT_R_X31Y48 NUM_SITES 1 TILEPROP INT_R_X31Y48 ROW 106 TILEPROP INT_R_X31Y48 SLR_REGION_ID 0 TILEPROP INT_R_X31Y48 TILE_PATTERN_IDX 7301 TILEPROP INT_R_X31Y48 TILE_TYPE INT_R TILEPROP INT_R_X31Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y48 TILE_X 45240 TILEPROP INT_R_X31Y48 TILE_Y -85048 TILEPROP INT_R_X31Y48 TYPE INT_R TILEPROP INT_R_X31Y49 CLASS tile TILEPROP INT_R_X31Y49 COLUMN 78 TILEPROP INT_R_X31Y49 DEVICE_ID 0 TILEPROP INT_R_X31Y49 FIRST_SITE_ID 10509 TILEPROP INT_R_X31Y49 GRID_POINT_X 78 TILEPROP INT_R_X31Y49 GRID_POINT_Y 105 TILEPROP INT_R_X31Y49 INDEX 12153 TILEPROP INT_R_X31Y49 INT_TILE_X 31 TILEPROP INT_R_X31Y49 INT_TILE_Y 100 TILEPROP INT_R_X31Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y49 IS_DCM_TILE 0 TILEPROP INT_R_X31Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y49 NAME INT_R_X31Y49 TILEPROP INT_R_X31Y49 NUM_ARCS 3737 TILEPROP INT_R_X31Y49 NUM_SITES 1 TILEPROP INT_R_X31Y49 ROW 105 TILEPROP INT_R_X31Y49 SLR_REGION_ID 0 TILEPROP INT_R_X31Y49 TILE_PATTERN_IDX 7265 TILEPROP INT_R_X31Y49 TILE_TYPE INT_R TILEPROP INT_R_X31Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y49 TILE_X 45240 TILEPROP INT_R_X31Y49 TILE_Y -81848 TILEPROP INT_R_X31Y49 TYPE INT_R TILEPROP INT_R_X31Y50 CLASS tile TILEPROP INT_R_X31Y50 COLUMN 78 TILEPROP INT_R_X31Y50 DEVICE_ID 0 TILEPROP INT_R_X31Y50 FIRST_SITE_ID 10403 TILEPROP INT_R_X31Y50 GRID_POINT_X 78 TILEPROP INT_R_X31Y50 GRID_POINT_Y 103 TILEPROP INT_R_X31Y50 INDEX 11923 TILEPROP INT_R_X31Y50 INT_TILE_X 31 TILEPROP INT_R_X31Y50 INT_TILE_Y 99 TILEPROP INT_R_X31Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y50 IS_DCM_TILE 0 TILEPROP INT_R_X31Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y50 NAME INT_R_X31Y50 TILEPROP INT_R_X31Y50 NUM_ARCS 3737 TILEPROP INT_R_X31Y50 NUM_SITES 1 TILEPROP INT_R_X31Y50 ROW 103 TILEPROP INT_R_X31Y50 SLR_REGION_ID 0 TILEPROP INT_R_X31Y50 TILE_PATTERN_IDX 7228 TILEPROP INT_R_X31Y50 TILE_TYPE INT_R TILEPROP INT_R_X31Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y50 TILE_X 45240 TILEPROP INT_R_X31Y50 TILE_Y -78400 TILEPROP INT_R_X31Y50 TYPE INT_R TILEPROP INT_R_X31Y51 CLASS tile TILEPROP INT_R_X31Y51 COLUMN 78 TILEPROP INT_R_X31Y51 DEVICE_ID 0 TILEPROP INT_R_X31Y51 FIRST_SITE_ID 10274 TILEPROP INT_R_X31Y51 GRID_POINT_X 78 TILEPROP INT_R_X31Y51 GRID_POINT_Y 102 TILEPROP INT_R_X31Y51 INDEX 11808 TILEPROP INT_R_X31Y51 INT_TILE_X 31 TILEPROP INT_R_X31Y51 INT_TILE_Y 98 TILEPROP INT_R_X31Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y51 IS_DCM_TILE 0 TILEPROP INT_R_X31Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y51 NAME INT_R_X31Y51 TILEPROP INT_R_X31Y51 NUM_ARCS 3737 TILEPROP INT_R_X31Y51 NUM_SITES 1 TILEPROP INT_R_X31Y51 ROW 102 TILEPROP INT_R_X31Y51 SLR_REGION_ID 0 TILEPROP INT_R_X31Y51 TILE_PATTERN_IDX 7191 TILEPROP INT_R_X31Y51 TILE_TYPE INT_R TILEPROP INT_R_X31Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y51 TILE_X 45240 TILEPROP INT_R_X31Y51 TILE_Y -75200 TILEPROP INT_R_X31Y51 TYPE INT_R TILEPROP INT_R_X31Y52 CLASS tile TILEPROP INT_R_X31Y52 COLUMN 78 TILEPROP INT_R_X31Y52 DEVICE_ID 0 TILEPROP INT_R_X31Y52 FIRST_SITE_ID 10174 TILEPROP INT_R_X31Y52 GRID_POINT_X 78 TILEPROP INT_R_X31Y52 GRID_POINT_Y 101 TILEPROP INT_R_X31Y52 INDEX 11693 TILEPROP INT_R_X31Y52 INT_TILE_X 31 TILEPROP INT_R_X31Y52 INT_TILE_Y 97 TILEPROP INT_R_X31Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y52 IS_DCM_TILE 0 TILEPROP INT_R_X31Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y52 NAME INT_R_X31Y52 TILEPROP INT_R_X31Y52 NUM_ARCS 3737 TILEPROP INT_R_X31Y52 NUM_SITES 1 TILEPROP INT_R_X31Y52 ROW 101 TILEPROP INT_R_X31Y52 SLR_REGION_ID 0 TILEPROP INT_R_X31Y52 TILE_PATTERN_IDX 7154 TILEPROP INT_R_X31Y52 TILE_TYPE INT_R TILEPROP INT_R_X31Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y52 TILE_X 45240 TILEPROP INT_R_X31Y52 TILE_Y -72000 TILEPROP INT_R_X31Y52 TYPE INT_R TILEPROP INT_R_X31Y53 CLASS tile TILEPROP INT_R_X31Y53 COLUMN 78 TILEPROP INT_R_X31Y53 DEVICE_ID 0 TILEPROP INT_R_X31Y53 FIRST_SITE_ID 10074 TILEPROP INT_R_X31Y53 GRID_POINT_X 78 TILEPROP INT_R_X31Y53 GRID_POINT_Y 100 TILEPROP INT_R_X31Y53 INDEX 11578 TILEPROP INT_R_X31Y53 INT_TILE_X 31 TILEPROP INT_R_X31Y53 INT_TILE_Y 96 TILEPROP INT_R_X31Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y53 IS_DCM_TILE 0 TILEPROP INT_R_X31Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y53 NAME INT_R_X31Y53 TILEPROP INT_R_X31Y53 NUM_ARCS 3737 TILEPROP INT_R_X31Y53 NUM_SITES 1 TILEPROP INT_R_X31Y53 ROW 100 TILEPROP INT_R_X31Y53 SLR_REGION_ID 0 TILEPROP INT_R_X31Y53 TILE_PATTERN_IDX 7117 TILEPROP INT_R_X31Y53 TILE_TYPE INT_R TILEPROP INT_R_X31Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y53 TILE_X 45240 TILEPROP INT_R_X31Y53 TILE_Y -68800 TILEPROP INT_R_X31Y53 TYPE INT_R TILEPROP INT_R_X31Y54 CLASS tile TILEPROP INT_R_X31Y54 COLUMN 78 TILEPROP INT_R_X31Y54 DEVICE_ID 0 TILEPROP INT_R_X31Y54 FIRST_SITE_ID 9974 TILEPROP INT_R_X31Y54 GRID_POINT_X 78 TILEPROP INT_R_X31Y54 GRID_POINT_Y 99 TILEPROP INT_R_X31Y54 INDEX 11463 TILEPROP INT_R_X31Y54 INT_TILE_X 31 TILEPROP INT_R_X31Y54 INT_TILE_Y 95 TILEPROP INT_R_X31Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y54 IS_DCM_TILE 0 TILEPROP INT_R_X31Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y54 NAME INT_R_X31Y54 TILEPROP INT_R_X31Y54 NUM_ARCS 3737 TILEPROP INT_R_X31Y54 NUM_SITES 1 TILEPROP INT_R_X31Y54 ROW 99 TILEPROP INT_R_X31Y54 SLR_REGION_ID 0 TILEPROP INT_R_X31Y54 TILE_PATTERN_IDX 7080 TILEPROP INT_R_X31Y54 TILE_TYPE INT_R TILEPROP INT_R_X31Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y54 TILE_X 45240 TILEPROP INT_R_X31Y54 TILE_Y -65600 TILEPROP INT_R_X31Y54 TYPE INT_R TILEPROP INT_R_X31Y55 CLASS tile TILEPROP INT_R_X31Y55 COLUMN 78 TILEPROP INT_R_X31Y55 DEVICE_ID 0 TILEPROP INT_R_X31Y55 FIRST_SITE_ID 9868 TILEPROP INT_R_X31Y55 GRID_POINT_X 78 TILEPROP INT_R_X31Y55 GRID_POINT_Y 98 TILEPROP INT_R_X31Y55 INDEX 11348 TILEPROP INT_R_X31Y55 INT_TILE_X 31 TILEPROP INT_R_X31Y55 INT_TILE_Y 94 TILEPROP INT_R_X31Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y55 IS_DCM_TILE 0 TILEPROP INT_R_X31Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y55 NAME INT_R_X31Y55 TILEPROP INT_R_X31Y55 NUM_ARCS 3737 TILEPROP INT_R_X31Y55 NUM_SITES 1 TILEPROP INT_R_X31Y55 ROW 98 TILEPROP INT_R_X31Y55 SLR_REGION_ID 0 TILEPROP INT_R_X31Y55 TILE_PATTERN_IDX 7042 TILEPROP INT_R_X31Y55 TILE_TYPE INT_R TILEPROP INT_R_X31Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y55 TILE_X 45240 TILEPROP INT_R_X31Y55 TILE_Y -62400 TILEPROP INT_R_X31Y55 TYPE INT_R TILEPROP INT_R_X31Y56 CLASS tile TILEPROP INT_R_X31Y56 COLUMN 78 TILEPROP INT_R_X31Y56 DEVICE_ID 0 TILEPROP INT_R_X31Y56 FIRST_SITE_ID 9759 TILEPROP INT_R_X31Y56 GRID_POINT_X 78 TILEPROP INT_R_X31Y56 GRID_POINT_Y 97 TILEPROP INT_R_X31Y56 INDEX 11233 TILEPROP INT_R_X31Y56 INT_TILE_X 31 TILEPROP INT_R_X31Y56 INT_TILE_Y 93 TILEPROP INT_R_X31Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y56 IS_DCM_TILE 0 TILEPROP INT_R_X31Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y56 NAME INT_R_X31Y56 TILEPROP INT_R_X31Y56 NUM_ARCS 3737 TILEPROP INT_R_X31Y56 NUM_SITES 1 TILEPROP INT_R_X31Y56 ROW 97 TILEPROP INT_R_X31Y56 SLR_REGION_ID 0 TILEPROP INT_R_X31Y56 TILE_PATTERN_IDX 7005 TILEPROP INT_R_X31Y56 TILE_TYPE INT_R TILEPROP INT_R_X31Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y56 TILE_X 45240 TILEPROP INT_R_X31Y56 TILE_Y -59200 TILEPROP INT_R_X31Y56 TYPE INT_R TILEPROP INT_R_X31Y57 CLASS tile TILEPROP INT_R_X31Y57 COLUMN 78 TILEPROP INT_R_X31Y57 DEVICE_ID 0 TILEPROP INT_R_X31Y57 FIRST_SITE_ID 9657 TILEPROP INT_R_X31Y57 GRID_POINT_X 78 TILEPROP INT_R_X31Y57 GRID_POINT_Y 96 TILEPROP INT_R_X31Y57 INDEX 11118 TILEPROP INT_R_X31Y57 INT_TILE_X 31 TILEPROP INT_R_X31Y57 INT_TILE_Y 92 TILEPROP INT_R_X31Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y57 IS_DCM_TILE 0 TILEPROP INT_R_X31Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y57 NAME INT_R_X31Y57 TILEPROP INT_R_X31Y57 NUM_ARCS 3737 TILEPROP INT_R_X31Y57 NUM_SITES 1 TILEPROP INT_R_X31Y57 ROW 96 TILEPROP INT_R_X31Y57 SLR_REGION_ID 0 TILEPROP INT_R_X31Y57 TILE_PATTERN_IDX 6968 TILEPROP INT_R_X31Y57 TILE_TYPE INT_R TILEPROP INT_R_X31Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y57 TILE_X 45240 TILEPROP INT_R_X31Y57 TILE_Y -56000 TILEPROP INT_R_X31Y57 TYPE INT_R TILEPROP INT_R_X31Y58 CLASS tile TILEPROP INT_R_X31Y58 COLUMN 78 TILEPROP INT_R_X31Y58 DEVICE_ID 0 TILEPROP INT_R_X31Y58 FIRST_SITE_ID 9554 TILEPROP INT_R_X31Y58 GRID_POINT_X 78 TILEPROP INT_R_X31Y58 GRID_POINT_Y 95 TILEPROP INT_R_X31Y58 INDEX 11003 TILEPROP INT_R_X31Y58 INT_TILE_X 31 TILEPROP INT_R_X31Y58 INT_TILE_Y 91 TILEPROP INT_R_X31Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y58 IS_DCM_TILE 0 TILEPROP INT_R_X31Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y58 NAME INT_R_X31Y58 TILEPROP INT_R_X31Y58 NUM_ARCS 3737 TILEPROP INT_R_X31Y58 NUM_SITES 1 TILEPROP INT_R_X31Y58 ROW 95 TILEPROP INT_R_X31Y58 SLR_REGION_ID 0 TILEPROP INT_R_X31Y58 TILE_PATTERN_IDX 6930 TILEPROP INT_R_X31Y58 TILE_TYPE INT_R TILEPROP INT_R_X31Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y58 TILE_X 45240 TILEPROP INT_R_X31Y58 TILE_Y -52800 TILEPROP INT_R_X31Y58 TYPE INT_R TILEPROP INT_R_X31Y59 CLASS tile TILEPROP INT_R_X31Y59 COLUMN 78 TILEPROP INT_R_X31Y59 DEVICE_ID 0 TILEPROP INT_R_X31Y59 FIRST_SITE_ID 9453 TILEPROP INT_R_X31Y59 GRID_POINT_X 78 TILEPROP INT_R_X31Y59 GRID_POINT_Y 94 TILEPROP INT_R_X31Y59 INDEX 10888 TILEPROP INT_R_X31Y59 INT_TILE_X 31 TILEPROP INT_R_X31Y59 INT_TILE_Y 90 TILEPROP INT_R_X31Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y59 IS_DCM_TILE 0 TILEPROP INT_R_X31Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y59 NAME INT_R_X31Y59 TILEPROP INT_R_X31Y59 NUM_ARCS 3737 TILEPROP INT_R_X31Y59 NUM_SITES 1 TILEPROP INT_R_X31Y59 ROW 94 TILEPROP INT_R_X31Y59 SLR_REGION_ID 0 TILEPROP INT_R_X31Y59 TILE_PATTERN_IDX 6893 TILEPROP INT_R_X31Y59 TILE_TYPE INT_R TILEPROP INT_R_X31Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y59 TILE_X 45240 TILEPROP INT_R_X31Y59 TILE_Y -49600 TILEPROP INT_R_X31Y59 TYPE INT_R TILEPROP INT_R_X31Y60 CLASS tile TILEPROP INT_R_X31Y60 COLUMN 78 TILEPROP INT_R_X31Y60 DEVICE_ID 0 TILEPROP INT_R_X31Y60 FIRST_SITE_ID 9347 TILEPROP INT_R_X31Y60 GRID_POINT_X 78 TILEPROP INT_R_X31Y60 GRID_POINT_Y 93 TILEPROP INT_R_X31Y60 INDEX 10773 TILEPROP INT_R_X31Y60 INT_TILE_X 31 TILEPROP INT_R_X31Y60 INT_TILE_Y 89 TILEPROP INT_R_X31Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y60 IS_DCM_TILE 0 TILEPROP INT_R_X31Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y60 NAME INT_R_X31Y60 TILEPROP INT_R_X31Y60 NUM_ARCS 3737 TILEPROP INT_R_X31Y60 NUM_SITES 1 TILEPROP INT_R_X31Y60 ROW 93 TILEPROP INT_R_X31Y60 SLR_REGION_ID 0 TILEPROP INT_R_X31Y60 TILE_PATTERN_IDX 6856 TILEPROP INT_R_X31Y60 TILE_TYPE INT_R TILEPROP INT_R_X31Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y60 TILE_X 45240 TILEPROP INT_R_X31Y60 TILE_Y -46400 TILEPROP INT_R_X31Y60 TYPE INT_R TILEPROP INT_R_X31Y61 CLASS tile TILEPROP INT_R_X31Y61 COLUMN 78 TILEPROP INT_R_X31Y61 DEVICE_ID 0 TILEPROP INT_R_X31Y61 FIRST_SITE_ID 9238 TILEPROP INT_R_X31Y61 GRID_POINT_X 78 TILEPROP INT_R_X31Y61 GRID_POINT_Y 92 TILEPROP INT_R_X31Y61 INDEX 10658 TILEPROP INT_R_X31Y61 INT_TILE_X 31 TILEPROP INT_R_X31Y61 INT_TILE_Y 88 TILEPROP INT_R_X31Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y61 IS_DCM_TILE 0 TILEPROP INT_R_X31Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y61 NAME INT_R_X31Y61 TILEPROP INT_R_X31Y61 NUM_ARCS 3737 TILEPROP INT_R_X31Y61 NUM_SITES 1 TILEPROP INT_R_X31Y61 ROW 92 TILEPROP INT_R_X31Y61 SLR_REGION_ID 0 TILEPROP INT_R_X31Y61 TILE_PATTERN_IDX 6819 TILEPROP INT_R_X31Y61 TILE_TYPE INT_R TILEPROP INT_R_X31Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y61 TILE_X 45240 TILEPROP INT_R_X31Y61 TILE_Y -43200 TILEPROP INT_R_X31Y61 TYPE INT_R TILEPROP INT_R_X31Y62 CLASS tile TILEPROP INT_R_X31Y62 COLUMN 78 TILEPROP INT_R_X31Y62 DEVICE_ID 0 TILEPROP INT_R_X31Y62 FIRST_SITE_ID 9138 TILEPROP INT_R_X31Y62 GRID_POINT_X 78 TILEPROP INT_R_X31Y62 GRID_POINT_Y 91 TILEPROP INT_R_X31Y62 INDEX 10543 TILEPROP INT_R_X31Y62 INT_TILE_X 31 TILEPROP INT_R_X31Y62 INT_TILE_Y 87 TILEPROP INT_R_X31Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y62 IS_DCM_TILE 0 TILEPROP INT_R_X31Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y62 NAME INT_R_X31Y62 TILEPROP INT_R_X31Y62 NUM_ARCS 3737 TILEPROP INT_R_X31Y62 NUM_SITES 1 TILEPROP INT_R_X31Y62 ROW 91 TILEPROP INT_R_X31Y62 SLR_REGION_ID 0 TILEPROP INT_R_X31Y62 TILE_PATTERN_IDX 6782 TILEPROP INT_R_X31Y62 TILE_TYPE INT_R TILEPROP INT_R_X31Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y62 TILE_X 45240 TILEPROP INT_R_X31Y62 TILE_Y -40000 TILEPROP INT_R_X31Y62 TYPE INT_R TILEPROP INT_R_X31Y63 CLASS tile TILEPROP INT_R_X31Y63 COLUMN 78 TILEPROP INT_R_X31Y63 DEVICE_ID 0 TILEPROP INT_R_X31Y63 FIRST_SITE_ID 9006 TILEPROP INT_R_X31Y63 GRID_POINT_X 78 TILEPROP INT_R_X31Y63 GRID_POINT_Y 90 TILEPROP INT_R_X31Y63 INDEX 10428 TILEPROP INT_R_X31Y63 INT_TILE_X 31 TILEPROP INT_R_X31Y63 INT_TILE_Y 86 TILEPROP INT_R_X31Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y63 IS_DCM_TILE 0 TILEPROP INT_R_X31Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y63 NAME INT_R_X31Y63 TILEPROP INT_R_X31Y63 NUM_ARCS 3737 TILEPROP INT_R_X31Y63 NUM_SITES 1 TILEPROP INT_R_X31Y63 ROW 90 TILEPROP INT_R_X31Y63 SLR_REGION_ID 0 TILEPROP INT_R_X31Y63 TILE_PATTERN_IDX 6745 TILEPROP INT_R_X31Y63 TILE_TYPE INT_R TILEPROP INT_R_X31Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y63 TILE_X 45240 TILEPROP INT_R_X31Y63 TILE_Y -36800 TILEPROP INT_R_X31Y63 TYPE INT_R TILEPROP INT_R_X31Y64 CLASS tile TILEPROP INT_R_X31Y64 COLUMN 78 TILEPROP INT_R_X31Y64 DEVICE_ID 0 TILEPROP INT_R_X31Y64 FIRST_SITE_ID 8906 TILEPROP INT_R_X31Y64 GRID_POINT_X 78 TILEPROP INT_R_X31Y64 GRID_POINT_Y 89 TILEPROP INT_R_X31Y64 INDEX 10313 TILEPROP INT_R_X31Y64 INT_TILE_X 31 TILEPROP INT_R_X31Y64 INT_TILE_Y 85 TILEPROP INT_R_X31Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y64 IS_DCM_TILE 0 TILEPROP INT_R_X31Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y64 NAME INT_R_X31Y64 TILEPROP INT_R_X31Y64 NUM_ARCS 3737 TILEPROP INT_R_X31Y64 NUM_SITES 1 TILEPROP INT_R_X31Y64 ROW 89 TILEPROP INT_R_X31Y64 SLR_REGION_ID 0 TILEPROP INT_R_X31Y64 TILE_PATTERN_IDX 6708 TILEPROP INT_R_X31Y64 TILE_TYPE INT_R TILEPROP INT_R_X31Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y64 TILE_X 45240 TILEPROP INT_R_X31Y64 TILE_Y -33600 TILEPROP INT_R_X31Y64 TYPE INT_R TILEPROP INT_R_X31Y65 CLASS tile TILEPROP INT_R_X31Y65 COLUMN 78 TILEPROP INT_R_X31Y65 DEVICE_ID 0 TILEPROP INT_R_X31Y65 FIRST_SITE_ID 8800 TILEPROP INT_R_X31Y65 GRID_POINT_X 78 TILEPROP INT_R_X31Y65 GRID_POINT_Y 88 TILEPROP INT_R_X31Y65 INDEX 10198 TILEPROP INT_R_X31Y65 INT_TILE_X 31 TILEPROP INT_R_X31Y65 INT_TILE_Y 84 TILEPROP INT_R_X31Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y65 IS_DCM_TILE 0 TILEPROP INT_R_X31Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y65 NAME INT_R_X31Y65 TILEPROP INT_R_X31Y65 NUM_ARCS 3737 TILEPROP INT_R_X31Y65 NUM_SITES 1 TILEPROP INT_R_X31Y65 ROW 88 TILEPROP INT_R_X31Y65 SLR_REGION_ID 0 TILEPROP INT_R_X31Y65 TILE_PATTERN_IDX 6671 TILEPROP INT_R_X31Y65 TILE_TYPE INT_R TILEPROP INT_R_X31Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y65 TILE_X 45240 TILEPROP INT_R_X31Y65 TILE_Y -30400 TILEPROP INT_R_X31Y65 TYPE INT_R TILEPROP INT_R_X31Y66 CLASS tile TILEPROP INT_R_X31Y66 COLUMN 78 TILEPROP INT_R_X31Y66 DEVICE_ID 0 TILEPROP INT_R_X31Y66 FIRST_SITE_ID 8691 TILEPROP INT_R_X31Y66 GRID_POINT_X 78 TILEPROP INT_R_X31Y66 GRID_POINT_Y 87 TILEPROP INT_R_X31Y66 INDEX 10083 TILEPROP INT_R_X31Y66 INT_TILE_X 31 TILEPROP INT_R_X31Y66 INT_TILE_Y 83 TILEPROP INT_R_X31Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y66 IS_DCM_TILE 0 TILEPROP INT_R_X31Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y66 NAME INT_R_X31Y66 TILEPROP INT_R_X31Y66 NUM_ARCS 3737 TILEPROP INT_R_X31Y66 NUM_SITES 1 TILEPROP INT_R_X31Y66 ROW 87 TILEPROP INT_R_X31Y66 SLR_REGION_ID 0 TILEPROP INT_R_X31Y66 TILE_PATTERN_IDX 6634 TILEPROP INT_R_X31Y66 TILE_TYPE INT_R TILEPROP INT_R_X31Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y66 TILE_X 45240 TILEPROP INT_R_X31Y66 TILE_Y -27200 TILEPROP INT_R_X31Y66 TYPE INT_R TILEPROP INT_R_X31Y67 CLASS tile TILEPROP INT_R_X31Y67 COLUMN 78 TILEPROP INT_R_X31Y67 DEVICE_ID 0 TILEPROP INT_R_X31Y67 FIRST_SITE_ID 8587 TILEPROP INT_R_X31Y67 GRID_POINT_X 78 TILEPROP INT_R_X31Y67 GRID_POINT_Y 86 TILEPROP INT_R_X31Y67 INDEX 9968 TILEPROP INT_R_X31Y67 INT_TILE_X 31 TILEPROP INT_R_X31Y67 INT_TILE_Y 82 TILEPROP INT_R_X31Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y67 IS_DCM_TILE 0 TILEPROP INT_R_X31Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y67 NAME INT_R_X31Y67 TILEPROP INT_R_X31Y67 NUM_ARCS 3737 TILEPROP INT_R_X31Y67 NUM_SITES 1 TILEPROP INT_R_X31Y67 ROW 86 TILEPROP INT_R_X31Y67 SLR_REGION_ID 0 TILEPROP INT_R_X31Y67 TILE_PATTERN_IDX 6596 TILEPROP INT_R_X31Y67 TILE_TYPE INT_R TILEPROP INT_R_X31Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y67 TILE_X 45240 TILEPROP INT_R_X31Y67 TILE_Y -24000 TILEPROP INT_R_X31Y67 TYPE INT_R TILEPROP INT_R_X31Y68 CLASS tile TILEPROP INT_R_X31Y68 COLUMN 78 TILEPROP INT_R_X31Y68 DEVICE_ID 0 TILEPROP INT_R_X31Y68 FIRST_SITE_ID 8483 TILEPROP INT_R_X31Y68 GRID_POINT_X 78 TILEPROP INT_R_X31Y68 GRID_POINT_Y 85 TILEPROP INT_R_X31Y68 INDEX 9853 TILEPROP INT_R_X31Y68 INT_TILE_X 31 TILEPROP INT_R_X31Y68 INT_TILE_Y 81 TILEPROP INT_R_X31Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y68 IS_DCM_TILE 0 TILEPROP INT_R_X31Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y68 NAME INT_R_X31Y68 TILEPROP INT_R_X31Y68 NUM_ARCS 3737 TILEPROP INT_R_X31Y68 NUM_SITES 1 TILEPROP INT_R_X31Y68 ROW 85 TILEPROP INT_R_X31Y68 SLR_REGION_ID 0 TILEPROP INT_R_X31Y68 TILE_PATTERN_IDX 6564 TILEPROP INT_R_X31Y68 TILE_TYPE INT_R TILEPROP INT_R_X31Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y68 TILE_X 45240 TILEPROP INT_R_X31Y68 TILE_Y -20800 TILEPROP INT_R_X31Y68 TYPE INT_R TILEPROP INT_R_X31Y69 CLASS tile TILEPROP INT_R_X31Y69 COLUMN 78 TILEPROP INT_R_X31Y69 DEVICE_ID 0 TILEPROP INT_R_X31Y69 FIRST_SITE_ID 8381 TILEPROP INT_R_X31Y69 GRID_POINT_X 78 TILEPROP INT_R_X31Y69 GRID_POINT_Y 84 TILEPROP INT_R_X31Y69 INDEX 9738 TILEPROP INT_R_X31Y69 INT_TILE_X 31 TILEPROP INT_R_X31Y69 INT_TILE_Y 80 TILEPROP INT_R_X31Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y69 IS_DCM_TILE 0 TILEPROP INT_R_X31Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y69 NAME INT_R_X31Y69 TILEPROP INT_R_X31Y69 NUM_ARCS 3737 TILEPROP INT_R_X31Y69 NUM_SITES 1 TILEPROP INT_R_X31Y69 ROW 84 TILEPROP INT_R_X31Y69 SLR_REGION_ID 0 TILEPROP INT_R_X31Y69 TILE_PATTERN_IDX 6533 TILEPROP INT_R_X31Y69 TILE_TYPE INT_R TILEPROP INT_R_X31Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y69 TILE_X 45240 TILEPROP INT_R_X31Y69 TILE_Y -17600 TILEPROP INT_R_X31Y69 TYPE INT_R TILEPROP INT_R_X31Y70 CLASS tile TILEPROP INT_R_X31Y70 COLUMN 78 TILEPROP INT_R_X31Y70 DEVICE_ID 0 TILEPROP INT_R_X31Y70 FIRST_SITE_ID 8273 TILEPROP INT_R_X31Y70 GRID_POINT_X 78 TILEPROP INT_R_X31Y70 GRID_POINT_Y 83 TILEPROP INT_R_X31Y70 INDEX 9623 TILEPROP INT_R_X31Y70 INT_TILE_X 31 TILEPROP INT_R_X31Y70 INT_TILE_Y 79 TILEPROP INT_R_X31Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y70 IS_DCM_TILE 0 TILEPROP INT_R_X31Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y70 NAME INT_R_X31Y70 TILEPROP INT_R_X31Y70 NUM_ARCS 3737 TILEPROP INT_R_X31Y70 NUM_SITES 1 TILEPROP INT_R_X31Y70 ROW 83 TILEPROP INT_R_X31Y70 SLR_REGION_ID 0 TILEPROP INT_R_X31Y70 TILE_PATTERN_IDX 6502 TILEPROP INT_R_X31Y70 TILE_TYPE INT_R TILEPROP INT_R_X31Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y70 TILE_X 45240 TILEPROP INT_R_X31Y70 TILE_Y -14400 TILEPROP INT_R_X31Y70 TYPE INT_R TILEPROP INT_R_X31Y71 CLASS tile TILEPROP INT_R_X31Y71 COLUMN 78 TILEPROP INT_R_X31Y71 DEVICE_ID 0 TILEPROP INT_R_X31Y71 FIRST_SITE_ID 8164 TILEPROP INT_R_X31Y71 GRID_POINT_X 78 TILEPROP INT_R_X31Y71 GRID_POINT_Y 82 TILEPROP INT_R_X31Y71 INDEX 9508 TILEPROP INT_R_X31Y71 INT_TILE_X 31 TILEPROP INT_R_X31Y71 INT_TILE_Y 78 TILEPROP INT_R_X31Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y71 IS_DCM_TILE 0 TILEPROP INT_R_X31Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y71 NAME INT_R_X31Y71 TILEPROP INT_R_X31Y71 NUM_ARCS 3737 TILEPROP INT_R_X31Y71 NUM_SITES 1 TILEPROP INT_R_X31Y71 ROW 82 TILEPROP INT_R_X31Y71 SLR_REGION_ID 0 TILEPROP INT_R_X31Y71 TILE_PATTERN_IDX 6471 TILEPROP INT_R_X31Y71 TILE_TYPE INT_R TILEPROP INT_R_X31Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y71 TILE_X 45240 TILEPROP INT_R_X31Y71 TILE_Y -11200 TILEPROP INT_R_X31Y71 TYPE INT_R TILEPROP INT_R_X31Y72 CLASS tile TILEPROP INT_R_X31Y72 COLUMN 78 TILEPROP INT_R_X31Y72 DEVICE_ID 0 TILEPROP INT_R_X31Y72 FIRST_SITE_ID 8064 TILEPROP INT_R_X31Y72 GRID_POINT_X 78 TILEPROP INT_R_X31Y72 GRID_POINT_Y 81 TILEPROP INT_R_X31Y72 INDEX 9393 TILEPROP INT_R_X31Y72 INT_TILE_X 31 TILEPROP INT_R_X31Y72 INT_TILE_Y 77 TILEPROP INT_R_X31Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y72 IS_DCM_TILE 0 TILEPROP INT_R_X31Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y72 NAME INT_R_X31Y72 TILEPROP INT_R_X31Y72 NUM_ARCS 3737 TILEPROP INT_R_X31Y72 NUM_SITES 1 TILEPROP INT_R_X31Y72 ROW 81 TILEPROP INT_R_X31Y72 SLR_REGION_ID 0 TILEPROP INT_R_X31Y72 TILE_PATTERN_IDX 6440 TILEPROP INT_R_X31Y72 TILE_TYPE INT_R TILEPROP INT_R_X31Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y72 TILE_X 45240 TILEPROP INT_R_X31Y72 TILE_Y -8000 TILEPROP INT_R_X31Y72 TYPE INT_R TILEPROP INT_R_X31Y73 CLASS tile TILEPROP INT_R_X31Y73 COLUMN 78 TILEPROP INT_R_X31Y73 DEVICE_ID 0 TILEPROP INT_R_X31Y73 FIRST_SITE_ID 7964 TILEPROP INT_R_X31Y73 GRID_POINT_X 78 TILEPROP INT_R_X31Y73 GRID_POINT_Y 80 TILEPROP INT_R_X31Y73 INDEX 9278 TILEPROP INT_R_X31Y73 INT_TILE_X 31 TILEPROP INT_R_X31Y73 INT_TILE_Y 76 TILEPROP INT_R_X31Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y73 IS_DCM_TILE 0 TILEPROP INT_R_X31Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y73 NAME INT_R_X31Y73 TILEPROP INT_R_X31Y73 NUM_ARCS 3737 TILEPROP INT_R_X31Y73 NUM_SITES 1 TILEPROP INT_R_X31Y73 ROW 80 TILEPROP INT_R_X31Y73 SLR_REGION_ID 0 TILEPROP INT_R_X31Y73 TILE_PATTERN_IDX 6409 TILEPROP INT_R_X31Y73 TILE_TYPE INT_R TILEPROP INT_R_X31Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y73 TILE_X 45240 TILEPROP INT_R_X31Y73 TILE_Y -4800 TILEPROP INT_R_X31Y73 TYPE INT_R TILEPROP INT_R_X31Y74 CLASS tile TILEPROP INT_R_X31Y74 COLUMN 78 TILEPROP INT_R_X31Y74 DEVICE_ID 0 TILEPROP INT_R_X31Y74 FIRST_SITE_ID 7864 TILEPROP INT_R_X31Y74 GRID_POINT_X 78 TILEPROP INT_R_X31Y74 GRID_POINT_Y 79 TILEPROP INT_R_X31Y74 INDEX 9163 TILEPROP INT_R_X31Y74 INT_TILE_X 31 TILEPROP INT_R_X31Y74 INT_TILE_Y 75 TILEPROP INT_R_X31Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y74 IS_DCM_TILE 0 TILEPROP INT_R_X31Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y74 NAME INT_R_X31Y74 TILEPROP INT_R_X31Y74 NUM_ARCS 3737 TILEPROP INT_R_X31Y74 NUM_SITES 1 TILEPROP INT_R_X31Y74 ROW 79 TILEPROP INT_R_X31Y74 SLR_REGION_ID 0 TILEPROP INT_R_X31Y74 TILE_PATTERN_IDX 6378 TILEPROP INT_R_X31Y74 TILE_TYPE INT_R TILEPROP INT_R_X31Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y74 TILE_X 45240 TILEPROP INT_R_X31Y74 TILE_Y -1600 TILEPROP INT_R_X31Y74 TYPE INT_R TILEPROP INT_R_X31Y75 CLASS tile TILEPROP INT_R_X31Y75 COLUMN 78 TILEPROP INT_R_X31Y75 DEVICE_ID 0 TILEPROP INT_R_X31Y75 FIRST_SITE_ID 7675 TILEPROP INT_R_X31Y75 GRID_POINT_X 78 TILEPROP INT_R_X31Y75 GRID_POINT_Y 77 TILEPROP INT_R_X31Y75 INDEX 8933 TILEPROP INT_R_X31Y75 INT_TILE_X 31 TILEPROP INT_R_X31Y75 INT_TILE_Y 74 TILEPROP INT_R_X31Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y75 IS_DCM_TILE 0 TILEPROP INT_R_X31Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y75 NAME INT_R_X31Y75 TILEPROP INT_R_X31Y75 NUM_ARCS 3737 TILEPROP INT_R_X31Y75 NUM_SITES 1 TILEPROP INT_R_X31Y75 ROW 77 TILEPROP INT_R_X31Y75 SLR_REGION_ID 0 TILEPROP INT_R_X31Y75 TILE_PATTERN_IDX 6304 TILEPROP INT_R_X31Y75 TILE_TYPE INT_R TILEPROP INT_R_X31Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y75 TILE_X 45240 TILEPROP INT_R_X31Y75 TILE_Y 2624 TILEPROP INT_R_X31Y75 TYPE INT_R TILEPROP INT_R_X31Y76 CLASS tile TILEPROP INT_R_X31Y76 COLUMN 78 TILEPROP INT_R_X31Y76 DEVICE_ID 0 TILEPROP INT_R_X31Y76 FIRST_SITE_ID 7563 TILEPROP INT_R_X31Y76 GRID_POINT_X 78 TILEPROP INT_R_X31Y76 GRID_POINT_Y 76 TILEPROP INT_R_X31Y76 INDEX 8818 TILEPROP INT_R_X31Y76 INT_TILE_X 31 TILEPROP INT_R_X31Y76 INT_TILE_Y 73 TILEPROP INT_R_X31Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y76 IS_DCM_TILE 0 TILEPROP INT_R_X31Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y76 NAME INT_R_X31Y76 TILEPROP INT_R_X31Y76 NUM_ARCS 3737 TILEPROP INT_R_X31Y76 NUM_SITES 1 TILEPROP INT_R_X31Y76 ROW 76 TILEPROP INT_R_X31Y76 SLR_REGION_ID 0 TILEPROP INT_R_X31Y76 TILE_PATTERN_IDX 6270 TILEPROP INT_R_X31Y76 TILE_TYPE INT_R TILEPROP INT_R_X31Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y76 TILE_X 45240 TILEPROP INT_R_X31Y76 TILE_Y 5824 TILEPROP INT_R_X31Y76 TYPE INT_R TILEPROP INT_R_X31Y77 CLASS tile TILEPROP INT_R_X31Y77 COLUMN 78 TILEPROP INT_R_X31Y77 DEVICE_ID 0 TILEPROP INT_R_X31Y77 FIRST_SITE_ID 7463 TILEPROP INT_R_X31Y77 GRID_POINT_X 78 TILEPROP INT_R_X31Y77 GRID_POINT_Y 75 TILEPROP INT_R_X31Y77 INDEX 8703 TILEPROP INT_R_X31Y77 INT_TILE_X 31 TILEPROP INT_R_X31Y77 INT_TILE_Y 72 TILEPROP INT_R_X31Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y77 IS_DCM_TILE 0 TILEPROP INT_R_X31Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y77 NAME INT_R_X31Y77 TILEPROP INT_R_X31Y77 NUM_ARCS 3737 TILEPROP INT_R_X31Y77 NUM_SITES 1 TILEPROP INT_R_X31Y77 ROW 75 TILEPROP INT_R_X31Y77 SLR_REGION_ID 0 TILEPROP INT_R_X31Y77 TILE_PATTERN_IDX 6236 TILEPROP INT_R_X31Y77 TILE_TYPE INT_R TILEPROP INT_R_X31Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y77 TILE_X 45240 TILEPROP INT_R_X31Y77 TILE_Y 9024 TILEPROP INT_R_X31Y77 TYPE INT_R TILEPROP INT_R_X31Y78 CLASS tile TILEPROP INT_R_X31Y78 COLUMN 78 TILEPROP INT_R_X31Y78 DEVICE_ID 0 TILEPROP INT_R_X31Y78 FIRST_SITE_ID 7363 TILEPROP INT_R_X31Y78 GRID_POINT_X 78 TILEPROP INT_R_X31Y78 GRID_POINT_Y 74 TILEPROP INT_R_X31Y78 INDEX 8588 TILEPROP INT_R_X31Y78 INT_TILE_X 31 TILEPROP INT_R_X31Y78 INT_TILE_Y 71 TILEPROP INT_R_X31Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y78 IS_DCM_TILE 0 TILEPROP INT_R_X31Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y78 NAME INT_R_X31Y78 TILEPROP INT_R_X31Y78 NUM_ARCS 3737 TILEPROP INT_R_X31Y78 NUM_SITES 1 TILEPROP INT_R_X31Y78 ROW 74 TILEPROP INT_R_X31Y78 SLR_REGION_ID 0 TILEPROP INT_R_X31Y78 TILE_PATTERN_IDX 6203 TILEPROP INT_R_X31Y78 TILE_TYPE INT_R TILEPROP INT_R_X31Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y78 TILE_X 45240 TILEPROP INT_R_X31Y78 TILE_Y 12224 TILEPROP INT_R_X31Y78 TYPE INT_R TILEPROP INT_R_X31Y79 CLASS tile TILEPROP INT_R_X31Y79 COLUMN 78 TILEPROP INT_R_X31Y79 DEVICE_ID 0 TILEPROP INT_R_X31Y79 FIRST_SITE_ID 7257 TILEPROP INT_R_X31Y79 GRID_POINT_X 78 TILEPROP INT_R_X31Y79 GRID_POINT_Y 73 TILEPROP INT_R_X31Y79 INDEX 8473 TILEPROP INT_R_X31Y79 INT_TILE_X 31 TILEPROP INT_R_X31Y79 INT_TILE_Y 70 TILEPROP INT_R_X31Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y79 IS_DCM_TILE 0 TILEPROP INT_R_X31Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y79 NAME INT_R_X31Y79 TILEPROP INT_R_X31Y79 NUM_ARCS 3737 TILEPROP INT_R_X31Y79 NUM_SITES 1 TILEPROP INT_R_X31Y79 ROW 73 TILEPROP INT_R_X31Y79 SLR_REGION_ID 0 TILEPROP INT_R_X31Y79 TILE_PATTERN_IDX 6168 TILEPROP INT_R_X31Y79 TILE_TYPE INT_R TILEPROP INT_R_X31Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y79 TILE_X 45240 TILEPROP INT_R_X31Y79 TILE_Y 15424 TILEPROP INT_R_X31Y79 TYPE INT_R TILEPROP INT_R_X31Y80 CLASS tile TILEPROP INT_R_X31Y80 COLUMN 78 TILEPROP INT_R_X31Y80 DEVICE_ID 0 TILEPROP INT_R_X31Y80 FIRST_SITE_ID 7145 TILEPROP INT_R_X31Y80 GRID_POINT_X 78 TILEPROP INT_R_X31Y80 GRID_POINT_Y 72 TILEPROP INT_R_X31Y80 INDEX 8358 TILEPROP INT_R_X31Y80 INT_TILE_X 31 TILEPROP INT_R_X31Y80 INT_TILE_Y 69 TILEPROP INT_R_X31Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y80 IS_DCM_TILE 0 TILEPROP INT_R_X31Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y80 NAME INT_R_X31Y80 TILEPROP INT_R_X31Y80 NUM_ARCS 3737 TILEPROP INT_R_X31Y80 NUM_SITES 1 TILEPROP INT_R_X31Y80 ROW 72 TILEPROP INT_R_X31Y80 SLR_REGION_ID 0 TILEPROP INT_R_X31Y80 TILE_PATTERN_IDX 6133 TILEPROP INT_R_X31Y80 TILE_TYPE INT_R TILEPROP INT_R_X31Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y80 TILE_X 45240 TILEPROP INT_R_X31Y80 TILE_Y 18624 TILEPROP INT_R_X31Y80 TYPE INT_R TILEPROP INT_R_X31Y81 CLASS tile TILEPROP INT_R_X31Y81 COLUMN 78 TILEPROP INT_R_X31Y81 DEVICE_ID 0 TILEPROP INT_R_X31Y81 FIRST_SITE_ID 7034 TILEPROP INT_R_X31Y81 GRID_POINT_X 78 TILEPROP INT_R_X31Y81 GRID_POINT_Y 71 TILEPROP INT_R_X31Y81 INDEX 8243 TILEPROP INT_R_X31Y81 INT_TILE_X 31 TILEPROP INT_R_X31Y81 INT_TILE_Y 68 TILEPROP INT_R_X31Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y81 IS_DCM_TILE 0 TILEPROP INT_R_X31Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y81 NAME INT_R_X31Y81 TILEPROP INT_R_X31Y81 NUM_ARCS 3737 TILEPROP INT_R_X31Y81 NUM_SITES 1 TILEPROP INT_R_X31Y81 ROW 71 TILEPROP INT_R_X31Y81 SLR_REGION_ID 0 TILEPROP INT_R_X31Y81 TILE_PATTERN_IDX 6099 TILEPROP INT_R_X31Y81 TILE_TYPE INT_R TILEPROP INT_R_X31Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y81 TILE_X 45240 TILEPROP INT_R_X31Y81 TILE_Y 21824 TILEPROP INT_R_X31Y81 TYPE INT_R TILEPROP INT_R_X31Y82 CLASS tile TILEPROP INT_R_X31Y82 COLUMN 78 TILEPROP INT_R_X31Y82 DEVICE_ID 0 TILEPROP INT_R_X31Y82 FIRST_SITE_ID 6932 TILEPROP INT_R_X31Y82 GRID_POINT_X 78 TILEPROP INT_R_X31Y82 GRID_POINT_Y 70 TILEPROP INT_R_X31Y82 INDEX 8128 TILEPROP INT_R_X31Y82 INT_TILE_X 31 TILEPROP INT_R_X31Y82 INT_TILE_Y 67 TILEPROP INT_R_X31Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y82 IS_DCM_TILE 0 TILEPROP INT_R_X31Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y82 NAME INT_R_X31Y82 TILEPROP INT_R_X31Y82 NUM_ARCS 3737 TILEPROP INT_R_X31Y82 NUM_SITES 1 TILEPROP INT_R_X31Y82 ROW 70 TILEPROP INT_R_X31Y82 SLR_REGION_ID 0 TILEPROP INT_R_X31Y82 TILE_PATTERN_IDX 6065 TILEPROP INT_R_X31Y82 TILE_TYPE INT_R TILEPROP INT_R_X31Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y82 TILE_X 45240 TILEPROP INT_R_X31Y82 TILE_Y 25024 TILEPROP INT_R_X31Y82 TYPE INT_R TILEPROP INT_R_X31Y83 CLASS tile TILEPROP INT_R_X31Y83 COLUMN 78 TILEPROP INT_R_X31Y83 DEVICE_ID 0 TILEPROP INT_R_X31Y83 FIRST_SITE_ID 6832 TILEPROP INT_R_X31Y83 GRID_POINT_X 78 TILEPROP INT_R_X31Y83 GRID_POINT_Y 69 TILEPROP INT_R_X31Y83 INDEX 8013 TILEPROP INT_R_X31Y83 INT_TILE_X 31 TILEPROP INT_R_X31Y83 INT_TILE_Y 66 TILEPROP INT_R_X31Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y83 IS_DCM_TILE 0 TILEPROP INT_R_X31Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y83 NAME INT_R_X31Y83 TILEPROP INT_R_X31Y83 NUM_ARCS 3737 TILEPROP INT_R_X31Y83 NUM_SITES 1 TILEPROP INT_R_X31Y83 ROW 69 TILEPROP INT_R_X31Y83 SLR_REGION_ID 0 TILEPROP INT_R_X31Y83 TILE_PATTERN_IDX 6031 TILEPROP INT_R_X31Y83 TILE_TYPE INT_R TILEPROP INT_R_X31Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y83 TILE_X 45240 TILEPROP INT_R_X31Y83 TILE_Y 28224 TILEPROP INT_R_X31Y83 TYPE INT_R TILEPROP INT_R_X31Y84 CLASS tile TILEPROP INT_R_X31Y84 COLUMN 78 TILEPROP INT_R_X31Y84 DEVICE_ID 0 TILEPROP INT_R_X31Y84 FIRST_SITE_ID 6732 TILEPROP INT_R_X31Y84 GRID_POINT_X 78 TILEPROP INT_R_X31Y84 GRID_POINT_Y 68 TILEPROP INT_R_X31Y84 INDEX 7898 TILEPROP INT_R_X31Y84 INT_TILE_X 31 TILEPROP INT_R_X31Y84 INT_TILE_Y 65 TILEPROP INT_R_X31Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y84 IS_DCM_TILE 0 TILEPROP INT_R_X31Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y84 NAME INT_R_X31Y84 TILEPROP INT_R_X31Y84 NUM_ARCS 3737 TILEPROP INT_R_X31Y84 NUM_SITES 1 TILEPROP INT_R_X31Y84 ROW 68 TILEPROP INT_R_X31Y84 SLR_REGION_ID 0 TILEPROP INT_R_X31Y84 TILE_PATTERN_IDX 5997 TILEPROP INT_R_X31Y84 TILE_TYPE INT_R TILEPROP INT_R_X31Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y84 TILE_X 45240 TILEPROP INT_R_X31Y84 TILE_Y 31424 TILEPROP INT_R_X31Y84 TYPE INT_R TILEPROP INT_R_X31Y85 CLASS tile TILEPROP INT_R_X31Y85 COLUMN 78 TILEPROP INT_R_X31Y85 DEVICE_ID 0 TILEPROP INT_R_X31Y85 FIRST_SITE_ID 6626 TILEPROP INT_R_X31Y85 GRID_POINT_X 78 TILEPROP INT_R_X31Y85 GRID_POINT_Y 67 TILEPROP INT_R_X31Y85 INDEX 7783 TILEPROP INT_R_X31Y85 INT_TILE_X 31 TILEPROP INT_R_X31Y85 INT_TILE_Y 64 TILEPROP INT_R_X31Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y85 IS_DCM_TILE 0 TILEPROP INT_R_X31Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y85 NAME INT_R_X31Y85 TILEPROP INT_R_X31Y85 NUM_ARCS 3737 TILEPROP INT_R_X31Y85 NUM_SITES 1 TILEPROP INT_R_X31Y85 ROW 67 TILEPROP INT_R_X31Y85 SLR_REGION_ID 0 TILEPROP INT_R_X31Y85 TILE_PATTERN_IDX 5963 TILEPROP INT_R_X31Y85 TILE_TYPE INT_R TILEPROP INT_R_X31Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y85 TILE_X 45240 TILEPROP INT_R_X31Y85 TILE_Y 34624 TILEPROP INT_R_X31Y85 TYPE INT_R TILEPROP INT_R_X31Y86 CLASS tile TILEPROP INT_R_X31Y86 COLUMN 78 TILEPROP INT_R_X31Y86 DEVICE_ID 0 TILEPROP INT_R_X31Y86 FIRST_SITE_ID 6517 TILEPROP INT_R_X31Y86 GRID_POINT_X 78 TILEPROP INT_R_X31Y86 GRID_POINT_Y 66 TILEPROP INT_R_X31Y86 INDEX 7668 TILEPROP INT_R_X31Y86 INT_TILE_X 31 TILEPROP INT_R_X31Y86 INT_TILE_Y 63 TILEPROP INT_R_X31Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y86 IS_DCM_TILE 0 TILEPROP INT_R_X31Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y86 NAME INT_R_X31Y86 TILEPROP INT_R_X31Y86 NUM_ARCS 3737 TILEPROP INT_R_X31Y86 NUM_SITES 1 TILEPROP INT_R_X31Y86 ROW 66 TILEPROP INT_R_X31Y86 SLR_REGION_ID 0 TILEPROP INT_R_X31Y86 TILE_PATTERN_IDX 5928 TILEPROP INT_R_X31Y86 TILE_TYPE INT_R TILEPROP INT_R_X31Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y86 TILE_X 45240 TILEPROP INT_R_X31Y86 TILE_Y 37824 TILEPROP INT_R_X31Y86 TYPE INT_R TILEPROP INT_R_X31Y87 CLASS tile TILEPROP INT_R_X31Y87 COLUMN 78 TILEPROP INT_R_X31Y87 DEVICE_ID 0 TILEPROP INT_R_X31Y87 FIRST_SITE_ID 6385 TILEPROP INT_R_X31Y87 GRID_POINT_X 78 TILEPROP INT_R_X31Y87 GRID_POINT_Y 65 TILEPROP INT_R_X31Y87 INDEX 7553 TILEPROP INT_R_X31Y87 INT_TILE_X 31 TILEPROP INT_R_X31Y87 INT_TILE_Y 62 TILEPROP INT_R_X31Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y87 IS_DCM_TILE 0 TILEPROP INT_R_X31Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y87 NAME INT_R_X31Y87 TILEPROP INT_R_X31Y87 NUM_ARCS 3737 TILEPROP INT_R_X31Y87 NUM_SITES 1 TILEPROP INT_R_X31Y87 ROW 65 TILEPROP INT_R_X31Y87 SLR_REGION_ID 0 TILEPROP INT_R_X31Y87 TILE_PATTERN_IDX 5893 TILEPROP INT_R_X31Y87 TILE_TYPE INT_R TILEPROP INT_R_X31Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y87 TILE_X 45240 TILEPROP INT_R_X31Y87 TILE_Y 41024 TILEPROP INT_R_X31Y87 TYPE INT_R TILEPROP INT_R_X31Y88 CLASS tile TILEPROP INT_R_X31Y88 COLUMN 78 TILEPROP INT_R_X31Y88 DEVICE_ID 0 TILEPROP INT_R_X31Y88 FIRST_SITE_ID 6285 TILEPROP INT_R_X31Y88 GRID_POINT_X 78 TILEPROP INT_R_X31Y88 GRID_POINT_Y 64 TILEPROP INT_R_X31Y88 INDEX 7438 TILEPROP INT_R_X31Y88 INT_TILE_X 31 TILEPROP INT_R_X31Y88 INT_TILE_Y 61 TILEPROP INT_R_X31Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y88 IS_DCM_TILE 0 TILEPROP INT_R_X31Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y88 NAME INT_R_X31Y88 TILEPROP INT_R_X31Y88 NUM_ARCS 3737 TILEPROP INT_R_X31Y88 NUM_SITES 1 TILEPROP INT_R_X31Y88 ROW 64 TILEPROP INT_R_X31Y88 SLR_REGION_ID 0 TILEPROP INT_R_X31Y88 TILE_PATTERN_IDX 5860 TILEPROP INT_R_X31Y88 TILE_TYPE INT_R TILEPROP INT_R_X31Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y88 TILE_X 45240 TILEPROP INT_R_X31Y88 TILE_Y 44224 TILEPROP INT_R_X31Y88 TYPE INT_R TILEPROP INT_R_X31Y89 CLASS tile TILEPROP INT_R_X31Y89 COLUMN 78 TILEPROP INT_R_X31Y89 DEVICE_ID 0 TILEPROP INT_R_X31Y89 FIRST_SITE_ID 6185 TILEPROP INT_R_X31Y89 GRID_POINT_X 78 TILEPROP INT_R_X31Y89 GRID_POINT_Y 63 TILEPROP INT_R_X31Y89 INDEX 7323 TILEPROP INT_R_X31Y89 INT_TILE_X 31 TILEPROP INT_R_X31Y89 INT_TILE_Y 60 TILEPROP INT_R_X31Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y89 IS_DCM_TILE 0 TILEPROP INT_R_X31Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y89 NAME INT_R_X31Y89 TILEPROP INT_R_X31Y89 NUM_ARCS 3737 TILEPROP INT_R_X31Y89 NUM_SITES 1 TILEPROP INT_R_X31Y89 ROW 63 TILEPROP INT_R_X31Y89 SLR_REGION_ID 0 TILEPROP INT_R_X31Y89 TILE_PATTERN_IDX 5826 TILEPROP INT_R_X31Y89 TILE_TYPE INT_R TILEPROP INT_R_X31Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y89 TILE_X 45240 TILEPROP INT_R_X31Y89 TILE_Y 47424 TILEPROP INT_R_X31Y89 TYPE INT_R TILEPROP INT_R_X31Y90 CLASS tile TILEPROP INT_R_X31Y90 COLUMN 78 TILEPROP INT_R_X31Y90 DEVICE_ID 0 TILEPROP INT_R_X31Y90 FIRST_SITE_ID 6079 TILEPROP INT_R_X31Y90 GRID_POINT_X 78 TILEPROP INT_R_X31Y90 GRID_POINT_Y 62 TILEPROP INT_R_X31Y90 INDEX 7208 TILEPROP INT_R_X31Y90 INT_TILE_X 31 TILEPROP INT_R_X31Y90 INT_TILE_Y 59 TILEPROP INT_R_X31Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y90 IS_DCM_TILE 0 TILEPROP INT_R_X31Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y90 NAME INT_R_X31Y90 TILEPROP INT_R_X31Y90 NUM_ARCS 3737 TILEPROP INT_R_X31Y90 NUM_SITES 1 TILEPROP INT_R_X31Y90 ROW 62 TILEPROP INT_R_X31Y90 SLR_REGION_ID 0 TILEPROP INT_R_X31Y90 TILE_PATTERN_IDX 5792 TILEPROP INT_R_X31Y90 TILE_TYPE INT_R TILEPROP INT_R_X31Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y90 TILE_X 45240 TILEPROP INT_R_X31Y90 TILE_Y 50624 TILEPROP INT_R_X31Y90 TYPE INT_R TILEPROP INT_R_X31Y91 CLASS tile TILEPROP INT_R_X31Y91 COLUMN 78 TILEPROP INT_R_X31Y91 DEVICE_ID 0 TILEPROP INT_R_X31Y91 FIRST_SITE_ID 5970 TILEPROP INT_R_X31Y91 GRID_POINT_X 78 TILEPROP INT_R_X31Y91 GRID_POINT_Y 61 TILEPROP INT_R_X31Y91 INDEX 7093 TILEPROP INT_R_X31Y91 INT_TILE_X 31 TILEPROP INT_R_X31Y91 INT_TILE_Y 58 TILEPROP INT_R_X31Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y91 IS_DCM_TILE 0 TILEPROP INT_R_X31Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y91 NAME INT_R_X31Y91 TILEPROP INT_R_X31Y91 NUM_ARCS 3737 TILEPROP INT_R_X31Y91 NUM_SITES 1 TILEPROP INT_R_X31Y91 ROW 61 TILEPROP INT_R_X31Y91 SLR_REGION_ID 0 TILEPROP INT_R_X31Y91 TILE_PATTERN_IDX 5758 TILEPROP INT_R_X31Y91 TILE_TYPE INT_R TILEPROP INT_R_X31Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y91 TILE_X 45240 TILEPROP INT_R_X31Y91 TILE_Y 53824 TILEPROP INT_R_X31Y91 TYPE INT_R TILEPROP INT_R_X31Y92 CLASS tile TILEPROP INT_R_X31Y92 COLUMN 78 TILEPROP INT_R_X31Y92 DEVICE_ID 0 TILEPROP INT_R_X31Y92 FIRST_SITE_ID 5869 TILEPROP INT_R_X31Y92 GRID_POINT_X 78 TILEPROP INT_R_X31Y92 GRID_POINT_Y 60 TILEPROP INT_R_X31Y92 INDEX 6978 TILEPROP INT_R_X31Y92 INT_TILE_X 31 TILEPROP INT_R_X31Y92 INT_TILE_Y 57 TILEPROP INT_R_X31Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y92 IS_DCM_TILE 0 TILEPROP INT_R_X31Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y92 NAME INT_R_X31Y92 TILEPROP INT_R_X31Y92 NUM_ARCS 3737 TILEPROP INT_R_X31Y92 NUM_SITES 1 TILEPROP INT_R_X31Y92 ROW 60 TILEPROP INT_R_X31Y92 SLR_REGION_ID 0 TILEPROP INT_R_X31Y92 TILE_PATTERN_IDX 5725 TILEPROP INT_R_X31Y92 TILE_TYPE INT_R TILEPROP INT_R_X31Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y92 TILE_X 45240 TILEPROP INT_R_X31Y92 TILE_Y 57024 TILEPROP INT_R_X31Y92 TYPE INT_R TILEPROP INT_R_X31Y93 CLASS tile TILEPROP INT_R_X31Y93 COLUMN 78 TILEPROP INT_R_X31Y93 DEVICE_ID 0 TILEPROP INT_R_X31Y93 FIRST_SITE_ID 5766 TILEPROP INT_R_X31Y93 GRID_POINT_X 78 TILEPROP INT_R_X31Y93 GRID_POINT_Y 59 TILEPROP INT_R_X31Y93 INDEX 6863 TILEPROP INT_R_X31Y93 INT_TILE_X 31 TILEPROP INT_R_X31Y93 INT_TILE_Y 56 TILEPROP INT_R_X31Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y93 IS_DCM_TILE 0 TILEPROP INT_R_X31Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y93 NAME INT_R_X31Y93 TILEPROP INT_R_X31Y93 NUM_ARCS 3737 TILEPROP INT_R_X31Y93 NUM_SITES 1 TILEPROP INT_R_X31Y93 ROW 59 TILEPROP INT_R_X31Y93 SLR_REGION_ID 0 TILEPROP INT_R_X31Y93 TILE_PATTERN_IDX 5691 TILEPROP INT_R_X31Y93 TILE_TYPE INT_R TILEPROP INT_R_X31Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y93 TILE_X 45240 TILEPROP INT_R_X31Y93 TILE_Y 60224 TILEPROP INT_R_X31Y93 TYPE INT_R TILEPROP INT_R_X31Y94 CLASS tile TILEPROP INT_R_X31Y94 COLUMN 78 TILEPROP INT_R_X31Y94 DEVICE_ID 0 TILEPROP INT_R_X31Y94 FIRST_SITE_ID 5664 TILEPROP INT_R_X31Y94 GRID_POINT_X 78 TILEPROP INT_R_X31Y94 GRID_POINT_Y 58 TILEPROP INT_R_X31Y94 INDEX 6748 TILEPROP INT_R_X31Y94 INT_TILE_X 31 TILEPROP INT_R_X31Y94 INT_TILE_Y 55 TILEPROP INT_R_X31Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y94 IS_DCM_TILE 0 TILEPROP INT_R_X31Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y94 NAME INT_R_X31Y94 TILEPROP INT_R_X31Y94 NUM_ARCS 3737 TILEPROP INT_R_X31Y94 NUM_SITES 1 TILEPROP INT_R_X31Y94 ROW 58 TILEPROP INT_R_X31Y94 SLR_REGION_ID 0 TILEPROP INT_R_X31Y94 TILE_PATTERN_IDX 5657 TILEPROP INT_R_X31Y94 TILE_TYPE INT_R TILEPROP INT_R_X31Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y94 TILE_X 45240 TILEPROP INT_R_X31Y94 TILE_Y 63424 TILEPROP INT_R_X31Y94 TYPE INT_R TILEPROP INT_R_X31Y95 CLASS tile TILEPROP INT_R_X31Y95 COLUMN 78 TILEPROP INT_R_X31Y95 DEVICE_ID 0 TILEPROP INT_R_X31Y95 FIRST_SITE_ID 5558 TILEPROP INT_R_X31Y95 GRID_POINT_X 78 TILEPROP INT_R_X31Y95 GRID_POINT_Y 57 TILEPROP INT_R_X31Y95 INDEX 6633 TILEPROP INT_R_X31Y95 INT_TILE_X 31 TILEPROP INT_R_X31Y95 INT_TILE_Y 54 TILEPROP INT_R_X31Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y95 IS_DCM_TILE 0 TILEPROP INT_R_X31Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y95 NAME INT_R_X31Y95 TILEPROP INT_R_X31Y95 NUM_ARCS 3737 TILEPROP INT_R_X31Y95 NUM_SITES 1 TILEPROP INT_R_X31Y95 ROW 57 TILEPROP INT_R_X31Y95 SLR_REGION_ID 0 TILEPROP INT_R_X31Y95 TILE_PATTERN_IDX 5623 TILEPROP INT_R_X31Y95 TILE_TYPE INT_R TILEPROP INT_R_X31Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y95 TILE_X 45240 TILEPROP INT_R_X31Y95 TILE_Y 66624 TILEPROP INT_R_X31Y95 TYPE INT_R TILEPROP INT_R_X31Y96 CLASS tile TILEPROP INT_R_X31Y96 COLUMN 78 TILEPROP INT_R_X31Y96 DEVICE_ID 0 TILEPROP INT_R_X31Y96 FIRST_SITE_ID 5449 TILEPROP INT_R_X31Y96 GRID_POINT_X 78 TILEPROP INT_R_X31Y96 GRID_POINT_Y 56 TILEPROP INT_R_X31Y96 INDEX 6518 TILEPROP INT_R_X31Y96 INT_TILE_X 31 TILEPROP INT_R_X31Y96 INT_TILE_Y 53 TILEPROP INT_R_X31Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y96 IS_DCM_TILE 0 TILEPROP INT_R_X31Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y96 NAME INT_R_X31Y96 TILEPROP INT_R_X31Y96 NUM_ARCS 3737 TILEPROP INT_R_X31Y96 NUM_SITES 1 TILEPROP INT_R_X31Y96 ROW 56 TILEPROP INT_R_X31Y96 SLR_REGION_ID 0 TILEPROP INT_R_X31Y96 TILE_PATTERN_IDX 5588 TILEPROP INT_R_X31Y96 TILE_TYPE INT_R TILEPROP INT_R_X31Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y96 TILE_X 45240 TILEPROP INT_R_X31Y96 TILE_Y 69824 TILEPROP INT_R_X31Y96 TYPE INT_R TILEPROP INT_R_X31Y97 CLASS tile TILEPROP INT_R_X31Y97 COLUMN 78 TILEPROP INT_R_X31Y97 DEVICE_ID 0 TILEPROP INT_R_X31Y97 FIRST_SITE_ID 5349 TILEPROP INT_R_X31Y97 GRID_POINT_X 78 TILEPROP INT_R_X31Y97 GRID_POINT_Y 55 TILEPROP INT_R_X31Y97 INDEX 6403 TILEPROP INT_R_X31Y97 INT_TILE_X 31 TILEPROP INT_R_X31Y97 INT_TILE_Y 52 TILEPROP INT_R_X31Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y97 IS_DCM_TILE 0 TILEPROP INT_R_X31Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y97 NAME INT_R_X31Y97 TILEPROP INT_R_X31Y97 NUM_ARCS 3737 TILEPROP INT_R_X31Y97 NUM_SITES 1 TILEPROP INT_R_X31Y97 ROW 55 TILEPROP INT_R_X31Y97 SLR_REGION_ID 0 TILEPROP INT_R_X31Y97 TILE_PATTERN_IDX 5554 TILEPROP INT_R_X31Y97 TILE_TYPE INT_R TILEPROP INT_R_X31Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y97 TILE_X 45240 TILEPROP INT_R_X31Y97 TILE_Y 73024 TILEPROP INT_R_X31Y97 TYPE INT_R TILEPROP INT_R_X31Y98 CLASS tile TILEPROP INT_R_X31Y98 COLUMN 78 TILEPROP INT_R_X31Y98 DEVICE_ID 0 TILEPROP INT_R_X31Y98 FIRST_SITE_ID 5249 TILEPROP INT_R_X31Y98 GRID_POINT_X 78 TILEPROP INT_R_X31Y98 GRID_POINT_Y 54 TILEPROP INT_R_X31Y98 INDEX 6288 TILEPROP INT_R_X31Y98 INT_TILE_X 31 TILEPROP INT_R_X31Y98 INT_TILE_Y 51 TILEPROP INT_R_X31Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y98 IS_DCM_TILE 0 TILEPROP INT_R_X31Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y98 NAME INT_R_X31Y98 TILEPROP INT_R_X31Y98 NUM_ARCS 3737 TILEPROP INT_R_X31Y98 NUM_SITES 1 TILEPROP INT_R_X31Y98 ROW 54 TILEPROP INT_R_X31Y98 SLR_REGION_ID 0 TILEPROP INT_R_X31Y98 TILE_PATTERN_IDX 5520 TILEPROP INT_R_X31Y98 TILE_TYPE INT_R TILEPROP INT_R_X31Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y98 TILE_X 45240 TILEPROP INT_R_X31Y98 TILE_Y 76224 TILEPROP INT_R_X31Y98 TYPE INT_R TILEPROP INT_R_X31Y99 CLASS tile TILEPROP INT_R_X31Y99 COLUMN 78 TILEPROP INT_R_X31Y99 DEVICE_ID 0 TILEPROP INT_R_X31Y99 FIRST_SITE_ID 5153 TILEPROP INT_R_X31Y99 GRID_POINT_X 78 TILEPROP INT_R_X31Y99 GRID_POINT_Y 53 TILEPROP INT_R_X31Y99 INDEX 6173 TILEPROP INT_R_X31Y99 INT_TILE_X 31 TILEPROP INT_R_X31Y99 INT_TILE_Y 50 TILEPROP INT_R_X31Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y99 IS_DCM_TILE 0 TILEPROP INT_R_X31Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y99 NAME INT_R_X31Y99 TILEPROP INT_R_X31Y99 NUM_ARCS 3737 TILEPROP INT_R_X31Y99 NUM_SITES 1 TILEPROP INT_R_X31Y99 ROW 53 TILEPROP INT_R_X31Y99 SLR_REGION_ID 0 TILEPROP INT_R_X31Y99 TILE_PATTERN_IDX 5487 TILEPROP INT_R_X31Y99 TILE_TYPE INT_R TILEPROP INT_R_X31Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y99 TILE_X 45240 TILEPROP INT_R_X31Y99 TILE_Y 79424 TILEPROP INT_R_X31Y99 TYPE INT_R TILEPROP INT_R_X31Y100 CLASS tile TILEPROP INT_R_X31Y100 COLUMN 78 TILEPROP INT_R_X31Y100 DEVICE_ID 0 TILEPROP INT_R_X31Y100 FIRST_SITE_ID 5068 TILEPROP INT_R_X31Y100 GRID_POINT_X 78 TILEPROP INT_R_X31Y100 GRID_POINT_Y 51 TILEPROP INT_R_X31Y100 INDEX 5943 TILEPROP INT_R_X31Y100 INT_TILE_X 31 TILEPROP INT_R_X31Y100 INT_TILE_Y 49 TILEPROP INT_R_X31Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y100 IS_DCM_TILE 0 TILEPROP INT_R_X31Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y100 NAME INT_R_X31Y100 TILEPROP INT_R_X31Y100 NUM_ARCS 3737 TILEPROP INT_R_X31Y100 NUM_SITES 1 TILEPROP INT_R_X31Y100 ROW 51 TILEPROP INT_R_X31Y100 SLR_REGION_ID 0 TILEPROP INT_R_X31Y100 TILE_PATTERN_IDX 5453 TILEPROP INT_R_X31Y100 TILE_TYPE INT_R TILEPROP INT_R_X31Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y100 TILE_X 45240 TILEPROP INT_R_X31Y100 TILE_Y 82872 TILEPROP INT_R_X31Y100 TYPE INT_R TILEPROP INT_R_X31Y101 CLASS tile TILEPROP INT_R_X31Y101 COLUMN 78 TILEPROP INT_R_X31Y101 DEVICE_ID 0 TILEPROP INT_R_X31Y101 FIRST_SITE_ID 4970 TILEPROP INT_R_X31Y101 GRID_POINT_X 78 TILEPROP INT_R_X31Y101 GRID_POINT_Y 50 TILEPROP INT_R_X31Y101 INDEX 5828 TILEPROP INT_R_X31Y101 INT_TILE_X 31 TILEPROP INT_R_X31Y101 INT_TILE_Y 48 TILEPROP INT_R_X31Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y101 IS_DCM_TILE 0 TILEPROP INT_R_X31Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y101 NAME INT_R_X31Y101 TILEPROP INT_R_X31Y101 NUM_ARCS 3737 TILEPROP INT_R_X31Y101 NUM_SITES 1 TILEPROP INT_R_X31Y101 ROW 50 TILEPROP INT_R_X31Y101 SLR_REGION_ID 0 TILEPROP INT_R_X31Y101 TILE_PATTERN_IDX 5417 TILEPROP INT_R_X31Y101 TILE_TYPE INT_R TILEPROP INT_R_X31Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y101 TILE_X 45240 TILEPROP INT_R_X31Y101 TILE_Y 86072 TILEPROP INT_R_X31Y101 TYPE INT_R TILEPROP INT_R_X31Y102 CLASS tile TILEPROP INT_R_X31Y102 COLUMN 78 TILEPROP INT_R_X31Y102 DEVICE_ID 0 TILEPROP INT_R_X31Y102 FIRST_SITE_ID 4874 TILEPROP INT_R_X31Y102 GRID_POINT_X 78 TILEPROP INT_R_X31Y102 GRID_POINT_Y 49 TILEPROP INT_R_X31Y102 INDEX 5713 TILEPROP INT_R_X31Y102 INT_TILE_X 31 TILEPROP INT_R_X31Y102 INT_TILE_Y 47 TILEPROP INT_R_X31Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y102 IS_DCM_TILE 0 TILEPROP INT_R_X31Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y102 NAME INT_R_X31Y102 TILEPROP INT_R_X31Y102 NUM_ARCS 3737 TILEPROP INT_R_X31Y102 NUM_SITES 1 TILEPROP INT_R_X31Y102 ROW 49 TILEPROP INT_R_X31Y102 SLR_REGION_ID 0 TILEPROP INT_R_X31Y102 TILE_PATTERN_IDX 5380 TILEPROP INT_R_X31Y102 TILE_TYPE INT_R TILEPROP INT_R_X31Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y102 TILE_X 45240 TILEPROP INT_R_X31Y102 TILE_Y 89272 TILEPROP INT_R_X31Y102 TYPE INT_R TILEPROP INT_R_X31Y103 CLASS tile TILEPROP INT_R_X31Y103 COLUMN 78 TILEPROP INT_R_X31Y103 DEVICE_ID 0 TILEPROP INT_R_X31Y103 FIRST_SITE_ID 4786 TILEPROP INT_R_X31Y103 GRID_POINT_X 78 TILEPROP INT_R_X31Y103 GRID_POINT_Y 48 TILEPROP INT_R_X31Y103 INDEX 5598 TILEPROP INT_R_X31Y103 INT_TILE_X 31 TILEPROP INT_R_X31Y103 INT_TILE_Y 46 TILEPROP INT_R_X31Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y103 IS_DCM_TILE 0 TILEPROP INT_R_X31Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y103 NAME INT_R_X31Y103 TILEPROP INT_R_X31Y103 NUM_ARCS 3737 TILEPROP INT_R_X31Y103 NUM_SITES 1 TILEPROP INT_R_X31Y103 ROW 48 TILEPROP INT_R_X31Y103 SLR_REGION_ID 0 TILEPROP INT_R_X31Y103 TILE_PATTERN_IDX 5344 TILEPROP INT_R_X31Y103 TILE_TYPE INT_R TILEPROP INT_R_X31Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y103 TILE_X 45240 TILEPROP INT_R_X31Y103 TILE_Y 92472 TILEPROP INT_R_X31Y103 TYPE INT_R TILEPROP INT_R_X31Y104 CLASS tile TILEPROP INT_R_X31Y104 COLUMN 78 TILEPROP INT_R_X31Y104 DEVICE_ID 0 TILEPROP INT_R_X31Y104 FIRST_SITE_ID 4690 TILEPROP INT_R_X31Y104 GRID_POINT_X 78 TILEPROP INT_R_X31Y104 GRID_POINT_Y 47 TILEPROP INT_R_X31Y104 INDEX 5483 TILEPROP INT_R_X31Y104 INT_TILE_X 31 TILEPROP INT_R_X31Y104 INT_TILE_Y 45 TILEPROP INT_R_X31Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y104 IS_DCM_TILE 0 TILEPROP INT_R_X31Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y104 NAME INT_R_X31Y104 TILEPROP INT_R_X31Y104 NUM_ARCS 3737 TILEPROP INT_R_X31Y104 NUM_SITES 1 TILEPROP INT_R_X31Y104 ROW 47 TILEPROP INT_R_X31Y104 SLR_REGION_ID 0 TILEPROP INT_R_X31Y104 TILE_PATTERN_IDX 5307 TILEPROP INT_R_X31Y104 TILE_TYPE INT_R TILEPROP INT_R_X31Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y104 TILE_X 45240 TILEPROP INT_R_X31Y104 TILE_Y 95672 TILEPROP INT_R_X31Y104 TYPE INT_R TILEPROP INT_R_X31Y105 CLASS tile TILEPROP INT_R_X31Y105 COLUMN 78 TILEPROP INT_R_X31Y105 DEVICE_ID 0 TILEPROP INT_R_X31Y105 FIRST_SITE_ID 4594 TILEPROP INT_R_X31Y105 GRID_POINT_X 78 TILEPROP INT_R_X31Y105 GRID_POINT_Y 46 TILEPROP INT_R_X31Y105 INDEX 5368 TILEPROP INT_R_X31Y105 INT_TILE_X 31 TILEPROP INT_R_X31Y105 INT_TILE_Y 44 TILEPROP INT_R_X31Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y105 IS_DCM_TILE 0 TILEPROP INT_R_X31Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y105 NAME INT_R_X31Y105 TILEPROP INT_R_X31Y105 NUM_ARCS 3737 TILEPROP INT_R_X31Y105 NUM_SITES 1 TILEPROP INT_R_X31Y105 ROW 46 TILEPROP INT_R_X31Y105 SLR_REGION_ID 0 TILEPROP INT_R_X31Y105 TILE_PATTERN_IDX 5271 TILEPROP INT_R_X31Y105 TILE_TYPE INT_R TILEPROP INT_R_X31Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y105 TILE_X 45240 TILEPROP INT_R_X31Y105 TILE_Y 98872 TILEPROP INT_R_X31Y105 TYPE INT_R TILEPROP INT_R_X31Y106 CLASS tile TILEPROP INT_R_X31Y106 COLUMN 78 TILEPROP INT_R_X31Y106 DEVICE_ID 0 TILEPROP INT_R_X31Y106 FIRST_SITE_ID 4492 TILEPROP INT_R_X31Y106 GRID_POINT_X 78 TILEPROP INT_R_X31Y106 GRID_POINT_Y 45 TILEPROP INT_R_X31Y106 INDEX 5253 TILEPROP INT_R_X31Y106 INT_TILE_X 31 TILEPROP INT_R_X31Y106 INT_TILE_Y 43 TILEPROP INT_R_X31Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y106 IS_DCM_TILE 0 TILEPROP INT_R_X31Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y106 NAME INT_R_X31Y106 TILEPROP INT_R_X31Y106 NUM_ARCS 3737 TILEPROP INT_R_X31Y106 NUM_SITES 1 TILEPROP INT_R_X31Y106 ROW 45 TILEPROP INT_R_X31Y106 SLR_REGION_ID 0 TILEPROP INT_R_X31Y106 TILE_PATTERN_IDX 5234 TILEPROP INT_R_X31Y106 TILE_TYPE INT_R TILEPROP INT_R_X31Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y106 TILE_X 45240 TILEPROP INT_R_X31Y106 TILE_Y 102072 TILEPROP INT_R_X31Y106 TYPE INT_R TILEPROP INT_R_X31Y107 CLASS tile TILEPROP INT_R_X31Y107 COLUMN 78 TILEPROP INT_R_X31Y107 DEVICE_ID 0 TILEPROP INT_R_X31Y107 FIRST_SITE_ID 4404 TILEPROP INT_R_X31Y107 GRID_POINT_X 78 TILEPROP INT_R_X31Y107 GRID_POINT_Y 44 TILEPROP INT_R_X31Y107 INDEX 5138 TILEPROP INT_R_X31Y107 INT_TILE_X 31 TILEPROP INT_R_X31Y107 INT_TILE_Y 42 TILEPROP INT_R_X31Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y107 IS_DCM_TILE 0 TILEPROP INT_R_X31Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y107 NAME INT_R_X31Y107 TILEPROP INT_R_X31Y107 NUM_ARCS 3737 TILEPROP INT_R_X31Y107 NUM_SITES 1 TILEPROP INT_R_X31Y107 ROW 44 TILEPROP INT_R_X31Y107 SLR_REGION_ID 0 TILEPROP INT_R_X31Y107 TILE_PATTERN_IDX 5198 TILEPROP INT_R_X31Y107 TILE_TYPE INT_R TILEPROP INT_R_X31Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y107 TILE_X 45240 TILEPROP INT_R_X31Y107 TILE_Y 105272 TILEPROP INT_R_X31Y107 TYPE INT_R TILEPROP INT_R_X31Y108 CLASS tile TILEPROP INT_R_X31Y108 COLUMN 78 TILEPROP INT_R_X31Y108 DEVICE_ID 0 TILEPROP INT_R_X31Y108 FIRST_SITE_ID 4306 TILEPROP INT_R_X31Y108 GRID_POINT_X 78 TILEPROP INT_R_X31Y108 GRID_POINT_Y 43 TILEPROP INT_R_X31Y108 INDEX 5023 TILEPROP INT_R_X31Y108 INT_TILE_X 31 TILEPROP INT_R_X31Y108 INT_TILE_Y 41 TILEPROP INT_R_X31Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y108 IS_DCM_TILE 0 TILEPROP INT_R_X31Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y108 NAME INT_R_X31Y108 TILEPROP INT_R_X31Y108 NUM_ARCS 3737 TILEPROP INT_R_X31Y108 NUM_SITES 1 TILEPROP INT_R_X31Y108 ROW 43 TILEPROP INT_R_X31Y108 SLR_REGION_ID 0 TILEPROP INT_R_X31Y108 TILE_PATTERN_IDX 5161 TILEPROP INT_R_X31Y108 TILE_TYPE INT_R TILEPROP INT_R_X31Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y108 TILE_X 45240 TILEPROP INT_R_X31Y108 TILE_Y 108472 TILEPROP INT_R_X31Y108 TYPE INT_R TILEPROP INT_R_X31Y109 CLASS tile TILEPROP INT_R_X31Y109 COLUMN 78 TILEPROP INT_R_X31Y109 DEVICE_ID 0 TILEPROP INT_R_X31Y109 FIRST_SITE_ID 4217 TILEPROP INT_R_X31Y109 GRID_POINT_X 78 TILEPROP INT_R_X31Y109 GRID_POINT_Y 42 TILEPROP INT_R_X31Y109 INDEX 4908 TILEPROP INT_R_X31Y109 INT_TILE_X 31 TILEPROP INT_R_X31Y109 INT_TILE_Y 40 TILEPROP INT_R_X31Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y109 IS_DCM_TILE 0 TILEPROP INT_R_X31Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y109 NAME INT_R_X31Y109 TILEPROP INT_R_X31Y109 NUM_ARCS 3737 TILEPROP INT_R_X31Y109 NUM_SITES 1 TILEPROP INT_R_X31Y109 ROW 42 TILEPROP INT_R_X31Y109 SLR_REGION_ID 0 TILEPROP INT_R_X31Y109 TILE_PATTERN_IDX 5125 TILEPROP INT_R_X31Y109 TILE_TYPE INT_R TILEPROP INT_R_X31Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y109 TILE_X 45240 TILEPROP INT_R_X31Y109 TILE_Y 111672 TILEPROP INT_R_X31Y109 TYPE INT_R TILEPROP INT_R_X31Y110 CLASS tile TILEPROP INT_R_X31Y110 COLUMN 78 TILEPROP INT_R_X31Y110 DEVICE_ID 0 TILEPROP INT_R_X31Y110 FIRST_SITE_ID 4118 TILEPROP INT_R_X31Y110 GRID_POINT_X 78 TILEPROP INT_R_X31Y110 GRID_POINT_Y 41 TILEPROP INT_R_X31Y110 INDEX 4793 TILEPROP INT_R_X31Y110 INT_TILE_X 31 TILEPROP INT_R_X31Y110 INT_TILE_Y 39 TILEPROP INT_R_X31Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y110 IS_DCM_TILE 0 TILEPROP INT_R_X31Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y110 NAME INT_R_X31Y110 TILEPROP INT_R_X31Y110 NUM_ARCS 3737 TILEPROP INT_R_X31Y110 NUM_SITES 1 TILEPROP INT_R_X31Y110 ROW 41 TILEPROP INT_R_X31Y110 SLR_REGION_ID 0 TILEPROP INT_R_X31Y110 TILE_PATTERN_IDX 5088 TILEPROP INT_R_X31Y110 TILE_TYPE INT_R TILEPROP INT_R_X31Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y110 TILE_X 45240 TILEPROP INT_R_X31Y110 TILE_Y 114872 TILEPROP INT_R_X31Y110 TYPE INT_R TILEPROP INT_R_X31Y111 CLASS tile TILEPROP INT_R_X31Y111 COLUMN 78 TILEPROP INT_R_X31Y111 DEVICE_ID 0 TILEPROP INT_R_X31Y111 FIRST_SITE_ID 4023 TILEPROP INT_R_X31Y111 GRID_POINT_X 78 TILEPROP INT_R_X31Y111 GRID_POINT_Y 40 TILEPROP INT_R_X31Y111 INDEX 4678 TILEPROP INT_R_X31Y111 INT_TILE_X 31 TILEPROP INT_R_X31Y111 INT_TILE_Y 38 TILEPROP INT_R_X31Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y111 IS_DCM_TILE 0 TILEPROP INT_R_X31Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y111 NAME INT_R_X31Y111 TILEPROP INT_R_X31Y111 NUM_ARCS 3737 TILEPROP INT_R_X31Y111 NUM_SITES 1 TILEPROP INT_R_X31Y111 ROW 40 TILEPROP INT_R_X31Y111 SLR_REGION_ID 0 TILEPROP INT_R_X31Y111 TILE_PATTERN_IDX 5052 TILEPROP INT_R_X31Y111 TILE_TYPE INT_R TILEPROP INT_R_X31Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y111 TILE_X 45240 TILEPROP INT_R_X31Y111 TILE_Y 118072 TILEPROP INT_R_X31Y111 TYPE INT_R TILEPROP INT_R_X31Y112 CLASS tile TILEPROP INT_R_X31Y112 COLUMN 78 TILEPROP INT_R_X31Y112 DEVICE_ID 0 TILEPROP INT_R_X31Y112 FIRST_SITE_ID 3927 TILEPROP INT_R_X31Y112 GRID_POINT_X 78 TILEPROP INT_R_X31Y112 GRID_POINT_Y 39 TILEPROP INT_R_X31Y112 INDEX 4563 TILEPROP INT_R_X31Y112 INT_TILE_X 31 TILEPROP INT_R_X31Y112 INT_TILE_Y 37 TILEPROP INT_R_X31Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y112 IS_DCM_TILE 0 TILEPROP INT_R_X31Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y112 NAME INT_R_X31Y112 TILEPROP INT_R_X31Y112 NUM_ARCS 3737 TILEPROP INT_R_X31Y112 NUM_SITES 1 TILEPROP INT_R_X31Y112 ROW 39 TILEPROP INT_R_X31Y112 SLR_REGION_ID 0 TILEPROP INT_R_X31Y112 TILE_PATTERN_IDX 5015 TILEPROP INT_R_X31Y112 TILE_TYPE INT_R TILEPROP INT_R_X31Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y112 TILE_X 45240 TILEPROP INT_R_X31Y112 TILE_Y 121272 TILEPROP INT_R_X31Y112 TYPE INT_R TILEPROP INT_R_X31Y113 CLASS tile TILEPROP INT_R_X31Y113 COLUMN 78 TILEPROP INT_R_X31Y113 DEVICE_ID 0 TILEPROP INT_R_X31Y113 FIRST_SITE_ID 3807 TILEPROP INT_R_X31Y113 GRID_POINT_X 78 TILEPROP INT_R_X31Y113 GRID_POINT_Y 38 TILEPROP INT_R_X31Y113 INDEX 4448 TILEPROP INT_R_X31Y113 INT_TILE_X 31 TILEPROP INT_R_X31Y113 INT_TILE_Y 36 TILEPROP INT_R_X31Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y113 IS_DCM_TILE 0 TILEPROP INT_R_X31Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y113 NAME INT_R_X31Y113 TILEPROP INT_R_X31Y113 NUM_ARCS 3737 TILEPROP INT_R_X31Y113 NUM_SITES 1 TILEPROP INT_R_X31Y113 ROW 38 TILEPROP INT_R_X31Y113 SLR_REGION_ID 0 TILEPROP INT_R_X31Y113 TILE_PATTERN_IDX 4978 TILEPROP INT_R_X31Y113 TILE_TYPE INT_R TILEPROP INT_R_X31Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y113 TILE_X 45240 TILEPROP INT_R_X31Y113 TILE_Y 124472 TILEPROP INT_R_X31Y113 TYPE INT_R TILEPROP INT_R_X31Y114 CLASS tile TILEPROP INT_R_X31Y114 COLUMN 78 TILEPROP INT_R_X31Y114 DEVICE_ID 0 TILEPROP INT_R_X31Y114 FIRST_SITE_ID 3711 TILEPROP INT_R_X31Y114 GRID_POINT_X 78 TILEPROP INT_R_X31Y114 GRID_POINT_Y 37 TILEPROP INT_R_X31Y114 INDEX 4333 TILEPROP INT_R_X31Y114 INT_TILE_X 31 TILEPROP INT_R_X31Y114 INT_TILE_Y 35 TILEPROP INT_R_X31Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y114 IS_DCM_TILE 0 TILEPROP INT_R_X31Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y114 NAME INT_R_X31Y114 TILEPROP INT_R_X31Y114 NUM_ARCS 3737 TILEPROP INT_R_X31Y114 NUM_SITES 1 TILEPROP INT_R_X31Y114 ROW 37 TILEPROP INT_R_X31Y114 SLR_REGION_ID 0 TILEPROP INT_R_X31Y114 TILE_PATTERN_IDX 4941 TILEPROP INT_R_X31Y114 TILE_TYPE INT_R TILEPROP INT_R_X31Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y114 TILE_X 45240 TILEPROP INT_R_X31Y114 TILE_Y 127672 TILEPROP INT_R_X31Y114 TYPE INT_R TILEPROP INT_R_X31Y115 CLASS tile TILEPROP INT_R_X31Y115 COLUMN 78 TILEPROP INT_R_X31Y115 DEVICE_ID 0 TILEPROP INT_R_X31Y115 FIRST_SITE_ID 3620 TILEPROP INT_R_X31Y115 GRID_POINT_X 78 TILEPROP INT_R_X31Y115 GRID_POINT_Y 36 TILEPROP INT_R_X31Y115 INDEX 4218 TILEPROP INT_R_X31Y115 INT_TILE_X 31 TILEPROP INT_R_X31Y115 INT_TILE_Y 34 TILEPROP INT_R_X31Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y115 IS_DCM_TILE 0 TILEPROP INT_R_X31Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y115 NAME INT_R_X31Y115 TILEPROP INT_R_X31Y115 NUM_ARCS 3737 TILEPROP INT_R_X31Y115 NUM_SITES 1 TILEPROP INT_R_X31Y115 ROW 36 TILEPROP INT_R_X31Y115 SLR_REGION_ID 0 TILEPROP INT_R_X31Y115 TILE_PATTERN_IDX 4905 TILEPROP INT_R_X31Y115 TILE_TYPE INT_R TILEPROP INT_R_X31Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y115 TILE_X 45240 TILEPROP INT_R_X31Y115 TILE_Y 130872 TILEPROP INT_R_X31Y115 TYPE INT_R TILEPROP INT_R_X31Y116 CLASS tile TILEPROP INT_R_X31Y116 COLUMN 78 TILEPROP INT_R_X31Y116 DEVICE_ID 0 TILEPROP INT_R_X31Y116 FIRST_SITE_ID 3513 TILEPROP INT_R_X31Y116 GRID_POINT_X 78 TILEPROP INT_R_X31Y116 GRID_POINT_Y 35 TILEPROP INT_R_X31Y116 INDEX 4103 TILEPROP INT_R_X31Y116 INT_TILE_X 31 TILEPROP INT_R_X31Y116 INT_TILE_Y 33 TILEPROP INT_R_X31Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y116 IS_DCM_TILE 0 TILEPROP INT_R_X31Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y116 NAME INT_R_X31Y116 TILEPROP INT_R_X31Y116 NUM_ARCS 3737 TILEPROP INT_R_X31Y116 NUM_SITES 1 TILEPROP INT_R_X31Y116 ROW 35 TILEPROP INT_R_X31Y116 SLR_REGION_ID 0 TILEPROP INT_R_X31Y116 TILE_PATTERN_IDX 4868 TILEPROP INT_R_X31Y116 TILE_TYPE INT_R TILEPROP INT_R_X31Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y116 TILE_X 45240 TILEPROP INT_R_X31Y116 TILE_Y 134072 TILEPROP INT_R_X31Y116 TYPE INT_R TILEPROP INT_R_X31Y117 CLASS tile TILEPROP INT_R_X31Y117 COLUMN 78 TILEPROP INT_R_X31Y117 DEVICE_ID 0 TILEPROP INT_R_X31Y117 FIRST_SITE_ID 3425 TILEPROP INT_R_X31Y117 GRID_POINT_X 78 TILEPROP INT_R_X31Y117 GRID_POINT_Y 34 TILEPROP INT_R_X31Y117 INDEX 3988 TILEPROP INT_R_X31Y117 INT_TILE_X 31 TILEPROP INT_R_X31Y117 INT_TILE_Y 32 TILEPROP INT_R_X31Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y117 IS_DCM_TILE 0 TILEPROP INT_R_X31Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y117 NAME INT_R_X31Y117 TILEPROP INT_R_X31Y117 NUM_ARCS 3737 TILEPROP INT_R_X31Y117 NUM_SITES 1 TILEPROP INT_R_X31Y117 ROW 34 TILEPROP INT_R_X31Y117 SLR_REGION_ID 0 TILEPROP INT_R_X31Y117 TILE_PATTERN_IDX 4832 TILEPROP INT_R_X31Y117 TILE_TYPE INT_R TILEPROP INT_R_X31Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y117 TILE_X 45240 TILEPROP INT_R_X31Y117 TILE_Y 137272 TILEPROP INT_R_X31Y117 TYPE INT_R TILEPROP INT_R_X31Y118 CLASS tile TILEPROP INT_R_X31Y118 COLUMN 78 TILEPROP INT_R_X31Y118 DEVICE_ID 0 TILEPROP INT_R_X31Y118 FIRST_SITE_ID 3325 TILEPROP INT_R_X31Y118 GRID_POINT_X 78 TILEPROP INT_R_X31Y118 GRID_POINT_Y 33 TILEPROP INT_R_X31Y118 INDEX 3873 TILEPROP INT_R_X31Y118 INT_TILE_X 31 TILEPROP INT_R_X31Y118 INT_TILE_Y 31 TILEPROP INT_R_X31Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y118 IS_DCM_TILE 0 TILEPROP INT_R_X31Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y118 NAME INT_R_X31Y118 TILEPROP INT_R_X31Y118 NUM_ARCS 3737 TILEPROP INT_R_X31Y118 NUM_SITES 1 TILEPROP INT_R_X31Y118 ROW 33 TILEPROP INT_R_X31Y118 SLR_REGION_ID 0 TILEPROP INT_R_X31Y118 TILE_PATTERN_IDX 4794 TILEPROP INT_R_X31Y118 TILE_TYPE INT_R TILEPROP INT_R_X31Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y118 TILE_X 45240 TILEPROP INT_R_X31Y118 TILE_Y 140472 TILEPROP INT_R_X31Y118 TYPE INT_R TILEPROP INT_R_X31Y119 CLASS tile TILEPROP INT_R_X31Y119 COLUMN 78 TILEPROP INT_R_X31Y119 DEVICE_ID 0 TILEPROP INT_R_X31Y119 FIRST_SITE_ID 3237 TILEPROP INT_R_X31Y119 GRID_POINT_X 78 TILEPROP INT_R_X31Y119 GRID_POINT_Y 32 TILEPROP INT_R_X31Y119 INDEX 3758 TILEPROP INT_R_X31Y119 INT_TILE_X 31 TILEPROP INT_R_X31Y119 INT_TILE_Y 30 TILEPROP INT_R_X31Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y119 IS_DCM_TILE 0 TILEPROP INT_R_X31Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y119 NAME INT_R_X31Y119 TILEPROP INT_R_X31Y119 NUM_ARCS 3737 TILEPROP INT_R_X31Y119 NUM_SITES 1 TILEPROP INT_R_X31Y119 ROW 32 TILEPROP INT_R_X31Y119 SLR_REGION_ID 0 TILEPROP INT_R_X31Y119 TILE_PATTERN_IDX 4758 TILEPROP INT_R_X31Y119 TILE_TYPE INT_R TILEPROP INT_R_X31Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y119 TILE_X 45240 TILEPROP INT_R_X31Y119 TILE_Y 143672 TILEPROP INT_R_X31Y119 TYPE INT_R TILEPROP INT_R_X31Y120 CLASS tile TILEPROP INT_R_X31Y120 COLUMN 78 TILEPROP INT_R_X31Y120 DEVICE_ID 0 TILEPROP INT_R_X31Y120 FIRST_SITE_ID 3136 TILEPROP INT_R_X31Y120 GRID_POINT_X 78 TILEPROP INT_R_X31Y120 GRID_POINT_Y 31 TILEPROP INT_R_X31Y120 INDEX 3643 TILEPROP INT_R_X31Y120 INT_TILE_X 31 TILEPROP INT_R_X31Y120 INT_TILE_Y 29 TILEPROP INT_R_X31Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y120 IS_DCM_TILE 0 TILEPROP INT_R_X31Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y120 NAME INT_R_X31Y120 TILEPROP INT_R_X31Y120 NUM_ARCS 3737 TILEPROP INT_R_X31Y120 NUM_SITES 1 TILEPROP INT_R_X31Y120 ROW 31 TILEPROP INT_R_X31Y120 SLR_REGION_ID 0 TILEPROP INT_R_X31Y120 TILE_PATTERN_IDX 4721 TILEPROP INT_R_X31Y120 TILE_TYPE INT_R TILEPROP INT_R_X31Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y120 TILE_X 45240 TILEPROP INT_R_X31Y120 TILE_Y 146872 TILEPROP INT_R_X31Y120 TYPE INT_R TILEPROP INT_R_X31Y121 CLASS tile TILEPROP INT_R_X31Y121 COLUMN 78 TILEPROP INT_R_X31Y121 DEVICE_ID 0 TILEPROP INT_R_X31Y121 FIRST_SITE_ID 3042 TILEPROP INT_R_X31Y121 GRID_POINT_X 78 TILEPROP INT_R_X31Y121 GRID_POINT_Y 30 TILEPROP INT_R_X31Y121 INDEX 3528 TILEPROP INT_R_X31Y121 INT_TILE_X 31 TILEPROP INT_R_X31Y121 INT_TILE_Y 28 TILEPROP INT_R_X31Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y121 IS_DCM_TILE 0 TILEPROP INT_R_X31Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y121 NAME INT_R_X31Y121 TILEPROP INT_R_X31Y121 NUM_ARCS 3737 TILEPROP INT_R_X31Y121 NUM_SITES 1 TILEPROP INT_R_X31Y121 ROW 30 TILEPROP INT_R_X31Y121 SLR_REGION_ID 0 TILEPROP INT_R_X31Y121 TILE_PATTERN_IDX 4685 TILEPROP INT_R_X31Y121 TILE_TYPE INT_R TILEPROP INT_R_X31Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y121 TILE_X 45240 TILEPROP INT_R_X31Y121 TILE_Y 150072 TILEPROP INT_R_X31Y121 TYPE INT_R TILEPROP INT_R_X31Y122 CLASS tile TILEPROP INT_R_X31Y122 COLUMN 78 TILEPROP INT_R_X31Y122 DEVICE_ID 0 TILEPROP INT_R_X31Y122 FIRST_SITE_ID 2939 TILEPROP INT_R_X31Y122 GRID_POINT_X 78 TILEPROP INT_R_X31Y122 GRID_POINT_Y 29 TILEPROP INT_R_X31Y122 INDEX 3413 TILEPROP INT_R_X31Y122 INT_TILE_X 31 TILEPROP INT_R_X31Y122 INT_TILE_Y 27 TILEPROP INT_R_X31Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y122 IS_DCM_TILE 0 TILEPROP INT_R_X31Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y122 NAME INT_R_X31Y122 TILEPROP INT_R_X31Y122 NUM_ARCS 3737 TILEPROP INT_R_X31Y122 NUM_SITES 1 TILEPROP INT_R_X31Y122 ROW 29 TILEPROP INT_R_X31Y122 SLR_REGION_ID 0 TILEPROP INT_R_X31Y122 TILE_PATTERN_IDX 4647 TILEPROP INT_R_X31Y122 TILE_TYPE INT_R TILEPROP INT_R_X31Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y122 TILE_X 45240 TILEPROP INT_R_X31Y122 TILE_Y 153272 TILEPROP INT_R_X31Y122 TYPE INT_R TILEPROP INT_R_X31Y123 CLASS tile TILEPROP INT_R_X31Y123 COLUMN 78 TILEPROP INT_R_X31Y123 DEVICE_ID 0 TILEPROP INT_R_X31Y123 FIRST_SITE_ID 2851 TILEPROP INT_R_X31Y123 GRID_POINT_X 78 TILEPROP INT_R_X31Y123 GRID_POINT_Y 28 TILEPROP INT_R_X31Y123 INDEX 3298 TILEPROP INT_R_X31Y123 INT_TILE_X 31 TILEPROP INT_R_X31Y123 INT_TILE_Y 26 TILEPROP INT_R_X31Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y123 IS_DCM_TILE 0 TILEPROP INT_R_X31Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y123 NAME INT_R_X31Y123 TILEPROP INT_R_X31Y123 NUM_ARCS 3737 TILEPROP INT_R_X31Y123 NUM_SITES 1 TILEPROP INT_R_X31Y123 ROW 28 TILEPROP INT_R_X31Y123 SLR_REGION_ID 0 TILEPROP INT_R_X31Y123 TILE_PATTERN_IDX 4611 TILEPROP INT_R_X31Y123 TILE_TYPE INT_R TILEPROP INT_R_X31Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y123 TILE_X 45240 TILEPROP INT_R_X31Y123 TILE_Y 156472 TILEPROP INT_R_X31Y123 TYPE INT_R TILEPROP INT_R_X31Y124 CLASS tile TILEPROP INT_R_X31Y124 COLUMN 78 TILEPROP INT_R_X31Y124 DEVICE_ID 0 TILEPROP INT_R_X31Y124 FIRST_SITE_ID 2755 TILEPROP INT_R_X31Y124 GRID_POINT_X 78 TILEPROP INT_R_X31Y124 GRID_POINT_Y 27 TILEPROP INT_R_X31Y124 INDEX 3183 TILEPROP INT_R_X31Y124 INT_TILE_X 31 TILEPROP INT_R_X31Y124 INT_TILE_Y 25 TILEPROP INT_R_X31Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y124 IS_DCM_TILE 0 TILEPROP INT_R_X31Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y124 NAME INT_R_X31Y124 TILEPROP INT_R_X31Y124 NUM_ARCS 3737 TILEPROP INT_R_X31Y124 NUM_SITES 1 TILEPROP INT_R_X31Y124 ROW 27 TILEPROP INT_R_X31Y124 SLR_REGION_ID 0 TILEPROP INT_R_X31Y124 TILE_PATTERN_IDX 4574 TILEPROP INT_R_X31Y124 TILE_TYPE INT_R TILEPROP INT_R_X31Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y124 TILE_X 45240 TILEPROP INT_R_X31Y124 TILE_Y 159672 TILEPROP INT_R_X31Y124 TYPE INT_R TILEPROP INT_R_X31Y125 CLASS tile TILEPROP INT_R_X31Y125 COLUMN 78 TILEPROP INT_R_X31Y125 DEVICE_ID 0 TILEPROP INT_R_X31Y125 FIRST_SITE_ID 2593 TILEPROP INT_R_X31Y125 GRID_POINT_X 78 TILEPROP INT_R_X31Y125 GRID_POINT_Y 25 TILEPROP INT_R_X31Y125 INDEX 2953 TILEPROP INT_R_X31Y125 INT_TILE_X 31 TILEPROP INT_R_X31Y125 INT_TILE_Y 24 TILEPROP INT_R_X31Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y125 IS_DCM_TILE 0 TILEPROP INT_R_X31Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y125 NAME INT_R_X31Y125 TILEPROP INT_R_X31Y125 NUM_ARCS 3737 TILEPROP INT_R_X31Y125 NUM_SITES 1 TILEPROP INT_R_X31Y125 ROW 25 TILEPROP INT_R_X31Y125 SLR_REGION_ID 0 TILEPROP INT_R_X31Y125 TILE_PATTERN_IDX 4497 TILEPROP INT_R_X31Y125 TILE_TYPE INT_R TILEPROP INT_R_X31Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y125 TILE_X 45240 TILEPROP INT_R_X31Y125 TILE_Y 163896 TILEPROP INT_R_X31Y125 TYPE INT_R TILEPROP INT_R_X31Y126 CLASS tile TILEPROP INT_R_X31Y126 COLUMN 78 TILEPROP INT_R_X31Y126 DEVICE_ID 0 TILEPROP INT_R_X31Y126 FIRST_SITE_ID 2480 TILEPROP INT_R_X31Y126 GRID_POINT_X 78 TILEPROP INT_R_X31Y126 GRID_POINT_Y 24 TILEPROP INT_R_X31Y126 INDEX 2838 TILEPROP INT_R_X31Y126 INT_TILE_X 31 TILEPROP INT_R_X31Y126 INT_TILE_Y 23 TILEPROP INT_R_X31Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y126 IS_DCM_TILE 0 TILEPROP INT_R_X31Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y126 NAME INT_R_X31Y126 TILEPROP INT_R_X31Y126 NUM_ARCS 3737 TILEPROP INT_R_X31Y126 NUM_SITES 1 TILEPROP INT_R_X31Y126 ROW 24 TILEPROP INT_R_X31Y126 SLR_REGION_ID 0 TILEPROP INT_R_X31Y126 TILE_PATTERN_IDX 4458 TILEPROP INT_R_X31Y126 TILE_TYPE INT_R TILEPROP INT_R_X31Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y126 TILE_X 45240 TILEPROP INT_R_X31Y126 TILE_Y 167096 TILEPROP INT_R_X31Y126 TYPE INT_R TILEPROP INT_R_X31Y127 CLASS tile TILEPROP INT_R_X31Y127 COLUMN 78 TILEPROP INT_R_X31Y127 DEVICE_ID 0 TILEPROP INT_R_X31Y127 FIRST_SITE_ID 2384 TILEPROP INT_R_X31Y127 GRID_POINT_X 78 TILEPROP INT_R_X31Y127 GRID_POINT_Y 23 TILEPROP INT_R_X31Y127 INDEX 2723 TILEPROP INT_R_X31Y127 INT_TILE_X 31 TILEPROP INT_R_X31Y127 INT_TILE_Y 22 TILEPROP INT_R_X31Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y127 IS_DCM_TILE 0 TILEPROP INT_R_X31Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y127 NAME INT_R_X31Y127 TILEPROP INT_R_X31Y127 NUM_ARCS 3737 TILEPROP INT_R_X31Y127 NUM_SITES 1 TILEPROP INT_R_X31Y127 ROW 23 TILEPROP INT_R_X31Y127 SLR_REGION_ID 0 TILEPROP INT_R_X31Y127 TILE_PATTERN_IDX 4420 TILEPROP INT_R_X31Y127 TILE_TYPE INT_R TILEPROP INT_R_X31Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y127 TILE_X 45240 TILEPROP INT_R_X31Y127 TILE_Y 170296 TILEPROP INT_R_X31Y127 TYPE INT_R TILEPROP INT_R_X31Y128 CLASS tile TILEPROP INT_R_X31Y128 COLUMN 78 TILEPROP INT_R_X31Y128 DEVICE_ID 0 TILEPROP INT_R_X31Y128 FIRST_SITE_ID 2280 TILEPROP INT_R_X31Y128 GRID_POINT_X 78 TILEPROP INT_R_X31Y128 GRID_POINT_Y 22 TILEPROP INT_R_X31Y128 INDEX 2608 TILEPROP INT_R_X31Y128 INT_TILE_X 31 TILEPROP INT_R_X31Y128 INT_TILE_Y 21 TILEPROP INT_R_X31Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y128 IS_DCM_TILE 0 TILEPROP INT_R_X31Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y128 NAME INT_R_X31Y128 TILEPROP INT_R_X31Y128 NUM_ARCS 3737 TILEPROP INT_R_X31Y128 NUM_SITES 1 TILEPROP INT_R_X31Y128 ROW 22 TILEPROP INT_R_X31Y128 SLR_REGION_ID 0 TILEPROP INT_R_X31Y128 TILE_PATTERN_IDX 4381 TILEPROP INT_R_X31Y128 TILE_TYPE INT_R TILEPROP INT_R_X31Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y128 TILE_X 45240 TILEPROP INT_R_X31Y128 TILE_Y 173496 TILEPROP INT_R_X31Y128 TYPE INT_R TILEPROP INT_R_X31Y129 CLASS tile TILEPROP INT_R_X31Y129 COLUMN 78 TILEPROP INT_R_X31Y129 DEVICE_ID 0 TILEPROP INT_R_X31Y129 FIRST_SITE_ID 2184 TILEPROP INT_R_X31Y129 GRID_POINT_X 78 TILEPROP INT_R_X31Y129 GRID_POINT_Y 21 TILEPROP INT_R_X31Y129 INDEX 2493 TILEPROP INT_R_X31Y129 INT_TILE_X 31 TILEPROP INT_R_X31Y129 INT_TILE_Y 20 TILEPROP INT_R_X31Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y129 IS_DCM_TILE 0 TILEPROP INT_R_X31Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y129 NAME INT_R_X31Y129 TILEPROP INT_R_X31Y129 NUM_ARCS 3737 TILEPROP INT_R_X31Y129 NUM_SITES 1 TILEPROP INT_R_X31Y129 ROW 21 TILEPROP INT_R_X31Y129 SLR_REGION_ID 0 TILEPROP INT_R_X31Y129 TILE_PATTERN_IDX 4343 TILEPROP INT_R_X31Y129 TILE_TYPE INT_R TILEPROP INT_R_X31Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y129 TILE_X 45240 TILEPROP INT_R_X31Y129 TILE_Y 176696 TILEPROP INT_R_X31Y129 TYPE INT_R TILEPROP INT_R_X31Y130 CLASS tile TILEPROP INT_R_X31Y130 COLUMN 78 TILEPROP INT_R_X31Y130 DEVICE_ID 0 TILEPROP INT_R_X31Y130 FIRST_SITE_ID 2071 TILEPROP INT_R_X31Y130 GRID_POINT_X 78 TILEPROP INT_R_X31Y130 GRID_POINT_Y 20 TILEPROP INT_R_X31Y130 INDEX 2378 TILEPROP INT_R_X31Y130 INT_TILE_X 31 TILEPROP INT_R_X31Y130 INT_TILE_Y 19 TILEPROP INT_R_X31Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y130 IS_DCM_TILE 0 TILEPROP INT_R_X31Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y130 NAME INT_R_X31Y130 TILEPROP INT_R_X31Y130 NUM_ARCS 3737 TILEPROP INT_R_X31Y130 NUM_SITES 1 TILEPROP INT_R_X31Y130 ROW 20 TILEPROP INT_R_X31Y130 SLR_REGION_ID 0 TILEPROP INT_R_X31Y130 TILE_PATTERN_IDX 4303 TILEPROP INT_R_X31Y130 TILE_TYPE INT_R TILEPROP INT_R_X31Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y130 TILE_X 45240 TILEPROP INT_R_X31Y130 TILE_Y 179896 TILEPROP INT_R_X31Y130 TYPE INT_R TILEPROP INT_R_X31Y131 CLASS tile TILEPROP INT_R_X31Y131 COLUMN 78 TILEPROP INT_R_X31Y131 DEVICE_ID 0 TILEPROP INT_R_X31Y131 FIRST_SITE_ID 1966 TILEPROP INT_R_X31Y131 GRID_POINT_X 78 TILEPROP INT_R_X31Y131 GRID_POINT_Y 19 TILEPROP INT_R_X31Y131 INDEX 2263 TILEPROP INT_R_X31Y131 INT_TILE_X 31 TILEPROP INT_R_X31Y131 INT_TILE_Y 18 TILEPROP INT_R_X31Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y131 IS_DCM_TILE 0 TILEPROP INT_R_X31Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y131 NAME INT_R_X31Y131 TILEPROP INT_R_X31Y131 NUM_ARCS 3737 TILEPROP INT_R_X31Y131 NUM_SITES 1 TILEPROP INT_R_X31Y131 ROW 19 TILEPROP INT_R_X31Y131 SLR_REGION_ID 0 TILEPROP INT_R_X31Y131 TILE_PATTERN_IDX 4265 TILEPROP INT_R_X31Y131 TILE_TYPE INT_R TILEPROP INT_R_X31Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y131 TILE_X 45240 TILEPROP INT_R_X31Y131 TILE_Y 183096 TILEPROP INT_R_X31Y131 TYPE INT_R TILEPROP INT_R_X31Y132 CLASS tile TILEPROP INT_R_X31Y132 COLUMN 78 TILEPROP INT_R_X31Y132 DEVICE_ID 0 TILEPROP INT_R_X31Y132 FIRST_SITE_ID 1860 TILEPROP INT_R_X31Y132 GRID_POINT_X 78 TILEPROP INT_R_X31Y132 GRID_POINT_Y 18 TILEPROP INT_R_X31Y132 INDEX 2148 TILEPROP INT_R_X31Y132 INT_TILE_X 31 TILEPROP INT_R_X31Y132 INT_TILE_Y 17 TILEPROP INT_R_X31Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y132 IS_DCM_TILE 0 TILEPROP INT_R_X31Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y132 NAME INT_R_X31Y132 TILEPROP INT_R_X31Y132 NUM_ARCS 3737 TILEPROP INT_R_X31Y132 NUM_SITES 1 TILEPROP INT_R_X31Y132 ROW 18 TILEPROP INT_R_X31Y132 SLR_REGION_ID 0 TILEPROP INT_R_X31Y132 TILE_PATTERN_IDX 4226 TILEPROP INT_R_X31Y132 TILE_TYPE INT_R TILEPROP INT_R_X31Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y132 TILE_X 45240 TILEPROP INT_R_X31Y132 TILE_Y 186296 TILEPROP INT_R_X31Y132 TYPE INT_R TILEPROP INT_R_X31Y133 CLASS tile TILEPROP INT_R_X31Y133 COLUMN 78 TILEPROP INT_R_X31Y133 DEVICE_ID 0 TILEPROP INT_R_X31Y133 FIRST_SITE_ID 1759 TILEPROP INT_R_X31Y133 GRID_POINT_X 78 TILEPROP INT_R_X31Y133 GRID_POINT_Y 17 TILEPROP INT_R_X31Y133 INDEX 2033 TILEPROP INT_R_X31Y133 INT_TILE_X 31 TILEPROP INT_R_X31Y133 INT_TILE_Y 16 TILEPROP INT_R_X31Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y133 IS_DCM_TILE 0 TILEPROP INT_R_X31Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y133 NAME INT_R_X31Y133 TILEPROP INT_R_X31Y133 NUM_ARCS 3737 TILEPROP INT_R_X31Y133 NUM_SITES 1 TILEPROP INT_R_X31Y133 ROW 17 TILEPROP INT_R_X31Y133 SLR_REGION_ID 0 TILEPROP INT_R_X31Y133 TILE_PATTERN_IDX 4188 TILEPROP INT_R_X31Y133 TILE_TYPE INT_R TILEPROP INT_R_X31Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y133 TILE_X 45240 TILEPROP INT_R_X31Y133 TILE_Y 189496 TILEPROP INT_R_X31Y133 TYPE INT_R TILEPROP INT_R_X31Y134 CLASS tile TILEPROP INT_R_X31Y134 COLUMN 78 TILEPROP INT_R_X31Y134 DEVICE_ID 0 TILEPROP INT_R_X31Y134 FIRST_SITE_ID 1655 TILEPROP INT_R_X31Y134 GRID_POINT_X 78 TILEPROP INT_R_X31Y134 GRID_POINT_Y 16 TILEPROP INT_R_X31Y134 INDEX 1918 TILEPROP INT_R_X31Y134 INT_TILE_X 31 TILEPROP INT_R_X31Y134 INT_TILE_Y 15 TILEPROP INT_R_X31Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y134 IS_DCM_TILE 0 TILEPROP INT_R_X31Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y134 NAME INT_R_X31Y134 TILEPROP INT_R_X31Y134 NUM_ARCS 3737 TILEPROP INT_R_X31Y134 NUM_SITES 1 TILEPROP INT_R_X31Y134 ROW 16 TILEPROP INT_R_X31Y134 SLR_REGION_ID 0 TILEPROP INT_R_X31Y134 TILE_PATTERN_IDX 4149 TILEPROP INT_R_X31Y134 TILE_TYPE INT_R TILEPROP INT_R_X31Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y134 TILE_X 45240 TILEPROP INT_R_X31Y134 TILE_Y 192696 TILEPROP INT_R_X31Y134 TYPE INT_R TILEPROP INT_R_X31Y135 CLASS tile TILEPROP INT_R_X31Y135 COLUMN 78 TILEPROP INT_R_X31Y135 DEVICE_ID 0 TILEPROP INT_R_X31Y135 FIRST_SITE_ID 1556 TILEPROP INT_R_X31Y135 GRID_POINT_X 78 TILEPROP INT_R_X31Y135 GRID_POINT_Y 15 TILEPROP INT_R_X31Y135 INDEX 1803 TILEPROP INT_R_X31Y135 INT_TILE_X 31 TILEPROP INT_R_X31Y135 INT_TILE_Y 14 TILEPROP INT_R_X31Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y135 IS_DCM_TILE 0 TILEPROP INT_R_X31Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y135 NAME INT_R_X31Y135 TILEPROP INT_R_X31Y135 NUM_ARCS 3737 TILEPROP INT_R_X31Y135 NUM_SITES 1 TILEPROP INT_R_X31Y135 ROW 15 TILEPROP INT_R_X31Y135 SLR_REGION_ID 0 TILEPROP INT_R_X31Y135 TILE_PATTERN_IDX 4111 TILEPROP INT_R_X31Y135 TILE_TYPE INT_R TILEPROP INT_R_X31Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y135 TILE_X 45240 TILEPROP INT_R_X31Y135 TILE_Y 195896 TILEPROP INT_R_X31Y135 TYPE INT_R TILEPROP INT_R_X31Y136 CLASS tile TILEPROP INT_R_X31Y136 COLUMN 78 TILEPROP INT_R_X31Y136 DEVICE_ID 0 TILEPROP INT_R_X31Y136 FIRST_SITE_ID 1443 TILEPROP INT_R_X31Y136 GRID_POINT_X 78 TILEPROP INT_R_X31Y136 GRID_POINT_Y 14 TILEPROP INT_R_X31Y136 INDEX 1688 TILEPROP INT_R_X31Y136 INT_TILE_X 31 TILEPROP INT_R_X31Y136 INT_TILE_Y 13 TILEPROP INT_R_X31Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y136 IS_DCM_TILE 0 TILEPROP INT_R_X31Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y136 NAME INT_R_X31Y136 TILEPROP INT_R_X31Y136 NUM_ARCS 3737 TILEPROP INT_R_X31Y136 NUM_SITES 1 TILEPROP INT_R_X31Y136 ROW 14 TILEPROP INT_R_X31Y136 SLR_REGION_ID 0 TILEPROP INT_R_X31Y136 TILE_PATTERN_IDX 4072 TILEPROP INT_R_X31Y136 TILE_TYPE INT_R TILEPROP INT_R_X31Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y136 TILE_X 45240 TILEPROP INT_R_X31Y136 TILE_Y 199096 TILEPROP INT_R_X31Y136 TYPE INT_R TILEPROP INT_R_X31Y137 CLASS tile TILEPROP INT_R_X31Y137 COLUMN 78 TILEPROP INT_R_X31Y137 DEVICE_ID 0 TILEPROP INT_R_X31Y137 FIRST_SITE_ID 1315 TILEPROP INT_R_X31Y137 GRID_POINT_X 78 TILEPROP INT_R_X31Y137 GRID_POINT_Y 13 TILEPROP INT_R_X31Y137 INDEX 1573 TILEPROP INT_R_X31Y137 INT_TILE_X 31 TILEPROP INT_R_X31Y137 INT_TILE_Y 12 TILEPROP INT_R_X31Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y137 IS_DCM_TILE 0 TILEPROP INT_R_X31Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y137 NAME INT_R_X31Y137 TILEPROP INT_R_X31Y137 NUM_ARCS 3737 TILEPROP INT_R_X31Y137 NUM_SITES 1 TILEPROP INT_R_X31Y137 ROW 13 TILEPROP INT_R_X31Y137 SLR_REGION_ID 0 TILEPROP INT_R_X31Y137 TILE_PATTERN_IDX 4034 TILEPROP INT_R_X31Y137 TILE_TYPE INT_R TILEPROP INT_R_X31Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y137 TILE_X 45240 TILEPROP INT_R_X31Y137 TILE_Y 202296 TILEPROP INT_R_X31Y137 TYPE INT_R TILEPROP INT_R_X31Y138 CLASS tile TILEPROP INT_R_X31Y138 COLUMN 78 TILEPROP INT_R_X31Y138 DEVICE_ID 0 TILEPROP INT_R_X31Y138 FIRST_SITE_ID 1211 TILEPROP INT_R_X31Y138 GRID_POINT_X 78 TILEPROP INT_R_X31Y138 GRID_POINT_Y 12 TILEPROP INT_R_X31Y138 INDEX 1458 TILEPROP INT_R_X31Y138 INT_TILE_X 31 TILEPROP INT_R_X31Y138 INT_TILE_Y 11 TILEPROP INT_R_X31Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y138 IS_DCM_TILE 0 TILEPROP INT_R_X31Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y138 NAME INT_R_X31Y138 TILEPROP INT_R_X31Y138 NUM_ARCS 3737 TILEPROP INT_R_X31Y138 NUM_SITES 1 TILEPROP INT_R_X31Y138 ROW 12 TILEPROP INT_R_X31Y138 SLR_REGION_ID 0 TILEPROP INT_R_X31Y138 TILE_PATTERN_IDX 3995 TILEPROP INT_R_X31Y138 TILE_TYPE INT_R TILEPROP INT_R_X31Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y138 TILE_X 45240 TILEPROP INT_R_X31Y138 TILE_Y 205496 TILEPROP INT_R_X31Y138 TYPE INT_R TILEPROP INT_R_X31Y139 CLASS tile TILEPROP INT_R_X31Y139 COLUMN 78 TILEPROP INT_R_X31Y139 DEVICE_ID 0 TILEPROP INT_R_X31Y139 FIRST_SITE_ID 1115 TILEPROP INT_R_X31Y139 GRID_POINT_X 78 TILEPROP INT_R_X31Y139 GRID_POINT_Y 11 TILEPROP INT_R_X31Y139 INDEX 1343 TILEPROP INT_R_X31Y139 INT_TILE_X 31 TILEPROP INT_R_X31Y139 INT_TILE_Y 10 TILEPROP INT_R_X31Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y139 IS_DCM_TILE 0 TILEPROP INT_R_X31Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y139 NAME INT_R_X31Y139 TILEPROP INT_R_X31Y139 NUM_ARCS 3737 TILEPROP INT_R_X31Y139 NUM_SITES 1 TILEPROP INT_R_X31Y139 ROW 11 TILEPROP INT_R_X31Y139 SLR_REGION_ID 0 TILEPROP INT_R_X31Y139 TILE_PATTERN_IDX 3957 TILEPROP INT_R_X31Y139 TILE_TYPE INT_R TILEPROP INT_R_X31Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y139 TILE_X 45240 TILEPROP INT_R_X31Y139 TILE_Y 208696 TILEPROP INT_R_X31Y139 TYPE INT_R TILEPROP INT_R_X31Y140 CLASS tile TILEPROP INT_R_X31Y140 COLUMN 78 TILEPROP INT_R_X31Y140 DEVICE_ID 0 TILEPROP INT_R_X31Y140 FIRST_SITE_ID 1008 TILEPROP INT_R_X31Y140 GRID_POINT_X 78 TILEPROP INT_R_X31Y140 GRID_POINT_Y 10 TILEPROP INT_R_X31Y140 INDEX 1228 TILEPROP INT_R_X31Y140 INT_TILE_X 31 TILEPROP INT_R_X31Y140 INT_TILE_Y 9 TILEPROP INT_R_X31Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y140 IS_DCM_TILE 0 TILEPROP INT_R_X31Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y140 NAME INT_R_X31Y140 TILEPROP INT_R_X31Y140 NUM_ARCS 3737 TILEPROP INT_R_X31Y140 NUM_SITES 1 TILEPROP INT_R_X31Y140 ROW 10 TILEPROP INT_R_X31Y140 SLR_REGION_ID 0 TILEPROP INT_R_X31Y140 TILE_PATTERN_IDX 3918 TILEPROP INT_R_X31Y140 TILE_TYPE INT_R TILEPROP INT_R_X31Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y140 TILE_X 45240 TILEPROP INT_R_X31Y140 TILE_Y 211896 TILEPROP INT_R_X31Y140 TYPE INT_R TILEPROP INT_R_X31Y141 CLASS tile TILEPROP INT_R_X31Y141 COLUMN 78 TILEPROP INT_R_X31Y141 DEVICE_ID 0 TILEPROP INT_R_X31Y141 FIRST_SITE_ID 903 TILEPROP INT_R_X31Y141 GRID_POINT_X 78 TILEPROP INT_R_X31Y141 GRID_POINT_Y 9 TILEPROP INT_R_X31Y141 INDEX 1113 TILEPROP INT_R_X31Y141 INT_TILE_X 31 TILEPROP INT_R_X31Y141 INT_TILE_Y 8 TILEPROP INT_R_X31Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y141 IS_DCM_TILE 0 TILEPROP INT_R_X31Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y141 NAME INT_R_X31Y141 TILEPROP INT_R_X31Y141 NUM_ARCS 3737 TILEPROP INT_R_X31Y141 NUM_SITES 1 TILEPROP INT_R_X31Y141 ROW 9 TILEPROP INT_R_X31Y141 SLR_REGION_ID 0 TILEPROP INT_R_X31Y141 TILE_PATTERN_IDX 3880 TILEPROP INT_R_X31Y141 TILE_TYPE INT_R TILEPROP INT_R_X31Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y141 TILE_X 45240 TILEPROP INT_R_X31Y141 TILE_Y 215096 TILEPROP INT_R_X31Y141 TYPE INT_R TILEPROP INT_R_X31Y142 CLASS tile TILEPROP INT_R_X31Y142 COLUMN 78 TILEPROP INT_R_X31Y142 DEVICE_ID 0 TILEPROP INT_R_X31Y142 FIRST_SITE_ID 799 TILEPROP INT_R_X31Y142 GRID_POINT_X 78 TILEPROP INT_R_X31Y142 GRID_POINT_Y 8 TILEPROP INT_R_X31Y142 INDEX 998 TILEPROP INT_R_X31Y142 INT_TILE_X 31 TILEPROP INT_R_X31Y142 INT_TILE_Y 7 TILEPROP INT_R_X31Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y142 IS_DCM_TILE 0 TILEPROP INT_R_X31Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y142 NAME INT_R_X31Y142 TILEPROP INT_R_X31Y142 NUM_ARCS 3737 TILEPROP INT_R_X31Y142 NUM_SITES 1 TILEPROP INT_R_X31Y142 ROW 8 TILEPROP INT_R_X31Y142 SLR_REGION_ID 0 TILEPROP INT_R_X31Y142 TILE_PATTERN_IDX 3841 TILEPROP INT_R_X31Y142 TILE_TYPE INT_R TILEPROP INT_R_X31Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y142 TILE_X 45240 TILEPROP INT_R_X31Y142 TILE_Y 218296 TILEPROP INT_R_X31Y142 TYPE INT_R TILEPROP INT_R_X31Y143 CLASS tile TILEPROP INT_R_X31Y143 COLUMN 78 TILEPROP INT_R_X31Y143 DEVICE_ID 0 TILEPROP INT_R_X31Y143 FIRST_SITE_ID 702 TILEPROP INT_R_X31Y143 GRID_POINT_X 78 TILEPROP INT_R_X31Y143 GRID_POINT_Y 7 TILEPROP INT_R_X31Y143 INDEX 883 TILEPROP INT_R_X31Y143 INT_TILE_X 31 TILEPROP INT_R_X31Y143 INT_TILE_Y 6 TILEPROP INT_R_X31Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y143 IS_DCM_TILE 0 TILEPROP INT_R_X31Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y143 NAME INT_R_X31Y143 TILEPROP INT_R_X31Y143 NUM_ARCS 3737 TILEPROP INT_R_X31Y143 NUM_SITES 1 TILEPROP INT_R_X31Y143 ROW 7 TILEPROP INT_R_X31Y143 SLR_REGION_ID 0 TILEPROP INT_R_X31Y143 TILE_PATTERN_IDX 3803 TILEPROP INT_R_X31Y143 TILE_TYPE INT_R TILEPROP INT_R_X31Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y143 TILE_X 45240 TILEPROP INT_R_X31Y143 TILE_Y 221496 TILEPROP INT_R_X31Y143 TYPE INT_R TILEPROP INT_R_X31Y144 CLASS tile TILEPROP INT_R_X31Y144 COLUMN 78 TILEPROP INT_R_X31Y144 DEVICE_ID 0 TILEPROP INT_R_X31Y144 FIRST_SITE_ID 591 TILEPROP INT_R_X31Y144 GRID_POINT_X 78 TILEPROP INT_R_X31Y144 GRID_POINT_Y 6 TILEPROP INT_R_X31Y144 INDEX 768 TILEPROP INT_R_X31Y144 INT_TILE_X 31 TILEPROP INT_R_X31Y144 INT_TILE_Y 5 TILEPROP INT_R_X31Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y144 IS_DCM_TILE 0 TILEPROP INT_R_X31Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y144 NAME INT_R_X31Y144 TILEPROP INT_R_X31Y144 NUM_ARCS 3737 TILEPROP INT_R_X31Y144 NUM_SITES 1 TILEPROP INT_R_X31Y144 ROW 6 TILEPROP INT_R_X31Y144 SLR_REGION_ID 0 TILEPROP INT_R_X31Y144 TILE_PATTERN_IDX 3764 TILEPROP INT_R_X31Y144 TILE_TYPE INT_R TILEPROP INT_R_X31Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y144 TILE_X 45240 TILEPROP INT_R_X31Y144 TILE_Y 224696 TILEPROP INT_R_X31Y144 TYPE INT_R TILEPROP INT_R_X31Y145 CLASS tile TILEPROP INT_R_X31Y145 COLUMN 78 TILEPROP INT_R_X31Y145 DEVICE_ID 0 TILEPROP INT_R_X31Y145 FIRST_SITE_ID 492 TILEPROP INT_R_X31Y145 GRID_POINT_X 78 TILEPROP INT_R_X31Y145 GRID_POINT_Y 5 TILEPROP INT_R_X31Y145 INDEX 653 TILEPROP INT_R_X31Y145 INT_TILE_X 31 TILEPROP INT_R_X31Y145 INT_TILE_Y 4 TILEPROP INT_R_X31Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y145 IS_DCM_TILE 0 TILEPROP INT_R_X31Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y145 NAME INT_R_X31Y145 TILEPROP INT_R_X31Y145 NUM_ARCS 3737 TILEPROP INT_R_X31Y145 NUM_SITES 1 TILEPROP INT_R_X31Y145 ROW 5 TILEPROP INT_R_X31Y145 SLR_REGION_ID 0 TILEPROP INT_R_X31Y145 TILE_PATTERN_IDX 3726 TILEPROP INT_R_X31Y145 TILE_TYPE INT_R TILEPROP INT_R_X31Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y145 TILE_X 45240 TILEPROP INT_R_X31Y145 TILE_Y 227896 TILEPROP INT_R_X31Y145 TYPE INT_R TILEPROP INT_R_X31Y146 CLASS tile TILEPROP INT_R_X31Y146 COLUMN 78 TILEPROP INT_R_X31Y146 DEVICE_ID 0 TILEPROP INT_R_X31Y146 FIRST_SITE_ID 379 TILEPROP INT_R_X31Y146 GRID_POINT_X 78 TILEPROP INT_R_X31Y146 GRID_POINT_Y 4 TILEPROP INT_R_X31Y146 INDEX 538 TILEPROP INT_R_X31Y146 INT_TILE_X 31 TILEPROP INT_R_X31Y146 INT_TILE_Y 3 TILEPROP INT_R_X31Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y146 IS_DCM_TILE 0 TILEPROP INT_R_X31Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y146 NAME INT_R_X31Y146 TILEPROP INT_R_X31Y146 NUM_ARCS 3737 TILEPROP INT_R_X31Y146 NUM_SITES 1 TILEPROP INT_R_X31Y146 ROW 4 TILEPROP INT_R_X31Y146 SLR_REGION_ID 0 TILEPROP INT_R_X31Y146 TILE_PATTERN_IDX 3687 TILEPROP INT_R_X31Y146 TILE_TYPE INT_R TILEPROP INT_R_X31Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y146 TILE_X 45240 TILEPROP INT_R_X31Y146 TILE_Y 231096 TILEPROP INT_R_X31Y146 TYPE INT_R TILEPROP INT_R_X31Y147 CLASS tile TILEPROP INT_R_X31Y147 COLUMN 78 TILEPROP INT_R_X31Y147 DEVICE_ID 0 TILEPROP INT_R_X31Y147 FIRST_SITE_ID 283 TILEPROP INT_R_X31Y147 GRID_POINT_X 78 TILEPROP INT_R_X31Y147 GRID_POINT_Y 3 TILEPROP INT_R_X31Y147 INDEX 423 TILEPROP INT_R_X31Y147 INT_TILE_X 31 TILEPROP INT_R_X31Y147 INT_TILE_Y 2 TILEPROP INT_R_X31Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y147 IS_DCM_TILE 0 TILEPROP INT_R_X31Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y147 NAME INT_R_X31Y147 TILEPROP INT_R_X31Y147 NUM_ARCS 3737 TILEPROP INT_R_X31Y147 NUM_SITES 1 TILEPROP INT_R_X31Y147 ROW 3 TILEPROP INT_R_X31Y147 SLR_REGION_ID 0 TILEPROP INT_R_X31Y147 TILE_PATTERN_IDX 3649 TILEPROP INT_R_X31Y147 TILE_TYPE INT_R TILEPROP INT_R_X31Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y147 TILE_X 45240 TILEPROP INT_R_X31Y147 TILE_Y 234296 TILEPROP INT_R_X31Y147 TYPE INT_R TILEPROP INT_R_X31Y148 CLASS tile TILEPROP INT_R_X31Y148 COLUMN 78 TILEPROP INT_R_X31Y148 DEVICE_ID 0 TILEPROP INT_R_X31Y148 FIRST_SITE_ID 179 TILEPROP INT_R_X31Y148 GRID_POINT_X 78 TILEPROP INT_R_X31Y148 GRID_POINT_Y 2 TILEPROP INT_R_X31Y148 INDEX 308 TILEPROP INT_R_X31Y148 INT_TILE_X 31 TILEPROP INT_R_X31Y148 INT_TILE_Y 1 TILEPROP INT_R_X31Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y148 IS_DCM_TILE 0 TILEPROP INT_R_X31Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y148 NAME INT_R_X31Y148 TILEPROP INT_R_X31Y148 NUM_ARCS 3737 TILEPROP INT_R_X31Y148 NUM_SITES 1 TILEPROP INT_R_X31Y148 ROW 2 TILEPROP INT_R_X31Y148 SLR_REGION_ID 0 TILEPROP INT_R_X31Y148 TILE_PATTERN_IDX 3610 TILEPROP INT_R_X31Y148 TILE_TYPE INT_R TILEPROP INT_R_X31Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y148 TILE_X 45240 TILEPROP INT_R_X31Y148 TILE_Y 237496 TILEPROP INT_R_X31Y148 TYPE INT_R TILEPROP INT_R_X31Y149 CLASS tile TILEPROP INT_R_X31Y149 COLUMN 78 TILEPROP INT_R_X31Y149 DEVICE_ID 0 TILEPROP INT_R_X31Y149 FIRST_SITE_ID 83 TILEPROP INT_R_X31Y149 GRID_POINT_X 78 TILEPROP INT_R_X31Y149 GRID_POINT_Y 1 TILEPROP INT_R_X31Y149 INDEX 193 TILEPROP INT_R_X31Y149 INT_TILE_X 31 TILEPROP INT_R_X31Y149 INT_TILE_Y 0 TILEPROP INT_R_X31Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X31Y149 IS_DCM_TILE 0 TILEPROP INT_R_X31Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X31Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X31Y149 NAME INT_R_X31Y149 TILEPROP INT_R_X31Y149 NUM_ARCS 3737 TILEPROP INT_R_X31Y149 NUM_SITES 1 TILEPROP INT_R_X31Y149 ROW 1 TILEPROP INT_R_X31Y149 SLR_REGION_ID 0 TILEPROP INT_R_X31Y149 TILE_PATTERN_IDX 3572 TILEPROP INT_R_X31Y149 TILE_TYPE INT_R TILEPROP INT_R_X31Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X31Y149 TILE_X 45240 TILEPROP INT_R_X31Y149 TILE_Y 240696 TILEPROP INT_R_X31Y149 TYPE INT_R TILEPROP INT_R_X33Y0 CLASS tile TILEPROP INT_R_X33Y0 COLUMN 83 TILEPROP INT_R_X33Y0 DEVICE_ID 0 TILEPROP INT_R_X33Y0 FIRST_SITE_ID 15782 TILEPROP INT_R_X33Y0 GRID_POINT_X 83 TILEPROP INT_R_X33Y0 GRID_POINT_Y 155 TILEPROP INT_R_X33Y0 INDEX 17908 TILEPROP INT_R_X33Y0 INT_TILE_X 33 TILEPROP INT_R_X33Y0 INT_TILE_Y 149 TILEPROP INT_R_X33Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y0 IS_DCM_TILE 0 TILEPROP INT_R_X33Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y0 NAME INT_R_X33Y0 TILEPROP INT_R_X33Y0 NUM_ARCS 3737 TILEPROP INT_R_X33Y0 NUM_SITES 1 TILEPROP INT_R_X33Y0 ROW 155 TILEPROP INT_R_X33Y0 SLR_REGION_ID 0 TILEPROP INT_R_X33Y0 TILE_PATTERN_IDX 8760 TILEPROP INT_R_X33Y0 TILE_TYPE INT_R TILEPROP INT_R_X33Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y0 TILE_X 53608 TILEPROP INT_R_X33Y0 TILE_Y -239672 TILEPROP INT_R_X33Y0 TYPE INT_R TILEPROP INT_R_X33Y1 CLASS tile TILEPROP INT_R_X33Y1 COLUMN 83 TILEPROP INT_R_X33Y1 DEVICE_ID 0 TILEPROP INT_R_X33Y1 FIRST_SITE_ID 15669 TILEPROP INT_R_X33Y1 GRID_POINT_X 83 TILEPROP INT_R_X33Y1 GRID_POINT_Y 154 TILEPROP INT_R_X33Y1 INDEX 17793 TILEPROP INT_R_X33Y1 INT_TILE_X 33 TILEPROP INT_R_X33Y1 INT_TILE_Y 148 TILEPROP INT_R_X33Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y1 IS_DCM_TILE 0 TILEPROP INT_R_X33Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y1 NAME INT_R_X33Y1 TILEPROP INT_R_X33Y1 NUM_ARCS 3737 TILEPROP INT_R_X33Y1 NUM_SITES 1 TILEPROP INT_R_X33Y1 ROW 154 TILEPROP INT_R_X33Y1 SLR_REGION_ID 0 TILEPROP INT_R_X33Y1 TILE_PATTERN_IDX 8729 TILEPROP INT_R_X33Y1 TILE_TYPE INT_R TILEPROP INT_R_X33Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y1 TILE_X 53608 TILEPROP INT_R_X33Y1 TILE_Y -236472 TILEPROP INT_R_X33Y1 TYPE INT_R TILEPROP INT_R_X33Y2 CLASS tile TILEPROP INT_R_X33Y2 COLUMN 83 TILEPROP INT_R_X33Y2 DEVICE_ID 0 TILEPROP INT_R_X33Y2 FIRST_SITE_ID 15568 TILEPROP INT_R_X33Y2 GRID_POINT_X 83 TILEPROP INT_R_X33Y2 GRID_POINT_Y 153 TILEPROP INT_R_X33Y2 INDEX 17678 TILEPROP INT_R_X33Y2 INT_TILE_X 33 TILEPROP INT_R_X33Y2 INT_TILE_Y 147 TILEPROP INT_R_X33Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y2 IS_DCM_TILE 0 TILEPROP INT_R_X33Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y2 NAME INT_R_X33Y2 TILEPROP INT_R_X33Y2 NUM_ARCS 3737 TILEPROP INT_R_X33Y2 NUM_SITES 1 TILEPROP INT_R_X33Y2 ROW 153 TILEPROP INT_R_X33Y2 SLR_REGION_ID 0 TILEPROP INT_R_X33Y2 TILE_PATTERN_IDX 8699 TILEPROP INT_R_X33Y2 TILE_TYPE INT_R TILEPROP INT_R_X33Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y2 TILE_X 53608 TILEPROP INT_R_X33Y2 TILE_Y -233272 TILEPROP INT_R_X33Y2 TYPE INT_R TILEPROP INT_R_X33Y3 CLASS tile TILEPROP INT_R_X33Y3 COLUMN 83 TILEPROP INT_R_X33Y3 DEVICE_ID 0 TILEPROP INT_R_X33Y3 FIRST_SITE_ID 15468 TILEPROP INT_R_X33Y3 GRID_POINT_X 83 TILEPROP INT_R_X33Y3 GRID_POINT_Y 152 TILEPROP INT_R_X33Y3 INDEX 17563 TILEPROP INT_R_X33Y3 INT_TILE_X 33 TILEPROP INT_R_X33Y3 INT_TILE_Y 146 TILEPROP INT_R_X33Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y3 IS_DCM_TILE 0 TILEPROP INT_R_X33Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y3 NAME INT_R_X33Y3 TILEPROP INT_R_X33Y3 NUM_ARCS 3737 TILEPROP INT_R_X33Y3 NUM_SITES 1 TILEPROP INT_R_X33Y3 ROW 152 TILEPROP INT_R_X33Y3 SLR_REGION_ID 0 TILEPROP INT_R_X33Y3 TILE_PATTERN_IDX 8668 TILEPROP INT_R_X33Y3 TILE_TYPE INT_R TILEPROP INT_R_X33Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y3 TILE_X 53608 TILEPROP INT_R_X33Y3 TILE_Y -230072 TILEPROP INT_R_X33Y3 TYPE INT_R TILEPROP INT_R_X33Y4 CLASS tile TILEPROP INT_R_X33Y4 COLUMN 83 TILEPROP INT_R_X33Y4 DEVICE_ID 0 TILEPROP INT_R_X33Y4 FIRST_SITE_ID 15368 TILEPROP INT_R_X33Y4 GRID_POINT_X 83 TILEPROP INT_R_X33Y4 GRID_POINT_Y 151 TILEPROP INT_R_X33Y4 INDEX 17448 TILEPROP INT_R_X33Y4 INT_TILE_X 33 TILEPROP INT_R_X33Y4 INT_TILE_Y 145 TILEPROP INT_R_X33Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y4 IS_DCM_TILE 0 TILEPROP INT_R_X33Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y4 NAME INT_R_X33Y4 TILEPROP INT_R_X33Y4 NUM_ARCS 3737 TILEPROP INT_R_X33Y4 NUM_SITES 1 TILEPROP INT_R_X33Y4 ROW 151 TILEPROP INT_R_X33Y4 SLR_REGION_ID 0 TILEPROP INT_R_X33Y4 TILE_PATTERN_IDX 8638 TILEPROP INT_R_X33Y4 TILE_TYPE INT_R TILEPROP INT_R_X33Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y4 TILE_X 53608 TILEPROP INT_R_X33Y4 TILE_Y -226872 TILEPROP INT_R_X33Y4 TYPE INT_R TILEPROP INT_R_X33Y5 CLASS tile TILEPROP INT_R_X33Y5 COLUMN 83 TILEPROP INT_R_X33Y5 DEVICE_ID 0 TILEPROP INT_R_X33Y5 FIRST_SITE_ID 15262 TILEPROP INT_R_X33Y5 GRID_POINT_X 83 TILEPROP INT_R_X33Y5 GRID_POINT_Y 150 TILEPROP INT_R_X33Y5 INDEX 17333 TILEPROP INT_R_X33Y5 INT_TILE_X 33 TILEPROP INT_R_X33Y5 INT_TILE_Y 144 TILEPROP INT_R_X33Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y5 IS_DCM_TILE 0 TILEPROP INT_R_X33Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y5 NAME INT_R_X33Y5 TILEPROP INT_R_X33Y5 NUM_ARCS 3737 TILEPROP INT_R_X33Y5 NUM_SITES 1 TILEPROP INT_R_X33Y5 ROW 150 TILEPROP INT_R_X33Y5 SLR_REGION_ID 0 TILEPROP INT_R_X33Y5 TILE_PATTERN_IDX 8607 TILEPROP INT_R_X33Y5 TILE_TYPE INT_R TILEPROP INT_R_X33Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y5 TILE_X 53608 TILEPROP INT_R_X33Y5 TILE_Y -223672 TILEPROP INT_R_X33Y5 TYPE INT_R TILEPROP INT_R_X33Y6 CLASS tile TILEPROP INT_R_X33Y6 COLUMN 83 TILEPROP INT_R_X33Y6 DEVICE_ID 0 TILEPROP INT_R_X33Y6 FIRST_SITE_ID 15153 TILEPROP INT_R_X33Y6 GRID_POINT_X 83 TILEPROP INT_R_X33Y6 GRID_POINT_Y 149 TILEPROP INT_R_X33Y6 INDEX 17218 TILEPROP INT_R_X33Y6 INT_TILE_X 33 TILEPROP INT_R_X33Y6 INT_TILE_Y 143 TILEPROP INT_R_X33Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y6 IS_DCM_TILE 0 TILEPROP INT_R_X33Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y6 NAME INT_R_X33Y6 TILEPROP INT_R_X33Y6 NUM_ARCS 3737 TILEPROP INT_R_X33Y6 NUM_SITES 1 TILEPROP INT_R_X33Y6 ROW 149 TILEPROP INT_R_X33Y6 SLR_REGION_ID 0 TILEPROP INT_R_X33Y6 TILE_PATTERN_IDX 8577 TILEPROP INT_R_X33Y6 TILE_TYPE INT_R TILEPROP INT_R_X33Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y6 TILE_X 53608 TILEPROP INT_R_X33Y6 TILE_Y -220472 TILEPROP INT_R_X33Y6 TYPE INT_R TILEPROP INT_R_X33Y7 CLASS tile TILEPROP INT_R_X33Y7 COLUMN 83 TILEPROP INT_R_X33Y7 DEVICE_ID 0 TILEPROP INT_R_X33Y7 FIRST_SITE_ID 15051 TILEPROP INT_R_X33Y7 GRID_POINT_X 83 TILEPROP INT_R_X33Y7 GRID_POINT_Y 148 TILEPROP INT_R_X33Y7 INDEX 17103 TILEPROP INT_R_X33Y7 INT_TILE_X 33 TILEPROP INT_R_X33Y7 INT_TILE_Y 142 TILEPROP INT_R_X33Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y7 IS_DCM_TILE 0 TILEPROP INT_R_X33Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y7 NAME INT_R_X33Y7 TILEPROP INT_R_X33Y7 NUM_ARCS 3737 TILEPROP INT_R_X33Y7 NUM_SITES 1 TILEPROP INT_R_X33Y7 ROW 148 TILEPROP INT_R_X33Y7 SLR_REGION_ID 0 TILEPROP INT_R_X33Y7 TILE_PATTERN_IDX 8546 TILEPROP INT_R_X33Y7 TILE_TYPE INT_R TILEPROP INT_R_X33Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y7 TILE_X 53608 TILEPROP INT_R_X33Y7 TILE_Y -217272 TILEPROP INT_R_X33Y7 TYPE INT_R TILEPROP INT_R_X33Y8 CLASS tile TILEPROP INT_R_X33Y8 COLUMN 83 TILEPROP INT_R_X33Y8 DEVICE_ID 0 TILEPROP INT_R_X33Y8 FIRST_SITE_ID 14948 TILEPROP INT_R_X33Y8 GRID_POINT_X 83 TILEPROP INT_R_X33Y8 GRID_POINT_Y 147 TILEPROP INT_R_X33Y8 INDEX 16988 TILEPROP INT_R_X33Y8 INT_TILE_X 33 TILEPROP INT_R_X33Y8 INT_TILE_Y 141 TILEPROP INT_R_X33Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y8 IS_DCM_TILE 0 TILEPROP INT_R_X33Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y8 NAME INT_R_X33Y8 TILEPROP INT_R_X33Y8 NUM_ARCS 3737 TILEPROP INT_R_X33Y8 NUM_SITES 1 TILEPROP INT_R_X33Y8 ROW 147 TILEPROP INT_R_X33Y8 SLR_REGION_ID 0 TILEPROP INT_R_X33Y8 TILE_PATTERN_IDX 8516 TILEPROP INT_R_X33Y8 TILE_TYPE INT_R TILEPROP INT_R_X33Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y8 TILE_X 53608 TILEPROP INT_R_X33Y8 TILE_Y -214072 TILEPROP INT_R_X33Y8 TYPE INT_R TILEPROP INT_R_X33Y9 CLASS tile TILEPROP INT_R_X33Y9 COLUMN 83 TILEPROP INT_R_X33Y9 DEVICE_ID 0 TILEPROP INT_R_X33Y9 FIRST_SITE_ID 14847 TILEPROP INT_R_X33Y9 GRID_POINT_X 83 TILEPROP INT_R_X33Y9 GRID_POINT_Y 146 TILEPROP INT_R_X33Y9 INDEX 16873 TILEPROP INT_R_X33Y9 INT_TILE_X 33 TILEPROP INT_R_X33Y9 INT_TILE_Y 140 TILEPROP INT_R_X33Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y9 IS_DCM_TILE 0 TILEPROP INT_R_X33Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y9 NAME INT_R_X33Y9 TILEPROP INT_R_X33Y9 NUM_ARCS 3737 TILEPROP INT_R_X33Y9 NUM_SITES 1 TILEPROP INT_R_X33Y9 ROW 146 TILEPROP INT_R_X33Y9 SLR_REGION_ID 0 TILEPROP INT_R_X33Y9 TILE_PATTERN_IDX 8485 TILEPROP INT_R_X33Y9 TILE_TYPE INT_R TILEPROP INT_R_X33Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y9 TILE_X 53608 TILEPROP INT_R_X33Y9 TILE_Y -210872 TILEPROP INT_R_X33Y9 TYPE INT_R TILEPROP INT_R_X33Y10 CLASS tile TILEPROP INT_R_X33Y10 COLUMN 83 TILEPROP INT_R_X33Y10 DEVICE_ID 0 TILEPROP INT_R_X33Y10 FIRST_SITE_ID 14741 TILEPROP INT_R_X33Y10 GRID_POINT_X 83 TILEPROP INT_R_X33Y10 GRID_POINT_Y 145 TILEPROP INT_R_X33Y10 INDEX 16758 TILEPROP INT_R_X33Y10 INT_TILE_X 33 TILEPROP INT_R_X33Y10 INT_TILE_Y 139 TILEPROP INT_R_X33Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y10 IS_DCM_TILE 0 TILEPROP INT_R_X33Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y10 NAME INT_R_X33Y10 TILEPROP INT_R_X33Y10 NUM_ARCS 3737 TILEPROP INT_R_X33Y10 NUM_SITES 1 TILEPROP INT_R_X33Y10 ROW 145 TILEPROP INT_R_X33Y10 SLR_REGION_ID 0 TILEPROP INT_R_X33Y10 TILE_PATTERN_IDX 8455 TILEPROP INT_R_X33Y10 TILE_TYPE INT_R TILEPROP INT_R_X33Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y10 TILE_X 53608 TILEPROP INT_R_X33Y10 TILE_Y -207672 TILEPROP INT_R_X33Y10 TYPE INT_R TILEPROP INT_R_X33Y11 CLASS tile TILEPROP INT_R_X33Y11 COLUMN 83 TILEPROP INT_R_X33Y11 DEVICE_ID 0 TILEPROP INT_R_X33Y11 FIRST_SITE_ID 14632 TILEPROP INT_R_X33Y11 GRID_POINT_X 83 TILEPROP INT_R_X33Y11 GRID_POINT_Y 144 TILEPROP INT_R_X33Y11 INDEX 16643 TILEPROP INT_R_X33Y11 INT_TILE_X 33 TILEPROP INT_R_X33Y11 INT_TILE_Y 138 TILEPROP INT_R_X33Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y11 IS_DCM_TILE 0 TILEPROP INT_R_X33Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y11 NAME INT_R_X33Y11 TILEPROP INT_R_X33Y11 NUM_ARCS 3737 TILEPROP INT_R_X33Y11 NUM_SITES 1 TILEPROP INT_R_X33Y11 ROW 144 TILEPROP INT_R_X33Y11 SLR_REGION_ID 0 TILEPROP INT_R_X33Y11 TILE_PATTERN_IDX 8424 TILEPROP INT_R_X33Y11 TILE_TYPE INT_R TILEPROP INT_R_X33Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y11 TILE_X 53608 TILEPROP INT_R_X33Y11 TILE_Y -204472 TILEPROP INT_R_X33Y11 TYPE INT_R TILEPROP INT_R_X33Y12 CLASS tile TILEPROP INT_R_X33Y12 COLUMN 83 TILEPROP INT_R_X33Y12 DEVICE_ID 0 TILEPROP INT_R_X33Y12 FIRST_SITE_ID 14532 TILEPROP INT_R_X33Y12 GRID_POINT_X 83 TILEPROP INT_R_X33Y12 GRID_POINT_Y 143 TILEPROP INT_R_X33Y12 INDEX 16528 TILEPROP INT_R_X33Y12 INT_TILE_X 33 TILEPROP INT_R_X33Y12 INT_TILE_Y 137 TILEPROP INT_R_X33Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y12 IS_DCM_TILE 0 TILEPROP INT_R_X33Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y12 NAME INT_R_X33Y12 TILEPROP INT_R_X33Y12 NUM_ARCS 3737 TILEPROP INT_R_X33Y12 NUM_SITES 1 TILEPROP INT_R_X33Y12 ROW 143 TILEPROP INT_R_X33Y12 SLR_REGION_ID 0 TILEPROP INT_R_X33Y12 TILE_PATTERN_IDX 8394 TILEPROP INT_R_X33Y12 TILE_TYPE INT_R TILEPROP INT_R_X33Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y12 TILE_X 53608 TILEPROP INT_R_X33Y12 TILE_Y -201272 TILEPROP INT_R_X33Y12 TYPE INT_R TILEPROP INT_R_X33Y13 CLASS tile TILEPROP INT_R_X33Y13 COLUMN 83 TILEPROP INT_R_X33Y13 DEVICE_ID 0 TILEPROP INT_R_X33Y13 FIRST_SITE_ID 14400 TILEPROP INT_R_X33Y13 GRID_POINT_X 83 TILEPROP INT_R_X33Y13 GRID_POINT_Y 142 TILEPROP INT_R_X33Y13 INDEX 16413 TILEPROP INT_R_X33Y13 INT_TILE_X 33 TILEPROP INT_R_X33Y13 INT_TILE_Y 136 TILEPROP INT_R_X33Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y13 IS_DCM_TILE 0 TILEPROP INT_R_X33Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y13 NAME INT_R_X33Y13 TILEPROP INT_R_X33Y13 NUM_ARCS 3737 TILEPROP INT_R_X33Y13 NUM_SITES 1 TILEPROP INT_R_X33Y13 ROW 142 TILEPROP INT_R_X33Y13 SLR_REGION_ID 0 TILEPROP INT_R_X33Y13 TILE_PATTERN_IDX 8363 TILEPROP INT_R_X33Y13 TILE_TYPE INT_R TILEPROP INT_R_X33Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y13 TILE_X 53608 TILEPROP INT_R_X33Y13 TILE_Y -198072 TILEPROP INT_R_X33Y13 TYPE INT_R TILEPROP INT_R_X33Y14 CLASS tile TILEPROP INT_R_X33Y14 COLUMN 83 TILEPROP INT_R_X33Y14 DEVICE_ID 0 TILEPROP INT_R_X33Y14 FIRST_SITE_ID 14300 TILEPROP INT_R_X33Y14 GRID_POINT_X 83 TILEPROP INT_R_X33Y14 GRID_POINT_Y 141 TILEPROP INT_R_X33Y14 INDEX 16298 TILEPROP INT_R_X33Y14 INT_TILE_X 33 TILEPROP INT_R_X33Y14 INT_TILE_Y 135 TILEPROP INT_R_X33Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y14 IS_DCM_TILE 0 TILEPROP INT_R_X33Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y14 NAME INT_R_X33Y14 TILEPROP INT_R_X33Y14 NUM_ARCS 3737 TILEPROP INT_R_X33Y14 NUM_SITES 1 TILEPROP INT_R_X33Y14 ROW 141 TILEPROP INT_R_X33Y14 SLR_REGION_ID 0 TILEPROP INT_R_X33Y14 TILE_PATTERN_IDX 8333 TILEPROP INT_R_X33Y14 TILE_TYPE INT_R TILEPROP INT_R_X33Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y14 TILE_X 53608 TILEPROP INT_R_X33Y14 TILE_Y -194872 TILEPROP INT_R_X33Y14 TYPE INT_R TILEPROP INT_R_X33Y15 CLASS tile TILEPROP INT_R_X33Y15 COLUMN 83 TILEPROP INT_R_X33Y15 DEVICE_ID 0 TILEPROP INT_R_X33Y15 FIRST_SITE_ID 14194 TILEPROP INT_R_X33Y15 GRID_POINT_X 83 TILEPROP INT_R_X33Y15 GRID_POINT_Y 140 TILEPROP INT_R_X33Y15 INDEX 16183 TILEPROP INT_R_X33Y15 INT_TILE_X 33 TILEPROP INT_R_X33Y15 INT_TILE_Y 134 TILEPROP INT_R_X33Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y15 IS_DCM_TILE 0 TILEPROP INT_R_X33Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y15 NAME INT_R_X33Y15 TILEPROP INT_R_X33Y15 NUM_ARCS 3737 TILEPROP INT_R_X33Y15 NUM_SITES 1 TILEPROP INT_R_X33Y15 ROW 140 TILEPROP INT_R_X33Y15 SLR_REGION_ID 0 TILEPROP INT_R_X33Y15 TILE_PATTERN_IDX 8302 TILEPROP INT_R_X33Y15 TILE_TYPE INT_R TILEPROP INT_R_X33Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y15 TILE_X 53608 TILEPROP INT_R_X33Y15 TILE_Y -191672 TILEPROP INT_R_X33Y15 TYPE INT_R TILEPROP INT_R_X33Y16 CLASS tile TILEPROP INT_R_X33Y16 COLUMN 83 TILEPROP INT_R_X33Y16 DEVICE_ID 0 TILEPROP INT_R_X33Y16 FIRST_SITE_ID 14085 TILEPROP INT_R_X33Y16 GRID_POINT_X 83 TILEPROP INT_R_X33Y16 GRID_POINT_Y 139 TILEPROP INT_R_X33Y16 INDEX 16068 TILEPROP INT_R_X33Y16 INT_TILE_X 33 TILEPROP INT_R_X33Y16 INT_TILE_Y 133 TILEPROP INT_R_X33Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y16 IS_DCM_TILE 0 TILEPROP INT_R_X33Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y16 NAME INT_R_X33Y16 TILEPROP INT_R_X33Y16 NUM_ARCS 3737 TILEPROP INT_R_X33Y16 NUM_SITES 1 TILEPROP INT_R_X33Y16 ROW 139 TILEPROP INT_R_X33Y16 SLR_REGION_ID 0 TILEPROP INT_R_X33Y16 TILE_PATTERN_IDX 8272 TILEPROP INT_R_X33Y16 TILE_TYPE INT_R TILEPROP INT_R_X33Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y16 TILE_X 53608 TILEPROP INT_R_X33Y16 TILE_Y -188472 TILEPROP INT_R_X33Y16 TYPE INT_R TILEPROP INT_R_X33Y17 CLASS tile TILEPROP INT_R_X33Y17 COLUMN 83 TILEPROP INT_R_X33Y17 DEVICE_ID 0 TILEPROP INT_R_X33Y17 FIRST_SITE_ID 13981 TILEPROP INT_R_X33Y17 GRID_POINT_X 83 TILEPROP INT_R_X33Y17 GRID_POINT_Y 138 TILEPROP INT_R_X33Y17 INDEX 15953 TILEPROP INT_R_X33Y17 INT_TILE_X 33 TILEPROP INT_R_X33Y17 INT_TILE_Y 132 TILEPROP INT_R_X33Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y17 IS_DCM_TILE 0 TILEPROP INT_R_X33Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y17 NAME INT_R_X33Y17 TILEPROP INT_R_X33Y17 NUM_ARCS 3737 TILEPROP INT_R_X33Y17 NUM_SITES 1 TILEPROP INT_R_X33Y17 ROW 138 TILEPROP INT_R_X33Y17 SLR_REGION_ID 0 TILEPROP INT_R_X33Y17 TILE_PATTERN_IDX 8240 TILEPROP INT_R_X33Y17 TILE_TYPE INT_R TILEPROP INT_R_X33Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y17 TILE_X 53608 TILEPROP INT_R_X33Y17 TILE_Y -185272 TILEPROP INT_R_X33Y17 TYPE INT_R TILEPROP INT_R_X33Y18 CLASS tile TILEPROP INT_R_X33Y18 COLUMN 83 TILEPROP INT_R_X33Y18 DEVICE_ID 0 TILEPROP INT_R_X33Y18 FIRST_SITE_ID 13876 TILEPROP INT_R_X33Y18 GRID_POINT_X 83 TILEPROP INT_R_X33Y18 GRID_POINT_Y 137 TILEPROP INT_R_X33Y18 INDEX 15838 TILEPROP INT_R_X33Y18 INT_TILE_X 33 TILEPROP INT_R_X33Y18 INT_TILE_Y 131 TILEPROP INT_R_X33Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y18 IS_DCM_TILE 0 TILEPROP INT_R_X33Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y18 NAME INT_R_X33Y18 TILEPROP INT_R_X33Y18 NUM_ARCS 3737 TILEPROP INT_R_X33Y18 NUM_SITES 1 TILEPROP INT_R_X33Y18 ROW 137 TILEPROP INT_R_X33Y18 SLR_REGION_ID 0 TILEPROP INT_R_X33Y18 TILE_PATTERN_IDX 6566 TILEPROP INT_R_X33Y18 TILE_TYPE INT_R TILEPROP INT_R_X33Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y18 TILE_X 53608 TILEPROP INT_R_X33Y18 TILE_Y -182072 TILEPROP INT_R_X33Y18 TYPE INT_R TILEPROP INT_R_X33Y19 CLASS tile TILEPROP INT_R_X33Y19 COLUMN 83 TILEPROP INT_R_X33Y19 DEVICE_ID 0 TILEPROP INT_R_X33Y19 FIRST_SITE_ID 13774 TILEPROP INT_R_X33Y19 GRID_POINT_X 83 TILEPROP INT_R_X33Y19 GRID_POINT_Y 136 TILEPROP INT_R_X33Y19 INDEX 15723 TILEPROP INT_R_X33Y19 INT_TILE_X 33 TILEPROP INT_R_X33Y19 INT_TILE_Y 130 TILEPROP INT_R_X33Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y19 IS_DCM_TILE 0 TILEPROP INT_R_X33Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y19 NAME INT_R_X33Y19 TILEPROP INT_R_X33Y19 NUM_ARCS 3737 TILEPROP INT_R_X33Y19 NUM_SITES 1 TILEPROP INT_R_X33Y19 ROW 136 TILEPROP INT_R_X33Y19 SLR_REGION_ID 0 TILEPROP INT_R_X33Y19 TILE_PATTERN_IDX 6535 TILEPROP INT_R_X33Y19 TILE_TYPE INT_R TILEPROP INT_R_X33Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y19 TILE_X 53608 TILEPROP INT_R_X33Y19 TILE_Y -178872 TILEPROP INT_R_X33Y19 TYPE INT_R TILEPROP INT_R_X33Y20 CLASS tile TILEPROP INT_R_X33Y20 COLUMN 83 TILEPROP INT_R_X33Y20 DEVICE_ID 0 TILEPROP INT_R_X33Y20 FIRST_SITE_ID 13666 TILEPROP INT_R_X33Y20 GRID_POINT_X 83 TILEPROP INT_R_X33Y20 GRID_POINT_Y 135 TILEPROP INT_R_X33Y20 INDEX 15608 TILEPROP INT_R_X33Y20 INT_TILE_X 33 TILEPROP INT_R_X33Y20 INT_TILE_Y 129 TILEPROP INT_R_X33Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y20 IS_DCM_TILE 0 TILEPROP INT_R_X33Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y20 NAME INT_R_X33Y20 TILEPROP INT_R_X33Y20 NUM_ARCS 3737 TILEPROP INT_R_X33Y20 NUM_SITES 1 TILEPROP INT_R_X33Y20 ROW 135 TILEPROP INT_R_X33Y20 SLR_REGION_ID 0 TILEPROP INT_R_X33Y20 TILE_PATTERN_IDX 6504 TILEPROP INT_R_X33Y20 TILE_TYPE INT_R TILEPROP INT_R_X33Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y20 TILE_X 53608 TILEPROP INT_R_X33Y20 TILE_Y -175672 TILEPROP INT_R_X33Y20 TYPE INT_R TILEPROP INT_R_X33Y21 CLASS tile TILEPROP INT_R_X33Y21 COLUMN 83 TILEPROP INT_R_X33Y21 DEVICE_ID 0 TILEPROP INT_R_X33Y21 FIRST_SITE_ID 13557 TILEPROP INT_R_X33Y21 GRID_POINT_X 83 TILEPROP INT_R_X33Y21 GRID_POINT_Y 134 TILEPROP INT_R_X33Y21 INDEX 15493 TILEPROP INT_R_X33Y21 INT_TILE_X 33 TILEPROP INT_R_X33Y21 INT_TILE_Y 128 TILEPROP INT_R_X33Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y21 IS_DCM_TILE 0 TILEPROP INT_R_X33Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y21 NAME INT_R_X33Y21 TILEPROP INT_R_X33Y21 NUM_ARCS 3737 TILEPROP INT_R_X33Y21 NUM_SITES 1 TILEPROP INT_R_X33Y21 ROW 134 TILEPROP INT_R_X33Y21 SLR_REGION_ID 0 TILEPROP INT_R_X33Y21 TILE_PATTERN_IDX 8143 TILEPROP INT_R_X33Y21 TILE_TYPE INT_R TILEPROP INT_R_X33Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y21 TILE_X 53608 TILEPROP INT_R_X33Y21 TILE_Y -172472 TILEPROP INT_R_X33Y21 TYPE INT_R TILEPROP INT_R_X33Y22 CLASS tile TILEPROP INT_R_X33Y22 COLUMN 83 TILEPROP INT_R_X33Y22 DEVICE_ID 0 TILEPROP INT_R_X33Y22 FIRST_SITE_ID 13457 TILEPROP INT_R_X33Y22 GRID_POINT_X 83 TILEPROP INT_R_X33Y22 GRID_POINT_Y 133 TILEPROP INT_R_X33Y22 INDEX 15378 TILEPROP INT_R_X33Y22 INT_TILE_X 33 TILEPROP INT_R_X33Y22 INT_TILE_Y 127 TILEPROP INT_R_X33Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y22 IS_DCM_TILE 0 TILEPROP INT_R_X33Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y22 NAME INT_R_X33Y22 TILEPROP INT_R_X33Y22 NUM_ARCS 3737 TILEPROP INT_R_X33Y22 NUM_SITES 1 TILEPROP INT_R_X33Y22 ROW 133 TILEPROP INT_R_X33Y22 SLR_REGION_ID 0 TILEPROP INT_R_X33Y22 TILE_PATTERN_IDX 8115 TILEPROP INT_R_X33Y22 TILE_TYPE INT_R TILEPROP INT_R_X33Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y22 TILE_X 53608 TILEPROP INT_R_X33Y22 TILE_Y -169272 TILEPROP INT_R_X33Y22 TYPE INT_R TILEPROP INT_R_X33Y23 CLASS tile TILEPROP INT_R_X33Y23 COLUMN 83 TILEPROP INT_R_X33Y23 DEVICE_ID 0 TILEPROP INT_R_X33Y23 FIRST_SITE_ID 13357 TILEPROP INT_R_X33Y23 GRID_POINT_X 83 TILEPROP INT_R_X33Y23 GRID_POINT_Y 132 TILEPROP INT_R_X33Y23 INDEX 15263 TILEPROP INT_R_X33Y23 INT_TILE_X 33 TILEPROP INT_R_X33Y23 INT_TILE_Y 126 TILEPROP INT_R_X33Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y23 IS_DCM_TILE 0 TILEPROP INT_R_X33Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y23 NAME INT_R_X33Y23 TILEPROP INT_R_X33Y23 NUM_ARCS 3737 TILEPROP INT_R_X33Y23 NUM_SITES 1 TILEPROP INT_R_X33Y23 ROW 132 TILEPROP INT_R_X33Y23 SLR_REGION_ID 0 TILEPROP INT_R_X33Y23 TILE_PATTERN_IDX 8086 TILEPROP INT_R_X33Y23 TILE_TYPE INT_R TILEPROP INT_R_X33Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y23 TILE_X 53608 TILEPROP INT_R_X33Y23 TILE_Y -166072 TILEPROP INT_R_X33Y23 TYPE INT_R TILEPROP INT_R_X33Y24 CLASS tile TILEPROP INT_R_X33Y24 COLUMN 83 TILEPROP INT_R_X33Y24 DEVICE_ID 0 TILEPROP INT_R_X33Y24 FIRST_SITE_ID 13257 TILEPROP INT_R_X33Y24 GRID_POINT_X 83 TILEPROP INT_R_X33Y24 GRID_POINT_Y 131 TILEPROP INT_R_X33Y24 INDEX 15148 TILEPROP INT_R_X33Y24 INT_TILE_X 33 TILEPROP INT_R_X33Y24 INT_TILE_Y 125 TILEPROP INT_R_X33Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y24 IS_DCM_TILE 0 TILEPROP INT_R_X33Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y24 NAME INT_R_X33Y24 TILEPROP INT_R_X33Y24 NUM_ARCS 3737 TILEPROP INT_R_X33Y24 NUM_SITES 1 TILEPROP INT_R_X33Y24 ROW 131 TILEPROP INT_R_X33Y24 SLR_REGION_ID 0 TILEPROP INT_R_X33Y24 TILE_PATTERN_IDX 8058 TILEPROP INT_R_X33Y24 TILE_TYPE INT_R TILEPROP INT_R_X33Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y24 TILE_X 53608 TILEPROP INT_R_X33Y24 TILE_Y -162872 TILEPROP INT_R_X33Y24 TYPE INT_R TILEPROP INT_R_X33Y25 CLASS tile TILEPROP INT_R_X33Y25 COLUMN 83 TILEPROP INT_R_X33Y25 DEVICE_ID 0 TILEPROP INT_R_X33Y25 FIRST_SITE_ID 13068 TILEPROP INT_R_X33Y25 GRID_POINT_X 83 TILEPROP INT_R_X33Y25 GRID_POINT_Y 129 TILEPROP INT_R_X33Y25 INDEX 14918 TILEPROP INT_R_X33Y25 INT_TILE_X 33 TILEPROP INT_R_X33Y25 INT_TILE_Y 124 TILEPROP INT_R_X33Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y25 IS_DCM_TILE 0 TILEPROP INT_R_X33Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y25 NAME INT_R_X33Y25 TILEPROP INT_R_X33Y25 NUM_ARCS 3737 TILEPROP INT_R_X33Y25 NUM_SITES 1 TILEPROP INT_R_X33Y25 ROW 129 TILEPROP INT_R_X33Y25 SLR_REGION_ID 0 TILEPROP INT_R_X33Y25 TILE_PATTERN_IDX 7986 TILEPROP INT_R_X33Y25 TILE_TYPE INT_R TILEPROP INT_R_X33Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y25 TILE_X 53608 TILEPROP INT_R_X33Y25 TILE_Y -158648 TILEPROP INT_R_X33Y25 TYPE INT_R TILEPROP INT_R_X33Y26 CLASS tile TILEPROP INT_R_X33Y26 COLUMN 83 TILEPROP INT_R_X33Y26 DEVICE_ID 0 TILEPROP INT_R_X33Y26 FIRST_SITE_ID 12959 TILEPROP INT_R_X33Y26 GRID_POINT_X 83 TILEPROP INT_R_X33Y26 GRID_POINT_Y 128 TILEPROP INT_R_X33Y26 INDEX 14803 TILEPROP INT_R_X33Y26 INT_TILE_X 33 TILEPROP INT_R_X33Y26 INT_TILE_Y 123 TILEPROP INT_R_X33Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y26 IS_DCM_TILE 0 TILEPROP INT_R_X33Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y26 NAME INT_R_X33Y26 TILEPROP INT_R_X33Y26 NUM_ARCS 3737 TILEPROP INT_R_X33Y26 NUM_SITES 1 TILEPROP INT_R_X33Y26 ROW 128 TILEPROP INT_R_X33Y26 SLR_REGION_ID 0 TILEPROP INT_R_X33Y26 TILE_PATTERN_IDX 7958 TILEPROP INT_R_X33Y26 TILE_TYPE INT_R TILEPROP INT_R_X33Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y26 TILE_X 53608 TILEPROP INT_R_X33Y26 TILE_Y -155448 TILEPROP INT_R_X33Y26 TYPE INT_R TILEPROP INT_R_X33Y27 CLASS tile TILEPROP INT_R_X33Y27 COLUMN 83 TILEPROP INT_R_X33Y27 DEVICE_ID 0 TILEPROP INT_R_X33Y27 FIRST_SITE_ID 12859 TILEPROP INT_R_X33Y27 GRID_POINT_X 83 TILEPROP INT_R_X33Y27 GRID_POINT_Y 127 TILEPROP INT_R_X33Y27 INDEX 14688 TILEPROP INT_R_X33Y27 INT_TILE_X 33 TILEPROP INT_R_X33Y27 INT_TILE_Y 122 TILEPROP INT_R_X33Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y27 IS_DCM_TILE 0 TILEPROP INT_R_X33Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y27 NAME INT_R_X33Y27 TILEPROP INT_R_X33Y27 NUM_ARCS 3737 TILEPROP INT_R_X33Y27 NUM_SITES 1 TILEPROP INT_R_X33Y27 ROW 127 TILEPROP INT_R_X33Y27 SLR_REGION_ID 0 TILEPROP INT_R_X33Y27 TILE_PATTERN_IDX 7929 TILEPROP INT_R_X33Y27 TILE_TYPE INT_R TILEPROP INT_R_X33Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y27 TILE_X 53608 TILEPROP INT_R_X33Y27 TILE_Y -152248 TILEPROP INT_R_X33Y27 TYPE INT_R TILEPROP INT_R_X33Y28 CLASS tile TILEPROP INT_R_X33Y28 COLUMN 83 TILEPROP INT_R_X33Y28 DEVICE_ID 0 TILEPROP INT_R_X33Y28 FIRST_SITE_ID 12759 TILEPROP INT_R_X33Y28 GRID_POINT_X 83 TILEPROP INT_R_X33Y28 GRID_POINT_Y 126 TILEPROP INT_R_X33Y28 INDEX 14573 TILEPROP INT_R_X33Y28 INT_TILE_X 33 TILEPROP INT_R_X33Y28 INT_TILE_Y 121 TILEPROP INT_R_X33Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y28 IS_DCM_TILE 0 TILEPROP INT_R_X33Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y28 NAME INT_R_X33Y28 TILEPROP INT_R_X33Y28 NUM_ARCS 3737 TILEPROP INT_R_X33Y28 NUM_SITES 1 TILEPROP INT_R_X33Y28 ROW 126 TILEPROP INT_R_X33Y28 SLR_REGION_ID 0 TILEPROP INT_R_X33Y28 TILE_PATTERN_IDX 7901 TILEPROP INT_R_X33Y28 TILE_TYPE INT_R TILEPROP INT_R_X33Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y28 TILE_X 53608 TILEPROP INT_R_X33Y28 TILE_Y -149048 TILEPROP INT_R_X33Y28 TYPE INT_R TILEPROP INT_R_X33Y29 CLASS tile TILEPROP INT_R_X33Y29 COLUMN 83 TILEPROP INT_R_X33Y29 DEVICE_ID 0 TILEPROP INT_R_X33Y29 FIRST_SITE_ID 12653 TILEPROP INT_R_X33Y29 GRID_POINT_X 83 TILEPROP INT_R_X33Y29 GRID_POINT_Y 125 TILEPROP INT_R_X33Y29 INDEX 14458 TILEPROP INT_R_X33Y29 INT_TILE_X 33 TILEPROP INT_R_X33Y29 INT_TILE_Y 120 TILEPROP INT_R_X33Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y29 IS_DCM_TILE 0 TILEPROP INT_R_X33Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y29 NAME INT_R_X33Y29 TILEPROP INT_R_X33Y29 NUM_ARCS 3737 TILEPROP INT_R_X33Y29 NUM_SITES 1 TILEPROP INT_R_X33Y29 ROW 125 TILEPROP INT_R_X33Y29 SLR_REGION_ID 0 TILEPROP INT_R_X33Y29 TILE_PATTERN_IDX 6170 TILEPROP INT_R_X33Y29 TILE_TYPE INT_R TILEPROP INT_R_X33Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y29 TILE_X 53608 TILEPROP INT_R_X33Y29 TILE_Y -145848 TILEPROP INT_R_X33Y29 TYPE INT_R TILEPROP INT_R_X33Y30 CLASS tile TILEPROP INT_R_X33Y30 COLUMN 83 TILEPROP INT_R_X33Y30 DEVICE_ID 0 TILEPROP INT_R_X33Y30 FIRST_SITE_ID 12541 TILEPROP INT_R_X33Y30 GRID_POINT_X 83 TILEPROP INT_R_X33Y30 GRID_POINT_Y 124 TILEPROP INT_R_X33Y30 INDEX 14343 TILEPROP INT_R_X33Y30 INT_TILE_X 33 TILEPROP INT_R_X33Y30 INT_TILE_Y 119 TILEPROP INT_R_X33Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y30 IS_DCM_TILE 0 TILEPROP INT_R_X33Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y30 NAME INT_R_X33Y30 TILEPROP INT_R_X33Y30 NUM_ARCS 3737 TILEPROP INT_R_X33Y30 NUM_SITES 1 TILEPROP INT_R_X33Y30 ROW 124 TILEPROP INT_R_X33Y30 SLR_REGION_ID 0 TILEPROP INT_R_X33Y30 TILE_PATTERN_IDX 6135 TILEPROP INT_R_X33Y30 TILE_TYPE INT_R TILEPROP INT_R_X33Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y30 TILE_X 53608 TILEPROP INT_R_X33Y30 TILE_Y -142648 TILEPROP INT_R_X33Y30 TYPE INT_R TILEPROP INT_R_X33Y31 CLASS tile TILEPROP INT_R_X33Y31 COLUMN 83 TILEPROP INT_R_X33Y31 DEVICE_ID 0 TILEPROP INT_R_X33Y31 FIRST_SITE_ID 12417 TILEPROP INT_R_X33Y31 GRID_POINT_X 83 TILEPROP INT_R_X33Y31 GRID_POINT_Y 123 TILEPROP INT_R_X33Y31 INDEX 14228 TILEPROP INT_R_X33Y31 INT_TILE_X 33 TILEPROP INT_R_X33Y31 INT_TILE_Y 118 TILEPROP INT_R_X33Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y31 IS_DCM_TILE 0 TILEPROP INT_R_X33Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y31 NAME INT_R_X33Y31 TILEPROP INT_R_X33Y31 NUM_ARCS 3737 TILEPROP INT_R_X33Y31 NUM_SITES 1 TILEPROP INT_R_X33Y31 ROW 123 TILEPROP INT_R_X33Y31 SLR_REGION_ID 0 TILEPROP INT_R_X33Y31 TILE_PATTERN_IDX 6101 TILEPROP INT_R_X33Y31 TILE_TYPE INT_R TILEPROP INT_R_X33Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y31 TILE_X 53608 TILEPROP INT_R_X33Y31 TILE_Y -139448 TILEPROP INT_R_X33Y31 TYPE INT_R TILEPROP INT_R_X33Y32 CLASS tile TILEPROP INT_R_X33Y32 COLUMN 83 TILEPROP INT_R_X33Y32 DEVICE_ID 0 TILEPROP INT_R_X33Y32 FIRST_SITE_ID 12315 TILEPROP INT_R_X33Y32 GRID_POINT_X 83 TILEPROP INT_R_X33Y32 GRID_POINT_Y 122 TILEPROP INT_R_X33Y32 INDEX 14113 TILEPROP INT_R_X33Y32 INT_TILE_X 33 TILEPROP INT_R_X33Y32 INT_TILE_Y 117 TILEPROP INT_R_X33Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y32 IS_DCM_TILE 0 TILEPROP INT_R_X33Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y32 NAME INT_R_X33Y32 TILEPROP INT_R_X33Y32 NUM_ARCS 3737 TILEPROP INT_R_X33Y32 NUM_SITES 1 TILEPROP INT_R_X33Y32 ROW 122 TILEPROP INT_R_X33Y32 SLR_REGION_ID 0 TILEPROP INT_R_X33Y32 TILE_PATTERN_IDX 7797 TILEPROP INT_R_X33Y32 TILE_TYPE INT_R TILEPROP INT_R_X33Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y32 TILE_X 53608 TILEPROP INT_R_X33Y32 TILE_Y -136248 TILEPROP INT_R_X33Y32 TYPE INT_R TILEPROP INT_R_X33Y33 CLASS tile TILEPROP INT_R_X33Y33 COLUMN 83 TILEPROP INT_R_X33Y33 DEVICE_ID 0 TILEPROP INT_R_X33Y33 FIRST_SITE_ID 12214 TILEPROP INT_R_X33Y33 GRID_POINT_X 83 TILEPROP INT_R_X33Y33 GRID_POINT_Y 121 TILEPROP INT_R_X33Y33 INDEX 13998 TILEPROP INT_R_X33Y33 INT_TILE_X 33 TILEPROP INT_R_X33Y33 INT_TILE_Y 116 TILEPROP INT_R_X33Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y33 IS_DCM_TILE 0 TILEPROP INT_R_X33Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y33 NAME INT_R_X33Y33 TILEPROP INT_R_X33Y33 NUM_ARCS 3737 TILEPROP INT_R_X33Y33 NUM_SITES 1 TILEPROP INT_R_X33Y33 ROW 121 TILEPROP INT_R_X33Y33 SLR_REGION_ID 0 TILEPROP INT_R_X33Y33 TILE_PATTERN_IDX 6033 TILEPROP INT_R_X33Y33 TILE_TYPE INT_R TILEPROP INT_R_X33Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y33 TILE_X 53608 TILEPROP INT_R_X33Y33 TILE_Y -133048 TILEPROP INT_R_X33Y33 TYPE INT_R TILEPROP INT_R_X33Y34 CLASS tile TILEPROP INT_R_X33Y34 COLUMN 83 TILEPROP INT_R_X33Y34 DEVICE_ID 0 TILEPROP INT_R_X33Y34 FIRST_SITE_ID 12114 TILEPROP INT_R_X33Y34 GRID_POINT_X 83 TILEPROP INT_R_X33Y34 GRID_POINT_Y 120 TILEPROP INT_R_X33Y34 INDEX 13883 TILEPROP INT_R_X33Y34 INT_TILE_X 33 TILEPROP INT_R_X33Y34 INT_TILE_Y 115 TILEPROP INT_R_X33Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y34 IS_DCM_TILE 0 TILEPROP INT_R_X33Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y34 NAME INT_R_X33Y34 TILEPROP INT_R_X33Y34 NUM_ARCS 3737 TILEPROP INT_R_X33Y34 NUM_SITES 1 TILEPROP INT_R_X33Y34 ROW 120 TILEPROP INT_R_X33Y34 SLR_REGION_ID 0 TILEPROP INT_R_X33Y34 TILE_PATTERN_IDX 5999 TILEPROP INT_R_X33Y34 TILE_TYPE INT_R TILEPROP INT_R_X33Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y34 TILE_X 53608 TILEPROP INT_R_X33Y34 TILE_Y -129848 TILEPROP INT_R_X33Y34 TYPE INT_R TILEPROP INT_R_X33Y35 CLASS tile TILEPROP INT_R_X33Y35 COLUMN 83 TILEPROP INT_R_X33Y35 DEVICE_ID 0 TILEPROP INT_R_X33Y35 FIRST_SITE_ID 12008 TILEPROP INT_R_X33Y35 GRID_POINT_X 83 TILEPROP INT_R_X33Y35 GRID_POINT_Y 119 TILEPROP INT_R_X33Y35 INDEX 13768 TILEPROP INT_R_X33Y35 INT_TILE_X 33 TILEPROP INT_R_X33Y35 INT_TILE_Y 114 TILEPROP INT_R_X33Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y35 IS_DCM_TILE 0 TILEPROP INT_R_X33Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y35 NAME INT_R_X33Y35 TILEPROP INT_R_X33Y35 NUM_ARCS 3737 TILEPROP INT_R_X33Y35 NUM_SITES 1 TILEPROP INT_R_X33Y35 ROW 119 TILEPROP INT_R_X33Y35 SLR_REGION_ID 0 TILEPROP INT_R_X33Y35 TILE_PATTERN_IDX 5965 TILEPROP INT_R_X33Y35 TILE_TYPE INT_R TILEPROP INT_R_X33Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y35 TILE_X 53608 TILEPROP INT_R_X33Y35 TILE_Y -126648 TILEPROP INT_R_X33Y35 TYPE INT_R TILEPROP INT_R_X33Y36 CLASS tile TILEPROP INT_R_X33Y36 COLUMN 83 TILEPROP INT_R_X33Y36 DEVICE_ID 0 TILEPROP INT_R_X33Y36 FIRST_SITE_ID 11899 TILEPROP INT_R_X33Y36 GRID_POINT_X 83 TILEPROP INT_R_X33Y36 GRID_POINT_Y 118 TILEPROP INT_R_X33Y36 INDEX 13653 TILEPROP INT_R_X33Y36 INT_TILE_X 33 TILEPROP INT_R_X33Y36 INT_TILE_Y 113 TILEPROP INT_R_X33Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y36 IS_DCM_TILE 0 TILEPROP INT_R_X33Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y36 NAME INT_R_X33Y36 TILEPROP INT_R_X33Y36 NUM_ARCS 3737 TILEPROP INT_R_X33Y36 NUM_SITES 1 TILEPROP INT_R_X33Y36 ROW 118 TILEPROP INT_R_X33Y36 SLR_REGION_ID 0 TILEPROP INT_R_X33Y36 TILE_PATTERN_IDX 5930 TILEPROP INT_R_X33Y36 TILE_TYPE INT_R TILEPROP INT_R_X33Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y36 TILE_X 53608 TILEPROP INT_R_X33Y36 TILE_Y -123448 TILEPROP INT_R_X33Y36 TYPE INT_R TILEPROP INT_R_X33Y37 CLASS tile TILEPROP INT_R_X33Y37 COLUMN 83 TILEPROP INT_R_X33Y37 DEVICE_ID 0 TILEPROP INT_R_X33Y37 FIRST_SITE_ID 11767 TILEPROP INT_R_X33Y37 GRID_POINT_X 83 TILEPROP INT_R_X33Y37 GRID_POINT_Y 117 TILEPROP INT_R_X33Y37 INDEX 13538 TILEPROP INT_R_X33Y37 INT_TILE_X 33 TILEPROP INT_R_X33Y37 INT_TILE_Y 112 TILEPROP INT_R_X33Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y37 IS_DCM_TILE 0 TILEPROP INT_R_X33Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y37 NAME INT_R_X33Y37 TILEPROP INT_R_X33Y37 NUM_ARCS 3737 TILEPROP INT_R_X33Y37 NUM_SITES 1 TILEPROP INT_R_X33Y37 ROW 117 TILEPROP INT_R_X33Y37 SLR_REGION_ID 0 TILEPROP INT_R_X33Y37 TILE_PATTERN_IDX 5895 TILEPROP INT_R_X33Y37 TILE_TYPE INT_R TILEPROP INT_R_X33Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y37 TILE_X 53608 TILEPROP INT_R_X33Y37 TILE_Y -120248 TILEPROP INT_R_X33Y37 TYPE INT_R TILEPROP INT_R_X33Y38 CLASS tile TILEPROP INT_R_X33Y38 COLUMN 83 TILEPROP INT_R_X33Y38 DEVICE_ID 0 TILEPROP INT_R_X33Y38 FIRST_SITE_ID 11667 TILEPROP INT_R_X33Y38 GRID_POINT_X 83 TILEPROP INT_R_X33Y38 GRID_POINT_Y 116 TILEPROP INT_R_X33Y38 INDEX 13423 TILEPROP INT_R_X33Y38 INT_TILE_X 33 TILEPROP INT_R_X33Y38 INT_TILE_Y 111 TILEPROP INT_R_X33Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y38 IS_DCM_TILE 0 TILEPROP INT_R_X33Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y38 NAME INT_R_X33Y38 TILEPROP INT_R_X33Y38 NUM_ARCS 3737 TILEPROP INT_R_X33Y38 NUM_SITES 1 TILEPROP INT_R_X33Y38 ROW 116 TILEPROP INT_R_X33Y38 SLR_REGION_ID 0 TILEPROP INT_R_X33Y38 TILE_PATTERN_IDX 5862 TILEPROP INT_R_X33Y38 TILE_TYPE INT_R TILEPROP INT_R_X33Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y38 TILE_X 53608 TILEPROP INT_R_X33Y38 TILE_Y -117048 TILEPROP INT_R_X33Y38 TYPE INT_R TILEPROP INT_R_X33Y39 CLASS tile TILEPROP INT_R_X33Y39 COLUMN 83 TILEPROP INT_R_X33Y39 DEVICE_ID 0 TILEPROP INT_R_X33Y39 FIRST_SITE_ID 11567 TILEPROP INT_R_X33Y39 GRID_POINT_X 83 TILEPROP INT_R_X33Y39 GRID_POINT_Y 115 TILEPROP INT_R_X33Y39 INDEX 13308 TILEPROP INT_R_X33Y39 INT_TILE_X 33 TILEPROP INT_R_X33Y39 INT_TILE_Y 110 TILEPROP INT_R_X33Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y39 IS_DCM_TILE 0 TILEPROP INT_R_X33Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y39 NAME INT_R_X33Y39 TILEPROP INT_R_X33Y39 NUM_ARCS 3737 TILEPROP INT_R_X33Y39 NUM_SITES 1 TILEPROP INT_R_X33Y39 ROW 115 TILEPROP INT_R_X33Y39 SLR_REGION_ID 0 TILEPROP INT_R_X33Y39 TILE_PATTERN_IDX 5828 TILEPROP INT_R_X33Y39 TILE_TYPE INT_R TILEPROP INT_R_X33Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y39 TILE_X 53608 TILEPROP INT_R_X33Y39 TILE_Y -113848 TILEPROP INT_R_X33Y39 TYPE INT_R TILEPROP INT_R_X33Y40 CLASS tile TILEPROP INT_R_X33Y40 COLUMN 83 TILEPROP INT_R_X33Y40 DEVICE_ID 0 TILEPROP INT_R_X33Y40 FIRST_SITE_ID 11461 TILEPROP INT_R_X33Y40 GRID_POINT_X 83 TILEPROP INT_R_X33Y40 GRID_POINT_Y 114 TILEPROP INT_R_X33Y40 INDEX 13193 TILEPROP INT_R_X33Y40 INT_TILE_X 33 TILEPROP INT_R_X33Y40 INT_TILE_Y 109 TILEPROP INT_R_X33Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y40 IS_DCM_TILE 0 TILEPROP INT_R_X33Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y40 NAME INT_R_X33Y40 TILEPROP INT_R_X33Y40 NUM_ARCS 3737 TILEPROP INT_R_X33Y40 NUM_SITES 1 TILEPROP INT_R_X33Y40 ROW 114 TILEPROP INT_R_X33Y40 SLR_REGION_ID 0 TILEPROP INT_R_X33Y40 TILE_PATTERN_IDX 5794 TILEPROP INT_R_X33Y40 TILE_TYPE INT_R TILEPROP INT_R_X33Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y40 TILE_X 53608 TILEPROP INT_R_X33Y40 TILE_Y -110648 TILEPROP INT_R_X33Y40 TYPE INT_R TILEPROP INT_R_X33Y41 CLASS tile TILEPROP INT_R_X33Y41 COLUMN 83 TILEPROP INT_R_X33Y41 DEVICE_ID 0 TILEPROP INT_R_X33Y41 FIRST_SITE_ID 11350 TILEPROP INT_R_X33Y41 GRID_POINT_X 83 TILEPROP INT_R_X33Y41 GRID_POINT_Y 113 TILEPROP INT_R_X33Y41 INDEX 13078 TILEPROP INT_R_X33Y41 INT_TILE_X 33 TILEPROP INT_R_X33Y41 INT_TILE_Y 108 TILEPROP INT_R_X33Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y41 IS_DCM_TILE 0 TILEPROP INT_R_X33Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y41 NAME INT_R_X33Y41 TILEPROP INT_R_X33Y41 NUM_ARCS 3737 TILEPROP INT_R_X33Y41 NUM_SITES 1 TILEPROP INT_R_X33Y41 ROW 113 TILEPROP INT_R_X33Y41 SLR_REGION_ID 0 TILEPROP INT_R_X33Y41 TILE_PATTERN_IDX 7534 TILEPROP INT_R_X33Y41 TILE_TYPE INT_R TILEPROP INT_R_X33Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y41 TILE_X 53608 TILEPROP INT_R_X33Y41 TILE_Y -107448 TILEPROP INT_R_X33Y41 TYPE INT_R TILEPROP INT_R_X33Y42 CLASS tile TILEPROP INT_R_X33Y42 COLUMN 83 TILEPROP INT_R_X33Y42 DEVICE_ID 0 TILEPROP INT_R_X33Y42 FIRST_SITE_ID 11248 TILEPROP INT_R_X33Y42 GRID_POINT_X 83 TILEPROP INT_R_X33Y42 GRID_POINT_Y 112 TILEPROP INT_R_X33Y42 INDEX 12963 TILEPROP INT_R_X33Y42 INT_TILE_X 33 TILEPROP INT_R_X33Y42 INT_TILE_Y 107 TILEPROP INT_R_X33Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y42 IS_DCM_TILE 0 TILEPROP INT_R_X33Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y42 NAME INT_R_X33Y42 TILEPROP INT_R_X33Y42 NUM_ARCS 3737 TILEPROP INT_R_X33Y42 NUM_SITES 1 TILEPROP INT_R_X33Y42 ROW 112 TILEPROP INT_R_X33Y42 SLR_REGION_ID 0 TILEPROP INT_R_X33Y42 TILE_PATTERN_IDX 5727 TILEPROP INT_R_X33Y42 TILE_TYPE INT_R TILEPROP INT_R_X33Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y42 TILE_X 53608 TILEPROP INT_R_X33Y42 TILE_Y -104248 TILEPROP INT_R_X33Y42 TYPE INT_R TILEPROP INT_R_X33Y43 CLASS tile TILEPROP INT_R_X33Y43 COLUMN 83 TILEPROP INT_R_X33Y43 DEVICE_ID 0 TILEPROP INT_R_X33Y43 FIRST_SITE_ID 11145 TILEPROP INT_R_X33Y43 GRID_POINT_X 83 TILEPROP INT_R_X33Y43 GRID_POINT_Y 111 TILEPROP INT_R_X33Y43 INDEX 12848 TILEPROP INT_R_X33Y43 INT_TILE_X 33 TILEPROP INT_R_X33Y43 INT_TILE_Y 106 TILEPROP INT_R_X33Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y43 IS_DCM_TILE 0 TILEPROP INT_R_X33Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y43 NAME INT_R_X33Y43 TILEPROP INT_R_X33Y43 NUM_ARCS 3737 TILEPROP INT_R_X33Y43 NUM_SITES 1 TILEPROP INT_R_X33Y43 ROW 111 TILEPROP INT_R_X33Y43 SLR_REGION_ID 0 TILEPROP INT_R_X33Y43 TILE_PATTERN_IDX 5693 TILEPROP INT_R_X33Y43 TILE_TYPE INT_R TILEPROP INT_R_X33Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y43 TILE_X 53608 TILEPROP INT_R_X33Y43 TILE_Y -101048 TILEPROP INT_R_X33Y43 TYPE INT_R TILEPROP INT_R_X33Y44 CLASS tile TILEPROP INT_R_X33Y44 COLUMN 83 TILEPROP INT_R_X33Y44 DEVICE_ID 0 TILEPROP INT_R_X33Y44 FIRST_SITE_ID 11043 TILEPROP INT_R_X33Y44 GRID_POINT_X 83 TILEPROP INT_R_X33Y44 GRID_POINT_Y 110 TILEPROP INT_R_X33Y44 INDEX 12733 TILEPROP INT_R_X33Y44 INT_TILE_X 33 TILEPROP INT_R_X33Y44 INT_TILE_Y 105 TILEPROP INT_R_X33Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y44 IS_DCM_TILE 0 TILEPROP INT_R_X33Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y44 NAME INT_R_X33Y44 TILEPROP INT_R_X33Y44 NUM_ARCS 3737 TILEPROP INT_R_X33Y44 NUM_SITES 1 TILEPROP INT_R_X33Y44 ROW 110 TILEPROP INT_R_X33Y44 SLR_REGION_ID 0 TILEPROP INT_R_X33Y44 TILE_PATTERN_IDX 5659 TILEPROP INT_R_X33Y44 TILE_TYPE INT_R TILEPROP INT_R_X33Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y44 TILE_X 53608 TILEPROP INT_R_X33Y44 TILE_Y -97848 TILEPROP INT_R_X33Y44 TYPE INT_R TILEPROP INT_R_X33Y45 CLASS tile TILEPROP INT_R_X33Y45 COLUMN 83 TILEPROP INT_R_X33Y45 DEVICE_ID 0 TILEPROP INT_R_X33Y45 FIRST_SITE_ID 10937 TILEPROP INT_R_X33Y45 GRID_POINT_X 83 TILEPROP INT_R_X33Y45 GRID_POINT_Y 109 TILEPROP INT_R_X33Y45 INDEX 12618 TILEPROP INT_R_X33Y45 INT_TILE_X 33 TILEPROP INT_R_X33Y45 INT_TILE_Y 104 TILEPROP INT_R_X33Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y45 IS_DCM_TILE 0 TILEPROP INT_R_X33Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y45 NAME INT_R_X33Y45 TILEPROP INT_R_X33Y45 NUM_ARCS 3737 TILEPROP INT_R_X33Y45 NUM_SITES 1 TILEPROP INT_R_X33Y45 ROW 109 TILEPROP INT_R_X33Y45 SLR_REGION_ID 0 TILEPROP INT_R_X33Y45 TILE_PATTERN_IDX 7412 TILEPROP INT_R_X33Y45 TILE_TYPE INT_R TILEPROP INT_R_X33Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y45 TILE_X 53608 TILEPROP INT_R_X33Y45 TILE_Y -94648 TILEPROP INT_R_X33Y45 TYPE INT_R TILEPROP INT_R_X33Y46 CLASS tile TILEPROP INT_R_X33Y46 COLUMN 83 TILEPROP INT_R_X33Y46 DEVICE_ID 0 TILEPROP INT_R_X33Y46 FIRST_SITE_ID 10827 TILEPROP INT_R_X33Y46 GRID_POINT_X 83 TILEPROP INT_R_X33Y46 GRID_POINT_Y 108 TILEPROP INT_R_X33Y46 INDEX 12503 TILEPROP INT_R_X33Y46 INT_TILE_X 33 TILEPROP INT_R_X33Y46 INT_TILE_Y 103 TILEPROP INT_R_X33Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y46 IS_DCM_TILE 0 TILEPROP INT_R_X33Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y46 NAME INT_R_X33Y46 TILEPROP INT_R_X33Y46 NUM_ARCS 3737 TILEPROP INT_R_X33Y46 NUM_SITES 1 TILEPROP INT_R_X33Y46 ROW 108 TILEPROP INT_R_X33Y46 SLR_REGION_ID 0 TILEPROP INT_R_X33Y46 TILE_PATTERN_IDX 7376 TILEPROP INT_R_X33Y46 TILE_TYPE INT_R TILEPROP INT_R_X33Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y46 TILE_X 53608 TILEPROP INT_R_X33Y46 TILE_Y -91448 TILEPROP INT_R_X33Y46 TYPE INT_R TILEPROP INT_R_X33Y47 CLASS tile TILEPROP INT_R_X33Y47 COLUMN 83 TILEPROP INT_R_X33Y47 DEVICE_ID 0 TILEPROP INT_R_X33Y47 FIRST_SITE_ID 10711 TILEPROP INT_R_X33Y47 GRID_POINT_X 83 TILEPROP INT_R_X33Y47 GRID_POINT_Y 107 TILEPROP INT_R_X33Y47 INDEX 12388 TILEPROP INT_R_X33Y47 INT_TILE_X 33 TILEPROP INT_R_X33Y47 INT_TILE_Y 102 TILEPROP INT_R_X33Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y47 IS_DCM_TILE 0 TILEPROP INT_R_X33Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y47 NAME INT_R_X33Y47 TILEPROP INT_R_X33Y47 NUM_ARCS 3737 TILEPROP INT_R_X33Y47 NUM_SITES 1 TILEPROP INT_R_X33Y47 ROW 107 TILEPROP INT_R_X33Y47 SLR_REGION_ID 0 TILEPROP INT_R_X33Y47 TILE_PATTERN_IDX 7339 TILEPROP INT_R_X33Y47 TILE_TYPE INT_R TILEPROP INT_R_X33Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y47 TILE_X 53608 TILEPROP INT_R_X33Y47 TILE_Y -88248 TILEPROP INT_R_X33Y47 TYPE INT_R TILEPROP INT_R_X33Y48 CLASS tile TILEPROP INT_R_X33Y48 COLUMN 83 TILEPROP INT_R_X33Y48 DEVICE_ID 0 TILEPROP INT_R_X33Y48 FIRST_SITE_ID 10611 TILEPROP INT_R_X33Y48 GRID_POINT_X 83 TILEPROP INT_R_X33Y48 GRID_POINT_Y 106 TILEPROP INT_R_X33Y48 INDEX 12273 TILEPROP INT_R_X33Y48 INT_TILE_X 33 TILEPROP INT_R_X33Y48 INT_TILE_Y 101 TILEPROP INT_R_X33Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y48 IS_DCM_TILE 0 TILEPROP INT_R_X33Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y48 NAME INT_R_X33Y48 TILEPROP INT_R_X33Y48 NUM_ARCS 3737 TILEPROP INT_R_X33Y48 NUM_SITES 1 TILEPROP INT_R_X33Y48 ROW 106 TILEPROP INT_R_X33Y48 SLR_REGION_ID 0 TILEPROP INT_R_X33Y48 TILE_PATTERN_IDX 7303 TILEPROP INT_R_X33Y48 TILE_TYPE INT_R TILEPROP INT_R_X33Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y48 TILE_X 53608 TILEPROP INT_R_X33Y48 TILE_Y -85048 TILEPROP INT_R_X33Y48 TYPE INT_R TILEPROP INT_R_X33Y49 CLASS tile TILEPROP INT_R_X33Y49 COLUMN 83 TILEPROP INT_R_X33Y49 DEVICE_ID 0 TILEPROP INT_R_X33Y49 FIRST_SITE_ID 10515 TILEPROP INT_R_X33Y49 GRID_POINT_X 83 TILEPROP INT_R_X33Y49 GRID_POINT_Y 105 TILEPROP INT_R_X33Y49 INDEX 12158 TILEPROP INT_R_X33Y49 INT_TILE_X 33 TILEPROP INT_R_X33Y49 INT_TILE_Y 100 TILEPROP INT_R_X33Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y49 IS_DCM_TILE 0 TILEPROP INT_R_X33Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y49 NAME INT_R_X33Y49 TILEPROP INT_R_X33Y49 NUM_ARCS 3737 TILEPROP INT_R_X33Y49 NUM_SITES 1 TILEPROP INT_R_X33Y49 ROW 105 TILEPROP INT_R_X33Y49 SLR_REGION_ID 0 TILEPROP INT_R_X33Y49 TILE_PATTERN_IDX 7267 TILEPROP INT_R_X33Y49 TILE_TYPE INT_R TILEPROP INT_R_X33Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y49 TILE_X 53608 TILEPROP INT_R_X33Y49 TILE_Y -81848 TILEPROP INT_R_X33Y49 TYPE INT_R TILEPROP INT_R_X33Y50 CLASS tile TILEPROP INT_R_X33Y50 COLUMN 83 TILEPROP INT_R_X33Y50 DEVICE_ID 0 TILEPROP INT_R_X33Y50 FIRST_SITE_ID 10409 TILEPROP INT_R_X33Y50 GRID_POINT_X 83 TILEPROP INT_R_X33Y50 GRID_POINT_Y 103 TILEPROP INT_R_X33Y50 INDEX 11928 TILEPROP INT_R_X33Y50 INT_TILE_X 33 TILEPROP INT_R_X33Y50 INT_TILE_Y 99 TILEPROP INT_R_X33Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y50 IS_DCM_TILE 0 TILEPROP INT_R_X33Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y50 NAME INT_R_X33Y50 TILEPROP INT_R_X33Y50 NUM_ARCS 3737 TILEPROP INT_R_X33Y50 NUM_SITES 1 TILEPROP INT_R_X33Y50 ROW 103 TILEPROP INT_R_X33Y50 SLR_REGION_ID 0 TILEPROP INT_R_X33Y50 TILE_PATTERN_IDX 7230 TILEPROP INT_R_X33Y50 TILE_TYPE INT_R TILEPROP INT_R_X33Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y50 TILE_X 53608 TILEPROP INT_R_X33Y50 TILE_Y -78400 TILEPROP INT_R_X33Y50 TYPE INT_R TILEPROP INT_R_X33Y51 CLASS tile TILEPROP INT_R_X33Y51 COLUMN 83 TILEPROP INT_R_X33Y51 DEVICE_ID 0 TILEPROP INT_R_X33Y51 FIRST_SITE_ID 10280 TILEPROP INT_R_X33Y51 GRID_POINT_X 83 TILEPROP INT_R_X33Y51 GRID_POINT_Y 102 TILEPROP INT_R_X33Y51 INDEX 11813 TILEPROP INT_R_X33Y51 INT_TILE_X 33 TILEPROP INT_R_X33Y51 INT_TILE_Y 98 TILEPROP INT_R_X33Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y51 IS_DCM_TILE 0 TILEPROP INT_R_X33Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y51 NAME INT_R_X33Y51 TILEPROP INT_R_X33Y51 NUM_ARCS 3737 TILEPROP INT_R_X33Y51 NUM_SITES 1 TILEPROP INT_R_X33Y51 ROW 102 TILEPROP INT_R_X33Y51 SLR_REGION_ID 0 TILEPROP INT_R_X33Y51 TILE_PATTERN_IDX 7193 TILEPROP INT_R_X33Y51 TILE_TYPE INT_R TILEPROP INT_R_X33Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y51 TILE_X 53608 TILEPROP INT_R_X33Y51 TILE_Y -75200 TILEPROP INT_R_X33Y51 TYPE INT_R TILEPROP INT_R_X33Y52 CLASS tile TILEPROP INT_R_X33Y52 COLUMN 83 TILEPROP INT_R_X33Y52 DEVICE_ID 0 TILEPROP INT_R_X33Y52 FIRST_SITE_ID 10180 TILEPROP INT_R_X33Y52 GRID_POINT_X 83 TILEPROP INT_R_X33Y52 GRID_POINT_Y 101 TILEPROP INT_R_X33Y52 INDEX 11698 TILEPROP INT_R_X33Y52 INT_TILE_X 33 TILEPROP INT_R_X33Y52 INT_TILE_Y 97 TILEPROP INT_R_X33Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y52 IS_DCM_TILE 0 TILEPROP INT_R_X33Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y52 NAME INT_R_X33Y52 TILEPROP INT_R_X33Y52 NUM_ARCS 3737 TILEPROP INT_R_X33Y52 NUM_SITES 1 TILEPROP INT_R_X33Y52 ROW 101 TILEPROP INT_R_X33Y52 SLR_REGION_ID 0 TILEPROP INT_R_X33Y52 TILE_PATTERN_IDX 7156 TILEPROP INT_R_X33Y52 TILE_TYPE INT_R TILEPROP INT_R_X33Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y52 TILE_X 53608 TILEPROP INT_R_X33Y52 TILE_Y -72000 TILEPROP INT_R_X33Y52 TYPE INT_R TILEPROP INT_R_X33Y53 CLASS tile TILEPROP INT_R_X33Y53 COLUMN 83 TILEPROP INT_R_X33Y53 DEVICE_ID 0 TILEPROP INT_R_X33Y53 FIRST_SITE_ID 10080 TILEPROP INT_R_X33Y53 GRID_POINT_X 83 TILEPROP INT_R_X33Y53 GRID_POINT_Y 100 TILEPROP INT_R_X33Y53 INDEX 11583 TILEPROP INT_R_X33Y53 INT_TILE_X 33 TILEPROP INT_R_X33Y53 INT_TILE_Y 96 TILEPROP INT_R_X33Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y53 IS_DCM_TILE 0 TILEPROP INT_R_X33Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y53 NAME INT_R_X33Y53 TILEPROP INT_R_X33Y53 NUM_ARCS 3737 TILEPROP INT_R_X33Y53 NUM_SITES 1 TILEPROP INT_R_X33Y53 ROW 100 TILEPROP INT_R_X33Y53 SLR_REGION_ID 0 TILEPROP INT_R_X33Y53 TILE_PATTERN_IDX 7119 TILEPROP INT_R_X33Y53 TILE_TYPE INT_R TILEPROP INT_R_X33Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y53 TILE_X 53608 TILEPROP INT_R_X33Y53 TILE_Y -68800 TILEPROP INT_R_X33Y53 TYPE INT_R TILEPROP INT_R_X33Y54 CLASS tile TILEPROP INT_R_X33Y54 COLUMN 83 TILEPROP INT_R_X33Y54 DEVICE_ID 0 TILEPROP INT_R_X33Y54 FIRST_SITE_ID 9980 TILEPROP INT_R_X33Y54 GRID_POINT_X 83 TILEPROP INT_R_X33Y54 GRID_POINT_Y 99 TILEPROP INT_R_X33Y54 INDEX 11468 TILEPROP INT_R_X33Y54 INT_TILE_X 33 TILEPROP INT_R_X33Y54 INT_TILE_Y 95 TILEPROP INT_R_X33Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y54 IS_DCM_TILE 0 TILEPROP INT_R_X33Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y54 NAME INT_R_X33Y54 TILEPROP INT_R_X33Y54 NUM_ARCS 3737 TILEPROP INT_R_X33Y54 NUM_SITES 1 TILEPROP INT_R_X33Y54 ROW 99 TILEPROP INT_R_X33Y54 SLR_REGION_ID 0 TILEPROP INT_R_X33Y54 TILE_PATTERN_IDX 7082 TILEPROP INT_R_X33Y54 TILE_TYPE INT_R TILEPROP INT_R_X33Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y54 TILE_X 53608 TILEPROP INT_R_X33Y54 TILE_Y -65600 TILEPROP INT_R_X33Y54 TYPE INT_R TILEPROP INT_R_X33Y55 CLASS tile TILEPROP INT_R_X33Y55 COLUMN 83 TILEPROP INT_R_X33Y55 DEVICE_ID 0 TILEPROP INT_R_X33Y55 FIRST_SITE_ID 9874 TILEPROP INT_R_X33Y55 GRID_POINT_X 83 TILEPROP INT_R_X33Y55 GRID_POINT_Y 98 TILEPROP INT_R_X33Y55 INDEX 11353 TILEPROP INT_R_X33Y55 INT_TILE_X 33 TILEPROP INT_R_X33Y55 INT_TILE_Y 94 TILEPROP INT_R_X33Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y55 IS_DCM_TILE 0 TILEPROP INT_R_X33Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y55 NAME INT_R_X33Y55 TILEPROP INT_R_X33Y55 NUM_ARCS 3737 TILEPROP INT_R_X33Y55 NUM_SITES 1 TILEPROP INT_R_X33Y55 ROW 98 TILEPROP INT_R_X33Y55 SLR_REGION_ID 0 TILEPROP INT_R_X33Y55 TILE_PATTERN_IDX 7044 TILEPROP INT_R_X33Y55 TILE_TYPE INT_R TILEPROP INT_R_X33Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y55 TILE_X 53608 TILEPROP INT_R_X33Y55 TILE_Y -62400 TILEPROP INT_R_X33Y55 TYPE INT_R TILEPROP INT_R_X33Y56 CLASS tile TILEPROP INT_R_X33Y56 COLUMN 83 TILEPROP INT_R_X33Y56 DEVICE_ID 0 TILEPROP INT_R_X33Y56 FIRST_SITE_ID 9765 TILEPROP INT_R_X33Y56 GRID_POINT_X 83 TILEPROP INT_R_X33Y56 GRID_POINT_Y 97 TILEPROP INT_R_X33Y56 INDEX 11238 TILEPROP INT_R_X33Y56 INT_TILE_X 33 TILEPROP INT_R_X33Y56 INT_TILE_Y 93 TILEPROP INT_R_X33Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y56 IS_DCM_TILE 0 TILEPROP INT_R_X33Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y56 NAME INT_R_X33Y56 TILEPROP INT_R_X33Y56 NUM_ARCS 3737 TILEPROP INT_R_X33Y56 NUM_SITES 1 TILEPROP INT_R_X33Y56 ROW 97 TILEPROP INT_R_X33Y56 SLR_REGION_ID 0 TILEPROP INT_R_X33Y56 TILE_PATTERN_IDX 7007 TILEPROP INT_R_X33Y56 TILE_TYPE INT_R TILEPROP INT_R_X33Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y56 TILE_X 53608 TILEPROP INT_R_X33Y56 TILE_Y -59200 TILEPROP INT_R_X33Y56 TYPE INT_R TILEPROP INT_R_X33Y57 CLASS tile TILEPROP INT_R_X33Y57 COLUMN 83 TILEPROP INT_R_X33Y57 DEVICE_ID 0 TILEPROP INT_R_X33Y57 FIRST_SITE_ID 9663 TILEPROP INT_R_X33Y57 GRID_POINT_X 83 TILEPROP INT_R_X33Y57 GRID_POINT_Y 96 TILEPROP INT_R_X33Y57 INDEX 11123 TILEPROP INT_R_X33Y57 INT_TILE_X 33 TILEPROP INT_R_X33Y57 INT_TILE_Y 92 TILEPROP INT_R_X33Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y57 IS_DCM_TILE 0 TILEPROP INT_R_X33Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y57 NAME INT_R_X33Y57 TILEPROP INT_R_X33Y57 NUM_ARCS 3737 TILEPROP INT_R_X33Y57 NUM_SITES 1 TILEPROP INT_R_X33Y57 ROW 96 TILEPROP INT_R_X33Y57 SLR_REGION_ID 0 TILEPROP INT_R_X33Y57 TILE_PATTERN_IDX 6970 TILEPROP INT_R_X33Y57 TILE_TYPE INT_R TILEPROP INT_R_X33Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y57 TILE_X 53608 TILEPROP INT_R_X33Y57 TILE_Y -56000 TILEPROP INT_R_X33Y57 TYPE INT_R TILEPROP INT_R_X33Y58 CLASS tile TILEPROP INT_R_X33Y58 COLUMN 83 TILEPROP INT_R_X33Y58 DEVICE_ID 0 TILEPROP INT_R_X33Y58 FIRST_SITE_ID 9560 TILEPROP INT_R_X33Y58 GRID_POINT_X 83 TILEPROP INT_R_X33Y58 GRID_POINT_Y 95 TILEPROP INT_R_X33Y58 INDEX 11008 TILEPROP INT_R_X33Y58 INT_TILE_X 33 TILEPROP INT_R_X33Y58 INT_TILE_Y 91 TILEPROP INT_R_X33Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y58 IS_DCM_TILE 0 TILEPROP INT_R_X33Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y58 NAME INT_R_X33Y58 TILEPROP INT_R_X33Y58 NUM_ARCS 3737 TILEPROP INT_R_X33Y58 NUM_SITES 1 TILEPROP INT_R_X33Y58 ROW 95 TILEPROP INT_R_X33Y58 SLR_REGION_ID 0 TILEPROP INT_R_X33Y58 TILE_PATTERN_IDX 6932 TILEPROP INT_R_X33Y58 TILE_TYPE INT_R TILEPROP INT_R_X33Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y58 TILE_X 53608 TILEPROP INT_R_X33Y58 TILE_Y -52800 TILEPROP INT_R_X33Y58 TYPE INT_R TILEPROP INT_R_X33Y59 CLASS tile TILEPROP INT_R_X33Y59 COLUMN 83 TILEPROP INT_R_X33Y59 DEVICE_ID 0 TILEPROP INT_R_X33Y59 FIRST_SITE_ID 9459 TILEPROP INT_R_X33Y59 GRID_POINT_X 83 TILEPROP INT_R_X33Y59 GRID_POINT_Y 94 TILEPROP INT_R_X33Y59 INDEX 10893 TILEPROP INT_R_X33Y59 INT_TILE_X 33 TILEPROP INT_R_X33Y59 INT_TILE_Y 90 TILEPROP INT_R_X33Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y59 IS_DCM_TILE 0 TILEPROP INT_R_X33Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y59 NAME INT_R_X33Y59 TILEPROP INT_R_X33Y59 NUM_ARCS 3737 TILEPROP INT_R_X33Y59 NUM_SITES 1 TILEPROP INT_R_X33Y59 ROW 94 TILEPROP INT_R_X33Y59 SLR_REGION_ID 0 TILEPROP INT_R_X33Y59 TILE_PATTERN_IDX 6895 TILEPROP INT_R_X33Y59 TILE_TYPE INT_R TILEPROP INT_R_X33Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y59 TILE_X 53608 TILEPROP INT_R_X33Y59 TILE_Y -49600 TILEPROP INT_R_X33Y59 TYPE INT_R TILEPROP INT_R_X33Y60 CLASS tile TILEPROP INT_R_X33Y60 COLUMN 83 TILEPROP INT_R_X33Y60 DEVICE_ID 0 TILEPROP INT_R_X33Y60 FIRST_SITE_ID 9353 TILEPROP INT_R_X33Y60 GRID_POINT_X 83 TILEPROP INT_R_X33Y60 GRID_POINT_Y 93 TILEPROP INT_R_X33Y60 INDEX 10778 TILEPROP INT_R_X33Y60 INT_TILE_X 33 TILEPROP INT_R_X33Y60 INT_TILE_Y 89 TILEPROP INT_R_X33Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y60 IS_DCM_TILE 0 TILEPROP INT_R_X33Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y60 NAME INT_R_X33Y60 TILEPROP INT_R_X33Y60 NUM_ARCS 3737 TILEPROP INT_R_X33Y60 NUM_SITES 1 TILEPROP INT_R_X33Y60 ROW 93 TILEPROP INT_R_X33Y60 SLR_REGION_ID 0 TILEPROP INT_R_X33Y60 TILE_PATTERN_IDX 6858 TILEPROP INT_R_X33Y60 TILE_TYPE INT_R TILEPROP INT_R_X33Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y60 TILE_X 53608 TILEPROP INT_R_X33Y60 TILE_Y -46400 TILEPROP INT_R_X33Y60 TYPE INT_R TILEPROP INT_R_X33Y61 CLASS tile TILEPROP INT_R_X33Y61 COLUMN 83 TILEPROP INT_R_X33Y61 DEVICE_ID 0 TILEPROP INT_R_X33Y61 FIRST_SITE_ID 9244 TILEPROP INT_R_X33Y61 GRID_POINT_X 83 TILEPROP INT_R_X33Y61 GRID_POINT_Y 92 TILEPROP INT_R_X33Y61 INDEX 10663 TILEPROP INT_R_X33Y61 INT_TILE_X 33 TILEPROP INT_R_X33Y61 INT_TILE_Y 88 TILEPROP INT_R_X33Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y61 IS_DCM_TILE 0 TILEPROP INT_R_X33Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y61 NAME INT_R_X33Y61 TILEPROP INT_R_X33Y61 NUM_ARCS 3737 TILEPROP INT_R_X33Y61 NUM_SITES 1 TILEPROP INT_R_X33Y61 ROW 92 TILEPROP INT_R_X33Y61 SLR_REGION_ID 0 TILEPROP INT_R_X33Y61 TILE_PATTERN_IDX 6821 TILEPROP INT_R_X33Y61 TILE_TYPE INT_R TILEPROP INT_R_X33Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y61 TILE_X 53608 TILEPROP INT_R_X33Y61 TILE_Y -43200 TILEPROP INT_R_X33Y61 TYPE INT_R TILEPROP INT_R_X33Y62 CLASS tile TILEPROP INT_R_X33Y62 COLUMN 83 TILEPROP INT_R_X33Y62 DEVICE_ID 0 TILEPROP INT_R_X33Y62 FIRST_SITE_ID 9144 TILEPROP INT_R_X33Y62 GRID_POINT_X 83 TILEPROP INT_R_X33Y62 GRID_POINT_Y 91 TILEPROP INT_R_X33Y62 INDEX 10548 TILEPROP INT_R_X33Y62 INT_TILE_X 33 TILEPROP INT_R_X33Y62 INT_TILE_Y 87 TILEPROP INT_R_X33Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y62 IS_DCM_TILE 0 TILEPROP INT_R_X33Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y62 NAME INT_R_X33Y62 TILEPROP INT_R_X33Y62 NUM_ARCS 3737 TILEPROP INT_R_X33Y62 NUM_SITES 1 TILEPROP INT_R_X33Y62 ROW 91 TILEPROP INT_R_X33Y62 SLR_REGION_ID 0 TILEPROP INT_R_X33Y62 TILE_PATTERN_IDX 6784 TILEPROP INT_R_X33Y62 TILE_TYPE INT_R TILEPROP INT_R_X33Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y62 TILE_X 53608 TILEPROP INT_R_X33Y62 TILE_Y -40000 TILEPROP INT_R_X33Y62 TYPE INT_R TILEPROP INT_R_X33Y63 CLASS tile TILEPROP INT_R_X33Y63 COLUMN 83 TILEPROP INT_R_X33Y63 DEVICE_ID 0 TILEPROP INT_R_X33Y63 FIRST_SITE_ID 9012 TILEPROP INT_R_X33Y63 GRID_POINT_X 83 TILEPROP INT_R_X33Y63 GRID_POINT_Y 90 TILEPROP INT_R_X33Y63 INDEX 10433 TILEPROP INT_R_X33Y63 INT_TILE_X 33 TILEPROP INT_R_X33Y63 INT_TILE_Y 86 TILEPROP INT_R_X33Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y63 IS_DCM_TILE 0 TILEPROP INT_R_X33Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y63 NAME INT_R_X33Y63 TILEPROP INT_R_X33Y63 NUM_ARCS 3737 TILEPROP INT_R_X33Y63 NUM_SITES 1 TILEPROP INT_R_X33Y63 ROW 90 TILEPROP INT_R_X33Y63 SLR_REGION_ID 0 TILEPROP INT_R_X33Y63 TILE_PATTERN_IDX 6747 TILEPROP INT_R_X33Y63 TILE_TYPE INT_R TILEPROP INT_R_X33Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y63 TILE_X 53608 TILEPROP INT_R_X33Y63 TILE_Y -36800 TILEPROP INT_R_X33Y63 TYPE INT_R TILEPROP INT_R_X33Y64 CLASS tile TILEPROP INT_R_X33Y64 COLUMN 83 TILEPROP INT_R_X33Y64 DEVICE_ID 0 TILEPROP INT_R_X33Y64 FIRST_SITE_ID 8912 TILEPROP INT_R_X33Y64 GRID_POINT_X 83 TILEPROP INT_R_X33Y64 GRID_POINT_Y 89 TILEPROP INT_R_X33Y64 INDEX 10318 TILEPROP INT_R_X33Y64 INT_TILE_X 33 TILEPROP INT_R_X33Y64 INT_TILE_Y 85 TILEPROP INT_R_X33Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y64 IS_DCM_TILE 0 TILEPROP INT_R_X33Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y64 NAME INT_R_X33Y64 TILEPROP INT_R_X33Y64 NUM_ARCS 3737 TILEPROP INT_R_X33Y64 NUM_SITES 1 TILEPROP INT_R_X33Y64 ROW 89 TILEPROP INT_R_X33Y64 SLR_REGION_ID 0 TILEPROP INT_R_X33Y64 TILE_PATTERN_IDX 6710 TILEPROP INT_R_X33Y64 TILE_TYPE INT_R TILEPROP INT_R_X33Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y64 TILE_X 53608 TILEPROP INT_R_X33Y64 TILE_Y -33600 TILEPROP INT_R_X33Y64 TYPE INT_R TILEPROP INT_R_X33Y65 CLASS tile TILEPROP INT_R_X33Y65 COLUMN 83 TILEPROP INT_R_X33Y65 DEVICE_ID 0 TILEPROP INT_R_X33Y65 FIRST_SITE_ID 8806 TILEPROP INT_R_X33Y65 GRID_POINT_X 83 TILEPROP INT_R_X33Y65 GRID_POINT_Y 88 TILEPROP INT_R_X33Y65 INDEX 10203 TILEPROP INT_R_X33Y65 INT_TILE_X 33 TILEPROP INT_R_X33Y65 INT_TILE_Y 84 TILEPROP INT_R_X33Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y65 IS_DCM_TILE 0 TILEPROP INT_R_X33Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y65 NAME INT_R_X33Y65 TILEPROP INT_R_X33Y65 NUM_ARCS 3737 TILEPROP INT_R_X33Y65 NUM_SITES 1 TILEPROP INT_R_X33Y65 ROW 88 TILEPROP INT_R_X33Y65 SLR_REGION_ID 0 TILEPROP INT_R_X33Y65 TILE_PATTERN_IDX 6673 TILEPROP INT_R_X33Y65 TILE_TYPE INT_R TILEPROP INT_R_X33Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y65 TILE_X 53608 TILEPROP INT_R_X33Y65 TILE_Y -30400 TILEPROP INT_R_X33Y65 TYPE INT_R TILEPROP INT_R_X33Y66 CLASS tile TILEPROP INT_R_X33Y66 COLUMN 83 TILEPROP INT_R_X33Y66 DEVICE_ID 0 TILEPROP INT_R_X33Y66 FIRST_SITE_ID 8697 TILEPROP INT_R_X33Y66 GRID_POINT_X 83 TILEPROP INT_R_X33Y66 GRID_POINT_Y 87 TILEPROP INT_R_X33Y66 INDEX 10088 TILEPROP INT_R_X33Y66 INT_TILE_X 33 TILEPROP INT_R_X33Y66 INT_TILE_Y 83 TILEPROP INT_R_X33Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y66 IS_DCM_TILE 0 TILEPROP INT_R_X33Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y66 NAME INT_R_X33Y66 TILEPROP INT_R_X33Y66 NUM_ARCS 3737 TILEPROP INT_R_X33Y66 NUM_SITES 1 TILEPROP INT_R_X33Y66 ROW 87 TILEPROP INT_R_X33Y66 SLR_REGION_ID 0 TILEPROP INT_R_X33Y66 TILE_PATTERN_IDX 6636 TILEPROP INT_R_X33Y66 TILE_TYPE INT_R TILEPROP INT_R_X33Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y66 TILE_X 53608 TILEPROP INT_R_X33Y66 TILE_Y -27200 TILEPROP INT_R_X33Y66 TYPE INT_R TILEPROP INT_R_X33Y67 CLASS tile TILEPROP INT_R_X33Y67 COLUMN 83 TILEPROP INT_R_X33Y67 DEVICE_ID 0 TILEPROP INT_R_X33Y67 FIRST_SITE_ID 8593 TILEPROP INT_R_X33Y67 GRID_POINT_X 83 TILEPROP INT_R_X33Y67 GRID_POINT_Y 86 TILEPROP INT_R_X33Y67 INDEX 9973 TILEPROP INT_R_X33Y67 INT_TILE_X 33 TILEPROP INT_R_X33Y67 INT_TILE_Y 82 TILEPROP INT_R_X33Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y67 IS_DCM_TILE 0 TILEPROP INT_R_X33Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y67 NAME INT_R_X33Y67 TILEPROP INT_R_X33Y67 NUM_ARCS 3737 TILEPROP INT_R_X33Y67 NUM_SITES 1 TILEPROP INT_R_X33Y67 ROW 86 TILEPROP INT_R_X33Y67 SLR_REGION_ID 0 TILEPROP INT_R_X33Y67 TILE_PATTERN_IDX 6598 TILEPROP INT_R_X33Y67 TILE_TYPE INT_R TILEPROP INT_R_X33Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y67 TILE_X 53608 TILEPROP INT_R_X33Y67 TILE_Y -24000 TILEPROP INT_R_X33Y67 TYPE INT_R TILEPROP INT_R_X33Y68 CLASS tile TILEPROP INT_R_X33Y68 COLUMN 83 TILEPROP INT_R_X33Y68 DEVICE_ID 0 TILEPROP INT_R_X33Y68 FIRST_SITE_ID 8489 TILEPROP INT_R_X33Y68 GRID_POINT_X 83 TILEPROP INT_R_X33Y68 GRID_POINT_Y 85 TILEPROP INT_R_X33Y68 INDEX 9858 TILEPROP INT_R_X33Y68 INT_TILE_X 33 TILEPROP INT_R_X33Y68 INT_TILE_Y 81 TILEPROP INT_R_X33Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y68 IS_DCM_TILE 0 TILEPROP INT_R_X33Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y68 NAME INT_R_X33Y68 TILEPROP INT_R_X33Y68 NUM_ARCS 3737 TILEPROP INT_R_X33Y68 NUM_SITES 1 TILEPROP INT_R_X33Y68 ROW 85 TILEPROP INT_R_X33Y68 SLR_REGION_ID 0 TILEPROP INT_R_X33Y68 TILE_PATTERN_IDX 6566 TILEPROP INT_R_X33Y68 TILE_TYPE INT_R TILEPROP INT_R_X33Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y68 TILE_X 53608 TILEPROP INT_R_X33Y68 TILE_Y -20800 TILEPROP INT_R_X33Y68 TYPE INT_R TILEPROP INT_R_X33Y69 CLASS tile TILEPROP INT_R_X33Y69 COLUMN 83 TILEPROP INT_R_X33Y69 DEVICE_ID 0 TILEPROP INT_R_X33Y69 FIRST_SITE_ID 8387 TILEPROP INT_R_X33Y69 GRID_POINT_X 83 TILEPROP INT_R_X33Y69 GRID_POINT_Y 84 TILEPROP INT_R_X33Y69 INDEX 9743 TILEPROP INT_R_X33Y69 INT_TILE_X 33 TILEPROP INT_R_X33Y69 INT_TILE_Y 80 TILEPROP INT_R_X33Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y69 IS_DCM_TILE 0 TILEPROP INT_R_X33Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y69 NAME INT_R_X33Y69 TILEPROP INT_R_X33Y69 NUM_ARCS 3737 TILEPROP INT_R_X33Y69 NUM_SITES 1 TILEPROP INT_R_X33Y69 ROW 84 TILEPROP INT_R_X33Y69 SLR_REGION_ID 0 TILEPROP INT_R_X33Y69 TILE_PATTERN_IDX 6535 TILEPROP INT_R_X33Y69 TILE_TYPE INT_R TILEPROP INT_R_X33Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y69 TILE_X 53608 TILEPROP INT_R_X33Y69 TILE_Y -17600 TILEPROP INT_R_X33Y69 TYPE INT_R TILEPROP INT_R_X33Y70 CLASS tile TILEPROP INT_R_X33Y70 COLUMN 83 TILEPROP INT_R_X33Y70 DEVICE_ID 0 TILEPROP INT_R_X33Y70 FIRST_SITE_ID 8279 TILEPROP INT_R_X33Y70 GRID_POINT_X 83 TILEPROP INT_R_X33Y70 GRID_POINT_Y 83 TILEPROP INT_R_X33Y70 INDEX 9628 TILEPROP INT_R_X33Y70 INT_TILE_X 33 TILEPROP INT_R_X33Y70 INT_TILE_Y 79 TILEPROP INT_R_X33Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y70 IS_DCM_TILE 0 TILEPROP INT_R_X33Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y70 NAME INT_R_X33Y70 TILEPROP INT_R_X33Y70 NUM_ARCS 3737 TILEPROP INT_R_X33Y70 NUM_SITES 1 TILEPROP INT_R_X33Y70 ROW 83 TILEPROP INT_R_X33Y70 SLR_REGION_ID 0 TILEPROP INT_R_X33Y70 TILE_PATTERN_IDX 6504 TILEPROP INT_R_X33Y70 TILE_TYPE INT_R TILEPROP INT_R_X33Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y70 TILE_X 53608 TILEPROP INT_R_X33Y70 TILE_Y -14400 TILEPROP INT_R_X33Y70 TYPE INT_R TILEPROP INT_R_X33Y71 CLASS tile TILEPROP INT_R_X33Y71 COLUMN 83 TILEPROP INT_R_X33Y71 DEVICE_ID 0 TILEPROP INT_R_X33Y71 FIRST_SITE_ID 8170 TILEPROP INT_R_X33Y71 GRID_POINT_X 83 TILEPROP INT_R_X33Y71 GRID_POINT_Y 82 TILEPROP INT_R_X33Y71 INDEX 9513 TILEPROP INT_R_X33Y71 INT_TILE_X 33 TILEPROP INT_R_X33Y71 INT_TILE_Y 78 TILEPROP INT_R_X33Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y71 IS_DCM_TILE 0 TILEPROP INT_R_X33Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y71 NAME INT_R_X33Y71 TILEPROP INT_R_X33Y71 NUM_ARCS 3737 TILEPROP INT_R_X33Y71 NUM_SITES 1 TILEPROP INT_R_X33Y71 ROW 82 TILEPROP INT_R_X33Y71 SLR_REGION_ID 0 TILEPROP INT_R_X33Y71 TILE_PATTERN_IDX 6473 TILEPROP INT_R_X33Y71 TILE_TYPE INT_R TILEPROP INT_R_X33Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y71 TILE_X 53608 TILEPROP INT_R_X33Y71 TILE_Y -11200 TILEPROP INT_R_X33Y71 TYPE INT_R TILEPROP INT_R_X33Y72 CLASS tile TILEPROP INT_R_X33Y72 COLUMN 83 TILEPROP INT_R_X33Y72 DEVICE_ID 0 TILEPROP INT_R_X33Y72 FIRST_SITE_ID 8070 TILEPROP INT_R_X33Y72 GRID_POINT_X 83 TILEPROP INT_R_X33Y72 GRID_POINT_Y 81 TILEPROP INT_R_X33Y72 INDEX 9398 TILEPROP INT_R_X33Y72 INT_TILE_X 33 TILEPROP INT_R_X33Y72 INT_TILE_Y 77 TILEPROP INT_R_X33Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y72 IS_DCM_TILE 0 TILEPROP INT_R_X33Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y72 NAME INT_R_X33Y72 TILEPROP INT_R_X33Y72 NUM_ARCS 3737 TILEPROP INT_R_X33Y72 NUM_SITES 1 TILEPROP INT_R_X33Y72 ROW 81 TILEPROP INT_R_X33Y72 SLR_REGION_ID 0 TILEPROP INT_R_X33Y72 TILE_PATTERN_IDX 6442 TILEPROP INT_R_X33Y72 TILE_TYPE INT_R TILEPROP INT_R_X33Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y72 TILE_X 53608 TILEPROP INT_R_X33Y72 TILE_Y -8000 TILEPROP INT_R_X33Y72 TYPE INT_R TILEPROP INT_R_X33Y73 CLASS tile TILEPROP INT_R_X33Y73 COLUMN 83 TILEPROP INT_R_X33Y73 DEVICE_ID 0 TILEPROP INT_R_X33Y73 FIRST_SITE_ID 7970 TILEPROP INT_R_X33Y73 GRID_POINT_X 83 TILEPROP INT_R_X33Y73 GRID_POINT_Y 80 TILEPROP INT_R_X33Y73 INDEX 9283 TILEPROP INT_R_X33Y73 INT_TILE_X 33 TILEPROP INT_R_X33Y73 INT_TILE_Y 76 TILEPROP INT_R_X33Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y73 IS_DCM_TILE 0 TILEPROP INT_R_X33Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y73 NAME INT_R_X33Y73 TILEPROP INT_R_X33Y73 NUM_ARCS 3737 TILEPROP INT_R_X33Y73 NUM_SITES 1 TILEPROP INT_R_X33Y73 ROW 80 TILEPROP INT_R_X33Y73 SLR_REGION_ID 0 TILEPROP INT_R_X33Y73 TILE_PATTERN_IDX 6411 TILEPROP INT_R_X33Y73 TILE_TYPE INT_R TILEPROP INT_R_X33Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y73 TILE_X 53608 TILEPROP INT_R_X33Y73 TILE_Y -4800 TILEPROP INT_R_X33Y73 TYPE INT_R TILEPROP INT_R_X33Y74 CLASS tile TILEPROP INT_R_X33Y74 COLUMN 83 TILEPROP INT_R_X33Y74 DEVICE_ID 0 TILEPROP INT_R_X33Y74 FIRST_SITE_ID 7870 TILEPROP INT_R_X33Y74 GRID_POINT_X 83 TILEPROP INT_R_X33Y74 GRID_POINT_Y 79 TILEPROP INT_R_X33Y74 INDEX 9168 TILEPROP INT_R_X33Y74 INT_TILE_X 33 TILEPROP INT_R_X33Y74 INT_TILE_Y 75 TILEPROP INT_R_X33Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y74 IS_DCM_TILE 0 TILEPROP INT_R_X33Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y74 NAME INT_R_X33Y74 TILEPROP INT_R_X33Y74 NUM_ARCS 3737 TILEPROP INT_R_X33Y74 NUM_SITES 1 TILEPROP INT_R_X33Y74 ROW 79 TILEPROP INT_R_X33Y74 SLR_REGION_ID 0 TILEPROP INT_R_X33Y74 TILE_PATTERN_IDX 6380 TILEPROP INT_R_X33Y74 TILE_TYPE INT_R TILEPROP INT_R_X33Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y74 TILE_X 53608 TILEPROP INT_R_X33Y74 TILE_Y -1600 TILEPROP INT_R_X33Y74 TYPE INT_R TILEPROP INT_R_X33Y75 CLASS tile TILEPROP INT_R_X33Y75 COLUMN 83 TILEPROP INT_R_X33Y75 DEVICE_ID 0 TILEPROP INT_R_X33Y75 FIRST_SITE_ID 7681 TILEPROP INT_R_X33Y75 GRID_POINT_X 83 TILEPROP INT_R_X33Y75 GRID_POINT_Y 77 TILEPROP INT_R_X33Y75 INDEX 8938 TILEPROP INT_R_X33Y75 INT_TILE_X 33 TILEPROP INT_R_X33Y75 INT_TILE_Y 74 TILEPROP INT_R_X33Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y75 IS_DCM_TILE 0 TILEPROP INT_R_X33Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y75 NAME INT_R_X33Y75 TILEPROP INT_R_X33Y75 NUM_ARCS 3737 TILEPROP INT_R_X33Y75 NUM_SITES 1 TILEPROP INT_R_X33Y75 ROW 77 TILEPROP INT_R_X33Y75 SLR_REGION_ID 0 TILEPROP INT_R_X33Y75 TILE_PATTERN_IDX 6306 TILEPROP INT_R_X33Y75 TILE_TYPE INT_R TILEPROP INT_R_X33Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y75 TILE_X 53608 TILEPROP INT_R_X33Y75 TILE_Y 2624 TILEPROP INT_R_X33Y75 TYPE INT_R TILEPROP INT_R_X33Y76 CLASS tile TILEPROP INT_R_X33Y76 COLUMN 83 TILEPROP INT_R_X33Y76 DEVICE_ID 0 TILEPROP INT_R_X33Y76 FIRST_SITE_ID 7569 TILEPROP INT_R_X33Y76 GRID_POINT_X 83 TILEPROP INT_R_X33Y76 GRID_POINT_Y 76 TILEPROP INT_R_X33Y76 INDEX 8823 TILEPROP INT_R_X33Y76 INT_TILE_X 33 TILEPROP INT_R_X33Y76 INT_TILE_Y 73 TILEPROP INT_R_X33Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y76 IS_DCM_TILE 0 TILEPROP INT_R_X33Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y76 NAME INT_R_X33Y76 TILEPROP INT_R_X33Y76 NUM_ARCS 3737 TILEPROP INT_R_X33Y76 NUM_SITES 1 TILEPROP INT_R_X33Y76 ROW 76 TILEPROP INT_R_X33Y76 SLR_REGION_ID 0 TILEPROP INT_R_X33Y76 TILE_PATTERN_IDX 6272 TILEPROP INT_R_X33Y76 TILE_TYPE INT_R TILEPROP INT_R_X33Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y76 TILE_X 53608 TILEPROP INT_R_X33Y76 TILE_Y 5824 TILEPROP INT_R_X33Y76 TYPE INT_R TILEPROP INT_R_X33Y77 CLASS tile TILEPROP INT_R_X33Y77 COLUMN 83 TILEPROP INT_R_X33Y77 DEVICE_ID 0 TILEPROP INT_R_X33Y77 FIRST_SITE_ID 7469 TILEPROP INT_R_X33Y77 GRID_POINT_X 83 TILEPROP INT_R_X33Y77 GRID_POINT_Y 75 TILEPROP INT_R_X33Y77 INDEX 8708 TILEPROP INT_R_X33Y77 INT_TILE_X 33 TILEPROP INT_R_X33Y77 INT_TILE_Y 72 TILEPROP INT_R_X33Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y77 IS_DCM_TILE 0 TILEPROP INT_R_X33Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y77 NAME INT_R_X33Y77 TILEPROP INT_R_X33Y77 NUM_ARCS 3737 TILEPROP INT_R_X33Y77 NUM_SITES 1 TILEPROP INT_R_X33Y77 ROW 75 TILEPROP INT_R_X33Y77 SLR_REGION_ID 0 TILEPROP INT_R_X33Y77 TILE_PATTERN_IDX 6238 TILEPROP INT_R_X33Y77 TILE_TYPE INT_R TILEPROP INT_R_X33Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y77 TILE_X 53608 TILEPROP INT_R_X33Y77 TILE_Y 9024 TILEPROP INT_R_X33Y77 TYPE INT_R TILEPROP INT_R_X33Y78 CLASS tile TILEPROP INT_R_X33Y78 COLUMN 83 TILEPROP INT_R_X33Y78 DEVICE_ID 0 TILEPROP INT_R_X33Y78 FIRST_SITE_ID 7369 TILEPROP INT_R_X33Y78 GRID_POINT_X 83 TILEPROP INT_R_X33Y78 GRID_POINT_Y 74 TILEPROP INT_R_X33Y78 INDEX 8593 TILEPROP INT_R_X33Y78 INT_TILE_X 33 TILEPROP INT_R_X33Y78 INT_TILE_Y 71 TILEPROP INT_R_X33Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y78 IS_DCM_TILE 0 TILEPROP INT_R_X33Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y78 NAME INT_R_X33Y78 TILEPROP INT_R_X33Y78 NUM_ARCS 3737 TILEPROP INT_R_X33Y78 NUM_SITES 1 TILEPROP INT_R_X33Y78 ROW 74 TILEPROP INT_R_X33Y78 SLR_REGION_ID 0 TILEPROP INT_R_X33Y78 TILE_PATTERN_IDX 6205 TILEPROP INT_R_X33Y78 TILE_TYPE INT_R TILEPROP INT_R_X33Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y78 TILE_X 53608 TILEPROP INT_R_X33Y78 TILE_Y 12224 TILEPROP INT_R_X33Y78 TYPE INT_R TILEPROP INT_R_X33Y79 CLASS tile TILEPROP INT_R_X33Y79 COLUMN 83 TILEPROP INT_R_X33Y79 DEVICE_ID 0 TILEPROP INT_R_X33Y79 FIRST_SITE_ID 7263 TILEPROP INT_R_X33Y79 GRID_POINT_X 83 TILEPROP INT_R_X33Y79 GRID_POINT_Y 73 TILEPROP INT_R_X33Y79 INDEX 8478 TILEPROP INT_R_X33Y79 INT_TILE_X 33 TILEPROP INT_R_X33Y79 INT_TILE_Y 70 TILEPROP INT_R_X33Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y79 IS_DCM_TILE 0 TILEPROP INT_R_X33Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y79 NAME INT_R_X33Y79 TILEPROP INT_R_X33Y79 NUM_ARCS 3737 TILEPROP INT_R_X33Y79 NUM_SITES 1 TILEPROP INT_R_X33Y79 ROW 73 TILEPROP INT_R_X33Y79 SLR_REGION_ID 0 TILEPROP INT_R_X33Y79 TILE_PATTERN_IDX 6170 TILEPROP INT_R_X33Y79 TILE_TYPE INT_R TILEPROP INT_R_X33Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y79 TILE_X 53608 TILEPROP INT_R_X33Y79 TILE_Y 15424 TILEPROP INT_R_X33Y79 TYPE INT_R TILEPROP INT_R_X33Y80 CLASS tile TILEPROP INT_R_X33Y80 COLUMN 83 TILEPROP INT_R_X33Y80 DEVICE_ID 0 TILEPROP INT_R_X33Y80 FIRST_SITE_ID 7151 TILEPROP INT_R_X33Y80 GRID_POINT_X 83 TILEPROP INT_R_X33Y80 GRID_POINT_Y 72 TILEPROP INT_R_X33Y80 INDEX 8363 TILEPROP INT_R_X33Y80 INT_TILE_X 33 TILEPROP INT_R_X33Y80 INT_TILE_Y 69 TILEPROP INT_R_X33Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y80 IS_DCM_TILE 0 TILEPROP INT_R_X33Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y80 NAME INT_R_X33Y80 TILEPROP INT_R_X33Y80 NUM_ARCS 3737 TILEPROP INT_R_X33Y80 NUM_SITES 1 TILEPROP INT_R_X33Y80 ROW 72 TILEPROP INT_R_X33Y80 SLR_REGION_ID 0 TILEPROP INT_R_X33Y80 TILE_PATTERN_IDX 6135 TILEPROP INT_R_X33Y80 TILE_TYPE INT_R TILEPROP INT_R_X33Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y80 TILE_X 53608 TILEPROP INT_R_X33Y80 TILE_Y 18624 TILEPROP INT_R_X33Y80 TYPE INT_R TILEPROP INT_R_X33Y81 CLASS tile TILEPROP INT_R_X33Y81 COLUMN 83 TILEPROP INT_R_X33Y81 DEVICE_ID 0 TILEPROP INT_R_X33Y81 FIRST_SITE_ID 7040 TILEPROP INT_R_X33Y81 GRID_POINT_X 83 TILEPROP INT_R_X33Y81 GRID_POINT_Y 71 TILEPROP INT_R_X33Y81 INDEX 8248 TILEPROP INT_R_X33Y81 INT_TILE_X 33 TILEPROP INT_R_X33Y81 INT_TILE_Y 68 TILEPROP INT_R_X33Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y81 IS_DCM_TILE 0 TILEPROP INT_R_X33Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y81 NAME INT_R_X33Y81 TILEPROP INT_R_X33Y81 NUM_ARCS 3737 TILEPROP INT_R_X33Y81 NUM_SITES 1 TILEPROP INT_R_X33Y81 ROW 71 TILEPROP INT_R_X33Y81 SLR_REGION_ID 0 TILEPROP INT_R_X33Y81 TILE_PATTERN_IDX 6101 TILEPROP INT_R_X33Y81 TILE_TYPE INT_R TILEPROP INT_R_X33Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y81 TILE_X 53608 TILEPROP INT_R_X33Y81 TILE_Y 21824 TILEPROP INT_R_X33Y81 TYPE INT_R TILEPROP INT_R_X33Y82 CLASS tile TILEPROP INT_R_X33Y82 COLUMN 83 TILEPROP INT_R_X33Y82 DEVICE_ID 0 TILEPROP INT_R_X33Y82 FIRST_SITE_ID 6938 TILEPROP INT_R_X33Y82 GRID_POINT_X 83 TILEPROP INT_R_X33Y82 GRID_POINT_Y 70 TILEPROP INT_R_X33Y82 INDEX 8133 TILEPROP INT_R_X33Y82 INT_TILE_X 33 TILEPROP INT_R_X33Y82 INT_TILE_Y 67 TILEPROP INT_R_X33Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y82 IS_DCM_TILE 0 TILEPROP INT_R_X33Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y82 NAME INT_R_X33Y82 TILEPROP INT_R_X33Y82 NUM_ARCS 3737 TILEPROP INT_R_X33Y82 NUM_SITES 1 TILEPROP INT_R_X33Y82 ROW 70 TILEPROP INT_R_X33Y82 SLR_REGION_ID 0 TILEPROP INT_R_X33Y82 TILE_PATTERN_IDX 6067 TILEPROP INT_R_X33Y82 TILE_TYPE INT_R TILEPROP INT_R_X33Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y82 TILE_X 53608 TILEPROP INT_R_X33Y82 TILE_Y 25024 TILEPROP INT_R_X33Y82 TYPE INT_R TILEPROP INT_R_X33Y83 CLASS tile TILEPROP INT_R_X33Y83 COLUMN 83 TILEPROP INT_R_X33Y83 DEVICE_ID 0 TILEPROP INT_R_X33Y83 FIRST_SITE_ID 6838 TILEPROP INT_R_X33Y83 GRID_POINT_X 83 TILEPROP INT_R_X33Y83 GRID_POINT_Y 69 TILEPROP INT_R_X33Y83 INDEX 8018 TILEPROP INT_R_X33Y83 INT_TILE_X 33 TILEPROP INT_R_X33Y83 INT_TILE_Y 66 TILEPROP INT_R_X33Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y83 IS_DCM_TILE 0 TILEPROP INT_R_X33Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y83 NAME INT_R_X33Y83 TILEPROP INT_R_X33Y83 NUM_ARCS 3737 TILEPROP INT_R_X33Y83 NUM_SITES 1 TILEPROP INT_R_X33Y83 ROW 69 TILEPROP INT_R_X33Y83 SLR_REGION_ID 0 TILEPROP INT_R_X33Y83 TILE_PATTERN_IDX 6033 TILEPROP INT_R_X33Y83 TILE_TYPE INT_R TILEPROP INT_R_X33Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y83 TILE_X 53608 TILEPROP INT_R_X33Y83 TILE_Y 28224 TILEPROP INT_R_X33Y83 TYPE INT_R TILEPROP INT_R_X33Y84 CLASS tile TILEPROP INT_R_X33Y84 COLUMN 83 TILEPROP INT_R_X33Y84 DEVICE_ID 0 TILEPROP INT_R_X33Y84 FIRST_SITE_ID 6738 TILEPROP INT_R_X33Y84 GRID_POINT_X 83 TILEPROP INT_R_X33Y84 GRID_POINT_Y 68 TILEPROP INT_R_X33Y84 INDEX 7903 TILEPROP INT_R_X33Y84 INT_TILE_X 33 TILEPROP INT_R_X33Y84 INT_TILE_Y 65 TILEPROP INT_R_X33Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y84 IS_DCM_TILE 0 TILEPROP INT_R_X33Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y84 NAME INT_R_X33Y84 TILEPROP INT_R_X33Y84 NUM_ARCS 3737 TILEPROP INT_R_X33Y84 NUM_SITES 1 TILEPROP INT_R_X33Y84 ROW 68 TILEPROP INT_R_X33Y84 SLR_REGION_ID 0 TILEPROP INT_R_X33Y84 TILE_PATTERN_IDX 5999 TILEPROP INT_R_X33Y84 TILE_TYPE INT_R TILEPROP INT_R_X33Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y84 TILE_X 53608 TILEPROP INT_R_X33Y84 TILE_Y 31424 TILEPROP INT_R_X33Y84 TYPE INT_R TILEPROP INT_R_X33Y85 CLASS tile TILEPROP INT_R_X33Y85 COLUMN 83 TILEPROP INT_R_X33Y85 DEVICE_ID 0 TILEPROP INT_R_X33Y85 FIRST_SITE_ID 6632 TILEPROP INT_R_X33Y85 GRID_POINT_X 83 TILEPROP INT_R_X33Y85 GRID_POINT_Y 67 TILEPROP INT_R_X33Y85 INDEX 7788 TILEPROP INT_R_X33Y85 INT_TILE_X 33 TILEPROP INT_R_X33Y85 INT_TILE_Y 64 TILEPROP INT_R_X33Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y85 IS_DCM_TILE 0 TILEPROP INT_R_X33Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y85 NAME INT_R_X33Y85 TILEPROP INT_R_X33Y85 NUM_ARCS 3737 TILEPROP INT_R_X33Y85 NUM_SITES 1 TILEPROP INT_R_X33Y85 ROW 67 TILEPROP INT_R_X33Y85 SLR_REGION_ID 0 TILEPROP INT_R_X33Y85 TILE_PATTERN_IDX 5965 TILEPROP INT_R_X33Y85 TILE_TYPE INT_R TILEPROP INT_R_X33Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y85 TILE_X 53608 TILEPROP INT_R_X33Y85 TILE_Y 34624 TILEPROP INT_R_X33Y85 TYPE INT_R TILEPROP INT_R_X33Y86 CLASS tile TILEPROP INT_R_X33Y86 COLUMN 83 TILEPROP INT_R_X33Y86 DEVICE_ID 0 TILEPROP INT_R_X33Y86 FIRST_SITE_ID 6523 TILEPROP INT_R_X33Y86 GRID_POINT_X 83 TILEPROP INT_R_X33Y86 GRID_POINT_Y 66 TILEPROP INT_R_X33Y86 INDEX 7673 TILEPROP INT_R_X33Y86 INT_TILE_X 33 TILEPROP INT_R_X33Y86 INT_TILE_Y 63 TILEPROP INT_R_X33Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y86 IS_DCM_TILE 0 TILEPROP INT_R_X33Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y86 NAME INT_R_X33Y86 TILEPROP INT_R_X33Y86 NUM_ARCS 3737 TILEPROP INT_R_X33Y86 NUM_SITES 1 TILEPROP INT_R_X33Y86 ROW 66 TILEPROP INT_R_X33Y86 SLR_REGION_ID 0 TILEPROP INT_R_X33Y86 TILE_PATTERN_IDX 5930 TILEPROP INT_R_X33Y86 TILE_TYPE INT_R TILEPROP INT_R_X33Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y86 TILE_X 53608 TILEPROP INT_R_X33Y86 TILE_Y 37824 TILEPROP INT_R_X33Y86 TYPE INT_R TILEPROP INT_R_X33Y87 CLASS tile TILEPROP INT_R_X33Y87 COLUMN 83 TILEPROP INT_R_X33Y87 DEVICE_ID 0 TILEPROP INT_R_X33Y87 FIRST_SITE_ID 6391 TILEPROP INT_R_X33Y87 GRID_POINT_X 83 TILEPROP INT_R_X33Y87 GRID_POINT_Y 65 TILEPROP INT_R_X33Y87 INDEX 7558 TILEPROP INT_R_X33Y87 INT_TILE_X 33 TILEPROP INT_R_X33Y87 INT_TILE_Y 62 TILEPROP INT_R_X33Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y87 IS_DCM_TILE 0 TILEPROP INT_R_X33Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y87 NAME INT_R_X33Y87 TILEPROP INT_R_X33Y87 NUM_ARCS 3737 TILEPROP INT_R_X33Y87 NUM_SITES 1 TILEPROP INT_R_X33Y87 ROW 65 TILEPROP INT_R_X33Y87 SLR_REGION_ID 0 TILEPROP INT_R_X33Y87 TILE_PATTERN_IDX 5895 TILEPROP INT_R_X33Y87 TILE_TYPE INT_R TILEPROP INT_R_X33Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y87 TILE_X 53608 TILEPROP INT_R_X33Y87 TILE_Y 41024 TILEPROP INT_R_X33Y87 TYPE INT_R TILEPROP INT_R_X33Y88 CLASS tile TILEPROP INT_R_X33Y88 COLUMN 83 TILEPROP INT_R_X33Y88 DEVICE_ID 0 TILEPROP INT_R_X33Y88 FIRST_SITE_ID 6291 TILEPROP INT_R_X33Y88 GRID_POINT_X 83 TILEPROP INT_R_X33Y88 GRID_POINT_Y 64 TILEPROP INT_R_X33Y88 INDEX 7443 TILEPROP INT_R_X33Y88 INT_TILE_X 33 TILEPROP INT_R_X33Y88 INT_TILE_Y 61 TILEPROP INT_R_X33Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y88 IS_DCM_TILE 0 TILEPROP INT_R_X33Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y88 NAME INT_R_X33Y88 TILEPROP INT_R_X33Y88 NUM_ARCS 3737 TILEPROP INT_R_X33Y88 NUM_SITES 1 TILEPROP INT_R_X33Y88 ROW 64 TILEPROP INT_R_X33Y88 SLR_REGION_ID 0 TILEPROP INT_R_X33Y88 TILE_PATTERN_IDX 5862 TILEPROP INT_R_X33Y88 TILE_TYPE INT_R TILEPROP INT_R_X33Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y88 TILE_X 53608 TILEPROP INT_R_X33Y88 TILE_Y 44224 TILEPROP INT_R_X33Y88 TYPE INT_R TILEPROP INT_R_X33Y89 CLASS tile TILEPROP INT_R_X33Y89 COLUMN 83 TILEPROP INT_R_X33Y89 DEVICE_ID 0 TILEPROP INT_R_X33Y89 FIRST_SITE_ID 6191 TILEPROP INT_R_X33Y89 GRID_POINT_X 83 TILEPROP INT_R_X33Y89 GRID_POINT_Y 63 TILEPROP INT_R_X33Y89 INDEX 7328 TILEPROP INT_R_X33Y89 INT_TILE_X 33 TILEPROP INT_R_X33Y89 INT_TILE_Y 60 TILEPROP INT_R_X33Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y89 IS_DCM_TILE 0 TILEPROP INT_R_X33Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y89 NAME INT_R_X33Y89 TILEPROP INT_R_X33Y89 NUM_ARCS 3737 TILEPROP INT_R_X33Y89 NUM_SITES 1 TILEPROP INT_R_X33Y89 ROW 63 TILEPROP INT_R_X33Y89 SLR_REGION_ID 0 TILEPROP INT_R_X33Y89 TILE_PATTERN_IDX 5828 TILEPROP INT_R_X33Y89 TILE_TYPE INT_R TILEPROP INT_R_X33Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y89 TILE_X 53608 TILEPROP INT_R_X33Y89 TILE_Y 47424 TILEPROP INT_R_X33Y89 TYPE INT_R TILEPROP INT_R_X33Y90 CLASS tile TILEPROP INT_R_X33Y90 COLUMN 83 TILEPROP INT_R_X33Y90 DEVICE_ID 0 TILEPROP INT_R_X33Y90 FIRST_SITE_ID 6085 TILEPROP INT_R_X33Y90 GRID_POINT_X 83 TILEPROP INT_R_X33Y90 GRID_POINT_Y 62 TILEPROP INT_R_X33Y90 INDEX 7213 TILEPROP INT_R_X33Y90 INT_TILE_X 33 TILEPROP INT_R_X33Y90 INT_TILE_Y 59 TILEPROP INT_R_X33Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y90 IS_DCM_TILE 0 TILEPROP INT_R_X33Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y90 NAME INT_R_X33Y90 TILEPROP INT_R_X33Y90 NUM_ARCS 3737 TILEPROP INT_R_X33Y90 NUM_SITES 1 TILEPROP INT_R_X33Y90 ROW 62 TILEPROP INT_R_X33Y90 SLR_REGION_ID 0 TILEPROP INT_R_X33Y90 TILE_PATTERN_IDX 5794 TILEPROP INT_R_X33Y90 TILE_TYPE INT_R TILEPROP INT_R_X33Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y90 TILE_X 53608 TILEPROP INT_R_X33Y90 TILE_Y 50624 TILEPROP INT_R_X33Y90 TYPE INT_R TILEPROP INT_R_X33Y91 CLASS tile TILEPROP INT_R_X33Y91 COLUMN 83 TILEPROP INT_R_X33Y91 DEVICE_ID 0 TILEPROP INT_R_X33Y91 FIRST_SITE_ID 5976 TILEPROP INT_R_X33Y91 GRID_POINT_X 83 TILEPROP INT_R_X33Y91 GRID_POINT_Y 61 TILEPROP INT_R_X33Y91 INDEX 7098 TILEPROP INT_R_X33Y91 INT_TILE_X 33 TILEPROP INT_R_X33Y91 INT_TILE_Y 58 TILEPROP INT_R_X33Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y91 IS_DCM_TILE 0 TILEPROP INT_R_X33Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y91 NAME INT_R_X33Y91 TILEPROP INT_R_X33Y91 NUM_ARCS 3737 TILEPROP INT_R_X33Y91 NUM_SITES 1 TILEPROP INT_R_X33Y91 ROW 61 TILEPROP INT_R_X33Y91 SLR_REGION_ID 0 TILEPROP INT_R_X33Y91 TILE_PATTERN_IDX 5760 TILEPROP INT_R_X33Y91 TILE_TYPE INT_R TILEPROP INT_R_X33Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y91 TILE_X 53608 TILEPROP INT_R_X33Y91 TILE_Y 53824 TILEPROP INT_R_X33Y91 TYPE INT_R TILEPROP INT_R_X33Y92 CLASS tile TILEPROP INT_R_X33Y92 COLUMN 83 TILEPROP INT_R_X33Y92 DEVICE_ID 0 TILEPROP INT_R_X33Y92 FIRST_SITE_ID 5875 TILEPROP INT_R_X33Y92 GRID_POINT_X 83 TILEPROP INT_R_X33Y92 GRID_POINT_Y 60 TILEPROP INT_R_X33Y92 INDEX 6983 TILEPROP INT_R_X33Y92 INT_TILE_X 33 TILEPROP INT_R_X33Y92 INT_TILE_Y 57 TILEPROP INT_R_X33Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y92 IS_DCM_TILE 0 TILEPROP INT_R_X33Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y92 NAME INT_R_X33Y92 TILEPROP INT_R_X33Y92 NUM_ARCS 3737 TILEPROP INT_R_X33Y92 NUM_SITES 1 TILEPROP INT_R_X33Y92 ROW 60 TILEPROP INT_R_X33Y92 SLR_REGION_ID 0 TILEPROP INT_R_X33Y92 TILE_PATTERN_IDX 5727 TILEPROP INT_R_X33Y92 TILE_TYPE INT_R TILEPROP INT_R_X33Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y92 TILE_X 53608 TILEPROP INT_R_X33Y92 TILE_Y 57024 TILEPROP INT_R_X33Y92 TYPE INT_R TILEPROP INT_R_X33Y93 CLASS tile TILEPROP INT_R_X33Y93 COLUMN 83 TILEPROP INT_R_X33Y93 DEVICE_ID 0 TILEPROP INT_R_X33Y93 FIRST_SITE_ID 5772 TILEPROP INT_R_X33Y93 GRID_POINT_X 83 TILEPROP INT_R_X33Y93 GRID_POINT_Y 59 TILEPROP INT_R_X33Y93 INDEX 6868 TILEPROP INT_R_X33Y93 INT_TILE_X 33 TILEPROP INT_R_X33Y93 INT_TILE_Y 56 TILEPROP INT_R_X33Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y93 IS_DCM_TILE 0 TILEPROP INT_R_X33Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y93 NAME INT_R_X33Y93 TILEPROP INT_R_X33Y93 NUM_ARCS 3737 TILEPROP INT_R_X33Y93 NUM_SITES 1 TILEPROP INT_R_X33Y93 ROW 59 TILEPROP INT_R_X33Y93 SLR_REGION_ID 0 TILEPROP INT_R_X33Y93 TILE_PATTERN_IDX 5693 TILEPROP INT_R_X33Y93 TILE_TYPE INT_R TILEPROP INT_R_X33Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y93 TILE_X 53608 TILEPROP INT_R_X33Y93 TILE_Y 60224 TILEPROP INT_R_X33Y93 TYPE INT_R TILEPROP INT_R_X33Y94 CLASS tile TILEPROP INT_R_X33Y94 COLUMN 83 TILEPROP INT_R_X33Y94 DEVICE_ID 0 TILEPROP INT_R_X33Y94 FIRST_SITE_ID 5670 TILEPROP INT_R_X33Y94 GRID_POINT_X 83 TILEPROP INT_R_X33Y94 GRID_POINT_Y 58 TILEPROP INT_R_X33Y94 INDEX 6753 TILEPROP INT_R_X33Y94 INT_TILE_X 33 TILEPROP INT_R_X33Y94 INT_TILE_Y 55 TILEPROP INT_R_X33Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y94 IS_DCM_TILE 0 TILEPROP INT_R_X33Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y94 NAME INT_R_X33Y94 TILEPROP INT_R_X33Y94 NUM_ARCS 3737 TILEPROP INT_R_X33Y94 NUM_SITES 1 TILEPROP INT_R_X33Y94 ROW 58 TILEPROP INT_R_X33Y94 SLR_REGION_ID 0 TILEPROP INT_R_X33Y94 TILE_PATTERN_IDX 5659 TILEPROP INT_R_X33Y94 TILE_TYPE INT_R TILEPROP INT_R_X33Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y94 TILE_X 53608 TILEPROP INT_R_X33Y94 TILE_Y 63424 TILEPROP INT_R_X33Y94 TYPE INT_R TILEPROP INT_R_X33Y95 CLASS tile TILEPROP INT_R_X33Y95 COLUMN 83 TILEPROP INT_R_X33Y95 DEVICE_ID 0 TILEPROP INT_R_X33Y95 FIRST_SITE_ID 5564 TILEPROP INT_R_X33Y95 GRID_POINT_X 83 TILEPROP INT_R_X33Y95 GRID_POINT_Y 57 TILEPROP INT_R_X33Y95 INDEX 6638 TILEPROP INT_R_X33Y95 INT_TILE_X 33 TILEPROP INT_R_X33Y95 INT_TILE_Y 54 TILEPROP INT_R_X33Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y95 IS_DCM_TILE 0 TILEPROP INT_R_X33Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y95 NAME INT_R_X33Y95 TILEPROP INT_R_X33Y95 NUM_ARCS 3737 TILEPROP INT_R_X33Y95 NUM_SITES 1 TILEPROP INT_R_X33Y95 ROW 57 TILEPROP INT_R_X33Y95 SLR_REGION_ID 0 TILEPROP INT_R_X33Y95 TILE_PATTERN_IDX 5625 TILEPROP INT_R_X33Y95 TILE_TYPE INT_R TILEPROP INT_R_X33Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y95 TILE_X 53608 TILEPROP INT_R_X33Y95 TILE_Y 66624 TILEPROP INT_R_X33Y95 TYPE INT_R TILEPROP INT_R_X33Y96 CLASS tile TILEPROP INT_R_X33Y96 COLUMN 83 TILEPROP INT_R_X33Y96 DEVICE_ID 0 TILEPROP INT_R_X33Y96 FIRST_SITE_ID 5455 TILEPROP INT_R_X33Y96 GRID_POINT_X 83 TILEPROP INT_R_X33Y96 GRID_POINT_Y 56 TILEPROP INT_R_X33Y96 INDEX 6523 TILEPROP INT_R_X33Y96 INT_TILE_X 33 TILEPROP INT_R_X33Y96 INT_TILE_Y 53 TILEPROP INT_R_X33Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y96 IS_DCM_TILE 0 TILEPROP INT_R_X33Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y96 NAME INT_R_X33Y96 TILEPROP INT_R_X33Y96 NUM_ARCS 3737 TILEPROP INT_R_X33Y96 NUM_SITES 1 TILEPROP INT_R_X33Y96 ROW 56 TILEPROP INT_R_X33Y96 SLR_REGION_ID 0 TILEPROP INT_R_X33Y96 TILE_PATTERN_IDX 5590 TILEPROP INT_R_X33Y96 TILE_TYPE INT_R TILEPROP INT_R_X33Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y96 TILE_X 53608 TILEPROP INT_R_X33Y96 TILE_Y 69824 TILEPROP INT_R_X33Y96 TYPE INT_R TILEPROP INT_R_X33Y97 CLASS tile TILEPROP INT_R_X33Y97 COLUMN 83 TILEPROP INT_R_X33Y97 DEVICE_ID 0 TILEPROP INT_R_X33Y97 FIRST_SITE_ID 5355 TILEPROP INT_R_X33Y97 GRID_POINT_X 83 TILEPROP INT_R_X33Y97 GRID_POINT_Y 55 TILEPROP INT_R_X33Y97 INDEX 6408 TILEPROP INT_R_X33Y97 INT_TILE_X 33 TILEPROP INT_R_X33Y97 INT_TILE_Y 52 TILEPROP INT_R_X33Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y97 IS_DCM_TILE 0 TILEPROP INT_R_X33Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y97 NAME INT_R_X33Y97 TILEPROP INT_R_X33Y97 NUM_ARCS 3737 TILEPROP INT_R_X33Y97 NUM_SITES 1 TILEPROP INT_R_X33Y97 ROW 55 TILEPROP INT_R_X33Y97 SLR_REGION_ID 0 TILEPROP INT_R_X33Y97 TILE_PATTERN_IDX 5556 TILEPROP INT_R_X33Y97 TILE_TYPE INT_R TILEPROP INT_R_X33Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y97 TILE_X 53608 TILEPROP INT_R_X33Y97 TILE_Y 73024 TILEPROP INT_R_X33Y97 TYPE INT_R TILEPROP INT_R_X33Y98 CLASS tile TILEPROP INT_R_X33Y98 COLUMN 83 TILEPROP INT_R_X33Y98 DEVICE_ID 0 TILEPROP INT_R_X33Y98 FIRST_SITE_ID 5255 TILEPROP INT_R_X33Y98 GRID_POINT_X 83 TILEPROP INT_R_X33Y98 GRID_POINT_Y 54 TILEPROP INT_R_X33Y98 INDEX 6293 TILEPROP INT_R_X33Y98 INT_TILE_X 33 TILEPROP INT_R_X33Y98 INT_TILE_Y 51 TILEPROP INT_R_X33Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y98 IS_DCM_TILE 0 TILEPROP INT_R_X33Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y98 NAME INT_R_X33Y98 TILEPROP INT_R_X33Y98 NUM_ARCS 3737 TILEPROP INT_R_X33Y98 NUM_SITES 1 TILEPROP INT_R_X33Y98 ROW 54 TILEPROP INT_R_X33Y98 SLR_REGION_ID 0 TILEPROP INT_R_X33Y98 TILE_PATTERN_IDX 5522 TILEPROP INT_R_X33Y98 TILE_TYPE INT_R TILEPROP INT_R_X33Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y98 TILE_X 53608 TILEPROP INT_R_X33Y98 TILE_Y 76224 TILEPROP INT_R_X33Y98 TYPE INT_R TILEPROP INT_R_X33Y99 CLASS tile TILEPROP INT_R_X33Y99 COLUMN 83 TILEPROP INT_R_X33Y99 DEVICE_ID 0 TILEPROP INT_R_X33Y99 FIRST_SITE_ID 5159 TILEPROP INT_R_X33Y99 GRID_POINT_X 83 TILEPROP INT_R_X33Y99 GRID_POINT_Y 53 TILEPROP INT_R_X33Y99 INDEX 6178 TILEPROP INT_R_X33Y99 INT_TILE_X 33 TILEPROP INT_R_X33Y99 INT_TILE_Y 50 TILEPROP INT_R_X33Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y99 IS_DCM_TILE 0 TILEPROP INT_R_X33Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y99 NAME INT_R_X33Y99 TILEPROP INT_R_X33Y99 NUM_ARCS 3737 TILEPROP INT_R_X33Y99 NUM_SITES 1 TILEPROP INT_R_X33Y99 ROW 53 TILEPROP INT_R_X33Y99 SLR_REGION_ID 0 TILEPROP INT_R_X33Y99 TILE_PATTERN_IDX 5489 TILEPROP INT_R_X33Y99 TILE_TYPE INT_R TILEPROP INT_R_X33Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y99 TILE_X 53608 TILEPROP INT_R_X33Y99 TILE_Y 79424 TILEPROP INT_R_X33Y99 TYPE INT_R TILEPROP INT_R_X33Y100 CLASS tile TILEPROP INT_R_X33Y100 COLUMN 83 TILEPROP INT_R_X33Y100 DEVICE_ID 0 TILEPROP INT_R_X33Y100 FIRST_SITE_ID 5074 TILEPROP INT_R_X33Y100 GRID_POINT_X 83 TILEPROP INT_R_X33Y100 GRID_POINT_Y 51 TILEPROP INT_R_X33Y100 INDEX 5948 TILEPROP INT_R_X33Y100 INT_TILE_X 33 TILEPROP INT_R_X33Y100 INT_TILE_Y 49 TILEPROP INT_R_X33Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y100 IS_DCM_TILE 0 TILEPROP INT_R_X33Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y100 NAME INT_R_X33Y100 TILEPROP INT_R_X33Y100 NUM_ARCS 3737 TILEPROP INT_R_X33Y100 NUM_SITES 1 TILEPROP INT_R_X33Y100 ROW 51 TILEPROP INT_R_X33Y100 SLR_REGION_ID 0 TILEPROP INT_R_X33Y100 TILE_PATTERN_IDX 5455 TILEPROP INT_R_X33Y100 TILE_TYPE INT_R TILEPROP INT_R_X33Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y100 TILE_X 53608 TILEPROP INT_R_X33Y100 TILE_Y 82872 TILEPROP INT_R_X33Y100 TYPE INT_R TILEPROP INT_R_X33Y101 CLASS tile TILEPROP INT_R_X33Y101 COLUMN 83 TILEPROP INT_R_X33Y101 DEVICE_ID 0 TILEPROP INT_R_X33Y101 FIRST_SITE_ID 4976 TILEPROP INT_R_X33Y101 GRID_POINT_X 83 TILEPROP INT_R_X33Y101 GRID_POINT_Y 50 TILEPROP INT_R_X33Y101 INDEX 5833 TILEPROP INT_R_X33Y101 INT_TILE_X 33 TILEPROP INT_R_X33Y101 INT_TILE_Y 48 TILEPROP INT_R_X33Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y101 IS_DCM_TILE 0 TILEPROP INT_R_X33Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y101 NAME INT_R_X33Y101 TILEPROP INT_R_X33Y101 NUM_ARCS 3737 TILEPROP INT_R_X33Y101 NUM_SITES 1 TILEPROP INT_R_X33Y101 ROW 50 TILEPROP INT_R_X33Y101 SLR_REGION_ID 0 TILEPROP INT_R_X33Y101 TILE_PATTERN_IDX 5419 TILEPROP INT_R_X33Y101 TILE_TYPE INT_R TILEPROP INT_R_X33Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y101 TILE_X 53608 TILEPROP INT_R_X33Y101 TILE_Y 86072 TILEPROP INT_R_X33Y101 TYPE INT_R TILEPROP INT_R_X33Y102 CLASS tile TILEPROP INT_R_X33Y102 COLUMN 83 TILEPROP INT_R_X33Y102 DEVICE_ID 0 TILEPROP INT_R_X33Y102 FIRST_SITE_ID 4880 TILEPROP INT_R_X33Y102 GRID_POINT_X 83 TILEPROP INT_R_X33Y102 GRID_POINT_Y 49 TILEPROP INT_R_X33Y102 INDEX 5718 TILEPROP INT_R_X33Y102 INT_TILE_X 33 TILEPROP INT_R_X33Y102 INT_TILE_Y 47 TILEPROP INT_R_X33Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y102 IS_DCM_TILE 0 TILEPROP INT_R_X33Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y102 NAME INT_R_X33Y102 TILEPROP INT_R_X33Y102 NUM_ARCS 3737 TILEPROP INT_R_X33Y102 NUM_SITES 1 TILEPROP INT_R_X33Y102 ROW 49 TILEPROP INT_R_X33Y102 SLR_REGION_ID 0 TILEPROP INT_R_X33Y102 TILE_PATTERN_IDX 5382 TILEPROP INT_R_X33Y102 TILE_TYPE INT_R TILEPROP INT_R_X33Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y102 TILE_X 53608 TILEPROP INT_R_X33Y102 TILE_Y 89272 TILEPROP INT_R_X33Y102 TYPE INT_R TILEPROP INT_R_X33Y103 CLASS tile TILEPROP INT_R_X33Y103 COLUMN 83 TILEPROP INT_R_X33Y103 DEVICE_ID 0 TILEPROP INT_R_X33Y103 FIRST_SITE_ID 4792 TILEPROP INT_R_X33Y103 GRID_POINT_X 83 TILEPROP INT_R_X33Y103 GRID_POINT_Y 48 TILEPROP INT_R_X33Y103 INDEX 5603 TILEPROP INT_R_X33Y103 INT_TILE_X 33 TILEPROP INT_R_X33Y103 INT_TILE_Y 46 TILEPROP INT_R_X33Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y103 IS_DCM_TILE 0 TILEPROP INT_R_X33Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y103 NAME INT_R_X33Y103 TILEPROP INT_R_X33Y103 NUM_ARCS 3737 TILEPROP INT_R_X33Y103 NUM_SITES 1 TILEPROP INT_R_X33Y103 ROW 48 TILEPROP INT_R_X33Y103 SLR_REGION_ID 0 TILEPROP INT_R_X33Y103 TILE_PATTERN_IDX 5346 TILEPROP INT_R_X33Y103 TILE_TYPE INT_R TILEPROP INT_R_X33Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y103 TILE_X 53608 TILEPROP INT_R_X33Y103 TILE_Y 92472 TILEPROP INT_R_X33Y103 TYPE INT_R TILEPROP INT_R_X33Y104 CLASS tile TILEPROP INT_R_X33Y104 COLUMN 83 TILEPROP INT_R_X33Y104 DEVICE_ID 0 TILEPROP INT_R_X33Y104 FIRST_SITE_ID 4696 TILEPROP INT_R_X33Y104 GRID_POINT_X 83 TILEPROP INT_R_X33Y104 GRID_POINT_Y 47 TILEPROP INT_R_X33Y104 INDEX 5488 TILEPROP INT_R_X33Y104 INT_TILE_X 33 TILEPROP INT_R_X33Y104 INT_TILE_Y 45 TILEPROP INT_R_X33Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y104 IS_DCM_TILE 0 TILEPROP INT_R_X33Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y104 NAME INT_R_X33Y104 TILEPROP INT_R_X33Y104 NUM_ARCS 3737 TILEPROP INT_R_X33Y104 NUM_SITES 1 TILEPROP INT_R_X33Y104 ROW 47 TILEPROP INT_R_X33Y104 SLR_REGION_ID 0 TILEPROP INT_R_X33Y104 TILE_PATTERN_IDX 5309 TILEPROP INT_R_X33Y104 TILE_TYPE INT_R TILEPROP INT_R_X33Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y104 TILE_X 53608 TILEPROP INT_R_X33Y104 TILE_Y 95672 TILEPROP INT_R_X33Y104 TYPE INT_R TILEPROP INT_R_X33Y105 CLASS tile TILEPROP INT_R_X33Y105 COLUMN 83 TILEPROP INT_R_X33Y105 DEVICE_ID 0 TILEPROP INT_R_X33Y105 FIRST_SITE_ID 4600 TILEPROP INT_R_X33Y105 GRID_POINT_X 83 TILEPROP INT_R_X33Y105 GRID_POINT_Y 46 TILEPROP INT_R_X33Y105 INDEX 5373 TILEPROP INT_R_X33Y105 INT_TILE_X 33 TILEPROP INT_R_X33Y105 INT_TILE_Y 44 TILEPROP INT_R_X33Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y105 IS_DCM_TILE 0 TILEPROP INT_R_X33Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y105 NAME INT_R_X33Y105 TILEPROP INT_R_X33Y105 NUM_ARCS 3737 TILEPROP INT_R_X33Y105 NUM_SITES 1 TILEPROP INT_R_X33Y105 ROW 46 TILEPROP INT_R_X33Y105 SLR_REGION_ID 0 TILEPROP INT_R_X33Y105 TILE_PATTERN_IDX 5273 TILEPROP INT_R_X33Y105 TILE_TYPE INT_R TILEPROP INT_R_X33Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y105 TILE_X 53608 TILEPROP INT_R_X33Y105 TILE_Y 98872 TILEPROP INT_R_X33Y105 TYPE INT_R TILEPROP INT_R_X33Y106 CLASS tile TILEPROP INT_R_X33Y106 COLUMN 83 TILEPROP INT_R_X33Y106 DEVICE_ID 0 TILEPROP INT_R_X33Y106 FIRST_SITE_ID 4498 TILEPROP INT_R_X33Y106 GRID_POINT_X 83 TILEPROP INT_R_X33Y106 GRID_POINT_Y 45 TILEPROP INT_R_X33Y106 INDEX 5258 TILEPROP INT_R_X33Y106 INT_TILE_X 33 TILEPROP INT_R_X33Y106 INT_TILE_Y 43 TILEPROP INT_R_X33Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y106 IS_DCM_TILE 0 TILEPROP INT_R_X33Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y106 NAME INT_R_X33Y106 TILEPROP INT_R_X33Y106 NUM_ARCS 3737 TILEPROP INT_R_X33Y106 NUM_SITES 1 TILEPROP INT_R_X33Y106 ROW 45 TILEPROP INT_R_X33Y106 SLR_REGION_ID 0 TILEPROP INT_R_X33Y106 TILE_PATTERN_IDX 5236 TILEPROP INT_R_X33Y106 TILE_TYPE INT_R TILEPROP INT_R_X33Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y106 TILE_X 53608 TILEPROP INT_R_X33Y106 TILE_Y 102072 TILEPROP INT_R_X33Y106 TYPE INT_R TILEPROP INT_R_X33Y107 CLASS tile TILEPROP INT_R_X33Y107 COLUMN 83 TILEPROP INT_R_X33Y107 DEVICE_ID 0 TILEPROP INT_R_X33Y107 FIRST_SITE_ID 4410 TILEPROP INT_R_X33Y107 GRID_POINT_X 83 TILEPROP INT_R_X33Y107 GRID_POINT_Y 44 TILEPROP INT_R_X33Y107 INDEX 5143 TILEPROP INT_R_X33Y107 INT_TILE_X 33 TILEPROP INT_R_X33Y107 INT_TILE_Y 42 TILEPROP INT_R_X33Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y107 IS_DCM_TILE 0 TILEPROP INT_R_X33Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y107 NAME INT_R_X33Y107 TILEPROP INT_R_X33Y107 NUM_ARCS 3737 TILEPROP INT_R_X33Y107 NUM_SITES 1 TILEPROP INT_R_X33Y107 ROW 44 TILEPROP INT_R_X33Y107 SLR_REGION_ID 0 TILEPROP INT_R_X33Y107 TILE_PATTERN_IDX 5200 TILEPROP INT_R_X33Y107 TILE_TYPE INT_R TILEPROP INT_R_X33Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y107 TILE_X 53608 TILEPROP INT_R_X33Y107 TILE_Y 105272 TILEPROP INT_R_X33Y107 TYPE INT_R TILEPROP INT_R_X33Y108 CLASS tile TILEPROP INT_R_X33Y108 COLUMN 83 TILEPROP INT_R_X33Y108 DEVICE_ID 0 TILEPROP INT_R_X33Y108 FIRST_SITE_ID 4312 TILEPROP INT_R_X33Y108 GRID_POINT_X 83 TILEPROP INT_R_X33Y108 GRID_POINT_Y 43 TILEPROP INT_R_X33Y108 INDEX 5028 TILEPROP INT_R_X33Y108 INT_TILE_X 33 TILEPROP INT_R_X33Y108 INT_TILE_Y 41 TILEPROP INT_R_X33Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y108 IS_DCM_TILE 0 TILEPROP INT_R_X33Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y108 NAME INT_R_X33Y108 TILEPROP INT_R_X33Y108 NUM_ARCS 3737 TILEPROP INT_R_X33Y108 NUM_SITES 1 TILEPROP INT_R_X33Y108 ROW 43 TILEPROP INT_R_X33Y108 SLR_REGION_ID 0 TILEPROP INT_R_X33Y108 TILE_PATTERN_IDX 5163 TILEPROP INT_R_X33Y108 TILE_TYPE INT_R TILEPROP INT_R_X33Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y108 TILE_X 53608 TILEPROP INT_R_X33Y108 TILE_Y 108472 TILEPROP INT_R_X33Y108 TYPE INT_R TILEPROP INT_R_X33Y109 CLASS tile TILEPROP INT_R_X33Y109 COLUMN 83 TILEPROP INT_R_X33Y109 DEVICE_ID 0 TILEPROP INT_R_X33Y109 FIRST_SITE_ID 4223 TILEPROP INT_R_X33Y109 GRID_POINT_X 83 TILEPROP INT_R_X33Y109 GRID_POINT_Y 42 TILEPROP INT_R_X33Y109 INDEX 4913 TILEPROP INT_R_X33Y109 INT_TILE_X 33 TILEPROP INT_R_X33Y109 INT_TILE_Y 40 TILEPROP INT_R_X33Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y109 IS_DCM_TILE 0 TILEPROP INT_R_X33Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y109 NAME INT_R_X33Y109 TILEPROP INT_R_X33Y109 NUM_ARCS 3737 TILEPROP INT_R_X33Y109 NUM_SITES 1 TILEPROP INT_R_X33Y109 ROW 42 TILEPROP INT_R_X33Y109 SLR_REGION_ID 0 TILEPROP INT_R_X33Y109 TILE_PATTERN_IDX 5127 TILEPROP INT_R_X33Y109 TILE_TYPE INT_R TILEPROP INT_R_X33Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y109 TILE_X 53608 TILEPROP INT_R_X33Y109 TILE_Y 111672 TILEPROP INT_R_X33Y109 TYPE INT_R TILEPROP INT_R_X33Y110 CLASS tile TILEPROP INT_R_X33Y110 COLUMN 83 TILEPROP INT_R_X33Y110 DEVICE_ID 0 TILEPROP INT_R_X33Y110 FIRST_SITE_ID 4124 TILEPROP INT_R_X33Y110 GRID_POINT_X 83 TILEPROP INT_R_X33Y110 GRID_POINT_Y 41 TILEPROP INT_R_X33Y110 INDEX 4798 TILEPROP INT_R_X33Y110 INT_TILE_X 33 TILEPROP INT_R_X33Y110 INT_TILE_Y 39 TILEPROP INT_R_X33Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y110 IS_DCM_TILE 0 TILEPROP INT_R_X33Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y110 NAME INT_R_X33Y110 TILEPROP INT_R_X33Y110 NUM_ARCS 3737 TILEPROP INT_R_X33Y110 NUM_SITES 1 TILEPROP INT_R_X33Y110 ROW 41 TILEPROP INT_R_X33Y110 SLR_REGION_ID 0 TILEPROP INT_R_X33Y110 TILE_PATTERN_IDX 5090 TILEPROP INT_R_X33Y110 TILE_TYPE INT_R TILEPROP INT_R_X33Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y110 TILE_X 53608 TILEPROP INT_R_X33Y110 TILE_Y 114872 TILEPROP INT_R_X33Y110 TYPE INT_R TILEPROP INT_R_X33Y111 CLASS tile TILEPROP INT_R_X33Y111 COLUMN 83 TILEPROP INT_R_X33Y111 DEVICE_ID 0 TILEPROP INT_R_X33Y111 FIRST_SITE_ID 4029 TILEPROP INT_R_X33Y111 GRID_POINT_X 83 TILEPROP INT_R_X33Y111 GRID_POINT_Y 40 TILEPROP INT_R_X33Y111 INDEX 4683 TILEPROP INT_R_X33Y111 INT_TILE_X 33 TILEPROP INT_R_X33Y111 INT_TILE_Y 38 TILEPROP INT_R_X33Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y111 IS_DCM_TILE 0 TILEPROP INT_R_X33Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y111 NAME INT_R_X33Y111 TILEPROP INT_R_X33Y111 NUM_ARCS 3737 TILEPROP INT_R_X33Y111 NUM_SITES 1 TILEPROP INT_R_X33Y111 ROW 40 TILEPROP INT_R_X33Y111 SLR_REGION_ID 0 TILEPROP INT_R_X33Y111 TILE_PATTERN_IDX 5054 TILEPROP INT_R_X33Y111 TILE_TYPE INT_R TILEPROP INT_R_X33Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y111 TILE_X 53608 TILEPROP INT_R_X33Y111 TILE_Y 118072 TILEPROP INT_R_X33Y111 TYPE INT_R TILEPROP INT_R_X33Y112 CLASS tile TILEPROP INT_R_X33Y112 COLUMN 83 TILEPROP INT_R_X33Y112 DEVICE_ID 0 TILEPROP INT_R_X33Y112 FIRST_SITE_ID 3933 TILEPROP INT_R_X33Y112 GRID_POINT_X 83 TILEPROP INT_R_X33Y112 GRID_POINT_Y 39 TILEPROP INT_R_X33Y112 INDEX 4568 TILEPROP INT_R_X33Y112 INT_TILE_X 33 TILEPROP INT_R_X33Y112 INT_TILE_Y 37 TILEPROP INT_R_X33Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y112 IS_DCM_TILE 0 TILEPROP INT_R_X33Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y112 NAME INT_R_X33Y112 TILEPROP INT_R_X33Y112 NUM_ARCS 3737 TILEPROP INT_R_X33Y112 NUM_SITES 1 TILEPROP INT_R_X33Y112 ROW 39 TILEPROP INT_R_X33Y112 SLR_REGION_ID 0 TILEPROP INT_R_X33Y112 TILE_PATTERN_IDX 5017 TILEPROP INT_R_X33Y112 TILE_TYPE INT_R TILEPROP INT_R_X33Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y112 TILE_X 53608 TILEPROP INT_R_X33Y112 TILE_Y 121272 TILEPROP INT_R_X33Y112 TYPE INT_R TILEPROP INT_R_X33Y113 CLASS tile TILEPROP INT_R_X33Y113 COLUMN 83 TILEPROP INT_R_X33Y113 DEVICE_ID 0 TILEPROP INT_R_X33Y113 FIRST_SITE_ID 3813 TILEPROP INT_R_X33Y113 GRID_POINT_X 83 TILEPROP INT_R_X33Y113 GRID_POINT_Y 38 TILEPROP INT_R_X33Y113 INDEX 4453 TILEPROP INT_R_X33Y113 INT_TILE_X 33 TILEPROP INT_R_X33Y113 INT_TILE_Y 36 TILEPROP INT_R_X33Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y113 IS_DCM_TILE 0 TILEPROP INT_R_X33Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y113 NAME INT_R_X33Y113 TILEPROP INT_R_X33Y113 NUM_ARCS 3737 TILEPROP INT_R_X33Y113 NUM_SITES 1 TILEPROP INT_R_X33Y113 ROW 38 TILEPROP INT_R_X33Y113 SLR_REGION_ID 0 TILEPROP INT_R_X33Y113 TILE_PATTERN_IDX 4980 TILEPROP INT_R_X33Y113 TILE_TYPE INT_R TILEPROP INT_R_X33Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y113 TILE_X 53608 TILEPROP INT_R_X33Y113 TILE_Y 124472 TILEPROP INT_R_X33Y113 TYPE INT_R TILEPROP INT_R_X33Y114 CLASS tile TILEPROP INT_R_X33Y114 COLUMN 83 TILEPROP INT_R_X33Y114 DEVICE_ID 0 TILEPROP INT_R_X33Y114 FIRST_SITE_ID 3717 TILEPROP INT_R_X33Y114 GRID_POINT_X 83 TILEPROP INT_R_X33Y114 GRID_POINT_Y 37 TILEPROP INT_R_X33Y114 INDEX 4338 TILEPROP INT_R_X33Y114 INT_TILE_X 33 TILEPROP INT_R_X33Y114 INT_TILE_Y 35 TILEPROP INT_R_X33Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y114 IS_DCM_TILE 0 TILEPROP INT_R_X33Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y114 NAME INT_R_X33Y114 TILEPROP INT_R_X33Y114 NUM_ARCS 3737 TILEPROP INT_R_X33Y114 NUM_SITES 1 TILEPROP INT_R_X33Y114 ROW 37 TILEPROP INT_R_X33Y114 SLR_REGION_ID 0 TILEPROP INT_R_X33Y114 TILE_PATTERN_IDX 4943 TILEPROP INT_R_X33Y114 TILE_TYPE INT_R TILEPROP INT_R_X33Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y114 TILE_X 53608 TILEPROP INT_R_X33Y114 TILE_Y 127672 TILEPROP INT_R_X33Y114 TYPE INT_R TILEPROP INT_R_X33Y115 CLASS tile TILEPROP INT_R_X33Y115 COLUMN 83 TILEPROP INT_R_X33Y115 DEVICE_ID 0 TILEPROP INT_R_X33Y115 FIRST_SITE_ID 3626 TILEPROP INT_R_X33Y115 GRID_POINT_X 83 TILEPROP INT_R_X33Y115 GRID_POINT_Y 36 TILEPROP INT_R_X33Y115 INDEX 4223 TILEPROP INT_R_X33Y115 INT_TILE_X 33 TILEPROP INT_R_X33Y115 INT_TILE_Y 34 TILEPROP INT_R_X33Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y115 IS_DCM_TILE 0 TILEPROP INT_R_X33Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y115 NAME INT_R_X33Y115 TILEPROP INT_R_X33Y115 NUM_ARCS 3737 TILEPROP INT_R_X33Y115 NUM_SITES 1 TILEPROP INT_R_X33Y115 ROW 36 TILEPROP INT_R_X33Y115 SLR_REGION_ID 0 TILEPROP INT_R_X33Y115 TILE_PATTERN_IDX 4907 TILEPROP INT_R_X33Y115 TILE_TYPE INT_R TILEPROP INT_R_X33Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y115 TILE_X 53608 TILEPROP INT_R_X33Y115 TILE_Y 130872 TILEPROP INT_R_X33Y115 TYPE INT_R TILEPROP INT_R_X33Y116 CLASS tile TILEPROP INT_R_X33Y116 COLUMN 83 TILEPROP INT_R_X33Y116 DEVICE_ID 0 TILEPROP INT_R_X33Y116 FIRST_SITE_ID 3519 TILEPROP INT_R_X33Y116 GRID_POINT_X 83 TILEPROP INT_R_X33Y116 GRID_POINT_Y 35 TILEPROP INT_R_X33Y116 INDEX 4108 TILEPROP INT_R_X33Y116 INT_TILE_X 33 TILEPROP INT_R_X33Y116 INT_TILE_Y 33 TILEPROP INT_R_X33Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y116 IS_DCM_TILE 0 TILEPROP INT_R_X33Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y116 NAME INT_R_X33Y116 TILEPROP INT_R_X33Y116 NUM_ARCS 3737 TILEPROP INT_R_X33Y116 NUM_SITES 1 TILEPROP INT_R_X33Y116 ROW 35 TILEPROP INT_R_X33Y116 SLR_REGION_ID 0 TILEPROP INT_R_X33Y116 TILE_PATTERN_IDX 4870 TILEPROP INT_R_X33Y116 TILE_TYPE INT_R TILEPROP INT_R_X33Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y116 TILE_X 53608 TILEPROP INT_R_X33Y116 TILE_Y 134072 TILEPROP INT_R_X33Y116 TYPE INT_R TILEPROP INT_R_X33Y117 CLASS tile TILEPROP INT_R_X33Y117 COLUMN 83 TILEPROP INT_R_X33Y117 DEVICE_ID 0 TILEPROP INT_R_X33Y117 FIRST_SITE_ID 3431 TILEPROP INT_R_X33Y117 GRID_POINT_X 83 TILEPROP INT_R_X33Y117 GRID_POINT_Y 34 TILEPROP INT_R_X33Y117 INDEX 3993 TILEPROP INT_R_X33Y117 INT_TILE_X 33 TILEPROP INT_R_X33Y117 INT_TILE_Y 32 TILEPROP INT_R_X33Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y117 IS_DCM_TILE 0 TILEPROP INT_R_X33Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y117 NAME INT_R_X33Y117 TILEPROP INT_R_X33Y117 NUM_ARCS 3737 TILEPROP INT_R_X33Y117 NUM_SITES 1 TILEPROP INT_R_X33Y117 ROW 34 TILEPROP INT_R_X33Y117 SLR_REGION_ID 0 TILEPROP INT_R_X33Y117 TILE_PATTERN_IDX 4834 TILEPROP INT_R_X33Y117 TILE_TYPE INT_R TILEPROP INT_R_X33Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y117 TILE_X 53608 TILEPROP INT_R_X33Y117 TILE_Y 137272 TILEPROP INT_R_X33Y117 TYPE INT_R TILEPROP INT_R_X33Y118 CLASS tile TILEPROP INT_R_X33Y118 COLUMN 83 TILEPROP INT_R_X33Y118 DEVICE_ID 0 TILEPROP INT_R_X33Y118 FIRST_SITE_ID 3331 TILEPROP INT_R_X33Y118 GRID_POINT_X 83 TILEPROP INT_R_X33Y118 GRID_POINT_Y 33 TILEPROP INT_R_X33Y118 INDEX 3878 TILEPROP INT_R_X33Y118 INT_TILE_X 33 TILEPROP INT_R_X33Y118 INT_TILE_Y 31 TILEPROP INT_R_X33Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y118 IS_DCM_TILE 0 TILEPROP INT_R_X33Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y118 NAME INT_R_X33Y118 TILEPROP INT_R_X33Y118 NUM_ARCS 3737 TILEPROP INT_R_X33Y118 NUM_SITES 1 TILEPROP INT_R_X33Y118 ROW 33 TILEPROP INT_R_X33Y118 SLR_REGION_ID 0 TILEPROP INT_R_X33Y118 TILE_PATTERN_IDX 4796 TILEPROP INT_R_X33Y118 TILE_TYPE INT_R TILEPROP INT_R_X33Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y118 TILE_X 53608 TILEPROP INT_R_X33Y118 TILE_Y 140472 TILEPROP INT_R_X33Y118 TYPE INT_R TILEPROP INT_R_X33Y119 CLASS tile TILEPROP INT_R_X33Y119 COLUMN 83 TILEPROP INT_R_X33Y119 DEVICE_ID 0 TILEPROP INT_R_X33Y119 FIRST_SITE_ID 3243 TILEPROP INT_R_X33Y119 GRID_POINT_X 83 TILEPROP INT_R_X33Y119 GRID_POINT_Y 32 TILEPROP INT_R_X33Y119 INDEX 3763 TILEPROP INT_R_X33Y119 INT_TILE_X 33 TILEPROP INT_R_X33Y119 INT_TILE_Y 30 TILEPROP INT_R_X33Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y119 IS_DCM_TILE 0 TILEPROP INT_R_X33Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y119 NAME INT_R_X33Y119 TILEPROP INT_R_X33Y119 NUM_ARCS 3737 TILEPROP INT_R_X33Y119 NUM_SITES 1 TILEPROP INT_R_X33Y119 ROW 32 TILEPROP INT_R_X33Y119 SLR_REGION_ID 0 TILEPROP INT_R_X33Y119 TILE_PATTERN_IDX 4760 TILEPROP INT_R_X33Y119 TILE_TYPE INT_R TILEPROP INT_R_X33Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y119 TILE_X 53608 TILEPROP INT_R_X33Y119 TILE_Y 143672 TILEPROP INT_R_X33Y119 TYPE INT_R TILEPROP INT_R_X33Y120 CLASS tile TILEPROP INT_R_X33Y120 COLUMN 83 TILEPROP INT_R_X33Y120 DEVICE_ID 0 TILEPROP INT_R_X33Y120 FIRST_SITE_ID 3142 TILEPROP INT_R_X33Y120 GRID_POINT_X 83 TILEPROP INT_R_X33Y120 GRID_POINT_Y 31 TILEPROP INT_R_X33Y120 INDEX 3648 TILEPROP INT_R_X33Y120 INT_TILE_X 33 TILEPROP INT_R_X33Y120 INT_TILE_Y 29 TILEPROP INT_R_X33Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y120 IS_DCM_TILE 0 TILEPROP INT_R_X33Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y120 NAME INT_R_X33Y120 TILEPROP INT_R_X33Y120 NUM_ARCS 3737 TILEPROP INT_R_X33Y120 NUM_SITES 1 TILEPROP INT_R_X33Y120 ROW 31 TILEPROP INT_R_X33Y120 SLR_REGION_ID 0 TILEPROP INT_R_X33Y120 TILE_PATTERN_IDX 4723 TILEPROP INT_R_X33Y120 TILE_TYPE INT_R TILEPROP INT_R_X33Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y120 TILE_X 53608 TILEPROP INT_R_X33Y120 TILE_Y 146872 TILEPROP INT_R_X33Y120 TYPE INT_R TILEPROP INT_R_X33Y121 CLASS tile TILEPROP INT_R_X33Y121 COLUMN 83 TILEPROP INT_R_X33Y121 DEVICE_ID 0 TILEPROP INT_R_X33Y121 FIRST_SITE_ID 3048 TILEPROP INT_R_X33Y121 GRID_POINT_X 83 TILEPROP INT_R_X33Y121 GRID_POINT_Y 30 TILEPROP INT_R_X33Y121 INDEX 3533 TILEPROP INT_R_X33Y121 INT_TILE_X 33 TILEPROP INT_R_X33Y121 INT_TILE_Y 28 TILEPROP INT_R_X33Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y121 IS_DCM_TILE 0 TILEPROP INT_R_X33Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y121 NAME INT_R_X33Y121 TILEPROP INT_R_X33Y121 NUM_ARCS 3737 TILEPROP INT_R_X33Y121 NUM_SITES 1 TILEPROP INT_R_X33Y121 ROW 30 TILEPROP INT_R_X33Y121 SLR_REGION_ID 0 TILEPROP INT_R_X33Y121 TILE_PATTERN_IDX 4687 TILEPROP INT_R_X33Y121 TILE_TYPE INT_R TILEPROP INT_R_X33Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y121 TILE_X 53608 TILEPROP INT_R_X33Y121 TILE_Y 150072 TILEPROP INT_R_X33Y121 TYPE INT_R TILEPROP INT_R_X33Y122 CLASS tile TILEPROP INT_R_X33Y122 COLUMN 83 TILEPROP INT_R_X33Y122 DEVICE_ID 0 TILEPROP INT_R_X33Y122 FIRST_SITE_ID 2945 TILEPROP INT_R_X33Y122 GRID_POINT_X 83 TILEPROP INT_R_X33Y122 GRID_POINT_Y 29 TILEPROP INT_R_X33Y122 INDEX 3418 TILEPROP INT_R_X33Y122 INT_TILE_X 33 TILEPROP INT_R_X33Y122 INT_TILE_Y 27 TILEPROP INT_R_X33Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y122 IS_DCM_TILE 0 TILEPROP INT_R_X33Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y122 NAME INT_R_X33Y122 TILEPROP INT_R_X33Y122 NUM_ARCS 3737 TILEPROP INT_R_X33Y122 NUM_SITES 1 TILEPROP INT_R_X33Y122 ROW 29 TILEPROP INT_R_X33Y122 SLR_REGION_ID 0 TILEPROP INT_R_X33Y122 TILE_PATTERN_IDX 4649 TILEPROP INT_R_X33Y122 TILE_TYPE INT_R TILEPROP INT_R_X33Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y122 TILE_X 53608 TILEPROP INT_R_X33Y122 TILE_Y 153272 TILEPROP INT_R_X33Y122 TYPE INT_R TILEPROP INT_R_X33Y123 CLASS tile TILEPROP INT_R_X33Y123 COLUMN 83 TILEPROP INT_R_X33Y123 DEVICE_ID 0 TILEPROP INT_R_X33Y123 FIRST_SITE_ID 2857 TILEPROP INT_R_X33Y123 GRID_POINT_X 83 TILEPROP INT_R_X33Y123 GRID_POINT_Y 28 TILEPROP INT_R_X33Y123 INDEX 3303 TILEPROP INT_R_X33Y123 INT_TILE_X 33 TILEPROP INT_R_X33Y123 INT_TILE_Y 26 TILEPROP INT_R_X33Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y123 IS_DCM_TILE 0 TILEPROP INT_R_X33Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y123 NAME INT_R_X33Y123 TILEPROP INT_R_X33Y123 NUM_ARCS 3737 TILEPROP INT_R_X33Y123 NUM_SITES 1 TILEPROP INT_R_X33Y123 ROW 28 TILEPROP INT_R_X33Y123 SLR_REGION_ID 0 TILEPROP INT_R_X33Y123 TILE_PATTERN_IDX 4613 TILEPROP INT_R_X33Y123 TILE_TYPE INT_R TILEPROP INT_R_X33Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y123 TILE_X 53608 TILEPROP INT_R_X33Y123 TILE_Y 156472 TILEPROP INT_R_X33Y123 TYPE INT_R TILEPROP INT_R_X33Y124 CLASS tile TILEPROP INT_R_X33Y124 COLUMN 83 TILEPROP INT_R_X33Y124 DEVICE_ID 0 TILEPROP INT_R_X33Y124 FIRST_SITE_ID 2761 TILEPROP INT_R_X33Y124 GRID_POINT_X 83 TILEPROP INT_R_X33Y124 GRID_POINT_Y 27 TILEPROP INT_R_X33Y124 INDEX 3188 TILEPROP INT_R_X33Y124 INT_TILE_X 33 TILEPROP INT_R_X33Y124 INT_TILE_Y 25 TILEPROP INT_R_X33Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y124 IS_DCM_TILE 0 TILEPROP INT_R_X33Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y124 NAME INT_R_X33Y124 TILEPROP INT_R_X33Y124 NUM_ARCS 3737 TILEPROP INT_R_X33Y124 NUM_SITES 1 TILEPROP INT_R_X33Y124 ROW 27 TILEPROP INT_R_X33Y124 SLR_REGION_ID 0 TILEPROP INT_R_X33Y124 TILE_PATTERN_IDX 4576 TILEPROP INT_R_X33Y124 TILE_TYPE INT_R TILEPROP INT_R_X33Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y124 TILE_X 53608 TILEPROP INT_R_X33Y124 TILE_Y 159672 TILEPROP INT_R_X33Y124 TYPE INT_R TILEPROP INT_R_X33Y125 CLASS tile TILEPROP INT_R_X33Y125 COLUMN 83 TILEPROP INT_R_X33Y125 DEVICE_ID 0 TILEPROP INT_R_X33Y125 FIRST_SITE_ID 2599 TILEPROP INT_R_X33Y125 GRID_POINT_X 83 TILEPROP INT_R_X33Y125 GRID_POINT_Y 25 TILEPROP INT_R_X33Y125 INDEX 2958 TILEPROP INT_R_X33Y125 INT_TILE_X 33 TILEPROP INT_R_X33Y125 INT_TILE_Y 24 TILEPROP INT_R_X33Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y125 IS_DCM_TILE 0 TILEPROP INT_R_X33Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y125 NAME INT_R_X33Y125 TILEPROP INT_R_X33Y125 NUM_ARCS 3737 TILEPROP INT_R_X33Y125 NUM_SITES 1 TILEPROP INT_R_X33Y125 ROW 25 TILEPROP INT_R_X33Y125 SLR_REGION_ID 0 TILEPROP INT_R_X33Y125 TILE_PATTERN_IDX 4499 TILEPROP INT_R_X33Y125 TILE_TYPE INT_R TILEPROP INT_R_X33Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y125 TILE_X 53608 TILEPROP INT_R_X33Y125 TILE_Y 163896 TILEPROP INT_R_X33Y125 TYPE INT_R TILEPROP INT_R_X33Y126 CLASS tile TILEPROP INT_R_X33Y126 COLUMN 83 TILEPROP INT_R_X33Y126 DEVICE_ID 0 TILEPROP INT_R_X33Y126 FIRST_SITE_ID 2486 TILEPROP INT_R_X33Y126 GRID_POINT_X 83 TILEPROP INT_R_X33Y126 GRID_POINT_Y 24 TILEPROP INT_R_X33Y126 INDEX 2843 TILEPROP INT_R_X33Y126 INT_TILE_X 33 TILEPROP INT_R_X33Y126 INT_TILE_Y 23 TILEPROP INT_R_X33Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y126 IS_DCM_TILE 0 TILEPROP INT_R_X33Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y126 NAME INT_R_X33Y126 TILEPROP INT_R_X33Y126 NUM_ARCS 3737 TILEPROP INT_R_X33Y126 NUM_SITES 1 TILEPROP INT_R_X33Y126 ROW 24 TILEPROP INT_R_X33Y126 SLR_REGION_ID 0 TILEPROP INT_R_X33Y126 TILE_PATTERN_IDX 4460 TILEPROP INT_R_X33Y126 TILE_TYPE INT_R TILEPROP INT_R_X33Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y126 TILE_X 53608 TILEPROP INT_R_X33Y126 TILE_Y 167096 TILEPROP INT_R_X33Y126 TYPE INT_R TILEPROP INT_R_X33Y127 CLASS tile TILEPROP INT_R_X33Y127 COLUMN 83 TILEPROP INT_R_X33Y127 DEVICE_ID 0 TILEPROP INT_R_X33Y127 FIRST_SITE_ID 2390 TILEPROP INT_R_X33Y127 GRID_POINT_X 83 TILEPROP INT_R_X33Y127 GRID_POINT_Y 23 TILEPROP INT_R_X33Y127 INDEX 2728 TILEPROP INT_R_X33Y127 INT_TILE_X 33 TILEPROP INT_R_X33Y127 INT_TILE_Y 22 TILEPROP INT_R_X33Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y127 IS_DCM_TILE 0 TILEPROP INT_R_X33Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y127 NAME INT_R_X33Y127 TILEPROP INT_R_X33Y127 NUM_ARCS 3737 TILEPROP INT_R_X33Y127 NUM_SITES 1 TILEPROP INT_R_X33Y127 ROW 23 TILEPROP INT_R_X33Y127 SLR_REGION_ID 0 TILEPROP INT_R_X33Y127 TILE_PATTERN_IDX 4422 TILEPROP INT_R_X33Y127 TILE_TYPE INT_R TILEPROP INT_R_X33Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y127 TILE_X 53608 TILEPROP INT_R_X33Y127 TILE_Y 170296 TILEPROP INT_R_X33Y127 TYPE INT_R TILEPROP INT_R_X33Y128 CLASS tile TILEPROP INT_R_X33Y128 COLUMN 83 TILEPROP INT_R_X33Y128 DEVICE_ID 0 TILEPROP INT_R_X33Y128 FIRST_SITE_ID 2286 TILEPROP INT_R_X33Y128 GRID_POINT_X 83 TILEPROP INT_R_X33Y128 GRID_POINT_Y 22 TILEPROP INT_R_X33Y128 INDEX 2613 TILEPROP INT_R_X33Y128 INT_TILE_X 33 TILEPROP INT_R_X33Y128 INT_TILE_Y 21 TILEPROP INT_R_X33Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y128 IS_DCM_TILE 0 TILEPROP INT_R_X33Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y128 NAME INT_R_X33Y128 TILEPROP INT_R_X33Y128 NUM_ARCS 3737 TILEPROP INT_R_X33Y128 NUM_SITES 1 TILEPROP INT_R_X33Y128 ROW 22 TILEPROP INT_R_X33Y128 SLR_REGION_ID 0 TILEPROP INT_R_X33Y128 TILE_PATTERN_IDX 4383 TILEPROP INT_R_X33Y128 TILE_TYPE INT_R TILEPROP INT_R_X33Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y128 TILE_X 53608 TILEPROP INT_R_X33Y128 TILE_Y 173496 TILEPROP INT_R_X33Y128 TYPE INT_R TILEPROP INT_R_X33Y129 CLASS tile TILEPROP INT_R_X33Y129 COLUMN 83 TILEPROP INT_R_X33Y129 DEVICE_ID 0 TILEPROP INT_R_X33Y129 FIRST_SITE_ID 2190 TILEPROP INT_R_X33Y129 GRID_POINT_X 83 TILEPROP INT_R_X33Y129 GRID_POINT_Y 21 TILEPROP INT_R_X33Y129 INDEX 2498 TILEPROP INT_R_X33Y129 INT_TILE_X 33 TILEPROP INT_R_X33Y129 INT_TILE_Y 20 TILEPROP INT_R_X33Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y129 IS_DCM_TILE 0 TILEPROP INT_R_X33Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y129 NAME INT_R_X33Y129 TILEPROP INT_R_X33Y129 NUM_ARCS 3737 TILEPROP INT_R_X33Y129 NUM_SITES 1 TILEPROP INT_R_X33Y129 ROW 21 TILEPROP INT_R_X33Y129 SLR_REGION_ID 0 TILEPROP INT_R_X33Y129 TILE_PATTERN_IDX 4345 TILEPROP INT_R_X33Y129 TILE_TYPE INT_R TILEPROP INT_R_X33Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y129 TILE_X 53608 TILEPROP INT_R_X33Y129 TILE_Y 176696 TILEPROP INT_R_X33Y129 TYPE INT_R TILEPROP INT_R_X33Y130 CLASS tile TILEPROP INT_R_X33Y130 COLUMN 83 TILEPROP INT_R_X33Y130 DEVICE_ID 0 TILEPROP INT_R_X33Y130 FIRST_SITE_ID 2077 TILEPROP INT_R_X33Y130 GRID_POINT_X 83 TILEPROP INT_R_X33Y130 GRID_POINT_Y 20 TILEPROP INT_R_X33Y130 INDEX 2383 TILEPROP INT_R_X33Y130 INT_TILE_X 33 TILEPROP INT_R_X33Y130 INT_TILE_Y 19 TILEPROP INT_R_X33Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y130 IS_DCM_TILE 0 TILEPROP INT_R_X33Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y130 NAME INT_R_X33Y130 TILEPROP INT_R_X33Y130 NUM_ARCS 3737 TILEPROP INT_R_X33Y130 NUM_SITES 1 TILEPROP INT_R_X33Y130 ROW 20 TILEPROP INT_R_X33Y130 SLR_REGION_ID 0 TILEPROP INT_R_X33Y130 TILE_PATTERN_IDX 4305 TILEPROP INT_R_X33Y130 TILE_TYPE INT_R TILEPROP INT_R_X33Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y130 TILE_X 53608 TILEPROP INT_R_X33Y130 TILE_Y 179896 TILEPROP INT_R_X33Y130 TYPE INT_R TILEPROP INT_R_X33Y131 CLASS tile TILEPROP INT_R_X33Y131 COLUMN 83 TILEPROP INT_R_X33Y131 DEVICE_ID 0 TILEPROP INT_R_X33Y131 FIRST_SITE_ID 1972 TILEPROP INT_R_X33Y131 GRID_POINT_X 83 TILEPROP INT_R_X33Y131 GRID_POINT_Y 19 TILEPROP INT_R_X33Y131 INDEX 2268 TILEPROP INT_R_X33Y131 INT_TILE_X 33 TILEPROP INT_R_X33Y131 INT_TILE_Y 18 TILEPROP INT_R_X33Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y131 IS_DCM_TILE 0 TILEPROP INT_R_X33Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y131 NAME INT_R_X33Y131 TILEPROP INT_R_X33Y131 NUM_ARCS 3737 TILEPROP INT_R_X33Y131 NUM_SITES 1 TILEPROP INT_R_X33Y131 ROW 19 TILEPROP INT_R_X33Y131 SLR_REGION_ID 0 TILEPROP INT_R_X33Y131 TILE_PATTERN_IDX 4267 TILEPROP INT_R_X33Y131 TILE_TYPE INT_R TILEPROP INT_R_X33Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y131 TILE_X 53608 TILEPROP INT_R_X33Y131 TILE_Y 183096 TILEPROP INT_R_X33Y131 TYPE INT_R TILEPROP INT_R_X33Y132 CLASS tile TILEPROP INT_R_X33Y132 COLUMN 83 TILEPROP INT_R_X33Y132 DEVICE_ID 0 TILEPROP INT_R_X33Y132 FIRST_SITE_ID 1866 TILEPROP INT_R_X33Y132 GRID_POINT_X 83 TILEPROP INT_R_X33Y132 GRID_POINT_Y 18 TILEPROP INT_R_X33Y132 INDEX 2153 TILEPROP INT_R_X33Y132 INT_TILE_X 33 TILEPROP INT_R_X33Y132 INT_TILE_Y 17 TILEPROP INT_R_X33Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y132 IS_DCM_TILE 0 TILEPROP INT_R_X33Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y132 NAME INT_R_X33Y132 TILEPROP INT_R_X33Y132 NUM_ARCS 3737 TILEPROP INT_R_X33Y132 NUM_SITES 1 TILEPROP INT_R_X33Y132 ROW 18 TILEPROP INT_R_X33Y132 SLR_REGION_ID 0 TILEPROP INT_R_X33Y132 TILE_PATTERN_IDX 4228 TILEPROP INT_R_X33Y132 TILE_TYPE INT_R TILEPROP INT_R_X33Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y132 TILE_X 53608 TILEPROP INT_R_X33Y132 TILE_Y 186296 TILEPROP INT_R_X33Y132 TYPE INT_R TILEPROP INT_R_X33Y133 CLASS tile TILEPROP INT_R_X33Y133 COLUMN 83 TILEPROP INT_R_X33Y133 DEVICE_ID 0 TILEPROP INT_R_X33Y133 FIRST_SITE_ID 1765 TILEPROP INT_R_X33Y133 GRID_POINT_X 83 TILEPROP INT_R_X33Y133 GRID_POINT_Y 17 TILEPROP INT_R_X33Y133 INDEX 2038 TILEPROP INT_R_X33Y133 INT_TILE_X 33 TILEPROP INT_R_X33Y133 INT_TILE_Y 16 TILEPROP INT_R_X33Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y133 IS_DCM_TILE 0 TILEPROP INT_R_X33Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y133 NAME INT_R_X33Y133 TILEPROP INT_R_X33Y133 NUM_ARCS 3737 TILEPROP INT_R_X33Y133 NUM_SITES 1 TILEPROP INT_R_X33Y133 ROW 17 TILEPROP INT_R_X33Y133 SLR_REGION_ID 0 TILEPROP INT_R_X33Y133 TILE_PATTERN_IDX 4190 TILEPROP INT_R_X33Y133 TILE_TYPE INT_R TILEPROP INT_R_X33Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y133 TILE_X 53608 TILEPROP INT_R_X33Y133 TILE_Y 189496 TILEPROP INT_R_X33Y133 TYPE INT_R TILEPROP INT_R_X33Y134 CLASS tile TILEPROP INT_R_X33Y134 COLUMN 83 TILEPROP INT_R_X33Y134 DEVICE_ID 0 TILEPROP INT_R_X33Y134 FIRST_SITE_ID 1661 TILEPROP INT_R_X33Y134 GRID_POINT_X 83 TILEPROP INT_R_X33Y134 GRID_POINT_Y 16 TILEPROP INT_R_X33Y134 INDEX 1923 TILEPROP INT_R_X33Y134 INT_TILE_X 33 TILEPROP INT_R_X33Y134 INT_TILE_Y 15 TILEPROP INT_R_X33Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y134 IS_DCM_TILE 0 TILEPROP INT_R_X33Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y134 NAME INT_R_X33Y134 TILEPROP INT_R_X33Y134 NUM_ARCS 3737 TILEPROP INT_R_X33Y134 NUM_SITES 1 TILEPROP INT_R_X33Y134 ROW 16 TILEPROP INT_R_X33Y134 SLR_REGION_ID 0 TILEPROP INT_R_X33Y134 TILE_PATTERN_IDX 4151 TILEPROP INT_R_X33Y134 TILE_TYPE INT_R TILEPROP INT_R_X33Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y134 TILE_X 53608 TILEPROP INT_R_X33Y134 TILE_Y 192696 TILEPROP INT_R_X33Y134 TYPE INT_R TILEPROP INT_R_X33Y135 CLASS tile TILEPROP INT_R_X33Y135 COLUMN 83 TILEPROP INT_R_X33Y135 DEVICE_ID 0 TILEPROP INT_R_X33Y135 FIRST_SITE_ID 1562 TILEPROP INT_R_X33Y135 GRID_POINT_X 83 TILEPROP INT_R_X33Y135 GRID_POINT_Y 15 TILEPROP INT_R_X33Y135 INDEX 1808 TILEPROP INT_R_X33Y135 INT_TILE_X 33 TILEPROP INT_R_X33Y135 INT_TILE_Y 14 TILEPROP INT_R_X33Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y135 IS_DCM_TILE 0 TILEPROP INT_R_X33Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y135 NAME INT_R_X33Y135 TILEPROP INT_R_X33Y135 NUM_ARCS 3737 TILEPROP INT_R_X33Y135 NUM_SITES 1 TILEPROP INT_R_X33Y135 ROW 15 TILEPROP INT_R_X33Y135 SLR_REGION_ID 0 TILEPROP INT_R_X33Y135 TILE_PATTERN_IDX 4113 TILEPROP INT_R_X33Y135 TILE_TYPE INT_R TILEPROP INT_R_X33Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y135 TILE_X 53608 TILEPROP INT_R_X33Y135 TILE_Y 195896 TILEPROP INT_R_X33Y135 TYPE INT_R TILEPROP INT_R_X33Y136 CLASS tile TILEPROP INT_R_X33Y136 COLUMN 83 TILEPROP INT_R_X33Y136 DEVICE_ID 0 TILEPROP INT_R_X33Y136 FIRST_SITE_ID 1449 TILEPROP INT_R_X33Y136 GRID_POINT_X 83 TILEPROP INT_R_X33Y136 GRID_POINT_Y 14 TILEPROP INT_R_X33Y136 INDEX 1693 TILEPROP INT_R_X33Y136 INT_TILE_X 33 TILEPROP INT_R_X33Y136 INT_TILE_Y 13 TILEPROP INT_R_X33Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y136 IS_DCM_TILE 0 TILEPROP INT_R_X33Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y136 NAME INT_R_X33Y136 TILEPROP INT_R_X33Y136 NUM_ARCS 3737 TILEPROP INT_R_X33Y136 NUM_SITES 1 TILEPROP INT_R_X33Y136 ROW 14 TILEPROP INT_R_X33Y136 SLR_REGION_ID 0 TILEPROP INT_R_X33Y136 TILE_PATTERN_IDX 4074 TILEPROP INT_R_X33Y136 TILE_TYPE INT_R TILEPROP INT_R_X33Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y136 TILE_X 53608 TILEPROP INT_R_X33Y136 TILE_Y 199096 TILEPROP INT_R_X33Y136 TYPE INT_R TILEPROP INT_R_X33Y137 CLASS tile TILEPROP INT_R_X33Y137 COLUMN 83 TILEPROP INT_R_X33Y137 DEVICE_ID 0 TILEPROP INT_R_X33Y137 FIRST_SITE_ID 1321 TILEPROP INT_R_X33Y137 GRID_POINT_X 83 TILEPROP INT_R_X33Y137 GRID_POINT_Y 13 TILEPROP INT_R_X33Y137 INDEX 1578 TILEPROP INT_R_X33Y137 INT_TILE_X 33 TILEPROP INT_R_X33Y137 INT_TILE_Y 12 TILEPROP INT_R_X33Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y137 IS_DCM_TILE 0 TILEPROP INT_R_X33Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y137 NAME INT_R_X33Y137 TILEPROP INT_R_X33Y137 NUM_ARCS 3737 TILEPROP INT_R_X33Y137 NUM_SITES 1 TILEPROP INT_R_X33Y137 ROW 13 TILEPROP INT_R_X33Y137 SLR_REGION_ID 0 TILEPROP INT_R_X33Y137 TILE_PATTERN_IDX 4036 TILEPROP INT_R_X33Y137 TILE_TYPE INT_R TILEPROP INT_R_X33Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y137 TILE_X 53608 TILEPROP INT_R_X33Y137 TILE_Y 202296 TILEPROP INT_R_X33Y137 TYPE INT_R TILEPROP INT_R_X33Y138 CLASS tile TILEPROP INT_R_X33Y138 COLUMN 83 TILEPROP INT_R_X33Y138 DEVICE_ID 0 TILEPROP INT_R_X33Y138 FIRST_SITE_ID 1217 TILEPROP INT_R_X33Y138 GRID_POINT_X 83 TILEPROP INT_R_X33Y138 GRID_POINT_Y 12 TILEPROP INT_R_X33Y138 INDEX 1463 TILEPROP INT_R_X33Y138 INT_TILE_X 33 TILEPROP INT_R_X33Y138 INT_TILE_Y 11 TILEPROP INT_R_X33Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y138 IS_DCM_TILE 0 TILEPROP INT_R_X33Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y138 NAME INT_R_X33Y138 TILEPROP INT_R_X33Y138 NUM_ARCS 3737 TILEPROP INT_R_X33Y138 NUM_SITES 1 TILEPROP INT_R_X33Y138 ROW 12 TILEPROP INT_R_X33Y138 SLR_REGION_ID 0 TILEPROP INT_R_X33Y138 TILE_PATTERN_IDX 3997 TILEPROP INT_R_X33Y138 TILE_TYPE INT_R TILEPROP INT_R_X33Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y138 TILE_X 53608 TILEPROP INT_R_X33Y138 TILE_Y 205496 TILEPROP INT_R_X33Y138 TYPE INT_R TILEPROP INT_R_X33Y139 CLASS tile TILEPROP INT_R_X33Y139 COLUMN 83 TILEPROP INT_R_X33Y139 DEVICE_ID 0 TILEPROP INT_R_X33Y139 FIRST_SITE_ID 1121 TILEPROP INT_R_X33Y139 GRID_POINT_X 83 TILEPROP INT_R_X33Y139 GRID_POINT_Y 11 TILEPROP INT_R_X33Y139 INDEX 1348 TILEPROP INT_R_X33Y139 INT_TILE_X 33 TILEPROP INT_R_X33Y139 INT_TILE_Y 10 TILEPROP INT_R_X33Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y139 IS_DCM_TILE 0 TILEPROP INT_R_X33Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y139 NAME INT_R_X33Y139 TILEPROP INT_R_X33Y139 NUM_ARCS 3737 TILEPROP INT_R_X33Y139 NUM_SITES 1 TILEPROP INT_R_X33Y139 ROW 11 TILEPROP INT_R_X33Y139 SLR_REGION_ID 0 TILEPROP INT_R_X33Y139 TILE_PATTERN_IDX 3959 TILEPROP INT_R_X33Y139 TILE_TYPE INT_R TILEPROP INT_R_X33Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y139 TILE_X 53608 TILEPROP INT_R_X33Y139 TILE_Y 208696 TILEPROP INT_R_X33Y139 TYPE INT_R TILEPROP INT_R_X33Y140 CLASS tile TILEPROP INT_R_X33Y140 COLUMN 83 TILEPROP INT_R_X33Y140 DEVICE_ID 0 TILEPROP INT_R_X33Y140 FIRST_SITE_ID 1014 TILEPROP INT_R_X33Y140 GRID_POINT_X 83 TILEPROP INT_R_X33Y140 GRID_POINT_Y 10 TILEPROP INT_R_X33Y140 INDEX 1233 TILEPROP INT_R_X33Y140 INT_TILE_X 33 TILEPROP INT_R_X33Y140 INT_TILE_Y 9 TILEPROP INT_R_X33Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y140 IS_DCM_TILE 0 TILEPROP INT_R_X33Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y140 NAME INT_R_X33Y140 TILEPROP INT_R_X33Y140 NUM_ARCS 3737 TILEPROP INT_R_X33Y140 NUM_SITES 1 TILEPROP INT_R_X33Y140 ROW 10 TILEPROP INT_R_X33Y140 SLR_REGION_ID 0 TILEPROP INT_R_X33Y140 TILE_PATTERN_IDX 3920 TILEPROP INT_R_X33Y140 TILE_TYPE INT_R TILEPROP INT_R_X33Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y140 TILE_X 53608 TILEPROP INT_R_X33Y140 TILE_Y 211896 TILEPROP INT_R_X33Y140 TYPE INT_R TILEPROP INT_R_X33Y141 CLASS tile TILEPROP INT_R_X33Y141 COLUMN 83 TILEPROP INT_R_X33Y141 DEVICE_ID 0 TILEPROP INT_R_X33Y141 FIRST_SITE_ID 909 TILEPROP INT_R_X33Y141 GRID_POINT_X 83 TILEPROP INT_R_X33Y141 GRID_POINT_Y 9 TILEPROP INT_R_X33Y141 INDEX 1118 TILEPROP INT_R_X33Y141 INT_TILE_X 33 TILEPROP INT_R_X33Y141 INT_TILE_Y 8 TILEPROP INT_R_X33Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y141 IS_DCM_TILE 0 TILEPROP INT_R_X33Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y141 NAME INT_R_X33Y141 TILEPROP INT_R_X33Y141 NUM_ARCS 3737 TILEPROP INT_R_X33Y141 NUM_SITES 1 TILEPROP INT_R_X33Y141 ROW 9 TILEPROP INT_R_X33Y141 SLR_REGION_ID 0 TILEPROP INT_R_X33Y141 TILE_PATTERN_IDX 3882 TILEPROP INT_R_X33Y141 TILE_TYPE INT_R TILEPROP INT_R_X33Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y141 TILE_X 53608 TILEPROP INT_R_X33Y141 TILE_Y 215096 TILEPROP INT_R_X33Y141 TYPE INT_R TILEPROP INT_R_X33Y142 CLASS tile TILEPROP INT_R_X33Y142 COLUMN 83 TILEPROP INT_R_X33Y142 DEVICE_ID 0 TILEPROP INT_R_X33Y142 FIRST_SITE_ID 805 TILEPROP INT_R_X33Y142 GRID_POINT_X 83 TILEPROP INT_R_X33Y142 GRID_POINT_Y 8 TILEPROP INT_R_X33Y142 INDEX 1003 TILEPROP INT_R_X33Y142 INT_TILE_X 33 TILEPROP INT_R_X33Y142 INT_TILE_Y 7 TILEPROP INT_R_X33Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y142 IS_DCM_TILE 0 TILEPROP INT_R_X33Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y142 NAME INT_R_X33Y142 TILEPROP INT_R_X33Y142 NUM_ARCS 3737 TILEPROP INT_R_X33Y142 NUM_SITES 1 TILEPROP INT_R_X33Y142 ROW 8 TILEPROP INT_R_X33Y142 SLR_REGION_ID 0 TILEPROP INT_R_X33Y142 TILE_PATTERN_IDX 3843 TILEPROP INT_R_X33Y142 TILE_TYPE INT_R TILEPROP INT_R_X33Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y142 TILE_X 53608 TILEPROP INT_R_X33Y142 TILE_Y 218296 TILEPROP INT_R_X33Y142 TYPE INT_R TILEPROP INT_R_X33Y143 CLASS tile TILEPROP INT_R_X33Y143 COLUMN 83 TILEPROP INT_R_X33Y143 DEVICE_ID 0 TILEPROP INT_R_X33Y143 FIRST_SITE_ID 708 TILEPROP INT_R_X33Y143 GRID_POINT_X 83 TILEPROP INT_R_X33Y143 GRID_POINT_Y 7 TILEPROP INT_R_X33Y143 INDEX 888 TILEPROP INT_R_X33Y143 INT_TILE_X 33 TILEPROP INT_R_X33Y143 INT_TILE_Y 6 TILEPROP INT_R_X33Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y143 IS_DCM_TILE 0 TILEPROP INT_R_X33Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y143 NAME INT_R_X33Y143 TILEPROP INT_R_X33Y143 NUM_ARCS 3737 TILEPROP INT_R_X33Y143 NUM_SITES 1 TILEPROP INT_R_X33Y143 ROW 7 TILEPROP INT_R_X33Y143 SLR_REGION_ID 0 TILEPROP INT_R_X33Y143 TILE_PATTERN_IDX 3805 TILEPROP INT_R_X33Y143 TILE_TYPE INT_R TILEPROP INT_R_X33Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y143 TILE_X 53608 TILEPROP INT_R_X33Y143 TILE_Y 221496 TILEPROP INT_R_X33Y143 TYPE INT_R TILEPROP INT_R_X33Y144 CLASS tile TILEPROP INT_R_X33Y144 COLUMN 83 TILEPROP INT_R_X33Y144 DEVICE_ID 0 TILEPROP INT_R_X33Y144 FIRST_SITE_ID 597 TILEPROP INT_R_X33Y144 GRID_POINT_X 83 TILEPROP INT_R_X33Y144 GRID_POINT_Y 6 TILEPROP INT_R_X33Y144 INDEX 773 TILEPROP INT_R_X33Y144 INT_TILE_X 33 TILEPROP INT_R_X33Y144 INT_TILE_Y 5 TILEPROP INT_R_X33Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y144 IS_DCM_TILE 0 TILEPROP INT_R_X33Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y144 NAME INT_R_X33Y144 TILEPROP INT_R_X33Y144 NUM_ARCS 3737 TILEPROP INT_R_X33Y144 NUM_SITES 1 TILEPROP INT_R_X33Y144 ROW 6 TILEPROP INT_R_X33Y144 SLR_REGION_ID 0 TILEPROP INT_R_X33Y144 TILE_PATTERN_IDX 3766 TILEPROP INT_R_X33Y144 TILE_TYPE INT_R TILEPROP INT_R_X33Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y144 TILE_X 53608 TILEPROP INT_R_X33Y144 TILE_Y 224696 TILEPROP INT_R_X33Y144 TYPE INT_R TILEPROP INT_R_X33Y145 CLASS tile TILEPROP INT_R_X33Y145 COLUMN 83 TILEPROP INT_R_X33Y145 DEVICE_ID 0 TILEPROP INT_R_X33Y145 FIRST_SITE_ID 498 TILEPROP INT_R_X33Y145 GRID_POINT_X 83 TILEPROP INT_R_X33Y145 GRID_POINT_Y 5 TILEPROP INT_R_X33Y145 INDEX 658 TILEPROP INT_R_X33Y145 INT_TILE_X 33 TILEPROP INT_R_X33Y145 INT_TILE_Y 4 TILEPROP INT_R_X33Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y145 IS_DCM_TILE 0 TILEPROP INT_R_X33Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y145 NAME INT_R_X33Y145 TILEPROP INT_R_X33Y145 NUM_ARCS 3737 TILEPROP INT_R_X33Y145 NUM_SITES 1 TILEPROP INT_R_X33Y145 ROW 5 TILEPROP INT_R_X33Y145 SLR_REGION_ID 0 TILEPROP INT_R_X33Y145 TILE_PATTERN_IDX 3728 TILEPROP INT_R_X33Y145 TILE_TYPE INT_R TILEPROP INT_R_X33Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y145 TILE_X 53608 TILEPROP INT_R_X33Y145 TILE_Y 227896 TILEPROP INT_R_X33Y145 TYPE INT_R TILEPROP INT_R_X33Y146 CLASS tile TILEPROP INT_R_X33Y146 COLUMN 83 TILEPROP INT_R_X33Y146 DEVICE_ID 0 TILEPROP INT_R_X33Y146 FIRST_SITE_ID 385 TILEPROP INT_R_X33Y146 GRID_POINT_X 83 TILEPROP INT_R_X33Y146 GRID_POINT_Y 4 TILEPROP INT_R_X33Y146 INDEX 543 TILEPROP INT_R_X33Y146 INT_TILE_X 33 TILEPROP INT_R_X33Y146 INT_TILE_Y 3 TILEPROP INT_R_X33Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y146 IS_DCM_TILE 0 TILEPROP INT_R_X33Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y146 NAME INT_R_X33Y146 TILEPROP INT_R_X33Y146 NUM_ARCS 3737 TILEPROP INT_R_X33Y146 NUM_SITES 1 TILEPROP INT_R_X33Y146 ROW 4 TILEPROP INT_R_X33Y146 SLR_REGION_ID 0 TILEPROP INT_R_X33Y146 TILE_PATTERN_IDX 3689 TILEPROP INT_R_X33Y146 TILE_TYPE INT_R TILEPROP INT_R_X33Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y146 TILE_X 53608 TILEPROP INT_R_X33Y146 TILE_Y 231096 TILEPROP INT_R_X33Y146 TYPE INT_R TILEPROP INT_R_X33Y147 CLASS tile TILEPROP INT_R_X33Y147 COLUMN 83 TILEPROP INT_R_X33Y147 DEVICE_ID 0 TILEPROP INT_R_X33Y147 FIRST_SITE_ID 289 TILEPROP INT_R_X33Y147 GRID_POINT_X 83 TILEPROP INT_R_X33Y147 GRID_POINT_Y 3 TILEPROP INT_R_X33Y147 INDEX 428 TILEPROP INT_R_X33Y147 INT_TILE_X 33 TILEPROP INT_R_X33Y147 INT_TILE_Y 2 TILEPROP INT_R_X33Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y147 IS_DCM_TILE 0 TILEPROP INT_R_X33Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y147 NAME INT_R_X33Y147 TILEPROP INT_R_X33Y147 NUM_ARCS 3737 TILEPROP INT_R_X33Y147 NUM_SITES 1 TILEPROP INT_R_X33Y147 ROW 3 TILEPROP INT_R_X33Y147 SLR_REGION_ID 0 TILEPROP INT_R_X33Y147 TILE_PATTERN_IDX 3651 TILEPROP INT_R_X33Y147 TILE_TYPE INT_R TILEPROP INT_R_X33Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y147 TILE_X 53608 TILEPROP INT_R_X33Y147 TILE_Y 234296 TILEPROP INT_R_X33Y147 TYPE INT_R TILEPROP INT_R_X33Y148 CLASS tile TILEPROP INT_R_X33Y148 COLUMN 83 TILEPROP INT_R_X33Y148 DEVICE_ID 0 TILEPROP INT_R_X33Y148 FIRST_SITE_ID 185 TILEPROP INT_R_X33Y148 GRID_POINT_X 83 TILEPROP INT_R_X33Y148 GRID_POINT_Y 2 TILEPROP INT_R_X33Y148 INDEX 313 TILEPROP INT_R_X33Y148 INT_TILE_X 33 TILEPROP INT_R_X33Y148 INT_TILE_Y 1 TILEPROP INT_R_X33Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y148 IS_DCM_TILE 0 TILEPROP INT_R_X33Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y148 NAME INT_R_X33Y148 TILEPROP INT_R_X33Y148 NUM_ARCS 3737 TILEPROP INT_R_X33Y148 NUM_SITES 1 TILEPROP INT_R_X33Y148 ROW 2 TILEPROP INT_R_X33Y148 SLR_REGION_ID 0 TILEPROP INT_R_X33Y148 TILE_PATTERN_IDX 3612 TILEPROP INT_R_X33Y148 TILE_TYPE INT_R TILEPROP INT_R_X33Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y148 TILE_X 53608 TILEPROP INT_R_X33Y148 TILE_Y 237496 TILEPROP INT_R_X33Y148 TYPE INT_R TILEPROP INT_R_X33Y149 CLASS tile TILEPROP INT_R_X33Y149 COLUMN 83 TILEPROP INT_R_X33Y149 DEVICE_ID 0 TILEPROP INT_R_X33Y149 FIRST_SITE_ID 89 TILEPROP INT_R_X33Y149 GRID_POINT_X 83 TILEPROP INT_R_X33Y149 GRID_POINT_Y 1 TILEPROP INT_R_X33Y149 INDEX 198 TILEPROP INT_R_X33Y149 INT_TILE_X 33 TILEPROP INT_R_X33Y149 INT_TILE_Y 0 TILEPROP INT_R_X33Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X33Y149 IS_DCM_TILE 0 TILEPROP INT_R_X33Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X33Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X33Y149 NAME INT_R_X33Y149 TILEPROP INT_R_X33Y149 NUM_ARCS 3737 TILEPROP INT_R_X33Y149 NUM_SITES 1 TILEPROP INT_R_X33Y149 ROW 1 TILEPROP INT_R_X33Y149 SLR_REGION_ID 0 TILEPROP INT_R_X33Y149 TILE_PATTERN_IDX 3574 TILEPROP INT_R_X33Y149 TILE_TYPE INT_R TILEPROP INT_R_X33Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X33Y149 TILE_X 53608 TILEPROP INT_R_X33Y149 TILE_Y 240696 TILEPROP INT_R_X33Y149 TYPE INT_R TILEPROP INT_R_X35Y0 CLASS tile TILEPROP INT_R_X35Y0 COLUMN 89 TILEPROP INT_R_X35Y0 DEVICE_ID 0 TILEPROP INT_R_X35Y0 FIRST_SITE_ID 15789 TILEPROP INT_R_X35Y0 GRID_POINT_X 89 TILEPROP INT_R_X35Y0 GRID_POINT_Y 155 TILEPROP INT_R_X35Y0 INDEX 17914 TILEPROP INT_R_X35Y0 INT_TILE_X 35 TILEPROP INT_R_X35Y0 INT_TILE_Y 149 TILEPROP INT_R_X35Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y0 IS_DCM_TILE 0 TILEPROP INT_R_X35Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y0 NAME INT_R_X35Y0 TILEPROP INT_R_X35Y0 NUM_ARCS 3737 TILEPROP INT_R_X35Y0 NUM_SITES 1 TILEPROP INT_R_X35Y0 ROW 155 TILEPROP INT_R_X35Y0 SLR_REGION_ID 0 TILEPROP INT_R_X35Y0 TILE_PATTERN_IDX 8762 TILEPROP INT_R_X35Y0 TILE_TYPE INT_R TILEPROP INT_R_X35Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y0 TILE_X 62430 TILEPROP INT_R_X35Y0 TILE_Y -239672 TILEPROP INT_R_X35Y0 TYPE INT_R TILEPROP INT_R_X35Y1 CLASS tile TILEPROP INT_R_X35Y1 COLUMN 89 TILEPROP INT_R_X35Y1 DEVICE_ID 0 TILEPROP INT_R_X35Y1 FIRST_SITE_ID 15673 TILEPROP INT_R_X35Y1 GRID_POINT_X 89 TILEPROP INT_R_X35Y1 GRID_POINT_Y 154 TILEPROP INT_R_X35Y1 INDEX 17799 TILEPROP INT_R_X35Y1 INT_TILE_X 35 TILEPROP INT_R_X35Y1 INT_TILE_Y 148 TILEPROP INT_R_X35Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y1 IS_DCM_TILE 0 TILEPROP INT_R_X35Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y1 NAME INT_R_X35Y1 TILEPROP INT_R_X35Y1 NUM_ARCS 3737 TILEPROP INT_R_X35Y1 NUM_SITES 1 TILEPROP INT_R_X35Y1 ROW 154 TILEPROP INT_R_X35Y1 SLR_REGION_ID 0 TILEPROP INT_R_X35Y1 TILE_PATTERN_IDX 8731 TILEPROP INT_R_X35Y1 TILE_TYPE INT_R TILEPROP INT_R_X35Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y1 TILE_X 62430 TILEPROP INT_R_X35Y1 TILE_Y -236472 TILEPROP INT_R_X35Y1 TYPE INT_R TILEPROP INT_R_X35Y2 CLASS tile TILEPROP INT_R_X35Y2 COLUMN 89 TILEPROP INT_R_X35Y2 DEVICE_ID 0 TILEPROP INT_R_X35Y2 FIRST_SITE_ID 15572 TILEPROP INT_R_X35Y2 GRID_POINT_X 89 TILEPROP INT_R_X35Y2 GRID_POINT_Y 153 TILEPROP INT_R_X35Y2 INDEX 17684 TILEPROP INT_R_X35Y2 INT_TILE_X 35 TILEPROP INT_R_X35Y2 INT_TILE_Y 147 TILEPROP INT_R_X35Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y2 IS_DCM_TILE 0 TILEPROP INT_R_X35Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y2 NAME INT_R_X35Y2 TILEPROP INT_R_X35Y2 NUM_ARCS 3737 TILEPROP INT_R_X35Y2 NUM_SITES 1 TILEPROP INT_R_X35Y2 ROW 153 TILEPROP INT_R_X35Y2 SLR_REGION_ID 0 TILEPROP INT_R_X35Y2 TILE_PATTERN_IDX 8701 TILEPROP INT_R_X35Y2 TILE_TYPE INT_R TILEPROP INT_R_X35Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y2 TILE_X 62430 TILEPROP INT_R_X35Y2 TILE_Y -233272 TILEPROP INT_R_X35Y2 TYPE INT_R TILEPROP INT_R_X35Y3 CLASS tile TILEPROP INT_R_X35Y3 COLUMN 89 TILEPROP INT_R_X35Y3 DEVICE_ID 0 TILEPROP INT_R_X35Y3 FIRST_SITE_ID 15472 TILEPROP INT_R_X35Y3 GRID_POINT_X 89 TILEPROP INT_R_X35Y3 GRID_POINT_Y 152 TILEPROP INT_R_X35Y3 INDEX 17569 TILEPROP INT_R_X35Y3 INT_TILE_X 35 TILEPROP INT_R_X35Y3 INT_TILE_Y 146 TILEPROP INT_R_X35Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y3 IS_DCM_TILE 0 TILEPROP INT_R_X35Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y3 NAME INT_R_X35Y3 TILEPROP INT_R_X35Y3 NUM_ARCS 3737 TILEPROP INT_R_X35Y3 NUM_SITES 1 TILEPROP INT_R_X35Y3 ROW 152 TILEPROP INT_R_X35Y3 SLR_REGION_ID 0 TILEPROP INT_R_X35Y3 TILE_PATTERN_IDX 8670 TILEPROP INT_R_X35Y3 TILE_TYPE INT_R TILEPROP INT_R_X35Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y3 TILE_X 62430 TILEPROP INT_R_X35Y3 TILE_Y -230072 TILEPROP INT_R_X35Y3 TYPE INT_R TILEPROP INT_R_X35Y4 CLASS tile TILEPROP INT_R_X35Y4 COLUMN 89 TILEPROP INT_R_X35Y4 DEVICE_ID 0 TILEPROP INT_R_X35Y4 FIRST_SITE_ID 15372 TILEPROP INT_R_X35Y4 GRID_POINT_X 89 TILEPROP INT_R_X35Y4 GRID_POINT_Y 151 TILEPROP INT_R_X35Y4 INDEX 17454 TILEPROP INT_R_X35Y4 INT_TILE_X 35 TILEPROP INT_R_X35Y4 INT_TILE_Y 145 TILEPROP INT_R_X35Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y4 IS_DCM_TILE 0 TILEPROP INT_R_X35Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y4 NAME INT_R_X35Y4 TILEPROP INT_R_X35Y4 NUM_ARCS 3737 TILEPROP INT_R_X35Y4 NUM_SITES 1 TILEPROP INT_R_X35Y4 ROW 151 TILEPROP INT_R_X35Y4 SLR_REGION_ID 0 TILEPROP INT_R_X35Y4 TILE_PATTERN_IDX 8640 TILEPROP INT_R_X35Y4 TILE_TYPE INT_R TILEPROP INT_R_X35Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y4 TILE_X 62430 TILEPROP INT_R_X35Y4 TILE_Y -226872 TILEPROP INT_R_X35Y4 TYPE INT_R TILEPROP INT_R_X35Y5 CLASS tile TILEPROP INT_R_X35Y5 COLUMN 89 TILEPROP INT_R_X35Y5 DEVICE_ID 0 TILEPROP INT_R_X35Y5 FIRST_SITE_ID 15269 TILEPROP INT_R_X35Y5 GRID_POINT_X 89 TILEPROP INT_R_X35Y5 GRID_POINT_Y 150 TILEPROP INT_R_X35Y5 INDEX 17339 TILEPROP INT_R_X35Y5 INT_TILE_X 35 TILEPROP INT_R_X35Y5 INT_TILE_Y 144 TILEPROP INT_R_X35Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y5 IS_DCM_TILE 0 TILEPROP INT_R_X35Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y5 NAME INT_R_X35Y5 TILEPROP INT_R_X35Y5 NUM_ARCS 3737 TILEPROP INT_R_X35Y5 NUM_SITES 1 TILEPROP INT_R_X35Y5 ROW 150 TILEPROP INT_R_X35Y5 SLR_REGION_ID 0 TILEPROP INT_R_X35Y5 TILE_PATTERN_IDX 8609 TILEPROP INT_R_X35Y5 TILE_TYPE INT_R TILEPROP INT_R_X35Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y5 TILE_X 62430 TILEPROP INT_R_X35Y5 TILE_Y -223672 TILEPROP INT_R_X35Y5 TYPE INT_R TILEPROP INT_R_X35Y6 CLASS tile TILEPROP INT_R_X35Y6 COLUMN 89 TILEPROP INT_R_X35Y6 DEVICE_ID 0 TILEPROP INT_R_X35Y6 FIRST_SITE_ID 15157 TILEPROP INT_R_X35Y6 GRID_POINT_X 89 TILEPROP INT_R_X35Y6 GRID_POINT_Y 149 TILEPROP INT_R_X35Y6 INDEX 17224 TILEPROP INT_R_X35Y6 INT_TILE_X 35 TILEPROP INT_R_X35Y6 INT_TILE_Y 143 TILEPROP INT_R_X35Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y6 IS_DCM_TILE 0 TILEPROP INT_R_X35Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y6 NAME INT_R_X35Y6 TILEPROP INT_R_X35Y6 NUM_ARCS 3737 TILEPROP INT_R_X35Y6 NUM_SITES 1 TILEPROP INT_R_X35Y6 ROW 149 TILEPROP INT_R_X35Y6 SLR_REGION_ID 0 TILEPROP INT_R_X35Y6 TILE_PATTERN_IDX 8579 TILEPROP INT_R_X35Y6 TILE_TYPE INT_R TILEPROP INT_R_X35Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y6 TILE_X 62430 TILEPROP INT_R_X35Y6 TILE_Y -220472 TILEPROP INT_R_X35Y6 TYPE INT_R TILEPROP INT_R_X35Y7 CLASS tile TILEPROP INT_R_X35Y7 COLUMN 89 TILEPROP INT_R_X35Y7 DEVICE_ID 0 TILEPROP INT_R_X35Y7 FIRST_SITE_ID 15055 TILEPROP INT_R_X35Y7 GRID_POINT_X 89 TILEPROP INT_R_X35Y7 GRID_POINT_Y 148 TILEPROP INT_R_X35Y7 INDEX 17109 TILEPROP INT_R_X35Y7 INT_TILE_X 35 TILEPROP INT_R_X35Y7 INT_TILE_Y 142 TILEPROP INT_R_X35Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y7 IS_DCM_TILE 0 TILEPROP INT_R_X35Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y7 NAME INT_R_X35Y7 TILEPROP INT_R_X35Y7 NUM_ARCS 3737 TILEPROP INT_R_X35Y7 NUM_SITES 1 TILEPROP INT_R_X35Y7 ROW 148 TILEPROP INT_R_X35Y7 SLR_REGION_ID 0 TILEPROP INT_R_X35Y7 TILE_PATTERN_IDX 8548 TILEPROP INT_R_X35Y7 TILE_TYPE INT_R TILEPROP INT_R_X35Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y7 TILE_X 62430 TILEPROP INT_R_X35Y7 TILE_Y -217272 TILEPROP INT_R_X35Y7 TYPE INT_R TILEPROP INT_R_X35Y8 CLASS tile TILEPROP INT_R_X35Y8 COLUMN 89 TILEPROP INT_R_X35Y8 DEVICE_ID 0 TILEPROP INT_R_X35Y8 FIRST_SITE_ID 14952 TILEPROP INT_R_X35Y8 GRID_POINT_X 89 TILEPROP INT_R_X35Y8 GRID_POINT_Y 147 TILEPROP INT_R_X35Y8 INDEX 16994 TILEPROP INT_R_X35Y8 INT_TILE_X 35 TILEPROP INT_R_X35Y8 INT_TILE_Y 141 TILEPROP INT_R_X35Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y8 IS_DCM_TILE 0 TILEPROP INT_R_X35Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y8 NAME INT_R_X35Y8 TILEPROP INT_R_X35Y8 NUM_ARCS 3737 TILEPROP INT_R_X35Y8 NUM_SITES 1 TILEPROP INT_R_X35Y8 ROW 147 TILEPROP INT_R_X35Y8 SLR_REGION_ID 0 TILEPROP INT_R_X35Y8 TILE_PATTERN_IDX 8518 TILEPROP INT_R_X35Y8 TILE_TYPE INT_R TILEPROP INT_R_X35Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y8 TILE_X 62430 TILEPROP INT_R_X35Y8 TILE_Y -214072 TILEPROP INT_R_X35Y8 TYPE INT_R TILEPROP INT_R_X35Y9 CLASS tile TILEPROP INT_R_X35Y9 COLUMN 89 TILEPROP INT_R_X35Y9 DEVICE_ID 0 TILEPROP INT_R_X35Y9 FIRST_SITE_ID 14851 TILEPROP INT_R_X35Y9 GRID_POINT_X 89 TILEPROP INT_R_X35Y9 GRID_POINT_Y 146 TILEPROP INT_R_X35Y9 INDEX 16879 TILEPROP INT_R_X35Y9 INT_TILE_X 35 TILEPROP INT_R_X35Y9 INT_TILE_Y 140 TILEPROP INT_R_X35Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y9 IS_DCM_TILE 0 TILEPROP INT_R_X35Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y9 NAME INT_R_X35Y9 TILEPROP INT_R_X35Y9 NUM_ARCS 3737 TILEPROP INT_R_X35Y9 NUM_SITES 1 TILEPROP INT_R_X35Y9 ROW 146 TILEPROP INT_R_X35Y9 SLR_REGION_ID 0 TILEPROP INT_R_X35Y9 TILE_PATTERN_IDX 8487 TILEPROP INT_R_X35Y9 TILE_TYPE INT_R TILEPROP INT_R_X35Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y9 TILE_X 62430 TILEPROP INT_R_X35Y9 TILE_Y -210872 TILEPROP INT_R_X35Y9 TYPE INT_R TILEPROP INT_R_X35Y10 CLASS tile TILEPROP INT_R_X35Y10 COLUMN 89 TILEPROP INT_R_X35Y10 DEVICE_ID 0 TILEPROP INT_R_X35Y10 FIRST_SITE_ID 14748 TILEPROP INT_R_X35Y10 GRID_POINT_X 89 TILEPROP INT_R_X35Y10 GRID_POINT_Y 145 TILEPROP INT_R_X35Y10 INDEX 16764 TILEPROP INT_R_X35Y10 INT_TILE_X 35 TILEPROP INT_R_X35Y10 INT_TILE_Y 139 TILEPROP INT_R_X35Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y10 IS_DCM_TILE 0 TILEPROP INT_R_X35Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y10 NAME INT_R_X35Y10 TILEPROP INT_R_X35Y10 NUM_ARCS 3737 TILEPROP INT_R_X35Y10 NUM_SITES 1 TILEPROP INT_R_X35Y10 ROW 145 TILEPROP INT_R_X35Y10 SLR_REGION_ID 0 TILEPROP INT_R_X35Y10 TILE_PATTERN_IDX 8457 TILEPROP INT_R_X35Y10 TILE_TYPE INT_R TILEPROP INT_R_X35Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y10 TILE_X 62430 TILEPROP INT_R_X35Y10 TILE_Y -207672 TILEPROP INT_R_X35Y10 TYPE INT_R TILEPROP INT_R_X35Y11 CLASS tile TILEPROP INT_R_X35Y11 COLUMN 89 TILEPROP INT_R_X35Y11 DEVICE_ID 0 TILEPROP INT_R_X35Y11 FIRST_SITE_ID 14636 TILEPROP INT_R_X35Y11 GRID_POINT_X 89 TILEPROP INT_R_X35Y11 GRID_POINT_Y 144 TILEPROP INT_R_X35Y11 INDEX 16649 TILEPROP INT_R_X35Y11 INT_TILE_X 35 TILEPROP INT_R_X35Y11 INT_TILE_Y 138 TILEPROP INT_R_X35Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y11 IS_DCM_TILE 0 TILEPROP INT_R_X35Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y11 NAME INT_R_X35Y11 TILEPROP INT_R_X35Y11 NUM_ARCS 3737 TILEPROP INT_R_X35Y11 NUM_SITES 1 TILEPROP INT_R_X35Y11 ROW 144 TILEPROP INT_R_X35Y11 SLR_REGION_ID 0 TILEPROP INT_R_X35Y11 TILE_PATTERN_IDX 8426 TILEPROP INT_R_X35Y11 TILE_TYPE INT_R TILEPROP INT_R_X35Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y11 TILE_X 62430 TILEPROP INT_R_X35Y11 TILE_Y -204472 TILEPROP INT_R_X35Y11 TYPE INT_R TILEPROP INT_R_X35Y12 CLASS tile TILEPROP INT_R_X35Y12 COLUMN 89 TILEPROP INT_R_X35Y12 DEVICE_ID 0 TILEPROP INT_R_X35Y12 FIRST_SITE_ID 14536 TILEPROP INT_R_X35Y12 GRID_POINT_X 89 TILEPROP INT_R_X35Y12 GRID_POINT_Y 143 TILEPROP INT_R_X35Y12 INDEX 16534 TILEPROP INT_R_X35Y12 INT_TILE_X 35 TILEPROP INT_R_X35Y12 INT_TILE_Y 137 TILEPROP INT_R_X35Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y12 IS_DCM_TILE 0 TILEPROP INT_R_X35Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y12 NAME INT_R_X35Y12 TILEPROP INT_R_X35Y12 NUM_ARCS 3737 TILEPROP INT_R_X35Y12 NUM_SITES 1 TILEPROP INT_R_X35Y12 ROW 143 TILEPROP INT_R_X35Y12 SLR_REGION_ID 0 TILEPROP INT_R_X35Y12 TILE_PATTERN_IDX 8396 TILEPROP INT_R_X35Y12 TILE_TYPE INT_R TILEPROP INT_R_X35Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y12 TILE_X 62430 TILEPROP INT_R_X35Y12 TILE_Y -201272 TILEPROP INT_R_X35Y12 TYPE INT_R TILEPROP INT_R_X35Y13 CLASS tile TILEPROP INT_R_X35Y13 COLUMN 89 TILEPROP INT_R_X35Y13 DEVICE_ID 0 TILEPROP INT_R_X35Y13 FIRST_SITE_ID 14404 TILEPROP INT_R_X35Y13 GRID_POINT_X 89 TILEPROP INT_R_X35Y13 GRID_POINT_Y 142 TILEPROP INT_R_X35Y13 INDEX 16419 TILEPROP INT_R_X35Y13 INT_TILE_X 35 TILEPROP INT_R_X35Y13 INT_TILE_Y 136 TILEPROP INT_R_X35Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y13 IS_DCM_TILE 0 TILEPROP INT_R_X35Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y13 NAME INT_R_X35Y13 TILEPROP INT_R_X35Y13 NUM_ARCS 3737 TILEPROP INT_R_X35Y13 NUM_SITES 1 TILEPROP INT_R_X35Y13 ROW 142 TILEPROP INT_R_X35Y13 SLR_REGION_ID 0 TILEPROP INT_R_X35Y13 TILE_PATTERN_IDX 8365 TILEPROP INT_R_X35Y13 TILE_TYPE INT_R TILEPROP INT_R_X35Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y13 TILE_X 62430 TILEPROP INT_R_X35Y13 TILE_Y -198072 TILEPROP INT_R_X35Y13 TYPE INT_R TILEPROP INT_R_X35Y14 CLASS tile TILEPROP INT_R_X35Y14 COLUMN 89 TILEPROP INT_R_X35Y14 DEVICE_ID 0 TILEPROP INT_R_X35Y14 FIRST_SITE_ID 14304 TILEPROP INT_R_X35Y14 GRID_POINT_X 89 TILEPROP INT_R_X35Y14 GRID_POINT_Y 141 TILEPROP INT_R_X35Y14 INDEX 16304 TILEPROP INT_R_X35Y14 INT_TILE_X 35 TILEPROP INT_R_X35Y14 INT_TILE_Y 135 TILEPROP INT_R_X35Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y14 IS_DCM_TILE 0 TILEPROP INT_R_X35Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y14 NAME INT_R_X35Y14 TILEPROP INT_R_X35Y14 NUM_ARCS 3737 TILEPROP INT_R_X35Y14 NUM_SITES 1 TILEPROP INT_R_X35Y14 ROW 141 TILEPROP INT_R_X35Y14 SLR_REGION_ID 0 TILEPROP INT_R_X35Y14 TILE_PATTERN_IDX 8335 TILEPROP INT_R_X35Y14 TILE_TYPE INT_R TILEPROP INT_R_X35Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y14 TILE_X 62430 TILEPROP INT_R_X35Y14 TILE_Y -194872 TILEPROP INT_R_X35Y14 TYPE INT_R TILEPROP INT_R_X35Y15 CLASS tile TILEPROP INT_R_X35Y15 COLUMN 89 TILEPROP INT_R_X35Y15 DEVICE_ID 0 TILEPROP INT_R_X35Y15 FIRST_SITE_ID 14201 TILEPROP INT_R_X35Y15 GRID_POINT_X 89 TILEPROP INT_R_X35Y15 GRID_POINT_Y 140 TILEPROP INT_R_X35Y15 INDEX 16189 TILEPROP INT_R_X35Y15 INT_TILE_X 35 TILEPROP INT_R_X35Y15 INT_TILE_Y 134 TILEPROP INT_R_X35Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y15 IS_DCM_TILE 0 TILEPROP INT_R_X35Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y15 NAME INT_R_X35Y15 TILEPROP INT_R_X35Y15 NUM_ARCS 3737 TILEPROP INT_R_X35Y15 NUM_SITES 1 TILEPROP INT_R_X35Y15 ROW 140 TILEPROP INT_R_X35Y15 SLR_REGION_ID 0 TILEPROP INT_R_X35Y15 TILE_PATTERN_IDX 8304 TILEPROP INT_R_X35Y15 TILE_TYPE INT_R TILEPROP INT_R_X35Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y15 TILE_X 62430 TILEPROP INT_R_X35Y15 TILE_Y -191672 TILEPROP INT_R_X35Y15 TYPE INT_R TILEPROP INT_R_X35Y16 CLASS tile TILEPROP INT_R_X35Y16 COLUMN 89 TILEPROP INT_R_X35Y16 DEVICE_ID 0 TILEPROP INT_R_X35Y16 FIRST_SITE_ID 14089 TILEPROP INT_R_X35Y16 GRID_POINT_X 89 TILEPROP INT_R_X35Y16 GRID_POINT_Y 139 TILEPROP INT_R_X35Y16 INDEX 16074 TILEPROP INT_R_X35Y16 INT_TILE_X 35 TILEPROP INT_R_X35Y16 INT_TILE_Y 133 TILEPROP INT_R_X35Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y16 IS_DCM_TILE 0 TILEPROP INT_R_X35Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y16 NAME INT_R_X35Y16 TILEPROP INT_R_X35Y16 NUM_ARCS 3737 TILEPROP INT_R_X35Y16 NUM_SITES 1 TILEPROP INT_R_X35Y16 ROW 139 TILEPROP INT_R_X35Y16 SLR_REGION_ID 0 TILEPROP INT_R_X35Y16 TILE_PATTERN_IDX 8274 TILEPROP INT_R_X35Y16 TILE_TYPE INT_R TILEPROP INT_R_X35Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y16 TILE_X 62430 TILEPROP INT_R_X35Y16 TILE_Y -188472 TILEPROP INT_R_X35Y16 TYPE INT_R TILEPROP INT_R_X35Y17 CLASS tile TILEPROP INT_R_X35Y17 COLUMN 89 TILEPROP INT_R_X35Y17 DEVICE_ID 0 TILEPROP INT_R_X35Y17 FIRST_SITE_ID 13985 TILEPROP INT_R_X35Y17 GRID_POINT_X 89 TILEPROP INT_R_X35Y17 GRID_POINT_Y 138 TILEPROP INT_R_X35Y17 INDEX 15959 TILEPROP INT_R_X35Y17 INT_TILE_X 35 TILEPROP INT_R_X35Y17 INT_TILE_Y 132 TILEPROP INT_R_X35Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y17 IS_DCM_TILE 0 TILEPROP INT_R_X35Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y17 NAME INT_R_X35Y17 TILEPROP INT_R_X35Y17 NUM_ARCS 3737 TILEPROP INT_R_X35Y17 NUM_SITES 1 TILEPROP INT_R_X35Y17 ROW 138 TILEPROP INT_R_X35Y17 SLR_REGION_ID 0 TILEPROP INT_R_X35Y17 TILE_PATTERN_IDX 8242 TILEPROP INT_R_X35Y17 TILE_TYPE INT_R TILEPROP INT_R_X35Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y17 TILE_X 62430 TILEPROP INT_R_X35Y17 TILE_Y -185272 TILEPROP INT_R_X35Y17 TYPE INT_R TILEPROP INT_R_X35Y18 CLASS tile TILEPROP INT_R_X35Y18 COLUMN 89 TILEPROP INT_R_X35Y18 DEVICE_ID 0 TILEPROP INT_R_X35Y18 FIRST_SITE_ID 13880 TILEPROP INT_R_X35Y18 GRID_POINT_X 89 TILEPROP INT_R_X35Y18 GRID_POINT_Y 137 TILEPROP INT_R_X35Y18 INDEX 15844 TILEPROP INT_R_X35Y18 INT_TILE_X 35 TILEPROP INT_R_X35Y18 INT_TILE_Y 131 TILEPROP INT_R_X35Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y18 IS_DCM_TILE 0 TILEPROP INT_R_X35Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y18 NAME INT_R_X35Y18 TILEPROP INT_R_X35Y18 NUM_ARCS 3737 TILEPROP INT_R_X35Y18 NUM_SITES 1 TILEPROP INT_R_X35Y18 ROW 137 TILEPROP INT_R_X35Y18 SLR_REGION_ID 0 TILEPROP INT_R_X35Y18 TILE_PATTERN_IDX 6568 TILEPROP INT_R_X35Y18 TILE_TYPE INT_R TILEPROP INT_R_X35Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y18 TILE_X 62430 TILEPROP INT_R_X35Y18 TILE_Y -182072 TILEPROP INT_R_X35Y18 TYPE INT_R TILEPROP INT_R_X35Y19 CLASS tile TILEPROP INT_R_X35Y19 COLUMN 89 TILEPROP INT_R_X35Y19 DEVICE_ID 0 TILEPROP INT_R_X35Y19 FIRST_SITE_ID 13778 TILEPROP INT_R_X35Y19 GRID_POINT_X 89 TILEPROP INT_R_X35Y19 GRID_POINT_Y 136 TILEPROP INT_R_X35Y19 INDEX 15729 TILEPROP INT_R_X35Y19 INT_TILE_X 35 TILEPROP INT_R_X35Y19 INT_TILE_Y 130 TILEPROP INT_R_X35Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y19 IS_DCM_TILE 0 TILEPROP INT_R_X35Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y19 NAME INT_R_X35Y19 TILEPROP INT_R_X35Y19 NUM_ARCS 3737 TILEPROP INT_R_X35Y19 NUM_SITES 1 TILEPROP INT_R_X35Y19 ROW 136 TILEPROP INT_R_X35Y19 SLR_REGION_ID 0 TILEPROP INT_R_X35Y19 TILE_PATTERN_IDX 6537 TILEPROP INT_R_X35Y19 TILE_TYPE INT_R TILEPROP INT_R_X35Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y19 TILE_X 62430 TILEPROP INT_R_X35Y19 TILE_Y -178872 TILEPROP INT_R_X35Y19 TYPE INT_R TILEPROP INT_R_X35Y20 CLASS tile TILEPROP INT_R_X35Y20 COLUMN 89 TILEPROP INT_R_X35Y20 DEVICE_ID 0 TILEPROP INT_R_X35Y20 FIRST_SITE_ID 13673 TILEPROP INT_R_X35Y20 GRID_POINT_X 89 TILEPROP INT_R_X35Y20 GRID_POINT_Y 135 TILEPROP INT_R_X35Y20 INDEX 15614 TILEPROP INT_R_X35Y20 INT_TILE_X 35 TILEPROP INT_R_X35Y20 INT_TILE_Y 129 TILEPROP INT_R_X35Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y20 IS_DCM_TILE 0 TILEPROP INT_R_X35Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y20 NAME INT_R_X35Y20 TILEPROP INT_R_X35Y20 NUM_ARCS 3737 TILEPROP INT_R_X35Y20 NUM_SITES 1 TILEPROP INT_R_X35Y20 ROW 135 TILEPROP INT_R_X35Y20 SLR_REGION_ID 0 TILEPROP INT_R_X35Y20 TILE_PATTERN_IDX 6506 TILEPROP INT_R_X35Y20 TILE_TYPE INT_R TILEPROP INT_R_X35Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y20 TILE_X 62430 TILEPROP INT_R_X35Y20 TILE_Y -175672 TILEPROP INT_R_X35Y20 TYPE INT_R TILEPROP INT_R_X35Y21 CLASS tile TILEPROP INT_R_X35Y21 COLUMN 89 TILEPROP INT_R_X35Y21 DEVICE_ID 0 TILEPROP INT_R_X35Y21 FIRST_SITE_ID 13561 TILEPROP INT_R_X35Y21 GRID_POINT_X 89 TILEPROP INT_R_X35Y21 GRID_POINT_Y 134 TILEPROP INT_R_X35Y21 INDEX 15499 TILEPROP INT_R_X35Y21 INT_TILE_X 35 TILEPROP INT_R_X35Y21 INT_TILE_Y 128 TILEPROP INT_R_X35Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y21 IS_DCM_TILE 0 TILEPROP INT_R_X35Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y21 NAME INT_R_X35Y21 TILEPROP INT_R_X35Y21 NUM_ARCS 3737 TILEPROP INT_R_X35Y21 NUM_SITES 1 TILEPROP INT_R_X35Y21 ROW 134 TILEPROP INT_R_X35Y21 SLR_REGION_ID 0 TILEPROP INT_R_X35Y21 TILE_PATTERN_IDX 8145 TILEPROP INT_R_X35Y21 TILE_TYPE INT_R TILEPROP INT_R_X35Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y21 TILE_X 62430 TILEPROP INT_R_X35Y21 TILE_Y -172472 TILEPROP INT_R_X35Y21 TYPE INT_R TILEPROP INT_R_X35Y22 CLASS tile TILEPROP INT_R_X35Y22 COLUMN 89 TILEPROP INT_R_X35Y22 DEVICE_ID 0 TILEPROP INT_R_X35Y22 FIRST_SITE_ID 13461 TILEPROP INT_R_X35Y22 GRID_POINT_X 89 TILEPROP INT_R_X35Y22 GRID_POINT_Y 133 TILEPROP INT_R_X35Y22 INDEX 15384 TILEPROP INT_R_X35Y22 INT_TILE_X 35 TILEPROP INT_R_X35Y22 INT_TILE_Y 127 TILEPROP INT_R_X35Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y22 IS_DCM_TILE 0 TILEPROP INT_R_X35Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y22 NAME INT_R_X35Y22 TILEPROP INT_R_X35Y22 NUM_ARCS 3737 TILEPROP INT_R_X35Y22 NUM_SITES 1 TILEPROP INT_R_X35Y22 ROW 133 TILEPROP INT_R_X35Y22 SLR_REGION_ID 0 TILEPROP INT_R_X35Y22 TILE_PATTERN_IDX 8117 TILEPROP INT_R_X35Y22 TILE_TYPE INT_R TILEPROP INT_R_X35Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y22 TILE_X 62430 TILEPROP INT_R_X35Y22 TILE_Y -169272 TILEPROP INT_R_X35Y22 TYPE INT_R TILEPROP INT_R_X35Y23 CLASS tile TILEPROP INT_R_X35Y23 COLUMN 89 TILEPROP INT_R_X35Y23 DEVICE_ID 0 TILEPROP INT_R_X35Y23 FIRST_SITE_ID 13361 TILEPROP INT_R_X35Y23 GRID_POINT_X 89 TILEPROP INT_R_X35Y23 GRID_POINT_Y 132 TILEPROP INT_R_X35Y23 INDEX 15269 TILEPROP INT_R_X35Y23 INT_TILE_X 35 TILEPROP INT_R_X35Y23 INT_TILE_Y 126 TILEPROP INT_R_X35Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y23 IS_DCM_TILE 0 TILEPROP INT_R_X35Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y23 NAME INT_R_X35Y23 TILEPROP INT_R_X35Y23 NUM_ARCS 3737 TILEPROP INT_R_X35Y23 NUM_SITES 1 TILEPROP INT_R_X35Y23 ROW 132 TILEPROP INT_R_X35Y23 SLR_REGION_ID 0 TILEPROP INT_R_X35Y23 TILE_PATTERN_IDX 8088 TILEPROP INT_R_X35Y23 TILE_TYPE INT_R TILEPROP INT_R_X35Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y23 TILE_X 62430 TILEPROP INT_R_X35Y23 TILE_Y -166072 TILEPROP INT_R_X35Y23 TYPE INT_R TILEPROP INT_R_X35Y24 CLASS tile TILEPROP INT_R_X35Y24 COLUMN 89 TILEPROP INT_R_X35Y24 DEVICE_ID 0 TILEPROP INT_R_X35Y24 FIRST_SITE_ID 13261 TILEPROP INT_R_X35Y24 GRID_POINT_X 89 TILEPROP INT_R_X35Y24 GRID_POINT_Y 131 TILEPROP INT_R_X35Y24 INDEX 15154 TILEPROP INT_R_X35Y24 INT_TILE_X 35 TILEPROP INT_R_X35Y24 INT_TILE_Y 125 TILEPROP INT_R_X35Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y24 IS_DCM_TILE 0 TILEPROP INT_R_X35Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y24 NAME INT_R_X35Y24 TILEPROP INT_R_X35Y24 NUM_ARCS 3737 TILEPROP INT_R_X35Y24 NUM_SITES 1 TILEPROP INT_R_X35Y24 ROW 131 TILEPROP INT_R_X35Y24 SLR_REGION_ID 0 TILEPROP INT_R_X35Y24 TILE_PATTERN_IDX 8060 TILEPROP INT_R_X35Y24 TILE_TYPE INT_R TILEPROP INT_R_X35Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y24 TILE_X 62430 TILEPROP INT_R_X35Y24 TILE_Y -162872 TILEPROP INT_R_X35Y24 TYPE INT_R TILEPROP INT_R_X35Y25 CLASS tile TILEPROP INT_R_X35Y25 COLUMN 89 TILEPROP INT_R_X35Y25 DEVICE_ID 0 TILEPROP INT_R_X35Y25 FIRST_SITE_ID 13075 TILEPROP INT_R_X35Y25 GRID_POINT_X 89 TILEPROP INT_R_X35Y25 GRID_POINT_Y 129 TILEPROP INT_R_X35Y25 INDEX 14924 TILEPROP INT_R_X35Y25 INT_TILE_X 35 TILEPROP INT_R_X35Y25 INT_TILE_Y 124 TILEPROP INT_R_X35Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y25 IS_DCM_TILE 0 TILEPROP INT_R_X35Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y25 NAME INT_R_X35Y25 TILEPROP INT_R_X35Y25 NUM_ARCS 3737 TILEPROP INT_R_X35Y25 NUM_SITES 1 TILEPROP INT_R_X35Y25 ROW 129 TILEPROP INT_R_X35Y25 SLR_REGION_ID 0 TILEPROP INT_R_X35Y25 TILE_PATTERN_IDX 7988 TILEPROP INT_R_X35Y25 TILE_TYPE INT_R TILEPROP INT_R_X35Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y25 TILE_X 62430 TILEPROP INT_R_X35Y25 TILE_Y -158648 TILEPROP INT_R_X35Y25 TYPE INT_R TILEPROP INT_R_X35Y26 CLASS tile TILEPROP INT_R_X35Y26 COLUMN 89 TILEPROP INT_R_X35Y26 DEVICE_ID 0 TILEPROP INT_R_X35Y26 FIRST_SITE_ID 12963 TILEPROP INT_R_X35Y26 GRID_POINT_X 89 TILEPROP INT_R_X35Y26 GRID_POINT_Y 128 TILEPROP INT_R_X35Y26 INDEX 14809 TILEPROP INT_R_X35Y26 INT_TILE_X 35 TILEPROP INT_R_X35Y26 INT_TILE_Y 123 TILEPROP INT_R_X35Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y26 IS_DCM_TILE 0 TILEPROP INT_R_X35Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y26 NAME INT_R_X35Y26 TILEPROP INT_R_X35Y26 NUM_ARCS 3737 TILEPROP INT_R_X35Y26 NUM_SITES 1 TILEPROP INT_R_X35Y26 ROW 128 TILEPROP INT_R_X35Y26 SLR_REGION_ID 0 TILEPROP INT_R_X35Y26 TILE_PATTERN_IDX 7960 TILEPROP INT_R_X35Y26 TILE_TYPE INT_R TILEPROP INT_R_X35Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y26 TILE_X 62430 TILEPROP INT_R_X35Y26 TILE_Y -155448 TILEPROP INT_R_X35Y26 TYPE INT_R TILEPROP INT_R_X35Y27 CLASS tile TILEPROP INT_R_X35Y27 COLUMN 89 TILEPROP INT_R_X35Y27 DEVICE_ID 0 TILEPROP INT_R_X35Y27 FIRST_SITE_ID 12863 TILEPROP INT_R_X35Y27 GRID_POINT_X 89 TILEPROP INT_R_X35Y27 GRID_POINT_Y 127 TILEPROP INT_R_X35Y27 INDEX 14694 TILEPROP INT_R_X35Y27 INT_TILE_X 35 TILEPROP INT_R_X35Y27 INT_TILE_Y 122 TILEPROP INT_R_X35Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y27 IS_DCM_TILE 0 TILEPROP INT_R_X35Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y27 NAME INT_R_X35Y27 TILEPROP INT_R_X35Y27 NUM_ARCS 3737 TILEPROP INT_R_X35Y27 NUM_SITES 1 TILEPROP INT_R_X35Y27 ROW 127 TILEPROP INT_R_X35Y27 SLR_REGION_ID 0 TILEPROP INT_R_X35Y27 TILE_PATTERN_IDX 7931 TILEPROP INT_R_X35Y27 TILE_TYPE INT_R TILEPROP INT_R_X35Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y27 TILE_X 62430 TILEPROP INT_R_X35Y27 TILE_Y -152248 TILEPROP INT_R_X35Y27 TYPE INT_R TILEPROP INT_R_X35Y28 CLASS tile TILEPROP INT_R_X35Y28 COLUMN 89 TILEPROP INT_R_X35Y28 DEVICE_ID 0 TILEPROP INT_R_X35Y28 FIRST_SITE_ID 12763 TILEPROP INT_R_X35Y28 GRID_POINT_X 89 TILEPROP INT_R_X35Y28 GRID_POINT_Y 126 TILEPROP INT_R_X35Y28 INDEX 14579 TILEPROP INT_R_X35Y28 INT_TILE_X 35 TILEPROP INT_R_X35Y28 INT_TILE_Y 121 TILEPROP INT_R_X35Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y28 IS_DCM_TILE 0 TILEPROP INT_R_X35Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y28 NAME INT_R_X35Y28 TILEPROP INT_R_X35Y28 NUM_ARCS 3737 TILEPROP INT_R_X35Y28 NUM_SITES 1 TILEPROP INT_R_X35Y28 ROW 126 TILEPROP INT_R_X35Y28 SLR_REGION_ID 0 TILEPROP INT_R_X35Y28 TILE_PATTERN_IDX 7903 TILEPROP INT_R_X35Y28 TILE_TYPE INT_R TILEPROP INT_R_X35Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y28 TILE_X 62430 TILEPROP INT_R_X35Y28 TILE_Y -149048 TILEPROP INT_R_X35Y28 TYPE INT_R TILEPROP INT_R_X35Y29 CLASS tile TILEPROP INT_R_X35Y29 COLUMN 89 TILEPROP INT_R_X35Y29 DEVICE_ID 0 TILEPROP INT_R_X35Y29 FIRST_SITE_ID 12657 TILEPROP INT_R_X35Y29 GRID_POINT_X 89 TILEPROP INT_R_X35Y29 GRID_POINT_Y 125 TILEPROP INT_R_X35Y29 INDEX 14464 TILEPROP INT_R_X35Y29 INT_TILE_X 35 TILEPROP INT_R_X35Y29 INT_TILE_Y 120 TILEPROP INT_R_X35Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y29 IS_DCM_TILE 0 TILEPROP INT_R_X35Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y29 NAME INT_R_X35Y29 TILEPROP INT_R_X35Y29 NUM_ARCS 3737 TILEPROP INT_R_X35Y29 NUM_SITES 1 TILEPROP INT_R_X35Y29 ROW 125 TILEPROP INT_R_X35Y29 SLR_REGION_ID 0 TILEPROP INT_R_X35Y29 TILE_PATTERN_IDX 6172 TILEPROP INT_R_X35Y29 TILE_TYPE INT_R TILEPROP INT_R_X35Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y29 TILE_X 62430 TILEPROP INT_R_X35Y29 TILE_Y -145848 TILEPROP INT_R_X35Y29 TYPE INT_R TILEPROP INT_R_X35Y30 CLASS tile TILEPROP INT_R_X35Y30 COLUMN 89 TILEPROP INT_R_X35Y30 DEVICE_ID 0 TILEPROP INT_R_X35Y30 FIRST_SITE_ID 12548 TILEPROP INT_R_X35Y30 GRID_POINT_X 89 TILEPROP INT_R_X35Y30 GRID_POINT_Y 124 TILEPROP INT_R_X35Y30 INDEX 14349 TILEPROP INT_R_X35Y30 INT_TILE_X 35 TILEPROP INT_R_X35Y30 INT_TILE_Y 119 TILEPROP INT_R_X35Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y30 IS_DCM_TILE 0 TILEPROP INT_R_X35Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y30 NAME INT_R_X35Y30 TILEPROP INT_R_X35Y30 NUM_ARCS 3737 TILEPROP INT_R_X35Y30 NUM_SITES 1 TILEPROP INT_R_X35Y30 ROW 124 TILEPROP INT_R_X35Y30 SLR_REGION_ID 0 TILEPROP INT_R_X35Y30 TILE_PATTERN_IDX 6137 TILEPROP INT_R_X35Y30 TILE_TYPE INT_R TILEPROP INT_R_X35Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y30 TILE_X 62430 TILEPROP INT_R_X35Y30 TILE_Y -142648 TILEPROP INT_R_X35Y30 TYPE INT_R TILEPROP INT_R_X35Y31 CLASS tile TILEPROP INT_R_X35Y31 COLUMN 89 TILEPROP INT_R_X35Y31 DEVICE_ID 0 TILEPROP INT_R_X35Y31 FIRST_SITE_ID 12421 TILEPROP INT_R_X35Y31 GRID_POINT_X 89 TILEPROP INT_R_X35Y31 GRID_POINT_Y 123 TILEPROP INT_R_X35Y31 INDEX 14234 TILEPROP INT_R_X35Y31 INT_TILE_X 35 TILEPROP INT_R_X35Y31 INT_TILE_Y 118 TILEPROP INT_R_X35Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y31 IS_DCM_TILE 0 TILEPROP INT_R_X35Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y31 NAME INT_R_X35Y31 TILEPROP INT_R_X35Y31 NUM_ARCS 3737 TILEPROP INT_R_X35Y31 NUM_SITES 1 TILEPROP INT_R_X35Y31 ROW 123 TILEPROP INT_R_X35Y31 SLR_REGION_ID 0 TILEPROP INT_R_X35Y31 TILE_PATTERN_IDX 6103 TILEPROP INT_R_X35Y31 TILE_TYPE INT_R TILEPROP INT_R_X35Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y31 TILE_X 62430 TILEPROP INT_R_X35Y31 TILE_Y -139448 TILEPROP INT_R_X35Y31 TYPE INT_R TILEPROP INT_R_X35Y32 CLASS tile TILEPROP INT_R_X35Y32 COLUMN 89 TILEPROP INT_R_X35Y32 DEVICE_ID 0 TILEPROP INT_R_X35Y32 FIRST_SITE_ID 12319 TILEPROP INT_R_X35Y32 GRID_POINT_X 89 TILEPROP INT_R_X35Y32 GRID_POINT_Y 122 TILEPROP INT_R_X35Y32 INDEX 14119 TILEPROP INT_R_X35Y32 INT_TILE_X 35 TILEPROP INT_R_X35Y32 INT_TILE_Y 117 TILEPROP INT_R_X35Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y32 IS_DCM_TILE 0 TILEPROP INT_R_X35Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y32 NAME INT_R_X35Y32 TILEPROP INT_R_X35Y32 NUM_ARCS 3737 TILEPROP INT_R_X35Y32 NUM_SITES 1 TILEPROP INT_R_X35Y32 ROW 122 TILEPROP INT_R_X35Y32 SLR_REGION_ID 0 TILEPROP INT_R_X35Y32 TILE_PATTERN_IDX 7799 TILEPROP INT_R_X35Y32 TILE_TYPE INT_R TILEPROP INT_R_X35Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y32 TILE_X 62430 TILEPROP INT_R_X35Y32 TILE_Y -136248 TILEPROP INT_R_X35Y32 TYPE INT_R TILEPROP INT_R_X35Y33 CLASS tile TILEPROP INT_R_X35Y33 COLUMN 89 TILEPROP INT_R_X35Y33 DEVICE_ID 0 TILEPROP INT_R_X35Y33 FIRST_SITE_ID 12218 TILEPROP INT_R_X35Y33 GRID_POINT_X 89 TILEPROP INT_R_X35Y33 GRID_POINT_Y 121 TILEPROP INT_R_X35Y33 INDEX 14004 TILEPROP INT_R_X35Y33 INT_TILE_X 35 TILEPROP INT_R_X35Y33 INT_TILE_Y 116 TILEPROP INT_R_X35Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y33 IS_DCM_TILE 0 TILEPROP INT_R_X35Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y33 NAME INT_R_X35Y33 TILEPROP INT_R_X35Y33 NUM_ARCS 3737 TILEPROP INT_R_X35Y33 NUM_SITES 1 TILEPROP INT_R_X35Y33 ROW 121 TILEPROP INT_R_X35Y33 SLR_REGION_ID 0 TILEPROP INT_R_X35Y33 TILE_PATTERN_IDX 6035 TILEPROP INT_R_X35Y33 TILE_TYPE INT_R TILEPROP INT_R_X35Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y33 TILE_X 62430 TILEPROP INT_R_X35Y33 TILE_Y -133048 TILEPROP INT_R_X35Y33 TYPE INT_R TILEPROP INT_R_X35Y34 CLASS tile TILEPROP INT_R_X35Y34 COLUMN 89 TILEPROP INT_R_X35Y34 DEVICE_ID 0 TILEPROP INT_R_X35Y34 FIRST_SITE_ID 12118 TILEPROP INT_R_X35Y34 GRID_POINT_X 89 TILEPROP INT_R_X35Y34 GRID_POINT_Y 120 TILEPROP INT_R_X35Y34 INDEX 13889 TILEPROP INT_R_X35Y34 INT_TILE_X 35 TILEPROP INT_R_X35Y34 INT_TILE_Y 115 TILEPROP INT_R_X35Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y34 IS_DCM_TILE 0 TILEPROP INT_R_X35Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y34 NAME INT_R_X35Y34 TILEPROP INT_R_X35Y34 NUM_ARCS 3737 TILEPROP INT_R_X35Y34 NUM_SITES 1 TILEPROP INT_R_X35Y34 ROW 120 TILEPROP INT_R_X35Y34 SLR_REGION_ID 0 TILEPROP INT_R_X35Y34 TILE_PATTERN_IDX 6001 TILEPROP INT_R_X35Y34 TILE_TYPE INT_R TILEPROP INT_R_X35Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y34 TILE_X 62430 TILEPROP INT_R_X35Y34 TILE_Y -129848 TILEPROP INT_R_X35Y34 TYPE INT_R TILEPROP INT_R_X35Y35 CLASS tile TILEPROP INT_R_X35Y35 COLUMN 89 TILEPROP INT_R_X35Y35 DEVICE_ID 0 TILEPROP INT_R_X35Y35 FIRST_SITE_ID 12015 TILEPROP INT_R_X35Y35 GRID_POINT_X 89 TILEPROP INT_R_X35Y35 GRID_POINT_Y 119 TILEPROP INT_R_X35Y35 INDEX 13774 TILEPROP INT_R_X35Y35 INT_TILE_X 35 TILEPROP INT_R_X35Y35 INT_TILE_Y 114 TILEPROP INT_R_X35Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y35 IS_DCM_TILE 0 TILEPROP INT_R_X35Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y35 NAME INT_R_X35Y35 TILEPROP INT_R_X35Y35 NUM_ARCS 3737 TILEPROP INT_R_X35Y35 NUM_SITES 1 TILEPROP INT_R_X35Y35 ROW 119 TILEPROP INT_R_X35Y35 SLR_REGION_ID 0 TILEPROP INT_R_X35Y35 TILE_PATTERN_IDX 5967 TILEPROP INT_R_X35Y35 TILE_TYPE INT_R TILEPROP INT_R_X35Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y35 TILE_X 62430 TILEPROP INT_R_X35Y35 TILE_Y -126648 TILEPROP INT_R_X35Y35 TYPE INT_R TILEPROP INT_R_X35Y36 CLASS tile TILEPROP INT_R_X35Y36 COLUMN 89 TILEPROP INT_R_X35Y36 DEVICE_ID 0 TILEPROP INT_R_X35Y36 FIRST_SITE_ID 11903 TILEPROP INT_R_X35Y36 GRID_POINT_X 89 TILEPROP INT_R_X35Y36 GRID_POINT_Y 118 TILEPROP INT_R_X35Y36 INDEX 13659 TILEPROP INT_R_X35Y36 INT_TILE_X 35 TILEPROP INT_R_X35Y36 INT_TILE_Y 113 TILEPROP INT_R_X35Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y36 IS_DCM_TILE 0 TILEPROP INT_R_X35Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y36 NAME INT_R_X35Y36 TILEPROP INT_R_X35Y36 NUM_ARCS 3737 TILEPROP INT_R_X35Y36 NUM_SITES 1 TILEPROP INT_R_X35Y36 ROW 118 TILEPROP INT_R_X35Y36 SLR_REGION_ID 0 TILEPROP INT_R_X35Y36 TILE_PATTERN_IDX 5932 TILEPROP INT_R_X35Y36 TILE_TYPE INT_R TILEPROP INT_R_X35Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y36 TILE_X 62430 TILEPROP INT_R_X35Y36 TILE_Y -123448 TILEPROP INT_R_X35Y36 TYPE INT_R TILEPROP INT_R_X35Y37 CLASS tile TILEPROP INT_R_X35Y37 COLUMN 89 TILEPROP INT_R_X35Y37 DEVICE_ID 0 TILEPROP INT_R_X35Y37 FIRST_SITE_ID 11771 TILEPROP INT_R_X35Y37 GRID_POINT_X 89 TILEPROP INT_R_X35Y37 GRID_POINT_Y 117 TILEPROP INT_R_X35Y37 INDEX 13544 TILEPROP INT_R_X35Y37 INT_TILE_X 35 TILEPROP INT_R_X35Y37 INT_TILE_Y 112 TILEPROP INT_R_X35Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y37 IS_DCM_TILE 0 TILEPROP INT_R_X35Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y37 NAME INT_R_X35Y37 TILEPROP INT_R_X35Y37 NUM_ARCS 3737 TILEPROP INT_R_X35Y37 NUM_SITES 1 TILEPROP INT_R_X35Y37 ROW 117 TILEPROP INT_R_X35Y37 SLR_REGION_ID 0 TILEPROP INT_R_X35Y37 TILE_PATTERN_IDX 5897 TILEPROP INT_R_X35Y37 TILE_TYPE INT_R TILEPROP INT_R_X35Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y37 TILE_X 62430 TILEPROP INT_R_X35Y37 TILE_Y -120248 TILEPROP INT_R_X35Y37 TYPE INT_R TILEPROP INT_R_X35Y38 CLASS tile TILEPROP INT_R_X35Y38 COLUMN 89 TILEPROP INT_R_X35Y38 DEVICE_ID 0 TILEPROP INT_R_X35Y38 FIRST_SITE_ID 11671 TILEPROP INT_R_X35Y38 GRID_POINT_X 89 TILEPROP INT_R_X35Y38 GRID_POINT_Y 116 TILEPROP INT_R_X35Y38 INDEX 13429 TILEPROP INT_R_X35Y38 INT_TILE_X 35 TILEPROP INT_R_X35Y38 INT_TILE_Y 111 TILEPROP INT_R_X35Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y38 IS_DCM_TILE 0 TILEPROP INT_R_X35Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y38 NAME INT_R_X35Y38 TILEPROP INT_R_X35Y38 NUM_ARCS 3737 TILEPROP INT_R_X35Y38 NUM_SITES 1 TILEPROP INT_R_X35Y38 ROW 116 TILEPROP INT_R_X35Y38 SLR_REGION_ID 0 TILEPROP INT_R_X35Y38 TILE_PATTERN_IDX 5864 TILEPROP INT_R_X35Y38 TILE_TYPE INT_R TILEPROP INT_R_X35Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y38 TILE_X 62430 TILEPROP INT_R_X35Y38 TILE_Y -117048 TILEPROP INT_R_X35Y38 TYPE INT_R TILEPROP INT_R_X35Y39 CLASS tile TILEPROP INT_R_X35Y39 COLUMN 89 TILEPROP INT_R_X35Y39 DEVICE_ID 0 TILEPROP INT_R_X35Y39 FIRST_SITE_ID 11571 TILEPROP INT_R_X35Y39 GRID_POINT_X 89 TILEPROP INT_R_X35Y39 GRID_POINT_Y 115 TILEPROP INT_R_X35Y39 INDEX 13314 TILEPROP INT_R_X35Y39 INT_TILE_X 35 TILEPROP INT_R_X35Y39 INT_TILE_Y 110 TILEPROP INT_R_X35Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y39 IS_DCM_TILE 0 TILEPROP INT_R_X35Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y39 NAME INT_R_X35Y39 TILEPROP INT_R_X35Y39 NUM_ARCS 3737 TILEPROP INT_R_X35Y39 NUM_SITES 1 TILEPROP INT_R_X35Y39 ROW 115 TILEPROP INT_R_X35Y39 SLR_REGION_ID 0 TILEPROP INT_R_X35Y39 TILE_PATTERN_IDX 5830 TILEPROP INT_R_X35Y39 TILE_TYPE INT_R TILEPROP INT_R_X35Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y39 TILE_X 62430 TILEPROP INT_R_X35Y39 TILE_Y -113848 TILEPROP INT_R_X35Y39 TYPE INT_R TILEPROP INT_R_X35Y40 CLASS tile TILEPROP INT_R_X35Y40 COLUMN 89 TILEPROP INT_R_X35Y40 DEVICE_ID 0 TILEPROP INT_R_X35Y40 FIRST_SITE_ID 11468 TILEPROP INT_R_X35Y40 GRID_POINT_X 89 TILEPROP INT_R_X35Y40 GRID_POINT_Y 114 TILEPROP INT_R_X35Y40 INDEX 13199 TILEPROP INT_R_X35Y40 INT_TILE_X 35 TILEPROP INT_R_X35Y40 INT_TILE_Y 109 TILEPROP INT_R_X35Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y40 IS_DCM_TILE 0 TILEPROP INT_R_X35Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y40 NAME INT_R_X35Y40 TILEPROP INT_R_X35Y40 NUM_ARCS 3737 TILEPROP INT_R_X35Y40 NUM_SITES 1 TILEPROP INT_R_X35Y40 ROW 114 TILEPROP INT_R_X35Y40 SLR_REGION_ID 0 TILEPROP INT_R_X35Y40 TILE_PATTERN_IDX 5796 TILEPROP INT_R_X35Y40 TILE_TYPE INT_R TILEPROP INT_R_X35Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y40 TILE_X 62430 TILEPROP INT_R_X35Y40 TILE_Y -110648 TILEPROP INT_R_X35Y40 TYPE INT_R TILEPROP INT_R_X35Y41 CLASS tile TILEPROP INT_R_X35Y41 COLUMN 89 TILEPROP INT_R_X35Y41 DEVICE_ID 0 TILEPROP INT_R_X35Y41 FIRST_SITE_ID 11354 TILEPROP INT_R_X35Y41 GRID_POINT_X 89 TILEPROP INT_R_X35Y41 GRID_POINT_Y 113 TILEPROP INT_R_X35Y41 INDEX 13084 TILEPROP INT_R_X35Y41 INT_TILE_X 35 TILEPROP INT_R_X35Y41 INT_TILE_Y 108 TILEPROP INT_R_X35Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y41 IS_DCM_TILE 0 TILEPROP INT_R_X35Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y41 NAME INT_R_X35Y41 TILEPROP INT_R_X35Y41 NUM_ARCS 3737 TILEPROP INT_R_X35Y41 NUM_SITES 1 TILEPROP INT_R_X35Y41 ROW 113 TILEPROP INT_R_X35Y41 SLR_REGION_ID 0 TILEPROP INT_R_X35Y41 TILE_PATTERN_IDX 7536 TILEPROP INT_R_X35Y41 TILE_TYPE INT_R TILEPROP INT_R_X35Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y41 TILE_X 62430 TILEPROP INT_R_X35Y41 TILE_Y -107448 TILEPROP INT_R_X35Y41 TYPE INT_R TILEPROP INT_R_X35Y42 CLASS tile TILEPROP INT_R_X35Y42 COLUMN 89 TILEPROP INT_R_X35Y42 DEVICE_ID 0 TILEPROP INT_R_X35Y42 FIRST_SITE_ID 11252 TILEPROP INT_R_X35Y42 GRID_POINT_X 89 TILEPROP INT_R_X35Y42 GRID_POINT_Y 112 TILEPROP INT_R_X35Y42 INDEX 12969 TILEPROP INT_R_X35Y42 INT_TILE_X 35 TILEPROP INT_R_X35Y42 INT_TILE_Y 107 TILEPROP INT_R_X35Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y42 IS_DCM_TILE 0 TILEPROP INT_R_X35Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y42 NAME INT_R_X35Y42 TILEPROP INT_R_X35Y42 NUM_ARCS 3737 TILEPROP INT_R_X35Y42 NUM_SITES 1 TILEPROP INT_R_X35Y42 ROW 112 TILEPROP INT_R_X35Y42 SLR_REGION_ID 0 TILEPROP INT_R_X35Y42 TILE_PATTERN_IDX 5729 TILEPROP INT_R_X35Y42 TILE_TYPE INT_R TILEPROP INT_R_X35Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y42 TILE_X 62430 TILEPROP INT_R_X35Y42 TILE_Y -104248 TILEPROP INT_R_X35Y42 TYPE INT_R TILEPROP INT_R_X35Y43 CLASS tile TILEPROP INT_R_X35Y43 COLUMN 89 TILEPROP INT_R_X35Y43 DEVICE_ID 0 TILEPROP INT_R_X35Y43 FIRST_SITE_ID 11149 TILEPROP INT_R_X35Y43 GRID_POINT_X 89 TILEPROP INT_R_X35Y43 GRID_POINT_Y 111 TILEPROP INT_R_X35Y43 INDEX 12854 TILEPROP INT_R_X35Y43 INT_TILE_X 35 TILEPROP INT_R_X35Y43 INT_TILE_Y 106 TILEPROP INT_R_X35Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y43 IS_DCM_TILE 0 TILEPROP INT_R_X35Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y43 NAME INT_R_X35Y43 TILEPROP INT_R_X35Y43 NUM_ARCS 3737 TILEPROP INT_R_X35Y43 NUM_SITES 1 TILEPROP INT_R_X35Y43 ROW 111 TILEPROP INT_R_X35Y43 SLR_REGION_ID 0 TILEPROP INT_R_X35Y43 TILE_PATTERN_IDX 5695 TILEPROP INT_R_X35Y43 TILE_TYPE INT_R TILEPROP INT_R_X35Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y43 TILE_X 62430 TILEPROP INT_R_X35Y43 TILE_Y -101048 TILEPROP INT_R_X35Y43 TYPE INT_R TILEPROP INT_R_X35Y44 CLASS tile TILEPROP INT_R_X35Y44 COLUMN 89 TILEPROP INT_R_X35Y44 DEVICE_ID 0 TILEPROP INT_R_X35Y44 FIRST_SITE_ID 11047 TILEPROP INT_R_X35Y44 GRID_POINT_X 89 TILEPROP INT_R_X35Y44 GRID_POINT_Y 110 TILEPROP INT_R_X35Y44 INDEX 12739 TILEPROP INT_R_X35Y44 INT_TILE_X 35 TILEPROP INT_R_X35Y44 INT_TILE_Y 105 TILEPROP INT_R_X35Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y44 IS_DCM_TILE 0 TILEPROP INT_R_X35Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y44 NAME INT_R_X35Y44 TILEPROP INT_R_X35Y44 NUM_ARCS 3737 TILEPROP INT_R_X35Y44 NUM_SITES 1 TILEPROP INT_R_X35Y44 ROW 110 TILEPROP INT_R_X35Y44 SLR_REGION_ID 0 TILEPROP INT_R_X35Y44 TILE_PATTERN_IDX 5661 TILEPROP INT_R_X35Y44 TILE_TYPE INT_R TILEPROP INT_R_X35Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y44 TILE_X 62430 TILEPROP INT_R_X35Y44 TILE_Y -97848 TILEPROP INT_R_X35Y44 TYPE INT_R TILEPROP INT_R_X35Y45 CLASS tile TILEPROP INT_R_X35Y45 COLUMN 89 TILEPROP INT_R_X35Y45 DEVICE_ID 0 TILEPROP INT_R_X35Y45 FIRST_SITE_ID 10944 TILEPROP INT_R_X35Y45 GRID_POINT_X 89 TILEPROP INT_R_X35Y45 GRID_POINT_Y 109 TILEPROP INT_R_X35Y45 INDEX 12624 TILEPROP INT_R_X35Y45 INT_TILE_X 35 TILEPROP INT_R_X35Y45 INT_TILE_Y 104 TILEPROP INT_R_X35Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y45 IS_DCM_TILE 0 TILEPROP INT_R_X35Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y45 NAME INT_R_X35Y45 TILEPROP INT_R_X35Y45 NUM_ARCS 3737 TILEPROP INT_R_X35Y45 NUM_SITES 1 TILEPROP INT_R_X35Y45 ROW 109 TILEPROP INT_R_X35Y45 SLR_REGION_ID 0 TILEPROP INT_R_X35Y45 TILE_PATTERN_IDX 7414 TILEPROP INT_R_X35Y45 TILE_TYPE INT_R TILEPROP INT_R_X35Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y45 TILE_X 62430 TILEPROP INT_R_X35Y45 TILE_Y -94648 TILEPROP INT_R_X35Y45 TYPE INT_R TILEPROP INT_R_X35Y46 CLASS tile TILEPROP INT_R_X35Y46 COLUMN 89 TILEPROP INT_R_X35Y46 DEVICE_ID 0 TILEPROP INT_R_X35Y46 FIRST_SITE_ID 10831 TILEPROP INT_R_X35Y46 GRID_POINT_X 89 TILEPROP INT_R_X35Y46 GRID_POINT_Y 108 TILEPROP INT_R_X35Y46 INDEX 12509 TILEPROP INT_R_X35Y46 INT_TILE_X 35 TILEPROP INT_R_X35Y46 INT_TILE_Y 103 TILEPROP INT_R_X35Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y46 IS_DCM_TILE 0 TILEPROP INT_R_X35Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y46 NAME INT_R_X35Y46 TILEPROP INT_R_X35Y46 NUM_ARCS 3737 TILEPROP INT_R_X35Y46 NUM_SITES 1 TILEPROP INT_R_X35Y46 ROW 108 TILEPROP INT_R_X35Y46 SLR_REGION_ID 0 TILEPROP INT_R_X35Y46 TILE_PATTERN_IDX 7378 TILEPROP INT_R_X35Y46 TILE_TYPE INT_R TILEPROP INT_R_X35Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y46 TILE_X 62430 TILEPROP INT_R_X35Y46 TILE_Y -91448 TILEPROP INT_R_X35Y46 TYPE INT_R TILEPROP INT_R_X35Y47 CLASS tile TILEPROP INT_R_X35Y47 COLUMN 89 TILEPROP INT_R_X35Y47 DEVICE_ID 0 TILEPROP INT_R_X35Y47 FIRST_SITE_ID 10715 TILEPROP INT_R_X35Y47 GRID_POINT_X 89 TILEPROP INT_R_X35Y47 GRID_POINT_Y 107 TILEPROP INT_R_X35Y47 INDEX 12394 TILEPROP INT_R_X35Y47 INT_TILE_X 35 TILEPROP INT_R_X35Y47 INT_TILE_Y 102 TILEPROP INT_R_X35Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y47 IS_DCM_TILE 0 TILEPROP INT_R_X35Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y47 NAME INT_R_X35Y47 TILEPROP INT_R_X35Y47 NUM_ARCS 3737 TILEPROP INT_R_X35Y47 NUM_SITES 1 TILEPROP INT_R_X35Y47 ROW 107 TILEPROP INT_R_X35Y47 SLR_REGION_ID 0 TILEPROP INT_R_X35Y47 TILE_PATTERN_IDX 7341 TILEPROP INT_R_X35Y47 TILE_TYPE INT_R TILEPROP INT_R_X35Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y47 TILE_X 62430 TILEPROP INT_R_X35Y47 TILE_Y -88248 TILEPROP INT_R_X35Y47 TYPE INT_R TILEPROP INT_R_X35Y48 CLASS tile TILEPROP INT_R_X35Y48 COLUMN 89 TILEPROP INT_R_X35Y48 DEVICE_ID 0 TILEPROP INT_R_X35Y48 FIRST_SITE_ID 10615 TILEPROP INT_R_X35Y48 GRID_POINT_X 89 TILEPROP INT_R_X35Y48 GRID_POINT_Y 106 TILEPROP INT_R_X35Y48 INDEX 12279 TILEPROP INT_R_X35Y48 INT_TILE_X 35 TILEPROP INT_R_X35Y48 INT_TILE_Y 101 TILEPROP INT_R_X35Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y48 IS_DCM_TILE 0 TILEPROP INT_R_X35Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y48 NAME INT_R_X35Y48 TILEPROP INT_R_X35Y48 NUM_ARCS 3737 TILEPROP INT_R_X35Y48 NUM_SITES 1 TILEPROP INT_R_X35Y48 ROW 106 TILEPROP INT_R_X35Y48 SLR_REGION_ID 0 TILEPROP INT_R_X35Y48 TILE_PATTERN_IDX 7305 TILEPROP INT_R_X35Y48 TILE_TYPE INT_R TILEPROP INT_R_X35Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y48 TILE_X 62430 TILEPROP INT_R_X35Y48 TILE_Y -85048 TILEPROP INT_R_X35Y48 TYPE INT_R TILEPROP INT_R_X35Y49 CLASS tile TILEPROP INT_R_X35Y49 COLUMN 89 TILEPROP INT_R_X35Y49 DEVICE_ID 0 TILEPROP INT_R_X35Y49 FIRST_SITE_ID 10519 TILEPROP INT_R_X35Y49 GRID_POINT_X 89 TILEPROP INT_R_X35Y49 GRID_POINT_Y 105 TILEPROP INT_R_X35Y49 INDEX 12164 TILEPROP INT_R_X35Y49 INT_TILE_X 35 TILEPROP INT_R_X35Y49 INT_TILE_Y 100 TILEPROP INT_R_X35Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y49 IS_DCM_TILE 0 TILEPROP INT_R_X35Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y49 NAME INT_R_X35Y49 TILEPROP INT_R_X35Y49 NUM_ARCS 3737 TILEPROP INT_R_X35Y49 NUM_SITES 1 TILEPROP INT_R_X35Y49 ROW 105 TILEPROP INT_R_X35Y49 SLR_REGION_ID 0 TILEPROP INT_R_X35Y49 TILE_PATTERN_IDX 7269 TILEPROP INT_R_X35Y49 TILE_TYPE INT_R TILEPROP INT_R_X35Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y49 TILE_X 62430 TILEPROP INT_R_X35Y49 TILE_Y -81848 TILEPROP INT_R_X35Y49 TYPE INT_R TILEPROP INT_R_X35Y50 CLASS tile TILEPROP INT_R_X35Y50 COLUMN 89 TILEPROP INT_R_X35Y50 DEVICE_ID 0 TILEPROP INT_R_X35Y50 FIRST_SITE_ID 10416 TILEPROP INT_R_X35Y50 GRID_POINT_X 89 TILEPROP INT_R_X35Y50 GRID_POINT_Y 103 TILEPROP INT_R_X35Y50 INDEX 11934 TILEPROP INT_R_X35Y50 INT_TILE_X 35 TILEPROP INT_R_X35Y50 INT_TILE_Y 99 TILEPROP INT_R_X35Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y50 IS_DCM_TILE 0 TILEPROP INT_R_X35Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y50 NAME INT_R_X35Y50 TILEPROP INT_R_X35Y50 NUM_ARCS 3737 TILEPROP INT_R_X35Y50 NUM_SITES 1 TILEPROP INT_R_X35Y50 ROW 103 TILEPROP INT_R_X35Y50 SLR_REGION_ID 0 TILEPROP INT_R_X35Y50 TILE_PATTERN_IDX 7232 TILEPROP INT_R_X35Y50 TILE_TYPE INT_R TILEPROP INT_R_X35Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y50 TILE_X 62430 TILEPROP INT_R_X35Y50 TILE_Y -78400 TILEPROP INT_R_X35Y50 TYPE INT_R TILEPROP INT_R_X35Y51 CLASS tile TILEPROP INT_R_X35Y51 COLUMN 89 TILEPROP INT_R_X35Y51 DEVICE_ID 0 TILEPROP INT_R_X35Y51 FIRST_SITE_ID 10284 TILEPROP INT_R_X35Y51 GRID_POINT_X 89 TILEPROP INT_R_X35Y51 GRID_POINT_Y 102 TILEPROP INT_R_X35Y51 INDEX 11819 TILEPROP INT_R_X35Y51 INT_TILE_X 35 TILEPROP INT_R_X35Y51 INT_TILE_Y 98 TILEPROP INT_R_X35Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y51 IS_DCM_TILE 0 TILEPROP INT_R_X35Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y51 NAME INT_R_X35Y51 TILEPROP INT_R_X35Y51 NUM_ARCS 3737 TILEPROP INT_R_X35Y51 NUM_SITES 1 TILEPROP INT_R_X35Y51 ROW 102 TILEPROP INT_R_X35Y51 SLR_REGION_ID 0 TILEPROP INT_R_X35Y51 TILE_PATTERN_IDX 7195 TILEPROP INT_R_X35Y51 TILE_TYPE INT_R TILEPROP INT_R_X35Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y51 TILE_X 62430 TILEPROP INT_R_X35Y51 TILE_Y -75200 TILEPROP INT_R_X35Y51 TYPE INT_R TILEPROP INT_R_X35Y52 CLASS tile TILEPROP INT_R_X35Y52 COLUMN 89 TILEPROP INT_R_X35Y52 DEVICE_ID 0 TILEPROP INT_R_X35Y52 FIRST_SITE_ID 10184 TILEPROP INT_R_X35Y52 GRID_POINT_X 89 TILEPROP INT_R_X35Y52 GRID_POINT_Y 101 TILEPROP INT_R_X35Y52 INDEX 11704 TILEPROP INT_R_X35Y52 INT_TILE_X 35 TILEPROP INT_R_X35Y52 INT_TILE_Y 97 TILEPROP INT_R_X35Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y52 IS_DCM_TILE 0 TILEPROP INT_R_X35Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y52 NAME INT_R_X35Y52 TILEPROP INT_R_X35Y52 NUM_ARCS 3737 TILEPROP INT_R_X35Y52 NUM_SITES 1 TILEPROP INT_R_X35Y52 ROW 101 TILEPROP INT_R_X35Y52 SLR_REGION_ID 0 TILEPROP INT_R_X35Y52 TILE_PATTERN_IDX 7158 TILEPROP INT_R_X35Y52 TILE_TYPE INT_R TILEPROP INT_R_X35Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y52 TILE_X 62430 TILEPROP INT_R_X35Y52 TILE_Y -72000 TILEPROP INT_R_X35Y52 TYPE INT_R TILEPROP INT_R_X35Y53 CLASS tile TILEPROP INT_R_X35Y53 COLUMN 89 TILEPROP INT_R_X35Y53 DEVICE_ID 0 TILEPROP INT_R_X35Y53 FIRST_SITE_ID 10084 TILEPROP INT_R_X35Y53 GRID_POINT_X 89 TILEPROP INT_R_X35Y53 GRID_POINT_Y 100 TILEPROP INT_R_X35Y53 INDEX 11589 TILEPROP INT_R_X35Y53 INT_TILE_X 35 TILEPROP INT_R_X35Y53 INT_TILE_Y 96 TILEPROP INT_R_X35Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y53 IS_DCM_TILE 0 TILEPROP INT_R_X35Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y53 NAME INT_R_X35Y53 TILEPROP INT_R_X35Y53 NUM_ARCS 3737 TILEPROP INT_R_X35Y53 NUM_SITES 1 TILEPROP INT_R_X35Y53 ROW 100 TILEPROP INT_R_X35Y53 SLR_REGION_ID 0 TILEPROP INT_R_X35Y53 TILE_PATTERN_IDX 7121 TILEPROP INT_R_X35Y53 TILE_TYPE INT_R TILEPROP INT_R_X35Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y53 TILE_X 62430 TILEPROP INT_R_X35Y53 TILE_Y -68800 TILEPROP INT_R_X35Y53 TYPE INT_R TILEPROP INT_R_X35Y54 CLASS tile TILEPROP INT_R_X35Y54 COLUMN 89 TILEPROP INT_R_X35Y54 DEVICE_ID 0 TILEPROP INT_R_X35Y54 FIRST_SITE_ID 9984 TILEPROP INT_R_X35Y54 GRID_POINT_X 89 TILEPROP INT_R_X35Y54 GRID_POINT_Y 99 TILEPROP INT_R_X35Y54 INDEX 11474 TILEPROP INT_R_X35Y54 INT_TILE_X 35 TILEPROP INT_R_X35Y54 INT_TILE_Y 95 TILEPROP INT_R_X35Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y54 IS_DCM_TILE 0 TILEPROP INT_R_X35Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y54 NAME INT_R_X35Y54 TILEPROP INT_R_X35Y54 NUM_ARCS 3737 TILEPROP INT_R_X35Y54 NUM_SITES 1 TILEPROP INT_R_X35Y54 ROW 99 TILEPROP INT_R_X35Y54 SLR_REGION_ID 0 TILEPROP INT_R_X35Y54 TILE_PATTERN_IDX 7084 TILEPROP INT_R_X35Y54 TILE_TYPE INT_R TILEPROP INT_R_X35Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y54 TILE_X 62430 TILEPROP INT_R_X35Y54 TILE_Y -65600 TILEPROP INT_R_X35Y54 TYPE INT_R TILEPROP INT_R_X35Y55 CLASS tile TILEPROP INT_R_X35Y55 COLUMN 89 TILEPROP INT_R_X35Y55 DEVICE_ID 0 TILEPROP INT_R_X35Y55 FIRST_SITE_ID 9881 TILEPROP INT_R_X35Y55 GRID_POINT_X 89 TILEPROP INT_R_X35Y55 GRID_POINT_Y 98 TILEPROP INT_R_X35Y55 INDEX 11359 TILEPROP INT_R_X35Y55 INT_TILE_X 35 TILEPROP INT_R_X35Y55 INT_TILE_Y 94 TILEPROP INT_R_X35Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y55 IS_DCM_TILE 0 TILEPROP INT_R_X35Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y55 NAME INT_R_X35Y55 TILEPROP INT_R_X35Y55 NUM_ARCS 3737 TILEPROP INT_R_X35Y55 NUM_SITES 1 TILEPROP INT_R_X35Y55 ROW 98 TILEPROP INT_R_X35Y55 SLR_REGION_ID 0 TILEPROP INT_R_X35Y55 TILE_PATTERN_IDX 7046 TILEPROP INT_R_X35Y55 TILE_TYPE INT_R TILEPROP INT_R_X35Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y55 TILE_X 62430 TILEPROP INT_R_X35Y55 TILE_Y -62400 TILEPROP INT_R_X35Y55 TYPE INT_R TILEPROP INT_R_X35Y56 CLASS tile TILEPROP INT_R_X35Y56 COLUMN 89 TILEPROP INT_R_X35Y56 DEVICE_ID 0 TILEPROP INT_R_X35Y56 FIRST_SITE_ID 9769 TILEPROP INT_R_X35Y56 GRID_POINT_X 89 TILEPROP INT_R_X35Y56 GRID_POINT_Y 97 TILEPROP INT_R_X35Y56 INDEX 11244 TILEPROP INT_R_X35Y56 INT_TILE_X 35 TILEPROP INT_R_X35Y56 INT_TILE_Y 93 TILEPROP INT_R_X35Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y56 IS_DCM_TILE 0 TILEPROP INT_R_X35Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y56 NAME INT_R_X35Y56 TILEPROP INT_R_X35Y56 NUM_ARCS 3737 TILEPROP INT_R_X35Y56 NUM_SITES 1 TILEPROP INT_R_X35Y56 ROW 97 TILEPROP INT_R_X35Y56 SLR_REGION_ID 0 TILEPROP INT_R_X35Y56 TILE_PATTERN_IDX 7009 TILEPROP INT_R_X35Y56 TILE_TYPE INT_R TILEPROP INT_R_X35Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y56 TILE_X 62430 TILEPROP INT_R_X35Y56 TILE_Y -59200 TILEPROP INT_R_X35Y56 TYPE INT_R TILEPROP INT_R_X35Y57 CLASS tile TILEPROP INT_R_X35Y57 COLUMN 89 TILEPROP INT_R_X35Y57 DEVICE_ID 0 TILEPROP INT_R_X35Y57 FIRST_SITE_ID 9667 TILEPROP INT_R_X35Y57 GRID_POINT_X 89 TILEPROP INT_R_X35Y57 GRID_POINT_Y 96 TILEPROP INT_R_X35Y57 INDEX 11129 TILEPROP INT_R_X35Y57 INT_TILE_X 35 TILEPROP INT_R_X35Y57 INT_TILE_Y 92 TILEPROP INT_R_X35Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y57 IS_DCM_TILE 0 TILEPROP INT_R_X35Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y57 NAME INT_R_X35Y57 TILEPROP INT_R_X35Y57 NUM_ARCS 3737 TILEPROP INT_R_X35Y57 NUM_SITES 1 TILEPROP INT_R_X35Y57 ROW 96 TILEPROP INT_R_X35Y57 SLR_REGION_ID 0 TILEPROP INT_R_X35Y57 TILE_PATTERN_IDX 6972 TILEPROP INT_R_X35Y57 TILE_TYPE INT_R TILEPROP INT_R_X35Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y57 TILE_X 62430 TILEPROP INT_R_X35Y57 TILE_Y -56000 TILEPROP INT_R_X35Y57 TYPE INT_R TILEPROP INT_R_X35Y58 CLASS tile TILEPROP INT_R_X35Y58 COLUMN 89 TILEPROP INT_R_X35Y58 DEVICE_ID 0 TILEPROP INT_R_X35Y58 FIRST_SITE_ID 9564 TILEPROP INT_R_X35Y58 GRID_POINT_X 89 TILEPROP INT_R_X35Y58 GRID_POINT_Y 95 TILEPROP INT_R_X35Y58 INDEX 11014 TILEPROP INT_R_X35Y58 INT_TILE_X 35 TILEPROP INT_R_X35Y58 INT_TILE_Y 91 TILEPROP INT_R_X35Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y58 IS_DCM_TILE 0 TILEPROP INT_R_X35Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y58 NAME INT_R_X35Y58 TILEPROP INT_R_X35Y58 NUM_ARCS 3737 TILEPROP INT_R_X35Y58 NUM_SITES 1 TILEPROP INT_R_X35Y58 ROW 95 TILEPROP INT_R_X35Y58 SLR_REGION_ID 0 TILEPROP INT_R_X35Y58 TILE_PATTERN_IDX 6934 TILEPROP INT_R_X35Y58 TILE_TYPE INT_R TILEPROP INT_R_X35Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y58 TILE_X 62430 TILEPROP INT_R_X35Y58 TILE_Y -52800 TILEPROP INT_R_X35Y58 TYPE INT_R TILEPROP INT_R_X35Y59 CLASS tile TILEPROP INT_R_X35Y59 COLUMN 89 TILEPROP INT_R_X35Y59 DEVICE_ID 0 TILEPROP INT_R_X35Y59 FIRST_SITE_ID 9463 TILEPROP INT_R_X35Y59 GRID_POINT_X 89 TILEPROP INT_R_X35Y59 GRID_POINT_Y 94 TILEPROP INT_R_X35Y59 INDEX 10899 TILEPROP INT_R_X35Y59 INT_TILE_X 35 TILEPROP INT_R_X35Y59 INT_TILE_Y 90 TILEPROP INT_R_X35Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y59 IS_DCM_TILE 0 TILEPROP INT_R_X35Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y59 NAME INT_R_X35Y59 TILEPROP INT_R_X35Y59 NUM_ARCS 3737 TILEPROP INT_R_X35Y59 NUM_SITES 1 TILEPROP INT_R_X35Y59 ROW 94 TILEPROP INT_R_X35Y59 SLR_REGION_ID 0 TILEPROP INT_R_X35Y59 TILE_PATTERN_IDX 6897 TILEPROP INT_R_X35Y59 TILE_TYPE INT_R TILEPROP INT_R_X35Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y59 TILE_X 62430 TILEPROP INT_R_X35Y59 TILE_Y -49600 TILEPROP INT_R_X35Y59 TYPE INT_R TILEPROP INT_R_X35Y60 CLASS tile TILEPROP INT_R_X35Y60 COLUMN 89 TILEPROP INT_R_X35Y60 DEVICE_ID 0 TILEPROP INT_R_X35Y60 FIRST_SITE_ID 9360 TILEPROP INT_R_X35Y60 GRID_POINT_X 89 TILEPROP INT_R_X35Y60 GRID_POINT_Y 93 TILEPROP INT_R_X35Y60 INDEX 10784 TILEPROP INT_R_X35Y60 INT_TILE_X 35 TILEPROP INT_R_X35Y60 INT_TILE_Y 89 TILEPROP INT_R_X35Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y60 IS_DCM_TILE 0 TILEPROP INT_R_X35Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y60 NAME INT_R_X35Y60 TILEPROP INT_R_X35Y60 NUM_ARCS 3737 TILEPROP INT_R_X35Y60 NUM_SITES 1 TILEPROP INT_R_X35Y60 ROW 93 TILEPROP INT_R_X35Y60 SLR_REGION_ID 0 TILEPROP INT_R_X35Y60 TILE_PATTERN_IDX 6860 TILEPROP INT_R_X35Y60 TILE_TYPE INT_R TILEPROP INT_R_X35Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y60 TILE_X 62430 TILEPROP INT_R_X35Y60 TILE_Y -46400 TILEPROP INT_R_X35Y60 TYPE INT_R TILEPROP INT_R_X35Y61 CLASS tile TILEPROP INT_R_X35Y61 COLUMN 89 TILEPROP INT_R_X35Y61 DEVICE_ID 0 TILEPROP INT_R_X35Y61 FIRST_SITE_ID 9248 TILEPROP INT_R_X35Y61 GRID_POINT_X 89 TILEPROP INT_R_X35Y61 GRID_POINT_Y 92 TILEPROP INT_R_X35Y61 INDEX 10669 TILEPROP INT_R_X35Y61 INT_TILE_X 35 TILEPROP INT_R_X35Y61 INT_TILE_Y 88 TILEPROP INT_R_X35Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y61 IS_DCM_TILE 0 TILEPROP INT_R_X35Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y61 NAME INT_R_X35Y61 TILEPROP INT_R_X35Y61 NUM_ARCS 3737 TILEPROP INT_R_X35Y61 NUM_SITES 1 TILEPROP INT_R_X35Y61 ROW 92 TILEPROP INT_R_X35Y61 SLR_REGION_ID 0 TILEPROP INT_R_X35Y61 TILE_PATTERN_IDX 6823 TILEPROP INT_R_X35Y61 TILE_TYPE INT_R TILEPROP INT_R_X35Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y61 TILE_X 62430 TILEPROP INT_R_X35Y61 TILE_Y -43200 TILEPROP INT_R_X35Y61 TYPE INT_R TILEPROP INT_R_X35Y62 CLASS tile TILEPROP INT_R_X35Y62 COLUMN 89 TILEPROP INT_R_X35Y62 DEVICE_ID 0 TILEPROP INT_R_X35Y62 FIRST_SITE_ID 9148 TILEPROP INT_R_X35Y62 GRID_POINT_X 89 TILEPROP INT_R_X35Y62 GRID_POINT_Y 91 TILEPROP INT_R_X35Y62 INDEX 10554 TILEPROP INT_R_X35Y62 INT_TILE_X 35 TILEPROP INT_R_X35Y62 INT_TILE_Y 87 TILEPROP INT_R_X35Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y62 IS_DCM_TILE 0 TILEPROP INT_R_X35Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y62 NAME INT_R_X35Y62 TILEPROP INT_R_X35Y62 NUM_ARCS 3737 TILEPROP INT_R_X35Y62 NUM_SITES 1 TILEPROP INT_R_X35Y62 ROW 91 TILEPROP INT_R_X35Y62 SLR_REGION_ID 0 TILEPROP INT_R_X35Y62 TILE_PATTERN_IDX 6786 TILEPROP INT_R_X35Y62 TILE_TYPE INT_R TILEPROP INT_R_X35Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y62 TILE_X 62430 TILEPROP INT_R_X35Y62 TILE_Y -40000 TILEPROP INT_R_X35Y62 TYPE INT_R TILEPROP INT_R_X35Y63 CLASS tile TILEPROP INT_R_X35Y63 COLUMN 89 TILEPROP INT_R_X35Y63 DEVICE_ID 0 TILEPROP INT_R_X35Y63 FIRST_SITE_ID 9016 TILEPROP INT_R_X35Y63 GRID_POINT_X 89 TILEPROP INT_R_X35Y63 GRID_POINT_Y 90 TILEPROP INT_R_X35Y63 INDEX 10439 TILEPROP INT_R_X35Y63 INT_TILE_X 35 TILEPROP INT_R_X35Y63 INT_TILE_Y 86 TILEPROP INT_R_X35Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y63 IS_DCM_TILE 0 TILEPROP INT_R_X35Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y63 NAME INT_R_X35Y63 TILEPROP INT_R_X35Y63 NUM_ARCS 3737 TILEPROP INT_R_X35Y63 NUM_SITES 1 TILEPROP INT_R_X35Y63 ROW 90 TILEPROP INT_R_X35Y63 SLR_REGION_ID 0 TILEPROP INT_R_X35Y63 TILE_PATTERN_IDX 6749 TILEPROP INT_R_X35Y63 TILE_TYPE INT_R TILEPROP INT_R_X35Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y63 TILE_X 62430 TILEPROP INT_R_X35Y63 TILE_Y -36800 TILEPROP INT_R_X35Y63 TYPE INT_R TILEPROP INT_R_X35Y64 CLASS tile TILEPROP INT_R_X35Y64 COLUMN 89 TILEPROP INT_R_X35Y64 DEVICE_ID 0 TILEPROP INT_R_X35Y64 FIRST_SITE_ID 8916 TILEPROP INT_R_X35Y64 GRID_POINT_X 89 TILEPROP INT_R_X35Y64 GRID_POINT_Y 89 TILEPROP INT_R_X35Y64 INDEX 10324 TILEPROP INT_R_X35Y64 INT_TILE_X 35 TILEPROP INT_R_X35Y64 INT_TILE_Y 85 TILEPROP INT_R_X35Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y64 IS_DCM_TILE 0 TILEPROP INT_R_X35Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y64 NAME INT_R_X35Y64 TILEPROP INT_R_X35Y64 NUM_ARCS 3737 TILEPROP INT_R_X35Y64 NUM_SITES 1 TILEPROP INT_R_X35Y64 ROW 89 TILEPROP INT_R_X35Y64 SLR_REGION_ID 0 TILEPROP INT_R_X35Y64 TILE_PATTERN_IDX 6712 TILEPROP INT_R_X35Y64 TILE_TYPE INT_R TILEPROP INT_R_X35Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y64 TILE_X 62430 TILEPROP INT_R_X35Y64 TILE_Y -33600 TILEPROP INT_R_X35Y64 TYPE INT_R TILEPROP INT_R_X35Y65 CLASS tile TILEPROP INT_R_X35Y65 COLUMN 89 TILEPROP INT_R_X35Y65 DEVICE_ID 0 TILEPROP INT_R_X35Y65 FIRST_SITE_ID 8813 TILEPROP INT_R_X35Y65 GRID_POINT_X 89 TILEPROP INT_R_X35Y65 GRID_POINT_Y 88 TILEPROP INT_R_X35Y65 INDEX 10209 TILEPROP INT_R_X35Y65 INT_TILE_X 35 TILEPROP INT_R_X35Y65 INT_TILE_Y 84 TILEPROP INT_R_X35Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y65 IS_DCM_TILE 0 TILEPROP INT_R_X35Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y65 NAME INT_R_X35Y65 TILEPROP INT_R_X35Y65 NUM_ARCS 3737 TILEPROP INT_R_X35Y65 NUM_SITES 1 TILEPROP INT_R_X35Y65 ROW 88 TILEPROP INT_R_X35Y65 SLR_REGION_ID 0 TILEPROP INT_R_X35Y65 TILE_PATTERN_IDX 6675 TILEPROP INT_R_X35Y65 TILE_TYPE INT_R TILEPROP INT_R_X35Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y65 TILE_X 62430 TILEPROP INT_R_X35Y65 TILE_Y -30400 TILEPROP INT_R_X35Y65 TYPE INT_R TILEPROP INT_R_X35Y66 CLASS tile TILEPROP INT_R_X35Y66 COLUMN 89 TILEPROP INT_R_X35Y66 DEVICE_ID 0 TILEPROP INT_R_X35Y66 FIRST_SITE_ID 8701 TILEPROP INT_R_X35Y66 GRID_POINT_X 89 TILEPROP INT_R_X35Y66 GRID_POINT_Y 87 TILEPROP INT_R_X35Y66 INDEX 10094 TILEPROP INT_R_X35Y66 INT_TILE_X 35 TILEPROP INT_R_X35Y66 INT_TILE_Y 83 TILEPROP INT_R_X35Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y66 IS_DCM_TILE 0 TILEPROP INT_R_X35Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y66 NAME INT_R_X35Y66 TILEPROP INT_R_X35Y66 NUM_ARCS 3737 TILEPROP INT_R_X35Y66 NUM_SITES 1 TILEPROP INT_R_X35Y66 ROW 87 TILEPROP INT_R_X35Y66 SLR_REGION_ID 0 TILEPROP INT_R_X35Y66 TILE_PATTERN_IDX 6638 TILEPROP INT_R_X35Y66 TILE_TYPE INT_R TILEPROP INT_R_X35Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y66 TILE_X 62430 TILEPROP INT_R_X35Y66 TILE_Y -27200 TILEPROP INT_R_X35Y66 TYPE INT_R TILEPROP INT_R_X35Y67 CLASS tile TILEPROP INT_R_X35Y67 COLUMN 89 TILEPROP INT_R_X35Y67 DEVICE_ID 0 TILEPROP INT_R_X35Y67 FIRST_SITE_ID 8597 TILEPROP INT_R_X35Y67 GRID_POINT_X 89 TILEPROP INT_R_X35Y67 GRID_POINT_Y 86 TILEPROP INT_R_X35Y67 INDEX 9979 TILEPROP INT_R_X35Y67 INT_TILE_X 35 TILEPROP INT_R_X35Y67 INT_TILE_Y 82 TILEPROP INT_R_X35Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y67 IS_DCM_TILE 0 TILEPROP INT_R_X35Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y67 NAME INT_R_X35Y67 TILEPROP INT_R_X35Y67 NUM_ARCS 3737 TILEPROP INT_R_X35Y67 NUM_SITES 1 TILEPROP INT_R_X35Y67 ROW 86 TILEPROP INT_R_X35Y67 SLR_REGION_ID 0 TILEPROP INT_R_X35Y67 TILE_PATTERN_IDX 6600 TILEPROP INT_R_X35Y67 TILE_TYPE INT_R TILEPROP INT_R_X35Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y67 TILE_X 62430 TILEPROP INT_R_X35Y67 TILE_Y -24000 TILEPROP INT_R_X35Y67 TYPE INT_R TILEPROP INT_R_X35Y68 CLASS tile TILEPROP INT_R_X35Y68 COLUMN 89 TILEPROP INT_R_X35Y68 DEVICE_ID 0 TILEPROP INT_R_X35Y68 FIRST_SITE_ID 8493 TILEPROP INT_R_X35Y68 GRID_POINT_X 89 TILEPROP INT_R_X35Y68 GRID_POINT_Y 85 TILEPROP INT_R_X35Y68 INDEX 9864 TILEPROP INT_R_X35Y68 INT_TILE_X 35 TILEPROP INT_R_X35Y68 INT_TILE_Y 81 TILEPROP INT_R_X35Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y68 IS_DCM_TILE 0 TILEPROP INT_R_X35Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y68 NAME INT_R_X35Y68 TILEPROP INT_R_X35Y68 NUM_ARCS 3737 TILEPROP INT_R_X35Y68 NUM_SITES 1 TILEPROP INT_R_X35Y68 ROW 85 TILEPROP INT_R_X35Y68 SLR_REGION_ID 0 TILEPROP INT_R_X35Y68 TILE_PATTERN_IDX 6568 TILEPROP INT_R_X35Y68 TILE_TYPE INT_R TILEPROP INT_R_X35Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y68 TILE_X 62430 TILEPROP INT_R_X35Y68 TILE_Y -20800 TILEPROP INT_R_X35Y68 TYPE INT_R TILEPROP INT_R_X35Y69 CLASS tile TILEPROP INT_R_X35Y69 COLUMN 89 TILEPROP INT_R_X35Y69 DEVICE_ID 0 TILEPROP INT_R_X35Y69 FIRST_SITE_ID 8391 TILEPROP INT_R_X35Y69 GRID_POINT_X 89 TILEPROP INT_R_X35Y69 GRID_POINT_Y 84 TILEPROP INT_R_X35Y69 INDEX 9749 TILEPROP INT_R_X35Y69 INT_TILE_X 35 TILEPROP INT_R_X35Y69 INT_TILE_Y 80 TILEPROP INT_R_X35Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y69 IS_DCM_TILE 0 TILEPROP INT_R_X35Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y69 NAME INT_R_X35Y69 TILEPROP INT_R_X35Y69 NUM_ARCS 3737 TILEPROP INT_R_X35Y69 NUM_SITES 1 TILEPROP INT_R_X35Y69 ROW 84 TILEPROP INT_R_X35Y69 SLR_REGION_ID 0 TILEPROP INT_R_X35Y69 TILE_PATTERN_IDX 6537 TILEPROP INT_R_X35Y69 TILE_TYPE INT_R TILEPROP INT_R_X35Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y69 TILE_X 62430 TILEPROP INT_R_X35Y69 TILE_Y -17600 TILEPROP INT_R_X35Y69 TYPE INT_R TILEPROP INT_R_X35Y70 CLASS tile TILEPROP INT_R_X35Y70 COLUMN 89 TILEPROP INT_R_X35Y70 DEVICE_ID 0 TILEPROP INT_R_X35Y70 FIRST_SITE_ID 8286 TILEPROP INT_R_X35Y70 GRID_POINT_X 89 TILEPROP INT_R_X35Y70 GRID_POINT_Y 83 TILEPROP INT_R_X35Y70 INDEX 9634 TILEPROP INT_R_X35Y70 INT_TILE_X 35 TILEPROP INT_R_X35Y70 INT_TILE_Y 79 TILEPROP INT_R_X35Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y70 IS_DCM_TILE 0 TILEPROP INT_R_X35Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y70 NAME INT_R_X35Y70 TILEPROP INT_R_X35Y70 NUM_ARCS 3737 TILEPROP INT_R_X35Y70 NUM_SITES 1 TILEPROP INT_R_X35Y70 ROW 83 TILEPROP INT_R_X35Y70 SLR_REGION_ID 0 TILEPROP INT_R_X35Y70 TILE_PATTERN_IDX 6506 TILEPROP INT_R_X35Y70 TILE_TYPE INT_R TILEPROP INT_R_X35Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y70 TILE_X 62430 TILEPROP INT_R_X35Y70 TILE_Y -14400 TILEPROP INT_R_X35Y70 TYPE INT_R TILEPROP INT_R_X35Y71 CLASS tile TILEPROP INT_R_X35Y71 COLUMN 89 TILEPROP INT_R_X35Y71 DEVICE_ID 0 TILEPROP INT_R_X35Y71 FIRST_SITE_ID 8174 TILEPROP INT_R_X35Y71 GRID_POINT_X 89 TILEPROP INT_R_X35Y71 GRID_POINT_Y 82 TILEPROP INT_R_X35Y71 INDEX 9519 TILEPROP INT_R_X35Y71 INT_TILE_X 35 TILEPROP INT_R_X35Y71 INT_TILE_Y 78 TILEPROP INT_R_X35Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y71 IS_DCM_TILE 0 TILEPROP INT_R_X35Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y71 NAME INT_R_X35Y71 TILEPROP INT_R_X35Y71 NUM_ARCS 3737 TILEPROP INT_R_X35Y71 NUM_SITES 1 TILEPROP INT_R_X35Y71 ROW 82 TILEPROP INT_R_X35Y71 SLR_REGION_ID 0 TILEPROP INT_R_X35Y71 TILE_PATTERN_IDX 6475 TILEPROP INT_R_X35Y71 TILE_TYPE INT_R TILEPROP INT_R_X35Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y71 TILE_X 62430 TILEPROP INT_R_X35Y71 TILE_Y -11200 TILEPROP INT_R_X35Y71 TYPE INT_R TILEPROP INT_R_X35Y72 CLASS tile TILEPROP INT_R_X35Y72 COLUMN 89 TILEPROP INT_R_X35Y72 DEVICE_ID 0 TILEPROP INT_R_X35Y72 FIRST_SITE_ID 8074 TILEPROP INT_R_X35Y72 GRID_POINT_X 89 TILEPROP INT_R_X35Y72 GRID_POINT_Y 81 TILEPROP INT_R_X35Y72 INDEX 9404 TILEPROP INT_R_X35Y72 INT_TILE_X 35 TILEPROP INT_R_X35Y72 INT_TILE_Y 77 TILEPROP INT_R_X35Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y72 IS_DCM_TILE 0 TILEPROP INT_R_X35Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y72 NAME INT_R_X35Y72 TILEPROP INT_R_X35Y72 NUM_ARCS 3737 TILEPROP INT_R_X35Y72 NUM_SITES 1 TILEPROP INT_R_X35Y72 ROW 81 TILEPROP INT_R_X35Y72 SLR_REGION_ID 0 TILEPROP INT_R_X35Y72 TILE_PATTERN_IDX 6444 TILEPROP INT_R_X35Y72 TILE_TYPE INT_R TILEPROP INT_R_X35Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y72 TILE_X 62430 TILEPROP INT_R_X35Y72 TILE_Y -8000 TILEPROP INT_R_X35Y72 TYPE INT_R TILEPROP INT_R_X35Y73 CLASS tile TILEPROP INT_R_X35Y73 COLUMN 89 TILEPROP INT_R_X35Y73 DEVICE_ID 0 TILEPROP INT_R_X35Y73 FIRST_SITE_ID 7974 TILEPROP INT_R_X35Y73 GRID_POINT_X 89 TILEPROP INT_R_X35Y73 GRID_POINT_Y 80 TILEPROP INT_R_X35Y73 INDEX 9289 TILEPROP INT_R_X35Y73 INT_TILE_X 35 TILEPROP INT_R_X35Y73 INT_TILE_Y 76 TILEPROP INT_R_X35Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y73 IS_DCM_TILE 0 TILEPROP INT_R_X35Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y73 NAME INT_R_X35Y73 TILEPROP INT_R_X35Y73 NUM_ARCS 3737 TILEPROP INT_R_X35Y73 NUM_SITES 1 TILEPROP INT_R_X35Y73 ROW 80 TILEPROP INT_R_X35Y73 SLR_REGION_ID 0 TILEPROP INT_R_X35Y73 TILE_PATTERN_IDX 6413 TILEPROP INT_R_X35Y73 TILE_TYPE INT_R TILEPROP INT_R_X35Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y73 TILE_X 62430 TILEPROP INT_R_X35Y73 TILE_Y -4800 TILEPROP INT_R_X35Y73 TYPE INT_R TILEPROP INT_R_X35Y74 CLASS tile TILEPROP INT_R_X35Y74 COLUMN 89 TILEPROP INT_R_X35Y74 DEVICE_ID 0 TILEPROP INT_R_X35Y74 FIRST_SITE_ID 7874 TILEPROP INT_R_X35Y74 GRID_POINT_X 89 TILEPROP INT_R_X35Y74 GRID_POINT_Y 79 TILEPROP INT_R_X35Y74 INDEX 9174 TILEPROP INT_R_X35Y74 INT_TILE_X 35 TILEPROP INT_R_X35Y74 INT_TILE_Y 75 TILEPROP INT_R_X35Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y74 IS_DCM_TILE 0 TILEPROP INT_R_X35Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y74 NAME INT_R_X35Y74 TILEPROP INT_R_X35Y74 NUM_ARCS 3737 TILEPROP INT_R_X35Y74 NUM_SITES 1 TILEPROP INT_R_X35Y74 ROW 79 TILEPROP INT_R_X35Y74 SLR_REGION_ID 0 TILEPROP INT_R_X35Y74 TILE_PATTERN_IDX 6382 TILEPROP INT_R_X35Y74 TILE_TYPE INT_R TILEPROP INT_R_X35Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y74 TILE_X 62430 TILEPROP INT_R_X35Y74 TILE_Y -1600 TILEPROP INT_R_X35Y74 TYPE INT_R TILEPROP INT_R_X35Y75 CLASS tile TILEPROP INT_R_X35Y75 COLUMN 89 TILEPROP INT_R_X35Y75 DEVICE_ID 0 TILEPROP INT_R_X35Y75 FIRST_SITE_ID 7688 TILEPROP INT_R_X35Y75 GRID_POINT_X 89 TILEPROP INT_R_X35Y75 GRID_POINT_Y 77 TILEPROP INT_R_X35Y75 INDEX 8944 TILEPROP INT_R_X35Y75 INT_TILE_X 35 TILEPROP INT_R_X35Y75 INT_TILE_Y 74 TILEPROP INT_R_X35Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y75 IS_DCM_TILE 0 TILEPROP INT_R_X35Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y75 NAME INT_R_X35Y75 TILEPROP INT_R_X35Y75 NUM_ARCS 3737 TILEPROP INT_R_X35Y75 NUM_SITES 1 TILEPROP INT_R_X35Y75 ROW 77 TILEPROP INT_R_X35Y75 SLR_REGION_ID 0 TILEPROP INT_R_X35Y75 TILE_PATTERN_IDX 6308 TILEPROP INT_R_X35Y75 TILE_TYPE INT_R TILEPROP INT_R_X35Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y75 TILE_X 62430 TILEPROP INT_R_X35Y75 TILE_Y 2624 TILEPROP INT_R_X35Y75 TYPE INT_R TILEPROP INT_R_X35Y76 CLASS tile TILEPROP INT_R_X35Y76 COLUMN 89 TILEPROP INT_R_X35Y76 DEVICE_ID 0 TILEPROP INT_R_X35Y76 FIRST_SITE_ID 7573 TILEPROP INT_R_X35Y76 GRID_POINT_X 89 TILEPROP INT_R_X35Y76 GRID_POINT_Y 76 TILEPROP INT_R_X35Y76 INDEX 8829 TILEPROP INT_R_X35Y76 INT_TILE_X 35 TILEPROP INT_R_X35Y76 INT_TILE_Y 73 TILEPROP INT_R_X35Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y76 IS_DCM_TILE 0 TILEPROP INT_R_X35Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y76 NAME INT_R_X35Y76 TILEPROP INT_R_X35Y76 NUM_ARCS 3737 TILEPROP INT_R_X35Y76 NUM_SITES 1 TILEPROP INT_R_X35Y76 ROW 76 TILEPROP INT_R_X35Y76 SLR_REGION_ID 0 TILEPROP INT_R_X35Y76 TILE_PATTERN_IDX 6274 TILEPROP INT_R_X35Y76 TILE_TYPE INT_R TILEPROP INT_R_X35Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y76 TILE_X 62430 TILEPROP INT_R_X35Y76 TILE_Y 5824 TILEPROP INT_R_X35Y76 TYPE INT_R TILEPROP INT_R_X35Y77 CLASS tile TILEPROP INT_R_X35Y77 COLUMN 89 TILEPROP INT_R_X35Y77 DEVICE_ID 0 TILEPROP INT_R_X35Y77 FIRST_SITE_ID 7473 TILEPROP INT_R_X35Y77 GRID_POINT_X 89 TILEPROP INT_R_X35Y77 GRID_POINT_Y 75 TILEPROP INT_R_X35Y77 INDEX 8714 TILEPROP INT_R_X35Y77 INT_TILE_X 35 TILEPROP INT_R_X35Y77 INT_TILE_Y 72 TILEPROP INT_R_X35Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y77 IS_DCM_TILE 0 TILEPROP INT_R_X35Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y77 NAME INT_R_X35Y77 TILEPROP INT_R_X35Y77 NUM_ARCS 3737 TILEPROP INT_R_X35Y77 NUM_SITES 1 TILEPROP INT_R_X35Y77 ROW 75 TILEPROP INT_R_X35Y77 SLR_REGION_ID 0 TILEPROP INT_R_X35Y77 TILE_PATTERN_IDX 6240 TILEPROP INT_R_X35Y77 TILE_TYPE INT_R TILEPROP INT_R_X35Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y77 TILE_X 62430 TILEPROP INT_R_X35Y77 TILE_Y 9024 TILEPROP INT_R_X35Y77 TYPE INT_R TILEPROP INT_R_X35Y78 CLASS tile TILEPROP INT_R_X35Y78 COLUMN 89 TILEPROP INT_R_X35Y78 DEVICE_ID 0 TILEPROP INT_R_X35Y78 FIRST_SITE_ID 7373 TILEPROP INT_R_X35Y78 GRID_POINT_X 89 TILEPROP INT_R_X35Y78 GRID_POINT_Y 74 TILEPROP INT_R_X35Y78 INDEX 8599 TILEPROP INT_R_X35Y78 INT_TILE_X 35 TILEPROP INT_R_X35Y78 INT_TILE_Y 71 TILEPROP INT_R_X35Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y78 IS_DCM_TILE 0 TILEPROP INT_R_X35Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y78 NAME INT_R_X35Y78 TILEPROP INT_R_X35Y78 NUM_ARCS 3737 TILEPROP INT_R_X35Y78 NUM_SITES 1 TILEPROP INT_R_X35Y78 ROW 74 TILEPROP INT_R_X35Y78 SLR_REGION_ID 0 TILEPROP INT_R_X35Y78 TILE_PATTERN_IDX 6207 TILEPROP INT_R_X35Y78 TILE_TYPE INT_R TILEPROP INT_R_X35Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y78 TILE_X 62430 TILEPROP INT_R_X35Y78 TILE_Y 12224 TILEPROP INT_R_X35Y78 TYPE INT_R TILEPROP INT_R_X35Y79 CLASS tile TILEPROP INT_R_X35Y79 COLUMN 89 TILEPROP INT_R_X35Y79 DEVICE_ID 0 TILEPROP INT_R_X35Y79 FIRST_SITE_ID 7267 TILEPROP INT_R_X35Y79 GRID_POINT_X 89 TILEPROP INT_R_X35Y79 GRID_POINT_Y 73 TILEPROP INT_R_X35Y79 INDEX 8484 TILEPROP INT_R_X35Y79 INT_TILE_X 35 TILEPROP INT_R_X35Y79 INT_TILE_Y 70 TILEPROP INT_R_X35Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y79 IS_DCM_TILE 0 TILEPROP INT_R_X35Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y79 NAME INT_R_X35Y79 TILEPROP INT_R_X35Y79 NUM_ARCS 3737 TILEPROP INT_R_X35Y79 NUM_SITES 1 TILEPROP INT_R_X35Y79 ROW 73 TILEPROP INT_R_X35Y79 SLR_REGION_ID 0 TILEPROP INT_R_X35Y79 TILE_PATTERN_IDX 6172 TILEPROP INT_R_X35Y79 TILE_TYPE INT_R TILEPROP INT_R_X35Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y79 TILE_X 62430 TILEPROP INT_R_X35Y79 TILE_Y 15424 TILEPROP INT_R_X35Y79 TYPE INT_R TILEPROP INT_R_X35Y80 CLASS tile TILEPROP INT_R_X35Y80 COLUMN 89 TILEPROP INT_R_X35Y80 DEVICE_ID 0 TILEPROP INT_R_X35Y80 FIRST_SITE_ID 7158 TILEPROP INT_R_X35Y80 GRID_POINT_X 89 TILEPROP INT_R_X35Y80 GRID_POINT_Y 72 TILEPROP INT_R_X35Y80 INDEX 8369 TILEPROP INT_R_X35Y80 INT_TILE_X 35 TILEPROP INT_R_X35Y80 INT_TILE_Y 69 TILEPROP INT_R_X35Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y80 IS_DCM_TILE 0 TILEPROP INT_R_X35Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y80 NAME INT_R_X35Y80 TILEPROP INT_R_X35Y80 NUM_ARCS 3737 TILEPROP INT_R_X35Y80 NUM_SITES 1 TILEPROP INT_R_X35Y80 ROW 72 TILEPROP INT_R_X35Y80 SLR_REGION_ID 0 TILEPROP INT_R_X35Y80 TILE_PATTERN_IDX 6137 TILEPROP INT_R_X35Y80 TILE_TYPE INT_R TILEPROP INT_R_X35Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y80 TILE_X 62430 TILEPROP INT_R_X35Y80 TILE_Y 18624 TILEPROP INT_R_X35Y80 TYPE INT_R TILEPROP INT_R_X35Y81 CLASS tile TILEPROP INT_R_X35Y81 COLUMN 89 TILEPROP INT_R_X35Y81 DEVICE_ID 0 TILEPROP INT_R_X35Y81 FIRST_SITE_ID 7044 TILEPROP INT_R_X35Y81 GRID_POINT_X 89 TILEPROP INT_R_X35Y81 GRID_POINT_Y 71 TILEPROP INT_R_X35Y81 INDEX 8254 TILEPROP INT_R_X35Y81 INT_TILE_X 35 TILEPROP INT_R_X35Y81 INT_TILE_Y 68 TILEPROP INT_R_X35Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y81 IS_DCM_TILE 0 TILEPROP INT_R_X35Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y81 NAME INT_R_X35Y81 TILEPROP INT_R_X35Y81 NUM_ARCS 3737 TILEPROP INT_R_X35Y81 NUM_SITES 1 TILEPROP INT_R_X35Y81 ROW 71 TILEPROP INT_R_X35Y81 SLR_REGION_ID 0 TILEPROP INT_R_X35Y81 TILE_PATTERN_IDX 6103 TILEPROP INT_R_X35Y81 TILE_TYPE INT_R TILEPROP INT_R_X35Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y81 TILE_X 62430 TILEPROP INT_R_X35Y81 TILE_Y 21824 TILEPROP INT_R_X35Y81 TYPE INT_R TILEPROP INT_R_X35Y82 CLASS tile TILEPROP INT_R_X35Y82 COLUMN 89 TILEPROP INT_R_X35Y82 DEVICE_ID 0 TILEPROP INT_R_X35Y82 FIRST_SITE_ID 6942 TILEPROP INT_R_X35Y82 GRID_POINT_X 89 TILEPROP INT_R_X35Y82 GRID_POINT_Y 70 TILEPROP INT_R_X35Y82 INDEX 8139 TILEPROP INT_R_X35Y82 INT_TILE_X 35 TILEPROP INT_R_X35Y82 INT_TILE_Y 67 TILEPROP INT_R_X35Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y82 IS_DCM_TILE 0 TILEPROP INT_R_X35Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y82 NAME INT_R_X35Y82 TILEPROP INT_R_X35Y82 NUM_ARCS 3737 TILEPROP INT_R_X35Y82 NUM_SITES 1 TILEPROP INT_R_X35Y82 ROW 70 TILEPROP INT_R_X35Y82 SLR_REGION_ID 0 TILEPROP INT_R_X35Y82 TILE_PATTERN_IDX 6069 TILEPROP INT_R_X35Y82 TILE_TYPE INT_R TILEPROP INT_R_X35Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y82 TILE_X 62430 TILEPROP INT_R_X35Y82 TILE_Y 25024 TILEPROP INT_R_X35Y82 TYPE INT_R TILEPROP INT_R_X35Y83 CLASS tile TILEPROP INT_R_X35Y83 COLUMN 89 TILEPROP INT_R_X35Y83 DEVICE_ID 0 TILEPROP INT_R_X35Y83 FIRST_SITE_ID 6842 TILEPROP INT_R_X35Y83 GRID_POINT_X 89 TILEPROP INT_R_X35Y83 GRID_POINT_Y 69 TILEPROP INT_R_X35Y83 INDEX 8024 TILEPROP INT_R_X35Y83 INT_TILE_X 35 TILEPROP INT_R_X35Y83 INT_TILE_Y 66 TILEPROP INT_R_X35Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y83 IS_DCM_TILE 0 TILEPROP INT_R_X35Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y83 NAME INT_R_X35Y83 TILEPROP INT_R_X35Y83 NUM_ARCS 3737 TILEPROP INT_R_X35Y83 NUM_SITES 1 TILEPROP INT_R_X35Y83 ROW 69 TILEPROP INT_R_X35Y83 SLR_REGION_ID 0 TILEPROP INT_R_X35Y83 TILE_PATTERN_IDX 6035 TILEPROP INT_R_X35Y83 TILE_TYPE INT_R TILEPROP INT_R_X35Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y83 TILE_X 62430 TILEPROP INT_R_X35Y83 TILE_Y 28224 TILEPROP INT_R_X35Y83 TYPE INT_R TILEPROP INT_R_X35Y84 CLASS tile TILEPROP INT_R_X35Y84 COLUMN 89 TILEPROP INT_R_X35Y84 DEVICE_ID 0 TILEPROP INT_R_X35Y84 FIRST_SITE_ID 6742 TILEPROP INT_R_X35Y84 GRID_POINT_X 89 TILEPROP INT_R_X35Y84 GRID_POINT_Y 68 TILEPROP INT_R_X35Y84 INDEX 7909 TILEPROP INT_R_X35Y84 INT_TILE_X 35 TILEPROP INT_R_X35Y84 INT_TILE_Y 65 TILEPROP INT_R_X35Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y84 IS_DCM_TILE 0 TILEPROP INT_R_X35Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y84 NAME INT_R_X35Y84 TILEPROP INT_R_X35Y84 NUM_ARCS 3737 TILEPROP INT_R_X35Y84 NUM_SITES 1 TILEPROP INT_R_X35Y84 ROW 68 TILEPROP INT_R_X35Y84 SLR_REGION_ID 0 TILEPROP INT_R_X35Y84 TILE_PATTERN_IDX 6001 TILEPROP INT_R_X35Y84 TILE_TYPE INT_R TILEPROP INT_R_X35Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y84 TILE_X 62430 TILEPROP INT_R_X35Y84 TILE_Y 31424 TILEPROP INT_R_X35Y84 TYPE INT_R TILEPROP INT_R_X35Y85 CLASS tile TILEPROP INT_R_X35Y85 COLUMN 89 TILEPROP INT_R_X35Y85 DEVICE_ID 0 TILEPROP INT_R_X35Y85 FIRST_SITE_ID 6639 TILEPROP INT_R_X35Y85 GRID_POINT_X 89 TILEPROP INT_R_X35Y85 GRID_POINT_Y 67 TILEPROP INT_R_X35Y85 INDEX 7794 TILEPROP INT_R_X35Y85 INT_TILE_X 35 TILEPROP INT_R_X35Y85 INT_TILE_Y 64 TILEPROP INT_R_X35Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y85 IS_DCM_TILE 0 TILEPROP INT_R_X35Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y85 NAME INT_R_X35Y85 TILEPROP INT_R_X35Y85 NUM_ARCS 3737 TILEPROP INT_R_X35Y85 NUM_SITES 1 TILEPROP INT_R_X35Y85 ROW 67 TILEPROP INT_R_X35Y85 SLR_REGION_ID 0 TILEPROP INT_R_X35Y85 TILE_PATTERN_IDX 5967 TILEPROP INT_R_X35Y85 TILE_TYPE INT_R TILEPROP INT_R_X35Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y85 TILE_X 62430 TILEPROP INT_R_X35Y85 TILE_Y 34624 TILEPROP INT_R_X35Y85 TYPE INT_R TILEPROP INT_R_X35Y86 CLASS tile TILEPROP INT_R_X35Y86 COLUMN 89 TILEPROP INT_R_X35Y86 DEVICE_ID 0 TILEPROP INT_R_X35Y86 FIRST_SITE_ID 6527 TILEPROP INT_R_X35Y86 GRID_POINT_X 89 TILEPROP INT_R_X35Y86 GRID_POINT_Y 66 TILEPROP INT_R_X35Y86 INDEX 7679 TILEPROP INT_R_X35Y86 INT_TILE_X 35 TILEPROP INT_R_X35Y86 INT_TILE_Y 63 TILEPROP INT_R_X35Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y86 IS_DCM_TILE 0 TILEPROP INT_R_X35Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y86 NAME INT_R_X35Y86 TILEPROP INT_R_X35Y86 NUM_ARCS 3737 TILEPROP INT_R_X35Y86 NUM_SITES 1 TILEPROP INT_R_X35Y86 ROW 66 TILEPROP INT_R_X35Y86 SLR_REGION_ID 0 TILEPROP INT_R_X35Y86 TILE_PATTERN_IDX 5932 TILEPROP INT_R_X35Y86 TILE_TYPE INT_R TILEPROP INT_R_X35Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y86 TILE_X 62430 TILEPROP INT_R_X35Y86 TILE_Y 37824 TILEPROP INT_R_X35Y86 TYPE INT_R TILEPROP INT_R_X35Y87 CLASS tile TILEPROP INT_R_X35Y87 COLUMN 89 TILEPROP INT_R_X35Y87 DEVICE_ID 0 TILEPROP INT_R_X35Y87 FIRST_SITE_ID 6395 TILEPROP INT_R_X35Y87 GRID_POINT_X 89 TILEPROP INT_R_X35Y87 GRID_POINT_Y 65 TILEPROP INT_R_X35Y87 INDEX 7564 TILEPROP INT_R_X35Y87 INT_TILE_X 35 TILEPROP INT_R_X35Y87 INT_TILE_Y 62 TILEPROP INT_R_X35Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y87 IS_DCM_TILE 0 TILEPROP INT_R_X35Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y87 NAME INT_R_X35Y87 TILEPROP INT_R_X35Y87 NUM_ARCS 3737 TILEPROP INT_R_X35Y87 NUM_SITES 1 TILEPROP INT_R_X35Y87 ROW 65 TILEPROP INT_R_X35Y87 SLR_REGION_ID 0 TILEPROP INT_R_X35Y87 TILE_PATTERN_IDX 5897 TILEPROP INT_R_X35Y87 TILE_TYPE INT_R TILEPROP INT_R_X35Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y87 TILE_X 62430 TILEPROP INT_R_X35Y87 TILE_Y 41024 TILEPROP INT_R_X35Y87 TYPE INT_R TILEPROP INT_R_X35Y88 CLASS tile TILEPROP INT_R_X35Y88 COLUMN 89 TILEPROP INT_R_X35Y88 DEVICE_ID 0 TILEPROP INT_R_X35Y88 FIRST_SITE_ID 6295 TILEPROP INT_R_X35Y88 GRID_POINT_X 89 TILEPROP INT_R_X35Y88 GRID_POINT_Y 64 TILEPROP INT_R_X35Y88 INDEX 7449 TILEPROP INT_R_X35Y88 INT_TILE_X 35 TILEPROP INT_R_X35Y88 INT_TILE_Y 61 TILEPROP INT_R_X35Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y88 IS_DCM_TILE 0 TILEPROP INT_R_X35Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y88 NAME INT_R_X35Y88 TILEPROP INT_R_X35Y88 NUM_ARCS 3737 TILEPROP INT_R_X35Y88 NUM_SITES 1 TILEPROP INT_R_X35Y88 ROW 64 TILEPROP INT_R_X35Y88 SLR_REGION_ID 0 TILEPROP INT_R_X35Y88 TILE_PATTERN_IDX 5864 TILEPROP INT_R_X35Y88 TILE_TYPE INT_R TILEPROP INT_R_X35Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y88 TILE_X 62430 TILEPROP INT_R_X35Y88 TILE_Y 44224 TILEPROP INT_R_X35Y88 TYPE INT_R TILEPROP INT_R_X35Y89 CLASS tile TILEPROP INT_R_X35Y89 COLUMN 89 TILEPROP INT_R_X35Y89 DEVICE_ID 0 TILEPROP INT_R_X35Y89 FIRST_SITE_ID 6195 TILEPROP INT_R_X35Y89 GRID_POINT_X 89 TILEPROP INT_R_X35Y89 GRID_POINT_Y 63 TILEPROP INT_R_X35Y89 INDEX 7334 TILEPROP INT_R_X35Y89 INT_TILE_X 35 TILEPROP INT_R_X35Y89 INT_TILE_Y 60 TILEPROP INT_R_X35Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y89 IS_DCM_TILE 0 TILEPROP INT_R_X35Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y89 NAME INT_R_X35Y89 TILEPROP INT_R_X35Y89 NUM_ARCS 3737 TILEPROP INT_R_X35Y89 NUM_SITES 1 TILEPROP INT_R_X35Y89 ROW 63 TILEPROP INT_R_X35Y89 SLR_REGION_ID 0 TILEPROP INT_R_X35Y89 TILE_PATTERN_IDX 5830 TILEPROP INT_R_X35Y89 TILE_TYPE INT_R TILEPROP INT_R_X35Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y89 TILE_X 62430 TILEPROP INT_R_X35Y89 TILE_Y 47424 TILEPROP INT_R_X35Y89 TYPE INT_R TILEPROP INT_R_X35Y90 CLASS tile TILEPROP INT_R_X35Y90 COLUMN 89 TILEPROP INT_R_X35Y90 DEVICE_ID 0 TILEPROP INT_R_X35Y90 FIRST_SITE_ID 6092 TILEPROP INT_R_X35Y90 GRID_POINT_X 89 TILEPROP INT_R_X35Y90 GRID_POINT_Y 62 TILEPROP INT_R_X35Y90 INDEX 7219 TILEPROP INT_R_X35Y90 INT_TILE_X 35 TILEPROP INT_R_X35Y90 INT_TILE_Y 59 TILEPROP INT_R_X35Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y90 IS_DCM_TILE 0 TILEPROP INT_R_X35Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y90 NAME INT_R_X35Y90 TILEPROP INT_R_X35Y90 NUM_ARCS 3737 TILEPROP INT_R_X35Y90 NUM_SITES 1 TILEPROP INT_R_X35Y90 ROW 62 TILEPROP INT_R_X35Y90 SLR_REGION_ID 0 TILEPROP INT_R_X35Y90 TILE_PATTERN_IDX 5796 TILEPROP INT_R_X35Y90 TILE_TYPE INT_R TILEPROP INT_R_X35Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y90 TILE_X 62430 TILEPROP INT_R_X35Y90 TILE_Y 50624 TILEPROP INT_R_X35Y90 TYPE INT_R TILEPROP INT_R_X35Y91 CLASS tile TILEPROP INT_R_X35Y91 COLUMN 89 TILEPROP INT_R_X35Y91 DEVICE_ID 0 TILEPROP INT_R_X35Y91 FIRST_SITE_ID 5980 TILEPROP INT_R_X35Y91 GRID_POINT_X 89 TILEPROP INT_R_X35Y91 GRID_POINT_Y 61 TILEPROP INT_R_X35Y91 INDEX 7104 TILEPROP INT_R_X35Y91 INT_TILE_X 35 TILEPROP INT_R_X35Y91 INT_TILE_Y 58 TILEPROP INT_R_X35Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y91 IS_DCM_TILE 0 TILEPROP INT_R_X35Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y91 NAME INT_R_X35Y91 TILEPROP INT_R_X35Y91 NUM_ARCS 3737 TILEPROP INT_R_X35Y91 NUM_SITES 1 TILEPROP INT_R_X35Y91 ROW 61 TILEPROP INT_R_X35Y91 SLR_REGION_ID 0 TILEPROP INT_R_X35Y91 TILE_PATTERN_IDX 5762 TILEPROP INT_R_X35Y91 TILE_TYPE INT_R TILEPROP INT_R_X35Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y91 TILE_X 62430 TILEPROP INT_R_X35Y91 TILE_Y 53824 TILEPROP INT_R_X35Y91 TYPE INT_R TILEPROP INT_R_X35Y92 CLASS tile TILEPROP INT_R_X35Y92 COLUMN 89 TILEPROP INT_R_X35Y92 DEVICE_ID 0 TILEPROP INT_R_X35Y92 FIRST_SITE_ID 5879 TILEPROP INT_R_X35Y92 GRID_POINT_X 89 TILEPROP INT_R_X35Y92 GRID_POINT_Y 60 TILEPROP INT_R_X35Y92 INDEX 6989 TILEPROP INT_R_X35Y92 INT_TILE_X 35 TILEPROP INT_R_X35Y92 INT_TILE_Y 57 TILEPROP INT_R_X35Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y92 IS_DCM_TILE 0 TILEPROP INT_R_X35Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y92 NAME INT_R_X35Y92 TILEPROP INT_R_X35Y92 NUM_ARCS 3737 TILEPROP INT_R_X35Y92 NUM_SITES 1 TILEPROP INT_R_X35Y92 ROW 60 TILEPROP INT_R_X35Y92 SLR_REGION_ID 0 TILEPROP INT_R_X35Y92 TILE_PATTERN_IDX 5729 TILEPROP INT_R_X35Y92 TILE_TYPE INT_R TILEPROP INT_R_X35Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y92 TILE_X 62430 TILEPROP INT_R_X35Y92 TILE_Y 57024 TILEPROP INT_R_X35Y92 TYPE INT_R TILEPROP INT_R_X35Y93 CLASS tile TILEPROP INT_R_X35Y93 COLUMN 89 TILEPROP INT_R_X35Y93 DEVICE_ID 0 TILEPROP INT_R_X35Y93 FIRST_SITE_ID 5776 TILEPROP INT_R_X35Y93 GRID_POINT_X 89 TILEPROP INT_R_X35Y93 GRID_POINT_Y 59 TILEPROP INT_R_X35Y93 INDEX 6874 TILEPROP INT_R_X35Y93 INT_TILE_X 35 TILEPROP INT_R_X35Y93 INT_TILE_Y 56 TILEPROP INT_R_X35Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y93 IS_DCM_TILE 0 TILEPROP INT_R_X35Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y93 NAME INT_R_X35Y93 TILEPROP INT_R_X35Y93 NUM_ARCS 3737 TILEPROP INT_R_X35Y93 NUM_SITES 1 TILEPROP INT_R_X35Y93 ROW 59 TILEPROP INT_R_X35Y93 SLR_REGION_ID 0 TILEPROP INT_R_X35Y93 TILE_PATTERN_IDX 5695 TILEPROP INT_R_X35Y93 TILE_TYPE INT_R TILEPROP INT_R_X35Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y93 TILE_X 62430 TILEPROP INT_R_X35Y93 TILE_Y 60224 TILEPROP INT_R_X35Y93 TYPE INT_R TILEPROP INT_R_X35Y94 CLASS tile TILEPROP INT_R_X35Y94 COLUMN 89 TILEPROP INT_R_X35Y94 DEVICE_ID 0 TILEPROP INT_R_X35Y94 FIRST_SITE_ID 5674 TILEPROP INT_R_X35Y94 GRID_POINT_X 89 TILEPROP INT_R_X35Y94 GRID_POINT_Y 58 TILEPROP INT_R_X35Y94 INDEX 6759 TILEPROP INT_R_X35Y94 INT_TILE_X 35 TILEPROP INT_R_X35Y94 INT_TILE_Y 55 TILEPROP INT_R_X35Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y94 IS_DCM_TILE 0 TILEPROP INT_R_X35Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y94 NAME INT_R_X35Y94 TILEPROP INT_R_X35Y94 NUM_ARCS 3737 TILEPROP INT_R_X35Y94 NUM_SITES 1 TILEPROP INT_R_X35Y94 ROW 58 TILEPROP INT_R_X35Y94 SLR_REGION_ID 0 TILEPROP INT_R_X35Y94 TILE_PATTERN_IDX 5661 TILEPROP INT_R_X35Y94 TILE_TYPE INT_R TILEPROP INT_R_X35Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y94 TILE_X 62430 TILEPROP INT_R_X35Y94 TILE_Y 63424 TILEPROP INT_R_X35Y94 TYPE INT_R TILEPROP INT_R_X35Y95 CLASS tile TILEPROP INT_R_X35Y95 COLUMN 89 TILEPROP INT_R_X35Y95 DEVICE_ID 0 TILEPROP INT_R_X35Y95 FIRST_SITE_ID 5571 TILEPROP INT_R_X35Y95 GRID_POINT_X 89 TILEPROP INT_R_X35Y95 GRID_POINT_Y 57 TILEPROP INT_R_X35Y95 INDEX 6644 TILEPROP INT_R_X35Y95 INT_TILE_X 35 TILEPROP INT_R_X35Y95 INT_TILE_Y 54 TILEPROP INT_R_X35Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y95 IS_DCM_TILE 0 TILEPROP INT_R_X35Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y95 NAME INT_R_X35Y95 TILEPROP INT_R_X35Y95 NUM_ARCS 3737 TILEPROP INT_R_X35Y95 NUM_SITES 1 TILEPROP INT_R_X35Y95 ROW 57 TILEPROP INT_R_X35Y95 SLR_REGION_ID 0 TILEPROP INT_R_X35Y95 TILE_PATTERN_IDX 5627 TILEPROP INT_R_X35Y95 TILE_TYPE INT_R TILEPROP INT_R_X35Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y95 TILE_X 62430 TILEPROP INT_R_X35Y95 TILE_Y 66624 TILEPROP INT_R_X35Y95 TYPE INT_R TILEPROP INT_R_X35Y96 CLASS tile TILEPROP INT_R_X35Y96 COLUMN 89 TILEPROP INT_R_X35Y96 DEVICE_ID 0 TILEPROP INT_R_X35Y96 FIRST_SITE_ID 5459 TILEPROP INT_R_X35Y96 GRID_POINT_X 89 TILEPROP INT_R_X35Y96 GRID_POINT_Y 56 TILEPROP INT_R_X35Y96 INDEX 6529 TILEPROP INT_R_X35Y96 INT_TILE_X 35 TILEPROP INT_R_X35Y96 INT_TILE_Y 53 TILEPROP INT_R_X35Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y96 IS_DCM_TILE 0 TILEPROP INT_R_X35Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y96 NAME INT_R_X35Y96 TILEPROP INT_R_X35Y96 NUM_ARCS 3737 TILEPROP INT_R_X35Y96 NUM_SITES 1 TILEPROP INT_R_X35Y96 ROW 56 TILEPROP INT_R_X35Y96 SLR_REGION_ID 0 TILEPROP INT_R_X35Y96 TILE_PATTERN_IDX 5592 TILEPROP INT_R_X35Y96 TILE_TYPE INT_R TILEPROP INT_R_X35Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y96 TILE_X 62430 TILEPROP INT_R_X35Y96 TILE_Y 69824 TILEPROP INT_R_X35Y96 TYPE INT_R TILEPROP INT_R_X35Y97 CLASS tile TILEPROP INT_R_X35Y97 COLUMN 89 TILEPROP INT_R_X35Y97 DEVICE_ID 0 TILEPROP INT_R_X35Y97 FIRST_SITE_ID 5359 TILEPROP INT_R_X35Y97 GRID_POINT_X 89 TILEPROP INT_R_X35Y97 GRID_POINT_Y 55 TILEPROP INT_R_X35Y97 INDEX 6414 TILEPROP INT_R_X35Y97 INT_TILE_X 35 TILEPROP INT_R_X35Y97 INT_TILE_Y 52 TILEPROP INT_R_X35Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y97 IS_DCM_TILE 0 TILEPROP INT_R_X35Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y97 NAME INT_R_X35Y97 TILEPROP INT_R_X35Y97 NUM_ARCS 3737 TILEPROP INT_R_X35Y97 NUM_SITES 1 TILEPROP INT_R_X35Y97 ROW 55 TILEPROP INT_R_X35Y97 SLR_REGION_ID 0 TILEPROP INT_R_X35Y97 TILE_PATTERN_IDX 5558 TILEPROP INT_R_X35Y97 TILE_TYPE INT_R TILEPROP INT_R_X35Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y97 TILE_X 62430 TILEPROP INT_R_X35Y97 TILE_Y 73024 TILEPROP INT_R_X35Y97 TYPE INT_R TILEPROP INT_R_X35Y98 CLASS tile TILEPROP INT_R_X35Y98 COLUMN 89 TILEPROP INT_R_X35Y98 DEVICE_ID 0 TILEPROP INT_R_X35Y98 FIRST_SITE_ID 5259 TILEPROP INT_R_X35Y98 GRID_POINT_X 89 TILEPROP INT_R_X35Y98 GRID_POINT_Y 54 TILEPROP INT_R_X35Y98 INDEX 6299 TILEPROP INT_R_X35Y98 INT_TILE_X 35 TILEPROP INT_R_X35Y98 INT_TILE_Y 51 TILEPROP INT_R_X35Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y98 IS_DCM_TILE 0 TILEPROP INT_R_X35Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y98 NAME INT_R_X35Y98 TILEPROP INT_R_X35Y98 NUM_ARCS 3737 TILEPROP INT_R_X35Y98 NUM_SITES 1 TILEPROP INT_R_X35Y98 ROW 54 TILEPROP INT_R_X35Y98 SLR_REGION_ID 0 TILEPROP INT_R_X35Y98 TILE_PATTERN_IDX 5524 TILEPROP INT_R_X35Y98 TILE_TYPE INT_R TILEPROP INT_R_X35Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y98 TILE_X 62430 TILEPROP INT_R_X35Y98 TILE_Y 76224 TILEPROP INT_R_X35Y98 TYPE INT_R TILEPROP INT_R_X35Y99 CLASS tile TILEPROP INT_R_X35Y99 COLUMN 89 TILEPROP INT_R_X35Y99 DEVICE_ID 0 TILEPROP INT_R_X35Y99 FIRST_SITE_ID 5163 TILEPROP INT_R_X35Y99 GRID_POINT_X 89 TILEPROP INT_R_X35Y99 GRID_POINT_Y 53 TILEPROP INT_R_X35Y99 INDEX 6184 TILEPROP INT_R_X35Y99 INT_TILE_X 35 TILEPROP INT_R_X35Y99 INT_TILE_Y 50 TILEPROP INT_R_X35Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y99 IS_DCM_TILE 0 TILEPROP INT_R_X35Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y99 NAME INT_R_X35Y99 TILEPROP INT_R_X35Y99 NUM_ARCS 3737 TILEPROP INT_R_X35Y99 NUM_SITES 1 TILEPROP INT_R_X35Y99 ROW 53 TILEPROP INT_R_X35Y99 SLR_REGION_ID 0 TILEPROP INT_R_X35Y99 TILE_PATTERN_IDX 5491 TILEPROP INT_R_X35Y99 TILE_TYPE INT_R TILEPROP INT_R_X35Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y99 TILE_X 62430 TILEPROP INT_R_X35Y99 TILE_Y 79424 TILEPROP INT_R_X35Y99 TYPE INT_R TILEPROP INT_R_X35Y100 CLASS tile TILEPROP INT_R_X35Y100 COLUMN 89 TILEPROP INT_R_X35Y100 DEVICE_ID 0 TILEPROP INT_R_X35Y100 FIRST_SITE_ID 5081 TILEPROP INT_R_X35Y100 GRID_POINT_X 89 TILEPROP INT_R_X35Y100 GRID_POINT_Y 51 TILEPROP INT_R_X35Y100 INDEX 5954 TILEPROP INT_R_X35Y100 INT_TILE_X 35 TILEPROP INT_R_X35Y100 INT_TILE_Y 49 TILEPROP INT_R_X35Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y100 IS_DCM_TILE 0 TILEPROP INT_R_X35Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y100 NAME INT_R_X35Y100 TILEPROP INT_R_X35Y100 NUM_ARCS 3737 TILEPROP INT_R_X35Y100 NUM_SITES 1 TILEPROP INT_R_X35Y100 ROW 51 TILEPROP INT_R_X35Y100 SLR_REGION_ID 0 TILEPROP INT_R_X35Y100 TILE_PATTERN_IDX 5457 TILEPROP INT_R_X35Y100 TILE_TYPE INT_R TILEPROP INT_R_X35Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y100 TILE_X 62430 TILEPROP INT_R_X35Y100 TILE_Y 82872 TILEPROP INT_R_X35Y100 TYPE INT_R TILEPROP INT_R_X35Y101 CLASS tile TILEPROP INT_R_X35Y101 COLUMN 89 TILEPROP INT_R_X35Y101 DEVICE_ID 0 TILEPROP INT_R_X35Y101 FIRST_SITE_ID 4980 TILEPROP INT_R_X35Y101 GRID_POINT_X 89 TILEPROP INT_R_X35Y101 GRID_POINT_Y 50 TILEPROP INT_R_X35Y101 INDEX 5839 TILEPROP INT_R_X35Y101 INT_TILE_X 35 TILEPROP INT_R_X35Y101 INT_TILE_Y 48 TILEPROP INT_R_X35Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y101 IS_DCM_TILE 0 TILEPROP INT_R_X35Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y101 NAME INT_R_X35Y101 TILEPROP INT_R_X35Y101 NUM_ARCS 3737 TILEPROP INT_R_X35Y101 NUM_SITES 1 TILEPROP INT_R_X35Y101 ROW 50 TILEPROP INT_R_X35Y101 SLR_REGION_ID 0 TILEPROP INT_R_X35Y101 TILE_PATTERN_IDX 5421 TILEPROP INT_R_X35Y101 TILE_TYPE INT_R TILEPROP INT_R_X35Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y101 TILE_X 62430 TILEPROP INT_R_X35Y101 TILE_Y 86072 TILEPROP INT_R_X35Y101 TYPE INT_R TILEPROP INT_R_X35Y102 CLASS tile TILEPROP INT_R_X35Y102 COLUMN 89 TILEPROP INT_R_X35Y102 DEVICE_ID 0 TILEPROP INT_R_X35Y102 FIRST_SITE_ID 4884 TILEPROP INT_R_X35Y102 GRID_POINT_X 89 TILEPROP INT_R_X35Y102 GRID_POINT_Y 49 TILEPROP INT_R_X35Y102 INDEX 5724 TILEPROP INT_R_X35Y102 INT_TILE_X 35 TILEPROP INT_R_X35Y102 INT_TILE_Y 47 TILEPROP INT_R_X35Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y102 IS_DCM_TILE 0 TILEPROP INT_R_X35Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y102 NAME INT_R_X35Y102 TILEPROP INT_R_X35Y102 NUM_ARCS 3737 TILEPROP INT_R_X35Y102 NUM_SITES 1 TILEPROP INT_R_X35Y102 ROW 49 TILEPROP INT_R_X35Y102 SLR_REGION_ID 0 TILEPROP INT_R_X35Y102 TILE_PATTERN_IDX 5384 TILEPROP INT_R_X35Y102 TILE_TYPE INT_R TILEPROP INT_R_X35Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y102 TILE_X 62430 TILEPROP INT_R_X35Y102 TILE_Y 89272 TILEPROP INT_R_X35Y102 TYPE INT_R TILEPROP INT_R_X35Y103 CLASS tile TILEPROP INT_R_X35Y103 COLUMN 89 TILEPROP INT_R_X35Y103 DEVICE_ID 0 TILEPROP INT_R_X35Y103 FIRST_SITE_ID 4796 TILEPROP INT_R_X35Y103 GRID_POINT_X 89 TILEPROP INT_R_X35Y103 GRID_POINT_Y 48 TILEPROP INT_R_X35Y103 INDEX 5609 TILEPROP INT_R_X35Y103 INT_TILE_X 35 TILEPROP INT_R_X35Y103 INT_TILE_Y 46 TILEPROP INT_R_X35Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y103 IS_DCM_TILE 0 TILEPROP INT_R_X35Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y103 NAME INT_R_X35Y103 TILEPROP INT_R_X35Y103 NUM_ARCS 3737 TILEPROP INT_R_X35Y103 NUM_SITES 1 TILEPROP INT_R_X35Y103 ROW 48 TILEPROP INT_R_X35Y103 SLR_REGION_ID 0 TILEPROP INT_R_X35Y103 TILE_PATTERN_IDX 5348 TILEPROP INT_R_X35Y103 TILE_TYPE INT_R TILEPROP INT_R_X35Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y103 TILE_X 62430 TILEPROP INT_R_X35Y103 TILE_Y 92472 TILEPROP INT_R_X35Y103 TYPE INT_R TILEPROP INT_R_X35Y104 CLASS tile TILEPROP INT_R_X35Y104 COLUMN 89 TILEPROP INT_R_X35Y104 DEVICE_ID 0 TILEPROP INT_R_X35Y104 FIRST_SITE_ID 4700 TILEPROP INT_R_X35Y104 GRID_POINT_X 89 TILEPROP INT_R_X35Y104 GRID_POINT_Y 47 TILEPROP INT_R_X35Y104 INDEX 5494 TILEPROP INT_R_X35Y104 INT_TILE_X 35 TILEPROP INT_R_X35Y104 INT_TILE_Y 45 TILEPROP INT_R_X35Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y104 IS_DCM_TILE 0 TILEPROP INT_R_X35Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y104 NAME INT_R_X35Y104 TILEPROP INT_R_X35Y104 NUM_ARCS 3737 TILEPROP INT_R_X35Y104 NUM_SITES 1 TILEPROP INT_R_X35Y104 ROW 47 TILEPROP INT_R_X35Y104 SLR_REGION_ID 0 TILEPROP INT_R_X35Y104 TILE_PATTERN_IDX 5311 TILEPROP INT_R_X35Y104 TILE_TYPE INT_R TILEPROP INT_R_X35Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y104 TILE_X 62430 TILEPROP INT_R_X35Y104 TILE_Y 95672 TILEPROP INT_R_X35Y104 TYPE INT_R TILEPROP INT_R_X35Y105 CLASS tile TILEPROP INT_R_X35Y105 COLUMN 89 TILEPROP INT_R_X35Y105 DEVICE_ID 0 TILEPROP INT_R_X35Y105 FIRST_SITE_ID 4607 TILEPROP INT_R_X35Y105 GRID_POINT_X 89 TILEPROP INT_R_X35Y105 GRID_POINT_Y 46 TILEPROP INT_R_X35Y105 INDEX 5379 TILEPROP INT_R_X35Y105 INT_TILE_X 35 TILEPROP INT_R_X35Y105 INT_TILE_Y 44 TILEPROP INT_R_X35Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y105 IS_DCM_TILE 0 TILEPROP INT_R_X35Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y105 NAME INT_R_X35Y105 TILEPROP INT_R_X35Y105 NUM_ARCS 3737 TILEPROP INT_R_X35Y105 NUM_SITES 1 TILEPROP INT_R_X35Y105 ROW 46 TILEPROP INT_R_X35Y105 SLR_REGION_ID 0 TILEPROP INT_R_X35Y105 TILE_PATTERN_IDX 5275 TILEPROP INT_R_X35Y105 TILE_TYPE INT_R TILEPROP INT_R_X35Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y105 TILE_X 62430 TILEPROP INT_R_X35Y105 TILE_Y 98872 TILEPROP INT_R_X35Y105 TYPE INT_R TILEPROP INT_R_X35Y106 CLASS tile TILEPROP INT_R_X35Y106 COLUMN 89 TILEPROP INT_R_X35Y106 DEVICE_ID 0 TILEPROP INT_R_X35Y106 FIRST_SITE_ID 4502 TILEPROP INT_R_X35Y106 GRID_POINT_X 89 TILEPROP INT_R_X35Y106 GRID_POINT_Y 45 TILEPROP INT_R_X35Y106 INDEX 5264 TILEPROP INT_R_X35Y106 INT_TILE_X 35 TILEPROP INT_R_X35Y106 INT_TILE_Y 43 TILEPROP INT_R_X35Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y106 IS_DCM_TILE 0 TILEPROP INT_R_X35Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y106 NAME INT_R_X35Y106 TILEPROP INT_R_X35Y106 NUM_ARCS 3737 TILEPROP INT_R_X35Y106 NUM_SITES 1 TILEPROP INT_R_X35Y106 ROW 45 TILEPROP INT_R_X35Y106 SLR_REGION_ID 0 TILEPROP INT_R_X35Y106 TILE_PATTERN_IDX 5238 TILEPROP INT_R_X35Y106 TILE_TYPE INT_R TILEPROP INT_R_X35Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y106 TILE_X 62430 TILEPROP INT_R_X35Y106 TILE_Y 102072 TILEPROP INT_R_X35Y106 TYPE INT_R TILEPROP INT_R_X35Y107 CLASS tile TILEPROP INT_R_X35Y107 COLUMN 89 TILEPROP INT_R_X35Y107 DEVICE_ID 0 TILEPROP INT_R_X35Y107 FIRST_SITE_ID 4414 TILEPROP INT_R_X35Y107 GRID_POINT_X 89 TILEPROP INT_R_X35Y107 GRID_POINT_Y 44 TILEPROP INT_R_X35Y107 INDEX 5149 TILEPROP INT_R_X35Y107 INT_TILE_X 35 TILEPROP INT_R_X35Y107 INT_TILE_Y 42 TILEPROP INT_R_X35Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y107 IS_DCM_TILE 0 TILEPROP INT_R_X35Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y107 NAME INT_R_X35Y107 TILEPROP INT_R_X35Y107 NUM_ARCS 3737 TILEPROP INT_R_X35Y107 NUM_SITES 1 TILEPROP INT_R_X35Y107 ROW 44 TILEPROP INT_R_X35Y107 SLR_REGION_ID 0 TILEPROP INT_R_X35Y107 TILE_PATTERN_IDX 5202 TILEPROP INT_R_X35Y107 TILE_TYPE INT_R TILEPROP INT_R_X35Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y107 TILE_X 62430 TILEPROP INT_R_X35Y107 TILE_Y 105272 TILEPROP INT_R_X35Y107 TYPE INT_R TILEPROP INT_R_X35Y108 CLASS tile TILEPROP INT_R_X35Y108 COLUMN 89 TILEPROP INT_R_X35Y108 DEVICE_ID 0 TILEPROP INT_R_X35Y108 FIRST_SITE_ID 4316 TILEPROP INT_R_X35Y108 GRID_POINT_X 89 TILEPROP INT_R_X35Y108 GRID_POINT_Y 43 TILEPROP INT_R_X35Y108 INDEX 5034 TILEPROP INT_R_X35Y108 INT_TILE_X 35 TILEPROP INT_R_X35Y108 INT_TILE_Y 41 TILEPROP INT_R_X35Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y108 IS_DCM_TILE 0 TILEPROP INT_R_X35Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y108 NAME INT_R_X35Y108 TILEPROP INT_R_X35Y108 NUM_ARCS 3737 TILEPROP INT_R_X35Y108 NUM_SITES 1 TILEPROP INT_R_X35Y108 ROW 43 TILEPROP INT_R_X35Y108 SLR_REGION_ID 0 TILEPROP INT_R_X35Y108 TILE_PATTERN_IDX 5165 TILEPROP INT_R_X35Y108 TILE_TYPE INT_R TILEPROP INT_R_X35Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y108 TILE_X 62430 TILEPROP INT_R_X35Y108 TILE_Y 108472 TILEPROP INT_R_X35Y108 TYPE INT_R TILEPROP INT_R_X35Y109 CLASS tile TILEPROP INT_R_X35Y109 COLUMN 89 TILEPROP INT_R_X35Y109 DEVICE_ID 0 TILEPROP INT_R_X35Y109 FIRST_SITE_ID 4227 TILEPROP INT_R_X35Y109 GRID_POINT_X 89 TILEPROP INT_R_X35Y109 GRID_POINT_Y 42 TILEPROP INT_R_X35Y109 INDEX 4919 TILEPROP INT_R_X35Y109 INT_TILE_X 35 TILEPROP INT_R_X35Y109 INT_TILE_Y 40 TILEPROP INT_R_X35Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y109 IS_DCM_TILE 0 TILEPROP INT_R_X35Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y109 NAME INT_R_X35Y109 TILEPROP INT_R_X35Y109 NUM_ARCS 3737 TILEPROP INT_R_X35Y109 NUM_SITES 1 TILEPROP INT_R_X35Y109 ROW 42 TILEPROP INT_R_X35Y109 SLR_REGION_ID 0 TILEPROP INT_R_X35Y109 TILE_PATTERN_IDX 5129 TILEPROP INT_R_X35Y109 TILE_TYPE INT_R TILEPROP INT_R_X35Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y109 TILE_X 62430 TILEPROP INT_R_X35Y109 TILE_Y 111672 TILEPROP INT_R_X35Y109 TYPE INT_R TILEPROP INT_R_X35Y110 CLASS tile TILEPROP INT_R_X35Y110 COLUMN 89 TILEPROP INT_R_X35Y110 DEVICE_ID 0 TILEPROP INT_R_X35Y110 FIRST_SITE_ID 4131 TILEPROP INT_R_X35Y110 GRID_POINT_X 89 TILEPROP INT_R_X35Y110 GRID_POINT_Y 41 TILEPROP INT_R_X35Y110 INDEX 4804 TILEPROP INT_R_X35Y110 INT_TILE_X 35 TILEPROP INT_R_X35Y110 INT_TILE_Y 39 TILEPROP INT_R_X35Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y110 IS_DCM_TILE 0 TILEPROP INT_R_X35Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y110 NAME INT_R_X35Y110 TILEPROP INT_R_X35Y110 NUM_ARCS 3737 TILEPROP INT_R_X35Y110 NUM_SITES 1 TILEPROP INT_R_X35Y110 ROW 41 TILEPROP INT_R_X35Y110 SLR_REGION_ID 0 TILEPROP INT_R_X35Y110 TILE_PATTERN_IDX 5092 TILEPROP INT_R_X35Y110 TILE_TYPE INT_R TILEPROP INT_R_X35Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y110 TILE_X 62430 TILEPROP INT_R_X35Y110 TILE_Y 114872 TILEPROP INT_R_X35Y110 TYPE INT_R TILEPROP INT_R_X35Y111 CLASS tile TILEPROP INT_R_X35Y111 COLUMN 89 TILEPROP INT_R_X35Y111 DEVICE_ID 0 TILEPROP INT_R_X35Y111 FIRST_SITE_ID 4033 TILEPROP INT_R_X35Y111 GRID_POINT_X 89 TILEPROP INT_R_X35Y111 GRID_POINT_Y 40 TILEPROP INT_R_X35Y111 INDEX 4689 TILEPROP INT_R_X35Y111 INT_TILE_X 35 TILEPROP INT_R_X35Y111 INT_TILE_Y 38 TILEPROP INT_R_X35Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y111 IS_DCM_TILE 0 TILEPROP INT_R_X35Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y111 NAME INT_R_X35Y111 TILEPROP INT_R_X35Y111 NUM_ARCS 3737 TILEPROP INT_R_X35Y111 NUM_SITES 1 TILEPROP INT_R_X35Y111 ROW 40 TILEPROP INT_R_X35Y111 SLR_REGION_ID 0 TILEPROP INT_R_X35Y111 TILE_PATTERN_IDX 5056 TILEPROP INT_R_X35Y111 TILE_TYPE INT_R TILEPROP INT_R_X35Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y111 TILE_X 62430 TILEPROP INT_R_X35Y111 TILE_Y 118072 TILEPROP INT_R_X35Y111 TYPE INT_R TILEPROP INT_R_X35Y112 CLASS tile TILEPROP INT_R_X35Y112 COLUMN 89 TILEPROP INT_R_X35Y112 DEVICE_ID 0 TILEPROP INT_R_X35Y112 FIRST_SITE_ID 3937 TILEPROP INT_R_X35Y112 GRID_POINT_X 89 TILEPROP INT_R_X35Y112 GRID_POINT_Y 39 TILEPROP INT_R_X35Y112 INDEX 4574 TILEPROP INT_R_X35Y112 INT_TILE_X 35 TILEPROP INT_R_X35Y112 INT_TILE_Y 37 TILEPROP INT_R_X35Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y112 IS_DCM_TILE 0 TILEPROP INT_R_X35Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y112 NAME INT_R_X35Y112 TILEPROP INT_R_X35Y112 NUM_ARCS 3737 TILEPROP INT_R_X35Y112 NUM_SITES 1 TILEPROP INT_R_X35Y112 ROW 39 TILEPROP INT_R_X35Y112 SLR_REGION_ID 0 TILEPROP INT_R_X35Y112 TILE_PATTERN_IDX 5019 TILEPROP INT_R_X35Y112 TILE_TYPE INT_R TILEPROP INT_R_X35Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y112 TILE_X 62430 TILEPROP INT_R_X35Y112 TILE_Y 121272 TILEPROP INT_R_X35Y112 TYPE INT_R TILEPROP INT_R_X35Y113 CLASS tile TILEPROP INT_R_X35Y113 COLUMN 89 TILEPROP INT_R_X35Y113 DEVICE_ID 0 TILEPROP INT_R_X35Y113 FIRST_SITE_ID 3817 TILEPROP INT_R_X35Y113 GRID_POINT_X 89 TILEPROP INT_R_X35Y113 GRID_POINT_Y 38 TILEPROP INT_R_X35Y113 INDEX 4459 TILEPROP INT_R_X35Y113 INT_TILE_X 35 TILEPROP INT_R_X35Y113 INT_TILE_Y 36 TILEPROP INT_R_X35Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y113 IS_DCM_TILE 0 TILEPROP INT_R_X35Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y113 NAME INT_R_X35Y113 TILEPROP INT_R_X35Y113 NUM_ARCS 3737 TILEPROP INT_R_X35Y113 NUM_SITES 1 TILEPROP INT_R_X35Y113 ROW 38 TILEPROP INT_R_X35Y113 SLR_REGION_ID 0 TILEPROP INT_R_X35Y113 TILE_PATTERN_IDX 4982 TILEPROP INT_R_X35Y113 TILE_TYPE INT_R TILEPROP INT_R_X35Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y113 TILE_X 62430 TILEPROP INT_R_X35Y113 TILE_Y 124472 TILEPROP INT_R_X35Y113 TYPE INT_R TILEPROP INT_R_X35Y114 CLASS tile TILEPROP INT_R_X35Y114 COLUMN 89 TILEPROP INT_R_X35Y114 DEVICE_ID 0 TILEPROP INT_R_X35Y114 FIRST_SITE_ID 3721 TILEPROP INT_R_X35Y114 GRID_POINT_X 89 TILEPROP INT_R_X35Y114 GRID_POINT_Y 37 TILEPROP INT_R_X35Y114 INDEX 4344 TILEPROP INT_R_X35Y114 INT_TILE_X 35 TILEPROP INT_R_X35Y114 INT_TILE_Y 35 TILEPROP INT_R_X35Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y114 IS_DCM_TILE 0 TILEPROP INT_R_X35Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y114 NAME INT_R_X35Y114 TILEPROP INT_R_X35Y114 NUM_ARCS 3737 TILEPROP INT_R_X35Y114 NUM_SITES 1 TILEPROP INT_R_X35Y114 ROW 37 TILEPROP INT_R_X35Y114 SLR_REGION_ID 0 TILEPROP INT_R_X35Y114 TILE_PATTERN_IDX 4945 TILEPROP INT_R_X35Y114 TILE_TYPE INT_R TILEPROP INT_R_X35Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y114 TILE_X 62430 TILEPROP INT_R_X35Y114 TILE_Y 127672 TILEPROP INT_R_X35Y114 TYPE INT_R TILEPROP INT_R_X35Y115 CLASS tile TILEPROP INT_R_X35Y115 COLUMN 89 TILEPROP INT_R_X35Y115 DEVICE_ID 0 TILEPROP INT_R_X35Y115 FIRST_SITE_ID 3633 TILEPROP INT_R_X35Y115 GRID_POINT_X 89 TILEPROP INT_R_X35Y115 GRID_POINT_Y 36 TILEPROP INT_R_X35Y115 INDEX 4229 TILEPROP INT_R_X35Y115 INT_TILE_X 35 TILEPROP INT_R_X35Y115 INT_TILE_Y 34 TILEPROP INT_R_X35Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y115 IS_DCM_TILE 0 TILEPROP INT_R_X35Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y115 NAME INT_R_X35Y115 TILEPROP INT_R_X35Y115 NUM_ARCS 3737 TILEPROP INT_R_X35Y115 NUM_SITES 1 TILEPROP INT_R_X35Y115 ROW 36 TILEPROP INT_R_X35Y115 SLR_REGION_ID 0 TILEPROP INT_R_X35Y115 TILE_PATTERN_IDX 4909 TILEPROP INT_R_X35Y115 TILE_TYPE INT_R TILEPROP INT_R_X35Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y115 TILE_X 62430 TILEPROP INT_R_X35Y115 TILE_Y 130872 TILEPROP INT_R_X35Y115 TYPE INT_R TILEPROP INT_R_X35Y116 CLASS tile TILEPROP INT_R_X35Y116 COLUMN 89 TILEPROP INT_R_X35Y116 DEVICE_ID 0 TILEPROP INT_R_X35Y116 FIRST_SITE_ID 3523 TILEPROP INT_R_X35Y116 GRID_POINT_X 89 TILEPROP INT_R_X35Y116 GRID_POINT_Y 35 TILEPROP INT_R_X35Y116 INDEX 4114 TILEPROP INT_R_X35Y116 INT_TILE_X 35 TILEPROP INT_R_X35Y116 INT_TILE_Y 33 TILEPROP INT_R_X35Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y116 IS_DCM_TILE 0 TILEPROP INT_R_X35Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y116 NAME INT_R_X35Y116 TILEPROP INT_R_X35Y116 NUM_ARCS 3737 TILEPROP INT_R_X35Y116 NUM_SITES 1 TILEPROP INT_R_X35Y116 ROW 35 TILEPROP INT_R_X35Y116 SLR_REGION_ID 0 TILEPROP INT_R_X35Y116 TILE_PATTERN_IDX 4872 TILEPROP INT_R_X35Y116 TILE_TYPE INT_R TILEPROP INT_R_X35Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y116 TILE_X 62430 TILEPROP INT_R_X35Y116 TILE_Y 134072 TILEPROP INT_R_X35Y116 TYPE INT_R TILEPROP INT_R_X35Y117 CLASS tile TILEPROP INT_R_X35Y117 COLUMN 89 TILEPROP INT_R_X35Y117 DEVICE_ID 0 TILEPROP INT_R_X35Y117 FIRST_SITE_ID 3435 TILEPROP INT_R_X35Y117 GRID_POINT_X 89 TILEPROP INT_R_X35Y117 GRID_POINT_Y 34 TILEPROP INT_R_X35Y117 INDEX 3999 TILEPROP INT_R_X35Y117 INT_TILE_X 35 TILEPROP INT_R_X35Y117 INT_TILE_Y 32 TILEPROP INT_R_X35Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y117 IS_DCM_TILE 0 TILEPROP INT_R_X35Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y117 NAME INT_R_X35Y117 TILEPROP INT_R_X35Y117 NUM_ARCS 3737 TILEPROP INT_R_X35Y117 NUM_SITES 1 TILEPROP INT_R_X35Y117 ROW 34 TILEPROP INT_R_X35Y117 SLR_REGION_ID 0 TILEPROP INT_R_X35Y117 TILE_PATTERN_IDX 4836 TILEPROP INT_R_X35Y117 TILE_TYPE INT_R TILEPROP INT_R_X35Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y117 TILE_X 62430 TILEPROP INT_R_X35Y117 TILE_Y 137272 TILEPROP INT_R_X35Y117 TYPE INT_R TILEPROP INT_R_X35Y118 CLASS tile TILEPROP INT_R_X35Y118 COLUMN 89 TILEPROP INT_R_X35Y118 DEVICE_ID 0 TILEPROP INT_R_X35Y118 FIRST_SITE_ID 3335 TILEPROP INT_R_X35Y118 GRID_POINT_X 89 TILEPROP INT_R_X35Y118 GRID_POINT_Y 33 TILEPROP INT_R_X35Y118 INDEX 3884 TILEPROP INT_R_X35Y118 INT_TILE_X 35 TILEPROP INT_R_X35Y118 INT_TILE_Y 31 TILEPROP INT_R_X35Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y118 IS_DCM_TILE 0 TILEPROP INT_R_X35Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y118 NAME INT_R_X35Y118 TILEPROP INT_R_X35Y118 NUM_ARCS 3737 TILEPROP INT_R_X35Y118 NUM_SITES 1 TILEPROP INT_R_X35Y118 ROW 33 TILEPROP INT_R_X35Y118 SLR_REGION_ID 0 TILEPROP INT_R_X35Y118 TILE_PATTERN_IDX 4798 TILEPROP INT_R_X35Y118 TILE_TYPE INT_R TILEPROP INT_R_X35Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y118 TILE_X 62430 TILEPROP INT_R_X35Y118 TILE_Y 140472 TILEPROP INT_R_X35Y118 TYPE INT_R TILEPROP INT_R_X35Y119 CLASS tile TILEPROP INT_R_X35Y119 COLUMN 89 TILEPROP INT_R_X35Y119 DEVICE_ID 0 TILEPROP INT_R_X35Y119 FIRST_SITE_ID 3247 TILEPROP INT_R_X35Y119 GRID_POINT_X 89 TILEPROP INT_R_X35Y119 GRID_POINT_Y 32 TILEPROP INT_R_X35Y119 INDEX 3769 TILEPROP INT_R_X35Y119 INT_TILE_X 35 TILEPROP INT_R_X35Y119 INT_TILE_Y 30 TILEPROP INT_R_X35Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y119 IS_DCM_TILE 0 TILEPROP INT_R_X35Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y119 NAME INT_R_X35Y119 TILEPROP INT_R_X35Y119 NUM_ARCS 3737 TILEPROP INT_R_X35Y119 NUM_SITES 1 TILEPROP INT_R_X35Y119 ROW 32 TILEPROP INT_R_X35Y119 SLR_REGION_ID 0 TILEPROP INT_R_X35Y119 TILE_PATTERN_IDX 4762 TILEPROP INT_R_X35Y119 TILE_TYPE INT_R TILEPROP INT_R_X35Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y119 TILE_X 62430 TILEPROP INT_R_X35Y119 TILE_Y 143672 TILEPROP INT_R_X35Y119 TYPE INT_R TILEPROP INT_R_X35Y120 CLASS tile TILEPROP INT_R_X35Y120 COLUMN 89 TILEPROP INT_R_X35Y120 DEVICE_ID 0 TILEPROP INT_R_X35Y120 FIRST_SITE_ID 3149 TILEPROP INT_R_X35Y120 GRID_POINT_X 89 TILEPROP INT_R_X35Y120 GRID_POINT_Y 31 TILEPROP INT_R_X35Y120 INDEX 3654 TILEPROP INT_R_X35Y120 INT_TILE_X 35 TILEPROP INT_R_X35Y120 INT_TILE_Y 29 TILEPROP INT_R_X35Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y120 IS_DCM_TILE 0 TILEPROP INT_R_X35Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y120 NAME INT_R_X35Y120 TILEPROP INT_R_X35Y120 NUM_ARCS 3737 TILEPROP INT_R_X35Y120 NUM_SITES 1 TILEPROP INT_R_X35Y120 ROW 31 TILEPROP INT_R_X35Y120 SLR_REGION_ID 0 TILEPROP INT_R_X35Y120 TILE_PATTERN_IDX 4725 TILEPROP INT_R_X35Y120 TILE_TYPE INT_R TILEPROP INT_R_X35Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y120 TILE_X 62430 TILEPROP INT_R_X35Y120 TILE_Y 146872 TILEPROP INT_R_X35Y120 TYPE INT_R TILEPROP INT_R_X35Y121 CLASS tile TILEPROP INT_R_X35Y121 COLUMN 89 TILEPROP INT_R_X35Y121 DEVICE_ID 0 TILEPROP INT_R_X35Y121 FIRST_SITE_ID 3052 TILEPROP INT_R_X35Y121 GRID_POINT_X 89 TILEPROP INT_R_X35Y121 GRID_POINT_Y 30 TILEPROP INT_R_X35Y121 INDEX 3539 TILEPROP INT_R_X35Y121 INT_TILE_X 35 TILEPROP INT_R_X35Y121 INT_TILE_Y 28 TILEPROP INT_R_X35Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y121 IS_DCM_TILE 0 TILEPROP INT_R_X35Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y121 NAME INT_R_X35Y121 TILEPROP INT_R_X35Y121 NUM_ARCS 3737 TILEPROP INT_R_X35Y121 NUM_SITES 1 TILEPROP INT_R_X35Y121 ROW 30 TILEPROP INT_R_X35Y121 SLR_REGION_ID 0 TILEPROP INT_R_X35Y121 TILE_PATTERN_IDX 4689 TILEPROP INT_R_X35Y121 TILE_TYPE INT_R TILEPROP INT_R_X35Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y121 TILE_X 62430 TILEPROP INT_R_X35Y121 TILE_Y 150072 TILEPROP INT_R_X35Y121 TYPE INT_R TILEPROP INT_R_X35Y122 CLASS tile TILEPROP INT_R_X35Y122 COLUMN 89 TILEPROP INT_R_X35Y122 DEVICE_ID 0 TILEPROP INT_R_X35Y122 FIRST_SITE_ID 2949 TILEPROP INT_R_X35Y122 GRID_POINT_X 89 TILEPROP INT_R_X35Y122 GRID_POINT_Y 29 TILEPROP INT_R_X35Y122 INDEX 3424 TILEPROP INT_R_X35Y122 INT_TILE_X 35 TILEPROP INT_R_X35Y122 INT_TILE_Y 27 TILEPROP INT_R_X35Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y122 IS_DCM_TILE 0 TILEPROP INT_R_X35Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y122 NAME INT_R_X35Y122 TILEPROP INT_R_X35Y122 NUM_ARCS 3737 TILEPROP INT_R_X35Y122 NUM_SITES 1 TILEPROP INT_R_X35Y122 ROW 29 TILEPROP INT_R_X35Y122 SLR_REGION_ID 0 TILEPROP INT_R_X35Y122 TILE_PATTERN_IDX 4651 TILEPROP INT_R_X35Y122 TILE_TYPE INT_R TILEPROP INT_R_X35Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y122 TILE_X 62430 TILEPROP INT_R_X35Y122 TILE_Y 153272 TILEPROP INT_R_X35Y122 TYPE INT_R TILEPROP INT_R_X35Y123 CLASS tile TILEPROP INT_R_X35Y123 COLUMN 89 TILEPROP INT_R_X35Y123 DEVICE_ID 0 TILEPROP INT_R_X35Y123 FIRST_SITE_ID 2861 TILEPROP INT_R_X35Y123 GRID_POINT_X 89 TILEPROP INT_R_X35Y123 GRID_POINT_Y 28 TILEPROP INT_R_X35Y123 INDEX 3309 TILEPROP INT_R_X35Y123 INT_TILE_X 35 TILEPROP INT_R_X35Y123 INT_TILE_Y 26 TILEPROP INT_R_X35Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y123 IS_DCM_TILE 0 TILEPROP INT_R_X35Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y123 NAME INT_R_X35Y123 TILEPROP INT_R_X35Y123 NUM_ARCS 3737 TILEPROP INT_R_X35Y123 NUM_SITES 1 TILEPROP INT_R_X35Y123 ROW 28 TILEPROP INT_R_X35Y123 SLR_REGION_ID 0 TILEPROP INT_R_X35Y123 TILE_PATTERN_IDX 4615 TILEPROP INT_R_X35Y123 TILE_TYPE INT_R TILEPROP INT_R_X35Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y123 TILE_X 62430 TILEPROP INT_R_X35Y123 TILE_Y 156472 TILEPROP INT_R_X35Y123 TYPE INT_R TILEPROP INT_R_X35Y124 CLASS tile TILEPROP INT_R_X35Y124 COLUMN 89 TILEPROP INT_R_X35Y124 DEVICE_ID 0 TILEPROP INT_R_X35Y124 FIRST_SITE_ID 2765 TILEPROP INT_R_X35Y124 GRID_POINT_X 89 TILEPROP INT_R_X35Y124 GRID_POINT_Y 27 TILEPROP INT_R_X35Y124 INDEX 3194 TILEPROP INT_R_X35Y124 INT_TILE_X 35 TILEPROP INT_R_X35Y124 INT_TILE_Y 25 TILEPROP INT_R_X35Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y124 IS_DCM_TILE 0 TILEPROP INT_R_X35Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y124 NAME INT_R_X35Y124 TILEPROP INT_R_X35Y124 NUM_ARCS 3737 TILEPROP INT_R_X35Y124 NUM_SITES 1 TILEPROP INT_R_X35Y124 ROW 27 TILEPROP INT_R_X35Y124 SLR_REGION_ID 0 TILEPROP INT_R_X35Y124 TILE_PATTERN_IDX 4578 TILEPROP INT_R_X35Y124 TILE_TYPE INT_R TILEPROP INT_R_X35Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y124 TILE_X 62430 TILEPROP INT_R_X35Y124 TILE_Y 159672 TILEPROP INT_R_X35Y124 TYPE INT_R TILEPROP INT_R_X35Y125 CLASS tile TILEPROP INT_R_X35Y125 COLUMN 89 TILEPROP INT_R_X35Y125 DEVICE_ID 0 TILEPROP INT_R_X35Y125 FIRST_SITE_ID 2606 TILEPROP INT_R_X35Y125 GRID_POINT_X 89 TILEPROP INT_R_X35Y125 GRID_POINT_Y 25 TILEPROP INT_R_X35Y125 INDEX 2964 TILEPROP INT_R_X35Y125 INT_TILE_X 35 TILEPROP INT_R_X35Y125 INT_TILE_Y 24 TILEPROP INT_R_X35Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y125 IS_DCM_TILE 0 TILEPROP INT_R_X35Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y125 NAME INT_R_X35Y125 TILEPROP INT_R_X35Y125 NUM_ARCS 3737 TILEPROP INT_R_X35Y125 NUM_SITES 1 TILEPROP INT_R_X35Y125 ROW 25 TILEPROP INT_R_X35Y125 SLR_REGION_ID 0 TILEPROP INT_R_X35Y125 TILE_PATTERN_IDX 4501 TILEPROP INT_R_X35Y125 TILE_TYPE INT_R TILEPROP INT_R_X35Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y125 TILE_X 62430 TILEPROP INT_R_X35Y125 TILE_Y 163896 TILEPROP INT_R_X35Y125 TYPE INT_R TILEPROP INT_R_X35Y126 CLASS tile TILEPROP INT_R_X35Y126 COLUMN 89 TILEPROP INT_R_X35Y126 DEVICE_ID 0 TILEPROP INT_R_X35Y126 FIRST_SITE_ID 2490 TILEPROP INT_R_X35Y126 GRID_POINT_X 89 TILEPROP INT_R_X35Y126 GRID_POINT_Y 24 TILEPROP INT_R_X35Y126 INDEX 2849 TILEPROP INT_R_X35Y126 INT_TILE_X 35 TILEPROP INT_R_X35Y126 INT_TILE_Y 23 TILEPROP INT_R_X35Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y126 IS_DCM_TILE 0 TILEPROP INT_R_X35Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y126 NAME INT_R_X35Y126 TILEPROP INT_R_X35Y126 NUM_ARCS 3737 TILEPROP INT_R_X35Y126 NUM_SITES 1 TILEPROP INT_R_X35Y126 ROW 24 TILEPROP INT_R_X35Y126 SLR_REGION_ID 0 TILEPROP INT_R_X35Y126 TILE_PATTERN_IDX 4462 TILEPROP INT_R_X35Y126 TILE_TYPE INT_R TILEPROP INT_R_X35Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y126 TILE_X 62430 TILEPROP INT_R_X35Y126 TILE_Y 167096 TILEPROP INT_R_X35Y126 TYPE INT_R TILEPROP INT_R_X35Y127 CLASS tile TILEPROP INT_R_X35Y127 COLUMN 89 TILEPROP INT_R_X35Y127 DEVICE_ID 0 TILEPROP INT_R_X35Y127 FIRST_SITE_ID 2394 TILEPROP INT_R_X35Y127 GRID_POINT_X 89 TILEPROP INT_R_X35Y127 GRID_POINT_Y 23 TILEPROP INT_R_X35Y127 INDEX 2734 TILEPROP INT_R_X35Y127 INT_TILE_X 35 TILEPROP INT_R_X35Y127 INT_TILE_Y 22 TILEPROP INT_R_X35Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y127 IS_DCM_TILE 0 TILEPROP INT_R_X35Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y127 NAME INT_R_X35Y127 TILEPROP INT_R_X35Y127 NUM_ARCS 3737 TILEPROP INT_R_X35Y127 NUM_SITES 1 TILEPROP INT_R_X35Y127 ROW 23 TILEPROP INT_R_X35Y127 SLR_REGION_ID 0 TILEPROP INT_R_X35Y127 TILE_PATTERN_IDX 4424 TILEPROP INT_R_X35Y127 TILE_TYPE INT_R TILEPROP INT_R_X35Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y127 TILE_X 62430 TILEPROP INT_R_X35Y127 TILE_Y 170296 TILEPROP INT_R_X35Y127 TYPE INT_R TILEPROP INT_R_X35Y128 CLASS tile TILEPROP INT_R_X35Y128 COLUMN 89 TILEPROP INT_R_X35Y128 DEVICE_ID 0 TILEPROP INT_R_X35Y128 FIRST_SITE_ID 2290 TILEPROP INT_R_X35Y128 GRID_POINT_X 89 TILEPROP INT_R_X35Y128 GRID_POINT_Y 22 TILEPROP INT_R_X35Y128 INDEX 2619 TILEPROP INT_R_X35Y128 INT_TILE_X 35 TILEPROP INT_R_X35Y128 INT_TILE_Y 21 TILEPROP INT_R_X35Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y128 IS_DCM_TILE 0 TILEPROP INT_R_X35Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y128 NAME INT_R_X35Y128 TILEPROP INT_R_X35Y128 NUM_ARCS 3737 TILEPROP INT_R_X35Y128 NUM_SITES 1 TILEPROP INT_R_X35Y128 ROW 22 TILEPROP INT_R_X35Y128 SLR_REGION_ID 0 TILEPROP INT_R_X35Y128 TILE_PATTERN_IDX 4385 TILEPROP INT_R_X35Y128 TILE_TYPE INT_R TILEPROP INT_R_X35Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y128 TILE_X 62430 TILEPROP INT_R_X35Y128 TILE_Y 173496 TILEPROP INT_R_X35Y128 TYPE INT_R TILEPROP INT_R_X35Y129 CLASS tile TILEPROP INT_R_X35Y129 COLUMN 89 TILEPROP INT_R_X35Y129 DEVICE_ID 0 TILEPROP INT_R_X35Y129 FIRST_SITE_ID 2194 TILEPROP INT_R_X35Y129 GRID_POINT_X 89 TILEPROP INT_R_X35Y129 GRID_POINT_Y 21 TILEPROP INT_R_X35Y129 INDEX 2504 TILEPROP INT_R_X35Y129 INT_TILE_X 35 TILEPROP INT_R_X35Y129 INT_TILE_Y 20 TILEPROP INT_R_X35Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y129 IS_DCM_TILE 0 TILEPROP INT_R_X35Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y129 NAME INT_R_X35Y129 TILEPROP INT_R_X35Y129 NUM_ARCS 3737 TILEPROP INT_R_X35Y129 NUM_SITES 1 TILEPROP INT_R_X35Y129 ROW 21 TILEPROP INT_R_X35Y129 SLR_REGION_ID 0 TILEPROP INT_R_X35Y129 TILE_PATTERN_IDX 4347 TILEPROP INT_R_X35Y129 TILE_TYPE INT_R TILEPROP INT_R_X35Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y129 TILE_X 62430 TILEPROP INT_R_X35Y129 TILE_Y 176696 TILEPROP INT_R_X35Y129 TYPE INT_R TILEPROP INT_R_X35Y130 CLASS tile TILEPROP INT_R_X35Y130 COLUMN 89 TILEPROP INT_R_X35Y130 DEVICE_ID 0 TILEPROP INT_R_X35Y130 FIRST_SITE_ID 2084 TILEPROP INT_R_X35Y130 GRID_POINT_X 89 TILEPROP INT_R_X35Y130 GRID_POINT_Y 20 TILEPROP INT_R_X35Y130 INDEX 2389 TILEPROP INT_R_X35Y130 INT_TILE_X 35 TILEPROP INT_R_X35Y130 INT_TILE_Y 19 TILEPROP INT_R_X35Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y130 IS_DCM_TILE 0 TILEPROP INT_R_X35Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y130 NAME INT_R_X35Y130 TILEPROP INT_R_X35Y130 NUM_ARCS 3737 TILEPROP INT_R_X35Y130 NUM_SITES 1 TILEPROP INT_R_X35Y130 ROW 20 TILEPROP INT_R_X35Y130 SLR_REGION_ID 0 TILEPROP INT_R_X35Y130 TILE_PATTERN_IDX 4307 TILEPROP INT_R_X35Y130 TILE_TYPE INT_R TILEPROP INT_R_X35Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y130 TILE_X 62430 TILEPROP INT_R_X35Y130 TILE_Y 179896 TILEPROP INT_R_X35Y130 TYPE INT_R TILEPROP INT_R_X35Y131 CLASS tile TILEPROP INT_R_X35Y131 COLUMN 89 TILEPROP INT_R_X35Y131 DEVICE_ID 0 TILEPROP INT_R_X35Y131 FIRST_SITE_ID 1976 TILEPROP INT_R_X35Y131 GRID_POINT_X 89 TILEPROP INT_R_X35Y131 GRID_POINT_Y 19 TILEPROP INT_R_X35Y131 INDEX 2274 TILEPROP INT_R_X35Y131 INT_TILE_X 35 TILEPROP INT_R_X35Y131 INT_TILE_Y 18 TILEPROP INT_R_X35Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y131 IS_DCM_TILE 0 TILEPROP INT_R_X35Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y131 NAME INT_R_X35Y131 TILEPROP INT_R_X35Y131 NUM_ARCS 3737 TILEPROP INT_R_X35Y131 NUM_SITES 1 TILEPROP INT_R_X35Y131 ROW 19 TILEPROP INT_R_X35Y131 SLR_REGION_ID 0 TILEPROP INT_R_X35Y131 TILE_PATTERN_IDX 4269 TILEPROP INT_R_X35Y131 TILE_TYPE INT_R TILEPROP INT_R_X35Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y131 TILE_X 62430 TILEPROP INT_R_X35Y131 TILE_Y 183096 TILEPROP INT_R_X35Y131 TYPE INT_R TILEPROP INT_R_X35Y132 CLASS tile TILEPROP INT_R_X35Y132 COLUMN 89 TILEPROP INT_R_X35Y132 DEVICE_ID 0 TILEPROP INT_R_X35Y132 FIRST_SITE_ID 1870 TILEPROP INT_R_X35Y132 GRID_POINT_X 89 TILEPROP INT_R_X35Y132 GRID_POINT_Y 18 TILEPROP INT_R_X35Y132 INDEX 2159 TILEPROP INT_R_X35Y132 INT_TILE_X 35 TILEPROP INT_R_X35Y132 INT_TILE_Y 17 TILEPROP INT_R_X35Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y132 IS_DCM_TILE 0 TILEPROP INT_R_X35Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y132 NAME INT_R_X35Y132 TILEPROP INT_R_X35Y132 NUM_ARCS 3737 TILEPROP INT_R_X35Y132 NUM_SITES 1 TILEPROP INT_R_X35Y132 ROW 18 TILEPROP INT_R_X35Y132 SLR_REGION_ID 0 TILEPROP INT_R_X35Y132 TILE_PATTERN_IDX 4230 TILEPROP INT_R_X35Y132 TILE_TYPE INT_R TILEPROP INT_R_X35Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y132 TILE_X 62430 TILEPROP INT_R_X35Y132 TILE_Y 186296 TILEPROP INT_R_X35Y132 TYPE INT_R TILEPROP INT_R_X35Y133 CLASS tile TILEPROP INT_R_X35Y133 COLUMN 89 TILEPROP INT_R_X35Y133 DEVICE_ID 0 TILEPROP INT_R_X35Y133 FIRST_SITE_ID 1769 TILEPROP INT_R_X35Y133 GRID_POINT_X 89 TILEPROP INT_R_X35Y133 GRID_POINT_Y 17 TILEPROP INT_R_X35Y133 INDEX 2044 TILEPROP INT_R_X35Y133 INT_TILE_X 35 TILEPROP INT_R_X35Y133 INT_TILE_Y 16 TILEPROP INT_R_X35Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y133 IS_DCM_TILE 0 TILEPROP INT_R_X35Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y133 NAME INT_R_X35Y133 TILEPROP INT_R_X35Y133 NUM_ARCS 3737 TILEPROP INT_R_X35Y133 NUM_SITES 1 TILEPROP INT_R_X35Y133 ROW 17 TILEPROP INT_R_X35Y133 SLR_REGION_ID 0 TILEPROP INT_R_X35Y133 TILE_PATTERN_IDX 4192 TILEPROP INT_R_X35Y133 TILE_TYPE INT_R TILEPROP INT_R_X35Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y133 TILE_X 62430 TILEPROP INT_R_X35Y133 TILE_Y 189496 TILEPROP INT_R_X35Y133 TYPE INT_R TILEPROP INT_R_X35Y134 CLASS tile TILEPROP INT_R_X35Y134 COLUMN 89 TILEPROP INT_R_X35Y134 DEVICE_ID 0 TILEPROP INT_R_X35Y134 FIRST_SITE_ID 1665 TILEPROP INT_R_X35Y134 GRID_POINT_X 89 TILEPROP INT_R_X35Y134 GRID_POINT_Y 16 TILEPROP INT_R_X35Y134 INDEX 1929 TILEPROP INT_R_X35Y134 INT_TILE_X 35 TILEPROP INT_R_X35Y134 INT_TILE_Y 15 TILEPROP INT_R_X35Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y134 IS_DCM_TILE 0 TILEPROP INT_R_X35Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y134 NAME INT_R_X35Y134 TILEPROP INT_R_X35Y134 NUM_ARCS 3737 TILEPROP INT_R_X35Y134 NUM_SITES 1 TILEPROP INT_R_X35Y134 ROW 16 TILEPROP INT_R_X35Y134 SLR_REGION_ID 0 TILEPROP INT_R_X35Y134 TILE_PATTERN_IDX 4153 TILEPROP INT_R_X35Y134 TILE_TYPE INT_R TILEPROP INT_R_X35Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y134 TILE_X 62430 TILEPROP INT_R_X35Y134 TILE_Y 192696 TILEPROP INT_R_X35Y134 TYPE INT_R TILEPROP INT_R_X35Y135 CLASS tile TILEPROP INT_R_X35Y135 COLUMN 89 TILEPROP INT_R_X35Y135 DEVICE_ID 0 TILEPROP INT_R_X35Y135 FIRST_SITE_ID 1569 TILEPROP INT_R_X35Y135 GRID_POINT_X 89 TILEPROP INT_R_X35Y135 GRID_POINT_Y 15 TILEPROP INT_R_X35Y135 INDEX 1814 TILEPROP INT_R_X35Y135 INT_TILE_X 35 TILEPROP INT_R_X35Y135 INT_TILE_Y 14 TILEPROP INT_R_X35Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y135 IS_DCM_TILE 0 TILEPROP INT_R_X35Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y135 NAME INT_R_X35Y135 TILEPROP INT_R_X35Y135 NUM_ARCS 3737 TILEPROP INT_R_X35Y135 NUM_SITES 1 TILEPROP INT_R_X35Y135 ROW 15 TILEPROP INT_R_X35Y135 SLR_REGION_ID 0 TILEPROP INT_R_X35Y135 TILE_PATTERN_IDX 4115 TILEPROP INT_R_X35Y135 TILE_TYPE INT_R TILEPROP INT_R_X35Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y135 TILE_X 62430 TILEPROP INT_R_X35Y135 TILE_Y 195896 TILEPROP INT_R_X35Y135 TYPE INT_R TILEPROP INT_R_X35Y136 CLASS tile TILEPROP INT_R_X35Y136 COLUMN 89 TILEPROP INT_R_X35Y136 DEVICE_ID 0 TILEPROP INT_R_X35Y136 FIRST_SITE_ID 1453 TILEPROP INT_R_X35Y136 GRID_POINT_X 89 TILEPROP INT_R_X35Y136 GRID_POINT_Y 14 TILEPROP INT_R_X35Y136 INDEX 1699 TILEPROP INT_R_X35Y136 INT_TILE_X 35 TILEPROP INT_R_X35Y136 INT_TILE_Y 13 TILEPROP INT_R_X35Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y136 IS_DCM_TILE 0 TILEPROP INT_R_X35Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y136 NAME INT_R_X35Y136 TILEPROP INT_R_X35Y136 NUM_ARCS 3737 TILEPROP INT_R_X35Y136 NUM_SITES 1 TILEPROP INT_R_X35Y136 ROW 14 TILEPROP INT_R_X35Y136 SLR_REGION_ID 0 TILEPROP INT_R_X35Y136 TILE_PATTERN_IDX 4076 TILEPROP INT_R_X35Y136 TILE_TYPE INT_R TILEPROP INT_R_X35Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y136 TILE_X 62430 TILEPROP INT_R_X35Y136 TILE_Y 199096 TILEPROP INT_R_X35Y136 TYPE INT_R TILEPROP INT_R_X35Y137 CLASS tile TILEPROP INT_R_X35Y137 COLUMN 89 TILEPROP INT_R_X35Y137 DEVICE_ID 0 TILEPROP INT_R_X35Y137 FIRST_SITE_ID 1325 TILEPROP INT_R_X35Y137 GRID_POINT_X 89 TILEPROP INT_R_X35Y137 GRID_POINT_Y 13 TILEPROP INT_R_X35Y137 INDEX 1584 TILEPROP INT_R_X35Y137 INT_TILE_X 35 TILEPROP INT_R_X35Y137 INT_TILE_Y 12 TILEPROP INT_R_X35Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y137 IS_DCM_TILE 0 TILEPROP INT_R_X35Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y137 NAME INT_R_X35Y137 TILEPROP INT_R_X35Y137 NUM_ARCS 3737 TILEPROP INT_R_X35Y137 NUM_SITES 1 TILEPROP INT_R_X35Y137 ROW 13 TILEPROP INT_R_X35Y137 SLR_REGION_ID 0 TILEPROP INT_R_X35Y137 TILE_PATTERN_IDX 4038 TILEPROP INT_R_X35Y137 TILE_TYPE INT_R TILEPROP INT_R_X35Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y137 TILE_X 62430 TILEPROP INT_R_X35Y137 TILE_Y 202296 TILEPROP INT_R_X35Y137 TYPE INT_R TILEPROP INT_R_X35Y138 CLASS tile TILEPROP INT_R_X35Y138 COLUMN 89 TILEPROP INT_R_X35Y138 DEVICE_ID 0 TILEPROP INT_R_X35Y138 FIRST_SITE_ID 1221 TILEPROP INT_R_X35Y138 GRID_POINT_X 89 TILEPROP INT_R_X35Y138 GRID_POINT_Y 12 TILEPROP INT_R_X35Y138 INDEX 1469 TILEPROP INT_R_X35Y138 INT_TILE_X 35 TILEPROP INT_R_X35Y138 INT_TILE_Y 11 TILEPROP INT_R_X35Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y138 IS_DCM_TILE 0 TILEPROP INT_R_X35Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y138 NAME INT_R_X35Y138 TILEPROP INT_R_X35Y138 NUM_ARCS 3737 TILEPROP INT_R_X35Y138 NUM_SITES 1 TILEPROP INT_R_X35Y138 ROW 12 TILEPROP INT_R_X35Y138 SLR_REGION_ID 0 TILEPROP INT_R_X35Y138 TILE_PATTERN_IDX 3999 TILEPROP INT_R_X35Y138 TILE_TYPE INT_R TILEPROP INT_R_X35Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y138 TILE_X 62430 TILEPROP INT_R_X35Y138 TILE_Y 205496 TILEPROP INT_R_X35Y138 TYPE INT_R TILEPROP INT_R_X35Y139 CLASS tile TILEPROP INT_R_X35Y139 COLUMN 89 TILEPROP INT_R_X35Y139 DEVICE_ID 0 TILEPROP INT_R_X35Y139 FIRST_SITE_ID 1125 TILEPROP INT_R_X35Y139 GRID_POINT_X 89 TILEPROP INT_R_X35Y139 GRID_POINT_Y 11 TILEPROP INT_R_X35Y139 INDEX 1354 TILEPROP INT_R_X35Y139 INT_TILE_X 35 TILEPROP INT_R_X35Y139 INT_TILE_Y 10 TILEPROP INT_R_X35Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y139 IS_DCM_TILE 0 TILEPROP INT_R_X35Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y139 NAME INT_R_X35Y139 TILEPROP INT_R_X35Y139 NUM_ARCS 3737 TILEPROP INT_R_X35Y139 NUM_SITES 1 TILEPROP INT_R_X35Y139 ROW 11 TILEPROP INT_R_X35Y139 SLR_REGION_ID 0 TILEPROP INT_R_X35Y139 TILE_PATTERN_IDX 3961 TILEPROP INT_R_X35Y139 TILE_TYPE INT_R TILEPROP INT_R_X35Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y139 TILE_X 62430 TILEPROP INT_R_X35Y139 TILE_Y 208696 TILEPROP INT_R_X35Y139 TYPE INT_R TILEPROP INT_R_X35Y140 CLASS tile TILEPROP INT_R_X35Y140 COLUMN 89 TILEPROP INT_R_X35Y140 DEVICE_ID 0 TILEPROP INT_R_X35Y140 FIRST_SITE_ID 1021 TILEPROP INT_R_X35Y140 GRID_POINT_X 89 TILEPROP INT_R_X35Y140 GRID_POINT_Y 10 TILEPROP INT_R_X35Y140 INDEX 1239 TILEPROP INT_R_X35Y140 INT_TILE_X 35 TILEPROP INT_R_X35Y140 INT_TILE_Y 9 TILEPROP INT_R_X35Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y140 IS_DCM_TILE 0 TILEPROP INT_R_X35Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y140 NAME INT_R_X35Y140 TILEPROP INT_R_X35Y140 NUM_ARCS 3737 TILEPROP INT_R_X35Y140 NUM_SITES 1 TILEPROP INT_R_X35Y140 ROW 10 TILEPROP INT_R_X35Y140 SLR_REGION_ID 0 TILEPROP INT_R_X35Y140 TILE_PATTERN_IDX 3922 TILEPROP INT_R_X35Y140 TILE_TYPE INT_R TILEPROP INT_R_X35Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y140 TILE_X 62430 TILEPROP INT_R_X35Y140 TILE_Y 211896 TILEPROP INT_R_X35Y140 TYPE INT_R TILEPROP INT_R_X35Y141 CLASS tile TILEPROP INT_R_X35Y141 COLUMN 89 TILEPROP INT_R_X35Y141 DEVICE_ID 0 TILEPROP INT_R_X35Y141 FIRST_SITE_ID 913 TILEPROP INT_R_X35Y141 GRID_POINT_X 89 TILEPROP INT_R_X35Y141 GRID_POINT_Y 9 TILEPROP INT_R_X35Y141 INDEX 1124 TILEPROP INT_R_X35Y141 INT_TILE_X 35 TILEPROP INT_R_X35Y141 INT_TILE_Y 8 TILEPROP INT_R_X35Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y141 IS_DCM_TILE 0 TILEPROP INT_R_X35Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y141 NAME INT_R_X35Y141 TILEPROP INT_R_X35Y141 NUM_ARCS 3737 TILEPROP INT_R_X35Y141 NUM_SITES 1 TILEPROP INT_R_X35Y141 ROW 9 TILEPROP INT_R_X35Y141 SLR_REGION_ID 0 TILEPROP INT_R_X35Y141 TILE_PATTERN_IDX 3884 TILEPROP INT_R_X35Y141 TILE_TYPE INT_R TILEPROP INT_R_X35Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y141 TILE_X 62430 TILEPROP INT_R_X35Y141 TILE_Y 215096 TILEPROP INT_R_X35Y141 TYPE INT_R TILEPROP INT_R_X35Y142 CLASS tile TILEPROP INT_R_X35Y142 COLUMN 89 TILEPROP INT_R_X35Y142 DEVICE_ID 0 TILEPROP INT_R_X35Y142 FIRST_SITE_ID 809 TILEPROP INT_R_X35Y142 GRID_POINT_X 89 TILEPROP INT_R_X35Y142 GRID_POINT_Y 8 TILEPROP INT_R_X35Y142 INDEX 1009 TILEPROP INT_R_X35Y142 INT_TILE_X 35 TILEPROP INT_R_X35Y142 INT_TILE_Y 7 TILEPROP INT_R_X35Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y142 IS_DCM_TILE 0 TILEPROP INT_R_X35Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y142 NAME INT_R_X35Y142 TILEPROP INT_R_X35Y142 NUM_ARCS 3737 TILEPROP INT_R_X35Y142 NUM_SITES 1 TILEPROP INT_R_X35Y142 ROW 8 TILEPROP INT_R_X35Y142 SLR_REGION_ID 0 TILEPROP INT_R_X35Y142 TILE_PATTERN_IDX 3845 TILEPROP INT_R_X35Y142 TILE_TYPE INT_R TILEPROP INT_R_X35Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y142 TILE_X 62430 TILEPROP INT_R_X35Y142 TILE_Y 218296 TILEPROP INT_R_X35Y142 TYPE INT_R TILEPROP INT_R_X35Y143 CLASS tile TILEPROP INT_R_X35Y143 COLUMN 89 TILEPROP INT_R_X35Y143 DEVICE_ID 0 TILEPROP INT_R_X35Y143 FIRST_SITE_ID 712 TILEPROP INT_R_X35Y143 GRID_POINT_X 89 TILEPROP INT_R_X35Y143 GRID_POINT_Y 7 TILEPROP INT_R_X35Y143 INDEX 894 TILEPROP INT_R_X35Y143 INT_TILE_X 35 TILEPROP INT_R_X35Y143 INT_TILE_Y 6 TILEPROP INT_R_X35Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y143 IS_DCM_TILE 0 TILEPROP INT_R_X35Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y143 NAME INT_R_X35Y143 TILEPROP INT_R_X35Y143 NUM_ARCS 3737 TILEPROP INT_R_X35Y143 NUM_SITES 1 TILEPROP INT_R_X35Y143 ROW 7 TILEPROP INT_R_X35Y143 SLR_REGION_ID 0 TILEPROP INT_R_X35Y143 TILE_PATTERN_IDX 3807 TILEPROP INT_R_X35Y143 TILE_TYPE INT_R TILEPROP INT_R_X35Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y143 TILE_X 62430 TILEPROP INT_R_X35Y143 TILE_Y 221496 TILEPROP INT_R_X35Y143 TYPE INT_R TILEPROP INT_R_X35Y144 CLASS tile TILEPROP INT_R_X35Y144 COLUMN 89 TILEPROP INT_R_X35Y144 DEVICE_ID 0 TILEPROP INT_R_X35Y144 FIRST_SITE_ID 601 TILEPROP INT_R_X35Y144 GRID_POINT_X 89 TILEPROP INT_R_X35Y144 GRID_POINT_Y 6 TILEPROP INT_R_X35Y144 INDEX 779 TILEPROP INT_R_X35Y144 INT_TILE_X 35 TILEPROP INT_R_X35Y144 INT_TILE_Y 5 TILEPROP INT_R_X35Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y144 IS_DCM_TILE 0 TILEPROP INT_R_X35Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y144 NAME INT_R_X35Y144 TILEPROP INT_R_X35Y144 NUM_ARCS 3737 TILEPROP INT_R_X35Y144 NUM_SITES 1 TILEPROP INT_R_X35Y144 ROW 6 TILEPROP INT_R_X35Y144 SLR_REGION_ID 0 TILEPROP INT_R_X35Y144 TILE_PATTERN_IDX 3768 TILEPROP INT_R_X35Y144 TILE_TYPE INT_R TILEPROP INT_R_X35Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y144 TILE_X 62430 TILEPROP INT_R_X35Y144 TILE_Y 224696 TILEPROP INT_R_X35Y144 TYPE INT_R TILEPROP INT_R_X35Y145 CLASS tile TILEPROP INT_R_X35Y145 COLUMN 89 TILEPROP INT_R_X35Y145 DEVICE_ID 0 TILEPROP INT_R_X35Y145 FIRST_SITE_ID 505 TILEPROP INT_R_X35Y145 GRID_POINT_X 89 TILEPROP INT_R_X35Y145 GRID_POINT_Y 5 TILEPROP INT_R_X35Y145 INDEX 664 TILEPROP INT_R_X35Y145 INT_TILE_X 35 TILEPROP INT_R_X35Y145 INT_TILE_Y 4 TILEPROP INT_R_X35Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y145 IS_DCM_TILE 0 TILEPROP INT_R_X35Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y145 NAME INT_R_X35Y145 TILEPROP INT_R_X35Y145 NUM_ARCS 3737 TILEPROP INT_R_X35Y145 NUM_SITES 1 TILEPROP INT_R_X35Y145 ROW 5 TILEPROP INT_R_X35Y145 SLR_REGION_ID 0 TILEPROP INT_R_X35Y145 TILE_PATTERN_IDX 3730 TILEPROP INT_R_X35Y145 TILE_TYPE INT_R TILEPROP INT_R_X35Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y145 TILE_X 62430 TILEPROP INT_R_X35Y145 TILE_Y 227896 TILEPROP INT_R_X35Y145 TYPE INT_R TILEPROP INT_R_X35Y146 CLASS tile TILEPROP INT_R_X35Y146 COLUMN 89 TILEPROP INT_R_X35Y146 DEVICE_ID 0 TILEPROP INT_R_X35Y146 FIRST_SITE_ID 389 TILEPROP INT_R_X35Y146 GRID_POINT_X 89 TILEPROP INT_R_X35Y146 GRID_POINT_Y 4 TILEPROP INT_R_X35Y146 INDEX 549 TILEPROP INT_R_X35Y146 INT_TILE_X 35 TILEPROP INT_R_X35Y146 INT_TILE_Y 3 TILEPROP INT_R_X35Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y146 IS_DCM_TILE 0 TILEPROP INT_R_X35Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y146 NAME INT_R_X35Y146 TILEPROP INT_R_X35Y146 NUM_ARCS 3737 TILEPROP INT_R_X35Y146 NUM_SITES 1 TILEPROP INT_R_X35Y146 ROW 4 TILEPROP INT_R_X35Y146 SLR_REGION_ID 0 TILEPROP INT_R_X35Y146 TILE_PATTERN_IDX 3691 TILEPROP INT_R_X35Y146 TILE_TYPE INT_R TILEPROP INT_R_X35Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y146 TILE_X 62430 TILEPROP INT_R_X35Y146 TILE_Y 231096 TILEPROP INT_R_X35Y146 TYPE INT_R TILEPROP INT_R_X35Y147 CLASS tile TILEPROP INT_R_X35Y147 COLUMN 89 TILEPROP INT_R_X35Y147 DEVICE_ID 0 TILEPROP INT_R_X35Y147 FIRST_SITE_ID 293 TILEPROP INT_R_X35Y147 GRID_POINT_X 89 TILEPROP INT_R_X35Y147 GRID_POINT_Y 3 TILEPROP INT_R_X35Y147 INDEX 434 TILEPROP INT_R_X35Y147 INT_TILE_X 35 TILEPROP INT_R_X35Y147 INT_TILE_Y 2 TILEPROP INT_R_X35Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y147 IS_DCM_TILE 0 TILEPROP INT_R_X35Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y147 NAME INT_R_X35Y147 TILEPROP INT_R_X35Y147 NUM_ARCS 3737 TILEPROP INT_R_X35Y147 NUM_SITES 1 TILEPROP INT_R_X35Y147 ROW 3 TILEPROP INT_R_X35Y147 SLR_REGION_ID 0 TILEPROP INT_R_X35Y147 TILE_PATTERN_IDX 3653 TILEPROP INT_R_X35Y147 TILE_TYPE INT_R TILEPROP INT_R_X35Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y147 TILE_X 62430 TILEPROP INT_R_X35Y147 TILE_Y 234296 TILEPROP INT_R_X35Y147 TYPE INT_R TILEPROP INT_R_X35Y148 CLASS tile TILEPROP INT_R_X35Y148 COLUMN 89 TILEPROP INT_R_X35Y148 DEVICE_ID 0 TILEPROP INT_R_X35Y148 FIRST_SITE_ID 189 TILEPROP INT_R_X35Y148 GRID_POINT_X 89 TILEPROP INT_R_X35Y148 GRID_POINT_Y 2 TILEPROP INT_R_X35Y148 INDEX 319 TILEPROP INT_R_X35Y148 INT_TILE_X 35 TILEPROP INT_R_X35Y148 INT_TILE_Y 1 TILEPROP INT_R_X35Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y148 IS_DCM_TILE 0 TILEPROP INT_R_X35Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y148 NAME INT_R_X35Y148 TILEPROP INT_R_X35Y148 NUM_ARCS 3737 TILEPROP INT_R_X35Y148 NUM_SITES 1 TILEPROP INT_R_X35Y148 ROW 2 TILEPROP INT_R_X35Y148 SLR_REGION_ID 0 TILEPROP INT_R_X35Y148 TILE_PATTERN_IDX 3614 TILEPROP INT_R_X35Y148 TILE_TYPE INT_R TILEPROP INT_R_X35Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y148 TILE_X 62430 TILEPROP INT_R_X35Y148 TILE_Y 237496 TILEPROP INT_R_X35Y148 TYPE INT_R TILEPROP INT_R_X35Y149 CLASS tile TILEPROP INT_R_X35Y149 COLUMN 89 TILEPROP INT_R_X35Y149 DEVICE_ID 0 TILEPROP INT_R_X35Y149 FIRST_SITE_ID 93 TILEPROP INT_R_X35Y149 GRID_POINT_X 89 TILEPROP INT_R_X35Y149 GRID_POINT_Y 1 TILEPROP INT_R_X35Y149 INDEX 204 TILEPROP INT_R_X35Y149 INT_TILE_X 35 TILEPROP INT_R_X35Y149 INT_TILE_Y 0 TILEPROP INT_R_X35Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X35Y149 IS_DCM_TILE 0 TILEPROP INT_R_X35Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X35Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X35Y149 NAME INT_R_X35Y149 TILEPROP INT_R_X35Y149 NUM_ARCS 3737 TILEPROP INT_R_X35Y149 NUM_SITES 1 TILEPROP INT_R_X35Y149 ROW 1 TILEPROP INT_R_X35Y149 SLR_REGION_ID 0 TILEPROP INT_R_X35Y149 TILE_PATTERN_IDX 3576 TILEPROP INT_R_X35Y149 TILE_TYPE INT_R TILEPROP INT_R_X35Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X35Y149 TILE_X 62430 TILEPROP INT_R_X35Y149 TILE_Y 240696 TILEPROP INT_R_X35Y149 TYPE INT_R TILEPROP INT_R_X37Y0 CLASS tile TILEPROP INT_R_X37Y0 COLUMN 93 TILEPROP INT_R_X37Y0 DEVICE_ID 0 TILEPROP INT_R_X37Y0 FIRST_SITE_ID 15795 TILEPROP INT_R_X37Y0 GRID_POINT_X 93 TILEPROP INT_R_X37Y0 GRID_POINT_Y 155 TILEPROP INT_R_X37Y0 INDEX 17918 TILEPROP INT_R_X37Y0 INT_TILE_X 37 TILEPROP INT_R_X37Y0 INT_TILE_Y 149 TILEPROP INT_R_X37Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y0 IS_DCM_TILE 0 TILEPROP INT_R_X37Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y0 NAME INT_R_X37Y0 TILEPROP INT_R_X37Y0 NUM_ARCS 3737 TILEPROP INT_R_X37Y0 NUM_SITES 1 TILEPROP INT_R_X37Y0 ROW 155 TILEPROP INT_R_X37Y0 SLR_REGION_ID 0 TILEPROP INT_R_X37Y0 TILE_PATTERN_IDX 8764 TILEPROP INT_R_X37Y0 TILE_TYPE INT_R TILEPROP INT_R_X37Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y0 TILE_X 70734 TILEPROP INT_R_X37Y0 TILE_Y -239672 TILEPROP INT_R_X37Y0 TYPE INT_R TILEPROP INT_R_X37Y1 CLASS tile TILEPROP INT_R_X37Y1 COLUMN 93 TILEPROP INT_R_X37Y1 DEVICE_ID 0 TILEPROP INT_R_X37Y1 FIRST_SITE_ID 15679 TILEPROP INT_R_X37Y1 GRID_POINT_X 93 TILEPROP INT_R_X37Y1 GRID_POINT_Y 154 TILEPROP INT_R_X37Y1 INDEX 17803 TILEPROP INT_R_X37Y1 INT_TILE_X 37 TILEPROP INT_R_X37Y1 INT_TILE_Y 148 TILEPROP INT_R_X37Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y1 IS_DCM_TILE 0 TILEPROP INT_R_X37Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y1 NAME INT_R_X37Y1 TILEPROP INT_R_X37Y1 NUM_ARCS 3737 TILEPROP INT_R_X37Y1 NUM_SITES 1 TILEPROP INT_R_X37Y1 ROW 154 TILEPROP INT_R_X37Y1 SLR_REGION_ID 0 TILEPROP INT_R_X37Y1 TILE_PATTERN_IDX 8733 TILEPROP INT_R_X37Y1 TILE_TYPE INT_R TILEPROP INT_R_X37Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y1 TILE_X 70734 TILEPROP INT_R_X37Y1 TILE_Y -236472 TILEPROP INT_R_X37Y1 TYPE INT_R TILEPROP INT_R_X37Y2 CLASS tile TILEPROP INT_R_X37Y2 COLUMN 93 TILEPROP INT_R_X37Y2 DEVICE_ID 0 TILEPROP INT_R_X37Y2 FIRST_SITE_ID 15578 TILEPROP INT_R_X37Y2 GRID_POINT_X 93 TILEPROP INT_R_X37Y2 GRID_POINT_Y 153 TILEPROP INT_R_X37Y2 INDEX 17688 TILEPROP INT_R_X37Y2 INT_TILE_X 37 TILEPROP INT_R_X37Y2 INT_TILE_Y 147 TILEPROP INT_R_X37Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y2 IS_DCM_TILE 0 TILEPROP INT_R_X37Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y2 NAME INT_R_X37Y2 TILEPROP INT_R_X37Y2 NUM_ARCS 3737 TILEPROP INT_R_X37Y2 NUM_SITES 1 TILEPROP INT_R_X37Y2 ROW 153 TILEPROP INT_R_X37Y2 SLR_REGION_ID 0 TILEPROP INT_R_X37Y2 TILE_PATTERN_IDX 8703 TILEPROP INT_R_X37Y2 TILE_TYPE INT_R TILEPROP INT_R_X37Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y2 TILE_X 70734 TILEPROP INT_R_X37Y2 TILE_Y -233272 TILEPROP INT_R_X37Y2 TYPE INT_R TILEPROP INT_R_X37Y3 CLASS tile TILEPROP INT_R_X37Y3 COLUMN 93 TILEPROP INT_R_X37Y3 DEVICE_ID 0 TILEPROP INT_R_X37Y3 FIRST_SITE_ID 15478 TILEPROP INT_R_X37Y3 GRID_POINT_X 93 TILEPROP INT_R_X37Y3 GRID_POINT_Y 152 TILEPROP INT_R_X37Y3 INDEX 17573 TILEPROP INT_R_X37Y3 INT_TILE_X 37 TILEPROP INT_R_X37Y3 INT_TILE_Y 146 TILEPROP INT_R_X37Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y3 IS_DCM_TILE 0 TILEPROP INT_R_X37Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y3 NAME INT_R_X37Y3 TILEPROP INT_R_X37Y3 NUM_ARCS 3737 TILEPROP INT_R_X37Y3 NUM_SITES 1 TILEPROP INT_R_X37Y3 ROW 152 TILEPROP INT_R_X37Y3 SLR_REGION_ID 0 TILEPROP INT_R_X37Y3 TILE_PATTERN_IDX 8672 TILEPROP INT_R_X37Y3 TILE_TYPE INT_R TILEPROP INT_R_X37Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y3 TILE_X 70734 TILEPROP INT_R_X37Y3 TILE_Y -230072 TILEPROP INT_R_X37Y3 TYPE INT_R TILEPROP INT_R_X37Y4 CLASS tile TILEPROP INT_R_X37Y4 COLUMN 93 TILEPROP INT_R_X37Y4 DEVICE_ID 0 TILEPROP INT_R_X37Y4 FIRST_SITE_ID 15378 TILEPROP INT_R_X37Y4 GRID_POINT_X 93 TILEPROP INT_R_X37Y4 GRID_POINT_Y 151 TILEPROP INT_R_X37Y4 INDEX 17458 TILEPROP INT_R_X37Y4 INT_TILE_X 37 TILEPROP INT_R_X37Y4 INT_TILE_Y 145 TILEPROP INT_R_X37Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y4 IS_DCM_TILE 0 TILEPROP INT_R_X37Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y4 NAME INT_R_X37Y4 TILEPROP INT_R_X37Y4 NUM_ARCS 3737 TILEPROP INT_R_X37Y4 NUM_SITES 1 TILEPROP INT_R_X37Y4 ROW 151 TILEPROP INT_R_X37Y4 SLR_REGION_ID 0 TILEPROP INT_R_X37Y4 TILE_PATTERN_IDX 8642 TILEPROP INT_R_X37Y4 TILE_TYPE INT_R TILEPROP INT_R_X37Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y4 TILE_X 70734 TILEPROP INT_R_X37Y4 TILE_Y -226872 TILEPROP INT_R_X37Y4 TYPE INT_R TILEPROP INT_R_X37Y5 CLASS tile TILEPROP INT_R_X37Y5 COLUMN 93 TILEPROP INT_R_X37Y5 DEVICE_ID 0 TILEPROP INT_R_X37Y5 FIRST_SITE_ID 15275 TILEPROP INT_R_X37Y5 GRID_POINT_X 93 TILEPROP INT_R_X37Y5 GRID_POINT_Y 150 TILEPROP INT_R_X37Y5 INDEX 17343 TILEPROP INT_R_X37Y5 INT_TILE_X 37 TILEPROP INT_R_X37Y5 INT_TILE_Y 144 TILEPROP INT_R_X37Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y5 IS_DCM_TILE 0 TILEPROP INT_R_X37Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y5 NAME INT_R_X37Y5 TILEPROP INT_R_X37Y5 NUM_ARCS 3737 TILEPROP INT_R_X37Y5 NUM_SITES 1 TILEPROP INT_R_X37Y5 ROW 150 TILEPROP INT_R_X37Y5 SLR_REGION_ID 0 TILEPROP INT_R_X37Y5 TILE_PATTERN_IDX 8611 TILEPROP INT_R_X37Y5 TILE_TYPE INT_R TILEPROP INT_R_X37Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y5 TILE_X 70734 TILEPROP INT_R_X37Y5 TILE_Y -223672 TILEPROP INT_R_X37Y5 TYPE INT_R TILEPROP INT_R_X37Y6 CLASS tile TILEPROP INT_R_X37Y6 COLUMN 93 TILEPROP INT_R_X37Y6 DEVICE_ID 0 TILEPROP INT_R_X37Y6 FIRST_SITE_ID 15163 TILEPROP INT_R_X37Y6 GRID_POINT_X 93 TILEPROP INT_R_X37Y6 GRID_POINT_Y 149 TILEPROP INT_R_X37Y6 INDEX 17228 TILEPROP INT_R_X37Y6 INT_TILE_X 37 TILEPROP INT_R_X37Y6 INT_TILE_Y 143 TILEPROP INT_R_X37Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y6 IS_DCM_TILE 0 TILEPROP INT_R_X37Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y6 NAME INT_R_X37Y6 TILEPROP INT_R_X37Y6 NUM_ARCS 3737 TILEPROP INT_R_X37Y6 NUM_SITES 1 TILEPROP INT_R_X37Y6 ROW 149 TILEPROP INT_R_X37Y6 SLR_REGION_ID 0 TILEPROP INT_R_X37Y6 TILE_PATTERN_IDX 8581 TILEPROP INT_R_X37Y6 TILE_TYPE INT_R TILEPROP INT_R_X37Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y6 TILE_X 70734 TILEPROP INT_R_X37Y6 TILE_Y -220472 TILEPROP INT_R_X37Y6 TYPE INT_R TILEPROP INT_R_X37Y7 CLASS tile TILEPROP INT_R_X37Y7 COLUMN 93 TILEPROP INT_R_X37Y7 DEVICE_ID 0 TILEPROP INT_R_X37Y7 FIRST_SITE_ID 15061 TILEPROP INT_R_X37Y7 GRID_POINT_X 93 TILEPROP INT_R_X37Y7 GRID_POINT_Y 148 TILEPROP INT_R_X37Y7 INDEX 17113 TILEPROP INT_R_X37Y7 INT_TILE_X 37 TILEPROP INT_R_X37Y7 INT_TILE_Y 142 TILEPROP INT_R_X37Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y7 IS_DCM_TILE 0 TILEPROP INT_R_X37Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y7 NAME INT_R_X37Y7 TILEPROP INT_R_X37Y7 NUM_ARCS 3737 TILEPROP INT_R_X37Y7 NUM_SITES 1 TILEPROP INT_R_X37Y7 ROW 148 TILEPROP INT_R_X37Y7 SLR_REGION_ID 0 TILEPROP INT_R_X37Y7 TILE_PATTERN_IDX 8550 TILEPROP INT_R_X37Y7 TILE_TYPE INT_R TILEPROP INT_R_X37Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y7 TILE_X 70734 TILEPROP INT_R_X37Y7 TILE_Y -217272 TILEPROP INT_R_X37Y7 TYPE INT_R TILEPROP INT_R_X37Y8 CLASS tile TILEPROP INT_R_X37Y8 COLUMN 93 TILEPROP INT_R_X37Y8 DEVICE_ID 0 TILEPROP INT_R_X37Y8 FIRST_SITE_ID 14958 TILEPROP INT_R_X37Y8 GRID_POINT_X 93 TILEPROP INT_R_X37Y8 GRID_POINT_Y 147 TILEPROP INT_R_X37Y8 INDEX 16998 TILEPROP INT_R_X37Y8 INT_TILE_X 37 TILEPROP INT_R_X37Y8 INT_TILE_Y 141 TILEPROP INT_R_X37Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y8 IS_DCM_TILE 0 TILEPROP INT_R_X37Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y8 NAME INT_R_X37Y8 TILEPROP INT_R_X37Y8 NUM_ARCS 3737 TILEPROP INT_R_X37Y8 NUM_SITES 1 TILEPROP INT_R_X37Y8 ROW 147 TILEPROP INT_R_X37Y8 SLR_REGION_ID 0 TILEPROP INT_R_X37Y8 TILE_PATTERN_IDX 8520 TILEPROP INT_R_X37Y8 TILE_TYPE INT_R TILEPROP INT_R_X37Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y8 TILE_X 70734 TILEPROP INT_R_X37Y8 TILE_Y -214072 TILEPROP INT_R_X37Y8 TYPE INT_R TILEPROP INT_R_X37Y9 CLASS tile TILEPROP INT_R_X37Y9 COLUMN 93 TILEPROP INT_R_X37Y9 DEVICE_ID 0 TILEPROP INT_R_X37Y9 FIRST_SITE_ID 14857 TILEPROP INT_R_X37Y9 GRID_POINT_X 93 TILEPROP INT_R_X37Y9 GRID_POINT_Y 146 TILEPROP INT_R_X37Y9 INDEX 16883 TILEPROP INT_R_X37Y9 INT_TILE_X 37 TILEPROP INT_R_X37Y9 INT_TILE_Y 140 TILEPROP INT_R_X37Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y9 IS_DCM_TILE 0 TILEPROP INT_R_X37Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y9 NAME INT_R_X37Y9 TILEPROP INT_R_X37Y9 NUM_ARCS 3737 TILEPROP INT_R_X37Y9 NUM_SITES 1 TILEPROP INT_R_X37Y9 ROW 146 TILEPROP INT_R_X37Y9 SLR_REGION_ID 0 TILEPROP INT_R_X37Y9 TILE_PATTERN_IDX 8489 TILEPROP INT_R_X37Y9 TILE_TYPE INT_R TILEPROP INT_R_X37Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y9 TILE_X 70734 TILEPROP INT_R_X37Y9 TILE_Y -210872 TILEPROP INT_R_X37Y9 TYPE INT_R TILEPROP INT_R_X37Y10 CLASS tile TILEPROP INT_R_X37Y10 COLUMN 93 TILEPROP INT_R_X37Y10 DEVICE_ID 0 TILEPROP INT_R_X37Y10 FIRST_SITE_ID 14754 TILEPROP INT_R_X37Y10 GRID_POINT_X 93 TILEPROP INT_R_X37Y10 GRID_POINT_Y 145 TILEPROP INT_R_X37Y10 INDEX 16768 TILEPROP INT_R_X37Y10 INT_TILE_X 37 TILEPROP INT_R_X37Y10 INT_TILE_Y 139 TILEPROP INT_R_X37Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y10 IS_DCM_TILE 0 TILEPROP INT_R_X37Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y10 NAME INT_R_X37Y10 TILEPROP INT_R_X37Y10 NUM_ARCS 3737 TILEPROP INT_R_X37Y10 NUM_SITES 1 TILEPROP INT_R_X37Y10 ROW 145 TILEPROP INT_R_X37Y10 SLR_REGION_ID 0 TILEPROP INT_R_X37Y10 TILE_PATTERN_IDX 8459 TILEPROP INT_R_X37Y10 TILE_TYPE INT_R TILEPROP INT_R_X37Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y10 TILE_X 70734 TILEPROP INT_R_X37Y10 TILE_Y -207672 TILEPROP INT_R_X37Y10 TYPE INT_R TILEPROP INT_R_X37Y11 CLASS tile TILEPROP INT_R_X37Y11 COLUMN 93 TILEPROP INT_R_X37Y11 DEVICE_ID 0 TILEPROP INT_R_X37Y11 FIRST_SITE_ID 14642 TILEPROP INT_R_X37Y11 GRID_POINT_X 93 TILEPROP INT_R_X37Y11 GRID_POINT_Y 144 TILEPROP INT_R_X37Y11 INDEX 16653 TILEPROP INT_R_X37Y11 INT_TILE_X 37 TILEPROP INT_R_X37Y11 INT_TILE_Y 138 TILEPROP INT_R_X37Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y11 IS_DCM_TILE 0 TILEPROP INT_R_X37Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y11 NAME INT_R_X37Y11 TILEPROP INT_R_X37Y11 NUM_ARCS 3737 TILEPROP INT_R_X37Y11 NUM_SITES 1 TILEPROP INT_R_X37Y11 ROW 144 TILEPROP INT_R_X37Y11 SLR_REGION_ID 0 TILEPROP INT_R_X37Y11 TILE_PATTERN_IDX 8428 TILEPROP INT_R_X37Y11 TILE_TYPE INT_R TILEPROP INT_R_X37Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y11 TILE_X 70734 TILEPROP INT_R_X37Y11 TILE_Y -204472 TILEPROP INT_R_X37Y11 TYPE INT_R TILEPROP INT_R_X37Y12 CLASS tile TILEPROP INT_R_X37Y12 COLUMN 93 TILEPROP INT_R_X37Y12 DEVICE_ID 0 TILEPROP INT_R_X37Y12 FIRST_SITE_ID 14542 TILEPROP INT_R_X37Y12 GRID_POINT_X 93 TILEPROP INT_R_X37Y12 GRID_POINT_Y 143 TILEPROP INT_R_X37Y12 INDEX 16538 TILEPROP INT_R_X37Y12 INT_TILE_X 37 TILEPROP INT_R_X37Y12 INT_TILE_Y 137 TILEPROP INT_R_X37Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y12 IS_DCM_TILE 0 TILEPROP INT_R_X37Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y12 NAME INT_R_X37Y12 TILEPROP INT_R_X37Y12 NUM_ARCS 3737 TILEPROP INT_R_X37Y12 NUM_SITES 1 TILEPROP INT_R_X37Y12 ROW 143 TILEPROP INT_R_X37Y12 SLR_REGION_ID 0 TILEPROP INT_R_X37Y12 TILE_PATTERN_IDX 8398 TILEPROP INT_R_X37Y12 TILE_TYPE INT_R TILEPROP INT_R_X37Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y12 TILE_X 70734 TILEPROP INT_R_X37Y12 TILE_Y -201272 TILEPROP INT_R_X37Y12 TYPE INT_R TILEPROP INT_R_X37Y13 CLASS tile TILEPROP INT_R_X37Y13 COLUMN 93 TILEPROP INT_R_X37Y13 DEVICE_ID 0 TILEPROP INT_R_X37Y13 FIRST_SITE_ID 14410 TILEPROP INT_R_X37Y13 GRID_POINT_X 93 TILEPROP INT_R_X37Y13 GRID_POINT_Y 142 TILEPROP INT_R_X37Y13 INDEX 16423 TILEPROP INT_R_X37Y13 INT_TILE_X 37 TILEPROP INT_R_X37Y13 INT_TILE_Y 136 TILEPROP INT_R_X37Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y13 IS_DCM_TILE 0 TILEPROP INT_R_X37Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y13 NAME INT_R_X37Y13 TILEPROP INT_R_X37Y13 NUM_ARCS 3737 TILEPROP INT_R_X37Y13 NUM_SITES 1 TILEPROP INT_R_X37Y13 ROW 142 TILEPROP INT_R_X37Y13 SLR_REGION_ID 0 TILEPROP INT_R_X37Y13 TILE_PATTERN_IDX 8367 TILEPROP INT_R_X37Y13 TILE_TYPE INT_R TILEPROP INT_R_X37Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y13 TILE_X 70734 TILEPROP INT_R_X37Y13 TILE_Y -198072 TILEPROP INT_R_X37Y13 TYPE INT_R TILEPROP INT_R_X37Y14 CLASS tile TILEPROP INT_R_X37Y14 COLUMN 93 TILEPROP INT_R_X37Y14 DEVICE_ID 0 TILEPROP INT_R_X37Y14 FIRST_SITE_ID 14310 TILEPROP INT_R_X37Y14 GRID_POINT_X 93 TILEPROP INT_R_X37Y14 GRID_POINT_Y 141 TILEPROP INT_R_X37Y14 INDEX 16308 TILEPROP INT_R_X37Y14 INT_TILE_X 37 TILEPROP INT_R_X37Y14 INT_TILE_Y 135 TILEPROP INT_R_X37Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y14 IS_DCM_TILE 0 TILEPROP INT_R_X37Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y14 NAME INT_R_X37Y14 TILEPROP INT_R_X37Y14 NUM_ARCS 3737 TILEPROP INT_R_X37Y14 NUM_SITES 1 TILEPROP INT_R_X37Y14 ROW 141 TILEPROP INT_R_X37Y14 SLR_REGION_ID 0 TILEPROP INT_R_X37Y14 TILE_PATTERN_IDX 8337 TILEPROP INT_R_X37Y14 TILE_TYPE INT_R TILEPROP INT_R_X37Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y14 TILE_X 70734 TILEPROP INT_R_X37Y14 TILE_Y -194872 TILEPROP INT_R_X37Y14 TYPE INT_R TILEPROP INT_R_X37Y15 CLASS tile TILEPROP INT_R_X37Y15 COLUMN 93 TILEPROP INT_R_X37Y15 DEVICE_ID 0 TILEPROP INT_R_X37Y15 FIRST_SITE_ID 14207 TILEPROP INT_R_X37Y15 GRID_POINT_X 93 TILEPROP INT_R_X37Y15 GRID_POINT_Y 140 TILEPROP INT_R_X37Y15 INDEX 16193 TILEPROP INT_R_X37Y15 INT_TILE_X 37 TILEPROP INT_R_X37Y15 INT_TILE_Y 134 TILEPROP INT_R_X37Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y15 IS_DCM_TILE 0 TILEPROP INT_R_X37Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y15 NAME INT_R_X37Y15 TILEPROP INT_R_X37Y15 NUM_ARCS 3737 TILEPROP INT_R_X37Y15 NUM_SITES 1 TILEPROP INT_R_X37Y15 ROW 140 TILEPROP INT_R_X37Y15 SLR_REGION_ID 0 TILEPROP INT_R_X37Y15 TILE_PATTERN_IDX 8306 TILEPROP INT_R_X37Y15 TILE_TYPE INT_R TILEPROP INT_R_X37Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y15 TILE_X 70734 TILEPROP INT_R_X37Y15 TILE_Y -191672 TILEPROP INT_R_X37Y15 TYPE INT_R TILEPROP INT_R_X37Y16 CLASS tile TILEPROP INT_R_X37Y16 COLUMN 93 TILEPROP INT_R_X37Y16 DEVICE_ID 0 TILEPROP INT_R_X37Y16 FIRST_SITE_ID 14095 TILEPROP INT_R_X37Y16 GRID_POINT_X 93 TILEPROP INT_R_X37Y16 GRID_POINT_Y 139 TILEPROP INT_R_X37Y16 INDEX 16078 TILEPROP INT_R_X37Y16 INT_TILE_X 37 TILEPROP INT_R_X37Y16 INT_TILE_Y 133 TILEPROP INT_R_X37Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y16 IS_DCM_TILE 0 TILEPROP INT_R_X37Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y16 NAME INT_R_X37Y16 TILEPROP INT_R_X37Y16 NUM_ARCS 3737 TILEPROP INT_R_X37Y16 NUM_SITES 1 TILEPROP INT_R_X37Y16 ROW 139 TILEPROP INT_R_X37Y16 SLR_REGION_ID 0 TILEPROP INT_R_X37Y16 TILE_PATTERN_IDX 8276 TILEPROP INT_R_X37Y16 TILE_TYPE INT_R TILEPROP INT_R_X37Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y16 TILE_X 70734 TILEPROP INT_R_X37Y16 TILE_Y -188472 TILEPROP INT_R_X37Y16 TYPE INT_R TILEPROP INT_R_X37Y17 CLASS tile TILEPROP INT_R_X37Y17 COLUMN 93 TILEPROP INT_R_X37Y17 DEVICE_ID 0 TILEPROP INT_R_X37Y17 FIRST_SITE_ID 13991 TILEPROP INT_R_X37Y17 GRID_POINT_X 93 TILEPROP INT_R_X37Y17 GRID_POINT_Y 138 TILEPROP INT_R_X37Y17 INDEX 15963 TILEPROP INT_R_X37Y17 INT_TILE_X 37 TILEPROP INT_R_X37Y17 INT_TILE_Y 132 TILEPROP INT_R_X37Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y17 IS_DCM_TILE 0 TILEPROP INT_R_X37Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y17 NAME INT_R_X37Y17 TILEPROP INT_R_X37Y17 NUM_ARCS 3737 TILEPROP INT_R_X37Y17 NUM_SITES 1 TILEPROP INT_R_X37Y17 ROW 138 TILEPROP INT_R_X37Y17 SLR_REGION_ID 0 TILEPROP INT_R_X37Y17 TILE_PATTERN_IDX 8244 TILEPROP INT_R_X37Y17 TILE_TYPE INT_R TILEPROP INT_R_X37Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y17 TILE_X 70734 TILEPROP INT_R_X37Y17 TILE_Y -185272 TILEPROP INT_R_X37Y17 TYPE INT_R TILEPROP INT_R_X37Y18 CLASS tile TILEPROP INT_R_X37Y18 COLUMN 93 TILEPROP INT_R_X37Y18 DEVICE_ID 0 TILEPROP INT_R_X37Y18 FIRST_SITE_ID 13886 TILEPROP INT_R_X37Y18 GRID_POINT_X 93 TILEPROP INT_R_X37Y18 GRID_POINT_Y 137 TILEPROP INT_R_X37Y18 INDEX 15848 TILEPROP INT_R_X37Y18 INT_TILE_X 37 TILEPROP INT_R_X37Y18 INT_TILE_Y 131 TILEPROP INT_R_X37Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y18 IS_DCM_TILE 0 TILEPROP INT_R_X37Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y18 NAME INT_R_X37Y18 TILEPROP INT_R_X37Y18 NUM_ARCS 3737 TILEPROP INT_R_X37Y18 NUM_SITES 1 TILEPROP INT_R_X37Y18 ROW 137 TILEPROP INT_R_X37Y18 SLR_REGION_ID 0 TILEPROP INT_R_X37Y18 TILE_PATTERN_IDX 6570 TILEPROP INT_R_X37Y18 TILE_TYPE INT_R TILEPROP INT_R_X37Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y18 TILE_X 70734 TILEPROP INT_R_X37Y18 TILE_Y -182072 TILEPROP INT_R_X37Y18 TYPE INT_R TILEPROP INT_R_X37Y19 CLASS tile TILEPROP INT_R_X37Y19 COLUMN 93 TILEPROP INT_R_X37Y19 DEVICE_ID 0 TILEPROP INT_R_X37Y19 FIRST_SITE_ID 13784 TILEPROP INT_R_X37Y19 GRID_POINT_X 93 TILEPROP INT_R_X37Y19 GRID_POINT_Y 136 TILEPROP INT_R_X37Y19 INDEX 15733 TILEPROP INT_R_X37Y19 INT_TILE_X 37 TILEPROP INT_R_X37Y19 INT_TILE_Y 130 TILEPROP INT_R_X37Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y19 IS_DCM_TILE 0 TILEPROP INT_R_X37Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y19 NAME INT_R_X37Y19 TILEPROP INT_R_X37Y19 NUM_ARCS 3737 TILEPROP INT_R_X37Y19 NUM_SITES 1 TILEPROP INT_R_X37Y19 ROW 136 TILEPROP INT_R_X37Y19 SLR_REGION_ID 0 TILEPROP INT_R_X37Y19 TILE_PATTERN_IDX 6539 TILEPROP INT_R_X37Y19 TILE_TYPE INT_R TILEPROP INT_R_X37Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y19 TILE_X 70734 TILEPROP INT_R_X37Y19 TILE_Y -178872 TILEPROP INT_R_X37Y19 TYPE INT_R TILEPROP INT_R_X37Y20 CLASS tile TILEPROP INT_R_X37Y20 COLUMN 93 TILEPROP INT_R_X37Y20 DEVICE_ID 0 TILEPROP INT_R_X37Y20 FIRST_SITE_ID 13679 TILEPROP INT_R_X37Y20 GRID_POINT_X 93 TILEPROP INT_R_X37Y20 GRID_POINT_Y 135 TILEPROP INT_R_X37Y20 INDEX 15618 TILEPROP INT_R_X37Y20 INT_TILE_X 37 TILEPROP INT_R_X37Y20 INT_TILE_Y 129 TILEPROP INT_R_X37Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y20 IS_DCM_TILE 0 TILEPROP INT_R_X37Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y20 NAME INT_R_X37Y20 TILEPROP INT_R_X37Y20 NUM_ARCS 3737 TILEPROP INT_R_X37Y20 NUM_SITES 1 TILEPROP INT_R_X37Y20 ROW 135 TILEPROP INT_R_X37Y20 SLR_REGION_ID 0 TILEPROP INT_R_X37Y20 TILE_PATTERN_IDX 6508 TILEPROP INT_R_X37Y20 TILE_TYPE INT_R TILEPROP INT_R_X37Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y20 TILE_X 70734 TILEPROP INT_R_X37Y20 TILE_Y -175672 TILEPROP INT_R_X37Y20 TYPE INT_R TILEPROP INT_R_X37Y21 CLASS tile TILEPROP INT_R_X37Y21 COLUMN 93 TILEPROP INT_R_X37Y21 DEVICE_ID 0 TILEPROP INT_R_X37Y21 FIRST_SITE_ID 13567 TILEPROP INT_R_X37Y21 GRID_POINT_X 93 TILEPROP INT_R_X37Y21 GRID_POINT_Y 134 TILEPROP INT_R_X37Y21 INDEX 15503 TILEPROP INT_R_X37Y21 INT_TILE_X 37 TILEPROP INT_R_X37Y21 INT_TILE_Y 128 TILEPROP INT_R_X37Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y21 IS_DCM_TILE 0 TILEPROP INT_R_X37Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y21 NAME INT_R_X37Y21 TILEPROP INT_R_X37Y21 NUM_ARCS 3737 TILEPROP INT_R_X37Y21 NUM_SITES 1 TILEPROP INT_R_X37Y21 ROW 134 TILEPROP INT_R_X37Y21 SLR_REGION_ID 0 TILEPROP INT_R_X37Y21 TILE_PATTERN_IDX 6477 TILEPROP INT_R_X37Y21 TILE_TYPE INT_R TILEPROP INT_R_X37Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y21 TILE_X 70734 TILEPROP INT_R_X37Y21 TILE_Y -172472 TILEPROP INT_R_X37Y21 TYPE INT_R TILEPROP INT_R_X37Y22 CLASS tile TILEPROP INT_R_X37Y22 COLUMN 93 TILEPROP INT_R_X37Y22 DEVICE_ID 0 TILEPROP INT_R_X37Y22 FIRST_SITE_ID 13467 TILEPROP INT_R_X37Y22 GRID_POINT_X 93 TILEPROP INT_R_X37Y22 GRID_POINT_Y 133 TILEPROP INT_R_X37Y22 INDEX 15388 TILEPROP INT_R_X37Y22 INT_TILE_X 37 TILEPROP INT_R_X37Y22 INT_TILE_Y 127 TILEPROP INT_R_X37Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y22 IS_DCM_TILE 0 TILEPROP INT_R_X37Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y22 NAME INT_R_X37Y22 TILEPROP INT_R_X37Y22 NUM_ARCS 3737 TILEPROP INT_R_X37Y22 NUM_SITES 1 TILEPROP INT_R_X37Y22 ROW 133 TILEPROP INT_R_X37Y22 SLR_REGION_ID 0 TILEPROP INT_R_X37Y22 TILE_PATTERN_IDX 6446 TILEPROP INT_R_X37Y22 TILE_TYPE INT_R TILEPROP INT_R_X37Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y22 TILE_X 70734 TILEPROP INT_R_X37Y22 TILE_Y -169272 TILEPROP INT_R_X37Y22 TYPE INT_R TILEPROP INT_R_X37Y23 CLASS tile TILEPROP INT_R_X37Y23 COLUMN 93 TILEPROP INT_R_X37Y23 DEVICE_ID 0 TILEPROP INT_R_X37Y23 FIRST_SITE_ID 13367 TILEPROP INT_R_X37Y23 GRID_POINT_X 93 TILEPROP INT_R_X37Y23 GRID_POINT_Y 132 TILEPROP INT_R_X37Y23 INDEX 15273 TILEPROP INT_R_X37Y23 INT_TILE_X 37 TILEPROP INT_R_X37Y23 INT_TILE_Y 126 TILEPROP INT_R_X37Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y23 IS_DCM_TILE 0 TILEPROP INT_R_X37Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y23 NAME INT_R_X37Y23 TILEPROP INT_R_X37Y23 NUM_ARCS 3737 TILEPROP INT_R_X37Y23 NUM_SITES 1 TILEPROP INT_R_X37Y23 ROW 132 TILEPROP INT_R_X37Y23 SLR_REGION_ID 0 TILEPROP INT_R_X37Y23 TILE_PATTERN_IDX 6415 TILEPROP INT_R_X37Y23 TILE_TYPE INT_R TILEPROP INT_R_X37Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y23 TILE_X 70734 TILEPROP INT_R_X37Y23 TILE_Y -166072 TILEPROP INT_R_X37Y23 TYPE INT_R TILEPROP INT_R_X37Y24 CLASS tile TILEPROP INT_R_X37Y24 COLUMN 93 TILEPROP INT_R_X37Y24 DEVICE_ID 0 TILEPROP INT_R_X37Y24 FIRST_SITE_ID 13267 TILEPROP INT_R_X37Y24 GRID_POINT_X 93 TILEPROP INT_R_X37Y24 GRID_POINT_Y 131 TILEPROP INT_R_X37Y24 INDEX 15158 TILEPROP INT_R_X37Y24 INT_TILE_X 37 TILEPROP INT_R_X37Y24 INT_TILE_Y 125 TILEPROP INT_R_X37Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y24 IS_DCM_TILE 0 TILEPROP INT_R_X37Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y24 NAME INT_R_X37Y24 TILEPROP INT_R_X37Y24 NUM_ARCS 3737 TILEPROP INT_R_X37Y24 NUM_SITES 1 TILEPROP INT_R_X37Y24 ROW 131 TILEPROP INT_R_X37Y24 SLR_REGION_ID 0 TILEPROP INT_R_X37Y24 TILE_PATTERN_IDX 6384 TILEPROP INT_R_X37Y24 TILE_TYPE INT_R TILEPROP INT_R_X37Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y24 TILE_X 70734 TILEPROP INT_R_X37Y24 TILE_Y -162872 TILEPROP INT_R_X37Y24 TYPE INT_R TILEPROP INT_R_X37Y25 CLASS tile TILEPROP INT_R_X37Y25 COLUMN 93 TILEPROP INT_R_X37Y25 DEVICE_ID 0 TILEPROP INT_R_X37Y25 FIRST_SITE_ID 13081 TILEPROP INT_R_X37Y25 GRID_POINT_X 93 TILEPROP INT_R_X37Y25 GRID_POINT_Y 129 TILEPROP INT_R_X37Y25 INDEX 14928 TILEPROP INT_R_X37Y25 INT_TILE_X 37 TILEPROP INT_R_X37Y25 INT_TILE_Y 124 TILEPROP INT_R_X37Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y25 IS_DCM_TILE 0 TILEPROP INT_R_X37Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y25 NAME INT_R_X37Y25 TILEPROP INT_R_X37Y25 NUM_ARCS 3737 TILEPROP INT_R_X37Y25 NUM_SITES 1 TILEPROP INT_R_X37Y25 ROW 129 TILEPROP INT_R_X37Y25 SLR_REGION_ID 0 TILEPROP INT_R_X37Y25 TILE_PATTERN_IDX 6310 TILEPROP INT_R_X37Y25 TILE_TYPE INT_R TILEPROP INT_R_X37Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y25 TILE_X 70734 TILEPROP INT_R_X37Y25 TILE_Y -158648 TILEPROP INT_R_X37Y25 TYPE INT_R TILEPROP INT_R_X37Y26 CLASS tile TILEPROP INT_R_X37Y26 COLUMN 93 TILEPROP INT_R_X37Y26 DEVICE_ID 0 TILEPROP INT_R_X37Y26 FIRST_SITE_ID 12969 TILEPROP INT_R_X37Y26 GRID_POINT_X 93 TILEPROP INT_R_X37Y26 GRID_POINT_Y 128 TILEPROP INT_R_X37Y26 INDEX 14813 TILEPROP INT_R_X37Y26 INT_TILE_X 37 TILEPROP INT_R_X37Y26 INT_TILE_Y 123 TILEPROP INT_R_X37Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y26 IS_DCM_TILE 0 TILEPROP INT_R_X37Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y26 NAME INT_R_X37Y26 TILEPROP INT_R_X37Y26 NUM_ARCS 3737 TILEPROP INT_R_X37Y26 NUM_SITES 1 TILEPROP INT_R_X37Y26 ROW 128 TILEPROP INT_R_X37Y26 SLR_REGION_ID 0 TILEPROP INT_R_X37Y26 TILE_PATTERN_IDX 6276 TILEPROP INT_R_X37Y26 TILE_TYPE INT_R TILEPROP INT_R_X37Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y26 TILE_X 70734 TILEPROP INT_R_X37Y26 TILE_Y -155448 TILEPROP INT_R_X37Y26 TYPE INT_R TILEPROP INT_R_X37Y27 CLASS tile TILEPROP INT_R_X37Y27 COLUMN 93 TILEPROP INT_R_X37Y27 DEVICE_ID 0 TILEPROP INT_R_X37Y27 FIRST_SITE_ID 12869 TILEPROP INT_R_X37Y27 GRID_POINT_X 93 TILEPROP INT_R_X37Y27 GRID_POINT_Y 127 TILEPROP INT_R_X37Y27 INDEX 14698 TILEPROP INT_R_X37Y27 INT_TILE_X 37 TILEPROP INT_R_X37Y27 INT_TILE_Y 122 TILEPROP INT_R_X37Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y27 IS_DCM_TILE 0 TILEPROP INT_R_X37Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y27 NAME INT_R_X37Y27 TILEPROP INT_R_X37Y27 NUM_ARCS 3737 TILEPROP INT_R_X37Y27 NUM_SITES 1 TILEPROP INT_R_X37Y27 ROW 127 TILEPROP INT_R_X37Y27 SLR_REGION_ID 0 TILEPROP INT_R_X37Y27 TILE_PATTERN_IDX 6242 TILEPROP INT_R_X37Y27 TILE_TYPE INT_R TILEPROP INT_R_X37Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y27 TILE_X 70734 TILEPROP INT_R_X37Y27 TILE_Y -152248 TILEPROP INT_R_X37Y27 TYPE INT_R TILEPROP INT_R_X37Y28 CLASS tile TILEPROP INT_R_X37Y28 COLUMN 93 TILEPROP INT_R_X37Y28 DEVICE_ID 0 TILEPROP INT_R_X37Y28 FIRST_SITE_ID 12769 TILEPROP INT_R_X37Y28 GRID_POINT_X 93 TILEPROP INT_R_X37Y28 GRID_POINT_Y 126 TILEPROP INT_R_X37Y28 INDEX 14583 TILEPROP INT_R_X37Y28 INT_TILE_X 37 TILEPROP INT_R_X37Y28 INT_TILE_Y 121 TILEPROP INT_R_X37Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y28 IS_DCM_TILE 0 TILEPROP INT_R_X37Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y28 NAME INT_R_X37Y28 TILEPROP INT_R_X37Y28 NUM_ARCS 3737 TILEPROP INT_R_X37Y28 NUM_SITES 1 TILEPROP INT_R_X37Y28 ROW 126 TILEPROP INT_R_X37Y28 SLR_REGION_ID 0 TILEPROP INT_R_X37Y28 TILE_PATTERN_IDX 6209 TILEPROP INT_R_X37Y28 TILE_TYPE INT_R TILEPROP INT_R_X37Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y28 TILE_X 70734 TILEPROP INT_R_X37Y28 TILE_Y -149048 TILEPROP INT_R_X37Y28 TYPE INT_R TILEPROP INT_R_X37Y29 CLASS tile TILEPROP INT_R_X37Y29 COLUMN 93 TILEPROP INT_R_X37Y29 DEVICE_ID 0 TILEPROP INT_R_X37Y29 FIRST_SITE_ID 12663 TILEPROP INT_R_X37Y29 GRID_POINT_X 93 TILEPROP INT_R_X37Y29 GRID_POINT_Y 125 TILEPROP INT_R_X37Y29 INDEX 14468 TILEPROP INT_R_X37Y29 INT_TILE_X 37 TILEPROP INT_R_X37Y29 INT_TILE_Y 120 TILEPROP INT_R_X37Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y29 IS_DCM_TILE 0 TILEPROP INT_R_X37Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y29 NAME INT_R_X37Y29 TILEPROP INT_R_X37Y29 NUM_ARCS 3737 TILEPROP INT_R_X37Y29 NUM_SITES 1 TILEPROP INT_R_X37Y29 ROW 125 TILEPROP INT_R_X37Y29 SLR_REGION_ID 0 TILEPROP INT_R_X37Y29 TILE_PATTERN_IDX 6174 TILEPROP INT_R_X37Y29 TILE_TYPE INT_R TILEPROP INT_R_X37Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y29 TILE_X 70734 TILEPROP INT_R_X37Y29 TILE_Y -145848 TILEPROP INT_R_X37Y29 TYPE INT_R TILEPROP INT_R_X37Y30 CLASS tile TILEPROP INT_R_X37Y30 COLUMN 93 TILEPROP INT_R_X37Y30 DEVICE_ID 0 TILEPROP INT_R_X37Y30 FIRST_SITE_ID 12554 TILEPROP INT_R_X37Y30 GRID_POINT_X 93 TILEPROP INT_R_X37Y30 GRID_POINT_Y 124 TILEPROP INT_R_X37Y30 INDEX 14353 TILEPROP INT_R_X37Y30 INT_TILE_X 37 TILEPROP INT_R_X37Y30 INT_TILE_Y 119 TILEPROP INT_R_X37Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y30 IS_DCM_TILE 0 TILEPROP INT_R_X37Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y30 NAME INT_R_X37Y30 TILEPROP INT_R_X37Y30 NUM_ARCS 3737 TILEPROP INT_R_X37Y30 NUM_SITES 1 TILEPROP INT_R_X37Y30 ROW 124 TILEPROP INT_R_X37Y30 SLR_REGION_ID 0 TILEPROP INT_R_X37Y30 TILE_PATTERN_IDX 6139 TILEPROP INT_R_X37Y30 TILE_TYPE INT_R TILEPROP INT_R_X37Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y30 TILE_X 70734 TILEPROP INT_R_X37Y30 TILE_Y -142648 TILEPROP INT_R_X37Y30 TYPE INT_R TILEPROP INT_R_X37Y31 CLASS tile TILEPROP INT_R_X37Y31 COLUMN 93 TILEPROP INT_R_X37Y31 DEVICE_ID 0 TILEPROP INT_R_X37Y31 FIRST_SITE_ID 12427 TILEPROP INT_R_X37Y31 GRID_POINT_X 93 TILEPROP INT_R_X37Y31 GRID_POINT_Y 123 TILEPROP INT_R_X37Y31 INDEX 14238 TILEPROP INT_R_X37Y31 INT_TILE_X 37 TILEPROP INT_R_X37Y31 INT_TILE_Y 118 TILEPROP INT_R_X37Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y31 IS_DCM_TILE 0 TILEPROP INT_R_X37Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y31 NAME INT_R_X37Y31 TILEPROP INT_R_X37Y31 NUM_ARCS 3737 TILEPROP INT_R_X37Y31 NUM_SITES 1 TILEPROP INT_R_X37Y31 ROW 123 TILEPROP INT_R_X37Y31 SLR_REGION_ID 0 TILEPROP INT_R_X37Y31 TILE_PATTERN_IDX 6105 TILEPROP INT_R_X37Y31 TILE_TYPE INT_R TILEPROP INT_R_X37Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y31 TILE_X 70734 TILEPROP INT_R_X37Y31 TILE_Y -139448 TILEPROP INT_R_X37Y31 TYPE INT_R TILEPROP INT_R_X37Y32 CLASS tile TILEPROP INT_R_X37Y32 COLUMN 93 TILEPROP INT_R_X37Y32 DEVICE_ID 0 TILEPROP INT_R_X37Y32 FIRST_SITE_ID 12325 TILEPROP INT_R_X37Y32 GRID_POINT_X 93 TILEPROP INT_R_X37Y32 GRID_POINT_Y 122 TILEPROP INT_R_X37Y32 INDEX 14123 TILEPROP INT_R_X37Y32 INT_TILE_X 37 TILEPROP INT_R_X37Y32 INT_TILE_Y 117 TILEPROP INT_R_X37Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y32 IS_DCM_TILE 0 TILEPROP INT_R_X37Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y32 NAME INT_R_X37Y32 TILEPROP INT_R_X37Y32 NUM_ARCS 3737 TILEPROP INT_R_X37Y32 NUM_SITES 1 TILEPROP INT_R_X37Y32 ROW 122 TILEPROP INT_R_X37Y32 SLR_REGION_ID 0 TILEPROP INT_R_X37Y32 TILE_PATTERN_IDX 6071 TILEPROP INT_R_X37Y32 TILE_TYPE INT_R TILEPROP INT_R_X37Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y32 TILE_X 70734 TILEPROP INT_R_X37Y32 TILE_Y -136248 TILEPROP INT_R_X37Y32 TYPE INT_R TILEPROP INT_R_X37Y33 CLASS tile TILEPROP INT_R_X37Y33 COLUMN 93 TILEPROP INT_R_X37Y33 DEVICE_ID 0 TILEPROP INT_R_X37Y33 FIRST_SITE_ID 12224 TILEPROP INT_R_X37Y33 GRID_POINT_X 93 TILEPROP INT_R_X37Y33 GRID_POINT_Y 121 TILEPROP INT_R_X37Y33 INDEX 14008 TILEPROP INT_R_X37Y33 INT_TILE_X 37 TILEPROP INT_R_X37Y33 INT_TILE_Y 116 TILEPROP INT_R_X37Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y33 IS_DCM_TILE 0 TILEPROP INT_R_X37Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y33 NAME INT_R_X37Y33 TILEPROP INT_R_X37Y33 NUM_ARCS 3737 TILEPROP INT_R_X37Y33 NUM_SITES 1 TILEPROP INT_R_X37Y33 ROW 121 TILEPROP INT_R_X37Y33 SLR_REGION_ID 0 TILEPROP INT_R_X37Y33 TILE_PATTERN_IDX 6037 TILEPROP INT_R_X37Y33 TILE_TYPE INT_R TILEPROP INT_R_X37Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y33 TILE_X 70734 TILEPROP INT_R_X37Y33 TILE_Y -133048 TILEPROP INT_R_X37Y33 TYPE INT_R TILEPROP INT_R_X37Y34 CLASS tile TILEPROP INT_R_X37Y34 COLUMN 93 TILEPROP INT_R_X37Y34 DEVICE_ID 0 TILEPROP INT_R_X37Y34 FIRST_SITE_ID 12124 TILEPROP INT_R_X37Y34 GRID_POINT_X 93 TILEPROP INT_R_X37Y34 GRID_POINT_Y 120 TILEPROP INT_R_X37Y34 INDEX 13893 TILEPROP INT_R_X37Y34 INT_TILE_X 37 TILEPROP INT_R_X37Y34 INT_TILE_Y 115 TILEPROP INT_R_X37Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y34 IS_DCM_TILE 0 TILEPROP INT_R_X37Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y34 NAME INT_R_X37Y34 TILEPROP INT_R_X37Y34 NUM_ARCS 3737 TILEPROP INT_R_X37Y34 NUM_SITES 1 TILEPROP INT_R_X37Y34 ROW 120 TILEPROP INT_R_X37Y34 SLR_REGION_ID 0 TILEPROP INT_R_X37Y34 TILE_PATTERN_IDX 6003 TILEPROP INT_R_X37Y34 TILE_TYPE INT_R TILEPROP INT_R_X37Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y34 TILE_X 70734 TILEPROP INT_R_X37Y34 TILE_Y -129848 TILEPROP INT_R_X37Y34 TYPE INT_R TILEPROP INT_R_X37Y35 CLASS tile TILEPROP INT_R_X37Y35 COLUMN 93 TILEPROP INT_R_X37Y35 DEVICE_ID 0 TILEPROP INT_R_X37Y35 FIRST_SITE_ID 12021 TILEPROP INT_R_X37Y35 GRID_POINT_X 93 TILEPROP INT_R_X37Y35 GRID_POINT_Y 119 TILEPROP INT_R_X37Y35 INDEX 13778 TILEPROP INT_R_X37Y35 INT_TILE_X 37 TILEPROP INT_R_X37Y35 INT_TILE_Y 114 TILEPROP INT_R_X37Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y35 IS_DCM_TILE 0 TILEPROP INT_R_X37Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y35 NAME INT_R_X37Y35 TILEPROP INT_R_X37Y35 NUM_ARCS 3737 TILEPROP INT_R_X37Y35 NUM_SITES 1 TILEPROP INT_R_X37Y35 ROW 119 TILEPROP INT_R_X37Y35 SLR_REGION_ID 0 TILEPROP INT_R_X37Y35 TILE_PATTERN_IDX 5969 TILEPROP INT_R_X37Y35 TILE_TYPE INT_R TILEPROP INT_R_X37Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y35 TILE_X 70734 TILEPROP INT_R_X37Y35 TILE_Y -126648 TILEPROP INT_R_X37Y35 TYPE INT_R TILEPROP INT_R_X37Y36 CLASS tile TILEPROP INT_R_X37Y36 COLUMN 93 TILEPROP INT_R_X37Y36 DEVICE_ID 0 TILEPROP INT_R_X37Y36 FIRST_SITE_ID 11909 TILEPROP INT_R_X37Y36 GRID_POINT_X 93 TILEPROP INT_R_X37Y36 GRID_POINT_Y 118 TILEPROP INT_R_X37Y36 INDEX 13663 TILEPROP INT_R_X37Y36 INT_TILE_X 37 TILEPROP INT_R_X37Y36 INT_TILE_Y 113 TILEPROP INT_R_X37Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y36 IS_DCM_TILE 0 TILEPROP INT_R_X37Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y36 NAME INT_R_X37Y36 TILEPROP INT_R_X37Y36 NUM_ARCS 3737 TILEPROP INT_R_X37Y36 NUM_SITES 1 TILEPROP INT_R_X37Y36 ROW 118 TILEPROP INT_R_X37Y36 SLR_REGION_ID 0 TILEPROP INT_R_X37Y36 TILE_PATTERN_IDX 5934 TILEPROP INT_R_X37Y36 TILE_TYPE INT_R TILEPROP INT_R_X37Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y36 TILE_X 70734 TILEPROP INT_R_X37Y36 TILE_Y -123448 TILEPROP INT_R_X37Y36 TYPE INT_R TILEPROP INT_R_X37Y37 CLASS tile TILEPROP INT_R_X37Y37 COLUMN 93 TILEPROP INT_R_X37Y37 DEVICE_ID 0 TILEPROP INT_R_X37Y37 FIRST_SITE_ID 11777 TILEPROP INT_R_X37Y37 GRID_POINT_X 93 TILEPROP INT_R_X37Y37 GRID_POINT_Y 117 TILEPROP INT_R_X37Y37 INDEX 13548 TILEPROP INT_R_X37Y37 INT_TILE_X 37 TILEPROP INT_R_X37Y37 INT_TILE_Y 112 TILEPROP INT_R_X37Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y37 IS_DCM_TILE 0 TILEPROP INT_R_X37Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y37 NAME INT_R_X37Y37 TILEPROP INT_R_X37Y37 NUM_ARCS 3737 TILEPROP INT_R_X37Y37 NUM_SITES 1 TILEPROP INT_R_X37Y37 ROW 117 TILEPROP INT_R_X37Y37 SLR_REGION_ID 0 TILEPROP INT_R_X37Y37 TILE_PATTERN_IDX 5899 TILEPROP INT_R_X37Y37 TILE_TYPE INT_R TILEPROP INT_R_X37Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y37 TILE_X 70734 TILEPROP INT_R_X37Y37 TILE_Y -120248 TILEPROP INT_R_X37Y37 TYPE INT_R TILEPROP INT_R_X37Y38 CLASS tile TILEPROP INT_R_X37Y38 COLUMN 93 TILEPROP INT_R_X37Y38 DEVICE_ID 0 TILEPROP INT_R_X37Y38 FIRST_SITE_ID 11677 TILEPROP INT_R_X37Y38 GRID_POINT_X 93 TILEPROP INT_R_X37Y38 GRID_POINT_Y 116 TILEPROP INT_R_X37Y38 INDEX 13433 TILEPROP INT_R_X37Y38 INT_TILE_X 37 TILEPROP INT_R_X37Y38 INT_TILE_Y 111 TILEPROP INT_R_X37Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y38 IS_DCM_TILE 0 TILEPROP INT_R_X37Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y38 NAME INT_R_X37Y38 TILEPROP INT_R_X37Y38 NUM_ARCS 3737 TILEPROP INT_R_X37Y38 NUM_SITES 1 TILEPROP INT_R_X37Y38 ROW 116 TILEPROP INT_R_X37Y38 SLR_REGION_ID 0 TILEPROP INT_R_X37Y38 TILE_PATTERN_IDX 5866 TILEPROP INT_R_X37Y38 TILE_TYPE INT_R TILEPROP INT_R_X37Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y38 TILE_X 70734 TILEPROP INT_R_X37Y38 TILE_Y -117048 TILEPROP INT_R_X37Y38 TYPE INT_R TILEPROP INT_R_X37Y39 CLASS tile TILEPROP INT_R_X37Y39 COLUMN 93 TILEPROP INT_R_X37Y39 DEVICE_ID 0 TILEPROP INT_R_X37Y39 FIRST_SITE_ID 11577 TILEPROP INT_R_X37Y39 GRID_POINT_X 93 TILEPROP INT_R_X37Y39 GRID_POINT_Y 115 TILEPROP INT_R_X37Y39 INDEX 13318 TILEPROP INT_R_X37Y39 INT_TILE_X 37 TILEPROP INT_R_X37Y39 INT_TILE_Y 110 TILEPROP INT_R_X37Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y39 IS_DCM_TILE 0 TILEPROP INT_R_X37Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y39 NAME INT_R_X37Y39 TILEPROP INT_R_X37Y39 NUM_ARCS 3737 TILEPROP INT_R_X37Y39 NUM_SITES 1 TILEPROP INT_R_X37Y39 ROW 115 TILEPROP INT_R_X37Y39 SLR_REGION_ID 0 TILEPROP INT_R_X37Y39 TILE_PATTERN_IDX 5832 TILEPROP INT_R_X37Y39 TILE_TYPE INT_R TILEPROP INT_R_X37Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y39 TILE_X 70734 TILEPROP INT_R_X37Y39 TILE_Y -113848 TILEPROP INT_R_X37Y39 TYPE INT_R TILEPROP INT_R_X37Y40 CLASS tile TILEPROP INT_R_X37Y40 COLUMN 93 TILEPROP INT_R_X37Y40 DEVICE_ID 0 TILEPROP INT_R_X37Y40 FIRST_SITE_ID 11474 TILEPROP INT_R_X37Y40 GRID_POINT_X 93 TILEPROP INT_R_X37Y40 GRID_POINT_Y 114 TILEPROP INT_R_X37Y40 INDEX 13203 TILEPROP INT_R_X37Y40 INT_TILE_X 37 TILEPROP INT_R_X37Y40 INT_TILE_Y 109 TILEPROP INT_R_X37Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y40 IS_DCM_TILE 0 TILEPROP INT_R_X37Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y40 NAME INT_R_X37Y40 TILEPROP INT_R_X37Y40 NUM_ARCS 3737 TILEPROP INT_R_X37Y40 NUM_SITES 1 TILEPROP INT_R_X37Y40 ROW 114 TILEPROP INT_R_X37Y40 SLR_REGION_ID 0 TILEPROP INT_R_X37Y40 TILE_PATTERN_IDX 5798 TILEPROP INT_R_X37Y40 TILE_TYPE INT_R TILEPROP INT_R_X37Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y40 TILE_X 70734 TILEPROP INT_R_X37Y40 TILE_Y -110648 TILEPROP INT_R_X37Y40 TYPE INT_R TILEPROP INT_R_X37Y41 CLASS tile TILEPROP INT_R_X37Y41 COLUMN 93 TILEPROP INT_R_X37Y41 DEVICE_ID 0 TILEPROP INT_R_X37Y41 FIRST_SITE_ID 11360 TILEPROP INT_R_X37Y41 GRID_POINT_X 93 TILEPROP INT_R_X37Y41 GRID_POINT_Y 113 TILEPROP INT_R_X37Y41 INDEX 13088 TILEPROP INT_R_X37Y41 INT_TILE_X 37 TILEPROP INT_R_X37Y41 INT_TILE_Y 108 TILEPROP INT_R_X37Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y41 IS_DCM_TILE 0 TILEPROP INT_R_X37Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y41 NAME INT_R_X37Y41 TILEPROP INT_R_X37Y41 NUM_ARCS 3737 TILEPROP INT_R_X37Y41 NUM_SITES 1 TILEPROP INT_R_X37Y41 ROW 113 TILEPROP INT_R_X37Y41 SLR_REGION_ID 0 TILEPROP INT_R_X37Y41 TILE_PATTERN_IDX 5764 TILEPROP INT_R_X37Y41 TILE_TYPE INT_R TILEPROP INT_R_X37Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y41 TILE_X 70734 TILEPROP INT_R_X37Y41 TILE_Y -107448 TILEPROP INT_R_X37Y41 TYPE INT_R TILEPROP INT_R_X37Y42 CLASS tile TILEPROP INT_R_X37Y42 COLUMN 93 TILEPROP INT_R_X37Y42 DEVICE_ID 0 TILEPROP INT_R_X37Y42 FIRST_SITE_ID 11258 TILEPROP INT_R_X37Y42 GRID_POINT_X 93 TILEPROP INT_R_X37Y42 GRID_POINT_Y 112 TILEPROP INT_R_X37Y42 INDEX 12973 TILEPROP INT_R_X37Y42 INT_TILE_X 37 TILEPROP INT_R_X37Y42 INT_TILE_Y 107 TILEPROP INT_R_X37Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y42 IS_DCM_TILE 0 TILEPROP INT_R_X37Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y42 NAME INT_R_X37Y42 TILEPROP INT_R_X37Y42 NUM_ARCS 3737 TILEPROP INT_R_X37Y42 NUM_SITES 1 TILEPROP INT_R_X37Y42 ROW 112 TILEPROP INT_R_X37Y42 SLR_REGION_ID 0 TILEPROP INT_R_X37Y42 TILE_PATTERN_IDX 5731 TILEPROP INT_R_X37Y42 TILE_TYPE INT_R TILEPROP INT_R_X37Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y42 TILE_X 70734 TILEPROP INT_R_X37Y42 TILE_Y -104248 TILEPROP INT_R_X37Y42 TYPE INT_R TILEPROP INT_R_X37Y43 CLASS tile TILEPROP INT_R_X37Y43 COLUMN 93 TILEPROP INT_R_X37Y43 DEVICE_ID 0 TILEPROP INT_R_X37Y43 FIRST_SITE_ID 11155 TILEPROP INT_R_X37Y43 GRID_POINT_X 93 TILEPROP INT_R_X37Y43 GRID_POINT_Y 111 TILEPROP INT_R_X37Y43 INDEX 12858 TILEPROP INT_R_X37Y43 INT_TILE_X 37 TILEPROP INT_R_X37Y43 INT_TILE_Y 106 TILEPROP INT_R_X37Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y43 IS_DCM_TILE 0 TILEPROP INT_R_X37Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y43 NAME INT_R_X37Y43 TILEPROP INT_R_X37Y43 NUM_ARCS 3737 TILEPROP INT_R_X37Y43 NUM_SITES 1 TILEPROP INT_R_X37Y43 ROW 111 TILEPROP INT_R_X37Y43 SLR_REGION_ID 0 TILEPROP INT_R_X37Y43 TILE_PATTERN_IDX 5697 TILEPROP INT_R_X37Y43 TILE_TYPE INT_R TILEPROP INT_R_X37Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y43 TILE_X 70734 TILEPROP INT_R_X37Y43 TILE_Y -101048 TILEPROP INT_R_X37Y43 TYPE INT_R TILEPROP INT_R_X37Y44 CLASS tile TILEPROP INT_R_X37Y44 COLUMN 93 TILEPROP INT_R_X37Y44 DEVICE_ID 0 TILEPROP INT_R_X37Y44 FIRST_SITE_ID 11053 TILEPROP INT_R_X37Y44 GRID_POINT_X 93 TILEPROP INT_R_X37Y44 GRID_POINT_Y 110 TILEPROP INT_R_X37Y44 INDEX 12743 TILEPROP INT_R_X37Y44 INT_TILE_X 37 TILEPROP INT_R_X37Y44 INT_TILE_Y 105 TILEPROP INT_R_X37Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y44 IS_DCM_TILE 0 TILEPROP INT_R_X37Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y44 NAME INT_R_X37Y44 TILEPROP INT_R_X37Y44 NUM_ARCS 3737 TILEPROP INT_R_X37Y44 NUM_SITES 1 TILEPROP INT_R_X37Y44 ROW 110 TILEPROP INT_R_X37Y44 SLR_REGION_ID 0 TILEPROP INT_R_X37Y44 TILE_PATTERN_IDX 5663 TILEPROP INT_R_X37Y44 TILE_TYPE INT_R TILEPROP INT_R_X37Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y44 TILE_X 70734 TILEPROP INT_R_X37Y44 TILE_Y -97848 TILEPROP INT_R_X37Y44 TYPE INT_R TILEPROP INT_R_X37Y45 CLASS tile TILEPROP INT_R_X37Y45 COLUMN 93 TILEPROP INT_R_X37Y45 DEVICE_ID 0 TILEPROP INT_R_X37Y45 FIRST_SITE_ID 10950 TILEPROP INT_R_X37Y45 GRID_POINT_X 93 TILEPROP INT_R_X37Y45 GRID_POINT_Y 109 TILEPROP INT_R_X37Y45 INDEX 12628 TILEPROP INT_R_X37Y45 INT_TILE_X 37 TILEPROP INT_R_X37Y45 INT_TILE_Y 104 TILEPROP INT_R_X37Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y45 IS_DCM_TILE 0 TILEPROP INT_R_X37Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y45 NAME INT_R_X37Y45 TILEPROP INT_R_X37Y45 NUM_ARCS 3737 TILEPROP INT_R_X37Y45 NUM_SITES 1 TILEPROP INT_R_X37Y45 ROW 109 TILEPROP INT_R_X37Y45 SLR_REGION_ID 0 TILEPROP INT_R_X37Y45 TILE_PATTERN_IDX 5629 TILEPROP INT_R_X37Y45 TILE_TYPE INT_R TILEPROP INT_R_X37Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y45 TILE_X 70734 TILEPROP INT_R_X37Y45 TILE_Y -94648 TILEPROP INT_R_X37Y45 TYPE INT_R TILEPROP INT_R_X37Y46 CLASS tile TILEPROP INT_R_X37Y46 COLUMN 93 TILEPROP INT_R_X37Y46 DEVICE_ID 0 TILEPROP INT_R_X37Y46 FIRST_SITE_ID 10837 TILEPROP INT_R_X37Y46 GRID_POINT_X 93 TILEPROP INT_R_X37Y46 GRID_POINT_Y 108 TILEPROP INT_R_X37Y46 INDEX 12513 TILEPROP INT_R_X37Y46 INT_TILE_X 37 TILEPROP INT_R_X37Y46 INT_TILE_Y 103 TILEPROP INT_R_X37Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y46 IS_DCM_TILE 0 TILEPROP INT_R_X37Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y46 NAME INT_R_X37Y46 TILEPROP INT_R_X37Y46 NUM_ARCS 3737 TILEPROP INT_R_X37Y46 NUM_SITES 1 TILEPROP INT_R_X37Y46 ROW 108 TILEPROP INT_R_X37Y46 SLR_REGION_ID 0 TILEPROP INT_R_X37Y46 TILE_PATTERN_IDX 7380 TILEPROP INT_R_X37Y46 TILE_TYPE INT_R TILEPROP INT_R_X37Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y46 TILE_X 70734 TILEPROP INT_R_X37Y46 TILE_Y -91448 TILEPROP INT_R_X37Y46 TYPE INT_R TILEPROP INT_R_X37Y47 CLASS tile TILEPROP INT_R_X37Y47 COLUMN 93 TILEPROP INT_R_X37Y47 DEVICE_ID 0 TILEPROP INT_R_X37Y47 FIRST_SITE_ID 10721 TILEPROP INT_R_X37Y47 GRID_POINT_X 93 TILEPROP INT_R_X37Y47 GRID_POINT_Y 107 TILEPROP INT_R_X37Y47 INDEX 12398 TILEPROP INT_R_X37Y47 INT_TILE_X 37 TILEPROP INT_R_X37Y47 INT_TILE_Y 102 TILEPROP INT_R_X37Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y47 IS_DCM_TILE 0 TILEPROP INT_R_X37Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y47 NAME INT_R_X37Y47 TILEPROP INT_R_X37Y47 NUM_ARCS 3737 TILEPROP INT_R_X37Y47 NUM_SITES 1 TILEPROP INT_R_X37Y47 ROW 107 TILEPROP INT_R_X37Y47 SLR_REGION_ID 0 TILEPROP INT_R_X37Y47 TILE_PATTERN_IDX 7343 TILEPROP INT_R_X37Y47 TILE_TYPE INT_R TILEPROP INT_R_X37Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y47 TILE_X 70734 TILEPROP INT_R_X37Y47 TILE_Y -88248 TILEPROP INT_R_X37Y47 TYPE INT_R TILEPROP INT_R_X37Y48 CLASS tile TILEPROP INT_R_X37Y48 COLUMN 93 TILEPROP INT_R_X37Y48 DEVICE_ID 0 TILEPROP INT_R_X37Y48 FIRST_SITE_ID 10621 TILEPROP INT_R_X37Y48 GRID_POINT_X 93 TILEPROP INT_R_X37Y48 GRID_POINT_Y 106 TILEPROP INT_R_X37Y48 INDEX 12283 TILEPROP INT_R_X37Y48 INT_TILE_X 37 TILEPROP INT_R_X37Y48 INT_TILE_Y 101 TILEPROP INT_R_X37Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y48 IS_DCM_TILE 0 TILEPROP INT_R_X37Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y48 NAME INT_R_X37Y48 TILEPROP INT_R_X37Y48 NUM_ARCS 3737 TILEPROP INT_R_X37Y48 NUM_SITES 1 TILEPROP INT_R_X37Y48 ROW 106 TILEPROP INT_R_X37Y48 SLR_REGION_ID 0 TILEPROP INT_R_X37Y48 TILE_PATTERN_IDX 7307 TILEPROP INT_R_X37Y48 TILE_TYPE INT_R TILEPROP INT_R_X37Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y48 TILE_X 70734 TILEPROP INT_R_X37Y48 TILE_Y -85048 TILEPROP INT_R_X37Y48 TYPE INT_R TILEPROP INT_R_X37Y49 CLASS tile TILEPROP INT_R_X37Y49 COLUMN 93 TILEPROP INT_R_X37Y49 DEVICE_ID 0 TILEPROP INT_R_X37Y49 FIRST_SITE_ID 10525 TILEPROP INT_R_X37Y49 GRID_POINT_X 93 TILEPROP INT_R_X37Y49 GRID_POINT_Y 105 TILEPROP INT_R_X37Y49 INDEX 12168 TILEPROP INT_R_X37Y49 INT_TILE_X 37 TILEPROP INT_R_X37Y49 INT_TILE_Y 100 TILEPROP INT_R_X37Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y49 IS_DCM_TILE 0 TILEPROP INT_R_X37Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y49 NAME INT_R_X37Y49 TILEPROP INT_R_X37Y49 NUM_ARCS 3737 TILEPROP INT_R_X37Y49 NUM_SITES 1 TILEPROP INT_R_X37Y49 ROW 105 TILEPROP INT_R_X37Y49 SLR_REGION_ID 0 TILEPROP INT_R_X37Y49 TILE_PATTERN_IDX 7271 TILEPROP INT_R_X37Y49 TILE_TYPE INT_R TILEPROP INT_R_X37Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y49 TILE_X 70734 TILEPROP INT_R_X37Y49 TILE_Y -81848 TILEPROP INT_R_X37Y49 TYPE INT_R TILEPROP INT_R_X37Y50 CLASS tile TILEPROP INT_R_X37Y50 COLUMN 93 TILEPROP INT_R_X37Y50 DEVICE_ID 0 TILEPROP INT_R_X37Y50 FIRST_SITE_ID 10422 TILEPROP INT_R_X37Y50 GRID_POINT_X 93 TILEPROP INT_R_X37Y50 GRID_POINT_Y 103 TILEPROP INT_R_X37Y50 INDEX 11938 TILEPROP INT_R_X37Y50 INT_TILE_X 37 TILEPROP INT_R_X37Y50 INT_TILE_Y 99 TILEPROP INT_R_X37Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y50 IS_DCM_TILE 0 TILEPROP INT_R_X37Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y50 NAME INT_R_X37Y50 TILEPROP INT_R_X37Y50 NUM_ARCS 3737 TILEPROP INT_R_X37Y50 NUM_SITES 1 TILEPROP INT_R_X37Y50 ROW 103 TILEPROP INT_R_X37Y50 SLR_REGION_ID 0 TILEPROP INT_R_X37Y50 TILE_PATTERN_IDX 7234 TILEPROP INT_R_X37Y50 TILE_TYPE INT_R TILEPROP INT_R_X37Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y50 TILE_X 70734 TILEPROP INT_R_X37Y50 TILE_Y -78400 TILEPROP INT_R_X37Y50 TYPE INT_R TILEPROP INT_R_X37Y51 CLASS tile TILEPROP INT_R_X37Y51 COLUMN 93 TILEPROP INT_R_X37Y51 DEVICE_ID 0 TILEPROP INT_R_X37Y51 FIRST_SITE_ID 10290 TILEPROP INT_R_X37Y51 GRID_POINT_X 93 TILEPROP INT_R_X37Y51 GRID_POINT_Y 102 TILEPROP INT_R_X37Y51 INDEX 11823 TILEPROP INT_R_X37Y51 INT_TILE_X 37 TILEPROP INT_R_X37Y51 INT_TILE_Y 98 TILEPROP INT_R_X37Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y51 IS_DCM_TILE 0 TILEPROP INT_R_X37Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y51 NAME INT_R_X37Y51 TILEPROP INT_R_X37Y51 NUM_ARCS 3737 TILEPROP INT_R_X37Y51 NUM_SITES 1 TILEPROP INT_R_X37Y51 ROW 102 TILEPROP INT_R_X37Y51 SLR_REGION_ID 0 TILEPROP INT_R_X37Y51 TILE_PATTERN_IDX 7197 TILEPROP INT_R_X37Y51 TILE_TYPE INT_R TILEPROP INT_R_X37Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y51 TILE_X 70734 TILEPROP INT_R_X37Y51 TILE_Y -75200 TILEPROP INT_R_X37Y51 TYPE INT_R TILEPROP INT_R_X37Y52 CLASS tile TILEPROP INT_R_X37Y52 COLUMN 93 TILEPROP INT_R_X37Y52 DEVICE_ID 0 TILEPROP INT_R_X37Y52 FIRST_SITE_ID 10190 TILEPROP INT_R_X37Y52 GRID_POINT_X 93 TILEPROP INT_R_X37Y52 GRID_POINT_Y 101 TILEPROP INT_R_X37Y52 INDEX 11708 TILEPROP INT_R_X37Y52 INT_TILE_X 37 TILEPROP INT_R_X37Y52 INT_TILE_Y 97 TILEPROP INT_R_X37Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y52 IS_DCM_TILE 0 TILEPROP INT_R_X37Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y52 NAME INT_R_X37Y52 TILEPROP INT_R_X37Y52 NUM_ARCS 3737 TILEPROP INT_R_X37Y52 NUM_SITES 1 TILEPROP INT_R_X37Y52 ROW 101 TILEPROP INT_R_X37Y52 SLR_REGION_ID 0 TILEPROP INT_R_X37Y52 TILE_PATTERN_IDX 7160 TILEPROP INT_R_X37Y52 TILE_TYPE INT_R TILEPROP INT_R_X37Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y52 TILE_X 70734 TILEPROP INT_R_X37Y52 TILE_Y -72000 TILEPROP INT_R_X37Y52 TYPE INT_R TILEPROP INT_R_X37Y53 CLASS tile TILEPROP INT_R_X37Y53 COLUMN 93 TILEPROP INT_R_X37Y53 DEVICE_ID 0 TILEPROP INT_R_X37Y53 FIRST_SITE_ID 10090 TILEPROP INT_R_X37Y53 GRID_POINT_X 93 TILEPROP INT_R_X37Y53 GRID_POINT_Y 100 TILEPROP INT_R_X37Y53 INDEX 11593 TILEPROP INT_R_X37Y53 INT_TILE_X 37 TILEPROP INT_R_X37Y53 INT_TILE_Y 96 TILEPROP INT_R_X37Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y53 IS_DCM_TILE 0 TILEPROP INT_R_X37Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y53 NAME INT_R_X37Y53 TILEPROP INT_R_X37Y53 NUM_ARCS 3737 TILEPROP INT_R_X37Y53 NUM_SITES 1 TILEPROP INT_R_X37Y53 ROW 100 TILEPROP INT_R_X37Y53 SLR_REGION_ID 0 TILEPROP INT_R_X37Y53 TILE_PATTERN_IDX 7123 TILEPROP INT_R_X37Y53 TILE_TYPE INT_R TILEPROP INT_R_X37Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y53 TILE_X 70734 TILEPROP INT_R_X37Y53 TILE_Y -68800 TILEPROP INT_R_X37Y53 TYPE INT_R TILEPROP INT_R_X37Y54 CLASS tile TILEPROP INT_R_X37Y54 COLUMN 93 TILEPROP INT_R_X37Y54 DEVICE_ID 0 TILEPROP INT_R_X37Y54 FIRST_SITE_ID 9990 TILEPROP INT_R_X37Y54 GRID_POINT_X 93 TILEPROP INT_R_X37Y54 GRID_POINT_Y 99 TILEPROP INT_R_X37Y54 INDEX 11478 TILEPROP INT_R_X37Y54 INT_TILE_X 37 TILEPROP INT_R_X37Y54 INT_TILE_Y 95 TILEPROP INT_R_X37Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y54 IS_DCM_TILE 0 TILEPROP INT_R_X37Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y54 NAME INT_R_X37Y54 TILEPROP INT_R_X37Y54 NUM_ARCS 3737 TILEPROP INT_R_X37Y54 NUM_SITES 1 TILEPROP INT_R_X37Y54 ROW 99 TILEPROP INT_R_X37Y54 SLR_REGION_ID 0 TILEPROP INT_R_X37Y54 TILE_PATTERN_IDX 7086 TILEPROP INT_R_X37Y54 TILE_TYPE INT_R TILEPROP INT_R_X37Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y54 TILE_X 70734 TILEPROP INT_R_X37Y54 TILE_Y -65600 TILEPROP INT_R_X37Y54 TYPE INT_R TILEPROP INT_R_X37Y55 CLASS tile TILEPROP INT_R_X37Y55 COLUMN 93 TILEPROP INT_R_X37Y55 DEVICE_ID 0 TILEPROP INT_R_X37Y55 FIRST_SITE_ID 9887 TILEPROP INT_R_X37Y55 GRID_POINT_X 93 TILEPROP INT_R_X37Y55 GRID_POINT_Y 98 TILEPROP INT_R_X37Y55 INDEX 11363 TILEPROP INT_R_X37Y55 INT_TILE_X 37 TILEPROP INT_R_X37Y55 INT_TILE_Y 94 TILEPROP INT_R_X37Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y55 IS_DCM_TILE 0 TILEPROP INT_R_X37Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y55 NAME INT_R_X37Y55 TILEPROP INT_R_X37Y55 NUM_ARCS 3737 TILEPROP INT_R_X37Y55 NUM_SITES 1 TILEPROP INT_R_X37Y55 ROW 98 TILEPROP INT_R_X37Y55 SLR_REGION_ID 0 TILEPROP INT_R_X37Y55 TILE_PATTERN_IDX 7048 TILEPROP INT_R_X37Y55 TILE_TYPE INT_R TILEPROP INT_R_X37Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y55 TILE_X 70734 TILEPROP INT_R_X37Y55 TILE_Y -62400 TILEPROP INT_R_X37Y55 TYPE INT_R TILEPROP INT_R_X37Y56 CLASS tile TILEPROP INT_R_X37Y56 COLUMN 93 TILEPROP INT_R_X37Y56 DEVICE_ID 0 TILEPROP INT_R_X37Y56 FIRST_SITE_ID 9775 TILEPROP INT_R_X37Y56 GRID_POINT_X 93 TILEPROP INT_R_X37Y56 GRID_POINT_Y 97 TILEPROP INT_R_X37Y56 INDEX 11248 TILEPROP INT_R_X37Y56 INT_TILE_X 37 TILEPROP INT_R_X37Y56 INT_TILE_Y 93 TILEPROP INT_R_X37Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y56 IS_DCM_TILE 0 TILEPROP INT_R_X37Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y56 NAME INT_R_X37Y56 TILEPROP INT_R_X37Y56 NUM_ARCS 3737 TILEPROP INT_R_X37Y56 NUM_SITES 1 TILEPROP INT_R_X37Y56 ROW 97 TILEPROP INT_R_X37Y56 SLR_REGION_ID 0 TILEPROP INT_R_X37Y56 TILE_PATTERN_IDX 7011 TILEPROP INT_R_X37Y56 TILE_TYPE INT_R TILEPROP INT_R_X37Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y56 TILE_X 70734 TILEPROP INT_R_X37Y56 TILE_Y -59200 TILEPROP INT_R_X37Y56 TYPE INT_R TILEPROP INT_R_X37Y57 CLASS tile TILEPROP INT_R_X37Y57 COLUMN 93 TILEPROP INT_R_X37Y57 DEVICE_ID 0 TILEPROP INT_R_X37Y57 FIRST_SITE_ID 9673 TILEPROP INT_R_X37Y57 GRID_POINT_X 93 TILEPROP INT_R_X37Y57 GRID_POINT_Y 96 TILEPROP INT_R_X37Y57 INDEX 11133 TILEPROP INT_R_X37Y57 INT_TILE_X 37 TILEPROP INT_R_X37Y57 INT_TILE_Y 92 TILEPROP INT_R_X37Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y57 IS_DCM_TILE 0 TILEPROP INT_R_X37Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y57 NAME INT_R_X37Y57 TILEPROP INT_R_X37Y57 NUM_ARCS 3737 TILEPROP INT_R_X37Y57 NUM_SITES 1 TILEPROP INT_R_X37Y57 ROW 96 TILEPROP INT_R_X37Y57 SLR_REGION_ID 0 TILEPROP INT_R_X37Y57 TILE_PATTERN_IDX 6974 TILEPROP INT_R_X37Y57 TILE_TYPE INT_R TILEPROP INT_R_X37Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y57 TILE_X 70734 TILEPROP INT_R_X37Y57 TILE_Y -56000 TILEPROP INT_R_X37Y57 TYPE INT_R TILEPROP INT_R_X37Y58 CLASS tile TILEPROP INT_R_X37Y58 COLUMN 93 TILEPROP INT_R_X37Y58 DEVICE_ID 0 TILEPROP INT_R_X37Y58 FIRST_SITE_ID 9570 TILEPROP INT_R_X37Y58 GRID_POINT_X 93 TILEPROP INT_R_X37Y58 GRID_POINT_Y 95 TILEPROP INT_R_X37Y58 INDEX 11018 TILEPROP INT_R_X37Y58 INT_TILE_X 37 TILEPROP INT_R_X37Y58 INT_TILE_Y 91 TILEPROP INT_R_X37Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y58 IS_DCM_TILE 0 TILEPROP INT_R_X37Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y58 NAME INT_R_X37Y58 TILEPROP INT_R_X37Y58 NUM_ARCS 3737 TILEPROP INT_R_X37Y58 NUM_SITES 1 TILEPROP INT_R_X37Y58 ROW 95 TILEPROP INT_R_X37Y58 SLR_REGION_ID 0 TILEPROP INT_R_X37Y58 TILE_PATTERN_IDX 6936 TILEPROP INT_R_X37Y58 TILE_TYPE INT_R TILEPROP INT_R_X37Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y58 TILE_X 70734 TILEPROP INT_R_X37Y58 TILE_Y -52800 TILEPROP INT_R_X37Y58 TYPE INT_R TILEPROP INT_R_X37Y59 CLASS tile TILEPROP INT_R_X37Y59 COLUMN 93 TILEPROP INT_R_X37Y59 DEVICE_ID 0 TILEPROP INT_R_X37Y59 FIRST_SITE_ID 9469 TILEPROP INT_R_X37Y59 GRID_POINT_X 93 TILEPROP INT_R_X37Y59 GRID_POINT_Y 94 TILEPROP INT_R_X37Y59 INDEX 10903 TILEPROP INT_R_X37Y59 INT_TILE_X 37 TILEPROP INT_R_X37Y59 INT_TILE_Y 90 TILEPROP INT_R_X37Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y59 IS_DCM_TILE 0 TILEPROP INT_R_X37Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y59 NAME INT_R_X37Y59 TILEPROP INT_R_X37Y59 NUM_ARCS 3737 TILEPROP INT_R_X37Y59 NUM_SITES 1 TILEPROP INT_R_X37Y59 ROW 94 TILEPROP INT_R_X37Y59 SLR_REGION_ID 0 TILEPROP INT_R_X37Y59 TILE_PATTERN_IDX 6899 TILEPROP INT_R_X37Y59 TILE_TYPE INT_R TILEPROP INT_R_X37Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y59 TILE_X 70734 TILEPROP INT_R_X37Y59 TILE_Y -49600 TILEPROP INT_R_X37Y59 TYPE INT_R TILEPROP INT_R_X37Y60 CLASS tile TILEPROP INT_R_X37Y60 COLUMN 93 TILEPROP INT_R_X37Y60 DEVICE_ID 0 TILEPROP INT_R_X37Y60 FIRST_SITE_ID 9366 TILEPROP INT_R_X37Y60 GRID_POINT_X 93 TILEPROP INT_R_X37Y60 GRID_POINT_Y 93 TILEPROP INT_R_X37Y60 INDEX 10788 TILEPROP INT_R_X37Y60 INT_TILE_X 37 TILEPROP INT_R_X37Y60 INT_TILE_Y 89 TILEPROP INT_R_X37Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y60 IS_DCM_TILE 0 TILEPROP INT_R_X37Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y60 NAME INT_R_X37Y60 TILEPROP INT_R_X37Y60 NUM_ARCS 3737 TILEPROP INT_R_X37Y60 NUM_SITES 1 TILEPROP INT_R_X37Y60 ROW 93 TILEPROP INT_R_X37Y60 SLR_REGION_ID 0 TILEPROP INT_R_X37Y60 TILE_PATTERN_IDX 6862 TILEPROP INT_R_X37Y60 TILE_TYPE INT_R TILEPROP INT_R_X37Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y60 TILE_X 70734 TILEPROP INT_R_X37Y60 TILE_Y -46400 TILEPROP INT_R_X37Y60 TYPE INT_R TILEPROP INT_R_X37Y61 CLASS tile TILEPROP INT_R_X37Y61 COLUMN 93 TILEPROP INT_R_X37Y61 DEVICE_ID 0 TILEPROP INT_R_X37Y61 FIRST_SITE_ID 9254 TILEPROP INT_R_X37Y61 GRID_POINT_X 93 TILEPROP INT_R_X37Y61 GRID_POINT_Y 92 TILEPROP INT_R_X37Y61 INDEX 10673 TILEPROP INT_R_X37Y61 INT_TILE_X 37 TILEPROP INT_R_X37Y61 INT_TILE_Y 88 TILEPROP INT_R_X37Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y61 IS_DCM_TILE 0 TILEPROP INT_R_X37Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y61 NAME INT_R_X37Y61 TILEPROP INT_R_X37Y61 NUM_ARCS 3737 TILEPROP INT_R_X37Y61 NUM_SITES 1 TILEPROP INT_R_X37Y61 ROW 92 TILEPROP INT_R_X37Y61 SLR_REGION_ID 0 TILEPROP INT_R_X37Y61 TILE_PATTERN_IDX 6825 TILEPROP INT_R_X37Y61 TILE_TYPE INT_R TILEPROP INT_R_X37Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y61 TILE_X 70734 TILEPROP INT_R_X37Y61 TILE_Y -43200 TILEPROP INT_R_X37Y61 TYPE INT_R TILEPROP INT_R_X37Y62 CLASS tile TILEPROP INT_R_X37Y62 COLUMN 93 TILEPROP INT_R_X37Y62 DEVICE_ID 0 TILEPROP INT_R_X37Y62 FIRST_SITE_ID 9154 TILEPROP INT_R_X37Y62 GRID_POINT_X 93 TILEPROP INT_R_X37Y62 GRID_POINT_Y 91 TILEPROP INT_R_X37Y62 INDEX 10558 TILEPROP INT_R_X37Y62 INT_TILE_X 37 TILEPROP INT_R_X37Y62 INT_TILE_Y 87 TILEPROP INT_R_X37Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y62 IS_DCM_TILE 0 TILEPROP INT_R_X37Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y62 NAME INT_R_X37Y62 TILEPROP INT_R_X37Y62 NUM_ARCS 3737 TILEPROP INT_R_X37Y62 NUM_SITES 1 TILEPROP INT_R_X37Y62 ROW 91 TILEPROP INT_R_X37Y62 SLR_REGION_ID 0 TILEPROP INT_R_X37Y62 TILE_PATTERN_IDX 6788 TILEPROP INT_R_X37Y62 TILE_TYPE INT_R TILEPROP INT_R_X37Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y62 TILE_X 70734 TILEPROP INT_R_X37Y62 TILE_Y -40000 TILEPROP INT_R_X37Y62 TYPE INT_R TILEPROP INT_R_X37Y63 CLASS tile TILEPROP INT_R_X37Y63 COLUMN 93 TILEPROP INT_R_X37Y63 DEVICE_ID 0 TILEPROP INT_R_X37Y63 FIRST_SITE_ID 9022 TILEPROP INT_R_X37Y63 GRID_POINT_X 93 TILEPROP INT_R_X37Y63 GRID_POINT_Y 90 TILEPROP INT_R_X37Y63 INDEX 10443 TILEPROP INT_R_X37Y63 INT_TILE_X 37 TILEPROP INT_R_X37Y63 INT_TILE_Y 86 TILEPROP INT_R_X37Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y63 IS_DCM_TILE 0 TILEPROP INT_R_X37Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y63 NAME INT_R_X37Y63 TILEPROP INT_R_X37Y63 NUM_ARCS 3737 TILEPROP INT_R_X37Y63 NUM_SITES 1 TILEPROP INT_R_X37Y63 ROW 90 TILEPROP INT_R_X37Y63 SLR_REGION_ID 0 TILEPROP INT_R_X37Y63 TILE_PATTERN_IDX 6751 TILEPROP INT_R_X37Y63 TILE_TYPE INT_R TILEPROP INT_R_X37Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y63 TILE_X 70734 TILEPROP INT_R_X37Y63 TILE_Y -36800 TILEPROP INT_R_X37Y63 TYPE INT_R TILEPROP INT_R_X37Y64 CLASS tile TILEPROP INT_R_X37Y64 COLUMN 93 TILEPROP INT_R_X37Y64 DEVICE_ID 0 TILEPROP INT_R_X37Y64 FIRST_SITE_ID 8922 TILEPROP INT_R_X37Y64 GRID_POINT_X 93 TILEPROP INT_R_X37Y64 GRID_POINT_Y 89 TILEPROP INT_R_X37Y64 INDEX 10328 TILEPROP INT_R_X37Y64 INT_TILE_X 37 TILEPROP INT_R_X37Y64 INT_TILE_Y 85 TILEPROP INT_R_X37Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y64 IS_DCM_TILE 0 TILEPROP INT_R_X37Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y64 NAME INT_R_X37Y64 TILEPROP INT_R_X37Y64 NUM_ARCS 3737 TILEPROP INT_R_X37Y64 NUM_SITES 1 TILEPROP INT_R_X37Y64 ROW 89 TILEPROP INT_R_X37Y64 SLR_REGION_ID 0 TILEPROP INT_R_X37Y64 TILE_PATTERN_IDX 6714 TILEPROP INT_R_X37Y64 TILE_TYPE INT_R TILEPROP INT_R_X37Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y64 TILE_X 70734 TILEPROP INT_R_X37Y64 TILE_Y -33600 TILEPROP INT_R_X37Y64 TYPE INT_R TILEPROP INT_R_X37Y65 CLASS tile TILEPROP INT_R_X37Y65 COLUMN 93 TILEPROP INT_R_X37Y65 DEVICE_ID 0 TILEPROP INT_R_X37Y65 FIRST_SITE_ID 8819 TILEPROP INT_R_X37Y65 GRID_POINT_X 93 TILEPROP INT_R_X37Y65 GRID_POINT_Y 88 TILEPROP INT_R_X37Y65 INDEX 10213 TILEPROP INT_R_X37Y65 INT_TILE_X 37 TILEPROP INT_R_X37Y65 INT_TILE_Y 84 TILEPROP INT_R_X37Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y65 IS_DCM_TILE 0 TILEPROP INT_R_X37Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y65 NAME INT_R_X37Y65 TILEPROP INT_R_X37Y65 NUM_ARCS 3737 TILEPROP INT_R_X37Y65 NUM_SITES 1 TILEPROP INT_R_X37Y65 ROW 88 TILEPROP INT_R_X37Y65 SLR_REGION_ID 0 TILEPROP INT_R_X37Y65 TILE_PATTERN_IDX 6677 TILEPROP INT_R_X37Y65 TILE_TYPE INT_R TILEPROP INT_R_X37Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y65 TILE_X 70734 TILEPROP INT_R_X37Y65 TILE_Y -30400 TILEPROP INT_R_X37Y65 TYPE INT_R TILEPROP INT_R_X37Y66 CLASS tile TILEPROP INT_R_X37Y66 COLUMN 93 TILEPROP INT_R_X37Y66 DEVICE_ID 0 TILEPROP INT_R_X37Y66 FIRST_SITE_ID 8707 TILEPROP INT_R_X37Y66 GRID_POINT_X 93 TILEPROP INT_R_X37Y66 GRID_POINT_Y 87 TILEPROP INT_R_X37Y66 INDEX 10098 TILEPROP INT_R_X37Y66 INT_TILE_X 37 TILEPROP INT_R_X37Y66 INT_TILE_Y 83 TILEPROP INT_R_X37Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y66 IS_DCM_TILE 0 TILEPROP INT_R_X37Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y66 NAME INT_R_X37Y66 TILEPROP INT_R_X37Y66 NUM_ARCS 3737 TILEPROP INT_R_X37Y66 NUM_SITES 1 TILEPROP INT_R_X37Y66 ROW 87 TILEPROP INT_R_X37Y66 SLR_REGION_ID 0 TILEPROP INT_R_X37Y66 TILE_PATTERN_IDX 6640 TILEPROP INT_R_X37Y66 TILE_TYPE INT_R TILEPROP INT_R_X37Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y66 TILE_X 70734 TILEPROP INT_R_X37Y66 TILE_Y -27200 TILEPROP INT_R_X37Y66 TYPE INT_R TILEPROP INT_R_X37Y67 CLASS tile TILEPROP INT_R_X37Y67 COLUMN 93 TILEPROP INT_R_X37Y67 DEVICE_ID 0 TILEPROP INT_R_X37Y67 FIRST_SITE_ID 8603 TILEPROP INT_R_X37Y67 GRID_POINT_X 93 TILEPROP INT_R_X37Y67 GRID_POINT_Y 86 TILEPROP INT_R_X37Y67 INDEX 9983 TILEPROP INT_R_X37Y67 INT_TILE_X 37 TILEPROP INT_R_X37Y67 INT_TILE_Y 82 TILEPROP INT_R_X37Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y67 IS_DCM_TILE 0 TILEPROP INT_R_X37Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y67 NAME INT_R_X37Y67 TILEPROP INT_R_X37Y67 NUM_ARCS 3737 TILEPROP INT_R_X37Y67 NUM_SITES 1 TILEPROP INT_R_X37Y67 ROW 86 TILEPROP INT_R_X37Y67 SLR_REGION_ID 0 TILEPROP INT_R_X37Y67 TILE_PATTERN_IDX 6602 TILEPROP INT_R_X37Y67 TILE_TYPE INT_R TILEPROP INT_R_X37Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y67 TILE_X 70734 TILEPROP INT_R_X37Y67 TILE_Y -24000 TILEPROP INT_R_X37Y67 TYPE INT_R TILEPROP INT_R_X37Y68 CLASS tile TILEPROP INT_R_X37Y68 COLUMN 93 TILEPROP INT_R_X37Y68 DEVICE_ID 0 TILEPROP INT_R_X37Y68 FIRST_SITE_ID 8499 TILEPROP INT_R_X37Y68 GRID_POINT_X 93 TILEPROP INT_R_X37Y68 GRID_POINT_Y 85 TILEPROP INT_R_X37Y68 INDEX 9868 TILEPROP INT_R_X37Y68 INT_TILE_X 37 TILEPROP INT_R_X37Y68 INT_TILE_Y 81 TILEPROP INT_R_X37Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y68 IS_DCM_TILE 0 TILEPROP INT_R_X37Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y68 NAME INT_R_X37Y68 TILEPROP INT_R_X37Y68 NUM_ARCS 3737 TILEPROP INT_R_X37Y68 NUM_SITES 1 TILEPROP INT_R_X37Y68 ROW 85 TILEPROP INT_R_X37Y68 SLR_REGION_ID 0 TILEPROP INT_R_X37Y68 TILE_PATTERN_IDX 6570 TILEPROP INT_R_X37Y68 TILE_TYPE INT_R TILEPROP INT_R_X37Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y68 TILE_X 70734 TILEPROP INT_R_X37Y68 TILE_Y -20800 TILEPROP INT_R_X37Y68 TYPE INT_R TILEPROP INT_R_X37Y69 CLASS tile TILEPROP INT_R_X37Y69 COLUMN 93 TILEPROP INT_R_X37Y69 DEVICE_ID 0 TILEPROP INT_R_X37Y69 FIRST_SITE_ID 8397 TILEPROP INT_R_X37Y69 GRID_POINT_X 93 TILEPROP INT_R_X37Y69 GRID_POINT_Y 84 TILEPROP INT_R_X37Y69 INDEX 9753 TILEPROP INT_R_X37Y69 INT_TILE_X 37 TILEPROP INT_R_X37Y69 INT_TILE_Y 80 TILEPROP INT_R_X37Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y69 IS_DCM_TILE 0 TILEPROP INT_R_X37Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y69 NAME INT_R_X37Y69 TILEPROP INT_R_X37Y69 NUM_ARCS 3737 TILEPROP INT_R_X37Y69 NUM_SITES 1 TILEPROP INT_R_X37Y69 ROW 84 TILEPROP INT_R_X37Y69 SLR_REGION_ID 0 TILEPROP INT_R_X37Y69 TILE_PATTERN_IDX 6539 TILEPROP INT_R_X37Y69 TILE_TYPE INT_R TILEPROP INT_R_X37Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y69 TILE_X 70734 TILEPROP INT_R_X37Y69 TILE_Y -17600 TILEPROP INT_R_X37Y69 TYPE INT_R TILEPROP INT_R_X37Y70 CLASS tile TILEPROP INT_R_X37Y70 COLUMN 93 TILEPROP INT_R_X37Y70 DEVICE_ID 0 TILEPROP INT_R_X37Y70 FIRST_SITE_ID 8292 TILEPROP INT_R_X37Y70 GRID_POINT_X 93 TILEPROP INT_R_X37Y70 GRID_POINT_Y 83 TILEPROP INT_R_X37Y70 INDEX 9638 TILEPROP INT_R_X37Y70 INT_TILE_X 37 TILEPROP INT_R_X37Y70 INT_TILE_Y 79 TILEPROP INT_R_X37Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y70 IS_DCM_TILE 0 TILEPROP INT_R_X37Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y70 NAME INT_R_X37Y70 TILEPROP INT_R_X37Y70 NUM_ARCS 3737 TILEPROP INT_R_X37Y70 NUM_SITES 1 TILEPROP INT_R_X37Y70 ROW 83 TILEPROP INT_R_X37Y70 SLR_REGION_ID 0 TILEPROP INT_R_X37Y70 TILE_PATTERN_IDX 6508 TILEPROP INT_R_X37Y70 TILE_TYPE INT_R TILEPROP INT_R_X37Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y70 TILE_X 70734 TILEPROP INT_R_X37Y70 TILE_Y -14400 TILEPROP INT_R_X37Y70 TYPE INT_R TILEPROP INT_R_X37Y71 CLASS tile TILEPROP INT_R_X37Y71 COLUMN 93 TILEPROP INT_R_X37Y71 DEVICE_ID 0 TILEPROP INT_R_X37Y71 FIRST_SITE_ID 8180 TILEPROP INT_R_X37Y71 GRID_POINT_X 93 TILEPROP INT_R_X37Y71 GRID_POINT_Y 82 TILEPROP INT_R_X37Y71 INDEX 9523 TILEPROP INT_R_X37Y71 INT_TILE_X 37 TILEPROP INT_R_X37Y71 INT_TILE_Y 78 TILEPROP INT_R_X37Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y71 IS_DCM_TILE 0 TILEPROP INT_R_X37Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y71 NAME INT_R_X37Y71 TILEPROP INT_R_X37Y71 NUM_ARCS 3737 TILEPROP INT_R_X37Y71 NUM_SITES 1 TILEPROP INT_R_X37Y71 ROW 82 TILEPROP INT_R_X37Y71 SLR_REGION_ID 0 TILEPROP INT_R_X37Y71 TILE_PATTERN_IDX 6477 TILEPROP INT_R_X37Y71 TILE_TYPE INT_R TILEPROP INT_R_X37Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y71 TILE_X 70734 TILEPROP INT_R_X37Y71 TILE_Y -11200 TILEPROP INT_R_X37Y71 TYPE INT_R TILEPROP INT_R_X37Y72 CLASS tile TILEPROP INT_R_X37Y72 COLUMN 93 TILEPROP INT_R_X37Y72 DEVICE_ID 0 TILEPROP INT_R_X37Y72 FIRST_SITE_ID 8080 TILEPROP INT_R_X37Y72 GRID_POINT_X 93 TILEPROP INT_R_X37Y72 GRID_POINT_Y 81 TILEPROP INT_R_X37Y72 INDEX 9408 TILEPROP INT_R_X37Y72 INT_TILE_X 37 TILEPROP INT_R_X37Y72 INT_TILE_Y 77 TILEPROP INT_R_X37Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y72 IS_DCM_TILE 0 TILEPROP INT_R_X37Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y72 NAME INT_R_X37Y72 TILEPROP INT_R_X37Y72 NUM_ARCS 3737 TILEPROP INT_R_X37Y72 NUM_SITES 1 TILEPROP INT_R_X37Y72 ROW 81 TILEPROP INT_R_X37Y72 SLR_REGION_ID 0 TILEPROP INT_R_X37Y72 TILE_PATTERN_IDX 6446 TILEPROP INT_R_X37Y72 TILE_TYPE INT_R TILEPROP INT_R_X37Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y72 TILE_X 70734 TILEPROP INT_R_X37Y72 TILE_Y -8000 TILEPROP INT_R_X37Y72 TYPE INT_R TILEPROP INT_R_X37Y73 CLASS tile TILEPROP INT_R_X37Y73 COLUMN 93 TILEPROP INT_R_X37Y73 DEVICE_ID 0 TILEPROP INT_R_X37Y73 FIRST_SITE_ID 7980 TILEPROP INT_R_X37Y73 GRID_POINT_X 93 TILEPROP INT_R_X37Y73 GRID_POINT_Y 80 TILEPROP INT_R_X37Y73 INDEX 9293 TILEPROP INT_R_X37Y73 INT_TILE_X 37 TILEPROP INT_R_X37Y73 INT_TILE_Y 76 TILEPROP INT_R_X37Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y73 IS_DCM_TILE 0 TILEPROP INT_R_X37Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y73 NAME INT_R_X37Y73 TILEPROP INT_R_X37Y73 NUM_ARCS 3737 TILEPROP INT_R_X37Y73 NUM_SITES 1 TILEPROP INT_R_X37Y73 ROW 80 TILEPROP INT_R_X37Y73 SLR_REGION_ID 0 TILEPROP INT_R_X37Y73 TILE_PATTERN_IDX 6415 TILEPROP INT_R_X37Y73 TILE_TYPE INT_R TILEPROP INT_R_X37Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y73 TILE_X 70734 TILEPROP INT_R_X37Y73 TILE_Y -4800 TILEPROP INT_R_X37Y73 TYPE INT_R TILEPROP INT_R_X37Y74 CLASS tile TILEPROP INT_R_X37Y74 COLUMN 93 TILEPROP INT_R_X37Y74 DEVICE_ID 0 TILEPROP INT_R_X37Y74 FIRST_SITE_ID 7880 TILEPROP INT_R_X37Y74 GRID_POINT_X 93 TILEPROP INT_R_X37Y74 GRID_POINT_Y 79 TILEPROP INT_R_X37Y74 INDEX 9178 TILEPROP INT_R_X37Y74 INT_TILE_X 37 TILEPROP INT_R_X37Y74 INT_TILE_Y 75 TILEPROP INT_R_X37Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y74 IS_DCM_TILE 0 TILEPROP INT_R_X37Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y74 NAME INT_R_X37Y74 TILEPROP INT_R_X37Y74 NUM_ARCS 3737 TILEPROP INT_R_X37Y74 NUM_SITES 1 TILEPROP INT_R_X37Y74 ROW 79 TILEPROP INT_R_X37Y74 SLR_REGION_ID 0 TILEPROP INT_R_X37Y74 TILE_PATTERN_IDX 6384 TILEPROP INT_R_X37Y74 TILE_TYPE INT_R TILEPROP INT_R_X37Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y74 TILE_X 70734 TILEPROP INT_R_X37Y74 TILE_Y -1600 TILEPROP INT_R_X37Y74 TYPE INT_R TILEPROP INT_R_X37Y75 CLASS tile TILEPROP INT_R_X37Y75 COLUMN 93 TILEPROP INT_R_X37Y75 DEVICE_ID 0 TILEPROP INT_R_X37Y75 FIRST_SITE_ID 7694 TILEPROP INT_R_X37Y75 GRID_POINT_X 93 TILEPROP INT_R_X37Y75 GRID_POINT_Y 77 TILEPROP INT_R_X37Y75 INDEX 8948 TILEPROP INT_R_X37Y75 INT_TILE_X 37 TILEPROP INT_R_X37Y75 INT_TILE_Y 74 TILEPROP INT_R_X37Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y75 IS_DCM_TILE 0 TILEPROP INT_R_X37Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y75 NAME INT_R_X37Y75 TILEPROP INT_R_X37Y75 NUM_ARCS 3737 TILEPROP INT_R_X37Y75 NUM_SITES 1 TILEPROP INT_R_X37Y75 ROW 77 TILEPROP INT_R_X37Y75 SLR_REGION_ID 0 TILEPROP INT_R_X37Y75 TILE_PATTERN_IDX 6310 TILEPROP INT_R_X37Y75 TILE_TYPE INT_R TILEPROP INT_R_X37Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y75 TILE_X 70734 TILEPROP INT_R_X37Y75 TILE_Y 2624 TILEPROP INT_R_X37Y75 TYPE INT_R TILEPROP INT_R_X37Y76 CLASS tile TILEPROP INT_R_X37Y76 COLUMN 93 TILEPROP INT_R_X37Y76 DEVICE_ID 0 TILEPROP INT_R_X37Y76 FIRST_SITE_ID 7579 TILEPROP INT_R_X37Y76 GRID_POINT_X 93 TILEPROP INT_R_X37Y76 GRID_POINT_Y 76 TILEPROP INT_R_X37Y76 INDEX 8833 TILEPROP INT_R_X37Y76 INT_TILE_X 37 TILEPROP INT_R_X37Y76 INT_TILE_Y 73 TILEPROP INT_R_X37Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y76 IS_DCM_TILE 0 TILEPROP INT_R_X37Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y76 NAME INT_R_X37Y76 TILEPROP INT_R_X37Y76 NUM_ARCS 3737 TILEPROP INT_R_X37Y76 NUM_SITES 1 TILEPROP INT_R_X37Y76 ROW 76 TILEPROP INT_R_X37Y76 SLR_REGION_ID 0 TILEPROP INT_R_X37Y76 TILE_PATTERN_IDX 6276 TILEPROP INT_R_X37Y76 TILE_TYPE INT_R TILEPROP INT_R_X37Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y76 TILE_X 70734 TILEPROP INT_R_X37Y76 TILE_Y 5824 TILEPROP INT_R_X37Y76 TYPE INT_R TILEPROP INT_R_X37Y77 CLASS tile TILEPROP INT_R_X37Y77 COLUMN 93 TILEPROP INT_R_X37Y77 DEVICE_ID 0 TILEPROP INT_R_X37Y77 FIRST_SITE_ID 7479 TILEPROP INT_R_X37Y77 GRID_POINT_X 93 TILEPROP INT_R_X37Y77 GRID_POINT_Y 75 TILEPROP INT_R_X37Y77 INDEX 8718 TILEPROP INT_R_X37Y77 INT_TILE_X 37 TILEPROP INT_R_X37Y77 INT_TILE_Y 72 TILEPROP INT_R_X37Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y77 IS_DCM_TILE 0 TILEPROP INT_R_X37Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y77 NAME INT_R_X37Y77 TILEPROP INT_R_X37Y77 NUM_ARCS 3737 TILEPROP INT_R_X37Y77 NUM_SITES 1 TILEPROP INT_R_X37Y77 ROW 75 TILEPROP INT_R_X37Y77 SLR_REGION_ID 0 TILEPROP INT_R_X37Y77 TILE_PATTERN_IDX 6242 TILEPROP INT_R_X37Y77 TILE_TYPE INT_R TILEPROP INT_R_X37Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y77 TILE_X 70734 TILEPROP INT_R_X37Y77 TILE_Y 9024 TILEPROP INT_R_X37Y77 TYPE INT_R TILEPROP INT_R_X37Y78 CLASS tile TILEPROP INT_R_X37Y78 COLUMN 93 TILEPROP INT_R_X37Y78 DEVICE_ID 0 TILEPROP INT_R_X37Y78 FIRST_SITE_ID 7379 TILEPROP INT_R_X37Y78 GRID_POINT_X 93 TILEPROP INT_R_X37Y78 GRID_POINT_Y 74 TILEPROP INT_R_X37Y78 INDEX 8603 TILEPROP INT_R_X37Y78 INT_TILE_X 37 TILEPROP INT_R_X37Y78 INT_TILE_Y 71 TILEPROP INT_R_X37Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y78 IS_DCM_TILE 0 TILEPROP INT_R_X37Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y78 NAME INT_R_X37Y78 TILEPROP INT_R_X37Y78 NUM_ARCS 3737 TILEPROP INT_R_X37Y78 NUM_SITES 1 TILEPROP INT_R_X37Y78 ROW 74 TILEPROP INT_R_X37Y78 SLR_REGION_ID 0 TILEPROP INT_R_X37Y78 TILE_PATTERN_IDX 6209 TILEPROP INT_R_X37Y78 TILE_TYPE INT_R TILEPROP INT_R_X37Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y78 TILE_X 70734 TILEPROP INT_R_X37Y78 TILE_Y 12224 TILEPROP INT_R_X37Y78 TYPE INT_R TILEPROP INT_R_X37Y79 CLASS tile TILEPROP INT_R_X37Y79 COLUMN 93 TILEPROP INT_R_X37Y79 DEVICE_ID 0 TILEPROP INT_R_X37Y79 FIRST_SITE_ID 7273 TILEPROP INT_R_X37Y79 GRID_POINT_X 93 TILEPROP INT_R_X37Y79 GRID_POINT_Y 73 TILEPROP INT_R_X37Y79 INDEX 8488 TILEPROP INT_R_X37Y79 INT_TILE_X 37 TILEPROP INT_R_X37Y79 INT_TILE_Y 70 TILEPROP INT_R_X37Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y79 IS_DCM_TILE 0 TILEPROP INT_R_X37Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y79 NAME INT_R_X37Y79 TILEPROP INT_R_X37Y79 NUM_ARCS 3737 TILEPROP INT_R_X37Y79 NUM_SITES 1 TILEPROP INT_R_X37Y79 ROW 73 TILEPROP INT_R_X37Y79 SLR_REGION_ID 0 TILEPROP INT_R_X37Y79 TILE_PATTERN_IDX 6174 TILEPROP INT_R_X37Y79 TILE_TYPE INT_R TILEPROP INT_R_X37Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y79 TILE_X 70734 TILEPROP INT_R_X37Y79 TILE_Y 15424 TILEPROP INT_R_X37Y79 TYPE INT_R TILEPROP INT_R_X37Y80 CLASS tile TILEPROP INT_R_X37Y80 COLUMN 93 TILEPROP INT_R_X37Y80 DEVICE_ID 0 TILEPROP INT_R_X37Y80 FIRST_SITE_ID 7164 TILEPROP INT_R_X37Y80 GRID_POINT_X 93 TILEPROP INT_R_X37Y80 GRID_POINT_Y 72 TILEPROP INT_R_X37Y80 INDEX 8373 TILEPROP INT_R_X37Y80 INT_TILE_X 37 TILEPROP INT_R_X37Y80 INT_TILE_Y 69 TILEPROP INT_R_X37Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y80 IS_DCM_TILE 0 TILEPROP INT_R_X37Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y80 NAME INT_R_X37Y80 TILEPROP INT_R_X37Y80 NUM_ARCS 3737 TILEPROP INT_R_X37Y80 NUM_SITES 1 TILEPROP INT_R_X37Y80 ROW 72 TILEPROP INT_R_X37Y80 SLR_REGION_ID 0 TILEPROP INT_R_X37Y80 TILE_PATTERN_IDX 6139 TILEPROP INT_R_X37Y80 TILE_TYPE INT_R TILEPROP INT_R_X37Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y80 TILE_X 70734 TILEPROP INT_R_X37Y80 TILE_Y 18624 TILEPROP INT_R_X37Y80 TYPE INT_R TILEPROP INT_R_X37Y81 CLASS tile TILEPROP INT_R_X37Y81 COLUMN 93 TILEPROP INT_R_X37Y81 DEVICE_ID 0 TILEPROP INT_R_X37Y81 FIRST_SITE_ID 7050 TILEPROP INT_R_X37Y81 GRID_POINT_X 93 TILEPROP INT_R_X37Y81 GRID_POINT_Y 71 TILEPROP INT_R_X37Y81 INDEX 8258 TILEPROP INT_R_X37Y81 INT_TILE_X 37 TILEPROP INT_R_X37Y81 INT_TILE_Y 68 TILEPROP INT_R_X37Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y81 IS_DCM_TILE 0 TILEPROP INT_R_X37Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y81 NAME INT_R_X37Y81 TILEPROP INT_R_X37Y81 NUM_ARCS 3737 TILEPROP INT_R_X37Y81 NUM_SITES 1 TILEPROP INT_R_X37Y81 ROW 71 TILEPROP INT_R_X37Y81 SLR_REGION_ID 0 TILEPROP INT_R_X37Y81 TILE_PATTERN_IDX 6105 TILEPROP INT_R_X37Y81 TILE_TYPE INT_R TILEPROP INT_R_X37Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y81 TILE_X 70734 TILEPROP INT_R_X37Y81 TILE_Y 21824 TILEPROP INT_R_X37Y81 TYPE INT_R TILEPROP INT_R_X37Y82 CLASS tile TILEPROP INT_R_X37Y82 COLUMN 93 TILEPROP INT_R_X37Y82 DEVICE_ID 0 TILEPROP INT_R_X37Y82 FIRST_SITE_ID 6948 TILEPROP INT_R_X37Y82 GRID_POINT_X 93 TILEPROP INT_R_X37Y82 GRID_POINT_Y 70 TILEPROP INT_R_X37Y82 INDEX 8143 TILEPROP INT_R_X37Y82 INT_TILE_X 37 TILEPROP INT_R_X37Y82 INT_TILE_Y 67 TILEPROP INT_R_X37Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y82 IS_DCM_TILE 0 TILEPROP INT_R_X37Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y82 NAME INT_R_X37Y82 TILEPROP INT_R_X37Y82 NUM_ARCS 3737 TILEPROP INT_R_X37Y82 NUM_SITES 1 TILEPROP INT_R_X37Y82 ROW 70 TILEPROP INT_R_X37Y82 SLR_REGION_ID 0 TILEPROP INT_R_X37Y82 TILE_PATTERN_IDX 6071 TILEPROP INT_R_X37Y82 TILE_TYPE INT_R TILEPROP INT_R_X37Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y82 TILE_X 70734 TILEPROP INT_R_X37Y82 TILE_Y 25024 TILEPROP INT_R_X37Y82 TYPE INT_R TILEPROP INT_R_X37Y83 CLASS tile TILEPROP INT_R_X37Y83 COLUMN 93 TILEPROP INT_R_X37Y83 DEVICE_ID 0 TILEPROP INT_R_X37Y83 FIRST_SITE_ID 6848 TILEPROP INT_R_X37Y83 GRID_POINT_X 93 TILEPROP INT_R_X37Y83 GRID_POINT_Y 69 TILEPROP INT_R_X37Y83 INDEX 8028 TILEPROP INT_R_X37Y83 INT_TILE_X 37 TILEPROP INT_R_X37Y83 INT_TILE_Y 66 TILEPROP INT_R_X37Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y83 IS_DCM_TILE 0 TILEPROP INT_R_X37Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y83 NAME INT_R_X37Y83 TILEPROP INT_R_X37Y83 NUM_ARCS 3737 TILEPROP INT_R_X37Y83 NUM_SITES 1 TILEPROP INT_R_X37Y83 ROW 69 TILEPROP INT_R_X37Y83 SLR_REGION_ID 0 TILEPROP INT_R_X37Y83 TILE_PATTERN_IDX 6037 TILEPROP INT_R_X37Y83 TILE_TYPE INT_R TILEPROP INT_R_X37Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y83 TILE_X 70734 TILEPROP INT_R_X37Y83 TILE_Y 28224 TILEPROP INT_R_X37Y83 TYPE INT_R TILEPROP INT_R_X37Y84 CLASS tile TILEPROP INT_R_X37Y84 COLUMN 93 TILEPROP INT_R_X37Y84 DEVICE_ID 0 TILEPROP INT_R_X37Y84 FIRST_SITE_ID 6748 TILEPROP INT_R_X37Y84 GRID_POINT_X 93 TILEPROP INT_R_X37Y84 GRID_POINT_Y 68 TILEPROP INT_R_X37Y84 INDEX 7913 TILEPROP INT_R_X37Y84 INT_TILE_X 37 TILEPROP INT_R_X37Y84 INT_TILE_Y 65 TILEPROP INT_R_X37Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y84 IS_DCM_TILE 0 TILEPROP INT_R_X37Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y84 NAME INT_R_X37Y84 TILEPROP INT_R_X37Y84 NUM_ARCS 3737 TILEPROP INT_R_X37Y84 NUM_SITES 1 TILEPROP INT_R_X37Y84 ROW 68 TILEPROP INT_R_X37Y84 SLR_REGION_ID 0 TILEPROP INT_R_X37Y84 TILE_PATTERN_IDX 6003 TILEPROP INT_R_X37Y84 TILE_TYPE INT_R TILEPROP INT_R_X37Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y84 TILE_X 70734 TILEPROP INT_R_X37Y84 TILE_Y 31424 TILEPROP INT_R_X37Y84 TYPE INT_R TILEPROP INT_R_X37Y85 CLASS tile TILEPROP INT_R_X37Y85 COLUMN 93 TILEPROP INT_R_X37Y85 DEVICE_ID 0 TILEPROP INT_R_X37Y85 FIRST_SITE_ID 6645 TILEPROP INT_R_X37Y85 GRID_POINT_X 93 TILEPROP INT_R_X37Y85 GRID_POINT_Y 67 TILEPROP INT_R_X37Y85 INDEX 7798 TILEPROP INT_R_X37Y85 INT_TILE_X 37 TILEPROP INT_R_X37Y85 INT_TILE_Y 64 TILEPROP INT_R_X37Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y85 IS_DCM_TILE 0 TILEPROP INT_R_X37Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y85 NAME INT_R_X37Y85 TILEPROP INT_R_X37Y85 NUM_ARCS 3737 TILEPROP INT_R_X37Y85 NUM_SITES 1 TILEPROP INT_R_X37Y85 ROW 67 TILEPROP INT_R_X37Y85 SLR_REGION_ID 0 TILEPROP INT_R_X37Y85 TILE_PATTERN_IDX 5969 TILEPROP INT_R_X37Y85 TILE_TYPE INT_R TILEPROP INT_R_X37Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y85 TILE_X 70734 TILEPROP INT_R_X37Y85 TILE_Y 34624 TILEPROP INT_R_X37Y85 TYPE INT_R TILEPROP INT_R_X37Y86 CLASS tile TILEPROP INT_R_X37Y86 COLUMN 93 TILEPROP INT_R_X37Y86 DEVICE_ID 0 TILEPROP INT_R_X37Y86 FIRST_SITE_ID 6533 TILEPROP INT_R_X37Y86 GRID_POINT_X 93 TILEPROP INT_R_X37Y86 GRID_POINT_Y 66 TILEPROP INT_R_X37Y86 INDEX 7683 TILEPROP INT_R_X37Y86 INT_TILE_X 37 TILEPROP INT_R_X37Y86 INT_TILE_Y 63 TILEPROP INT_R_X37Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y86 IS_DCM_TILE 0 TILEPROP INT_R_X37Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y86 NAME INT_R_X37Y86 TILEPROP INT_R_X37Y86 NUM_ARCS 3737 TILEPROP INT_R_X37Y86 NUM_SITES 1 TILEPROP INT_R_X37Y86 ROW 66 TILEPROP INT_R_X37Y86 SLR_REGION_ID 0 TILEPROP INT_R_X37Y86 TILE_PATTERN_IDX 5934 TILEPROP INT_R_X37Y86 TILE_TYPE INT_R TILEPROP INT_R_X37Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y86 TILE_X 70734 TILEPROP INT_R_X37Y86 TILE_Y 37824 TILEPROP INT_R_X37Y86 TYPE INT_R TILEPROP INT_R_X37Y87 CLASS tile TILEPROP INT_R_X37Y87 COLUMN 93 TILEPROP INT_R_X37Y87 DEVICE_ID 0 TILEPROP INT_R_X37Y87 FIRST_SITE_ID 6401 TILEPROP INT_R_X37Y87 GRID_POINT_X 93 TILEPROP INT_R_X37Y87 GRID_POINT_Y 65 TILEPROP INT_R_X37Y87 INDEX 7568 TILEPROP INT_R_X37Y87 INT_TILE_X 37 TILEPROP INT_R_X37Y87 INT_TILE_Y 62 TILEPROP INT_R_X37Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y87 IS_DCM_TILE 0 TILEPROP INT_R_X37Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y87 NAME INT_R_X37Y87 TILEPROP INT_R_X37Y87 NUM_ARCS 3737 TILEPROP INT_R_X37Y87 NUM_SITES 1 TILEPROP INT_R_X37Y87 ROW 65 TILEPROP INT_R_X37Y87 SLR_REGION_ID 0 TILEPROP INT_R_X37Y87 TILE_PATTERN_IDX 5899 TILEPROP INT_R_X37Y87 TILE_TYPE INT_R TILEPROP INT_R_X37Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y87 TILE_X 70734 TILEPROP INT_R_X37Y87 TILE_Y 41024 TILEPROP INT_R_X37Y87 TYPE INT_R TILEPROP INT_R_X37Y88 CLASS tile TILEPROP INT_R_X37Y88 COLUMN 93 TILEPROP INT_R_X37Y88 DEVICE_ID 0 TILEPROP INT_R_X37Y88 FIRST_SITE_ID 6301 TILEPROP INT_R_X37Y88 GRID_POINT_X 93 TILEPROP INT_R_X37Y88 GRID_POINT_Y 64 TILEPROP INT_R_X37Y88 INDEX 7453 TILEPROP INT_R_X37Y88 INT_TILE_X 37 TILEPROP INT_R_X37Y88 INT_TILE_Y 61 TILEPROP INT_R_X37Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y88 IS_DCM_TILE 0 TILEPROP INT_R_X37Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y88 NAME INT_R_X37Y88 TILEPROP INT_R_X37Y88 NUM_ARCS 3737 TILEPROP INT_R_X37Y88 NUM_SITES 1 TILEPROP INT_R_X37Y88 ROW 64 TILEPROP INT_R_X37Y88 SLR_REGION_ID 0 TILEPROP INT_R_X37Y88 TILE_PATTERN_IDX 5866 TILEPROP INT_R_X37Y88 TILE_TYPE INT_R TILEPROP INT_R_X37Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y88 TILE_X 70734 TILEPROP INT_R_X37Y88 TILE_Y 44224 TILEPROP INT_R_X37Y88 TYPE INT_R TILEPROP INT_R_X37Y89 CLASS tile TILEPROP INT_R_X37Y89 COLUMN 93 TILEPROP INT_R_X37Y89 DEVICE_ID 0 TILEPROP INT_R_X37Y89 FIRST_SITE_ID 6201 TILEPROP INT_R_X37Y89 GRID_POINT_X 93 TILEPROP INT_R_X37Y89 GRID_POINT_Y 63 TILEPROP INT_R_X37Y89 INDEX 7338 TILEPROP INT_R_X37Y89 INT_TILE_X 37 TILEPROP INT_R_X37Y89 INT_TILE_Y 60 TILEPROP INT_R_X37Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y89 IS_DCM_TILE 0 TILEPROP INT_R_X37Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y89 NAME INT_R_X37Y89 TILEPROP INT_R_X37Y89 NUM_ARCS 3737 TILEPROP INT_R_X37Y89 NUM_SITES 1 TILEPROP INT_R_X37Y89 ROW 63 TILEPROP INT_R_X37Y89 SLR_REGION_ID 0 TILEPROP INT_R_X37Y89 TILE_PATTERN_IDX 5832 TILEPROP INT_R_X37Y89 TILE_TYPE INT_R TILEPROP INT_R_X37Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y89 TILE_X 70734 TILEPROP INT_R_X37Y89 TILE_Y 47424 TILEPROP INT_R_X37Y89 TYPE INT_R TILEPROP INT_R_X37Y90 CLASS tile TILEPROP INT_R_X37Y90 COLUMN 93 TILEPROP INT_R_X37Y90 DEVICE_ID 0 TILEPROP INT_R_X37Y90 FIRST_SITE_ID 6098 TILEPROP INT_R_X37Y90 GRID_POINT_X 93 TILEPROP INT_R_X37Y90 GRID_POINT_Y 62 TILEPROP INT_R_X37Y90 INDEX 7223 TILEPROP INT_R_X37Y90 INT_TILE_X 37 TILEPROP INT_R_X37Y90 INT_TILE_Y 59 TILEPROP INT_R_X37Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y90 IS_DCM_TILE 0 TILEPROP INT_R_X37Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y90 NAME INT_R_X37Y90 TILEPROP INT_R_X37Y90 NUM_ARCS 3737 TILEPROP INT_R_X37Y90 NUM_SITES 1 TILEPROP INT_R_X37Y90 ROW 62 TILEPROP INT_R_X37Y90 SLR_REGION_ID 0 TILEPROP INT_R_X37Y90 TILE_PATTERN_IDX 5798 TILEPROP INT_R_X37Y90 TILE_TYPE INT_R TILEPROP INT_R_X37Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y90 TILE_X 70734 TILEPROP INT_R_X37Y90 TILE_Y 50624 TILEPROP INT_R_X37Y90 TYPE INT_R TILEPROP INT_R_X37Y91 CLASS tile TILEPROP INT_R_X37Y91 COLUMN 93 TILEPROP INT_R_X37Y91 DEVICE_ID 0 TILEPROP INT_R_X37Y91 FIRST_SITE_ID 5986 TILEPROP INT_R_X37Y91 GRID_POINT_X 93 TILEPROP INT_R_X37Y91 GRID_POINT_Y 61 TILEPROP INT_R_X37Y91 INDEX 7108 TILEPROP INT_R_X37Y91 INT_TILE_X 37 TILEPROP INT_R_X37Y91 INT_TILE_Y 58 TILEPROP INT_R_X37Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y91 IS_DCM_TILE 0 TILEPROP INT_R_X37Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y91 NAME INT_R_X37Y91 TILEPROP INT_R_X37Y91 NUM_ARCS 3737 TILEPROP INT_R_X37Y91 NUM_SITES 1 TILEPROP INT_R_X37Y91 ROW 61 TILEPROP INT_R_X37Y91 SLR_REGION_ID 0 TILEPROP INT_R_X37Y91 TILE_PATTERN_IDX 5764 TILEPROP INT_R_X37Y91 TILE_TYPE INT_R TILEPROP INT_R_X37Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y91 TILE_X 70734 TILEPROP INT_R_X37Y91 TILE_Y 53824 TILEPROP INT_R_X37Y91 TYPE INT_R TILEPROP INT_R_X37Y92 CLASS tile TILEPROP INT_R_X37Y92 COLUMN 93 TILEPROP INT_R_X37Y92 DEVICE_ID 0 TILEPROP INT_R_X37Y92 FIRST_SITE_ID 5885 TILEPROP INT_R_X37Y92 GRID_POINT_X 93 TILEPROP INT_R_X37Y92 GRID_POINT_Y 60 TILEPROP INT_R_X37Y92 INDEX 6993 TILEPROP INT_R_X37Y92 INT_TILE_X 37 TILEPROP INT_R_X37Y92 INT_TILE_Y 57 TILEPROP INT_R_X37Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y92 IS_DCM_TILE 0 TILEPROP INT_R_X37Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y92 NAME INT_R_X37Y92 TILEPROP INT_R_X37Y92 NUM_ARCS 3737 TILEPROP INT_R_X37Y92 NUM_SITES 1 TILEPROP INT_R_X37Y92 ROW 60 TILEPROP INT_R_X37Y92 SLR_REGION_ID 0 TILEPROP INT_R_X37Y92 TILE_PATTERN_IDX 5731 TILEPROP INT_R_X37Y92 TILE_TYPE INT_R TILEPROP INT_R_X37Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y92 TILE_X 70734 TILEPROP INT_R_X37Y92 TILE_Y 57024 TILEPROP INT_R_X37Y92 TYPE INT_R TILEPROP INT_R_X37Y93 CLASS tile TILEPROP INT_R_X37Y93 COLUMN 93 TILEPROP INT_R_X37Y93 DEVICE_ID 0 TILEPROP INT_R_X37Y93 FIRST_SITE_ID 5782 TILEPROP INT_R_X37Y93 GRID_POINT_X 93 TILEPROP INT_R_X37Y93 GRID_POINT_Y 59 TILEPROP INT_R_X37Y93 INDEX 6878 TILEPROP INT_R_X37Y93 INT_TILE_X 37 TILEPROP INT_R_X37Y93 INT_TILE_Y 56 TILEPROP INT_R_X37Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y93 IS_DCM_TILE 0 TILEPROP INT_R_X37Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y93 NAME INT_R_X37Y93 TILEPROP INT_R_X37Y93 NUM_ARCS 3737 TILEPROP INT_R_X37Y93 NUM_SITES 1 TILEPROP INT_R_X37Y93 ROW 59 TILEPROP INT_R_X37Y93 SLR_REGION_ID 0 TILEPROP INT_R_X37Y93 TILE_PATTERN_IDX 5697 TILEPROP INT_R_X37Y93 TILE_TYPE INT_R TILEPROP INT_R_X37Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y93 TILE_X 70734 TILEPROP INT_R_X37Y93 TILE_Y 60224 TILEPROP INT_R_X37Y93 TYPE INT_R TILEPROP INT_R_X37Y94 CLASS tile TILEPROP INT_R_X37Y94 COLUMN 93 TILEPROP INT_R_X37Y94 DEVICE_ID 0 TILEPROP INT_R_X37Y94 FIRST_SITE_ID 5680 TILEPROP INT_R_X37Y94 GRID_POINT_X 93 TILEPROP INT_R_X37Y94 GRID_POINT_Y 58 TILEPROP INT_R_X37Y94 INDEX 6763 TILEPROP INT_R_X37Y94 INT_TILE_X 37 TILEPROP INT_R_X37Y94 INT_TILE_Y 55 TILEPROP INT_R_X37Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y94 IS_DCM_TILE 0 TILEPROP INT_R_X37Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y94 NAME INT_R_X37Y94 TILEPROP INT_R_X37Y94 NUM_ARCS 3737 TILEPROP INT_R_X37Y94 NUM_SITES 1 TILEPROP INT_R_X37Y94 ROW 58 TILEPROP INT_R_X37Y94 SLR_REGION_ID 0 TILEPROP INT_R_X37Y94 TILE_PATTERN_IDX 5663 TILEPROP INT_R_X37Y94 TILE_TYPE INT_R TILEPROP INT_R_X37Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y94 TILE_X 70734 TILEPROP INT_R_X37Y94 TILE_Y 63424 TILEPROP INT_R_X37Y94 TYPE INT_R TILEPROP INT_R_X37Y95 CLASS tile TILEPROP INT_R_X37Y95 COLUMN 93 TILEPROP INT_R_X37Y95 DEVICE_ID 0 TILEPROP INT_R_X37Y95 FIRST_SITE_ID 5577 TILEPROP INT_R_X37Y95 GRID_POINT_X 93 TILEPROP INT_R_X37Y95 GRID_POINT_Y 57 TILEPROP INT_R_X37Y95 INDEX 6648 TILEPROP INT_R_X37Y95 INT_TILE_X 37 TILEPROP INT_R_X37Y95 INT_TILE_Y 54 TILEPROP INT_R_X37Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y95 IS_DCM_TILE 0 TILEPROP INT_R_X37Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y95 NAME INT_R_X37Y95 TILEPROP INT_R_X37Y95 NUM_ARCS 3737 TILEPROP INT_R_X37Y95 NUM_SITES 1 TILEPROP INT_R_X37Y95 ROW 57 TILEPROP INT_R_X37Y95 SLR_REGION_ID 0 TILEPROP INT_R_X37Y95 TILE_PATTERN_IDX 5629 TILEPROP INT_R_X37Y95 TILE_TYPE INT_R TILEPROP INT_R_X37Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y95 TILE_X 70734 TILEPROP INT_R_X37Y95 TILE_Y 66624 TILEPROP INT_R_X37Y95 TYPE INT_R TILEPROP INT_R_X37Y96 CLASS tile TILEPROP INT_R_X37Y96 COLUMN 93 TILEPROP INT_R_X37Y96 DEVICE_ID 0 TILEPROP INT_R_X37Y96 FIRST_SITE_ID 5465 TILEPROP INT_R_X37Y96 GRID_POINT_X 93 TILEPROP INT_R_X37Y96 GRID_POINT_Y 56 TILEPROP INT_R_X37Y96 INDEX 6533 TILEPROP INT_R_X37Y96 INT_TILE_X 37 TILEPROP INT_R_X37Y96 INT_TILE_Y 53 TILEPROP INT_R_X37Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y96 IS_DCM_TILE 0 TILEPROP INT_R_X37Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y96 NAME INT_R_X37Y96 TILEPROP INT_R_X37Y96 NUM_ARCS 3737 TILEPROP INT_R_X37Y96 NUM_SITES 1 TILEPROP INT_R_X37Y96 ROW 56 TILEPROP INT_R_X37Y96 SLR_REGION_ID 0 TILEPROP INT_R_X37Y96 TILE_PATTERN_IDX 5594 TILEPROP INT_R_X37Y96 TILE_TYPE INT_R TILEPROP INT_R_X37Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y96 TILE_X 70734 TILEPROP INT_R_X37Y96 TILE_Y 69824 TILEPROP INT_R_X37Y96 TYPE INT_R TILEPROP INT_R_X37Y97 CLASS tile TILEPROP INT_R_X37Y97 COLUMN 93 TILEPROP INT_R_X37Y97 DEVICE_ID 0 TILEPROP INT_R_X37Y97 FIRST_SITE_ID 5365 TILEPROP INT_R_X37Y97 GRID_POINT_X 93 TILEPROP INT_R_X37Y97 GRID_POINT_Y 55 TILEPROP INT_R_X37Y97 INDEX 6418 TILEPROP INT_R_X37Y97 INT_TILE_X 37 TILEPROP INT_R_X37Y97 INT_TILE_Y 52 TILEPROP INT_R_X37Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y97 IS_DCM_TILE 0 TILEPROP INT_R_X37Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y97 NAME INT_R_X37Y97 TILEPROP INT_R_X37Y97 NUM_ARCS 3737 TILEPROP INT_R_X37Y97 NUM_SITES 1 TILEPROP INT_R_X37Y97 ROW 55 TILEPROP INT_R_X37Y97 SLR_REGION_ID 0 TILEPROP INT_R_X37Y97 TILE_PATTERN_IDX 5560 TILEPROP INT_R_X37Y97 TILE_TYPE INT_R TILEPROP INT_R_X37Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y97 TILE_X 70734 TILEPROP INT_R_X37Y97 TILE_Y 73024 TILEPROP INT_R_X37Y97 TYPE INT_R TILEPROP INT_R_X37Y98 CLASS tile TILEPROP INT_R_X37Y98 COLUMN 93 TILEPROP INT_R_X37Y98 DEVICE_ID 0 TILEPROP INT_R_X37Y98 FIRST_SITE_ID 5265 TILEPROP INT_R_X37Y98 GRID_POINT_X 93 TILEPROP INT_R_X37Y98 GRID_POINT_Y 54 TILEPROP INT_R_X37Y98 INDEX 6303 TILEPROP INT_R_X37Y98 INT_TILE_X 37 TILEPROP INT_R_X37Y98 INT_TILE_Y 51 TILEPROP INT_R_X37Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y98 IS_DCM_TILE 0 TILEPROP INT_R_X37Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y98 NAME INT_R_X37Y98 TILEPROP INT_R_X37Y98 NUM_ARCS 3737 TILEPROP INT_R_X37Y98 NUM_SITES 1 TILEPROP INT_R_X37Y98 ROW 54 TILEPROP INT_R_X37Y98 SLR_REGION_ID 0 TILEPROP INT_R_X37Y98 TILE_PATTERN_IDX 5526 TILEPROP INT_R_X37Y98 TILE_TYPE INT_R TILEPROP INT_R_X37Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y98 TILE_X 70734 TILEPROP INT_R_X37Y98 TILE_Y 76224 TILEPROP INT_R_X37Y98 TYPE INT_R TILEPROP INT_R_X37Y99 CLASS tile TILEPROP INT_R_X37Y99 COLUMN 93 TILEPROP INT_R_X37Y99 DEVICE_ID 0 TILEPROP INT_R_X37Y99 FIRST_SITE_ID 5169 TILEPROP INT_R_X37Y99 GRID_POINT_X 93 TILEPROP INT_R_X37Y99 GRID_POINT_Y 53 TILEPROP INT_R_X37Y99 INDEX 6188 TILEPROP INT_R_X37Y99 INT_TILE_X 37 TILEPROP INT_R_X37Y99 INT_TILE_Y 50 TILEPROP INT_R_X37Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y99 IS_DCM_TILE 0 TILEPROP INT_R_X37Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y99 NAME INT_R_X37Y99 TILEPROP INT_R_X37Y99 NUM_ARCS 3737 TILEPROP INT_R_X37Y99 NUM_SITES 1 TILEPROP INT_R_X37Y99 ROW 53 TILEPROP INT_R_X37Y99 SLR_REGION_ID 0 TILEPROP INT_R_X37Y99 TILE_PATTERN_IDX 5493 TILEPROP INT_R_X37Y99 TILE_TYPE INT_R TILEPROP INT_R_X37Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y99 TILE_X 70734 TILEPROP INT_R_X37Y99 TILE_Y 79424 TILEPROP INT_R_X37Y99 TYPE INT_R TILEPROP INT_R_X37Y100 CLASS tile TILEPROP INT_R_X37Y100 COLUMN 93 TILEPROP INT_R_X37Y100 DEVICE_ID 0 TILEPROP INT_R_X37Y100 FIRST_SITE_ID 5087 TILEPROP INT_R_X37Y100 GRID_POINT_X 93 TILEPROP INT_R_X37Y100 GRID_POINT_Y 51 TILEPROP INT_R_X37Y100 INDEX 5958 TILEPROP INT_R_X37Y100 INT_TILE_X 37 TILEPROP INT_R_X37Y100 INT_TILE_Y 49 TILEPROP INT_R_X37Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y100 IS_DCM_TILE 0 TILEPROP INT_R_X37Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y100 NAME INT_R_X37Y100 TILEPROP INT_R_X37Y100 NUM_ARCS 3737 TILEPROP INT_R_X37Y100 NUM_SITES 1 TILEPROP INT_R_X37Y100 ROW 51 TILEPROP INT_R_X37Y100 SLR_REGION_ID 0 TILEPROP INT_R_X37Y100 TILE_PATTERN_IDX 5459 TILEPROP INT_R_X37Y100 TILE_TYPE INT_R TILEPROP INT_R_X37Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y100 TILE_X 70734 TILEPROP INT_R_X37Y100 TILE_Y 82872 TILEPROP INT_R_X37Y100 TYPE INT_R TILEPROP INT_R_X37Y101 CLASS tile TILEPROP INT_R_X37Y101 COLUMN 93 TILEPROP INT_R_X37Y101 DEVICE_ID 0 TILEPROP INT_R_X37Y101 FIRST_SITE_ID 4986 TILEPROP INT_R_X37Y101 GRID_POINT_X 93 TILEPROP INT_R_X37Y101 GRID_POINT_Y 50 TILEPROP INT_R_X37Y101 INDEX 5843 TILEPROP INT_R_X37Y101 INT_TILE_X 37 TILEPROP INT_R_X37Y101 INT_TILE_Y 48 TILEPROP INT_R_X37Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y101 IS_DCM_TILE 0 TILEPROP INT_R_X37Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y101 NAME INT_R_X37Y101 TILEPROP INT_R_X37Y101 NUM_ARCS 3737 TILEPROP INT_R_X37Y101 NUM_SITES 1 TILEPROP INT_R_X37Y101 ROW 50 TILEPROP INT_R_X37Y101 SLR_REGION_ID 0 TILEPROP INT_R_X37Y101 TILE_PATTERN_IDX 5423 TILEPROP INT_R_X37Y101 TILE_TYPE INT_R TILEPROP INT_R_X37Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y101 TILE_X 70734 TILEPROP INT_R_X37Y101 TILE_Y 86072 TILEPROP INT_R_X37Y101 TYPE INT_R TILEPROP INT_R_X37Y102 CLASS tile TILEPROP INT_R_X37Y102 COLUMN 93 TILEPROP INT_R_X37Y102 DEVICE_ID 0 TILEPROP INT_R_X37Y102 FIRST_SITE_ID 4890 TILEPROP INT_R_X37Y102 GRID_POINT_X 93 TILEPROP INT_R_X37Y102 GRID_POINT_Y 49 TILEPROP INT_R_X37Y102 INDEX 5728 TILEPROP INT_R_X37Y102 INT_TILE_X 37 TILEPROP INT_R_X37Y102 INT_TILE_Y 47 TILEPROP INT_R_X37Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y102 IS_DCM_TILE 0 TILEPROP INT_R_X37Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y102 NAME INT_R_X37Y102 TILEPROP INT_R_X37Y102 NUM_ARCS 3737 TILEPROP INT_R_X37Y102 NUM_SITES 1 TILEPROP INT_R_X37Y102 ROW 49 TILEPROP INT_R_X37Y102 SLR_REGION_ID 0 TILEPROP INT_R_X37Y102 TILE_PATTERN_IDX 5386 TILEPROP INT_R_X37Y102 TILE_TYPE INT_R TILEPROP INT_R_X37Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y102 TILE_X 70734 TILEPROP INT_R_X37Y102 TILE_Y 89272 TILEPROP INT_R_X37Y102 TYPE INT_R TILEPROP INT_R_X37Y103 CLASS tile TILEPROP INT_R_X37Y103 COLUMN 93 TILEPROP INT_R_X37Y103 DEVICE_ID 0 TILEPROP INT_R_X37Y103 FIRST_SITE_ID 4802 TILEPROP INT_R_X37Y103 GRID_POINT_X 93 TILEPROP INT_R_X37Y103 GRID_POINT_Y 48 TILEPROP INT_R_X37Y103 INDEX 5613 TILEPROP INT_R_X37Y103 INT_TILE_X 37 TILEPROP INT_R_X37Y103 INT_TILE_Y 46 TILEPROP INT_R_X37Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y103 IS_DCM_TILE 0 TILEPROP INT_R_X37Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y103 NAME INT_R_X37Y103 TILEPROP INT_R_X37Y103 NUM_ARCS 3737 TILEPROP INT_R_X37Y103 NUM_SITES 1 TILEPROP INT_R_X37Y103 ROW 48 TILEPROP INT_R_X37Y103 SLR_REGION_ID 0 TILEPROP INT_R_X37Y103 TILE_PATTERN_IDX 5350 TILEPROP INT_R_X37Y103 TILE_TYPE INT_R TILEPROP INT_R_X37Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y103 TILE_X 70734 TILEPROP INT_R_X37Y103 TILE_Y 92472 TILEPROP INT_R_X37Y103 TYPE INT_R TILEPROP INT_R_X37Y104 CLASS tile TILEPROP INT_R_X37Y104 COLUMN 93 TILEPROP INT_R_X37Y104 DEVICE_ID 0 TILEPROP INT_R_X37Y104 FIRST_SITE_ID 4706 TILEPROP INT_R_X37Y104 GRID_POINT_X 93 TILEPROP INT_R_X37Y104 GRID_POINT_Y 47 TILEPROP INT_R_X37Y104 INDEX 5498 TILEPROP INT_R_X37Y104 INT_TILE_X 37 TILEPROP INT_R_X37Y104 INT_TILE_Y 45 TILEPROP INT_R_X37Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y104 IS_DCM_TILE 0 TILEPROP INT_R_X37Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y104 NAME INT_R_X37Y104 TILEPROP INT_R_X37Y104 NUM_ARCS 3737 TILEPROP INT_R_X37Y104 NUM_SITES 1 TILEPROP INT_R_X37Y104 ROW 47 TILEPROP INT_R_X37Y104 SLR_REGION_ID 0 TILEPROP INT_R_X37Y104 TILE_PATTERN_IDX 5313 TILEPROP INT_R_X37Y104 TILE_TYPE INT_R TILEPROP INT_R_X37Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y104 TILE_X 70734 TILEPROP INT_R_X37Y104 TILE_Y 95672 TILEPROP INT_R_X37Y104 TYPE INT_R TILEPROP INT_R_X37Y105 CLASS tile TILEPROP INT_R_X37Y105 COLUMN 93 TILEPROP INT_R_X37Y105 DEVICE_ID 0 TILEPROP INT_R_X37Y105 FIRST_SITE_ID 4613 TILEPROP INT_R_X37Y105 GRID_POINT_X 93 TILEPROP INT_R_X37Y105 GRID_POINT_Y 46 TILEPROP INT_R_X37Y105 INDEX 5383 TILEPROP INT_R_X37Y105 INT_TILE_X 37 TILEPROP INT_R_X37Y105 INT_TILE_Y 44 TILEPROP INT_R_X37Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y105 IS_DCM_TILE 0 TILEPROP INT_R_X37Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y105 NAME INT_R_X37Y105 TILEPROP INT_R_X37Y105 NUM_ARCS 3737 TILEPROP INT_R_X37Y105 NUM_SITES 1 TILEPROP INT_R_X37Y105 ROW 46 TILEPROP INT_R_X37Y105 SLR_REGION_ID 0 TILEPROP INT_R_X37Y105 TILE_PATTERN_IDX 5277 TILEPROP INT_R_X37Y105 TILE_TYPE INT_R TILEPROP INT_R_X37Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y105 TILE_X 70734 TILEPROP INT_R_X37Y105 TILE_Y 98872 TILEPROP INT_R_X37Y105 TYPE INT_R TILEPROP INT_R_X37Y106 CLASS tile TILEPROP INT_R_X37Y106 COLUMN 93 TILEPROP INT_R_X37Y106 DEVICE_ID 0 TILEPROP INT_R_X37Y106 FIRST_SITE_ID 4508 TILEPROP INT_R_X37Y106 GRID_POINT_X 93 TILEPROP INT_R_X37Y106 GRID_POINT_Y 45 TILEPROP INT_R_X37Y106 INDEX 5268 TILEPROP INT_R_X37Y106 INT_TILE_X 37 TILEPROP INT_R_X37Y106 INT_TILE_Y 43 TILEPROP INT_R_X37Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y106 IS_DCM_TILE 0 TILEPROP INT_R_X37Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y106 NAME INT_R_X37Y106 TILEPROP INT_R_X37Y106 NUM_ARCS 3737 TILEPROP INT_R_X37Y106 NUM_SITES 1 TILEPROP INT_R_X37Y106 ROW 45 TILEPROP INT_R_X37Y106 SLR_REGION_ID 0 TILEPROP INT_R_X37Y106 TILE_PATTERN_IDX 5240 TILEPROP INT_R_X37Y106 TILE_TYPE INT_R TILEPROP INT_R_X37Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y106 TILE_X 70734 TILEPROP INT_R_X37Y106 TILE_Y 102072 TILEPROP INT_R_X37Y106 TYPE INT_R TILEPROP INT_R_X37Y107 CLASS tile TILEPROP INT_R_X37Y107 COLUMN 93 TILEPROP INT_R_X37Y107 DEVICE_ID 0 TILEPROP INT_R_X37Y107 FIRST_SITE_ID 4420 TILEPROP INT_R_X37Y107 GRID_POINT_X 93 TILEPROP INT_R_X37Y107 GRID_POINT_Y 44 TILEPROP INT_R_X37Y107 INDEX 5153 TILEPROP INT_R_X37Y107 INT_TILE_X 37 TILEPROP INT_R_X37Y107 INT_TILE_Y 42 TILEPROP INT_R_X37Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y107 IS_DCM_TILE 0 TILEPROP INT_R_X37Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y107 NAME INT_R_X37Y107 TILEPROP INT_R_X37Y107 NUM_ARCS 3737 TILEPROP INT_R_X37Y107 NUM_SITES 1 TILEPROP INT_R_X37Y107 ROW 44 TILEPROP INT_R_X37Y107 SLR_REGION_ID 0 TILEPROP INT_R_X37Y107 TILE_PATTERN_IDX 5204 TILEPROP INT_R_X37Y107 TILE_TYPE INT_R TILEPROP INT_R_X37Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y107 TILE_X 70734 TILEPROP INT_R_X37Y107 TILE_Y 105272 TILEPROP INT_R_X37Y107 TYPE INT_R TILEPROP INT_R_X37Y108 CLASS tile TILEPROP INT_R_X37Y108 COLUMN 93 TILEPROP INT_R_X37Y108 DEVICE_ID 0 TILEPROP INT_R_X37Y108 FIRST_SITE_ID 4322 TILEPROP INT_R_X37Y108 GRID_POINT_X 93 TILEPROP INT_R_X37Y108 GRID_POINT_Y 43 TILEPROP INT_R_X37Y108 INDEX 5038 TILEPROP INT_R_X37Y108 INT_TILE_X 37 TILEPROP INT_R_X37Y108 INT_TILE_Y 41 TILEPROP INT_R_X37Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y108 IS_DCM_TILE 0 TILEPROP INT_R_X37Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y108 NAME INT_R_X37Y108 TILEPROP INT_R_X37Y108 NUM_ARCS 3737 TILEPROP INT_R_X37Y108 NUM_SITES 1 TILEPROP INT_R_X37Y108 ROW 43 TILEPROP INT_R_X37Y108 SLR_REGION_ID 0 TILEPROP INT_R_X37Y108 TILE_PATTERN_IDX 5167 TILEPROP INT_R_X37Y108 TILE_TYPE INT_R TILEPROP INT_R_X37Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y108 TILE_X 70734 TILEPROP INT_R_X37Y108 TILE_Y 108472 TILEPROP INT_R_X37Y108 TYPE INT_R TILEPROP INT_R_X37Y109 CLASS tile TILEPROP INT_R_X37Y109 COLUMN 93 TILEPROP INT_R_X37Y109 DEVICE_ID 0 TILEPROP INT_R_X37Y109 FIRST_SITE_ID 4233 TILEPROP INT_R_X37Y109 GRID_POINT_X 93 TILEPROP INT_R_X37Y109 GRID_POINT_Y 42 TILEPROP INT_R_X37Y109 INDEX 4923 TILEPROP INT_R_X37Y109 INT_TILE_X 37 TILEPROP INT_R_X37Y109 INT_TILE_Y 40 TILEPROP INT_R_X37Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y109 IS_DCM_TILE 0 TILEPROP INT_R_X37Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y109 NAME INT_R_X37Y109 TILEPROP INT_R_X37Y109 NUM_ARCS 3737 TILEPROP INT_R_X37Y109 NUM_SITES 1 TILEPROP INT_R_X37Y109 ROW 42 TILEPROP INT_R_X37Y109 SLR_REGION_ID 0 TILEPROP INT_R_X37Y109 TILE_PATTERN_IDX 5131 TILEPROP INT_R_X37Y109 TILE_TYPE INT_R TILEPROP INT_R_X37Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y109 TILE_X 70734 TILEPROP INT_R_X37Y109 TILE_Y 111672 TILEPROP INT_R_X37Y109 TYPE INT_R TILEPROP INT_R_X37Y110 CLASS tile TILEPROP INT_R_X37Y110 COLUMN 93 TILEPROP INT_R_X37Y110 DEVICE_ID 0 TILEPROP INT_R_X37Y110 FIRST_SITE_ID 4137 TILEPROP INT_R_X37Y110 GRID_POINT_X 93 TILEPROP INT_R_X37Y110 GRID_POINT_Y 41 TILEPROP INT_R_X37Y110 INDEX 4808 TILEPROP INT_R_X37Y110 INT_TILE_X 37 TILEPROP INT_R_X37Y110 INT_TILE_Y 39 TILEPROP INT_R_X37Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y110 IS_DCM_TILE 0 TILEPROP INT_R_X37Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y110 NAME INT_R_X37Y110 TILEPROP INT_R_X37Y110 NUM_ARCS 3737 TILEPROP INT_R_X37Y110 NUM_SITES 1 TILEPROP INT_R_X37Y110 ROW 41 TILEPROP INT_R_X37Y110 SLR_REGION_ID 0 TILEPROP INT_R_X37Y110 TILE_PATTERN_IDX 5094 TILEPROP INT_R_X37Y110 TILE_TYPE INT_R TILEPROP INT_R_X37Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y110 TILE_X 70734 TILEPROP INT_R_X37Y110 TILE_Y 114872 TILEPROP INT_R_X37Y110 TYPE INT_R TILEPROP INT_R_X37Y111 CLASS tile TILEPROP INT_R_X37Y111 COLUMN 93 TILEPROP INT_R_X37Y111 DEVICE_ID 0 TILEPROP INT_R_X37Y111 FIRST_SITE_ID 4039 TILEPROP INT_R_X37Y111 GRID_POINT_X 93 TILEPROP INT_R_X37Y111 GRID_POINT_Y 40 TILEPROP INT_R_X37Y111 INDEX 4693 TILEPROP INT_R_X37Y111 INT_TILE_X 37 TILEPROP INT_R_X37Y111 INT_TILE_Y 38 TILEPROP INT_R_X37Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y111 IS_DCM_TILE 0 TILEPROP INT_R_X37Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y111 NAME INT_R_X37Y111 TILEPROP INT_R_X37Y111 NUM_ARCS 3737 TILEPROP INT_R_X37Y111 NUM_SITES 1 TILEPROP INT_R_X37Y111 ROW 40 TILEPROP INT_R_X37Y111 SLR_REGION_ID 0 TILEPROP INT_R_X37Y111 TILE_PATTERN_IDX 5058 TILEPROP INT_R_X37Y111 TILE_TYPE INT_R TILEPROP INT_R_X37Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y111 TILE_X 70734 TILEPROP INT_R_X37Y111 TILE_Y 118072 TILEPROP INT_R_X37Y111 TYPE INT_R TILEPROP INT_R_X37Y112 CLASS tile TILEPROP INT_R_X37Y112 COLUMN 93 TILEPROP INT_R_X37Y112 DEVICE_ID 0 TILEPROP INT_R_X37Y112 FIRST_SITE_ID 3943 TILEPROP INT_R_X37Y112 GRID_POINT_X 93 TILEPROP INT_R_X37Y112 GRID_POINT_Y 39 TILEPROP INT_R_X37Y112 INDEX 4578 TILEPROP INT_R_X37Y112 INT_TILE_X 37 TILEPROP INT_R_X37Y112 INT_TILE_Y 37 TILEPROP INT_R_X37Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y112 IS_DCM_TILE 0 TILEPROP INT_R_X37Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y112 NAME INT_R_X37Y112 TILEPROP INT_R_X37Y112 NUM_ARCS 3737 TILEPROP INT_R_X37Y112 NUM_SITES 1 TILEPROP INT_R_X37Y112 ROW 39 TILEPROP INT_R_X37Y112 SLR_REGION_ID 0 TILEPROP INT_R_X37Y112 TILE_PATTERN_IDX 5021 TILEPROP INT_R_X37Y112 TILE_TYPE INT_R TILEPROP INT_R_X37Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y112 TILE_X 70734 TILEPROP INT_R_X37Y112 TILE_Y 121272 TILEPROP INT_R_X37Y112 TYPE INT_R TILEPROP INT_R_X37Y113 CLASS tile TILEPROP INT_R_X37Y113 COLUMN 93 TILEPROP INT_R_X37Y113 DEVICE_ID 0 TILEPROP INT_R_X37Y113 FIRST_SITE_ID 3823 TILEPROP INT_R_X37Y113 GRID_POINT_X 93 TILEPROP INT_R_X37Y113 GRID_POINT_Y 38 TILEPROP INT_R_X37Y113 INDEX 4463 TILEPROP INT_R_X37Y113 INT_TILE_X 37 TILEPROP INT_R_X37Y113 INT_TILE_Y 36 TILEPROP INT_R_X37Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y113 IS_DCM_TILE 0 TILEPROP INT_R_X37Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y113 NAME INT_R_X37Y113 TILEPROP INT_R_X37Y113 NUM_ARCS 3737 TILEPROP INT_R_X37Y113 NUM_SITES 1 TILEPROP INT_R_X37Y113 ROW 38 TILEPROP INT_R_X37Y113 SLR_REGION_ID 0 TILEPROP INT_R_X37Y113 TILE_PATTERN_IDX 4984 TILEPROP INT_R_X37Y113 TILE_TYPE INT_R TILEPROP INT_R_X37Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y113 TILE_X 70734 TILEPROP INT_R_X37Y113 TILE_Y 124472 TILEPROP INT_R_X37Y113 TYPE INT_R TILEPROP INT_R_X37Y114 CLASS tile TILEPROP INT_R_X37Y114 COLUMN 93 TILEPROP INT_R_X37Y114 DEVICE_ID 0 TILEPROP INT_R_X37Y114 FIRST_SITE_ID 3727 TILEPROP INT_R_X37Y114 GRID_POINT_X 93 TILEPROP INT_R_X37Y114 GRID_POINT_Y 37 TILEPROP INT_R_X37Y114 INDEX 4348 TILEPROP INT_R_X37Y114 INT_TILE_X 37 TILEPROP INT_R_X37Y114 INT_TILE_Y 35 TILEPROP INT_R_X37Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y114 IS_DCM_TILE 0 TILEPROP INT_R_X37Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y114 NAME INT_R_X37Y114 TILEPROP INT_R_X37Y114 NUM_ARCS 3737 TILEPROP INT_R_X37Y114 NUM_SITES 1 TILEPROP INT_R_X37Y114 ROW 37 TILEPROP INT_R_X37Y114 SLR_REGION_ID 0 TILEPROP INT_R_X37Y114 TILE_PATTERN_IDX 4947 TILEPROP INT_R_X37Y114 TILE_TYPE INT_R TILEPROP INT_R_X37Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y114 TILE_X 70734 TILEPROP INT_R_X37Y114 TILE_Y 127672 TILEPROP INT_R_X37Y114 TYPE INT_R TILEPROP INT_R_X37Y115 CLASS tile TILEPROP INT_R_X37Y115 COLUMN 93 TILEPROP INT_R_X37Y115 DEVICE_ID 0 TILEPROP INT_R_X37Y115 FIRST_SITE_ID 3639 TILEPROP INT_R_X37Y115 GRID_POINT_X 93 TILEPROP INT_R_X37Y115 GRID_POINT_Y 36 TILEPROP INT_R_X37Y115 INDEX 4233 TILEPROP INT_R_X37Y115 INT_TILE_X 37 TILEPROP INT_R_X37Y115 INT_TILE_Y 34 TILEPROP INT_R_X37Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y115 IS_DCM_TILE 0 TILEPROP INT_R_X37Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y115 NAME INT_R_X37Y115 TILEPROP INT_R_X37Y115 NUM_ARCS 3737 TILEPROP INT_R_X37Y115 NUM_SITES 1 TILEPROP INT_R_X37Y115 ROW 36 TILEPROP INT_R_X37Y115 SLR_REGION_ID 0 TILEPROP INT_R_X37Y115 TILE_PATTERN_IDX 4911 TILEPROP INT_R_X37Y115 TILE_TYPE INT_R TILEPROP INT_R_X37Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y115 TILE_X 70734 TILEPROP INT_R_X37Y115 TILE_Y 130872 TILEPROP INT_R_X37Y115 TYPE INT_R TILEPROP INT_R_X37Y116 CLASS tile TILEPROP INT_R_X37Y116 COLUMN 93 TILEPROP INT_R_X37Y116 DEVICE_ID 0 TILEPROP INT_R_X37Y116 FIRST_SITE_ID 3529 TILEPROP INT_R_X37Y116 GRID_POINT_X 93 TILEPROP INT_R_X37Y116 GRID_POINT_Y 35 TILEPROP INT_R_X37Y116 INDEX 4118 TILEPROP INT_R_X37Y116 INT_TILE_X 37 TILEPROP INT_R_X37Y116 INT_TILE_Y 33 TILEPROP INT_R_X37Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y116 IS_DCM_TILE 0 TILEPROP INT_R_X37Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y116 NAME INT_R_X37Y116 TILEPROP INT_R_X37Y116 NUM_ARCS 3737 TILEPROP INT_R_X37Y116 NUM_SITES 1 TILEPROP INT_R_X37Y116 ROW 35 TILEPROP INT_R_X37Y116 SLR_REGION_ID 0 TILEPROP INT_R_X37Y116 TILE_PATTERN_IDX 4874 TILEPROP INT_R_X37Y116 TILE_TYPE INT_R TILEPROP INT_R_X37Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y116 TILE_X 70734 TILEPROP INT_R_X37Y116 TILE_Y 134072 TILEPROP INT_R_X37Y116 TYPE INT_R TILEPROP INT_R_X37Y117 CLASS tile TILEPROP INT_R_X37Y117 COLUMN 93 TILEPROP INT_R_X37Y117 DEVICE_ID 0 TILEPROP INT_R_X37Y117 FIRST_SITE_ID 3441 TILEPROP INT_R_X37Y117 GRID_POINT_X 93 TILEPROP INT_R_X37Y117 GRID_POINT_Y 34 TILEPROP INT_R_X37Y117 INDEX 4003 TILEPROP INT_R_X37Y117 INT_TILE_X 37 TILEPROP INT_R_X37Y117 INT_TILE_Y 32 TILEPROP INT_R_X37Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y117 IS_DCM_TILE 0 TILEPROP INT_R_X37Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y117 NAME INT_R_X37Y117 TILEPROP INT_R_X37Y117 NUM_ARCS 3737 TILEPROP INT_R_X37Y117 NUM_SITES 1 TILEPROP INT_R_X37Y117 ROW 34 TILEPROP INT_R_X37Y117 SLR_REGION_ID 0 TILEPROP INT_R_X37Y117 TILE_PATTERN_IDX 4838 TILEPROP INT_R_X37Y117 TILE_TYPE INT_R TILEPROP INT_R_X37Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y117 TILE_X 70734 TILEPROP INT_R_X37Y117 TILE_Y 137272 TILEPROP INT_R_X37Y117 TYPE INT_R TILEPROP INT_R_X37Y118 CLASS tile TILEPROP INT_R_X37Y118 COLUMN 93 TILEPROP INT_R_X37Y118 DEVICE_ID 0 TILEPROP INT_R_X37Y118 FIRST_SITE_ID 3341 TILEPROP INT_R_X37Y118 GRID_POINT_X 93 TILEPROP INT_R_X37Y118 GRID_POINT_Y 33 TILEPROP INT_R_X37Y118 INDEX 3888 TILEPROP INT_R_X37Y118 INT_TILE_X 37 TILEPROP INT_R_X37Y118 INT_TILE_Y 31 TILEPROP INT_R_X37Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y118 IS_DCM_TILE 0 TILEPROP INT_R_X37Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y118 NAME INT_R_X37Y118 TILEPROP INT_R_X37Y118 NUM_ARCS 3737 TILEPROP INT_R_X37Y118 NUM_SITES 1 TILEPROP INT_R_X37Y118 ROW 33 TILEPROP INT_R_X37Y118 SLR_REGION_ID 0 TILEPROP INT_R_X37Y118 TILE_PATTERN_IDX 4800 TILEPROP INT_R_X37Y118 TILE_TYPE INT_R TILEPROP INT_R_X37Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y118 TILE_X 70734 TILEPROP INT_R_X37Y118 TILE_Y 140472 TILEPROP INT_R_X37Y118 TYPE INT_R TILEPROP INT_R_X37Y119 CLASS tile TILEPROP INT_R_X37Y119 COLUMN 93 TILEPROP INT_R_X37Y119 DEVICE_ID 0 TILEPROP INT_R_X37Y119 FIRST_SITE_ID 3253 TILEPROP INT_R_X37Y119 GRID_POINT_X 93 TILEPROP INT_R_X37Y119 GRID_POINT_Y 32 TILEPROP INT_R_X37Y119 INDEX 3773 TILEPROP INT_R_X37Y119 INT_TILE_X 37 TILEPROP INT_R_X37Y119 INT_TILE_Y 30 TILEPROP INT_R_X37Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y119 IS_DCM_TILE 0 TILEPROP INT_R_X37Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y119 NAME INT_R_X37Y119 TILEPROP INT_R_X37Y119 NUM_ARCS 3737 TILEPROP INT_R_X37Y119 NUM_SITES 1 TILEPROP INT_R_X37Y119 ROW 32 TILEPROP INT_R_X37Y119 SLR_REGION_ID 0 TILEPROP INT_R_X37Y119 TILE_PATTERN_IDX 4764 TILEPROP INT_R_X37Y119 TILE_TYPE INT_R TILEPROP INT_R_X37Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y119 TILE_X 70734 TILEPROP INT_R_X37Y119 TILE_Y 143672 TILEPROP INT_R_X37Y119 TYPE INT_R TILEPROP INT_R_X37Y120 CLASS tile TILEPROP INT_R_X37Y120 COLUMN 93 TILEPROP INT_R_X37Y120 DEVICE_ID 0 TILEPROP INT_R_X37Y120 FIRST_SITE_ID 3155 TILEPROP INT_R_X37Y120 GRID_POINT_X 93 TILEPROP INT_R_X37Y120 GRID_POINT_Y 31 TILEPROP INT_R_X37Y120 INDEX 3658 TILEPROP INT_R_X37Y120 INT_TILE_X 37 TILEPROP INT_R_X37Y120 INT_TILE_Y 29 TILEPROP INT_R_X37Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y120 IS_DCM_TILE 0 TILEPROP INT_R_X37Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y120 NAME INT_R_X37Y120 TILEPROP INT_R_X37Y120 NUM_ARCS 3737 TILEPROP INT_R_X37Y120 NUM_SITES 1 TILEPROP INT_R_X37Y120 ROW 31 TILEPROP INT_R_X37Y120 SLR_REGION_ID 0 TILEPROP INT_R_X37Y120 TILE_PATTERN_IDX 4727 TILEPROP INT_R_X37Y120 TILE_TYPE INT_R TILEPROP INT_R_X37Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y120 TILE_X 70734 TILEPROP INT_R_X37Y120 TILE_Y 146872 TILEPROP INT_R_X37Y120 TYPE INT_R TILEPROP INT_R_X37Y121 CLASS tile TILEPROP INT_R_X37Y121 COLUMN 93 TILEPROP INT_R_X37Y121 DEVICE_ID 0 TILEPROP INT_R_X37Y121 FIRST_SITE_ID 3058 TILEPROP INT_R_X37Y121 GRID_POINT_X 93 TILEPROP INT_R_X37Y121 GRID_POINT_Y 30 TILEPROP INT_R_X37Y121 INDEX 3543 TILEPROP INT_R_X37Y121 INT_TILE_X 37 TILEPROP INT_R_X37Y121 INT_TILE_Y 28 TILEPROP INT_R_X37Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y121 IS_DCM_TILE 0 TILEPROP INT_R_X37Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y121 NAME INT_R_X37Y121 TILEPROP INT_R_X37Y121 NUM_ARCS 3737 TILEPROP INT_R_X37Y121 NUM_SITES 1 TILEPROP INT_R_X37Y121 ROW 30 TILEPROP INT_R_X37Y121 SLR_REGION_ID 0 TILEPROP INT_R_X37Y121 TILE_PATTERN_IDX 4691 TILEPROP INT_R_X37Y121 TILE_TYPE INT_R TILEPROP INT_R_X37Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y121 TILE_X 70734 TILEPROP INT_R_X37Y121 TILE_Y 150072 TILEPROP INT_R_X37Y121 TYPE INT_R TILEPROP INT_R_X37Y122 CLASS tile TILEPROP INT_R_X37Y122 COLUMN 93 TILEPROP INT_R_X37Y122 DEVICE_ID 0 TILEPROP INT_R_X37Y122 FIRST_SITE_ID 2955 TILEPROP INT_R_X37Y122 GRID_POINT_X 93 TILEPROP INT_R_X37Y122 GRID_POINT_Y 29 TILEPROP INT_R_X37Y122 INDEX 3428 TILEPROP INT_R_X37Y122 INT_TILE_X 37 TILEPROP INT_R_X37Y122 INT_TILE_Y 27 TILEPROP INT_R_X37Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y122 IS_DCM_TILE 0 TILEPROP INT_R_X37Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y122 NAME INT_R_X37Y122 TILEPROP INT_R_X37Y122 NUM_ARCS 3737 TILEPROP INT_R_X37Y122 NUM_SITES 1 TILEPROP INT_R_X37Y122 ROW 29 TILEPROP INT_R_X37Y122 SLR_REGION_ID 0 TILEPROP INT_R_X37Y122 TILE_PATTERN_IDX 4653 TILEPROP INT_R_X37Y122 TILE_TYPE INT_R TILEPROP INT_R_X37Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y122 TILE_X 70734 TILEPROP INT_R_X37Y122 TILE_Y 153272 TILEPROP INT_R_X37Y122 TYPE INT_R TILEPROP INT_R_X37Y123 CLASS tile TILEPROP INT_R_X37Y123 COLUMN 93 TILEPROP INT_R_X37Y123 DEVICE_ID 0 TILEPROP INT_R_X37Y123 FIRST_SITE_ID 2867 TILEPROP INT_R_X37Y123 GRID_POINT_X 93 TILEPROP INT_R_X37Y123 GRID_POINT_Y 28 TILEPROP INT_R_X37Y123 INDEX 3313 TILEPROP INT_R_X37Y123 INT_TILE_X 37 TILEPROP INT_R_X37Y123 INT_TILE_Y 26 TILEPROP INT_R_X37Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y123 IS_DCM_TILE 0 TILEPROP INT_R_X37Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y123 NAME INT_R_X37Y123 TILEPROP INT_R_X37Y123 NUM_ARCS 3737 TILEPROP INT_R_X37Y123 NUM_SITES 1 TILEPROP INT_R_X37Y123 ROW 28 TILEPROP INT_R_X37Y123 SLR_REGION_ID 0 TILEPROP INT_R_X37Y123 TILE_PATTERN_IDX 4617 TILEPROP INT_R_X37Y123 TILE_TYPE INT_R TILEPROP INT_R_X37Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y123 TILE_X 70734 TILEPROP INT_R_X37Y123 TILE_Y 156472 TILEPROP INT_R_X37Y123 TYPE INT_R TILEPROP INT_R_X37Y124 CLASS tile TILEPROP INT_R_X37Y124 COLUMN 93 TILEPROP INT_R_X37Y124 DEVICE_ID 0 TILEPROP INT_R_X37Y124 FIRST_SITE_ID 2771 TILEPROP INT_R_X37Y124 GRID_POINT_X 93 TILEPROP INT_R_X37Y124 GRID_POINT_Y 27 TILEPROP INT_R_X37Y124 INDEX 3198 TILEPROP INT_R_X37Y124 INT_TILE_X 37 TILEPROP INT_R_X37Y124 INT_TILE_Y 25 TILEPROP INT_R_X37Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y124 IS_DCM_TILE 0 TILEPROP INT_R_X37Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y124 NAME INT_R_X37Y124 TILEPROP INT_R_X37Y124 NUM_ARCS 3737 TILEPROP INT_R_X37Y124 NUM_SITES 1 TILEPROP INT_R_X37Y124 ROW 27 TILEPROP INT_R_X37Y124 SLR_REGION_ID 0 TILEPROP INT_R_X37Y124 TILE_PATTERN_IDX 4580 TILEPROP INT_R_X37Y124 TILE_TYPE INT_R TILEPROP INT_R_X37Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y124 TILE_X 70734 TILEPROP INT_R_X37Y124 TILE_Y 159672 TILEPROP INT_R_X37Y124 TYPE INT_R TILEPROP INT_R_X37Y125 CLASS tile TILEPROP INT_R_X37Y125 COLUMN 93 TILEPROP INT_R_X37Y125 DEVICE_ID 0 TILEPROP INT_R_X37Y125 FIRST_SITE_ID 2612 TILEPROP INT_R_X37Y125 GRID_POINT_X 93 TILEPROP INT_R_X37Y125 GRID_POINT_Y 25 TILEPROP INT_R_X37Y125 INDEX 2968 TILEPROP INT_R_X37Y125 INT_TILE_X 37 TILEPROP INT_R_X37Y125 INT_TILE_Y 24 TILEPROP INT_R_X37Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y125 IS_DCM_TILE 0 TILEPROP INT_R_X37Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y125 NAME INT_R_X37Y125 TILEPROP INT_R_X37Y125 NUM_ARCS 3737 TILEPROP INT_R_X37Y125 NUM_SITES 1 TILEPROP INT_R_X37Y125 ROW 25 TILEPROP INT_R_X37Y125 SLR_REGION_ID 0 TILEPROP INT_R_X37Y125 TILE_PATTERN_IDX 4503 TILEPROP INT_R_X37Y125 TILE_TYPE INT_R TILEPROP INT_R_X37Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y125 TILE_X 70734 TILEPROP INT_R_X37Y125 TILE_Y 163896 TILEPROP INT_R_X37Y125 TYPE INT_R TILEPROP INT_R_X37Y126 CLASS tile TILEPROP INT_R_X37Y126 COLUMN 93 TILEPROP INT_R_X37Y126 DEVICE_ID 0 TILEPROP INT_R_X37Y126 FIRST_SITE_ID 2496 TILEPROP INT_R_X37Y126 GRID_POINT_X 93 TILEPROP INT_R_X37Y126 GRID_POINT_Y 24 TILEPROP INT_R_X37Y126 INDEX 2853 TILEPROP INT_R_X37Y126 INT_TILE_X 37 TILEPROP INT_R_X37Y126 INT_TILE_Y 23 TILEPROP INT_R_X37Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y126 IS_DCM_TILE 0 TILEPROP INT_R_X37Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y126 NAME INT_R_X37Y126 TILEPROP INT_R_X37Y126 NUM_ARCS 3737 TILEPROP INT_R_X37Y126 NUM_SITES 1 TILEPROP INT_R_X37Y126 ROW 24 TILEPROP INT_R_X37Y126 SLR_REGION_ID 0 TILEPROP INT_R_X37Y126 TILE_PATTERN_IDX 4464 TILEPROP INT_R_X37Y126 TILE_TYPE INT_R TILEPROP INT_R_X37Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y126 TILE_X 70734 TILEPROP INT_R_X37Y126 TILE_Y 167096 TILEPROP INT_R_X37Y126 TYPE INT_R TILEPROP INT_R_X37Y127 CLASS tile TILEPROP INT_R_X37Y127 COLUMN 93 TILEPROP INT_R_X37Y127 DEVICE_ID 0 TILEPROP INT_R_X37Y127 FIRST_SITE_ID 2400 TILEPROP INT_R_X37Y127 GRID_POINT_X 93 TILEPROP INT_R_X37Y127 GRID_POINT_Y 23 TILEPROP INT_R_X37Y127 INDEX 2738 TILEPROP INT_R_X37Y127 INT_TILE_X 37 TILEPROP INT_R_X37Y127 INT_TILE_Y 22 TILEPROP INT_R_X37Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y127 IS_DCM_TILE 0 TILEPROP INT_R_X37Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y127 NAME INT_R_X37Y127 TILEPROP INT_R_X37Y127 NUM_ARCS 3737 TILEPROP INT_R_X37Y127 NUM_SITES 1 TILEPROP INT_R_X37Y127 ROW 23 TILEPROP INT_R_X37Y127 SLR_REGION_ID 0 TILEPROP INT_R_X37Y127 TILE_PATTERN_IDX 4426 TILEPROP INT_R_X37Y127 TILE_TYPE INT_R TILEPROP INT_R_X37Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y127 TILE_X 70734 TILEPROP INT_R_X37Y127 TILE_Y 170296 TILEPROP INT_R_X37Y127 TYPE INT_R TILEPROP INT_R_X37Y128 CLASS tile TILEPROP INT_R_X37Y128 COLUMN 93 TILEPROP INT_R_X37Y128 DEVICE_ID 0 TILEPROP INT_R_X37Y128 FIRST_SITE_ID 2296 TILEPROP INT_R_X37Y128 GRID_POINT_X 93 TILEPROP INT_R_X37Y128 GRID_POINT_Y 22 TILEPROP INT_R_X37Y128 INDEX 2623 TILEPROP INT_R_X37Y128 INT_TILE_X 37 TILEPROP INT_R_X37Y128 INT_TILE_Y 21 TILEPROP INT_R_X37Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y128 IS_DCM_TILE 0 TILEPROP INT_R_X37Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y128 NAME INT_R_X37Y128 TILEPROP INT_R_X37Y128 NUM_ARCS 3737 TILEPROP INT_R_X37Y128 NUM_SITES 1 TILEPROP INT_R_X37Y128 ROW 22 TILEPROP INT_R_X37Y128 SLR_REGION_ID 0 TILEPROP INT_R_X37Y128 TILE_PATTERN_IDX 4387 TILEPROP INT_R_X37Y128 TILE_TYPE INT_R TILEPROP INT_R_X37Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y128 TILE_X 70734 TILEPROP INT_R_X37Y128 TILE_Y 173496 TILEPROP INT_R_X37Y128 TYPE INT_R TILEPROP INT_R_X37Y129 CLASS tile TILEPROP INT_R_X37Y129 COLUMN 93 TILEPROP INT_R_X37Y129 DEVICE_ID 0 TILEPROP INT_R_X37Y129 FIRST_SITE_ID 2200 TILEPROP INT_R_X37Y129 GRID_POINT_X 93 TILEPROP INT_R_X37Y129 GRID_POINT_Y 21 TILEPROP INT_R_X37Y129 INDEX 2508 TILEPROP INT_R_X37Y129 INT_TILE_X 37 TILEPROP INT_R_X37Y129 INT_TILE_Y 20 TILEPROP INT_R_X37Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y129 IS_DCM_TILE 0 TILEPROP INT_R_X37Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y129 NAME INT_R_X37Y129 TILEPROP INT_R_X37Y129 NUM_ARCS 3737 TILEPROP INT_R_X37Y129 NUM_SITES 1 TILEPROP INT_R_X37Y129 ROW 21 TILEPROP INT_R_X37Y129 SLR_REGION_ID 0 TILEPROP INT_R_X37Y129 TILE_PATTERN_IDX 4349 TILEPROP INT_R_X37Y129 TILE_TYPE INT_R TILEPROP INT_R_X37Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y129 TILE_X 70734 TILEPROP INT_R_X37Y129 TILE_Y 176696 TILEPROP INT_R_X37Y129 TYPE INT_R TILEPROP INT_R_X37Y130 CLASS tile TILEPROP INT_R_X37Y130 COLUMN 93 TILEPROP INT_R_X37Y130 DEVICE_ID 0 TILEPROP INT_R_X37Y130 FIRST_SITE_ID 2090 TILEPROP INT_R_X37Y130 GRID_POINT_X 93 TILEPROP INT_R_X37Y130 GRID_POINT_Y 20 TILEPROP INT_R_X37Y130 INDEX 2393 TILEPROP INT_R_X37Y130 INT_TILE_X 37 TILEPROP INT_R_X37Y130 INT_TILE_Y 19 TILEPROP INT_R_X37Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y130 IS_DCM_TILE 0 TILEPROP INT_R_X37Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y130 NAME INT_R_X37Y130 TILEPROP INT_R_X37Y130 NUM_ARCS 3737 TILEPROP INT_R_X37Y130 NUM_SITES 1 TILEPROP INT_R_X37Y130 ROW 20 TILEPROP INT_R_X37Y130 SLR_REGION_ID 0 TILEPROP INT_R_X37Y130 TILE_PATTERN_IDX 4309 TILEPROP INT_R_X37Y130 TILE_TYPE INT_R TILEPROP INT_R_X37Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y130 TILE_X 70734 TILEPROP INT_R_X37Y130 TILE_Y 179896 TILEPROP INT_R_X37Y130 TYPE INT_R TILEPROP INT_R_X37Y131 CLASS tile TILEPROP INT_R_X37Y131 COLUMN 93 TILEPROP INT_R_X37Y131 DEVICE_ID 0 TILEPROP INT_R_X37Y131 FIRST_SITE_ID 1982 TILEPROP INT_R_X37Y131 GRID_POINT_X 93 TILEPROP INT_R_X37Y131 GRID_POINT_Y 19 TILEPROP INT_R_X37Y131 INDEX 2278 TILEPROP INT_R_X37Y131 INT_TILE_X 37 TILEPROP INT_R_X37Y131 INT_TILE_Y 18 TILEPROP INT_R_X37Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y131 IS_DCM_TILE 0 TILEPROP INT_R_X37Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y131 NAME INT_R_X37Y131 TILEPROP INT_R_X37Y131 NUM_ARCS 3737 TILEPROP INT_R_X37Y131 NUM_SITES 1 TILEPROP INT_R_X37Y131 ROW 19 TILEPROP INT_R_X37Y131 SLR_REGION_ID 0 TILEPROP INT_R_X37Y131 TILE_PATTERN_IDX 4271 TILEPROP INT_R_X37Y131 TILE_TYPE INT_R TILEPROP INT_R_X37Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y131 TILE_X 70734 TILEPROP INT_R_X37Y131 TILE_Y 183096 TILEPROP INT_R_X37Y131 TYPE INT_R TILEPROP INT_R_X37Y132 CLASS tile TILEPROP INT_R_X37Y132 COLUMN 93 TILEPROP INT_R_X37Y132 DEVICE_ID 0 TILEPROP INT_R_X37Y132 FIRST_SITE_ID 1876 TILEPROP INT_R_X37Y132 GRID_POINT_X 93 TILEPROP INT_R_X37Y132 GRID_POINT_Y 18 TILEPROP INT_R_X37Y132 INDEX 2163 TILEPROP INT_R_X37Y132 INT_TILE_X 37 TILEPROP INT_R_X37Y132 INT_TILE_Y 17 TILEPROP INT_R_X37Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y132 IS_DCM_TILE 0 TILEPROP INT_R_X37Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y132 NAME INT_R_X37Y132 TILEPROP INT_R_X37Y132 NUM_ARCS 3737 TILEPROP INT_R_X37Y132 NUM_SITES 1 TILEPROP INT_R_X37Y132 ROW 18 TILEPROP INT_R_X37Y132 SLR_REGION_ID 0 TILEPROP INT_R_X37Y132 TILE_PATTERN_IDX 4232 TILEPROP INT_R_X37Y132 TILE_TYPE INT_R TILEPROP INT_R_X37Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y132 TILE_X 70734 TILEPROP INT_R_X37Y132 TILE_Y 186296 TILEPROP INT_R_X37Y132 TYPE INT_R TILEPROP INT_R_X37Y133 CLASS tile TILEPROP INT_R_X37Y133 COLUMN 93 TILEPROP INT_R_X37Y133 DEVICE_ID 0 TILEPROP INT_R_X37Y133 FIRST_SITE_ID 1775 TILEPROP INT_R_X37Y133 GRID_POINT_X 93 TILEPROP INT_R_X37Y133 GRID_POINT_Y 17 TILEPROP INT_R_X37Y133 INDEX 2048 TILEPROP INT_R_X37Y133 INT_TILE_X 37 TILEPROP INT_R_X37Y133 INT_TILE_Y 16 TILEPROP INT_R_X37Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y133 IS_DCM_TILE 0 TILEPROP INT_R_X37Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y133 NAME INT_R_X37Y133 TILEPROP INT_R_X37Y133 NUM_ARCS 3737 TILEPROP INT_R_X37Y133 NUM_SITES 1 TILEPROP INT_R_X37Y133 ROW 17 TILEPROP INT_R_X37Y133 SLR_REGION_ID 0 TILEPROP INT_R_X37Y133 TILE_PATTERN_IDX 4194 TILEPROP INT_R_X37Y133 TILE_TYPE INT_R TILEPROP INT_R_X37Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y133 TILE_X 70734 TILEPROP INT_R_X37Y133 TILE_Y 189496 TILEPROP INT_R_X37Y133 TYPE INT_R TILEPROP INT_R_X37Y134 CLASS tile TILEPROP INT_R_X37Y134 COLUMN 93 TILEPROP INT_R_X37Y134 DEVICE_ID 0 TILEPROP INT_R_X37Y134 FIRST_SITE_ID 1671 TILEPROP INT_R_X37Y134 GRID_POINT_X 93 TILEPROP INT_R_X37Y134 GRID_POINT_Y 16 TILEPROP INT_R_X37Y134 INDEX 1933 TILEPROP INT_R_X37Y134 INT_TILE_X 37 TILEPROP INT_R_X37Y134 INT_TILE_Y 15 TILEPROP INT_R_X37Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y134 IS_DCM_TILE 0 TILEPROP INT_R_X37Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y134 NAME INT_R_X37Y134 TILEPROP INT_R_X37Y134 NUM_ARCS 3737 TILEPROP INT_R_X37Y134 NUM_SITES 1 TILEPROP INT_R_X37Y134 ROW 16 TILEPROP INT_R_X37Y134 SLR_REGION_ID 0 TILEPROP INT_R_X37Y134 TILE_PATTERN_IDX 4155 TILEPROP INT_R_X37Y134 TILE_TYPE INT_R TILEPROP INT_R_X37Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y134 TILE_X 70734 TILEPROP INT_R_X37Y134 TILE_Y 192696 TILEPROP INT_R_X37Y134 TYPE INT_R TILEPROP INT_R_X37Y135 CLASS tile TILEPROP INT_R_X37Y135 COLUMN 93 TILEPROP INT_R_X37Y135 DEVICE_ID 0 TILEPROP INT_R_X37Y135 FIRST_SITE_ID 1575 TILEPROP INT_R_X37Y135 GRID_POINT_X 93 TILEPROP INT_R_X37Y135 GRID_POINT_Y 15 TILEPROP INT_R_X37Y135 INDEX 1818 TILEPROP INT_R_X37Y135 INT_TILE_X 37 TILEPROP INT_R_X37Y135 INT_TILE_Y 14 TILEPROP INT_R_X37Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y135 IS_DCM_TILE 0 TILEPROP INT_R_X37Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y135 NAME INT_R_X37Y135 TILEPROP INT_R_X37Y135 NUM_ARCS 3737 TILEPROP INT_R_X37Y135 NUM_SITES 1 TILEPROP INT_R_X37Y135 ROW 15 TILEPROP INT_R_X37Y135 SLR_REGION_ID 0 TILEPROP INT_R_X37Y135 TILE_PATTERN_IDX 4117 TILEPROP INT_R_X37Y135 TILE_TYPE INT_R TILEPROP INT_R_X37Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y135 TILE_X 70734 TILEPROP INT_R_X37Y135 TILE_Y 195896 TILEPROP INT_R_X37Y135 TYPE INT_R TILEPROP INT_R_X37Y136 CLASS tile TILEPROP INT_R_X37Y136 COLUMN 93 TILEPROP INT_R_X37Y136 DEVICE_ID 0 TILEPROP INT_R_X37Y136 FIRST_SITE_ID 1459 TILEPROP INT_R_X37Y136 GRID_POINT_X 93 TILEPROP INT_R_X37Y136 GRID_POINT_Y 14 TILEPROP INT_R_X37Y136 INDEX 1703 TILEPROP INT_R_X37Y136 INT_TILE_X 37 TILEPROP INT_R_X37Y136 INT_TILE_Y 13 TILEPROP INT_R_X37Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y136 IS_DCM_TILE 0 TILEPROP INT_R_X37Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y136 NAME INT_R_X37Y136 TILEPROP INT_R_X37Y136 NUM_ARCS 3737 TILEPROP INT_R_X37Y136 NUM_SITES 1 TILEPROP INT_R_X37Y136 ROW 14 TILEPROP INT_R_X37Y136 SLR_REGION_ID 0 TILEPROP INT_R_X37Y136 TILE_PATTERN_IDX 4078 TILEPROP INT_R_X37Y136 TILE_TYPE INT_R TILEPROP INT_R_X37Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y136 TILE_X 70734 TILEPROP INT_R_X37Y136 TILE_Y 199096 TILEPROP INT_R_X37Y136 TYPE INT_R TILEPROP INT_R_X37Y137 CLASS tile TILEPROP INT_R_X37Y137 COLUMN 93 TILEPROP INT_R_X37Y137 DEVICE_ID 0 TILEPROP INT_R_X37Y137 FIRST_SITE_ID 1331 TILEPROP INT_R_X37Y137 GRID_POINT_X 93 TILEPROP INT_R_X37Y137 GRID_POINT_Y 13 TILEPROP INT_R_X37Y137 INDEX 1588 TILEPROP INT_R_X37Y137 INT_TILE_X 37 TILEPROP INT_R_X37Y137 INT_TILE_Y 12 TILEPROP INT_R_X37Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y137 IS_DCM_TILE 0 TILEPROP INT_R_X37Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y137 NAME INT_R_X37Y137 TILEPROP INT_R_X37Y137 NUM_ARCS 3737 TILEPROP INT_R_X37Y137 NUM_SITES 1 TILEPROP INT_R_X37Y137 ROW 13 TILEPROP INT_R_X37Y137 SLR_REGION_ID 0 TILEPROP INT_R_X37Y137 TILE_PATTERN_IDX 4040 TILEPROP INT_R_X37Y137 TILE_TYPE INT_R TILEPROP INT_R_X37Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y137 TILE_X 70734 TILEPROP INT_R_X37Y137 TILE_Y 202296 TILEPROP INT_R_X37Y137 TYPE INT_R TILEPROP INT_R_X37Y138 CLASS tile TILEPROP INT_R_X37Y138 COLUMN 93 TILEPROP INT_R_X37Y138 DEVICE_ID 0 TILEPROP INT_R_X37Y138 FIRST_SITE_ID 1227 TILEPROP INT_R_X37Y138 GRID_POINT_X 93 TILEPROP INT_R_X37Y138 GRID_POINT_Y 12 TILEPROP INT_R_X37Y138 INDEX 1473 TILEPROP INT_R_X37Y138 INT_TILE_X 37 TILEPROP INT_R_X37Y138 INT_TILE_Y 11 TILEPROP INT_R_X37Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y138 IS_DCM_TILE 0 TILEPROP INT_R_X37Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y138 NAME INT_R_X37Y138 TILEPROP INT_R_X37Y138 NUM_ARCS 3737 TILEPROP INT_R_X37Y138 NUM_SITES 1 TILEPROP INT_R_X37Y138 ROW 12 TILEPROP INT_R_X37Y138 SLR_REGION_ID 0 TILEPROP INT_R_X37Y138 TILE_PATTERN_IDX 4001 TILEPROP INT_R_X37Y138 TILE_TYPE INT_R TILEPROP INT_R_X37Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y138 TILE_X 70734 TILEPROP INT_R_X37Y138 TILE_Y 205496 TILEPROP INT_R_X37Y138 TYPE INT_R TILEPROP INT_R_X37Y139 CLASS tile TILEPROP INT_R_X37Y139 COLUMN 93 TILEPROP INT_R_X37Y139 DEVICE_ID 0 TILEPROP INT_R_X37Y139 FIRST_SITE_ID 1131 TILEPROP INT_R_X37Y139 GRID_POINT_X 93 TILEPROP INT_R_X37Y139 GRID_POINT_Y 11 TILEPROP INT_R_X37Y139 INDEX 1358 TILEPROP INT_R_X37Y139 INT_TILE_X 37 TILEPROP INT_R_X37Y139 INT_TILE_Y 10 TILEPROP INT_R_X37Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y139 IS_DCM_TILE 0 TILEPROP INT_R_X37Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y139 NAME INT_R_X37Y139 TILEPROP INT_R_X37Y139 NUM_ARCS 3737 TILEPROP INT_R_X37Y139 NUM_SITES 1 TILEPROP INT_R_X37Y139 ROW 11 TILEPROP INT_R_X37Y139 SLR_REGION_ID 0 TILEPROP INT_R_X37Y139 TILE_PATTERN_IDX 3963 TILEPROP INT_R_X37Y139 TILE_TYPE INT_R TILEPROP INT_R_X37Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y139 TILE_X 70734 TILEPROP INT_R_X37Y139 TILE_Y 208696 TILEPROP INT_R_X37Y139 TYPE INT_R TILEPROP INT_R_X37Y140 CLASS tile TILEPROP INT_R_X37Y140 COLUMN 93 TILEPROP INT_R_X37Y140 DEVICE_ID 0 TILEPROP INT_R_X37Y140 FIRST_SITE_ID 1027 TILEPROP INT_R_X37Y140 GRID_POINT_X 93 TILEPROP INT_R_X37Y140 GRID_POINT_Y 10 TILEPROP INT_R_X37Y140 INDEX 1243 TILEPROP INT_R_X37Y140 INT_TILE_X 37 TILEPROP INT_R_X37Y140 INT_TILE_Y 9 TILEPROP INT_R_X37Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y140 IS_DCM_TILE 0 TILEPROP INT_R_X37Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y140 NAME INT_R_X37Y140 TILEPROP INT_R_X37Y140 NUM_ARCS 3737 TILEPROP INT_R_X37Y140 NUM_SITES 1 TILEPROP INT_R_X37Y140 ROW 10 TILEPROP INT_R_X37Y140 SLR_REGION_ID 0 TILEPROP INT_R_X37Y140 TILE_PATTERN_IDX 3924 TILEPROP INT_R_X37Y140 TILE_TYPE INT_R TILEPROP INT_R_X37Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y140 TILE_X 70734 TILEPROP INT_R_X37Y140 TILE_Y 211896 TILEPROP INT_R_X37Y140 TYPE INT_R TILEPROP INT_R_X37Y141 CLASS tile TILEPROP INT_R_X37Y141 COLUMN 93 TILEPROP INT_R_X37Y141 DEVICE_ID 0 TILEPROP INT_R_X37Y141 FIRST_SITE_ID 919 TILEPROP INT_R_X37Y141 GRID_POINT_X 93 TILEPROP INT_R_X37Y141 GRID_POINT_Y 9 TILEPROP INT_R_X37Y141 INDEX 1128 TILEPROP INT_R_X37Y141 INT_TILE_X 37 TILEPROP INT_R_X37Y141 INT_TILE_Y 8 TILEPROP INT_R_X37Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y141 IS_DCM_TILE 0 TILEPROP INT_R_X37Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y141 NAME INT_R_X37Y141 TILEPROP INT_R_X37Y141 NUM_ARCS 3737 TILEPROP INT_R_X37Y141 NUM_SITES 1 TILEPROP INT_R_X37Y141 ROW 9 TILEPROP INT_R_X37Y141 SLR_REGION_ID 0 TILEPROP INT_R_X37Y141 TILE_PATTERN_IDX 3886 TILEPROP INT_R_X37Y141 TILE_TYPE INT_R TILEPROP INT_R_X37Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y141 TILE_X 70734 TILEPROP INT_R_X37Y141 TILE_Y 215096 TILEPROP INT_R_X37Y141 TYPE INT_R TILEPROP INT_R_X37Y142 CLASS tile TILEPROP INT_R_X37Y142 COLUMN 93 TILEPROP INT_R_X37Y142 DEVICE_ID 0 TILEPROP INT_R_X37Y142 FIRST_SITE_ID 815 TILEPROP INT_R_X37Y142 GRID_POINT_X 93 TILEPROP INT_R_X37Y142 GRID_POINT_Y 8 TILEPROP INT_R_X37Y142 INDEX 1013 TILEPROP INT_R_X37Y142 INT_TILE_X 37 TILEPROP INT_R_X37Y142 INT_TILE_Y 7 TILEPROP INT_R_X37Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y142 IS_DCM_TILE 0 TILEPROP INT_R_X37Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y142 NAME INT_R_X37Y142 TILEPROP INT_R_X37Y142 NUM_ARCS 3737 TILEPROP INT_R_X37Y142 NUM_SITES 1 TILEPROP INT_R_X37Y142 ROW 8 TILEPROP INT_R_X37Y142 SLR_REGION_ID 0 TILEPROP INT_R_X37Y142 TILE_PATTERN_IDX 3847 TILEPROP INT_R_X37Y142 TILE_TYPE INT_R TILEPROP INT_R_X37Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y142 TILE_X 70734 TILEPROP INT_R_X37Y142 TILE_Y 218296 TILEPROP INT_R_X37Y142 TYPE INT_R TILEPROP INT_R_X37Y143 CLASS tile TILEPROP INT_R_X37Y143 COLUMN 93 TILEPROP INT_R_X37Y143 DEVICE_ID 0 TILEPROP INT_R_X37Y143 FIRST_SITE_ID 718 TILEPROP INT_R_X37Y143 GRID_POINT_X 93 TILEPROP INT_R_X37Y143 GRID_POINT_Y 7 TILEPROP INT_R_X37Y143 INDEX 898 TILEPROP INT_R_X37Y143 INT_TILE_X 37 TILEPROP INT_R_X37Y143 INT_TILE_Y 6 TILEPROP INT_R_X37Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y143 IS_DCM_TILE 0 TILEPROP INT_R_X37Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y143 NAME INT_R_X37Y143 TILEPROP INT_R_X37Y143 NUM_ARCS 3737 TILEPROP INT_R_X37Y143 NUM_SITES 1 TILEPROP INT_R_X37Y143 ROW 7 TILEPROP INT_R_X37Y143 SLR_REGION_ID 0 TILEPROP INT_R_X37Y143 TILE_PATTERN_IDX 3809 TILEPROP INT_R_X37Y143 TILE_TYPE INT_R TILEPROP INT_R_X37Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y143 TILE_X 70734 TILEPROP INT_R_X37Y143 TILE_Y 221496 TILEPROP INT_R_X37Y143 TYPE INT_R TILEPROP INT_R_X37Y144 CLASS tile TILEPROP INT_R_X37Y144 COLUMN 93 TILEPROP INT_R_X37Y144 DEVICE_ID 0 TILEPROP INT_R_X37Y144 FIRST_SITE_ID 607 TILEPROP INT_R_X37Y144 GRID_POINT_X 93 TILEPROP INT_R_X37Y144 GRID_POINT_Y 6 TILEPROP INT_R_X37Y144 INDEX 783 TILEPROP INT_R_X37Y144 INT_TILE_X 37 TILEPROP INT_R_X37Y144 INT_TILE_Y 5 TILEPROP INT_R_X37Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y144 IS_DCM_TILE 0 TILEPROP INT_R_X37Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y144 NAME INT_R_X37Y144 TILEPROP INT_R_X37Y144 NUM_ARCS 3737 TILEPROP INT_R_X37Y144 NUM_SITES 1 TILEPROP INT_R_X37Y144 ROW 6 TILEPROP INT_R_X37Y144 SLR_REGION_ID 0 TILEPROP INT_R_X37Y144 TILE_PATTERN_IDX 3770 TILEPROP INT_R_X37Y144 TILE_TYPE INT_R TILEPROP INT_R_X37Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y144 TILE_X 70734 TILEPROP INT_R_X37Y144 TILE_Y 224696 TILEPROP INT_R_X37Y144 TYPE INT_R TILEPROP INT_R_X37Y145 CLASS tile TILEPROP INT_R_X37Y145 COLUMN 93 TILEPROP INT_R_X37Y145 DEVICE_ID 0 TILEPROP INT_R_X37Y145 FIRST_SITE_ID 511 TILEPROP INT_R_X37Y145 GRID_POINT_X 93 TILEPROP INT_R_X37Y145 GRID_POINT_Y 5 TILEPROP INT_R_X37Y145 INDEX 668 TILEPROP INT_R_X37Y145 INT_TILE_X 37 TILEPROP INT_R_X37Y145 INT_TILE_Y 4 TILEPROP INT_R_X37Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y145 IS_DCM_TILE 0 TILEPROP INT_R_X37Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y145 NAME INT_R_X37Y145 TILEPROP INT_R_X37Y145 NUM_ARCS 3737 TILEPROP INT_R_X37Y145 NUM_SITES 1 TILEPROP INT_R_X37Y145 ROW 5 TILEPROP INT_R_X37Y145 SLR_REGION_ID 0 TILEPROP INT_R_X37Y145 TILE_PATTERN_IDX 3732 TILEPROP INT_R_X37Y145 TILE_TYPE INT_R TILEPROP INT_R_X37Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y145 TILE_X 70734 TILEPROP INT_R_X37Y145 TILE_Y 227896 TILEPROP INT_R_X37Y145 TYPE INT_R TILEPROP INT_R_X37Y146 CLASS tile TILEPROP INT_R_X37Y146 COLUMN 93 TILEPROP INT_R_X37Y146 DEVICE_ID 0 TILEPROP INT_R_X37Y146 FIRST_SITE_ID 395 TILEPROP INT_R_X37Y146 GRID_POINT_X 93 TILEPROP INT_R_X37Y146 GRID_POINT_Y 4 TILEPROP INT_R_X37Y146 INDEX 553 TILEPROP INT_R_X37Y146 INT_TILE_X 37 TILEPROP INT_R_X37Y146 INT_TILE_Y 3 TILEPROP INT_R_X37Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y146 IS_DCM_TILE 0 TILEPROP INT_R_X37Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y146 NAME INT_R_X37Y146 TILEPROP INT_R_X37Y146 NUM_ARCS 3737 TILEPROP INT_R_X37Y146 NUM_SITES 1 TILEPROP INT_R_X37Y146 ROW 4 TILEPROP INT_R_X37Y146 SLR_REGION_ID 0 TILEPROP INT_R_X37Y146 TILE_PATTERN_IDX 3693 TILEPROP INT_R_X37Y146 TILE_TYPE INT_R TILEPROP INT_R_X37Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y146 TILE_X 70734 TILEPROP INT_R_X37Y146 TILE_Y 231096 TILEPROP INT_R_X37Y146 TYPE INT_R TILEPROP INT_R_X37Y147 CLASS tile TILEPROP INT_R_X37Y147 COLUMN 93 TILEPROP INT_R_X37Y147 DEVICE_ID 0 TILEPROP INT_R_X37Y147 FIRST_SITE_ID 299 TILEPROP INT_R_X37Y147 GRID_POINT_X 93 TILEPROP INT_R_X37Y147 GRID_POINT_Y 3 TILEPROP INT_R_X37Y147 INDEX 438 TILEPROP INT_R_X37Y147 INT_TILE_X 37 TILEPROP INT_R_X37Y147 INT_TILE_Y 2 TILEPROP INT_R_X37Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y147 IS_DCM_TILE 0 TILEPROP INT_R_X37Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y147 NAME INT_R_X37Y147 TILEPROP INT_R_X37Y147 NUM_ARCS 3737 TILEPROP INT_R_X37Y147 NUM_SITES 1 TILEPROP INT_R_X37Y147 ROW 3 TILEPROP INT_R_X37Y147 SLR_REGION_ID 0 TILEPROP INT_R_X37Y147 TILE_PATTERN_IDX 3655 TILEPROP INT_R_X37Y147 TILE_TYPE INT_R TILEPROP INT_R_X37Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y147 TILE_X 70734 TILEPROP INT_R_X37Y147 TILE_Y 234296 TILEPROP INT_R_X37Y147 TYPE INT_R TILEPROP INT_R_X37Y148 CLASS tile TILEPROP INT_R_X37Y148 COLUMN 93 TILEPROP INT_R_X37Y148 DEVICE_ID 0 TILEPROP INT_R_X37Y148 FIRST_SITE_ID 195 TILEPROP INT_R_X37Y148 GRID_POINT_X 93 TILEPROP INT_R_X37Y148 GRID_POINT_Y 2 TILEPROP INT_R_X37Y148 INDEX 323 TILEPROP INT_R_X37Y148 INT_TILE_X 37 TILEPROP INT_R_X37Y148 INT_TILE_Y 1 TILEPROP INT_R_X37Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y148 IS_DCM_TILE 0 TILEPROP INT_R_X37Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y148 NAME INT_R_X37Y148 TILEPROP INT_R_X37Y148 NUM_ARCS 3737 TILEPROP INT_R_X37Y148 NUM_SITES 1 TILEPROP INT_R_X37Y148 ROW 2 TILEPROP INT_R_X37Y148 SLR_REGION_ID 0 TILEPROP INT_R_X37Y148 TILE_PATTERN_IDX 3616 TILEPROP INT_R_X37Y148 TILE_TYPE INT_R TILEPROP INT_R_X37Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y148 TILE_X 70734 TILEPROP INT_R_X37Y148 TILE_Y 237496 TILEPROP INT_R_X37Y148 TYPE INT_R TILEPROP INT_R_X37Y149 CLASS tile TILEPROP INT_R_X37Y149 COLUMN 93 TILEPROP INT_R_X37Y149 DEVICE_ID 0 TILEPROP INT_R_X37Y149 FIRST_SITE_ID 99 TILEPROP INT_R_X37Y149 GRID_POINT_X 93 TILEPROP INT_R_X37Y149 GRID_POINT_Y 1 TILEPROP INT_R_X37Y149 INDEX 208 TILEPROP INT_R_X37Y149 INT_TILE_X 37 TILEPROP INT_R_X37Y149 INT_TILE_Y 0 TILEPROP INT_R_X37Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X37Y149 IS_DCM_TILE 0 TILEPROP INT_R_X37Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X37Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X37Y149 NAME INT_R_X37Y149 TILEPROP INT_R_X37Y149 NUM_ARCS 3737 TILEPROP INT_R_X37Y149 NUM_SITES 1 TILEPROP INT_R_X37Y149 ROW 1 TILEPROP INT_R_X37Y149 SLR_REGION_ID 0 TILEPROP INT_R_X37Y149 TILE_PATTERN_IDX 3578 TILEPROP INT_R_X37Y149 TILE_TYPE INT_R TILEPROP INT_R_X37Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X37Y149 TILE_X 70734 TILEPROP INT_R_X37Y149 TILE_Y 240696 TILEPROP INT_R_X37Y149 TYPE INT_R TILEPROP INT_R_X39Y0 CLASS tile TILEPROP INT_R_X39Y0 COLUMN 99 TILEPROP INT_R_X39Y0 DEVICE_ID 0 TILEPROP INT_R_X39Y0 FIRST_SITE_ID 15802 TILEPROP INT_R_X39Y0 GRID_POINT_X 99 TILEPROP INT_R_X39Y0 GRID_POINT_Y 155 TILEPROP INT_R_X39Y0 INDEX 17924 TILEPROP INT_R_X39Y0 INT_TILE_X 39 TILEPROP INT_R_X39Y0 INT_TILE_Y 149 TILEPROP INT_R_X39Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y0 IS_DCM_TILE 0 TILEPROP INT_R_X39Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y0 NAME INT_R_X39Y0 TILEPROP INT_R_X39Y0 NUM_ARCS 3737 TILEPROP INT_R_X39Y0 NUM_SITES 1 TILEPROP INT_R_X39Y0 ROW 155 TILEPROP INT_R_X39Y0 SLR_REGION_ID 0 TILEPROP INT_R_X39Y0 TILE_PATTERN_IDX 3533 TILEPROP INT_R_X39Y0 TILE_TYPE INT_R TILEPROP INT_R_X39Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y0 TILE_X 82846 TILEPROP INT_R_X39Y0 TILE_Y -239672 TILEPROP INT_R_X39Y0 TYPE INT_R TILEPROP INT_R_X39Y1 CLASS tile TILEPROP INT_R_X39Y1 COLUMN 99 TILEPROP INT_R_X39Y1 DEVICE_ID 0 TILEPROP INT_R_X39Y1 FIRST_SITE_ID 15683 TILEPROP INT_R_X39Y1 GRID_POINT_X 99 TILEPROP INT_R_X39Y1 GRID_POINT_Y 154 TILEPROP INT_R_X39Y1 INDEX 17809 TILEPROP INT_R_X39Y1 INT_TILE_X 39 TILEPROP INT_R_X39Y1 INT_TILE_Y 148 TILEPROP INT_R_X39Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y1 IS_DCM_TILE 0 TILEPROP INT_R_X39Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y1 NAME INT_R_X39Y1 TILEPROP INT_R_X39Y1 NUM_ARCS 3737 TILEPROP INT_R_X39Y1 NUM_SITES 1 TILEPROP INT_R_X39Y1 ROW 154 TILEPROP INT_R_X39Y1 SLR_REGION_ID 0 TILEPROP INT_R_X39Y1 TILE_PATTERN_IDX 3491 TILEPROP INT_R_X39Y1 TILE_TYPE INT_R TILEPROP INT_R_X39Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y1 TILE_X 82846 TILEPROP INT_R_X39Y1 TILE_Y -236472 TILEPROP INT_R_X39Y1 TYPE INT_R TILEPROP INT_R_X39Y2 CLASS tile TILEPROP INT_R_X39Y2 COLUMN 99 TILEPROP INT_R_X39Y2 DEVICE_ID 0 TILEPROP INT_R_X39Y2 FIRST_SITE_ID 15582 TILEPROP INT_R_X39Y2 GRID_POINT_X 99 TILEPROP INT_R_X39Y2 GRID_POINT_Y 153 TILEPROP INT_R_X39Y2 INDEX 17694 TILEPROP INT_R_X39Y2 INT_TILE_X 39 TILEPROP INT_R_X39Y2 INT_TILE_Y 147 TILEPROP INT_R_X39Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y2 IS_DCM_TILE 0 TILEPROP INT_R_X39Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y2 NAME INT_R_X39Y2 TILEPROP INT_R_X39Y2 NUM_ARCS 3737 TILEPROP INT_R_X39Y2 NUM_SITES 1 TILEPROP INT_R_X39Y2 ROW 153 TILEPROP INT_R_X39Y2 SLR_REGION_ID 0 TILEPROP INT_R_X39Y2 TILE_PATTERN_IDX 3454 TILEPROP INT_R_X39Y2 TILE_TYPE INT_R TILEPROP INT_R_X39Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y2 TILE_X 82846 TILEPROP INT_R_X39Y2 TILE_Y -233272 TILEPROP INT_R_X39Y2 TYPE INT_R TILEPROP INT_R_X39Y3 CLASS tile TILEPROP INT_R_X39Y3 COLUMN 99 TILEPROP INT_R_X39Y3 DEVICE_ID 0 TILEPROP INT_R_X39Y3 FIRST_SITE_ID 15482 TILEPROP INT_R_X39Y3 GRID_POINT_X 99 TILEPROP INT_R_X39Y3 GRID_POINT_Y 152 TILEPROP INT_R_X39Y3 INDEX 17579 TILEPROP INT_R_X39Y3 INT_TILE_X 39 TILEPROP INT_R_X39Y3 INT_TILE_Y 146 TILEPROP INT_R_X39Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y3 IS_DCM_TILE 0 TILEPROP INT_R_X39Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y3 NAME INT_R_X39Y3 TILEPROP INT_R_X39Y3 NUM_ARCS 3737 TILEPROP INT_R_X39Y3 NUM_SITES 1 TILEPROP INT_R_X39Y3 ROW 152 TILEPROP INT_R_X39Y3 SLR_REGION_ID 0 TILEPROP INT_R_X39Y3 TILE_PATTERN_IDX 3417 TILEPROP INT_R_X39Y3 TILE_TYPE INT_R TILEPROP INT_R_X39Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y3 TILE_X 82846 TILEPROP INT_R_X39Y3 TILE_Y -230072 TILEPROP INT_R_X39Y3 TYPE INT_R TILEPROP INT_R_X39Y4 CLASS tile TILEPROP INT_R_X39Y4 COLUMN 99 TILEPROP INT_R_X39Y4 DEVICE_ID 0 TILEPROP INT_R_X39Y4 FIRST_SITE_ID 15382 TILEPROP INT_R_X39Y4 GRID_POINT_X 99 TILEPROP INT_R_X39Y4 GRID_POINT_Y 151 TILEPROP INT_R_X39Y4 INDEX 17464 TILEPROP INT_R_X39Y4 INT_TILE_X 39 TILEPROP INT_R_X39Y4 INT_TILE_Y 145 TILEPROP INT_R_X39Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y4 IS_DCM_TILE 0 TILEPROP INT_R_X39Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y4 NAME INT_R_X39Y4 TILEPROP INT_R_X39Y4 NUM_ARCS 3737 TILEPROP INT_R_X39Y4 NUM_SITES 1 TILEPROP INT_R_X39Y4 ROW 151 TILEPROP INT_R_X39Y4 SLR_REGION_ID 0 TILEPROP INT_R_X39Y4 TILE_PATTERN_IDX 3381 TILEPROP INT_R_X39Y4 TILE_TYPE INT_R TILEPROP INT_R_X39Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y4 TILE_X 82846 TILEPROP INT_R_X39Y4 TILE_Y -226872 TILEPROP INT_R_X39Y4 TYPE INT_R TILEPROP INT_R_X39Y5 CLASS tile TILEPROP INT_R_X39Y5 COLUMN 99 TILEPROP INT_R_X39Y5 DEVICE_ID 0 TILEPROP INT_R_X39Y5 FIRST_SITE_ID 15282 TILEPROP INT_R_X39Y5 GRID_POINT_X 99 TILEPROP INT_R_X39Y5 GRID_POINT_Y 150 TILEPROP INT_R_X39Y5 INDEX 17349 TILEPROP INT_R_X39Y5 INT_TILE_X 39 TILEPROP INT_R_X39Y5 INT_TILE_Y 144 TILEPROP INT_R_X39Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y5 IS_DCM_TILE 0 TILEPROP INT_R_X39Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y5 NAME INT_R_X39Y5 TILEPROP INT_R_X39Y5 NUM_ARCS 3737 TILEPROP INT_R_X39Y5 NUM_SITES 1 TILEPROP INT_R_X39Y5 ROW 150 TILEPROP INT_R_X39Y5 SLR_REGION_ID 0 TILEPROP INT_R_X39Y5 TILE_PATTERN_IDX 3344 TILEPROP INT_R_X39Y5 TILE_TYPE INT_R TILEPROP INT_R_X39Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y5 TILE_X 82846 TILEPROP INT_R_X39Y5 TILE_Y -223672 TILEPROP INT_R_X39Y5 TYPE INT_R TILEPROP INT_R_X39Y6 CLASS tile TILEPROP INT_R_X39Y6 COLUMN 99 TILEPROP INT_R_X39Y6 DEVICE_ID 0 TILEPROP INT_R_X39Y6 FIRST_SITE_ID 15167 TILEPROP INT_R_X39Y6 GRID_POINT_X 99 TILEPROP INT_R_X39Y6 GRID_POINT_Y 149 TILEPROP INT_R_X39Y6 INDEX 17234 TILEPROP INT_R_X39Y6 INT_TILE_X 39 TILEPROP INT_R_X39Y6 INT_TILE_Y 143 TILEPROP INT_R_X39Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y6 IS_DCM_TILE 0 TILEPROP INT_R_X39Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y6 NAME INT_R_X39Y6 TILEPROP INT_R_X39Y6 NUM_ARCS 3737 TILEPROP INT_R_X39Y6 NUM_SITES 1 TILEPROP INT_R_X39Y6 ROW 149 TILEPROP INT_R_X39Y6 SLR_REGION_ID 0 TILEPROP INT_R_X39Y6 TILE_PATTERN_IDX 3306 TILEPROP INT_R_X39Y6 TILE_TYPE INT_R TILEPROP INT_R_X39Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y6 TILE_X 82846 TILEPROP INT_R_X39Y6 TILE_Y -220472 TILEPROP INT_R_X39Y6 TYPE INT_R TILEPROP INT_R_X39Y7 CLASS tile TILEPROP INT_R_X39Y7 COLUMN 99 TILEPROP INT_R_X39Y7 DEVICE_ID 0 TILEPROP INT_R_X39Y7 FIRST_SITE_ID 15065 TILEPROP INT_R_X39Y7 GRID_POINT_X 99 TILEPROP INT_R_X39Y7 GRID_POINT_Y 148 TILEPROP INT_R_X39Y7 INDEX 17119 TILEPROP INT_R_X39Y7 INT_TILE_X 39 TILEPROP INT_R_X39Y7 INT_TILE_Y 142 TILEPROP INT_R_X39Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y7 IS_DCM_TILE 0 TILEPROP INT_R_X39Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y7 NAME INT_R_X39Y7 TILEPROP INT_R_X39Y7 NUM_ARCS 3737 TILEPROP INT_R_X39Y7 NUM_SITES 1 TILEPROP INT_R_X39Y7 ROW 148 TILEPROP INT_R_X39Y7 SLR_REGION_ID 0 TILEPROP INT_R_X39Y7 TILE_PATTERN_IDX 3268 TILEPROP INT_R_X39Y7 TILE_TYPE INT_R TILEPROP INT_R_X39Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y7 TILE_X 82846 TILEPROP INT_R_X39Y7 TILE_Y -217272 TILEPROP INT_R_X39Y7 TYPE INT_R TILEPROP INT_R_X39Y8 CLASS tile TILEPROP INT_R_X39Y8 COLUMN 99 TILEPROP INT_R_X39Y8 DEVICE_ID 0 TILEPROP INT_R_X39Y8 FIRST_SITE_ID 14962 TILEPROP INT_R_X39Y8 GRID_POINT_X 99 TILEPROP INT_R_X39Y8 GRID_POINT_Y 147 TILEPROP INT_R_X39Y8 INDEX 17004 TILEPROP INT_R_X39Y8 INT_TILE_X 39 TILEPROP INT_R_X39Y8 INT_TILE_Y 141 TILEPROP INT_R_X39Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y8 IS_DCM_TILE 0 TILEPROP INT_R_X39Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y8 NAME INT_R_X39Y8 TILEPROP INT_R_X39Y8 NUM_ARCS 3737 TILEPROP INT_R_X39Y8 NUM_SITES 1 TILEPROP INT_R_X39Y8 ROW 147 TILEPROP INT_R_X39Y8 SLR_REGION_ID 0 TILEPROP INT_R_X39Y8 TILE_PATTERN_IDX 3231 TILEPROP INT_R_X39Y8 TILE_TYPE INT_R TILEPROP INT_R_X39Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y8 TILE_X 82846 TILEPROP INT_R_X39Y8 TILE_Y -214072 TILEPROP INT_R_X39Y8 TYPE INT_R TILEPROP INT_R_X39Y9 CLASS tile TILEPROP INT_R_X39Y9 COLUMN 99 TILEPROP INT_R_X39Y9 DEVICE_ID 0 TILEPROP INT_R_X39Y9 FIRST_SITE_ID 14861 TILEPROP INT_R_X39Y9 GRID_POINT_X 99 TILEPROP INT_R_X39Y9 GRID_POINT_Y 146 TILEPROP INT_R_X39Y9 INDEX 16889 TILEPROP INT_R_X39Y9 INT_TILE_X 39 TILEPROP INT_R_X39Y9 INT_TILE_Y 140 TILEPROP INT_R_X39Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y9 IS_DCM_TILE 0 TILEPROP INT_R_X39Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y9 NAME INT_R_X39Y9 TILEPROP INT_R_X39Y9 NUM_ARCS 3737 TILEPROP INT_R_X39Y9 NUM_SITES 1 TILEPROP INT_R_X39Y9 ROW 146 TILEPROP INT_R_X39Y9 SLR_REGION_ID 0 TILEPROP INT_R_X39Y9 TILE_PATTERN_IDX 3194 TILEPROP INT_R_X39Y9 TILE_TYPE INT_R TILEPROP INT_R_X39Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y9 TILE_X 82846 TILEPROP INT_R_X39Y9 TILE_Y -210872 TILEPROP INT_R_X39Y9 TYPE INT_R TILEPROP INT_R_X39Y10 CLASS tile TILEPROP INT_R_X39Y10 COLUMN 99 TILEPROP INT_R_X39Y10 DEVICE_ID 0 TILEPROP INT_R_X39Y10 FIRST_SITE_ID 14761 TILEPROP INT_R_X39Y10 GRID_POINT_X 99 TILEPROP INT_R_X39Y10 GRID_POINT_Y 145 TILEPROP INT_R_X39Y10 INDEX 16774 TILEPROP INT_R_X39Y10 INT_TILE_X 39 TILEPROP INT_R_X39Y10 INT_TILE_Y 139 TILEPROP INT_R_X39Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y10 IS_DCM_TILE 0 TILEPROP INT_R_X39Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y10 NAME INT_R_X39Y10 TILEPROP INT_R_X39Y10 NUM_ARCS 3737 TILEPROP INT_R_X39Y10 NUM_SITES 1 TILEPROP INT_R_X39Y10 ROW 145 TILEPROP INT_R_X39Y10 SLR_REGION_ID 0 TILEPROP INT_R_X39Y10 TILE_PATTERN_IDX 3159 TILEPROP INT_R_X39Y10 TILE_TYPE INT_R TILEPROP INT_R_X39Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y10 TILE_X 82846 TILEPROP INT_R_X39Y10 TILE_Y -207672 TILEPROP INT_R_X39Y10 TYPE INT_R TILEPROP INT_R_X39Y11 CLASS tile TILEPROP INT_R_X39Y11 COLUMN 99 TILEPROP INT_R_X39Y11 DEVICE_ID 0 TILEPROP INT_R_X39Y11 FIRST_SITE_ID 14646 TILEPROP INT_R_X39Y11 GRID_POINT_X 99 TILEPROP INT_R_X39Y11 GRID_POINT_Y 144 TILEPROP INT_R_X39Y11 INDEX 16659 TILEPROP INT_R_X39Y11 INT_TILE_X 39 TILEPROP INT_R_X39Y11 INT_TILE_Y 138 TILEPROP INT_R_X39Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y11 IS_DCM_TILE 0 TILEPROP INT_R_X39Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y11 NAME INT_R_X39Y11 TILEPROP INT_R_X39Y11 NUM_ARCS 3737 TILEPROP INT_R_X39Y11 NUM_SITES 1 TILEPROP INT_R_X39Y11 ROW 144 TILEPROP INT_R_X39Y11 SLR_REGION_ID 0 TILEPROP INT_R_X39Y11 TILE_PATTERN_IDX 3121 TILEPROP INT_R_X39Y11 TILE_TYPE INT_R TILEPROP INT_R_X39Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y11 TILE_X 82846 TILEPROP INT_R_X39Y11 TILE_Y -204472 TILEPROP INT_R_X39Y11 TYPE INT_R TILEPROP INT_R_X39Y12 CLASS tile TILEPROP INT_R_X39Y12 COLUMN 99 TILEPROP INT_R_X39Y12 DEVICE_ID 0 TILEPROP INT_R_X39Y12 FIRST_SITE_ID 14546 TILEPROP INT_R_X39Y12 GRID_POINT_X 99 TILEPROP INT_R_X39Y12 GRID_POINT_Y 143 TILEPROP INT_R_X39Y12 INDEX 16544 TILEPROP INT_R_X39Y12 INT_TILE_X 39 TILEPROP INT_R_X39Y12 INT_TILE_Y 137 TILEPROP INT_R_X39Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y12 IS_DCM_TILE 0 TILEPROP INT_R_X39Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y12 NAME INT_R_X39Y12 TILEPROP INT_R_X39Y12 NUM_ARCS 3737 TILEPROP INT_R_X39Y12 NUM_SITES 1 TILEPROP INT_R_X39Y12 ROW 143 TILEPROP INT_R_X39Y12 SLR_REGION_ID 0 TILEPROP INT_R_X39Y12 TILE_PATTERN_IDX 3086 TILEPROP INT_R_X39Y12 TILE_TYPE INT_R TILEPROP INT_R_X39Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y12 TILE_X 82846 TILEPROP INT_R_X39Y12 TILE_Y -201272 TILEPROP INT_R_X39Y12 TYPE INT_R TILEPROP INT_R_X39Y13 CLASS tile TILEPROP INT_R_X39Y13 COLUMN 99 TILEPROP INT_R_X39Y13 DEVICE_ID 0 TILEPROP INT_R_X39Y13 FIRST_SITE_ID 14414 TILEPROP INT_R_X39Y13 GRID_POINT_X 99 TILEPROP INT_R_X39Y13 GRID_POINT_Y 142 TILEPROP INT_R_X39Y13 INDEX 16429 TILEPROP INT_R_X39Y13 INT_TILE_X 39 TILEPROP INT_R_X39Y13 INT_TILE_Y 136 TILEPROP INT_R_X39Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y13 IS_DCM_TILE 0 TILEPROP INT_R_X39Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y13 NAME INT_R_X39Y13 TILEPROP INT_R_X39Y13 NUM_ARCS 3737 TILEPROP INT_R_X39Y13 NUM_SITES 1 TILEPROP INT_R_X39Y13 ROW 142 TILEPROP INT_R_X39Y13 SLR_REGION_ID 0 TILEPROP INT_R_X39Y13 TILE_PATTERN_IDX 3049 TILEPROP INT_R_X39Y13 TILE_TYPE INT_R TILEPROP INT_R_X39Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y13 TILE_X 82846 TILEPROP INT_R_X39Y13 TILE_Y -198072 TILEPROP INT_R_X39Y13 TYPE INT_R TILEPROP INT_R_X39Y14 CLASS tile TILEPROP INT_R_X39Y14 COLUMN 99 TILEPROP INT_R_X39Y14 DEVICE_ID 0 TILEPROP INT_R_X39Y14 FIRST_SITE_ID 14314 TILEPROP INT_R_X39Y14 GRID_POINT_X 99 TILEPROP INT_R_X39Y14 GRID_POINT_Y 141 TILEPROP INT_R_X39Y14 INDEX 16314 TILEPROP INT_R_X39Y14 INT_TILE_X 39 TILEPROP INT_R_X39Y14 INT_TILE_Y 135 TILEPROP INT_R_X39Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y14 IS_DCM_TILE 0 TILEPROP INT_R_X39Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y14 NAME INT_R_X39Y14 TILEPROP INT_R_X39Y14 NUM_ARCS 3737 TILEPROP INT_R_X39Y14 NUM_SITES 1 TILEPROP INT_R_X39Y14 ROW 141 TILEPROP INT_R_X39Y14 SLR_REGION_ID 0 TILEPROP INT_R_X39Y14 TILE_PATTERN_IDX 3014 TILEPROP INT_R_X39Y14 TILE_TYPE INT_R TILEPROP INT_R_X39Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y14 TILE_X 82846 TILEPROP INT_R_X39Y14 TILE_Y -194872 TILEPROP INT_R_X39Y14 TYPE INT_R TILEPROP INT_R_X39Y15 CLASS tile TILEPROP INT_R_X39Y15 COLUMN 99 TILEPROP INT_R_X39Y15 DEVICE_ID 0 TILEPROP INT_R_X39Y15 FIRST_SITE_ID 14214 TILEPROP INT_R_X39Y15 GRID_POINT_X 99 TILEPROP INT_R_X39Y15 GRID_POINT_Y 140 TILEPROP INT_R_X39Y15 INDEX 16199 TILEPROP INT_R_X39Y15 INT_TILE_X 39 TILEPROP INT_R_X39Y15 INT_TILE_Y 134 TILEPROP INT_R_X39Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y15 IS_DCM_TILE 0 TILEPROP INT_R_X39Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y15 NAME INT_R_X39Y15 TILEPROP INT_R_X39Y15 NUM_ARCS 3737 TILEPROP INT_R_X39Y15 NUM_SITES 1 TILEPROP INT_R_X39Y15 ROW 140 TILEPROP INT_R_X39Y15 SLR_REGION_ID 0 TILEPROP INT_R_X39Y15 TILE_PATTERN_IDX 2978 TILEPROP INT_R_X39Y15 TILE_TYPE INT_R TILEPROP INT_R_X39Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y15 TILE_X 82846 TILEPROP INT_R_X39Y15 TILE_Y -191672 TILEPROP INT_R_X39Y15 TYPE INT_R TILEPROP INT_R_X39Y16 CLASS tile TILEPROP INT_R_X39Y16 COLUMN 99 TILEPROP INT_R_X39Y16 DEVICE_ID 0 TILEPROP INT_R_X39Y16 FIRST_SITE_ID 14099 TILEPROP INT_R_X39Y16 GRID_POINT_X 99 TILEPROP INT_R_X39Y16 GRID_POINT_Y 139 TILEPROP INT_R_X39Y16 INDEX 16084 TILEPROP INT_R_X39Y16 INT_TILE_X 39 TILEPROP INT_R_X39Y16 INT_TILE_Y 133 TILEPROP INT_R_X39Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y16 IS_DCM_TILE 0 TILEPROP INT_R_X39Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y16 NAME INT_R_X39Y16 TILEPROP INT_R_X39Y16 NUM_ARCS 3737 TILEPROP INT_R_X39Y16 NUM_SITES 1 TILEPROP INT_R_X39Y16 ROW 139 TILEPROP INT_R_X39Y16 SLR_REGION_ID 0 TILEPROP INT_R_X39Y16 TILE_PATTERN_IDX 2942 TILEPROP INT_R_X39Y16 TILE_TYPE INT_R TILEPROP INT_R_X39Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y16 TILE_X 82846 TILEPROP INT_R_X39Y16 TILE_Y -188472 TILEPROP INT_R_X39Y16 TYPE INT_R TILEPROP INT_R_X39Y17 CLASS tile TILEPROP INT_R_X39Y17 COLUMN 99 TILEPROP INT_R_X39Y17 DEVICE_ID 0 TILEPROP INT_R_X39Y17 FIRST_SITE_ID 13995 TILEPROP INT_R_X39Y17 GRID_POINT_X 99 TILEPROP INT_R_X39Y17 GRID_POINT_Y 138 TILEPROP INT_R_X39Y17 INDEX 15969 TILEPROP INT_R_X39Y17 INT_TILE_X 39 TILEPROP INT_R_X39Y17 INT_TILE_Y 132 TILEPROP INT_R_X39Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y17 IS_DCM_TILE 0 TILEPROP INT_R_X39Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y17 NAME INT_R_X39Y17 TILEPROP INT_R_X39Y17 NUM_ARCS 3737 TILEPROP INT_R_X39Y17 NUM_SITES 1 TILEPROP INT_R_X39Y17 ROW 138 TILEPROP INT_R_X39Y17 SLR_REGION_ID 0 TILEPROP INT_R_X39Y17 TILE_PATTERN_IDX 2905 TILEPROP INT_R_X39Y17 TILE_TYPE INT_R TILEPROP INT_R_X39Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y17 TILE_X 82846 TILEPROP INT_R_X39Y17 TILE_Y -185272 TILEPROP INT_R_X39Y17 TYPE INT_R TILEPROP INT_R_X39Y18 CLASS tile TILEPROP INT_R_X39Y18 COLUMN 99 TILEPROP INT_R_X39Y18 DEVICE_ID 0 TILEPROP INT_R_X39Y18 FIRST_SITE_ID 13890 TILEPROP INT_R_X39Y18 GRID_POINT_X 99 TILEPROP INT_R_X39Y18 GRID_POINT_Y 137 TILEPROP INT_R_X39Y18 INDEX 15854 TILEPROP INT_R_X39Y18 INT_TILE_X 39 TILEPROP INT_R_X39Y18 INT_TILE_Y 131 TILEPROP INT_R_X39Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y18 IS_DCM_TILE 0 TILEPROP INT_R_X39Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y18 NAME INT_R_X39Y18 TILEPROP INT_R_X39Y18 NUM_ARCS 3737 TILEPROP INT_R_X39Y18 NUM_SITES 1 TILEPROP INT_R_X39Y18 ROW 137 TILEPROP INT_R_X39Y18 SLR_REGION_ID 0 TILEPROP INT_R_X39Y18 TILE_PATTERN_IDX 2867 TILEPROP INT_R_X39Y18 TILE_TYPE INT_R TILEPROP INT_R_X39Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y18 TILE_X 82846 TILEPROP INT_R_X39Y18 TILE_Y -182072 TILEPROP INT_R_X39Y18 TYPE INT_R TILEPROP INT_R_X39Y19 CLASS tile TILEPROP INT_R_X39Y19 COLUMN 99 TILEPROP INT_R_X39Y19 DEVICE_ID 0 TILEPROP INT_R_X39Y19 FIRST_SITE_ID 13788 TILEPROP INT_R_X39Y19 GRID_POINT_X 99 TILEPROP INT_R_X39Y19 GRID_POINT_Y 136 TILEPROP INT_R_X39Y19 INDEX 15739 TILEPROP INT_R_X39Y19 INT_TILE_X 39 TILEPROP INT_R_X39Y19 INT_TILE_Y 130 TILEPROP INT_R_X39Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y19 IS_DCM_TILE 0 TILEPROP INT_R_X39Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y19 NAME INT_R_X39Y19 TILEPROP INT_R_X39Y19 NUM_ARCS 3737 TILEPROP INT_R_X39Y19 NUM_SITES 1 TILEPROP INT_R_X39Y19 ROW 136 TILEPROP INT_R_X39Y19 SLR_REGION_ID 0 TILEPROP INT_R_X39Y19 TILE_PATTERN_IDX 2830 TILEPROP INT_R_X39Y19 TILE_TYPE INT_R TILEPROP INT_R_X39Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y19 TILE_X 82846 TILEPROP INT_R_X39Y19 TILE_Y -178872 TILEPROP INT_R_X39Y19 TYPE INT_R TILEPROP INT_R_X39Y20 CLASS tile TILEPROP INT_R_X39Y20 COLUMN 99 TILEPROP INT_R_X39Y20 DEVICE_ID 0 TILEPROP INT_R_X39Y20 FIRST_SITE_ID 13686 TILEPROP INT_R_X39Y20 GRID_POINT_X 99 TILEPROP INT_R_X39Y20 GRID_POINT_Y 135 TILEPROP INT_R_X39Y20 INDEX 15624 TILEPROP INT_R_X39Y20 INT_TILE_X 39 TILEPROP INT_R_X39Y20 INT_TILE_Y 129 TILEPROP INT_R_X39Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y20 IS_DCM_TILE 0 TILEPROP INT_R_X39Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y20 NAME INT_R_X39Y20 TILEPROP INT_R_X39Y20 NUM_ARCS 3737 TILEPROP INT_R_X39Y20 NUM_SITES 1 TILEPROP INT_R_X39Y20 ROW 135 TILEPROP INT_R_X39Y20 SLR_REGION_ID 0 TILEPROP INT_R_X39Y20 TILE_PATTERN_IDX 2795 TILEPROP INT_R_X39Y20 TILE_TYPE INT_R TILEPROP INT_R_X39Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y20 TILE_X 82846 TILEPROP INT_R_X39Y20 TILE_Y -175672 TILEPROP INT_R_X39Y20 TYPE INT_R TILEPROP INT_R_X39Y21 CLASS tile TILEPROP INT_R_X39Y21 COLUMN 99 TILEPROP INT_R_X39Y21 DEVICE_ID 0 TILEPROP INT_R_X39Y21 FIRST_SITE_ID 13571 TILEPROP INT_R_X39Y21 GRID_POINT_X 99 TILEPROP INT_R_X39Y21 GRID_POINT_Y 134 TILEPROP INT_R_X39Y21 INDEX 15509 TILEPROP INT_R_X39Y21 INT_TILE_X 39 TILEPROP INT_R_X39Y21 INT_TILE_Y 128 TILEPROP INT_R_X39Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y21 IS_DCM_TILE 0 TILEPROP INT_R_X39Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y21 NAME INT_R_X39Y21 TILEPROP INT_R_X39Y21 NUM_ARCS 3737 TILEPROP INT_R_X39Y21 NUM_SITES 1 TILEPROP INT_R_X39Y21 ROW 134 TILEPROP INT_R_X39Y21 SLR_REGION_ID 0 TILEPROP INT_R_X39Y21 TILE_PATTERN_IDX 2758 TILEPROP INT_R_X39Y21 TILE_TYPE INT_R TILEPROP INT_R_X39Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y21 TILE_X 82846 TILEPROP INT_R_X39Y21 TILE_Y -172472 TILEPROP INT_R_X39Y21 TYPE INT_R TILEPROP INT_R_X39Y22 CLASS tile TILEPROP INT_R_X39Y22 COLUMN 99 TILEPROP INT_R_X39Y22 DEVICE_ID 0 TILEPROP INT_R_X39Y22 FIRST_SITE_ID 13471 TILEPROP INT_R_X39Y22 GRID_POINT_X 99 TILEPROP INT_R_X39Y22 GRID_POINT_Y 133 TILEPROP INT_R_X39Y22 INDEX 15394 TILEPROP INT_R_X39Y22 INT_TILE_X 39 TILEPROP INT_R_X39Y22 INT_TILE_Y 127 TILEPROP INT_R_X39Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y22 IS_DCM_TILE 0 TILEPROP INT_R_X39Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y22 NAME INT_R_X39Y22 TILEPROP INT_R_X39Y22 NUM_ARCS 3737 TILEPROP INT_R_X39Y22 NUM_SITES 1 TILEPROP INT_R_X39Y22 ROW 133 TILEPROP INT_R_X39Y22 SLR_REGION_ID 0 TILEPROP INT_R_X39Y22 TILE_PATTERN_IDX 2722 TILEPROP INT_R_X39Y22 TILE_TYPE INT_R TILEPROP INT_R_X39Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y22 TILE_X 82846 TILEPROP INT_R_X39Y22 TILE_Y -169272 TILEPROP INT_R_X39Y22 TYPE INT_R TILEPROP INT_R_X39Y23 CLASS tile TILEPROP INT_R_X39Y23 COLUMN 99 TILEPROP INT_R_X39Y23 DEVICE_ID 0 TILEPROP INT_R_X39Y23 FIRST_SITE_ID 13371 TILEPROP INT_R_X39Y23 GRID_POINT_X 99 TILEPROP INT_R_X39Y23 GRID_POINT_Y 132 TILEPROP INT_R_X39Y23 INDEX 15279 TILEPROP INT_R_X39Y23 INT_TILE_X 39 TILEPROP INT_R_X39Y23 INT_TILE_Y 126 TILEPROP INT_R_X39Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y23 IS_DCM_TILE 0 TILEPROP INT_R_X39Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y23 NAME INT_R_X39Y23 TILEPROP INT_R_X39Y23 NUM_ARCS 3737 TILEPROP INT_R_X39Y23 NUM_SITES 1 TILEPROP INT_R_X39Y23 ROW 132 TILEPROP INT_R_X39Y23 SLR_REGION_ID 0 TILEPROP INT_R_X39Y23 TILE_PATTERN_IDX 2685 TILEPROP INT_R_X39Y23 TILE_TYPE INT_R TILEPROP INT_R_X39Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y23 TILE_X 82846 TILEPROP INT_R_X39Y23 TILE_Y -166072 TILEPROP INT_R_X39Y23 TYPE INT_R TILEPROP INT_R_X39Y24 CLASS tile TILEPROP INT_R_X39Y24 COLUMN 99 TILEPROP INT_R_X39Y24 DEVICE_ID 0 TILEPROP INT_R_X39Y24 FIRST_SITE_ID 13271 TILEPROP INT_R_X39Y24 GRID_POINT_X 99 TILEPROP INT_R_X39Y24 GRID_POINT_Y 131 TILEPROP INT_R_X39Y24 INDEX 15164 TILEPROP INT_R_X39Y24 INT_TILE_X 39 TILEPROP INT_R_X39Y24 INT_TILE_Y 125 TILEPROP INT_R_X39Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y24 IS_DCM_TILE 0 TILEPROP INT_R_X39Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y24 NAME INT_R_X39Y24 TILEPROP INT_R_X39Y24 NUM_ARCS 3737 TILEPROP INT_R_X39Y24 NUM_SITES 1 TILEPROP INT_R_X39Y24 ROW 131 TILEPROP INT_R_X39Y24 SLR_REGION_ID 0 TILEPROP INT_R_X39Y24 TILE_PATTERN_IDX 2649 TILEPROP INT_R_X39Y24 TILE_TYPE INT_R TILEPROP INT_R_X39Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y24 TILE_X 82846 TILEPROP INT_R_X39Y24 TILE_Y -162872 TILEPROP INT_R_X39Y24 TYPE INT_R TILEPROP INT_R_X39Y25 CLASS tile TILEPROP INT_R_X39Y25 COLUMN 99 TILEPROP INT_R_X39Y25 DEVICE_ID 0 TILEPROP INT_R_X39Y25 FIRST_SITE_ID 13088 TILEPROP INT_R_X39Y25 GRID_POINT_X 99 TILEPROP INT_R_X39Y25 GRID_POINT_Y 129 TILEPROP INT_R_X39Y25 INDEX 14934 TILEPROP INT_R_X39Y25 INT_TILE_X 39 TILEPROP INT_R_X39Y25 INT_TILE_Y 124 TILEPROP INT_R_X39Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y25 IS_DCM_TILE 0 TILEPROP INT_R_X39Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y25 NAME INT_R_X39Y25 TILEPROP INT_R_X39Y25 NUM_ARCS 3737 TILEPROP INT_R_X39Y25 NUM_SITES 1 TILEPROP INT_R_X39Y25 ROW 129 TILEPROP INT_R_X39Y25 SLR_REGION_ID 0 TILEPROP INT_R_X39Y25 TILE_PATTERN_IDX 2574 TILEPROP INT_R_X39Y25 TILE_TYPE INT_R TILEPROP INT_R_X39Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y25 TILE_X 82846 TILEPROP INT_R_X39Y25 TILE_Y -158648 TILEPROP INT_R_X39Y25 TYPE INT_R TILEPROP INT_R_X39Y26 CLASS tile TILEPROP INT_R_X39Y26 COLUMN 99 TILEPROP INT_R_X39Y26 DEVICE_ID 0 TILEPROP INT_R_X39Y26 FIRST_SITE_ID 12973 TILEPROP INT_R_X39Y26 GRID_POINT_X 99 TILEPROP INT_R_X39Y26 GRID_POINT_Y 128 TILEPROP INT_R_X39Y26 INDEX 14819 TILEPROP INT_R_X39Y26 INT_TILE_X 39 TILEPROP INT_R_X39Y26 INT_TILE_Y 123 TILEPROP INT_R_X39Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y26 IS_DCM_TILE 0 TILEPROP INT_R_X39Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y26 NAME INT_R_X39Y26 TILEPROP INT_R_X39Y26 NUM_ARCS 3737 TILEPROP INT_R_X39Y26 NUM_SITES 1 TILEPROP INT_R_X39Y26 ROW 128 TILEPROP INT_R_X39Y26 SLR_REGION_ID 0 TILEPROP INT_R_X39Y26 TILE_PATTERN_IDX 2537 TILEPROP INT_R_X39Y26 TILE_TYPE INT_R TILEPROP INT_R_X39Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y26 TILE_X 82846 TILEPROP INT_R_X39Y26 TILE_Y -155448 TILEPROP INT_R_X39Y26 TYPE INT_R TILEPROP INT_R_X39Y27 CLASS tile TILEPROP INT_R_X39Y27 COLUMN 99 TILEPROP INT_R_X39Y27 DEVICE_ID 0 TILEPROP INT_R_X39Y27 FIRST_SITE_ID 12873 TILEPROP INT_R_X39Y27 GRID_POINT_X 99 TILEPROP INT_R_X39Y27 GRID_POINT_Y 127 TILEPROP INT_R_X39Y27 INDEX 14704 TILEPROP INT_R_X39Y27 INT_TILE_X 39 TILEPROP INT_R_X39Y27 INT_TILE_Y 122 TILEPROP INT_R_X39Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y27 IS_DCM_TILE 0 TILEPROP INT_R_X39Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y27 NAME INT_R_X39Y27 TILEPROP INT_R_X39Y27 NUM_ARCS 3737 TILEPROP INT_R_X39Y27 NUM_SITES 1 TILEPROP INT_R_X39Y27 ROW 127 TILEPROP INT_R_X39Y27 SLR_REGION_ID 0 TILEPROP INT_R_X39Y27 TILE_PATTERN_IDX 2500 TILEPROP INT_R_X39Y27 TILE_TYPE INT_R TILEPROP INT_R_X39Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y27 TILE_X 82846 TILEPROP INT_R_X39Y27 TILE_Y -152248 TILEPROP INT_R_X39Y27 TYPE INT_R TILEPROP INT_R_X39Y28 CLASS tile TILEPROP INT_R_X39Y28 COLUMN 99 TILEPROP INT_R_X39Y28 DEVICE_ID 0 TILEPROP INT_R_X39Y28 FIRST_SITE_ID 12773 TILEPROP INT_R_X39Y28 GRID_POINT_X 99 TILEPROP INT_R_X39Y28 GRID_POINT_Y 126 TILEPROP INT_R_X39Y28 INDEX 14589 TILEPROP INT_R_X39Y28 INT_TILE_X 39 TILEPROP INT_R_X39Y28 INT_TILE_Y 121 TILEPROP INT_R_X39Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y28 IS_DCM_TILE 0 TILEPROP INT_R_X39Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y28 NAME INT_R_X39Y28 TILEPROP INT_R_X39Y28 NUM_ARCS 3737 TILEPROP INT_R_X39Y28 NUM_SITES 1 TILEPROP INT_R_X39Y28 ROW 126 TILEPROP INT_R_X39Y28 SLR_REGION_ID 0 TILEPROP INT_R_X39Y28 TILE_PATTERN_IDX 2464 TILEPROP INT_R_X39Y28 TILE_TYPE INT_R TILEPROP INT_R_X39Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y28 TILE_X 82846 TILEPROP INT_R_X39Y28 TILE_Y -149048 TILEPROP INT_R_X39Y28 TYPE INT_R TILEPROP INT_R_X39Y29 CLASS tile TILEPROP INT_R_X39Y29 COLUMN 99 TILEPROP INT_R_X39Y29 DEVICE_ID 0 TILEPROP INT_R_X39Y29 FIRST_SITE_ID 12667 TILEPROP INT_R_X39Y29 GRID_POINT_X 99 TILEPROP INT_R_X39Y29 GRID_POINT_Y 125 TILEPROP INT_R_X39Y29 INDEX 14474 TILEPROP INT_R_X39Y29 INT_TILE_X 39 TILEPROP INT_R_X39Y29 INT_TILE_Y 120 TILEPROP INT_R_X39Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y29 IS_DCM_TILE 0 TILEPROP INT_R_X39Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y29 NAME INT_R_X39Y29 TILEPROP INT_R_X39Y29 NUM_ARCS 3737 TILEPROP INT_R_X39Y29 NUM_SITES 1 TILEPROP INT_R_X39Y29 ROW 125 TILEPROP INT_R_X39Y29 SLR_REGION_ID 0 TILEPROP INT_R_X39Y29 TILE_PATTERN_IDX 2426 TILEPROP INT_R_X39Y29 TILE_TYPE INT_R TILEPROP INT_R_X39Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y29 TILE_X 82846 TILEPROP INT_R_X39Y29 TILE_Y -145848 TILEPROP INT_R_X39Y29 TYPE INT_R TILEPROP INT_R_X39Y30 CLASS tile TILEPROP INT_R_X39Y30 COLUMN 99 TILEPROP INT_R_X39Y30 DEVICE_ID 0 TILEPROP INT_R_X39Y30 FIRST_SITE_ID 12561 TILEPROP INT_R_X39Y30 GRID_POINT_X 99 TILEPROP INT_R_X39Y30 GRID_POINT_Y 124 TILEPROP INT_R_X39Y30 INDEX 14359 TILEPROP INT_R_X39Y30 INT_TILE_X 39 TILEPROP INT_R_X39Y30 INT_TILE_Y 119 TILEPROP INT_R_X39Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y30 IS_DCM_TILE 0 TILEPROP INT_R_X39Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y30 NAME INT_R_X39Y30 TILEPROP INT_R_X39Y30 NUM_ARCS 3737 TILEPROP INT_R_X39Y30 NUM_SITES 1 TILEPROP INT_R_X39Y30 ROW 124 TILEPROP INT_R_X39Y30 SLR_REGION_ID 0 TILEPROP INT_R_X39Y30 TILE_PATTERN_IDX 2390 TILEPROP INT_R_X39Y30 TILE_TYPE INT_R TILEPROP INT_R_X39Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y30 TILE_X 82846 TILEPROP INT_R_X39Y30 TILE_Y -142648 TILEPROP INT_R_X39Y30 TYPE INT_R TILEPROP INT_R_X39Y31 CLASS tile TILEPROP INT_R_X39Y31 COLUMN 99 TILEPROP INT_R_X39Y31 DEVICE_ID 0 TILEPROP INT_R_X39Y31 FIRST_SITE_ID 12431 TILEPROP INT_R_X39Y31 GRID_POINT_X 99 TILEPROP INT_R_X39Y31 GRID_POINT_Y 123 TILEPROP INT_R_X39Y31 INDEX 14244 TILEPROP INT_R_X39Y31 INT_TILE_X 39 TILEPROP INT_R_X39Y31 INT_TILE_Y 118 TILEPROP INT_R_X39Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y31 IS_DCM_TILE 0 TILEPROP INT_R_X39Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y31 NAME INT_R_X39Y31 TILEPROP INT_R_X39Y31 NUM_ARCS 3737 TILEPROP INT_R_X39Y31 NUM_SITES 1 TILEPROP INT_R_X39Y31 ROW 123 TILEPROP INT_R_X39Y31 SLR_REGION_ID 0 TILEPROP INT_R_X39Y31 TILE_PATTERN_IDX 2351 TILEPROP INT_R_X39Y31 TILE_TYPE INT_R TILEPROP INT_R_X39Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y31 TILE_X 82846 TILEPROP INT_R_X39Y31 TILE_Y -139448 TILEPROP INT_R_X39Y31 TYPE INT_R TILEPROP INT_R_X39Y32 CLASS tile TILEPROP INT_R_X39Y32 COLUMN 99 TILEPROP INT_R_X39Y32 DEVICE_ID 0 TILEPROP INT_R_X39Y32 FIRST_SITE_ID 12329 TILEPROP INT_R_X39Y32 GRID_POINT_X 99 TILEPROP INT_R_X39Y32 GRID_POINT_Y 122 TILEPROP INT_R_X39Y32 INDEX 14129 TILEPROP INT_R_X39Y32 INT_TILE_X 39 TILEPROP INT_R_X39Y32 INT_TILE_Y 117 TILEPROP INT_R_X39Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y32 IS_DCM_TILE 0 TILEPROP INT_R_X39Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y32 NAME INT_R_X39Y32 TILEPROP INT_R_X39Y32 NUM_ARCS 3737 TILEPROP INT_R_X39Y32 NUM_SITES 1 TILEPROP INT_R_X39Y32 ROW 122 TILEPROP INT_R_X39Y32 SLR_REGION_ID 0 TILEPROP INT_R_X39Y32 TILE_PATTERN_IDX 7801 TILEPROP INT_R_X39Y32 TILE_TYPE INT_R TILEPROP INT_R_X39Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y32 TILE_X 82846 TILEPROP INT_R_X39Y32 TILE_Y -136248 TILEPROP INT_R_X39Y32 TYPE INT_R TILEPROP INT_R_X39Y33 CLASS tile TILEPROP INT_R_X39Y33 COLUMN 99 TILEPROP INT_R_X39Y33 DEVICE_ID 0 TILEPROP INT_R_X39Y33 FIRST_SITE_ID 12228 TILEPROP INT_R_X39Y33 GRID_POINT_X 99 TILEPROP INT_R_X39Y33 GRID_POINT_Y 121 TILEPROP INT_R_X39Y33 INDEX 14014 TILEPROP INT_R_X39Y33 INT_TILE_X 39 TILEPROP INT_R_X39Y33 INT_TILE_Y 116 TILEPROP INT_R_X39Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y33 IS_DCM_TILE 0 TILEPROP INT_R_X39Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y33 NAME INT_R_X39Y33 TILEPROP INT_R_X39Y33 NUM_ARCS 3737 TILEPROP INT_R_X39Y33 NUM_SITES 1 TILEPROP INT_R_X39Y33 ROW 121 TILEPROP INT_R_X39Y33 SLR_REGION_ID 0 TILEPROP INT_R_X39Y33 TILE_PATTERN_IDX 7766 TILEPROP INT_R_X39Y33 TILE_TYPE INT_R TILEPROP INT_R_X39Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y33 TILE_X 82846 TILEPROP INT_R_X39Y33 TILE_Y -133048 TILEPROP INT_R_X39Y33 TYPE INT_R TILEPROP INT_R_X39Y34 CLASS tile TILEPROP INT_R_X39Y34 COLUMN 99 TILEPROP INT_R_X39Y34 DEVICE_ID 0 TILEPROP INT_R_X39Y34 FIRST_SITE_ID 12128 TILEPROP INT_R_X39Y34 GRID_POINT_X 99 TILEPROP INT_R_X39Y34 GRID_POINT_Y 120 TILEPROP INT_R_X39Y34 INDEX 13899 TILEPROP INT_R_X39Y34 INT_TILE_X 39 TILEPROP INT_R_X39Y34 INT_TILE_Y 115 TILEPROP INT_R_X39Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y34 IS_DCM_TILE 0 TILEPROP INT_R_X39Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y34 NAME INT_R_X39Y34 TILEPROP INT_R_X39Y34 NUM_ARCS 3737 TILEPROP INT_R_X39Y34 NUM_SITES 1 TILEPROP INT_R_X39Y34 ROW 120 TILEPROP INT_R_X39Y34 SLR_REGION_ID 0 TILEPROP INT_R_X39Y34 TILE_PATTERN_IDX 7738 TILEPROP INT_R_X39Y34 TILE_TYPE INT_R TILEPROP INT_R_X39Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y34 TILE_X 82846 TILEPROP INT_R_X39Y34 TILE_Y -129848 TILEPROP INT_R_X39Y34 TYPE INT_R TILEPROP INT_R_X39Y35 CLASS tile TILEPROP INT_R_X39Y35 COLUMN 99 TILEPROP INT_R_X39Y35 DEVICE_ID 0 TILEPROP INT_R_X39Y35 FIRST_SITE_ID 12028 TILEPROP INT_R_X39Y35 GRID_POINT_X 99 TILEPROP INT_R_X39Y35 GRID_POINT_Y 119 TILEPROP INT_R_X39Y35 INDEX 13784 TILEPROP INT_R_X39Y35 INT_TILE_X 39 TILEPROP INT_R_X39Y35 INT_TILE_Y 114 TILEPROP INT_R_X39Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y35 IS_DCM_TILE 0 TILEPROP INT_R_X39Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y35 NAME INT_R_X39Y35 TILEPROP INT_R_X39Y35 NUM_ARCS 3737 TILEPROP INT_R_X39Y35 NUM_SITES 1 TILEPROP INT_R_X39Y35 ROW 119 TILEPROP INT_R_X39Y35 SLR_REGION_ID 0 TILEPROP INT_R_X39Y35 TILE_PATTERN_IDX 7709 TILEPROP INT_R_X39Y35 TILE_TYPE INT_R TILEPROP INT_R_X39Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y35 TILE_X 82846 TILEPROP INT_R_X39Y35 TILE_Y -126648 TILEPROP INT_R_X39Y35 TYPE INT_R TILEPROP INT_R_X39Y36 CLASS tile TILEPROP INT_R_X39Y36 COLUMN 99 TILEPROP INT_R_X39Y36 DEVICE_ID 0 TILEPROP INT_R_X39Y36 FIRST_SITE_ID 11913 TILEPROP INT_R_X39Y36 GRID_POINT_X 99 TILEPROP INT_R_X39Y36 GRID_POINT_Y 118 TILEPROP INT_R_X39Y36 INDEX 13669 TILEPROP INT_R_X39Y36 INT_TILE_X 39 TILEPROP INT_R_X39Y36 INT_TILE_Y 113 TILEPROP INT_R_X39Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y36 IS_DCM_TILE 0 TILEPROP INT_R_X39Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y36 NAME INT_R_X39Y36 TILEPROP INT_R_X39Y36 NUM_ARCS 3737 TILEPROP INT_R_X39Y36 NUM_SITES 1 TILEPROP INT_R_X39Y36 ROW 118 TILEPROP INT_R_X39Y36 SLR_REGION_ID 0 TILEPROP INT_R_X39Y36 TILE_PATTERN_IDX 7681 TILEPROP INT_R_X39Y36 TILE_TYPE INT_R TILEPROP INT_R_X39Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y36 TILE_X 82846 TILEPROP INT_R_X39Y36 TILE_Y -123448 TILEPROP INT_R_X39Y36 TYPE INT_R TILEPROP INT_R_X39Y37 CLASS tile TILEPROP INT_R_X39Y37 COLUMN 99 TILEPROP INT_R_X39Y37 DEVICE_ID 0 TILEPROP INT_R_X39Y37 FIRST_SITE_ID 11781 TILEPROP INT_R_X39Y37 GRID_POINT_X 99 TILEPROP INT_R_X39Y37 GRID_POINT_Y 117 TILEPROP INT_R_X39Y37 INDEX 13554 TILEPROP INT_R_X39Y37 INT_TILE_X 39 TILEPROP INT_R_X39Y37 INT_TILE_Y 112 TILEPROP INT_R_X39Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y37 IS_DCM_TILE 0 TILEPROP INT_R_X39Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y37 NAME INT_R_X39Y37 TILEPROP INT_R_X39Y37 NUM_ARCS 3737 TILEPROP INT_R_X39Y37 NUM_SITES 1 TILEPROP INT_R_X39Y37 ROW 117 TILEPROP INT_R_X39Y37 SLR_REGION_ID 0 TILEPROP INT_R_X39Y37 TILE_PATTERN_IDX 7653 TILEPROP INT_R_X39Y37 TILE_TYPE INT_R TILEPROP INT_R_X39Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y37 TILE_X 82846 TILEPROP INT_R_X39Y37 TILE_Y -120248 TILEPROP INT_R_X39Y37 TYPE INT_R TILEPROP INT_R_X39Y38 CLASS tile TILEPROP INT_R_X39Y38 COLUMN 99 TILEPROP INT_R_X39Y38 DEVICE_ID 0 TILEPROP INT_R_X39Y38 FIRST_SITE_ID 11681 TILEPROP INT_R_X39Y38 GRID_POINT_X 99 TILEPROP INT_R_X39Y38 GRID_POINT_Y 116 TILEPROP INT_R_X39Y38 INDEX 13439 TILEPROP INT_R_X39Y38 INT_TILE_X 39 TILEPROP INT_R_X39Y38 INT_TILE_Y 111 TILEPROP INT_R_X39Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y38 IS_DCM_TILE 0 TILEPROP INT_R_X39Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y38 NAME INT_R_X39Y38 TILEPROP INT_R_X39Y38 NUM_ARCS 3737 TILEPROP INT_R_X39Y38 NUM_SITES 1 TILEPROP INT_R_X39Y38 ROW 116 TILEPROP INT_R_X39Y38 SLR_REGION_ID 0 TILEPROP INT_R_X39Y38 TILE_PATTERN_IDX 7625 TILEPROP INT_R_X39Y38 TILE_TYPE INT_R TILEPROP INT_R_X39Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y38 TILE_X 82846 TILEPROP INT_R_X39Y38 TILE_Y -117048 TILEPROP INT_R_X39Y38 TYPE INT_R TILEPROP INT_R_X39Y39 CLASS tile TILEPROP INT_R_X39Y39 COLUMN 99 TILEPROP INT_R_X39Y39 DEVICE_ID 0 TILEPROP INT_R_X39Y39 FIRST_SITE_ID 11581 TILEPROP INT_R_X39Y39 GRID_POINT_X 99 TILEPROP INT_R_X39Y39 GRID_POINT_Y 115 TILEPROP INT_R_X39Y39 INDEX 13324 TILEPROP INT_R_X39Y39 INT_TILE_X 39 TILEPROP INT_R_X39Y39 INT_TILE_Y 110 TILEPROP INT_R_X39Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y39 IS_DCM_TILE 0 TILEPROP INT_R_X39Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y39 NAME INT_R_X39Y39 TILEPROP INT_R_X39Y39 NUM_ARCS 3737 TILEPROP INT_R_X39Y39 NUM_SITES 1 TILEPROP INT_R_X39Y39 ROW 115 TILEPROP INT_R_X39Y39 SLR_REGION_ID 0 TILEPROP INT_R_X39Y39 TILE_PATTERN_IDX 7596 TILEPROP INT_R_X39Y39 TILE_TYPE INT_R TILEPROP INT_R_X39Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y39 TILE_X 82846 TILEPROP INT_R_X39Y39 TILE_Y -113848 TILEPROP INT_R_X39Y39 TYPE INT_R TILEPROP INT_R_X39Y40 CLASS tile TILEPROP INT_R_X39Y40 COLUMN 99 TILEPROP INT_R_X39Y40 DEVICE_ID 0 TILEPROP INT_R_X39Y40 FIRST_SITE_ID 11481 TILEPROP INT_R_X39Y40 GRID_POINT_X 99 TILEPROP INT_R_X39Y40 GRID_POINT_Y 114 TILEPROP INT_R_X39Y40 INDEX 13209 TILEPROP INT_R_X39Y40 INT_TILE_X 39 TILEPROP INT_R_X39Y40 INT_TILE_Y 109 TILEPROP INT_R_X39Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y40 IS_DCM_TILE 0 TILEPROP INT_R_X39Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y40 NAME INT_R_X39Y40 TILEPROP INT_R_X39Y40 NUM_ARCS 3737 TILEPROP INT_R_X39Y40 NUM_SITES 1 TILEPROP INT_R_X39Y40 ROW 114 TILEPROP INT_R_X39Y40 SLR_REGION_ID 0 TILEPROP INT_R_X39Y40 TILE_PATTERN_IDX 7568 TILEPROP INT_R_X39Y40 TILE_TYPE INT_R TILEPROP INT_R_X39Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y40 TILE_X 82846 TILEPROP INT_R_X39Y40 TILE_Y -110648 TILEPROP INT_R_X39Y40 TYPE INT_R TILEPROP INT_R_X39Y41 CLASS tile TILEPROP INT_R_X39Y41 COLUMN 99 TILEPROP INT_R_X39Y41 DEVICE_ID 0 TILEPROP INT_R_X39Y41 FIRST_SITE_ID 11364 TILEPROP INT_R_X39Y41 GRID_POINT_X 99 TILEPROP INT_R_X39Y41 GRID_POINT_Y 113 TILEPROP INT_R_X39Y41 INDEX 13094 TILEPROP INT_R_X39Y41 INT_TILE_X 39 TILEPROP INT_R_X39Y41 INT_TILE_Y 108 TILEPROP INT_R_X39Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y41 IS_DCM_TILE 0 TILEPROP INT_R_X39Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y41 NAME INT_R_X39Y41 TILEPROP INT_R_X39Y41 NUM_ARCS 3737 TILEPROP INT_R_X39Y41 NUM_SITES 1 TILEPROP INT_R_X39Y41 ROW 113 TILEPROP INT_R_X39Y41 SLR_REGION_ID 0 TILEPROP INT_R_X39Y41 TILE_PATTERN_IDX 7538 TILEPROP INT_R_X39Y41 TILE_TYPE INT_R TILEPROP INT_R_X39Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y41 TILE_X 82846 TILEPROP INT_R_X39Y41 TILE_Y -107448 TILEPROP INT_R_X39Y41 TYPE INT_R TILEPROP INT_R_X39Y42 CLASS tile TILEPROP INT_R_X39Y42 COLUMN 99 TILEPROP INT_R_X39Y42 DEVICE_ID 0 TILEPROP INT_R_X39Y42 FIRST_SITE_ID 11262 TILEPROP INT_R_X39Y42 GRID_POINT_X 99 TILEPROP INT_R_X39Y42 GRID_POINT_Y 112 TILEPROP INT_R_X39Y42 INDEX 12979 TILEPROP INT_R_X39Y42 INT_TILE_X 39 TILEPROP INT_R_X39Y42 INT_TILE_Y 107 TILEPROP INT_R_X39Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y42 IS_DCM_TILE 0 TILEPROP INT_R_X39Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y42 NAME INT_R_X39Y42 TILEPROP INT_R_X39Y42 NUM_ARCS 3737 TILEPROP INT_R_X39Y42 NUM_SITES 1 TILEPROP INT_R_X39Y42 ROW 112 TILEPROP INT_R_X39Y42 SLR_REGION_ID 0 TILEPROP INT_R_X39Y42 TILE_PATTERN_IDX 7503 TILEPROP INT_R_X39Y42 TILE_TYPE INT_R TILEPROP INT_R_X39Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y42 TILE_X 82846 TILEPROP INT_R_X39Y42 TILE_Y -104248 TILEPROP INT_R_X39Y42 TYPE INT_R TILEPROP INT_R_X39Y43 CLASS tile TILEPROP INT_R_X39Y43 COLUMN 99 TILEPROP INT_R_X39Y43 DEVICE_ID 0 TILEPROP INT_R_X39Y43 FIRST_SITE_ID 11159 TILEPROP INT_R_X39Y43 GRID_POINT_X 99 TILEPROP INT_R_X39Y43 GRID_POINT_Y 111 TILEPROP INT_R_X39Y43 INDEX 12864 TILEPROP INT_R_X39Y43 INT_TILE_X 39 TILEPROP INT_R_X39Y43 INT_TILE_Y 106 TILEPROP INT_R_X39Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y43 IS_DCM_TILE 0 TILEPROP INT_R_X39Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y43 NAME INT_R_X39Y43 TILEPROP INT_R_X39Y43 NUM_ARCS 3737 TILEPROP INT_R_X39Y43 NUM_SITES 1 TILEPROP INT_R_X39Y43 ROW 111 TILEPROP INT_R_X39Y43 SLR_REGION_ID 0 TILEPROP INT_R_X39Y43 TILE_PATTERN_IDX 7474 TILEPROP INT_R_X39Y43 TILE_TYPE INT_R TILEPROP INT_R_X39Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y43 TILE_X 82846 TILEPROP INT_R_X39Y43 TILE_Y -101048 TILEPROP INT_R_X39Y43 TYPE INT_R TILEPROP INT_R_X39Y44 CLASS tile TILEPROP INT_R_X39Y44 COLUMN 99 TILEPROP INT_R_X39Y44 DEVICE_ID 0 TILEPROP INT_R_X39Y44 FIRST_SITE_ID 11057 TILEPROP INT_R_X39Y44 GRID_POINT_X 99 TILEPROP INT_R_X39Y44 GRID_POINT_Y 110 TILEPROP INT_R_X39Y44 INDEX 12749 TILEPROP INT_R_X39Y44 INT_TILE_X 39 TILEPROP INT_R_X39Y44 INT_TILE_Y 105 TILEPROP INT_R_X39Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y44 IS_DCM_TILE 0 TILEPROP INT_R_X39Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y44 NAME INT_R_X39Y44 TILEPROP INT_R_X39Y44 NUM_ARCS 3737 TILEPROP INT_R_X39Y44 NUM_SITES 1 TILEPROP INT_R_X39Y44 ROW 110 TILEPROP INT_R_X39Y44 SLR_REGION_ID 0 TILEPROP INT_R_X39Y44 TILE_PATTERN_IDX 7446 TILEPROP INT_R_X39Y44 TILE_TYPE INT_R TILEPROP INT_R_X39Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y44 TILE_X 82846 TILEPROP INT_R_X39Y44 TILE_Y -97848 TILEPROP INT_R_X39Y44 TYPE INT_R TILEPROP INT_R_X39Y45 CLASS tile TILEPROP INT_R_X39Y45 COLUMN 99 TILEPROP INT_R_X39Y45 DEVICE_ID 0 TILEPROP INT_R_X39Y45 FIRST_SITE_ID 10957 TILEPROP INT_R_X39Y45 GRID_POINT_X 99 TILEPROP INT_R_X39Y45 GRID_POINT_Y 109 TILEPROP INT_R_X39Y45 INDEX 12634 TILEPROP INT_R_X39Y45 INT_TILE_X 39 TILEPROP INT_R_X39Y45 INT_TILE_Y 104 TILEPROP INT_R_X39Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y45 IS_DCM_TILE 0 TILEPROP INT_R_X39Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y45 NAME INT_R_X39Y45 TILEPROP INT_R_X39Y45 NUM_ARCS 3737 TILEPROP INT_R_X39Y45 NUM_SITES 1 TILEPROP INT_R_X39Y45 ROW 109 TILEPROP INT_R_X39Y45 SLR_REGION_ID 0 TILEPROP INT_R_X39Y45 TILE_PATTERN_IDX 7417 TILEPROP INT_R_X39Y45 TILE_TYPE INT_R TILEPROP INT_R_X39Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y45 TILE_X 82846 TILEPROP INT_R_X39Y45 TILE_Y -94648 TILEPROP INT_R_X39Y45 TYPE INT_R TILEPROP INT_R_X39Y46 CLASS tile TILEPROP INT_R_X39Y46 COLUMN 99 TILEPROP INT_R_X39Y46 DEVICE_ID 0 TILEPROP INT_R_X39Y46 FIRST_SITE_ID 10841 TILEPROP INT_R_X39Y46 GRID_POINT_X 99 TILEPROP INT_R_X39Y46 GRID_POINT_Y 108 TILEPROP INT_R_X39Y46 INDEX 12519 TILEPROP INT_R_X39Y46 INT_TILE_X 39 TILEPROP INT_R_X39Y46 INT_TILE_Y 103 TILEPROP INT_R_X39Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y46 IS_DCM_TILE 0 TILEPROP INT_R_X39Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y46 NAME INT_R_X39Y46 TILEPROP INT_R_X39Y46 NUM_ARCS 3737 TILEPROP INT_R_X39Y46 NUM_SITES 1 TILEPROP INT_R_X39Y46 ROW 108 TILEPROP INT_R_X39Y46 SLR_REGION_ID 0 TILEPROP INT_R_X39Y46 TILE_PATTERN_IDX 7382 TILEPROP INT_R_X39Y46 TILE_TYPE INT_R TILEPROP INT_R_X39Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y46 TILE_X 82846 TILEPROP INT_R_X39Y46 TILE_Y -91448 TILEPROP INT_R_X39Y46 TYPE INT_R TILEPROP INT_R_X39Y47 CLASS tile TILEPROP INT_R_X39Y47 COLUMN 99 TILEPROP INT_R_X39Y47 DEVICE_ID 0 TILEPROP INT_R_X39Y47 FIRST_SITE_ID 10725 TILEPROP INT_R_X39Y47 GRID_POINT_X 99 TILEPROP INT_R_X39Y47 GRID_POINT_Y 107 TILEPROP INT_R_X39Y47 INDEX 12404 TILEPROP INT_R_X39Y47 INT_TILE_X 39 TILEPROP INT_R_X39Y47 INT_TILE_Y 102 TILEPROP INT_R_X39Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y47 IS_DCM_TILE 0 TILEPROP INT_R_X39Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y47 NAME INT_R_X39Y47 TILEPROP INT_R_X39Y47 NUM_ARCS 3737 TILEPROP INT_R_X39Y47 NUM_SITES 1 TILEPROP INT_R_X39Y47 ROW 107 TILEPROP INT_R_X39Y47 SLR_REGION_ID 0 TILEPROP INT_R_X39Y47 TILE_PATTERN_IDX 7345 TILEPROP INT_R_X39Y47 TILE_TYPE INT_R TILEPROP INT_R_X39Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y47 TILE_X 82846 TILEPROP INT_R_X39Y47 TILE_Y -88248 TILEPROP INT_R_X39Y47 TYPE INT_R TILEPROP INT_R_X39Y48 CLASS tile TILEPROP INT_R_X39Y48 COLUMN 99 TILEPROP INT_R_X39Y48 DEVICE_ID 0 TILEPROP INT_R_X39Y48 FIRST_SITE_ID 10625 TILEPROP INT_R_X39Y48 GRID_POINT_X 99 TILEPROP INT_R_X39Y48 GRID_POINT_Y 106 TILEPROP INT_R_X39Y48 INDEX 12289 TILEPROP INT_R_X39Y48 INT_TILE_X 39 TILEPROP INT_R_X39Y48 INT_TILE_Y 101 TILEPROP INT_R_X39Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y48 IS_DCM_TILE 0 TILEPROP INT_R_X39Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y48 NAME INT_R_X39Y48 TILEPROP INT_R_X39Y48 NUM_ARCS 3737 TILEPROP INT_R_X39Y48 NUM_SITES 1 TILEPROP INT_R_X39Y48 ROW 106 TILEPROP INT_R_X39Y48 SLR_REGION_ID 0 TILEPROP INT_R_X39Y48 TILE_PATTERN_IDX 7309 TILEPROP INT_R_X39Y48 TILE_TYPE INT_R TILEPROP INT_R_X39Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y48 TILE_X 82846 TILEPROP INT_R_X39Y48 TILE_Y -85048 TILEPROP INT_R_X39Y48 TYPE INT_R TILEPROP INT_R_X39Y49 CLASS tile TILEPROP INT_R_X39Y49 COLUMN 99 TILEPROP INT_R_X39Y49 DEVICE_ID 0 TILEPROP INT_R_X39Y49 FIRST_SITE_ID 10529 TILEPROP INT_R_X39Y49 GRID_POINT_X 99 TILEPROP INT_R_X39Y49 GRID_POINT_Y 105 TILEPROP INT_R_X39Y49 INDEX 12174 TILEPROP INT_R_X39Y49 INT_TILE_X 39 TILEPROP INT_R_X39Y49 INT_TILE_Y 100 TILEPROP INT_R_X39Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y49 IS_DCM_TILE 0 TILEPROP INT_R_X39Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y49 NAME INT_R_X39Y49 TILEPROP INT_R_X39Y49 NUM_ARCS 3737 TILEPROP INT_R_X39Y49 NUM_SITES 1 TILEPROP INT_R_X39Y49 ROW 105 TILEPROP INT_R_X39Y49 SLR_REGION_ID 0 TILEPROP INT_R_X39Y49 TILE_PATTERN_IDX 7273 TILEPROP INT_R_X39Y49 TILE_TYPE INT_R TILEPROP INT_R_X39Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y49 TILE_X 82846 TILEPROP INT_R_X39Y49 TILE_Y -81848 TILEPROP INT_R_X39Y49 TYPE INT_R TILEPROP INT_R_X39Y50 CLASS tile TILEPROP INT_R_X39Y50 COLUMN 99 TILEPROP INT_R_X39Y50 DEVICE_ID 0 TILEPROP INT_R_X39Y50 FIRST_SITE_ID 10429 TILEPROP INT_R_X39Y50 GRID_POINT_X 99 TILEPROP INT_R_X39Y50 GRID_POINT_Y 103 TILEPROP INT_R_X39Y50 INDEX 11944 TILEPROP INT_R_X39Y50 INT_TILE_X 39 TILEPROP INT_R_X39Y50 INT_TILE_Y 99 TILEPROP INT_R_X39Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y50 IS_DCM_TILE 0 TILEPROP INT_R_X39Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y50 NAME INT_R_X39Y50 TILEPROP INT_R_X39Y50 NUM_ARCS 3737 TILEPROP INT_R_X39Y50 NUM_SITES 1 TILEPROP INT_R_X39Y50 ROW 103 TILEPROP INT_R_X39Y50 SLR_REGION_ID 0 TILEPROP INT_R_X39Y50 TILE_PATTERN_IDX 7237 TILEPROP INT_R_X39Y50 TILE_TYPE INT_R TILEPROP INT_R_X39Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y50 TILE_X 82846 TILEPROP INT_R_X39Y50 TILE_Y -78400 TILEPROP INT_R_X39Y50 TYPE INT_R TILEPROP INT_R_X39Y51 CLASS tile TILEPROP INT_R_X39Y51 COLUMN 99 TILEPROP INT_R_X39Y51 DEVICE_ID 0 TILEPROP INT_R_X39Y51 FIRST_SITE_ID 10294 TILEPROP INT_R_X39Y51 GRID_POINT_X 99 TILEPROP INT_R_X39Y51 GRID_POINT_Y 102 TILEPROP INT_R_X39Y51 INDEX 11829 TILEPROP INT_R_X39Y51 INT_TILE_X 39 TILEPROP INT_R_X39Y51 INT_TILE_Y 98 TILEPROP INT_R_X39Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y51 IS_DCM_TILE 0 TILEPROP INT_R_X39Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y51 NAME INT_R_X39Y51 TILEPROP INT_R_X39Y51 NUM_ARCS 3737 TILEPROP INT_R_X39Y51 NUM_SITES 1 TILEPROP INT_R_X39Y51 ROW 102 TILEPROP INT_R_X39Y51 SLR_REGION_ID 0 TILEPROP INT_R_X39Y51 TILE_PATTERN_IDX 7199 TILEPROP INT_R_X39Y51 TILE_TYPE INT_R TILEPROP INT_R_X39Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y51 TILE_X 82846 TILEPROP INT_R_X39Y51 TILE_Y -75200 TILEPROP INT_R_X39Y51 TYPE INT_R TILEPROP INT_R_X39Y52 CLASS tile TILEPROP INT_R_X39Y52 COLUMN 99 TILEPROP INT_R_X39Y52 DEVICE_ID 0 TILEPROP INT_R_X39Y52 FIRST_SITE_ID 10194 TILEPROP INT_R_X39Y52 GRID_POINT_X 99 TILEPROP INT_R_X39Y52 GRID_POINT_Y 101 TILEPROP INT_R_X39Y52 INDEX 11714 TILEPROP INT_R_X39Y52 INT_TILE_X 39 TILEPROP INT_R_X39Y52 INT_TILE_Y 97 TILEPROP INT_R_X39Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y52 IS_DCM_TILE 0 TILEPROP INT_R_X39Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y52 NAME INT_R_X39Y52 TILEPROP INT_R_X39Y52 NUM_ARCS 3737 TILEPROP INT_R_X39Y52 NUM_SITES 1 TILEPROP INT_R_X39Y52 ROW 101 TILEPROP INT_R_X39Y52 SLR_REGION_ID 0 TILEPROP INT_R_X39Y52 TILE_PATTERN_IDX 7162 TILEPROP INT_R_X39Y52 TILE_TYPE INT_R TILEPROP INT_R_X39Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y52 TILE_X 82846 TILEPROP INT_R_X39Y52 TILE_Y -72000 TILEPROP INT_R_X39Y52 TYPE INT_R TILEPROP INT_R_X39Y53 CLASS tile TILEPROP INT_R_X39Y53 COLUMN 99 TILEPROP INT_R_X39Y53 DEVICE_ID 0 TILEPROP INT_R_X39Y53 FIRST_SITE_ID 10094 TILEPROP INT_R_X39Y53 GRID_POINT_X 99 TILEPROP INT_R_X39Y53 GRID_POINT_Y 100 TILEPROP INT_R_X39Y53 INDEX 11599 TILEPROP INT_R_X39Y53 INT_TILE_X 39 TILEPROP INT_R_X39Y53 INT_TILE_Y 96 TILEPROP INT_R_X39Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y53 IS_DCM_TILE 0 TILEPROP INT_R_X39Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y53 NAME INT_R_X39Y53 TILEPROP INT_R_X39Y53 NUM_ARCS 3737 TILEPROP INT_R_X39Y53 NUM_SITES 1 TILEPROP INT_R_X39Y53 ROW 100 TILEPROP INT_R_X39Y53 SLR_REGION_ID 0 TILEPROP INT_R_X39Y53 TILE_PATTERN_IDX 7125 TILEPROP INT_R_X39Y53 TILE_TYPE INT_R TILEPROP INT_R_X39Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y53 TILE_X 82846 TILEPROP INT_R_X39Y53 TILE_Y -68800 TILEPROP INT_R_X39Y53 TYPE INT_R TILEPROP INT_R_X39Y54 CLASS tile TILEPROP INT_R_X39Y54 COLUMN 99 TILEPROP INT_R_X39Y54 DEVICE_ID 0 TILEPROP INT_R_X39Y54 FIRST_SITE_ID 9994 TILEPROP INT_R_X39Y54 GRID_POINT_X 99 TILEPROP INT_R_X39Y54 GRID_POINT_Y 99 TILEPROP INT_R_X39Y54 INDEX 11484 TILEPROP INT_R_X39Y54 INT_TILE_X 39 TILEPROP INT_R_X39Y54 INT_TILE_Y 95 TILEPROP INT_R_X39Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y54 IS_DCM_TILE 0 TILEPROP INT_R_X39Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y54 NAME INT_R_X39Y54 TILEPROP INT_R_X39Y54 NUM_ARCS 3737 TILEPROP INT_R_X39Y54 NUM_SITES 1 TILEPROP INT_R_X39Y54 ROW 99 TILEPROP INT_R_X39Y54 SLR_REGION_ID 0 TILEPROP INT_R_X39Y54 TILE_PATTERN_IDX 7088 TILEPROP INT_R_X39Y54 TILE_TYPE INT_R TILEPROP INT_R_X39Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y54 TILE_X 82846 TILEPROP INT_R_X39Y54 TILE_Y -65600 TILEPROP INT_R_X39Y54 TYPE INT_R TILEPROP INT_R_X39Y55 CLASS tile TILEPROP INT_R_X39Y55 COLUMN 99 TILEPROP INT_R_X39Y55 DEVICE_ID 0 TILEPROP INT_R_X39Y55 FIRST_SITE_ID 9894 TILEPROP INT_R_X39Y55 GRID_POINT_X 99 TILEPROP INT_R_X39Y55 GRID_POINT_Y 98 TILEPROP INT_R_X39Y55 INDEX 11369 TILEPROP INT_R_X39Y55 INT_TILE_X 39 TILEPROP INT_R_X39Y55 INT_TILE_Y 94 TILEPROP INT_R_X39Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y55 IS_DCM_TILE 0 TILEPROP INT_R_X39Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y55 NAME INT_R_X39Y55 TILEPROP INT_R_X39Y55 NUM_ARCS 3737 TILEPROP INT_R_X39Y55 NUM_SITES 1 TILEPROP INT_R_X39Y55 ROW 98 TILEPROP INT_R_X39Y55 SLR_REGION_ID 0 TILEPROP INT_R_X39Y55 TILE_PATTERN_IDX 7051 TILEPROP INT_R_X39Y55 TILE_TYPE INT_R TILEPROP INT_R_X39Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y55 TILE_X 82846 TILEPROP INT_R_X39Y55 TILE_Y -62400 TILEPROP INT_R_X39Y55 TYPE INT_R TILEPROP INT_R_X39Y56 CLASS tile TILEPROP INT_R_X39Y56 COLUMN 99 TILEPROP INT_R_X39Y56 DEVICE_ID 0 TILEPROP INT_R_X39Y56 FIRST_SITE_ID 9779 TILEPROP INT_R_X39Y56 GRID_POINT_X 99 TILEPROP INT_R_X39Y56 GRID_POINT_Y 97 TILEPROP INT_R_X39Y56 INDEX 11254 TILEPROP INT_R_X39Y56 INT_TILE_X 39 TILEPROP INT_R_X39Y56 INT_TILE_Y 93 TILEPROP INT_R_X39Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y56 IS_DCM_TILE 0 TILEPROP INT_R_X39Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y56 NAME INT_R_X39Y56 TILEPROP INT_R_X39Y56 NUM_ARCS 3737 TILEPROP INT_R_X39Y56 NUM_SITES 1 TILEPROP INT_R_X39Y56 ROW 97 TILEPROP INT_R_X39Y56 SLR_REGION_ID 0 TILEPROP INT_R_X39Y56 TILE_PATTERN_IDX 7013 TILEPROP INT_R_X39Y56 TILE_TYPE INT_R TILEPROP INT_R_X39Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y56 TILE_X 82846 TILEPROP INT_R_X39Y56 TILE_Y -59200 TILEPROP INT_R_X39Y56 TYPE INT_R TILEPROP INT_R_X39Y57 CLASS tile TILEPROP INT_R_X39Y57 COLUMN 99 TILEPROP INT_R_X39Y57 DEVICE_ID 0 TILEPROP INT_R_X39Y57 FIRST_SITE_ID 9677 TILEPROP INT_R_X39Y57 GRID_POINT_X 99 TILEPROP INT_R_X39Y57 GRID_POINT_Y 96 TILEPROP INT_R_X39Y57 INDEX 11139 TILEPROP INT_R_X39Y57 INT_TILE_X 39 TILEPROP INT_R_X39Y57 INT_TILE_Y 92 TILEPROP INT_R_X39Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y57 IS_DCM_TILE 0 TILEPROP INT_R_X39Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y57 NAME INT_R_X39Y57 TILEPROP INT_R_X39Y57 NUM_ARCS 3737 TILEPROP INT_R_X39Y57 NUM_SITES 1 TILEPROP INT_R_X39Y57 ROW 96 TILEPROP INT_R_X39Y57 SLR_REGION_ID 0 TILEPROP INT_R_X39Y57 TILE_PATTERN_IDX 6976 TILEPROP INT_R_X39Y57 TILE_TYPE INT_R TILEPROP INT_R_X39Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y57 TILE_X 82846 TILEPROP INT_R_X39Y57 TILE_Y -56000 TILEPROP INT_R_X39Y57 TYPE INT_R TILEPROP INT_R_X39Y58 CLASS tile TILEPROP INT_R_X39Y58 COLUMN 99 TILEPROP INT_R_X39Y58 DEVICE_ID 0 TILEPROP INT_R_X39Y58 FIRST_SITE_ID 9574 TILEPROP INT_R_X39Y58 GRID_POINT_X 99 TILEPROP INT_R_X39Y58 GRID_POINT_Y 95 TILEPROP INT_R_X39Y58 INDEX 11024 TILEPROP INT_R_X39Y58 INT_TILE_X 39 TILEPROP INT_R_X39Y58 INT_TILE_Y 91 TILEPROP INT_R_X39Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y58 IS_DCM_TILE 0 TILEPROP INT_R_X39Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y58 NAME INT_R_X39Y58 TILEPROP INT_R_X39Y58 NUM_ARCS 3737 TILEPROP INT_R_X39Y58 NUM_SITES 1 TILEPROP INT_R_X39Y58 ROW 95 TILEPROP INT_R_X39Y58 SLR_REGION_ID 0 TILEPROP INT_R_X39Y58 TILE_PATTERN_IDX 6938 TILEPROP INT_R_X39Y58 TILE_TYPE INT_R TILEPROP INT_R_X39Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y58 TILE_X 82846 TILEPROP INT_R_X39Y58 TILE_Y -52800 TILEPROP INT_R_X39Y58 TYPE INT_R TILEPROP INT_R_X39Y59 CLASS tile TILEPROP INT_R_X39Y59 COLUMN 99 TILEPROP INT_R_X39Y59 DEVICE_ID 0 TILEPROP INT_R_X39Y59 FIRST_SITE_ID 9473 TILEPROP INT_R_X39Y59 GRID_POINT_X 99 TILEPROP INT_R_X39Y59 GRID_POINT_Y 94 TILEPROP INT_R_X39Y59 INDEX 10909 TILEPROP INT_R_X39Y59 INT_TILE_X 39 TILEPROP INT_R_X39Y59 INT_TILE_Y 90 TILEPROP INT_R_X39Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y59 IS_DCM_TILE 0 TILEPROP INT_R_X39Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y59 NAME INT_R_X39Y59 TILEPROP INT_R_X39Y59 NUM_ARCS 3737 TILEPROP INT_R_X39Y59 NUM_SITES 1 TILEPROP INT_R_X39Y59 ROW 94 TILEPROP INT_R_X39Y59 SLR_REGION_ID 0 TILEPROP INT_R_X39Y59 TILE_PATTERN_IDX 6901 TILEPROP INT_R_X39Y59 TILE_TYPE INT_R TILEPROP INT_R_X39Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y59 TILE_X 82846 TILEPROP INT_R_X39Y59 TILE_Y -49600 TILEPROP INT_R_X39Y59 TYPE INT_R TILEPROP INT_R_X39Y60 CLASS tile TILEPROP INT_R_X39Y60 COLUMN 99 TILEPROP INT_R_X39Y60 DEVICE_ID 0 TILEPROP INT_R_X39Y60 FIRST_SITE_ID 9373 TILEPROP INT_R_X39Y60 GRID_POINT_X 99 TILEPROP INT_R_X39Y60 GRID_POINT_Y 93 TILEPROP INT_R_X39Y60 INDEX 10794 TILEPROP INT_R_X39Y60 INT_TILE_X 39 TILEPROP INT_R_X39Y60 INT_TILE_Y 89 TILEPROP INT_R_X39Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y60 IS_DCM_TILE 0 TILEPROP INT_R_X39Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y60 NAME INT_R_X39Y60 TILEPROP INT_R_X39Y60 NUM_ARCS 3737 TILEPROP INT_R_X39Y60 NUM_SITES 1 TILEPROP INT_R_X39Y60 ROW 93 TILEPROP INT_R_X39Y60 SLR_REGION_ID 0 TILEPROP INT_R_X39Y60 TILE_PATTERN_IDX 6864 TILEPROP INT_R_X39Y60 TILE_TYPE INT_R TILEPROP INT_R_X39Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y60 TILE_X 82846 TILEPROP INT_R_X39Y60 TILE_Y -46400 TILEPROP INT_R_X39Y60 TYPE INT_R TILEPROP INT_R_X39Y61 CLASS tile TILEPROP INT_R_X39Y61 COLUMN 99 TILEPROP INT_R_X39Y61 DEVICE_ID 0 TILEPROP INT_R_X39Y61 FIRST_SITE_ID 9258 TILEPROP INT_R_X39Y61 GRID_POINT_X 99 TILEPROP INT_R_X39Y61 GRID_POINT_Y 92 TILEPROP INT_R_X39Y61 INDEX 10679 TILEPROP INT_R_X39Y61 INT_TILE_X 39 TILEPROP INT_R_X39Y61 INT_TILE_Y 88 TILEPROP INT_R_X39Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y61 IS_DCM_TILE 0 TILEPROP INT_R_X39Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y61 NAME INT_R_X39Y61 TILEPROP INT_R_X39Y61 NUM_ARCS 3737 TILEPROP INT_R_X39Y61 NUM_SITES 1 TILEPROP INT_R_X39Y61 ROW 92 TILEPROP INT_R_X39Y61 SLR_REGION_ID 0 TILEPROP INT_R_X39Y61 TILE_PATTERN_IDX 6827 TILEPROP INT_R_X39Y61 TILE_TYPE INT_R TILEPROP INT_R_X39Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y61 TILE_X 82846 TILEPROP INT_R_X39Y61 TILE_Y -43200 TILEPROP INT_R_X39Y61 TYPE INT_R TILEPROP INT_R_X39Y62 CLASS tile TILEPROP INT_R_X39Y62 COLUMN 99 TILEPROP INT_R_X39Y62 DEVICE_ID 0 TILEPROP INT_R_X39Y62 FIRST_SITE_ID 9158 TILEPROP INT_R_X39Y62 GRID_POINT_X 99 TILEPROP INT_R_X39Y62 GRID_POINT_Y 91 TILEPROP INT_R_X39Y62 INDEX 10564 TILEPROP INT_R_X39Y62 INT_TILE_X 39 TILEPROP INT_R_X39Y62 INT_TILE_Y 87 TILEPROP INT_R_X39Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y62 IS_DCM_TILE 0 TILEPROP INT_R_X39Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y62 NAME INT_R_X39Y62 TILEPROP INT_R_X39Y62 NUM_ARCS 3737 TILEPROP INT_R_X39Y62 NUM_SITES 1 TILEPROP INT_R_X39Y62 ROW 91 TILEPROP INT_R_X39Y62 SLR_REGION_ID 0 TILEPROP INT_R_X39Y62 TILE_PATTERN_IDX 6790 TILEPROP INT_R_X39Y62 TILE_TYPE INT_R TILEPROP INT_R_X39Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y62 TILE_X 82846 TILEPROP INT_R_X39Y62 TILE_Y -40000 TILEPROP INT_R_X39Y62 TYPE INT_R TILEPROP INT_R_X39Y63 CLASS tile TILEPROP INT_R_X39Y63 COLUMN 99 TILEPROP INT_R_X39Y63 DEVICE_ID 0 TILEPROP INT_R_X39Y63 FIRST_SITE_ID 9026 TILEPROP INT_R_X39Y63 GRID_POINT_X 99 TILEPROP INT_R_X39Y63 GRID_POINT_Y 90 TILEPROP INT_R_X39Y63 INDEX 10449 TILEPROP INT_R_X39Y63 INT_TILE_X 39 TILEPROP INT_R_X39Y63 INT_TILE_Y 86 TILEPROP INT_R_X39Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y63 IS_DCM_TILE 0 TILEPROP INT_R_X39Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y63 NAME INT_R_X39Y63 TILEPROP INT_R_X39Y63 NUM_ARCS 3737 TILEPROP INT_R_X39Y63 NUM_SITES 1 TILEPROP INT_R_X39Y63 ROW 90 TILEPROP INT_R_X39Y63 SLR_REGION_ID 0 TILEPROP INT_R_X39Y63 TILE_PATTERN_IDX 6753 TILEPROP INT_R_X39Y63 TILE_TYPE INT_R TILEPROP INT_R_X39Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y63 TILE_X 82846 TILEPROP INT_R_X39Y63 TILE_Y -36800 TILEPROP INT_R_X39Y63 TYPE INT_R TILEPROP INT_R_X39Y64 CLASS tile TILEPROP INT_R_X39Y64 COLUMN 99 TILEPROP INT_R_X39Y64 DEVICE_ID 0 TILEPROP INT_R_X39Y64 FIRST_SITE_ID 8926 TILEPROP INT_R_X39Y64 GRID_POINT_X 99 TILEPROP INT_R_X39Y64 GRID_POINT_Y 89 TILEPROP INT_R_X39Y64 INDEX 10334 TILEPROP INT_R_X39Y64 INT_TILE_X 39 TILEPROP INT_R_X39Y64 INT_TILE_Y 85 TILEPROP INT_R_X39Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y64 IS_DCM_TILE 0 TILEPROP INT_R_X39Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y64 NAME INT_R_X39Y64 TILEPROP INT_R_X39Y64 NUM_ARCS 3737 TILEPROP INT_R_X39Y64 NUM_SITES 1 TILEPROP INT_R_X39Y64 ROW 89 TILEPROP INT_R_X39Y64 SLR_REGION_ID 0 TILEPROP INT_R_X39Y64 TILE_PATTERN_IDX 6716 TILEPROP INT_R_X39Y64 TILE_TYPE INT_R TILEPROP INT_R_X39Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y64 TILE_X 82846 TILEPROP INT_R_X39Y64 TILE_Y -33600 TILEPROP INT_R_X39Y64 TYPE INT_R TILEPROP INT_R_X39Y65 CLASS tile TILEPROP INT_R_X39Y65 COLUMN 99 TILEPROP INT_R_X39Y65 DEVICE_ID 0 TILEPROP INT_R_X39Y65 FIRST_SITE_ID 8826 TILEPROP INT_R_X39Y65 GRID_POINT_X 99 TILEPROP INT_R_X39Y65 GRID_POINT_Y 88 TILEPROP INT_R_X39Y65 INDEX 10219 TILEPROP INT_R_X39Y65 INT_TILE_X 39 TILEPROP INT_R_X39Y65 INT_TILE_Y 84 TILEPROP INT_R_X39Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y65 IS_DCM_TILE 0 TILEPROP INT_R_X39Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y65 NAME INT_R_X39Y65 TILEPROP INT_R_X39Y65 NUM_ARCS 3737 TILEPROP INT_R_X39Y65 NUM_SITES 1 TILEPROP INT_R_X39Y65 ROW 88 TILEPROP INT_R_X39Y65 SLR_REGION_ID 0 TILEPROP INT_R_X39Y65 TILE_PATTERN_IDX 6679 TILEPROP INT_R_X39Y65 TILE_TYPE INT_R TILEPROP INT_R_X39Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y65 TILE_X 82846 TILEPROP INT_R_X39Y65 TILE_Y -30400 TILEPROP INT_R_X39Y65 TYPE INT_R TILEPROP INT_R_X39Y66 CLASS tile TILEPROP INT_R_X39Y66 COLUMN 99 TILEPROP INT_R_X39Y66 DEVICE_ID 0 TILEPROP INT_R_X39Y66 FIRST_SITE_ID 8711 TILEPROP INT_R_X39Y66 GRID_POINT_X 99 TILEPROP INT_R_X39Y66 GRID_POINT_Y 87 TILEPROP INT_R_X39Y66 INDEX 10104 TILEPROP INT_R_X39Y66 INT_TILE_X 39 TILEPROP INT_R_X39Y66 INT_TILE_Y 83 TILEPROP INT_R_X39Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y66 IS_DCM_TILE 0 TILEPROP INT_R_X39Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y66 NAME INT_R_X39Y66 TILEPROP INT_R_X39Y66 NUM_ARCS 3737 TILEPROP INT_R_X39Y66 NUM_SITES 1 TILEPROP INT_R_X39Y66 ROW 87 TILEPROP INT_R_X39Y66 SLR_REGION_ID 0 TILEPROP INT_R_X39Y66 TILE_PATTERN_IDX 6642 TILEPROP INT_R_X39Y66 TILE_TYPE INT_R TILEPROP INT_R_X39Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y66 TILE_X 82846 TILEPROP INT_R_X39Y66 TILE_Y -27200 TILEPROP INT_R_X39Y66 TYPE INT_R TILEPROP INT_R_X39Y67 CLASS tile TILEPROP INT_R_X39Y67 COLUMN 99 TILEPROP INT_R_X39Y67 DEVICE_ID 0 TILEPROP INT_R_X39Y67 FIRST_SITE_ID 8607 TILEPROP INT_R_X39Y67 GRID_POINT_X 99 TILEPROP INT_R_X39Y67 GRID_POINT_Y 86 TILEPROP INT_R_X39Y67 INDEX 9989 TILEPROP INT_R_X39Y67 INT_TILE_X 39 TILEPROP INT_R_X39Y67 INT_TILE_Y 82 TILEPROP INT_R_X39Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y67 IS_DCM_TILE 0 TILEPROP INT_R_X39Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y67 NAME INT_R_X39Y67 TILEPROP INT_R_X39Y67 NUM_ARCS 3737 TILEPROP INT_R_X39Y67 NUM_SITES 1 TILEPROP INT_R_X39Y67 ROW 86 TILEPROP INT_R_X39Y67 SLR_REGION_ID 0 TILEPROP INT_R_X39Y67 TILE_PATTERN_IDX 6604 TILEPROP INT_R_X39Y67 TILE_TYPE INT_R TILEPROP INT_R_X39Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y67 TILE_X 82846 TILEPROP INT_R_X39Y67 TILE_Y -24000 TILEPROP INT_R_X39Y67 TYPE INT_R TILEPROP INT_R_X39Y68 CLASS tile TILEPROP INT_R_X39Y68 COLUMN 99 TILEPROP INT_R_X39Y68 DEVICE_ID 0 TILEPROP INT_R_X39Y68 FIRST_SITE_ID 8503 TILEPROP INT_R_X39Y68 GRID_POINT_X 99 TILEPROP INT_R_X39Y68 GRID_POINT_Y 85 TILEPROP INT_R_X39Y68 INDEX 9874 TILEPROP INT_R_X39Y68 INT_TILE_X 39 TILEPROP INT_R_X39Y68 INT_TILE_Y 81 TILEPROP INT_R_X39Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y68 IS_DCM_TILE 0 TILEPROP INT_R_X39Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y68 NAME INT_R_X39Y68 TILEPROP INT_R_X39Y68 NUM_ARCS 3737 TILEPROP INT_R_X39Y68 NUM_SITES 1 TILEPROP INT_R_X39Y68 ROW 85 TILEPROP INT_R_X39Y68 SLR_REGION_ID 0 TILEPROP INT_R_X39Y68 TILE_PATTERN_IDX 2867 TILEPROP INT_R_X39Y68 TILE_TYPE INT_R TILEPROP INT_R_X39Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y68 TILE_X 82846 TILEPROP INT_R_X39Y68 TILE_Y -20800 TILEPROP INT_R_X39Y68 TYPE INT_R TILEPROP INT_R_X39Y69 CLASS tile TILEPROP INT_R_X39Y69 COLUMN 99 TILEPROP INT_R_X39Y69 DEVICE_ID 0 TILEPROP INT_R_X39Y69 FIRST_SITE_ID 8401 TILEPROP INT_R_X39Y69 GRID_POINT_X 99 TILEPROP INT_R_X39Y69 GRID_POINT_Y 84 TILEPROP INT_R_X39Y69 INDEX 9759 TILEPROP INT_R_X39Y69 INT_TILE_X 39 TILEPROP INT_R_X39Y69 INT_TILE_Y 80 TILEPROP INT_R_X39Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y69 IS_DCM_TILE 0 TILEPROP INT_R_X39Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y69 NAME INT_R_X39Y69 TILEPROP INT_R_X39Y69 NUM_ARCS 3737 TILEPROP INT_R_X39Y69 NUM_SITES 1 TILEPROP INT_R_X39Y69 ROW 84 TILEPROP INT_R_X39Y69 SLR_REGION_ID 0 TILEPROP INT_R_X39Y69 TILE_PATTERN_IDX 2830 TILEPROP INT_R_X39Y69 TILE_TYPE INT_R TILEPROP INT_R_X39Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y69 TILE_X 82846 TILEPROP INT_R_X39Y69 TILE_Y -17600 TILEPROP INT_R_X39Y69 TYPE INT_R TILEPROP INT_R_X39Y70 CLASS tile TILEPROP INT_R_X39Y70 COLUMN 99 TILEPROP INT_R_X39Y70 DEVICE_ID 0 TILEPROP INT_R_X39Y70 FIRST_SITE_ID 8299 TILEPROP INT_R_X39Y70 GRID_POINT_X 99 TILEPROP INT_R_X39Y70 GRID_POINT_Y 83 TILEPROP INT_R_X39Y70 INDEX 9644 TILEPROP INT_R_X39Y70 INT_TILE_X 39 TILEPROP INT_R_X39Y70 INT_TILE_Y 79 TILEPROP INT_R_X39Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y70 IS_DCM_TILE 0 TILEPROP INT_R_X39Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y70 NAME INT_R_X39Y70 TILEPROP INT_R_X39Y70 NUM_ARCS 3737 TILEPROP INT_R_X39Y70 NUM_SITES 1 TILEPROP INT_R_X39Y70 ROW 83 TILEPROP INT_R_X39Y70 SLR_REGION_ID 0 TILEPROP INT_R_X39Y70 TILE_PATTERN_IDX 2795 TILEPROP INT_R_X39Y70 TILE_TYPE INT_R TILEPROP INT_R_X39Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y70 TILE_X 82846 TILEPROP INT_R_X39Y70 TILE_Y -14400 TILEPROP INT_R_X39Y70 TYPE INT_R TILEPROP INT_R_X39Y71 CLASS tile TILEPROP INT_R_X39Y71 COLUMN 99 TILEPROP INT_R_X39Y71 DEVICE_ID 0 TILEPROP INT_R_X39Y71 FIRST_SITE_ID 8184 TILEPROP INT_R_X39Y71 GRID_POINT_X 99 TILEPROP INT_R_X39Y71 GRID_POINT_Y 82 TILEPROP INT_R_X39Y71 INDEX 9529 TILEPROP INT_R_X39Y71 INT_TILE_X 39 TILEPROP INT_R_X39Y71 INT_TILE_Y 78 TILEPROP INT_R_X39Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y71 IS_DCM_TILE 0 TILEPROP INT_R_X39Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y71 NAME INT_R_X39Y71 TILEPROP INT_R_X39Y71 NUM_ARCS 3737 TILEPROP INT_R_X39Y71 NUM_SITES 1 TILEPROP INT_R_X39Y71 ROW 82 TILEPROP INT_R_X39Y71 SLR_REGION_ID 0 TILEPROP INT_R_X39Y71 TILE_PATTERN_IDX 2758 TILEPROP INT_R_X39Y71 TILE_TYPE INT_R TILEPROP INT_R_X39Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y71 TILE_X 82846 TILEPROP INT_R_X39Y71 TILE_Y -11200 TILEPROP INT_R_X39Y71 TYPE INT_R TILEPROP INT_R_X39Y72 CLASS tile TILEPROP INT_R_X39Y72 COLUMN 99 TILEPROP INT_R_X39Y72 DEVICE_ID 0 TILEPROP INT_R_X39Y72 FIRST_SITE_ID 8084 TILEPROP INT_R_X39Y72 GRID_POINT_X 99 TILEPROP INT_R_X39Y72 GRID_POINT_Y 81 TILEPROP INT_R_X39Y72 INDEX 9414 TILEPROP INT_R_X39Y72 INT_TILE_X 39 TILEPROP INT_R_X39Y72 INT_TILE_Y 77 TILEPROP INT_R_X39Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y72 IS_DCM_TILE 0 TILEPROP INT_R_X39Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y72 NAME INT_R_X39Y72 TILEPROP INT_R_X39Y72 NUM_ARCS 3737 TILEPROP INT_R_X39Y72 NUM_SITES 1 TILEPROP INT_R_X39Y72 ROW 81 TILEPROP INT_R_X39Y72 SLR_REGION_ID 0 TILEPROP INT_R_X39Y72 TILE_PATTERN_IDX 2722 TILEPROP INT_R_X39Y72 TILE_TYPE INT_R TILEPROP INT_R_X39Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y72 TILE_X 82846 TILEPROP INT_R_X39Y72 TILE_Y -8000 TILEPROP INT_R_X39Y72 TYPE INT_R TILEPROP INT_R_X39Y73 CLASS tile TILEPROP INT_R_X39Y73 COLUMN 99 TILEPROP INT_R_X39Y73 DEVICE_ID 0 TILEPROP INT_R_X39Y73 FIRST_SITE_ID 7984 TILEPROP INT_R_X39Y73 GRID_POINT_X 99 TILEPROP INT_R_X39Y73 GRID_POINT_Y 80 TILEPROP INT_R_X39Y73 INDEX 9299 TILEPROP INT_R_X39Y73 INT_TILE_X 39 TILEPROP INT_R_X39Y73 INT_TILE_Y 76 TILEPROP INT_R_X39Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y73 IS_DCM_TILE 0 TILEPROP INT_R_X39Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y73 NAME INT_R_X39Y73 TILEPROP INT_R_X39Y73 NUM_ARCS 3737 TILEPROP INT_R_X39Y73 NUM_SITES 1 TILEPROP INT_R_X39Y73 ROW 80 TILEPROP INT_R_X39Y73 SLR_REGION_ID 0 TILEPROP INT_R_X39Y73 TILE_PATTERN_IDX 2685 TILEPROP INT_R_X39Y73 TILE_TYPE INT_R TILEPROP INT_R_X39Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y73 TILE_X 82846 TILEPROP INT_R_X39Y73 TILE_Y -4800 TILEPROP INT_R_X39Y73 TYPE INT_R TILEPROP INT_R_X39Y74 CLASS tile TILEPROP INT_R_X39Y74 COLUMN 99 TILEPROP INT_R_X39Y74 DEVICE_ID 0 TILEPROP INT_R_X39Y74 FIRST_SITE_ID 7884 TILEPROP INT_R_X39Y74 GRID_POINT_X 99 TILEPROP INT_R_X39Y74 GRID_POINT_Y 79 TILEPROP INT_R_X39Y74 INDEX 9184 TILEPROP INT_R_X39Y74 INT_TILE_X 39 TILEPROP INT_R_X39Y74 INT_TILE_Y 75 TILEPROP INT_R_X39Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y74 IS_DCM_TILE 0 TILEPROP INT_R_X39Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y74 NAME INT_R_X39Y74 TILEPROP INT_R_X39Y74 NUM_ARCS 3737 TILEPROP INT_R_X39Y74 NUM_SITES 1 TILEPROP INT_R_X39Y74 ROW 79 TILEPROP INT_R_X39Y74 SLR_REGION_ID 0 TILEPROP INT_R_X39Y74 TILE_PATTERN_IDX 2649 TILEPROP INT_R_X39Y74 TILE_TYPE INT_R TILEPROP INT_R_X39Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y74 TILE_X 82846 TILEPROP INT_R_X39Y74 TILE_Y -1600 TILEPROP INT_R_X39Y74 TYPE INT_R TILEPROP INT_R_X39Y75 CLASS tile TILEPROP INT_R_X39Y75 COLUMN 99 TILEPROP INT_R_X39Y75 DEVICE_ID 0 TILEPROP INT_R_X39Y75 FIRST_SITE_ID 7701 TILEPROP INT_R_X39Y75 GRID_POINT_X 99 TILEPROP INT_R_X39Y75 GRID_POINT_Y 77 TILEPROP INT_R_X39Y75 INDEX 8954 TILEPROP INT_R_X39Y75 INT_TILE_X 39 TILEPROP INT_R_X39Y75 INT_TILE_Y 74 TILEPROP INT_R_X39Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y75 IS_DCM_TILE 0 TILEPROP INT_R_X39Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y75 NAME INT_R_X39Y75 TILEPROP INT_R_X39Y75 NUM_ARCS 3737 TILEPROP INT_R_X39Y75 NUM_SITES 1 TILEPROP INT_R_X39Y75 ROW 77 TILEPROP INT_R_X39Y75 SLR_REGION_ID 0 TILEPROP INT_R_X39Y75 TILE_PATTERN_IDX 2574 TILEPROP INT_R_X39Y75 TILE_TYPE INT_R TILEPROP INT_R_X39Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y75 TILE_X 82846 TILEPROP INT_R_X39Y75 TILE_Y 2624 TILEPROP INT_R_X39Y75 TYPE INT_R TILEPROP INT_R_X39Y76 CLASS tile TILEPROP INT_R_X39Y76 COLUMN 99 TILEPROP INT_R_X39Y76 DEVICE_ID 0 TILEPROP INT_R_X39Y76 FIRST_SITE_ID 7583 TILEPROP INT_R_X39Y76 GRID_POINT_X 99 TILEPROP INT_R_X39Y76 GRID_POINT_Y 76 TILEPROP INT_R_X39Y76 INDEX 8839 TILEPROP INT_R_X39Y76 INT_TILE_X 39 TILEPROP INT_R_X39Y76 INT_TILE_Y 73 TILEPROP INT_R_X39Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y76 IS_DCM_TILE 0 TILEPROP INT_R_X39Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y76 NAME INT_R_X39Y76 TILEPROP INT_R_X39Y76 NUM_ARCS 3737 TILEPROP INT_R_X39Y76 NUM_SITES 1 TILEPROP INT_R_X39Y76 ROW 76 TILEPROP INT_R_X39Y76 SLR_REGION_ID 0 TILEPROP INT_R_X39Y76 TILE_PATTERN_IDX 2537 TILEPROP INT_R_X39Y76 TILE_TYPE INT_R TILEPROP INT_R_X39Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y76 TILE_X 82846 TILEPROP INT_R_X39Y76 TILE_Y 5824 TILEPROP INT_R_X39Y76 TYPE INT_R TILEPROP INT_R_X39Y77 CLASS tile TILEPROP INT_R_X39Y77 COLUMN 99 TILEPROP INT_R_X39Y77 DEVICE_ID 0 TILEPROP INT_R_X39Y77 FIRST_SITE_ID 7483 TILEPROP INT_R_X39Y77 GRID_POINT_X 99 TILEPROP INT_R_X39Y77 GRID_POINT_Y 75 TILEPROP INT_R_X39Y77 INDEX 8724 TILEPROP INT_R_X39Y77 INT_TILE_X 39 TILEPROP INT_R_X39Y77 INT_TILE_Y 72 TILEPROP INT_R_X39Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y77 IS_DCM_TILE 0 TILEPROP INT_R_X39Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y77 NAME INT_R_X39Y77 TILEPROP INT_R_X39Y77 NUM_ARCS 3737 TILEPROP INT_R_X39Y77 NUM_SITES 1 TILEPROP INT_R_X39Y77 ROW 75 TILEPROP INT_R_X39Y77 SLR_REGION_ID 0 TILEPROP INT_R_X39Y77 TILE_PATTERN_IDX 2500 TILEPROP INT_R_X39Y77 TILE_TYPE INT_R TILEPROP INT_R_X39Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y77 TILE_X 82846 TILEPROP INT_R_X39Y77 TILE_Y 9024 TILEPROP INT_R_X39Y77 TYPE INT_R TILEPROP INT_R_X39Y78 CLASS tile TILEPROP INT_R_X39Y78 COLUMN 99 TILEPROP INT_R_X39Y78 DEVICE_ID 0 TILEPROP INT_R_X39Y78 FIRST_SITE_ID 7383 TILEPROP INT_R_X39Y78 GRID_POINT_X 99 TILEPROP INT_R_X39Y78 GRID_POINT_Y 74 TILEPROP INT_R_X39Y78 INDEX 8609 TILEPROP INT_R_X39Y78 INT_TILE_X 39 TILEPROP INT_R_X39Y78 INT_TILE_Y 71 TILEPROP INT_R_X39Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y78 IS_DCM_TILE 0 TILEPROP INT_R_X39Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y78 NAME INT_R_X39Y78 TILEPROP INT_R_X39Y78 NUM_ARCS 3737 TILEPROP INT_R_X39Y78 NUM_SITES 1 TILEPROP INT_R_X39Y78 ROW 74 TILEPROP INT_R_X39Y78 SLR_REGION_ID 0 TILEPROP INT_R_X39Y78 TILE_PATTERN_IDX 2464 TILEPROP INT_R_X39Y78 TILE_TYPE INT_R TILEPROP INT_R_X39Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y78 TILE_X 82846 TILEPROP INT_R_X39Y78 TILE_Y 12224 TILEPROP INT_R_X39Y78 TYPE INT_R TILEPROP INT_R_X39Y79 CLASS tile TILEPROP INT_R_X39Y79 COLUMN 99 TILEPROP INT_R_X39Y79 DEVICE_ID 0 TILEPROP INT_R_X39Y79 FIRST_SITE_ID 7277 TILEPROP INT_R_X39Y79 GRID_POINT_X 99 TILEPROP INT_R_X39Y79 GRID_POINT_Y 73 TILEPROP INT_R_X39Y79 INDEX 8494 TILEPROP INT_R_X39Y79 INT_TILE_X 39 TILEPROP INT_R_X39Y79 INT_TILE_Y 70 TILEPROP INT_R_X39Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y79 IS_DCM_TILE 0 TILEPROP INT_R_X39Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y79 NAME INT_R_X39Y79 TILEPROP INT_R_X39Y79 NUM_ARCS 3737 TILEPROP INT_R_X39Y79 NUM_SITES 1 TILEPROP INT_R_X39Y79 ROW 73 TILEPROP INT_R_X39Y79 SLR_REGION_ID 0 TILEPROP INT_R_X39Y79 TILE_PATTERN_IDX 2426 TILEPROP INT_R_X39Y79 TILE_TYPE INT_R TILEPROP INT_R_X39Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y79 TILE_X 82846 TILEPROP INT_R_X39Y79 TILE_Y 15424 TILEPROP INT_R_X39Y79 TYPE INT_R TILEPROP INT_R_X39Y80 CLASS tile TILEPROP INT_R_X39Y80 COLUMN 99 TILEPROP INT_R_X39Y80 DEVICE_ID 0 TILEPROP INT_R_X39Y80 FIRST_SITE_ID 7171 TILEPROP INT_R_X39Y80 GRID_POINT_X 99 TILEPROP INT_R_X39Y80 GRID_POINT_Y 72 TILEPROP INT_R_X39Y80 INDEX 8379 TILEPROP INT_R_X39Y80 INT_TILE_X 39 TILEPROP INT_R_X39Y80 INT_TILE_Y 69 TILEPROP INT_R_X39Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y80 IS_DCM_TILE 0 TILEPROP INT_R_X39Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y80 NAME INT_R_X39Y80 TILEPROP INT_R_X39Y80 NUM_ARCS 3737 TILEPROP INT_R_X39Y80 NUM_SITES 1 TILEPROP INT_R_X39Y80 ROW 72 TILEPROP INT_R_X39Y80 SLR_REGION_ID 0 TILEPROP INT_R_X39Y80 TILE_PATTERN_IDX 2390 TILEPROP INT_R_X39Y80 TILE_TYPE INT_R TILEPROP INT_R_X39Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y80 TILE_X 82846 TILEPROP INT_R_X39Y80 TILE_Y 18624 TILEPROP INT_R_X39Y80 TYPE INT_R TILEPROP INT_R_X39Y81 CLASS tile TILEPROP INT_R_X39Y81 COLUMN 99 TILEPROP INT_R_X39Y81 DEVICE_ID 0 TILEPROP INT_R_X39Y81 FIRST_SITE_ID 7054 TILEPROP INT_R_X39Y81 GRID_POINT_X 99 TILEPROP INT_R_X39Y81 GRID_POINT_Y 71 TILEPROP INT_R_X39Y81 INDEX 8264 TILEPROP INT_R_X39Y81 INT_TILE_X 39 TILEPROP INT_R_X39Y81 INT_TILE_Y 68 TILEPROP INT_R_X39Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y81 IS_DCM_TILE 0 TILEPROP INT_R_X39Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y81 NAME INT_R_X39Y81 TILEPROP INT_R_X39Y81 NUM_ARCS 3737 TILEPROP INT_R_X39Y81 NUM_SITES 1 TILEPROP INT_R_X39Y81 ROW 71 TILEPROP INT_R_X39Y81 SLR_REGION_ID 0 TILEPROP INT_R_X39Y81 TILE_PATTERN_IDX 2351 TILEPROP INT_R_X39Y81 TILE_TYPE INT_R TILEPROP INT_R_X39Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y81 TILE_X 82846 TILEPROP INT_R_X39Y81 TILE_Y 21824 TILEPROP INT_R_X39Y81 TYPE INT_R TILEPROP INT_R_X39Y82 CLASS tile TILEPROP INT_R_X39Y82 COLUMN 99 TILEPROP INT_R_X39Y82 DEVICE_ID 0 TILEPROP INT_R_X39Y82 FIRST_SITE_ID 6952 TILEPROP INT_R_X39Y82 GRID_POINT_X 99 TILEPROP INT_R_X39Y82 GRID_POINT_Y 70 TILEPROP INT_R_X39Y82 INDEX 8149 TILEPROP INT_R_X39Y82 INT_TILE_X 39 TILEPROP INT_R_X39Y82 INT_TILE_Y 67 TILEPROP INT_R_X39Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y82 IS_DCM_TILE 0 TILEPROP INT_R_X39Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y82 NAME INT_R_X39Y82 TILEPROP INT_R_X39Y82 NUM_ARCS 3737 TILEPROP INT_R_X39Y82 NUM_SITES 1 TILEPROP INT_R_X39Y82 ROW 70 TILEPROP INT_R_X39Y82 SLR_REGION_ID 0 TILEPROP INT_R_X39Y82 TILE_PATTERN_IDX 2316 TILEPROP INT_R_X39Y82 TILE_TYPE INT_R TILEPROP INT_R_X39Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y82 TILE_X 82846 TILEPROP INT_R_X39Y82 TILE_Y 25024 TILEPROP INT_R_X39Y82 TYPE INT_R TILEPROP INT_R_X39Y83 CLASS tile TILEPROP INT_R_X39Y83 COLUMN 99 TILEPROP INT_R_X39Y83 DEVICE_ID 0 TILEPROP INT_R_X39Y83 FIRST_SITE_ID 6852 TILEPROP INT_R_X39Y83 GRID_POINT_X 99 TILEPROP INT_R_X39Y83 GRID_POINT_Y 69 TILEPROP INT_R_X39Y83 INDEX 8034 TILEPROP INT_R_X39Y83 INT_TILE_X 39 TILEPROP INT_R_X39Y83 INT_TILE_Y 66 TILEPROP INT_R_X39Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y83 IS_DCM_TILE 0 TILEPROP INT_R_X39Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y83 NAME INT_R_X39Y83 TILEPROP INT_R_X39Y83 NUM_ARCS 3737 TILEPROP INT_R_X39Y83 NUM_SITES 1 TILEPROP INT_R_X39Y83 ROW 69 TILEPROP INT_R_X39Y83 SLR_REGION_ID 0 TILEPROP INT_R_X39Y83 TILE_PATTERN_IDX 2278 TILEPROP INT_R_X39Y83 TILE_TYPE INT_R TILEPROP INT_R_X39Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y83 TILE_X 82846 TILEPROP INT_R_X39Y83 TILE_Y 28224 TILEPROP INT_R_X39Y83 TYPE INT_R TILEPROP INT_R_X39Y84 CLASS tile TILEPROP INT_R_X39Y84 COLUMN 99 TILEPROP INT_R_X39Y84 DEVICE_ID 0 TILEPROP INT_R_X39Y84 FIRST_SITE_ID 6752 TILEPROP INT_R_X39Y84 GRID_POINT_X 99 TILEPROP INT_R_X39Y84 GRID_POINT_Y 68 TILEPROP INT_R_X39Y84 INDEX 7919 TILEPROP INT_R_X39Y84 INT_TILE_X 39 TILEPROP INT_R_X39Y84 INT_TILE_Y 65 TILEPROP INT_R_X39Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y84 IS_DCM_TILE 0 TILEPROP INT_R_X39Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y84 NAME INT_R_X39Y84 TILEPROP INT_R_X39Y84 NUM_ARCS 3737 TILEPROP INT_R_X39Y84 NUM_SITES 1 TILEPROP INT_R_X39Y84 ROW 68 TILEPROP INT_R_X39Y84 SLR_REGION_ID 0 TILEPROP INT_R_X39Y84 TILE_PATTERN_IDX 2243 TILEPROP INT_R_X39Y84 TILE_TYPE INT_R TILEPROP INT_R_X39Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y84 TILE_X 82846 TILEPROP INT_R_X39Y84 TILE_Y 31424 TILEPROP INT_R_X39Y84 TYPE INT_R TILEPROP INT_R_X39Y85 CLASS tile TILEPROP INT_R_X39Y85 COLUMN 99 TILEPROP INT_R_X39Y85 DEVICE_ID 0 TILEPROP INT_R_X39Y85 FIRST_SITE_ID 6652 TILEPROP INT_R_X39Y85 GRID_POINT_X 99 TILEPROP INT_R_X39Y85 GRID_POINT_Y 67 TILEPROP INT_R_X39Y85 INDEX 7804 TILEPROP INT_R_X39Y85 INT_TILE_X 39 TILEPROP INT_R_X39Y85 INT_TILE_Y 64 TILEPROP INT_R_X39Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y85 IS_DCM_TILE 0 TILEPROP INT_R_X39Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y85 NAME INT_R_X39Y85 TILEPROP INT_R_X39Y85 NUM_ARCS 3737 TILEPROP INT_R_X39Y85 NUM_SITES 1 TILEPROP INT_R_X39Y85 ROW 67 TILEPROP INT_R_X39Y85 SLR_REGION_ID 0 TILEPROP INT_R_X39Y85 TILE_PATTERN_IDX 2207 TILEPROP INT_R_X39Y85 TILE_TYPE INT_R TILEPROP INT_R_X39Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y85 TILE_X 82846 TILEPROP INT_R_X39Y85 TILE_Y 34624 TILEPROP INT_R_X39Y85 TYPE INT_R TILEPROP INT_R_X39Y86 CLASS tile TILEPROP INT_R_X39Y86 COLUMN 99 TILEPROP INT_R_X39Y86 DEVICE_ID 0 TILEPROP INT_R_X39Y86 FIRST_SITE_ID 6537 TILEPROP INT_R_X39Y86 GRID_POINT_X 99 TILEPROP INT_R_X39Y86 GRID_POINT_Y 66 TILEPROP INT_R_X39Y86 INDEX 7689 TILEPROP INT_R_X39Y86 INT_TILE_X 39 TILEPROP INT_R_X39Y86 INT_TILE_Y 63 TILEPROP INT_R_X39Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y86 IS_DCM_TILE 0 TILEPROP INT_R_X39Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y86 NAME INT_R_X39Y86 TILEPROP INT_R_X39Y86 NUM_ARCS 3737 TILEPROP INT_R_X39Y86 NUM_SITES 1 TILEPROP INT_R_X39Y86 ROW 66 TILEPROP INT_R_X39Y86 SLR_REGION_ID 0 TILEPROP INT_R_X39Y86 TILE_PATTERN_IDX 2171 TILEPROP INT_R_X39Y86 TILE_TYPE INT_R TILEPROP INT_R_X39Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y86 TILE_X 82846 TILEPROP INT_R_X39Y86 TILE_Y 37824 TILEPROP INT_R_X39Y86 TYPE INT_R TILEPROP INT_R_X39Y87 CLASS tile TILEPROP INT_R_X39Y87 COLUMN 99 TILEPROP INT_R_X39Y87 DEVICE_ID 0 TILEPROP INT_R_X39Y87 FIRST_SITE_ID 6405 TILEPROP INT_R_X39Y87 GRID_POINT_X 99 TILEPROP INT_R_X39Y87 GRID_POINT_Y 65 TILEPROP INT_R_X39Y87 INDEX 7574 TILEPROP INT_R_X39Y87 INT_TILE_X 39 TILEPROP INT_R_X39Y87 INT_TILE_Y 62 TILEPROP INT_R_X39Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y87 IS_DCM_TILE 0 TILEPROP INT_R_X39Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y87 NAME INT_R_X39Y87 TILEPROP INT_R_X39Y87 NUM_ARCS 3737 TILEPROP INT_R_X39Y87 NUM_SITES 1 TILEPROP INT_R_X39Y87 ROW 65 TILEPROP INT_R_X39Y87 SLR_REGION_ID 0 TILEPROP INT_R_X39Y87 TILE_PATTERN_IDX 2133 TILEPROP INT_R_X39Y87 TILE_TYPE INT_R TILEPROP INT_R_X39Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y87 TILE_X 82846 TILEPROP INT_R_X39Y87 TILE_Y 41024 TILEPROP INT_R_X39Y87 TYPE INT_R TILEPROP INT_R_X39Y88 CLASS tile TILEPROP INT_R_X39Y88 COLUMN 99 TILEPROP INT_R_X39Y88 DEVICE_ID 0 TILEPROP INT_R_X39Y88 FIRST_SITE_ID 6305 TILEPROP INT_R_X39Y88 GRID_POINT_X 99 TILEPROP INT_R_X39Y88 GRID_POINT_Y 64 TILEPROP INT_R_X39Y88 INDEX 7459 TILEPROP INT_R_X39Y88 INT_TILE_X 39 TILEPROP INT_R_X39Y88 INT_TILE_Y 61 TILEPROP INT_R_X39Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y88 IS_DCM_TILE 0 TILEPROP INT_R_X39Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y88 NAME INT_R_X39Y88 TILEPROP INT_R_X39Y88 NUM_ARCS 3737 TILEPROP INT_R_X39Y88 NUM_SITES 1 TILEPROP INT_R_X39Y88 ROW 64 TILEPROP INT_R_X39Y88 SLR_REGION_ID 0 TILEPROP INT_R_X39Y88 TILE_PATTERN_IDX 2098 TILEPROP INT_R_X39Y88 TILE_TYPE INT_R TILEPROP INT_R_X39Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y88 TILE_X 82846 TILEPROP INT_R_X39Y88 TILE_Y 44224 TILEPROP INT_R_X39Y88 TYPE INT_R TILEPROP INT_R_X39Y89 CLASS tile TILEPROP INT_R_X39Y89 COLUMN 99 TILEPROP INT_R_X39Y89 DEVICE_ID 0 TILEPROP INT_R_X39Y89 FIRST_SITE_ID 6205 TILEPROP INT_R_X39Y89 GRID_POINT_X 99 TILEPROP INT_R_X39Y89 GRID_POINT_Y 63 TILEPROP INT_R_X39Y89 INDEX 7344 TILEPROP INT_R_X39Y89 INT_TILE_X 39 TILEPROP INT_R_X39Y89 INT_TILE_Y 60 TILEPROP INT_R_X39Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y89 IS_DCM_TILE 0 TILEPROP INT_R_X39Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y89 NAME INT_R_X39Y89 TILEPROP INT_R_X39Y89 NUM_ARCS 3737 TILEPROP INT_R_X39Y89 NUM_SITES 1 TILEPROP INT_R_X39Y89 ROW 63 TILEPROP INT_R_X39Y89 SLR_REGION_ID 0 TILEPROP INT_R_X39Y89 TILE_PATTERN_IDX 2062 TILEPROP INT_R_X39Y89 TILE_TYPE INT_R TILEPROP INT_R_X39Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y89 TILE_X 82846 TILEPROP INT_R_X39Y89 TILE_Y 47424 TILEPROP INT_R_X39Y89 TYPE INT_R TILEPROP INT_R_X39Y90 CLASS tile TILEPROP INT_R_X39Y90 COLUMN 99 TILEPROP INT_R_X39Y90 DEVICE_ID 0 TILEPROP INT_R_X39Y90 FIRST_SITE_ID 6105 TILEPROP INT_R_X39Y90 GRID_POINT_X 99 TILEPROP INT_R_X39Y90 GRID_POINT_Y 62 TILEPROP INT_R_X39Y90 INDEX 7229 TILEPROP INT_R_X39Y90 INT_TILE_X 39 TILEPROP INT_R_X39Y90 INT_TILE_Y 59 TILEPROP INT_R_X39Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y90 IS_DCM_TILE 0 TILEPROP INT_R_X39Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y90 NAME INT_R_X39Y90 TILEPROP INT_R_X39Y90 NUM_ARCS 3737 TILEPROP INT_R_X39Y90 NUM_SITES 1 TILEPROP INT_R_X39Y90 ROW 62 TILEPROP INT_R_X39Y90 SLR_REGION_ID 0 TILEPROP INT_R_X39Y90 TILE_PATTERN_IDX 2027 TILEPROP INT_R_X39Y90 TILE_TYPE INT_R TILEPROP INT_R_X39Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y90 TILE_X 82846 TILEPROP INT_R_X39Y90 TILE_Y 50624 TILEPROP INT_R_X39Y90 TYPE INT_R TILEPROP INT_R_X39Y91 CLASS tile TILEPROP INT_R_X39Y91 COLUMN 99 TILEPROP INT_R_X39Y91 DEVICE_ID 0 TILEPROP INT_R_X39Y91 FIRST_SITE_ID 5990 TILEPROP INT_R_X39Y91 GRID_POINT_X 99 TILEPROP INT_R_X39Y91 GRID_POINT_Y 61 TILEPROP INT_R_X39Y91 INDEX 7114 TILEPROP INT_R_X39Y91 INT_TILE_X 39 TILEPROP INT_R_X39Y91 INT_TILE_Y 58 TILEPROP INT_R_X39Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y91 IS_DCM_TILE 0 TILEPROP INT_R_X39Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y91 NAME INT_R_X39Y91 TILEPROP INT_R_X39Y91 NUM_ARCS 3737 TILEPROP INT_R_X39Y91 NUM_SITES 1 TILEPROP INT_R_X39Y91 ROW 61 TILEPROP INT_R_X39Y91 SLR_REGION_ID 0 TILEPROP INT_R_X39Y91 TILE_PATTERN_IDX 1988 TILEPROP INT_R_X39Y91 TILE_TYPE INT_R TILEPROP INT_R_X39Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y91 TILE_X 82846 TILEPROP INT_R_X39Y91 TILE_Y 53824 TILEPROP INT_R_X39Y91 TYPE INT_R TILEPROP INT_R_X39Y92 CLASS tile TILEPROP INT_R_X39Y92 COLUMN 99 TILEPROP INT_R_X39Y92 DEVICE_ID 0 TILEPROP INT_R_X39Y92 FIRST_SITE_ID 5889 TILEPROP INT_R_X39Y92 GRID_POINT_X 99 TILEPROP INT_R_X39Y92 GRID_POINT_Y 60 TILEPROP INT_R_X39Y92 INDEX 6999 TILEPROP INT_R_X39Y92 INT_TILE_X 39 TILEPROP INT_R_X39Y92 INT_TILE_Y 57 TILEPROP INT_R_X39Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y92 IS_DCM_TILE 0 TILEPROP INT_R_X39Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y92 NAME INT_R_X39Y92 TILEPROP INT_R_X39Y92 NUM_ARCS 3737 TILEPROP INT_R_X39Y92 NUM_SITES 1 TILEPROP INT_R_X39Y92 ROW 60 TILEPROP INT_R_X39Y92 SLR_REGION_ID 0 TILEPROP INT_R_X39Y92 TILE_PATTERN_IDX 1950 TILEPROP INT_R_X39Y92 TILE_TYPE INT_R TILEPROP INT_R_X39Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y92 TILE_X 82846 TILEPROP INT_R_X39Y92 TILE_Y 57024 TILEPROP INT_R_X39Y92 TYPE INT_R TILEPROP INT_R_X39Y93 CLASS tile TILEPROP INT_R_X39Y93 COLUMN 99 TILEPROP INT_R_X39Y93 DEVICE_ID 0 TILEPROP INT_R_X39Y93 FIRST_SITE_ID 5786 TILEPROP INT_R_X39Y93 GRID_POINT_X 99 TILEPROP INT_R_X39Y93 GRID_POINT_Y 59 TILEPROP INT_R_X39Y93 INDEX 6884 TILEPROP INT_R_X39Y93 INT_TILE_X 39 TILEPROP INT_R_X39Y93 INT_TILE_Y 56 TILEPROP INT_R_X39Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y93 IS_DCM_TILE 0 TILEPROP INT_R_X39Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y93 NAME INT_R_X39Y93 TILEPROP INT_R_X39Y93 NUM_ARCS 3737 TILEPROP INT_R_X39Y93 NUM_SITES 1 TILEPROP INT_R_X39Y93 ROW 59 TILEPROP INT_R_X39Y93 SLR_REGION_ID 0 TILEPROP INT_R_X39Y93 TILE_PATTERN_IDX 1911 TILEPROP INT_R_X39Y93 TILE_TYPE INT_R TILEPROP INT_R_X39Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y93 TILE_X 82846 TILEPROP INT_R_X39Y93 TILE_Y 60224 TILEPROP INT_R_X39Y93 TYPE INT_R TILEPROP INT_R_X39Y94 CLASS tile TILEPROP INT_R_X39Y94 COLUMN 99 TILEPROP INT_R_X39Y94 DEVICE_ID 0 TILEPROP INT_R_X39Y94 FIRST_SITE_ID 5684 TILEPROP INT_R_X39Y94 GRID_POINT_X 99 TILEPROP INT_R_X39Y94 GRID_POINT_Y 58 TILEPROP INT_R_X39Y94 INDEX 6769 TILEPROP INT_R_X39Y94 INT_TILE_X 39 TILEPROP INT_R_X39Y94 INT_TILE_Y 55 TILEPROP INT_R_X39Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y94 IS_DCM_TILE 0 TILEPROP INT_R_X39Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y94 NAME INT_R_X39Y94 TILEPROP INT_R_X39Y94 NUM_ARCS 3737 TILEPROP INT_R_X39Y94 NUM_SITES 1 TILEPROP INT_R_X39Y94 ROW 58 TILEPROP INT_R_X39Y94 SLR_REGION_ID 0 TILEPROP INT_R_X39Y94 TILE_PATTERN_IDX 1875 TILEPROP INT_R_X39Y94 TILE_TYPE INT_R TILEPROP INT_R_X39Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y94 TILE_X 82846 TILEPROP INT_R_X39Y94 TILE_Y 63424 TILEPROP INT_R_X39Y94 TYPE INT_R TILEPROP INT_R_X39Y95 CLASS tile TILEPROP INT_R_X39Y95 COLUMN 99 TILEPROP INT_R_X39Y95 DEVICE_ID 0 TILEPROP INT_R_X39Y95 FIRST_SITE_ID 5584 TILEPROP INT_R_X39Y95 GRID_POINT_X 99 TILEPROP INT_R_X39Y95 GRID_POINT_Y 57 TILEPROP INT_R_X39Y95 INDEX 6654 TILEPROP INT_R_X39Y95 INT_TILE_X 39 TILEPROP INT_R_X39Y95 INT_TILE_Y 54 TILEPROP INT_R_X39Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y95 IS_DCM_TILE 0 TILEPROP INT_R_X39Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y95 NAME INT_R_X39Y95 TILEPROP INT_R_X39Y95 NUM_ARCS 3737 TILEPROP INT_R_X39Y95 NUM_SITES 1 TILEPROP INT_R_X39Y95 ROW 57 TILEPROP INT_R_X39Y95 SLR_REGION_ID 0 TILEPROP INT_R_X39Y95 TILE_PATTERN_IDX 1839 TILEPROP INT_R_X39Y95 TILE_TYPE INT_R TILEPROP INT_R_X39Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y95 TILE_X 82846 TILEPROP INT_R_X39Y95 TILE_Y 66624 TILEPROP INT_R_X39Y95 TYPE INT_R TILEPROP INT_R_X39Y96 CLASS tile TILEPROP INT_R_X39Y96 COLUMN 99 TILEPROP INT_R_X39Y96 DEVICE_ID 0 TILEPROP INT_R_X39Y96 FIRST_SITE_ID 5469 TILEPROP INT_R_X39Y96 GRID_POINT_X 99 TILEPROP INT_R_X39Y96 GRID_POINT_Y 56 TILEPROP INT_R_X39Y96 INDEX 6539 TILEPROP INT_R_X39Y96 INT_TILE_X 39 TILEPROP INT_R_X39Y96 INT_TILE_Y 53 TILEPROP INT_R_X39Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y96 IS_DCM_TILE 0 TILEPROP INT_R_X39Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y96 NAME INT_R_X39Y96 TILEPROP INT_R_X39Y96 NUM_ARCS 3737 TILEPROP INT_R_X39Y96 NUM_SITES 1 TILEPROP INT_R_X39Y96 ROW 56 TILEPROP INT_R_X39Y96 SLR_REGION_ID 0 TILEPROP INT_R_X39Y96 TILE_PATTERN_IDX 1797 TILEPROP INT_R_X39Y96 TILE_TYPE INT_R TILEPROP INT_R_X39Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y96 TILE_X 82846 TILEPROP INT_R_X39Y96 TILE_Y 69824 TILEPROP INT_R_X39Y96 TYPE INT_R TILEPROP INT_R_X39Y97 CLASS tile TILEPROP INT_R_X39Y97 COLUMN 99 TILEPROP INT_R_X39Y97 DEVICE_ID 0 TILEPROP INT_R_X39Y97 FIRST_SITE_ID 5369 TILEPROP INT_R_X39Y97 GRID_POINT_X 99 TILEPROP INT_R_X39Y97 GRID_POINT_Y 55 TILEPROP INT_R_X39Y97 INDEX 6424 TILEPROP INT_R_X39Y97 INT_TILE_X 39 TILEPROP INT_R_X39Y97 INT_TILE_Y 52 TILEPROP INT_R_X39Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y97 IS_DCM_TILE 0 TILEPROP INT_R_X39Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y97 NAME INT_R_X39Y97 TILEPROP INT_R_X39Y97 NUM_ARCS 3737 TILEPROP INT_R_X39Y97 NUM_SITES 1 TILEPROP INT_R_X39Y97 ROW 55 TILEPROP INT_R_X39Y97 SLR_REGION_ID 0 TILEPROP INT_R_X39Y97 TILE_PATTERN_IDX 1757 TILEPROP INT_R_X39Y97 TILE_TYPE INT_R TILEPROP INT_R_X39Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y97 TILE_X 82846 TILEPROP INT_R_X39Y97 TILE_Y 73024 TILEPROP INT_R_X39Y97 TYPE INT_R TILEPROP INT_R_X39Y98 CLASS tile TILEPROP INT_R_X39Y98 COLUMN 99 TILEPROP INT_R_X39Y98 DEVICE_ID 0 TILEPROP INT_R_X39Y98 FIRST_SITE_ID 5269 TILEPROP INT_R_X39Y98 GRID_POINT_X 99 TILEPROP INT_R_X39Y98 GRID_POINT_Y 54 TILEPROP INT_R_X39Y98 INDEX 6309 TILEPROP INT_R_X39Y98 INT_TILE_X 39 TILEPROP INT_R_X39Y98 INT_TILE_Y 51 TILEPROP INT_R_X39Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y98 IS_DCM_TILE 0 TILEPROP INT_R_X39Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y98 NAME INT_R_X39Y98 TILEPROP INT_R_X39Y98 NUM_ARCS 3737 TILEPROP INT_R_X39Y98 NUM_SITES 1 TILEPROP INT_R_X39Y98 ROW 54 TILEPROP INT_R_X39Y98 SLR_REGION_ID 0 TILEPROP INT_R_X39Y98 TILE_PATTERN_IDX 1719 TILEPROP INT_R_X39Y98 TILE_TYPE INT_R TILEPROP INT_R_X39Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y98 TILE_X 82846 TILEPROP INT_R_X39Y98 TILE_Y 76224 TILEPROP INT_R_X39Y98 TYPE INT_R TILEPROP INT_R_X39Y99 CLASS tile TILEPROP INT_R_X39Y99 COLUMN 99 TILEPROP INT_R_X39Y99 DEVICE_ID 0 TILEPROP INT_R_X39Y99 FIRST_SITE_ID 5173 TILEPROP INT_R_X39Y99 GRID_POINT_X 99 TILEPROP INT_R_X39Y99 GRID_POINT_Y 53 TILEPROP INT_R_X39Y99 INDEX 6194 TILEPROP INT_R_X39Y99 INT_TILE_X 39 TILEPROP INT_R_X39Y99 INT_TILE_Y 50 TILEPROP INT_R_X39Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X39Y99 IS_DCM_TILE 0 TILEPROP INT_R_X39Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X39Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X39Y99 NAME INT_R_X39Y99 TILEPROP INT_R_X39Y99 NUM_ARCS 3737 TILEPROP INT_R_X39Y99 NUM_SITES 1 TILEPROP INT_R_X39Y99 ROW 53 TILEPROP INT_R_X39Y99 SLR_REGION_ID 0 TILEPROP INT_R_X39Y99 TILE_PATTERN_IDX 1678 TILEPROP INT_R_X39Y99 TILE_TYPE INT_R TILEPROP INT_R_X39Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X39Y99 TILE_X 82846 TILEPROP INT_R_X39Y99 TILE_Y 79424 TILEPROP INT_R_X39Y99 TYPE INT_R TILEPROP INT_R_X3Y0 CLASS tile TILEPROP INT_R_X3Y0 COLUMN 12 TILEPROP INT_R_X3Y0 DEVICE_ID 0 TILEPROP INT_R_X3Y0 FIRST_SITE_ID 15711 TILEPROP INT_R_X3Y0 GRID_POINT_X 12 TILEPROP INT_R_X3Y0 GRID_POINT_Y 155 TILEPROP INT_R_X3Y0 INDEX 17837 TILEPROP INT_R_X3Y0 INT_TILE_X 3 TILEPROP INT_R_X3Y0 INT_TILE_Y 149 TILEPROP INT_R_X3Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y0 IS_DCM_TILE 0 TILEPROP INT_R_X3Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y0 NAME INT_R_X3Y0 TILEPROP INT_R_X3Y0 NUM_ARCS 3737 TILEPROP INT_R_X3Y0 NUM_SITES 1 TILEPROP INT_R_X3Y0 ROW 155 TILEPROP INT_R_X3Y0 SLR_REGION_ID 0 TILEPROP INT_R_X3Y0 TILE_PATTERN_IDX 8736 TILEPROP INT_R_X3Y0 TILE_TYPE INT_R TILEPROP INT_R_X3Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y0 TILE_X -81678 TILEPROP INT_R_X3Y0 TILE_Y -239672 TILEPROP INT_R_X3Y0 TYPE INT_R TILEPROP INT_R_X3Y1 CLASS tile TILEPROP INT_R_X3Y1 COLUMN 12 TILEPROP INT_R_X3Y1 DEVICE_ID 0 TILEPROP INT_R_X3Y1 FIRST_SITE_ID 15606 TILEPROP INT_R_X3Y1 GRID_POINT_X 12 TILEPROP INT_R_X3Y1 GRID_POINT_Y 154 TILEPROP INT_R_X3Y1 INDEX 17722 TILEPROP INT_R_X3Y1 INT_TILE_X 3 TILEPROP INT_R_X3Y1 INT_TILE_Y 148 TILEPROP INT_R_X3Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y1 IS_DCM_TILE 0 TILEPROP INT_R_X3Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y1 NAME INT_R_X3Y1 TILEPROP INT_R_X3Y1 NUM_ARCS 3737 TILEPROP INT_R_X3Y1 NUM_SITES 1 TILEPROP INT_R_X3Y1 ROW 154 TILEPROP INT_R_X3Y1 SLR_REGION_ID 0 TILEPROP INT_R_X3Y1 TILE_PATTERN_IDX 8705 TILEPROP INT_R_X3Y1 TILE_TYPE INT_R TILEPROP INT_R_X3Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y1 TILE_X -81678 TILEPROP INT_R_X3Y1 TILE_Y -236472 TILEPROP INT_R_X3Y1 TYPE INT_R TILEPROP INT_R_X3Y2 CLASS tile TILEPROP INT_R_X3Y2 COLUMN 12 TILEPROP INT_R_X3Y2 DEVICE_ID 0 TILEPROP INT_R_X3Y2 FIRST_SITE_ID 15506 TILEPROP INT_R_X3Y2 GRID_POINT_X 12 TILEPROP INT_R_X3Y2 GRID_POINT_Y 153 TILEPROP INT_R_X3Y2 INDEX 17607 TILEPROP INT_R_X3Y2 INT_TILE_X 3 TILEPROP INT_R_X3Y2 INT_TILE_Y 147 TILEPROP INT_R_X3Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y2 IS_DCM_TILE 0 TILEPROP INT_R_X3Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y2 NAME INT_R_X3Y2 TILEPROP INT_R_X3Y2 NUM_ARCS 3737 TILEPROP INT_R_X3Y2 NUM_SITES 1 TILEPROP INT_R_X3Y2 ROW 153 TILEPROP INT_R_X3Y2 SLR_REGION_ID 0 TILEPROP INT_R_X3Y2 TILE_PATTERN_IDX 8675 TILEPROP INT_R_X3Y2 TILE_TYPE INT_R TILEPROP INT_R_X3Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y2 TILE_X -81678 TILEPROP INT_R_X3Y2 TILE_Y -233272 TILEPROP INT_R_X3Y2 TYPE INT_R TILEPROP INT_R_X3Y3 CLASS tile TILEPROP INT_R_X3Y3 COLUMN 12 TILEPROP INT_R_X3Y3 DEVICE_ID 0 TILEPROP INT_R_X3Y3 FIRST_SITE_ID 15406 TILEPROP INT_R_X3Y3 GRID_POINT_X 12 TILEPROP INT_R_X3Y3 GRID_POINT_Y 152 TILEPROP INT_R_X3Y3 INDEX 17492 TILEPROP INT_R_X3Y3 INT_TILE_X 3 TILEPROP INT_R_X3Y3 INT_TILE_Y 146 TILEPROP INT_R_X3Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y3 IS_DCM_TILE 0 TILEPROP INT_R_X3Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y3 NAME INT_R_X3Y3 TILEPROP INT_R_X3Y3 NUM_ARCS 3737 TILEPROP INT_R_X3Y3 NUM_SITES 1 TILEPROP INT_R_X3Y3 ROW 152 TILEPROP INT_R_X3Y3 SLR_REGION_ID 0 TILEPROP INT_R_X3Y3 TILE_PATTERN_IDX 8644 TILEPROP INT_R_X3Y3 TILE_TYPE INT_R TILEPROP INT_R_X3Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y3 TILE_X -81678 TILEPROP INT_R_X3Y3 TILE_Y -230072 TILEPROP INT_R_X3Y3 TYPE INT_R TILEPROP INT_R_X3Y4 CLASS tile TILEPROP INT_R_X3Y4 COLUMN 12 TILEPROP INT_R_X3Y4 DEVICE_ID 0 TILEPROP INT_R_X3Y4 FIRST_SITE_ID 15306 TILEPROP INT_R_X3Y4 GRID_POINT_X 12 TILEPROP INT_R_X3Y4 GRID_POINT_Y 151 TILEPROP INT_R_X3Y4 INDEX 17377 TILEPROP INT_R_X3Y4 INT_TILE_X 3 TILEPROP INT_R_X3Y4 INT_TILE_Y 145 TILEPROP INT_R_X3Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y4 IS_DCM_TILE 0 TILEPROP INT_R_X3Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y4 NAME INT_R_X3Y4 TILEPROP INT_R_X3Y4 NUM_ARCS 3737 TILEPROP INT_R_X3Y4 NUM_SITES 1 TILEPROP INT_R_X3Y4 ROW 151 TILEPROP INT_R_X3Y4 SLR_REGION_ID 0 TILEPROP INT_R_X3Y4 TILE_PATTERN_IDX 8614 TILEPROP INT_R_X3Y4 TILE_TYPE INT_R TILEPROP INT_R_X3Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y4 TILE_X -81678 TILEPROP INT_R_X3Y4 TILE_Y -226872 TILEPROP INT_R_X3Y4 TYPE INT_R TILEPROP INT_R_X3Y5 CLASS tile TILEPROP INT_R_X3Y5 COLUMN 12 TILEPROP INT_R_X3Y5 DEVICE_ID 0 TILEPROP INT_R_X3Y5 FIRST_SITE_ID 15191 TILEPROP INT_R_X3Y5 GRID_POINT_X 12 TILEPROP INT_R_X3Y5 GRID_POINT_Y 150 TILEPROP INT_R_X3Y5 INDEX 17262 TILEPROP INT_R_X3Y5 INT_TILE_X 3 TILEPROP INT_R_X3Y5 INT_TILE_Y 144 TILEPROP INT_R_X3Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y5 IS_DCM_TILE 0 TILEPROP INT_R_X3Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y5 NAME INT_R_X3Y5 TILEPROP INT_R_X3Y5 NUM_ARCS 3737 TILEPROP INT_R_X3Y5 NUM_SITES 1 TILEPROP INT_R_X3Y5 ROW 150 TILEPROP INT_R_X3Y5 SLR_REGION_ID 0 TILEPROP INT_R_X3Y5 TILE_PATTERN_IDX 8583 TILEPROP INT_R_X3Y5 TILE_TYPE INT_R TILEPROP INT_R_X3Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y5 TILE_X -81678 TILEPROP INT_R_X3Y5 TILE_Y -223672 TILEPROP INT_R_X3Y5 TYPE INT_R TILEPROP INT_R_X3Y6 CLASS tile TILEPROP INT_R_X3Y6 COLUMN 12 TILEPROP INT_R_X3Y6 DEVICE_ID 0 TILEPROP INT_R_X3Y6 FIRST_SITE_ID 15091 TILEPROP INT_R_X3Y6 GRID_POINT_X 12 TILEPROP INT_R_X3Y6 GRID_POINT_Y 149 TILEPROP INT_R_X3Y6 INDEX 17147 TILEPROP INT_R_X3Y6 INT_TILE_X 3 TILEPROP INT_R_X3Y6 INT_TILE_Y 143 TILEPROP INT_R_X3Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y6 IS_DCM_TILE 0 TILEPROP INT_R_X3Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y6 NAME INT_R_X3Y6 TILEPROP INT_R_X3Y6 NUM_ARCS 3737 TILEPROP INT_R_X3Y6 NUM_SITES 1 TILEPROP INT_R_X3Y6 ROW 149 TILEPROP INT_R_X3Y6 SLR_REGION_ID 0 TILEPROP INT_R_X3Y6 TILE_PATTERN_IDX 8553 TILEPROP INT_R_X3Y6 TILE_TYPE INT_R TILEPROP INT_R_X3Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y6 TILE_X -81678 TILEPROP INT_R_X3Y6 TILE_Y -220472 TILEPROP INT_R_X3Y6 TYPE INT_R TILEPROP INT_R_X3Y7 CLASS tile TILEPROP INT_R_X3Y7 COLUMN 12 TILEPROP INT_R_X3Y7 DEVICE_ID 0 TILEPROP INT_R_X3Y7 FIRST_SITE_ID 14989 TILEPROP INT_R_X3Y7 GRID_POINT_X 12 TILEPROP INT_R_X3Y7 GRID_POINT_Y 148 TILEPROP INT_R_X3Y7 INDEX 17032 TILEPROP INT_R_X3Y7 INT_TILE_X 3 TILEPROP INT_R_X3Y7 INT_TILE_Y 142 TILEPROP INT_R_X3Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y7 IS_DCM_TILE 0 TILEPROP INT_R_X3Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y7 NAME INT_R_X3Y7 TILEPROP INT_R_X3Y7 NUM_ARCS 3737 TILEPROP INT_R_X3Y7 NUM_SITES 1 TILEPROP INT_R_X3Y7 ROW 148 TILEPROP INT_R_X3Y7 SLR_REGION_ID 0 TILEPROP INT_R_X3Y7 TILE_PATTERN_IDX 8522 TILEPROP INT_R_X3Y7 TILE_TYPE INT_R TILEPROP INT_R_X3Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y7 TILE_X -81678 TILEPROP INT_R_X3Y7 TILE_Y -217272 TILEPROP INT_R_X3Y7 TYPE INT_R TILEPROP INT_R_X3Y8 CLASS tile TILEPROP INT_R_X3Y8 COLUMN 12 TILEPROP INT_R_X3Y8 DEVICE_ID 0 TILEPROP INT_R_X3Y8 FIRST_SITE_ID 14886 TILEPROP INT_R_X3Y8 GRID_POINT_X 12 TILEPROP INT_R_X3Y8 GRID_POINT_Y 147 TILEPROP INT_R_X3Y8 INDEX 16917 TILEPROP INT_R_X3Y8 INT_TILE_X 3 TILEPROP INT_R_X3Y8 INT_TILE_Y 141 TILEPROP INT_R_X3Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y8 IS_DCM_TILE 0 TILEPROP INT_R_X3Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y8 NAME INT_R_X3Y8 TILEPROP INT_R_X3Y8 NUM_ARCS 3737 TILEPROP INT_R_X3Y8 NUM_SITES 1 TILEPROP INT_R_X3Y8 ROW 147 TILEPROP INT_R_X3Y8 SLR_REGION_ID 0 TILEPROP INT_R_X3Y8 TILE_PATTERN_IDX 8492 TILEPROP INT_R_X3Y8 TILE_TYPE INT_R TILEPROP INT_R_X3Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y8 TILE_X -81678 TILEPROP INT_R_X3Y8 TILE_Y -214072 TILEPROP INT_R_X3Y8 TYPE INT_R TILEPROP INT_R_X3Y9 CLASS tile TILEPROP INT_R_X3Y9 COLUMN 12 TILEPROP INT_R_X3Y9 DEVICE_ID 0 TILEPROP INT_R_X3Y9 FIRST_SITE_ID 14785 TILEPROP INT_R_X3Y9 GRID_POINT_X 12 TILEPROP INT_R_X3Y9 GRID_POINT_Y 146 TILEPROP INT_R_X3Y9 INDEX 16802 TILEPROP INT_R_X3Y9 INT_TILE_X 3 TILEPROP INT_R_X3Y9 INT_TILE_Y 140 TILEPROP INT_R_X3Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y9 IS_DCM_TILE 0 TILEPROP INT_R_X3Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y9 NAME INT_R_X3Y9 TILEPROP INT_R_X3Y9 NUM_ARCS 3737 TILEPROP INT_R_X3Y9 NUM_SITES 1 TILEPROP INT_R_X3Y9 ROW 146 TILEPROP INT_R_X3Y9 SLR_REGION_ID 0 TILEPROP INT_R_X3Y9 TILE_PATTERN_IDX 8461 TILEPROP INT_R_X3Y9 TILE_TYPE INT_R TILEPROP INT_R_X3Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y9 TILE_X -81678 TILEPROP INT_R_X3Y9 TILE_Y -210872 TILEPROP INT_R_X3Y9 TYPE INT_R TILEPROP INT_R_X3Y10 CLASS tile TILEPROP INT_R_X3Y10 COLUMN 12 TILEPROP INT_R_X3Y10 DEVICE_ID 0 TILEPROP INT_R_X3Y10 FIRST_SITE_ID 14670 TILEPROP INT_R_X3Y10 GRID_POINT_X 12 TILEPROP INT_R_X3Y10 GRID_POINT_Y 145 TILEPROP INT_R_X3Y10 INDEX 16687 TILEPROP INT_R_X3Y10 INT_TILE_X 3 TILEPROP INT_R_X3Y10 INT_TILE_Y 139 TILEPROP INT_R_X3Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y10 IS_DCM_TILE 0 TILEPROP INT_R_X3Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y10 NAME INT_R_X3Y10 TILEPROP INT_R_X3Y10 NUM_ARCS 3737 TILEPROP INT_R_X3Y10 NUM_SITES 1 TILEPROP INT_R_X3Y10 ROW 145 TILEPROP INT_R_X3Y10 SLR_REGION_ID 0 TILEPROP INT_R_X3Y10 TILE_PATTERN_IDX 8431 TILEPROP INT_R_X3Y10 TILE_TYPE INT_R TILEPROP INT_R_X3Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y10 TILE_X -81678 TILEPROP INT_R_X3Y10 TILE_Y -207672 TILEPROP INT_R_X3Y10 TYPE INT_R TILEPROP INT_R_X3Y11 CLASS tile TILEPROP INT_R_X3Y11 COLUMN 12 TILEPROP INT_R_X3Y11 DEVICE_ID 0 TILEPROP INT_R_X3Y11 FIRST_SITE_ID 14570 TILEPROP INT_R_X3Y11 GRID_POINT_X 12 TILEPROP INT_R_X3Y11 GRID_POINT_Y 144 TILEPROP INT_R_X3Y11 INDEX 16572 TILEPROP INT_R_X3Y11 INT_TILE_X 3 TILEPROP INT_R_X3Y11 INT_TILE_Y 138 TILEPROP INT_R_X3Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y11 IS_DCM_TILE 0 TILEPROP INT_R_X3Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y11 NAME INT_R_X3Y11 TILEPROP INT_R_X3Y11 NUM_ARCS 3737 TILEPROP INT_R_X3Y11 NUM_SITES 1 TILEPROP INT_R_X3Y11 ROW 144 TILEPROP INT_R_X3Y11 SLR_REGION_ID 0 TILEPROP INT_R_X3Y11 TILE_PATTERN_IDX 8400 TILEPROP INT_R_X3Y11 TILE_TYPE INT_R TILEPROP INT_R_X3Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y11 TILE_X -81678 TILEPROP INT_R_X3Y11 TILE_Y -204472 TILEPROP INT_R_X3Y11 TYPE INT_R TILEPROP INT_R_X3Y12 CLASS tile TILEPROP INT_R_X3Y12 COLUMN 12 TILEPROP INT_R_X3Y12 DEVICE_ID 0 TILEPROP INT_R_X3Y12 FIRST_SITE_ID 14438 TILEPROP INT_R_X3Y12 GRID_POINT_X 12 TILEPROP INT_R_X3Y12 GRID_POINT_Y 143 TILEPROP INT_R_X3Y12 INDEX 16457 TILEPROP INT_R_X3Y12 INT_TILE_X 3 TILEPROP INT_R_X3Y12 INT_TILE_Y 137 TILEPROP INT_R_X3Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y12 IS_DCM_TILE 0 TILEPROP INT_R_X3Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y12 NAME INT_R_X3Y12 TILEPROP INT_R_X3Y12 NUM_ARCS 3737 TILEPROP INT_R_X3Y12 NUM_SITES 1 TILEPROP INT_R_X3Y12 ROW 143 TILEPROP INT_R_X3Y12 SLR_REGION_ID 0 TILEPROP INT_R_X3Y12 TILE_PATTERN_IDX 8370 TILEPROP INT_R_X3Y12 TILE_TYPE INT_R TILEPROP INT_R_X3Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y12 TILE_X -81678 TILEPROP INT_R_X3Y12 TILE_Y -201272 TILEPROP INT_R_X3Y12 TYPE INT_R TILEPROP INT_R_X3Y13 CLASS tile TILEPROP INT_R_X3Y13 COLUMN 12 TILEPROP INT_R_X3Y13 DEVICE_ID 0 TILEPROP INT_R_X3Y13 FIRST_SITE_ID 14338 TILEPROP INT_R_X3Y13 GRID_POINT_X 12 TILEPROP INT_R_X3Y13 GRID_POINT_Y 142 TILEPROP INT_R_X3Y13 INDEX 16342 TILEPROP INT_R_X3Y13 INT_TILE_X 3 TILEPROP INT_R_X3Y13 INT_TILE_Y 136 TILEPROP INT_R_X3Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y13 IS_DCM_TILE 0 TILEPROP INT_R_X3Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y13 NAME INT_R_X3Y13 TILEPROP INT_R_X3Y13 NUM_ARCS 3737 TILEPROP INT_R_X3Y13 NUM_SITES 1 TILEPROP INT_R_X3Y13 ROW 142 TILEPROP INT_R_X3Y13 SLR_REGION_ID 0 TILEPROP INT_R_X3Y13 TILE_PATTERN_IDX 8339 TILEPROP INT_R_X3Y13 TILE_TYPE INT_R TILEPROP INT_R_X3Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y13 TILE_X -81678 TILEPROP INT_R_X3Y13 TILE_Y -198072 TILEPROP INT_R_X3Y13 TYPE INT_R TILEPROP INT_R_X3Y14 CLASS tile TILEPROP INT_R_X3Y14 COLUMN 12 TILEPROP INT_R_X3Y14 DEVICE_ID 0 TILEPROP INT_R_X3Y14 FIRST_SITE_ID 14238 TILEPROP INT_R_X3Y14 GRID_POINT_X 12 TILEPROP INT_R_X3Y14 GRID_POINT_Y 141 TILEPROP INT_R_X3Y14 INDEX 16227 TILEPROP INT_R_X3Y14 INT_TILE_X 3 TILEPROP INT_R_X3Y14 INT_TILE_Y 135 TILEPROP INT_R_X3Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y14 IS_DCM_TILE 0 TILEPROP INT_R_X3Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y14 NAME INT_R_X3Y14 TILEPROP INT_R_X3Y14 NUM_ARCS 3737 TILEPROP INT_R_X3Y14 NUM_SITES 1 TILEPROP INT_R_X3Y14 ROW 141 TILEPROP INT_R_X3Y14 SLR_REGION_ID 0 TILEPROP INT_R_X3Y14 TILE_PATTERN_IDX 8309 TILEPROP INT_R_X3Y14 TILE_TYPE INT_R TILEPROP INT_R_X3Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y14 TILE_X -81678 TILEPROP INT_R_X3Y14 TILE_Y -194872 TILEPROP INT_R_X3Y14 TYPE INT_R TILEPROP INT_R_X3Y15 CLASS tile TILEPROP INT_R_X3Y15 COLUMN 12 TILEPROP INT_R_X3Y15 DEVICE_ID 0 TILEPROP INT_R_X3Y15 FIRST_SITE_ID 14123 TILEPROP INT_R_X3Y15 GRID_POINT_X 12 TILEPROP INT_R_X3Y15 GRID_POINT_Y 140 TILEPROP INT_R_X3Y15 INDEX 16112 TILEPROP INT_R_X3Y15 INT_TILE_X 3 TILEPROP INT_R_X3Y15 INT_TILE_Y 134 TILEPROP INT_R_X3Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y15 IS_DCM_TILE 0 TILEPROP INT_R_X3Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y15 NAME INT_R_X3Y15 TILEPROP INT_R_X3Y15 NUM_ARCS 3737 TILEPROP INT_R_X3Y15 NUM_SITES 1 TILEPROP INT_R_X3Y15 ROW 140 TILEPROP INT_R_X3Y15 SLR_REGION_ID 0 TILEPROP INT_R_X3Y15 TILE_PATTERN_IDX 8278 TILEPROP INT_R_X3Y15 TILE_TYPE INT_R TILEPROP INT_R_X3Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y15 TILE_X -81678 TILEPROP INT_R_X3Y15 TILE_Y -191672 TILEPROP INT_R_X3Y15 TYPE INT_R TILEPROP INT_R_X3Y16 CLASS tile TILEPROP INT_R_X3Y16 COLUMN 12 TILEPROP INT_R_X3Y16 DEVICE_ID 0 TILEPROP INT_R_X3Y16 FIRST_SITE_ID 14023 TILEPROP INT_R_X3Y16 GRID_POINT_X 12 TILEPROP INT_R_X3Y16 GRID_POINT_Y 139 TILEPROP INT_R_X3Y16 INDEX 15997 TILEPROP INT_R_X3Y16 INT_TILE_X 3 TILEPROP INT_R_X3Y16 INT_TILE_Y 133 TILEPROP INT_R_X3Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y16 IS_DCM_TILE 0 TILEPROP INT_R_X3Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y16 NAME INT_R_X3Y16 TILEPROP INT_R_X3Y16 NUM_ARCS 3737 TILEPROP INT_R_X3Y16 NUM_SITES 1 TILEPROP INT_R_X3Y16 ROW 139 TILEPROP INT_R_X3Y16 SLR_REGION_ID 0 TILEPROP INT_R_X3Y16 TILE_PATTERN_IDX 8248 TILEPROP INT_R_X3Y16 TILE_TYPE INT_R TILEPROP INT_R_X3Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y16 TILE_X -81678 TILEPROP INT_R_X3Y16 TILE_Y -188472 TILEPROP INT_R_X3Y16 TYPE INT_R TILEPROP INT_R_X3Y17 CLASS tile TILEPROP INT_R_X3Y17 COLUMN 12 TILEPROP INT_R_X3Y17 DEVICE_ID 0 TILEPROP INT_R_X3Y17 FIRST_SITE_ID 13918 TILEPROP INT_R_X3Y17 GRID_POINT_X 12 TILEPROP INT_R_X3Y17 GRID_POINT_Y 138 TILEPROP INT_R_X3Y17 INDEX 15882 TILEPROP INT_R_X3Y17 INT_TILE_X 3 TILEPROP INT_R_X3Y17 INT_TILE_Y 132 TILEPROP INT_R_X3Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y17 IS_DCM_TILE 0 TILEPROP INT_R_X3Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y17 NAME INT_R_X3Y17 TILEPROP INT_R_X3Y17 NUM_ARCS 3737 TILEPROP INT_R_X3Y17 NUM_SITES 1 TILEPROP INT_R_X3Y17 ROW 138 TILEPROP INT_R_X3Y17 SLR_REGION_ID 0 TILEPROP INT_R_X3Y17 TILE_PATTERN_IDX 8216 TILEPROP INT_R_X3Y17 TILE_TYPE INT_R TILEPROP INT_R_X3Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y17 TILE_X -81678 TILEPROP INT_R_X3Y17 TILE_Y -185272 TILEPROP INT_R_X3Y17 TYPE INT_R TILEPROP INT_R_X3Y18 CLASS tile TILEPROP INT_R_X3Y18 COLUMN 12 TILEPROP INT_R_X3Y18 DEVICE_ID 0 TILEPROP INT_R_X3Y18 FIRST_SITE_ID 13814 TILEPROP INT_R_X3Y18 GRID_POINT_X 12 TILEPROP INT_R_X3Y18 GRID_POINT_Y 137 TILEPROP INT_R_X3Y18 INDEX 15767 TILEPROP INT_R_X3Y18 INT_TILE_X 3 TILEPROP INT_R_X3Y18 INT_TILE_Y 131 TILEPROP INT_R_X3Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y18 IS_DCM_TILE 0 TILEPROP INT_R_X3Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y18 NAME INT_R_X3Y18 TILEPROP INT_R_X3Y18 NUM_ARCS 3737 TILEPROP INT_R_X3Y18 NUM_SITES 1 TILEPROP INT_R_X3Y18 ROW 137 TILEPROP INT_R_X3Y18 SLR_REGION_ID 0 TILEPROP INT_R_X3Y18 TILE_PATTERN_IDX 8193 TILEPROP INT_R_X3Y18 TILE_TYPE INT_R TILEPROP INT_R_X3Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y18 TILE_X -81678 TILEPROP INT_R_X3Y18 TILE_Y -182072 TILEPROP INT_R_X3Y18 TYPE INT_R TILEPROP INT_R_X3Y19 CLASS tile TILEPROP INT_R_X3Y19 COLUMN 12 TILEPROP INT_R_X3Y19 DEVICE_ID 0 TILEPROP INT_R_X3Y19 FIRST_SITE_ID 13712 TILEPROP INT_R_X3Y19 GRID_POINT_X 12 TILEPROP INT_R_X3Y19 GRID_POINT_Y 136 TILEPROP INT_R_X3Y19 INDEX 15652 TILEPROP INT_R_X3Y19 INT_TILE_X 3 TILEPROP INT_R_X3Y19 INT_TILE_Y 130 TILEPROP INT_R_X3Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y19 IS_DCM_TILE 0 TILEPROP INT_R_X3Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y19 NAME INT_R_X3Y19 TILEPROP INT_R_X3Y19 NUM_ARCS 3737 TILEPROP INT_R_X3Y19 NUM_SITES 1 TILEPROP INT_R_X3Y19 ROW 136 TILEPROP INT_R_X3Y19 SLR_REGION_ID 0 TILEPROP INT_R_X3Y19 TILE_PATTERN_IDX 8170 TILEPROP INT_R_X3Y19 TILE_TYPE INT_R TILEPROP INT_R_X3Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y19 TILE_X -81678 TILEPROP INT_R_X3Y19 TILE_Y -178872 TILEPROP INT_R_X3Y19 TYPE INT_R TILEPROP INT_R_X3Y20 CLASS tile TILEPROP INT_R_X3Y20 COLUMN 12 TILEPROP INT_R_X3Y20 DEVICE_ID 0 TILEPROP INT_R_X3Y20 FIRST_SITE_ID 13595 TILEPROP INT_R_X3Y20 GRID_POINT_X 12 TILEPROP INT_R_X3Y20 GRID_POINT_Y 135 TILEPROP INT_R_X3Y20 INDEX 15537 TILEPROP INT_R_X3Y20 INT_TILE_X 3 TILEPROP INT_R_X3Y20 INT_TILE_Y 129 TILEPROP INT_R_X3Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y20 IS_DCM_TILE 0 TILEPROP INT_R_X3Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y20 NAME INT_R_X3Y20 TILEPROP INT_R_X3Y20 NUM_ARCS 3737 TILEPROP INT_R_X3Y20 NUM_SITES 1 TILEPROP INT_R_X3Y20 ROW 135 TILEPROP INT_R_X3Y20 SLR_REGION_ID 0 TILEPROP INT_R_X3Y20 TILE_PATTERN_IDX 8148 TILEPROP INT_R_X3Y20 TILE_TYPE INT_R TILEPROP INT_R_X3Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y20 TILE_X -81678 TILEPROP INT_R_X3Y20 TILE_Y -175672 TILEPROP INT_R_X3Y20 TYPE INT_R TILEPROP INT_R_X3Y21 CLASS tile TILEPROP INT_R_X3Y21 COLUMN 12 TILEPROP INT_R_X3Y21 DEVICE_ID 0 TILEPROP INT_R_X3Y21 FIRST_SITE_ID 13495 TILEPROP INT_R_X3Y21 GRID_POINT_X 12 TILEPROP INT_R_X3Y21 GRID_POINT_Y 134 TILEPROP INT_R_X3Y21 INDEX 15422 TILEPROP INT_R_X3Y21 INT_TILE_X 3 TILEPROP INT_R_X3Y21 INT_TILE_Y 128 TILEPROP INT_R_X3Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y21 IS_DCM_TILE 0 TILEPROP INT_R_X3Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y21 NAME INT_R_X3Y21 TILEPROP INT_R_X3Y21 NUM_ARCS 3737 TILEPROP INT_R_X3Y21 NUM_SITES 1 TILEPROP INT_R_X3Y21 ROW 134 TILEPROP INT_R_X3Y21 SLR_REGION_ID 0 TILEPROP INT_R_X3Y21 TILE_PATTERN_IDX 8119 TILEPROP INT_R_X3Y21 TILE_TYPE INT_R TILEPROP INT_R_X3Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y21 TILE_X -81678 TILEPROP INT_R_X3Y21 TILE_Y -172472 TILEPROP INT_R_X3Y21 TYPE INT_R TILEPROP INT_R_X3Y22 CLASS tile TILEPROP INT_R_X3Y22 COLUMN 12 TILEPROP INT_R_X3Y22 DEVICE_ID 0 TILEPROP INT_R_X3Y22 FIRST_SITE_ID 13395 TILEPROP INT_R_X3Y22 GRID_POINT_X 12 TILEPROP INT_R_X3Y22 GRID_POINT_Y 133 TILEPROP INT_R_X3Y22 INDEX 15307 TILEPROP INT_R_X3Y22 INT_TILE_X 3 TILEPROP INT_R_X3Y22 INT_TILE_Y 127 TILEPROP INT_R_X3Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y22 IS_DCM_TILE 0 TILEPROP INT_R_X3Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y22 NAME INT_R_X3Y22 TILEPROP INT_R_X3Y22 NUM_ARCS 3737 TILEPROP INT_R_X3Y22 NUM_SITES 1 TILEPROP INT_R_X3Y22 ROW 133 TILEPROP INT_R_X3Y22 SLR_REGION_ID 0 TILEPROP INT_R_X3Y22 TILE_PATTERN_IDX 8091 TILEPROP INT_R_X3Y22 TILE_TYPE INT_R TILEPROP INT_R_X3Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y22 TILE_X -81678 TILEPROP INT_R_X3Y22 TILE_Y -169272 TILEPROP INT_R_X3Y22 TYPE INT_R TILEPROP INT_R_X3Y23 CLASS tile TILEPROP INT_R_X3Y23 COLUMN 12 TILEPROP INT_R_X3Y23 DEVICE_ID 0 TILEPROP INT_R_X3Y23 FIRST_SITE_ID 13295 TILEPROP INT_R_X3Y23 GRID_POINT_X 12 TILEPROP INT_R_X3Y23 GRID_POINT_Y 132 TILEPROP INT_R_X3Y23 INDEX 15192 TILEPROP INT_R_X3Y23 INT_TILE_X 3 TILEPROP INT_R_X3Y23 INT_TILE_Y 126 TILEPROP INT_R_X3Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y23 IS_DCM_TILE 0 TILEPROP INT_R_X3Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y23 NAME INT_R_X3Y23 TILEPROP INT_R_X3Y23 NUM_ARCS 3737 TILEPROP INT_R_X3Y23 NUM_SITES 1 TILEPROP INT_R_X3Y23 ROW 132 TILEPROP INT_R_X3Y23 SLR_REGION_ID 0 TILEPROP INT_R_X3Y23 TILE_PATTERN_IDX 8062 TILEPROP INT_R_X3Y23 TILE_TYPE INT_R TILEPROP INT_R_X3Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y23 TILE_X -81678 TILEPROP INT_R_X3Y23 TILE_Y -166072 TILEPROP INT_R_X3Y23 TYPE INT_R TILEPROP INT_R_X3Y24 CLASS tile TILEPROP INT_R_X3Y24 COLUMN 12 TILEPROP INT_R_X3Y24 DEVICE_ID 0 TILEPROP INT_R_X3Y24 FIRST_SITE_ID 13195 TILEPROP INT_R_X3Y24 GRID_POINT_X 12 TILEPROP INT_R_X3Y24 GRID_POINT_Y 131 TILEPROP INT_R_X3Y24 INDEX 15077 TILEPROP INT_R_X3Y24 INT_TILE_X 3 TILEPROP INT_R_X3Y24 INT_TILE_Y 125 TILEPROP INT_R_X3Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y24 IS_DCM_TILE 0 TILEPROP INT_R_X3Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y24 NAME INT_R_X3Y24 TILEPROP INT_R_X3Y24 NUM_ARCS 3737 TILEPROP INT_R_X3Y24 NUM_SITES 1 TILEPROP INT_R_X3Y24 ROW 131 TILEPROP INT_R_X3Y24 SLR_REGION_ID 0 TILEPROP INT_R_X3Y24 TILE_PATTERN_IDX 8034 TILEPROP INT_R_X3Y24 TILE_TYPE INT_R TILEPROP INT_R_X3Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y24 TILE_X -81678 TILEPROP INT_R_X3Y24 TILE_Y -162872 TILEPROP INT_R_X3Y24 TYPE INT_R TILEPROP INT_R_X3Y25 CLASS tile TILEPROP INT_R_X3Y25 COLUMN 12 TILEPROP INT_R_X3Y25 DEVICE_ID 0 TILEPROP INT_R_X3Y25 FIRST_SITE_ID 12997 TILEPROP INT_R_X3Y25 GRID_POINT_X 12 TILEPROP INT_R_X3Y25 GRID_POINT_Y 129 TILEPROP INT_R_X3Y25 INDEX 14847 TILEPROP INT_R_X3Y25 INT_TILE_X 3 TILEPROP INT_R_X3Y25 INT_TILE_Y 124 TILEPROP INT_R_X3Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y25 IS_DCM_TILE 0 TILEPROP INT_R_X3Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y25 NAME INT_R_X3Y25 TILEPROP INT_R_X3Y25 NUM_ARCS 3737 TILEPROP INT_R_X3Y25 NUM_SITES 1 TILEPROP INT_R_X3Y25 ROW 129 TILEPROP INT_R_X3Y25 SLR_REGION_ID 0 TILEPROP INT_R_X3Y25 TILE_PATTERN_IDX 7962 TILEPROP INT_R_X3Y25 TILE_TYPE INT_R TILEPROP INT_R_X3Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y25 TILE_X -81678 TILEPROP INT_R_X3Y25 TILE_Y -158648 TILEPROP INT_R_X3Y25 TYPE INT_R TILEPROP INT_R_X3Y26 CLASS tile TILEPROP INT_R_X3Y26 COLUMN 12 TILEPROP INT_R_X3Y26 DEVICE_ID 0 TILEPROP INT_R_X3Y26 FIRST_SITE_ID 12897 TILEPROP INT_R_X3Y26 GRID_POINT_X 12 TILEPROP INT_R_X3Y26 GRID_POINT_Y 128 TILEPROP INT_R_X3Y26 INDEX 14732 TILEPROP INT_R_X3Y26 INT_TILE_X 3 TILEPROP INT_R_X3Y26 INT_TILE_Y 123 TILEPROP INT_R_X3Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y26 IS_DCM_TILE 0 TILEPROP INT_R_X3Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y26 NAME INT_R_X3Y26 TILEPROP INT_R_X3Y26 NUM_ARCS 3737 TILEPROP INT_R_X3Y26 NUM_SITES 1 TILEPROP INT_R_X3Y26 ROW 128 TILEPROP INT_R_X3Y26 SLR_REGION_ID 0 TILEPROP INT_R_X3Y26 TILE_PATTERN_IDX 7934 TILEPROP INT_R_X3Y26 TILE_TYPE INT_R TILEPROP INT_R_X3Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y26 TILE_X -81678 TILEPROP INT_R_X3Y26 TILE_Y -155448 TILEPROP INT_R_X3Y26 TYPE INT_R TILEPROP INT_R_X3Y27 CLASS tile TILEPROP INT_R_X3Y27 COLUMN 12 TILEPROP INT_R_X3Y27 DEVICE_ID 0 TILEPROP INT_R_X3Y27 FIRST_SITE_ID 12797 TILEPROP INT_R_X3Y27 GRID_POINT_X 12 TILEPROP INT_R_X3Y27 GRID_POINT_Y 127 TILEPROP INT_R_X3Y27 INDEX 14617 TILEPROP INT_R_X3Y27 INT_TILE_X 3 TILEPROP INT_R_X3Y27 INT_TILE_Y 122 TILEPROP INT_R_X3Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y27 IS_DCM_TILE 0 TILEPROP INT_R_X3Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y27 NAME INT_R_X3Y27 TILEPROP INT_R_X3Y27 NUM_ARCS 3737 TILEPROP INT_R_X3Y27 NUM_SITES 1 TILEPROP INT_R_X3Y27 ROW 127 TILEPROP INT_R_X3Y27 SLR_REGION_ID 0 TILEPROP INT_R_X3Y27 TILE_PATTERN_IDX 7905 TILEPROP INT_R_X3Y27 TILE_TYPE INT_R TILEPROP INT_R_X3Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y27 TILE_X -81678 TILEPROP INT_R_X3Y27 TILE_Y -152248 TILEPROP INT_R_X3Y27 TYPE INT_R TILEPROP INT_R_X3Y28 CLASS tile TILEPROP INT_R_X3Y28 COLUMN 12 TILEPROP INT_R_X3Y28 DEVICE_ID 0 TILEPROP INT_R_X3Y28 FIRST_SITE_ID 12697 TILEPROP INT_R_X3Y28 GRID_POINT_X 12 TILEPROP INT_R_X3Y28 GRID_POINT_Y 126 TILEPROP INT_R_X3Y28 INDEX 14502 TILEPROP INT_R_X3Y28 INT_TILE_X 3 TILEPROP INT_R_X3Y28 INT_TILE_Y 121 TILEPROP INT_R_X3Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y28 IS_DCM_TILE 0 TILEPROP INT_R_X3Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y28 NAME INT_R_X3Y28 TILEPROP INT_R_X3Y28 NUM_ARCS 3737 TILEPROP INT_R_X3Y28 NUM_SITES 1 TILEPROP INT_R_X3Y28 ROW 126 TILEPROP INT_R_X3Y28 SLR_REGION_ID 0 TILEPROP INT_R_X3Y28 TILE_PATTERN_IDX 7877 TILEPROP INT_R_X3Y28 TILE_TYPE INT_R TILEPROP INT_R_X3Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y28 TILE_X -81678 TILEPROP INT_R_X3Y28 TILE_Y -149048 TILEPROP INT_R_X3Y28 TYPE INT_R TILEPROP INT_R_X3Y29 CLASS tile TILEPROP INT_R_X3Y29 COLUMN 12 TILEPROP INT_R_X3Y29 DEVICE_ID 0 TILEPROP INT_R_X3Y29 FIRST_SITE_ID 12591 TILEPROP INT_R_X3Y29 GRID_POINT_X 12 TILEPROP INT_R_X3Y29 GRID_POINT_Y 125 TILEPROP INT_R_X3Y29 INDEX 14387 TILEPROP INT_R_X3Y29 INT_TILE_X 3 TILEPROP INT_R_X3Y29 INT_TILE_Y 120 TILEPROP INT_R_X3Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y29 IS_DCM_TILE 0 TILEPROP INT_R_X3Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y29 NAME INT_R_X3Y29 TILEPROP INT_R_X3Y29 NUM_ARCS 3737 TILEPROP INT_R_X3Y29 NUM_SITES 1 TILEPROP INT_R_X3Y29 ROW 125 TILEPROP INT_R_X3Y29 SLR_REGION_ID 0 TILEPROP INT_R_X3Y29 TILE_PATTERN_IDX 7853 TILEPROP INT_R_X3Y29 TILE_TYPE INT_R TILEPROP INT_R_X3Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y29 TILE_X -81678 TILEPROP INT_R_X3Y29 TILE_Y -145848 TILEPROP INT_R_X3Y29 TYPE INT_R TILEPROP INT_R_X3Y30 CLASS tile TILEPROP INT_R_X3Y30 COLUMN 12 TILEPROP INT_R_X3Y30 DEVICE_ID 0 TILEPROP INT_R_X3Y30 FIRST_SITE_ID 12457 TILEPROP INT_R_X3Y30 GRID_POINT_X 12 TILEPROP INT_R_X3Y30 GRID_POINT_Y 124 TILEPROP INT_R_X3Y30 INDEX 14272 TILEPROP INT_R_X3Y30 INT_TILE_X 3 TILEPROP INT_R_X3Y30 INT_TILE_Y 119 TILEPROP INT_R_X3Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y30 IS_DCM_TILE 0 TILEPROP INT_R_X3Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y30 NAME INT_R_X3Y30 TILEPROP INT_R_X3Y30 NUM_ARCS 3737 TILEPROP INT_R_X3Y30 NUM_SITES 1 TILEPROP INT_R_X3Y30 ROW 124 TILEPROP INT_R_X3Y30 SLR_REGION_ID 0 TILEPROP INT_R_X3Y30 TILE_PATTERN_IDX 7830 TILEPROP INT_R_X3Y30 TILE_TYPE INT_R TILEPROP INT_R_X3Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y30 TILE_X -81678 TILEPROP INT_R_X3Y30 TILE_Y -142648 TILEPROP INT_R_X3Y30 TYPE INT_R TILEPROP INT_R_X3Y31 CLASS tile TILEPROP INT_R_X3Y31 COLUMN 12 TILEPROP INT_R_X3Y31 DEVICE_ID 0 TILEPROP INT_R_X3Y31 FIRST_SITE_ID 12355 TILEPROP INT_R_X3Y31 GRID_POINT_X 12 TILEPROP INT_R_X3Y31 GRID_POINT_Y 123 TILEPROP INT_R_X3Y31 INDEX 14157 TILEPROP INT_R_X3Y31 INT_TILE_X 3 TILEPROP INT_R_X3Y31 INT_TILE_Y 118 TILEPROP INT_R_X3Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y31 IS_DCM_TILE 0 TILEPROP INT_R_X3Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y31 NAME INT_R_X3Y31 TILEPROP INT_R_X3Y31 NUM_ARCS 3737 TILEPROP INT_R_X3Y31 NUM_SITES 1 TILEPROP INT_R_X3Y31 ROW 123 TILEPROP INT_R_X3Y31 SLR_REGION_ID 0 TILEPROP INT_R_X3Y31 TILE_PATTERN_IDX 7807 TILEPROP INT_R_X3Y31 TILE_TYPE INT_R TILEPROP INT_R_X3Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y31 TILE_X -81678 TILEPROP INT_R_X3Y31 TILE_Y -139448 TILEPROP INT_R_X3Y31 TYPE INT_R TILEPROP INT_R_X3Y32 CLASS tile TILEPROP INT_R_X3Y32 COLUMN 12 TILEPROP INT_R_X3Y32 DEVICE_ID 0 TILEPROP INT_R_X3Y32 FIRST_SITE_ID 12252 TILEPROP INT_R_X3Y32 GRID_POINT_X 12 TILEPROP INT_R_X3Y32 GRID_POINT_Y 122 TILEPROP INT_R_X3Y32 INDEX 14042 TILEPROP INT_R_X3Y32 INT_TILE_X 3 TILEPROP INT_R_X3Y32 INT_TILE_Y 117 TILEPROP INT_R_X3Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y32 IS_DCM_TILE 0 TILEPROP INT_R_X3Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y32 NAME INT_R_X3Y32 TILEPROP INT_R_X3Y32 NUM_ARCS 3737 TILEPROP INT_R_X3Y32 NUM_SITES 1 TILEPROP INT_R_X3Y32 ROW 122 TILEPROP INT_R_X3Y32 SLR_REGION_ID 0 TILEPROP INT_R_X3Y32 TILE_PATTERN_IDX 7773 TILEPROP INT_R_X3Y32 TILE_TYPE INT_R TILEPROP INT_R_X3Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y32 TILE_X -81678 TILEPROP INT_R_X3Y32 TILE_Y -136248 TILEPROP INT_R_X3Y32 TYPE INT_R TILEPROP INT_R_X3Y33 CLASS tile TILEPROP INT_R_X3Y33 COLUMN 12 TILEPROP INT_R_X3Y33 DEVICE_ID 0 TILEPROP INT_R_X3Y33 FIRST_SITE_ID 12152 TILEPROP INT_R_X3Y33 GRID_POINT_X 12 TILEPROP INT_R_X3Y33 GRID_POINT_Y 121 TILEPROP INT_R_X3Y33 INDEX 13927 TILEPROP INT_R_X3Y33 INT_TILE_X 3 TILEPROP INT_R_X3Y33 INT_TILE_Y 116 TILEPROP INT_R_X3Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y33 IS_DCM_TILE 0 TILEPROP INT_R_X3Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y33 NAME INT_R_X3Y33 TILEPROP INT_R_X3Y33 NUM_ARCS 3737 TILEPROP INT_R_X3Y33 NUM_SITES 1 TILEPROP INT_R_X3Y33 ROW 121 TILEPROP INT_R_X3Y33 SLR_REGION_ID 0 TILEPROP INT_R_X3Y33 TILE_PATTERN_IDX 7744 TILEPROP INT_R_X3Y33 TILE_TYPE INT_R TILEPROP INT_R_X3Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y33 TILE_X -81678 TILEPROP INT_R_X3Y33 TILE_Y -133048 TILEPROP INT_R_X3Y33 TYPE INT_R TILEPROP INT_R_X3Y34 CLASS tile TILEPROP INT_R_X3Y34 COLUMN 12 TILEPROP INT_R_X3Y34 DEVICE_ID 0 TILEPROP INT_R_X3Y34 FIRST_SITE_ID 12052 TILEPROP INT_R_X3Y34 GRID_POINT_X 12 TILEPROP INT_R_X3Y34 GRID_POINT_Y 120 TILEPROP INT_R_X3Y34 INDEX 13812 TILEPROP INT_R_X3Y34 INT_TILE_X 3 TILEPROP INT_R_X3Y34 INT_TILE_Y 115 TILEPROP INT_R_X3Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y34 IS_DCM_TILE 0 TILEPROP INT_R_X3Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y34 NAME INT_R_X3Y34 TILEPROP INT_R_X3Y34 NUM_ARCS 3737 TILEPROP INT_R_X3Y34 NUM_SITES 1 TILEPROP INT_R_X3Y34 ROW 120 TILEPROP INT_R_X3Y34 SLR_REGION_ID 0 TILEPROP INT_R_X3Y34 TILE_PATTERN_IDX 7716 TILEPROP INT_R_X3Y34 TILE_TYPE INT_R TILEPROP INT_R_X3Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y34 TILE_X -81678 TILEPROP INT_R_X3Y34 TILE_Y -129848 TILEPROP INT_R_X3Y34 TYPE INT_R TILEPROP INT_R_X3Y35 CLASS tile TILEPROP INT_R_X3Y35 COLUMN 12 TILEPROP INT_R_X3Y35 DEVICE_ID 0 TILEPROP INT_R_X3Y35 FIRST_SITE_ID 11937 TILEPROP INT_R_X3Y35 GRID_POINT_X 12 TILEPROP INT_R_X3Y35 GRID_POINT_Y 119 TILEPROP INT_R_X3Y35 INDEX 13697 TILEPROP INT_R_X3Y35 INT_TILE_X 3 TILEPROP INT_R_X3Y35 INT_TILE_Y 114 TILEPROP INT_R_X3Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y35 IS_DCM_TILE 0 TILEPROP INT_R_X3Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y35 NAME INT_R_X3Y35 TILEPROP INT_R_X3Y35 NUM_ARCS 3737 TILEPROP INT_R_X3Y35 NUM_SITES 1 TILEPROP INT_R_X3Y35 ROW 119 TILEPROP INT_R_X3Y35 SLR_REGION_ID 0 TILEPROP INT_R_X3Y35 TILE_PATTERN_IDX 7687 TILEPROP INT_R_X3Y35 TILE_TYPE INT_R TILEPROP INT_R_X3Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y35 TILE_X -81678 TILEPROP INT_R_X3Y35 TILE_Y -126648 TILEPROP INT_R_X3Y35 TYPE INT_R TILEPROP INT_R_X3Y36 CLASS tile TILEPROP INT_R_X3Y36 COLUMN 12 TILEPROP INT_R_X3Y36 DEVICE_ID 0 TILEPROP INT_R_X3Y36 FIRST_SITE_ID 11805 TILEPROP INT_R_X3Y36 GRID_POINT_X 12 TILEPROP INT_R_X3Y36 GRID_POINT_Y 118 TILEPROP INT_R_X3Y36 INDEX 13582 TILEPROP INT_R_X3Y36 INT_TILE_X 3 TILEPROP INT_R_X3Y36 INT_TILE_Y 113 TILEPROP INT_R_X3Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y36 IS_DCM_TILE 0 TILEPROP INT_R_X3Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y36 NAME INT_R_X3Y36 TILEPROP INT_R_X3Y36 NUM_ARCS 3737 TILEPROP INT_R_X3Y36 NUM_SITES 1 TILEPROP INT_R_X3Y36 ROW 118 TILEPROP INT_R_X3Y36 SLR_REGION_ID 0 TILEPROP INT_R_X3Y36 TILE_PATTERN_IDX 7659 TILEPROP INT_R_X3Y36 TILE_TYPE INT_R TILEPROP INT_R_X3Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y36 TILE_X -81678 TILEPROP INT_R_X3Y36 TILE_Y -123448 TILEPROP INT_R_X3Y36 TYPE INT_R TILEPROP INT_R_X3Y37 CLASS tile TILEPROP INT_R_X3Y37 COLUMN 12 TILEPROP INT_R_X3Y37 DEVICE_ID 0 TILEPROP INT_R_X3Y37 FIRST_SITE_ID 11705 TILEPROP INT_R_X3Y37 GRID_POINT_X 12 TILEPROP INT_R_X3Y37 GRID_POINT_Y 117 TILEPROP INT_R_X3Y37 INDEX 13467 TILEPROP INT_R_X3Y37 INT_TILE_X 3 TILEPROP INT_R_X3Y37 INT_TILE_Y 112 TILEPROP INT_R_X3Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y37 IS_DCM_TILE 0 TILEPROP INT_R_X3Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y37 NAME INT_R_X3Y37 TILEPROP INT_R_X3Y37 NUM_ARCS 3737 TILEPROP INT_R_X3Y37 NUM_SITES 1 TILEPROP INT_R_X3Y37 ROW 117 TILEPROP INT_R_X3Y37 SLR_REGION_ID 0 TILEPROP INT_R_X3Y37 TILE_PATTERN_IDX 7631 TILEPROP INT_R_X3Y37 TILE_TYPE INT_R TILEPROP INT_R_X3Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y37 TILE_X -81678 TILEPROP INT_R_X3Y37 TILE_Y -120248 TILEPROP INT_R_X3Y37 TYPE INT_R TILEPROP INT_R_X3Y38 CLASS tile TILEPROP INT_R_X3Y38 COLUMN 12 TILEPROP INT_R_X3Y38 DEVICE_ID 0 TILEPROP INT_R_X3Y38 FIRST_SITE_ID 11605 TILEPROP INT_R_X3Y38 GRID_POINT_X 12 TILEPROP INT_R_X3Y38 GRID_POINT_Y 116 TILEPROP INT_R_X3Y38 INDEX 13352 TILEPROP INT_R_X3Y38 INT_TILE_X 3 TILEPROP INT_R_X3Y38 INT_TILE_Y 111 TILEPROP INT_R_X3Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y38 IS_DCM_TILE 0 TILEPROP INT_R_X3Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y38 NAME INT_R_X3Y38 TILEPROP INT_R_X3Y38 NUM_ARCS 3737 TILEPROP INT_R_X3Y38 NUM_SITES 1 TILEPROP INT_R_X3Y38 ROW 116 TILEPROP INT_R_X3Y38 SLR_REGION_ID 0 TILEPROP INT_R_X3Y38 TILE_PATTERN_IDX 7603 TILEPROP INT_R_X3Y38 TILE_TYPE INT_R TILEPROP INT_R_X3Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y38 TILE_X -81678 TILEPROP INT_R_X3Y38 TILE_Y -117048 TILEPROP INT_R_X3Y38 TYPE INT_R TILEPROP INT_R_X3Y39 CLASS tile TILEPROP INT_R_X3Y39 COLUMN 12 TILEPROP INT_R_X3Y39 DEVICE_ID 0 TILEPROP INT_R_X3Y39 FIRST_SITE_ID 11505 TILEPROP INT_R_X3Y39 GRID_POINT_X 12 TILEPROP INT_R_X3Y39 GRID_POINT_Y 115 TILEPROP INT_R_X3Y39 INDEX 13237 TILEPROP INT_R_X3Y39 INT_TILE_X 3 TILEPROP INT_R_X3Y39 INT_TILE_Y 110 TILEPROP INT_R_X3Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y39 IS_DCM_TILE 0 TILEPROP INT_R_X3Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y39 NAME INT_R_X3Y39 TILEPROP INT_R_X3Y39 NUM_ARCS 3737 TILEPROP INT_R_X3Y39 NUM_SITES 1 TILEPROP INT_R_X3Y39 ROW 115 TILEPROP INT_R_X3Y39 SLR_REGION_ID 0 TILEPROP INT_R_X3Y39 TILE_PATTERN_IDX 7574 TILEPROP INT_R_X3Y39 TILE_TYPE INT_R TILEPROP INT_R_X3Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y39 TILE_X -81678 TILEPROP INT_R_X3Y39 TILE_Y -113848 TILEPROP INT_R_X3Y39 TYPE INT_R TILEPROP INT_R_X3Y40 CLASS tile TILEPROP INT_R_X3Y40 COLUMN 12 TILEPROP INT_R_X3Y40 DEVICE_ID 0 TILEPROP INT_R_X3Y40 FIRST_SITE_ID 11388 TILEPROP INT_R_X3Y40 GRID_POINT_X 12 TILEPROP INT_R_X3Y40 GRID_POINT_Y 114 TILEPROP INT_R_X3Y40 INDEX 13122 TILEPROP INT_R_X3Y40 INT_TILE_X 3 TILEPROP INT_R_X3Y40 INT_TILE_Y 109 TILEPROP INT_R_X3Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y40 IS_DCM_TILE 0 TILEPROP INT_R_X3Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y40 NAME INT_R_X3Y40 TILEPROP INT_R_X3Y40 NUM_ARCS 3737 TILEPROP INT_R_X3Y40 NUM_SITES 1 TILEPROP INT_R_X3Y40 ROW 114 TILEPROP INT_R_X3Y40 SLR_REGION_ID 0 TILEPROP INT_R_X3Y40 TILE_PATTERN_IDX 7545 TILEPROP INT_R_X3Y40 TILE_TYPE INT_R TILEPROP INT_R_X3Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y40 TILE_X -81678 TILEPROP INT_R_X3Y40 TILE_Y -110648 TILEPROP INT_R_X3Y40 TYPE INT_R TILEPROP INT_R_X3Y41 CLASS tile TILEPROP INT_R_X3Y41 COLUMN 12 TILEPROP INT_R_X3Y41 DEVICE_ID 0 TILEPROP INT_R_X3Y41 FIRST_SITE_ID 11287 TILEPROP INT_R_X3Y41 GRID_POINT_X 12 TILEPROP INT_R_X3Y41 GRID_POINT_Y 113 TILEPROP INT_R_X3Y41 INDEX 13007 TILEPROP INT_R_X3Y41 INT_TILE_X 3 TILEPROP INT_R_X3Y41 INT_TILE_Y 108 TILEPROP INT_R_X3Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y41 IS_DCM_TILE 0 TILEPROP INT_R_X3Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y41 NAME INT_R_X3Y41 TILEPROP INT_R_X3Y41 NUM_ARCS 3737 TILEPROP INT_R_X3Y41 NUM_SITES 1 TILEPROP INT_R_X3Y41 ROW 113 TILEPROP INT_R_X3Y41 SLR_REGION_ID 0 TILEPROP INT_R_X3Y41 TILE_PATTERN_IDX 7510 TILEPROP INT_R_X3Y41 TILE_TYPE INT_R TILEPROP INT_R_X3Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y41 TILE_X -81678 TILEPROP INT_R_X3Y41 TILE_Y -107448 TILEPROP INT_R_X3Y41 TYPE INT_R TILEPROP INT_R_X3Y42 CLASS tile TILEPROP INT_R_X3Y42 COLUMN 12 TILEPROP INT_R_X3Y42 DEVICE_ID 0 TILEPROP INT_R_X3Y42 FIRST_SITE_ID 11186 TILEPROP INT_R_X3Y42 GRID_POINT_X 12 TILEPROP INT_R_X3Y42 GRID_POINT_Y 112 TILEPROP INT_R_X3Y42 INDEX 12892 TILEPROP INT_R_X3Y42 INT_TILE_X 3 TILEPROP INT_R_X3Y42 INT_TILE_Y 107 TILEPROP INT_R_X3Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y42 IS_DCM_TILE 0 TILEPROP INT_R_X3Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y42 NAME INT_R_X3Y42 TILEPROP INT_R_X3Y42 NUM_ARCS 3737 TILEPROP INT_R_X3Y42 NUM_SITES 1 TILEPROP INT_R_X3Y42 ROW 112 TILEPROP INT_R_X3Y42 SLR_REGION_ID 0 TILEPROP INT_R_X3Y42 TILE_PATTERN_IDX 7481 TILEPROP INT_R_X3Y42 TILE_TYPE INT_R TILEPROP INT_R_X3Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y42 TILE_X -81678 TILEPROP INT_R_X3Y42 TILE_Y -104248 TILEPROP INT_R_X3Y42 TYPE INT_R TILEPROP INT_R_X3Y43 CLASS tile TILEPROP INT_R_X3Y43 COLUMN 12 TILEPROP INT_R_X3Y43 DEVICE_ID 0 TILEPROP INT_R_X3Y43 FIRST_SITE_ID 11083 TILEPROP INT_R_X3Y43 GRID_POINT_X 12 TILEPROP INT_R_X3Y43 GRID_POINT_Y 111 TILEPROP INT_R_X3Y43 INDEX 12777 TILEPROP INT_R_X3Y43 INT_TILE_X 3 TILEPROP INT_R_X3Y43 INT_TILE_Y 106 TILEPROP INT_R_X3Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y43 IS_DCM_TILE 0 TILEPROP INT_R_X3Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y43 NAME INT_R_X3Y43 TILEPROP INT_R_X3Y43 NUM_ARCS 3737 TILEPROP INT_R_X3Y43 NUM_SITES 1 TILEPROP INT_R_X3Y43 ROW 111 TILEPROP INT_R_X3Y43 SLR_REGION_ID 0 TILEPROP INT_R_X3Y43 TILE_PATTERN_IDX 7452 TILEPROP INT_R_X3Y43 TILE_TYPE INT_R TILEPROP INT_R_X3Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y43 TILE_X -81678 TILEPROP INT_R_X3Y43 TILE_Y -101048 TILEPROP INT_R_X3Y43 TYPE INT_R TILEPROP INT_R_X3Y44 CLASS tile TILEPROP INT_R_X3Y44 COLUMN 12 TILEPROP INT_R_X3Y44 DEVICE_ID 0 TILEPROP INT_R_X3Y44 FIRST_SITE_ID 10981 TILEPROP INT_R_X3Y44 GRID_POINT_X 12 TILEPROP INT_R_X3Y44 GRID_POINT_Y 110 TILEPROP INT_R_X3Y44 INDEX 12662 TILEPROP INT_R_X3Y44 INT_TILE_X 3 TILEPROP INT_R_X3Y44 INT_TILE_Y 105 TILEPROP INT_R_X3Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y44 IS_DCM_TILE 0 TILEPROP INT_R_X3Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y44 NAME INT_R_X3Y44 TILEPROP INT_R_X3Y44 NUM_ARCS 3737 TILEPROP INT_R_X3Y44 NUM_SITES 1 TILEPROP INT_R_X3Y44 ROW 110 TILEPROP INT_R_X3Y44 SLR_REGION_ID 0 TILEPROP INT_R_X3Y44 TILE_PATTERN_IDX 7424 TILEPROP INT_R_X3Y44 TILE_TYPE INT_R TILEPROP INT_R_X3Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y44 TILE_X -81678 TILEPROP INT_R_X3Y44 TILE_Y -97848 TILEPROP INT_R_X3Y44 TYPE INT_R TILEPROP INT_R_X3Y45 CLASS tile TILEPROP INT_R_X3Y45 COLUMN 12 TILEPROP INT_R_X3Y45 DEVICE_ID 0 TILEPROP INT_R_X3Y45 FIRST_SITE_ID 10865 TILEPROP INT_R_X3Y45 GRID_POINT_X 12 TILEPROP INT_R_X3Y45 GRID_POINT_Y 109 TILEPROP INT_R_X3Y45 INDEX 12547 TILEPROP INT_R_X3Y45 INT_TILE_X 3 TILEPROP INT_R_X3Y45 INT_TILE_Y 104 TILEPROP INT_R_X3Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y45 IS_DCM_TILE 0 TILEPROP INT_R_X3Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y45 NAME INT_R_X3Y45 TILEPROP INT_R_X3Y45 NUM_ARCS 3737 TILEPROP INT_R_X3Y45 NUM_SITES 1 TILEPROP INT_R_X3Y45 ROW 109 TILEPROP INT_R_X3Y45 SLR_REGION_ID 0 TILEPROP INT_R_X3Y45 TILE_PATTERN_IDX 7388 TILEPROP INT_R_X3Y45 TILE_TYPE INT_R TILEPROP INT_R_X3Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y45 TILE_X -81678 TILEPROP INT_R_X3Y45 TILE_Y -94648 TILEPROP INT_R_X3Y45 TYPE INT_R TILEPROP INT_R_X3Y46 CLASS tile TILEPROP INT_R_X3Y46 COLUMN 12 TILEPROP INT_R_X3Y46 DEVICE_ID 0 TILEPROP INT_R_X3Y46 FIRST_SITE_ID 10749 TILEPROP INT_R_X3Y46 GRID_POINT_X 12 TILEPROP INT_R_X3Y46 GRID_POINT_Y 108 TILEPROP INT_R_X3Y46 INDEX 12432 TILEPROP INT_R_X3Y46 INT_TILE_X 3 TILEPROP INT_R_X3Y46 INT_TILE_Y 103 TILEPROP INT_R_X3Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y46 IS_DCM_TILE 0 TILEPROP INT_R_X3Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y46 NAME INT_R_X3Y46 TILEPROP INT_R_X3Y46 NUM_ARCS 3737 TILEPROP INT_R_X3Y46 NUM_SITES 1 TILEPROP INT_R_X3Y46 ROW 108 TILEPROP INT_R_X3Y46 SLR_REGION_ID 0 TILEPROP INT_R_X3Y46 TILE_PATTERN_IDX 7352 TILEPROP INT_R_X3Y46 TILE_TYPE INT_R TILEPROP INT_R_X3Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y46 TILE_X -81678 TILEPROP INT_R_X3Y46 TILE_Y -91448 TILEPROP INT_R_X3Y46 TYPE INT_R TILEPROP INT_R_X3Y47 CLASS tile TILEPROP INT_R_X3Y47 COLUMN 12 TILEPROP INT_R_X3Y47 DEVICE_ID 0 TILEPROP INT_R_X3Y47 FIRST_SITE_ID 10649 TILEPROP INT_R_X3Y47 GRID_POINT_X 12 TILEPROP INT_R_X3Y47 GRID_POINT_Y 107 TILEPROP INT_R_X3Y47 INDEX 12317 TILEPROP INT_R_X3Y47 INT_TILE_X 3 TILEPROP INT_R_X3Y47 INT_TILE_Y 102 TILEPROP INT_R_X3Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y47 IS_DCM_TILE 0 TILEPROP INT_R_X3Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y47 NAME INT_R_X3Y47 TILEPROP INT_R_X3Y47 NUM_ARCS 3737 TILEPROP INT_R_X3Y47 NUM_SITES 1 TILEPROP INT_R_X3Y47 ROW 107 TILEPROP INT_R_X3Y47 SLR_REGION_ID 0 TILEPROP INT_R_X3Y47 TILE_PATTERN_IDX 7315 TILEPROP INT_R_X3Y47 TILE_TYPE INT_R TILEPROP INT_R_X3Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y47 TILE_X -81678 TILEPROP INT_R_X3Y47 TILE_Y -88248 TILEPROP INT_R_X3Y47 TYPE INT_R TILEPROP INT_R_X3Y48 CLASS tile TILEPROP INT_R_X3Y48 COLUMN 12 TILEPROP INT_R_X3Y48 DEVICE_ID 0 TILEPROP INT_R_X3Y48 FIRST_SITE_ID 10549 TILEPROP INT_R_X3Y48 GRID_POINT_X 12 TILEPROP INT_R_X3Y48 GRID_POINT_Y 106 TILEPROP INT_R_X3Y48 INDEX 12202 TILEPROP INT_R_X3Y48 INT_TILE_X 3 TILEPROP INT_R_X3Y48 INT_TILE_Y 101 TILEPROP INT_R_X3Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y48 IS_DCM_TILE 0 TILEPROP INT_R_X3Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y48 NAME INT_R_X3Y48 TILEPROP INT_R_X3Y48 NUM_ARCS 3737 TILEPROP INT_R_X3Y48 NUM_SITES 1 TILEPROP INT_R_X3Y48 ROW 106 TILEPROP INT_R_X3Y48 SLR_REGION_ID 0 TILEPROP INT_R_X3Y48 TILE_PATTERN_IDX 7279 TILEPROP INT_R_X3Y48 TILE_TYPE INT_R TILEPROP INT_R_X3Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y48 TILE_X -81678 TILEPROP INT_R_X3Y48 TILE_Y -85048 TILEPROP INT_R_X3Y48 TYPE INT_R TILEPROP INT_R_X3Y49 CLASS tile TILEPROP INT_R_X3Y49 COLUMN 12 TILEPROP INT_R_X3Y49 DEVICE_ID 0 TILEPROP INT_R_X3Y49 FIRST_SITE_ID 10453 TILEPROP INT_R_X3Y49 GRID_POINT_X 12 TILEPROP INT_R_X3Y49 GRID_POINT_Y 105 TILEPROP INT_R_X3Y49 INDEX 12087 TILEPROP INT_R_X3Y49 INT_TILE_X 3 TILEPROP INT_R_X3Y49 INT_TILE_Y 100 TILEPROP INT_R_X3Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y49 IS_DCM_TILE 0 TILEPROP INT_R_X3Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y49 NAME INT_R_X3Y49 TILEPROP INT_R_X3Y49 NUM_ARCS 3737 TILEPROP INT_R_X3Y49 NUM_SITES 1 TILEPROP INT_R_X3Y49 ROW 105 TILEPROP INT_R_X3Y49 SLR_REGION_ID 0 TILEPROP INT_R_X3Y49 TILE_PATTERN_IDX 7243 TILEPROP INT_R_X3Y49 TILE_TYPE INT_R TILEPROP INT_R_X3Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y49 TILE_X -81678 TILEPROP INT_R_X3Y49 TILE_Y -81848 TILEPROP INT_R_X3Y49 TYPE INT_R TILEPROP INT_R_X3Y50 CLASS tile TILEPROP INT_R_X3Y50 COLUMN 12 TILEPROP INT_R_X3Y50 DEVICE_ID 0 TILEPROP INT_R_X3Y50 FIRST_SITE_ID 10322 TILEPROP INT_R_X3Y50 GRID_POINT_X 12 TILEPROP INT_R_X3Y50 GRID_POINT_Y 103 TILEPROP INT_R_X3Y50 INDEX 11857 TILEPROP INT_R_X3Y50 INT_TILE_X 3 TILEPROP INT_R_X3Y50 INT_TILE_Y 99 TILEPROP INT_R_X3Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y50 IS_DCM_TILE 0 TILEPROP INT_R_X3Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y50 NAME INT_R_X3Y50 TILEPROP INT_R_X3Y50 NUM_ARCS 3737 TILEPROP INT_R_X3Y50 NUM_SITES 1 TILEPROP INT_R_X3Y50 ROW 103 TILEPROP INT_R_X3Y50 SLR_REGION_ID 0 TILEPROP INT_R_X3Y50 TILE_PATTERN_IDX 7206 TILEPROP INT_R_X3Y50 TILE_TYPE INT_R TILEPROP INT_R_X3Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y50 TILE_X -81678 TILEPROP INT_R_X3Y50 TILE_Y -78400 TILEPROP INT_R_X3Y50 TYPE INT_R TILEPROP INT_R_X3Y51 CLASS tile TILEPROP INT_R_X3Y51 COLUMN 12 TILEPROP INT_R_X3Y51 DEVICE_ID 0 TILEPROP INT_R_X3Y51 FIRST_SITE_ID 10218 TILEPROP INT_R_X3Y51 GRID_POINT_X 12 TILEPROP INT_R_X3Y51 GRID_POINT_Y 102 TILEPROP INT_R_X3Y51 INDEX 11742 TILEPROP INT_R_X3Y51 INT_TILE_X 3 TILEPROP INT_R_X3Y51 INT_TILE_Y 98 TILEPROP INT_R_X3Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y51 IS_DCM_TILE 0 TILEPROP INT_R_X3Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y51 NAME INT_R_X3Y51 TILEPROP INT_R_X3Y51 NUM_ARCS 3737 TILEPROP INT_R_X3Y51 NUM_SITES 1 TILEPROP INT_R_X3Y51 ROW 102 TILEPROP INT_R_X3Y51 SLR_REGION_ID 0 TILEPROP INT_R_X3Y51 TILE_PATTERN_IDX 7169 TILEPROP INT_R_X3Y51 TILE_TYPE INT_R TILEPROP INT_R_X3Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y51 TILE_X -81678 TILEPROP INT_R_X3Y51 TILE_Y -75200 TILEPROP INT_R_X3Y51 TYPE INT_R TILEPROP INT_R_X3Y52 CLASS tile TILEPROP INT_R_X3Y52 COLUMN 12 TILEPROP INT_R_X3Y52 DEVICE_ID 0 TILEPROP INT_R_X3Y52 FIRST_SITE_ID 10118 TILEPROP INT_R_X3Y52 GRID_POINT_X 12 TILEPROP INT_R_X3Y52 GRID_POINT_Y 101 TILEPROP INT_R_X3Y52 INDEX 11627 TILEPROP INT_R_X3Y52 INT_TILE_X 3 TILEPROP INT_R_X3Y52 INT_TILE_Y 97 TILEPROP INT_R_X3Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y52 IS_DCM_TILE 0 TILEPROP INT_R_X3Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y52 NAME INT_R_X3Y52 TILEPROP INT_R_X3Y52 NUM_ARCS 3737 TILEPROP INT_R_X3Y52 NUM_SITES 1 TILEPROP INT_R_X3Y52 ROW 101 TILEPROP INT_R_X3Y52 SLR_REGION_ID 0 TILEPROP INT_R_X3Y52 TILE_PATTERN_IDX 7132 TILEPROP INT_R_X3Y52 TILE_TYPE INT_R TILEPROP INT_R_X3Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y52 TILE_X -81678 TILEPROP INT_R_X3Y52 TILE_Y -72000 TILEPROP INT_R_X3Y52 TYPE INT_R TILEPROP INT_R_X3Y53 CLASS tile TILEPROP INT_R_X3Y53 COLUMN 12 TILEPROP INT_R_X3Y53 DEVICE_ID 0 TILEPROP INT_R_X3Y53 FIRST_SITE_ID 10018 TILEPROP INT_R_X3Y53 GRID_POINT_X 12 TILEPROP INT_R_X3Y53 GRID_POINT_Y 100 TILEPROP INT_R_X3Y53 INDEX 11512 TILEPROP INT_R_X3Y53 INT_TILE_X 3 TILEPROP INT_R_X3Y53 INT_TILE_Y 96 TILEPROP INT_R_X3Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y53 IS_DCM_TILE 0 TILEPROP INT_R_X3Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y53 NAME INT_R_X3Y53 TILEPROP INT_R_X3Y53 NUM_ARCS 3737 TILEPROP INT_R_X3Y53 NUM_SITES 1 TILEPROP INT_R_X3Y53 ROW 100 TILEPROP INT_R_X3Y53 SLR_REGION_ID 0 TILEPROP INT_R_X3Y53 TILE_PATTERN_IDX 7095 TILEPROP INT_R_X3Y53 TILE_TYPE INT_R TILEPROP INT_R_X3Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y53 TILE_X -81678 TILEPROP INT_R_X3Y53 TILE_Y -68800 TILEPROP INT_R_X3Y53 TYPE INT_R TILEPROP INT_R_X3Y54 CLASS tile TILEPROP INT_R_X3Y54 COLUMN 12 TILEPROP INT_R_X3Y54 DEVICE_ID 0 TILEPROP INT_R_X3Y54 FIRST_SITE_ID 9918 TILEPROP INT_R_X3Y54 GRID_POINT_X 12 TILEPROP INT_R_X3Y54 GRID_POINT_Y 99 TILEPROP INT_R_X3Y54 INDEX 11397 TILEPROP INT_R_X3Y54 INT_TILE_X 3 TILEPROP INT_R_X3Y54 INT_TILE_Y 95 TILEPROP INT_R_X3Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y54 IS_DCM_TILE 0 TILEPROP INT_R_X3Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y54 NAME INT_R_X3Y54 TILEPROP INT_R_X3Y54 NUM_ARCS 3737 TILEPROP INT_R_X3Y54 NUM_SITES 1 TILEPROP INT_R_X3Y54 ROW 99 TILEPROP INT_R_X3Y54 SLR_REGION_ID 0 TILEPROP INT_R_X3Y54 TILE_PATTERN_IDX 7058 TILEPROP INT_R_X3Y54 TILE_TYPE INT_R TILEPROP INT_R_X3Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y54 TILE_X -81678 TILEPROP INT_R_X3Y54 TILE_Y -65600 TILEPROP INT_R_X3Y54 TYPE INT_R TILEPROP INT_R_X3Y55 CLASS tile TILEPROP INT_R_X3Y55 COLUMN 12 TILEPROP INT_R_X3Y55 DEVICE_ID 0 TILEPROP INT_R_X3Y55 FIRST_SITE_ID 9803 TILEPROP INT_R_X3Y55 GRID_POINT_X 12 TILEPROP INT_R_X3Y55 GRID_POINT_Y 98 TILEPROP INT_R_X3Y55 INDEX 11282 TILEPROP INT_R_X3Y55 INT_TILE_X 3 TILEPROP INT_R_X3Y55 INT_TILE_Y 94 TILEPROP INT_R_X3Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y55 IS_DCM_TILE 0 TILEPROP INT_R_X3Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y55 NAME INT_R_X3Y55 TILEPROP INT_R_X3Y55 NUM_ARCS 3737 TILEPROP INT_R_X3Y55 NUM_SITES 1 TILEPROP INT_R_X3Y55 ROW 98 TILEPROP INT_R_X3Y55 SLR_REGION_ID 0 TILEPROP INT_R_X3Y55 TILE_PATTERN_IDX 7020 TILEPROP INT_R_X3Y55 TILE_TYPE INT_R TILEPROP INT_R_X3Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y55 TILE_X -81678 TILEPROP INT_R_X3Y55 TILE_Y -62400 TILEPROP INT_R_X3Y55 TYPE INT_R TILEPROP INT_R_X3Y56 CLASS tile TILEPROP INT_R_X3Y56 COLUMN 12 TILEPROP INT_R_X3Y56 DEVICE_ID 0 TILEPROP INT_R_X3Y56 FIRST_SITE_ID 9703 TILEPROP INT_R_X3Y56 GRID_POINT_X 12 TILEPROP INT_R_X3Y56 GRID_POINT_Y 97 TILEPROP INT_R_X3Y56 INDEX 11167 TILEPROP INT_R_X3Y56 INT_TILE_X 3 TILEPROP INT_R_X3Y56 INT_TILE_Y 93 TILEPROP INT_R_X3Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y56 IS_DCM_TILE 0 TILEPROP INT_R_X3Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y56 NAME INT_R_X3Y56 TILEPROP INT_R_X3Y56 NUM_ARCS 3737 TILEPROP INT_R_X3Y56 NUM_SITES 1 TILEPROP INT_R_X3Y56 ROW 97 TILEPROP INT_R_X3Y56 SLR_REGION_ID 0 TILEPROP INT_R_X3Y56 TILE_PATTERN_IDX 6983 TILEPROP INT_R_X3Y56 TILE_TYPE INT_R TILEPROP INT_R_X3Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y56 TILE_X -81678 TILEPROP INT_R_X3Y56 TILE_Y -59200 TILEPROP INT_R_X3Y56 TYPE INT_R TILEPROP INT_R_X3Y57 CLASS tile TILEPROP INT_R_X3Y57 COLUMN 12 TILEPROP INT_R_X3Y57 DEVICE_ID 0 TILEPROP INT_R_X3Y57 FIRST_SITE_ID 9601 TILEPROP INT_R_X3Y57 GRID_POINT_X 12 TILEPROP INT_R_X3Y57 GRID_POINT_Y 96 TILEPROP INT_R_X3Y57 INDEX 11052 TILEPROP INT_R_X3Y57 INT_TILE_X 3 TILEPROP INT_R_X3Y57 INT_TILE_Y 92 TILEPROP INT_R_X3Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y57 IS_DCM_TILE 0 TILEPROP INT_R_X3Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y57 NAME INT_R_X3Y57 TILEPROP INT_R_X3Y57 NUM_ARCS 3737 TILEPROP INT_R_X3Y57 NUM_SITES 1 TILEPROP INT_R_X3Y57 ROW 96 TILEPROP INT_R_X3Y57 SLR_REGION_ID 0 TILEPROP INT_R_X3Y57 TILE_PATTERN_IDX 6946 TILEPROP INT_R_X3Y57 TILE_TYPE INT_R TILEPROP INT_R_X3Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y57 TILE_X -81678 TILEPROP INT_R_X3Y57 TILE_Y -56000 TILEPROP INT_R_X3Y57 TYPE INT_R TILEPROP INT_R_X3Y58 CLASS tile TILEPROP INT_R_X3Y58 COLUMN 12 TILEPROP INT_R_X3Y58 DEVICE_ID 0 TILEPROP INT_R_X3Y58 FIRST_SITE_ID 9498 TILEPROP INT_R_X3Y58 GRID_POINT_X 12 TILEPROP INT_R_X3Y58 GRID_POINT_Y 95 TILEPROP INT_R_X3Y58 INDEX 10937 TILEPROP INT_R_X3Y58 INT_TILE_X 3 TILEPROP INT_R_X3Y58 INT_TILE_Y 91 TILEPROP INT_R_X3Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y58 IS_DCM_TILE 0 TILEPROP INT_R_X3Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y58 NAME INT_R_X3Y58 TILEPROP INT_R_X3Y58 NUM_ARCS 3737 TILEPROP INT_R_X3Y58 NUM_SITES 1 TILEPROP INT_R_X3Y58 ROW 95 TILEPROP INT_R_X3Y58 SLR_REGION_ID 0 TILEPROP INT_R_X3Y58 TILE_PATTERN_IDX 6908 TILEPROP INT_R_X3Y58 TILE_TYPE INT_R TILEPROP INT_R_X3Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y58 TILE_X -81678 TILEPROP INT_R_X3Y58 TILE_Y -52800 TILEPROP INT_R_X3Y58 TYPE INT_R TILEPROP INT_R_X3Y59 CLASS tile TILEPROP INT_R_X3Y59 COLUMN 12 TILEPROP INT_R_X3Y59 DEVICE_ID 0 TILEPROP INT_R_X3Y59 FIRST_SITE_ID 9397 TILEPROP INT_R_X3Y59 GRID_POINT_X 12 TILEPROP INT_R_X3Y59 GRID_POINT_Y 94 TILEPROP INT_R_X3Y59 INDEX 10822 TILEPROP INT_R_X3Y59 INT_TILE_X 3 TILEPROP INT_R_X3Y59 INT_TILE_Y 90 TILEPROP INT_R_X3Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y59 IS_DCM_TILE 0 TILEPROP INT_R_X3Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y59 NAME INT_R_X3Y59 TILEPROP INT_R_X3Y59 NUM_ARCS 3737 TILEPROP INT_R_X3Y59 NUM_SITES 1 TILEPROP INT_R_X3Y59 ROW 94 TILEPROP INT_R_X3Y59 SLR_REGION_ID 0 TILEPROP INT_R_X3Y59 TILE_PATTERN_IDX 6871 TILEPROP INT_R_X3Y59 TILE_TYPE INT_R TILEPROP INT_R_X3Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y59 TILE_X -81678 TILEPROP INT_R_X3Y59 TILE_Y -49600 TILEPROP INT_R_X3Y59 TYPE INT_R TILEPROP INT_R_X3Y60 CLASS tile TILEPROP INT_R_X3Y60 COLUMN 12 TILEPROP INT_R_X3Y60 DEVICE_ID 0 TILEPROP INT_R_X3Y60 FIRST_SITE_ID 9282 TILEPROP INT_R_X3Y60 GRID_POINT_X 12 TILEPROP INT_R_X3Y60 GRID_POINT_Y 93 TILEPROP INT_R_X3Y60 INDEX 10707 TILEPROP INT_R_X3Y60 INT_TILE_X 3 TILEPROP INT_R_X3Y60 INT_TILE_Y 89 TILEPROP INT_R_X3Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y60 IS_DCM_TILE 0 TILEPROP INT_R_X3Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y60 NAME INT_R_X3Y60 TILEPROP INT_R_X3Y60 NUM_ARCS 3737 TILEPROP INT_R_X3Y60 NUM_SITES 1 TILEPROP INT_R_X3Y60 ROW 93 TILEPROP INT_R_X3Y60 SLR_REGION_ID 0 TILEPROP INT_R_X3Y60 TILE_PATTERN_IDX 6834 TILEPROP INT_R_X3Y60 TILE_TYPE INT_R TILEPROP INT_R_X3Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y60 TILE_X -81678 TILEPROP INT_R_X3Y60 TILE_Y -46400 TILEPROP INT_R_X3Y60 TYPE INT_R TILEPROP INT_R_X3Y61 CLASS tile TILEPROP INT_R_X3Y61 COLUMN 12 TILEPROP INT_R_X3Y61 DEVICE_ID 0 TILEPROP INT_R_X3Y61 FIRST_SITE_ID 9182 TILEPROP INT_R_X3Y61 GRID_POINT_X 12 TILEPROP INT_R_X3Y61 GRID_POINT_Y 92 TILEPROP INT_R_X3Y61 INDEX 10592 TILEPROP INT_R_X3Y61 INT_TILE_X 3 TILEPROP INT_R_X3Y61 INT_TILE_Y 88 TILEPROP INT_R_X3Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y61 IS_DCM_TILE 0 TILEPROP INT_R_X3Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y61 NAME INT_R_X3Y61 TILEPROP INT_R_X3Y61 NUM_ARCS 3737 TILEPROP INT_R_X3Y61 NUM_SITES 1 TILEPROP INT_R_X3Y61 ROW 92 TILEPROP INT_R_X3Y61 SLR_REGION_ID 0 TILEPROP INT_R_X3Y61 TILE_PATTERN_IDX 6797 TILEPROP INT_R_X3Y61 TILE_TYPE INT_R TILEPROP INT_R_X3Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y61 TILE_X -81678 TILEPROP INT_R_X3Y61 TILE_Y -43200 TILEPROP INT_R_X3Y61 TYPE INT_R TILEPROP INT_R_X3Y62 CLASS tile TILEPROP INT_R_X3Y62 COLUMN 12 TILEPROP INT_R_X3Y62 DEVICE_ID 0 TILEPROP INT_R_X3Y62 FIRST_SITE_ID 9050 TILEPROP INT_R_X3Y62 GRID_POINT_X 12 TILEPROP INT_R_X3Y62 GRID_POINT_Y 91 TILEPROP INT_R_X3Y62 INDEX 10477 TILEPROP INT_R_X3Y62 INT_TILE_X 3 TILEPROP INT_R_X3Y62 INT_TILE_Y 87 TILEPROP INT_R_X3Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y62 IS_DCM_TILE 0 TILEPROP INT_R_X3Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y62 NAME INT_R_X3Y62 TILEPROP INT_R_X3Y62 NUM_ARCS 3737 TILEPROP INT_R_X3Y62 NUM_SITES 1 TILEPROP INT_R_X3Y62 ROW 91 TILEPROP INT_R_X3Y62 SLR_REGION_ID 0 TILEPROP INT_R_X3Y62 TILE_PATTERN_IDX 6760 TILEPROP INT_R_X3Y62 TILE_TYPE INT_R TILEPROP INT_R_X3Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y62 TILE_X -81678 TILEPROP INT_R_X3Y62 TILE_Y -40000 TILEPROP INT_R_X3Y62 TYPE INT_R TILEPROP INT_R_X3Y63 CLASS tile TILEPROP INT_R_X3Y63 COLUMN 12 TILEPROP INT_R_X3Y63 DEVICE_ID 0 TILEPROP INT_R_X3Y63 FIRST_SITE_ID 8950 TILEPROP INT_R_X3Y63 GRID_POINT_X 12 TILEPROP INT_R_X3Y63 GRID_POINT_Y 90 TILEPROP INT_R_X3Y63 INDEX 10362 TILEPROP INT_R_X3Y63 INT_TILE_X 3 TILEPROP INT_R_X3Y63 INT_TILE_Y 86 TILEPROP INT_R_X3Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y63 IS_DCM_TILE 0 TILEPROP INT_R_X3Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y63 NAME INT_R_X3Y63 TILEPROP INT_R_X3Y63 NUM_ARCS 3737 TILEPROP INT_R_X3Y63 NUM_SITES 1 TILEPROP INT_R_X3Y63 ROW 90 TILEPROP INT_R_X3Y63 SLR_REGION_ID 0 TILEPROP INT_R_X3Y63 TILE_PATTERN_IDX 6723 TILEPROP INT_R_X3Y63 TILE_TYPE INT_R TILEPROP INT_R_X3Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y63 TILE_X -81678 TILEPROP INT_R_X3Y63 TILE_Y -36800 TILEPROP INT_R_X3Y63 TYPE INT_R TILEPROP INT_R_X3Y64 CLASS tile TILEPROP INT_R_X3Y64 COLUMN 12 TILEPROP INT_R_X3Y64 DEVICE_ID 0 TILEPROP INT_R_X3Y64 FIRST_SITE_ID 8850 TILEPROP INT_R_X3Y64 GRID_POINT_X 12 TILEPROP INT_R_X3Y64 GRID_POINT_Y 89 TILEPROP INT_R_X3Y64 INDEX 10247 TILEPROP INT_R_X3Y64 INT_TILE_X 3 TILEPROP INT_R_X3Y64 INT_TILE_Y 85 TILEPROP INT_R_X3Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y64 IS_DCM_TILE 0 TILEPROP INT_R_X3Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y64 NAME INT_R_X3Y64 TILEPROP INT_R_X3Y64 NUM_ARCS 3737 TILEPROP INT_R_X3Y64 NUM_SITES 1 TILEPROP INT_R_X3Y64 ROW 89 TILEPROP INT_R_X3Y64 SLR_REGION_ID 0 TILEPROP INT_R_X3Y64 TILE_PATTERN_IDX 6686 TILEPROP INT_R_X3Y64 TILE_TYPE INT_R TILEPROP INT_R_X3Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y64 TILE_X -81678 TILEPROP INT_R_X3Y64 TILE_Y -33600 TILEPROP INT_R_X3Y64 TYPE INT_R TILEPROP INT_R_X3Y65 CLASS tile TILEPROP INT_R_X3Y65 COLUMN 12 TILEPROP INT_R_X3Y65 DEVICE_ID 0 TILEPROP INT_R_X3Y65 FIRST_SITE_ID 8735 TILEPROP INT_R_X3Y65 GRID_POINT_X 12 TILEPROP INT_R_X3Y65 GRID_POINT_Y 88 TILEPROP INT_R_X3Y65 INDEX 10132 TILEPROP INT_R_X3Y65 INT_TILE_X 3 TILEPROP INT_R_X3Y65 INT_TILE_Y 84 TILEPROP INT_R_X3Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y65 IS_DCM_TILE 0 TILEPROP INT_R_X3Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y65 NAME INT_R_X3Y65 TILEPROP INT_R_X3Y65 NUM_ARCS 3737 TILEPROP INT_R_X3Y65 NUM_SITES 1 TILEPROP INT_R_X3Y65 ROW 88 TILEPROP INT_R_X3Y65 SLR_REGION_ID 0 TILEPROP INT_R_X3Y65 TILE_PATTERN_IDX 6649 TILEPROP INT_R_X3Y65 TILE_TYPE INT_R TILEPROP INT_R_X3Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y65 TILE_X -81678 TILEPROP INT_R_X3Y65 TILE_Y -30400 TILEPROP INT_R_X3Y65 TYPE INT_R TILEPROP INT_R_X3Y66 CLASS tile TILEPROP INT_R_X3Y66 COLUMN 12 TILEPROP INT_R_X3Y66 DEVICE_ID 0 TILEPROP INT_R_X3Y66 FIRST_SITE_ID 8635 TILEPROP INT_R_X3Y66 GRID_POINT_X 12 TILEPROP INT_R_X3Y66 GRID_POINT_Y 87 TILEPROP INT_R_X3Y66 INDEX 10017 TILEPROP INT_R_X3Y66 INT_TILE_X 3 TILEPROP INT_R_X3Y66 INT_TILE_Y 83 TILEPROP INT_R_X3Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y66 IS_DCM_TILE 0 TILEPROP INT_R_X3Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y66 NAME INT_R_X3Y66 TILEPROP INT_R_X3Y66 NUM_ARCS 3737 TILEPROP INT_R_X3Y66 NUM_SITES 1 TILEPROP INT_R_X3Y66 ROW 87 TILEPROP INT_R_X3Y66 SLR_REGION_ID 0 TILEPROP INT_R_X3Y66 TILE_PATTERN_IDX 6612 TILEPROP INT_R_X3Y66 TILE_TYPE INT_R TILEPROP INT_R_X3Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y66 TILE_X -81678 TILEPROP INT_R_X3Y66 TILE_Y -27200 TILEPROP INT_R_X3Y66 TYPE INT_R TILEPROP INT_R_X3Y67 CLASS tile TILEPROP INT_R_X3Y67 COLUMN 12 TILEPROP INT_R_X3Y67 DEVICE_ID 0 TILEPROP INT_R_X3Y67 FIRST_SITE_ID 8531 TILEPROP INT_R_X3Y67 GRID_POINT_X 12 TILEPROP INT_R_X3Y67 GRID_POINT_Y 86 TILEPROP INT_R_X3Y67 INDEX 9902 TILEPROP INT_R_X3Y67 INT_TILE_X 3 TILEPROP INT_R_X3Y67 INT_TILE_Y 82 TILEPROP INT_R_X3Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y67 IS_DCM_TILE 0 TILEPROP INT_R_X3Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y67 NAME INT_R_X3Y67 TILEPROP INT_R_X3Y67 NUM_ARCS 3737 TILEPROP INT_R_X3Y67 NUM_SITES 1 TILEPROP INT_R_X3Y67 ROW 86 TILEPROP INT_R_X3Y67 SLR_REGION_ID 0 TILEPROP INT_R_X3Y67 TILE_PATTERN_IDX 6574 TILEPROP INT_R_X3Y67 TILE_TYPE INT_R TILEPROP INT_R_X3Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y67 TILE_X -81678 TILEPROP INT_R_X3Y67 TILE_Y -24000 TILEPROP INT_R_X3Y67 TYPE INT_R TILEPROP INT_R_X3Y68 CLASS tile TILEPROP INT_R_X3Y68 COLUMN 12 TILEPROP INT_R_X3Y68 DEVICE_ID 0 TILEPROP INT_R_X3Y68 FIRST_SITE_ID 8427 TILEPROP INT_R_X3Y68 GRID_POINT_X 12 TILEPROP INT_R_X3Y68 GRID_POINT_Y 85 TILEPROP INT_R_X3Y68 INDEX 9787 TILEPROP INT_R_X3Y68 INT_TILE_X 3 TILEPROP INT_R_X3Y68 INT_TILE_Y 81 TILEPROP INT_R_X3Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y68 IS_DCM_TILE 0 TILEPROP INT_R_X3Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y68 NAME INT_R_X3Y68 TILEPROP INT_R_X3Y68 NUM_ARCS 3737 TILEPROP INT_R_X3Y68 NUM_SITES 1 TILEPROP INT_R_X3Y68 ROW 85 TILEPROP INT_R_X3Y68 SLR_REGION_ID 0 TILEPROP INT_R_X3Y68 TILE_PATTERN_IDX 6542 TILEPROP INT_R_X3Y68 TILE_TYPE INT_R TILEPROP INT_R_X3Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y68 TILE_X -81678 TILEPROP INT_R_X3Y68 TILE_Y -20800 TILEPROP INT_R_X3Y68 TYPE INT_R TILEPROP INT_R_X3Y69 CLASS tile TILEPROP INT_R_X3Y69 COLUMN 12 TILEPROP INT_R_X3Y69 DEVICE_ID 0 TILEPROP INT_R_X3Y69 FIRST_SITE_ID 8325 TILEPROP INT_R_X3Y69 GRID_POINT_X 12 TILEPROP INT_R_X3Y69 GRID_POINT_Y 84 TILEPROP INT_R_X3Y69 INDEX 9672 TILEPROP INT_R_X3Y69 INT_TILE_X 3 TILEPROP INT_R_X3Y69 INT_TILE_Y 80 TILEPROP INT_R_X3Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y69 IS_DCM_TILE 0 TILEPROP INT_R_X3Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y69 NAME INT_R_X3Y69 TILEPROP INT_R_X3Y69 NUM_ARCS 3737 TILEPROP INT_R_X3Y69 NUM_SITES 1 TILEPROP INT_R_X3Y69 ROW 84 TILEPROP INT_R_X3Y69 SLR_REGION_ID 0 TILEPROP INT_R_X3Y69 TILE_PATTERN_IDX 6511 TILEPROP INT_R_X3Y69 TILE_TYPE INT_R TILEPROP INT_R_X3Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y69 TILE_X -81678 TILEPROP INT_R_X3Y69 TILE_Y -17600 TILEPROP INT_R_X3Y69 TYPE INT_R TILEPROP INT_R_X3Y70 CLASS tile TILEPROP INT_R_X3Y70 COLUMN 12 TILEPROP INT_R_X3Y70 DEVICE_ID 0 TILEPROP INT_R_X3Y70 FIRST_SITE_ID 8208 TILEPROP INT_R_X3Y70 GRID_POINT_X 12 TILEPROP INT_R_X3Y70 GRID_POINT_Y 83 TILEPROP INT_R_X3Y70 INDEX 9557 TILEPROP INT_R_X3Y70 INT_TILE_X 3 TILEPROP INT_R_X3Y70 INT_TILE_Y 79 TILEPROP INT_R_X3Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y70 IS_DCM_TILE 0 TILEPROP INT_R_X3Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y70 NAME INT_R_X3Y70 TILEPROP INT_R_X3Y70 NUM_ARCS 3737 TILEPROP INT_R_X3Y70 NUM_SITES 1 TILEPROP INT_R_X3Y70 ROW 83 TILEPROP INT_R_X3Y70 SLR_REGION_ID 0 TILEPROP INT_R_X3Y70 TILE_PATTERN_IDX 6480 TILEPROP INT_R_X3Y70 TILE_TYPE INT_R TILEPROP INT_R_X3Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y70 TILE_X -81678 TILEPROP INT_R_X3Y70 TILE_Y -14400 TILEPROP INT_R_X3Y70 TYPE INT_R TILEPROP INT_R_X3Y71 CLASS tile TILEPROP INT_R_X3Y71 COLUMN 12 TILEPROP INT_R_X3Y71 DEVICE_ID 0 TILEPROP INT_R_X3Y71 FIRST_SITE_ID 8108 TILEPROP INT_R_X3Y71 GRID_POINT_X 12 TILEPROP INT_R_X3Y71 GRID_POINT_Y 82 TILEPROP INT_R_X3Y71 INDEX 9442 TILEPROP INT_R_X3Y71 INT_TILE_X 3 TILEPROP INT_R_X3Y71 INT_TILE_Y 78 TILEPROP INT_R_X3Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y71 IS_DCM_TILE 0 TILEPROP INT_R_X3Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y71 NAME INT_R_X3Y71 TILEPROP INT_R_X3Y71 NUM_ARCS 3737 TILEPROP INT_R_X3Y71 NUM_SITES 1 TILEPROP INT_R_X3Y71 ROW 82 TILEPROP INT_R_X3Y71 SLR_REGION_ID 0 TILEPROP INT_R_X3Y71 TILE_PATTERN_IDX 6449 TILEPROP INT_R_X3Y71 TILE_TYPE INT_R TILEPROP INT_R_X3Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y71 TILE_X -81678 TILEPROP INT_R_X3Y71 TILE_Y -11200 TILEPROP INT_R_X3Y71 TYPE INT_R TILEPROP INT_R_X3Y72 CLASS tile TILEPROP INT_R_X3Y72 COLUMN 12 TILEPROP INT_R_X3Y72 DEVICE_ID 0 TILEPROP INT_R_X3Y72 FIRST_SITE_ID 8008 TILEPROP INT_R_X3Y72 GRID_POINT_X 12 TILEPROP INT_R_X3Y72 GRID_POINT_Y 81 TILEPROP INT_R_X3Y72 INDEX 9327 TILEPROP INT_R_X3Y72 INT_TILE_X 3 TILEPROP INT_R_X3Y72 INT_TILE_Y 77 TILEPROP INT_R_X3Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y72 IS_DCM_TILE 0 TILEPROP INT_R_X3Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y72 NAME INT_R_X3Y72 TILEPROP INT_R_X3Y72 NUM_ARCS 3737 TILEPROP INT_R_X3Y72 NUM_SITES 1 TILEPROP INT_R_X3Y72 ROW 81 TILEPROP INT_R_X3Y72 SLR_REGION_ID 0 TILEPROP INT_R_X3Y72 TILE_PATTERN_IDX 6418 TILEPROP INT_R_X3Y72 TILE_TYPE INT_R TILEPROP INT_R_X3Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y72 TILE_X -81678 TILEPROP INT_R_X3Y72 TILE_Y -8000 TILEPROP INT_R_X3Y72 TYPE INT_R TILEPROP INT_R_X3Y73 CLASS tile TILEPROP INT_R_X3Y73 COLUMN 12 TILEPROP INT_R_X3Y73 DEVICE_ID 0 TILEPROP INT_R_X3Y73 FIRST_SITE_ID 7908 TILEPROP INT_R_X3Y73 GRID_POINT_X 12 TILEPROP INT_R_X3Y73 GRID_POINT_Y 80 TILEPROP INT_R_X3Y73 INDEX 9212 TILEPROP INT_R_X3Y73 INT_TILE_X 3 TILEPROP INT_R_X3Y73 INT_TILE_Y 76 TILEPROP INT_R_X3Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y73 IS_DCM_TILE 0 TILEPROP INT_R_X3Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y73 NAME INT_R_X3Y73 TILEPROP INT_R_X3Y73 NUM_ARCS 3737 TILEPROP INT_R_X3Y73 NUM_SITES 1 TILEPROP INT_R_X3Y73 ROW 80 TILEPROP INT_R_X3Y73 SLR_REGION_ID 0 TILEPROP INT_R_X3Y73 TILE_PATTERN_IDX 6387 TILEPROP INT_R_X3Y73 TILE_TYPE INT_R TILEPROP INT_R_X3Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y73 TILE_X -81678 TILEPROP INT_R_X3Y73 TILE_Y -4800 TILEPROP INT_R_X3Y73 TYPE INT_R TILEPROP INT_R_X3Y74 CLASS tile TILEPROP INT_R_X3Y74 COLUMN 12 TILEPROP INT_R_X3Y74 DEVICE_ID 0 TILEPROP INT_R_X3Y74 FIRST_SITE_ID 7808 TILEPROP INT_R_X3Y74 GRID_POINT_X 12 TILEPROP INT_R_X3Y74 GRID_POINT_Y 79 TILEPROP INT_R_X3Y74 INDEX 9097 TILEPROP INT_R_X3Y74 INT_TILE_X 3 TILEPROP INT_R_X3Y74 INT_TILE_Y 75 TILEPROP INT_R_X3Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y74 IS_DCM_TILE 0 TILEPROP INT_R_X3Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y74 NAME INT_R_X3Y74 TILEPROP INT_R_X3Y74 NUM_ARCS 3737 TILEPROP INT_R_X3Y74 NUM_SITES 1 TILEPROP INT_R_X3Y74 ROW 79 TILEPROP INT_R_X3Y74 SLR_REGION_ID 0 TILEPROP INT_R_X3Y74 TILE_PATTERN_IDX 6356 TILEPROP INT_R_X3Y74 TILE_TYPE INT_R TILEPROP INT_R_X3Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y74 TILE_X -81678 TILEPROP INT_R_X3Y74 TILE_Y -1600 TILEPROP INT_R_X3Y74 TYPE INT_R TILEPROP INT_R_X3Y75 CLASS tile TILEPROP INT_R_X3Y75 COLUMN 12 TILEPROP INT_R_X3Y75 DEVICE_ID 0 TILEPROP INT_R_X3Y75 FIRST_SITE_ID 7607 TILEPROP INT_R_X3Y75 GRID_POINT_X 12 TILEPROP INT_R_X3Y75 GRID_POINT_Y 77 TILEPROP INT_R_X3Y75 INDEX 8867 TILEPROP INT_R_X3Y75 INT_TILE_X 3 TILEPROP INT_R_X3Y75 INT_TILE_Y 74 TILEPROP INT_R_X3Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y75 IS_DCM_TILE 0 TILEPROP INT_R_X3Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y75 NAME INT_R_X3Y75 TILEPROP INT_R_X3Y75 NUM_ARCS 3737 TILEPROP INT_R_X3Y75 NUM_SITES 1 TILEPROP INT_R_X3Y75 ROW 77 TILEPROP INT_R_X3Y75 SLR_REGION_ID 0 TILEPROP INT_R_X3Y75 TILE_PATTERN_IDX 6280 TILEPROP INT_R_X3Y75 TILE_TYPE INT_R TILEPROP INT_R_X3Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y75 TILE_X -81678 TILEPROP INT_R_X3Y75 TILE_Y 2624 TILEPROP INT_R_X3Y75 TYPE INT_R TILEPROP INT_R_X3Y76 CLASS tile TILEPROP INT_R_X3Y76 COLUMN 12 TILEPROP INT_R_X3Y76 DEVICE_ID 0 TILEPROP INT_R_X3Y76 FIRST_SITE_ID 7507 TILEPROP INT_R_X3Y76 GRID_POINT_X 12 TILEPROP INT_R_X3Y76 GRID_POINT_Y 76 TILEPROP INT_R_X3Y76 INDEX 8752 TILEPROP INT_R_X3Y76 INT_TILE_X 3 TILEPROP INT_R_X3Y76 INT_TILE_Y 73 TILEPROP INT_R_X3Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y76 IS_DCM_TILE 0 TILEPROP INT_R_X3Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y76 NAME INT_R_X3Y76 TILEPROP INT_R_X3Y76 NUM_ARCS 3737 TILEPROP INT_R_X3Y76 NUM_SITES 1 TILEPROP INT_R_X3Y76 ROW 76 TILEPROP INT_R_X3Y76 SLR_REGION_ID 0 TILEPROP INT_R_X3Y76 TILE_PATTERN_IDX 6247 TILEPROP INT_R_X3Y76 TILE_TYPE INT_R TILEPROP INT_R_X3Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y76 TILE_X -81678 TILEPROP INT_R_X3Y76 TILE_Y 5824 TILEPROP INT_R_X3Y76 TYPE INT_R TILEPROP INT_R_X3Y77 CLASS tile TILEPROP INT_R_X3Y77 COLUMN 12 TILEPROP INT_R_X3Y77 DEVICE_ID 0 TILEPROP INT_R_X3Y77 FIRST_SITE_ID 7407 TILEPROP INT_R_X3Y77 GRID_POINT_X 12 TILEPROP INT_R_X3Y77 GRID_POINT_Y 75 TILEPROP INT_R_X3Y77 INDEX 8637 TILEPROP INT_R_X3Y77 INT_TILE_X 3 TILEPROP INT_R_X3Y77 INT_TILE_Y 72 TILEPROP INT_R_X3Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y77 IS_DCM_TILE 0 TILEPROP INT_R_X3Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y77 NAME INT_R_X3Y77 TILEPROP INT_R_X3Y77 NUM_ARCS 3737 TILEPROP INT_R_X3Y77 NUM_SITES 1 TILEPROP INT_R_X3Y77 ROW 75 TILEPROP INT_R_X3Y77 SLR_REGION_ID 0 TILEPROP INT_R_X3Y77 TILE_PATTERN_IDX 6213 TILEPROP INT_R_X3Y77 TILE_TYPE INT_R TILEPROP INT_R_X3Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y77 TILE_X -81678 TILEPROP INT_R_X3Y77 TILE_Y 9024 TILEPROP INT_R_X3Y77 TYPE INT_R TILEPROP INT_R_X3Y78 CLASS tile TILEPROP INT_R_X3Y78 COLUMN 12 TILEPROP INT_R_X3Y78 DEVICE_ID 0 TILEPROP INT_R_X3Y78 FIRST_SITE_ID 7307 TILEPROP INT_R_X3Y78 GRID_POINT_X 12 TILEPROP INT_R_X3Y78 GRID_POINT_Y 74 TILEPROP INT_R_X3Y78 INDEX 8522 TILEPROP INT_R_X3Y78 INT_TILE_X 3 TILEPROP INT_R_X3Y78 INT_TILE_Y 71 TILEPROP INT_R_X3Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y78 IS_DCM_TILE 0 TILEPROP INT_R_X3Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y78 NAME INT_R_X3Y78 TILEPROP INT_R_X3Y78 NUM_ARCS 3737 TILEPROP INT_R_X3Y78 NUM_SITES 1 TILEPROP INT_R_X3Y78 ROW 74 TILEPROP INT_R_X3Y78 SLR_REGION_ID 0 TILEPROP INT_R_X3Y78 TILE_PATTERN_IDX 6180 TILEPROP INT_R_X3Y78 TILE_TYPE INT_R TILEPROP INT_R_X3Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y78 TILE_X -81678 TILEPROP INT_R_X3Y78 TILE_Y 12224 TILEPROP INT_R_X3Y78 TYPE INT_R TILEPROP INT_R_X3Y79 CLASS tile TILEPROP INT_R_X3Y79 COLUMN 12 TILEPROP INT_R_X3Y79 DEVICE_ID 0 TILEPROP INT_R_X3Y79 FIRST_SITE_ID 7201 TILEPROP INT_R_X3Y79 GRID_POINT_X 12 TILEPROP INT_R_X3Y79 GRID_POINT_Y 73 TILEPROP INT_R_X3Y79 INDEX 8407 TILEPROP INT_R_X3Y79 INT_TILE_X 3 TILEPROP INT_R_X3Y79 INT_TILE_Y 70 TILEPROP INT_R_X3Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y79 IS_DCM_TILE 0 TILEPROP INT_R_X3Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y79 NAME INT_R_X3Y79 TILEPROP INT_R_X3Y79 NUM_ARCS 3737 TILEPROP INT_R_X3Y79 NUM_SITES 1 TILEPROP INT_R_X3Y79 ROW 73 TILEPROP INT_R_X3Y79 SLR_REGION_ID 0 TILEPROP INT_R_X3Y79 TILE_PATTERN_IDX 6145 TILEPROP INT_R_X3Y79 TILE_TYPE INT_R TILEPROP INT_R_X3Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y79 TILE_X -81678 TILEPROP INT_R_X3Y79 TILE_Y 15424 TILEPROP INT_R_X3Y79 TYPE INT_R TILEPROP INT_R_X3Y80 CLASS tile TILEPROP INT_R_X3Y80 COLUMN 12 TILEPROP INT_R_X3Y80 DEVICE_ID 0 TILEPROP INT_R_X3Y80 FIRST_SITE_ID 7080 TILEPROP INT_R_X3Y80 GRID_POINT_X 12 TILEPROP INT_R_X3Y80 GRID_POINT_Y 72 TILEPROP INT_R_X3Y80 INDEX 8292 TILEPROP INT_R_X3Y80 INT_TILE_X 3 TILEPROP INT_R_X3Y80 INT_TILE_Y 69 TILEPROP INT_R_X3Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y80 IS_DCM_TILE 0 TILEPROP INT_R_X3Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y80 NAME INT_R_X3Y80 TILEPROP INT_R_X3Y80 NUM_ARCS 3737 TILEPROP INT_R_X3Y80 NUM_SITES 1 TILEPROP INT_R_X3Y80 ROW 72 TILEPROP INT_R_X3Y80 SLR_REGION_ID 0 TILEPROP INT_R_X3Y80 TILE_PATTERN_IDX 6110 TILEPROP INT_R_X3Y80 TILE_TYPE INT_R TILEPROP INT_R_X3Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y80 TILE_X -81678 TILEPROP INT_R_X3Y80 TILE_Y 18624 TILEPROP INT_R_X3Y80 TYPE INT_R TILEPROP INT_R_X3Y81 CLASS tile TILEPROP INT_R_X3Y81 COLUMN 12 TILEPROP INT_R_X3Y81 DEVICE_ID 0 TILEPROP INT_R_X3Y81 FIRST_SITE_ID 6978 TILEPROP INT_R_X3Y81 GRID_POINT_X 12 TILEPROP INT_R_X3Y81 GRID_POINT_Y 71 TILEPROP INT_R_X3Y81 INDEX 8177 TILEPROP INT_R_X3Y81 INT_TILE_X 3 TILEPROP INT_R_X3Y81 INT_TILE_Y 68 TILEPROP INT_R_X3Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y81 IS_DCM_TILE 0 TILEPROP INT_R_X3Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y81 NAME INT_R_X3Y81 TILEPROP INT_R_X3Y81 NUM_ARCS 3737 TILEPROP INT_R_X3Y81 NUM_SITES 1 TILEPROP INT_R_X3Y81 ROW 71 TILEPROP INT_R_X3Y81 SLR_REGION_ID 0 TILEPROP INT_R_X3Y81 TILE_PATTERN_IDX 6076 TILEPROP INT_R_X3Y81 TILE_TYPE INT_R TILEPROP INT_R_X3Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y81 TILE_X -81678 TILEPROP INT_R_X3Y81 TILE_Y 21824 TILEPROP INT_R_X3Y81 TYPE INT_R TILEPROP INT_R_X3Y82 CLASS tile TILEPROP INT_R_X3Y82 COLUMN 12 TILEPROP INT_R_X3Y82 DEVICE_ID 0 TILEPROP INT_R_X3Y82 FIRST_SITE_ID 6876 TILEPROP INT_R_X3Y82 GRID_POINT_X 12 TILEPROP INT_R_X3Y82 GRID_POINT_Y 70 TILEPROP INT_R_X3Y82 INDEX 8062 TILEPROP INT_R_X3Y82 INT_TILE_X 3 TILEPROP INT_R_X3Y82 INT_TILE_Y 67 TILEPROP INT_R_X3Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y82 IS_DCM_TILE 0 TILEPROP INT_R_X3Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y82 NAME INT_R_X3Y82 TILEPROP INT_R_X3Y82 NUM_ARCS 3737 TILEPROP INT_R_X3Y82 NUM_SITES 1 TILEPROP INT_R_X3Y82 ROW 70 TILEPROP INT_R_X3Y82 SLR_REGION_ID 0 TILEPROP INT_R_X3Y82 TILE_PATTERN_IDX 6042 TILEPROP INT_R_X3Y82 TILE_TYPE INT_R TILEPROP INT_R_X3Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y82 TILE_X -81678 TILEPROP INT_R_X3Y82 TILE_Y 25024 TILEPROP INT_R_X3Y82 TYPE INT_R TILEPROP INT_R_X3Y83 CLASS tile TILEPROP INT_R_X3Y83 COLUMN 12 TILEPROP INT_R_X3Y83 DEVICE_ID 0 TILEPROP INT_R_X3Y83 FIRST_SITE_ID 6776 TILEPROP INT_R_X3Y83 GRID_POINT_X 12 TILEPROP INT_R_X3Y83 GRID_POINT_Y 69 TILEPROP INT_R_X3Y83 INDEX 7947 TILEPROP INT_R_X3Y83 INT_TILE_X 3 TILEPROP INT_R_X3Y83 INT_TILE_Y 66 TILEPROP INT_R_X3Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y83 IS_DCM_TILE 0 TILEPROP INT_R_X3Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y83 NAME INT_R_X3Y83 TILEPROP INT_R_X3Y83 NUM_ARCS 3737 TILEPROP INT_R_X3Y83 NUM_SITES 1 TILEPROP INT_R_X3Y83 ROW 69 TILEPROP INT_R_X3Y83 SLR_REGION_ID 0 TILEPROP INT_R_X3Y83 TILE_PATTERN_IDX 6008 TILEPROP INT_R_X3Y83 TILE_TYPE INT_R TILEPROP INT_R_X3Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y83 TILE_X -81678 TILEPROP INT_R_X3Y83 TILE_Y 28224 TILEPROP INT_R_X3Y83 TYPE INT_R TILEPROP INT_R_X3Y84 CLASS tile TILEPROP INT_R_X3Y84 COLUMN 12 TILEPROP INT_R_X3Y84 DEVICE_ID 0 TILEPROP INT_R_X3Y84 FIRST_SITE_ID 6676 TILEPROP INT_R_X3Y84 GRID_POINT_X 12 TILEPROP INT_R_X3Y84 GRID_POINT_Y 68 TILEPROP INT_R_X3Y84 INDEX 7832 TILEPROP INT_R_X3Y84 INT_TILE_X 3 TILEPROP INT_R_X3Y84 INT_TILE_Y 65 TILEPROP INT_R_X3Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y84 IS_DCM_TILE 0 TILEPROP INT_R_X3Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y84 NAME INT_R_X3Y84 TILEPROP INT_R_X3Y84 NUM_ARCS 3737 TILEPROP INT_R_X3Y84 NUM_SITES 1 TILEPROP INT_R_X3Y84 ROW 68 TILEPROP INT_R_X3Y84 SLR_REGION_ID 0 TILEPROP INT_R_X3Y84 TILE_PATTERN_IDX 5974 TILEPROP INT_R_X3Y84 TILE_TYPE INT_R TILEPROP INT_R_X3Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y84 TILE_X -81678 TILEPROP INT_R_X3Y84 TILE_Y 31424 TILEPROP INT_R_X3Y84 TYPE INT_R TILEPROP INT_R_X3Y85 CLASS tile TILEPROP INT_R_X3Y85 COLUMN 12 TILEPROP INT_R_X3Y85 DEVICE_ID 0 TILEPROP INT_R_X3Y85 FIRST_SITE_ID 6561 TILEPROP INT_R_X3Y85 GRID_POINT_X 12 TILEPROP INT_R_X3Y85 GRID_POINT_Y 67 TILEPROP INT_R_X3Y85 INDEX 7717 TILEPROP INT_R_X3Y85 INT_TILE_X 3 TILEPROP INT_R_X3Y85 INT_TILE_Y 64 TILEPROP INT_R_X3Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y85 IS_DCM_TILE 0 TILEPROP INT_R_X3Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y85 NAME INT_R_X3Y85 TILEPROP INT_R_X3Y85 NUM_ARCS 3737 TILEPROP INT_R_X3Y85 NUM_SITES 1 TILEPROP INT_R_X3Y85 ROW 67 TILEPROP INT_R_X3Y85 SLR_REGION_ID 0 TILEPROP INT_R_X3Y85 TILE_PATTERN_IDX 5939 TILEPROP INT_R_X3Y85 TILE_TYPE INT_R TILEPROP INT_R_X3Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y85 TILE_X -81678 TILEPROP INT_R_X3Y85 TILE_Y 34624 TILEPROP INT_R_X3Y85 TYPE INT_R TILEPROP INT_R_X3Y86 CLASS tile TILEPROP INT_R_X3Y86 COLUMN 12 TILEPROP INT_R_X3Y86 DEVICE_ID 0 TILEPROP INT_R_X3Y86 FIRST_SITE_ID 6429 TILEPROP INT_R_X3Y86 GRID_POINT_X 12 TILEPROP INT_R_X3Y86 GRID_POINT_Y 66 TILEPROP INT_R_X3Y86 INDEX 7602 TILEPROP INT_R_X3Y86 INT_TILE_X 3 TILEPROP INT_R_X3Y86 INT_TILE_Y 63 TILEPROP INT_R_X3Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y86 IS_DCM_TILE 0 TILEPROP INT_R_X3Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y86 NAME INT_R_X3Y86 TILEPROP INT_R_X3Y86 NUM_ARCS 3737 TILEPROP INT_R_X3Y86 NUM_SITES 1 TILEPROP INT_R_X3Y86 ROW 66 TILEPROP INT_R_X3Y86 SLR_REGION_ID 0 TILEPROP INT_R_X3Y86 TILE_PATTERN_IDX 5904 TILEPROP INT_R_X3Y86 TILE_TYPE INT_R TILEPROP INT_R_X3Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y86 TILE_X -81678 TILEPROP INT_R_X3Y86 TILE_Y 37824 TILEPROP INT_R_X3Y86 TYPE INT_R TILEPROP INT_R_X3Y87 CLASS tile TILEPROP INT_R_X3Y87 COLUMN 12 TILEPROP INT_R_X3Y87 DEVICE_ID 0 TILEPROP INT_R_X3Y87 FIRST_SITE_ID 6329 TILEPROP INT_R_X3Y87 GRID_POINT_X 12 TILEPROP INT_R_X3Y87 GRID_POINT_Y 65 TILEPROP INT_R_X3Y87 INDEX 7487 TILEPROP INT_R_X3Y87 INT_TILE_X 3 TILEPROP INT_R_X3Y87 INT_TILE_Y 62 TILEPROP INT_R_X3Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y87 IS_DCM_TILE 0 TILEPROP INT_R_X3Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y87 NAME INT_R_X3Y87 TILEPROP INT_R_X3Y87 NUM_ARCS 3737 TILEPROP INT_R_X3Y87 NUM_SITES 1 TILEPROP INT_R_X3Y87 ROW 65 TILEPROP INT_R_X3Y87 SLR_REGION_ID 0 TILEPROP INT_R_X3Y87 TILE_PATTERN_IDX 5870 TILEPROP INT_R_X3Y87 TILE_TYPE INT_R TILEPROP INT_R_X3Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y87 TILE_X -81678 TILEPROP INT_R_X3Y87 TILE_Y 41024 TILEPROP INT_R_X3Y87 TYPE INT_R TILEPROP INT_R_X3Y88 CLASS tile TILEPROP INT_R_X3Y88 COLUMN 12 TILEPROP INT_R_X3Y88 DEVICE_ID 0 TILEPROP INT_R_X3Y88 FIRST_SITE_ID 6229 TILEPROP INT_R_X3Y88 GRID_POINT_X 12 TILEPROP INT_R_X3Y88 GRID_POINT_Y 64 TILEPROP INT_R_X3Y88 INDEX 7372 TILEPROP INT_R_X3Y88 INT_TILE_X 3 TILEPROP INT_R_X3Y88 INT_TILE_Y 61 TILEPROP INT_R_X3Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y88 IS_DCM_TILE 0 TILEPROP INT_R_X3Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y88 NAME INT_R_X3Y88 TILEPROP INT_R_X3Y88 NUM_ARCS 3737 TILEPROP INT_R_X3Y88 NUM_SITES 1 TILEPROP INT_R_X3Y88 ROW 64 TILEPROP INT_R_X3Y88 SLR_REGION_ID 0 TILEPROP INT_R_X3Y88 TILE_PATTERN_IDX 5837 TILEPROP INT_R_X3Y88 TILE_TYPE INT_R TILEPROP INT_R_X3Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y88 TILE_X -81678 TILEPROP INT_R_X3Y88 TILE_Y 44224 TILEPROP INT_R_X3Y88 TYPE INT_R TILEPROP INT_R_X3Y89 CLASS tile TILEPROP INT_R_X3Y89 COLUMN 12 TILEPROP INT_R_X3Y89 DEVICE_ID 0 TILEPROP INT_R_X3Y89 FIRST_SITE_ID 6129 TILEPROP INT_R_X3Y89 GRID_POINT_X 12 TILEPROP INT_R_X3Y89 GRID_POINT_Y 63 TILEPROP INT_R_X3Y89 INDEX 7257 TILEPROP INT_R_X3Y89 INT_TILE_X 3 TILEPROP INT_R_X3Y89 INT_TILE_Y 60 TILEPROP INT_R_X3Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y89 IS_DCM_TILE 0 TILEPROP INT_R_X3Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y89 NAME INT_R_X3Y89 TILEPROP INT_R_X3Y89 NUM_ARCS 3737 TILEPROP INT_R_X3Y89 NUM_SITES 1 TILEPROP INT_R_X3Y89 ROW 63 TILEPROP INT_R_X3Y89 SLR_REGION_ID 0 TILEPROP INT_R_X3Y89 TILE_PATTERN_IDX 5803 TILEPROP INT_R_X3Y89 TILE_TYPE INT_R TILEPROP INT_R_X3Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y89 TILE_X -81678 TILEPROP INT_R_X3Y89 TILE_Y 47424 TILEPROP INT_R_X3Y89 TYPE INT_R TILEPROP INT_R_X3Y90 CLASS tile TILEPROP INT_R_X3Y90 COLUMN 12 TILEPROP INT_R_X3Y90 DEVICE_ID 0 TILEPROP INT_R_X3Y90 FIRST_SITE_ID 6014 TILEPROP INT_R_X3Y90 GRID_POINT_X 12 TILEPROP INT_R_X3Y90 GRID_POINT_Y 62 TILEPROP INT_R_X3Y90 INDEX 7142 TILEPROP INT_R_X3Y90 INT_TILE_X 3 TILEPROP INT_R_X3Y90 INT_TILE_Y 59 TILEPROP INT_R_X3Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y90 IS_DCM_TILE 0 TILEPROP INT_R_X3Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y90 NAME INT_R_X3Y90 TILEPROP INT_R_X3Y90 NUM_ARCS 3737 TILEPROP INT_R_X3Y90 NUM_SITES 1 TILEPROP INT_R_X3Y90 ROW 62 TILEPROP INT_R_X3Y90 SLR_REGION_ID 0 TILEPROP INT_R_X3Y90 TILE_PATTERN_IDX 5769 TILEPROP INT_R_X3Y90 TILE_TYPE INT_R TILEPROP INT_R_X3Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y90 TILE_X -81678 TILEPROP INT_R_X3Y90 TILE_Y 50624 TILEPROP INT_R_X3Y90 TYPE INT_R TILEPROP INT_R_X3Y91 CLASS tile TILEPROP INT_R_X3Y91 COLUMN 12 TILEPROP INT_R_X3Y91 DEVICE_ID 0 TILEPROP INT_R_X3Y91 FIRST_SITE_ID 5914 TILEPROP INT_R_X3Y91 GRID_POINT_X 12 TILEPROP INT_R_X3Y91 GRID_POINT_Y 61 TILEPROP INT_R_X3Y91 INDEX 7027 TILEPROP INT_R_X3Y91 INT_TILE_X 3 TILEPROP INT_R_X3Y91 INT_TILE_Y 58 TILEPROP INT_R_X3Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y91 IS_DCM_TILE 0 TILEPROP INT_R_X3Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y91 NAME INT_R_X3Y91 TILEPROP INT_R_X3Y91 NUM_ARCS 3737 TILEPROP INT_R_X3Y91 NUM_SITES 1 TILEPROP INT_R_X3Y91 ROW 61 TILEPROP INT_R_X3Y91 SLR_REGION_ID 0 TILEPROP INT_R_X3Y91 TILE_PATTERN_IDX 5735 TILEPROP INT_R_X3Y91 TILE_TYPE INT_R TILEPROP INT_R_X3Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y91 TILE_X -81678 TILEPROP INT_R_X3Y91 TILE_Y 53824 TILEPROP INT_R_X3Y91 TYPE INT_R TILEPROP INT_R_X3Y92 CLASS tile TILEPROP INT_R_X3Y92 COLUMN 12 TILEPROP INT_R_X3Y92 DEVICE_ID 0 TILEPROP INT_R_X3Y92 FIRST_SITE_ID 5813 TILEPROP INT_R_X3Y92 GRID_POINT_X 12 TILEPROP INT_R_X3Y92 GRID_POINT_Y 60 TILEPROP INT_R_X3Y92 INDEX 6912 TILEPROP INT_R_X3Y92 INT_TILE_X 3 TILEPROP INT_R_X3Y92 INT_TILE_Y 57 TILEPROP INT_R_X3Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y92 IS_DCM_TILE 0 TILEPROP INT_R_X3Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y92 NAME INT_R_X3Y92 TILEPROP INT_R_X3Y92 NUM_ARCS 3737 TILEPROP INT_R_X3Y92 NUM_SITES 1 TILEPROP INT_R_X3Y92 ROW 60 TILEPROP INT_R_X3Y92 SLR_REGION_ID 0 TILEPROP INT_R_X3Y92 TILE_PATTERN_IDX 5702 TILEPROP INT_R_X3Y92 TILE_TYPE INT_R TILEPROP INT_R_X3Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y92 TILE_X -81678 TILEPROP INT_R_X3Y92 TILE_Y 57024 TILEPROP INT_R_X3Y92 TYPE INT_R TILEPROP INT_R_X3Y93 CLASS tile TILEPROP INT_R_X3Y93 COLUMN 12 TILEPROP INT_R_X3Y93 DEVICE_ID 0 TILEPROP INT_R_X3Y93 FIRST_SITE_ID 5710 TILEPROP INT_R_X3Y93 GRID_POINT_X 12 TILEPROP INT_R_X3Y93 GRID_POINT_Y 59 TILEPROP INT_R_X3Y93 INDEX 6797 TILEPROP INT_R_X3Y93 INT_TILE_X 3 TILEPROP INT_R_X3Y93 INT_TILE_Y 56 TILEPROP INT_R_X3Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y93 IS_DCM_TILE 0 TILEPROP INT_R_X3Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y93 NAME INT_R_X3Y93 TILEPROP INT_R_X3Y93 NUM_ARCS 3737 TILEPROP INT_R_X3Y93 NUM_SITES 1 TILEPROP INT_R_X3Y93 ROW 59 TILEPROP INT_R_X3Y93 SLR_REGION_ID 0 TILEPROP INT_R_X3Y93 TILE_PATTERN_IDX 5668 TILEPROP INT_R_X3Y93 TILE_TYPE INT_R TILEPROP INT_R_X3Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y93 TILE_X -81678 TILEPROP INT_R_X3Y93 TILE_Y 60224 TILEPROP INT_R_X3Y93 TYPE INT_R TILEPROP INT_R_X3Y94 CLASS tile TILEPROP INT_R_X3Y94 COLUMN 12 TILEPROP INT_R_X3Y94 DEVICE_ID 0 TILEPROP INT_R_X3Y94 FIRST_SITE_ID 5608 TILEPROP INT_R_X3Y94 GRID_POINT_X 12 TILEPROP INT_R_X3Y94 GRID_POINT_Y 58 TILEPROP INT_R_X3Y94 INDEX 6682 TILEPROP INT_R_X3Y94 INT_TILE_X 3 TILEPROP INT_R_X3Y94 INT_TILE_Y 55 TILEPROP INT_R_X3Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y94 IS_DCM_TILE 0 TILEPROP INT_R_X3Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y94 NAME INT_R_X3Y94 TILEPROP INT_R_X3Y94 NUM_ARCS 3737 TILEPROP INT_R_X3Y94 NUM_SITES 1 TILEPROP INT_R_X3Y94 ROW 58 TILEPROP INT_R_X3Y94 SLR_REGION_ID 0 TILEPROP INT_R_X3Y94 TILE_PATTERN_IDX 5634 TILEPROP INT_R_X3Y94 TILE_TYPE INT_R TILEPROP INT_R_X3Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y94 TILE_X -81678 TILEPROP INT_R_X3Y94 TILE_Y 63424 TILEPROP INT_R_X3Y94 TYPE INT_R TILEPROP INT_R_X3Y95 CLASS tile TILEPROP INT_R_X3Y95 COLUMN 12 TILEPROP INT_R_X3Y95 DEVICE_ID 0 TILEPROP INT_R_X3Y95 FIRST_SITE_ID 5493 TILEPROP INT_R_X3Y95 GRID_POINT_X 12 TILEPROP INT_R_X3Y95 GRID_POINT_Y 57 TILEPROP INT_R_X3Y95 INDEX 6567 TILEPROP INT_R_X3Y95 INT_TILE_X 3 TILEPROP INT_R_X3Y95 INT_TILE_Y 54 TILEPROP INT_R_X3Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y95 IS_DCM_TILE 0 TILEPROP INT_R_X3Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y95 NAME INT_R_X3Y95 TILEPROP INT_R_X3Y95 NUM_ARCS 3737 TILEPROP INT_R_X3Y95 NUM_SITES 1 TILEPROP INT_R_X3Y95 ROW 57 TILEPROP INT_R_X3Y95 SLR_REGION_ID 0 TILEPROP INT_R_X3Y95 TILE_PATTERN_IDX 5599 TILEPROP INT_R_X3Y95 TILE_TYPE INT_R TILEPROP INT_R_X3Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y95 TILE_X -81678 TILEPROP INT_R_X3Y95 TILE_Y 66624 TILEPROP INT_R_X3Y95 TYPE INT_R TILEPROP INT_R_X3Y96 CLASS tile TILEPROP INT_R_X3Y96 COLUMN 12 TILEPROP INT_R_X3Y96 DEVICE_ID 0 TILEPROP INT_R_X3Y96 FIRST_SITE_ID 5393 TILEPROP INT_R_X3Y96 GRID_POINT_X 12 TILEPROP INT_R_X3Y96 GRID_POINT_Y 56 TILEPROP INT_R_X3Y96 INDEX 6452 TILEPROP INT_R_X3Y96 INT_TILE_X 3 TILEPROP INT_R_X3Y96 INT_TILE_Y 53 TILEPROP INT_R_X3Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y96 IS_DCM_TILE 0 TILEPROP INT_R_X3Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y96 NAME INT_R_X3Y96 TILEPROP INT_R_X3Y96 NUM_ARCS 3737 TILEPROP INT_R_X3Y96 NUM_SITES 1 TILEPROP INT_R_X3Y96 ROW 56 TILEPROP INT_R_X3Y96 SLR_REGION_ID 0 TILEPROP INT_R_X3Y96 TILE_PATTERN_IDX 5565 TILEPROP INT_R_X3Y96 TILE_TYPE INT_R TILEPROP INT_R_X3Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y96 TILE_X -81678 TILEPROP INT_R_X3Y96 TILE_Y 69824 TILEPROP INT_R_X3Y96 TYPE INT_R TILEPROP INT_R_X3Y97 CLASS tile TILEPROP INT_R_X3Y97 COLUMN 12 TILEPROP INT_R_X3Y97 DEVICE_ID 0 TILEPROP INT_R_X3Y97 FIRST_SITE_ID 5293 TILEPROP INT_R_X3Y97 GRID_POINT_X 12 TILEPROP INT_R_X3Y97 GRID_POINT_Y 55 TILEPROP INT_R_X3Y97 INDEX 6337 TILEPROP INT_R_X3Y97 INT_TILE_X 3 TILEPROP INT_R_X3Y97 INT_TILE_Y 52 TILEPROP INT_R_X3Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y97 IS_DCM_TILE 0 TILEPROP INT_R_X3Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y97 NAME INT_R_X3Y97 TILEPROP INT_R_X3Y97 NUM_ARCS 3737 TILEPROP INT_R_X3Y97 NUM_SITES 1 TILEPROP INT_R_X3Y97 ROW 55 TILEPROP INT_R_X3Y97 SLR_REGION_ID 0 TILEPROP INT_R_X3Y97 TILE_PATTERN_IDX 5531 TILEPROP INT_R_X3Y97 TILE_TYPE INT_R TILEPROP INT_R_X3Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y97 TILE_X -81678 TILEPROP INT_R_X3Y97 TILE_Y 73024 TILEPROP INT_R_X3Y97 TYPE INT_R TILEPROP INT_R_X3Y98 CLASS tile TILEPROP INT_R_X3Y98 COLUMN 12 TILEPROP INT_R_X3Y98 DEVICE_ID 0 TILEPROP INT_R_X3Y98 FIRST_SITE_ID 5193 TILEPROP INT_R_X3Y98 GRID_POINT_X 12 TILEPROP INT_R_X3Y98 GRID_POINT_Y 54 TILEPROP INT_R_X3Y98 INDEX 6222 TILEPROP INT_R_X3Y98 INT_TILE_X 3 TILEPROP INT_R_X3Y98 INT_TILE_Y 51 TILEPROP INT_R_X3Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y98 IS_DCM_TILE 0 TILEPROP INT_R_X3Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y98 NAME INT_R_X3Y98 TILEPROP INT_R_X3Y98 NUM_ARCS 3737 TILEPROP INT_R_X3Y98 NUM_SITES 1 TILEPROP INT_R_X3Y98 ROW 54 TILEPROP INT_R_X3Y98 SLR_REGION_ID 0 TILEPROP INT_R_X3Y98 TILE_PATTERN_IDX 5497 TILEPROP INT_R_X3Y98 TILE_TYPE INT_R TILEPROP INT_R_X3Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y98 TILE_X -81678 TILEPROP INT_R_X3Y98 TILE_Y 76224 TILEPROP INT_R_X3Y98 TYPE INT_R TILEPROP INT_R_X3Y99 CLASS tile TILEPROP INT_R_X3Y99 COLUMN 12 TILEPROP INT_R_X3Y99 DEVICE_ID 0 TILEPROP INT_R_X3Y99 FIRST_SITE_ID 5097 TILEPROP INT_R_X3Y99 GRID_POINT_X 12 TILEPROP INT_R_X3Y99 GRID_POINT_Y 53 TILEPROP INT_R_X3Y99 INDEX 6107 TILEPROP INT_R_X3Y99 INT_TILE_X 3 TILEPROP INT_R_X3Y99 INT_TILE_Y 50 TILEPROP INT_R_X3Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y99 IS_DCM_TILE 0 TILEPROP INT_R_X3Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y99 NAME INT_R_X3Y99 TILEPROP INT_R_X3Y99 NUM_ARCS 3737 TILEPROP INT_R_X3Y99 NUM_SITES 1 TILEPROP INT_R_X3Y99 ROW 53 TILEPROP INT_R_X3Y99 SLR_REGION_ID 0 TILEPROP INT_R_X3Y99 TILE_PATTERN_IDX 5464 TILEPROP INT_R_X3Y99 TILE_TYPE INT_R TILEPROP INT_R_X3Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y99 TILE_X -81678 TILEPROP INT_R_X3Y99 TILE_Y 79424 TILEPROP INT_R_X3Y99 TYPE INT_R TILEPROP INT_R_X3Y100 CLASS tile TILEPROP INT_R_X3Y100 COLUMN 12 TILEPROP INT_R_X3Y100 DEVICE_ID 0 TILEPROP INT_R_X3Y100 FIRST_SITE_ID 4996 TILEPROP INT_R_X3Y100 GRID_POINT_X 12 TILEPROP INT_R_X3Y100 GRID_POINT_Y 51 TILEPROP INT_R_X3Y100 INDEX 5877 TILEPROP INT_R_X3Y100 INT_TILE_X 3 TILEPROP INT_R_X3Y100 INT_TILE_Y 49 TILEPROP INT_R_X3Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y100 IS_DCM_TILE 0 TILEPROP INT_R_X3Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y100 NAME INT_R_X3Y100 TILEPROP INT_R_X3Y100 NUM_ARCS 3737 TILEPROP INT_R_X3Y100 NUM_SITES 1 TILEPROP INT_R_X3Y100 ROW 51 TILEPROP INT_R_X3Y100 SLR_REGION_ID 0 TILEPROP INT_R_X3Y100 TILE_PATTERN_IDX 5427 TILEPROP INT_R_X3Y100 TILE_TYPE INT_R TILEPROP INT_R_X3Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y100 TILE_X -81678 TILEPROP INT_R_X3Y100 TILE_Y 82872 TILEPROP INT_R_X3Y100 TYPE INT_R TILEPROP INT_R_X3Y101 CLASS tile TILEPROP INT_R_X3Y101 COLUMN 12 TILEPROP INT_R_X3Y101 DEVICE_ID 0 TILEPROP INT_R_X3Y101 FIRST_SITE_ID 4904 TILEPROP INT_R_X3Y101 GRID_POINT_X 12 TILEPROP INT_R_X3Y101 GRID_POINT_Y 50 TILEPROP INT_R_X3Y101 INDEX 5762 TILEPROP INT_R_X3Y101 INT_TILE_X 3 TILEPROP INT_R_X3Y101 INT_TILE_Y 48 TILEPROP INT_R_X3Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y101 IS_DCM_TILE 0 TILEPROP INT_R_X3Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y101 NAME INT_R_X3Y101 TILEPROP INT_R_X3Y101 NUM_ARCS 3737 TILEPROP INT_R_X3Y101 NUM_SITES 1 TILEPROP INT_R_X3Y101 ROW 50 TILEPROP INT_R_X3Y101 SLR_REGION_ID 0 TILEPROP INT_R_X3Y101 TILE_PATTERN_IDX 5391 TILEPROP INT_R_X3Y101 TILE_TYPE INT_R TILEPROP INT_R_X3Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y101 TILE_X -81678 TILEPROP INT_R_X3Y101 TILE_Y 86072 TILEPROP INT_R_X3Y101 TYPE INT_R TILEPROP INT_R_X3Y102 CLASS tile TILEPROP INT_R_X3Y102 COLUMN 12 TILEPROP INT_R_X3Y102 DEVICE_ID 0 TILEPROP INT_R_X3Y102 FIRST_SITE_ID 4808 TILEPROP INT_R_X3Y102 GRID_POINT_X 12 TILEPROP INT_R_X3Y102 GRID_POINT_Y 49 TILEPROP INT_R_X3Y102 INDEX 5647 TILEPROP INT_R_X3Y102 INT_TILE_X 3 TILEPROP INT_R_X3Y102 INT_TILE_Y 47 TILEPROP INT_R_X3Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y102 IS_DCM_TILE 0 TILEPROP INT_R_X3Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y102 NAME INT_R_X3Y102 TILEPROP INT_R_X3Y102 NUM_ARCS 3737 TILEPROP INT_R_X3Y102 NUM_SITES 1 TILEPROP INT_R_X3Y102 ROW 49 TILEPROP INT_R_X3Y102 SLR_REGION_ID 0 TILEPROP INT_R_X3Y102 TILE_PATTERN_IDX 5354 TILEPROP INT_R_X3Y102 TILE_TYPE INT_R TILEPROP INT_R_X3Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y102 TILE_X -81678 TILEPROP INT_R_X3Y102 TILE_Y 89272 TILEPROP INT_R_X3Y102 TYPE INT_R TILEPROP INT_R_X3Y103 CLASS tile TILEPROP INT_R_X3Y103 COLUMN 12 TILEPROP INT_R_X3Y103 DEVICE_ID 0 TILEPROP INT_R_X3Y103 FIRST_SITE_ID 4720 TILEPROP INT_R_X3Y103 GRID_POINT_X 12 TILEPROP INT_R_X3Y103 GRID_POINT_Y 48 TILEPROP INT_R_X3Y103 INDEX 5532 TILEPROP INT_R_X3Y103 INT_TILE_X 3 TILEPROP INT_R_X3Y103 INT_TILE_Y 46 TILEPROP INT_R_X3Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y103 IS_DCM_TILE 0 TILEPROP INT_R_X3Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y103 NAME INT_R_X3Y103 TILEPROP INT_R_X3Y103 NUM_ARCS 3737 TILEPROP INT_R_X3Y103 NUM_SITES 1 TILEPROP INT_R_X3Y103 ROW 48 TILEPROP INT_R_X3Y103 SLR_REGION_ID 0 TILEPROP INT_R_X3Y103 TILE_PATTERN_IDX 5318 TILEPROP INT_R_X3Y103 TILE_TYPE INT_R TILEPROP INT_R_X3Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y103 TILE_X -81678 TILEPROP INT_R_X3Y103 TILE_Y 92472 TILEPROP INT_R_X3Y103 TYPE INT_R TILEPROP INT_R_X3Y104 CLASS tile TILEPROP INT_R_X3Y104 COLUMN 12 TILEPROP INT_R_X3Y104 DEVICE_ID 0 TILEPROP INT_R_X3Y104 FIRST_SITE_ID 4624 TILEPROP INT_R_X3Y104 GRID_POINT_X 12 TILEPROP INT_R_X3Y104 GRID_POINT_Y 47 TILEPROP INT_R_X3Y104 INDEX 5417 TILEPROP INT_R_X3Y104 INT_TILE_X 3 TILEPROP INT_R_X3Y104 INT_TILE_Y 45 TILEPROP INT_R_X3Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y104 IS_DCM_TILE 0 TILEPROP INT_R_X3Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y104 NAME INT_R_X3Y104 TILEPROP INT_R_X3Y104 NUM_ARCS 3737 TILEPROP INT_R_X3Y104 NUM_SITES 1 TILEPROP INT_R_X3Y104 ROW 47 TILEPROP INT_R_X3Y104 SLR_REGION_ID 0 TILEPROP INT_R_X3Y104 TILE_PATTERN_IDX 5281 TILEPROP INT_R_X3Y104 TILE_TYPE INT_R TILEPROP INT_R_X3Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y104 TILE_X -81678 TILEPROP INT_R_X3Y104 TILE_Y 95672 TILEPROP INT_R_X3Y104 TYPE INT_R TILEPROP INT_R_X3Y105 CLASS tile TILEPROP INT_R_X3Y105 COLUMN 12 TILEPROP INT_R_X3Y105 DEVICE_ID 0 TILEPROP INT_R_X3Y105 FIRST_SITE_ID 4522 TILEPROP INT_R_X3Y105 GRID_POINT_X 12 TILEPROP INT_R_X3Y105 GRID_POINT_Y 46 TILEPROP INT_R_X3Y105 INDEX 5302 TILEPROP INT_R_X3Y105 INT_TILE_X 3 TILEPROP INT_R_X3Y105 INT_TILE_Y 44 TILEPROP INT_R_X3Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y105 IS_DCM_TILE 0 TILEPROP INT_R_X3Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y105 NAME INT_R_X3Y105 TILEPROP INT_R_X3Y105 NUM_ARCS 3737 TILEPROP INT_R_X3Y105 NUM_SITES 1 TILEPROP INT_R_X3Y105 ROW 46 TILEPROP INT_R_X3Y105 SLR_REGION_ID 0 TILEPROP INT_R_X3Y105 TILE_PATTERN_IDX 5245 TILEPROP INT_R_X3Y105 TILE_TYPE INT_R TILEPROP INT_R_X3Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y105 TILE_X -81678 TILEPROP INT_R_X3Y105 TILE_Y 98872 TILEPROP INT_R_X3Y105 TYPE INT_R TILEPROP INT_R_X3Y106 CLASS tile TILEPROP INT_R_X3Y106 COLUMN 12 TILEPROP INT_R_X3Y106 DEVICE_ID 0 TILEPROP INT_R_X3Y106 FIRST_SITE_ID 4426 TILEPROP INT_R_X3Y106 GRID_POINT_X 12 TILEPROP INT_R_X3Y106 GRID_POINT_Y 45 TILEPROP INT_R_X3Y106 INDEX 5187 TILEPROP INT_R_X3Y106 INT_TILE_X 3 TILEPROP INT_R_X3Y106 INT_TILE_Y 43 TILEPROP INT_R_X3Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y106 IS_DCM_TILE 0 TILEPROP INT_R_X3Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y106 NAME INT_R_X3Y106 TILEPROP INT_R_X3Y106 NUM_ARCS 3737 TILEPROP INT_R_X3Y106 NUM_SITES 1 TILEPROP INT_R_X3Y106 ROW 45 TILEPROP INT_R_X3Y106 SLR_REGION_ID 0 TILEPROP INT_R_X3Y106 TILE_PATTERN_IDX 5208 TILEPROP INT_R_X3Y106 TILE_TYPE INT_R TILEPROP INT_R_X3Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y106 TILE_X -81678 TILEPROP INT_R_X3Y106 TILE_Y 102072 TILEPROP INT_R_X3Y106 TYPE INT_R TILEPROP INT_R_X3Y107 CLASS tile TILEPROP INT_R_X3Y107 COLUMN 12 TILEPROP INT_R_X3Y107 DEVICE_ID 0 TILEPROP INT_R_X3Y107 FIRST_SITE_ID 4338 TILEPROP INT_R_X3Y107 GRID_POINT_X 12 TILEPROP INT_R_X3Y107 GRID_POINT_Y 44 TILEPROP INT_R_X3Y107 INDEX 5072 TILEPROP INT_R_X3Y107 INT_TILE_X 3 TILEPROP INT_R_X3Y107 INT_TILE_Y 42 TILEPROP INT_R_X3Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y107 IS_DCM_TILE 0 TILEPROP INT_R_X3Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y107 NAME INT_R_X3Y107 TILEPROP INT_R_X3Y107 NUM_ARCS 3737 TILEPROP INT_R_X3Y107 NUM_SITES 1 TILEPROP INT_R_X3Y107 ROW 44 TILEPROP INT_R_X3Y107 SLR_REGION_ID 0 TILEPROP INT_R_X3Y107 TILE_PATTERN_IDX 5172 TILEPROP INT_R_X3Y107 TILE_TYPE INT_R TILEPROP INT_R_X3Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y107 TILE_X -81678 TILEPROP INT_R_X3Y107 TILE_Y 105272 TILEPROP INT_R_X3Y107 TYPE INT_R TILEPROP INT_R_X3Y108 CLASS tile TILEPROP INT_R_X3Y108 COLUMN 12 TILEPROP INT_R_X3Y108 DEVICE_ID 0 TILEPROP INT_R_X3Y108 FIRST_SITE_ID 4240 TILEPROP INT_R_X3Y108 GRID_POINT_X 12 TILEPROP INT_R_X3Y108 GRID_POINT_Y 43 TILEPROP INT_R_X3Y108 INDEX 4957 TILEPROP INT_R_X3Y108 INT_TILE_X 3 TILEPROP INT_R_X3Y108 INT_TILE_Y 41 TILEPROP INT_R_X3Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y108 IS_DCM_TILE 0 TILEPROP INT_R_X3Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y108 NAME INT_R_X3Y108 TILEPROP INT_R_X3Y108 NUM_ARCS 3737 TILEPROP INT_R_X3Y108 NUM_SITES 1 TILEPROP INT_R_X3Y108 ROW 43 TILEPROP INT_R_X3Y108 SLR_REGION_ID 0 TILEPROP INT_R_X3Y108 TILE_PATTERN_IDX 5135 TILEPROP INT_R_X3Y108 TILE_TYPE INT_R TILEPROP INT_R_X3Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y108 TILE_X -81678 TILEPROP INT_R_X3Y108 TILE_Y 108472 TILEPROP INT_R_X3Y108 TYPE INT_R TILEPROP INT_R_X3Y109 CLASS tile TILEPROP INT_R_X3Y109 COLUMN 12 TILEPROP INT_R_X3Y109 DEVICE_ID 0 TILEPROP INT_R_X3Y109 FIRST_SITE_ID 4151 TILEPROP INT_R_X3Y109 GRID_POINT_X 12 TILEPROP INT_R_X3Y109 GRID_POINT_Y 42 TILEPROP INT_R_X3Y109 INDEX 4842 TILEPROP INT_R_X3Y109 INT_TILE_X 3 TILEPROP INT_R_X3Y109 INT_TILE_Y 40 TILEPROP INT_R_X3Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y109 IS_DCM_TILE 0 TILEPROP INT_R_X3Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y109 NAME INT_R_X3Y109 TILEPROP INT_R_X3Y109 NUM_ARCS 3737 TILEPROP INT_R_X3Y109 NUM_SITES 1 TILEPROP INT_R_X3Y109 ROW 42 TILEPROP INT_R_X3Y109 SLR_REGION_ID 0 TILEPROP INT_R_X3Y109 TILE_PATTERN_IDX 5099 TILEPROP INT_R_X3Y109 TILE_TYPE INT_R TILEPROP INT_R_X3Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y109 TILE_X -81678 TILEPROP INT_R_X3Y109 TILE_Y 111672 TILEPROP INT_R_X3Y109 TYPE INT_R TILEPROP INT_R_X3Y110 CLASS tile TILEPROP INT_R_X3Y110 COLUMN 12 TILEPROP INT_R_X3Y110 DEVICE_ID 0 TILEPROP INT_R_X3Y110 FIRST_SITE_ID 4045 TILEPROP INT_R_X3Y110 GRID_POINT_X 12 TILEPROP INT_R_X3Y110 GRID_POINT_Y 41 TILEPROP INT_R_X3Y110 INDEX 4727 TILEPROP INT_R_X3Y110 INT_TILE_X 3 TILEPROP INT_R_X3Y110 INT_TILE_Y 39 TILEPROP INT_R_X3Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y110 IS_DCM_TILE 0 TILEPROP INT_R_X3Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y110 NAME INT_R_X3Y110 TILEPROP INT_R_X3Y110 NUM_ARCS 3737 TILEPROP INT_R_X3Y110 NUM_SITES 1 TILEPROP INT_R_X3Y110 ROW 41 TILEPROP INT_R_X3Y110 SLR_REGION_ID 0 TILEPROP INT_R_X3Y110 TILE_PATTERN_IDX 5062 TILEPROP INT_R_X3Y110 TILE_TYPE INT_R TILEPROP INT_R_X3Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y110 TILE_X -81678 TILEPROP INT_R_X3Y110 TILE_Y 114872 TILEPROP INT_R_X3Y110 TYPE INT_R TILEPROP INT_R_X3Y111 CLASS tile TILEPROP INT_R_X3Y111 COLUMN 12 TILEPROP INT_R_X3Y111 DEVICE_ID 0 TILEPROP INT_R_X3Y111 FIRST_SITE_ID 3957 TILEPROP INT_R_X3Y111 GRID_POINT_X 12 TILEPROP INT_R_X3Y111 GRID_POINT_Y 40 TILEPROP INT_R_X3Y111 INDEX 4612 TILEPROP INT_R_X3Y111 INT_TILE_X 3 TILEPROP INT_R_X3Y111 INT_TILE_Y 38 TILEPROP INT_R_X3Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y111 IS_DCM_TILE 0 TILEPROP INT_R_X3Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y111 NAME INT_R_X3Y111 TILEPROP INT_R_X3Y111 NUM_ARCS 3737 TILEPROP INT_R_X3Y111 NUM_SITES 1 TILEPROP INT_R_X3Y111 ROW 40 TILEPROP INT_R_X3Y111 SLR_REGION_ID 0 TILEPROP INT_R_X3Y111 TILE_PATTERN_IDX 5026 TILEPROP INT_R_X3Y111 TILE_TYPE INT_R TILEPROP INT_R_X3Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y111 TILE_X -81678 TILEPROP INT_R_X3Y111 TILE_Y 118072 TILEPROP INT_R_X3Y111 TYPE INT_R TILEPROP INT_R_X3Y112 CLASS tile TILEPROP INT_R_X3Y112 COLUMN 12 TILEPROP INT_R_X3Y112 DEVICE_ID 0 TILEPROP INT_R_X3Y112 FIRST_SITE_ID 3829 TILEPROP INT_R_X3Y112 GRID_POINT_X 12 TILEPROP INT_R_X3Y112 GRID_POINT_Y 39 TILEPROP INT_R_X3Y112 INDEX 4497 TILEPROP INT_R_X3Y112 INT_TILE_X 3 TILEPROP INT_R_X3Y112 INT_TILE_Y 37 TILEPROP INT_R_X3Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y112 IS_DCM_TILE 0 TILEPROP INT_R_X3Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y112 NAME INT_R_X3Y112 TILEPROP INT_R_X3Y112 NUM_ARCS 3737 TILEPROP INT_R_X3Y112 NUM_SITES 1 TILEPROP INT_R_X3Y112 ROW 39 TILEPROP INT_R_X3Y112 SLR_REGION_ID 0 TILEPROP INT_R_X3Y112 TILE_PATTERN_IDX 4988 TILEPROP INT_R_X3Y112 TILE_TYPE INT_R TILEPROP INT_R_X3Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y112 TILE_X -81678 TILEPROP INT_R_X3Y112 TILE_Y 121272 TILEPROP INT_R_X3Y112 TYPE INT_R TILEPROP INT_R_X3Y113 CLASS tile TILEPROP INT_R_X3Y113 COLUMN 12 TILEPROP INT_R_X3Y113 DEVICE_ID 0 TILEPROP INT_R_X3Y113 FIRST_SITE_ID 3741 TILEPROP INT_R_X3Y113 GRID_POINT_X 12 TILEPROP INT_R_X3Y113 GRID_POINT_Y 38 TILEPROP INT_R_X3Y113 INDEX 4382 TILEPROP INT_R_X3Y113 INT_TILE_X 3 TILEPROP INT_R_X3Y113 INT_TILE_Y 36 TILEPROP INT_R_X3Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y113 IS_DCM_TILE 0 TILEPROP INT_R_X3Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y113 NAME INT_R_X3Y113 TILEPROP INT_R_X3Y113 NUM_ARCS 3737 TILEPROP INT_R_X3Y113 NUM_SITES 1 TILEPROP INT_R_X3Y113 ROW 38 TILEPROP INT_R_X3Y113 SLR_REGION_ID 0 TILEPROP INT_R_X3Y113 TILE_PATTERN_IDX 4952 TILEPROP INT_R_X3Y113 TILE_TYPE INT_R TILEPROP INT_R_X3Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y113 TILE_X -81678 TILEPROP INT_R_X3Y113 TILE_Y 124472 TILEPROP INT_R_X3Y113 TYPE INT_R TILEPROP INT_R_X3Y114 CLASS tile TILEPROP INT_R_X3Y114 COLUMN 12 TILEPROP INT_R_X3Y114 DEVICE_ID 0 TILEPROP INT_R_X3Y114 FIRST_SITE_ID 3645 TILEPROP INT_R_X3Y114 GRID_POINT_X 12 TILEPROP INT_R_X3Y114 GRID_POINT_Y 37 TILEPROP INT_R_X3Y114 INDEX 4267 TILEPROP INT_R_X3Y114 INT_TILE_X 3 TILEPROP INT_R_X3Y114 INT_TILE_Y 35 TILEPROP INT_R_X3Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y114 IS_DCM_TILE 0 TILEPROP INT_R_X3Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y114 NAME INT_R_X3Y114 TILEPROP INT_R_X3Y114 NUM_ARCS 3737 TILEPROP INT_R_X3Y114 NUM_SITES 1 TILEPROP INT_R_X3Y114 ROW 37 TILEPROP INT_R_X3Y114 SLR_REGION_ID 0 TILEPROP INT_R_X3Y114 TILE_PATTERN_IDX 4915 TILEPROP INT_R_X3Y114 TILE_TYPE INT_R TILEPROP INT_R_X3Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y114 TILE_X -81678 TILEPROP INT_R_X3Y114 TILE_Y 127672 TILEPROP INT_R_X3Y114 TYPE INT_R TILEPROP INT_R_X3Y115 CLASS tile TILEPROP INT_R_X3Y115 COLUMN 12 TILEPROP INT_R_X3Y115 DEVICE_ID 0 TILEPROP INT_R_X3Y115 FIRST_SITE_ID 3548 TILEPROP INT_R_X3Y115 GRID_POINT_X 12 TILEPROP INT_R_X3Y115 GRID_POINT_Y 36 TILEPROP INT_R_X3Y115 INDEX 4152 TILEPROP INT_R_X3Y115 INT_TILE_X 3 TILEPROP INT_R_X3Y115 INT_TILE_Y 34 TILEPROP INT_R_X3Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y115 IS_DCM_TILE 0 TILEPROP INT_R_X3Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y115 NAME INT_R_X3Y115 TILEPROP INT_R_X3Y115 NUM_ARCS 3737 TILEPROP INT_R_X3Y115 NUM_SITES 1 TILEPROP INT_R_X3Y115 ROW 36 TILEPROP INT_R_X3Y115 SLR_REGION_ID 0 TILEPROP INT_R_X3Y115 TILE_PATTERN_IDX 4879 TILEPROP INT_R_X3Y115 TILE_TYPE INT_R TILEPROP INT_R_X3Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y115 TILE_X -81678 TILEPROP INT_R_X3Y115 TILE_Y 130872 TILEPROP INT_R_X3Y115 TYPE INT_R TILEPROP INT_R_X3Y116 CLASS tile TILEPROP INT_R_X3Y116 COLUMN 12 TILEPROP INT_R_X3Y116 DEVICE_ID 0 TILEPROP INT_R_X3Y116 FIRST_SITE_ID 3447 TILEPROP INT_R_X3Y116 GRID_POINT_X 12 TILEPROP INT_R_X3Y116 GRID_POINT_Y 35 TILEPROP INT_R_X3Y116 INDEX 4037 TILEPROP INT_R_X3Y116 INT_TILE_X 3 TILEPROP INT_R_X3Y116 INT_TILE_Y 33 TILEPROP INT_R_X3Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y116 IS_DCM_TILE 0 TILEPROP INT_R_X3Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y116 NAME INT_R_X3Y116 TILEPROP INT_R_X3Y116 NUM_ARCS 3737 TILEPROP INT_R_X3Y116 NUM_SITES 1 TILEPROP INT_R_X3Y116 ROW 35 TILEPROP INT_R_X3Y116 SLR_REGION_ID 0 TILEPROP INT_R_X3Y116 TILE_PATTERN_IDX 4842 TILEPROP INT_R_X3Y116 TILE_TYPE INT_R TILEPROP INT_R_X3Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y116 TILE_X -81678 TILEPROP INT_R_X3Y116 TILE_Y 134072 TILEPROP INT_R_X3Y116 TYPE INT_R TILEPROP INT_R_X3Y117 CLASS tile TILEPROP INT_R_X3Y117 COLUMN 12 TILEPROP INT_R_X3Y117 DEVICE_ID 0 TILEPROP INT_R_X3Y117 FIRST_SITE_ID 3359 TILEPROP INT_R_X3Y117 GRID_POINT_X 12 TILEPROP INT_R_X3Y117 GRID_POINT_Y 34 TILEPROP INT_R_X3Y117 INDEX 3922 TILEPROP INT_R_X3Y117 INT_TILE_X 3 TILEPROP INT_R_X3Y117 INT_TILE_Y 32 TILEPROP INT_R_X3Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y117 IS_DCM_TILE 0 TILEPROP INT_R_X3Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y117 NAME INT_R_X3Y117 TILEPROP INT_R_X3Y117 NUM_ARCS 3737 TILEPROP INT_R_X3Y117 NUM_SITES 1 TILEPROP INT_R_X3Y117 ROW 34 TILEPROP INT_R_X3Y117 SLR_REGION_ID 0 TILEPROP INT_R_X3Y117 TILE_PATTERN_IDX 4806 TILEPROP INT_R_X3Y117 TILE_TYPE INT_R TILEPROP INT_R_X3Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y117 TILE_X -81678 TILEPROP INT_R_X3Y117 TILE_Y 137272 TILEPROP INT_R_X3Y117 TYPE INT_R TILEPROP INT_R_X3Y118 CLASS tile TILEPROP INT_R_X3Y118 COLUMN 12 TILEPROP INT_R_X3Y118 DEVICE_ID 0 TILEPROP INT_R_X3Y118 FIRST_SITE_ID 3259 TILEPROP INT_R_X3Y118 GRID_POINT_X 12 TILEPROP INT_R_X3Y118 GRID_POINT_Y 33 TILEPROP INT_R_X3Y118 INDEX 3807 TILEPROP INT_R_X3Y118 INT_TILE_X 3 TILEPROP INT_R_X3Y118 INT_TILE_Y 31 TILEPROP INT_R_X3Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y118 IS_DCM_TILE 0 TILEPROP INT_R_X3Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y118 NAME INT_R_X3Y118 TILEPROP INT_R_X3Y118 NUM_ARCS 3737 TILEPROP INT_R_X3Y118 NUM_SITES 1 TILEPROP INT_R_X3Y118 ROW 33 TILEPROP INT_R_X3Y118 SLR_REGION_ID 0 TILEPROP INT_R_X3Y118 TILE_PATTERN_IDX 4768 TILEPROP INT_R_X3Y118 TILE_TYPE INT_R TILEPROP INT_R_X3Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y118 TILE_X -81678 TILEPROP INT_R_X3Y118 TILE_Y 140472 TILEPROP INT_R_X3Y118 TYPE INT_R TILEPROP INT_R_X3Y119 CLASS tile TILEPROP INT_R_X3Y119 COLUMN 12 TILEPROP INT_R_X3Y119 DEVICE_ID 0 TILEPROP INT_R_X3Y119 FIRST_SITE_ID 3171 TILEPROP INT_R_X3Y119 GRID_POINT_X 12 TILEPROP INT_R_X3Y119 GRID_POINT_Y 32 TILEPROP INT_R_X3Y119 INDEX 3692 TILEPROP INT_R_X3Y119 INT_TILE_X 3 TILEPROP INT_R_X3Y119 INT_TILE_Y 30 TILEPROP INT_R_X3Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y119 IS_DCM_TILE 0 TILEPROP INT_R_X3Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y119 NAME INT_R_X3Y119 TILEPROP INT_R_X3Y119 NUM_ARCS 3737 TILEPROP INT_R_X3Y119 NUM_SITES 1 TILEPROP INT_R_X3Y119 ROW 32 TILEPROP INT_R_X3Y119 SLR_REGION_ID 0 TILEPROP INT_R_X3Y119 TILE_PATTERN_IDX 4732 TILEPROP INT_R_X3Y119 TILE_TYPE INT_R TILEPROP INT_R_X3Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y119 TILE_X -81678 TILEPROP INT_R_X3Y119 TILE_Y 143672 TILEPROP INT_R_X3Y119 TYPE INT_R TILEPROP INT_R_X3Y120 CLASS tile TILEPROP INT_R_X3Y120 COLUMN 12 TILEPROP INT_R_X3Y120 DEVICE_ID 0 TILEPROP INT_R_X3Y120 FIRST_SITE_ID 3064 TILEPROP INT_R_X3Y120 GRID_POINT_X 12 TILEPROP INT_R_X3Y120 GRID_POINT_Y 31 TILEPROP INT_R_X3Y120 INDEX 3577 TILEPROP INT_R_X3Y120 INT_TILE_X 3 TILEPROP INT_R_X3Y120 INT_TILE_Y 29 TILEPROP INT_R_X3Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y120 IS_DCM_TILE 0 TILEPROP INT_R_X3Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y120 NAME INT_R_X3Y120 TILEPROP INT_R_X3Y120 NUM_ARCS 3737 TILEPROP INT_R_X3Y120 NUM_SITES 1 TILEPROP INT_R_X3Y120 ROW 31 TILEPROP INT_R_X3Y120 SLR_REGION_ID 0 TILEPROP INT_R_X3Y120 TILE_PATTERN_IDX 4695 TILEPROP INT_R_X3Y120 TILE_TYPE INT_R TILEPROP INT_R_X3Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y120 TILE_X -81678 TILEPROP INT_R_X3Y120 TILE_Y 146872 TILEPROP INT_R_X3Y120 TYPE INT_R TILEPROP INT_R_X3Y121 CLASS tile TILEPROP INT_R_X3Y121 COLUMN 12 TILEPROP INT_R_X3Y121 DEVICE_ID 0 TILEPROP INT_R_X3Y121 FIRST_SITE_ID 2976 TILEPROP INT_R_X3Y121 GRID_POINT_X 12 TILEPROP INT_R_X3Y121 GRID_POINT_Y 30 TILEPROP INT_R_X3Y121 INDEX 3462 TILEPROP INT_R_X3Y121 INT_TILE_X 3 TILEPROP INT_R_X3Y121 INT_TILE_Y 28 TILEPROP INT_R_X3Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y121 IS_DCM_TILE 0 TILEPROP INT_R_X3Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y121 NAME INT_R_X3Y121 TILEPROP INT_R_X3Y121 NUM_ARCS 3737 TILEPROP INT_R_X3Y121 NUM_SITES 1 TILEPROP INT_R_X3Y121 ROW 30 TILEPROP INT_R_X3Y121 SLR_REGION_ID 0 TILEPROP INT_R_X3Y121 TILE_PATTERN_IDX 4659 TILEPROP INT_R_X3Y121 TILE_TYPE INT_R TILEPROP INT_R_X3Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y121 TILE_X -81678 TILEPROP INT_R_X3Y121 TILE_Y 150072 TILEPROP INT_R_X3Y121 TYPE INT_R TILEPROP INT_R_X3Y122 CLASS tile TILEPROP INT_R_X3Y122 COLUMN 12 TILEPROP INT_R_X3Y122 DEVICE_ID 0 TILEPROP INT_R_X3Y122 FIRST_SITE_ID 2873 TILEPROP INT_R_X3Y122 GRID_POINT_X 12 TILEPROP INT_R_X3Y122 GRID_POINT_Y 29 TILEPROP INT_R_X3Y122 INDEX 3347 TILEPROP INT_R_X3Y122 INT_TILE_X 3 TILEPROP INT_R_X3Y122 INT_TILE_Y 27 TILEPROP INT_R_X3Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y122 IS_DCM_TILE 0 TILEPROP INT_R_X3Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y122 NAME INT_R_X3Y122 TILEPROP INT_R_X3Y122 NUM_ARCS 3737 TILEPROP INT_R_X3Y122 NUM_SITES 1 TILEPROP INT_R_X3Y122 ROW 29 TILEPROP INT_R_X3Y122 SLR_REGION_ID 0 TILEPROP INT_R_X3Y122 TILE_PATTERN_IDX 4621 TILEPROP INT_R_X3Y122 TILE_TYPE INT_R TILEPROP INT_R_X3Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y122 TILE_X -81678 TILEPROP INT_R_X3Y122 TILE_Y 153272 TILEPROP INT_R_X3Y122 TYPE INT_R TILEPROP INT_R_X3Y123 CLASS tile TILEPROP INT_R_X3Y123 COLUMN 12 TILEPROP INT_R_X3Y123 DEVICE_ID 0 TILEPROP INT_R_X3Y123 FIRST_SITE_ID 2785 TILEPROP INT_R_X3Y123 GRID_POINT_X 12 TILEPROP INT_R_X3Y123 GRID_POINT_Y 28 TILEPROP INT_R_X3Y123 INDEX 3232 TILEPROP INT_R_X3Y123 INT_TILE_X 3 TILEPROP INT_R_X3Y123 INT_TILE_Y 26 TILEPROP INT_R_X3Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y123 IS_DCM_TILE 0 TILEPROP INT_R_X3Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y123 NAME INT_R_X3Y123 TILEPROP INT_R_X3Y123 NUM_ARCS 3737 TILEPROP INT_R_X3Y123 NUM_SITES 1 TILEPROP INT_R_X3Y123 ROW 28 TILEPROP INT_R_X3Y123 SLR_REGION_ID 0 TILEPROP INT_R_X3Y123 TILE_PATTERN_IDX 4585 TILEPROP INT_R_X3Y123 TILE_TYPE INT_R TILEPROP INT_R_X3Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y123 TILE_X -81678 TILEPROP INT_R_X3Y123 TILE_Y 156472 TILEPROP INT_R_X3Y123 TYPE INT_R TILEPROP INT_R_X3Y124 CLASS tile TILEPROP INT_R_X3Y124 COLUMN 12 TILEPROP INT_R_X3Y124 DEVICE_ID 0 TILEPROP INT_R_X3Y124 FIRST_SITE_ID 2689 TILEPROP INT_R_X3Y124 GRID_POINT_X 12 TILEPROP INT_R_X3Y124 GRID_POINT_Y 27 TILEPROP INT_R_X3Y124 INDEX 3117 TILEPROP INT_R_X3Y124 INT_TILE_X 3 TILEPROP INT_R_X3Y124 INT_TILE_Y 25 TILEPROP INT_R_X3Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y124 IS_DCM_TILE 0 TILEPROP INT_R_X3Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y124 NAME INT_R_X3Y124 TILEPROP INT_R_X3Y124 NUM_ARCS 3737 TILEPROP INT_R_X3Y124 NUM_SITES 1 TILEPROP INT_R_X3Y124 ROW 27 TILEPROP INT_R_X3Y124 SLR_REGION_ID 0 TILEPROP INT_R_X3Y124 TILE_PATTERN_IDX 4548 TILEPROP INT_R_X3Y124 TILE_TYPE INT_R TILEPROP INT_R_X3Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y124 TILE_X -81678 TILEPROP INT_R_X3Y124 TILE_Y 159672 TILEPROP INT_R_X3Y124 TYPE INT_R TILEPROP INT_R_X3Y125 CLASS tile TILEPROP INT_R_X3Y125 COLUMN 12 TILEPROP INT_R_X3Y125 DEVICE_ID 0 TILEPROP INT_R_X3Y125 FIRST_SITE_ID 2510 TILEPROP INT_R_X3Y125 GRID_POINT_X 12 TILEPROP INT_R_X3Y125 GRID_POINT_Y 25 TILEPROP INT_R_X3Y125 INDEX 2887 TILEPROP INT_R_X3Y125 INT_TILE_X 3 TILEPROP INT_R_X3Y125 INT_TILE_Y 24 TILEPROP INT_R_X3Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y125 IS_DCM_TILE 0 TILEPROP INT_R_X3Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y125 NAME INT_R_X3Y125 TILEPROP INT_R_X3Y125 NUM_ARCS 3737 TILEPROP INT_R_X3Y125 NUM_SITES 1 TILEPROP INT_R_X3Y125 ROW 25 TILEPROP INT_R_X3Y125 SLR_REGION_ID 0 TILEPROP INT_R_X3Y125 TILE_PATTERN_IDX 4469 TILEPROP INT_R_X3Y125 TILE_TYPE INT_R TILEPROP INT_R_X3Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y125 TILE_X -81678 TILEPROP INT_R_X3Y125 TILE_Y 163896 TILEPROP INT_R_X3Y125 TYPE INT_R TILEPROP INT_R_X3Y126 CLASS tile TILEPROP INT_R_X3Y126 COLUMN 12 TILEPROP INT_R_X3Y126 DEVICE_ID 0 TILEPROP INT_R_X3Y126 FIRST_SITE_ID 2406 TILEPROP INT_R_X3Y126 GRID_POINT_X 12 TILEPROP INT_R_X3Y126 GRID_POINT_Y 24 TILEPROP INT_R_X3Y126 INDEX 2772 TILEPROP INT_R_X3Y126 INT_TILE_X 3 TILEPROP INT_R_X3Y126 INT_TILE_Y 23 TILEPROP INT_R_X3Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y126 IS_DCM_TILE 0 TILEPROP INT_R_X3Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y126 NAME INT_R_X3Y126 TILEPROP INT_R_X3Y126 NUM_ARCS 3737 TILEPROP INT_R_X3Y126 NUM_SITES 1 TILEPROP INT_R_X3Y126 ROW 24 TILEPROP INT_R_X3Y126 SLR_REGION_ID 0 TILEPROP INT_R_X3Y126 TILE_PATTERN_IDX 4430 TILEPROP INT_R_X3Y126 TILE_TYPE INT_R TILEPROP INT_R_X3Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y126 TILE_X -81678 TILEPROP INT_R_X3Y126 TILE_Y 167096 TILEPROP INT_R_X3Y126 TYPE INT_R TILEPROP INT_R_X3Y127 CLASS tile TILEPROP INT_R_X3Y127 COLUMN 12 TILEPROP INT_R_X3Y127 DEVICE_ID 0 TILEPROP INT_R_X3Y127 FIRST_SITE_ID 2310 TILEPROP INT_R_X3Y127 GRID_POINT_X 12 TILEPROP INT_R_X3Y127 GRID_POINT_Y 23 TILEPROP INT_R_X3Y127 INDEX 2657 TILEPROP INT_R_X3Y127 INT_TILE_X 3 TILEPROP INT_R_X3Y127 INT_TILE_Y 22 TILEPROP INT_R_X3Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y127 IS_DCM_TILE 0 TILEPROP INT_R_X3Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y127 NAME INT_R_X3Y127 TILEPROP INT_R_X3Y127 NUM_ARCS 3737 TILEPROP INT_R_X3Y127 NUM_SITES 1 TILEPROP INT_R_X3Y127 ROW 23 TILEPROP INT_R_X3Y127 SLR_REGION_ID 0 TILEPROP INT_R_X3Y127 TILE_PATTERN_IDX 4392 TILEPROP INT_R_X3Y127 TILE_TYPE INT_R TILEPROP INT_R_X3Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y127 TILE_X -81678 TILEPROP INT_R_X3Y127 TILE_Y 170296 TILEPROP INT_R_X3Y127 TYPE INT_R TILEPROP INT_R_X3Y128 CLASS tile TILEPROP INT_R_X3Y128 COLUMN 12 TILEPROP INT_R_X3Y128 DEVICE_ID 0 TILEPROP INT_R_X3Y128 FIRST_SITE_ID 2206 TILEPROP INT_R_X3Y128 GRID_POINT_X 12 TILEPROP INT_R_X3Y128 GRID_POINT_Y 22 TILEPROP INT_R_X3Y128 INDEX 2542 TILEPROP INT_R_X3Y128 INT_TILE_X 3 TILEPROP INT_R_X3Y128 INT_TILE_Y 21 TILEPROP INT_R_X3Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y128 IS_DCM_TILE 0 TILEPROP INT_R_X3Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y128 NAME INT_R_X3Y128 TILEPROP INT_R_X3Y128 NUM_ARCS 3737 TILEPROP INT_R_X3Y128 NUM_SITES 1 TILEPROP INT_R_X3Y128 ROW 22 TILEPROP INT_R_X3Y128 SLR_REGION_ID 0 TILEPROP INT_R_X3Y128 TILE_PATTERN_IDX 4353 TILEPROP INT_R_X3Y128 TILE_TYPE INT_R TILEPROP INT_R_X3Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y128 TILE_X -81678 TILEPROP INT_R_X3Y128 TILE_Y 173496 TILEPROP INT_R_X3Y128 TYPE INT_R TILEPROP INT_R_X3Y129 CLASS tile TILEPROP INT_R_X3Y129 COLUMN 12 TILEPROP INT_R_X3Y129 DEVICE_ID 0 TILEPROP INT_R_X3Y129 FIRST_SITE_ID 2110 TILEPROP INT_R_X3Y129 GRID_POINT_X 12 TILEPROP INT_R_X3Y129 GRID_POINT_Y 21 TILEPROP INT_R_X3Y129 INDEX 2427 TILEPROP INT_R_X3Y129 INT_TILE_X 3 TILEPROP INT_R_X3Y129 INT_TILE_Y 20 TILEPROP INT_R_X3Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y129 IS_DCM_TILE 0 TILEPROP INT_R_X3Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y129 NAME INT_R_X3Y129 TILEPROP INT_R_X3Y129 NUM_ARCS 3737 TILEPROP INT_R_X3Y129 NUM_SITES 1 TILEPROP INT_R_X3Y129 ROW 21 TILEPROP INT_R_X3Y129 SLR_REGION_ID 0 TILEPROP INT_R_X3Y129 TILE_PATTERN_IDX 4315 TILEPROP INT_R_X3Y129 TILE_TYPE INT_R TILEPROP INT_R_X3Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y129 TILE_X -81678 TILEPROP INT_R_X3Y129 TILE_Y 176696 TILEPROP INT_R_X3Y129 TYPE INT_R TILEPROP INT_R_X3Y130 CLASS tile TILEPROP INT_R_X3Y130 COLUMN 12 TILEPROP INT_R_X3Y130 DEVICE_ID 0 TILEPROP INT_R_X3Y130 FIRST_SITE_ID 1988 TILEPROP INT_R_X3Y130 GRID_POINT_X 12 TILEPROP INT_R_X3Y130 GRID_POINT_Y 20 TILEPROP INT_R_X3Y130 INDEX 2312 TILEPROP INT_R_X3Y130 INT_TILE_X 3 TILEPROP INT_R_X3Y130 INT_TILE_Y 19 TILEPROP INT_R_X3Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y130 IS_DCM_TILE 0 TILEPROP INT_R_X3Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y130 NAME INT_R_X3Y130 TILEPROP INT_R_X3Y130 NUM_ARCS 3737 TILEPROP INT_R_X3Y130 NUM_SITES 1 TILEPROP INT_R_X3Y130 ROW 20 TILEPROP INT_R_X3Y130 SLR_REGION_ID 0 TILEPROP INT_R_X3Y130 TILE_PATTERN_IDX 4275 TILEPROP INT_R_X3Y130 TILE_TYPE INT_R TILEPROP INT_R_X3Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y130 TILE_X -81678 TILEPROP INT_R_X3Y130 TILE_Y 179896 TILEPROP INT_R_X3Y130 TYPE INT_R TILEPROP INT_R_X3Y131 CLASS tile TILEPROP INT_R_X3Y131 COLUMN 12 TILEPROP INT_R_X3Y131 DEVICE_ID 0 TILEPROP INT_R_X3Y131 FIRST_SITE_ID 1892 TILEPROP INT_R_X3Y131 GRID_POINT_X 12 TILEPROP INT_R_X3Y131 GRID_POINT_Y 19 TILEPROP INT_R_X3Y131 INDEX 2197 TILEPROP INT_R_X3Y131 INT_TILE_X 3 TILEPROP INT_R_X3Y131 INT_TILE_Y 18 TILEPROP INT_R_X3Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y131 IS_DCM_TILE 0 TILEPROP INT_R_X3Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y131 NAME INT_R_X3Y131 TILEPROP INT_R_X3Y131 NUM_ARCS 3737 TILEPROP INT_R_X3Y131 NUM_SITES 1 TILEPROP INT_R_X3Y131 ROW 19 TILEPROP INT_R_X3Y131 SLR_REGION_ID 0 TILEPROP INT_R_X3Y131 TILE_PATTERN_IDX 4237 TILEPROP INT_R_X3Y131 TILE_TYPE INT_R TILEPROP INT_R_X3Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y131 TILE_X -81678 TILEPROP INT_R_X3Y131 TILE_Y 183096 TILEPROP INT_R_X3Y131 TYPE INT_R TILEPROP INT_R_X3Y132 CLASS tile TILEPROP INT_R_X3Y132 COLUMN 12 TILEPROP INT_R_X3Y132 DEVICE_ID 0 TILEPROP INT_R_X3Y132 FIRST_SITE_ID 1786 TILEPROP INT_R_X3Y132 GRID_POINT_X 12 TILEPROP INT_R_X3Y132 GRID_POINT_Y 18 TILEPROP INT_R_X3Y132 INDEX 2082 TILEPROP INT_R_X3Y132 INT_TILE_X 3 TILEPROP INT_R_X3Y132 INT_TILE_Y 17 TILEPROP INT_R_X3Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y132 IS_DCM_TILE 0 TILEPROP INT_R_X3Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y132 NAME INT_R_X3Y132 TILEPROP INT_R_X3Y132 NUM_ARCS 3737 TILEPROP INT_R_X3Y132 NUM_SITES 1 TILEPROP INT_R_X3Y132 ROW 18 TILEPROP INT_R_X3Y132 SLR_REGION_ID 0 TILEPROP INT_R_X3Y132 TILE_PATTERN_IDX 4198 TILEPROP INT_R_X3Y132 TILE_TYPE INT_R TILEPROP INT_R_X3Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y132 TILE_X -81678 TILEPROP INT_R_X3Y132 TILE_Y 186296 TILEPROP INT_R_X3Y132 TYPE INT_R TILEPROP INT_R_X3Y133 CLASS tile TILEPROP INT_R_X3Y133 COLUMN 12 TILEPROP INT_R_X3Y133 DEVICE_ID 0 TILEPROP INT_R_X3Y133 FIRST_SITE_ID 1685 TILEPROP INT_R_X3Y133 GRID_POINT_X 12 TILEPROP INT_R_X3Y133 GRID_POINT_Y 17 TILEPROP INT_R_X3Y133 INDEX 1967 TILEPROP INT_R_X3Y133 INT_TILE_X 3 TILEPROP INT_R_X3Y133 INT_TILE_Y 16 TILEPROP INT_R_X3Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y133 IS_DCM_TILE 0 TILEPROP INT_R_X3Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y133 NAME INT_R_X3Y133 TILEPROP INT_R_X3Y133 NUM_ARCS 3737 TILEPROP INT_R_X3Y133 NUM_SITES 1 TILEPROP INT_R_X3Y133 ROW 17 TILEPROP INT_R_X3Y133 SLR_REGION_ID 0 TILEPROP INT_R_X3Y133 TILE_PATTERN_IDX 4160 TILEPROP INT_R_X3Y133 TILE_TYPE INT_R TILEPROP INT_R_X3Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y133 TILE_X -81678 TILEPROP INT_R_X3Y133 TILE_Y 189496 TILEPROP INT_R_X3Y133 TYPE INT_R TILEPROP INT_R_X3Y134 CLASS tile TILEPROP INT_R_X3Y134 COLUMN 12 TILEPROP INT_R_X3Y134 DEVICE_ID 0 TILEPROP INT_R_X3Y134 FIRST_SITE_ID 1581 TILEPROP INT_R_X3Y134 GRID_POINT_X 12 TILEPROP INT_R_X3Y134 GRID_POINT_Y 16 TILEPROP INT_R_X3Y134 INDEX 1852 TILEPROP INT_R_X3Y134 INT_TILE_X 3 TILEPROP INT_R_X3Y134 INT_TILE_Y 15 TILEPROP INT_R_X3Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y134 IS_DCM_TILE 0 TILEPROP INT_R_X3Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y134 NAME INT_R_X3Y134 TILEPROP INT_R_X3Y134 NUM_ARCS 3737 TILEPROP INT_R_X3Y134 NUM_SITES 1 TILEPROP INT_R_X3Y134 ROW 16 TILEPROP INT_R_X3Y134 SLR_REGION_ID 0 TILEPROP INT_R_X3Y134 TILE_PATTERN_IDX 4121 TILEPROP INT_R_X3Y134 TILE_TYPE INT_R TILEPROP INT_R_X3Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y134 TILE_X -81678 TILEPROP INT_R_X3Y134 TILE_Y 192696 TILEPROP INT_R_X3Y134 TYPE INT_R TILEPROP INT_R_X3Y135 CLASS tile TILEPROP INT_R_X3Y135 COLUMN 12 TILEPROP INT_R_X3Y135 DEVICE_ID 0 TILEPROP INT_R_X3Y135 FIRST_SITE_ID 1473 TILEPROP INT_R_X3Y135 GRID_POINT_X 12 TILEPROP INT_R_X3Y135 GRID_POINT_Y 15 TILEPROP INT_R_X3Y135 INDEX 1737 TILEPROP INT_R_X3Y135 INT_TILE_X 3 TILEPROP INT_R_X3Y135 INT_TILE_Y 14 TILEPROP INT_R_X3Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y135 IS_DCM_TILE 0 TILEPROP INT_R_X3Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y135 NAME INT_R_X3Y135 TILEPROP INT_R_X3Y135 NUM_ARCS 3737 TILEPROP INT_R_X3Y135 NUM_SITES 1 TILEPROP INT_R_X3Y135 ROW 15 TILEPROP INT_R_X3Y135 SLR_REGION_ID 0 TILEPROP INT_R_X3Y135 TILE_PATTERN_IDX 4083 TILEPROP INT_R_X3Y135 TILE_TYPE INT_R TILEPROP INT_R_X3Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y135 TILE_X -81678 TILEPROP INT_R_X3Y135 TILE_Y 195896 TILEPROP INT_R_X3Y135 TYPE INT_R TILEPROP INT_R_X3Y136 CLASS tile TILEPROP INT_R_X3Y136 COLUMN 12 TILEPROP INT_R_X3Y136 DEVICE_ID 0 TILEPROP INT_R_X3Y136 FIRST_SITE_ID 1337 TILEPROP INT_R_X3Y136 GRID_POINT_X 12 TILEPROP INT_R_X3Y136 GRID_POINT_Y 14 TILEPROP INT_R_X3Y136 INDEX 1622 TILEPROP INT_R_X3Y136 INT_TILE_X 3 TILEPROP INT_R_X3Y136 INT_TILE_Y 13 TILEPROP INT_R_X3Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y136 IS_DCM_TILE 0 TILEPROP INT_R_X3Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y136 NAME INT_R_X3Y136 TILEPROP INT_R_X3Y136 NUM_ARCS 3737 TILEPROP INT_R_X3Y136 NUM_SITES 1 TILEPROP INT_R_X3Y136 ROW 14 TILEPROP INT_R_X3Y136 SLR_REGION_ID 0 TILEPROP INT_R_X3Y136 TILE_PATTERN_IDX 4044 TILEPROP INT_R_X3Y136 TILE_TYPE INT_R TILEPROP INT_R_X3Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y136 TILE_X -81678 TILEPROP INT_R_X3Y136 TILE_Y 199096 TILEPROP INT_R_X3Y136 TYPE INT_R TILEPROP INT_R_X3Y137 CLASS tile TILEPROP INT_R_X3Y137 COLUMN 12 TILEPROP INT_R_X3Y137 DEVICE_ID 0 TILEPROP INT_R_X3Y137 FIRST_SITE_ID 1241 TILEPROP INT_R_X3Y137 GRID_POINT_X 12 TILEPROP INT_R_X3Y137 GRID_POINT_Y 13 TILEPROP INT_R_X3Y137 INDEX 1507 TILEPROP INT_R_X3Y137 INT_TILE_X 3 TILEPROP INT_R_X3Y137 INT_TILE_Y 12 TILEPROP INT_R_X3Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y137 IS_DCM_TILE 0 TILEPROP INT_R_X3Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y137 NAME INT_R_X3Y137 TILEPROP INT_R_X3Y137 NUM_ARCS 3737 TILEPROP INT_R_X3Y137 NUM_SITES 1 TILEPROP INT_R_X3Y137 ROW 13 TILEPROP INT_R_X3Y137 SLR_REGION_ID 0 TILEPROP INT_R_X3Y137 TILE_PATTERN_IDX 4006 TILEPROP INT_R_X3Y137 TILE_TYPE INT_R TILEPROP INT_R_X3Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y137 TILE_X -81678 TILEPROP INT_R_X3Y137 TILE_Y 202296 TILEPROP INT_R_X3Y137 TYPE INT_R TILEPROP INT_R_X3Y138 CLASS tile TILEPROP INT_R_X3Y138 COLUMN 12 TILEPROP INT_R_X3Y138 DEVICE_ID 0 TILEPROP INT_R_X3Y138 FIRST_SITE_ID 1137 TILEPROP INT_R_X3Y138 GRID_POINT_X 12 TILEPROP INT_R_X3Y138 GRID_POINT_Y 12 TILEPROP INT_R_X3Y138 INDEX 1392 TILEPROP INT_R_X3Y138 INT_TILE_X 3 TILEPROP INT_R_X3Y138 INT_TILE_Y 11 TILEPROP INT_R_X3Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y138 IS_DCM_TILE 0 TILEPROP INT_R_X3Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y138 NAME INT_R_X3Y138 TILEPROP INT_R_X3Y138 NUM_ARCS 3737 TILEPROP INT_R_X3Y138 NUM_SITES 1 TILEPROP INT_R_X3Y138 ROW 12 TILEPROP INT_R_X3Y138 SLR_REGION_ID 0 TILEPROP INT_R_X3Y138 TILE_PATTERN_IDX 3967 TILEPROP INT_R_X3Y138 TILE_TYPE INT_R TILEPROP INT_R_X3Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y138 TILE_X -81678 TILEPROP INT_R_X3Y138 TILE_Y 205496 TILEPROP INT_R_X3Y138 TYPE INT_R TILEPROP INT_R_X3Y139 CLASS tile TILEPROP INT_R_X3Y139 COLUMN 12 TILEPROP INT_R_X3Y139 DEVICE_ID 0 TILEPROP INT_R_X3Y139 FIRST_SITE_ID 1041 TILEPROP INT_R_X3Y139 GRID_POINT_X 12 TILEPROP INT_R_X3Y139 GRID_POINT_Y 11 TILEPROP INT_R_X3Y139 INDEX 1277 TILEPROP INT_R_X3Y139 INT_TILE_X 3 TILEPROP INT_R_X3Y139 INT_TILE_Y 10 TILEPROP INT_R_X3Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y139 IS_DCM_TILE 0 TILEPROP INT_R_X3Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y139 NAME INT_R_X3Y139 TILEPROP INT_R_X3Y139 NUM_ARCS 3737 TILEPROP INT_R_X3Y139 NUM_SITES 1 TILEPROP INT_R_X3Y139 ROW 11 TILEPROP INT_R_X3Y139 SLR_REGION_ID 0 TILEPROP INT_R_X3Y139 TILE_PATTERN_IDX 3929 TILEPROP INT_R_X3Y139 TILE_TYPE INT_R TILEPROP INT_R_X3Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y139 TILE_X -81678 TILEPROP INT_R_X3Y139 TILE_Y 208696 TILEPROP INT_R_X3Y139 TYPE INT_R TILEPROP INT_R_X3Y140 CLASS tile TILEPROP INT_R_X3Y140 COLUMN 12 TILEPROP INT_R_X3Y140 DEVICE_ID 0 TILEPROP INT_R_X3Y140 FIRST_SITE_ID 925 TILEPROP INT_R_X3Y140 GRID_POINT_X 12 TILEPROP INT_R_X3Y140 GRID_POINT_Y 10 TILEPROP INT_R_X3Y140 INDEX 1162 TILEPROP INT_R_X3Y140 INT_TILE_X 3 TILEPROP INT_R_X3Y140 INT_TILE_Y 9 TILEPROP INT_R_X3Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y140 IS_DCM_TILE 0 TILEPROP INT_R_X3Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y140 NAME INT_R_X3Y140 TILEPROP INT_R_X3Y140 NUM_ARCS 3737 TILEPROP INT_R_X3Y140 NUM_SITES 1 TILEPROP INT_R_X3Y140 ROW 10 TILEPROP INT_R_X3Y140 SLR_REGION_ID 0 TILEPROP INT_R_X3Y140 TILE_PATTERN_IDX 3890 TILEPROP INT_R_X3Y140 TILE_TYPE INT_R TILEPROP INT_R_X3Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y140 TILE_X -81678 TILEPROP INT_R_X3Y140 TILE_Y 211896 TILEPROP INT_R_X3Y140 TYPE INT_R TILEPROP INT_R_X3Y141 CLASS tile TILEPROP INT_R_X3Y141 COLUMN 12 TILEPROP INT_R_X3Y141 DEVICE_ID 0 TILEPROP INT_R_X3Y141 FIRST_SITE_ID 829 TILEPROP INT_R_X3Y141 GRID_POINT_X 12 TILEPROP INT_R_X3Y141 GRID_POINT_Y 9 TILEPROP INT_R_X3Y141 INDEX 1047 TILEPROP INT_R_X3Y141 INT_TILE_X 3 TILEPROP INT_R_X3Y141 INT_TILE_Y 8 TILEPROP INT_R_X3Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y141 IS_DCM_TILE 0 TILEPROP INT_R_X3Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y141 NAME INT_R_X3Y141 TILEPROP INT_R_X3Y141 NUM_ARCS 3737 TILEPROP INT_R_X3Y141 NUM_SITES 1 TILEPROP INT_R_X3Y141 ROW 9 TILEPROP INT_R_X3Y141 SLR_REGION_ID 0 TILEPROP INT_R_X3Y141 TILE_PATTERN_IDX 3852 TILEPROP INT_R_X3Y141 TILE_TYPE INT_R TILEPROP INT_R_X3Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y141 TILE_X -81678 TILEPROP INT_R_X3Y141 TILE_Y 215096 TILEPROP INT_R_X3Y141 TYPE INT_R TILEPROP INT_R_X3Y142 CLASS tile TILEPROP INT_R_X3Y142 COLUMN 12 TILEPROP INT_R_X3Y142 DEVICE_ID 0 TILEPROP INT_R_X3Y142 FIRST_SITE_ID 725 TILEPROP INT_R_X3Y142 GRID_POINT_X 12 TILEPROP INT_R_X3Y142 GRID_POINT_Y 8 TILEPROP INT_R_X3Y142 INDEX 932 TILEPROP INT_R_X3Y142 INT_TILE_X 3 TILEPROP INT_R_X3Y142 INT_TILE_Y 7 TILEPROP INT_R_X3Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y142 IS_DCM_TILE 0 TILEPROP INT_R_X3Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y142 NAME INT_R_X3Y142 TILEPROP INT_R_X3Y142 NUM_ARCS 3737 TILEPROP INT_R_X3Y142 NUM_SITES 1 TILEPROP INT_R_X3Y142 ROW 8 TILEPROP INT_R_X3Y142 SLR_REGION_ID 0 TILEPROP INT_R_X3Y142 TILE_PATTERN_IDX 3813 TILEPROP INT_R_X3Y142 TILE_TYPE INT_R TILEPROP INT_R_X3Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y142 TILE_X -81678 TILEPROP INT_R_X3Y142 TILE_Y 218296 TILEPROP INT_R_X3Y142 TYPE INT_R TILEPROP INT_R_X3Y143 CLASS tile TILEPROP INT_R_X3Y143 COLUMN 12 TILEPROP INT_R_X3Y143 DEVICE_ID 0 TILEPROP INT_R_X3Y143 FIRST_SITE_ID 628 TILEPROP INT_R_X3Y143 GRID_POINT_X 12 TILEPROP INT_R_X3Y143 GRID_POINT_Y 7 TILEPROP INT_R_X3Y143 INDEX 817 TILEPROP INT_R_X3Y143 INT_TILE_X 3 TILEPROP INT_R_X3Y143 INT_TILE_Y 6 TILEPROP INT_R_X3Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y143 IS_DCM_TILE 0 TILEPROP INT_R_X3Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y143 NAME INT_R_X3Y143 TILEPROP INT_R_X3Y143 NUM_ARCS 3737 TILEPROP INT_R_X3Y143 NUM_SITES 1 TILEPROP INT_R_X3Y143 ROW 7 TILEPROP INT_R_X3Y143 SLR_REGION_ID 0 TILEPROP INT_R_X3Y143 TILE_PATTERN_IDX 3775 TILEPROP INT_R_X3Y143 TILE_TYPE INT_R TILEPROP INT_R_X3Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y143 TILE_X -81678 TILEPROP INT_R_X3Y143 TILE_Y 221496 TILEPROP INT_R_X3Y143 TYPE INT_R TILEPROP INT_R_X3Y144 CLASS tile TILEPROP INT_R_X3Y144 COLUMN 12 TILEPROP INT_R_X3Y144 DEVICE_ID 0 TILEPROP INT_R_X3Y144 FIRST_SITE_ID 517 TILEPROP INT_R_X3Y144 GRID_POINT_X 12 TILEPROP INT_R_X3Y144 GRID_POINT_Y 6 TILEPROP INT_R_X3Y144 INDEX 702 TILEPROP INT_R_X3Y144 INT_TILE_X 3 TILEPROP INT_R_X3Y144 INT_TILE_Y 5 TILEPROP INT_R_X3Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y144 IS_DCM_TILE 0 TILEPROP INT_R_X3Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y144 NAME INT_R_X3Y144 TILEPROP INT_R_X3Y144 NUM_ARCS 3737 TILEPROP INT_R_X3Y144 NUM_SITES 1 TILEPROP INT_R_X3Y144 ROW 6 TILEPROP INT_R_X3Y144 SLR_REGION_ID 0 TILEPROP INT_R_X3Y144 TILE_PATTERN_IDX 3736 TILEPROP INT_R_X3Y144 TILE_TYPE INT_R TILEPROP INT_R_X3Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y144 TILE_X -81678 TILEPROP INT_R_X3Y144 TILE_Y 224696 TILEPROP INT_R_X3Y144 TYPE INT_R TILEPROP INT_R_X3Y145 CLASS tile TILEPROP INT_R_X3Y145 COLUMN 12 TILEPROP INT_R_X3Y145 DEVICE_ID 0 TILEPROP INT_R_X3Y145 FIRST_SITE_ID 409 TILEPROP INT_R_X3Y145 GRID_POINT_X 12 TILEPROP INT_R_X3Y145 GRID_POINT_Y 5 TILEPROP INT_R_X3Y145 INDEX 587 TILEPROP INT_R_X3Y145 INT_TILE_X 3 TILEPROP INT_R_X3Y145 INT_TILE_Y 4 TILEPROP INT_R_X3Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y145 IS_DCM_TILE 0 TILEPROP INT_R_X3Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y145 NAME INT_R_X3Y145 TILEPROP INT_R_X3Y145 NUM_ARCS 3737 TILEPROP INT_R_X3Y145 NUM_SITES 1 TILEPROP INT_R_X3Y145 ROW 5 TILEPROP INT_R_X3Y145 SLR_REGION_ID 0 TILEPROP INT_R_X3Y145 TILE_PATTERN_IDX 3698 TILEPROP INT_R_X3Y145 TILE_TYPE INT_R TILEPROP INT_R_X3Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y145 TILE_X -81678 TILEPROP INT_R_X3Y145 TILE_Y 227896 TILEPROP INT_R_X3Y145 TYPE INT_R TILEPROP INT_R_X3Y146 CLASS tile TILEPROP INT_R_X3Y146 COLUMN 12 TILEPROP INT_R_X3Y146 DEVICE_ID 0 TILEPROP INT_R_X3Y146 FIRST_SITE_ID 305 TILEPROP INT_R_X3Y146 GRID_POINT_X 12 TILEPROP INT_R_X3Y146 GRID_POINT_Y 4 TILEPROP INT_R_X3Y146 INDEX 472 TILEPROP INT_R_X3Y146 INT_TILE_X 3 TILEPROP INT_R_X3Y146 INT_TILE_Y 3 TILEPROP INT_R_X3Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y146 IS_DCM_TILE 0 TILEPROP INT_R_X3Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y146 NAME INT_R_X3Y146 TILEPROP INT_R_X3Y146 NUM_ARCS 3737 TILEPROP INT_R_X3Y146 NUM_SITES 1 TILEPROP INT_R_X3Y146 ROW 4 TILEPROP INT_R_X3Y146 SLR_REGION_ID 0 TILEPROP INT_R_X3Y146 TILE_PATTERN_IDX 3659 TILEPROP INT_R_X3Y146 TILE_TYPE INT_R TILEPROP INT_R_X3Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y146 TILE_X -81678 TILEPROP INT_R_X3Y146 TILE_Y 231096 TILEPROP INT_R_X3Y146 TYPE INT_R TILEPROP INT_R_X3Y147 CLASS tile TILEPROP INT_R_X3Y147 COLUMN 12 TILEPROP INT_R_X3Y147 DEVICE_ID 0 TILEPROP INT_R_X3Y147 FIRST_SITE_ID 209 TILEPROP INT_R_X3Y147 GRID_POINT_X 12 TILEPROP INT_R_X3Y147 GRID_POINT_Y 3 TILEPROP INT_R_X3Y147 INDEX 357 TILEPROP INT_R_X3Y147 INT_TILE_X 3 TILEPROP INT_R_X3Y147 INT_TILE_Y 2 TILEPROP INT_R_X3Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y147 IS_DCM_TILE 0 TILEPROP INT_R_X3Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y147 NAME INT_R_X3Y147 TILEPROP INT_R_X3Y147 NUM_ARCS 3737 TILEPROP INT_R_X3Y147 NUM_SITES 1 TILEPROP INT_R_X3Y147 ROW 3 TILEPROP INT_R_X3Y147 SLR_REGION_ID 0 TILEPROP INT_R_X3Y147 TILE_PATTERN_IDX 3621 TILEPROP INT_R_X3Y147 TILE_TYPE INT_R TILEPROP INT_R_X3Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y147 TILE_X -81678 TILEPROP INT_R_X3Y147 TILE_Y 234296 TILEPROP INT_R_X3Y147 TYPE INT_R TILEPROP INT_R_X3Y148 CLASS tile TILEPROP INT_R_X3Y148 COLUMN 12 TILEPROP INT_R_X3Y148 DEVICE_ID 0 TILEPROP INT_R_X3Y148 FIRST_SITE_ID 105 TILEPROP INT_R_X3Y148 GRID_POINT_X 12 TILEPROP INT_R_X3Y148 GRID_POINT_Y 2 TILEPROP INT_R_X3Y148 INDEX 242 TILEPROP INT_R_X3Y148 INT_TILE_X 3 TILEPROP INT_R_X3Y148 INT_TILE_Y 1 TILEPROP INT_R_X3Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y148 IS_DCM_TILE 0 TILEPROP INT_R_X3Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y148 NAME INT_R_X3Y148 TILEPROP INT_R_X3Y148 NUM_ARCS 3737 TILEPROP INT_R_X3Y148 NUM_SITES 1 TILEPROP INT_R_X3Y148 ROW 2 TILEPROP INT_R_X3Y148 SLR_REGION_ID 0 TILEPROP INT_R_X3Y148 TILE_PATTERN_IDX 3582 TILEPROP INT_R_X3Y148 TILE_TYPE INT_R TILEPROP INT_R_X3Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y148 TILE_X -81678 TILEPROP INT_R_X3Y148 TILE_Y 237496 TILEPROP INT_R_X3Y148 TYPE INT_R TILEPROP INT_R_X3Y149 CLASS tile TILEPROP INT_R_X3Y149 COLUMN 12 TILEPROP INT_R_X3Y149 DEVICE_ID 0 TILEPROP INT_R_X3Y149 FIRST_SITE_ID 9 TILEPROP INT_R_X3Y149 GRID_POINT_X 12 TILEPROP INT_R_X3Y149 GRID_POINT_Y 1 TILEPROP INT_R_X3Y149 INDEX 127 TILEPROP INT_R_X3Y149 INT_TILE_X 3 TILEPROP INT_R_X3Y149 INT_TILE_Y 0 TILEPROP INT_R_X3Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X3Y149 IS_DCM_TILE 0 TILEPROP INT_R_X3Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X3Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X3Y149 NAME INT_R_X3Y149 TILEPROP INT_R_X3Y149 NUM_ARCS 3737 TILEPROP INT_R_X3Y149 NUM_SITES 1 TILEPROP INT_R_X3Y149 ROW 1 TILEPROP INT_R_X3Y149 SLR_REGION_ID 0 TILEPROP INT_R_X3Y149 TILE_PATTERN_IDX 3544 TILEPROP INT_R_X3Y149 TILE_TYPE INT_R TILEPROP INT_R_X3Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X3Y149 TILE_X -81678 TILEPROP INT_R_X3Y149 TILE_Y 240696 TILEPROP INT_R_X3Y149 TYPE INT_R TILEPROP INT_R_X41Y0 CLASS tile TILEPROP INT_R_X41Y0 COLUMN 103 TILEPROP INT_R_X41Y0 DEVICE_ID 0 TILEPROP INT_R_X41Y0 FIRST_SITE_ID 15808 TILEPROP INT_R_X41Y0 GRID_POINT_X 103 TILEPROP INT_R_X41Y0 GRID_POINT_Y 155 TILEPROP INT_R_X41Y0 INDEX 17928 TILEPROP INT_R_X41Y0 INT_TILE_X 41 TILEPROP INT_R_X41Y0 INT_TILE_Y 149 TILEPROP INT_R_X41Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y0 IS_DCM_TILE 0 TILEPROP INT_R_X41Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y0 NAME INT_R_X41Y0 TILEPROP INT_R_X41Y0 NUM_ARCS 3737 TILEPROP INT_R_X41Y0 NUM_SITES 1 TILEPROP INT_R_X41Y0 ROW 155 TILEPROP INT_R_X41Y0 SLR_REGION_ID 0 TILEPROP INT_R_X41Y0 TILE_PATTERN_IDX 3535 TILEPROP INT_R_X41Y0 TILE_TYPE INT_R TILEPROP INT_R_X41Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y0 TILE_X 91150 TILEPROP INT_R_X41Y0 TILE_Y -239672 TILEPROP INT_R_X41Y0 TYPE INT_R TILEPROP INT_R_X41Y1 CLASS tile TILEPROP INT_R_X41Y1 COLUMN 103 TILEPROP INT_R_X41Y1 DEVICE_ID 0 TILEPROP INT_R_X41Y1 FIRST_SITE_ID 15689 TILEPROP INT_R_X41Y1 GRID_POINT_X 103 TILEPROP INT_R_X41Y1 GRID_POINT_Y 154 TILEPROP INT_R_X41Y1 INDEX 17813 TILEPROP INT_R_X41Y1 INT_TILE_X 41 TILEPROP INT_R_X41Y1 INT_TILE_Y 148 TILEPROP INT_R_X41Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y1 IS_DCM_TILE 0 TILEPROP INT_R_X41Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y1 NAME INT_R_X41Y1 TILEPROP INT_R_X41Y1 NUM_ARCS 3737 TILEPROP INT_R_X41Y1 NUM_SITES 1 TILEPROP INT_R_X41Y1 ROW 154 TILEPROP INT_R_X41Y1 SLR_REGION_ID 0 TILEPROP INT_R_X41Y1 TILE_PATTERN_IDX 3493 TILEPROP INT_R_X41Y1 TILE_TYPE INT_R TILEPROP INT_R_X41Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y1 TILE_X 91150 TILEPROP INT_R_X41Y1 TILE_Y -236472 TILEPROP INT_R_X41Y1 TYPE INT_R TILEPROP INT_R_X41Y2 CLASS tile TILEPROP INT_R_X41Y2 COLUMN 103 TILEPROP INT_R_X41Y2 DEVICE_ID 0 TILEPROP INT_R_X41Y2 FIRST_SITE_ID 15588 TILEPROP INT_R_X41Y2 GRID_POINT_X 103 TILEPROP INT_R_X41Y2 GRID_POINT_Y 153 TILEPROP INT_R_X41Y2 INDEX 17698 TILEPROP INT_R_X41Y2 INT_TILE_X 41 TILEPROP INT_R_X41Y2 INT_TILE_Y 147 TILEPROP INT_R_X41Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y2 IS_DCM_TILE 0 TILEPROP INT_R_X41Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y2 NAME INT_R_X41Y2 TILEPROP INT_R_X41Y2 NUM_ARCS 3737 TILEPROP INT_R_X41Y2 NUM_SITES 1 TILEPROP INT_R_X41Y2 ROW 153 TILEPROP INT_R_X41Y2 SLR_REGION_ID 0 TILEPROP INT_R_X41Y2 TILE_PATTERN_IDX 3456 TILEPROP INT_R_X41Y2 TILE_TYPE INT_R TILEPROP INT_R_X41Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y2 TILE_X 91150 TILEPROP INT_R_X41Y2 TILE_Y -233272 TILEPROP INT_R_X41Y2 TYPE INT_R TILEPROP INT_R_X41Y3 CLASS tile TILEPROP INT_R_X41Y3 COLUMN 103 TILEPROP INT_R_X41Y3 DEVICE_ID 0 TILEPROP INT_R_X41Y3 FIRST_SITE_ID 15488 TILEPROP INT_R_X41Y3 GRID_POINT_X 103 TILEPROP INT_R_X41Y3 GRID_POINT_Y 152 TILEPROP INT_R_X41Y3 INDEX 17583 TILEPROP INT_R_X41Y3 INT_TILE_X 41 TILEPROP INT_R_X41Y3 INT_TILE_Y 146 TILEPROP INT_R_X41Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y3 IS_DCM_TILE 0 TILEPROP INT_R_X41Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y3 NAME INT_R_X41Y3 TILEPROP INT_R_X41Y3 NUM_ARCS 3737 TILEPROP INT_R_X41Y3 NUM_SITES 1 TILEPROP INT_R_X41Y3 ROW 152 TILEPROP INT_R_X41Y3 SLR_REGION_ID 0 TILEPROP INT_R_X41Y3 TILE_PATTERN_IDX 3419 TILEPROP INT_R_X41Y3 TILE_TYPE INT_R TILEPROP INT_R_X41Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y3 TILE_X 91150 TILEPROP INT_R_X41Y3 TILE_Y -230072 TILEPROP INT_R_X41Y3 TYPE INT_R TILEPROP INT_R_X41Y4 CLASS tile TILEPROP INT_R_X41Y4 COLUMN 103 TILEPROP INT_R_X41Y4 DEVICE_ID 0 TILEPROP INT_R_X41Y4 FIRST_SITE_ID 15388 TILEPROP INT_R_X41Y4 GRID_POINT_X 103 TILEPROP INT_R_X41Y4 GRID_POINT_Y 151 TILEPROP INT_R_X41Y4 INDEX 17468 TILEPROP INT_R_X41Y4 INT_TILE_X 41 TILEPROP INT_R_X41Y4 INT_TILE_Y 145 TILEPROP INT_R_X41Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y4 IS_DCM_TILE 0 TILEPROP INT_R_X41Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y4 NAME INT_R_X41Y4 TILEPROP INT_R_X41Y4 NUM_ARCS 3737 TILEPROP INT_R_X41Y4 NUM_SITES 1 TILEPROP INT_R_X41Y4 ROW 151 TILEPROP INT_R_X41Y4 SLR_REGION_ID 0 TILEPROP INT_R_X41Y4 TILE_PATTERN_IDX 3383 TILEPROP INT_R_X41Y4 TILE_TYPE INT_R TILEPROP INT_R_X41Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y4 TILE_X 91150 TILEPROP INT_R_X41Y4 TILE_Y -226872 TILEPROP INT_R_X41Y4 TYPE INT_R TILEPROP INT_R_X41Y5 CLASS tile TILEPROP INT_R_X41Y5 COLUMN 103 TILEPROP INT_R_X41Y5 DEVICE_ID 0 TILEPROP INT_R_X41Y5 FIRST_SITE_ID 15288 TILEPROP INT_R_X41Y5 GRID_POINT_X 103 TILEPROP INT_R_X41Y5 GRID_POINT_Y 150 TILEPROP INT_R_X41Y5 INDEX 17353 TILEPROP INT_R_X41Y5 INT_TILE_X 41 TILEPROP INT_R_X41Y5 INT_TILE_Y 144 TILEPROP INT_R_X41Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y5 IS_DCM_TILE 0 TILEPROP INT_R_X41Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y5 NAME INT_R_X41Y5 TILEPROP INT_R_X41Y5 NUM_ARCS 3737 TILEPROP INT_R_X41Y5 NUM_SITES 1 TILEPROP INT_R_X41Y5 ROW 150 TILEPROP INT_R_X41Y5 SLR_REGION_ID 0 TILEPROP INT_R_X41Y5 TILE_PATTERN_IDX 3346 TILEPROP INT_R_X41Y5 TILE_TYPE INT_R TILEPROP INT_R_X41Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y5 TILE_X 91150 TILEPROP INT_R_X41Y5 TILE_Y -223672 TILEPROP INT_R_X41Y5 TYPE INT_R TILEPROP INT_R_X41Y6 CLASS tile TILEPROP INT_R_X41Y6 COLUMN 103 TILEPROP INT_R_X41Y6 DEVICE_ID 0 TILEPROP INT_R_X41Y6 FIRST_SITE_ID 15173 TILEPROP INT_R_X41Y6 GRID_POINT_X 103 TILEPROP INT_R_X41Y6 GRID_POINT_Y 149 TILEPROP INT_R_X41Y6 INDEX 17238 TILEPROP INT_R_X41Y6 INT_TILE_X 41 TILEPROP INT_R_X41Y6 INT_TILE_Y 143 TILEPROP INT_R_X41Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y6 IS_DCM_TILE 0 TILEPROP INT_R_X41Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y6 NAME INT_R_X41Y6 TILEPROP INT_R_X41Y6 NUM_ARCS 3737 TILEPROP INT_R_X41Y6 NUM_SITES 1 TILEPROP INT_R_X41Y6 ROW 149 TILEPROP INT_R_X41Y6 SLR_REGION_ID 0 TILEPROP INT_R_X41Y6 TILE_PATTERN_IDX 3308 TILEPROP INT_R_X41Y6 TILE_TYPE INT_R TILEPROP INT_R_X41Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y6 TILE_X 91150 TILEPROP INT_R_X41Y6 TILE_Y -220472 TILEPROP INT_R_X41Y6 TYPE INT_R TILEPROP INT_R_X41Y7 CLASS tile TILEPROP INT_R_X41Y7 COLUMN 103 TILEPROP INT_R_X41Y7 DEVICE_ID 0 TILEPROP INT_R_X41Y7 FIRST_SITE_ID 15071 TILEPROP INT_R_X41Y7 GRID_POINT_X 103 TILEPROP INT_R_X41Y7 GRID_POINT_Y 148 TILEPROP INT_R_X41Y7 INDEX 17123 TILEPROP INT_R_X41Y7 INT_TILE_X 41 TILEPROP INT_R_X41Y7 INT_TILE_Y 142 TILEPROP INT_R_X41Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y7 IS_DCM_TILE 0 TILEPROP INT_R_X41Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y7 NAME INT_R_X41Y7 TILEPROP INT_R_X41Y7 NUM_ARCS 3737 TILEPROP INT_R_X41Y7 NUM_SITES 1 TILEPROP INT_R_X41Y7 ROW 148 TILEPROP INT_R_X41Y7 SLR_REGION_ID 0 TILEPROP INT_R_X41Y7 TILE_PATTERN_IDX 3270 TILEPROP INT_R_X41Y7 TILE_TYPE INT_R TILEPROP INT_R_X41Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y7 TILE_X 91150 TILEPROP INT_R_X41Y7 TILE_Y -217272 TILEPROP INT_R_X41Y7 TYPE INT_R TILEPROP INT_R_X41Y8 CLASS tile TILEPROP INT_R_X41Y8 COLUMN 103 TILEPROP INT_R_X41Y8 DEVICE_ID 0 TILEPROP INT_R_X41Y8 FIRST_SITE_ID 14968 TILEPROP INT_R_X41Y8 GRID_POINT_X 103 TILEPROP INT_R_X41Y8 GRID_POINT_Y 147 TILEPROP INT_R_X41Y8 INDEX 17008 TILEPROP INT_R_X41Y8 INT_TILE_X 41 TILEPROP INT_R_X41Y8 INT_TILE_Y 141 TILEPROP INT_R_X41Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y8 IS_DCM_TILE 0 TILEPROP INT_R_X41Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y8 NAME INT_R_X41Y8 TILEPROP INT_R_X41Y8 NUM_ARCS 3737 TILEPROP INT_R_X41Y8 NUM_SITES 1 TILEPROP INT_R_X41Y8 ROW 147 TILEPROP INT_R_X41Y8 SLR_REGION_ID 0 TILEPROP INT_R_X41Y8 TILE_PATTERN_IDX 3233 TILEPROP INT_R_X41Y8 TILE_TYPE INT_R TILEPROP INT_R_X41Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y8 TILE_X 91150 TILEPROP INT_R_X41Y8 TILE_Y -214072 TILEPROP INT_R_X41Y8 TYPE INT_R TILEPROP INT_R_X41Y9 CLASS tile TILEPROP INT_R_X41Y9 COLUMN 103 TILEPROP INT_R_X41Y9 DEVICE_ID 0 TILEPROP INT_R_X41Y9 FIRST_SITE_ID 14867 TILEPROP INT_R_X41Y9 GRID_POINT_X 103 TILEPROP INT_R_X41Y9 GRID_POINT_Y 146 TILEPROP INT_R_X41Y9 INDEX 16893 TILEPROP INT_R_X41Y9 INT_TILE_X 41 TILEPROP INT_R_X41Y9 INT_TILE_Y 140 TILEPROP INT_R_X41Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y9 IS_DCM_TILE 0 TILEPROP INT_R_X41Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y9 NAME INT_R_X41Y9 TILEPROP INT_R_X41Y9 NUM_ARCS 3737 TILEPROP INT_R_X41Y9 NUM_SITES 1 TILEPROP INT_R_X41Y9 ROW 146 TILEPROP INT_R_X41Y9 SLR_REGION_ID 0 TILEPROP INT_R_X41Y9 TILE_PATTERN_IDX 3196 TILEPROP INT_R_X41Y9 TILE_TYPE INT_R TILEPROP INT_R_X41Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y9 TILE_X 91150 TILEPROP INT_R_X41Y9 TILE_Y -210872 TILEPROP INT_R_X41Y9 TYPE INT_R TILEPROP INT_R_X41Y10 CLASS tile TILEPROP INT_R_X41Y10 COLUMN 103 TILEPROP INT_R_X41Y10 DEVICE_ID 0 TILEPROP INT_R_X41Y10 FIRST_SITE_ID 14767 TILEPROP INT_R_X41Y10 GRID_POINT_X 103 TILEPROP INT_R_X41Y10 GRID_POINT_Y 145 TILEPROP INT_R_X41Y10 INDEX 16778 TILEPROP INT_R_X41Y10 INT_TILE_X 41 TILEPROP INT_R_X41Y10 INT_TILE_Y 139 TILEPROP INT_R_X41Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y10 IS_DCM_TILE 0 TILEPROP INT_R_X41Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y10 NAME INT_R_X41Y10 TILEPROP INT_R_X41Y10 NUM_ARCS 3737 TILEPROP INT_R_X41Y10 NUM_SITES 1 TILEPROP INT_R_X41Y10 ROW 145 TILEPROP INT_R_X41Y10 SLR_REGION_ID 0 TILEPROP INT_R_X41Y10 TILE_PATTERN_IDX 3161 TILEPROP INT_R_X41Y10 TILE_TYPE INT_R TILEPROP INT_R_X41Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y10 TILE_X 91150 TILEPROP INT_R_X41Y10 TILE_Y -207672 TILEPROP INT_R_X41Y10 TYPE INT_R TILEPROP INT_R_X41Y11 CLASS tile TILEPROP INT_R_X41Y11 COLUMN 103 TILEPROP INT_R_X41Y11 DEVICE_ID 0 TILEPROP INT_R_X41Y11 FIRST_SITE_ID 14652 TILEPROP INT_R_X41Y11 GRID_POINT_X 103 TILEPROP INT_R_X41Y11 GRID_POINT_Y 144 TILEPROP INT_R_X41Y11 INDEX 16663 TILEPROP INT_R_X41Y11 INT_TILE_X 41 TILEPROP INT_R_X41Y11 INT_TILE_Y 138 TILEPROP INT_R_X41Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y11 IS_DCM_TILE 0 TILEPROP INT_R_X41Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y11 NAME INT_R_X41Y11 TILEPROP INT_R_X41Y11 NUM_ARCS 3737 TILEPROP INT_R_X41Y11 NUM_SITES 1 TILEPROP INT_R_X41Y11 ROW 144 TILEPROP INT_R_X41Y11 SLR_REGION_ID 0 TILEPROP INT_R_X41Y11 TILE_PATTERN_IDX 3123 TILEPROP INT_R_X41Y11 TILE_TYPE INT_R TILEPROP INT_R_X41Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y11 TILE_X 91150 TILEPROP INT_R_X41Y11 TILE_Y -204472 TILEPROP INT_R_X41Y11 TYPE INT_R TILEPROP INT_R_X41Y12 CLASS tile TILEPROP INT_R_X41Y12 COLUMN 103 TILEPROP INT_R_X41Y12 DEVICE_ID 0 TILEPROP INT_R_X41Y12 FIRST_SITE_ID 14552 TILEPROP INT_R_X41Y12 GRID_POINT_X 103 TILEPROP INT_R_X41Y12 GRID_POINT_Y 143 TILEPROP INT_R_X41Y12 INDEX 16548 TILEPROP INT_R_X41Y12 INT_TILE_X 41 TILEPROP INT_R_X41Y12 INT_TILE_Y 137 TILEPROP INT_R_X41Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y12 IS_DCM_TILE 0 TILEPROP INT_R_X41Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y12 NAME INT_R_X41Y12 TILEPROP INT_R_X41Y12 NUM_ARCS 3737 TILEPROP INT_R_X41Y12 NUM_SITES 1 TILEPROP INT_R_X41Y12 ROW 143 TILEPROP INT_R_X41Y12 SLR_REGION_ID 0 TILEPROP INT_R_X41Y12 TILE_PATTERN_IDX 3088 TILEPROP INT_R_X41Y12 TILE_TYPE INT_R TILEPROP INT_R_X41Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y12 TILE_X 91150 TILEPROP INT_R_X41Y12 TILE_Y -201272 TILEPROP INT_R_X41Y12 TYPE INT_R TILEPROP INT_R_X41Y13 CLASS tile TILEPROP INT_R_X41Y13 COLUMN 103 TILEPROP INT_R_X41Y13 DEVICE_ID 0 TILEPROP INT_R_X41Y13 FIRST_SITE_ID 14420 TILEPROP INT_R_X41Y13 GRID_POINT_X 103 TILEPROP INT_R_X41Y13 GRID_POINT_Y 142 TILEPROP INT_R_X41Y13 INDEX 16433 TILEPROP INT_R_X41Y13 INT_TILE_X 41 TILEPROP INT_R_X41Y13 INT_TILE_Y 136 TILEPROP INT_R_X41Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y13 IS_DCM_TILE 0 TILEPROP INT_R_X41Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y13 NAME INT_R_X41Y13 TILEPROP INT_R_X41Y13 NUM_ARCS 3737 TILEPROP INT_R_X41Y13 NUM_SITES 1 TILEPROP INT_R_X41Y13 ROW 142 TILEPROP INT_R_X41Y13 SLR_REGION_ID 0 TILEPROP INT_R_X41Y13 TILE_PATTERN_IDX 3051 TILEPROP INT_R_X41Y13 TILE_TYPE INT_R TILEPROP INT_R_X41Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y13 TILE_X 91150 TILEPROP INT_R_X41Y13 TILE_Y -198072 TILEPROP INT_R_X41Y13 TYPE INT_R TILEPROP INT_R_X41Y14 CLASS tile TILEPROP INT_R_X41Y14 COLUMN 103 TILEPROP INT_R_X41Y14 DEVICE_ID 0 TILEPROP INT_R_X41Y14 FIRST_SITE_ID 14320 TILEPROP INT_R_X41Y14 GRID_POINT_X 103 TILEPROP INT_R_X41Y14 GRID_POINT_Y 141 TILEPROP INT_R_X41Y14 INDEX 16318 TILEPROP INT_R_X41Y14 INT_TILE_X 41 TILEPROP INT_R_X41Y14 INT_TILE_Y 135 TILEPROP INT_R_X41Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y14 IS_DCM_TILE 0 TILEPROP INT_R_X41Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y14 NAME INT_R_X41Y14 TILEPROP INT_R_X41Y14 NUM_ARCS 3737 TILEPROP INT_R_X41Y14 NUM_SITES 1 TILEPROP INT_R_X41Y14 ROW 141 TILEPROP INT_R_X41Y14 SLR_REGION_ID 0 TILEPROP INT_R_X41Y14 TILE_PATTERN_IDX 3016 TILEPROP INT_R_X41Y14 TILE_TYPE INT_R TILEPROP INT_R_X41Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y14 TILE_X 91150 TILEPROP INT_R_X41Y14 TILE_Y -194872 TILEPROP INT_R_X41Y14 TYPE INT_R TILEPROP INT_R_X41Y15 CLASS tile TILEPROP INT_R_X41Y15 COLUMN 103 TILEPROP INT_R_X41Y15 DEVICE_ID 0 TILEPROP INT_R_X41Y15 FIRST_SITE_ID 14220 TILEPROP INT_R_X41Y15 GRID_POINT_X 103 TILEPROP INT_R_X41Y15 GRID_POINT_Y 140 TILEPROP INT_R_X41Y15 INDEX 16203 TILEPROP INT_R_X41Y15 INT_TILE_X 41 TILEPROP INT_R_X41Y15 INT_TILE_Y 134 TILEPROP INT_R_X41Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y15 IS_DCM_TILE 0 TILEPROP INT_R_X41Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y15 NAME INT_R_X41Y15 TILEPROP INT_R_X41Y15 NUM_ARCS 3737 TILEPROP INT_R_X41Y15 NUM_SITES 1 TILEPROP INT_R_X41Y15 ROW 140 TILEPROP INT_R_X41Y15 SLR_REGION_ID 0 TILEPROP INT_R_X41Y15 TILE_PATTERN_IDX 2980 TILEPROP INT_R_X41Y15 TILE_TYPE INT_R TILEPROP INT_R_X41Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y15 TILE_X 91150 TILEPROP INT_R_X41Y15 TILE_Y -191672 TILEPROP INT_R_X41Y15 TYPE INT_R TILEPROP INT_R_X41Y16 CLASS tile TILEPROP INT_R_X41Y16 COLUMN 103 TILEPROP INT_R_X41Y16 DEVICE_ID 0 TILEPROP INT_R_X41Y16 FIRST_SITE_ID 14105 TILEPROP INT_R_X41Y16 GRID_POINT_X 103 TILEPROP INT_R_X41Y16 GRID_POINT_Y 139 TILEPROP INT_R_X41Y16 INDEX 16088 TILEPROP INT_R_X41Y16 INT_TILE_X 41 TILEPROP INT_R_X41Y16 INT_TILE_Y 133 TILEPROP INT_R_X41Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y16 IS_DCM_TILE 0 TILEPROP INT_R_X41Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y16 NAME INT_R_X41Y16 TILEPROP INT_R_X41Y16 NUM_ARCS 3737 TILEPROP INT_R_X41Y16 NUM_SITES 1 TILEPROP INT_R_X41Y16 ROW 139 TILEPROP INT_R_X41Y16 SLR_REGION_ID 0 TILEPROP INT_R_X41Y16 TILE_PATTERN_IDX 2944 TILEPROP INT_R_X41Y16 TILE_TYPE INT_R TILEPROP INT_R_X41Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y16 TILE_X 91150 TILEPROP INT_R_X41Y16 TILE_Y -188472 TILEPROP INT_R_X41Y16 TYPE INT_R TILEPROP INT_R_X41Y17 CLASS tile TILEPROP INT_R_X41Y17 COLUMN 103 TILEPROP INT_R_X41Y17 DEVICE_ID 0 TILEPROP INT_R_X41Y17 FIRST_SITE_ID 14001 TILEPROP INT_R_X41Y17 GRID_POINT_X 103 TILEPROP INT_R_X41Y17 GRID_POINT_Y 138 TILEPROP INT_R_X41Y17 INDEX 15973 TILEPROP INT_R_X41Y17 INT_TILE_X 41 TILEPROP INT_R_X41Y17 INT_TILE_Y 132 TILEPROP INT_R_X41Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y17 IS_DCM_TILE 0 TILEPROP INT_R_X41Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y17 NAME INT_R_X41Y17 TILEPROP INT_R_X41Y17 NUM_ARCS 3737 TILEPROP INT_R_X41Y17 NUM_SITES 1 TILEPROP INT_R_X41Y17 ROW 138 TILEPROP INT_R_X41Y17 SLR_REGION_ID 0 TILEPROP INT_R_X41Y17 TILE_PATTERN_IDX 2907 TILEPROP INT_R_X41Y17 TILE_TYPE INT_R TILEPROP INT_R_X41Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y17 TILE_X 91150 TILEPROP INT_R_X41Y17 TILE_Y -185272 TILEPROP INT_R_X41Y17 TYPE INT_R TILEPROP INT_R_X41Y18 CLASS tile TILEPROP INT_R_X41Y18 COLUMN 103 TILEPROP INT_R_X41Y18 DEVICE_ID 0 TILEPROP INT_R_X41Y18 FIRST_SITE_ID 13896 TILEPROP INT_R_X41Y18 GRID_POINT_X 103 TILEPROP INT_R_X41Y18 GRID_POINT_Y 137 TILEPROP INT_R_X41Y18 INDEX 15858 TILEPROP INT_R_X41Y18 INT_TILE_X 41 TILEPROP INT_R_X41Y18 INT_TILE_Y 131 TILEPROP INT_R_X41Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y18 IS_DCM_TILE 0 TILEPROP INT_R_X41Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y18 NAME INT_R_X41Y18 TILEPROP INT_R_X41Y18 NUM_ARCS 3737 TILEPROP INT_R_X41Y18 NUM_SITES 1 TILEPROP INT_R_X41Y18 ROW 137 TILEPROP INT_R_X41Y18 SLR_REGION_ID 0 TILEPROP INT_R_X41Y18 TILE_PATTERN_IDX 2869 TILEPROP INT_R_X41Y18 TILE_TYPE INT_R TILEPROP INT_R_X41Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y18 TILE_X 91150 TILEPROP INT_R_X41Y18 TILE_Y -182072 TILEPROP INT_R_X41Y18 TYPE INT_R TILEPROP INT_R_X41Y19 CLASS tile TILEPROP INT_R_X41Y19 COLUMN 103 TILEPROP INT_R_X41Y19 DEVICE_ID 0 TILEPROP INT_R_X41Y19 FIRST_SITE_ID 13794 TILEPROP INT_R_X41Y19 GRID_POINT_X 103 TILEPROP INT_R_X41Y19 GRID_POINT_Y 136 TILEPROP INT_R_X41Y19 INDEX 15743 TILEPROP INT_R_X41Y19 INT_TILE_X 41 TILEPROP INT_R_X41Y19 INT_TILE_Y 130 TILEPROP INT_R_X41Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y19 IS_DCM_TILE 0 TILEPROP INT_R_X41Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y19 NAME INT_R_X41Y19 TILEPROP INT_R_X41Y19 NUM_ARCS 3737 TILEPROP INT_R_X41Y19 NUM_SITES 1 TILEPROP INT_R_X41Y19 ROW 136 TILEPROP INT_R_X41Y19 SLR_REGION_ID 0 TILEPROP INT_R_X41Y19 TILE_PATTERN_IDX 2832 TILEPROP INT_R_X41Y19 TILE_TYPE INT_R TILEPROP INT_R_X41Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y19 TILE_X 91150 TILEPROP INT_R_X41Y19 TILE_Y -178872 TILEPROP INT_R_X41Y19 TYPE INT_R TILEPROP INT_R_X41Y20 CLASS tile TILEPROP INT_R_X41Y20 COLUMN 103 TILEPROP INT_R_X41Y20 DEVICE_ID 0 TILEPROP INT_R_X41Y20 FIRST_SITE_ID 13692 TILEPROP INT_R_X41Y20 GRID_POINT_X 103 TILEPROP INT_R_X41Y20 GRID_POINT_Y 135 TILEPROP INT_R_X41Y20 INDEX 15628 TILEPROP INT_R_X41Y20 INT_TILE_X 41 TILEPROP INT_R_X41Y20 INT_TILE_Y 129 TILEPROP INT_R_X41Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y20 IS_DCM_TILE 0 TILEPROP INT_R_X41Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y20 NAME INT_R_X41Y20 TILEPROP INT_R_X41Y20 NUM_ARCS 3737 TILEPROP INT_R_X41Y20 NUM_SITES 1 TILEPROP INT_R_X41Y20 ROW 135 TILEPROP INT_R_X41Y20 SLR_REGION_ID 0 TILEPROP INT_R_X41Y20 TILE_PATTERN_IDX 2797 TILEPROP INT_R_X41Y20 TILE_TYPE INT_R TILEPROP INT_R_X41Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y20 TILE_X 91150 TILEPROP INT_R_X41Y20 TILE_Y -175672 TILEPROP INT_R_X41Y20 TYPE INT_R TILEPROP INT_R_X41Y21 CLASS tile TILEPROP INT_R_X41Y21 COLUMN 103 TILEPROP INT_R_X41Y21 DEVICE_ID 0 TILEPROP INT_R_X41Y21 FIRST_SITE_ID 13577 TILEPROP INT_R_X41Y21 GRID_POINT_X 103 TILEPROP INT_R_X41Y21 GRID_POINT_Y 134 TILEPROP INT_R_X41Y21 INDEX 15513 TILEPROP INT_R_X41Y21 INT_TILE_X 41 TILEPROP INT_R_X41Y21 INT_TILE_Y 128 TILEPROP INT_R_X41Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y21 IS_DCM_TILE 0 TILEPROP INT_R_X41Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y21 NAME INT_R_X41Y21 TILEPROP INT_R_X41Y21 NUM_ARCS 3737 TILEPROP INT_R_X41Y21 NUM_SITES 1 TILEPROP INT_R_X41Y21 ROW 134 TILEPROP INT_R_X41Y21 SLR_REGION_ID 0 TILEPROP INT_R_X41Y21 TILE_PATTERN_IDX 2760 TILEPROP INT_R_X41Y21 TILE_TYPE INT_R TILEPROP INT_R_X41Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y21 TILE_X 91150 TILEPROP INT_R_X41Y21 TILE_Y -172472 TILEPROP INT_R_X41Y21 TYPE INT_R TILEPROP INT_R_X41Y22 CLASS tile TILEPROP INT_R_X41Y22 COLUMN 103 TILEPROP INT_R_X41Y22 DEVICE_ID 0 TILEPROP INT_R_X41Y22 FIRST_SITE_ID 13477 TILEPROP INT_R_X41Y22 GRID_POINT_X 103 TILEPROP INT_R_X41Y22 GRID_POINT_Y 133 TILEPROP INT_R_X41Y22 INDEX 15398 TILEPROP INT_R_X41Y22 INT_TILE_X 41 TILEPROP INT_R_X41Y22 INT_TILE_Y 127 TILEPROP INT_R_X41Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y22 IS_DCM_TILE 0 TILEPROP INT_R_X41Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y22 NAME INT_R_X41Y22 TILEPROP INT_R_X41Y22 NUM_ARCS 3737 TILEPROP INT_R_X41Y22 NUM_SITES 1 TILEPROP INT_R_X41Y22 ROW 133 TILEPROP INT_R_X41Y22 SLR_REGION_ID 0 TILEPROP INT_R_X41Y22 TILE_PATTERN_IDX 2724 TILEPROP INT_R_X41Y22 TILE_TYPE INT_R TILEPROP INT_R_X41Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y22 TILE_X 91150 TILEPROP INT_R_X41Y22 TILE_Y -169272 TILEPROP INT_R_X41Y22 TYPE INT_R TILEPROP INT_R_X41Y23 CLASS tile TILEPROP INT_R_X41Y23 COLUMN 103 TILEPROP INT_R_X41Y23 DEVICE_ID 0 TILEPROP INT_R_X41Y23 FIRST_SITE_ID 13377 TILEPROP INT_R_X41Y23 GRID_POINT_X 103 TILEPROP INT_R_X41Y23 GRID_POINT_Y 132 TILEPROP INT_R_X41Y23 INDEX 15283 TILEPROP INT_R_X41Y23 INT_TILE_X 41 TILEPROP INT_R_X41Y23 INT_TILE_Y 126 TILEPROP INT_R_X41Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y23 IS_DCM_TILE 0 TILEPROP INT_R_X41Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y23 NAME INT_R_X41Y23 TILEPROP INT_R_X41Y23 NUM_ARCS 3737 TILEPROP INT_R_X41Y23 NUM_SITES 1 TILEPROP INT_R_X41Y23 ROW 132 TILEPROP INT_R_X41Y23 SLR_REGION_ID 0 TILEPROP INT_R_X41Y23 TILE_PATTERN_IDX 2687 TILEPROP INT_R_X41Y23 TILE_TYPE INT_R TILEPROP INT_R_X41Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y23 TILE_X 91150 TILEPROP INT_R_X41Y23 TILE_Y -166072 TILEPROP INT_R_X41Y23 TYPE INT_R TILEPROP INT_R_X41Y24 CLASS tile TILEPROP INT_R_X41Y24 COLUMN 103 TILEPROP INT_R_X41Y24 DEVICE_ID 0 TILEPROP INT_R_X41Y24 FIRST_SITE_ID 13277 TILEPROP INT_R_X41Y24 GRID_POINT_X 103 TILEPROP INT_R_X41Y24 GRID_POINT_Y 131 TILEPROP INT_R_X41Y24 INDEX 15168 TILEPROP INT_R_X41Y24 INT_TILE_X 41 TILEPROP INT_R_X41Y24 INT_TILE_Y 125 TILEPROP INT_R_X41Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y24 IS_DCM_TILE 0 TILEPROP INT_R_X41Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y24 NAME INT_R_X41Y24 TILEPROP INT_R_X41Y24 NUM_ARCS 3737 TILEPROP INT_R_X41Y24 NUM_SITES 1 TILEPROP INT_R_X41Y24 ROW 131 TILEPROP INT_R_X41Y24 SLR_REGION_ID 0 TILEPROP INT_R_X41Y24 TILE_PATTERN_IDX 2651 TILEPROP INT_R_X41Y24 TILE_TYPE INT_R TILEPROP INT_R_X41Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y24 TILE_X 91150 TILEPROP INT_R_X41Y24 TILE_Y -162872 TILEPROP INT_R_X41Y24 TYPE INT_R TILEPROP INT_R_X41Y25 CLASS tile TILEPROP INT_R_X41Y25 COLUMN 103 TILEPROP INT_R_X41Y25 DEVICE_ID 0 TILEPROP INT_R_X41Y25 FIRST_SITE_ID 13094 TILEPROP INT_R_X41Y25 GRID_POINT_X 103 TILEPROP INT_R_X41Y25 GRID_POINT_Y 129 TILEPROP INT_R_X41Y25 INDEX 14938 TILEPROP INT_R_X41Y25 INT_TILE_X 41 TILEPROP INT_R_X41Y25 INT_TILE_Y 124 TILEPROP INT_R_X41Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y25 IS_DCM_TILE 0 TILEPROP INT_R_X41Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y25 NAME INT_R_X41Y25 TILEPROP INT_R_X41Y25 NUM_ARCS 3737 TILEPROP INT_R_X41Y25 NUM_SITES 1 TILEPROP INT_R_X41Y25 ROW 129 TILEPROP INT_R_X41Y25 SLR_REGION_ID 0 TILEPROP INT_R_X41Y25 TILE_PATTERN_IDX 2576 TILEPROP INT_R_X41Y25 TILE_TYPE INT_R TILEPROP INT_R_X41Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y25 TILE_X 91150 TILEPROP INT_R_X41Y25 TILE_Y -158648 TILEPROP INT_R_X41Y25 TYPE INT_R TILEPROP INT_R_X41Y26 CLASS tile TILEPROP INT_R_X41Y26 COLUMN 103 TILEPROP INT_R_X41Y26 DEVICE_ID 0 TILEPROP INT_R_X41Y26 FIRST_SITE_ID 12979 TILEPROP INT_R_X41Y26 GRID_POINT_X 103 TILEPROP INT_R_X41Y26 GRID_POINT_Y 128 TILEPROP INT_R_X41Y26 INDEX 14823 TILEPROP INT_R_X41Y26 INT_TILE_X 41 TILEPROP INT_R_X41Y26 INT_TILE_Y 123 TILEPROP INT_R_X41Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y26 IS_DCM_TILE 0 TILEPROP INT_R_X41Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y26 NAME INT_R_X41Y26 TILEPROP INT_R_X41Y26 NUM_ARCS 3737 TILEPROP INT_R_X41Y26 NUM_SITES 1 TILEPROP INT_R_X41Y26 ROW 128 TILEPROP INT_R_X41Y26 SLR_REGION_ID 0 TILEPROP INT_R_X41Y26 TILE_PATTERN_IDX 2539 TILEPROP INT_R_X41Y26 TILE_TYPE INT_R TILEPROP INT_R_X41Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y26 TILE_X 91150 TILEPROP INT_R_X41Y26 TILE_Y -155448 TILEPROP INT_R_X41Y26 TYPE INT_R TILEPROP INT_R_X41Y27 CLASS tile TILEPROP INT_R_X41Y27 COLUMN 103 TILEPROP INT_R_X41Y27 DEVICE_ID 0 TILEPROP INT_R_X41Y27 FIRST_SITE_ID 12879 TILEPROP INT_R_X41Y27 GRID_POINT_X 103 TILEPROP INT_R_X41Y27 GRID_POINT_Y 127 TILEPROP INT_R_X41Y27 INDEX 14708 TILEPROP INT_R_X41Y27 INT_TILE_X 41 TILEPROP INT_R_X41Y27 INT_TILE_Y 122 TILEPROP INT_R_X41Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y27 IS_DCM_TILE 0 TILEPROP INT_R_X41Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y27 NAME INT_R_X41Y27 TILEPROP INT_R_X41Y27 NUM_ARCS 3737 TILEPROP INT_R_X41Y27 NUM_SITES 1 TILEPROP INT_R_X41Y27 ROW 127 TILEPROP INT_R_X41Y27 SLR_REGION_ID 0 TILEPROP INT_R_X41Y27 TILE_PATTERN_IDX 2502 TILEPROP INT_R_X41Y27 TILE_TYPE INT_R TILEPROP INT_R_X41Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y27 TILE_X 91150 TILEPROP INT_R_X41Y27 TILE_Y -152248 TILEPROP INT_R_X41Y27 TYPE INT_R TILEPROP INT_R_X41Y28 CLASS tile TILEPROP INT_R_X41Y28 COLUMN 103 TILEPROP INT_R_X41Y28 DEVICE_ID 0 TILEPROP INT_R_X41Y28 FIRST_SITE_ID 12779 TILEPROP INT_R_X41Y28 GRID_POINT_X 103 TILEPROP INT_R_X41Y28 GRID_POINT_Y 126 TILEPROP INT_R_X41Y28 INDEX 14593 TILEPROP INT_R_X41Y28 INT_TILE_X 41 TILEPROP INT_R_X41Y28 INT_TILE_Y 121 TILEPROP INT_R_X41Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y28 IS_DCM_TILE 0 TILEPROP INT_R_X41Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y28 NAME INT_R_X41Y28 TILEPROP INT_R_X41Y28 NUM_ARCS 3737 TILEPROP INT_R_X41Y28 NUM_SITES 1 TILEPROP INT_R_X41Y28 ROW 126 TILEPROP INT_R_X41Y28 SLR_REGION_ID 0 TILEPROP INT_R_X41Y28 TILE_PATTERN_IDX 2466 TILEPROP INT_R_X41Y28 TILE_TYPE INT_R TILEPROP INT_R_X41Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y28 TILE_X 91150 TILEPROP INT_R_X41Y28 TILE_Y -149048 TILEPROP INT_R_X41Y28 TYPE INT_R TILEPROP INT_R_X41Y29 CLASS tile TILEPROP INT_R_X41Y29 COLUMN 103 TILEPROP INT_R_X41Y29 DEVICE_ID 0 TILEPROP INT_R_X41Y29 FIRST_SITE_ID 12673 TILEPROP INT_R_X41Y29 GRID_POINT_X 103 TILEPROP INT_R_X41Y29 GRID_POINT_Y 125 TILEPROP INT_R_X41Y29 INDEX 14478 TILEPROP INT_R_X41Y29 INT_TILE_X 41 TILEPROP INT_R_X41Y29 INT_TILE_Y 120 TILEPROP INT_R_X41Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y29 IS_DCM_TILE 0 TILEPROP INT_R_X41Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y29 NAME INT_R_X41Y29 TILEPROP INT_R_X41Y29 NUM_ARCS 3737 TILEPROP INT_R_X41Y29 NUM_SITES 1 TILEPROP INT_R_X41Y29 ROW 125 TILEPROP INT_R_X41Y29 SLR_REGION_ID 0 TILEPROP INT_R_X41Y29 TILE_PATTERN_IDX 2428 TILEPROP INT_R_X41Y29 TILE_TYPE INT_R TILEPROP INT_R_X41Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y29 TILE_X 91150 TILEPROP INT_R_X41Y29 TILE_Y -145848 TILEPROP INT_R_X41Y29 TYPE INT_R TILEPROP INT_R_X41Y30 CLASS tile TILEPROP INT_R_X41Y30 COLUMN 103 TILEPROP INT_R_X41Y30 DEVICE_ID 0 TILEPROP INT_R_X41Y30 FIRST_SITE_ID 12567 TILEPROP INT_R_X41Y30 GRID_POINT_X 103 TILEPROP INT_R_X41Y30 GRID_POINT_Y 124 TILEPROP INT_R_X41Y30 INDEX 14363 TILEPROP INT_R_X41Y30 INT_TILE_X 41 TILEPROP INT_R_X41Y30 INT_TILE_Y 119 TILEPROP INT_R_X41Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y30 IS_DCM_TILE 0 TILEPROP INT_R_X41Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y30 NAME INT_R_X41Y30 TILEPROP INT_R_X41Y30 NUM_ARCS 3737 TILEPROP INT_R_X41Y30 NUM_SITES 1 TILEPROP INT_R_X41Y30 ROW 124 TILEPROP INT_R_X41Y30 SLR_REGION_ID 0 TILEPROP INT_R_X41Y30 TILE_PATTERN_IDX 2392 TILEPROP INT_R_X41Y30 TILE_TYPE INT_R TILEPROP INT_R_X41Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y30 TILE_X 91150 TILEPROP INT_R_X41Y30 TILE_Y -142648 TILEPROP INT_R_X41Y30 TYPE INT_R TILEPROP INT_R_X41Y31 CLASS tile TILEPROP INT_R_X41Y31 COLUMN 103 TILEPROP INT_R_X41Y31 DEVICE_ID 0 TILEPROP INT_R_X41Y31 FIRST_SITE_ID 12437 TILEPROP INT_R_X41Y31 GRID_POINT_X 103 TILEPROP INT_R_X41Y31 GRID_POINT_Y 123 TILEPROP INT_R_X41Y31 INDEX 14248 TILEPROP INT_R_X41Y31 INT_TILE_X 41 TILEPROP INT_R_X41Y31 INT_TILE_Y 118 TILEPROP INT_R_X41Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y31 IS_DCM_TILE 0 TILEPROP INT_R_X41Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y31 NAME INT_R_X41Y31 TILEPROP INT_R_X41Y31 NUM_ARCS 3737 TILEPROP INT_R_X41Y31 NUM_SITES 1 TILEPROP INT_R_X41Y31 ROW 123 TILEPROP INT_R_X41Y31 SLR_REGION_ID 0 TILEPROP INT_R_X41Y31 TILE_PATTERN_IDX 2353 TILEPROP INT_R_X41Y31 TILE_TYPE INT_R TILEPROP INT_R_X41Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y31 TILE_X 91150 TILEPROP INT_R_X41Y31 TILE_Y -139448 TILEPROP INT_R_X41Y31 TYPE INT_R TILEPROP INT_R_X41Y32 CLASS tile TILEPROP INT_R_X41Y32 COLUMN 103 TILEPROP INT_R_X41Y32 DEVICE_ID 0 TILEPROP INT_R_X41Y32 FIRST_SITE_ID 12335 TILEPROP INT_R_X41Y32 GRID_POINT_X 103 TILEPROP INT_R_X41Y32 GRID_POINT_Y 122 TILEPROP INT_R_X41Y32 INDEX 14133 TILEPROP INT_R_X41Y32 INT_TILE_X 41 TILEPROP INT_R_X41Y32 INT_TILE_Y 117 TILEPROP INT_R_X41Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y32 IS_DCM_TILE 0 TILEPROP INT_R_X41Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y32 NAME INT_R_X41Y32 TILEPROP INT_R_X41Y32 NUM_ARCS 3737 TILEPROP INT_R_X41Y32 NUM_SITES 1 TILEPROP INT_R_X41Y32 ROW 122 TILEPROP INT_R_X41Y32 SLR_REGION_ID 0 TILEPROP INT_R_X41Y32 TILE_PATTERN_IDX 7803 TILEPROP INT_R_X41Y32 TILE_TYPE INT_R TILEPROP INT_R_X41Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y32 TILE_X 91150 TILEPROP INT_R_X41Y32 TILE_Y -136248 TILEPROP INT_R_X41Y32 TYPE INT_R TILEPROP INT_R_X41Y33 CLASS tile TILEPROP INT_R_X41Y33 COLUMN 103 TILEPROP INT_R_X41Y33 DEVICE_ID 0 TILEPROP INT_R_X41Y33 FIRST_SITE_ID 12234 TILEPROP INT_R_X41Y33 GRID_POINT_X 103 TILEPROP INT_R_X41Y33 GRID_POINT_Y 121 TILEPROP INT_R_X41Y33 INDEX 14018 TILEPROP INT_R_X41Y33 INT_TILE_X 41 TILEPROP INT_R_X41Y33 INT_TILE_Y 116 TILEPROP INT_R_X41Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y33 IS_DCM_TILE 0 TILEPROP INT_R_X41Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y33 NAME INT_R_X41Y33 TILEPROP INT_R_X41Y33 NUM_ARCS 3737 TILEPROP INT_R_X41Y33 NUM_SITES 1 TILEPROP INT_R_X41Y33 ROW 121 TILEPROP INT_R_X41Y33 SLR_REGION_ID 0 TILEPROP INT_R_X41Y33 TILE_PATTERN_IDX 7768 TILEPROP INT_R_X41Y33 TILE_TYPE INT_R TILEPROP INT_R_X41Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y33 TILE_X 91150 TILEPROP INT_R_X41Y33 TILE_Y -133048 TILEPROP INT_R_X41Y33 TYPE INT_R TILEPROP INT_R_X41Y34 CLASS tile TILEPROP INT_R_X41Y34 COLUMN 103 TILEPROP INT_R_X41Y34 DEVICE_ID 0 TILEPROP INT_R_X41Y34 FIRST_SITE_ID 12134 TILEPROP INT_R_X41Y34 GRID_POINT_X 103 TILEPROP INT_R_X41Y34 GRID_POINT_Y 120 TILEPROP INT_R_X41Y34 INDEX 13903 TILEPROP INT_R_X41Y34 INT_TILE_X 41 TILEPROP INT_R_X41Y34 INT_TILE_Y 115 TILEPROP INT_R_X41Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y34 IS_DCM_TILE 0 TILEPROP INT_R_X41Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y34 NAME INT_R_X41Y34 TILEPROP INT_R_X41Y34 NUM_ARCS 3737 TILEPROP INT_R_X41Y34 NUM_SITES 1 TILEPROP INT_R_X41Y34 ROW 120 TILEPROP INT_R_X41Y34 SLR_REGION_ID 0 TILEPROP INT_R_X41Y34 TILE_PATTERN_IDX 7740 TILEPROP INT_R_X41Y34 TILE_TYPE INT_R TILEPROP INT_R_X41Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y34 TILE_X 91150 TILEPROP INT_R_X41Y34 TILE_Y -129848 TILEPROP INT_R_X41Y34 TYPE INT_R TILEPROP INT_R_X41Y35 CLASS tile TILEPROP INT_R_X41Y35 COLUMN 103 TILEPROP INT_R_X41Y35 DEVICE_ID 0 TILEPROP INT_R_X41Y35 FIRST_SITE_ID 12034 TILEPROP INT_R_X41Y35 GRID_POINT_X 103 TILEPROP INT_R_X41Y35 GRID_POINT_Y 119 TILEPROP INT_R_X41Y35 INDEX 13788 TILEPROP INT_R_X41Y35 INT_TILE_X 41 TILEPROP INT_R_X41Y35 INT_TILE_Y 114 TILEPROP INT_R_X41Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y35 IS_DCM_TILE 0 TILEPROP INT_R_X41Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y35 NAME INT_R_X41Y35 TILEPROP INT_R_X41Y35 NUM_ARCS 3737 TILEPROP INT_R_X41Y35 NUM_SITES 1 TILEPROP INT_R_X41Y35 ROW 119 TILEPROP INT_R_X41Y35 SLR_REGION_ID 0 TILEPROP INT_R_X41Y35 TILE_PATTERN_IDX 7711 TILEPROP INT_R_X41Y35 TILE_TYPE INT_R TILEPROP INT_R_X41Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y35 TILE_X 91150 TILEPROP INT_R_X41Y35 TILE_Y -126648 TILEPROP INT_R_X41Y35 TYPE INT_R TILEPROP INT_R_X41Y36 CLASS tile TILEPROP INT_R_X41Y36 COLUMN 103 TILEPROP INT_R_X41Y36 DEVICE_ID 0 TILEPROP INT_R_X41Y36 FIRST_SITE_ID 11919 TILEPROP INT_R_X41Y36 GRID_POINT_X 103 TILEPROP INT_R_X41Y36 GRID_POINT_Y 118 TILEPROP INT_R_X41Y36 INDEX 13673 TILEPROP INT_R_X41Y36 INT_TILE_X 41 TILEPROP INT_R_X41Y36 INT_TILE_Y 113 TILEPROP INT_R_X41Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y36 IS_DCM_TILE 0 TILEPROP INT_R_X41Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y36 NAME INT_R_X41Y36 TILEPROP INT_R_X41Y36 NUM_ARCS 3737 TILEPROP INT_R_X41Y36 NUM_SITES 1 TILEPROP INT_R_X41Y36 ROW 118 TILEPROP INT_R_X41Y36 SLR_REGION_ID 0 TILEPROP INT_R_X41Y36 TILE_PATTERN_IDX 7683 TILEPROP INT_R_X41Y36 TILE_TYPE INT_R TILEPROP INT_R_X41Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y36 TILE_X 91150 TILEPROP INT_R_X41Y36 TILE_Y -123448 TILEPROP INT_R_X41Y36 TYPE INT_R TILEPROP INT_R_X41Y37 CLASS tile TILEPROP INT_R_X41Y37 COLUMN 103 TILEPROP INT_R_X41Y37 DEVICE_ID 0 TILEPROP INT_R_X41Y37 FIRST_SITE_ID 11787 TILEPROP INT_R_X41Y37 GRID_POINT_X 103 TILEPROP INT_R_X41Y37 GRID_POINT_Y 117 TILEPROP INT_R_X41Y37 INDEX 13558 TILEPROP INT_R_X41Y37 INT_TILE_X 41 TILEPROP INT_R_X41Y37 INT_TILE_Y 112 TILEPROP INT_R_X41Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y37 IS_DCM_TILE 0 TILEPROP INT_R_X41Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y37 NAME INT_R_X41Y37 TILEPROP INT_R_X41Y37 NUM_ARCS 3737 TILEPROP INT_R_X41Y37 NUM_SITES 1 TILEPROP INT_R_X41Y37 ROW 117 TILEPROP INT_R_X41Y37 SLR_REGION_ID 0 TILEPROP INT_R_X41Y37 TILE_PATTERN_IDX 7655 TILEPROP INT_R_X41Y37 TILE_TYPE INT_R TILEPROP INT_R_X41Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y37 TILE_X 91150 TILEPROP INT_R_X41Y37 TILE_Y -120248 TILEPROP INT_R_X41Y37 TYPE INT_R TILEPROP INT_R_X41Y38 CLASS tile TILEPROP INT_R_X41Y38 COLUMN 103 TILEPROP INT_R_X41Y38 DEVICE_ID 0 TILEPROP INT_R_X41Y38 FIRST_SITE_ID 11687 TILEPROP INT_R_X41Y38 GRID_POINT_X 103 TILEPROP INT_R_X41Y38 GRID_POINT_Y 116 TILEPROP INT_R_X41Y38 INDEX 13443 TILEPROP INT_R_X41Y38 INT_TILE_X 41 TILEPROP INT_R_X41Y38 INT_TILE_Y 111 TILEPROP INT_R_X41Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y38 IS_DCM_TILE 0 TILEPROP INT_R_X41Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y38 NAME INT_R_X41Y38 TILEPROP INT_R_X41Y38 NUM_ARCS 3737 TILEPROP INT_R_X41Y38 NUM_SITES 1 TILEPROP INT_R_X41Y38 ROW 116 TILEPROP INT_R_X41Y38 SLR_REGION_ID 0 TILEPROP INT_R_X41Y38 TILE_PATTERN_IDX 7627 TILEPROP INT_R_X41Y38 TILE_TYPE INT_R TILEPROP INT_R_X41Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y38 TILE_X 91150 TILEPROP INT_R_X41Y38 TILE_Y -117048 TILEPROP INT_R_X41Y38 TYPE INT_R TILEPROP INT_R_X41Y39 CLASS tile TILEPROP INT_R_X41Y39 COLUMN 103 TILEPROP INT_R_X41Y39 DEVICE_ID 0 TILEPROP INT_R_X41Y39 FIRST_SITE_ID 11587 TILEPROP INT_R_X41Y39 GRID_POINT_X 103 TILEPROP INT_R_X41Y39 GRID_POINT_Y 115 TILEPROP INT_R_X41Y39 INDEX 13328 TILEPROP INT_R_X41Y39 INT_TILE_X 41 TILEPROP INT_R_X41Y39 INT_TILE_Y 110 TILEPROP INT_R_X41Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y39 IS_DCM_TILE 0 TILEPROP INT_R_X41Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y39 NAME INT_R_X41Y39 TILEPROP INT_R_X41Y39 NUM_ARCS 3737 TILEPROP INT_R_X41Y39 NUM_SITES 1 TILEPROP INT_R_X41Y39 ROW 115 TILEPROP INT_R_X41Y39 SLR_REGION_ID 0 TILEPROP INT_R_X41Y39 TILE_PATTERN_IDX 7598 TILEPROP INT_R_X41Y39 TILE_TYPE INT_R TILEPROP INT_R_X41Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y39 TILE_X 91150 TILEPROP INT_R_X41Y39 TILE_Y -113848 TILEPROP INT_R_X41Y39 TYPE INT_R TILEPROP INT_R_X41Y40 CLASS tile TILEPROP INT_R_X41Y40 COLUMN 103 TILEPROP INT_R_X41Y40 DEVICE_ID 0 TILEPROP INT_R_X41Y40 FIRST_SITE_ID 11487 TILEPROP INT_R_X41Y40 GRID_POINT_X 103 TILEPROP INT_R_X41Y40 GRID_POINT_Y 114 TILEPROP INT_R_X41Y40 INDEX 13213 TILEPROP INT_R_X41Y40 INT_TILE_X 41 TILEPROP INT_R_X41Y40 INT_TILE_Y 109 TILEPROP INT_R_X41Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y40 IS_DCM_TILE 0 TILEPROP INT_R_X41Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y40 NAME INT_R_X41Y40 TILEPROP INT_R_X41Y40 NUM_ARCS 3737 TILEPROP INT_R_X41Y40 NUM_SITES 1 TILEPROP INT_R_X41Y40 ROW 114 TILEPROP INT_R_X41Y40 SLR_REGION_ID 0 TILEPROP INT_R_X41Y40 TILE_PATTERN_IDX 7570 TILEPROP INT_R_X41Y40 TILE_TYPE INT_R TILEPROP INT_R_X41Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y40 TILE_X 91150 TILEPROP INT_R_X41Y40 TILE_Y -110648 TILEPROP INT_R_X41Y40 TYPE INT_R TILEPROP INT_R_X41Y41 CLASS tile TILEPROP INT_R_X41Y41 COLUMN 103 TILEPROP INT_R_X41Y41 DEVICE_ID 0 TILEPROP INT_R_X41Y41 FIRST_SITE_ID 11370 TILEPROP INT_R_X41Y41 GRID_POINT_X 103 TILEPROP INT_R_X41Y41 GRID_POINT_Y 113 TILEPROP INT_R_X41Y41 INDEX 13098 TILEPROP INT_R_X41Y41 INT_TILE_X 41 TILEPROP INT_R_X41Y41 INT_TILE_Y 108 TILEPROP INT_R_X41Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y41 IS_DCM_TILE 0 TILEPROP INT_R_X41Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y41 NAME INT_R_X41Y41 TILEPROP INT_R_X41Y41 NUM_ARCS 3737 TILEPROP INT_R_X41Y41 NUM_SITES 1 TILEPROP INT_R_X41Y41 ROW 113 TILEPROP INT_R_X41Y41 SLR_REGION_ID 0 TILEPROP INT_R_X41Y41 TILE_PATTERN_IDX 7540 TILEPROP INT_R_X41Y41 TILE_TYPE INT_R TILEPROP INT_R_X41Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y41 TILE_X 91150 TILEPROP INT_R_X41Y41 TILE_Y -107448 TILEPROP INT_R_X41Y41 TYPE INT_R TILEPROP INT_R_X41Y42 CLASS tile TILEPROP INT_R_X41Y42 COLUMN 103 TILEPROP INT_R_X41Y42 DEVICE_ID 0 TILEPROP INT_R_X41Y42 FIRST_SITE_ID 11268 TILEPROP INT_R_X41Y42 GRID_POINT_X 103 TILEPROP INT_R_X41Y42 GRID_POINT_Y 112 TILEPROP INT_R_X41Y42 INDEX 12983 TILEPROP INT_R_X41Y42 INT_TILE_X 41 TILEPROP INT_R_X41Y42 INT_TILE_Y 107 TILEPROP INT_R_X41Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y42 IS_DCM_TILE 0 TILEPROP INT_R_X41Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y42 NAME INT_R_X41Y42 TILEPROP INT_R_X41Y42 NUM_ARCS 3737 TILEPROP INT_R_X41Y42 NUM_SITES 1 TILEPROP INT_R_X41Y42 ROW 112 TILEPROP INT_R_X41Y42 SLR_REGION_ID 0 TILEPROP INT_R_X41Y42 TILE_PATTERN_IDX 7505 TILEPROP INT_R_X41Y42 TILE_TYPE INT_R TILEPROP INT_R_X41Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y42 TILE_X 91150 TILEPROP INT_R_X41Y42 TILE_Y -104248 TILEPROP INT_R_X41Y42 TYPE INT_R TILEPROP INT_R_X41Y43 CLASS tile TILEPROP INT_R_X41Y43 COLUMN 103 TILEPROP INT_R_X41Y43 DEVICE_ID 0 TILEPROP INT_R_X41Y43 FIRST_SITE_ID 11165 TILEPROP INT_R_X41Y43 GRID_POINT_X 103 TILEPROP INT_R_X41Y43 GRID_POINT_Y 111 TILEPROP INT_R_X41Y43 INDEX 12868 TILEPROP INT_R_X41Y43 INT_TILE_X 41 TILEPROP INT_R_X41Y43 INT_TILE_Y 106 TILEPROP INT_R_X41Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y43 IS_DCM_TILE 0 TILEPROP INT_R_X41Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y43 NAME INT_R_X41Y43 TILEPROP INT_R_X41Y43 NUM_ARCS 3737 TILEPROP INT_R_X41Y43 NUM_SITES 1 TILEPROP INT_R_X41Y43 ROW 111 TILEPROP INT_R_X41Y43 SLR_REGION_ID 0 TILEPROP INT_R_X41Y43 TILE_PATTERN_IDX 7476 TILEPROP INT_R_X41Y43 TILE_TYPE INT_R TILEPROP INT_R_X41Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y43 TILE_X 91150 TILEPROP INT_R_X41Y43 TILE_Y -101048 TILEPROP INT_R_X41Y43 TYPE INT_R TILEPROP INT_R_X41Y44 CLASS tile TILEPROP INT_R_X41Y44 COLUMN 103 TILEPROP INT_R_X41Y44 DEVICE_ID 0 TILEPROP INT_R_X41Y44 FIRST_SITE_ID 11063 TILEPROP INT_R_X41Y44 GRID_POINT_X 103 TILEPROP INT_R_X41Y44 GRID_POINT_Y 110 TILEPROP INT_R_X41Y44 INDEX 12753 TILEPROP INT_R_X41Y44 INT_TILE_X 41 TILEPROP INT_R_X41Y44 INT_TILE_Y 105 TILEPROP INT_R_X41Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y44 IS_DCM_TILE 0 TILEPROP INT_R_X41Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y44 NAME INT_R_X41Y44 TILEPROP INT_R_X41Y44 NUM_ARCS 3737 TILEPROP INT_R_X41Y44 NUM_SITES 1 TILEPROP INT_R_X41Y44 ROW 110 TILEPROP INT_R_X41Y44 SLR_REGION_ID 0 TILEPROP INT_R_X41Y44 TILE_PATTERN_IDX 7448 TILEPROP INT_R_X41Y44 TILE_TYPE INT_R TILEPROP INT_R_X41Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y44 TILE_X 91150 TILEPROP INT_R_X41Y44 TILE_Y -97848 TILEPROP INT_R_X41Y44 TYPE INT_R TILEPROP INT_R_X41Y45 CLASS tile TILEPROP INT_R_X41Y45 COLUMN 103 TILEPROP INT_R_X41Y45 DEVICE_ID 0 TILEPROP INT_R_X41Y45 FIRST_SITE_ID 10963 TILEPROP INT_R_X41Y45 GRID_POINT_X 103 TILEPROP INT_R_X41Y45 GRID_POINT_Y 109 TILEPROP INT_R_X41Y45 INDEX 12638 TILEPROP INT_R_X41Y45 INT_TILE_X 41 TILEPROP INT_R_X41Y45 INT_TILE_Y 104 TILEPROP INT_R_X41Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y45 IS_DCM_TILE 0 TILEPROP INT_R_X41Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y45 NAME INT_R_X41Y45 TILEPROP INT_R_X41Y45 NUM_ARCS 3737 TILEPROP INT_R_X41Y45 NUM_SITES 1 TILEPROP INT_R_X41Y45 ROW 109 TILEPROP INT_R_X41Y45 SLR_REGION_ID 0 TILEPROP INT_R_X41Y45 TILE_PATTERN_IDX 7419 TILEPROP INT_R_X41Y45 TILE_TYPE INT_R TILEPROP INT_R_X41Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y45 TILE_X 91150 TILEPROP INT_R_X41Y45 TILE_Y -94648 TILEPROP INT_R_X41Y45 TYPE INT_R TILEPROP INT_R_X41Y46 CLASS tile TILEPROP INT_R_X41Y46 COLUMN 103 TILEPROP INT_R_X41Y46 DEVICE_ID 0 TILEPROP INT_R_X41Y46 FIRST_SITE_ID 10847 TILEPROP INT_R_X41Y46 GRID_POINT_X 103 TILEPROP INT_R_X41Y46 GRID_POINT_Y 108 TILEPROP INT_R_X41Y46 INDEX 12523 TILEPROP INT_R_X41Y46 INT_TILE_X 41 TILEPROP INT_R_X41Y46 INT_TILE_Y 103 TILEPROP INT_R_X41Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y46 IS_DCM_TILE 0 TILEPROP INT_R_X41Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y46 NAME INT_R_X41Y46 TILEPROP INT_R_X41Y46 NUM_ARCS 3737 TILEPROP INT_R_X41Y46 NUM_SITES 1 TILEPROP INT_R_X41Y46 ROW 108 TILEPROP INT_R_X41Y46 SLR_REGION_ID 0 TILEPROP INT_R_X41Y46 TILE_PATTERN_IDX 7384 TILEPROP INT_R_X41Y46 TILE_TYPE INT_R TILEPROP INT_R_X41Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y46 TILE_X 91150 TILEPROP INT_R_X41Y46 TILE_Y -91448 TILEPROP INT_R_X41Y46 TYPE INT_R TILEPROP INT_R_X41Y47 CLASS tile TILEPROP INT_R_X41Y47 COLUMN 103 TILEPROP INT_R_X41Y47 DEVICE_ID 0 TILEPROP INT_R_X41Y47 FIRST_SITE_ID 10731 TILEPROP INT_R_X41Y47 GRID_POINT_X 103 TILEPROP INT_R_X41Y47 GRID_POINT_Y 107 TILEPROP INT_R_X41Y47 INDEX 12408 TILEPROP INT_R_X41Y47 INT_TILE_X 41 TILEPROP INT_R_X41Y47 INT_TILE_Y 102 TILEPROP INT_R_X41Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y47 IS_DCM_TILE 0 TILEPROP INT_R_X41Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y47 NAME INT_R_X41Y47 TILEPROP INT_R_X41Y47 NUM_ARCS 3737 TILEPROP INT_R_X41Y47 NUM_SITES 1 TILEPROP INT_R_X41Y47 ROW 107 TILEPROP INT_R_X41Y47 SLR_REGION_ID 0 TILEPROP INT_R_X41Y47 TILE_PATTERN_IDX 7347 TILEPROP INT_R_X41Y47 TILE_TYPE INT_R TILEPROP INT_R_X41Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y47 TILE_X 91150 TILEPROP INT_R_X41Y47 TILE_Y -88248 TILEPROP INT_R_X41Y47 TYPE INT_R TILEPROP INT_R_X41Y48 CLASS tile TILEPROP INT_R_X41Y48 COLUMN 103 TILEPROP INT_R_X41Y48 DEVICE_ID 0 TILEPROP INT_R_X41Y48 FIRST_SITE_ID 10631 TILEPROP INT_R_X41Y48 GRID_POINT_X 103 TILEPROP INT_R_X41Y48 GRID_POINT_Y 106 TILEPROP INT_R_X41Y48 INDEX 12293 TILEPROP INT_R_X41Y48 INT_TILE_X 41 TILEPROP INT_R_X41Y48 INT_TILE_Y 101 TILEPROP INT_R_X41Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y48 IS_DCM_TILE 0 TILEPROP INT_R_X41Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y48 NAME INT_R_X41Y48 TILEPROP INT_R_X41Y48 NUM_ARCS 3737 TILEPROP INT_R_X41Y48 NUM_SITES 1 TILEPROP INT_R_X41Y48 ROW 106 TILEPROP INT_R_X41Y48 SLR_REGION_ID 0 TILEPROP INT_R_X41Y48 TILE_PATTERN_IDX 7311 TILEPROP INT_R_X41Y48 TILE_TYPE INT_R TILEPROP INT_R_X41Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y48 TILE_X 91150 TILEPROP INT_R_X41Y48 TILE_Y -85048 TILEPROP INT_R_X41Y48 TYPE INT_R TILEPROP INT_R_X41Y49 CLASS tile TILEPROP INT_R_X41Y49 COLUMN 103 TILEPROP INT_R_X41Y49 DEVICE_ID 0 TILEPROP INT_R_X41Y49 FIRST_SITE_ID 10535 TILEPROP INT_R_X41Y49 GRID_POINT_X 103 TILEPROP INT_R_X41Y49 GRID_POINT_Y 105 TILEPROP INT_R_X41Y49 INDEX 12178 TILEPROP INT_R_X41Y49 INT_TILE_X 41 TILEPROP INT_R_X41Y49 INT_TILE_Y 100 TILEPROP INT_R_X41Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y49 IS_DCM_TILE 0 TILEPROP INT_R_X41Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y49 NAME INT_R_X41Y49 TILEPROP INT_R_X41Y49 NUM_ARCS 3737 TILEPROP INT_R_X41Y49 NUM_SITES 1 TILEPROP INT_R_X41Y49 ROW 105 TILEPROP INT_R_X41Y49 SLR_REGION_ID 0 TILEPROP INT_R_X41Y49 TILE_PATTERN_IDX 7275 TILEPROP INT_R_X41Y49 TILE_TYPE INT_R TILEPROP INT_R_X41Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y49 TILE_X 91150 TILEPROP INT_R_X41Y49 TILE_Y -81848 TILEPROP INT_R_X41Y49 TYPE INT_R TILEPROP INT_R_X41Y50 CLASS tile TILEPROP INT_R_X41Y50 COLUMN 103 TILEPROP INT_R_X41Y50 DEVICE_ID 0 TILEPROP INT_R_X41Y50 FIRST_SITE_ID 10435 TILEPROP INT_R_X41Y50 GRID_POINT_X 103 TILEPROP INT_R_X41Y50 GRID_POINT_Y 103 TILEPROP INT_R_X41Y50 INDEX 11948 TILEPROP INT_R_X41Y50 INT_TILE_X 41 TILEPROP INT_R_X41Y50 INT_TILE_Y 99 TILEPROP INT_R_X41Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y50 IS_DCM_TILE 0 TILEPROP INT_R_X41Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y50 NAME INT_R_X41Y50 TILEPROP INT_R_X41Y50 NUM_ARCS 3737 TILEPROP INT_R_X41Y50 NUM_SITES 1 TILEPROP INT_R_X41Y50 ROW 103 TILEPROP INT_R_X41Y50 SLR_REGION_ID 0 TILEPROP INT_R_X41Y50 TILE_PATTERN_IDX 7239 TILEPROP INT_R_X41Y50 TILE_TYPE INT_R TILEPROP INT_R_X41Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y50 TILE_X 91150 TILEPROP INT_R_X41Y50 TILE_Y -78400 TILEPROP INT_R_X41Y50 TYPE INT_R TILEPROP INT_R_X41Y51 CLASS tile TILEPROP INT_R_X41Y51 COLUMN 103 TILEPROP INT_R_X41Y51 DEVICE_ID 0 TILEPROP INT_R_X41Y51 FIRST_SITE_ID 10300 TILEPROP INT_R_X41Y51 GRID_POINT_X 103 TILEPROP INT_R_X41Y51 GRID_POINT_Y 102 TILEPROP INT_R_X41Y51 INDEX 11833 TILEPROP INT_R_X41Y51 INT_TILE_X 41 TILEPROP INT_R_X41Y51 INT_TILE_Y 98 TILEPROP INT_R_X41Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y51 IS_DCM_TILE 0 TILEPROP INT_R_X41Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y51 NAME INT_R_X41Y51 TILEPROP INT_R_X41Y51 NUM_ARCS 3737 TILEPROP INT_R_X41Y51 NUM_SITES 1 TILEPROP INT_R_X41Y51 ROW 102 TILEPROP INT_R_X41Y51 SLR_REGION_ID 0 TILEPROP INT_R_X41Y51 TILE_PATTERN_IDX 7201 TILEPROP INT_R_X41Y51 TILE_TYPE INT_R TILEPROP INT_R_X41Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y51 TILE_X 91150 TILEPROP INT_R_X41Y51 TILE_Y -75200 TILEPROP INT_R_X41Y51 TYPE INT_R TILEPROP INT_R_X41Y52 CLASS tile TILEPROP INT_R_X41Y52 COLUMN 103 TILEPROP INT_R_X41Y52 DEVICE_ID 0 TILEPROP INT_R_X41Y52 FIRST_SITE_ID 10200 TILEPROP INT_R_X41Y52 GRID_POINT_X 103 TILEPROP INT_R_X41Y52 GRID_POINT_Y 101 TILEPROP INT_R_X41Y52 INDEX 11718 TILEPROP INT_R_X41Y52 INT_TILE_X 41 TILEPROP INT_R_X41Y52 INT_TILE_Y 97 TILEPROP INT_R_X41Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y52 IS_DCM_TILE 0 TILEPROP INT_R_X41Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y52 NAME INT_R_X41Y52 TILEPROP INT_R_X41Y52 NUM_ARCS 3737 TILEPROP INT_R_X41Y52 NUM_SITES 1 TILEPROP INT_R_X41Y52 ROW 101 TILEPROP INT_R_X41Y52 SLR_REGION_ID 0 TILEPROP INT_R_X41Y52 TILE_PATTERN_IDX 7164 TILEPROP INT_R_X41Y52 TILE_TYPE INT_R TILEPROP INT_R_X41Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y52 TILE_X 91150 TILEPROP INT_R_X41Y52 TILE_Y -72000 TILEPROP INT_R_X41Y52 TYPE INT_R TILEPROP INT_R_X41Y53 CLASS tile TILEPROP INT_R_X41Y53 COLUMN 103 TILEPROP INT_R_X41Y53 DEVICE_ID 0 TILEPROP INT_R_X41Y53 FIRST_SITE_ID 10100 TILEPROP INT_R_X41Y53 GRID_POINT_X 103 TILEPROP INT_R_X41Y53 GRID_POINT_Y 100 TILEPROP INT_R_X41Y53 INDEX 11603 TILEPROP INT_R_X41Y53 INT_TILE_X 41 TILEPROP INT_R_X41Y53 INT_TILE_Y 96 TILEPROP INT_R_X41Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y53 IS_DCM_TILE 0 TILEPROP INT_R_X41Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y53 NAME INT_R_X41Y53 TILEPROP INT_R_X41Y53 NUM_ARCS 3737 TILEPROP INT_R_X41Y53 NUM_SITES 1 TILEPROP INT_R_X41Y53 ROW 100 TILEPROP INT_R_X41Y53 SLR_REGION_ID 0 TILEPROP INT_R_X41Y53 TILE_PATTERN_IDX 7127 TILEPROP INT_R_X41Y53 TILE_TYPE INT_R TILEPROP INT_R_X41Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y53 TILE_X 91150 TILEPROP INT_R_X41Y53 TILE_Y -68800 TILEPROP INT_R_X41Y53 TYPE INT_R TILEPROP INT_R_X41Y54 CLASS tile TILEPROP INT_R_X41Y54 COLUMN 103 TILEPROP INT_R_X41Y54 DEVICE_ID 0 TILEPROP INT_R_X41Y54 FIRST_SITE_ID 10000 TILEPROP INT_R_X41Y54 GRID_POINT_X 103 TILEPROP INT_R_X41Y54 GRID_POINT_Y 99 TILEPROP INT_R_X41Y54 INDEX 11488 TILEPROP INT_R_X41Y54 INT_TILE_X 41 TILEPROP INT_R_X41Y54 INT_TILE_Y 95 TILEPROP INT_R_X41Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y54 IS_DCM_TILE 0 TILEPROP INT_R_X41Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y54 NAME INT_R_X41Y54 TILEPROP INT_R_X41Y54 NUM_ARCS 3737 TILEPROP INT_R_X41Y54 NUM_SITES 1 TILEPROP INT_R_X41Y54 ROW 99 TILEPROP INT_R_X41Y54 SLR_REGION_ID 0 TILEPROP INT_R_X41Y54 TILE_PATTERN_IDX 7090 TILEPROP INT_R_X41Y54 TILE_TYPE INT_R TILEPROP INT_R_X41Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y54 TILE_X 91150 TILEPROP INT_R_X41Y54 TILE_Y -65600 TILEPROP INT_R_X41Y54 TYPE INT_R TILEPROP INT_R_X41Y55 CLASS tile TILEPROP INT_R_X41Y55 COLUMN 103 TILEPROP INT_R_X41Y55 DEVICE_ID 0 TILEPROP INT_R_X41Y55 FIRST_SITE_ID 9900 TILEPROP INT_R_X41Y55 GRID_POINT_X 103 TILEPROP INT_R_X41Y55 GRID_POINT_Y 98 TILEPROP INT_R_X41Y55 INDEX 11373 TILEPROP INT_R_X41Y55 INT_TILE_X 41 TILEPROP INT_R_X41Y55 INT_TILE_Y 94 TILEPROP INT_R_X41Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y55 IS_DCM_TILE 0 TILEPROP INT_R_X41Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y55 NAME INT_R_X41Y55 TILEPROP INT_R_X41Y55 NUM_ARCS 3737 TILEPROP INT_R_X41Y55 NUM_SITES 1 TILEPROP INT_R_X41Y55 ROW 98 TILEPROP INT_R_X41Y55 SLR_REGION_ID 0 TILEPROP INT_R_X41Y55 TILE_PATTERN_IDX 7053 TILEPROP INT_R_X41Y55 TILE_TYPE INT_R TILEPROP INT_R_X41Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y55 TILE_X 91150 TILEPROP INT_R_X41Y55 TILE_Y -62400 TILEPROP INT_R_X41Y55 TYPE INT_R TILEPROP INT_R_X41Y56 CLASS tile TILEPROP INT_R_X41Y56 COLUMN 103 TILEPROP INT_R_X41Y56 DEVICE_ID 0 TILEPROP INT_R_X41Y56 FIRST_SITE_ID 9785 TILEPROP INT_R_X41Y56 GRID_POINT_X 103 TILEPROP INT_R_X41Y56 GRID_POINT_Y 97 TILEPROP INT_R_X41Y56 INDEX 11258 TILEPROP INT_R_X41Y56 INT_TILE_X 41 TILEPROP INT_R_X41Y56 INT_TILE_Y 93 TILEPROP INT_R_X41Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y56 IS_DCM_TILE 0 TILEPROP INT_R_X41Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y56 NAME INT_R_X41Y56 TILEPROP INT_R_X41Y56 NUM_ARCS 3737 TILEPROP INT_R_X41Y56 NUM_SITES 1 TILEPROP INT_R_X41Y56 ROW 97 TILEPROP INT_R_X41Y56 SLR_REGION_ID 0 TILEPROP INT_R_X41Y56 TILE_PATTERN_IDX 7015 TILEPROP INT_R_X41Y56 TILE_TYPE INT_R TILEPROP INT_R_X41Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y56 TILE_X 91150 TILEPROP INT_R_X41Y56 TILE_Y -59200 TILEPROP INT_R_X41Y56 TYPE INT_R TILEPROP INT_R_X41Y57 CLASS tile TILEPROP INT_R_X41Y57 COLUMN 103 TILEPROP INT_R_X41Y57 DEVICE_ID 0 TILEPROP INT_R_X41Y57 FIRST_SITE_ID 9683 TILEPROP INT_R_X41Y57 GRID_POINT_X 103 TILEPROP INT_R_X41Y57 GRID_POINT_Y 96 TILEPROP INT_R_X41Y57 INDEX 11143 TILEPROP INT_R_X41Y57 INT_TILE_X 41 TILEPROP INT_R_X41Y57 INT_TILE_Y 92 TILEPROP INT_R_X41Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y57 IS_DCM_TILE 0 TILEPROP INT_R_X41Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y57 NAME INT_R_X41Y57 TILEPROP INT_R_X41Y57 NUM_ARCS 3737 TILEPROP INT_R_X41Y57 NUM_SITES 1 TILEPROP INT_R_X41Y57 ROW 96 TILEPROP INT_R_X41Y57 SLR_REGION_ID 0 TILEPROP INT_R_X41Y57 TILE_PATTERN_IDX 6978 TILEPROP INT_R_X41Y57 TILE_TYPE INT_R TILEPROP INT_R_X41Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y57 TILE_X 91150 TILEPROP INT_R_X41Y57 TILE_Y -56000 TILEPROP INT_R_X41Y57 TYPE INT_R TILEPROP INT_R_X41Y58 CLASS tile TILEPROP INT_R_X41Y58 COLUMN 103 TILEPROP INT_R_X41Y58 DEVICE_ID 0 TILEPROP INT_R_X41Y58 FIRST_SITE_ID 9580 TILEPROP INT_R_X41Y58 GRID_POINT_X 103 TILEPROP INT_R_X41Y58 GRID_POINT_Y 95 TILEPROP INT_R_X41Y58 INDEX 11028 TILEPROP INT_R_X41Y58 INT_TILE_X 41 TILEPROP INT_R_X41Y58 INT_TILE_Y 91 TILEPROP INT_R_X41Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y58 IS_DCM_TILE 0 TILEPROP INT_R_X41Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y58 NAME INT_R_X41Y58 TILEPROP INT_R_X41Y58 NUM_ARCS 3737 TILEPROP INT_R_X41Y58 NUM_SITES 1 TILEPROP INT_R_X41Y58 ROW 95 TILEPROP INT_R_X41Y58 SLR_REGION_ID 0 TILEPROP INT_R_X41Y58 TILE_PATTERN_IDX 6940 TILEPROP INT_R_X41Y58 TILE_TYPE INT_R TILEPROP INT_R_X41Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y58 TILE_X 91150 TILEPROP INT_R_X41Y58 TILE_Y -52800 TILEPROP INT_R_X41Y58 TYPE INT_R TILEPROP INT_R_X41Y59 CLASS tile TILEPROP INT_R_X41Y59 COLUMN 103 TILEPROP INT_R_X41Y59 DEVICE_ID 0 TILEPROP INT_R_X41Y59 FIRST_SITE_ID 9479 TILEPROP INT_R_X41Y59 GRID_POINT_X 103 TILEPROP INT_R_X41Y59 GRID_POINT_Y 94 TILEPROP INT_R_X41Y59 INDEX 10913 TILEPROP INT_R_X41Y59 INT_TILE_X 41 TILEPROP INT_R_X41Y59 INT_TILE_Y 90 TILEPROP INT_R_X41Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y59 IS_DCM_TILE 0 TILEPROP INT_R_X41Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y59 NAME INT_R_X41Y59 TILEPROP INT_R_X41Y59 NUM_ARCS 3737 TILEPROP INT_R_X41Y59 NUM_SITES 1 TILEPROP INT_R_X41Y59 ROW 94 TILEPROP INT_R_X41Y59 SLR_REGION_ID 0 TILEPROP INT_R_X41Y59 TILE_PATTERN_IDX 6903 TILEPROP INT_R_X41Y59 TILE_TYPE INT_R TILEPROP INT_R_X41Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y59 TILE_X 91150 TILEPROP INT_R_X41Y59 TILE_Y -49600 TILEPROP INT_R_X41Y59 TYPE INT_R TILEPROP INT_R_X41Y60 CLASS tile TILEPROP INT_R_X41Y60 COLUMN 103 TILEPROP INT_R_X41Y60 DEVICE_ID 0 TILEPROP INT_R_X41Y60 FIRST_SITE_ID 9379 TILEPROP INT_R_X41Y60 GRID_POINT_X 103 TILEPROP INT_R_X41Y60 GRID_POINT_Y 93 TILEPROP INT_R_X41Y60 INDEX 10798 TILEPROP INT_R_X41Y60 INT_TILE_X 41 TILEPROP INT_R_X41Y60 INT_TILE_Y 89 TILEPROP INT_R_X41Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y60 IS_DCM_TILE 0 TILEPROP INT_R_X41Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y60 NAME INT_R_X41Y60 TILEPROP INT_R_X41Y60 NUM_ARCS 3737 TILEPROP INT_R_X41Y60 NUM_SITES 1 TILEPROP INT_R_X41Y60 ROW 93 TILEPROP INT_R_X41Y60 SLR_REGION_ID 0 TILEPROP INT_R_X41Y60 TILE_PATTERN_IDX 6866 TILEPROP INT_R_X41Y60 TILE_TYPE INT_R TILEPROP INT_R_X41Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y60 TILE_X 91150 TILEPROP INT_R_X41Y60 TILE_Y -46400 TILEPROP INT_R_X41Y60 TYPE INT_R TILEPROP INT_R_X41Y61 CLASS tile TILEPROP INT_R_X41Y61 COLUMN 103 TILEPROP INT_R_X41Y61 DEVICE_ID 0 TILEPROP INT_R_X41Y61 FIRST_SITE_ID 9264 TILEPROP INT_R_X41Y61 GRID_POINT_X 103 TILEPROP INT_R_X41Y61 GRID_POINT_Y 92 TILEPROP INT_R_X41Y61 INDEX 10683 TILEPROP INT_R_X41Y61 INT_TILE_X 41 TILEPROP INT_R_X41Y61 INT_TILE_Y 88 TILEPROP INT_R_X41Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y61 IS_DCM_TILE 0 TILEPROP INT_R_X41Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y61 NAME INT_R_X41Y61 TILEPROP INT_R_X41Y61 NUM_ARCS 3737 TILEPROP INT_R_X41Y61 NUM_SITES 1 TILEPROP INT_R_X41Y61 ROW 92 TILEPROP INT_R_X41Y61 SLR_REGION_ID 0 TILEPROP INT_R_X41Y61 TILE_PATTERN_IDX 6829 TILEPROP INT_R_X41Y61 TILE_TYPE INT_R TILEPROP INT_R_X41Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y61 TILE_X 91150 TILEPROP INT_R_X41Y61 TILE_Y -43200 TILEPROP INT_R_X41Y61 TYPE INT_R TILEPROP INT_R_X41Y62 CLASS tile TILEPROP INT_R_X41Y62 COLUMN 103 TILEPROP INT_R_X41Y62 DEVICE_ID 0 TILEPROP INT_R_X41Y62 FIRST_SITE_ID 9164 TILEPROP INT_R_X41Y62 GRID_POINT_X 103 TILEPROP INT_R_X41Y62 GRID_POINT_Y 91 TILEPROP INT_R_X41Y62 INDEX 10568 TILEPROP INT_R_X41Y62 INT_TILE_X 41 TILEPROP INT_R_X41Y62 INT_TILE_Y 87 TILEPROP INT_R_X41Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y62 IS_DCM_TILE 0 TILEPROP INT_R_X41Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y62 NAME INT_R_X41Y62 TILEPROP INT_R_X41Y62 NUM_ARCS 3737 TILEPROP INT_R_X41Y62 NUM_SITES 1 TILEPROP INT_R_X41Y62 ROW 91 TILEPROP INT_R_X41Y62 SLR_REGION_ID 0 TILEPROP INT_R_X41Y62 TILE_PATTERN_IDX 6792 TILEPROP INT_R_X41Y62 TILE_TYPE INT_R TILEPROP INT_R_X41Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y62 TILE_X 91150 TILEPROP INT_R_X41Y62 TILE_Y -40000 TILEPROP INT_R_X41Y62 TYPE INT_R TILEPROP INT_R_X41Y63 CLASS tile TILEPROP INT_R_X41Y63 COLUMN 103 TILEPROP INT_R_X41Y63 DEVICE_ID 0 TILEPROP INT_R_X41Y63 FIRST_SITE_ID 9032 TILEPROP INT_R_X41Y63 GRID_POINT_X 103 TILEPROP INT_R_X41Y63 GRID_POINT_Y 90 TILEPROP INT_R_X41Y63 INDEX 10453 TILEPROP INT_R_X41Y63 INT_TILE_X 41 TILEPROP INT_R_X41Y63 INT_TILE_Y 86 TILEPROP INT_R_X41Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y63 IS_DCM_TILE 0 TILEPROP INT_R_X41Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y63 NAME INT_R_X41Y63 TILEPROP INT_R_X41Y63 NUM_ARCS 3737 TILEPROP INT_R_X41Y63 NUM_SITES 1 TILEPROP INT_R_X41Y63 ROW 90 TILEPROP INT_R_X41Y63 SLR_REGION_ID 0 TILEPROP INT_R_X41Y63 TILE_PATTERN_IDX 6755 TILEPROP INT_R_X41Y63 TILE_TYPE INT_R TILEPROP INT_R_X41Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y63 TILE_X 91150 TILEPROP INT_R_X41Y63 TILE_Y -36800 TILEPROP INT_R_X41Y63 TYPE INT_R TILEPROP INT_R_X41Y64 CLASS tile TILEPROP INT_R_X41Y64 COLUMN 103 TILEPROP INT_R_X41Y64 DEVICE_ID 0 TILEPROP INT_R_X41Y64 FIRST_SITE_ID 8932 TILEPROP INT_R_X41Y64 GRID_POINT_X 103 TILEPROP INT_R_X41Y64 GRID_POINT_Y 89 TILEPROP INT_R_X41Y64 INDEX 10338 TILEPROP INT_R_X41Y64 INT_TILE_X 41 TILEPROP INT_R_X41Y64 INT_TILE_Y 85 TILEPROP INT_R_X41Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y64 IS_DCM_TILE 0 TILEPROP INT_R_X41Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y64 NAME INT_R_X41Y64 TILEPROP INT_R_X41Y64 NUM_ARCS 3737 TILEPROP INT_R_X41Y64 NUM_SITES 1 TILEPROP INT_R_X41Y64 ROW 89 TILEPROP INT_R_X41Y64 SLR_REGION_ID 0 TILEPROP INT_R_X41Y64 TILE_PATTERN_IDX 6718 TILEPROP INT_R_X41Y64 TILE_TYPE INT_R TILEPROP INT_R_X41Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y64 TILE_X 91150 TILEPROP INT_R_X41Y64 TILE_Y -33600 TILEPROP INT_R_X41Y64 TYPE INT_R TILEPROP INT_R_X41Y65 CLASS tile TILEPROP INT_R_X41Y65 COLUMN 103 TILEPROP INT_R_X41Y65 DEVICE_ID 0 TILEPROP INT_R_X41Y65 FIRST_SITE_ID 8832 TILEPROP INT_R_X41Y65 GRID_POINT_X 103 TILEPROP INT_R_X41Y65 GRID_POINT_Y 88 TILEPROP INT_R_X41Y65 INDEX 10223 TILEPROP INT_R_X41Y65 INT_TILE_X 41 TILEPROP INT_R_X41Y65 INT_TILE_Y 84 TILEPROP INT_R_X41Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y65 IS_DCM_TILE 0 TILEPROP INT_R_X41Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y65 NAME INT_R_X41Y65 TILEPROP INT_R_X41Y65 NUM_ARCS 3737 TILEPROP INT_R_X41Y65 NUM_SITES 1 TILEPROP INT_R_X41Y65 ROW 88 TILEPROP INT_R_X41Y65 SLR_REGION_ID 0 TILEPROP INT_R_X41Y65 TILE_PATTERN_IDX 6681 TILEPROP INT_R_X41Y65 TILE_TYPE INT_R TILEPROP INT_R_X41Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y65 TILE_X 91150 TILEPROP INT_R_X41Y65 TILE_Y -30400 TILEPROP INT_R_X41Y65 TYPE INT_R TILEPROP INT_R_X41Y66 CLASS tile TILEPROP INT_R_X41Y66 COLUMN 103 TILEPROP INT_R_X41Y66 DEVICE_ID 0 TILEPROP INT_R_X41Y66 FIRST_SITE_ID 8717 TILEPROP INT_R_X41Y66 GRID_POINT_X 103 TILEPROP INT_R_X41Y66 GRID_POINT_Y 87 TILEPROP INT_R_X41Y66 INDEX 10108 TILEPROP INT_R_X41Y66 INT_TILE_X 41 TILEPROP INT_R_X41Y66 INT_TILE_Y 83 TILEPROP INT_R_X41Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y66 IS_DCM_TILE 0 TILEPROP INT_R_X41Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y66 NAME INT_R_X41Y66 TILEPROP INT_R_X41Y66 NUM_ARCS 3737 TILEPROP INT_R_X41Y66 NUM_SITES 1 TILEPROP INT_R_X41Y66 ROW 87 TILEPROP INT_R_X41Y66 SLR_REGION_ID 0 TILEPROP INT_R_X41Y66 TILE_PATTERN_IDX 6644 TILEPROP INT_R_X41Y66 TILE_TYPE INT_R TILEPROP INT_R_X41Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y66 TILE_X 91150 TILEPROP INT_R_X41Y66 TILE_Y -27200 TILEPROP INT_R_X41Y66 TYPE INT_R TILEPROP INT_R_X41Y67 CLASS tile TILEPROP INT_R_X41Y67 COLUMN 103 TILEPROP INT_R_X41Y67 DEVICE_ID 0 TILEPROP INT_R_X41Y67 FIRST_SITE_ID 8613 TILEPROP INT_R_X41Y67 GRID_POINT_X 103 TILEPROP INT_R_X41Y67 GRID_POINT_Y 86 TILEPROP INT_R_X41Y67 INDEX 9993 TILEPROP INT_R_X41Y67 INT_TILE_X 41 TILEPROP INT_R_X41Y67 INT_TILE_Y 82 TILEPROP INT_R_X41Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y67 IS_DCM_TILE 0 TILEPROP INT_R_X41Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y67 NAME INT_R_X41Y67 TILEPROP INT_R_X41Y67 NUM_ARCS 3737 TILEPROP INT_R_X41Y67 NUM_SITES 1 TILEPROP INT_R_X41Y67 ROW 86 TILEPROP INT_R_X41Y67 SLR_REGION_ID 0 TILEPROP INT_R_X41Y67 TILE_PATTERN_IDX 6606 TILEPROP INT_R_X41Y67 TILE_TYPE INT_R TILEPROP INT_R_X41Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y67 TILE_X 91150 TILEPROP INT_R_X41Y67 TILE_Y -24000 TILEPROP INT_R_X41Y67 TYPE INT_R TILEPROP INT_R_X41Y68 CLASS tile TILEPROP INT_R_X41Y68 COLUMN 103 TILEPROP INT_R_X41Y68 DEVICE_ID 0 TILEPROP INT_R_X41Y68 FIRST_SITE_ID 8509 TILEPROP INT_R_X41Y68 GRID_POINT_X 103 TILEPROP INT_R_X41Y68 GRID_POINT_Y 85 TILEPROP INT_R_X41Y68 INDEX 9878 TILEPROP INT_R_X41Y68 INT_TILE_X 41 TILEPROP INT_R_X41Y68 INT_TILE_Y 81 TILEPROP INT_R_X41Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y68 IS_DCM_TILE 0 TILEPROP INT_R_X41Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y68 NAME INT_R_X41Y68 TILEPROP INT_R_X41Y68 NUM_ARCS 3737 TILEPROP INT_R_X41Y68 NUM_SITES 1 TILEPROP INT_R_X41Y68 ROW 85 TILEPROP INT_R_X41Y68 SLR_REGION_ID 0 TILEPROP INT_R_X41Y68 TILE_PATTERN_IDX 2869 TILEPROP INT_R_X41Y68 TILE_TYPE INT_R TILEPROP INT_R_X41Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y68 TILE_X 91150 TILEPROP INT_R_X41Y68 TILE_Y -20800 TILEPROP INT_R_X41Y68 TYPE INT_R TILEPROP INT_R_X41Y69 CLASS tile TILEPROP INT_R_X41Y69 COLUMN 103 TILEPROP INT_R_X41Y69 DEVICE_ID 0 TILEPROP INT_R_X41Y69 FIRST_SITE_ID 8407 TILEPROP INT_R_X41Y69 GRID_POINT_X 103 TILEPROP INT_R_X41Y69 GRID_POINT_Y 84 TILEPROP INT_R_X41Y69 INDEX 9763 TILEPROP INT_R_X41Y69 INT_TILE_X 41 TILEPROP INT_R_X41Y69 INT_TILE_Y 80 TILEPROP INT_R_X41Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y69 IS_DCM_TILE 0 TILEPROP INT_R_X41Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y69 NAME INT_R_X41Y69 TILEPROP INT_R_X41Y69 NUM_ARCS 3737 TILEPROP INT_R_X41Y69 NUM_SITES 1 TILEPROP INT_R_X41Y69 ROW 84 TILEPROP INT_R_X41Y69 SLR_REGION_ID 0 TILEPROP INT_R_X41Y69 TILE_PATTERN_IDX 2832 TILEPROP INT_R_X41Y69 TILE_TYPE INT_R TILEPROP INT_R_X41Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y69 TILE_X 91150 TILEPROP INT_R_X41Y69 TILE_Y -17600 TILEPROP INT_R_X41Y69 TYPE INT_R TILEPROP INT_R_X41Y70 CLASS tile TILEPROP INT_R_X41Y70 COLUMN 103 TILEPROP INT_R_X41Y70 DEVICE_ID 0 TILEPROP INT_R_X41Y70 FIRST_SITE_ID 8305 TILEPROP INT_R_X41Y70 GRID_POINT_X 103 TILEPROP INT_R_X41Y70 GRID_POINT_Y 83 TILEPROP INT_R_X41Y70 INDEX 9648 TILEPROP INT_R_X41Y70 INT_TILE_X 41 TILEPROP INT_R_X41Y70 INT_TILE_Y 79 TILEPROP INT_R_X41Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y70 IS_DCM_TILE 0 TILEPROP INT_R_X41Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y70 NAME INT_R_X41Y70 TILEPROP INT_R_X41Y70 NUM_ARCS 3737 TILEPROP INT_R_X41Y70 NUM_SITES 1 TILEPROP INT_R_X41Y70 ROW 83 TILEPROP INT_R_X41Y70 SLR_REGION_ID 0 TILEPROP INT_R_X41Y70 TILE_PATTERN_IDX 2797 TILEPROP INT_R_X41Y70 TILE_TYPE INT_R TILEPROP INT_R_X41Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y70 TILE_X 91150 TILEPROP INT_R_X41Y70 TILE_Y -14400 TILEPROP INT_R_X41Y70 TYPE INT_R TILEPROP INT_R_X41Y71 CLASS tile TILEPROP INT_R_X41Y71 COLUMN 103 TILEPROP INT_R_X41Y71 DEVICE_ID 0 TILEPROP INT_R_X41Y71 FIRST_SITE_ID 8190 TILEPROP INT_R_X41Y71 GRID_POINT_X 103 TILEPROP INT_R_X41Y71 GRID_POINT_Y 82 TILEPROP INT_R_X41Y71 INDEX 9533 TILEPROP INT_R_X41Y71 INT_TILE_X 41 TILEPROP INT_R_X41Y71 INT_TILE_Y 78 TILEPROP INT_R_X41Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y71 IS_DCM_TILE 0 TILEPROP INT_R_X41Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y71 NAME INT_R_X41Y71 TILEPROP INT_R_X41Y71 NUM_ARCS 3737 TILEPROP INT_R_X41Y71 NUM_SITES 1 TILEPROP INT_R_X41Y71 ROW 82 TILEPROP INT_R_X41Y71 SLR_REGION_ID 0 TILEPROP INT_R_X41Y71 TILE_PATTERN_IDX 2760 TILEPROP INT_R_X41Y71 TILE_TYPE INT_R TILEPROP INT_R_X41Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y71 TILE_X 91150 TILEPROP INT_R_X41Y71 TILE_Y -11200 TILEPROP INT_R_X41Y71 TYPE INT_R TILEPROP INT_R_X41Y72 CLASS tile TILEPROP INT_R_X41Y72 COLUMN 103 TILEPROP INT_R_X41Y72 DEVICE_ID 0 TILEPROP INT_R_X41Y72 FIRST_SITE_ID 8090 TILEPROP INT_R_X41Y72 GRID_POINT_X 103 TILEPROP INT_R_X41Y72 GRID_POINT_Y 81 TILEPROP INT_R_X41Y72 INDEX 9418 TILEPROP INT_R_X41Y72 INT_TILE_X 41 TILEPROP INT_R_X41Y72 INT_TILE_Y 77 TILEPROP INT_R_X41Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y72 IS_DCM_TILE 0 TILEPROP INT_R_X41Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y72 NAME INT_R_X41Y72 TILEPROP INT_R_X41Y72 NUM_ARCS 3737 TILEPROP INT_R_X41Y72 NUM_SITES 1 TILEPROP INT_R_X41Y72 ROW 81 TILEPROP INT_R_X41Y72 SLR_REGION_ID 0 TILEPROP INT_R_X41Y72 TILE_PATTERN_IDX 2724 TILEPROP INT_R_X41Y72 TILE_TYPE INT_R TILEPROP INT_R_X41Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y72 TILE_X 91150 TILEPROP INT_R_X41Y72 TILE_Y -8000 TILEPROP INT_R_X41Y72 TYPE INT_R TILEPROP INT_R_X41Y73 CLASS tile TILEPROP INT_R_X41Y73 COLUMN 103 TILEPROP INT_R_X41Y73 DEVICE_ID 0 TILEPROP INT_R_X41Y73 FIRST_SITE_ID 7990 TILEPROP INT_R_X41Y73 GRID_POINT_X 103 TILEPROP INT_R_X41Y73 GRID_POINT_Y 80 TILEPROP INT_R_X41Y73 INDEX 9303 TILEPROP INT_R_X41Y73 INT_TILE_X 41 TILEPROP INT_R_X41Y73 INT_TILE_Y 76 TILEPROP INT_R_X41Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y73 IS_DCM_TILE 0 TILEPROP INT_R_X41Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y73 NAME INT_R_X41Y73 TILEPROP INT_R_X41Y73 NUM_ARCS 3737 TILEPROP INT_R_X41Y73 NUM_SITES 1 TILEPROP INT_R_X41Y73 ROW 80 TILEPROP INT_R_X41Y73 SLR_REGION_ID 0 TILEPROP INT_R_X41Y73 TILE_PATTERN_IDX 2687 TILEPROP INT_R_X41Y73 TILE_TYPE INT_R TILEPROP INT_R_X41Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y73 TILE_X 91150 TILEPROP INT_R_X41Y73 TILE_Y -4800 TILEPROP INT_R_X41Y73 TYPE INT_R TILEPROP INT_R_X41Y74 CLASS tile TILEPROP INT_R_X41Y74 COLUMN 103 TILEPROP INT_R_X41Y74 DEVICE_ID 0 TILEPROP INT_R_X41Y74 FIRST_SITE_ID 7890 TILEPROP INT_R_X41Y74 GRID_POINT_X 103 TILEPROP INT_R_X41Y74 GRID_POINT_Y 79 TILEPROP INT_R_X41Y74 INDEX 9188 TILEPROP INT_R_X41Y74 INT_TILE_X 41 TILEPROP INT_R_X41Y74 INT_TILE_Y 75 TILEPROP INT_R_X41Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y74 IS_DCM_TILE 0 TILEPROP INT_R_X41Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y74 NAME INT_R_X41Y74 TILEPROP INT_R_X41Y74 NUM_ARCS 3737 TILEPROP INT_R_X41Y74 NUM_SITES 1 TILEPROP INT_R_X41Y74 ROW 79 TILEPROP INT_R_X41Y74 SLR_REGION_ID 0 TILEPROP INT_R_X41Y74 TILE_PATTERN_IDX 2651 TILEPROP INT_R_X41Y74 TILE_TYPE INT_R TILEPROP INT_R_X41Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y74 TILE_X 91150 TILEPROP INT_R_X41Y74 TILE_Y -1600 TILEPROP INT_R_X41Y74 TYPE INT_R TILEPROP INT_R_X41Y75 CLASS tile TILEPROP INT_R_X41Y75 COLUMN 103 TILEPROP INT_R_X41Y75 DEVICE_ID 0 TILEPROP INT_R_X41Y75 FIRST_SITE_ID 7707 TILEPROP INT_R_X41Y75 GRID_POINT_X 103 TILEPROP INT_R_X41Y75 GRID_POINT_Y 77 TILEPROP INT_R_X41Y75 INDEX 8958 TILEPROP INT_R_X41Y75 INT_TILE_X 41 TILEPROP INT_R_X41Y75 INT_TILE_Y 74 TILEPROP INT_R_X41Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y75 IS_DCM_TILE 0 TILEPROP INT_R_X41Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y75 NAME INT_R_X41Y75 TILEPROP INT_R_X41Y75 NUM_ARCS 3737 TILEPROP INT_R_X41Y75 NUM_SITES 1 TILEPROP INT_R_X41Y75 ROW 77 TILEPROP INT_R_X41Y75 SLR_REGION_ID 0 TILEPROP INT_R_X41Y75 TILE_PATTERN_IDX 2576 TILEPROP INT_R_X41Y75 TILE_TYPE INT_R TILEPROP INT_R_X41Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y75 TILE_X 91150 TILEPROP INT_R_X41Y75 TILE_Y 2624 TILEPROP INT_R_X41Y75 TYPE INT_R TILEPROP INT_R_X41Y76 CLASS tile TILEPROP INT_R_X41Y76 COLUMN 103 TILEPROP INT_R_X41Y76 DEVICE_ID 0 TILEPROP INT_R_X41Y76 FIRST_SITE_ID 7589 TILEPROP INT_R_X41Y76 GRID_POINT_X 103 TILEPROP INT_R_X41Y76 GRID_POINT_Y 76 TILEPROP INT_R_X41Y76 INDEX 8843 TILEPROP INT_R_X41Y76 INT_TILE_X 41 TILEPROP INT_R_X41Y76 INT_TILE_Y 73 TILEPROP INT_R_X41Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y76 IS_DCM_TILE 0 TILEPROP INT_R_X41Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y76 NAME INT_R_X41Y76 TILEPROP INT_R_X41Y76 NUM_ARCS 3737 TILEPROP INT_R_X41Y76 NUM_SITES 1 TILEPROP INT_R_X41Y76 ROW 76 TILEPROP INT_R_X41Y76 SLR_REGION_ID 0 TILEPROP INT_R_X41Y76 TILE_PATTERN_IDX 2539 TILEPROP INT_R_X41Y76 TILE_TYPE INT_R TILEPROP INT_R_X41Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y76 TILE_X 91150 TILEPROP INT_R_X41Y76 TILE_Y 5824 TILEPROP INT_R_X41Y76 TYPE INT_R TILEPROP INT_R_X41Y77 CLASS tile TILEPROP INT_R_X41Y77 COLUMN 103 TILEPROP INT_R_X41Y77 DEVICE_ID 0 TILEPROP INT_R_X41Y77 FIRST_SITE_ID 7489 TILEPROP INT_R_X41Y77 GRID_POINT_X 103 TILEPROP INT_R_X41Y77 GRID_POINT_Y 75 TILEPROP INT_R_X41Y77 INDEX 8728 TILEPROP INT_R_X41Y77 INT_TILE_X 41 TILEPROP INT_R_X41Y77 INT_TILE_Y 72 TILEPROP INT_R_X41Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y77 IS_DCM_TILE 0 TILEPROP INT_R_X41Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y77 NAME INT_R_X41Y77 TILEPROP INT_R_X41Y77 NUM_ARCS 3737 TILEPROP INT_R_X41Y77 NUM_SITES 1 TILEPROP INT_R_X41Y77 ROW 75 TILEPROP INT_R_X41Y77 SLR_REGION_ID 0 TILEPROP INT_R_X41Y77 TILE_PATTERN_IDX 2502 TILEPROP INT_R_X41Y77 TILE_TYPE INT_R TILEPROP INT_R_X41Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y77 TILE_X 91150 TILEPROP INT_R_X41Y77 TILE_Y 9024 TILEPROP INT_R_X41Y77 TYPE INT_R TILEPROP INT_R_X41Y78 CLASS tile TILEPROP INT_R_X41Y78 COLUMN 103 TILEPROP INT_R_X41Y78 DEVICE_ID 0 TILEPROP INT_R_X41Y78 FIRST_SITE_ID 7389 TILEPROP INT_R_X41Y78 GRID_POINT_X 103 TILEPROP INT_R_X41Y78 GRID_POINT_Y 74 TILEPROP INT_R_X41Y78 INDEX 8613 TILEPROP INT_R_X41Y78 INT_TILE_X 41 TILEPROP INT_R_X41Y78 INT_TILE_Y 71 TILEPROP INT_R_X41Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y78 IS_DCM_TILE 0 TILEPROP INT_R_X41Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y78 NAME INT_R_X41Y78 TILEPROP INT_R_X41Y78 NUM_ARCS 3737 TILEPROP INT_R_X41Y78 NUM_SITES 1 TILEPROP INT_R_X41Y78 ROW 74 TILEPROP INT_R_X41Y78 SLR_REGION_ID 0 TILEPROP INT_R_X41Y78 TILE_PATTERN_IDX 2466 TILEPROP INT_R_X41Y78 TILE_TYPE INT_R TILEPROP INT_R_X41Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y78 TILE_X 91150 TILEPROP INT_R_X41Y78 TILE_Y 12224 TILEPROP INT_R_X41Y78 TYPE INT_R TILEPROP INT_R_X41Y79 CLASS tile TILEPROP INT_R_X41Y79 COLUMN 103 TILEPROP INT_R_X41Y79 DEVICE_ID 0 TILEPROP INT_R_X41Y79 FIRST_SITE_ID 7283 TILEPROP INT_R_X41Y79 GRID_POINT_X 103 TILEPROP INT_R_X41Y79 GRID_POINT_Y 73 TILEPROP INT_R_X41Y79 INDEX 8498 TILEPROP INT_R_X41Y79 INT_TILE_X 41 TILEPROP INT_R_X41Y79 INT_TILE_Y 70 TILEPROP INT_R_X41Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y79 IS_DCM_TILE 0 TILEPROP INT_R_X41Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y79 NAME INT_R_X41Y79 TILEPROP INT_R_X41Y79 NUM_ARCS 3737 TILEPROP INT_R_X41Y79 NUM_SITES 1 TILEPROP INT_R_X41Y79 ROW 73 TILEPROP INT_R_X41Y79 SLR_REGION_ID 0 TILEPROP INT_R_X41Y79 TILE_PATTERN_IDX 2428 TILEPROP INT_R_X41Y79 TILE_TYPE INT_R TILEPROP INT_R_X41Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y79 TILE_X 91150 TILEPROP INT_R_X41Y79 TILE_Y 15424 TILEPROP INT_R_X41Y79 TYPE INT_R TILEPROP INT_R_X41Y80 CLASS tile TILEPROP INT_R_X41Y80 COLUMN 103 TILEPROP INT_R_X41Y80 DEVICE_ID 0 TILEPROP INT_R_X41Y80 FIRST_SITE_ID 7177 TILEPROP INT_R_X41Y80 GRID_POINT_X 103 TILEPROP INT_R_X41Y80 GRID_POINT_Y 72 TILEPROP INT_R_X41Y80 INDEX 8383 TILEPROP INT_R_X41Y80 INT_TILE_X 41 TILEPROP INT_R_X41Y80 INT_TILE_Y 69 TILEPROP INT_R_X41Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y80 IS_DCM_TILE 0 TILEPROP INT_R_X41Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y80 NAME INT_R_X41Y80 TILEPROP INT_R_X41Y80 NUM_ARCS 3737 TILEPROP INT_R_X41Y80 NUM_SITES 1 TILEPROP INT_R_X41Y80 ROW 72 TILEPROP INT_R_X41Y80 SLR_REGION_ID 0 TILEPROP INT_R_X41Y80 TILE_PATTERN_IDX 2392 TILEPROP INT_R_X41Y80 TILE_TYPE INT_R TILEPROP INT_R_X41Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y80 TILE_X 91150 TILEPROP INT_R_X41Y80 TILE_Y 18624 TILEPROP INT_R_X41Y80 TYPE INT_R TILEPROP INT_R_X41Y81 CLASS tile TILEPROP INT_R_X41Y81 COLUMN 103 TILEPROP INT_R_X41Y81 DEVICE_ID 0 TILEPROP INT_R_X41Y81 FIRST_SITE_ID 7060 TILEPROP INT_R_X41Y81 GRID_POINT_X 103 TILEPROP INT_R_X41Y81 GRID_POINT_Y 71 TILEPROP INT_R_X41Y81 INDEX 8268 TILEPROP INT_R_X41Y81 INT_TILE_X 41 TILEPROP INT_R_X41Y81 INT_TILE_Y 68 TILEPROP INT_R_X41Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y81 IS_DCM_TILE 0 TILEPROP INT_R_X41Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y81 NAME INT_R_X41Y81 TILEPROP INT_R_X41Y81 NUM_ARCS 3737 TILEPROP INT_R_X41Y81 NUM_SITES 1 TILEPROP INT_R_X41Y81 ROW 71 TILEPROP INT_R_X41Y81 SLR_REGION_ID 0 TILEPROP INT_R_X41Y81 TILE_PATTERN_IDX 2353 TILEPROP INT_R_X41Y81 TILE_TYPE INT_R TILEPROP INT_R_X41Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y81 TILE_X 91150 TILEPROP INT_R_X41Y81 TILE_Y 21824 TILEPROP INT_R_X41Y81 TYPE INT_R TILEPROP INT_R_X41Y82 CLASS tile TILEPROP INT_R_X41Y82 COLUMN 103 TILEPROP INT_R_X41Y82 DEVICE_ID 0 TILEPROP INT_R_X41Y82 FIRST_SITE_ID 6958 TILEPROP INT_R_X41Y82 GRID_POINT_X 103 TILEPROP INT_R_X41Y82 GRID_POINT_Y 70 TILEPROP INT_R_X41Y82 INDEX 8153 TILEPROP INT_R_X41Y82 INT_TILE_X 41 TILEPROP INT_R_X41Y82 INT_TILE_Y 67 TILEPROP INT_R_X41Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y82 IS_DCM_TILE 0 TILEPROP INT_R_X41Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y82 NAME INT_R_X41Y82 TILEPROP INT_R_X41Y82 NUM_ARCS 3737 TILEPROP INT_R_X41Y82 NUM_SITES 1 TILEPROP INT_R_X41Y82 ROW 70 TILEPROP INT_R_X41Y82 SLR_REGION_ID 0 TILEPROP INT_R_X41Y82 TILE_PATTERN_IDX 2318 TILEPROP INT_R_X41Y82 TILE_TYPE INT_R TILEPROP INT_R_X41Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y82 TILE_X 91150 TILEPROP INT_R_X41Y82 TILE_Y 25024 TILEPROP INT_R_X41Y82 TYPE INT_R TILEPROP INT_R_X41Y83 CLASS tile TILEPROP INT_R_X41Y83 COLUMN 103 TILEPROP INT_R_X41Y83 DEVICE_ID 0 TILEPROP INT_R_X41Y83 FIRST_SITE_ID 6858 TILEPROP INT_R_X41Y83 GRID_POINT_X 103 TILEPROP INT_R_X41Y83 GRID_POINT_Y 69 TILEPROP INT_R_X41Y83 INDEX 8038 TILEPROP INT_R_X41Y83 INT_TILE_X 41 TILEPROP INT_R_X41Y83 INT_TILE_Y 66 TILEPROP INT_R_X41Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y83 IS_DCM_TILE 0 TILEPROP INT_R_X41Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y83 NAME INT_R_X41Y83 TILEPROP INT_R_X41Y83 NUM_ARCS 3737 TILEPROP INT_R_X41Y83 NUM_SITES 1 TILEPROP INT_R_X41Y83 ROW 69 TILEPROP INT_R_X41Y83 SLR_REGION_ID 0 TILEPROP INT_R_X41Y83 TILE_PATTERN_IDX 2280 TILEPROP INT_R_X41Y83 TILE_TYPE INT_R TILEPROP INT_R_X41Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y83 TILE_X 91150 TILEPROP INT_R_X41Y83 TILE_Y 28224 TILEPROP INT_R_X41Y83 TYPE INT_R TILEPROP INT_R_X41Y84 CLASS tile TILEPROP INT_R_X41Y84 COLUMN 103 TILEPROP INT_R_X41Y84 DEVICE_ID 0 TILEPROP INT_R_X41Y84 FIRST_SITE_ID 6758 TILEPROP INT_R_X41Y84 GRID_POINT_X 103 TILEPROP INT_R_X41Y84 GRID_POINT_Y 68 TILEPROP INT_R_X41Y84 INDEX 7923 TILEPROP INT_R_X41Y84 INT_TILE_X 41 TILEPROP INT_R_X41Y84 INT_TILE_Y 65 TILEPROP INT_R_X41Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y84 IS_DCM_TILE 0 TILEPROP INT_R_X41Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y84 NAME INT_R_X41Y84 TILEPROP INT_R_X41Y84 NUM_ARCS 3737 TILEPROP INT_R_X41Y84 NUM_SITES 1 TILEPROP INT_R_X41Y84 ROW 68 TILEPROP INT_R_X41Y84 SLR_REGION_ID 0 TILEPROP INT_R_X41Y84 TILE_PATTERN_IDX 2245 TILEPROP INT_R_X41Y84 TILE_TYPE INT_R TILEPROP INT_R_X41Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y84 TILE_X 91150 TILEPROP INT_R_X41Y84 TILE_Y 31424 TILEPROP INT_R_X41Y84 TYPE INT_R TILEPROP INT_R_X41Y85 CLASS tile TILEPROP INT_R_X41Y85 COLUMN 103 TILEPROP INT_R_X41Y85 DEVICE_ID 0 TILEPROP INT_R_X41Y85 FIRST_SITE_ID 6658 TILEPROP INT_R_X41Y85 GRID_POINT_X 103 TILEPROP INT_R_X41Y85 GRID_POINT_Y 67 TILEPROP INT_R_X41Y85 INDEX 7808 TILEPROP INT_R_X41Y85 INT_TILE_X 41 TILEPROP INT_R_X41Y85 INT_TILE_Y 64 TILEPROP INT_R_X41Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y85 IS_DCM_TILE 0 TILEPROP INT_R_X41Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y85 NAME INT_R_X41Y85 TILEPROP INT_R_X41Y85 NUM_ARCS 3737 TILEPROP INT_R_X41Y85 NUM_SITES 1 TILEPROP INT_R_X41Y85 ROW 67 TILEPROP INT_R_X41Y85 SLR_REGION_ID 0 TILEPROP INT_R_X41Y85 TILE_PATTERN_IDX 2209 TILEPROP INT_R_X41Y85 TILE_TYPE INT_R TILEPROP INT_R_X41Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y85 TILE_X 91150 TILEPROP INT_R_X41Y85 TILE_Y 34624 TILEPROP INT_R_X41Y85 TYPE INT_R TILEPROP INT_R_X41Y86 CLASS tile TILEPROP INT_R_X41Y86 COLUMN 103 TILEPROP INT_R_X41Y86 DEVICE_ID 0 TILEPROP INT_R_X41Y86 FIRST_SITE_ID 6543 TILEPROP INT_R_X41Y86 GRID_POINT_X 103 TILEPROP INT_R_X41Y86 GRID_POINT_Y 66 TILEPROP INT_R_X41Y86 INDEX 7693 TILEPROP INT_R_X41Y86 INT_TILE_X 41 TILEPROP INT_R_X41Y86 INT_TILE_Y 63 TILEPROP INT_R_X41Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y86 IS_DCM_TILE 0 TILEPROP INT_R_X41Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y86 NAME INT_R_X41Y86 TILEPROP INT_R_X41Y86 NUM_ARCS 3737 TILEPROP INT_R_X41Y86 NUM_SITES 1 TILEPROP INT_R_X41Y86 ROW 66 TILEPROP INT_R_X41Y86 SLR_REGION_ID 0 TILEPROP INT_R_X41Y86 TILE_PATTERN_IDX 2173 TILEPROP INT_R_X41Y86 TILE_TYPE INT_R TILEPROP INT_R_X41Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y86 TILE_X 91150 TILEPROP INT_R_X41Y86 TILE_Y 37824 TILEPROP INT_R_X41Y86 TYPE INT_R TILEPROP INT_R_X41Y87 CLASS tile TILEPROP INT_R_X41Y87 COLUMN 103 TILEPROP INT_R_X41Y87 DEVICE_ID 0 TILEPROP INT_R_X41Y87 FIRST_SITE_ID 6411 TILEPROP INT_R_X41Y87 GRID_POINT_X 103 TILEPROP INT_R_X41Y87 GRID_POINT_Y 65 TILEPROP INT_R_X41Y87 INDEX 7578 TILEPROP INT_R_X41Y87 INT_TILE_X 41 TILEPROP INT_R_X41Y87 INT_TILE_Y 62 TILEPROP INT_R_X41Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y87 IS_DCM_TILE 0 TILEPROP INT_R_X41Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y87 NAME INT_R_X41Y87 TILEPROP INT_R_X41Y87 NUM_ARCS 3737 TILEPROP INT_R_X41Y87 NUM_SITES 1 TILEPROP INT_R_X41Y87 ROW 65 TILEPROP INT_R_X41Y87 SLR_REGION_ID 0 TILEPROP INT_R_X41Y87 TILE_PATTERN_IDX 2135 TILEPROP INT_R_X41Y87 TILE_TYPE INT_R TILEPROP INT_R_X41Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y87 TILE_X 91150 TILEPROP INT_R_X41Y87 TILE_Y 41024 TILEPROP INT_R_X41Y87 TYPE INT_R TILEPROP INT_R_X41Y88 CLASS tile TILEPROP INT_R_X41Y88 COLUMN 103 TILEPROP INT_R_X41Y88 DEVICE_ID 0 TILEPROP INT_R_X41Y88 FIRST_SITE_ID 6311 TILEPROP INT_R_X41Y88 GRID_POINT_X 103 TILEPROP INT_R_X41Y88 GRID_POINT_Y 64 TILEPROP INT_R_X41Y88 INDEX 7463 TILEPROP INT_R_X41Y88 INT_TILE_X 41 TILEPROP INT_R_X41Y88 INT_TILE_Y 61 TILEPROP INT_R_X41Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y88 IS_DCM_TILE 0 TILEPROP INT_R_X41Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y88 NAME INT_R_X41Y88 TILEPROP INT_R_X41Y88 NUM_ARCS 3737 TILEPROP INT_R_X41Y88 NUM_SITES 1 TILEPROP INT_R_X41Y88 ROW 64 TILEPROP INT_R_X41Y88 SLR_REGION_ID 0 TILEPROP INT_R_X41Y88 TILE_PATTERN_IDX 2100 TILEPROP INT_R_X41Y88 TILE_TYPE INT_R TILEPROP INT_R_X41Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y88 TILE_X 91150 TILEPROP INT_R_X41Y88 TILE_Y 44224 TILEPROP INT_R_X41Y88 TYPE INT_R TILEPROP INT_R_X41Y89 CLASS tile TILEPROP INT_R_X41Y89 COLUMN 103 TILEPROP INT_R_X41Y89 DEVICE_ID 0 TILEPROP INT_R_X41Y89 FIRST_SITE_ID 6211 TILEPROP INT_R_X41Y89 GRID_POINT_X 103 TILEPROP INT_R_X41Y89 GRID_POINT_Y 63 TILEPROP INT_R_X41Y89 INDEX 7348 TILEPROP INT_R_X41Y89 INT_TILE_X 41 TILEPROP INT_R_X41Y89 INT_TILE_Y 60 TILEPROP INT_R_X41Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y89 IS_DCM_TILE 0 TILEPROP INT_R_X41Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y89 NAME INT_R_X41Y89 TILEPROP INT_R_X41Y89 NUM_ARCS 3737 TILEPROP INT_R_X41Y89 NUM_SITES 1 TILEPROP INT_R_X41Y89 ROW 63 TILEPROP INT_R_X41Y89 SLR_REGION_ID 0 TILEPROP INT_R_X41Y89 TILE_PATTERN_IDX 2064 TILEPROP INT_R_X41Y89 TILE_TYPE INT_R TILEPROP INT_R_X41Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y89 TILE_X 91150 TILEPROP INT_R_X41Y89 TILE_Y 47424 TILEPROP INT_R_X41Y89 TYPE INT_R TILEPROP INT_R_X41Y90 CLASS tile TILEPROP INT_R_X41Y90 COLUMN 103 TILEPROP INT_R_X41Y90 DEVICE_ID 0 TILEPROP INT_R_X41Y90 FIRST_SITE_ID 6111 TILEPROP INT_R_X41Y90 GRID_POINT_X 103 TILEPROP INT_R_X41Y90 GRID_POINT_Y 62 TILEPROP INT_R_X41Y90 INDEX 7233 TILEPROP INT_R_X41Y90 INT_TILE_X 41 TILEPROP INT_R_X41Y90 INT_TILE_Y 59 TILEPROP INT_R_X41Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y90 IS_DCM_TILE 0 TILEPROP INT_R_X41Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y90 NAME INT_R_X41Y90 TILEPROP INT_R_X41Y90 NUM_ARCS 3737 TILEPROP INT_R_X41Y90 NUM_SITES 1 TILEPROP INT_R_X41Y90 ROW 62 TILEPROP INT_R_X41Y90 SLR_REGION_ID 0 TILEPROP INT_R_X41Y90 TILE_PATTERN_IDX 2029 TILEPROP INT_R_X41Y90 TILE_TYPE INT_R TILEPROP INT_R_X41Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y90 TILE_X 91150 TILEPROP INT_R_X41Y90 TILE_Y 50624 TILEPROP INT_R_X41Y90 TYPE INT_R TILEPROP INT_R_X41Y91 CLASS tile TILEPROP INT_R_X41Y91 COLUMN 103 TILEPROP INT_R_X41Y91 DEVICE_ID 0 TILEPROP INT_R_X41Y91 FIRST_SITE_ID 5996 TILEPROP INT_R_X41Y91 GRID_POINT_X 103 TILEPROP INT_R_X41Y91 GRID_POINT_Y 61 TILEPROP INT_R_X41Y91 INDEX 7118 TILEPROP INT_R_X41Y91 INT_TILE_X 41 TILEPROP INT_R_X41Y91 INT_TILE_Y 58 TILEPROP INT_R_X41Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y91 IS_DCM_TILE 0 TILEPROP INT_R_X41Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y91 NAME INT_R_X41Y91 TILEPROP INT_R_X41Y91 NUM_ARCS 3737 TILEPROP INT_R_X41Y91 NUM_SITES 1 TILEPROP INT_R_X41Y91 ROW 61 TILEPROP INT_R_X41Y91 SLR_REGION_ID 0 TILEPROP INT_R_X41Y91 TILE_PATTERN_IDX 1990 TILEPROP INT_R_X41Y91 TILE_TYPE INT_R TILEPROP INT_R_X41Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y91 TILE_X 91150 TILEPROP INT_R_X41Y91 TILE_Y 53824 TILEPROP INT_R_X41Y91 TYPE INT_R TILEPROP INT_R_X41Y92 CLASS tile TILEPROP INT_R_X41Y92 COLUMN 103 TILEPROP INT_R_X41Y92 DEVICE_ID 0 TILEPROP INT_R_X41Y92 FIRST_SITE_ID 5895 TILEPROP INT_R_X41Y92 GRID_POINT_X 103 TILEPROP INT_R_X41Y92 GRID_POINT_Y 60 TILEPROP INT_R_X41Y92 INDEX 7003 TILEPROP INT_R_X41Y92 INT_TILE_X 41 TILEPROP INT_R_X41Y92 INT_TILE_Y 57 TILEPROP INT_R_X41Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y92 IS_DCM_TILE 0 TILEPROP INT_R_X41Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y92 NAME INT_R_X41Y92 TILEPROP INT_R_X41Y92 NUM_ARCS 3737 TILEPROP INT_R_X41Y92 NUM_SITES 1 TILEPROP INT_R_X41Y92 ROW 60 TILEPROP INT_R_X41Y92 SLR_REGION_ID 0 TILEPROP INT_R_X41Y92 TILE_PATTERN_IDX 1952 TILEPROP INT_R_X41Y92 TILE_TYPE INT_R TILEPROP INT_R_X41Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y92 TILE_X 91150 TILEPROP INT_R_X41Y92 TILE_Y 57024 TILEPROP INT_R_X41Y92 TYPE INT_R TILEPROP INT_R_X41Y93 CLASS tile TILEPROP INT_R_X41Y93 COLUMN 103 TILEPROP INT_R_X41Y93 DEVICE_ID 0 TILEPROP INT_R_X41Y93 FIRST_SITE_ID 5792 TILEPROP INT_R_X41Y93 GRID_POINT_X 103 TILEPROP INT_R_X41Y93 GRID_POINT_Y 59 TILEPROP INT_R_X41Y93 INDEX 6888 TILEPROP INT_R_X41Y93 INT_TILE_X 41 TILEPROP INT_R_X41Y93 INT_TILE_Y 56 TILEPROP INT_R_X41Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y93 IS_DCM_TILE 0 TILEPROP INT_R_X41Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y93 NAME INT_R_X41Y93 TILEPROP INT_R_X41Y93 NUM_ARCS 3737 TILEPROP INT_R_X41Y93 NUM_SITES 1 TILEPROP INT_R_X41Y93 ROW 59 TILEPROP INT_R_X41Y93 SLR_REGION_ID 0 TILEPROP INT_R_X41Y93 TILE_PATTERN_IDX 1913 TILEPROP INT_R_X41Y93 TILE_TYPE INT_R TILEPROP INT_R_X41Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y93 TILE_X 91150 TILEPROP INT_R_X41Y93 TILE_Y 60224 TILEPROP INT_R_X41Y93 TYPE INT_R TILEPROP INT_R_X41Y94 CLASS tile TILEPROP INT_R_X41Y94 COLUMN 103 TILEPROP INT_R_X41Y94 DEVICE_ID 0 TILEPROP INT_R_X41Y94 FIRST_SITE_ID 5690 TILEPROP INT_R_X41Y94 GRID_POINT_X 103 TILEPROP INT_R_X41Y94 GRID_POINT_Y 58 TILEPROP INT_R_X41Y94 INDEX 6773 TILEPROP INT_R_X41Y94 INT_TILE_X 41 TILEPROP INT_R_X41Y94 INT_TILE_Y 55 TILEPROP INT_R_X41Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y94 IS_DCM_TILE 0 TILEPROP INT_R_X41Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y94 NAME INT_R_X41Y94 TILEPROP INT_R_X41Y94 NUM_ARCS 3737 TILEPROP INT_R_X41Y94 NUM_SITES 1 TILEPROP INT_R_X41Y94 ROW 58 TILEPROP INT_R_X41Y94 SLR_REGION_ID 0 TILEPROP INT_R_X41Y94 TILE_PATTERN_IDX 1877 TILEPROP INT_R_X41Y94 TILE_TYPE INT_R TILEPROP INT_R_X41Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y94 TILE_X 91150 TILEPROP INT_R_X41Y94 TILE_Y 63424 TILEPROP INT_R_X41Y94 TYPE INT_R TILEPROP INT_R_X41Y95 CLASS tile TILEPROP INT_R_X41Y95 COLUMN 103 TILEPROP INT_R_X41Y95 DEVICE_ID 0 TILEPROP INT_R_X41Y95 FIRST_SITE_ID 5590 TILEPROP INT_R_X41Y95 GRID_POINT_X 103 TILEPROP INT_R_X41Y95 GRID_POINT_Y 57 TILEPROP INT_R_X41Y95 INDEX 6658 TILEPROP INT_R_X41Y95 INT_TILE_X 41 TILEPROP INT_R_X41Y95 INT_TILE_Y 54 TILEPROP INT_R_X41Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y95 IS_DCM_TILE 0 TILEPROP INT_R_X41Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y95 NAME INT_R_X41Y95 TILEPROP INT_R_X41Y95 NUM_ARCS 3737 TILEPROP INT_R_X41Y95 NUM_SITES 1 TILEPROP INT_R_X41Y95 ROW 57 TILEPROP INT_R_X41Y95 SLR_REGION_ID 0 TILEPROP INT_R_X41Y95 TILE_PATTERN_IDX 1841 TILEPROP INT_R_X41Y95 TILE_TYPE INT_R TILEPROP INT_R_X41Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y95 TILE_X 91150 TILEPROP INT_R_X41Y95 TILE_Y 66624 TILEPROP INT_R_X41Y95 TYPE INT_R TILEPROP INT_R_X41Y96 CLASS tile TILEPROP INT_R_X41Y96 COLUMN 103 TILEPROP INT_R_X41Y96 DEVICE_ID 0 TILEPROP INT_R_X41Y96 FIRST_SITE_ID 5475 TILEPROP INT_R_X41Y96 GRID_POINT_X 103 TILEPROP INT_R_X41Y96 GRID_POINT_Y 56 TILEPROP INT_R_X41Y96 INDEX 6543 TILEPROP INT_R_X41Y96 INT_TILE_X 41 TILEPROP INT_R_X41Y96 INT_TILE_Y 53 TILEPROP INT_R_X41Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y96 IS_DCM_TILE 0 TILEPROP INT_R_X41Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y96 NAME INT_R_X41Y96 TILEPROP INT_R_X41Y96 NUM_ARCS 3737 TILEPROP INT_R_X41Y96 NUM_SITES 1 TILEPROP INT_R_X41Y96 ROW 56 TILEPROP INT_R_X41Y96 SLR_REGION_ID 0 TILEPROP INT_R_X41Y96 TILE_PATTERN_IDX 1799 TILEPROP INT_R_X41Y96 TILE_TYPE INT_R TILEPROP INT_R_X41Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y96 TILE_X 91150 TILEPROP INT_R_X41Y96 TILE_Y 69824 TILEPROP INT_R_X41Y96 TYPE INT_R TILEPROP INT_R_X41Y97 CLASS tile TILEPROP INT_R_X41Y97 COLUMN 103 TILEPROP INT_R_X41Y97 DEVICE_ID 0 TILEPROP INT_R_X41Y97 FIRST_SITE_ID 5375 TILEPROP INT_R_X41Y97 GRID_POINT_X 103 TILEPROP INT_R_X41Y97 GRID_POINT_Y 55 TILEPROP INT_R_X41Y97 INDEX 6428 TILEPROP INT_R_X41Y97 INT_TILE_X 41 TILEPROP INT_R_X41Y97 INT_TILE_Y 52 TILEPROP INT_R_X41Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y97 IS_DCM_TILE 0 TILEPROP INT_R_X41Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y97 NAME INT_R_X41Y97 TILEPROP INT_R_X41Y97 NUM_ARCS 3737 TILEPROP INT_R_X41Y97 NUM_SITES 1 TILEPROP INT_R_X41Y97 ROW 55 TILEPROP INT_R_X41Y97 SLR_REGION_ID 0 TILEPROP INT_R_X41Y97 TILE_PATTERN_IDX 1759 TILEPROP INT_R_X41Y97 TILE_TYPE INT_R TILEPROP INT_R_X41Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y97 TILE_X 91150 TILEPROP INT_R_X41Y97 TILE_Y 73024 TILEPROP INT_R_X41Y97 TYPE INT_R TILEPROP INT_R_X41Y98 CLASS tile TILEPROP INT_R_X41Y98 COLUMN 103 TILEPROP INT_R_X41Y98 DEVICE_ID 0 TILEPROP INT_R_X41Y98 FIRST_SITE_ID 5275 TILEPROP INT_R_X41Y98 GRID_POINT_X 103 TILEPROP INT_R_X41Y98 GRID_POINT_Y 54 TILEPROP INT_R_X41Y98 INDEX 6313 TILEPROP INT_R_X41Y98 INT_TILE_X 41 TILEPROP INT_R_X41Y98 INT_TILE_Y 51 TILEPROP INT_R_X41Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y98 IS_DCM_TILE 0 TILEPROP INT_R_X41Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y98 NAME INT_R_X41Y98 TILEPROP INT_R_X41Y98 NUM_ARCS 3737 TILEPROP INT_R_X41Y98 NUM_SITES 1 TILEPROP INT_R_X41Y98 ROW 54 TILEPROP INT_R_X41Y98 SLR_REGION_ID 0 TILEPROP INT_R_X41Y98 TILE_PATTERN_IDX 1721 TILEPROP INT_R_X41Y98 TILE_TYPE INT_R TILEPROP INT_R_X41Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y98 TILE_X 91150 TILEPROP INT_R_X41Y98 TILE_Y 76224 TILEPROP INT_R_X41Y98 TYPE INT_R TILEPROP INT_R_X41Y99 CLASS tile TILEPROP INT_R_X41Y99 COLUMN 103 TILEPROP INT_R_X41Y99 DEVICE_ID 0 TILEPROP INT_R_X41Y99 FIRST_SITE_ID 5179 TILEPROP INT_R_X41Y99 GRID_POINT_X 103 TILEPROP INT_R_X41Y99 GRID_POINT_Y 53 TILEPROP INT_R_X41Y99 INDEX 6198 TILEPROP INT_R_X41Y99 INT_TILE_X 41 TILEPROP INT_R_X41Y99 INT_TILE_Y 50 TILEPROP INT_R_X41Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X41Y99 IS_DCM_TILE 0 TILEPROP INT_R_X41Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X41Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X41Y99 NAME INT_R_X41Y99 TILEPROP INT_R_X41Y99 NUM_ARCS 3737 TILEPROP INT_R_X41Y99 NUM_SITES 1 TILEPROP INT_R_X41Y99 ROW 53 TILEPROP INT_R_X41Y99 SLR_REGION_ID 0 TILEPROP INT_R_X41Y99 TILE_PATTERN_IDX 1680 TILEPROP INT_R_X41Y99 TILE_TYPE INT_R TILEPROP INT_R_X41Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X41Y99 TILE_X 91150 TILEPROP INT_R_X41Y99 TILE_Y 79424 TILEPROP INT_R_X41Y99 TYPE INT_R TILEPROP INT_R_X43Y0 CLASS tile TILEPROP INT_R_X43Y0 COLUMN 110 TILEPROP INT_R_X43Y0 DEVICE_ID 0 TILEPROP INT_R_X43Y0 FIRST_SITE_ID 15812 TILEPROP INT_R_X43Y0 GRID_POINT_X 110 TILEPROP INT_R_X43Y0 GRID_POINT_Y 155 TILEPROP INT_R_X43Y0 INDEX 17935 TILEPROP INT_R_X43Y0 INT_TILE_X 43 TILEPROP INT_R_X43Y0 INT_TILE_Y 149 TILEPROP INT_R_X43Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y0 IS_DCM_TILE 0 TILEPROP INT_R_X43Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y0 NAME INT_R_X43Y0 TILEPROP INT_R_X43Y0 NUM_ARCS 3737 TILEPROP INT_R_X43Y0 NUM_SITES 1 TILEPROP INT_R_X43Y0 ROW 155 TILEPROP INT_R_X43Y0 SLR_REGION_ID 0 TILEPROP INT_R_X43Y0 TILE_PATTERN_IDX 3538 TILEPROP INT_R_X43Y0 TILE_TYPE INT_R TILEPROP INT_R_X43Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y0 TILE_X 103182 TILEPROP INT_R_X43Y0 TILE_Y -239672 TILEPROP INT_R_X43Y0 TYPE INT_R TILEPROP INT_R_X43Y1 CLASS tile TILEPROP INT_R_X43Y1 COLUMN 110 TILEPROP INT_R_X43Y1 DEVICE_ID 0 TILEPROP INT_R_X43Y1 FIRST_SITE_ID 15693 TILEPROP INT_R_X43Y1 GRID_POINT_X 110 TILEPROP INT_R_X43Y1 GRID_POINT_Y 154 TILEPROP INT_R_X43Y1 INDEX 17820 TILEPROP INT_R_X43Y1 INT_TILE_X 43 TILEPROP INT_R_X43Y1 INT_TILE_Y 148 TILEPROP INT_R_X43Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y1 IS_DCM_TILE 0 TILEPROP INT_R_X43Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y1 NAME INT_R_X43Y1 TILEPROP INT_R_X43Y1 NUM_ARCS 3737 TILEPROP INT_R_X43Y1 NUM_SITES 1 TILEPROP INT_R_X43Y1 ROW 154 TILEPROP INT_R_X43Y1 SLR_REGION_ID 0 TILEPROP INT_R_X43Y1 TILE_PATTERN_IDX 3496 TILEPROP INT_R_X43Y1 TILE_TYPE INT_R TILEPROP INT_R_X43Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y1 TILE_X 103182 TILEPROP INT_R_X43Y1 TILE_Y -236472 TILEPROP INT_R_X43Y1 TYPE INT_R TILEPROP INT_R_X43Y2 CLASS tile TILEPROP INT_R_X43Y2 COLUMN 110 TILEPROP INT_R_X43Y2 DEVICE_ID 0 TILEPROP INT_R_X43Y2 FIRST_SITE_ID 15592 TILEPROP INT_R_X43Y2 GRID_POINT_X 110 TILEPROP INT_R_X43Y2 GRID_POINT_Y 153 TILEPROP INT_R_X43Y2 INDEX 17705 TILEPROP INT_R_X43Y2 INT_TILE_X 43 TILEPROP INT_R_X43Y2 INT_TILE_Y 147 TILEPROP INT_R_X43Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y2 IS_DCM_TILE 0 TILEPROP INT_R_X43Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y2 NAME INT_R_X43Y2 TILEPROP INT_R_X43Y2 NUM_ARCS 3737 TILEPROP INT_R_X43Y2 NUM_SITES 1 TILEPROP INT_R_X43Y2 ROW 153 TILEPROP INT_R_X43Y2 SLR_REGION_ID 0 TILEPROP INT_R_X43Y2 TILE_PATTERN_IDX 3459 TILEPROP INT_R_X43Y2 TILE_TYPE INT_R TILEPROP INT_R_X43Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y2 TILE_X 103182 TILEPROP INT_R_X43Y2 TILE_Y -233272 TILEPROP INT_R_X43Y2 TYPE INT_R TILEPROP INT_R_X43Y3 CLASS tile TILEPROP INT_R_X43Y3 COLUMN 110 TILEPROP INT_R_X43Y3 DEVICE_ID 0 TILEPROP INT_R_X43Y3 FIRST_SITE_ID 15492 TILEPROP INT_R_X43Y3 GRID_POINT_X 110 TILEPROP INT_R_X43Y3 GRID_POINT_Y 152 TILEPROP INT_R_X43Y3 INDEX 17590 TILEPROP INT_R_X43Y3 INT_TILE_X 43 TILEPROP INT_R_X43Y3 INT_TILE_Y 146 TILEPROP INT_R_X43Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y3 IS_DCM_TILE 0 TILEPROP INT_R_X43Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y3 NAME INT_R_X43Y3 TILEPROP INT_R_X43Y3 NUM_ARCS 3737 TILEPROP INT_R_X43Y3 NUM_SITES 1 TILEPROP INT_R_X43Y3 ROW 152 TILEPROP INT_R_X43Y3 SLR_REGION_ID 0 TILEPROP INT_R_X43Y3 TILE_PATTERN_IDX 3422 TILEPROP INT_R_X43Y3 TILE_TYPE INT_R TILEPROP INT_R_X43Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y3 TILE_X 103182 TILEPROP INT_R_X43Y3 TILE_Y -230072 TILEPROP INT_R_X43Y3 TYPE INT_R TILEPROP INT_R_X43Y4 CLASS tile TILEPROP INT_R_X43Y4 COLUMN 110 TILEPROP INT_R_X43Y4 DEVICE_ID 0 TILEPROP INT_R_X43Y4 FIRST_SITE_ID 15392 TILEPROP INT_R_X43Y4 GRID_POINT_X 110 TILEPROP INT_R_X43Y4 GRID_POINT_Y 151 TILEPROP INT_R_X43Y4 INDEX 17475 TILEPROP INT_R_X43Y4 INT_TILE_X 43 TILEPROP INT_R_X43Y4 INT_TILE_Y 145 TILEPROP INT_R_X43Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y4 IS_DCM_TILE 0 TILEPROP INT_R_X43Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y4 NAME INT_R_X43Y4 TILEPROP INT_R_X43Y4 NUM_ARCS 3737 TILEPROP INT_R_X43Y4 NUM_SITES 1 TILEPROP INT_R_X43Y4 ROW 151 TILEPROP INT_R_X43Y4 SLR_REGION_ID 0 TILEPROP INT_R_X43Y4 TILE_PATTERN_IDX 3386 TILEPROP INT_R_X43Y4 TILE_TYPE INT_R TILEPROP INT_R_X43Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y4 TILE_X 103182 TILEPROP INT_R_X43Y4 TILE_Y -226872 TILEPROP INT_R_X43Y4 TYPE INT_R TILEPROP INT_R_X43Y5 CLASS tile TILEPROP INT_R_X43Y5 COLUMN 110 TILEPROP INT_R_X43Y5 DEVICE_ID 0 TILEPROP INT_R_X43Y5 FIRST_SITE_ID 15292 TILEPROP INT_R_X43Y5 GRID_POINT_X 110 TILEPROP INT_R_X43Y5 GRID_POINT_Y 150 TILEPROP INT_R_X43Y5 INDEX 17360 TILEPROP INT_R_X43Y5 INT_TILE_X 43 TILEPROP INT_R_X43Y5 INT_TILE_Y 144 TILEPROP INT_R_X43Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y5 IS_DCM_TILE 0 TILEPROP INT_R_X43Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y5 NAME INT_R_X43Y5 TILEPROP INT_R_X43Y5 NUM_ARCS 3737 TILEPROP INT_R_X43Y5 NUM_SITES 1 TILEPROP INT_R_X43Y5 ROW 150 TILEPROP INT_R_X43Y5 SLR_REGION_ID 0 TILEPROP INT_R_X43Y5 TILE_PATTERN_IDX 3349 TILEPROP INT_R_X43Y5 TILE_TYPE INT_R TILEPROP INT_R_X43Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y5 TILE_X 103182 TILEPROP INT_R_X43Y5 TILE_Y -223672 TILEPROP INT_R_X43Y5 TYPE INT_R TILEPROP INT_R_X43Y6 CLASS tile TILEPROP INT_R_X43Y6 COLUMN 110 TILEPROP INT_R_X43Y6 DEVICE_ID 0 TILEPROP INT_R_X43Y6 FIRST_SITE_ID 15177 TILEPROP INT_R_X43Y6 GRID_POINT_X 110 TILEPROP INT_R_X43Y6 GRID_POINT_Y 149 TILEPROP INT_R_X43Y6 INDEX 17245 TILEPROP INT_R_X43Y6 INT_TILE_X 43 TILEPROP INT_R_X43Y6 INT_TILE_Y 143 TILEPROP INT_R_X43Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y6 IS_DCM_TILE 0 TILEPROP INT_R_X43Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y6 NAME INT_R_X43Y6 TILEPROP INT_R_X43Y6 NUM_ARCS 3737 TILEPROP INT_R_X43Y6 NUM_SITES 1 TILEPROP INT_R_X43Y6 ROW 149 TILEPROP INT_R_X43Y6 SLR_REGION_ID 0 TILEPROP INT_R_X43Y6 TILE_PATTERN_IDX 3311 TILEPROP INT_R_X43Y6 TILE_TYPE INT_R TILEPROP INT_R_X43Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y6 TILE_X 103182 TILEPROP INT_R_X43Y6 TILE_Y -220472 TILEPROP INT_R_X43Y6 TYPE INT_R TILEPROP INT_R_X43Y7 CLASS tile TILEPROP INT_R_X43Y7 COLUMN 110 TILEPROP INT_R_X43Y7 DEVICE_ID 0 TILEPROP INT_R_X43Y7 FIRST_SITE_ID 15077 TILEPROP INT_R_X43Y7 GRID_POINT_X 110 TILEPROP INT_R_X43Y7 GRID_POINT_Y 148 TILEPROP INT_R_X43Y7 INDEX 17130 TILEPROP INT_R_X43Y7 INT_TILE_X 43 TILEPROP INT_R_X43Y7 INT_TILE_Y 142 TILEPROP INT_R_X43Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y7 IS_DCM_TILE 0 TILEPROP INT_R_X43Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y7 NAME INT_R_X43Y7 TILEPROP INT_R_X43Y7 NUM_ARCS 3737 TILEPROP INT_R_X43Y7 NUM_SITES 1 TILEPROP INT_R_X43Y7 ROW 148 TILEPROP INT_R_X43Y7 SLR_REGION_ID 0 TILEPROP INT_R_X43Y7 TILE_PATTERN_IDX 3274 TILEPROP INT_R_X43Y7 TILE_TYPE INT_R TILEPROP INT_R_X43Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y7 TILE_X 103182 TILEPROP INT_R_X43Y7 TILE_Y -217272 TILEPROP INT_R_X43Y7 TYPE INT_R TILEPROP INT_R_X43Y8 CLASS tile TILEPROP INT_R_X43Y8 COLUMN 110 TILEPROP INT_R_X43Y8 DEVICE_ID 0 TILEPROP INT_R_X43Y8 FIRST_SITE_ID 14973 TILEPROP INT_R_X43Y8 GRID_POINT_X 110 TILEPROP INT_R_X43Y8 GRID_POINT_Y 147 TILEPROP INT_R_X43Y8 INDEX 17015 TILEPROP INT_R_X43Y8 INT_TILE_X 43 TILEPROP INT_R_X43Y8 INT_TILE_Y 141 TILEPROP INT_R_X43Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y8 IS_DCM_TILE 0 TILEPROP INT_R_X43Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y8 NAME INT_R_X43Y8 TILEPROP INT_R_X43Y8 NUM_ARCS 3737 TILEPROP INT_R_X43Y8 NUM_SITES 1 TILEPROP INT_R_X43Y8 ROW 147 TILEPROP INT_R_X43Y8 SLR_REGION_ID 0 TILEPROP INT_R_X43Y8 TILE_PATTERN_IDX 3237 TILEPROP INT_R_X43Y8 TILE_TYPE INT_R TILEPROP INT_R_X43Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y8 TILE_X 103182 TILEPROP INT_R_X43Y8 TILE_Y -214072 TILEPROP INT_R_X43Y8 TYPE INT_R TILEPROP INT_R_X43Y9 CLASS tile TILEPROP INT_R_X43Y9 COLUMN 110 TILEPROP INT_R_X43Y9 DEVICE_ID 0 TILEPROP INT_R_X43Y9 FIRST_SITE_ID 14871 TILEPROP INT_R_X43Y9 GRID_POINT_X 110 TILEPROP INT_R_X43Y9 GRID_POINT_Y 146 TILEPROP INT_R_X43Y9 INDEX 16900 TILEPROP INT_R_X43Y9 INT_TILE_X 43 TILEPROP INT_R_X43Y9 INT_TILE_Y 140 TILEPROP INT_R_X43Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y9 IS_DCM_TILE 0 TILEPROP INT_R_X43Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y9 NAME INT_R_X43Y9 TILEPROP INT_R_X43Y9 NUM_ARCS 3737 TILEPROP INT_R_X43Y9 NUM_SITES 1 TILEPROP INT_R_X43Y9 ROW 146 TILEPROP INT_R_X43Y9 SLR_REGION_ID 0 TILEPROP INT_R_X43Y9 TILE_PATTERN_IDX 3199 TILEPROP INT_R_X43Y9 TILE_TYPE INT_R TILEPROP INT_R_X43Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y9 TILE_X 103182 TILEPROP INT_R_X43Y9 TILE_Y -210872 TILEPROP INT_R_X43Y9 TYPE INT_R TILEPROP INT_R_X43Y10 CLASS tile TILEPROP INT_R_X43Y10 COLUMN 110 TILEPROP INT_R_X43Y10 DEVICE_ID 0 TILEPROP INT_R_X43Y10 FIRST_SITE_ID 14771 TILEPROP INT_R_X43Y10 GRID_POINT_X 110 TILEPROP INT_R_X43Y10 GRID_POINT_Y 145 TILEPROP INT_R_X43Y10 INDEX 16785 TILEPROP INT_R_X43Y10 INT_TILE_X 43 TILEPROP INT_R_X43Y10 INT_TILE_Y 139 TILEPROP INT_R_X43Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y10 IS_DCM_TILE 0 TILEPROP INT_R_X43Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y10 NAME INT_R_X43Y10 TILEPROP INT_R_X43Y10 NUM_ARCS 3737 TILEPROP INT_R_X43Y10 NUM_SITES 1 TILEPROP INT_R_X43Y10 ROW 145 TILEPROP INT_R_X43Y10 SLR_REGION_ID 0 TILEPROP INT_R_X43Y10 TILE_PATTERN_IDX 3164 TILEPROP INT_R_X43Y10 TILE_TYPE INT_R TILEPROP INT_R_X43Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y10 TILE_X 103182 TILEPROP INT_R_X43Y10 TILE_Y -207672 TILEPROP INT_R_X43Y10 TYPE INT_R TILEPROP INT_R_X43Y11 CLASS tile TILEPROP INT_R_X43Y11 COLUMN 110 TILEPROP INT_R_X43Y11 DEVICE_ID 0 TILEPROP INT_R_X43Y11 FIRST_SITE_ID 14656 TILEPROP INT_R_X43Y11 GRID_POINT_X 110 TILEPROP INT_R_X43Y11 GRID_POINT_Y 144 TILEPROP INT_R_X43Y11 INDEX 16670 TILEPROP INT_R_X43Y11 INT_TILE_X 43 TILEPROP INT_R_X43Y11 INT_TILE_Y 138 TILEPROP INT_R_X43Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y11 IS_DCM_TILE 0 TILEPROP INT_R_X43Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y11 NAME INT_R_X43Y11 TILEPROP INT_R_X43Y11 NUM_ARCS 3737 TILEPROP INT_R_X43Y11 NUM_SITES 1 TILEPROP INT_R_X43Y11 ROW 144 TILEPROP INT_R_X43Y11 SLR_REGION_ID 0 TILEPROP INT_R_X43Y11 TILE_PATTERN_IDX 3126 TILEPROP INT_R_X43Y11 TILE_TYPE INT_R TILEPROP INT_R_X43Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y11 TILE_X 103182 TILEPROP INT_R_X43Y11 TILE_Y -204472 TILEPROP INT_R_X43Y11 TYPE INT_R TILEPROP INT_R_X43Y12 CLASS tile TILEPROP INT_R_X43Y12 COLUMN 110 TILEPROP INT_R_X43Y12 DEVICE_ID 0 TILEPROP INT_R_X43Y12 FIRST_SITE_ID 14556 TILEPROP INT_R_X43Y12 GRID_POINT_X 110 TILEPROP INT_R_X43Y12 GRID_POINT_Y 143 TILEPROP INT_R_X43Y12 INDEX 16555 TILEPROP INT_R_X43Y12 INT_TILE_X 43 TILEPROP INT_R_X43Y12 INT_TILE_Y 137 TILEPROP INT_R_X43Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y12 IS_DCM_TILE 0 TILEPROP INT_R_X43Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y12 NAME INT_R_X43Y12 TILEPROP INT_R_X43Y12 NUM_ARCS 3737 TILEPROP INT_R_X43Y12 NUM_SITES 1 TILEPROP INT_R_X43Y12 ROW 143 TILEPROP INT_R_X43Y12 SLR_REGION_ID 0 TILEPROP INT_R_X43Y12 TILE_PATTERN_IDX 3091 TILEPROP INT_R_X43Y12 TILE_TYPE INT_R TILEPROP INT_R_X43Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y12 TILE_X 103182 TILEPROP INT_R_X43Y12 TILE_Y -201272 TILEPROP INT_R_X43Y12 TYPE INT_R TILEPROP INT_R_X43Y13 CLASS tile TILEPROP INT_R_X43Y13 COLUMN 110 TILEPROP INT_R_X43Y13 DEVICE_ID 0 TILEPROP INT_R_X43Y13 FIRST_SITE_ID 14424 TILEPROP INT_R_X43Y13 GRID_POINT_X 110 TILEPROP INT_R_X43Y13 GRID_POINT_Y 142 TILEPROP INT_R_X43Y13 INDEX 16440 TILEPROP INT_R_X43Y13 INT_TILE_X 43 TILEPROP INT_R_X43Y13 INT_TILE_Y 136 TILEPROP INT_R_X43Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y13 IS_DCM_TILE 0 TILEPROP INT_R_X43Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y13 NAME INT_R_X43Y13 TILEPROP INT_R_X43Y13 NUM_ARCS 3737 TILEPROP INT_R_X43Y13 NUM_SITES 1 TILEPROP INT_R_X43Y13 ROW 142 TILEPROP INT_R_X43Y13 SLR_REGION_ID 0 TILEPROP INT_R_X43Y13 TILE_PATTERN_IDX 3054 TILEPROP INT_R_X43Y13 TILE_TYPE INT_R TILEPROP INT_R_X43Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y13 TILE_X 103182 TILEPROP INT_R_X43Y13 TILE_Y -198072 TILEPROP INT_R_X43Y13 TYPE INT_R TILEPROP INT_R_X43Y14 CLASS tile TILEPROP INT_R_X43Y14 COLUMN 110 TILEPROP INT_R_X43Y14 DEVICE_ID 0 TILEPROP INT_R_X43Y14 FIRST_SITE_ID 14324 TILEPROP INT_R_X43Y14 GRID_POINT_X 110 TILEPROP INT_R_X43Y14 GRID_POINT_Y 141 TILEPROP INT_R_X43Y14 INDEX 16325 TILEPROP INT_R_X43Y14 INT_TILE_X 43 TILEPROP INT_R_X43Y14 INT_TILE_Y 135 TILEPROP INT_R_X43Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y14 IS_DCM_TILE 0 TILEPROP INT_R_X43Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y14 NAME INT_R_X43Y14 TILEPROP INT_R_X43Y14 NUM_ARCS 3737 TILEPROP INT_R_X43Y14 NUM_SITES 1 TILEPROP INT_R_X43Y14 ROW 141 TILEPROP INT_R_X43Y14 SLR_REGION_ID 0 TILEPROP INT_R_X43Y14 TILE_PATTERN_IDX 3019 TILEPROP INT_R_X43Y14 TILE_TYPE INT_R TILEPROP INT_R_X43Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y14 TILE_X 103182 TILEPROP INT_R_X43Y14 TILE_Y -194872 TILEPROP INT_R_X43Y14 TYPE INT_R TILEPROP INT_R_X43Y15 CLASS tile TILEPROP INT_R_X43Y15 COLUMN 110 TILEPROP INT_R_X43Y15 DEVICE_ID 0 TILEPROP INT_R_X43Y15 FIRST_SITE_ID 14224 TILEPROP INT_R_X43Y15 GRID_POINT_X 110 TILEPROP INT_R_X43Y15 GRID_POINT_Y 140 TILEPROP INT_R_X43Y15 INDEX 16210 TILEPROP INT_R_X43Y15 INT_TILE_X 43 TILEPROP INT_R_X43Y15 INT_TILE_Y 134 TILEPROP INT_R_X43Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y15 IS_DCM_TILE 0 TILEPROP INT_R_X43Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y15 NAME INT_R_X43Y15 TILEPROP INT_R_X43Y15 NUM_ARCS 3737 TILEPROP INT_R_X43Y15 NUM_SITES 1 TILEPROP INT_R_X43Y15 ROW 140 TILEPROP INT_R_X43Y15 SLR_REGION_ID 0 TILEPROP INT_R_X43Y15 TILE_PATTERN_IDX 2983 TILEPROP INT_R_X43Y15 TILE_TYPE INT_R TILEPROP INT_R_X43Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y15 TILE_X 103182 TILEPROP INT_R_X43Y15 TILE_Y -191672 TILEPROP INT_R_X43Y15 TYPE INT_R TILEPROP INT_R_X43Y16 CLASS tile TILEPROP INT_R_X43Y16 COLUMN 110 TILEPROP INT_R_X43Y16 DEVICE_ID 0 TILEPROP INT_R_X43Y16 FIRST_SITE_ID 14109 TILEPROP INT_R_X43Y16 GRID_POINT_X 110 TILEPROP INT_R_X43Y16 GRID_POINT_Y 139 TILEPROP INT_R_X43Y16 INDEX 16095 TILEPROP INT_R_X43Y16 INT_TILE_X 43 TILEPROP INT_R_X43Y16 INT_TILE_Y 133 TILEPROP INT_R_X43Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y16 IS_DCM_TILE 0 TILEPROP INT_R_X43Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y16 NAME INT_R_X43Y16 TILEPROP INT_R_X43Y16 NUM_ARCS 3737 TILEPROP INT_R_X43Y16 NUM_SITES 1 TILEPROP INT_R_X43Y16 ROW 139 TILEPROP INT_R_X43Y16 SLR_REGION_ID 0 TILEPROP INT_R_X43Y16 TILE_PATTERN_IDX 2947 TILEPROP INT_R_X43Y16 TILE_TYPE INT_R TILEPROP INT_R_X43Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y16 TILE_X 103182 TILEPROP INT_R_X43Y16 TILE_Y -188472 TILEPROP INT_R_X43Y16 TYPE INT_R TILEPROP INT_R_X43Y17 CLASS tile TILEPROP INT_R_X43Y17 COLUMN 110 TILEPROP INT_R_X43Y17 DEVICE_ID 0 TILEPROP INT_R_X43Y17 FIRST_SITE_ID 14009 TILEPROP INT_R_X43Y17 GRID_POINT_X 110 TILEPROP INT_R_X43Y17 GRID_POINT_Y 138 TILEPROP INT_R_X43Y17 INDEX 15980 TILEPROP INT_R_X43Y17 INT_TILE_X 43 TILEPROP INT_R_X43Y17 INT_TILE_Y 132 TILEPROP INT_R_X43Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y17 IS_DCM_TILE 0 TILEPROP INT_R_X43Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y17 NAME INT_R_X43Y17 TILEPROP INT_R_X43Y17 NUM_ARCS 3737 TILEPROP INT_R_X43Y17 NUM_SITES 1 TILEPROP INT_R_X43Y17 ROW 138 TILEPROP INT_R_X43Y17 SLR_REGION_ID 0 TILEPROP INT_R_X43Y17 TILE_PATTERN_IDX 2911 TILEPROP INT_R_X43Y17 TILE_TYPE INT_R TILEPROP INT_R_X43Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y17 TILE_X 103182 TILEPROP INT_R_X43Y17 TILE_Y -185272 TILEPROP INT_R_X43Y17 TYPE INT_R TILEPROP INT_R_X43Y18 CLASS tile TILEPROP INT_R_X43Y18 COLUMN 110 TILEPROP INT_R_X43Y18 DEVICE_ID 0 TILEPROP INT_R_X43Y18 FIRST_SITE_ID 13900 TILEPROP INT_R_X43Y18 GRID_POINT_X 110 TILEPROP INT_R_X43Y18 GRID_POINT_Y 137 TILEPROP INT_R_X43Y18 INDEX 15865 TILEPROP INT_R_X43Y18 INT_TILE_X 43 TILEPROP INT_R_X43Y18 INT_TILE_Y 131 TILEPROP INT_R_X43Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y18 IS_DCM_TILE 0 TILEPROP INT_R_X43Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y18 NAME INT_R_X43Y18 TILEPROP INT_R_X43Y18 NUM_ARCS 3737 TILEPROP INT_R_X43Y18 NUM_SITES 1 TILEPROP INT_R_X43Y18 ROW 137 TILEPROP INT_R_X43Y18 SLR_REGION_ID 0 TILEPROP INT_R_X43Y18 TILE_PATTERN_IDX 2872 TILEPROP INT_R_X43Y18 TILE_TYPE INT_R TILEPROP INT_R_X43Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y18 TILE_X 103182 TILEPROP INT_R_X43Y18 TILE_Y -182072 TILEPROP INT_R_X43Y18 TYPE INT_R TILEPROP INT_R_X43Y19 CLASS tile TILEPROP INT_R_X43Y19 COLUMN 110 TILEPROP INT_R_X43Y19 DEVICE_ID 0 TILEPROP INT_R_X43Y19 FIRST_SITE_ID 13800 TILEPROP INT_R_X43Y19 GRID_POINT_X 110 TILEPROP INT_R_X43Y19 GRID_POINT_Y 136 TILEPROP INT_R_X43Y19 INDEX 15750 TILEPROP INT_R_X43Y19 INT_TILE_X 43 TILEPROP INT_R_X43Y19 INT_TILE_Y 130 TILEPROP INT_R_X43Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y19 IS_DCM_TILE 0 TILEPROP INT_R_X43Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y19 NAME INT_R_X43Y19 TILEPROP INT_R_X43Y19 NUM_ARCS 3737 TILEPROP INT_R_X43Y19 NUM_SITES 1 TILEPROP INT_R_X43Y19 ROW 136 TILEPROP INT_R_X43Y19 SLR_REGION_ID 0 TILEPROP INT_R_X43Y19 TILE_PATTERN_IDX 2836 TILEPROP INT_R_X43Y19 TILE_TYPE INT_R TILEPROP INT_R_X43Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y19 TILE_X 103182 TILEPROP INT_R_X43Y19 TILE_Y -178872 TILEPROP INT_R_X43Y19 TYPE INT_R TILEPROP INT_R_X43Y20 CLASS tile TILEPROP INT_R_X43Y20 COLUMN 110 TILEPROP INT_R_X43Y20 DEVICE_ID 0 TILEPROP INT_R_X43Y20 FIRST_SITE_ID 13696 TILEPROP INT_R_X43Y20 GRID_POINT_X 110 TILEPROP INT_R_X43Y20 GRID_POINT_Y 135 TILEPROP INT_R_X43Y20 INDEX 15635 TILEPROP INT_R_X43Y20 INT_TILE_X 43 TILEPROP INT_R_X43Y20 INT_TILE_Y 129 TILEPROP INT_R_X43Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y20 IS_DCM_TILE 0 TILEPROP INT_R_X43Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y20 NAME INT_R_X43Y20 TILEPROP INT_R_X43Y20 NUM_ARCS 3737 TILEPROP INT_R_X43Y20 NUM_SITES 1 TILEPROP INT_R_X43Y20 ROW 135 TILEPROP INT_R_X43Y20 SLR_REGION_ID 0 TILEPROP INT_R_X43Y20 TILE_PATTERN_IDX 2800 TILEPROP INT_R_X43Y20 TILE_TYPE INT_R TILEPROP INT_R_X43Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y20 TILE_X 103182 TILEPROP INT_R_X43Y20 TILE_Y -175672 TILEPROP INT_R_X43Y20 TYPE INT_R TILEPROP INT_R_X43Y21 CLASS tile TILEPROP INT_R_X43Y21 COLUMN 110 TILEPROP INT_R_X43Y21 DEVICE_ID 0 TILEPROP INT_R_X43Y21 FIRST_SITE_ID 13581 TILEPROP INT_R_X43Y21 GRID_POINT_X 110 TILEPROP INT_R_X43Y21 GRID_POINT_Y 134 TILEPROP INT_R_X43Y21 INDEX 15520 TILEPROP INT_R_X43Y21 INT_TILE_X 43 TILEPROP INT_R_X43Y21 INT_TILE_Y 128 TILEPROP INT_R_X43Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y21 IS_DCM_TILE 0 TILEPROP INT_R_X43Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y21 NAME INT_R_X43Y21 TILEPROP INT_R_X43Y21 NUM_ARCS 3737 TILEPROP INT_R_X43Y21 NUM_SITES 1 TILEPROP INT_R_X43Y21 ROW 134 TILEPROP INT_R_X43Y21 SLR_REGION_ID 0 TILEPROP INT_R_X43Y21 TILE_PATTERN_IDX 2763 TILEPROP INT_R_X43Y21 TILE_TYPE INT_R TILEPROP INT_R_X43Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y21 TILE_X 103182 TILEPROP INT_R_X43Y21 TILE_Y -172472 TILEPROP INT_R_X43Y21 TYPE INT_R TILEPROP INT_R_X43Y22 CLASS tile TILEPROP INT_R_X43Y22 COLUMN 110 TILEPROP INT_R_X43Y22 DEVICE_ID 0 TILEPROP INT_R_X43Y22 FIRST_SITE_ID 13481 TILEPROP INT_R_X43Y22 GRID_POINT_X 110 TILEPROP INT_R_X43Y22 GRID_POINT_Y 133 TILEPROP INT_R_X43Y22 INDEX 15405 TILEPROP INT_R_X43Y22 INT_TILE_X 43 TILEPROP INT_R_X43Y22 INT_TILE_Y 127 TILEPROP INT_R_X43Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y22 IS_DCM_TILE 0 TILEPROP INT_R_X43Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y22 NAME INT_R_X43Y22 TILEPROP INT_R_X43Y22 NUM_ARCS 3737 TILEPROP INT_R_X43Y22 NUM_SITES 1 TILEPROP INT_R_X43Y22 ROW 133 TILEPROP INT_R_X43Y22 SLR_REGION_ID 0 TILEPROP INT_R_X43Y22 TILE_PATTERN_IDX 2727 TILEPROP INT_R_X43Y22 TILE_TYPE INT_R TILEPROP INT_R_X43Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y22 TILE_X 103182 TILEPROP INT_R_X43Y22 TILE_Y -169272 TILEPROP INT_R_X43Y22 TYPE INT_R TILEPROP INT_R_X43Y23 CLASS tile TILEPROP INT_R_X43Y23 COLUMN 110 TILEPROP INT_R_X43Y23 DEVICE_ID 0 TILEPROP INT_R_X43Y23 FIRST_SITE_ID 13381 TILEPROP INT_R_X43Y23 GRID_POINT_X 110 TILEPROP INT_R_X43Y23 GRID_POINT_Y 132 TILEPROP INT_R_X43Y23 INDEX 15290 TILEPROP INT_R_X43Y23 INT_TILE_X 43 TILEPROP INT_R_X43Y23 INT_TILE_Y 126 TILEPROP INT_R_X43Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y23 IS_DCM_TILE 0 TILEPROP INT_R_X43Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y23 NAME INT_R_X43Y23 TILEPROP INT_R_X43Y23 NUM_ARCS 3737 TILEPROP INT_R_X43Y23 NUM_SITES 1 TILEPROP INT_R_X43Y23 ROW 132 TILEPROP INT_R_X43Y23 SLR_REGION_ID 0 TILEPROP INT_R_X43Y23 TILE_PATTERN_IDX 2690 TILEPROP INT_R_X43Y23 TILE_TYPE INT_R TILEPROP INT_R_X43Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y23 TILE_X 103182 TILEPROP INT_R_X43Y23 TILE_Y -166072 TILEPROP INT_R_X43Y23 TYPE INT_R TILEPROP INT_R_X43Y24 CLASS tile TILEPROP INT_R_X43Y24 COLUMN 110 TILEPROP INT_R_X43Y24 DEVICE_ID 0 TILEPROP INT_R_X43Y24 FIRST_SITE_ID 13281 TILEPROP INT_R_X43Y24 GRID_POINT_X 110 TILEPROP INT_R_X43Y24 GRID_POINT_Y 131 TILEPROP INT_R_X43Y24 INDEX 15175 TILEPROP INT_R_X43Y24 INT_TILE_X 43 TILEPROP INT_R_X43Y24 INT_TILE_Y 125 TILEPROP INT_R_X43Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y24 IS_DCM_TILE 0 TILEPROP INT_R_X43Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y24 NAME INT_R_X43Y24 TILEPROP INT_R_X43Y24 NUM_ARCS 3737 TILEPROP INT_R_X43Y24 NUM_SITES 1 TILEPROP INT_R_X43Y24 ROW 131 TILEPROP INT_R_X43Y24 SLR_REGION_ID 0 TILEPROP INT_R_X43Y24 TILE_PATTERN_IDX 2654 TILEPROP INT_R_X43Y24 TILE_TYPE INT_R TILEPROP INT_R_X43Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y24 TILE_X 103182 TILEPROP INT_R_X43Y24 TILE_Y -162872 TILEPROP INT_R_X43Y24 TYPE INT_R TILEPROP INT_R_X43Y25 CLASS tile TILEPROP INT_R_X43Y25 COLUMN 110 TILEPROP INT_R_X43Y25 DEVICE_ID 0 TILEPROP INT_R_X43Y25 FIRST_SITE_ID 13098 TILEPROP INT_R_X43Y25 GRID_POINT_X 110 TILEPROP INT_R_X43Y25 GRID_POINT_Y 129 TILEPROP INT_R_X43Y25 INDEX 14945 TILEPROP INT_R_X43Y25 INT_TILE_X 43 TILEPROP INT_R_X43Y25 INT_TILE_Y 124 TILEPROP INT_R_X43Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y25 IS_DCM_TILE 0 TILEPROP INT_R_X43Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y25 NAME INT_R_X43Y25 TILEPROP INT_R_X43Y25 NUM_ARCS 3737 TILEPROP INT_R_X43Y25 NUM_SITES 1 TILEPROP INT_R_X43Y25 ROW 129 TILEPROP INT_R_X43Y25 SLR_REGION_ID 0 TILEPROP INT_R_X43Y25 TILE_PATTERN_IDX 2579 TILEPROP INT_R_X43Y25 TILE_TYPE INT_R TILEPROP INT_R_X43Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y25 TILE_X 103182 TILEPROP INT_R_X43Y25 TILE_Y -158648 TILEPROP INT_R_X43Y25 TYPE INT_R TILEPROP INT_R_X43Y26 CLASS tile TILEPROP INT_R_X43Y26 COLUMN 110 TILEPROP INT_R_X43Y26 DEVICE_ID 0 TILEPROP INT_R_X43Y26 FIRST_SITE_ID 12983 TILEPROP INT_R_X43Y26 GRID_POINT_X 110 TILEPROP INT_R_X43Y26 GRID_POINT_Y 128 TILEPROP INT_R_X43Y26 INDEX 14830 TILEPROP INT_R_X43Y26 INT_TILE_X 43 TILEPROP INT_R_X43Y26 INT_TILE_Y 123 TILEPROP INT_R_X43Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y26 IS_DCM_TILE 0 TILEPROP INT_R_X43Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y26 NAME INT_R_X43Y26 TILEPROP INT_R_X43Y26 NUM_ARCS 3737 TILEPROP INT_R_X43Y26 NUM_SITES 1 TILEPROP INT_R_X43Y26 ROW 128 TILEPROP INT_R_X43Y26 SLR_REGION_ID 0 TILEPROP INT_R_X43Y26 TILE_PATTERN_IDX 2542 TILEPROP INT_R_X43Y26 TILE_TYPE INT_R TILEPROP INT_R_X43Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y26 TILE_X 103182 TILEPROP INT_R_X43Y26 TILE_Y -155448 TILEPROP INT_R_X43Y26 TYPE INT_R TILEPROP INT_R_X43Y27 CLASS tile TILEPROP INT_R_X43Y27 COLUMN 110 TILEPROP INT_R_X43Y27 DEVICE_ID 0 TILEPROP INT_R_X43Y27 FIRST_SITE_ID 12883 TILEPROP INT_R_X43Y27 GRID_POINT_X 110 TILEPROP INT_R_X43Y27 GRID_POINT_Y 127 TILEPROP INT_R_X43Y27 INDEX 14715 TILEPROP INT_R_X43Y27 INT_TILE_X 43 TILEPROP INT_R_X43Y27 INT_TILE_Y 122 TILEPROP INT_R_X43Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y27 IS_DCM_TILE 0 TILEPROP INT_R_X43Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y27 NAME INT_R_X43Y27 TILEPROP INT_R_X43Y27 NUM_ARCS 3737 TILEPROP INT_R_X43Y27 NUM_SITES 1 TILEPROP INT_R_X43Y27 ROW 127 TILEPROP INT_R_X43Y27 SLR_REGION_ID 0 TILEPROP INT_R_X43Y27 TILE_PATTERN_IDX 2505 TILEPROP INT_R_X43Y27 TILE_TYPE INT_R TILEPROP INT_R_X43Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y27 TILE_X 103182 TILEPROP INT_R_X43Y27 TILE_Y -152248 TILEPROP INT_R_X43Y27 TYPE INT_R TILEPROP INT_R_X43Y28 CLASS tile TILEPROP INT_R_X43Y28 COLUMN 110 TILEPROP INT_R_X43Y28 DEVICE_ID 0 TILEPROP INT_R_X43Y28 FIRST_SITE_ID 12783 TILEPROP INT_R_X43Y28 GRID_POINT_X 110 TILEPROP INT_R_X43Y28 GRID_POINT_Y 126 TILEPROP INT_R_X43Y28 INDEX 14600 TILEPROP INT_R_X43Y28 INT_TILE_X 43 TILEPROP INT_R_X43Y28 INT_TILE_Y 121 TILEPROP INT_R_X43Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y28 IS_DCM_TILE 0 TILEPROP INT_R_X43Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y28 NAME INT_R_X43Y28 TILEPROP INT_R_X43Y28 NUM_ARCS 3737 TILEPROP INT_R_X43Y28 NUM_SITES 1 TILEPROP INT_R_X43Y28 ROW 126 TILEPROP INT_R_X43Y28 SLR_REGION_ID 0 TILEPROP INT_R_X43Y28 TILE_PATTERN_IDX 2469 TILEPROP INT_R_X43Y28 TILE_TYPE INT_R TILEPROP INT_R_X43Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y28 TILE_X 103182 TILEPROP INT_R_X43Y28 TILE_Y -149048 TILEPROP INT_R_X43Y28 TYPE INT_R TILEPROP INT_R_X43Y29 CLASS tile TILEPROP INT_R_X43Y29 COLUMN 110 TILEPROP INT_R_X43Y29 DEVICE_ID 0 TILEPROP INT_R_X43Y29 FIRST_SITE_ID 12683 TILEPROP INT_R_X43Y29 GRID_POINT_X 110 TILEPROP INT_R_X43Y29 GRID_POINT_Y 125 TILEPROP INT_R_X43Y29 INDEX 14485 TILEPROP INT_R_X43Y29 INT_TILE_X 43 TILEPROP INT_R_X43Y29 INT_TILE_Y 120 TILEPROP INT_R_X43Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y29 IS_DCM_TILE 0 TILEPROP INT_R_X43Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y29 NAME INT_R_X43Y29 TILEPROP INT_R_X43Y29 NUM_ARCS 3737 TILEPROP INT_R_X43Y29 NUM_SITES 1 TILEPROP INT_R_X43Y29 ROW 125 TILEPROP INT_R_X43Y29 SLR_REGION_ID 0 TILEPROP INT_R_X43Y29 TILE_PATTERN_IDX 2432 TILEPROP INT_R_X43Y29 TILE_TYPE INT_R TILEPROP INT_R_X43Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y29 TILE_X 103182 TILEPROP INT_R_X43Y29 TILE_Y -145848 TILEPROP INT_R_X43Y29 TYPE INT_R TILEPROP INT_R_X43Y30 CLASS tile TILEPROP INT_R_X43Y30 COLUMN 110 TILEPROP INT_R_X43Y30 DEVICE_ID 0 TILEPROP INT_R_X43Y30 FIRST_SITE_ID 12571 TILEPROP INT_R_X43Y30 GRID_POINT_X 110 TILEPROP INT_R_X43Y30 GRID_POINT_Y 124 TILEPROP INT_R_X43Y30 INDEX 14370 TILEPROP INT_R_X43Y30 INT_TILE_X 43 TILEPROP INT_R_X43Y30 INT_TILE_Y 119 TILEPROP INT_R_X43Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y30 IS_DCM_TILE 0 TILEPROP INT_R_X43Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y30 NAME INT_R_X43Y30 TILEPROP INT_R_X43Y30 NUM_ARCS 3737 TILEPROP INT_R_X43Y30 NUM_SITES 1 TILEPROP INT_R_X43Y30 ROW 124 TILEPROP INT_R_X43Y30 SLR_REGION_ID 0 TILEPROP INT_R_X43Y30 TILE_PATTERN_IDX 2395 TILEPROP INT_R_X43Y30 TILE_TYPE INT_R TILEPROP INT_R_X43Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y30 TILE_X 103182 TILEPROP INT_R_X43Y30 TILE_Y -142648 TILEPROP INT_R_X43Y30 TYPE INT_R TILEPROP INT_R_X43Y31 CLASS tile TILEPROP INT_R_X43Y31 COLUMN 110 TILEPROP INT_R_X43Y31 DEVICE_ID 0 TILEPROP INT_R_X43Y31 FIRST_SITE_ID 12443 TILEPROP INT_R_X43Y31 GRID_POINT_X 110 TILEPROP INT_R_X43Y31 GRID_POINT_Y 123 TILEPROP INT_R_X43Y31 INDEX 14255 TILEPROP INT_R_X43Y31 INT_TILE_X 43 TILEPROP INT_R_X43Y31 INT_TILE_Y 118 TILEPROP INT_R_X43Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y31 IS_DCM_TILE 0 TILEPROP INT_R_X43Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y31 NAME INT_R_X43Y31 TILEPROP INT_R_X43Y31 NUM_ARCS 3737 TILEPROP INT_R_X43Y31 NUM_SITES 1 TILEPROP INT_R_X43Y31 ROW 123 TILEPROP INT_R_X43Y31 SLR_REGION_ID 0 TILEPROP INT_R_X43Y31 TILE_PATTERN_IDX 2357 TILEPROP INT_R_X43Y31 TILE_TYPE INT_R TILEPROP INT_R_X43Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y31 TILE_X 103182 TILEPROP INT_R_X43Y31 TILE_Y -139448 TILEPROP INT_R_X43Y31 TYPE INT_R TILEPROP INT_R_X43Y32 CLASS tile TILEPROP INT_R_X43Y32 COLUMN 110 TILEPROP INT_R_X43Y32 DEVICE_ID 0 TILEPROP INT_R_X43Y32 FIRST_SITE_ID 12339 TILEPROP INT_R_X43Y32 GRID_POINT_X 110 TILEPROP INT_R_X43Y32 GRID_POINT_Y 122 TILEPROP INT_R_X43Y32 INDEX 14140 TILEPROP INT_R_X43Y32 INT_TILE_X 43 TILEPROP INT_R_X43Y32 INT_TILE_Y 117 TILEPROP INT_R_X43Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y32 IS_DCM_TILE 0 TILEPROP INT_R_X43Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y32 NAME INT_R_X43Y32 TILEPROP INT_R_X43Y32 NUM_ARCS 3737 TILEPROP INT_R_X43Y32 NUM_SITES 1 TILEPROP INT_R_X43Y32 ROW 122 TILEPROP INT_R_X43Y32 SLR_REGION_ID 0 TILEPROP INT_R_X43Y32 TILE_PATTERN_IDX 7805 TILEPROP INT_R_X43Y32 TILE_TYPE INT_R TILEPROP INT_R_X43Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y32 TILE_X 103182 TILEPROP INT_R_X43Y32 TILE_Y -136248 TILEPROP INT_R_X43Y32 TYPE INT_R TILEPROP INT_R_X43Y33 CLASS tile TILEPROP INT_R_X43Y33 COLUMN 110 TILEPROP INT_R_X43Y33 DEVICE_ID 0 TILEPROP INT_R_X43Y33 FIRST_SITE_ID 12238 TILEPROP INT_R_X43Y33 GRID_POINT_X 110 TILEPROP INT_R_X43Y33 GRID_POINT_Y 121 TILEPROP INT_R_X43Y33 INDEX 14025 TILEPROP INT_R_X43Y33 INT_TILE_X 43 TILEPROP INT_R_X43Y33 INT_TILE_Y 116 TILEPROP INT_R_X43Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y33 IS_DCM_TILE 0 TILEPROP INT_R_X43Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y33 NAME INT_R_X43Y33 TILEPROP INT_R_X43Y33 NUM_ARCS 3737 TILEPROP INT_R_X43Y33 NUM_SITES 1 TILEPROP INT_R_X43Y33 ROW 121 TILEPROP INT_R_X43Y33 SLR_REGION_ID 0 TILEPROP INT_R_X43Y33 TILE_PATTERN_IDX 7770 TILEPROP INT_R_X43Y33 TILE_TYPE INT_R TILEPROP INT_R_X43Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y33 TILE_X 103182 TILEPROP INT_R_X43Y33 TILE_Y -133048 TILEPROP INT_R_X43Y33 TYPE INT_R TILEPROP INT_R_X43Y34 CLASS tile TILEPROP INT_R_X43Y34 COLUMN 110 TILEPROP INT_R_X43Y34 DEVICE_ID 0 TILEPROP INT_R_X43Y34 FIRST_SITE_ID 12138 TILEPROP INT_R_X43Y34 GRID_POINT_X 110 TILEPROP INT_R_X43Y34 GRID_POINT_Y 120 TILEPROP INT_R_X43Y34 INDEX 13910 TILEPROP INT_R_X43Y34 INT_TILE_X 43 TILEPROP INT_R_X43Y34 INT_TILE_Y 115 TILEPROP INT_R_X43Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y34 IS_DCM_TILE 0 TILEPROP INT_R_X43Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y34 NAME INT_R_X43Y34 TILEPROP INT_R_X43Y34 NUM_ARCS 3737 TILEPROP INT_R_X43Y34 NUM_SITES 1 TILEPROP INT_R_X43Y34 ROW 120 TILEPROP INT_R_X43Y34 SLR_REGION_ID 0 TILEPROP INT_R_X43Y34 TILE_PATTERN_IDX 7742 TILEPROP INT_R_X43Y34 TILE_TYPE INT_R TILEPROP INT_R_X43Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y34 TILE_X 103182 TILEPROP INT_R_X43Y34 TILE_Y -129848 TILEPROP INT_R_X43Y34 TYPE INT_R TILEPROP INT_R_X43Y35 CLASS tile TILEPROP INT_R_X43Y35 COLUMN 110 TILEPROP INT_R_X43Y35 DEVICE_ID 0 TILEPROP INT_R_X43Y35 FIRST_SITE_ID 12038 TILEPROP INT_R_X43Y35 GRID_POINT_X 110 TILEPROP INT_R_X43Y35 GRID_POINT_Y 119 TILEPROP INT_R_X43Y35 INDEX 13795 TILEPROP INT_R_X43Y35 INT_TILE_X 43 TILEPROP INT_R_X43Y35 INT_TILE_Y 114 TILEPROP INT_R_X43Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y35 IS_DCM_TILE 0 TILEPROP INT_R_X43Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y35 NAME INT_R_X43Y35 TILEPROP INT_R_X43Y35 NUM_ARCS 3737 TILEPROP INT_R_X43Y35 NUM_SITES 1 TILEPROP INT_R_X43Y35 ROW 119 TILEPROP INT_R_X43Y35 SLR_REGION_ID 0 TILEPROP INT_R_X43Y35 TILE_PATTERN_IDX 7713 TILEPROP INT_R_X43Y35 TILE_TYPE INT_R TILEPROP INT_R_X43Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y35 TILE_X 103182 TILEPROP INT_R_X43Y35 TILE_Y -126648 TILEPROP INT_R_X43Y35 TYPE INT_R TILEPROP INT_R_X43Y36 CLASS tile TILEPROP INT_R_X43Y36 COLUMN 110 TILEPROP INT_R_X43Y36 DEVICE_ID 0 TILEPROP INT_R_X43Y36 FIRST_SITE_ID 11923 TILEPROP INT_R_X43Y36 GRID_POINT_X 110 TILEPROP INT_R_X43Y36 GRID_POINT_Y 118 TILEPROP INT_R_X43Y36 INDEX 13680 TILEPROP INT_R_X43Y36 INT_TILE_X 43 TILEPROP INT_R_X43Y36 INT_TILE_Y 113 TILEPROP INT_R_X43Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y36 IS_DCM_TILE 0 TILEPROP INT_R_X43Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y36 NAME INT_R_X43Y36 TILEPROP INT_R_X43Y36 NUM_ARCS 3737 TILEPROP INT_R_X43Y36 NUM_SITES 1 TILEPROP INT_R_X43Y36 ROW 118 TILEPROP INT_R_X43Y36 SLR_REGION_ID 0 TILEPROP INT_R_X43Y36 TILE_PATTERN_IDX 7685 TILEPROP INT_R_X43Y36 TILE_TYPE INT_R TILEPROP INT_R_X43Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y36 TILE_X 103182 TILEPROP INT_R_X43Y36 TILE_Y -123448 TILEPROP INT_R_X43Y36 TYPE INT_R TILEPROP INT_R_X43Y37 CLASS tile TILEPROP INT_R_X43Y37 COLUMN 110 TILEPROP INT_R_X43Y37 DEVICE_ID 0 TILEPROP INT_R_X43Y37 FIRST_SITE_ID 11791 TILEPROP INT_R_X43Y37 GRID_POINT_X 110 TILEPROP INT_R_X43Y37 GRID_POINT_Y 117 TILEPROP INT_R_X43Y37 INDEX 13565 TILEPROP INT_R_X43Y37 INT_TILE_X 43 TILEPROP INT_R_X43Y37 INT_TILE_Y 112 TILEPROP INT_R_X43Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y37 IS_DCM_TILE 0 TILEPROP INT_R_X43Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y37 NAME INT_R_X43Y37 TILEPROP INT_R_X43Y37 NUM_ARCS 3737 TILEPROP INT_R_X43Y37 NUM_SITES 1 TILEPROP INT_R_X43Y37 ROW 117 TILEPROP INT_R_X43Y37 SLR_REGION_ID 0 TILEPROP INT_R_X43Y37 TILE_PATTERN_IDX 7657 TILEPROP INT_R_X43Y37 TILE_TYPE INT_R TILEPROP INT_R_X43Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y37 TILE_X 103182 TILEPROP INT_R_X43Y37 TILE_Y -120248 TILEPROP INT_R_X43Y37 TYPE INT_R TILEPROP INT_R_X43Y38 CLASS tile TILEPROP INT_R_X43Y38 COLUMN 110 TILEPROP INT_R_X43Y38 DEVICE_ID 0 TILEPROP INT_R_X43Y38 FIRST_SITE_ID 11691 TILEPROP INT_R_X43Y38 GRID_POINT_X 110 TILEPROP INT_R_X43Y38 GRID_POINT_Y 116 TILEPROP INT_R_X43Y38 INDEX 13450 TILEPROP INT_R_X43Y38 INT_TILE_X 43 TILEPROP INT_R_X43Y38 INT_TILE_Y 111 TILEPROP INT_R_X43Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y38 IS_DCM_TILE 0 TILEPROP INT_R_X43Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y38 NAME INT_R_X43Y38 TILEPROP INT_R_X43Y38 NUM_ARCS 3737 TILEPROP INT_R_X43Y38 NUM_SITES 1 TILEPROP INT_R_X43Y38 ROW 116 TILEPROP INT_R_X43Y38 SLR_REGION_ID 0 TILEPROP INT_R_X43Y38 TILE_PATTERN_IDX 7629 TILEPROP INT_R_X43Y38 TILE_TYPE INT_R TILEPROP INT_R_X43Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y38 TILE_X 103182 TILEPROP INT_R_X43Y38 TILE_Y -117048 TILEPROP INT_R_X43Y38 TYPE INT_R TILEPROP INT_R_X43Y39 CLASS tile TILEPROP INT_R_X43Y39 COLUMN 110 TILEPROP INT_R_X43Y39 DEVICE_ID 0 TILEPROP INT_R_X43Y39 FIRST_SITE_ID 11591 TILEPROP INT_R_X43Y39 GRID_POINT_X 110 TILEPROP INT_R_X43Y39 GRID_POINT_Y 115 TILEPROP INT_R_X43Y39 INDEX 13335 TILEPROP INT_R_X43Y39 INT_TILE_X 43 TILEPROP INT_R_X43Y39 INT_TILE_Y 110 TILEPROP INT_R_X43Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y39 IS_DCM_TILE 0 TILEPROP INT_R_X43Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y39 NAME INT_R_X43Y39 TILEPROP INT_R_X43Y39 NUM_ARCS 3737 TILEPROP INT_R_X43Y39 NUM_SITES 1 TILEPROP INT_R_X43Y39 ROW 115 TILEPROP INT_R_X43Y39 SLR_REGION_ID 0 TILEPROP INT_R_X43Y39 TILE_PATTERN_IDX 7600 TILEPROP INT_R_X43Y39 TILE_TYPE INT_R TILEPROP INT_R_X43Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y39 TILE_X 103182 TILEPROP INT_R_X43Y39 TILE_Y -113848 TILEPROP INT_R_X43Y39 TYPE INT_R TILEPROP INT_R_X43Y40 CLASS tile TILEPROP INT_R_X43Y40 COLUMN 110 TILEPROP INT_R_X43Y40 DEVICE_ID 0 TILEPROP INT_R_X43Y40 FIRST_SITE_ID 11491 TILEPROP INT_R_X43Y40 GRID_POINT_X 110 TILEPROP INT_R_X43Y40 GRID_POINT_Y 114 TILEPROP INT_R_X43Y40 INDEX 13220 TILEPROP INT_R_X43Y40 INT_TILE_X 43 TILEPROP INT_R_X43Y40 INT_TILE_Y 109 TILEPROP INT_R_X43Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y40 IS_DCM_TILE 0 TILEPROP INT_R_X43Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y40 NAME INT_R_X43Y40 TILEPROP INT_R_X43Y40 NUM_ARCS 3737 TILEPROP INT_R_X43Y40 NUM_SITES 1 TILEPROP INT_R_X43Y40 ROW 114 TILEPROP INT_R_X43Y40 SLR_REGION_ID 0 TILEPROP INT_R_X43Y40 TILE_PATTERN_IDX 7572 TILEPROP INT_R_X43Y40 TILE_TYPE INT_R TILEPROP INT_R_X43Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y40 TILE_X 103182 TILEPROP INT_R_X43Y40 TILE_Y -110648 TILEPROP INT_R_X43Y40 TYPE INT_R TILEPROP INT_R_X43Y41 CLASS tile TILEPROP INT_R_X43Y41 COLUMN 110 TILEPROP INT_R_X43Y41 DEVICE_ID 0 TILEPROP INT_R_X43Y41 FIRST_SITE_ID 11374 TILEPROP INT_R_X43Y41 GRID_POINT_X 110 TILEPROP INT_R_X43Y41 GRID_POINT_Y 113 TILEPROP INT_R_X43Y41 INDEX 13105 TILEPROP INT_R_X43Y41 INT_TILE_X 43 TILEPROP INT_R_X43Y41 INT_TILE_Y 108 TILEPROP INT_R_X43Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y41 IS_DCM_TILE 0 TILEPROP INT_R_X43Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y41 NAME INT_R_X43Y41 TILEPROP INT_R_X43Y41 NUM_ARCS 3737 TILEPROP INT_R_X43Y41 NUM_SITES 1 TILEPROP INT_R_X43Y41 ROW 113 TILEPROP INT_R_X43Y41 SLR_REGION_ID 0 TILEPROP INT_R_X43Y41 TILE_PATTERN_IDX 7542 TILEPROP INT_R_X43Y41 TILE_TYPE INT_R TILEPROP INT_R_X43Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y41 TILE_X 103182 TILEPROP INT_R_X43Y41 TILE_Y -107448 TILEPROP INT_R_X43Y41 TYPE INT_R TILEPROP INT_R_X43Y42 CLASS tile TILEPROP INT_R_X43Y42 COLUMN 110 TILEPROP INT_R_X43Y42 DEVICE_ID 0 TILEPROP INT_R_X43Y42 FIRST_SITE_ID 11273 TILEPROP INT_R_X43Y42 GRID_POINT_X 110 TILEPROP INT_R_X43Y42 GRID_POINT_Y 112 TILEPROP INT_R_X43Y42 INDEX 12990 TILEPROP INT_R_X43Y42 INT_TILE_X 43 TILEPROP INT_R_X43Y42 INT_TILE_Y 107 TILEPROP INT_R_X43Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y42 IS_DCM_TILE 0 TILEPROP INT_R_X43Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y42 NAME INT_R_X43Y42 TILEPROP INT_R_X43Y42 NUM_ARCS 3737 TILEPROP INT_R_X43Y42 NUM_SITES 1 TILEPROP INT_R_X43Y42 ROW 112 TILEPROP INT_R_X43Y42 SLR_REGION_ID 0 TILEPROP INT_R_X43Y42 TILE_PATTERN_IDX 7508 TILEPROP INT_R_X43Y42 TILE_TYPE INT_R TILEPROP INT_R_X43Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y42 TILE_X 103182 TILEPROP INT_R_X43Y42 TILE_Y -104248 TILEPROP INT_R_X43Y42 TYPE INT_R TILEPROP INT_R_X43Y43 CLASS tile TILEPROP INT_R_X43Y43 COLUMN 110 TILEPROP INT_R_X43Y43 DEVICE_ID 0 TILEPROP INT_R_X43Y43 FIRST_SITE_ID 11171 TILEPROP INT_R_X43Y43 GRID_POINT_X 110 TILEPROP INT_R_X43Y43 GRID_POINT_Y 111 TILEPROP INT_R_X43Y43 INDEX 12875 TILEPROP INT_R_X43Y43 INT_TILE_X 43 TILEPROP INT_R_X43Y43 INT_TILE_Y 106 TILEPROP INT_R_X43Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y43 IS_DCM_TILE 0 TILEPROP INT_R_X43Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y43 NAME INT_R_X43Y43 TILEPROP INT_R_X43Y43 NUM_ARCS 3737 TILEPROP INT_R_X43Y43 NUM_SITES 1 TILEPROP INT_R_X43Y43 ROW 111 TILEPROP INT_R_X43Y43 SLR_REGION_ID 0 TILEPROP INT_R_X43Y43 TILE_PATTERN_IDX 7478 TILEPROP INT_R_X43Y43 TILE_TYPE INT_R TILEPROP INT_R_X43Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y43 TILE_X 103182 TILEPROP INT_R_X43Y43 TILE_Y -101048 TILEPROP INT_R_X43Y43 TYPE INT_R TILEPROP INT_R_X43Y44 CLASS tile TILEPROP INT_R_X43Y44 COLUMN 110 TILEPROP INT_R_X43Y44 DEVICE_ID 0 TILEPROP INT_R_X43Y44 FIRST_SITE_ID 11067 TILEPROP INT_R_X43Y44 GRID_POINT_X 110 TILEPROP INT_R_X43Y44 GRID_POINT_Y 110 TILEPROP INT_R_X43Y44 INDEX 12760 TILEPROP INT_R_X43Y44 INT_TILE_X 43 TILEPROP INT_R_X43Y44 INT_TILE_Y 105 TILEPROP INT_R_X43Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y44 IS_DCM_TILE 0 TILEPROP INT_R_X43Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y44 NAME INT_R_X43Y44 TILEPROP INT_R_X43Y44 NUM_ARCS 3737 TILEPROP INT_R_X43Y44 NUM_SITES 1 TILEPROP INT_R_X43Y44 ROW 110 TILEPROP INT_R_X43Y44 SLR_REGION_ID 0 TILEPROP INT_R_X43Y44 TILE_PATTERN_IDX 7450 TILEPROP INT_R_X43Y44 TILE_TYPE INT_R TILEPROP INT_R_X43Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y44 TILE_X 103182 TILEPROP INT_R_X43Y44 TILE_Y -97848 TILEPROP INT_R_X43Y44 TYPE INT_R TILEPROP INT_R_X43Y45 CLASS tile TILEPROP INT_R_X43Y45 COLUMN 110 TILEPROP INT_R_X43Y45 DEVICE_ID 0 TILEPROP INT_R_X43Y45 FIRST_SITE_ID 10967 TILEPROP INT_R_X43Y45 GRID_POINT_X 110 TILEPROP INT_R_X43Y45 GRID_POINT_Y 109 TILEPROP INT_R_X43Y45 INDEX 12645 TILEPROP INT_R_X43Y45 INT_TILE_X 43 TILEPROP INT_R_X43Y45 INT_TILE_Y 104 TILEPROP INT_R_X43Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y45 IS_DCM_TILE 0 TILEPROP INT_R_X43Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y45 NAME INT_R_X43Y45 TILEPROP INT_R_X43Y45 NUM_ARCS 3737 TILEPROP INT_R_X43Y45 NUM_SITES 1 TILEPROP INT_R_X43Y45 ROW 109 TILEPROP INT_R_X43Y45 SLR_REGION_ID 0 TILEPROP INT_R_X43Y45 TILE_PATTERN_IDX 7421 TILEPROP INT_R_X43Y45 TILE_TYPE INT_R TILEPROP INT_R_X43Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y45 TILE_X 103182 TILEPROP INT_R_X43Y45 TILE_Y -94648 TILEPROP INT_R_X43Y45 TYPE INT_R TILEPROP INT_R_X43Y46 CLASS tile TILEPROP INT_R_X43Y46 COLUMN 110 TILEPROP INT_R_X43Y46 DEVICE_ID 0 TILEPROP INT_R_X43Y46 FIRST_SITE_ID 10851 TILEPROP INT_R_X43Y46 GRID_POINT_X 110 TILEPROP INT_R_X43Y46 GRID_POINT_Y 108 TILEPROP INT_R_X43Y46 INDEX 12530 TILEPROP INT_R_X43Y46 INT_TILE_X 43 TILEPROP INT_R_X43Y46 INT_TILE_Y 103 TILEPROP INT_R_X43Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y46 IS_DCM_TILE 0 TILEPROP INT_R_X43Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y46 NAME INT_R_X43Y46 TILEPROP INT_R_X43Y46 NUM_ARCS 3737 TILEPROP INT_R_X43Y46 NUM_SITES 1 TILEPROP INT_R_X43Y46 ROW 108 TILEPROP INT_R_X43Y46 SLR_REGION_ID 0 TILEPROP INT_R_X43Y46 TILE_PATTERN_IDX 7386 TILEPROP INT_R_X43Y46 TILE_TYPE INT_R TILEPROP INT_R_X43Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y46 TILE_X 103182 TILEPROP INT_R_X43Y46 TILE_Y -91448 TILEPROP INT_R_X43Y46 TYPE INT_R TILEPROP INT_R_X43Y47 CLASS tile TILEPROP INT_R_X43Y47 COLUMN 110 TILEPROP INT_R_X43Y47 DEVICE_ID 0 TILEPROP INT_R_X43Y47 FIRST_SITE_ID 10735 TILEPROP INT_R_X43Y47 GRID_POINT_X 110 TILEPROP INT_R_X43Y47 GRID_POINT_Y 107 TILEPROP INT_R_X43Y47 INDEX 12415 TILEPROP INT_R_X43Y47 INT_TILE_X 43 TILEPROP INT_R_X43Y47 INT_TILE_Y 102 TILEPROP INT_R_X43Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y47 IS_DCM_TILE 0 TILEPROP INT_R_X43Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y47 NAME INT_R_X43Y47 TILEPROP INT_R_X43Y47 NUM_ARCS 3737 TILEPROP INT_R_X43Y47 NUM_SITES 1 TILEPROP INT_R_X43Y47 ROW 107 TILEPROP INT_R_X43Y47 SLR_REGION_ID 0 TILEPROP INT_R_X43Y47 TILE_PATTERN_IDX 7349 TILEPROP INT_R_X43Y47 TILE_TYPE INT_R TILEPROP INT_R_X43Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y47 TILE_X 103182 TILEPROP INT_R_X43Y47 TILE_Y -88248 TILEPROP INT_R_X43Y47 TYPE INT_R TILEPROP INT_R_X43Y48 CLASS tile TILEPROP INT_R_X43Y48 COLUMN 110 TILEPROP INT_R_X43Y48 DEVICE_ID 0 TILEPROP INT_R_X43Y48 FIRST_SITE_ID 10635 TILEPROP INT_R_X43Y48 GRID_POINT_X 110 TILEPROP INT_R_X43Y48 GRID_POINT_Y 106 TILEPROP INT_R_X43Y48 INDEX 12300 TILEPROP INT_R_X43Y48 INT_TILE_X 43 TILEPROP INT_R_X43Y48 INT_TILE_Y 101 TILEPROP INT_R_X43Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y48 IS_DCM_TILE 0 TILEPROP INT_R_X43Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y48 NAME INT_R_X43Y48 TILEPROP INT_R_X43Y48 NUM_ARCS 3737 TILEPROP INT_R_X43Y48 NUM_SITES 1 TILEPROP INT_R_X43Y48 ROW 106 TILEPROP INT_R_X43Y48 SLR_REGION_ID 0 TILEPROP INT_R_X43Y48 TILE_PATTERN_IDX 7313 TILEPROP INT_R_X43Y48 TILE_TYPE INT_R TILEPROP INT_R_X43Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y48 TILE_X 103182 TILEPROP INT_R_X43Y48 TILE_Y -85048 TILEPROP INT_R_X43Y48 TYPE INT_R TILEPROP INT_R_X43Y49 CLASS tile TILEPROP INT_R_X43Y49 COLUMN 110 TILEPROP INT_R_X43Y49 DEVICE_ID 0 TILEPROP INT_R_X43Y49 FIRST_SITE_ID 10539 TILEPROP INT_R_X43Y49 GRID_POINT_X 110 TILEPROP INT_R_X43Y49 GRID_POINT_Y 105 TILEPROP INT_R_X43Y49 INDEX 12185 TILEPROP INT_R_X43Y49 INT_TILE_X 43 TILEPROP INT_R_X43Y49 INT_TILE_Y 100 TILEPROP INT_R_X43Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y49 IS_DCM_TILE 0 TILEPROP INT_R_X43Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y49 NAME INT_R_X43Y49 TILEPROP INT_R_X43Y49 NUM_ARCS 3737 TILEPROP INT_R_X43Y49 NUM_SITES 1 TILEPROP INT_R_X43Y49 ROW 105 TILEPROP INT_R_X43Y49 SLR_REGION_ID 0 TILEPROP INT_R_X43Y49 TILE_PATTERN_IDX 7277 TILEPROP INT_R_X43Y49 TILE_TYPE INT_R TILEPROP INT_R_X43Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y49 TILE_X 103182 TILEPROP INT_R_X43Y49 TILE_Y -81848 TILEPROP INT_R_X43Y49 TYPE INT_R TILEPROP INT_R_X43Y50 CLASS tile TILEPROP INT_R_X43Y50 COLUMN 110 TILEPROP INT_R_X43Y50 DEVICE_ID 0 TILEPROP INT_R_X43Y50 FIRST_SITE_ID 10439 TILEPROP INT_R_X43Y50 GRID_POINT_X 110 TILEPROP INT_R_X43Y50 GRID_POINT_Y 103 TILEPROP INT_R_X43Y50 INDEX 11955 TILEPROP INT_R_X43Y50 INT_TILE_X 43 TILEPROP INT_R_X43Y50 INT_TILE_Y 99 TILEPROP INT_R_X43Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y50 IS_DCM_TILE 0 TILEPROP INT_R_X43Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y50 NAME INT_R_X43Y50 TILEPROP INT_R_X43Y50 NUM_ARCS 3737 TILEPROP INT_R_X43Y50 NUM_SITES 1 TILEPROP INT_R_X43Y50 ROW 103 TILEPROP INT_R_X43Y50 SLR_REGION_ID 0 TILEPROP INT_R_X43Y50 TILE_PATTERN_IDX 7241 TILEPROP INT_R_X43Y50 TILE_TYPE INT_R TILEPROP INT_R_X43Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y50 TILE_X 103182 TILEPROP INT_R_X43Y50 TILE_Y -78400 TILEPROP INT_R_X43Y50 TYPE INT_R TILEPROP INT_R_X43Y51 CLASS tile TILEPROP INT_R_X43Y51 COLUMN 110 TILEPROP INT_R_X43Y51 DEVICE_ID 0 TILEPROP INT_R_X43Y51 FIRST_SITE_ID 10304 TILEPROP INT_R_X43Y51 GRID_POINT_X 110 TILEPROP INT_R_X43Y51 GRID_POINT_Y 102 TILEPROP INT_R_X43Y51 INDEX 11840 TILEPROP INT_R_X43Y51 INT_TILE_X 43 TILEPROP INT_R_X43Y51 INT_TILE_Y 98 TILEPROP INT_R_X43Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y51 IS_DCM_TILE 0 TILEPROP INT_R_X43Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y51 NAME INT_R_X43Y51 TILEPROP INT_R_X43Y51 NUM_ARCS 3737 TILEPROP INT_R_X43Y51 NUM_SITES 1 TILEPROP INT_R_X43Y51 ROW 102 TILEPROP INT_R_X43Y51 SLR_REGION_ID 0 TILEPROP INT_R_X43Y51 TILE_PATTERN_IDX 7203 TILEPROP INT_R_X43Y51 TILE_TYPE INT_R TILEPROP INT_R_X43Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y51 TILE_X 103182 TILEPROP INT_R_X43Y51 TILE_Y -75200 TILEPROP INT_R_X43Y51 TYPE INT_R TILEPROP INT_R_X43Y52 CLASS tile TILEPROP INT_R_X43Y52 COLUMN 110 TILEPROP INT_R_X43Y52 DEVICE_ID 0 TILEPROP INT_R_X43Y52 FIRST_SITE_ID 10204 TILEPROP INT_R_X43Y52 GRID_POINT_X 110 TILEPROP INT_R_X43Y52 GRID_POINT_Y 101 TILEPROP INT_R_X43Y52 INDEX 11725 TILEPROP INT_R_X43Y52 INT_TILE_X 43 TILEPROP INT_R_X43Y52 INT_TILE_Y 97 TILEPROP INT_R_X43Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y52 IS_DCM_TILE 0 TILEPROP INT_R_X43Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y52 NAME INT_R_X43Y52 TILEPROP INT_R_X43Y52 NUM_ARCS 3737 TILEPROP INT_R_X43Y52 NUM_SITES 1 TILEPROP INT_R_X43Y52 ROW 101 TILEPROP INT_R_X43Y52 SLR_REGION_ID 0 TILEPROP INT_R_X43Y52 TILE_PATTERN_IDX 7166 TILEPROP INT_R_X43Y52 TILE_TYPE INT_R TILEPROP INT_R_X43Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y52 TILE_X 103182 TILEPROP INT_R_X43Y52 TILE_Y -72000 TILEPROP INT_R_X43Y52 TYPE INT_R TILEPROP INT_R_X43Y53 CLASS tile TILEPROP INT_R_X43Y53 COLUMN 110 TILEPROP INT_R_X43Y53 DEVICE_ID 0 TILEPROP INT_R_X43Y53 FIRST_SITE_ID 10104 TILEPROP INT_R_X43Y53 GRID_POINT_X 110 TILEPROP INT_R_X43Y53 GRID_POINT_Y 100 TILEPROP INT_R_X43Y53 INDEX 11610 TILEPROP INT_R_X43Y53 INT_TILE_X 43 TILEPROP INT_R_X43Y53 INT_TILE_Y 96 TILEPROP INT_R_X43Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y53 IS_DCM_TILE 0 TILEPROP INT_R_X43Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y53 NAME INT_R_X43Y53 TILEPROP INT_R_X43Y53 NUM_ARCS 3737 TILEPROP INT_R_X43Y53 NUM_SITES 1 TILEPROP INT_R_X43Y53 ROW 100 TILEPROP INT_R_X43Y53 SLR_REGION_ID 0 TILEPROP INT_R_X43Y53 TILE_PATTERN_IDX 7129 TILEPROP INT_R_X43Y53 TILE_TYPE INT_R TILEPROP INT_R_X43Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y53 TILE_X 103182 TILEPROP INT_R_X43Y53 TILE_Y -68800 TILEPROP INT_R_X43Y53 TYPE INT_R TILEPROP INT_R_X43Y54 CLASS tile TILEPROP INT_R_X43Y54 COLUMN 110 TILEPROP INT_R_X43Y54 DEVICE_ID 0 TILEPROP INT_R_X43Y54 FIRST_SITE_ID 10004 TILEPROP INT_R_X43Y54 GRID_POINT_X 110 TILEPROP INT_R_X43Y54 GRID_POINT_Y 99 TILEPROP INT_R_X43Y54 INDEX 11495 TILEPROP INT_R_X43Y54 INT_TILE_X 43 TILEPROP INT_R_X43Y54 INT_TILE_Y 95 TILEPROP INT_R_X43Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y54 IS_DCM_TILE 0 TILEPROP INT_R_X43Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y54 NAME INT_R_X43Y54 TILEPROP INT_R_X43Y54 NUM_ARCS 3737 TILEPROP INT_R_X43Y54 NUM_SITES 1 TILEPROP INT_R_X43Y54 ROW 99 TILEPROP INT_R_X43Y54 SLR_REGION_ID 0 TILEPROP INT_R_X43Y54 TILE_PATTERN_IDX 7092 TILEPROP INT_R_X43Y54 TILE_TYPE INT_R TILEPROP INT_R_X43Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y54 TILE_X 103182 TILEPROP INT_R_X43Y54 TILE_Y -65600 TILEPROP INT_R_X43Y54 TYPE INT_R TILEPROP INT_R_X43Y55 CLASS tile TILEPROP INT_R_X43Y55 COLUMN 110 TILEPROP INT_R_X43Y55 DEVICE_ID 0 TILEPROP INT_R_X43Y55 FIRST_SITE_ID 9904 TILEPROP INT_R_X43Y55 GRID_POINT_X 110 TILEPROP INT_R_X43Y55 GRID_POINT_Y 98 TILEPROP INT_R_X43Y55 INDEX 11380 TILEPROP INT_R_X43Y55 INT_TILE_X 43 TILEPROP INT_R_X43Y55 INT_TILE_Y 94 TILEPROP INT_R_X43Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y55 IS_DCM_TILE 0 TILEPROP INT_R_X43Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y55 NAME INT_R_X43Y55 TILEPROP INT_R_X43Y55 NUM_ARCS 3737 TILEPROP INT_R_X43Y55 NUM_SITES 1 TILEPROP INT_R_X43Y55 ROW 98 TILEPROP INT_R_X43Y55 SLR_REGION_ID 0 TILEPROP INT_R_X43Y55 TILE_PATTERN_IDX 7055 TILEPROP INT_R_X43Y55 TILE_TYPE INT_R TILEPROP INT_R_X43Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y55 TILE_X 103182 TILEPROP INT_R_X43Y55 TILE_Y -62400 TILEPROP INT_R_X43Y55 TYPE INT_R TILEPROP INT_R_X43Y56 CLASS tile TILEPROP INT_R_X43Y56 COLUMN 110 TILEPROP INT_R_X43Y56 DEVICE_ID 0 TILEPROP INT_R_X43Y56 FIRST_SITE_ID 9789 TILEPROP INT_R_X43Y56 GRID_POINT_X 110 TILEPROP INT_R_X43Y56 GRID_POINT_Y 97 TILEPROP INT_R_X43Y56 INDEX 11265 TILEPROP INT_R_X43Y56 INT_TILE_X 43 TILEPROP INT_R_X43Y56 INT_TILE_Y 93 TILEPROP INT_R_X43Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y56 IS_DCM_TILE 0 TILEPROP INT_R_X43Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y56 NAME INT_R_X43Y56 TILEPROP INT_R_X43Y56 NUM_ARCS 3737 TILEPROP INT_R_X43Y56 NUM_SITES 1 TILEPROP INT_R_X43Y56 ROW 97 TILEPROP INT_R_X43Y56 SLR_REGION_ID 0 TILEPROP INT_R_X43Y56 TILE_PATTERN_IDX 7017 TILEPROP INT_R_X43Y56 TILE_TYPE INT_R TILEPROP INT_R_X43Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y56 TILE_X 103182 TILEPROP INT_R_X43Y56 TILE_Y -59200 TILEPROP INT_R_X43Y56 TYPE INT_R TILEPROP INT_R_X43Y57 CLASS tile TILEPROP INT_R_X43Y57 COLUMN 110 TILEPROP INT_R_X43Y57 DEVICE_ID 0 TILEPROP INT_R_X43Y57 FIRST_SITE_ID 9689 TILEPROP INT_R_X43Y57 GRID_POINT_X 110 TILEPROP INT_R_X43Y57 GRID_POINT_Y 96 TILEPROP INT_R_X43Y57 INDEX 11150 TILEPROP INT_R_X43Y57 INT_TILE_X 43 TILEPROP INT_R_X43Y57 INT_TILE_Y 92 TILEPROP INT_R_X43Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y57 IS_DCM_TILE 0 TILEPROP INT_R_X43Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y57 NAME INT_R_X43Y57 TILEPROP INT_R_X43Y57 NUM_ARCS 3737 TILEPROP INT_R_X43Y57 NUM_SITES 1 TILEPROP INT_R_X43Y57 ROW 96 TILEPROP INT_R_X43Y57 SLR_REGION_ID 0 TILEPROP INT_R_X43Y57 TILE_PATTERN_IDX 6980 TILEPROP INT_R_X43Y57 TILE_TYPE INT_R TILEPROP INT_R_X43Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y57 TILE_X 103182 TILEPROP INT_R_X43Y57 TILE_Y -56000 TILEPROP INT_R_X43Y57 TYPE INT_R TILEPROP INT_R_X43Y58 CLASS tile TILEPROP INT_R_X43Y58 COLUMN 110 TILEPROP INT_R_X43Y58 DEVICE_ID 0 TILEPROP INT_R_X43Y58 FIRST_SITE_ID 9585 TILEPROP INT_R_X43Y58 GRID_POINT_X 110 TILEPROP INT_R_X43Y58 GRID_POINT_Y 95 TILEPROP INT_R_X43Y58 INDEX 11035 TILEPROP INT_R_X43Y58 INT_TILE_X 43 TILEPROP INT_R_X43Y58 INT_TILE_Y 91 TILEPROP INT_R_X43Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y58 IS_DCM_TILE 0 TILEPROP INT_R_X43Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y58 NAME INT_R_X43Y58 TILEPROP INT_R_X43Y58 NUM_ARCS 3737 TILEPROP INT_R_X43Y58 NUM_SITES 1 TILEPROP INT_R_X43Y58 ROW 95 TILEPROP INT_R_X43Y58 SLR_REGION_ID 0 TILEPROP INT_R_X43Y58 TILE_PATTERN_IDX 6943 TILEPROP INT_R_X43Y58 TILE_TYPE INT_R TILEPROP INT_R_X43Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y58 TILE_X 103182 TILEPROP INT_R_X43Y58 TILE_Y -52800 TILEPROP INT_R_X43Y58 TYPE INT_R TILEPROP INT_R_X43Y59 CLASS tile TILEPROP INT_R_X43Y59 COLUMN 110 TILEPROP INT_R_X43Y59 DEVICE_ID 0 TILEPROP INT_R_X43Y59 FIRST_SITE_ID 9483 TILEPROP INT_R_X43Y59 GRID_POINT_X 110 TILEPROP INT_R_X43Y59 GRID_POINT_Y 94 TILEPROP INT_R_X43Y59 INDEX 10920 TILEPROP INT_R_X43Y59 INT_TILE_X 43 TILEPROP INT_R_X43Y59 INT_TILE_Y 90 TILEPROP INT_R_X43Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y59 IS_DCM_TILE 0 TILEPROP INT_R_X43Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y59 NAME INT_R_X43Y59 TILEPROP INT_R_X43Y59 NUM_ARCS 3737 TILEPROP INT_R_X43Y59 NUM_SITES 1 TILEPROP INT_R_X43Y59 ROW 94 TILEPROP INT_R_X43Y59 SLR_REGION_ID 0 TILEPROP INT_R_X43Y59 TILE_PATTERN_IDX 6905 TILEPROP INT_R_X43Y59 TILE_TYPE INT_R TILEPROP INT_R_X43Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y59 TILE_X 103182 TILEPROP INT_R_X43Y59 TILE_Y -49600 TILEPROP INT_R_X43Y59 TYPE INT_R TILEPROP INT_R_X43Y60 CLASS tile TILEPROP INT_R_X43Y60 COLUMN 110 TILEPROP INT_R_X43Y60 DEVICE_ID 0 TILEPROP INT_R_X43Y60 FIRST_SITE_ID 9383 TILEPROP INT_R_X43Y60 GRID_POINT_X 110 TILEPROP INT_R_X43Y60 GRID_POINT_Y 93 TILEPROP INT_R_X43Y60 INDEX 10805 TILEPROP INT_R_X43Y60 INT_TILE_X 43 TILEPROP INT_R_X43Y60 INT_TILE_Y 89 TILEPROP INT_R_X43Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y60 IS_DCM_TILE 0 TILEPROP INT_R_X43Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y60 NAME INT_R_X43Y60 TILEPROP INT_R_X43Y60 NUM_ARCS 3737 TILEPROP INT_R_X43Y60 NUM_SITES 1 TILEPROP INT_R_X43Y60 ROW 93 TILEPROP INT_R_X43Y60 SLR_REGION_ID 0 TILEPROP INT_R_X43Y60 TILE_PATTERN_IDX 6868 TILEPROP INT_R_X43Y60 TILE_TYPE INT_R TILEPROP INT_R_X43Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y60 TILE_X 103182 TILEPROP INT_R_X43Y60 TILE_Y -46400 TILEPROP INT_R_X43Y60 TYPE INT_R TILEPROP INT_R_X43Y61 CLASS tile TILEPROP INT_R_X43Y61 COLUMN 110 TILEPROP INT_R_X43Y61 DEVICE_ID 0 TILEPROP INT_R_X43Y61 FIRST_SITE_ID 9268 TILEPROP INT_R_X43Y61 GRID_POINT_X 110 TILEPROP INT_R_X43Y61 GRID_POINT_Y 92 TILEPROP INT_R_X43Y61 INDEX 10690 TILEPROP INT_R_X43Y61 INT_TILE_X 43 TILEPROP INT_R_X43Y61 INT_TILE_Y 88 TILEPROP INT_R_X43Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y61 IS_DCM_TILE 0 TILEPROP INT_R_X43Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y61 NAME INT_R_X43Y61 TILEPROP INT_R_X43Y61 NUM_ARCS 3737 TILEPROP INT_R_X43Y61 NUM_SITES 1 TILEPROP INT_R_X43Y61 ROW 92 TILEPROP INT_R_X43Y61 SLR_REGION_ID 0 TILEPROP INT_R_X43Y61 TILE_PATTERN_IDX 6831 TILEPROP INT_R_X43Y61 TILE_TYPE INT_R TILEPROP INT_R_X43Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y61 TILE_X 103182 TILEPROP INT_R_X43Y61 TILE_Y -43200 TILEPROP INT_R_X43Y61 TYPE INT_R TILEPROP INT_R_X43Y62 CLASS tile TILEPROP INT_R_X43Y62 COLUMN 110 TILEPROP INT_R_X43Y62 DEVICE_ID 0 TILEPROP INT_R_X43Y62 FIRST_SITE_ID 9168 TILEPROP INT_R_X43Y62 GRID_POINT_X 110 TILEPROP INT_R_X43Y62 GRID_POINT_Y 91 TILEPROP INT_R_X43Y62 INDEX 10575 TILEPROP INT_R_X43Y62 INT_TILE_X 43 TILEPROP INT_R_X43Y62 INT_TILE_Y 87 TILEPROP INT_R_X43Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y62 IS_DCM_TILE 0 TILEPROP INT_R_X43Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y62 NAME INT_R_X43Y62 TILEPROP INT_R_X43Y62 NUM_ARCS 3737 TILEPROP INT_R_X43Y62 NUM_SITES 1 TILEPROP INT_R_X43Y62 ROW 91 TILEPROP INT_R_X43Y62 SLR_REGION_ID 0 TILEPROP INT_R_X43Y62 TILE_PATTERN_IDX 6794 TILEPROP INT_R_X43Y62 TILE_TYPE INT_R TILEPROP INT_R_X43Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y62 TILE_X 103182 TILEPROP INT_R_X43Y62 TILE_Y -40000 TILEPROP INT_R_X43Y62 TYPE INT_R TILEPROP INT_R_X43Y63 CLASS tile TILEPROP INT_R_X43Y63 COLUMN 110 TILEPROP INT_R_X43Y63 DEVICE_ID 0 TILEPROP INT_R_X43Y63 FIRST_SITE_ID 9036 TILEPROP INT_R_X43Y63 GRID_POINT_X 110 TILEPROP INT_R_X43Y63 GRID_POINT_Y 90 TILEPROP INT_R_X43Y63 INDEX 10460 TILEPROP INT_R_X43Y63 INT_TILE_X 43 TILEPROP INT_R_X43Y63 INT_TILE_Y 86 TILEPROP INT_R_X43Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y63 IS_DCM_TILE 0 TILEPROP INT_R_X43Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y63 NAME INT_R_X43Y63 TILEPROP INT_R_X43Y63 NUM_ARCS 3737 TILEPROP INT_R_X43Y63 NUM_SITES 1 TILEPROP INT_R_X43Y63 ROW 90 TILEPROP INT_R_X43Y63 SLR_REGION_ID 0 TILEPROP INT_R_X43Y63 TILE_PATTERN_IDX 6757 TILEPROP INT_R_X43Y63 TILE_TYPE INT_R TILEPROP INT_R_X43Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y63 TILE_X 103182 TILEPROP INT_R_X43Y63 TILE_Y -36800 TILEPROP INT_R_X43Y63 TYPE INT_R TILEPROP INT_R_X43Y64 CLASS tile TILEPROP INT_R_X43Y64 COLUMN 110 TILEPROP INT_R_X43Y64 DEVICE_ID 0 TILEPROP INT_R_X43Y64 FIRST_SITE_ID 8936 TILEPROP INT_R_X43Y64 GRID_POINT_X 110 TILEPROP INT_R_X43Y64 GRID_POINT_Y 89 TILEPROP INT_R_X43Y64 INDEX 10345 TILEPROP INT_R_X43Y64 INT_TILE_X 43 TILEPROP INT_R_X43Y64 INT_TILE_Y 85 TILEPROP INT_R_X43Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y64 IS_DCM_TILE 0 TILEPROP INT_R_X43Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y64 NAME INT_R_X43Y64 TILEPROP INT_R_X43Y64 NUM_ARCS 3737 TILEPROP INT_R_X43Y64 NUM_SITES 1 TILEPROP INT_R_X43Y64 ROW 89 TILEPROP INT_R_X43Y64 SLR_REGION_ID 0 TILEPROP INT_R_X43Y64 TILE_PATTERN_IDX 6720 TILEPROP INT_R_X43Y64 TILE_TYPE INT_R TILEPROP INT_R_X43Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y64 TILE_X 103182 TILEPROP INT_R_X43Y64 TILE_Y -33600 TILEPROP INT_R_X43Y64 TYPE INT_R TILEPROP INT_R_X43Y65 CLASS tile TILEPROP INT_R_X43Y65 COLUMN 110 TILEPROP INT_R_X43Y65 DEVICE_ID 0 TILEPROP INT_R_X43Y65 FIRST_SITE_ID 8836 TILEPROP INT_R_X43Y65 GRID_POINT_X 110 TILEPROP INT_R_X43Y65 GRID_POINT_Y 88 TILEPROP INT_R_X43Y65 INDEX 10230 TILEPROP INT_R_X43Y65 INT_TILE_X 43 TILEPROP INT_R_X43Y65 INT_TILE_Y 84 TILEPROP INT_R_X43Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y65 IS_DCM_TILE 0 TILEPROP INT_R_X43Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y65 NAME INT_R_X43Y65 TILEPROP INT_R_X43Y65 NUM_ARCS 3737 TILEPROP INT_R_X43Y65 NUM_SITES 1 TILEPROP INT_R_X43Y65 ROW 88 TILEPROP INT_R_X43Y65 SLR_REGION_ID 0 TILEPROP INT_R_X43Y65 TILE_PATTERN_IDX 6683 TILEPROP INT_R_X43Y65 TILE_TYPE INT_R TILEPROP INT_R_X43Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y65 TILE_X 103182 TILEPROP INT_R_X43Y65 TILE_Y -30400 TILEPROP INT_R_X43Y65 TYPE INT_R TILEPROP INT_R_X43Y66 CLASS tile TILEPROP INT_R_X43Y66 COLUMN 110 TILEPROP INT_R_X43Y66 DEVICE_ID 0 TILEPROP INT_R_X43Y66 FIRST_SITE_ID 8721 TILEPROP INT_R_X43Y66 GRID_POINT_X 110 TILEPROP INT_R_X43Y66 GRID_POINT_Y 87 TILEPROP INT_R_X43Y66 INDEX 10115 TILEPROP INT_R_X43Y66 INT_TILE_X 43 TILEPROP INT_R_X43Y66 INT_TILE_Y 83 TILEPROP INT_R_X43Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y66 IS_DCM_TILE 0 TILEPROP INT_R_X43Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y66 NAME INT_R_X43Y66 TILEPROP INT_R_X43Y66 NUM_ARCS 3737 TILEPROP INT_R_X43Y66 NUM_SITES 1 TILEPROP INT_R_X43Y66 ROW 87 TILEPROP INT_R_X43Y66 SLR_REGION_ID 0 TILEPROP INT_R_X43Y66 TILE_PATTERN_IDX 6646 TILEPROP INT_R_X43Y66 TILE_TYPE INT_R TILEPROP INT_R_X43Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y66 TILE_X 103182 TILEPROP INT_R_X43Y66 TILE_Y -27200 TILEPROP INT_R_X43Y66 TYPE INT_R TILEPROP INT_R_X43Y67 CLASS tile TILEPROP INT_R_X43Y67 COLUMN 110 TILEPROP INT_R_X43Y67 DEVICE_ID 0 TILEPROP INT_R_X43Y67 FIRST_SITE_ID 8621 TILEPROP INT_R_X43Y67 GRID_POINT_X 110 TILEPROP INT_R_X43Y67 GRID_POINT_Y 86 TILEPROP INT_R_X43Y67 INDEX 10000 TILEPROP INT_R_X43Y67 INT_TILE_X 43 TILEPROP INT_R_X43Y67 INT_TILE_Y 82 TILEPROP INT_R_X43Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y67 IS_DCM_TILE 0 TILEPROP INT_R_X43Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y67 NAME INT_R_X43Y67 TILEPROP INT_R_X43Y67 NUM_ARCS 3737 TILEPROP INT_R_X43Y67 NUM_SITES 1 TILEPROP INT_R_X43Y67 ROW 86 TILEPROP INT_R_X43Y67 SLR_REGION_ID 0 TILEPROP INT_R_X43Y67 TILE_PATTERN_IDX 6609 TILEPROP INT_R_X43Y67 TILE_TYPE INT_R TILEPROP INT_R_X43Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y67 TILE_X 103182 TILEPROP INT_R_X43Y67 TILE_Y -24000 TILEPROP INT_R_X43Y67 TYPE INT_R TILEPROP INT_R_X43Y68 CLASS tile TILEPROP INT_R_X43Y68 COLUMN 110 TILEPROP INT_R_X43Y68 DEVICE_ID 0 TILEPROP INT_R_X43Y68 FIRST_SITE_ID 8513 TILEPROP INT_R_X43Y68 GRID_POINT_X 110 TILEPROP INT_R_X43Y68 GRID_POINT_Y 85 TILEPROP INT_R_X43Y68 INDEX 9885 TILEPROP INT_R_X43Y68 INT_TILE_X 43 TILEPROP INT_R_X43Y68 INT_TILE_Y 81 TILEPROP INT_R_X43Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y68 IS_DCM_TILE 0 TILEPROP INT_R_X43Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y68 NAME INT_R_X43Y68 TILEPROP INT_R_X43Y68 NUM_ARCS 3737 TILEPROP INT_R_X43Y68 NUM_SITES 1 TILEPROP INT_R_X43Y68 ROW 85 TILEPROP INT_R_X43Y68 SLR_REGION_ID 0 TILEPROP INT_R_X43Y68 TILE_PATTERN_IDX 2872 TILEPROP INT_R_X43Y68 TILE_TYPE INT_R TILEPROP INT_R_X43Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y68 TILE_X 103182 TILEPROP INT_R_X43Y68 TILE_Y -20800 TILEPROP INT_R_X43Y68 TYPE INT_R TILEPROP INT_R_X43Y69 CLASS tile TILEPROP INT_R_X43Y69 COLUMN 110 TILEPROP INT_R_X43Y69 DEVICE_ID 0 TILEPROP INT_R_X43Y69 FIRST_SITE_ID 8413 TILEPROP INT_R_X43Y69 GRID_POINT_X 110 TILEPROP INT_R_X43Y69 GRID_POINT_Y 84 TILEPROP INT_R_X43Y69 INDEX 9770 TILEPROP INT_R_X43Y69 INT_TILE_X 43 TILEPROP INT_R_X43Y69 INT_TILE_Y 80 TILEPROP INT_R_X43Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y69 IS_DCM_TILE 0 TILEPROP INT_R_X43Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y69 NAME INT_R_X43Y69 TILEPROP INT_R_X43Y69 NUM_ARCS 3737 TILEPROP INT_R_X43Y69 NUM_SITES 1 TILEPROP INT_R_X43Y69 ROW 84 TILEPROP INT_R_X43Y69 SLR_REGION_ID 0 TILEPROP INT_R_X43Y69 TILE_PATTERN_IDX 2836 TILEPROP INT_R_X43Y69 TILE_TYPE INT_R TILEPROP INT_R_X43Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y69 TILE_X 103182 TILEPROP INT_R_X43Y69 TILE_Y -17600 TILEPROP INT_R_X43Y69 TYPE INT_R TILEPROP INT_R_X43Y70 CLASS tile TILEPROP INT_R_X43Y70 COLUMN 110 TILEPROP INT_R_X43Y70 DEVICE_ID 0 TILEPROP INT_R_X43Y70 FIRST_SITE_ID 8309 TILEPROP INT_R_X43Y70 GRID_POINT_X 110 TILEPROP INT_R_X43Y70 GRID_POINT_Y 83 TILEPROP INT_R_X43Y70 INDEX 9655 TILEPROP INT_R_X43Y70 INT_TILE_X 43 TILEPROP INT_R_X43Y70 INT_TILE_Y 79 TILEPROP INT_R_X43Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y70 IS_DCM_TILE 0 TILEPROP INT_R_X43Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y70 NAME INT_R_X43Y70 TILEPROP INT_R_X43Y70 NUM_ARCS 3737 TILEPROP INT_R_X43Y70 NUM_SITES 1 TILEPROP INT_R_X43Y70 ROW 83 TILEPROP INT_R_X43Y70 SLR_REGION_ID 0 TILEPROP INT_R_X43Y70 TILE_PATTERN_IDX 2800 TILEPROP INT_R_X43Y70 TILE_TYPE INT_R TILEPROP INT_R_X43Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y70 TILE_X 103182 TILEPROP INT_R_X43Y70 TILE_Y -14400 TILEPROP INT_R_X43Y70 TYPE INT_R TILEPROP INT_R_X43Y71 CLASS tile TILEPROP INT_R_X43Y71 COLUMN 110 TILEPROP INT_R_X43Y71 DEVICE_ID 0 TILEPROP INT_R_X43Y71 FIRST_SITE_ID 8194 TILEPROP INT_R_X43Y71 GRID_POINT_X 110 TILEPROP INT_R_X43Y71 GRID_POINT_Y 82 TILEPROP INT_R_X43Y71 INDEX 9540 TILEPROP INT_R_X43Y71 INT_TILE_X 43 TILEPROP INT_R_X43Y71 INT_TILE_Y 78 TILEPROP INT_R_X43Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y71 IS_DCM_TILE 0 TILEPROP INT_R_X43Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y71 NAME INT_R_X43Y71 TILEPROP INT_R_X43Y71 NUM_ARCS 3737 TILEPROP INT_R_X43Y71 NUM_SITES 1 TILEPROP INT_R_X43Y71 ROW 82 TILEPROP INT_R_X43Y71 SLR_REGION_ID 0 TILEPROP INT_R_X43Y71 TILE_PATTERN_IDX 2763 TILEPROP INT_R_X43Y71 TILE_TYPE INT_R TILEPROP INT_R_X43Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y71 TILE_X 103182 TILEPROP INT_R_X43Y71 TILE_Y -11200 TILEPROP INT_R_X43Y71 TYPE INT_R TILEPROP INT_R_X43Y72 CLASS tile TILEPROP INT_R_X43Y72 COLUMN 110 TILEPROP INT_R_X43Y72 DEVICE_ID 0 TILEPROP INT_R_X43Y72 FIRST_SITE_ID 8094 TILEPROP INT_R_X43Y72 GRID_POINT_X 110 TILEPROP INT_R_X43Y72 GRID_POINT_Y 81 TILEPROP INT_R_X43Y72 INDEX 9425 TILEPROP INT_R_X43Y72 INT_TILE_X 43 TILEPROP INT_R_X43Y72 INT_TILE_Y 77 TILEPROP INT_R_X43Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y72 IS_DCM_TILE 0 TILEPROP INT_R_X43Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y72 NAME INT_R_X43Y72 TILEPROP INT_R_X43Y72 NUM_ARCS 3737 TILEPROP INT_R_X43Y72 NUM_SITES 1 TILEPROP INT_R_X43Y72 ROW 81 TILEPROP INT_R_X43Y72 SLR_REGION_ID 0 TILEPROP INT_R_X43Y72 TILE_PATTERN_IDX 2727 TILEPROP INT_R_X43Y72 TILE_TYPE INT_R TILEPROP INT_R_X43Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y72 TILE_X 103182 TILEPROP INT_R_X43Y72 TILE_Y -8000 TILEPROP INT_R_X43Y72 TYPE INT_R TILEPROP INT_R_X43Y73 CLASS tile TILEPROP INT_R_X43Y73 COLUMN 110 TILEPROP INT_R_X43Y73 DEVICE_ID 0 TILEPROP INT_R_X43Y73 FIRST_SITE_ID 7994 TILEPROP INT_R_X43Y73 GRID_POINT_X 110 TILEPROP INT_R_X43Y73 GRID_POINT_Y 80 TILEPROP INT_R_X43Y73 INDEX 9310 TILEPROP INT_R_X43Y73 INT_TILE_X 43 TILEPROP INT_R_X43Y73 INT_TILE_Y 76 TILEPROP INT_R_X43Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y73 IS_DCM_TILE 0 TILEPROP INT_R_X43Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y73 NAME INT_R_X43Y73 TILEPROP INT_R_X43Y73 NUM_ARCS 3737 TILEPROP INT_R_X43Y73 NUM_SITES 1 TILEPROP INT_R_X43Y73 ROW 80 TILEPROP INT_R_X43Y73 SLR_REGION_ID 0 TILEPROP INT_R_X43Y73 TILE_PATTERN_IDX 2690 TILEPROP INT_R_X43Y73 TILE_TYPE INT_R TILEPROP INT_R_X43Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y73 TILE_X 103182 TILEPROP INT_R_X43Y73 TILE_Y -4800 TILEPROP INT_R_X43Y73 TYPE INT_R TILEPROP INT_R_X43Y74 CLASS tile TILEPROP INT_R_X43Y74 COLUMN 110 TILEPROP INT_R_X43Y74 DEVICE_ID 0 TILEPROP INT_R_X43Y74 FIRST_SITE_ID 7894 TILEPROP INT_R_X43Y74 GRID_POINT_X 110 TILEPROP INT_R_X43Y74 GRID_POINT_Y 79 TILEPROP INT_R_X43Y74 INDEX 9195 TILEPROP INT_R_X43Y74 INT_TILE_X 43 TILEPROP INT_R_X43Y74 INT_TILE_Y 75 TILEPROP INT_R_X43Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y74 IS_DCM_TILE 0 TILEPROP INT_R_X43Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y74 NAME INT_R_X43Y74 TILEPROP INT_R_X43Y74 NUM_ARCS 3737 TILEPROP INT_R_X43Y74 NUM_SITES 1 TILEPROP INT_R_X43Y74 ROW 79 TILEPROP INT_R_X43Y74 SLR_REGION_ID 0 TILEPROP INT_R_X43Y74 TILE_PATTERN_IDX 2654 TILEPROP INT_R_X43Y74 TILE_TYPE INT_R TILEPROP INT_R_X43Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y74 TILE_X 103182 TILEPROP INT_R_X43Y74 TILE_Y -1600 TILEPROP INT_R_X43Y74 TYPE INT_R TILEPROP INT_R_X43Y75 CLASS tile TILEPROP INT_R_X43Y75 COLUMN 110 TILEPROP INT_R_X43Y75 DEVICE_ID 0 TILEPROP INT_R_X43Y75 FIRST_SITE_ID 7711 TILEPROP INT_R_X43Y75 GRID_POINT_X 110 TILEPROP INT_R_X43Y75 GRID_POINT_Y 77 TILEPROP INT_R_X43Y75 INDEX 8965 TILEPROP INT_R_X43Y75 INT_TILE_X 43 TILEPROP INT_R_X43Y75 INT_TILE_Y 74 TILEPROP INT_R_X43Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y75 IS_DCM_TILE 0 TILEPROP INT_R_X43Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y75 NAME INT_R_X43Y75 TILEPROP INT_R_X43Y75 NUM_ARCS 3737 TILEPROP INT_R_X43Y75 NUM_SITES 1 TILEPROP INT_R_X43Y75 ROW 77 TILEPROP INT_R_X43Y75 SLR_REGION_ID 0 TILEPROP INT_R_X43Y75 TILE_PATTERN_IDX 2579 TILEPROP INT_R_X43Y75 TILE_TYPE INT_R TILEPROP INT_R_X43Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y75 TILE_X 103182 TILEPROP INT_R_X43Y75 TILE_Y 2624 TILEPROP INT_R_X43Y75 TYPE INT_R TILEPROP INT_R_X43Y76 CLASS tile TILEPROP INT_R_X43Y76 COLUMN 110 TILEPROP INT_R_X43Y76 DEVICE_ID 0 TILEPROP INT_R_X43Y76 FIRST_SITE_ID 7593 TILEPROP INT_R_X43Y76 GRID_POINT_X 110 TILEPROP INT_R_X43Y76 GRID_POINT_Y 76 TILEPROP INT_R_X43Y76 INDEX 8850 TILEPROP INT_R_X43Y76 INT_TILE_X 43 TILEPROP INT_R_X43Y76 INT_TILE_Y 73 TILEPROP INT_R_X43Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y76 IS_DCM_TILE 0 TILEPROP INT_R_X43Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y76 NAME INT_R_X43Y76 TILEPROP INT_R_X43Y76 NUM_ARCS 3737 TILEPROP INT_R_X43Y76 NUM_SITES 1 TILEPROP INT_R_X43Y76 ROW 76 TILEPROP INT_R_X43Y76 SLR_REGION_ID 0 TILEPROP INT_R_X43Y76 TILE_PATTERN_IDX 2542 TILEPROP INT_R_X43Y76 TILE_TYPE INT_R TILEPROP INT_R_X43Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y76 TILE_X 103182 TILEPROP INT_R_X43Y76 TILE_Y 5824 TILEPROP INT_R_X43Y76 TYPE INT_R TILEPROP INT_R_X43Y77 CLASS tile TILEPROP INT_R_X43Y77 COLUMN 110 TILEPROP INT_R_X43Y77 DEVICE_ID 0 TILEPROP INT_R_X43Y77 FIRST_SITE_ID 7493 TILEPROP INT_R_X43Y77 GRID_POINT_X 110 TILEPROP INT_R_X43Y77 GRID_POINT_Y 75 TILEPROP INT_R_X43Y77 INDEX 8735 TILEPROP INT_R_X43Y77 INT_TILE_X 43 TILEPROP INT_R_X43Y77 INT_TILE_Y 72 TILEPROP INT_R_X43Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y77 IS_DCM_TILE 0 TILEPROP INT_R_X43Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y77 NAME INT_R_X43Y77 TILEPROP INT_R_X43Y77 NUM_ARCS 3737 TILEPROP INT_R_X43Y77 NUM_SITES 1 TILEPROP INT_R_X43Y77 ROW 75 TILEPROP INT_R_X43Y77 SLR_REGION_ID 0 TILEPROP INT_R_X43Y77 TILE_PATTERN_IDX 2505 TILEPROP INT_R_X43Y77 TILE_TYPE INT_R TILEPROP INT_R_X43Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y77 TILE_X 103182 TILEPROP INT_R_X43Y77 TILE_Y 9024 TILEPROP INT_R_X43Y77 TYPE INT_R TILEPROP INT_R_X43Y78 CLASS tile TILEPROP INT_R_X43Y78 COLUMN 110 TILEPROP INT_R_X43Y78 DEVICE_ID 0 TILEPROP INT_R_X43Y78 FIRST_SITE_ID 7393 TILEPROP INT_R_X43Y78 GRID_POINT_X 110 TILEPROP INT_R_X43Y78 GRID_POINT_Y 74 TILEPROP INT_R_X43Y78 INDEX 8620 TILEPROP INT_R_X43Y78 INT_TILE_X 43 TILEPROP INT_R_X43Y78 INT_TILE_Y 71 TILEPROP INT_R_X43Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y78 IS_DCM_TILE 0 TILEPROP INT_R_X43Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y78 NAME INT_R_X43Y78 TILEPROP INT_R_X43Y78 NUM_ARCS 3737 TILEPROP INT_R_X43Y78 NUM_SITES 1 TILEPROP INT_R_X43Y78 ROW 74 TILEPROP INT_R_X43Y78 SLR_REGION_ID 0 TILEPROP INT_R_X43Y78 TILE_PATTERN_IDX 2469 TILEPROP INT_R_X43Y78 TILE_TYPE INT_R TILEPROP INT_R_X43Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y78 TILE_X 103182 TILEPROP INT_R_X43Y78 TILE_Y 12224 TILEPROP INT_R_X43Y78 TYPE INT_R TILEPROP INT_R_X43Y79 CLASS tile TILEPROP INT_R_X43Y79 COLUMN 110 TILEPROP INT_R_X43Y79 DEVICE_ID 0 TILEPROP INT_R_X43Y79 FIRST_SITE_ID 7293 TILEPROP INT_R_X43Y79 GRID_POINT_X 110 TILEPROP INT_R_X43Y79 GRID_POINT_Y 73 TILEPROP INT_R_X43Y79 INDEX 8505 TILEPROP INT_R_X43Y79 INT_TILE_X 43 TILEPROP INT_R_X43Y79 INT_TILE_Y 70 TILEPROP INT_R_X43Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y79 IS_DCM_TILE 0 TILEPROP INT_R_X43Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y79 NAME INT_R_X43Y79 TILEPROP INT_R_X43Y79 NUM_ARCS 3737 TILEPROP INT_R_X43Y79 NUM_SITES 1 TILEPROP INT_R_X43Y79 ROW 73 TILEPROP INT_R_X43Y79 SLR_REGION_ID 0 TILEPROP INT_R_X43Y79 TILE_PATTERN_IDX 2432 TILEPROP INT_R_X43Y79 TILE_TYPE INT_R TILEPROP INT_R_X43Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y79 TILE_X 103182 TILEPROP INT_R_X43Y79 TILE_Y 15424 TILEPROP INT_R_X43Y79 TYPE INT_R TILEPROP INT_R_X43Y80 CLASS tile TILEPROP INT_R_X43Y80 COLUMN 110 TILEPROP INT_R_X43Y80 DEVICE_ID 0 TILEPROP INT_R_X43Y80 FIRST_SITE_ID 7181 TILEPROP INT_R_X43Y80 GRID_POINT_X 110 TILEPROP INT_R_X43Y80 GRID_POINT_Y 72 TILEPROP INT_R_X43Y80 INDEX 8390 TILEPROP INT_R_X43Y80 INT_TILE_X 43 TILEPROP INT_R_X43Y80 INT_TILE_Y 69 TILEPROP INT_R_X43Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y80 IS_DCM_TILE 0 TILEPROP INT_R_X43Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y80 NAME INT_R_X43Y80 TILEPROP INT_R_X43Y80 NUM_ARCS 3737 TILEPROP INT_R_X43Y80 NUM_SITES 1 TILEPROP INT_R_X43Y80 ROW 72 TILEPROP INT_R_X43Y80 SLR_REGION_ID 0 TILEPROP INT_R_X43Y80 TILE_PATTERN_IDX 2395 TILEPROP INT_R_X43Y80 TILE_TYPE INT_R TILEPROP INT_R_X43Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y80 TILE_X 103182 TILEPROP INT_R_X43Y80 TILE_Y 18624 TILEPROP INT_R_X43Y80 TYPE INT_R TILEPROP INT_R_X43Y81 CLASS tile TILEPROP INT_R_X43Y81 COLUMN 110 TILEPROP INT_R_X43Y81 DEVICE_ID 0 TILEPROP INT_R_X43Y81 FIRST_SITE_ID 7066 TILEPROP INT_R_X43Y81 GRID_POINT_X 110 TILEPROP INT_R_X43Y81 GRID_POINT_Y 71 TILEPROP INT_R_X43Y81 INDEX 8275 TILEPROP INT_R_X43Y81 INT_TILE_X 43 TILEPROP INT_R_X43Y81 INT_TILE_Y 68 TILEPROP INT_R_X43Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y81 IS_DCM_TILE 0 TILEPROP INT_R_X43Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y81 NAME INT_R_X43Y81 TILEPROP INT_R_X43Y81 NUM_ARCS 3737 TILEPROP INT_R_X43Y81 NUM_SITES 1 TILEPROP INT_R_X43Y81 ROW 71 TILEPROP INT_R_X43Y81 SLR_REGION_ID 0 TILEPROP INT_R_X43Y81 TILE_PATTERN_IDX 2357 TILEPROP INT_R_X43Y81 TILE_TYPE INT_R TILEPROP INT_R_X43Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y81 TILE_X 103182 TILEPROP INT_R_X43Y81 TILE_Y 21824 TILEPROP INT_R_X43Y81 TYPE INT_R TILEPROP INT_R_X43Y82 CLASS tile TILEPROP INT_R_X43Y82 COLUMN 110 TILEPROP INT_R_X43Y82 DEVICE_ID 0 TILEPROP INT_R_X43Y82 FIRST_SITE_ID 6962 TILEPROP INT_R_X43Y82 GRID_POINT_X 110 TILEPROP INT_R_X43Y82 GRID_POINT_Y 70 TILEPROP INT_R_X43Y82 INDEX 8160 TILEPROP INT_R_X43Y82 INT_TILE_X 43 TILEPROP INT_R_X43Y82 INT_TILE_Y 67 TILEPROP INT_R_X43Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y82 IS_DCM_TILE 0 TILEPROP INT_R_X43Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y82 NAME INT_R_X43Y82 TILEPROP INT_R_X43Y82 NUM_ARCS 3737 TILEPROP INT_R_X43Y82 NUM_SITES 1 TILEPROP INT_R_X43Y82 ROW 70 TILEPROP INT_R_X43Y82 SLR_REGION_ID 0 TILEPROP INT_R_X43Y82 TILE_PATTERN_IDX 2321 TILEPROP INT_R_X43Y82 TILE_TYPE INT_R TILEPROP INT_R_X43Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y82 TILE_X 103182 TILEPROP INT_R_X43Y82 TILE_Y 25024 TILEPROP INT_R_X43Y82 TYPE INT_R TILEPROP INT_R_X43Y83 CLASS tile TILEPROP INT_R_X43Y83 COLUMN 110 TILEPROP INT_R_X43Y83 DEVICE_ID 0 TILEPROP INT_R_X43Y83 FIRST_SITE_ID 6862 TILEPROP INT_R_X43Y83 GRID_POINT_X 110 TILEPROP INT_R_X43Y83 GRID_POINT_Y 69 TILEPROP INT_R_X43Y83 INDEX 8045 TILEPROP INT_R_X43Y83 INT_TILE_X 43 TILEPROP INT_R_X43Y83 INT_TILE_Y 66 TILEPROP INT_R_X43Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y83 IS_DCM_TILE 0 TILEPROP INT_R_X43Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y83 NAME INT_R_X43Y83 TILEPROP INT_R_X43Y83 NUM_ARCS 3737 TILEPROP INT_R_X43Y83 NUM_SITES 1 TILEPROP INT_R_X43Y83 ROW 69 TILEPROP INT_R_X43Y83 SLR_REGION_ID 0 TILEPROP INT_R_X43Y83 TILE_PATTERN_IDX 2283 TILEPROP INT_R_X43Y83 TILE_TYPE INT_R TILEPROP INT_R_X43Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y83 TILE_X 103182 TILEPROP INT_R_X43Y83 TILE_Y 28224 TILEPROP INT_R_X43Y83 TYPE INT_R TILEPROP INT_R_X43Y84 CLASS tile TILEPROP INT_R_X43Y84 COLUMN 110 TILEPROP INT_R_X43Y84 DEVICE_ID 0 TILEPROP INT_R_X43Y84 FIRST_SITE_ID 6762 TILEPROP INT_R_X43Y84 GRID_POINT_X 110 TILEPROP INT_R_X43Y84 GRID_POINT_Y 68 TILEPROP INT_R_X43Y84 INDEX 7930 TILEPROP INT_R_X43Y84 INT_TILE_X 43 TILEPROP INT_R_X43Y84 INT_TILE_Y 65 TILEPROP INT_R_X43Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y84 IS_DCM_TILE 0 TILEPROP INT_R_X43Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y84 NAME INT_R_X43Y84 TILEPROP INT_R_X43Y84 NUM_ARCS 3737 TILEPROP INT_R_X43Y84 NUM_SITES 1 TILEPROP INT_R_X43Y84 ROW 68 TILEPROP INT_R_X43Y84 SLR_REGION_ID 0 TILEPROP INT_R_X43Y84 TILE_PATTERN_IDX 2248 TILEPROP INT_R_X43Y84 TILE_TYPE INT_R TILEPROP INT_R_X43Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y84 TILE_X 103182 TILEPROP INT_R_X43Y84 TILE_Y 31424 TILEPROP INT_R_X43Y84 TYPE INT_R TILEPROP INT_R_X43Y85 CLASS tile TILEPROP INT_R_X43Y85 COLUMN 110 TILEPROP INT_R_X43Y85 DEVICE_ID 0 TILEPROP INT_R_X43Y85 FIRST_SITE_ID 6662 TILEPROP INT_R_X43Y85 GRID_POINT_X 110 TILEPROP INT_R_X43Y85 GRID_POINT_Y 67 TILEPROP INT_R_X43Y85 INDEX 7815 TILEPROP INT_R_X43Y85 INT_TILE_X 43 TILEPROP INT_R_X43Y85 INT_TILE_Y 64 TILEPROP INT_R_X43Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y85 IS_DCM_TILE 0 TILEPROP INT_R_X43Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y85 NAME INT_R_X43Y85 TILEPROP INT_R_X43Y85 NUM_ARCS 3737 TILEPROP INT_R_X43Y85 NUM_SITES 1 TILEPROP INT_R_X43Y85 ROW 67 TILEPROP INT_R_X43Y85 SLR_REGION_ID 0 TILEPROP INT_R_X43Y85 TILE_PATTERN_IDX 2212 TILEPROP INT_R_X43Y85 TILE_TYPE INT_R TILEPROP INT_R_X43Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y85 TILE_X 103182 TILEPROP INT_R_X43Y85 TILE_Y 34624 TILEPROP INT_R_X43Y85 TYPE INT_R TILEPROP INT_R_X43Y86 CLASS tile TILEPROP INT_R_X43Y86 COLUMN 110 TILEPROP INT_R_X43Y86 DEVICE_ID 0 TILEPROP INT_R_X43Y86 FIRST_SITE_ID 6547 TILEPROP INT_R_X43Y86 GRID_POINT_X 110 TILEPROP INT_R_X43Y86 GRID_POINT_Y 66 TILEPROP INT_R_X43Y86 INDEX 7700 TILEPROP INT_R_X43Y86 INT_TILE_X 43 TILEPROP INT_R_X43Y86 INT_TILE_Y 63 TILEPROP INT_R_X43Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y86 IS_DCM_TILE 0 TILEPROP INT_R_X43Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y86 NAME INT_R_X43Y86 TILEPROP INT_R_X43Y86 NUM_ARCS 3737 TILEPROP INT_R_X43Y86 NUM_SITES 1 TILEPROP INT_R_X43Y86 ROW 66 TILEPROP INT_R_X43Y86 SLR_REGION_ID 0 TILEPROP INT_R_X43Y86 TILE_PATTERN_IDX 2176 TILEPROP INT_R_X43Y86 TILE_TYPE INT_R TILEPROP INT_R_X43Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y86 TILE_X 103182 TILEPROP INT_R_X43Y86 TILE_Y 37824 TILEPROP INT_R_X43Y86 TYPE INT_R TILEPROP INT_R_X43Y87 CLASS tile TILEPROP INT_R_X43Y87 COLUMN 110 TILEPROP INT_R_X43Y87 DEVICE_ID 0 TILEPROP INT_R_X43Y87 FIRST_SITE_ID 6415 TILEPROP INT_R_X43Y87 GRID_POINT_X 110 TILEPROP INT_R_X43Y87 GRID_POINT_Y 65 TILEPROP INT_R_X43Y87 INDEX 7585 TILEPROP INT_R_X43Y87 INT_TILE_X 43 TILEPROP INT_R_X43Y87 INT_TILE_Y 62 TILEPROP INT_R_X43Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y87 IS_DCM_TILE 0 TILEPROP INT_R_X43Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y87 NAME INT_R_X43Y87 TILEPROP INT_R_X43Y87 NUM_ARCS 3737 TILEPROP INT_R_X43Y87 NUM_SITES 1 TILEPROP INT_R_X43Y87 ROW 65 TILEPROP INT_R_X43Y87 SLR_REGION_ID 0 TILEPROP INT_R_X43Y87 TILE_PATTERN_IDX 2138 TILEPROP INT_R_X43Y87 TILE_TYPE INT_R TILEPROP INT_R_X43Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y87 TILE_X 103182 TILEPROP INT_R_X43Y87 TILE_Y 41024 TILEPROP INT_R_X43Y87 TYPE INT_R TILEPROP INT_R_X43Y88 CLASS tile TILEPROP INT_R_X43Y88 COLUMN 110 TILEPROP INT_R_X43Y88 DEVICE_ID 0 TILEPROP INT_R_X43Y88 FIRST_SITE_ID 6315 TILEPROP INT_R_X43Y88 GRID_POINT_X 110 TILEPROP INT_R_X43Y88 GRID_POINT_Y 64 TILEPROP INT_R_X43Y88 INDEX 7470 TILEPROP INT_R_X43Y88 INT_TILE_X 43 TILEPROP INT_R_X43Y88 INT_TILE_Y 61 TILEPROP INT_R_X43Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y88 IS_DCM_TILE 0 TILEPROP INT_R_X43Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y88 NAME INT_R_X43Y88 TILEPROP INT_R_X43Y88 NUM_ARCS 3737 TILEPROP INT_R_X43Y88 NUM_SITES 1 TILEPROP INT_R_X43Y88 ROW 64 TILEPROP INT_R_X43Y88 SLR_REGION_ID 0 TILEPROP INT_R_X43Y88 TILE_PATTERN_IDX 2103 TILEPROP INT_R_X43Y88 TILE_TYPE INT_R TILEPROP INT_R_X43Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y88 TILE_X 103182 TILEPROP INT_R_X43Y88 TILE_Y 44224 TILEPROP INT_R_X43Y88 TYPE INT_R TILEPROP INT_R_X43Y89 CLASS tile TILEPROP INT_R_X43Y89 COLUMN 110 TILEPROP INT_R_X43Y89 DEVICE_ID 0 TILEPROP INT_R_X43Y89 FIRST_SITE_ID 6215 TILEPROP INT_R_X43Y89 GRID_POINT_X 110 TILEPROP INT_R_X43Y89 GRID_POINT_Y 63 TILEPROP INT_R_X43Y89 INDEX 7355 TILEPROP INT_R_X43Y89 INT_TILE_X 43 TILEPROP INT_R_X43Y89 INT_TILE_Y 60 TILEPROP INT_R_X43Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y89 IS_DCM_TILE 0 TILEPROP INT_R_X43Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y89 NAME INT_R_X43Y89 TILEPROP INT_R_X43Y89 NUM_ARCS 3737 TILEPROP INT_R_X43Y89 NUM_SITES 1 TILEPROP INT_R_X43Y89 ROW 63 TILEPROP INT_R_X43Y89 SLR_REGION_ID 0 TILEPROP INT_R_X43Y89 TILE_PATTERN_IDX 2067 TILEPROP INT_R_X43Y89 TILE_TYPE INT_R TILEPROP INT_R_X43Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y89 TILE_X 103182 TILEPROP INT_R_X43Y89 TILE_Y 47424 TILEPROP INT_R_X43Y89 TYPE INT_R TILEPROP INT_R_X43Y90 CLASS tile TILEPROP INT_R_X43Y90 COLUMN 110 TILEPROP INT_R_X43Y90 DEVICE_ID 0 TILEPROP INT_R_X43Y90 FIRST_SITE_ID 6115 TILEPROP INT_R_X43Y90 GRID_POINT_X 110 TILEPROP INT_R_X43Y90 GRID_POINT_Y 62 TILEPROP INT_R_X43Y90 INDEX 7240 TILEPROP INT_R_X43Y90 INT_TILE_X 43 TILEPROP INT_R_X43Y90 INT_TILE_Y 59 TILEPROP INT_R_X43Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y90 IS_DCM_TILE 0 TILEPROP INT_R_X43Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y90 NAME INT_R_X43Y90 TILEPROP INT_R_X43Y90 NUM_ARCS 3737 TILEPROP INT_R_X43Y90 NUM_SITES 1 TILEPROP INT_R_X43Y90 ROW 62 TILEPROP INT_R_X43Y90 SLR_REGION_ID 0 TILEPROP INT_R_X43Y90 TILE_PATTERN_IDX 2032 TILEPROP INT_R_X43Y90 TILE_TYPE INT_R TILEPROP INT_R_X43Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y90 TILE_X 103182 TILEPROP INT_R_X43Y90 TILE_Y 50624 TILEPROP INT_R_X43Y90 TYPE INT_R TILEPROP INT_R_X43Y91 CLASS tile TILEPROP INT_R_X43Y91 COLUMN 110 TILEPROP INT_R_X43Y91 DEVICE_ID 0 TILEPROP INT_R_X43Y91 FIRST_SITE_ID 6000 TILEPROP INT_R_X43Y91 GRID_POINT_X 110 TILEPROP INT_R_X43Y91 GRID_POINT_Y 61 TILEPROP INT_R_X43Y91 INDEX 7125 TILEPROP INT_R_X43Y91 INT_TILE_X 43 TILEPROP INT_R_X43Y91 INT_TILE_Y 58 TILEPROP INT_R_X43Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y91 IS_DCM_TILE 0 TILEPROP INT_R_X43Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y91 NAME INT_R_X43Y91 TILEPROP INT_R_X43Y91 NUM_ARCS 3737 TILEPROP INT_R_X43Y91 NUM_SITES 1 TILEPROP INT_R_X43Y91 ROW 61 TILEPROP INT_R_X43Y91 SLR_REGION_ID 0 TILEPROP INT_R_X43Y91 TILE_PATTERN_IDX 1993 TILEPROP INT_R_X43Y91 TILE_TYPE INT_R TILEPROP INT_R_X43Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y91 TILE_X 103182 TILEPROP INT_R_X43Y91 TILE_Y 53824 TILEPROP INT_R_X43Y91 TYPE INT_R TILEPROP INT_R_X43Y92 CLASS tile TILEPROP INT_R_X43Y92 COLUMN 110 TILEPROP INT_R_X43Y92 DEVICE_ID 0 TILEPROP INT_R_X43Y92 FIRST_SITE_ID 5900 TILEPROP INT_R_X43Y92 GRID_POINT_X 110 TILEPROP INT_R_X43Y92 GRID_POINT_Y 60 TILEPROP INT_R_X43Y92 INDEX 7010 TILEPROP INT_R_X43Y92 INT_TILE_X 43 TILEPROP INT_R_X43Y92 INT_TILE_Y 57 TILEPROP INT_R_X43Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y92 IS_DCM_TILE 0 TILEPROP INT_R_X43Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y92 NAME INT_R_X43Y92 TILEPROP INT_R_X43Y92 NUM_ARCS 3737 TILEPROP INT_R_X43Y92 NUM_SITES 1 TILEPROP INT_R_X43Y92 ROW 60 TILEPROP INT_R_X43Y92 SLR_REGION_ID 0 TILEPROP INT_R_X43Y92 TILE_PATTERN_IDX 1956 TILEPROP INT_R_X43Y92 TILE_TYPE INT_R TILEPROP INT_R_X43Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y92 TILE_X 103182 TILEPROP INT_R_X43Y92 TILE_Y 57024 TILEPROP INT_R_X43Y92 TYPE INT_R TILEPROP INT_R_X43Y93 CLASS tile TILEPROP INT_R_X43Y93 COLUMN 110 TILEPROP INT_R_X43Y93 DEVICE_ID 0 TILEPROP INT_R_X43Y93 FIRST_SITE_ID 5798 TILEPROP INT_R_X43Y93 GRID_POINT_X 110 TILEPROP INT_R_X43Y93 GRID_POINT_Y 59 TILEPROP INT_R_X43Y93 INDEX 6895 TILEPROP INT_R_X43Y93 INT_TILE_X 43 TILEPROP INT_R_X43Y93 INT_TILE_Y 56 TILEPROP INT_R_X43Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y93 IS_DCM_TILE 0 TILEPROP INT_R_X43Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y93 NAME INT_R_X43Y93 TILEPROP INT_R_X43Y93 NUM_ARCS 3737 TILEPROP INT_R_X43Y93 NUM_SITES 1 TILEPROP INT_R_X43Y93 ROW 59 TILEPROP INT_R_X43Y93 SLR_REGION_ID 0 TILEPROP INT_R_X43Y93 TILE_PATTERN_IDX 1917 TILEPROP INT_R_X43Y93 TILE_TYPE INT_R TILEPROP INT_R_X43Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y93 TILE_X 103182 TILEPROP INT_R_X43Y93 TILE_Y 60224 TILEPROP INT_R_X43Y93 TYPE INT_R TILEPROP INT_R_X43Y94 CLASS tile TILEPROP INT_R_X43Y94 COLUMN 110 TILEPROP INT_R_X43Y94 DEVICE_ID 0 TILEPROP INT_R_X43Y94 FIRST_SITE_ID 5694 TILEPROP INT_R_X43Y94 GRID_POINT_X 110 TILEPROP INT_R_X43Y94 GRID_POINT_Y 58 TILEPROP INT_R_X43Y94 INDEX 6780 TILEPROP INT_R_X43Y94 INT_TILE_X 43 TILEPROP INT_R_X43Y94 INT_TILE_Y 55 TILEPROP INT_R_X43Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y94 IS_DCM_TILE 0 TILEPROP INT_R_X43Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y94 NAME INT_R_X43Y94 TILEPROP INT_R_X43Y94 NUM_ARCS 3737 TILEPROP INT_R_X43Y94 NUM_SITES 1 TILEPROP INT_R_X43Y94 ROW 58 TILEPROP INT_R_X43Y94 SLR_REGION_ID 0 TILEPROP INT_R_X43Y94 TILE_PATTERN_IDX 1880 TILEPROP INT_R_X43Y94 TILE_TYPE INT_R TILEPROP INT_R_X43Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y94 TILE_X 103182 TILEPROP INT_R_X43Y94 TILE_Y 63424 TILEPROP INT_R_X43Y94 TYPE INT_R TILEPROP INT_R_X43Y95 CLASS tile TILEPROP INT_R_X43Y95 COLUMN 110 TILEPROP INT_R_X43Y95 DEVICE_ID 0 TILEPROP INT_R_X43Y95 FIRST_SITE_ID 5594 TILEPROP INT_R_X43Y95 GRID_POINT_X 110 TILEPROP INT_R_X43Y95 GRID_POINT_Y 57 TILEPROP INT_R_X43Y95 INDEX 6665 TILEPROP INT_R_X43Y95 INT_TILE_X 43 TILEPROP INT_R_X43Y95 INT_TILE_Y 54 TILEPROP INT_R_X43Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y95 IS_DCM_TILE 0 TILEPROP INT_R_X43Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y95 NAME INT_R_X43Y95 TILEPROP INT_R_X43Y95 NUM_ARCS 3737 TILEPROP INT_R_X43Y95 NUM_SITES 1 TILEPROP INT_R_X43Y95 ROW 57 TILEPROP INT_R_X43Y95 SLR_REGION_ID 0 TILEPROP INT_R_X43Y95 TILE_PATTERN_IDX 1844 TILEPROP INT_R_X43Y95 TILE_TYPE INT_R TILEPROP INT_R_X43Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y95 TILE_X 103182 TILEPROP INT_R_X43Y95 TILE_Y 66624 TILEPROP INT_R_X43Y95 TYPE INT_R TILEPROP INT_R_X43Y96 CLASS tile TILEPROP INT_R_X43Y96 COLUMN 110 TILEPROP INT_R_X43Y96 DEVICE_ID 0 TILEPROP INT_R_X43Y96 FIRST_SITE_ID 5479 TILEPROP INT_R_X43Y96 GRID_POINT_X 110 TILEPROP INT_R_X43Y96 GRID_POINT_Y 56 TILEPROP INT_R_X43Y96 INDEX 6550 TILEPROP INT_R_X43Y96 INT_TILE_X 43 TILEPROP INT_R_X43Y96 INT_TILE_Y 53 TILEPROP INT_R_X43Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y96 IS_DCM_TILE 0 TILEPROP INT_R_X43Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y96 NAME INT_R_X43Y96 TILEPROP INT_R_X43Y96 NUM_ARCS 3737 TILEPROP INT_R_X43Y96 NUM_SITES 1 TILEPROP INT_R_X43Y96 ROW 56 TILEPROP INT_R_X43Y96 SLR_REGION_ID 0 TILEPROP INT_R_X43Y96 TILE_PATTERN_IDX 1802 TILEPROP INT_R_X43Y96 TILE_TYPE INT_R TILEPROP INT_R_X43Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y96 TILE_X 103182 TILEPROP INT_R_X43Y96 TILE_Y 69824 TILEPROP INT_R_X43Y96 TYPE INT_R TILEPROP INT_R_X43Y97 CLASS tile TILEPROP INT_R_X43Y97 COLUMN 110 TILEPROP INT_R_X43Y97 DEVICE_ID 0 TILEPROP INT_R_X43Y97 FIRST_SITE_ID 5379 TILEPROP INT_R_X43Y97 GRID_POINT_X 110 TILEPROP INT_R_X43Y97 GRID_POINT_Y 55 TILEPROP INT_R_X43Y97 INDEX 6435 TILEPROP INT_R_X43Y97 INT_TILE_X 43 TILEPROP INT_R_X43Y97 INT_TILE_Y 52 TILEPROP INT_R_X43Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y97 IS_DCM_TILE 0 TILEPROP INT_R_X43Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y97 NAME INT_R_X43Y97 TILEPROP INT_R_X43Y97 NUM_ARCS 3737 TILEPROP INT_R_X43Y97 NUM_SITES 1 TILEPROP INT_R_X43Y97 ROW 55 TILEPROP INT_R_X43Y97 SLR_REGION_ID 0 TILEPROP INT_R_X43Y97 TILE_PATTERN_IDX 1762 TILEPROP INT_R_X43Y97 TILE_TYPE INT_R TILEPROP INT_R_X43Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y97 TILE_X 103182 TILEPROP INT_R_X43Y97 TILE_Y 73024 TILEPROP INT_R_X43Y97 TYPE INT_R TILEPROP INT_R_X43Y98 CLASS tile TILEPROP INT_R_X43Y98 COLUMN 110 TILEPROP INT_R_X43Y98 DEVICE_ID 0 TILEPROP INT_R_X43Y98 FIRST_SITE_ID 5279 TILEPROP INT_R_X43Y98 GRID_POINT_X 110 TILEPROP INT_R_X43Y98 GRID_POINT_Y 54 TILEPROP INT_R_X43Y98 INDEX 6320 TILEPROP INT_R_X43Y98 INT_TILE_X 43 TILEPROP INT_R_X43Y98 INT_TILE_Y 51 TILEPROP INT_R_X43Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y98 IS_DCM_TILE 0 TILEPROP INT_R_X43Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y98 NAME INT_R_X43Y98 TILEPROP INT_R_X43Y98 NUM_ARCS 3737 TILEPROP INT_R_X43Y98 NUM_SITES 1 TILEPROP INT_R_X43Y98 ROW 54 TILEPROP INT_R_X43Y98 SLR_REGION_ID 0 TILEPROP INT_R_X43Y98 TILE_PATTERN_IDX 1724 TILEPROP INT_R_X43Y98 TILE_TYPE INT_R TILEPROP INT_R_X43Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y98 TILE_X 103182 TILEPROP INT_R_X43Y98 TILE_Y 76224 TILEPROP INT_R_X43Y98 TYPE INT_R TILEPROP INT_R_X43Y99 CLASS tile TILEPROP INT_R_X43Y99 COLUMN 110 TILEPROP INT_R_X43Y99 DEVICE_ID 0 TILEPROP INT_R_X43Y99 FIRST_SITE_ID 5183 TILEPROP INT_R_X43Y99 GRID_POINT_X 110 TILEPROP INT_R_X43Y99 GRID_POINT_Y 53 TILEPROP INT_R_X43Y99 INDEX 6205 TILEPROP INT_R_X43Y99 INT_TILE_X 43 TILEPROP INT_R_X43Y99 INT_TILE_Y 50 TILEPROP INT_R_X43Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X43Y99 IS_DCM_TILE 0 TILEPROP INT_R_X43Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X43Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X43Y99 NAME INT_R_X43Y99 TILEPROP INT_R_X43Y99 NUM_ARCS 3737 TILEPROP INT_R_X43Y99 NUM_SITES 1 TILEPROP INT_R_X43Y99 ROW 53 TILEPROP INT_R_X43Y99 SLR_REGION_ID 0 TILEPROP INT_R_X43Y99 TILE_PATTERN_IDX 1684 TILEPROP INT_R_X43Y99 TILE_TYPE INT_R TILEPROP INT_R_X43Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X43Y99 TILE_X 103182 TILEPROP INT_R_X43Y99 TILE_Y 79424 TILEPROP INT_R_X43Y99 TYPE INT_R TILEPROP INT_R_X5Y0 CLASS tile TILEPROP INT_R_X5Y0 COLUMN 16 TILEPROP INT_R_X5Y0 DEVICE_ID 0 TILEPROP INT_R_X5Y0 FIRST_SITE_ID 15717 TILEPROP INT_R_X5Y0 GRID_POINT_X 16 TILEPROP INT_R_X5Y0 GRID_POINT_Y 155 TILEPROP INT_R_X5Y0 INDEX 17841 TILEPROP INT_R_X5Y0 INT_TILE_X 5 TILEPROP INT_R_X5Y0 INT_TILE_Y 149 TILEPROP INT_R_X5Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y0 IS_DCM_TILE 0 TILEPROP INT_R_X5Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y0 NAME INT_R_X5Y0 TILEPROP INT_R_X5Y0 NUM_ARCS 3737 TILEPROP INT_R_X5Y0 NUM_SITES 1 TILEPROP INT_R_X5Y0 ROW 155 TILEPROP INT_R_X5Y0 SLR_REGION_ID 0 TILEPROP INT_R_X5Y0 TILE_PATTERN_IDX 8738 TILEPROP INT_R_X5Y0 TILE_TYPE INT_R TILEPROP INT_R_X5Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y0 TILE_X -73374 TILEPROP INT_R_X5Y0 TILE_Y -239672 TILEPROP INT_R_X5Y0 TYPE INT_R TILEPROP INT_R_X5Y1 CLASS tile TILEPROP INT_R_X5Y1 COLUMN 16 TILEPROP INT_R_X5Y1 DEVICE_ID 0 TILEPROP INT_R_X5Y1 FIRST_SITE_ID 15612 TILEPROP INT_R_X5Y1 GRID_POINT_X 16 TILEPROP INT_R_X5Y1 GRID_POINT_Y 154 TILEPROP INT_R_X5Y1 INDEX 17726 TILEPROP INT_R_X5Y1 INT_TILE_X 5 TILEPROP INT_R_X5Y1 INT_TILE_Y 148 TILEPROP INT_R_X5Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y1 IS_DCM_TILE 0 TILEPROP INT_R_X5Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y1 NAME INT_R_X5Y1 TILEPROP INT_R_X5Y1 NUM_ARCS 3737 TILEPROP INT_R_X5Y1 NUM_SITES 1 TILEPROP INT_R_X5Y1 ROW 154 TILEPROP INT_R_X5Y1 SLR_REGION_ID 0 TILEPROP INT_R_X5Y1 TILE_PATTERN_IDX 8707 TILEPROP INT_R_X5Y1 TILE_TYPE INT_R TILEPROP INT_R_X5Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y1 TILE_X -73374 TILEPROP INT_R_X5Y1 TILE_Y -236472 TILEPROP INT_R_X5Y1 TYPE INT_R TILEPROP INT_R_X5Y2 CLASS tile TILEPROP INT_R_X5Y2 COLUMN 16 TILEPROP INT_R_X5Y2 DEVICE_ID 0 TILEPROP INT_R_X5Y2 FIRST_SITE_ID 15512 TILEPROP INT_R_X5Y2 GRID_POINT_X 16 TILEPROP INT_R_X5Y2 GRID_POINT_Y 153 TILEPROP INT_R_X5Y2 INDEX 17611 TILEPROP INT_R_X5Y2 INT_TILE_X 5 TILEPROP INT_R_X5Y2 INT_TILE_Y 147 TILEPROP INT_R_X5Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y2 IS_DCM_TILE 0 TILEPROP INT_R_X5Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y2 NAME INT_R_X5Y2 TILEPROP INT_R_X5Y2 NUM_ARCS 3737 TILEPROP INT_R_X5Y2 NUM_SITES 1 TILEPROP INT_R_X5Y2 ROW 153 TILEPROP INT_R_X5Y2 SLR_REGION_ID 0 TILEPROP INT_R_X5Y2 TILE_PATTERN_IDX 8677 TILEPROP INT_R_X5Y2 TILE_TYPE INT_R TILEPROP INT_R_X5Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y2 TILE_X -73374 TILEPROP INT_R_X5Y2 TILE_Y -233272 TILEPROP INT_R_X5Y2 TYPE INT_R TILEPROP INT_R_X5Y3 CLASS tile TILEPROP INT_R_X5Y3 COLUMN 16 TILEPROP INT_R_X5Y3 DEVICE_ID 0 TILEPROP INT_R_X5Y3 FIRST_SITE_ID 15412 TILEPROP INT_R_X5Y3 GRID_POINT_X 16 TILEPROP INT_R_X5Y3 GRID_POINT_Y 152 TILEPROP INT_R_X5Y3 INDEX 17496 TILEPROP INT_R_X5Y3 INT_TILE_X 5 TILEPROP INT_R_X5Y3 INT_TILE_Y 146 TILEPROP INT_R_X5Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y3 IS_DCM_TILE 0 TILEPROP INT_R_X5Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y3 NAME INT_R_X5Y3 TILEPROP INT_R_X5Y3 NUM_ARCS 3737 TILEPROP INT_R_X5Y3 NUM_SITES 1 TILEPROP INT_R_X5Y3 ROW 152 TILEPROP INT_R_X5Y3 SLR_REGION_ID 0 TILEPROP INT_R_X5Y3 TILE_PATTERN_IDX 8646 TILEPROP INT_R_X5Y3 TILE_TYPE INT_R TILEPROP INT_R_X5Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y3 TILE_X -73374 TILEPROP INT_R_X5Y3 TILE_Y -230072 TILEPROP INT_R_X5Y3 TYPE INT_R TILEPROP INT_R_X5Y4 CLASS tile TILEPROP INT_R_X5Y4 COLUMN 16 TILEPROP INT_R_X5Y4 DEVICE_ID 0 TILEPROP INT_R_X5Y4 FIRST_SITE_ID 15312 TILEPROP INT_R_X5Y4 GRID_POINT_X 16 TILEPROP INT_R_X5Y4 GRID_POINT_Y 151 TILEPROP INT_R_X5Y4 INDEX 17381 TILEPROP INT_R_X5Y4 INT_TILE_X 5 TILEPROP INT_R_X5Y4 INT_TILE_Y 145 TILEPROP INT_R_X5Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y4 IS_DCM_TILE 0 TILEPROP INT_R_X5Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y4 NAME INT_R_X5Y4 TILEPROP INT_R_X5Y4 NUM_ARCS 3737 TILEPROP INT_R_X5Y4 NUM_SITES 1 TILEPROP INT_R_X5Y4 ROW 151 TILEPROP INT_R_X5Y4 SLR_REGION_ID 0 TILEPROP INT_R_X5Y4 TILE_PATTERN_IDX 8616 TILEPROP INT_R_X5Y4 TILE_TYPE INT_R TILEPROP INT_R_X5Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y4 TILE_X -73374 TILEPROP INT_R_X5Y4 TILE_Y -226872 TILEPROP INT_R_X5Y4 TYPE INT_R TILEPROP INT_R_X5Y5 CLASS tile TILEPROP INT_R_X5Y5 COLUMN 16 TILEPROP INT_R_X5Y5 DEVICE_ID 0 TILEPROP INT_R_X5Y5 FIRST_SITE_ID 15197 TILEPROP INT_R_X5Y5 GRID_POINT_X 16 TILEPROP INT_R_X5Y5 GRID_POINT_Y 150 TILEPROP INT_R_X5Y5 INDEX 17266 TILEPROP INT_R_X5Y5 INT_TILE_X 5 TILEPROP INT_R_X5Y5 INT_TILE_Y 144 TILEPROP INT_R_X5Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y5 IS_DCM_TILE 0 TILEPROP INT_R_X5Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y5 NAME INT_R_X5Y5 TILEPROP INT_R_X5Y5 NUM_ARCS 3737 TILEPROP INT_R_X5Y5 NUM_SITES 1 TILEPROP INT_R_X5Y5 ROW 150 TILEPROP INT_R_X5Y5 SLR_REGION_ID 0 TILEPROP INT_R_X5Y5 TILE_PATTERN_IDX 8585 TILEPROP INT_R_X5Y5 TILE_TYPE INT_R TILEPROP INT_R_X5Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y5 TILE_X -73374 TILEPROP INT_R_X5Y5 TILE_Y -223672 TILEPROP INT_R_X5Y5 TYPE INT_R TILEPROP INT_R_X5Y6 CLASS tile TILEPROP INT_R_X5Y6 COLUMN 16 TILEPROP INT_R_X5Y6 DEVICE_ID 0 TILEPROP INT_R_X5Y6 FIRST_SITE_ID 15097 TILEPROP INT_R_X5Y6 GRID_POINT_X 16 TILEPROP INT_R_X5Y6 GRID_POINT_Y 149 TILEPROP INT_R_X5Y6 INDEX 17151 TILEPROP INT_R_X5Y6 INT_TILE_X 5 TILEPROP INT_R_X5Y6 INT_TILE_Y 143 TILEPROP INT_R_X5Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y6 IS_DCM_TILE 0 TILEPROP INT_R_X5Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y6 NAME INT_R_X5Y6 TILEPROP INT_R_X5Y6 NUM_ARCS 3737 TILEPROP INT_R_X5Y6 NUM_SITES 1 TILEPROP INT_R_X5Y6 ROW 149 TILEPROP INT_R_X5Y6 SLR_REGION_ID 0 TILEPROP INT_R_X5Y6 TILE_PATTERN_IDX 8555 TILEPROP INT_R_X5Y6 TILE_TYPE INT_R TILEPROP INT_R_X5Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y6 TILE_X -73374 TILEPROP INT_R_X5Y6 TILE_Y -220472 TILEPROP INT_R_X5Y6 TYPE INT_R TILEPROP INT_R_X5Y7 CLASS tile TILEPROP INT_R_X5Y7 COLUMN 16 TILEPROP INT_R_X5Y7 DEVICE_ID 0 TILEPROP INT_R_X5Y7 FIRST_SITE_ID 14995 TILEPROP INT_R_X5Y7 GRID_POINT_X 16 TILEPROP INT_R_X5Y7 GRID_POINT_Y 148 TILEPROP INT_R_X5Y7 INDEX 17036 TILEPROP INT_R_X5Y7 INT_TILE_X 5 TILEPROP INT_R_X5Y7 INT_TILE_Y 142 TILEPROP INT_R_X5Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y7 IS_DCM_TILE 0 TILEPROP INT_R_X5Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y7 NAME INT_R_X5Y7 TILEPROP INT_R_X5Y7 NUM_ARCS 3737 TILEPROP INT_R_X5Y7 NUM_SITES 1 TILEPROP INT_R_X5Y7 ROW 148 TILEPROP INT_R_X5Y7 SLR_REGION_ID 0 TILEPROP INT_R_X5Y7 TILE_PATTERN_IDX 8524 TILEPROP INT_R_X5Y7 TILE_TYPE INT_R TILEPROP INT_R_X5Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y7 TILE_X -73374 TILEPROP INT_R_X5Y7 TILE_Y -217272 TILEPROP INT_R_X5Y7 TYPE INT_R TILEPROP INT_R_X5Y8 CLASS tile TILEPROP INT_R_X5Y8 COLUMN 16 TILEPROP INT_R_X5Y8 DEVICE_ID 0 TILEPROP INT_R_X5Y8 FIRST_SITE_ID 14892 TILEPROP INT_R_X5Y8 GRID_POINT_X 16 TILEPROP INT_R_X5Y8 GRID_POINT_Y 147 TILEPROP INT_R_X5Y8 INDEX 16921 TILEPROP INT_R_X5Y8 INT_TILE_X 5 TILEPROP INT_R_X5Y8 INT_TILE_Y 141 TILEPROP INT_R_X5Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y8 IS_DCM_TILE 0 TILEPROP INT_R_X5Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y8 NAME INT_R_X5Y8 TILEPROP INT_R_X5Y8 NUM_ARCS 3737 TILEPROP INT_R_X5Y8 NUM_SITES 1 TILEPROP INT_R_X5Y8 ROW 147 TILEPROP INT_R_X5Y8 SLR_REGION_ID 0 TILEPROP INT_R_X5Y8 TILE_PATTERN_IDX 8494 TILEPROP INT_R_X5Y8 TILE_TYPE INT_R TILEPROP INT_R_X5Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y8 TILE_X -73374 TILEPROP INT_R_X5Y8 TILE_Y -214072 TILEPROP INT_R_X5Y8 TYPE INT_R TILEPROP INT_R_X5Y9 CLASS tile TILEPROP INT_R_X5Y9 COLUMN 16 TILEPROP INT_R_X5Y9 DEVICE_ID 0 TILEPROP INT_R_X5Y9 FIRST_SITE_ID 14791 TILEPROP INT_R_X5Y9 GRID_POINT_X 16 TILEPROP INT_R_X5Y9 GRID_POINT_Y 146 TILEPROP INT_R_X5Y9 INDEX 16806 TILEPROP INT_R_X5Y9 INT_TILE_X 5 TILEPROP INT_R_X5Y9 INT_TILE_Y 140 TILEPROP INT_R_X5Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y9 IS_DCM_TILE 0 TILEPROP INT_R_X5Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y9 NAME INT_R_X5Y9 TILEPROP INT_R_X5Y9 NUM_ARCS 3737 TILEPROP INT_R_X5Y9 NUM_SITES 1 TILEPROP INT_R_X5Y9 ROW 146 TILEPROP INT_R_X5Y9 SLR_REGION_ID 0 TILEPROP INT_R_X5Y9 TILE_PATTERN_IDX 8463 TILEPROP INT_R_X5Y9 TILE_TYPE INT_R TILEPROP INT_R_X5Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y9 TILE_X -73374 TILEPROP INT_R_X5Y9 TILE_Y -210872 TILEPROP INT_R_X5Y9 TYPE INT_R TILEPROP INT_R_X5Y10 CLASS tile TILEPROP INT_R_X5Y10 COLUMN 16 TILEPROP INT_R_X5Y10 DEVICE_ID 0 TILEPROP INT_R_X5Y10 FIRST_SITE_ID 14676 TILEPROP INT_R_X5Y10 GRID_POINT_X 16 TILEPROP INT_R_X5Y10 GRID_POINT_Y 145 TILEPROP INT_R_X5Y10 INDEX 16691 TILEPROP INT_R_X5Y10 INT_TILE_X 5 TILEPROP INT_R_X5Y10 INT_TILE_Y 139 TILEPROP INT_R_X5Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y10 IS_DCM_TILE 0 TILEPROP INT_R_X5Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y10 NAME INT_R_X5Y10 TILEPROP INT_R_X5Y10 NUM_ARCS 3737 TILEPROP INT_R_X5Y10 NUM_SITES 1 TILEPROP INT_R_X5Y10 ROW 145 TILEPROP INT_R_X5Y10 SLR_REGION_ID 0 TILEPROP INT_R_X5Y10 TILE_PATTERN_IDX 8433 TILEPROP INT_R_X5Y10 TILE_TYPE INT_R TILEPROP INT_R_X5Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y10 TILE_X -73374 TILEPROP INT_R_X5Y10 TILE_Y -207672 TILEPROP INT_R_X5Y10 TYPE INT_R TILEPROP INT_R_X5Y11 CLASS tile TILEPROP INT_R_X5Y11 COLUMN 16 TILEPROP INT_R_X5Y11 DEVICE_ID 0 TILEPROP INT_R_X5Y11 FIRST_SITE_ID 14576 TILEPROP INT_R_X5Y11 GRID_POINT_X 16 TILEPROP INT_R_X5Y11 GRID_POINT_Y 144 TILEPROP INT_R_X5Y11 INDEX 16576 TILEPROP INT_R_X5Y11 INT_TILE_X 5 TILEPROP INT_R_X5Y11 INT_TILE_Y 138 TILEPROP INT_R_X5Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y11 IS_DCM_TILE 0 TILEPROP INT_R_X5Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y11 NAME INT_R_X5Y11 TILEPROP INT_R_X5Y11 NUM_ARCS 3737 TILEPROP INT_R_X5Y11 NUM_SITES 1 TILEPROP INT_R_X5Y11 ROW 144 TILEPROP INT_R_X5Y11 SLR_REGION_ID 0 TILEPROP INT_R_X5Y11 TILE_PATTERN_IDX 8402 TILEPROP INT_R_X5Y11 TILE_TYPE INT_R TILEPROP INT_R_X5Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y11 TILE_X -73374 TILEPROP INT_R_X5Y11 TILE_Y -204472 TILEPROP INT_R_X5Y11 TYPE INT_R TILEPROP INT_R_X5Y12 CLASS tile TILEPROP INT_R_X5Y12 COLUMN 16 TILEPROP INT_R_X5Y12 DEVICE_ID 0 TILEPROP INT_R_X5Y12 FIRST_SITE_ID 14444 TILEPROP INT_R_X5Y12 GRID_POINT_X 16 TILEPROP INT_R_X5Y12 GRID_POINT_Y 143 TILEPROP INT_R_X5Y12 INDEX 16461 TILEPROP INT_R_X5Y12 INT_TILE_X 5 TILEPROP INT_R_X5Y12 INT_TILE_Y 137 TILEPROP INT_R_X5Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y12 IS_DCM_TILE 0 TILEPROP INT_R_X5Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y12 NAME INT_R_X5Y12 TILEPROP INT_R_X5Y12 NUM_ARCS 3737 TILEPROP INT_R_X5Y12 NUM_SITES 1 TILEPROP INT_R_X5Y12 ROW 143 TILEPROP INT_R_X5Y12 SLR_REGION_ID 0 TILEPROP INT_R_X5Y12 TILE_PATTERN_IDX 8372 TILEPROP INT_R_X5Y12 TILE_TYPE INT_R TILEPROP INT_R_X5Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y12 TILE_X -73374 TILEPROP INT_R_X5Y12 TILE_Y -201272 TILEPROP INT_R_X5Y12 TYPE INT_R TILEPROP INT_R_X5Y13 CLASS tile TILEPROP INT_R_X5Y13 COLUMN 16 TILEPROP INT_R_X5Y13 DEVICE_ID 0 TILEPROP INT_R_X5Y13 FIRST_SITE_ID 14344 TILEPROP INT_R_X5Y13 GRID_POINT_X 16 TILEPROP INT_R_X5Y13 GRID_POINT_Y 142 TILEPROP INT_R_X5Y13 INDEX 16346 TILEPROP INT_R_X5Y13 INT_TILE_X 5 TILEPROP INT_R_X5Y13 INT_TILE_Y 136 TILEPROP INT_R_X5Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y13 IS_DCM_TILE 0 TILEPROP INT_R_X5Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y13 NAME INT_R_X5Y13 TILEPROP INT_R_X5Y13 NUM_ARCS 3737 TILEPROP INT_R_X5Y13 NUM_SITES 1 TILEPROP INT_R_X5Y13 ROW 142 TILEPROP INT_R_X5Y13 SLR_REGION_ID 0 TILEPROP INT_R_X5Y13 TILE_PATTERN_IDX 8341 TILEPROP INT_R_X5Y13 TILE_TYPE INT_R TILEPROP INT_R_X5Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y13 TILE_X -73374 TILEPROP INT_R_X5Y13 TILE_Y -198072 TILEPROP INT_R_X5Y13 TYPE INT_R TILEPROP INT_R_X5Y14 CLASS tile TILEPROP INT_R_X5Y14 COLUMN 16 TILEPROP INT_R_X5Y14 DEVICE_ID 0 TILEPROP INT_R_X5Y14 FIRST_SITE_ID 14244 TILEPROP INT_R_X5Y14 GRID_POINT_X 16 TILEPROP INT_R_X5Y14 GRID_POINT_Y 141 TILEPROP INT_R_X5Y14 INDEX 16231 TILEPROP INT_R_X5Y14 INT_TILE_X 5 TILEPROP INT_R_X5Y14 INT_TILE_Y 135 TILEPROP INT_R_X5Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y14 IS_DCM_TILE 0 TILEPROP INT_R_X5Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y14 NAME INT_R_X5Y14 TILEPROP INT_R_X5Y14 NUM_ARCS 3737 TILEPROP INT_R_X5Y14 NUM_SITES 1 TILEPROP INT_R_X5Y14 ROW 141 TILEPROP INT_R_X5Y14 SLR_REGION_ID 0 TILEPROP INT_R_X5Y14 TILE_PATTERN_IDX 8311 TILEPROP INT_R_X5Y14 TILE_TYPE INT_R TILEPROP INT_R_X5Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y14 TILE_X -73374 TILEPROP INT_R_X5Y14 TILE_Y -194872 TILEPROP INT_R_X5Y14 TYPE INT_R TILEPROP INT_R_X5Y15 CLASS tile TILEPROP INT_R_X5Y15 COLUMN 16 TILEPROP INT_R_X5Y15 DEVICE_ID 0 TILEPROP INT_R_X5Y15 FIRST_SITE_ID 14129 TILEPROP INT_R_X5Y15 GRID_POINT_X 16 TILEPROP INT_R_X5Y15 GRID_POINT_Y 140 TILEPROP INT_R_X5Y15 INDEX 16116 TILEPROP INT_R_X5Y15 INT_TILE_X 5 TILEPROP INT_R_X5Y15 INT_TILE_Y 134 TILEPROP INT_R_X5Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y15 IS_DCM_TILE 0 TILEPROP INT_R_X5Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y15 NAME INT_R_X5Y15 TILEPROP INT_R_X5Y15 NUM_ARCS 3737 TILEPROP INT_R_X5Y15 NUM_SITES 1 TILEPROP INT_R_X5Y15 ROW 140 TILEPROP INT_R_X5Y15 SLR_REGION_ID 0 TILEPROP INT_R_X5Y15 TILE_PATTERN_IDX 8280 TILEPROP INT_R_X5Y15 TILE_TYPE INT_R TILEPROP INT_R_X5Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y15 TILE_X -73374 TILEPROP INT_R_X5Y15 TILE_Y -191672 TILEPROP INT_R_X5Y15 TYPE INT_R TILEPROP INT_R_X5Y16 CLASS tile TILEPROP INT_R_X5Y16 COLUMN 16 TILEPROP INT_R_X5Y16 DEVICE_ID 0 TILEPROP INT_R_X5Y16 FIRST_SITE_ID 14029 TILEPROP INT_R_X5Y16 GRID_POINT_X 16 TILEPROP INT_R_X5Y16 GRID_POINT_Y 139 TILEPROP INT_R_X5Y16 INDEX 16001 TILEPROP INT_R_X5Y16 INT_TILE_X 5 TILEPROP INT_R_X5Y16 INT_TILE_Y 133 TILEPROP INT_R_X5Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y16 IS_DCM_TILE 0 TILEPROP INT_R_X5Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y16 NAME INT_R_X5Y16 TILEPROP INT_R_X5Y16 NUM_ARCS 3737 TILEPROP INT_R_X5Y16 NUM_SITES 1 TILEPROP INT_R_X5Y16 ROW 139 TILEPROP INT_R_X5Y16 SLR_REGION_ID 0 TILEPROP INT_R_X5Y16 TILE_PATTERN_IDX 8250 TILEPROP INT_R_X5Y16 TILE_TYPE INT_R TILEPROP INT_R_X5Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y16 TILE_X -73374 TILEPROP INT_R_X5Y16 TILE_Y -188472 TILEPROP INT_R_X5Y16 TYPE INT_R TILEPROP INT_R_X5Y17 CLASS tile TILEPROP INT_R_X5Y17 COLUMN 16 TILEPROP INT_R_X5Y17 DEVICE_ID 0 TILEPROP INT_R_X5Y17 FIRST_SITE_ID 13924 TILEPROP INT_R_X5Y17 GRID_POINT_X 16 TILEPROP INT_R_X5Y17 GRID_POINT_Y 138 TILEPROP INT_R_X5Y17 INDEX 15886 TILEPROP INT_R_X5Y17 INT_TILE_X 5 TILEPROP INT_R_X5Y17 INT_TILE_Y 132 TILEPROP INT_R_X5Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y17 IS_DCM_TILE 0 TILEPROP INT_R_X5Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y17 NAME INT_R_X5Y17 TILEPROP INT_R_X5Y17 NUM_ARCS 3737 TILEPROP INT_R_X5Y17 NUM_SITES 1 TILEPROP INT_R_X5Y17 ROW 138 TILEPROP INT_R_X5Y17 SLR_REGION_ID 0 TILEPROP INT_R_X5Y17 TILE_PATTERN_IDX 8218 TILEPROP INT_R_X5Y17 TILE_TYPE INT_R TILEPROP INT_R_X5Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y17 TILE_X -73374 TILEPROP INT_R_X5Y17 TILE_Y -185272 TILEPROP INT_R_X5Y17 TYPE INT_R TILEPROP INT_R_X5Y18 CLASS tile TILEPROP INT_R_X5Y18 COLUMN 16 TILEPROP INT_R_X5Y18 DEVICE_ID 0 TILEPROP INT_R_X5Y18 FIRST_SITE_ID 13820 TILEPROP INT_R_X5Y18 GRID_POINT_X 16 TILEPROP INT_R_X5Y18 GRID_POINT_Y 137 TILEPROP INT_R_X5Y18 INDEX 15771 TILEPROP INT_R_X5Y18 INT_TILE_X 5 TILEPROP INT_R_X5Y18 INT_TILE_Y 131 TILEPROP INT_R_X5Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y18 IS_DCM_TILE 0 TILEPROP INT_R_X5Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y18 NAME INT_R_X5Y18 TILEPROP INT_R_X5Y18 NUM_ARCS 3737 TILEPROP INT_R_X5Y18 NUM_SITES 1 TILEPROP INT_R_X5Y18 ROW 137 TILEPROP INT_R_X5Y18 SLR_REGION_ID 0 TILEPROP INT_R_X5Y18 TILE_PATTERN_IDX 8195 TILEPROP INT_R_X5Y18 TILE_TYPE INT_R TILEPROP INT_R_X5Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y18 TILE_X -73374 TILEPROP INT_R_X5Y18 TILE_Y -182072 TILEPROP INT_R_X5Y18 TYPE INT_R TILEPROP INT_R_X5Y19 CLASS tile TILEPROP INT_R_X5Y19 COLUMN 16 TILEPROP INT_R_X5Y19 DEVICE_ID 0 TILEPROP INT_R_X5Y19 FIRST_SITE_ID 13718 TILEPROP INT_R_X5Y19 GRID_POINT_X 16 TILEPROP INT_R_X5Y19 GRID_POINT_Y 136 TILEPROP INT_R_X5Y19 INDEX 15656 TILEPROP INT_R_X5Y19 INT_TILE_X 5 TILEPROP INT_R_X5Y19 INT_TILE_Y 130 TILEPROP INT_R_X5Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y19 IS_DCM_TILE 0 TILEPROP INT_R_X5Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y19 NAME INT_R_X5Y19 TILEPROP INT_R_X5Y19 NUM_ARCS 3737 TILEPROP INT_R_X5Y19 NUM_SITES 1 TILEPROP INT_R_X5Y19 ROW 136 TILEPROP INT_R_X5Y19 SLR_REGION_ID 0 TILEPROP INT_R_X5Y19 TILE_PATTERN_IDX 8172 TILEPROP INT_R_X5Y19 TILE_TYPE INT_R TILEPROP INT_R_X5Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y19 TILE_X -73374 TILEPROP INT_R_X5Y19 TILE_Y -178872 TILEPROP INT_R_X5Y19 TYPE INT_R TILEPROP INT_R_X5Y20 CLASS tile TILEPROP INT_R_X5Y20 COLUMN 16 TILEPROP INT_R_X5Y20 DEVICE_ID 0 TILEPROP INT_R_X5Y20 FIRST_SITE_ID 13601 TILEPROP INT_R_X5Y20 GRID_POINT_X 16 TILEPROP INT_R_X5Y20 GRID_POINT_Y 135 TILEPROP INT_R_X5Y20 INDEX 15541 TILEPROP INT_R_X5Y20 INT_TILE_X 5 TILEPROP INT_R_X5Y20 INT_TILE_Y 129 TILEPROP INT_R_X5Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y20 IS_DCM_TILE 0 TILEPROP INT_R_X5Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y20 NAME INT_R_X5Y20 TILEPROP INT_R_X5Y20 NUM_ARCS 3737 TILEPROP INT_R_X5Y20 NUM_SITES 1 TILEPROP INT_R_X5Y20 ROW 135 TILEPROP INT_R_X5Y20 SLR_REGION_ID 0 TILEPROP INT_R_X5Y20 TILE_PATTERN_IDX 8150 TILEPROP INT_R_X5Y20 TILE_TYPE INT_R TILEPROP INT_R_X5Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y20 TILE_X -73374 TILEPROP INT_R_X5Y20 TILE_Y -175672 TILEPROP INT_R_X5Y20 TYPE INT_R TILEPROP INT_R_X5Y21 CLASS tile TILEPROP INT_R_X5Y21 COLUMN 16 TILEPROP INT_R_X5Y21 DEVICE_ID 0 TILEPROP INT_R_X5Y21 FIRST_SITE_ID 13501 TILEPROP INT_R_X5Y21 GRID_POINT_X 16 TILEPROP INT_R_X5Y21 GRID_POINT_Y 134 TILEPROP INT_R_X5Y21 INDEX 15426 TILEPROP INT_R_X5Y21 INT_TILE_X 5 TILEPROP INT_R_X5Y21 INT_TILE_Y 128 TILEPROP INT_R_X5Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y21 IS_DCM_TILE 0 TILEPROP INT_R_X5Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y21 NAME INT_R_X5Y21 TILEPROP INT_R_X5Y21 NUM_ARCS 3737 TILEPROP INT_R_X5Y21 NUM_SITES 1 TILEPROP INT_R_X5Y21 ROW 134 TILEPROP INT_R_X5Y21 SLR_REGION_ID 0 TILEPROP INT_R_X5Y21 TILE_PATTERN_IDX 8121 TILEPROP INT_R_X5Y21 TILE_TYPE INT_R TILEPROP INT_R_X5Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y21 TILE_X -73374 TILEPROP INT_R_X5Y21 TILE_Y -172472 TILEPROP INT_R_X5Y21 TYPE INT_R TILEPROP INT_R_X5Y22 CLASS tile TILEPROP INT_R_X5Y22 COLUMN 16 TILEPROP INT_R_X5Y22 DEVICE_ID 0 TILEPROP INT_R_X5Y22 FIRST_SITE_ID 13401 TILEPROP INT_R_X5Y22 GRID_POINT_X 16 TILEPROP INT_R_X5Y22 GRID_POINT_Y 133 TILEPROP INT_R_X5Y22 INDEX 15311 TILEPROP INT_R_X5Y22 INT_TILE_X 5 TILEPROP INT_R_X5Y22 INT_TILE_Y 127 TILEPROP INT_R_X5Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y22 IS_DCM_TILE 0 TILEPROP INT_R_X5Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y22 NAME INT_R_X5Y22 TILEPROP INT_R_X5Y22 NUM_ARCS 3737 TILEPROP INT_R_X5Y22 NUM_SITES 1 TILEPROP INT_R_X5Y22 ROW 133 TILEPROP INT_R_X5Y22 SLR_REGION_ID 0 TILEPROP INT_R_X5Y22 TILE_PATTERN_IDX 8093 TILEPROP INT_R_X5Y22 TILE_TYPE INT_R TILEPROP INT_R_X5Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y22 TILE_X -73374 TILEPROP INT_R_X5Y22 TILE_Y -169272 TILEPROP INT_R_X5Y22 TYPE INT_R TILEPROP INT_R_X5Y23 CLASS tile TILEPROP INT_R_X5Y23 COLUMN 16 TILEPROP INT_R_X5Y23 DEVICE_ID 0 TILEPROP INT_R_X5Y23 FIRST_SITE_ID 13301 TILEPROP INT_R_X5Y23 GRID_POINT_X 16 TILEPROP INT_R_X5Y23 GRID_POINT_Y 132 TILEPROP INT_R_X5Y23 INDEX 15196 TILEPROP INT_R_X5Y23 INT_TILE_X 5 TILEPROP INT_R_X5Y23 INT_TILE_Y 126 TILEPROP INT_R_X5Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y23 IS_DCM_TILE 0 TILEPROP INT_R_X5Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y23 NAME INT_R_X5Y23 TILEPROP INT_R_X5Y23 NUM_ARCS 3737 TILEPROP INT_R_X5Y23 NUM_SITES 1 TILEPROP INT_R_X5Y23 ROW 132 TILEPROP INT_R_X5Y23 SLR_REGION_ID 0 TILEPROP INT_R_X5Y23 TILE_PATTERN_IDX 8064 TILEPROP INT_R_X5Y23 TILE_TYPE INT_R TILEPROP INT_R_X5Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y23 TILE_X -73374 TILEPROP INT_R_X5Y23 TILE_Y -166072 TILEPROP INT_R_X5Y23 TYPE INT_R TILEPROP INT_R_X5Y24 CLASS tile TILEPROP INT_R_X5Y24 COLUMN 16 TILEPROP INT_R_X5Y24 DEVICE_ID 0 TILEPROP INT_R_X5Y24 FIRST_SITE_ID 13201 TILEPROP INT_R_X5Y24 GRID_POINT_X 16 TILEPROP INT_R_X5Y24 GRID_POINT_Y 131 TILEPROP INT_R_X5Y24 INDEX 15081 TILEPROP INT_R_X5Y24 INT_TILE_X 5 TILEPROP INT_R_X5Y24 INT_TILE_Y 125 TILEPROP INT_R_X5Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y24 IS_DCM_TILE 0 TILEPROP INT_R_X5Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y24 NAME INT_R_X5Y24 TILEPROP INT_R_X5Y24 NUM_ARCS 3737 TILEPROP INT_R_X5Y24 NUM_SITES 1 TILEPROP INT_R_X5Y24 ROW 131 TILEPROP INT_R_X5Y24 SLR_REGION_ID 0 TILEPROP INT_R_X5Y24 TILE_PATTERN_IDX 8036 TILEPROP INT_R_X5Y24 TILE_TYPE INT_R TILEPROP INT_R_X5Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y24 TILE_X -73374 TILEPROP INT_R_X5Y24 TILE_Y -162872 TILEPROP INT_R_X5Y24 TYPE INT_R TILEPROP INT_R_X5Y25 CLASS tile TILEPROP INT_R_X5Y25 COLUMN 16 TILEPROP INT_R_X5Y25 DEVICE_ID 0 TILEPROP INT_R_X5Y25 FIRST_SITE_ID 13003 TILEPROP INT_R_X5Y25 GRID_POINT_X 16 TILEPROP INT_R_X5Y25 GRID_POINT_Y 129 TILEPROP INT_R_X5Y25 INDEX 14851 TILEPROP INT_R_X5Y25 INT_TILE_X 5 TILEPROP INT_R_X5Y25 INT_TILE_Y 124 TILEPROP INT_R_X5Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y25 IS_DCM_TILE 0 TILEPROP INT_R_X5Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y25 NAME INT_R_X5Y25 TILEPROP INT_R_X5Y25 NUM_ARCS 3737 TILEPROP INT_R_X5Y25 NUM_SITES 1 TILEPROP INT_R_X5Y25 ROW 129 TILEPROP INT_R_X5Y25 SLR_REGION_ID 0 TILEPROP INT_R_X5Y25 TILE_PATTERN_IDX 7964 TILEPROP INT_R_X5Y25 TILE_TYPE INT_R TILEPROP INT_R_X5Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y25 TILE_X -73374 TILEPROP INT_R_X5Y25 TILE_Y -158648 TILEPROP INT_R_X5Y25 TYPE INT_R TILEPROP INT_R_X5Y26 CLASS tile TILEPROP INT_R_X5Y26 COLUMN 16 TILEPROP INT_R_X5Y26 DEVICE_ID 0 TILEPROP INT_R_X5Y26 FIRST_SITE_ID 12903 TILEPROP INT_R_X5Y26 GRID_POINT_X 16 TILEPROP INT_R_X5Y26 GRID_POINT_Y 128 TILEPROP INT_R_X5Y26 INDEX 14736 TILEPROP INT_R_X5Y26 INT_TILE_X 5 TILEPROP INT_R_X5Y26 INT_TILE_Y 123 TILEPROP INT_R_X5Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y26 IS_DCM_TILE 0 TILEPROP INT_R_X5Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y26 NAME INT_R_X5Y26 TILEPROP INT_R_X5Y26 NUM_ARCS 3737 TILEPROP INT_R_X5Y26 NUM_SITES 1 TILEPROP INT_R_X5Y26 ROW 128 TILEPROP INT_R_X5Y26 SLR_REGION_ID 0 TILEPROP INT_R_X5Y26 TILE_PATTERN_IDX 7936 TILEPROP INT_R_X5Y26 TILE_TYPE INT_R TILEPROP INT_R_X5Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y26 TILE_X -73374 TILEPROP INT_R_X5Y26 TILE_Y -155448 TILEPROP INT_R_X5Y26 TYPE INT_R TILEPROP INT_R_X5Y27 CLASS tile TILEPROP INT_R_X5Y27 COLUMN 16 TILEPROP INT_R_X5Y27 DEVICE_ID 0 TILEPROP INT_R_X5Y27 FIRST_SITE_ID 12803 TILEPROP INT_R_X5Y27 GRID_POINT_X 16 TILEPROP INT_R_X5Y27 GRID_POINT_Y 127 TILEPROP INT_R_X5Y27 INDEX 14621 TILEPROP INT_R_X5Y27 INT_TILE_X 5 TILEPROP INT_R_X5Y27 INT_TILE_Y 122 TILEPROP INT_R_X5Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y27 IS_DCM_TILE 0 TILEPROP INT_R_X5Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y27 NAME INT_R_X5Y27 TILEPROP INT_R_X5Y27 NUM_ARCS 3737 TILEPROP INT_R_X5Y27 NUM_SITES 1 TILEPROP INT_R_X5Y27 ROW 127 TILEPROP INT_R_X5Y27 SLR_REGION_ID 0 TILEPROP INT_R_X5Y27 TILE_PATTERN_IDX 7907 TILEPROP INT_R_X5Y27 TILE_TYPE INT_R TILEPROP INT_R_X5Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y27 TILE_X -73374 TILEPROP INT_R_X5Y27 TILE_Y -152248 TILEPROP INT_R_X5Y27 TYPE INT_R TILEPROP INT_R_X5Y28 CLASS tile TILEPROP INT_R_X5Y28 COLUMN 16 TILEPROP INT_R_X5Y28 DEVICE_ID 0 TILEPROP INT_R_X5Y28 FIRST_SITE_ID 12703 TILEPROP INT_R_X5Y28 GRID_POINT_X 16 TILEPROP INT_R_X5Y28 GRID_POINT_Y 126 TILEPROP INT_R_X5Y28 INDEX 14506 TILEPROP INT_R_X5Y28 INT_TILE_X 5 TILEPROP INT_R_X5Y28 INT_TILE_Y 121 TILEPROP INT_R_X5Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y28 IS_DCM_TILE 0 TILEPROP INT_R_X5Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y28 NAME INT_R_X5Y28 TILEPROP INT_R_X5Y28 NUM_ARCS 3737 TILEPROP INT_R_X5Y28 NUM_SITES 1 TILEPROP INT_R_X5Y28 ROW 126 TILEPROP INT_R_X5Y28 SLR_REGION_ID 0 TILEPROP INT_R_X5Y28 TILE_PATTERN_IDX 7879 TILEPROP INT_R_X5Y28 TILE_TYPE INT_R TILEPROP INT_R_X5Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y28 TILE_X -73374 TILEPROP INT_R_X5Y28 TILE_Y -149048 TILEPROP INT_R_X5Y28 TYPE INT_R TILEPROP INT_R_X5Y29 CLASS tile TILEPROP INT_R_X5Y29 COLUMN 16 TILEPROP INT_R_X5Y29 DEVICE_ID 0 TILEPROP INT_R_X5Y29 FIRST_SITE_ID 12597 TILEPROP INT_R_X5Y29 GRID_POINT_X 16 TILEPROP INT_R_X5Y29 GRID_POINT_Y 125 TILEPROP INT_R_X5Y29 INDEX 14391 TILEPROP INT_R_X5Y29 INT_TILE_X 5 TILEPROP INT_R_X5Y29 INT_TILE_Y 120 TILEPROP INT_R_X5Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y29 IS_DCM_TILE 0 TILEPROP INT_R_X5Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y29 NAME INT_R_X5Y29 TILEPROP INT_R_X5Y29 NUM_ARCS 3737 TILEPROP INT_R_X5Y29 NUM_SITES 1 TILEPROP INT_R_X5Y29 ROW 125 TILEPROP INT_R_X5Y29 SLR_REGION_ID 0 TILEPROP INT_R_X5Y29 TILE_PATTERN_IDX 7855 TILEPROP INT_R_X5Y29 TILE_TYPE INT_R TILEPROP INT_R_X5Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y29 TILE_X -73374 TILEPROP INT_R_X5Y29 TILE_Y -145848 TILEPROP INT_R_X5Y29 TYPE INT_R TILEPROP INT_R_X5Y30 CLASS tile TILEPROP INT_R_X5Y30 COLUMN 16 TILEPROP INT_R_X5Y30 DEVICE_ID 0 TILEPROP INT_R_X5Y30 FIRST_SITE_ID 12463 TILEPROP INT_R_X5Y30 GRID_POINT_X 16 TILEPROP INT_R_X5Y30 GRID_POINT_Y 124 TILEPROP INT_R_X5Y30 INDEX 14276 TILEPROP INT_R_X5Y30 INT_TILE_X 5 TILEPROP INT_R_X5Y30 INT_TILE_Y 119 TILEPROP INT_R_X5Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y30 IS_DCM_TILE 0 TILEPROP INT_R_X5Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y30 NAME INT_R_X5Y30 TILEPROP INT_R_X5Y30 NUM_ARCS 3737 TILEPROP INT_R_X5Y30 NUM_SITES 1 TILEPROP INT_R_X5Y30 ROW 124 TILEPROP INT_R_X5Y30 SLR_REGION_ID 0 TILEPROP INT_R_X5Y30 TILE_PATTERN_IDX 7832 TILEPROP INT_R_X5Y30 TILE_TYPE INT_R TILEPROP INT_R_X5Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y30 TILE_X -73374 TILEPROP INT_R_X5Y30 TILE_Y -142648 TILEPROP INT_R_X5Y30 TYPE INT_R TILEPROP INT_R_X5Y31 CLASS tile TILEPROP INT_R_X5Y31 COLUMN 16 TILEPROP INT_R_X5Y31 DEVICE_ID 0 TILEPROP INT_R_X5Y31 FIRST_SITE_ID 12361 TILEPROP INT_R_X5Y31 GRID_POINT_X 16 TILEPROP INT_R_X5Y31 GRID_POINT_Y 123 TILEPROP INT_R_X5Y31 INDEX 14161 TILEPROP INT_R_X5Y31 INT_TILE_X 5 TILEPROP INT_R_X5Y31 INT_TILE_Y 118 TILEPROP INT_R_X5Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y31 IS_DCM_TILE 0 TILEPROP INT_R_X5Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y31 NAME INT_R_X5Y31 TILEPROP INT_R_X5Y31 NUM_ARCS 3737 TILEPROP INT_R_X5Y31 NUM_SITES 1 TILEPROP INT_R_X5Y31 ROW 123 TILEPROP INT_R_X5Y31 SLR_REGION_ID 0 TILEPROP INT_R_X5Y31 TILE_PATTERN_IDX 7809 TILEPROP INT_R_X5Y31 TILE_TYPE INT_R TILEPROP INT_R_X5Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y31 TILE_X -73374 TILEPROP INT_R_X5Y31 TILE_Y -139448 TILEPROP INT_R_X5Y31 TYPE INT_R TILEPROP INT_R_X5Y32 CLASS tile TILEPROP INT_R_X5Y32 COLUMN 16 TILEPROP INT_R_X5Y32 DEVICE_ID 0 TILEPROP INT_R_X5Y32 FIRST_SITE_ID 12258 TILEPROP INT_R_X5Y32 GRID_POINT_X 16 TILEPROP INT_R_X5Y32 GRID_POINT_Y 122 TILEPROP INT_R_X5Y32 INDEX 14046 TILEPROP INT_R_X5Y32 INT_TILE_X 5 TILEPROP INT_R_X5Y32 INT_TILE_Y 117 TILEPROP INT_R_X5Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y32 IS_DCM_TILE 0 TILEPROP INT_R_X5Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y32 NAME INT_R_X5Y32 TILEPROP INT_R_X5Y32 NUM_ARCS 3737 TILEPROP INT_R_X5Y32 NUM_SITES 1 TILEPROP INT_R_X5Y32 ROW 122 TILEPROP INT_R_X5Y32 SLR_REGION_ID 0 TILEPROP INT_R_X5Y32 TILE_PATTERN_IDX 7775 TILEPROP INT_R_X5Y32 TILE_TYPE INT_R TILEPROP INT_R_X5Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y32 TILE_X -73374 TILEPROP INT_R_X5Y32 TILE_Y -136248 TILEPROP INT_R_X5Y32 TYPE INT_R TILEPROP INT_R_X5Y33 CLASS tile TILEPROP INT_R_X5Y33 COLUMN 16 TILEPROP INT_R_X5Y33 DEVICE_ID 0 TILEPROP INT_R_X5Y33 FIRST_SITE_ID 12158 TILEPROP INT_R_X5Y33 GRID_POINT_X 16 TILEPROP INT_R_X5Y33 GRID_POINT_Y 121 TILEPROP INT_R_X5Y33 INDEX 13931 TILEPROP INT_R_X5Y33 INT_TILE_X 5 TILEPROP INT_R_X5Y33 INT_TILE_Y 116 TILEPROP INT_R_X5Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y33 IS_DCM_TILE 0 TILEPROP INT_R_X5Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y33 NAME INT_R_X5Y33 TILEPROP INT_R_X5Y33 NUM_ARCS 3737 TILEPROP INT_R_X5Y33 NUM_SITES 1 TILEPROP INT_R_X5Y33 ROW 121 TILEPROP INT_R_X5Y33 SLR_REGION_ID 0 TILEPROP INT_R_X5Y33 TILE_PATTERN_IDX 7746 TILEPROP INT_R_X5Y33 TILE_TYPE INT_R TILEPROP INT_R_X5Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y33 TILE_X -73374 TILEPROP INT_R_X5Y33 TILE_Y -133048 TILEPROP INT_R_X5Y33 TYPE INT_R TILEPROP INT_R_X5Y34 CLASS tile TILEPROP INT_R_X5Y34 COLUMN 16 TILEPROP INT_R_X5Y34 DEVICE_ID 0 TILEPROP INT_R_X5Y34 FIRST_SITE_ID 12058 TILEPROP INT_R_X5Y34 GRID_POINT_X 16 TILEPROP INT_R_X5Y34 GRID_POINT_Y 120 TILEPROP INT_R_X5Y34 INDEX 13816 TILEPROP INT_R_X5Y34 INT_TILE_X 5 TILEPROP INT_R_X5Y34 INT_TILE_Y 115 TILEPROP INT_R_X5Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y34 IS_DCM_TILE 0 TILEPROP INT_R_X5Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y34 NAME INT_R_X5Y34 TILEPROP INT_R_X5Y34 NUM_ARCS 3737 TILEPROP INT_R_X5Y34 NUM_SITES 1 TILEPROP INT_R_X5Y34 ROW 120 TILEPROP INT_R_X5Y34 SLR_REGION_ID 0 TILEPROP INT_R_X5Y34 TILE_PATTERN_IDX 7718 TILEPROP INT_R_X5Y34 TILE_TYPE INT_R TILEPROP INT_R_X5Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y34 TILE_X -73374 TILEPROP INT_R_X5Y34 TILE_Y -129848 TILEPROP INT_R_X5Y34 TYPE INT_R TILEPROP INT_R_X5Y35 CLASS tile TILEPROP INT_R_X5Y35 COLUMN 16 TILEPROP INT_R_X5Y35 DEVICE_ID 0 TILEPROP INT_R_X5Y35 FIRST_SITE_ID 11943 TILEPROP INT_R_X5Y35 GRID_POINT_X 16 TILEPROP INT_R_X5Y35 GRID_POINT_Y 119 TILEPROP INT_R_X5Y35 INDEX 13701 TILEPROP INT_R_X5Y35 INT_TILE_X 5 TILEPROP INT_R_X5Y35 INT_TILE_Y 114 TILEPROP INT_R_X5Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y35 IS_DCM_TILE 0 TILEPROP INT_R_X5Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y35 NAME INT_R_X5Y35 TILEPROP INT_R_X5Y35 NUM_ARCS 3737 TILEPROP INT_R_X5Y35 NUM_SITES 1 TILEPROP INT_R_X5Y35 ROW 119 TILEPROP INT_R_X5Y35 SLR_REGION_ID 0 TILEPROP INT_R_X5Y35 TILE_PATTERN_IDX 7689 TILEPROP INT_R_X5Y35 TILE_TYPE INT_R TILEPROP INT_R_X5Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y35 TILE_X -73374 TILEPROP INT_R_X5Y35 TILE_Y -126648 TILEPROP INT_R_X5Y35 TYPE INT_R TILEPROP INT_R_X5Y36 CLASS tile TILEPROP INT_R_X5Y36 COLUMN 16 TILEPROP INT_R_X5Y36 DEVICE_ID 0 TILEPROP INT_R_X5Y36 FIRST_SITE_ID 11811 TILEPROP INT_R_X5Y36 GRID_POINT_X 16 TILEPROP INT_R_X5Y36 GRID_POINT_Y 118 TILEPROP INT_R_X5Y36 INDEX 13586 TILEPROP INT_R_X5Y36 INT_TILE_X 5 TILEPROP INT_R_X5Y36 INT_TILE_Y 113 TILEPROP INT_R_X5Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y36 IS_DCM_TILE 0 TILEPROP INT_R_X5Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y36 NAME INT_R_X5Y36 TILEPROP INT_R_X5Y36 NUM_ARCS 3737 TILEPROP INT_R_X5Y36 NUM_SITES 1 TILEPROP INT_R_X5Y36 ROW 118 TILEPROP INT_R_X5Y36 SLR_REGION_ID 0 TILEPROP INT_R_X5Y36 TILE_PATTERN_IDX 7661 TILEPROP INT_R_X5Y36 TILE_TYPE INT_R TILEPROP INT_R_X5Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y36 TILE_X -73374 TILEPROP INT_R_X5Y36 TILE_Y -123448 TILEPROP INT_R_X5Y36 TYPE INT_R TILEPROP INT_R_X5Y37 CLASS tile TILEPROP INT_R_X5Y37 COLUMN 16 TILEPROP INT_R_X5Y37 DEVICE_ID 0 TILEPROP INT_R_X5Y37 FIRST_SITE_ID 11711 TILEPROP INT_R_X5Y37 GRID_POINT_X 16 TILEPROP INT_R_X5Y37 GRID_POINT_Y 117 TILEPROP INT_R_X5Y37 INDEX 13471 TILEPROP INT_R_X5Y37 INT_TILE_X 5 TILEPROP INT_R_X5Y37 INT_TILE_Y 112 TILEPROP INT_R_X5Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y37 IS_DCM_TILE 0 TILEPROP INT_R_X5Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y37 NAME INT_R_X5Y37 TILEPROP INT_R_X5Y37 NUM_ARCS 3737 TILEPROP INT_R_X5Y37 NUM_SITES 1 TILEPROP INT_R_X5Y37 ROW 117 TILEPROP INT_R_X5Y37 SLR_REGION_ID 0 TILEPROP INT_R_X5Y37 TILE_PATTERN_IDX 7633 TILEPROP INT_R_X5Y37 TILE_TYPE INT_R TILEPROP INT_R_X5Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y37 TILE_X -73374 TILEPROP INT_R_X5Y37 TILE_Y -120248 TILEPROP INT_R_X5Y37 TYPE INT_R TILEPROP INT_R_X5Y38 CLASS tile TILEPROP INT_R_X5Y38 COLUMN 16 TILEPROP INT_R_X5Y38 DEVICE_ID 0 TILEPROP INT_R_X5Y38 FIRST_SITE_ID 11611 TILEPROP INT_R_X5Y38 GRID_POINT_X 16 TILEPROP INT_R_X5Y38 GRID_POINT_Y 116 TILEPROP INT_R_X5Y38 INDEX 13356 TILEPROP INT_R_X5Y38 INT_TILE_X 5 TILEPROP INT_R_X5Y38 INT_TILE_Y 111 TILEPROP INT_R_X5Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y38 IS_DCM_TILE 0 TILEPROP INT_R_X5Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y38 NAME INT_R_X5Y38 TILEPROP INT_R_X5Y38 NUM_ARCS 3737 TILEPROP INT_R_X5Y38 NUM_SITES 1 TILEPROP INT_R_X5Y38 ROW 116 TILEPROP INT_R_X5Y38 SLR_REGION_ID 0 TILEPROP INT_R_X5Y38 TILE_PATTERN_IDX 7605 TILEPROP INT_R_X5Y38 TILE_TYPE INT_R TILEPROP INT_R_X5Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y38 TILE_X -73374 TILEPROP INT_R_X5Y38 TILE_Y -117048 TILEPROP INT_R_X5Y38 TYPE INT_R TILEPROP INT_R_X5Y39 CLASS tile TILEPROP INT_R_X5Y39 COLUMN 16 TILEPROP INT_R_X5Y39 DEVICE_ID 0 TILEPROP INT_R_X5Y39 FIRST_SITE_ID 11511 TILEPROP INT_R_X5Y39 GRID_POINT_X 16 TILEPROP INT_R_X5Y39 GRID_POINT_Y 115 TILEPROP INT_R_X5Y39 INDEX 13241 TILEPROP INT_R_X5Y39 INT_TILE_X 5 TILEPROP INT_R_X5Y39 INT_TILE_Y 110 TILEPROP INT_R_X5Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y39 IS_DCM_TILE 0 TILEPROP INT_R_X5Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y39 NAME INT_R_X5Y39 TILEPROP INT_R_X5Y39 NUM_ARCS 3737 TILEPROP INT_R_X5Y39 NUM_SITES 1 TILEPROP INT_R_X5Y39 ROW 115 TILEPROP INT_R_X5Y39 SLR_REGION_ID 0 TILEPROP INT_R_X5Y39 TILE_PATTERN_IDX 7576 TILEPROP INT_R_X5Y39 TILE_TYPE INT_R TILEPROP INT_R_X5Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y39 TILE_X -73374 TILEPROP INT_R_X5Y39 TILE_Y -113848 TILEPROP INT_R_X5Y39 TYPE INT_R TILEPROP INT_R_X5Y40 CLASS tile TILEPROP INT_R_X5Y40 COLUMN 16 TILEPROP INT_R_X5Y40 DEVICE_ID 0 TILEPROP INT_R_X5Y40 FIRST_SITE_ID 11394 TILEPROP INT_R_X5Y40 GRID_POINT_X 16 TILEPROP INT_R_X5Y40 GRID_POINT_Y 114 TILEPROP INT_R_X5Y40 INDEX 13126 TILEPROP INT_R_X5Y40 INT_TILE_X 5 TILEPROP INT_R_X5Y40 INT_TILE_Y 109 TILEPROP INT_R_X5Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y40 IS_DCM_TILE 0 TILEPROP INT_R_X5Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y40 NAME INT_R_X5Y40 TILEPROP INT_R_X5Y40 NUM_ARCS 3737 TILEPROP INT_R_X5Y40 NUM_SITES 1 TILEPROP INT_R_X5Y40 ROW 114 TILEPROP INT_R_X5Y40 SLR_REGION_ID 0 TILEPROP INT_R_X5Y40 TILE_PATTERN_IDX 7547 TILEPROP INT_R_X5Y40 TILE_TYPE INT_R TILEPROP INT_R_X5Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y40 TILE_X -73374 TILEPROP INT_R_X5Y40 TILE_Y -110648 TILEPROP INT_R_X5Y40 TYPE INT_R TILEPROP INT_R_X5Y41 CLASS tile TILEPROP INT_R_X5Y41 COLUMN 16 TILEPROP INT_R_X5Y41 DEVICE_ID 0 TILEPROP INT_R_X5Y41 FIRST_SITE_ID 11293 TILEPROP INT_R_X5Y41 GRID_POINT_X 16 TILEPROP INT_R_X5Y41 GRID_POINT_Y 113 TILEPROP INT_R_X5Y41 INDEX 13011 TILEPROP INT_R_X5Y41 INT_TILE_X 5 TILEPROP INT_R_X5Y41 INT_TILE_Y 108 TILEPROP INT_R_X5Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y41 IS_DCM_TILE 0 TILEPROP INT_R_X5Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y41 NAME INT_R_X5Y41 TILEPROP INT_R_X5Y41 NUM_ARCS 3737 TILEPROP INT_R_X5Y41 NUM_SITES 1 TILEPROP INT_R_X5Y41 ROW 113 TILEPROP INT_R_X5Y41 SLR_REGION_ID 0 TILEPROP INT_R_X5Y41 TILE_PATTERN_IDX 7512 TILEPROP INT_R_X5Y41 TILE_TYPE INT_R TILEPROP INT_R_X5Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y41 TILE_X -73374 TILEPROP INT_R_X5Y41 TILE_Y -107448 TILEPROP INT_R_X5Y41 TYPE INT_R TILEPROP INT_R_X5Y42 CLASS tile TILEPROP INT_R_X5Y42 COLUMN 16 TILEPROP INT_R_X5Y42 DEVICE_ID 0 TILEPROP INT_R_X5Y42 FIRST_SITE_ID 11192 TILEPROP INT_R_X5Y42 GRID_POINT_X 16 TILEPROP INT_R_X5Y42 GRID_POINT_Y 112 TILEPROP INT_R_X5Y42 INDEX 12896 TILEPROP INT_R_X5Y42 INT_TILE_X 5 TILEPROP INT_R_X5Y42 INT_TILE_Y 107 TILEPROP INT_R_X5Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y42 IS_DCM_TILE 0 TILEPROP INT_R_X5Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y42 NAME INT_R_X5Y42 TILEPROP INT_R_X5Y42 NUM_ARCS 3737 TILEPROP INT_R_X5Y42 NUM_SITES 1 TILEPROP INT_R_X5Y42 ROW 112 TILEPROP INT_R_X5Y42 SLR_REGION_ID 0 TILEPROP INT_R_X5Y42 TILE_PATTERN_IDX 7483 TILEPROP INT_R_X5Y42 TILE_TYPE INT_R TILEPROP INT_R_X5Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y42 TILE_X -73374 TILEPROP INT_R_X5Y42 TILE_Y -104248 TILEPROP INT_R_X5Y42 TYPE INT_R TILEPROP INT_R_X5Y43 CLASS tile TILEPROP INT_R_X5Y43 COLUMN 16 TILEPROP INT_R_X5Y43 DEVICE_ID 0 TILEPROP INT_R_X5Y43 FIRST_SITE_ID 11089 TILEPROP INT_R_X5Y43 GRID_POINT_X 16 TILEPROP INT_R_X5Y43 GRID_POINT_Y 111 TILEPROP INT_R_X5Y43 INDEX 12781 TILEPROP INT_R_X5Y43 INT_TILE_X 5 TILEPROP INT_R_X5Y43 INT_TILE_Y 106 TILEPROP INT_R_X5Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y43 IS_DCM_TILE 0 TILEPROP INT_R_X5Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y43 NAME INT_R_X5Y43 TILEPROP INT_R_X5Y43 NUM_ARCS 3737 TILEPROP INT_R_X5Y43 NUM_SITES 1 TILEPROP INT_R_X5Y43 ROW 111 TILEPROP INT_R_X5Y43 SLR_REGION_ID 0 TILEPROP INT_R_X5Y43 TILE_PATTERN_IDX 7454 TILEPROP INT_R_X5Y43 TILE_TYPE INT_R TILEPROP INT_R_X5Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y43 TILE_X -73374 TILEPROP INT_R_X5Y43 TILE_Y -101048 TILEPROP INT_R_X5Y43 TYPE INT_R TILEPROP INT_R_X5Y44 CLASS tile TILEPROP INT_R_X5Y44 COLUMN 16 TILEPROP INT_R_X5Y44 DEVICE_ID 0 TILEPROP INT_R_X5Y44 FIRST_SITE_ID 10987 TILEPROP INT_R_X5Y44 GRID_POINT_X 16 TILEPROP INT_R_X5Y44 GRID_POINT_Y 110 TILEPROP INT_R_X5Y44 INDEX 12666 TILEPROP INT_R_X5Y44 INT_TILE_X 5 TILEPROP INT_R_X5Y44 INT_TILE_Y 105 TILEPROP INT_R_X5Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y44 IS_DCM_TILE 0 TILEPROP INT_R_X5Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y44 NAME INT_R_X5Y44 TILEPROP INT_R_X5Y44 NUM_ARCS 3737 TILEPROP INT_R_X5Y44 NUM_SITES 1 TILEPROP INT_R_X5Y44 ROW 110 TILEPROP INT_R_X5Y44 SLR_REGION_ID 0 TILEPROP INT_R_X5Y44 TILE_PATTERN_IDX 7426 TILEPROP INT_R_X5Y44 TILE_TYPE INT_R TILEPROP INT_R_X5Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y44 TILE_X -73374 TILEPROP INT_R_X5Y44 TILE_Y -97848 TILEPROP INT_R_X5Y44 TYPE INT_R TILEPROP INT_R_X5Y45 CLASS tile TILEPROP INT_R_X5Y45 COLUMN 16 TILEPROP INT_R_X5Y45 DEVICE_ID 0 TILEPROP INT_R_X5Y45 FIRST_SITE_ID 10871 TILEPROP INT_R_X5Y45 GRID_POINT_X 16 TILEPROP INT_R_X5Y45 GRID_POINT_Y 109 TILEPROP INT_R_X5Y45 INDEX 12551 TILEPROP INT_R_X5Y45 INT_TILE_X 5 TILEPROP INT_R_X5Y45 INT_TILE_Y 104 TILEPROP INT_R_X5Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y45 IS_DCM_TILE 0 TILEPROP INT_R_X5Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y45 NAME INT_R_X5Y45 TILEPROP INT_R_X5Y45 NUM_ARCS 3737 TILEPROP INT_R_X5Y45 NUM_SITES 1 TILEPROP INT_R_X5Y45 ROW 109 TILEPROP INT_R_X5Y45 SLR_REGION_ID 0 TILEPROP INT_R_X5Y45 TILE_PATTERN_IDX 7390 TILEPROP INT_R_X5Y45 TILE_TYPE INT_R TILEPROP INT_R_X5Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y45 TILE_X -73374 TILEPROP INT_R_X5Y45 TILE_Y -94648 TILEPROP INT_R_X5Y45 TYPE INT_R TILEPROP INT_R_X5Y46 CLASS tile TILEPROP INT_R_X5Y46 COLUMN 16 TILEPROP INT_R_X5Y46 DEVICE_ID 0 TILEPROP INT_R_X5Y46 FIRST_SITE_ID 10755 TILEPROP INT_R_X5Y46 GRID_POINT_X 16 TILEPROP INT_R_X5Y46 GRID_POINT_Y 108 TILEPROP INT_R_X5Y46 INDEX 12436 TILEPROP INT_R_X5Y46 INT_TILE_X 5 TILEPROP INT_R_X5Y46 INT_TILE_Y 103 TILEPROP INT_R_X5Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y46 IS_DCM_TILE 0 TILEPROP INT_R_X5Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y46 NAME INT_R_X5Y46 TILEPROP INT_R_X5Y46 NUM_ARCS 3737 TILEPROP INT_R_X5Y46 NUM_SITES 1 TILEPROP INT_R_X5Y46 ROW 108 TILEPROP INT_R_X5Y46 SLR_REGION_ID 0 TILEPROP INT_R_X5Y46 TILE_PATTERN_IDX 7354 TILEPROP INT_R_X5Y46 TILE_TYPE INT_R TILEPROP INT_R_X5Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y46 TILE_X -73374 TILEPROP INT_R_X5Y46 TILE_Y -91448 TILEPROP INT_R_X5Y46 TYPE INT_R TILEPROP INT_R_X5Y47 CLASS tile TILEPROP INT_R_X5Y47 COLUMN 16 TILEPROP INT_R_X5Y47 DEVICE_ID 0 TILEPROP INT_R_X5Y47 FIRST_SITE_ID 10655 TILEPROP INT_R_X5Y47 GRID_POINT_X 16 TILEPROP INT_R_X5Y47 GRID_POINT_Y 107 TILEPROP INT_R_X5Y47 INDEX 12321 TILEPROP INT_R_X5Y47 INT_TILE_X 5 TILEPROP INT_R_X5Y47 INT_TILE_Y 102 TILEPROP INT_R_X5Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y47 IS_DCM_TILE 0 TILEPROP INT_R_X5Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y47 NAME INT_R_X5Y47 TILEPROP INT_R_X5Y47 NUM_ARCS 3737 TILEPROP INT_R_X5Y47 NUM_SITES 1 TILEPROP INT_R_X5Y47 ROW 107 TILEPROP INT_R_X5Y47 SLR_REGION_ID 0 TILEPROP INT_R_X5Y47 TILE_PATTERN_IDX 7317 TILEPROP INT_R_X5Y47 TILE_TYPE INT_R TILEPROP INT_R_X5Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y47 TILE_X -73374 TILEPROP INT_R_X5Y47 TILE_Y -88248 TILEPROP INT_R_X5Y47 TYPE INT_R TILEPROP INT_R_X5Y48 CLASS tile TILEPROP INT_R_X5Y48 COLUMN 16 TILEPROP INT_R_X5Y48 DEVICE_ID 0 TILEPROP INT_R_X5Y48 FIRST_SITE_ID 10555 TILEPROP INT_R_X5Y48 GRID_POINT_X 16 TILEPROP INT_R_X5Y48 GRID_POINT_Y 106 TILEPROP INT_R_X5Y48 INDEX 12206 TILEPROP INT_R_X5Y48 INT_TILE_X 5 TILEPROP INT_R_X5Y48 INT_TILE_Y 101 TILEPROP INT_R_X5Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y48 IS_DCM_TILE 0 TILEPROP INT_R_X5Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y48 NAME INT_R_X5Y48 TILEPROP INT_R_X5Y48 NUM_ARCS 3737 TILEPROP INT_R_X5Y48 NUM_SITES 1 TILEPROP INT_R_X5Y48 ROW 106 TILEPROP INT_R_X5Y48 SLR_REGION_ID 0 TILEPROP INT_R_X5Y48 TILE_PATTERN_IDX 7281 TILEPROP INT_R_X5Y48 TILE_TYPE INT_R TILEPROP INT_R_X5Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y48 TILE_X -73374 TILEPROP INT_R_X5Y48 TILE_Y -85048 TILEPROP INT_R_X5Y48 TYPE INT_R TILEPROP INT_R_X5Y49 CLASS tile TILEPROP INT_R_X5Y49 COLUMN 16 TILEPROP INT_R_X5Y49 DEVICE_ID 0 TILEPROP INT_R_X5Y49 FIRST_SITE_ID 10459 TILEPROP INT_R_X5Y49 GRID_POINT_X 16 TILEPROP INT_R_X5Y49 GRID_POINT_Y 105 TILEPROP INT_R_X5Y49 INDEX 12091 TILEPROP INT_R_X5Y49 INT_TILE_X 5 TILEPROP INT_R_X5Y49 INT_TILE_Y 100 TILEPROP INT_R_X5Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y49 IS_DCM_TILE 0 TILEPROP INT_R_X5Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y49 NAME INT_R_X5Y49 TILEPROP INT_R_X5Y49 NUM_ARCS 3737 TILEPROP INT_R_X5Y49 NUM_SITES 1 TILEPROP INT_R_X5Y49 ROW 105 TILEPROP INT_R_X5Y49 SLR_REGION_ID 0 TILEPROP INT_R_X5Y49 TILE_PATTERN_IDX 7245 TILEPROP INT_R_X5Y49 TILE_TYPE INT_R TILEPROP INT_R_X5Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y49 TILE_X -73374 TILEPROP INT_R_X5Y49 TILE_Y -81848 TILEPROP INT_R_X5Y49 TYPE INT_R TILEPROP INT_R_X5Y50 CLASS tile TILEPROP INT_R_X5Y50 COLUMN 16 TILEPROP INT_R_X5Y50 DEVICE_ID 0 TILEPROP INT_R_X5Y50 FIRST_SITE_ID 10328 TILEPROP INT_R_X5Y50 GRID_POINT_X 16 TILEPROP INT_R_X5Y50 GRID_POINT_Y 103 TILEPROP INT_R_X5Y50 INDEX 11861 TILEPROP INT_R_X5Y50 INT_TILE_X 5 TILEPROP INT_R_X5Y50 INT_TILE_Y 99 TILEPROP INT_R_X5Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y50 IS_DCM_TILE 0 TILEPROP INT_R_X5Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y50 NAME INT_R_X5Y50 TILEPROP INT_R_X5Y50 NUM_ARCS 3737 TILEPROP INT_R_X5Y50 NUM_SITES 1 TILEPROP INT_R_X5Y50 ROW 103 TILEPROP INT_R_X5Y50 SLR_REGION_ID 0 TILEPROP INT_R_X5Y50 TILE_PATTERN_IDX 7208 TILEPROP INT_R_X5Y50 TILE_TYPE INT_R TILEPROP INT_R_X5Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y50 TILE_X -73374 TILEPROP INT_R_X5Y50 TILE_Y -78400 TILEPROP INT_R_X5Y50 TYPE INT_R TILEPROP INT_R_X5Y51 CLASS tile TILEPROP INT_R_X5Y51 COLUMN 16 TILEPROP INT_R_X5Y51 DEVICE_ID 0 TILEPROP INT_R_X5Y51 FIRST_SITE_ID 10224 TILEPROP INT_R_X5Y51 GRID_POINT_X 16 TILEPROP INT_R_X5Y51 GRID_POINT_Y 102 TILEPROP INT_R_X5Y51 INDEX 11746 TILEPROP INT_R_X5Y51 INT_TILE_X 5 TILEPROP INT_R_X5Y51 INT_TILE_Y 98 TILEPROP INT_R_X5Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y51 IS_DCM_TILE 0 TILEPROP INT_R_X5Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y51 NAME INT_R_X5Y51 TILEPROP INT_R_X5Y51 NUM_ARCS 3737 TILEPROP INT_R_X5Y51 NUM_SITES 1 TILEPROP INT_R_X5Y51 ROW 102 TILEPROP INT_R_X5Y51 SLR_REGION_ID 0 TILEPROP INT_R_X5Y51 TILE_PATTERN_IDX 7171 TILEPROP INT_R_X5Y51 TILE_TYPE INT_R TILEPROP INT_R_X5Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y51 TILE_X -73374 TILEPROP INT_R_X5Y51 TILE_Y -75200 TILEPROP INT_R_X5Y51 TYPE INT_R TILEPROP INT_R_X5Y52 CLASS tile TILEPROP INT_R_X5Y52 COLUMN 16 TILEPROP INT_R_X5Y52 DEVICE_ID 0 TILEPROP INT_R_X5Y52 FIRST_SITE_ID 10124 TILEPROP INT_R_X5Y52 GRID_POINT_X 16 TILEPROP INT_R_X5Y52 GRID_POINT_Y 101 TILEPROP INT_R_X5Y52 INDEX 11631 TILEPROP INT_R_X5Y52 INT_TILE_X 5 TILEPROP INT_R_X5Y52 INT_TILE_Y 97 TILEPROP INT_R_X5Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y52 IS_DCM_TILE 0 TILEPROP INT_R_X5Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y52 NAME INT_R_X5Y52 TILEPROP INT_R_X5Y52 NUM_ARCS 3737 TILEPROP INT_R_X5Y52 NUM_SITES 1 TILEPROP INT_R_X5Y52 ROW 101 TILEPROP INT_R_X5Y52 SLR_REGION_ID 0 TILEPROP INT_R_X5Y52 TILE_PATTERN_IDX 7134 TILEPROP INT_R_X5Y52 TILE_TYPE INT_R TILEPROP INT_R_X5Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y52 TILE_X -73374 TILEPROP INT_R_X5Y52 TILE_Y -72000 TILEPROP INT_R_X5Y52 TYPE INT_R TILEPROP INT_R_X5Y53 CLASS tile TILEPROP INT_R_X5Y53 COLUMN 16 TILEPROP INT_R_X5Y53 DEVICE_ID 0 TILEPROP INT_R_X5Y53 FIRST_SITE_ID 10024 TILEPROP INT_R_X5Y53 GRID_POINT_X 16 TILEPROP INT_R_X5Y53 GRID_POINT_Y 100 TILEPROP INT_R_X5Y53 INDEX 11516 TILEPROP INT_R_X5Y53 INT_TILE_X 5 TILEPROP INT_R_X5Y53 INT_TILE_Y 96 TILEPROP INT_R_X5Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y53 IS_DCM_TILE 0 TILEPROP INT_R_X5Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y53 NAME INT_R_X5Y53 TILEPROP INT_R_X5Y53 NUM_ARCS 3737 TILEPROP INT_R_X5Y53 NUM_SITES 1 TILEPROP INT_R_X5Y53 ROW 100 TILEPROP INT_R_X5Y53 SLR_REGION_ID 0 TILEPROP INT_R_X5Y53 TILE_PATTERN_IDX 7097 TILEPROP INT_R_X5Y53 TILE_TYPE INT_R TILEPROP INT_R_X5Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y53 TILE_X -73374 TILEPROP INT_R_X5Y53 TILE_Y -68800 TILEPROP INT_R_X5Y53 TYPE INT_R TILEPROP INT_R_X5Y54 CLASS tile TILEPROP INT_R_X5Y54 COLUMN 16 TILEPROP INT_R_X5Y54 DEVICE_ID 0 TILEPROP INT_R_X5Y54 FIRST_SITE_ID 9924 TILEPROP INT_R_X5Y54 GRID_POINT_X 16 TILEPROP INT_R_X5Y54 GRID_POINT_Y 99 TILEPROP INT_R_X5Y54 INDEX 11401 TILEPROP INT_R_X5Y54 INT_TILE_X 5 TILEPROP INT_R_X5Y54 INT_TILE_Y 95 TILEPROP INT_R_X5Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y54 IS_DCM_TILE 0 TILEPROP INT_R_X5Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y54 NAME INT_R_X5Y54 TILEPROP INT_R_X5Y54 NUM_ARCS 3737 TILEPROP INT_R_X5Y54 NUM_SITES 1 TILEPROP INT_R_X5Y54 ROW 99 TILEPROP INT_R_X5Y54 SLR_REGION_ID 0 TILEPROP INT_R_X5Y54 TILE_PATTERN_IDX 7060 TILEPROP INT_R_X5Y54 TILE_TYPE INT_R TILEPROP INT_R_X5Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y54 TILE_X -73374 TILEPROP INT_R_X5Y54 TILE_Y -65600 TILEPROP INT_R_X5Y54 TYPE INT_R TILEPROP INT_R_X5Y55 CLASS tile TILEPROP INT_R_X5Y55 COLUMN 16 TILEPROP INT_R_X5Y55 DEVICE_ID 0 TILEPROP INT_R_X5Y55 FIRST_SITE_ID 9809 TILEPROP INT_R_X5Y55 GRID_POINT_X 16 TILEPROP INT_R_X5Y55 GRID_POINT_Y 98 TILEPROP INT_R_X5Y55 INDEX 11286 TILEPROP INT_R_X5Y55 INT_TILE_X 5 TILEPROP INT_R_X5Y55 INT_TILE_Y 94 TILEPROP INT_R_X5Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y55 IS_DCM_TILE 0 TILEPROP INT_R_X5Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y55 NAME INT_R_X5Y55 TILEPROP INT_R_X5Y55 NUM_ARCS 3737 TILEPROP INT_R_X5Y55 NUM_SITES 1 TILEPROP INT_R_X5Y55 ROW 98 TILEPROP INT_R_X5Y55 SLR_REGION_ID 0 TILEPROP INT_R_X5Y55 TILE_PATTERN_IDX 7022 TILEPROP INT_R_X5Y55 TILE_TYPE INT_R TILEPROP INT_R_X5Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y55 TILE_X -73374 TILEPROP INT_R_X5Y55 TILE_Y -62400 TILEPROP INT_R_X5Y55 TYPE INT_R TILEPROP INT_R_X5Y56 CLASS tile TILEPROP INT_R_X5Y56 COLUMN 16 TILEPROP INT_R_X5Y56 DEVICE_ID 0 TILEPROP INT_R_X5Y56 FIRST_SITE_ID 9709 TILEPROP INT_R_X5Y56 GRID_POINT_X 16 TILEPROP INT_R_X5Y56 GRID_POINT_Y 97 TILEPROP INT_R_X5Y56 INDEX 11171 TILEPROP INT_R_X5Y56 INT_TILE_X 5 TILEPROP INT_R_X5Y56 INT_TILE_Y 93 TILEPROP INT_R_X5Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y56 IS_DCM_TILE 0 TILEPROP INT_R_X5Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y56 NAME INT_R_X5Y56 TILEPROP INT_R_X5Y56 NUM_ARCS 3737 TILEPROP INT_R_X5Y56 NUM_SITES 1 TILEPROP INT_R_X5Y56 ROW 97 TILEPROP INT_R_X5Y56 SLR_REGION_ID 0 TILEPROP INT_R_X5Y56 TILE_PATTERN_IDX 6985 TILEPROP INT_R_X5Y56 TILE_TYPE INT_R TILEPROP INT_R_X5Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y56 TILE_X -73374 TILEPROP INT_R_X5Y56 TILE_Y -59200 TILEPROP INT_R_X5Y56 TYPE INT_R TILEPROP INT_R_X5Y57 CLASS tile TILEPROP INT_R_X5Y57 COLUMN 16 TILEPROP INT_R_X5Y57 DEVICE_ID 0 TILEPROP INT_R_X5Y57 FIRST_SITE_ID 9607 TILEPROP INT_R_X5Y57 GRID_POINT_X 16 TILEPROP INT_R_X5Y57 GRID_POINT_Y 96 TILEPROP INT_R_X5Y57 INDEX 11056 TILEPROP INT_R_X5Y57 INT_TILE_X 5 TILEPROP INT_R_X5Y57 INT_TILE_Y 92 TILEPROP INT_R_X5Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y57 IS_DCM_TILE 0 TILEPROP INT_R_X5Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y57 NAME INT_R_X5Y57 TILEPROP INT_R_X5Y57 NUM_ARCS 3737 TILEPROP INT_R_X5Y57 NUM_SITES 1 TILEPROP INT_R_X5Y57 ROW 96 TILEPROP INT_R_X5Y57 SLR_REGION_ID 0 TILEPROP INT_R_X5Y57 TILE_PATTERN_IDX 6948 TILEPROP INT_R_X5Y57 TILE_TYPE INT_R TILEPROP INT_R_X5Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y57 TILE_X -73374 TILEPROP INT_R_X5Y57 TILE_Y -56000 TILEPROP INT_R_X5Y57 TYPE INT_R TILEPROP INT_R_X5Y58 CLASS tile TILEPROP INT_R_X5Y58 COLUMN 16 TILEPROP INT_R_X5Y58 DEVICE_ID 0 TILEPROP INT_R_X5Y58 FIRST_SITE_ID 9504 TILEPROP INT_R_X5Y58 GRID_POINT_X 16 TILEPROP INT_R_X5Y58 GRID_POINT_Y 95 TILEPROP INT_R_X5Y58 INDEX 10941 TILEPROP INT_R_X5Y58 INT_TILE_X 5 TILEPROP INT_R_X5Y58 INT_TILE_Y 91 TILEPROP INT_R_X5Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y58 IS_DCM_TILE 0 TILEPROP INT_R_X5Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y58 NAME INT_R_X5Y58 TILEPROP INT_R_X5Y58 NUM_ARCS 3737 TILEPROP INT_R_X5Y58 NUM_SITES 1 TILEPROP INT_R_X5Y58 ROW 95 TILEPROP INT_R_X5Y58 SLR_REGION_ID 0 TILEPROP INT_R_X5Y58 TILE_PATTERN_IDX 6910 TILEPROP INT_R_X5Y58 TILE_TYPE INT_R TILEPROP INT_R_X5Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y58 TILE_X -73374 TILEPROP INT_R_X5Y58 TILE_Y -52800 TILEPROP INT_R_X5Y58 TYPE INT_R TILEPROP INT_R_X5Y59 CLASS tile TILEPROP INT_R_X5Y59 COLUMN 16 TILEPROP INT_R_X5Y59 DEVICE_ID 0 TILEPROP INT_R_X5Y59 FIRST_SITE_ID 9403 TILEPROP INT_R_X5Y59 GRID_POINT_X 16 TILEPROP INT_R_X5Y59 GRID_POINT_Y 94 TILEPROP INT_R_X5Y59 INDEX 10826 TILEPROP INT_R_X5Y59 INT_TILE_X 5 TILEPROP INT_R_X5Y59 INT_TILE_Y 90 TILEPROP INT_R_X5Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y59 IS_DCM_TILE 0 TILEPROP INT_R_X5Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y59 NAME INT_R_X5Y59 TILEPROP INT_R_X5Y59 NUM_ARCS 3737 TILEPROP INT_R_X5Y59 NUM_SITES 1 TILEPROP INT_R_X5Y59 ROW 94 TILEPROP INT_R_X5Y59 SLR_REGION_ID 0 TILEPROP INT_R_X5Y59 TILE_PATTERN_IDX 6873 TILEPROP INT_R_X5Y59 TILE_TYPE INT_R TILEPROP INT_R_X5Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y59 TILE_X -73374 TILEPROP INT_R_X5Y59 TILE_Y -49600 TILEPROP INT_R_X5Y59 TYPE INT_R TILEPROP INT_R_X5Y60 CLASS tile TILEPROP INT_R_X5Y60 COLUMN 16 TILEPROP INT_R_X5Y60 DEVICE_ID 0 TILEPROP INT_R_X5Y60 FIRST_SITE_ID 9288 TILEPROP INT_R_X5Y60 GRID_POINT_X 16 TILEPROP INT_R_X5Y60 GRID_POINT_Y 93 TILEPROP INT_R_X5Y60 INDEX 10711 TILEPROP INT_R_X5Y60 INT_TILE_X 5 TILEPROP INT_R_X5Y60 INT_TILE_Y 89 TILEPROP INT_R_X5Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y60 IS_DCM_TILE 0 TILEPROP INT_R_X5Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y60 NAME INT_R_X5Y60 TILEPROP INT_R_X5Y60 NUM_ARCS 3737 TILEPROP INT_R_X5Y60 NUM_SITES 1 TILEPROP INT_R_X5Y60 ROW 93 TILEPROP INT_R_X5Y60 SLR_REGION_ID 0 TILEPROP INT_R_X5Y60 TILE_PATTERN_IDX 6836 TILEPROP INT_R_X5Y60 TILE_TYPE INT_R TILEPROP INT_R_X5Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y60 TILE_X -73374 TILEPROP INT_R_X5Y60 TILE_Y -46400 TILEPROP INT_R_X5Y60 TYPE INT_R TILEPROP INT_R_X5Y61 CLASS tile TILEPROP INT_R_X5Y61 COLUMN 16 TILEPROP INT_R_X5Y61 DEVICE_ID 0 TILEPROP INT_R_X5Y61 FIRST_SITE_ID 9188 TILEPROP INT_R_X5Y61 GRID_POINT_X 16 TILEPROP INT_R_X5Y61 GRID_POINT_Y 92 TILEPROP INT_R_X5Y61 INDEX 10596 TILEPROP INT_R_X5Y61 INT_TILE_X 5 TILEPROP INT_R_X5Y61 INT_TILE_Y 88 TILEPROP INT_R_X5Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y61 IS_DCM_TILE 0 TILEPROP INT_R_X5Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y61 NAME INT_R_X5Y61 TILEPROP INT_R_X5Y61 NUM_ARCS 3737 TILEPROP INT_R_X5Y61 NUM_SITES 1 TILEPROP INT_R_X5Y61 ROW 92 TILEPROP INT_R_X5Y61 SLR_REGION_ID 0 TILEPROP INT_R_X5Y61 TILE_PATTERN_IDX 6799 TILEPROP INT_R_X5Y61 TILE_TYPE INT_R TILEPROP INT_R_X5Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y61 TILE_X -73374 TILEPROP INT_R_X5Y61 TILE_Y -43200 TILEPROP INT_R_X5Y61 TYPE INT_R TILEPROP INT_R_X5Y62 CLASS tile TILEPROP INT_R_X5Y62 COLUMN 16 TILEPROP INT_R_X5Y62 DEVICE_ID 0 TILEPROP INT_R_X5Y62 FIRST_SITE_ID 9056 TILEPROP INT_R_X5Y62 GRID_POINT_X 16 TILEPROP INT_R_X5Y62 GRID_POINT_Y 91 TILEPROP INT_R_X5Y62 INDEX 10481 TILEPROP INT_R_X5Y62 INT_TILE_X 5 TILEPROP INT_R_X5Y62 INT_TILE_Y 87 TILEPROP INT_R_X5Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y62 IS_DCM_TILE 0 TILEPROP INT_R_X5Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y62 NAME INT_R_X5Y62 TILEPROP INT_R_X5Y62 NUM_ARCS 3737 TILEPROP INT_R_X5Y62 NUM_SITES 1 TILEPROP INT_R_X5Y62 ROW 91 TILEPROP INT_R_X5Y62 SLR_REGION_ID 0 TILEPROP INT_R_X5Y62 TILE_PATTERN_IDX 6762 TILEPROP INT_R_X5Y62 TILE_TYPE INT_R TILEPROP INT_R_X5Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y62 TILE_X -73374 TILEPROP INT_R_X5Y62 TILE_Y -40000 TILEPROP INT_R_X5Y62 TYPE INT_R TILEPROP INT_R_X5Y63 CLASS tile TILEPROP INT_R_X5Y63 COLUMN 16 TILEPROP INT_R_X5Y63 DEVICE_ID 0 TILEPROP INT_R_X5Y63 FIRST_SITE_ID 8956 TILEPROP INT_R_X5Y63 GRID_POINT_X 16 TILEPROP INT_R_X5Y63 GRID_POINT_Y 90 TILEPROP INT_R_X5Y63 INDEX 10366 TILEPROP INT_R_X5Y63 INT_TILE_X 5 TILEPROP INT_R_X5Y63 INT_TILE_Y 86 TILEPROP INT_R_X5Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y63 IS_DCM_TILE 0 TILEPROP INT_R_X5Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y63 NAME INT_R_X5Y63 TILEPROP INT_R_X5Y63 NUM_ARCS 3737 TILEPROP INT_R_X5Y63 NUM_SITES 1 TILEPROP INT_R_X5Y63 ROW 90 TILEPROP INT_R_X5Y63 SLR_REGION_ID 0 TILEPROP INT_R_X5Y63 TILE_PATTERN_IDX 6725 TILEPROP INT_R_X5Y63 TILE_TYPE INT_R TILEPROP INT_R_X5Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y63 TILE_X -73374 TILEPROP INT_R_X5Y63 TILE_Y -36800 TILEPROP INT_R_X5Y63 TYPE INT_R TILEPROP INT_R_X5Y64 CLASS tile TILEPROP INT_R_X5Y64 COLUMN 16 TILEPROP INT_R_X5Y64 DEVICE_ID 0 TILEPROP INT_R_X5Y64 FIRST_SITE_ID 8856 TILEPROP INT_R_X5Y64 GRID_POINT_X 16 TILEPROP INT_R_X5Y64 GRID_POINT_Y 89 TILEPROP INT_R_X5Y64 INDEX 10251 TILEPROP INT_R_X5Y64 INT_TILE_X 5 TILEPROP INT_R_X5Y64 INT_TILE_Y 85 TILEPROP INT_R_X5Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y64 IS_DCM_TILE 0 TILEPROP INT_R_X5Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y64 NAME INT_R_X5Y64 TILEPROP INT_R_X5Y64 NUM_ARCS 3737 TILEPROP INT_R_X5Y64 NUM_SITES 1 TILEPROP INT_R_X5Y64 ROW 89 TILEPROP INT_R_X5Y64 SLR_REGION_ID 0 TILEPROP INT_R_X5Y64 TILE_PATTERN_IDX 6688 TILEPROP INT_R_X5Y64 TILE_TYPE INT_R TILEPROP INT_R_X5Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y64 TILE_X -73374 TILEPROP INT_R_X5Y64 TILE_Y -33600 TILEPROP INT_R_X5Y64 TYPE INT_R TILEPROP INT_R_X5Y65 CLASS tile TILEPROP INT_R_X5Y65 COLUMN 16 TILEPROP INT_R_X5Y65 DEVICE_ID 0 TILEPROP INT_R_X5Y65 FIRST_SITE_ID 8741 TILEPROP INT_R_X5Y65 GRID_POINT_X 16 TILEPROP INT_R_X5Y65 GRID_POINT_Y 88 TILEPROP INT_R_X5Y65 INDEX 10136 TILEPROP INT_R_X5Y65 INT_TILE_X 5 TILEPROP INT_R_X5Y65 INT_TILE_Y 84 TILEPROP INT_R_X5Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y65 IS_DCM_TILE 0 TILEPROP INT_R_X5Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y65 NAME INT_R_X5Y65 TILEPROP INT_R_X5Y65 NUM_ARCS 3737 TILEPROP INT_R_X5Y65 NUM_SITES 1 TILEPROP INT_R_X5Y65 ROW 88 TILEPROP INT_R_X5Y65 SLR_REGION_ID 0 TILEPROP INT_R_X5Y65 TILE_PATTERN_IDX 6651 TILEPROP INT_R_X5Y65 TILE_TYPE INT_R TILEPROP INT_R_X5Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y65 TILE_X -73374 TILEPROP INT_R_X5Y65 TILE_Y -30400 TILEPROP INT_R_X5Y65 TYPE INT_R TILEPROP INT_R_X5Y66 CLASS tile TILEPROP INT_R_X5Y66 COLUMN 16 TILEPROP INT_R_X5Y66 DEVICE_ID 0 TILEPROP INT_R_X5Y66 FIRST_SITE_ID 8641 TILEPROP INT_R_X5Y66 GRID_POINT_X 16 TILEPROP INT_R_X5Y66 GRID_POINT_Y 87 TILEPROP INT_R_X5Y66 INDEX 10021 TILEPROP INT_R_X5Y66 INT_TILE_X 5 TILEPROP INT_R_X5Y66 INT_TILE_Y 83 TILEPROP INT_R_X5Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y66 IS_DCM_TILE 0 TILEPROP INT_R_X5Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y66 NAME INT_R_X5Y66 TILEPROP INT_R_X5Y66 NUM_ARCS 3737 TILEPROP INT_R_X5Y66 NUM_SITES 1 TILEPROP INT_R_X5Y66 ROW 87 TILEPROP INT_R_X5Y66 SLR_REGION_ID 0 TILEPROP INT_R_X5Y66 TILE_PATTERN_IDX 6614 TILEPROP INT_R_X5Y66 TILE_TYPE INT_R TILEPROP INT_R_X5Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y66 TILE_X -73374 TILEPROP INT_R_X5Y66 TILE_Y -27200 TILEPROP INT_R_X5Y66 TYPE INT_R TILEPROP INT_R_X5Y67 CLASS tile TILEPROP INT_R_X5Y67 COLUMN 16 TILEPROP INT_R_X5Y67 DEVICE_ID 0 TILEPROP INT_R_X5Y67 FIRST_SITE_ID 8537 TILEPROP INT_R_X5Y67 GRID_POINT_X 16 TILEPROP INT_R_X5Y67 GRID_POINT_Y 86 TILEPROP INT_R_X5Y67 INDEX 9906 TILEPROP INT_R_X5Y67 INT_TILE_X 5 TILEPROP INT_R_X5Y67 INT_TILE_Y 82 TILEPROP INT_R_X5Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y67 IS_DCM_TILE 0 TILEPROP INT_R_X5Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y67 NAME INT_R_X5Y67 TILEPROP INT_R_X5Y67 NUM_ARCS 3737 TILEPROP INT_R_X5Y67 NUM_SITES 1 TILEPROP INT_R_X5Y67 ROW 86 TILEPROP INT_R_X5Y67 SLR_REGION_ID 0 TILEPROP INT_R_X5Y67 TILE_PATTERN_IDX 6576 TILEPROP INT_R_X5Y67 TILE_TYPE INT_R TILEPROP INT_R_X5Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y67 TILE_X -73374 TILEPROP INT_R_X5Y67 TILE_Y -24000 TILEPROP INT_R_X5Y67 TYPE INT_R TILEPROP INT_R_X5Y68 CLASS tile TILEPROP INT_R_X5Y68 COLUMN 16 TILEPROP INT_R_X5Y68 DEVICE_ID 0 TILEPROP INT_R_X5Y68 FIRST_SITE_ID 8433 TILEPROP INT_R_X5Y68 GRID_POINT_X 16 TILEPROP INT_R_X5Y68 GRID_POINT_Y 85 TILEPROP INT_R_X5Y68 INDEX 9791 TILEPROP INT_R_X5Y68 INT_TILE_X 5 TILEPROP INT_R_X5Y68 INT_TILE_Y 81 TILEPROP INT_R_X5Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y68 IS_DCM_TILE 0 TILEPROP INT_R_X5Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y68 NAME INT_R_X5Y68 TILEPROP INT_R_X5Y68 NUM_ARCS 3737 TILEPROP INT_R_X5Y68 NUM_SITES 1 TILEPROP INT_R_X5Y68 ROW 85 TILEPROP INT_R_X5Y68 SLR_REGION_ID 0 TILEPROP INT_R_X5Y68 TILE_PATTERN_IDX 6544 TILEPROP INT_R_X5Y68 TILE_TYPE INT_R TILEPROP INT_R_X5Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y68 TILE_X -73374 TILEPROP INT_R_X5Y68 TILE_Y -20800 TILEPROP INT_R_X5Y68 TYPE INT_R TILEPROP INT_R_X5Y69 CLASS tile TILEPROP INT_R_X5Y69 COLUMN 16 TILEPROP INT_R_X5Y69 DEVICE_ID 0 TILEPROP INT_R_X5Y69 FIRST_SITE_ID 8331 TILEPROP INT_R_X5Y69 GRID_POINT_X 16 TILEPROP INT_R_X5Y69 GRID_POINT_Y 84 TILEPROP INT_R_X5Y69 INDEX 9676 TILEPROP INT_R_X5Y69 INT_TILE_X 5 TILEPROP INT_R_X5Y69 INT_TILE_Y 80 TILEPROP INT_R_X5Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y69 IS_DCM_TILE 0 TILEPROP INT_R_X5Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y69 NAME INT_R_X5Y69 TILEPROP INT_R_X5Y69 NUM_ARCS 3737 TILEPROP INT_R_X5Y69 NUM_SITES 1 TILEPROP INT_R_X5Y69 ROW 84 TILEPROP INT_R_X5Y69 SLR_REGION_ID 0 TILEPROP INT_R_X5Y69 TILE_PATTERN_IDX 6513 TILEPROP INT_R_X5Y69 TILE_TYPE INT_R TILEPROP INT_R_X5Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y69 TILE_X -73374 TILEPROP INT_R_X5Y69 TILE_Y -17600 TILEPROP INT_R_X5Y69 TYPE INT_R TILEPROP INT_R_X5Y70 CLASS tile TILEPROP INT_R_X5Y70 COLUMN 16 TILEPROP INT_R_X5Y70 DEVICE_ID 0 TILEPROP INT_R_X5Y70 FIRST_SITE_ID 8214 TILEPROP INT_R_X5Y70 GRID_POINT_X 16 TILEPROP INT_R_X5Y70 GRID_POINT_Y 83 TILEPROP INT_R_X5Y70 INDEX 9561 TILEPROP INT_R_X5Y70 INT_TILE_X 5 TILEPROP INT_R_X5Y70 INT_TILE_Y 79 TILEPROP INT_R_X5Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y70 IS_DCM_TILE 0 TILEPROP INT_R_X5Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y70 NAME INT_R_X5Y70 TILEPROP INT_R_X5Y70 NUM_ARCS 3737 TILEPROP INT_R_X5Y70 NUM_SITES 1 TILEPROP INT_R_X5Y70 ROW 83 TILEPROP INT_R_X5Y70 SLR_REGION_ID 0 TILEPROP INT_R_X5Y70 TILE_PATTERN_IDX 6482 TILEPROP INT_R_X5Y70 TILE_TYPE INT_R TILEPROP INT_R_X5Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y70 TILE_X -73374 TILEPROP INT_R_X5Y70 TILE_Y -14400 TILEPROP INT_R_X5Y70 TYPE INT_R TILEPROP INT_R_X5Y71 CLASS tile TILEPROP INT_R_X5Y71 COLUMN 16 TILEPROP INT_R_X5Y71 DEVICE_ID 0 TILEPROP INT_R_X5Y71 FIRST_SITE_ID 8114 TILEPROP INT_R_X5Y71 GRID_POINT_X 16 TILEPROP INT_R_X5Y71 GRID_POINT_Y 82 TILEPROP INT_R_X5Y71 INDEX 9446 TILEPROP INT_R_X5Y71 INT_TILE_X 5 TILEPROP INT_R_X5Y71 INT_TILE_Y 78 TILEPROP INT_R_X5Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y71 IS_DCM_TILE 0 TILEPROP INT_R_X5Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y71 NAME INT_R_X5Y71 TILEPROP INT_R_X5Y71 NUM_ARCS 3737 TILEPROP INT_R_X5Y71 NUM_SITES 1 TILEPROP INT_R_X5Y71 ROW 82 TILEPROP INT_R_X5Y71 SLR_REGION_ID 0 TILEPROP INT_R_X5Y71 TILE_PATTERN_IDX 6451 TILEPROP INT_R_X5Y71 TILE_TYPE INT_R TILEPROP INT_R_X5Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y71 TILE_X -73374 TILEPROP INT_R_X5Y71 TILE_Y -11200 TILEPROP INT_R_X5Y71 TYPE INT_R TILEPROP INT_R_X5Y72 CLASS tile TILEPROP INT_R_X5Y72 COLUMN 16 TILEPROP INT_R_X5Y72 DEVICE_ID 0 TILEPROP INT_R_X5Y72 FIRST_SITE_ID 8014 TILEPROP INT_R_X5Y72 GRID_POINT_X 16 TILEPROP INT_R_X5Y72 GRID_POINT_Y 81 TILEPROP INT_R_X5Y72 INDEX 9331 TILEPROP INT_R_X5Y72 INT_TILE_X 5 TILEPROP INT_R_X5Y72 INT_TILE_Y 77 TILEPROP INT_R_X5Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y72 IS_DCM_TILE 0 TILEPROP INT_R_X5Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y72 NAME INT_R_X5Y72 TILEPROP INT_R_X5Y72 NUM_ARCS 3737 TILEPROP INT_R_X5Y72 NUM_SITES 1 TILEPROP INT_R_X5Y72 ROW 81 TILEPROP INT_R_X5Y72 SLR_REGION_ID 0 TILEPROP INT_R_X5Y72 TILE_PATTERN_IDX 6420 TILEPROP INT_R_X5Y72 TILE_TYPE INT_R TILEPROP INT_R_X5Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y72 TILE_X -73374 TILEPROP INT_R_X5Y72 TILE_Y -8000 TILEPROP INT_R_X5Y72 TYPE INT_R TILEPROP INT_R_X5Y73 CLASS tile TILEPROP INT_R_X5Y73 COLUMN 16 TILEPROP INT_R_X5Y73 DEVICE_ID 0 TILEPROP INT_R_X5Y73 FIRST_SITE_ID 7914 TILEPROP INT_R_X5Y73 GRID_POINT_X 16 TILEPROP INT_R_X5Y73 GRID_POINT_Y 80 TILEPROP INT_R_X5Y73 INDEX 9216 TILEPROP INT_R_X5Y73 INT_TILE_X 5 TILEPROP INT_R_X5Y73 INT_TILE_Y 76 TILEPROP INT_R_X5Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y73 IS_DCM_TILE 0 TILEPROP INT_R_X5Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y73 NAME INT_R_X5Y73 TILEPROP INT_R_X5Y73 NUM_ARCS 3737 TILEPROP INT_R_X5Y73 NUM_SITES 1 TILEPROP INT_R_X5Y73 ROW 80 TILEPROP INT_R_X5Y73 SLR_REGION_ID 0 TILEPROP INT_R_X5Y73 TILE_PATTERN_IDX 6389 TILEPROP INT_R_X5Y73 TILE_TYPE INT_R TILEPROP INT_R_X5Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y73 TILE_X -73374 TILEPROP INT_R_X5Y73 TILE_Y -4800 TILEPROP INT_R_X5Y73 TYPE INT_R TILEPROP INT_R_X5Y74 CLASS tile TILEPROP INT_R_X5Y74 COLUMN 16 TILEPROP INT_R_X5Y74 DEVICE_ID 0 TILEPROP INT_R_X5Y74 FIRST_SITE_ID 7814 TILEPROP INT_R_X5Y74 GRID_POINT_X 16 TILEPROP INT_R_X5Y74 GRID_POINT_Y 79 TILEPROP INT_R_X5Y74 INDEX 9101 TILEPROP INT_R_X5Y74 INT_TILE_X 5 TILEPROP INT_R_X5Y74 INT_TILE_Y 75 TILEPROP INT_R_X5Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y74 IS_DCM_TILE 0 TILEPROP INT_R_X5Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y74 NAME INT_R_X5Y74 TILEPROP INT_R_X5Y74 NUM_ARCS 3737 TILEPROP INT_R_X5Y74 NUM_SITES 1 TILEPROP INT_R_X5Y74 ROW 79 TILEPROP INT_R_X5Y74 SLR_REGION_ID 0 TILEPROP INT_R_X5Y74 TILE_PATTERN_IDX 6358 TILEPROP INT_R_X5Y74 TILE_TYPE INT_R TILEPROP INT_R_X5Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y74 TILE_X -73374 TILEPROP INT_R_X5Y74 TILE_Y -1600 TILEPROP INT_R_X5Y74 TYPE INT_R TILEPROP INT_R_X5Y75 CLASS tile TILEPROP INT_R_X5Y75 COLUMN 16 TILEPROP INT_R_X5Y75 DEVICE_ID 0 TILEPROP INT_R_X5Y75 FIRST_SITE_ID 7613 TILEPROP INT_R_X5Y75 GRID_POINT_X 16 TILEPROP INT_R_X5Y75 GRID_POINT_Y 77 TILEPROP INT_R_X5Y75 INDEX 8871 TILEPROP INT_R_X5Y75 INT_TILE_X 5 TILEPROP INT_R_X5Y75 INT_TILE_Y 74 TILEPROP INT_R_X5Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y75 IS_DCM_TILE 0 TILEPROP INT_R_X5Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y75 NAME INT_R_X5Y75 TILEPROP INT_R_X5Y75 NUM_ARCS 3737 TILEPROP INT_R_X5Y75 NUM_SITES 1 TILEPROP INT_R_X5Y75 ROW 77 TILEPROP INT_R_X5Y75 SLR_REGION_ID 0 TILEPROP INT_R_X5Y75 TILE_PATTERN_IDX 6282 TILEPROP INT_R_X5Y75 TILE_TYPE INT_R TILEPROP INT_R_X5Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y75 TILE_X -73374 TILEPROP INT_R_X5Y75 TILE_Y 2624 TILEPROP INT_R_X5Y75 TYPE INT_R TILEPROP INT_R_X5Y76 CLASS tile TILEPROP INT_R_X5Y76 COLUMN 16 TILEPROP INT_R_X5Y76 DEVICE_ID 0 TILEPROP INT_R_X5Y76 FIRST_SITE_ID 7513 TILEPROP INT_R_X5Y76 GRID_POINT_X 16 TILEPROP INT_R_X5Y76 GRID_POINT_Y 76 TILEPROP INT_R_X5Y76 INDEX 8756 TILEPROP INT_R_X5Y76 INT_TILE_X 5 TILEPROP INT_R_X5Y76 INT_TILE_Y 73 TILEPROP INT_R_X5Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y76 IS_DCM_TILE 0 TILEPROP INT_R_X5Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y76 NAME INT_R_X5Y76 TILEPROP INT_R_X5Y76 NUM_ARCS 3737 TILEPROP INT_R_X5Y76 NUM_SITES 1 TILEPROP INT_R_X5Y76 ROW 76 TILEPROP INT_R_X5Y76 SLR_REGION_ID 0 TILEPROP INT_R_X5Y76 TILE_PATTERN_IDX 6249 TILEPROP INT_R_X5Y76 TILE_TYPE INT_R TILEPROP INT_R_X5Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y76 TILE_X -73374 TILEPROP INT_R_X5Y76 TILE_Y 5824 TILEPROP INT_R_X5Y76 TYPE INT_R TILEPROP INT_R_X5Y77 CLASS tile TILEPROP INT_R_X5Y77 COLUMN 16 TILEPROP INT_R_X5Y77 DEVICE_ID 0 TILEPROP INT_R_X5Y77 FIRST_SITE_ID 7413 TILEPROP INT_R_X5Y77 GRID_POINT_X 16 TILEPROP INT_R_X5Y77 GRID_POINT_Y 75 TILEPROP INT_R_X5Y77 INDEX 8641 TILEPROP INT_R_X5Y77 INT_TILE_X 5 TILEPROP INT_R_X5Y77 INT_TILE_Y 72 TILEPROP INT_R_X5Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y77 IS_DCM_TILE 0 TILEPROP INT_R_X5Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y77 NAME INT_R_X5Y77 TILEPROP INT_R_X5Y77 NUM_ARCS 3737 TILEPROP INT_R_X5Y77 NUM_SITES 1 TILEPROP INT_R_X5Y77 ROW 75 TILEPROP INT_R_X5Y77 SLR_REGION_ID 0 TILEPROP INT_R_X5Y77 TILE_PATTERN_IDX 6215 TILEPROP INT_R_X5Y77 TILE_TYPE INT_R TILEPROP INT_R_X5Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y77 TILE_X -73374 TILEPROP INT_R_X5Y77 TILE_Y 9024 TILEPROP INT_R_X5Y77 TYPE INT_R TILEPROP INT_R_X5Y78 CLASS tile TILEPROP INT_R_X5Y78 COLUMN 16 TILEPROP INT_R_X5Y78 DEVICE_ID 0 TILEPROP INT_R_X5Y78 FIRST_SITE_ID 7313 TILEPROP INT_R_X5Y78 GRID_POINT_X 16 TILEPROP INT_R_X5Y78 GRID_POINT_Y 74 TILEPROP INT_R_X5Y78 INDEX 8526 TILEPROP INT_R_X5Y78 INT_TILE_X 5 TILEPROP INT_R_X5Y78 INT_TILE_Y 71 TILEPROP INT_R_X5Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y78 IS_DCM_TILE 0 TILEPROP INT_R_X5Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y78 NAME INT_R_X5Y78 TILEPROP INT_R_X5Y78 NUM_ARCS 3737 TILEPROP INT_R_X5Y78 NUM_SITES 1 TILEPROP INT_R_X5Y78 ROW 74 TILEPROP INT_R_X5Y78 SLR_REGION_ID 0 TILEPROP INT_R_X5Y78 TILE_PATTERN_IDX 6182 TILEPROP INT_R_X5Y78 TILE_TYPE INT_R TILEPROP INT_R_X5Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y78 TILE_X -73374 TILEPROP INT_R_X5Y78 TILE_Y 12224 TILEPROP INT_R_X5Y78 TYPE INT_R TILEPROP INT_R_X5Y79 CLASS tile TILEPROP INT_R_X5Y79 COLUMN 16 TILEPROP INT_R_X5Y79 DEVICE_ID 0 TILEPROP INT_R_X5Y79 FIRST_SITE_ID 7207 TILEPROP INT_R_X5Y79 GRID_POINT_X 16 TILEPROP INT_R_X5Y79 GRID_POINT_Y 73 TILEPROP INT_R_X5Y79 INDEX 8411 TILEPROP INT_R_X5Y79 INT_TILE_X 5 TILEPROP INT_R_X5Y79 INT_TILE_Y 70 TILEPROP INT_R_X5Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y79 IS_DCM_TILE 0 TILEPROP INT_R_X5Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y79 NAME INT_R_X5Y79 TILEPROP INT_R_X5Y79 NUM_ARCS 3737 TILEPROP INT_R_X5Y79 NUM_SITES 1 TILEPROP INT_R_X5Y79 ROW 73 TILEPROP INT_R_X5Y79 SLR_REGION_ID 0 TILEPROP INT_R_X5Y79 TILE_PATTERN_IDX 6147 TILEPROP INT_R_X5Y79 TILE_TYPE INT_R TILEPROP INT_R_X5Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y79 TILE_X -73374 TILEPROP INT_R_X5Y79 TILE_Y 15424 TILEPROP INT_R_X5Y79 TYPE INT_R TILEPROP INT_R_X5Y80 CLASS tile TILEPROP INT_R_X5Y80 COLUMN 16 TILEPROP INT_R_X5Y80 DEVICE_ID 0 TILEPROP INT_R_X5Y80 FIRST_SITE_ID 7086 TILEPROP INT_R_X5Y80 GRID_POINT_X 16 TILEPROP INT_R_X5Y80 GRID_POINT_Y 72 TILEPROP INT_R_X5Y80 INDEX 8296 TILEPROP INT_R_X5Y80 INT_TILE_X 5 TILEPROP INT_R_X5Y80 INT_TILE_Y 69 TILEPROP INT_R_X5Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y80 IS_DCM_TILE 0 TILEPROP INT_R_X5Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y80 NAME INT_R_X5Y80 TILEPROP INT_R_X5Y80 NUM_ARCS 3737 TILEPROP INT_R_X5Y80 NUM_SITES 1 TILEPROP INT_R_X5Y80 ROW 72 TILEPROP INT_R_X5Y80 SLR_REGION_ID 0 TILEPROP INT_R_X5Y80 TILE_PATTERN_IDX 6112 TILEPROP INT_R_X5Y80 TILE_TYPE INT_R TILEPROP INT_R_X5Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y80 TILE_X -73374 TILEPROP INT_R_X5Y80 TILE_Y 18624 TILEPROP INT_R_X5Y80 TYPE INT_R TILEPROP INT_R_X5Y81 CLASS tile TILEPROP INT_R_X5Y81 COLUMN 16 TILEPROP INT_R_X5Y81 DEVICE_ID 0 TILEPROP INT_R_X5Y81 FIRST_SITE_ID 6984 TILEPROP INT_R_X5Y81 GRID_POINT_X 16 TILEPROP INT_R_X5Y81 GRID_POINT_Y 71 TILEPROP INT_R_X5Y81 INDEX 8181 TILEPROP INT_R_X5Y81 INT_TILE_X 5 TILEPROP INT_R_X5Y81 INT_TILE_Y 68 TILEPROP INT_R_X5Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y81 IS_DCM_TILE 0 TILEPROP INT_R_X5Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y81 NAME INT_R_X5Y81 TILEPROP INT_R_X5Y81 NUM_ARCS 3737 TILEPROP INT_R_X5Y81 NUM_SITES 1 TILEPROP INT_R_X5Y81 ROW 71 TILEPROP INT_R_X5Y81 SLR_REGION_ID 0 TILEPROP INT_R_X5Y81 TILE_PATTERN_IDX 6078 TILEPROP INT_R_X5Y81 TILE_TYPE INT_R TILEPROP INT_R_X5Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y81 TILE_X -73374 TILEPROP INT_R_X5Y81 TILE_Y 21824 TILEPROP INT_R_X5Y81 TYPE INT_R TILEPROP INT_R_X5Y82 CLASS tile TILEPROP INT_R_X5Y82 COLUMN 16 TILEPROP INT_R_X5Y82 DEVICE_ID 0 TILEPROP INT_R_X5Y82 FIRST_SITE_ID 6882 TILEPROP INT_R_X5Y82 GRID_POINT_X 16 TILEPROP INT_R_X5Y82 GRID_POINT_Y 70 TILEPROP INT_R_X5Y82 INDEX 8066 TILEPROP INT_R_X5Y82 INT_TILE_X 5 TILEPROP INT_R_X5Y82 INT_TILE_Y 67 TILEPROP INT_R_X5Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y82 IS_DCM_TILE 0 TILEPROP INT_R_X5Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y82 NAME INT_R_X5Y82 TILEPROP INT_R_X5Y82 NUM_ARCS 3737 TILEPROP INT_R_X5Y82 NUM_SITES 1 TILEPROP INT_R_X5Y82 ROW 70 TILEPROP INT_R_X5Y82 SLR_REGION_ID 0 TILEPROP INT_R_X5Y82 TILE_PATTERN_IDX 6044 TILEPROP INT_R_X5Y82 TILE_TYPE INT_R TILEPROP INT_R_X5Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y82 TILE_X -73374 TILEPROP INT_R_X5Y82 TILE_Y 25024 TILEPROP INT_R_X5Y82 TYPE INT_R TILEPROP INT_R_X5Y83 CLASS tile TILEPROP INT_R_X5Y83 COLUMN 16 TILEPROP INT_R_X5Y83 DEVICE_ID 0 TILEPROP INT_R_X5Y83 FIRST_SITE_ID 6782 TILEPROP INT_R_X5Y83 GRID_POINT_X 16 TILEPROP INT_R_X5Y83 GRID_POINT_Y 69 TILEPROP INT_R_X5Y83 INDEX 7951 TILEPROP INT_R_X5Y83 INT_TILE_X 5 TILEPROP INT_R_X5Y83 INT_TILE_Y 66 TILEPROP INT_R_X5Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y83 IS_DCM_TILE 0 TILEPROP INT_R_X5Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y83 NAME INT_R_X5Y83 TILEPROP INT_R_X5Y83 NUM_ARCS 3737 TILEPROP INT_R_X5Y83 NUM_SITES 1 TILEPROP INT_R_X5Y83 ROW 69 TILEPROP INT_R_X5Y83 SLR_REGION_ID 0 TILEPROP INT_R_X5Y83 TILE_PATTERN_IDX 6010 TILEPROP INT_R_X5Y83 TILE_TYPE INT_R TILEPROP INT_R_X5Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y83 TILE_X -73374 TILEPROP INT_R_X5Y83 TILE_Y 28224 TILEPROP INT_R_X5Y83 TYPE INT_R TILEPROP INT_R_X5Y84 CLASS tile TILEPROP INT_R_X5Y84 COLUMN 16 TILEPROP INT_R_X5Y84 DEVICE_ID 0 TILEPROP INT_R_X5Y84 FIRST_SITE_ID 6682 TILEPROP INT_R_X5Y84 GRID_POINT_X 16 TILEPROP INT_R_X5Y84 GRID_POINT_Y 68 TILEPROP INT_R_X5Y84 INDEX 7836 TILEPROP INT_R_X5Y84 INT_TILE_X 5 TILEPROP INT_R_X5Y84 INT_TILE_Y 65 TILEPROP INT_R_X5Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y84 IS_DCM_TILE 0 TILEPROP INT_R_X5Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y84 NAME INT_R_X5Y84 TILEPROP INT_R_X5Y84 NUM_ARCS 3737 TILEPROP INT_R_X5Y84 NUM_SITES 1 TILEPROP INT_R_X5Y84 ROW 68 TILEPROP INT_R_X5Y84 SLR_REGION_ID 0 TILEPROP INT_R_X5Y84 TILE_PATTERN_IDX 5976 TILEPROP INT_R_X5Y84 TILE_TYPE INT_R TILEPROP INT_R_X5Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y84 TILE_X -73374 TILEPROP INT_R_X5Y84 TILE_Y 31424 TILEPROP INT_R_X5Y84 TYPE INT_R TILEPROP INT_R_X5Y85 CLASS tile TILEPROP INT_R_X5Y85 COLUMN 16 TILEPROP INT_R_X5Y85 DEVICE_ID 0 TILEPROP INT_R_X5Y85 FIRST_SITE_ID 6567 TILEPROP INT_R_X5Y85 GRID_POINT_X 16 TILEPROP INT_R_X5Y85 GRID_POINT_Y 67 TILEPROP INT_R_X5Y85 INDEX 7721 TILEPROP INT_R_X5Y85 INT_TILE_X 5 TILEPROP INT_R_X5Y85 INT_TILE_Y 64 TILEPROP INT_R_X5Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y85 IS_DCM_TILE 0 TILEPROP INT_R_X5Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y85 NAME INT_R_X5Y85 TILEPROP INT_R_X5Y85 NUM_ARCS 3737 TILEPROP INT_R_X5Y85 NUM_SITES 1 TILEPROP INT_R_X5Y85 ROW 67 TILEPROP INT_R_X5Y85 SLR_REGION_ID 0 TILEPROP INT_R_X5Y85 TILE_PATTERN_IDX 5941 TILEPROP INT_R_X5Y85 TILE_TYPE INT_R TILEPROP INT_R_X5Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y85 TILE_X -73374 TILEPROP INT_R_X5Y85 TILE_Y 34624 TILEPROP INT_R_X5Y85 TYPE INT_R TILEPROP INT_R_X5Y86 CLASS tile TILEPROP INT_R_X5Y86 COLUMN 16 TILEPROP INT_R_X5Y86 DEVICE_ID 0 TILEPROP INT_R_X5Y86 FIRST_SITE_ID 6435 TILEPROP INT_R_X5Y86 GRID_POINT_X 16 TILEPROP INT_R_X5Y86 GRID_POINT_Y 66 TILEPROP INT_R_X5Y86 INDEX 7606 TILEPROP INT_R_X5Y86 INT_TILE_X 5 TILEPROP INT_R_X5Y86 INT_TILE_Y 63 TILEPROP INT_R_X5Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y86 IS_DCM_TILE 0 TILEPROP INT_R_X5Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y86 NAME INT_R_X5Y86 TILEPROP INT_R_X5Y86 NUM_ARCS 3737 TILEPROP INT_R_X5Y86 NUM_SITES 1 TILEPROP INT_R_X5Y86 ROW 66 TILEPROP INT_R_X5Y86 SLR_REGION_ID 0 TILEPROP INT_R_X5Y86 TILE_PATTERN_IDX 5906 TILEPROP INT_R_X5Y86 TILE_TYPE INT_R TILEPROP INT_R_X5Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y86 TILE_X -73374 TILEPROP INT_R_X5Y86 TILE_Y 37824 TILEPROP INT_R_X5Y86 TYPE INT_R TILEPROP INT_R_X5Y87 CLASS tile TILEPROP INT_R_X5Y87 COLUMN 16 TILEPROP INT_R_X5Y87 DEVICE_ID 0 TILEPROP INT_R_X5Y87 FIRST_SITE_ID 6335 TILEPROP INT_R_X5Y87 GRID_POINT_X 16 TILEPROP INT_R_X5Y87 GRID_POINT_Y 65 TILEPROP INT_R_X5Y87 INDEX 7491 TILEPROP INT_R_X5Y87 INT_TILE_X 5 TILEPROP INT_R_X5Y87 INT_TILE_Y 62 TILEPROP INT_R_X5Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y87 IS_DCM_TILE 0 TILEPROP INT_R_X5Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y87 NAME INT_R_X5Y87 TILEPROP INT_R_X5Y87 NUM_ARCS 3737 TILEPROP INT_R_X5Y87 NUM_SITES 1 TILEPROP INT_R_X5Y87 ROW 65 TILEPROP INT_R_X5Y87 SLR_REGION_ID 0 TILEPROP INT_R_X5Y87 TILE_PATTERN_IDX 5872 TILEPROP INT_R_X5Y87 TILE_TYPE INT_R TILEPROP INT_R_X5Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y87 TILE_X -73374 TILEPROP INT_R_X5Y87 TILE_Y 41024 TILEPROP INT_R_X5Y87 TYPE INT_R TILEPROP INT_R_X5Y88 CLASS tile TILEPROP INT_R_X5Y88 COLUMN 16 TILEPROP INT_R_X5Y88 DEVICE_ID 0 TILEPROP INT_R_X5Y88 FIRST_SITE_ID 6235 TILEPROP INT_R_X5Y88 GRID_POINT_X 16 TILEPROP INT_R_X5Y88 GRID_POINT_Y 64 TILEPROP INT_R_X5Y88 INDEX 7376 TILEPROP INT_R_X5Y88 INT_TILE_X 5 TILEPROP INT_R_X5Y88 INT_TILE_Y 61 TILEPROP INT_R_X5Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y88 IS_DCM_TILE 0 TILEPROP INT_R_X5Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y88 NAME INT_R_X5Y88 TILEPROP INT_R_X5Y88 NUM_ARCS 3737 TILEPROP INT_R_X5Y88 NUM_SITES 1 TILEPROP INT_R_X5Y88 ROW 64 TILEPROP INT_R_X5Y88 SLR_REGION_ID 0 TILEPROP INT_R_X5Y88 TILE_PATTERN_IDX 5839 TILEPROP INT_R_X5Y88 TILE_TYPE INT_R TILEPROP INT_R_X5Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y88 TILE_X -73374 TILEPROP INT_R_X5Y88 TILE_Y 44224 TILEPROP INT_R_X5Y88 TYPE INT_R TILEPROP INT_R_X5Y89 CLASS tile TILEPROP INT_R_X5Y89 COLUMN 16 TILEPROP INT_R_X5Y89 DEVICE_ID 0 TILEPROP INT_R_X5Y89 FIRST_SITE_ID 6135 TILEPROP INT_R_X5Y89 GRID_POINT_X 16 TILEPROP INT_R_X5Y89 GRID_POINT_Y 63 TILEPROP INT_R_X5Y89 INDEX 7261 TILEPROP INT_R_X5Y89 INT_TILE_X 5 TILEPROP INT_R_X5Y89 INT_TILE_Y 60 TILEPROP INT_R_X5Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y89 IS_DCM_TILE 0 TILEPROP INT_R_X5Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y89 NAME INT_R_X5Y89 TILEPROP INT_R_X5Y89 NUM_ARCS 3737 TILEPROP INT_R_X5Y89 NUM_SITES 1 TILEPROP INT_R_X5Y89 ROW 63 TILEPROP INT_R_X5Y89 SLR_REGION_ID 0 TILEPROP INT_R_X5Y89 TILE_PATTERN_IDX 5805 TILEPROP INT_R_X5Y89 TILE_TYPE INT_R TILEPROP INT_R_X5Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y89 TILE_X -73374 TILEPROP INT_R_X5Y89 TILE_Y 47424 TILEPROP INT_R_X5Y89 TYPE INT_R TILEPROP INT_R_X5Y90 CLASS tile TILEPROP INT_R_X5Y90 COLUMN 16 TILEPROP INT_R_X5Y90 DEVICE_ID 0 TILEPROP INT_R_X5Y90 FIRST_SITE_ID 6020 TILEPROP INT_R_X5Y90 GRID_POINT_X 16 TILEPROP INT_R_X5Y90 GRID_POINT_Y 62 TILEPROP INT_R_X5Y90 INDEX 7146 TILEPROP INT_R_X5Y90 INT_TILE_X 5 TILEPROP INT_R_X5Y90 INT_TILE_Y 59 TILEPROP INT_R_X5Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y90 IS_DCM_TILE 0 TILEPROP INT_R_X5Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y90 NAME INT_R_X5Y90 TILEPROP INT_R_X5Y90 NUM_ARCS 3737 TILEPROP INT_R_X5Y90 NUM_SITES 1 TILEPROP INT_R_X5Y90 ROW 62 TILEPROP INT_R_X5Y90 SLR_REGION_ID 0 TILEPROP INT_R_X5Y90 TILE_PATTERN_IDX 5771 TILEPROP INT_R_X5Y90 TILE_TYPE INT_R TILEPROP INT_R_X5Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y90 TILE_X -73374 TILEPROP INT_R_X5Y90 TILE_Y 50624 TILEPROP INT_R_X5Y90 TYPE INT_R TILEPROP INT_R_X5Y91 CLASS tile TILEPROP INT_R_X5Y91 COLUMN 16 TILEPROP INT_R_X5Y91 DEVICE_ID 0 TILEPROP INT_R_X5Y91 FIRST_SITE_ID 5920 TILEPROP INT_R_X5Y91 GRID_POINT_X 16 TILEPROP INT_R_X5Y91 GRID_POINT_Y 61 TILEPROP INT_R_X5Y91 INDEX 7031 TILEPROP INT_R_X5Y91 INT_TILE_X 5 TILEPROP INT_R_X5Y91 INT_TILE_Y 58 TILEPROP INT_R_X5Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y91 IS_DCM_TILE 0 TILEPROP INT_R_X5Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y91 NAME INT_R_X5Y91 TILEPROP INT_R_X5Y91 NUM_ARCS 3737 TILEPROP INT_R_X5Y91 NUM_SITES 1 TILEPROP INT_R_X5Y91 ROW 61 TILEPROP INT_R_X5Y91 SLR_REGION_ID 0 TILEPROP INT_R_X5Y91 TILE_PATTERN_IDX 5737 TILEPROP INT_R_X5Y91 TILE_TYPE INT_R TILEPROP INT_R_X5Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y91 TILE_X -73374 TILEPROP INT_R_X5Y91 TILE_Y 53824 TILEPROP INT_R_X5Y91 TYPE INT_R TILEPROP INT_R_X5Y92 CLASS tile TILEPROP INT_R_X5Y92 COLUMN 16 TILEPROP INT_R_X5Y92 DEVICE_ID 0 TILEPROP INT_R_X5Y92 FIRST_SITE_ID 5819 TILEPROP INT_R_X5Y92 GRID_POINT_X 16 TILEPROP INT_R_X5Y92 GRID_POINT_Y 60 TILEPROP INT_R_X5Y92 INDEX 6916 TILEPROP INT_R_X5Y92 INT_TILE_X 5 TILEPROP INT_R_X5Y92 INT_TILE_Y 57 TILEPROP INT_R_X5Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y92 IS_DCM_TILE 0 TILEPROP INT_R_X5Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y92 NAME INT_R_X5Y92 TILEPROP INT_R_X5Y92 NUM_ARCS 3737 TILEPROP INT_R_X5Y92 NUM_SITES 1 TILEPROP INT_R_X5Y92 ROW 60 TILEPROP INT_R_X5Y92 SLR_REGION_ID 0 TILEPROP INT_R_X5Y92 TILE_PATTERN_IDX 5704 TILEPROP INT_R_X5Y92 TILE_TYPE INT_R TILEPROP INT_R_X5Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y92 TILE_X -73374 TILEPROP INT_R_X5Y92 TILE_Y 57024 TILEPROP INT_R_X5Y92 TYPE INT_R TILEPROP INT_R_X5Y93 CLASS tile TILEPROP INT_R_X5Y93 COLUMN 16 TILEPROP INT_R_X5Y93 DEVICE_ID 0 TILEPROP INT_R_X5Y93 FIRST_SITE_ID 5716 TILEPROP INT_R_X5Y93 GRID_POINT_X 16 TILEPROP INT_R_X5Y93 GRID_POINT_Y 59 TILEPROP INT_R_X5Y93 INDEX 6801 TILEPROP INT_R_X5Y93 INT_TILE_X 5 TILEPROP INT_R_X5Y93 INT_TILE_Y 56 TILEPROP INT_R_X5Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y93 IS_DCM_TILE 0 TILEPROP INT_R_X5Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y93 NAME INT_R_X5Y93 TILEPROP INT_R_X5Y93 NUM_ARCS 3737 TILEPROP INT_R_X5Y93 NUM_SITES 1 TILEPROP INT_R_X5Y93 ROW 59 TILEPROP INT_R_X5Y93 SLR_REGION_ID 0 TILEPROP INT_R_X5Y93 TILE_PATTERN_IDX 5670 TILEPROP INT_R_X5Y93 TILE_TYPE INT_R TILEPROP INT_R_X5Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y93 TILE_X -73374 TILEPROP INT_R_X5Y93 TILE_Y 60224 TILEPROP INT_R_X5Y93 TYPE INT_R TILEPROP INT_R_X5Y94 CLASS tile TILEPROP INT_R_X5Y94 COLUMN 16 TILEPROP INT_R_X5Y94 DEVICE_ID 0 TILEPROP INT_R_X5Y94 FIRST_SITE_ID 5614 TILEPROP INT_R_X5Y94 GRID_POINT_X 16 TILEPROP INT_R_X5Y94 GRID_POINT_Y 58 TILEPROP INT_R_X5Y94 INDEX 6686 TILEPROP INT_R_X5Y94 INT_TILE_X 5 TILEPROP INT_R_X5Y94 INT_TILE_Y 55 TILEPROP INT_R_X5Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y94 IS_DCM_TILE 0 TILEPROP INT_R_X5Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y94 NAME INT_R_X5Y94 TILEPROP INT_R_X5Y94 NUM_ARCS 3737 TILEPROP INT_R_X5Y94 NUM_SITES 1 TILEPROP INT_R_X5Y94 ROW 58 TILEPROP INT_R_X5Y94 SLR_REGION_ID 0 TILEPROP INT_R_X5Y94 TILE_PATTERN_IDX 5636 TILEPROP INT_R_X5Y94 TILE_TYPE INT_R TILEPROP INT_R_X5Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y94 TILE_X -73374 TILEPROP INT_R_X5Y94 TILE_Y 63424 TILEPROP INT_R_X5Y94 TYPE INT_R TILEPROP INT_R_X5Y95 CLASS tile TILEPROP INT_R_X5Y95 COLUMN 16 TILEPROP INT_R_X5Y95 DEVICE_ID 0 TILEPROP INT_R_X5Y95 FIRST_SITE_ID 5499 TILEPROP INT_R_X5Y95 GRID_POINT_X 16 TILEPROP INT_R_X5Y95 GRID_POINT_Y 57 TILEPROP INT_R_X5Y95 INDEX 6571 TILEPROP INT_R_X5Y95 INT_TILE_X 5 TILEPROP INT_R_X5Y95 INT_TILE_Y 54 TILEPROP INT_R_X5Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y95 IS_DCM_TILE 0 TILEPROP INT_R_X5Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y95 NAME INT_R_X5Y95 TILEPROP INT_R_X5Y95 NUM_ARCS 3737 TILEPROP INT_R_X5Y95 NUM_SITES 1 TILEPROP INT_R_X5Y95 ROW 57 TILEPROP INT_R_X5Y95 SLR_REGION_ID 0 TILEPROP INT_R_X5Y95 TILE_PATTERN_IDX 5601 TILEPROP INT_R_X5Y95 TILE_TYPE INT_R TILEPROP INT_R_X5Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y95 TILE_X -73374 TILEPROP INT_R_X5Y95 TILE_Y 66624 TILEPROP INT_R_X5Y95 TYPE INT_R TILEPROP INT_R_X5Y96 CLASS tile TILEPROP INT_R_X5Y96 COLUMN 16 TILEPROP INT_R_X5Y96 DEVICE_ID 0 TILEPROP INT_R_X5Y96 FIRST_SITE_ID 5399 TILEPROP INT_R_X5Y96 GRID_POINT_X 16 TILEPROP INT_R_X5Y96 GRID_POINT_Y 56 TILEPROP INT_R_X5Y96 INDEX 6456 TILEPROP INT_R_X5Y96 INT_TILE_X 5 TILEPROP INT_R_X5Y96 INT_TILE_Y 53 TILEPROP INT_R_X5Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y96 IS_DCM_TILE 0 TILEPROP INT_R_X5Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y96 NAME INT_R_X5Y96 TILEPROP INT_R_X5Y96 NUM_ARCS 3737 TILEPROP INT_R_X5Y96 NUM_SITES 1 TILEPROP INT_R_X5Y96 ROW 56 TILEPROP INT_R_X5Y96 SLR_REGION_ID 0 TILEPROP INT_R_X5Y96 TILE_PATTERN_IDX 5567 TILEPROP INT_R_X5Y96 TILE_TYPE INT_R TILEPROP INT_R_X5Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y96 TILE_X -73374 TILEPROP INT_R_X5Y96 TILE_Y 69824 TILEPROP INT_R_X5Y96 TYPE INT_R TILEPROP INT_R_X5Y97 CLASS tile TILEPROP INT_R_X5Y97 COLUMN 16 TILEPROP INT_R_X5Y97 DEVICE_ID 0 TILEPROP INT_R_X5Y97 FIRST_SITE_ID 5299 TILEPROP INT_R_X5Y97 GRID_POINT_X 16 TILEPROP INT_R_X5Y97 GRID_POINT_Y 55 TILEPROP INT_R_X5Y97 INDEX 6341 TILEPROP INT_R_X5Y97 INT_TILE_X 5 TILEPROP INT_R_X5Y97 INT_TILE_Y 52 TILEPROP INT_R_X5Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y97 IS_DCM_TILE 0 TILEPROP INT_R_X5Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y97 NAME INT_R_X5Y97 TILEPROP INT_R_X5Y97 NUM_ARCS 3737 TILEPROP INT_R_X5Y97 NUM_SITES 1 TILEPROP INT_R_X5Y97 ROW 55 TILEPROP INT_R_X5Y97 SLR_REGION_ID 0 TILEPROP INT_R_X5Y97 TILE_PATTERN_IDX 5533 TILEPROP INT_R_X5Y97 TILE_TYPE INT_R TILEPROP INT_R_X5Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y97 TILE_X -73374 TILEPROP INT_R_X5Y97 TILE_Y 73024 TILEPROP INT_R_X5Y97 TYPE INT_R TILEPROP INT_R_X5Y98 CLASS tile TILEPROP INT_R_X5Y98 COLUMN 16 TILEPROP INT_R_X5Y98 DEVICE_ID 0 TILEPROP INT_R_X5Y98 FIRST_SITE_ID 5199 TILEPROP INT_R_X5Y98 GRID_POINT_X 16 TILEPROP INT_R_X5Y98 GRID_POINT_Y 54 TILEPROP INT_R_X5Y98 INDEX 6226 TILEPROP INT_R_X5Y98 INT_TILE_X 5 TILEPROP INT_R_X5Y98 INT_TILE_Y 51 TILEPROP INT_R_X5Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y98 IS_DCM_TILE 0 TILEPROP INT_R_X5Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y98 NAME INT_R_X5Y98 TILEPROP INT_R_X5Y98 NUM_ARCS 3737 TILEPROP INT_R_X5Y98 NUM_SITES 1 TILEPROP INT_R_X5Y98 ROW 54 TILEPROP INT_R_X5Y98 SLR_REGION_ID 0 TILEPROP INT_R_X5Y98 TILE_PATTERN_IDX 5499 TILEPROP INT_R_X5Y98 TILE_TYPE INT_R TILEPROP INT_R_X5Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y98 TILE_X -73374 TILEPROP INT_R_X5Y98 TILE_Y 76224 TILEPROP INT_R_X5Y98 TYPE INT_R TILEPROP INT_R_X5Y99 CLASS tile TILEPROP INT_R_X5Y99 COLUMN 16 TILEPROP INT_R_X5Y99 DEVICE_ID 0 TILEPROP INT_R_X5Y99 FIRST_SITE_ID 5103 TILEPROP INT_R_X5Y99 GRID_POINT_X 16 TILEPROP INT_R_X5Y99 GRID_POINT_Y 53 TILEPROP INT_R_X5Y99 INDEX 6111 TILEPROP INT_R_X5Y99 INT_TILE_X 5 TILEPROP INT_R_X5Y99 INT_TILE_Y 50 TILEPROP INT_R_X5Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y99 IS_DCM_TILE 0 TILEPROP INT_R_X5Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y99 NAME INT_R_X5Y99 TILEPROP INT_R_X5Y99 NUM_ARCS 3737 TILEPROP INT_R_X5Y99 NUM_SITES 1 TILEPROP INT_R_X5Y99 ROW 53 TILEPROP INT_R_X5Y99 SLR_REGION_ID 0 TILEPROP INT_R_X5Y99 TILE_PATTERN_IDX 5466 TILEPROP INT_R_X5Y99 TILE_TYPE INT_R TILEPROP INT_R_X5Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y99 TILE_X -73374 TILEPROP INT_R_X5Y99 TILE_Y 79424 TILEPROP INT_R_X5Y99 TYPE INT_R TILEPROP INT_R_X5Y100 CLASS tile TILEPROP INT_R_X5Y100 COLUMN 16 TILEPROP INT_R_X5Y100 DEVICE_ID 0 TILEPROP INT_R_X5Y100 FIRST_SITE_ID 5002 TILEPROP INT_R_X5Y100 GRID_POINT_X 16 TILEPROP INT_R_X5Y100 GRID_POINT_Y 51 TILEPROP INT_R_X5Y100 INDEX 5881 TILEPROP INT_R_X5Y100 INT_TILE_X 5 TILEPROP INT_R_X5Y100 INT_TILE_Y 49 TILEPROP INT_R_X5Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y100 IS_DCM_TILE 0 TILEPROP INT_R_X5Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y100 NAME INT_R_X5Y100 TILEPROP INT_R_X5Y100 NUM_ARCS 3737 TILEPROP INT_R_X5Y100 NUM_SITES 1 TILEPROP INT_R_X5Y100 ROW 51 TILEPROP INT_R_X5Y100 SLR_REGION_ID 0 TILEPROP INT_R_X5Y100 TILE_PATTERN_IDX 5429 TILEPROP INT_R_X5Y100 TILE_TYPE INT_R TILEPROP INT_R_X5Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y100 TILE_X -73374 TILEPROP INT_R_X5Y100 TILE_Y 82872 TILEPROP INT_R_X5Y100 TYPE INT_R TILEPROP INT_R_X5Y101 CLASS tile TILEPROP INT_R_X5Y101 COLUMN 16 TILEPROP INT_R_X5Y101 DEVICE_ID 0 TILEPROP INT_R_X5Y101 FIRST_SITE_ID 4910 TILEPROP INT_R_X5Y101 GRID_POINT_X 16 TILEPROP INT_R_X5Y101 GRID_POINT_Y 50 TILEPROP INT_R_X5Y101 INDEX 5766 TILEPROP INT_R_X5Y101 INT_TILE_X 5 TILEPROP INT_R_X5Y101 INT_TILE_Y 48 TILEPROP INT_R_X5Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y101 IS_DCM_TILE 0 TILEPROP INT_R_X5Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y101 NAME INT_R_X5Y101 TILEPROP INT_R_X5Y101 NUM_ARCS 3737 TILEPROP INT_R_X5Y101 NUM_SITES 1 TILEPROP INT_R_X5Y101 ROW 50 TILEPROP INT_R_X5Y101 SLR_REGION_ID 0 TILEPROP INT_R_X5Y101 TILE_PATTERN_IDX 5393 TILEPROP INT_R_X5Y101 TILE_TYPE INT_R TILEPROP INT_R_X5Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y101 TILE_X -73374 TILEPROP INT_R_X5Y101 TILE_Y 86072 TILEPROP INT_R_X5Y101 TYPE INT_R TILEPROP INT_R_X5Y102 CLASS tile TILEPROP INT_R_X5Y102 COLUMN 16 TILEPROP INT_R_X5Y102 DEVICE_ID 0 TILEPROP INT_R_X5Y102 FIRST_SITE_ID 4814 TILEPROP INT_R_X5Y102 GRID_POINT_X 16 TILEPROP INT_R_X5Y102 GRID_POINT_Y 49 TILEPROP INT_R_X5Y102 INDEX 5651 TILEPROP INT_R_X5Y102 INT_TILE_X 5 TILEPROP INT_R_X5Y102 INT_TILE_Y 47 TILEPROP INT_R_X5Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y102 IS_DCM_TILE 0 TILEPROP INT_R_X5Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y102 NAME INT_R_X5Y102 TILEPROP INT_R_X5Y102 NUM_ARCS 3737 TILEPROP INT_R_X5Y102 NUM_SITES 1 TILEPROP INT_R_X5Y102 ROW 49 TILEPROP INT_R_X5Y102 SLR_REGION_ID 0 TILEPROP INT_R_X5Y102 TILE_PATTERN_IDX 5356 TILEPROP INT_R_X5Y102 TILE_TYPE INT_R TILEPROP INT_R_X5Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y102 TILE_X -73374 TILEPROP INT_R_X5Y102 TILE_Y 89272 TILEPROP INT_R_X5Y102 TYPE INT_R TILEPROP INT_R_X5Y103 CLASS tile TILEPROP INT_R_X5Y103 COLUMN 16 TILEPROP INT_R_X5Y103 DEVICE_ID 0 TILEPROP INT_R_X5Y103 FIRST_SITE_ID 4726 TILEPROP INT_R_X5Y103 GRID_POINT_X 16 TILEPROP INT_R_X5Y103 GRID_POINT_Y 48 TILEPROP INT_R_X5Y103 INDEX 5536 TILEPROP INT_R_X5Y103 INT_TILE_X 5 TILEPROP INT_R_X5Y103 INT_TILE_Y 46 TILEPROP INT_R_X5Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y103 IS_DCM_TILE 0 TILEPROP INT_R_X5Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y103 NAME INT_R_X5Y103 TILEPROP INT_R_X5Y103 NUM_ARCS 3737 TILEPROP INT_R_X5Y103 NUM_SITES 1 TILEPROP INT_R_X5Y103 ROW 48 TILEPROP INT_R_X5Y103 SLR_REGION_ID 0 TILEPROP INT_R_X5Y103 TILE_PATTERN_IDX 5320 TILEPROP INT_R_X5Y103 TILE_TYPE INT_R TILEPROP INT_R_X5Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y103 TILE_X -73374 TILEPROP INT_R_X5Y103 TILE_Y 92472 TILEPROP INT_R_X5Y103 TYPE INT_R TILEPROP INT_R_X5Y104 CLASS tile TILEPROP INT_R_X5Y104 COLUMN 16 TILEPROP INT_R_X5Y104 DEVICE_ID 0 TILEPROP INT_R_X5Y104 FIRST_SITE_ID 4630 TILEPROP INT_R_X5Y104 GRID_POINT_X 16 TILEPROP INT_R_X5Y104 GRID_POINT_Y 47 TILEPROP INT_R_X5Y104 INDEX 5421 TILEPROP INT_R_X5Y104 INT_TILE_X 5 TILEPROP INT_R_X5Y104 INT_TILE_Y 45 TILEPROP INT_R_X5Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y104 IS_DCM_TILE 0 TILEPROP INT_R_X5Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y104 NAME INT_R_X5Y104 TILEPROP INT_R_X5Y104 NUM_ARCS 3737 TILEPROP INT_R_X5Y104 NUM_SITES 1 TILEPROP INT_R_X5Y104 ROW 47 TILEPROP INT_R_X5Y104 SLR_REGION_ID 0 TILEPROP INT_R_X5Y104 TILE_PATTERN_IDX 5283 TILEPROP INT_R_X5Y104 TILE_TYPE INT_R TILEPROP INT_R_X5Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y104 TILE_X -73374 TILEPROP INT_R_X5Y104 TILE_Y 95672 TILEPROP INT_R_X5Y104 TYPE INT_R TILEPROP INT_R_X5Y105 CLASS tile TILEPROP INT_R_X5Y105 COLUMN 16 TILEPROP INT_R_X5Y105 DEVICE_ID 0 TILEPROP INT_R_X5Y105 FIRST_SITE_ID 4528 TILEPROP INT_R_X5Y105 GRID_POINT_X 16 TILEPROP INT_R_X5Y105 GRID_POINT_Y 46 TILEPROP INT_R_X5Y105 INDEX 5306 TILEPROP INT_R_X5Y105 INT_TILE_X 5 TILEPROP INT_R_X5Y105 INT_TILE_Y 44 TILEPROP INT_R_X5Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y105 IS_DCM_TILE 0 TILEPROP INT_R_X5Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y105 NAME INT_R_X5Y105 TILEPROP INT_R_X5Y105 NUM_ARCS 3737 TILEPROP INT_R_X5Y105 NUM_SITES 1 TILEPROP INT_R_X5Y105 ROW 46 TILEPROP INT_R_X5Y105 SLR_REGION_ID 0 TILEPROP INT_R_X5Y105 TILE_PATTERN_IDX 5247 TILEPROP INT_R_X5Y105 TILE_TYPE INT_R TILEPROP INT_R_X5Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y105 TILE_X -73374 TILEPROP INT_R_X5Y105 TILE_Y 98872 TILEPROP INT_R_X5Y105 TYPE INT_R TILEPROP INT_R_X5Y106 CLASS tile TILEPROP INT_R_X5Y106 COLUMN 16 TILEPROP INT_R_X5Y106 DEVICE_ID 0 TILEPROP INT_R_X5Y106 FIRST_SITE_ID 4432 TILEPROP INT_R_X5Y106 GRID_POINT_X 16 TILEPROP INT_R_X5Y106 GRID_POINT_Y 45 TILEPROP INT_R_X5Y106 INDEX 5191 TILEPROP INT_R_X5Y106 INT_TILE_X 5 TILEPROP INT_R_X5Y106 INT_TILE_Y 43 TILEPROP INT_R_X5Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y106 IS_DCM_TILE 0 TILEPROP INT_R_X5Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y106 NAME INT_R_X5Y106 TILEPROP INT_R_X5Y106 NUM_ARCS 3737 TILEPROP INT_R_X5Y106 NUM_SITES 1 TILEPROP INT_R_X5Y106 ROW 45 TILEPROP INT_R_X5Y106 SLR_REGION_ID 0 TILEPROP INT_R_X5Y106 TILE_PATTERN_IDX 5210 TILEPROP INT_R_X5Y106 TILE_TYPE INT_R TILEPROP INT_R_X5Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y106 TILE_X -73374 TILEPROP INT_R_X5Y106 TILE_Y 102072 TILEPROP INT_R_X5Y106 TYPE INT_R TILEPROP INT_R_X5Y107 CLASS tile TILEPROP INT_R_X5Y107 COLUMN 16 TILEPROP INT_R_X5Y107 DEVICE_ID 0 TILEPROP INT_R_X5Y107 FIRST_SITE_ID 4344 TILEPROP INT_R_X5Y107 GRID_POINT_X 16 TILEPROP INT_R_X5Y107 GRID_POINT_Y 44 TILEPROP INT_R_X5Y107 INDEX 5076 TILEPROP INT_R_X5Y107 INT_TILE_X 5 TILEPROP INT_R_X5Y107 INT_TILE_Y 42 TILEPROP INT_R_X5Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y107 IS_DCM_TILE 0 TILEPROP INT_R_X5Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y107 NAME INT_R_X5Y107 TILEPROP INT_R_X5Y107 NUM_ARCS 3737 TILEPROP INT_R_X5Y107 NUM_SITES 1 TILEPROP INT_R_X5Y107 ROW 44 TILEPROP INT_R_X5Y107 SLR_REGION_ID 0 TILEPROP INT_R_X5Y107 TILE_PATTERN_IDX 5174 TILEPROP INT_R_X5Y107 TILE_TYPE INT_R TILEPROP INT_R_X5Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y107 TILE_X -73374 TILEPROP INT_R_X5Y107 TILE_Y 105272 TILEPROP INT_R_X5Y107 TYPE INT_R TILEPROP INT_R_X5Y108 CLASS tile TILEPROP INT_R_X5Y108 COLUMN 16 TILEPROP INT_R_X5Y108 DEVICE_ID 0 TILEPROP INT_R_X5Y108 FIRST_SITE_ID 4246 TILEPROP INT_R_X5Y108 GRID_POINT_X 16 TILEPROP INT_R_X5Y108 GRID_POINT_Y 43 TILEPROP INT_R_X5Y108 INDEX 4961 TILEPROP INT_R_X5Y108 INT_TILE_X 5 TILEPROP INT_R_X5Y108 INT_TILE_Y 41 TILEPROP INT_R_X5Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y108 IS_DCM_TILE 0 TILEPROP INT_R_X5Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y108 NAME INT_R_X5Y108 TILEPROP INT_R_X5Y108 NUM_ARCS 3737 TILEPROP INT_R_X5Y108 NUM_SITES 1 TILEPROP INT_R_X5Y108 ROW 43 TILEPROP INT_R_X5Y108 SLR_REGION_ID 0 TILEPROP INT_R_X5Y108 TILE_PATTERN_IDX 5137 TILEPROP INT_R_X5Y108 TILE_TYPE INT_R TILEPROP INT_R_X5Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y108 TILE_X -73374 TILEPROP INT_R_X5Y108 TILE_Y 108472 TILEPROP INT_R_X5Y108 TYPE INT_R TILEPROP INT_R_X5Y109 CLASS tile TILEPROP INT_R_X5Y109 COLUMN 16 TILEPROP INT_R_X5Y109 DEVICE_ID 0 TILEPROP INT_R_X5Y109 FIRST_SITE_ID 4157 TILEPROP INT_R_X5Y109 GRID_POINT_X 16 TILEPROP INT_R_X5Y109 GRID_POINT_Y 42 TILEPROP INT_R_X5Y109 INDEX 4846 TILEPROP INT_R_X5Y109 INT_TILE_X 5 TILEPROP INT_R_X5Y109 INT_TILE_Y 40 TILEPROP INT_R_X5Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y109 IS_DCM_TILE 0 TILEPROP INT_R_X5Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y109 NAME INT_R_X5Y109 TILEPROP INT_R_X5Y109 NUM_ARCS 3737 TILEPROP INT_R_X5Y109 NUM_SITES 1 TILEPROP INT_R_X5Y109 ROW 42 TILEPROP INT_R_X5Y109 SLR_REGION_ID 0 TILEPROP INT_R_X5Y109 TILE_PATTERN_IDX 5101 TILEPROP INT_R_X5Y109 TILE_TYPE INT_R TILEPROP INT_R_X5Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y109 TILE_X -73374 TILEPROP INT_R_X5Y109 TILE_Y 111672 TILEPROP INT_R_X5Y109 TYPE INT_R TILEPROP INT_R_X5Y110 CLASS tile TILEPROP INT_R_X5Y110 COLUMN 16 TILEPROP INT_R_X5Y110 DEVICE_ID 0 TILEPROP INT_R_X5Y110 FIRST_SITE_ID 4051 TILEPROP INT_R_X5Y110 GRID_POINT_X 16 TILEPROP INT_R_X5Y110 GRID_POINT_Y 41 TILEPROP INT_R_X5Y110 INDEX 4731 TILEPROP INT_R_X5Y110 INT_TILE_X 5 TILEPROP INT_R_X5Y110 INT_TILE_Y 39 TILEPROP INT_R_X5Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y110 IS_DCM_TILE 0 TILEPROP INT_R_X5Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y110 NAME INT_R_X5Y110 TILEPROP INT_R_X5Y110 NUM_ARCS 3737 TILEPROP INT_R_X5Y110 NUM_SITES 1 TILEPROP INT_R_X5Y110 ROW 41 TILEPROP INT_R_X5Y110 SLR_REGION_ID 0 TILEPROP INT_R_X5Y110 TILE_PATTERN_IDX 5064 TILEPROP INT_R_X5Y110 TILE_TYPE INT_R TILEPROP INT_R_X5Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y110 TILE_X -73374 TILEPROP INT_R_X5Y110 TILE_Y 114872 TILEPROP INT_R_X5Y110 TYPE INT_R TILEPROP INT_R_X5Y111 CLASS tile TILEPROP INT_R_X5Y111 COLUMN 16 TILEPROP INT_R_X5Y111 DEVICE_ID 0 TILEPROP INT_R_X5Y111 FIRST_SITE_ID 3963 TILEPROP INT_R_X5Y111 GRID_POINT_X 16 TILEPROP INT_R_X5Y111 GRID_POINT_Y 40 TILEPROP INT_R_X5Y111 INDEX 4616 TILEPROP INT_R_X5Y111 INT_TILE_X 5 TILEPROP INT_R_X5Y111 INT_TILE_Y 38 TILEPROP INT_R_X5Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y111 IS_DCM_TILE 0 TILEPROP INT_R_X5Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y111 NAME INT_R_X5Y111 TILEPROP INT_R_X5Y111 NUM_ARCS 3737 TILEPROP INT_R_X5Y111 NUM_SITES 1 TILEPROP INT_R_X5Y111 ROW 40 TILEPROP INT_R_X5Y111 SLR_REGION_ID 0 TILEPROP INT_R_X5Y111 TILE_PATTERN_IDX 5028 TILEPROP INT_R_X5Y111 TILE_TYPE INT_R TILEPROP INT_R_X5Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y111 TILE_X -73374 TILEPROP INT_R_X5Y111 TILE_Y 118072 TILEPROP INT_R_X5Y111 TYPE INT_R TILEPROP INT_R_X5Y112 CLASS tile TILEPROP INT_R_X5Y112 COLUMN 16 TILEPROP INT_R_X5Y112 DEVICE_ID 0 TILEPROP INT_R_X5Y112 FIRST_SITE_ID 3835 TILEPROP INT_R_X5Y112 GRID_POINT_X 16 TILEPROP INT_R_X5Y112 GRID_POINT_Y 39 TILEPROP INT_R_X5Y112 INDEX 4501 TILEPROP INT_R_X5Y112 INT_TILE_X 5 TILEPROP INT_R_X5Y112 INT_TILE_Y 37 TILEPROP INT_R_X5Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y112 IS_DCM_TILE 0 TILEPROP INT_R_X5Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y112 NAME INT_R_X5Y112 TILEPROP INT_R_X5Y112 NUM_ARCS 3737 TILEPROP INT_R_X5Y112 NUM_SITES 1 TILEPROP INT_R_X5Y112 ROW 39 TILEPROP INT_R_X5Y112 SLR_REGION_ID 0 TILEPROP INT_R_X5Y112 TILE_PATTERN_IDX 4990 TILEPROP INT_R_X5Y112 TILE_TYPE INT_R TILEPROP INT_R_X5Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y112 TILE_X -73374 TILEPROP INT_R_X5Y112 TILE_Y 121272 TILEPROP INT_R_X5Y112 TYPE INT_R TILEPROP INT_R_X5Y113 CLASS tile TILEPROP INT_R_X5Y113 COLUMN 16 TILEPROP INT_R_X5Y113 DEVICE_ID 0 TILEPROP INT_R_X5Y113 FIRST_SITE_ID 3747 TILEPROP INT_R_X5Y113 GRID_POINT_X 16 TILEPROP INT_R_X5Y113 GRID_POINT_Y 38 TILEPROP INT_R_X5Y113 INDEX 4386 TILEPROP INT_R_X5Y113 INT_TILE_X 5 TILEPROP INT_R_X5Y113 INT_TILE_Y 36 TILEPROP INT_R_X5Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y113 IS_DCM_TILE 0 TILEPROP INT_R_X5Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y113 NAME INT_R_X5Y113 TILEPROP INT_R_X5Y113 NUM_ARCS 3737 TILEPROP INT_R_X5Y113 NUM_SITES 1 TILEPROP INT_R_X5Y113 ROW 38 TILEPROP INT_R_X5Y113 SLR_REGION_ID 0 TILEPROP INT_R_X5Y113 TILE_PATTERN_IDX 4954 TILEPROP INT_R_X5Y113 TILE_TYPE INT_R TILEPROP INT_R_X5Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y113 TILE_X -73374 TILEPROP INT_R_X5Y113 TILE_Y 124472 TILEPROP INT_R_X5Y113 TYPE INT_R TILEPROP INT_R_X5Y114 CLASS tile TILEPROP INT_R_X5Y114 COLUMN 16 TILEPROP INT_R_X5Y114 DEVICE_ID 0 TILEPROP INT_R_X5Y114 FIRST_SITE_ID 3651 TILEPROP INT_R_X5Y114 GRID_POINT_X 16 TILEPROP INT_R_X5Y114 GRID_POINT_Y 37 TILEPROP INT_R_X5Y114 INDEX 4271 TILEPROP INT_R_X5Y114 INT_TILE_X 5 TILEPROP INT_R_X5Y114 INT_TILE_Y 35 TILEPROP INT_R_X5Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y114 IS_DCM_TILE 0 TILEPROP INT_R_X5Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y114 NAME INT_R_X5Y114 TILEPROP INT_R_X5Y114 NUM_ARCS 3737 TILEPROP INT_R_X5Y114 NUM_SITES 1 TILEPROP INT_R_X5Y114 ROW 37 TILEPROP INT_R_X5Y114 SLR_REGION_ID 0 TILEPROP INT_R_X5Y114 TILE_PATTERN_IDX 4917 TILEPROP INT_R_X5Y114 TILE_TYPE INT_R TILEPROP INT_R_X5Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y114 TILE_X -73374 TILEPROP INT_R_X5Y114 TILE_Y 127672 TILEPROP INT_R_X5Y114 TYPE INT_R TILEPROP INT_R_X5Y115 CLASS tile TILEPROP INT_R_X5Y115 COLUMN 16 TILEPROP INT_R_X5Y115 DEVICE_ID 0 TILEPROP INT_R_X5Y115 FIRST_SITE_ID 3554 TILEPROP INT_R_X5Y115 GRID_POINT_X 16 TILEPROP INT_R_X5Y115 GRID_POINT_Y 36 TILEPROP INT_R_X5Y115 INDEX 4156 TILEPROP INT_R_X5Y115 INT_TILE_X 5 TILEPROP INT_R_X5Y115 INT_TILE_Y 34 TILEPROP INT_R_X5Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y115 IS_DCM_TILE 0 TILEPROP INT_R_X5Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y115 NAME INT_R_X5Y115 TILEPROP INT_R_X5Y115 NUM_ARCS 3737 TILEPROP INT_R_X5Y115 NUM_SITES 1 TILEPROP INT_R_X5Y115 ROW 36 TILEPROP INT_R_X5Y115 SLR_REGION_ID 0 TILEPROP INT_R_X5Y115 TILE_PATTERN_IDX 4881 TILEPROP INT_R_X5Y115 TILE_TYPE INT_R TILEPROP INT_R_X5Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y115 TILE_X -73374 TILEPROP INT_R_X5Y115 TILE_Y 130872 TILEPROP INT_R_X5Y115 TYPE INT_R TILEPROP INT_R_X5Y116 CLASS tile TILEPROP INT_R_X5Y116 COLUMN 16 TILEPROP INT_R_X5Y116 DEVICE_ID 0 TILEPROP INT_R_X5Y116 FIRST_SITE_ID 3453 TILEPROP INT_R_X5Y116 GRID_POINT_X 16 TILEPROP INT_R_X5Y116 GRID_POINT_Y 35 TILEPROP INT_R_X5Y116 INDEX 4041 TILEPROP INT_R_X5Y116 INT_TILE_X 5 TILEPROP INT_R_X5Y116 INT_TILE_Y 33 TILEPROP INT_R_X5Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y116 IS_DCM_TILE 0 TILEPROP INT_R_X5Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y116 NAME INT_R_X5Y116 TILEPROP INT_R_X5Y116 NUM_ARCS 3737 TILEPROP INT_R_X5Y116 NUM_SITES 1 TILEPROP INT_R_X5Y116 ROW 35 TILEPROP INT_R_X5Y116 SLR_REGION_ID 0 TILEPROP INT_R_X5Y116 TILE_PATTERN_IDX 4844 TILEPROP INT_R_X5Y116 TILE_TYPE INT_R TILEPROP INT_R_X5Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y116 TILE_X -73374 TILEPROP INT_R_X5Y116 TILE_Y 134072 TILEPROP INT_R_X5Y116 TYPE INT_R TILEPROP INT_R_X5Y117 CLASS tile TILEPROP INT_R_X5Y117 COLUMN 16 TILEPROP INT_R_X5Y117 DEVICE_ID 0 TILEPROP INT_R_X5Y117 FIRST_SITE_ID 3365 TILEPROP INT_R_X5Y117 GRID_POINT_X 16 TILEPROP INT_R_X5Y117 GRID_POINT_Y 34 TILEPROP INT_R_X5Y117 INDEX 3926 TILEPROP INT_R_X5Y117 INT_TILE_X 5 TILEPROP INT_R_X5Y117 INT_TILE_Y 32 TILEPROP INT_R_X5Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y117 IS_DCM_TILE 0 TILEPROP INT_R_X5Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y117 NAME INT_R_X5Y117 TILEPROP INT_R_X5Y117 NUM_ARCS 3737 TILEPROP INT_R_X5Y117 NUM_SITES 1 TILEPROP INT_R_X5Y117 ROW 34 TILEPROP INT_R_X5Y117 SLR_REGION_ID 0 TILEPROP INT_R_X5Y117 TILE_PATTERN_IDX 4808 TILEPROP INT_R_X5Y117 TILE_TYPE INT_R TILEPROP INT_R_X5Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y117 TILE_X -73374 TILEPROP INT_R_X5Y117 TILE_Y 137272 TILEPROP INT_R_X5Y117 TYPE INT_R TILEPROP INT_R_X5Y118 CLASS tile TILEPROP INT_R_X5Y118 COLUMN 16 TILEPROP INT_R_X5Y118 DEVICE_ID 0 TILEPROP INT_R_X5Y118 FIRST_SITE_ID 3265 TILEPROP INT_R_X5Y118 GRID_POINT_X 16 TILEPROP INT_R_X5Y118 GRID_POINT_Y 33 TILEPROP INT_R_X5Y118 INDEX 3811 TILEPROP INT_R_X5Y118 INT_TILE_X 5 TILEPROP INT_R_X5Y118 INT_TILE_Y 31 TILEPROP INT_R_X5Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y118 IS_DCM_TILE 0 TILEPROP INT_R_X5Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y118 NAME INT_R_X5Y118 TILEPROP INT_R_X5Y118 NUM_ARCS 3737 TILEPROP INT_R_X5Y118 NUM_SITES 1 TILEPROP INT_R_X5Y118 ROW 33 TILEPROP INT_R_X5Y118 SLR_REGION_ID 0 TILEPROP INT_R_X5Y118 TILE_PATTERN_IDX 4770 TILEPROP INT_R_X5Y118 TILE_TYPE INT_R TILEPROP INT_R_X5Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y118 TILE_X -73374 TILEPROP INT_R_X5Y118 TILE_Y 140472 TILEPROP INT_R_X5Y118 TYPE INT_R TILEPROP INT_R_X5Y119 CLASS tile TILEPROP INT_R_X5Y119 COLUMN 16 TILEPROP INT_R_X5Y119 DEVICE_ID 0 TILEPROP INT_R_X5Y119 FIRST_SITE_ID 3177 TILEPROP INT_R_X5Y119 GRID_POINT_X 16 TILEPROP INT_R_X5Y119 GRID_POINT_Y 32 TILEPROP INT_R_X5Y119 INDEX 3696 TILEPROP INT_R_X5Y119 INT_TILE_X 5 TILEPROP INT_R_X5Y119 INT_TILE_Y 30 TILEPROP INT_R_X5Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y119 IS_DCM_TILE 0 TILEPROP INT_R_X5Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y119 NAME INT_R_X5Y119 TILEPROP INT_R_X5Y119 NUM_ARCS 3737 TILEPROP INT_R_X5Y119 NUM_SITES 1 TILEPROP INT_R_X5Y119 ROW 32 TILEPROP INT_R_X5Y119 SLR_REGION_ID 0 TILEPROP INT_R_X5Y119 TILE_PATTERN_IDX 4734 TILEPROP INT_R_X5Y119 TILE_TYPE INT_R TILEPROP INT_R_X5Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y119 TILE_X -73374 TILEPROP INT_R_X5Y119 TILE_Y 143672 TILEPROP INT_R_X5Y119 TYPE INT_R TILEPROP INT_R_X5Y120 CLASS tile TILEPROP INT_R_X5Y120 COLUMN 16 TILEPROP INT_R_X5Y120 DEVICE_ID 0 TILEPROP INT_R_X5Y120 FIRST_SITE_ID 3070 TILEPROP INT_R_X5Y120 GRID_POINT_X 16 TILEPROP INT_R_X5Y120 GRID_POINT_Y 31 TILEPROP INT_R_X5Y120 INDEX 3581 TILEPROP INT_R_X5Y120 INT_TILE_X 5 TILEPROP INT_R_X5Y120 INT_TILE_Y 29 TILEPROP INT_R_X5Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y120 IS_DCM_TILE 0 TILEPROP INT_R_X5Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y120 NAME INT_R_X5Y120 TILEPROP INT_R_X5Y120 NUM_ARCS 3737 TILEPROP INT_R_X5Y120 NUM_SITES 1 TILEPROP INT_R_X5Y120 ROW 31 TILEPROP INT_R_X5Y120 SLR_REGION_ID 0 TILEPROP INT_R_X5Y120 TILE_PATTERN_IDX 4697 TILEPROP INT_R_X5Y120 TILE_TYPE INT_R TILEPROP INT_R_X5Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y120 TILE_X -73374 TILEPROP INT_R_X5Y120 TILE_Y 146872 TILEPROP INT_R_X5Y120 TYPE INT_R TILEPROP INT_R_X5Y121 CLASS tile TILEPROP INT_R_X5Y121 COLUMN 16 TILEPROP INT_R_X5Y121 DEVICE_ID 0 TILEPROP INT_R_X5Y121 FIRST_SITE_ID 2982 TILEPROP INT_R_X5Y121 GRID_POINT_X 16 TILEPROP INT_R_X5Y121 GRID_POINT_Y 30 TILEPROP INT_R_X5Y121 INDEX 3466 TILEPROP INT_R_X5Y121 INT_TILE_X 5 TILEPROP INT_R_X5Y121 INT_TILE_Y 28 TILEPROP INT_R_X5Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y121 IS_DCM_TILE 0 TILEPROP INT_R_X5Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y121 NAME INT_R_X5Y121 TILEPROP INT_R_X5Y121 NUM_ARCS 3737 TILEPROP INT_R_X5Y121 NUM_SITES 1 TILEPROP INT_R_X5Y121 ROW 30 TILEPROP INT_R_X5Y121 SLR_REGION_ID 0 TILEPROP INT_R_X5Y121 TILE_PATTERN_IDX 4661 TILEPROP INT_R_X5Y121 TILE_TYPE INT_R TILEPROP INT_R_X5Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y121 TILE_X -73374 TILEPROP INT_R_X5Y121 TILE_Y 150072 TILEPROP INT_R_X5Y121 TYPE INT_R TILEPROP INT_R_X5Y122 CLASS tile TILEPROP INT_R_X5Y122 COLUMN 16 TILEPROP INT_R_X5Y122 DEVICE_ID 0 TILEPROP INT_R_X5Y122 FIRST_SITE_ID 2879 TILEPROP INT_R_X5Y122 GRID_POINT_X 16 TILEPROP INT_R_X5Y122 GRID_POINT_Y 29 TILEPROP INT_R_X5Y122 INDEX 3351 TILEPROP INT_R_X5Y122 INT_TILE_X 5 TILEPROP INT_R_X5Y122 INT_TILE_Y 27 TILEPROP INT_R_X5Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y122 IS_DCM_TILE 0 TILEPROP INT_R_X5Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y122 NAME INT_R_X5Y122 TILEPROP INT_R_X5Y122 NUM_ARCS 3737 TILEPROP INT_R_X5Y122 NUM_SITES 1 TILEPROP INT_R_X5Y122 ROW 29 TILEPROP INT_R_X5Y122 SLR_REGION_ID 0 TILEPROP INT_R_X5Y122 TILE_PATTERN_IDX 4623 TILEPROP INT_R_X5Y122 TILE_TYPE INT_R TILEPROP INT_R_X5Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y122 TILE_X -73374 TILEPROP INT_R_X5Y122 TILE_Y 153272 TILEPROP INT_R_X5Y122 TYPE INT_R TILEPROP INT_R_X5Y123 CLASS tile TILEPROP INT_R_X5Y123 COLUMN 16 TILEPROP INT_R_X5Y123 DEVICE_ID 0 TILEPROP INT_R_X5Y123 FIRST_SITE_ID 2791 TILEPROP INT_R_X5Y123 GRID_POINT_X 16 TILEPROP INT_R_X5Y123 GRID_POINT_Y 28 TILEPROP INT_R_X5Y123 INDEX 3236 TILEPROP INT_R_X5Y123 INT_TILE_X 5 TILEPROP INT_R_X5Y123 INT_TILE_Y 26 TILEPROP INT_R_X5Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y123 IS_DCM_TILE 0 TILEPROP INT_R_X5Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y123 NAME INT_R_X5Y123 TILEPROP INT_R_X5Y123 NUM_ARCS 3737 TILEPROP INT_R_X5Y123 NUM_SITES 1 TILEPROP INT_R_X5Y123 ROW 28 TILEPROP INT_R_X5Y123 SLR_REGION_ID 0 TILEPROP INT_R_X5Y123 TILE_PATTERN_IDX 4587 TILEPROP INT_R_X5Y123 TILE_TYPE INT_R TILEPROP INT_R_X5Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y123 TILE_X -73374 TILEPROP INT_R_X5Y123 TILE_Y 156472 TILEPROP INT_R_X5Y123 TYPE INT_R TILEPROP INT_R_X5Y124 CLASS tile TILEPROP INT_R_X5Y124 COLUMN 16 TILEPROP INT_R_X5Y124 DEVICE_ID 0 TILEPROP INT_R_X5Y124 FIRST_SITE_ID 2695 TILEPROP INT_R_X5Y124 GRID_POINT_X 16 TILEPROP INT_R_X5Y124 GRID_POINT_Y 27 TILEPROP INT_R_X5Y124 INDEX 3121 TILEPROP INT_R_X5Y124 INT_TILE_X 5 TILEPROP INT_R_X5Y124 INT_TILE_Y 25 TILEPROP INT_R_X5Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y124 IS_DCM_TILE 0 TILEPROP INT_R_X5Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y124 NAME INT_R_X5Y124 TILEPROP INT_R_X5Y124 NUM_ARCS 3737 TILEPROP INT_R_X5Y124 NUM_SITES 1 TILEPROP INT_R_X5Y124 ROW 27 TILEPROP INT_R_X5Y124 SLR_REGION_ID 0 TILEPROP INT_R_X5Y124 TILE_PATTERN_IDX 4550 TILEPROP INT_R_X5Y124 TILE_TYPE INT_R TILEPROP INT_R_X5Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y124 TILE_X -73374 TILEPROP INT_R_X5Y124 TILE_Y 159672 TILEPROP INT_R_X5Y124 TYPE INT_R TILEPROP INT_R_X5Y125 CLASS tile TILEPROP INT_R_X5Y125 COLUMN 16 TILEPROP INT_R_X5Y125 DEVICE_ID 0 TILEPROP INT_R_X5Y125 FIRST_SITE_ID 2516 TILEPROP INT_R_X5Y125 GRID_POINT_X 16 TILEPROP INT_R_X5Y125 GRID_POINT_Y 25 TILEPROP INT_R_X5Y125 INDEX 2891 TILEPROP INT_R_X5Y125 INT_TILE_X 5 TILEPROP INT_R_X5Y125 INT_TILE_Y 24 TILEPROP INT_R_X5Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y125 IS_DCM_TILE 0 TILEPROP INT_R_X5Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y125 NAME INT_R_X5Y125 TILEPROP INT_R_X5Y125 NUM_ARCS 3737 TILEPROP INT_R_X5Y125 NUM_SITES 1 TILEPROP INT_R_X5Y125 ROW 25 TILEPROP INT_R_X5Y125 SLR_REGION_ID 0 TILEPROP INT_R_X5Y125 TILE_PATTERN_IDX 4471 TILEPROP INT_R_X5Y125 TILE_TYPE INT_R TILEPROP INT_R_X5Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y125 TILE_X -73374 TILEPROP INT_R_X5Y125 TILE_Y 163896 TILEPROP INT_R_X5Y125 TYPE INT_R TILEPROP INT_R_X5Y126 CLASS tile TILEPROP INT_R_X5Y126 COLUMN 16 TILEPROP INT_R_X5Y126 DEVICE_ID 0 TILEPROP INT_R_X5Y126 FIRST_SITE_ID 2412 TILEPROP INT_R_X5Y126 GRID_POINT_X 16 TILEPROP INT_R_X5Y126 GRID_POINT_Y 24 TILEPROP INT_R_X5Y126 INDEX 2776 TILEPROP INT_R_X5Y126 INT_TILE_X 5 TILEPROP INT_R_X5Y126 INT_TILE_Y 23 TILEPROP INT_R_X5Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y126 IS_DCM_TILE 0 TILEPROP INT_R_X5Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y126 NAME INT_R_X5Y126 TILEPROP INT_R_X5Y126 NUM_ARCS 3737 TILEPROP INT_R_X5Y126 NUM_SITES 1 TILEPROP INT_R_X5Y126 ROW 24 TILEPROP INT_R_X5Y126 SLR_REGION_ID 0 TILEPROP INT_R_X5Y126 TILE_PATTERN_IDX 4432 TILEPROP INT_R_X5Y126 TILE_TYPE INT_R TILEPROP INT_R_X5Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y126 TILE_X -73374 TILEPROP INT_R_X5Y126 TILE_Y 167096 TILEPROP INT_R_X5Y126 TYPE INT_R TILEPROP INT_R_X5Y127 CLASS tile TILEPROP INT_R_X5Y127 COLUMN 16 TILEPROP INT_R_X5Y127 DEVICE_ID 0 TILEPROP INT_R_X5Y127 FIRST_SITE_ID 2316 TILEPROP INT_R_X5Y127 GRID_POINT_X 16 TILEPROP INT_R_X5Y127 GRID_POINT_Y 23 TILEPROP INT_R_X5Y127 INDEX 2661 TILEPROP INT_R_X5Y127 INT_TILE_X 5 TILEPROP INT_R_X5Y127 INT_TILE_Y 22 TILEPROP INT_R_X5Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y127 IS_DCM_TILE 0 TILEPROP INT_R_X5Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y127 NAME INT_R_X5Y127 TILEPROP INT_R_X5Y127 NUM_ARCS 3737 TILEPROP INT_R_X5Y127 NUM_SITES 1 TILEPROP INT_R_X5Y127 ROW 23 TILEPROP INT_R_X5Y127 SLR_REGION_ID 0 TILEPROP INT_R_X5Y127 TILE_PATTERN_IDX 4394 TILEPROP INT_R_X5Y127 TILE_TYPE INT_R TILEPROP INT_R_X5Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y127 TILE_X -73374 TILEPROP INT_R_X5Y127 TILE_Y 170296 TILEPROP INT_R_X5Y127 TYPE INT_R TILEPROP INT_R_X5Y128 CLASS tile TILEPROP INT_R_X5Y128 COLUMN 16 TILEPROP INT_R_X5Y128 DEVICE_ID 0 TILEPROP INT_R_X5Y128 FIRST_SITE_ID 2212 TILEPROP INT_R_X5Y128 GRID_POINT_X 16 TILEPROP INT_R_X5Y128 GRID_POINT_Y 22 TILEPROP INT_R_X5Y128 INDEX 2546 TILEPROP INT_R_X5Y128 INT_TILE_X 5 TILEPROP INT_R_X5Y128 INT_TILE_Y 21 TILEPROP INT_R_X5Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y128 IS_DCM_TILE 0 TILEPROP INT_R_X5Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y128 NAME INT_R_X5Y128 TILEPROP INT_R_X5Y128 NUM_ARCS 3737 TILEPROP INT_R_X5Y128 NUM_SITES 1 TILEPROP INT_R_X5Y128 ROW 22 TILEPROP INT_R_X5Y128 SLR_REGION_ID 0 TILEPROP INT_R_X5Y128 TILE_PATTERN_IDX 4355 TILEPROP INT_R_X5Y128 TILE_TYPE INT_R TILEPROP INT_R_X5Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y128 TILE_X -73374 TILEPROP INT_R_X5Y128 TILE_Y 173496 TILEPROP INT_R_X5Y128 TYPE INT_R TILEPROP INT_R_X5Y129 CLASS tile TILEPROP INT_R_X5Y129 COLUMN 16 TILEPROP INT_R_X5Y129 DEVICE_ID 0 TILEPROP INT_R_X5Y129 FIRST_SITE_ID 2116 TILEPROP INT_R_X5Y129 GRID_POINT_X 16 TILEPROP INT_R_X5Y129 GRID_POINT_Y 21 TILEPROP INT_R_X5Y129 INDEX 2431 TILEPROP INT_R_X5Y129 INT_TILE_X 5 TILEPROP INT_R_X5Y129 INT_TILE_Y 20 TILEPROP INT_R_X5Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y129 IS_DCM_TILE 0 TILEPROP INT_R_X5Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y129 NAME INT_R_X5Y129 TILEPROP INT_R_X5Y129 NUM_ARCS 3737 TILEPROP INT_R_X5Y129 NUM_SITES 1 TILEPROP INT_R_X5Y129 ROW 21 TILEPROP INT_R_X5Y129 SLR_REGION_ID 0 TILEPROP INT_R_X5Y129 TILE_PATTERN_IDX 4317 TILEPROP INT_R_X5Y129 TILE_TYPE INT_R TILEPROP INT_R_X5Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y129 TILE_X -73374 TILEPROP INT_R_X5Y129 TILE_Y 176696 TILEPROP INT_R_X5Y129 TYPE INT_R TILEPROP INT_R_X5Y130 CLASS tile TILEPROP INT_R_X5Y130 COLUMN 16 TILEPROP INT_R_X5Y130 DEVICE_ID 0 TILEPROP INT_R_X5Y130 FIRST_SITE_ID 1994 TILEPROP INT_R_X5Y130 GRID_POINT_X 16 TILEPROP INT_R_X5Y130 GRID_POINT_Y 20 TILEPROP INT_R_X5Y130 INDEX 2316 TILEPROP INT_R_X5Y130 INT_TILE_X 5 TILEPROP INT_R_X5Y130 INT_TILE_Y 19 TILEPROP INT_R_X5Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y130 IS_DCM_TILE 0 TILEPROP INT_R_X5Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y130 NAME INT_R_X5Y130 TILEPROP INT_R_X5Y130 NUM_ARCS 3737 TILEPROP INT_R_X5Y130 NUM_SITES 1 TILEPROP INT_R_X5Y130 ROW 20 TILEPROP INT_R_X5Y130 SLR_REGION_ID 0 TILEPROP INT_R_X5Y130 TILE_PATTERN_IDX 4277 TILEPROP INT_R_X5Y130 TILE_TYPE INT_R TILEPROP INT_R_X5Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y130 TILE_X -73374 TILEPROP INT_R_X5Y130 TILE_Y 179896 TILEPROP INT_R_X5Y130 TYPE INT_R TILEPROP INT_R_X5Y131 CLASS tile TILEPROP INT_R_X5Y131 COLUMN 16 TILEPROP INT_R_X5Y131 DEVICE_ID 0 TILEPROP INT_R_X5Y131 FIRST_SITE_ID 1898 TILEPROP INT_R_X5Y131 GRID_POINT_X 16 TILEPROP INT_R_X5Y131 GRID_POINT_Y 19 TILEPROP INT_R_X5Y131 INDEX 2201 TILEPROP INT_R_X5Y131 INT_TILE_X 5 TILEPROP INT_R_X5Y131 INT_TILE_Y 18 TILEPROP INT_R_X5Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y131 IS_DCM_TILE 0 TILEPROP INT_R_X5Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y131 NAME INT_R_X5Y131 TILEPROP INT_R_X5Y131 NUM_ARCS 3737 TILEPROP INT_R_X5Y131 NUM_SITES 1 TILEPROP INT_R_X5Y131 ROW 19 TILEPROP INT_R_X5Y131 SLR_REGION_ID 0 TILEPROP INT_R_X5Y131 TILE_PATTERN_IDX 4239 TILEPROP INT_R_X5Y131 TILE_TYPE INT_R TILEPROP INT_R_X5Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y131 TILE_X -73374 TILEPROP INT_R_X5Y131 TILE_Y 183096 TILEPROP INT_R_X5Y131 TYPE INT_R TILEPROP INT_R_X5Y132 CLASS tile TILEPROP INT_R_X5Y132 COLUMN 16 TILEPROP INT_R_X5Y132 DEVICE_ID 0 TILEPROP INT_R_X5Y132 FIRST_SITE_ID 1792 TILEPROP INT_R_X5Y132 GRID_POINT_X 16 TILEPROP INT_R_X5Y132 GRID_POINT_Y 18 TILEPROP INT_R_X5Y132 INDEX 2086 TILEPROP INT_R_X5Y132 INT_TILE_X 5 TILEPROP INT_R_X5Y132 INT_TILE_Y 17 TILEPROP INT_R_X5Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y132 IS_DCM_TILE 0 TILEPROP INT_R_X5Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y132 NAME INT_R_X5Y132 TILEPROP INT_R_X5Y132 NUM_ARCS 3737 TILEPROP INT_R_X5Y132 NUM_SITES 1 TILEPROP INT_R_X5Y132 ROW 18 TILEPROP INT_R_X5Y132 SLR_REGION_ID 0 TILEPROP INT_R_X5Y132 TILE_PATTERN_IDX 4200 TILEPROP INT_R_X5Y132 TILE_TYPE INT_R TILEPROP INT_R_X5Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y132 TILE_X -73374 TILEPROP INT_R_X5Y132 TILE_Y 186296 TILEPROP INT_R_X5Y132 TYPE INT_R TILEPROP INT_R_X5Y133 CLASS tile TILEPROP INT_R_X5Y133 COLUMN 16 TILEPROP INT_R_X5Y133 DEVICE_ID 0 TILEPROP INT_R_X5Y133 FIRST_SITE_ID 1691 TILEPROP INT_R_X5Y133 GRID_POINT_X 16 TILEPROP INT_R_X5Y133 GRID_POINT_Y 17 TILEPROP INT_R_X5Y133 INDEX 1971 TILEPROP INT_R_X5Y133 INT_TILE_X 5 TILEPROP INT_R_X5Y133 INT_TILE_Y 16 TILEPROP INT_R_X5Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y133 IS_DCM_TILE 0 TILEPROP INT_R_X5Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y133 NAME INT_R_X5Y133 TILEPROP INT_R_X5Y133 NUM_ARCS 3737 TILEPROP INT_R_X5Y133 NUM_SITES 1 TILEPROP INT_R_X5Y133 ROW 17 TILEPROP INT_R_X5Y133 SLR_REGION_ID 0 TILEPROP INT_R_X5Y133 TILE_PATTERN_IDX 4162 TILEPROP INT_R_X5Y133 TILE_TYPE INT_R TILEPROP INT_R_X5Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y133 TILE_X -73374 TILEPROP INT_R_X5Y133 TILE_Y 189496 TILEPROP INT_R_X5Y133 TYPE INT_R TILEPROP INT_R_X5Y134 CLASS tile TILEPROP INT_R_X5Y134 COLUMN 16 TILEPROP INT_R_X5Y134 DEVICE_ID 0 TILEPROP INT_R_X5Y134 FIRST_SITE_ID 1587 TILEPROP INT_R_X5Y134 GRID_POINT_X 16 TILEPROP INT_R_X5Y134 GRID_POINT_Y 16 TILEPROP INT_R_X5Y134 INDEX 1856 TILEPROP INT_R_X5Y134 INT_TILE_X 5 TILEPROP INT_R_X5Y134 INT_TILE_Y 15 TILEPROP INT_R_X5Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y134 IS_DCM_TILE 0 TILEPROP INT_R_X5Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y134 NAME INT_R_X5Y134 TILEPROP INT_R_X5Y134 NUM_ARCS 3737 TILEPROP INT_R_X5Y134 NUM_SITES 1 TILEPROP INT_R_X5Y134 ROW 16 TILEPROP INT_R_X5Y134 SLR_REGION_ID 0 TILEPROP INT_R_X5Y134 TILE_PATTERN_IDX 4123 TILEPROP INT_R_X5Y134 TILE_TYPE INT_R TILEPROP INT_R_X5Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y134 TILE_X -73374 TILEPROP INT_R_X5Y134 TILE_Y 192696 TILEPROP INT_R_X5Y134 TYPE INT_R TILEPROP INT_R_X5Y135 CLASS tile TILEPROP INT_R_X5Y135 COLUMN 16 TILEPROP INT_R_X5Y135 DEVICE_ID 0 TILEPROP INT_R_X5Y135 FIRST_SITE_ID 1479 TILEPROP INT_R_X5Y135 GRID_POINT_X 16 TILEPROP INT_R_X5Y135 GRID_POINT_Y 15 TILEPROP INT_R_X5Y135 INDEX 1741 TILEPROP INT_R_X5Y135 INT_TILE_X 5 TILEPROP INT_R_X5Y135 INT_TILE_Y 14 TILEPROP INT_R_X5Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y135 IS_DCM_TILE 0 TILEPROP INT_R_X5Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y135 NAME INT_R_X5Y135 TILEPROP INT_R_X5Y135 NUM_ARCS 3737 TILEPROP INT_R_X5Y135 NUM_SITES 1 TILEPROP INT_R_X5Y135 ROW 15 TILEPROP INT_R_X5Y135 SLR_REGION_ID 0 TILEPROP INT_R_X5Y135 TILE_PATTERN_IDX 4085 TILEPROP INT_R_X5Y135 TILE_TYPE INT_R TILEPROP INT_R_X5Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y135 TILE_X -73374 TILEPROP INT_R_X5Y135 TILE_Y 195896 TILEPROP INT_R_X5Y135 TYPE INT_R TILEPROP INT_R_X5Y136 CLASS tile TILEPROP INT_R_X5Y136 COLUMN 16 TILEPROP INT_R_X5Y136 DEVICE_ID 0 TILEPROP INT_R_X5Y136 FIRST_SITE_ID 1343 TILEPROP INT_R_X5Y136 GRID_POINT_X 16 TILEPROP INT_R_X5Y136 GRID_POINT_Y 14 TILEPROP INT_R_X5Y136 INDEX 1626 TILEPROP INT_R_X5Y136 INT_TILE_X 5 TILEPROP INT_R_X5Y136 INT_TILE_Y 13 TILEPROP INT_R_X5Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y136 IS_DCM_TILE 0 TILEPROP INT_R_X5Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y136 NAME INT_R_X5Y136 TILEPROP INT_R_X5Y136 NUM_ARCS 3737 TILEPROP INT_R_X5Y136 NUM_SITES 1 TILEPROP INT_R_X5Y136 ROW 14 TILEPROP INT_R_X5Y136 SLR_REGION_ID 0 TILEPROP INT_R_X5Y136 TILE_PATTERN_IDX 4046 TILEPROP INT_R_X5Y136 TILE_TYPE INT_R TILEPROP INT_R_X5Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y136 TILE_X -73374 TILEPROP INT_R_X5Y136 TILE_Y 199096 TILEPROP INT_R_X5Y136 TYPE INT_R TILEPROP INT_R_X5Y137 CLASS tile TILEPROP INT_R_X5Y137 COLUMN 16 TILEPROP INT_R_X5Y137 DEVICE_ID 0 TILEPROP INT_R_X5Y137 FIRST_SITE_ID 1247 TILEPROP INT_R_X5Y137 GRID_POINT_X 16 TILEPROP INT_R_X5Y137 GRID_POINT_Y 13 TILEPROP INT_R_X5Y137 INDEX 1511 TILEPROP INT_R_X5Y137 INT_TILE_X 5 TILEPROP INT_R_X5Y137 INT_TILE_Y 12 TILEPROP INT_R_X5Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y137 IS_DCM_TILE 0 TILEPROP INT_R_X5Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y137 NAME INT_R_X5Y137 TILEPROP INT_R_X5Y137 NUM_ARCS 3737 TILEPROP INT_R_X5Y137 NUM_SITES 1 TILEPROP INT_R_X5Y137 ROW 13 TILEPROP INT_R_X5Y137 SLR_REGION_ID 0 TILEPROP INT_R_X5Y137 TILE_PATTERN_IDX 4008 TILEPROP INT_R_X5Y137 TILE_TYPE INT_R TILEPROP INT_R_X5Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y137 TILE_X -73374 TILEPROP INT_R_X5Y137 TILE_Y 202296 TILEPROP INT_R_X5Y137 TYPE INT_R TILEPROP INT_R_X5Y138 CLASS tile TILEPROP INT_R_X5Y138 COLUMN 16 TILEPROP INT_R_X5Y138 DEVICE_ID 0 TILEPROP INT_R_X5Y138 FIRST_SITE_ID 1143 TILEPROP INT_R_X5Y138 GRID_POINT_X 16 TILEPROP INT_R_X5Y138 GRID_POINT_Y 12 TILEPROP INT_R_X5Y138 INDEX 1396 TILEPROP INT_R_X5Y138 INT_TILE_X 5 TILEPROP INT_R_X5Y138 INT_TILE_Y 11 TILEPROP INT_R_X5Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y138 IS_DCM_TILE 0 TILEPROP INT_R_X5Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y138 NAME INT_R_X5Y138 TILEPROP INT_R_X5Y138 NUM_ARCS 3737 TILEPROP INT_R_X5Y138 NUM_SITES 1 TILEPROP INT_R_X5Y138 ROW 12 TILEPROP INT_R_X5Y138 SLR_REGION_ID 0 TILEPROP INT_R_X5Y138 TILE_PATTERN_IDX 3969 TILEPROP INT_R_X5Y138 TILE_TYPE INT_R TILEPROP INT_R_X5Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y138 TILE_X -73374 TILEPROP INT_R_X5Y138 TILE_Y 205496 TILEPROP INT_R_X5Y138 TYPE INT_R TILEPROP INT_R_X5Y139 CLASS tile TILEPROP INT_R_X5Y139 COLUMN 16 TILEPROP INT_R_X5Y139 DEVICE_ID 0 TILEPROP INT_R_X5Y139 FIRST_SITE_ID 1047 TILEPROP INT_R_X5Y139 GRID_POINT_X 16 TILEPROP INT_R_X5Y139 GRID_POINT_Y 11 TILEPROP INT_R_X5Y139 INDEX 1281 TILEPROP INT_R_X5Y139 INT_TILE_X 5 TILEPROP INT_R_X5Y139 INT_TILE_Y 10 TILEPROP INT_R_X5Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y139 IS_DCM_TILE 0 TILEPROP INT_R_X5Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y139 NAME INT_R_X5Y139 TILEPROP INT_R_X5Y139 NUM_ARCS 3737 TILEPROP INT_R_X5Y139 NUM_SITES 1 TILEPROP INT_R_X5Y139 ROW 11 TILEPROP INT_R_X5Y139 SLR_REGION_ID 0 TILEPROP INT_R_X5Y139 TILE_PATTERN_IDX 3931 TILEPROP INT_R_X5Y139 TILE_TYPE INT_R TILEPROP INT_R_X5Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y139 TILE_X -73374 TILEPROP INT_R_X5Y139 TILE_Y 208696 TILEPROP INT_R_X5Y139 TYPE INT_R TILEPROP INT_R_X5Y140 CLASS tile TILEPROP INT_R_X5Y140 COLUMN 16 TILEPROP INT_R_X5Y140 DEVICE_ID 0 TILEPROP INT_R_X5Y140 FIRST_SITE_ID 931 TILEPROP INT_R_X5Y140 GRID_POINT_X 16 TILEPROP INT_R_X5Y140 GRID_POINT_Y 10 TILEPROP INT_R_X5Y140 INDEX 1166 TILEPROP INT_R_X5Y140 INT_TILE_X 5 TILEPROP INT_R_X5Y140 INT_TILE_Y 9 TILEPROP INT_R_X5Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y140 IS_DCM_TILE 0 TILEPROP INT_R_X5Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y140 NAME INT_R_X5Y140 TILEPROP INT_R_X5Y140 NUM_ARCS 3737 TILEPROP INT_R_X5Y140 NUM_SITES 1 TILEPROP INT_R_X5Y140 ROW 10 TILEPROP INT_R_X5Y140 SLR_REGION_ID 0 TILEPROP INT_R_X5Y140 TILE_PATTERN_IDX 3892 TILEPROP INT_R_X5Y140 TILE_TYPE INT_R TILEPROP INT_R_X5Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y140 TILE_X -73374 TILEPROP INT_R_X5Y140 TILE_Y 211896 TILEPROP INT_R_X5Y140 TYPE INT_R TILEPROP INT_R_X5Y141 CLASS tile TILEPROP INT_R_X5Y141 COLUMN 16 TILEPROP INT_R_X5Y141 DEVICE_ID 0 TILEPROP INT_R_X5Y141 FIRST_SITE_ID 835 TILEPROP INT_R_X5Y141 GRID_POINT_X 16 TILEPROP INT_R_X5Y141 GRID_POINT_Y 9 TILEPROP INT_R_X5Y141 INDEX 1051 TILEPROP INT_R_X5Y141 INT_TILE_X 5 TILEPROP INT_R_X5Y141 INT_TILE_Y 8 TILEPROP INT_R_X5Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y141 IS_DCM_TILE 0 TILEPROP INT_R_X5Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y141 NAME INT_R_X5Y141 TILEPROP INT_R_X5Y141 NUM_ARCS 3737 TILEPROP INT_R_X5Y141 NUM_SITES 1 TILEPROP INT_R_X5Y141 ROW 9 TILEPROP INT_R_X5Y141 SLR_REGION_ID 0 TILEPROP INT_R_X5Y141 TILE_PATTERN_IDX 3854 TILEPROP INT_R_X5Y141 TILE_TYPE INT_R TILEPROP INT_R_X5Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y141 TILE_X -73374 TILEPROP INT_R_X5Y141 TILE_Y 215096 TILEPROP INT_R_X5Y141 TYPE INT_R TILEPROP INT_R_X5Y142 CLASS tile TILEPROP INT_R_X5Y142 COLUMN 16 TILEPROP INT_R_X5Y142 DEVICE_ID 0 TILEPROP INT_R_X5Y142 FIRST_SITE_ID 731 TILEPROP INT_R_X5Y142 GRID_POINT_X 16 TILEPROP INT_R_X5Y142 GRID_POINT_Y 8 TILEPROP INT_R_X5Y142 INDEX 936 TILEPROP INT_R_X5Y142 INT_TILE_X 5 TILEPROP INT_R_X5Y142 INT_TILE_Y 7 TILEPROP INT_R_X5Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y142 IS_DCM_TILE 0 TILEPROP INT_R_X5Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y142 NAME INT_R_X5Y142 TILEPROP INT_R_X5Y142 NUM_ARCS 3737 TILEPROP INT_R_X5Y142 NUM_SITES 1 TILEPROP INT_R_X5Y142 ROW 8 TILEPROP INT_R_X5Y142 SLR_REGION_ID 0 TILEPROP INT_R_X5Y142 TILE_PATTERN_IDX 3815 TILEPROP INT_R_X5Y142 TILE_TYPE INT_R TILEPROP INT_R_X5Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y142 TILE_X -73374 TILEPROP INT_R_X5Y142 TILE_Y 218296 TILEPROP INT_R_X5Y142 TYPE INT_R TILEPROP INT_R_X5Y143 CLASS tile TILEPROP INT_R_X5Y143 COLUMN 16 TILEPROP INT_R_X5Y143 DEVICE_ID 0 TILEPROP INT_R_X5Y143 FIRST_SITE_ID 634 TILEPROP INT_R_X5Y143 GRID_POINT_X 16 TILEPROP INT_R_X5Y143 GRID_POINT_Y 7 TILEPROP INT_R_X5Y143 INDEX 821 TILEPROP INT_R_X5Y143 INT_TILE_X 5 TILEPROP INT_R_X5Y143 INT_TILE_Y 6 TILEPROP INT_R_X5Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y143 IS_DCM_TILE 0 TILEPROP INT_R_X5Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y143 NAME INT_R_X5Y143 TILEPROP INT_R_X5Y143 NUM_ARCS 3737 TILEPROP INT_R_X5Y143 NUM_SITES 1 TILEPROP INT_R_X5Y143 ROW 7 TILEPROP INT_R_X5Y143 SLR_REGION_ID 0 TILEPROP INT_R_X5Y143 TILE_PATTERN_IDX 3777 TILEPROP INT_R_X5Y143 TILE_TYPE INT_R TILEPROP INT_R_X5Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y143 TILE_X -73374 TILEPROP INT_R_X5Y143 TILE_Y 221496 TILEPROP INT_R_X5Y143 TYPE INT_R TILEPROP INT_R_X5Y144 CLASS tile TILEPROP INT_R_X5Y144 COLUMN 16 TILEPROP INT_R_X5Y144 DEVICE_ID 0 TILEPROP INT_R_X5Y144 FIRST_SITE_ID 523 TILEPROP INT_R_X5Y144 GRID_POINT_X 16 TILEPROP INT_R_X5Y144 GRID_POINT_Y 6 TILEPROP INT_R_X5Y144 INDEX 706 TILEPROP INT_R_X5Y144 INT_TILE_X 5 TILEPROP INT_R_X5Y144 INT_TILE_Y 5 TILEPROP INT_R_X5Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y144 IS_DCM_TILE 0 TILEPROP INT_R_X5Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y144 NAME INT_R_X5Y144 TILEPROP INT_R_X5Y144 NUM_ARCS 3737 TILEPROP INT_R_X5Y144 NUM_SITES 1 TILEPROP INT_R_X5Y144 ROW 6 TILEPROP INT_R_X5Y144 SLR_REGION_ID 0 TILEPROP INT_R_X5Y144 TILE_PATTERN_IDX 3738 TILEPROP INT_R_X5Y144 TILE_TYPE INT_R TILEPROP INT_R_X5Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y144 TILE_X -73374 TILEPROP INT_R_X5Y144 TILE_Y 224696 TILEPROP INT_R_X5Y144 TYPE INT_R TILEPROP INT_R_X5Y145 CLASS tile TILEPROP INT_R_X5Y145 COLUMN 16 TILEPROP INT_R_X5Y145 DEVICE_ID 0 TILEPROP INT_R_X5Y145 FIRST_SITE_ID 415 TILEPROP INT_R_X5Y145 GRID_POINT_X 16 TILEPROP INT_R_X5Y145 GRID_POINT_Y 5 TILEPROP INT_R_X5Y145 INDEX 591 TILEPROP INT_R_X5Y145 INT_TILE_X 5 TILEPROP INT_R_X5Y145 INT_TILE_Y 4 TILEPROP INT_R_X5Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y145 IS_DCM_TILE 0 TILEPROP INT_R_X5Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y145 NAME INT_R_X5Y145 TILEPROP INT_R_X5Y145 NUM_ARCS 3737 TILEPROP INT_R_X5Y145 NUM_SITES 1 TILEPROP INT_R_X5Y145 ROW 5 TILEPROP INT_R_X5Y145 SLR_REGION_ID 0 TILEPROP INT_R_X5Y145 TILE_PATTERN_IDX 3700 TILEPROP INT_R_X5Y145 TILE_TYPE INT_R TILEPROP INT_R_X5Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y145 TILE_X -73374 TILEPROP INT_R_X5Y145 TILE_Y 227896 TILEPROP INT_R_X5Y145 TYPE INT_R TILEPROP INT_R_X5Y146 CLASS tile TILEPROP INT_R_X5Y146 COLUMN 16 TILEPROP INT_R_X5Y146 DEVICE_ID 0 TILEPROP INT_R_X5Y146 FIRST_SITE_ID 311 TILEPROP INT_R_X5Y146 GRID_POINT_X 16 TILEPROP INT_R_X5Y146 GRID_POINT_Y 4 TILEPROP INT_R_X5Y146 INDEX 476 TILEPROP INT_R_X5Y146 INT_TILE_X 5 TILEPROP INT_R_X5Y146 INT_TILE_Y 3 TILEPROP INT_R_X5Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y146 IS_DCM_TILE 0 TILEPROP INT_R_X5Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y146 NAME INT_R_X5Y146 TILEPROP INT_R_X5Y146 NUM_ARCS 3737 TILEPROP INT_R_X5Y146 NUM_SITES 1 TILEPROP INT_R_X5Y146 ROW 4 TILEPROP INT_R_X5Y146 SLR_REGION_ID 0 TILEPROP INT_R_X5Y146 TILE_PATTERN_IDX 3661 TILEPROP INT_R_X5Y146 TILE_TYPE INT_R TILEPROP INT_R_X5Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y146 TILE_X -73374 TILEPROP INT_R_X5Y146 TILE_Y 231096 TILEPROP INT_R_X5Y146 TYPE INT_R TILEPROP INT_R_X5Y147 CLASS tile TILEPROP INT_R_X5Y147 COLUMN 16 TILEPROP INT_R_X5Y147 DEVICE_ID 0 TILEPROP INT_R_X5Y147 FIRST_SITE_ID 215 TILEPROP INT_R_X5Y147 GRID_POINT_X 16 TILEPROP INT_R_X5Y147 GRID_POINT_Y 3 TILEPROP INT_R_X5Y147 INDEX 361 TILEPROP INT_R_X5Y147 INT_TILE_X 5 TILEPROP INT_R_X5Y147 INT_TILE_Y 2 TILEPROP INT_R_X5Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y147 IS_DCM_TILE 0 TILEPROP INT_R_X5Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y147 NAME INT_R_X5Y147 TILEPROP INT_R_X5Y147 NUM_ARCS 3737 TILEPROP INT_R_X5Y147 NUM_SITES 1 TILEPROP INT_R_X5Y147 ROW 3 TILEPROP INT_R_X5Y147 SLR_REGION_ID 0 TILEPROP INT_R_X5Y147 TILE_PATTERN_IDX 3623 TILEPROP INT_R_X5Y147 TILE_TYPE INT_R TILEPROP INT_R_X5Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y147 TILE_X -73374 TILEPROP INT_R_X5Y147 TILE_Y 234296 TILEPROP INT_R_X5Y147 TYPE INT_R TILEPROP INT_R_X5Y148 CLASS tile TILEPROP INT_R_X5Y148 COLUMN 16 TILEPROP INT_R_X5Y148 DEVICE_ID 0 TILEPROP INT_R_X5Y148 FIRST_SITE_ID 111 TILEPROP INT_R_X5Y148 GRID_POINT_X 16 TILEPROP INT_R_X5Y148 GRID_POINT_Y 2 TILEPROP INT_R_X5Y148 INDEX 246 TILEPROP INT_R_X5Y148 INT_TILE_X 5 TILEPROP INT_R_X5Y148 INT_TILE_Y 1 TILEPROP INT_R_X5Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y148 IS_DCM_TILE 0 TILEPROP INT_R_X5Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y148 NAME INT_R_X5Y148 TILEPROP INT_R_X5Y148 NUM_ARCS 3737 TILEPROP INT_R_X5Y148 NUM_SITES 1 TILEPROP INT_R_X5Y148 ROW 2 TILEPROP INT_R_X5Y148 SLR_REGION_ID 0 TILEPROP INT_R_X5Y148 TILE_PATTERN_IDX 3584 TILEPROP INT_R_X5Y148 TILE_TYPE INT_R TILEPROP INT_R_X5Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y148 TILE_X -73374 TILEPROP INT_R_X5Y148 TILE_Y 237496 TILEPROP INT_R_X5Y148 TYPE INT_R TILEPROP INT_R_X5Y149 CLASS tile TILEPROP INT_R_X5Y149 COLUMN 16 TILEPROP INT_R_X5Y149 DEVICE_ID 0 TILEPROP INT_R_X5Y149 FIRST_SITE_ID 15 TILEPROP INT_R_X5Y149 GRID_POINT_X 16 TILEPROP INT_R_X5Y149 GRID_POINT_Y 1 TILEPROP INT_R_X5Y149 INDEX 131 TILEPROP INT_R_X5Y149 INT_TILE_X 5 TILEPROP INT_R_X5Y149 INT_TILE_Y 0 TILEPROP INT_R_X5Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X5Y149 IS_DCM_TILE 0 TILEPROP INT_R_X5Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X5Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X5Y149 NAME INT_R_X5Y149 TILEPROP INT_R_X5Y149 NUM_ARCS 3737 TILEPROP INT_R_X5Y149 NUM_SITES 1 TILEPROP INT_R_X5Y149 ROW 1 TILEPROP INT_R_X5Y149 SLR_REGION_ID 0 TILEPROP INT_R_X5Y149 TILE_PATTERN_IDX 3546 TILEPROP INT_R_X5Y149 TILE_TYPE INT_R TILEPROP INT_R_X5Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X5Y149 TILE_X -73374 TILEPROP INT_R_X5Y149 TILE_Y 240696 TILEPROP INT_R_X5Y149 TYPE INT_R TILEPROP INT_R_X7Y0 CLASS tile TILEPROP INT_R_X7Y0 COLUMN 22 TILEPROP INT_R_X7Y0 DEVICE_ID 0 TILEPROP INT_R_X7Y0 FIRST_SITE_ID 15724 TILEPROP INT_R_X7Y0 GRID_POINT_X 22 TILEPROP INT_R_X7Y0 GRID_POINT_Y 155 TILEPROP INT_R_X7Y0 INDEX 17847 TILEPROP INT_R_X7Y0 INT_TILE_X 7 TILEPROP INT_R_X7Y0 INT_TILE_Y 149 TILEPROP INT_R_X7Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y0 IS_DCM_TILE 0 TILEPROP INT_R_X7Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y0 NAME INT_R_X7Y0 TILEPROP INT_R_X7Y0 NUM_ARCS 3737 TILEPROP INT_R_X7Y0 NUM_SITES 1 TILEPROP INT_R_X7Y0 ROW 155 TILEPROP INT_R_X7Y0 SLR_REGION_ID 0 TILEPROP INT_R_X7Y0 TILE_PATTERN_IDX 8740 TILEPROP INT_R_X7Y0 TILE_TYPE INT_R TILEPROP INT_R_X7Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y0 TILE_X -61262 TILEPROP INT_R_X7Y0 TILE_Y -239672 TILEPROP INT_R_X7Y0 TYPE INT_R TILEPROP INT_R_X7Y1 CLASS tile TILEPROP INT_R_X7Y1 COLUMN 22 TILEPROP INT_R_X7Y1 DEVICE_ID 0 TILEPROP INT_R_X7Y1 FIRST_SITE_ID 15616 TILEPROP INT_R_X7Y1 GRID_POINT_X 22 TILEPROP INT_R_X7Y1 GRID_POINT_Y 154 TILEPROP INT_R_X7Y1 INDEX 17732 TILEPROP INT_R_X7Y1 INT_TILE_X 7 TILEPROP INT_R_X7Y1 INT_TILE_Y 148 TILEPROP INT_R_X7Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y1 IS_DCM_TILE 0 TILEPROP INT_R_X7Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y1 NAME INT_R_X7Y1 TILEPROP INT_R_X7Y1 NUM_ARCS 3737 TILEPROP INT_R_X7Y1 NUM_SITES 1 TILEPROP INT_R_X7Y1 ROW 154 TILEPROP INT_R_X7Y1 SLR_REGION_ID 0 TILEPROP INT_R_X7Y1 TILE_PATTERN_IDX 8709 TILEPROP INT_R_X7Y1 TILE_TYPE INT_R TILEPROP INT_R_X7Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y1 TILE_X -61262 TILEPROP INT_R_X7Y1 TILE_Y -236472 TILEPROP INT_R_X7Y1 TYPE INT_R TILEPROP INT_R_X7Y2 CLASS tile TILEPROP INT_R_X7Y2 COLUMN 22 TILEPROP INT_R_X7Y2 DEVICE_ID 0 TILEPROP INT_R_X7Y2 FIRST_SITE_ID 15516 TILEPROP INT_R_X7Y2 GRID_POINT_X 22 TILEPROP INT_R_X7Y2 GRID_POINT_Y 153 TILEPROP INT_R_X7Y2 INDEX 17617 TILEPROP INT_R_X7Y2 INT_TILE_X 7 TILEPROP INT_R_X7Y2 INT_TILE_Y 147 TILEPROP INT_R_X7Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y2 IS_DCM_TILE 0 TILEPROP INT_R_X7Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y2 NAME INT_R_X7Y2 TILEPROP INT_R_X7Y2 NUM_ARCS 3737 TILEPROP INT_R_X7Y2 NUM_SITES 1 TILEPROP INT_R_X7Y2 ROW 153 TILEPROP INT_R_X7Y2 SLR_REGION_ID 0 TILEPROP INT_R_X7Y2 TILE_PATTERN_IDX 8679 TILEPROP INT_R_X7Y2 TILE_TYPE INT_R TILEPROP INT_R_X7Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y2 TILE_X -61262 TILEPROP INT_R_X7Y2 TILE_Y -233272 TILEPROP INT_R_X7Y2 TYPE INT_R TILEPROP INT_R_X7Y3 CLASS tile TILEPROP INT_R_X7Y3 COLUMN 22 TILEPROP INT_R_X7Y3 DEVICE_ID 0 TILEPROP INT_R_X7Y3 FIRST_SITE_ID 15416 TILEPROP INT_R_X7Y3 GRID_POINT_X 22 TILEPROP INT_R_X7Y3 GRID_POINT_Y 152 TILEPROP INT_R_X7Y3 INDEX 17502 TILEPROP INT_R_X7Y3 INT_TILE_X 7 TILEPROP INT_R_X7Y3 INT_TILE_Y 146 TILEPROP INT_R_X7Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y3 IS_DCM_TILE 0 TILEPROP INT_R_X7Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y3 NAME INT_R_X7Y3 TILEPROP INT_R_X7Y3 NUM_ARCS 3737 TILEPROP INT_R_X7Y3 NUM_SITES 1 TILEPROP INT_R_X7Y3 ROW 152 TILEPROP INT_R_X7Y3 SLR_REGION_ID 0 TILEPROP INT_R_X7Y3 TILE_PATTERN_IDX 8648 TILEPROP INT_R_X7Y3 TILE_TYPE INT_R TILEPROP INT_R_X7Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y3 TILE_X -61262 TILEPROP INT_R_X7Y3 TILE_Y -230072 TILEPROP INT_R_X7Y3 TYPE INT_R TILEPROP INT_R_X7Y4 CLASS tile TILEPROP INT_R_X7Y4 COLUMN 22 TILEPROP INT_R_X7Y4 DEVICE_ID 0 TILEPROP INT_R_X7Y4 FIRST_SITE_ID 15316 TILEPROP INT_R_X7Y4 GRID_POINT_X 22 TILEPROP INT_R_X7Y4 GRID_POINT_Y 151 TILEPROP INT_R_X7Y4 INDEX 17387 TILEPROP INT_R_X7Y4 INT_TILE_X 7 TILEPROP INT_R_X7Y4 INT_TILE_Y 145 TILEPROP INT_R_X7Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y4 IS_DCM_TILE 0 TILEPROP INT_R_X7Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y4 NAME INT_R_X7Y4 TILEPROP INT_R_X7Y4 NUM_ARCS 3737 TILEPROP INT_R_X7Y4 NUM_SITES 1 TILEPROP INT_R_X7Y4 ROW 151 TILEPROP INT_R_X7Y4 SLR_REGION_ID 0 TILEPROP INT_R_X7Y4 TILE_PATTERN_IDX 8618 TILEPROP INT_R_X7Y4 TILE_TYPE INT_R TILEPROP INT_R_X7Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y4 TILE_X -61262 TILEPROP INT_R_X7Y4 TILE_Y -226872 TILEPROP INT_R_X7Y4 TYPE INT_R TILEPROP INT_R_X7Y5 CLASS tile TILEPROP INT_R_X7Y5 COLUMN 22 TILEPROP INT_R_X7Y5 DEVICE_ID 0 TILEPROP INT_R_X7Y5 FIRST_SITE_ID 15204 TILEPROP INT_R_X7Y5 GRID_POINT_X 22 TILEPROP INT_R_X7Y5 GRID_POINT_Y 150 TILEPROP INT_R_X7Y5 INDEX 17272 TILEPROP INT_R_X7Y5 INT_TILE_X 7 TILEPROP INT_R_X7Y5 INT_TILE_Y 144 TILEPROP INT_R_X7Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y5 IS_DCM_TILE 0 TILEPROP INT_R_X7Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y5 NAME INT_R_X7Y5 TILEPROP INT_R_X7Y5 NUM_ARCS 3737 TILEPROP INT_R_X7Y5 NUM_SITES 1 TILEPROP INT_R_X7Y5 ROW 150 TILEPROP INT_R_X7Y5 SLR_REGION_ID 0 TILEPROP INT_R_X7Y5 TILE_PATTERN_IDX 8587 TILEPROP INT_R_X7Y5 TILE_TYPE INT_R TILEPROP INT_R_X7Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y5 TILE_X -61262 TILEPROP INT_R_X7Y5 TILE_Y -223672 TILEPROP INT_R_X7Y5 TYPE INT_R TILEPROP INT_R_X7Y6 CLASS tile TILEPROP INT_R_X7Y6 COLUMN 22 TILEPROP INT_R_X7Y6 DEVICE_ID 0 TILEPROP INT_R_X7Y6 FIRST_SITE_ID 15101 TILEPROP INT_R_X7Y6 GRID_POINT_X 22 TILEPROP INT_R_X7Y6 GRID_POINT_Y 149 TILEPROP INT_R_X7Y6 INDEX 17157 TILEPROP INT_R_X7Y6 INT_TILE_X 7 TILEPROP INT_R_X7Y6 INT_TILE_Y 143 TILEPROP INT_R_X7Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y6 IS_DCM_TILE 0 TILEPROP INT_R_X7Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y6 NAME INT_R_X7Y6 TILEPROP INT_R_X7Y6 NUM_ARCS 3737 TILEPROP INT_R_X7Y6 NUM_SITES 1 TILEPROP INT_R_X7Y6 ROW 149 TILEPROP INT_R_X7Y6 SLR_REGION_ID 0 TILEPROP INT_R_X7Y6 TILE_PATTERN_IDX 8557 TILEPROP INT_R_X7Y6 TILE_TYPE INT_R TILEPROP INT_R_X7Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y6 TILE_X -61262 TILEPROP INT_R_X7Y6 TILE_Y -220472 TILEPROP INT_R_X7Y6 TYPE INT_R TILEPROP INT_R_X7Y7 CLASS tile TILEPROP INT_R_X7Y7 COLUMN 22 TILEPROP INT_R_X7Y7 DEVICE_ID 0 TILEPROP INT_R_X7Y7 FIRST_SITE_ID 14999 TILEPROP INT_R_X7Y7 GRID_POINT_X 22 TILEPROP INT_R_X7Y7 GRID_POINT_Y 148 TILEPROP INT_R_X7Y7 INDEX 17042 TILEPROP INT_R_X7Y7 INT_TILE_X 7 TILEPROP INT_R_X7Y7 INT_TILE_Y 142 TILEPROP INT_R_X7Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y7 IS_DCM_TILE 0 TILEPROP INT_R_X7Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y7 NAME INT_R_X7Y7 TILEPROP INT_R_X7Y7 NUM_ARCS 3737 TILEPROP INT_R_X7Y7 NUM_SITES 1 TILEPROP INT_R_X7Y7 ROW 148 TILEPROP INT_R_X7Y7 SLR_REGION_ID 0 TILEPROP INT_R_X7Y7 TILE_PATTERN_IDX 8526 TILEPROP INT_R_X7Y7 TILE_TYPE INT_R TILEPROP INT_R_X7Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y7 TILE_X -61262 TILEPROP INT_R_X7Y7 TILE_Y -217272 TILEPROP INT_R_X7Y7 TYPE INT_R TILEPROP INT_R_X7Y8 CLASS tile TILEPROP INT_R_X7Y8 COLUMN 22 TILEPROP INT_R_X7Y8 DEVICE_ID 0 TILEPROP INT_R_X7Y8 FIRST_SITE_ID 14896 TILEPROP INT_R_X7Y8 GRID_POINT_X 22 TILEPROP INT_R_X7Y8 GRID_POINT_Y 147 TILEPROP INT_R_X7Y8 INDEX 16927 TILEPROP INT_R_X7Y8 INT_TILE_X 7 TILEPROP INT_R_X7Y8 INT_TILE_Y 141 TILEPROP INT_R_X7Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y8 IS_DCM_TILE 0 TILEPROP INT_R_X7Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y8 NAME INT_R_X7Y8 TILEPROP INT_R_X7Y8 NUM_ARCS 3737 TILEPROP INT_R_X7Y8 NUM_SITES 1 TILEPROP INT_R_X7Y8 ROW 147 TILEPROP INT_R_X7Y8 SLR_REGION_ID 0 TILEPROP INT_R_X7Y8 TILE_PATTERN_IDX 8496 TILEPROP INT_R_X7Y8 TILE_TYPE INT_R TILEPROP INT_R_X7Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y8 TILE_X -61262 TILEPROP INT_R_X7Y8 TILE_Y -214072 TILEPROP INT_R_X7Y8 TYPE INT_R TILEPROP INT_R_X7Y9 CLASS tile TILEPROP INT_R_X7Y9 COLUMN 22 TILEPROP INT_R_X7Y9 DEVICE_ID 0 TILEPROP INT_R_X7Y9 FIRST_SITE_ID 14795 TILEPROP INT_R_X7Y9 GRID_POINT_X 22 TILEPROP INT_R_X7Y9 GRID_POINT_Y 146 TILEPROP INT_R_X7Y9 INDEX 16812 TILEPROP INT_R_X7Y9 INT_TILE_X 7 TILEPROP INT_R_X7Y9 INT_TILE_Y 140 TILEPROP INT_R_X7Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y9 IS_DCM_TILE 0 TILEPROP INT_R_X7Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y9 NAME INT_R_X7Y9 TILEPROP INT_R_X7Y9 NUM_ARCS 3737 TILEPROP INT_R_X7Y9 NUM_SITES 1 TILEPROP INT_R_X7Y9 ROW 146 TILEPROP INT_R_X7Y9 SLR_REGION_ID 0 TILEPROP INT_R_X7Y9 TILE_PATTERN_IDX 8465 TILEPROP INT_R_X7Y9 TILE_TYPE INT_R TILEPROP INT_R_X7Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y9 TILE_X -61262 TILEPROP INT_R_X7Y9 TILE_Y -210872 TILEPROP INT_R_X7Y9 TYPE INT_R TILEPROP INT_R_X7Y10 CLASS tile TILEPROP INT_R_X7Y10 COLUMN 22 TILEPROP INT_R_X7Y10 DEVICE_ID 0 TILEPROP INT_R_X7Y10 FIRST_SITE_ID 14683 TILEPROP INT_R_X7Y10 GRID_POINT_X 22 TILEPROP INT_R_X7Y10 GRID_POINT_Y 145 TILEPROP INT_R_X7Y10 INDEX 16697 TILEPROP INT_R_X7Y10 INT_TILE_X 7 TILEPROP INT_R_X7Y10 INT_TILE_Y 139 TILEPROP INT_R_X7Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y10 IS_DCM_TILE 0 TILEPROP INT_R_X7Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y10 NAME INT_R_X7Y10 TILEPROP INT_R_X7Y10 NUM_ARCS 3737 TILEPROP INT_R_X7Y10 NUM_SITES 1 TILEPROP INT_R_X7Y10 ROW 145 TILEPROP INT_R_X7Y10 SLR_REGION_ID 0 TILEPROP INT_R_X7Y10 TILE_PATTERN_IDX 8435 TILEPROP INT_R_X7Y10 TILE_TYPE INT_R TILEPROP INT_R_X7Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y10 TILE_X -61262 TILEPROP INT_R_X7Y10 TILE_Y -207672 TILEPROP INT_R_X7Y10 TYPE INT_R TILEPROP INT_R_X7Y11 CLASS tile TILEPROP INT_R_X7Y11 COLUMN 22 TILEPROP INT_R_X7Y11 DEVICE_ID 0 TILEPROP INT_R_X7Y11 FIRST_SITE_ID 14580 TILEPROP INT_R_X7Y11 GRID_POINT_X 22 TILEPROP INT_R_X7Y11 GRID_POINT_Y 144 TILEPROP INT_R_X7Y11 INDEX 16582 TILEPROP INT_R_X7Y11 INT_TILE_X 7 TILEPROP INT_R_X7Y11 INT_TILE_Y 138 TILEPROP INT_R_X7Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y11 IS_DCM_TILE 0 TILEPROP INT_R_X7Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y11 NAME INT_R_X7Y11 TILEPROP INT_R_X7Y11 NUM_ARCS 3737 TILEPROP INT_R_X7Y11 NUM_SITES 1 TILEPROP INT_R_X7Y11 ROW 144 TILEPROP INT_R_X7Y11 SLR_REGION_ID 0 TILEPROP INT_R_X7Y11 TILE_PATTERN_IDX 8404 TILEPROP INT_R_X7Y11 TILE_TYPE INT_R TILEPROP INT_R_X7Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y11 TILE_X -61262 TILEPROP INT_R_X7Y11 TILE_Y -204472 TILEPROP INT_R_X7Y11 TYPE INT_R TILEPROP INT_R_X7Y12 CLASS tile TILEPROP INT_R_X7Y12 COLUMN 22 TILEPROP INT_R_X7Y12 DEVICE_ID 0 TILEPROP INT_R_X7Y12 FIRST_SITE_ID 14448 TILEPROP INT_R_X7Y12 GRID_POINT_X 22 TILEPROP INT_R_X7Y12 GRID_POINT_Y 143 TILEPROP INT_R_X7Y12 INDEX 16467 TILEPROP INT_R_X7Y12 INT_TILE_X 7 TILEPROP INT_R_X7Y12 INT_TILE_Y 137 TILEPROP INT_R_X7Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y12 IS_DCM_TILE 0 TILEPROP INT_R_X7Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y12 NAME INT_R_X7Y12 TILEPROP INT_R_X7Y12 NUM_ARCS 3737 TILEPROP INT_R_X7Y12 NUM_SITES 1 TILEPROP INT_R_X7Y12 ROW 143 TILEPROP INT_R_X7Y12 SLR_REGION_ID 0 TILEPROP INT_R_X7Y12 TILE_PATTERN_IDX 8374 TILEPROP INT_R_X7Y12 TILE_TYPE INT_R TILEPROP INT_R_X7Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y12 TILE_X -61262 TILEPROP INT_R_X7Y12 TILE_Y -201272 TILEPROP INT_R_X7Y12 TYPE INT_R TILEPROP INT_R_X7Y13 CLASS tile TILEPROP INT_R_X7Y13 COLUMN 22 TILEPROP INT_R_X7Y13 DEVICE_ID 0 TILEPROP INT_R_X7Y13 FIRST_SITE_ID 14348 TILEPROP INT_R_X7Y13 GRID_POINT_X 22 TILEPROP INT_R_X7Y13 GRID_POINT_Y 142 TILEPROP INT_R_X7Y13 INDEX 16352 TILEPROP INT_R_X7Y13 INT_TILE_X 7 TILEPROP INT_R_X7Y13 INT_TILE_Y 136 TILEPROP INT_R_X7Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y13 IS_DCM_TILE 0 TILEPROP INT_R_X7Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y13 NAME INT_R_X7Y13 TILEPROP INT_R_X7Y13 NUM_ARCS 3737 TILEPROP INT_R_X7Y13 NUM_SITES 1 TILEPROP INT_R_X7Y13 ROW 142 TILEPROP INT_R_X7Y13 SLR_REGION_ID 0 TILEPROP INT_R_X7Y13 TILE_PATTERN_IDX 8343 TILEPROP INT_R_X7Y13 TILE_TYPE INT_R TILEPROP INT_R_X7Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y13 TILE_X -61262 TILEPROP INT_R_X7Y13 TILE_Y -198072 TILEPROP INT_R_X7Y13 TYPE INT_R TILEPROP INT_R_X7Y14 CLASS tile TILEPROP INT_R_X7Y14 COLUMN 22 TILEPROP INT_R_X7Y14 DEVICE_ID 0 TILEPROP INT_R_X7Y14 FIRST_SITE_ID 14248 TILEPROP INT_R_X7Y14 GRID_POINT_X 22 TILEPROP INT_R_X7Y14 GRID_POINT_Y 141 TILEPROP INT_R_X7Y14 INDEX 16237 TILEPROP INT_R_X7Y14 INT_TILE_X 7 TILEPROP INT_R_X7Y14 INT_TILE_Y 135 TILEPROP INT_R_X7Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y14 IS_DCM_TILE 0 TILEPROP INT_R_X7Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y14 NAME INT_R_X7Y14 TILEPROP INT_R_X7Y14 NUM_ARCS 3737 TILEPROP INT_R_X7Y14 NUM_SITES 1 TILEPROP INT_R_X7Y14 ROW 141 TILEPROP INT_R_X7Y14 SLR_REGION_ID 0 TILEPROP INT_R_X7Y14 TILE_PATTERN_IDX 8313 TILEPROP INT_R_X7Y14 TILE_TYPE INT_R TILEPROP INT_R_X7Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y14 TILE_X -61262 TILEPROP INT_R_X7Y14 TILE_Y -194872 TILEPROP INT_R_X7Y14 TYPE INT_R TILEPROP INT_R_X7Y15 CLASS tile TILEPROP INT_R_X7Y15 COLUMN 22 TILEPROP INT_R_X7Y15 DEVICE_ID 0 TILEPROP INT_R_X7Y15 FIRST_SITE_ID 14136 TILEPROP INT_R_X7Y15 GRID_POINT_X 22 TILEPROP INT_R_X7Y15 GRID_POINT_Y 140 TILEPROP INT_R_X7Y15 INDEX 16122 TILEPROP INT_R_X7Y15 INT_TILE_X 7 TILEPROP INT_R_X7Y15 INT_TILE_Y 134 TILEPROP INT_R_X7Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y15 IS_DCM_TILE 0 TILEPROP INT_R_X7Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y15 NAME INT_R_X7Y15 TILEPROP INT_R_X7Y15 NUM_ARCS 3737 TILEPROP INT_R_X7Y15 NUM_SITES 1 TILEPROP INT_R_X7Y15 ROW 140 TILEPROP INT_R_X7Y15 SLR_REGION_ID 0 TILEPROP INT_R_X7Y15 TILE_PATTERN_IDX 8282 TILEPROP INT_R_X7Y15 TILE_TYPE INT_R TILEPROP INT_R_X7Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y15 TILE_X -61262 TILEPROP INT_R_X7Y15 TILE_Y -191672 TILEPROP INT_R_X7Y15 TYPE INT_R TILEPROP INT_R_X7Y16 CLASS tile TILEPROP INT_R_X7Y16 COLUMN 22 TILEPROP INT_R_X7Y16 DEVICE_ID 0 TILEPROP INT_R_X7Y16 FIRST_SITE_ID 14033 TILEPROP INT_R_X7Y16 GRID_POINT_X 22 TILEPROP INT_R_X7Y16 GRID_POINT_Y 139 TILEPROP INT_R_X7Y16 INDEX 16007 TILEPROP INT_R_X7Y16 INT_TILE_X 7 TILEPROP INT_R_X7Y16 INT_TILE_Y 133 TILEPROP INT_R_X7Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y16 IS_DCM_TILE 0 TILEPROP INT_R_X7Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y16 NAME INT_R_X7Y16 TILEPROP INT_R_X7Y16 NUM_ARCS 3737 TILEPROP INT_R_X7Y16 NUM_SITES 1 TILEPROP INT_R_X7Y16 ROW 139 TILEPROP INT_R_X7Y16 SLR_REGION_ID 0 TILEPROP INT_R_X7Y16 TILE_PATTERN_IDX 8252 TILEPROP INT_R_X7Y16 TILE_TYPE INT_R TILEPROP INT_R_X7Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y16 TILE_X -61262 TILEPROP INT_R_X7Y16 TILE_Y -188472 TILEPROP INT_R_X7Y16 TYPE INT_R TILEPROP INT_R_X7Y17 CLASS tile TILEPROP INT_R_X7Y17 COLUMN 22 TILEPROP INT_R_X7Y17 DEVICE_ID 0 TILEPROP INT_R_X7Y17 FIRST_SITE_ID 13928 TILEPROP INT_R_X7Y17 GRID_POINT_X 22 TILEPROP INT_R_X7Y17 GRID_POINT_Y 138 TILEPROP INT_R_X7Y17 INDEX 15892 TILEPROP INT_R_X7Y17 INT_TILE_X 7 TILEPROP INT_R_X7Y17 INT_TILE_Y 132 TILEPROP INT_R_X7Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y17 IS_DCM_TILE 0 TILEPROP INT_R_X7Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y17 NAME INT_R_X7Y17 TILEPROP INT_R_X7Y17 NUM_ARCS 3737 TILEPROP INT_R_X7Y17 NUM_SITES 1 TILEPROP INT_R_X7Y17 ROW 138 TILEPROP INT_R_X7Y17 SLR_REGION_ID 0 TILEPROP INT_R_X7Y17 TILE_PATTERN_IDX 8220 TILEPROP INT_R_X7Y17 TILE_TYPE INT_R TILEPROP INT_R_X7Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y17 TILE_X -61262 TILEPROP INT_R_X7Y17 TILE_Y -185272 TILEPROP INT_R_X7Y17 TYPE INT_R TILEPROP INT_R_X7Y18 CLASS tile TILEPROP INT_R_X7Y18 COLUMN 22 TILEPROP INT_R_X7Y18 DEVICE_ID 0 TILEPROP INT_R_X7Y18 FIRST_SITE_ID 13824 TILEPROP INT_R_X7Y18 GRID_POINT_X 22 TILEPROP INT_R_X7Y18 GRID_POINT_Y 137 TILEPROP INT_R_X7Y18 INDEX 15777 TILEPROP INT_R_X7Y18 INT_TILE_X 7 TILEPROP INT_R_X7Y18 INT_TILE_Y 131 TILEPROP INT_R_X7Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y18 IS_DCM_TILE 0 TILEPROP INT_R_X7Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y18 NAME INT_R_X7Y18 TILEPROP INT_R_X7Y18 NUM_ARCS 3737 TILEPROP INT_R_X7Y18 NUM_SITES 1 TILEPROP INT_R_X7Y18 ROW 137 TILEPROP INT_R_X7Y18 SLR_REGION_ID 0 TILEPROP INT_R_X7Y18 TILE_PATTERN_IDX 8197 TILEPROP INT_R_X7Y18 TILE_TYPE INT_R TILEPROP INT_R_X7Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y18 TILE_X -61262 TILEPROP INT_R_X7Y18 TILE_Y -182072 TILEPROP INT_R_X7Y18 TYPE INT_R TILEPROP INT_R_X7Y19 CLASS tile TILEPROP INT_R_X7Y19 COLUMN 22 TILEPROP INT_R_X7Y19 DEVICE_ID 0 TILEPROP INT_R_X7Y19 FIRST_SITE_ID 13722 TILEPROP INT_R_X7Y19 GRID_POINT_X 22 TILEPROP INT_R_X7Y19 GRID_POINT_Y 136 TILEPROP INT_R_X7Y19 INDEX 15662 TILEPROP INT_R_X7Y19 INT_TILE_X 7 TILEPROP INT_R_X7Y19 INT_TILE_Y 130 TILEPROP INT_R_X7Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y19 IS_DCM_TILE 0 TILEPROP INT_R_X7Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y19 NAME INT_R_X7Y19 TILEPROP INT_R_X7Y19 NUM_ARCS 3737 TILEPROP INT_R_X7Y19 NUM_SITES 1 TILEPROP INT_R_X7Y19 ROW 136 TILEPROP INT_R_X7Y19 SLR_REGION_ID 0 TILEPROP INT_R_X7Y19 TILE_PATTERN_IDX 8174 TILEPROP INT_R_X7Y19 TILE_TYPE INT_R TILEPROP INT_R_X7Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y19 TILE_X -61262 TILEPROP INT_R_X7Y19 TILE_Y -178872 TILEPROP INT_R_X7Y19 TYPE INT_R TILEPROP INT_R_X7Y20 CLASS tile TILEPROP INT_R_X7Y20 COLUMN 22 TILEPROP INT_R_X7Y20 DEVICE_ID 0 TILEPROP INT_R_X7Y20 FIRST_SITE_ID 13608 TILEPROP INT_R_X7Y20 GRID_POINT_X 22 TILEPROP INT_R_X7Y20 GRID_POINT_Y 135 TILEPROP INT_R_X7Y20 INDEX 15547 TILEPROP INT_R_X7Y20 INT_TILE_X 7 TILEPROP INT_R_X7Y20 INT_TILE_Y 129 TILEPROP INT_R_X7Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y20 IS_DCM_TILE 0 TILEPROP INT_R_X7Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y20 NAME INT_R_X7Y20 TILEPROP INT_R_X7Y20 NUM_ARCS 3737 TILEPROP INT_R_X7Y20 NUM_SITES 1 TILEPROP INT_R_X7Y20 ROW 135 TILEPROP INT_R_X7Y20 SLR_REGION_ID 0 TILEPROP INT_R_X7Y20 TILE_PATTERN_IDX 8152 TILEPROP INT_R_X7Y20 TILE_TYPE INT_R TILEPROP INT_R_X7Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y20 TILE_X -61262 TILEPROP INT_R_X7Y20 TILE_Y -175672 TILEPROP INT_R_X7Y20 TYPE INT_R TILEPROP INT_R_X7Y21 CLASS tile TILEPROP INT_R_X7Y21 COLUMN 22 TILEPROP INT_R_X7Y21 DEVICE_ID 0 TILEPROP INT_R_X7Y21 FIRST_SITE_ID 13505 TILEPROP INT_R_X7Y21 GRID_POINT_X 22 TILEPROP INT_R_X7Y21 GRID_POINT_Y 134 TILEPROP INT_R_X7Y21 INDEX 15432 TILEPROP INT_R_X7Y21 INT_TILE_X 7 TILEPROP INT_R_X7Y21 INT_TILE_Y 128 TILEPROP INT_R_X7Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y21 IS_DCM_TILE 0 TILEPROP INT_R_X7Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y21 NAME INT_R_X7Y21 TILEPROP INT_R_X7Y21 NUM_ARCS 3737 TILEPROP INT_R_X7Y21 NUM_SITES 1 TILEPROP INT_R_X7Y21 ROW 134 TILEPROP INT_R_X7Y21 SLR_REGION_ID 0 TILEPROP INT_R_X7Y21 TILE_PATTERN_IDX 8123 TILEPROP INT_R_X7Y21 TILE_TYPE INT_R TILEPROP INT_R_X7Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y21 TILE_X -61262 TILEPROP INT_R_X7Y21 TILE_Y -172472 TILEPROP INT_R_X7Y21 TYPE INT_R TILEPROP INT_R_X7Y22 CLASS tile TILEPROP INT_R_X7Y22 COLUMN 22 TILEPROP INT_R_X7Y22 DEVICE_ID 0 TILEPROP INT_R_X7Y22 FIRST_SITE_ID 13405 TILEPROP INT_R_X7Y22 GRID_POINT_X 22 TILEPROP INT_R_X7Y22 GRID_POINT_Y 133 TILEPROP INT_R_X7Y22 INDEX 15317 TILEPROP INT_R_X7Y22 INT_TILE_X 7 TILEPROP INT_R_X7Y22 INT_TILE_Y 127 TILEPROP INT_R_X7Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y22 IS_DCM_TILE 0 TILEPROP INT_R_X7Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y22 NAME INT_R_X7Y22 TILEPROP INT_R_X7Y22 NUM_ARCS 3737 TILEPROP INT_R_X7Y22 NUM_SITES 1 TILEPROP INT_R_X7Y22 ROW 133 TILEPROP INT_R_X7Y22 SLR_REGION_ID 0 TILEPROP INT_R_X7Y22 TILE_PATTERN_IDX 8095 TILEPROP INT_R_X7Y22 TILE_TYPE INT_R TILEPROP INT_R_X7Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y22 TILE_X -61262 TILEPROP INT_R_X7Y22 TILE_Y -169272 TILEPROP INT_R_X7Y22 TYPE INT_R TILEPROP INT_R_X7Y23 CLASS tile TILEPROP INT_R_X7Y23 COLUMN 22 TILEPROP INT_R_X7Y23 DEVICE_ID 0 TILEPROP INT_R_X7Y23 FIRST_SITE_ID 13305 TILEPROP INT_R_X7Y23 GRID_POINT_X 22 TILEPROP INT_R_X7Y23 GRID_POINT_Y 132 TILEPROP INT_R_X7Y23 INDEX 15202 TILEPROP INT_R_X7Y23 INT_TILE_X 7 TILEPROP INT_R_X7Y23 INT_TILE_Y 126 TILEPROP INT_R_X7Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y23 IS_DCM_TILE 0 TILEPROP INT_R_X7Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y23 NAME INT_R_X7Y23 TILEPROP INT_R_X7Y23 NUM_ARCS 3737 TILEPROP INT_R_X7Y23 NUM_SITES 1 TILEPROP INT_R_X7Y23 ROW 132 TILEPROP INT_R_X7Y23 SLR_REGION_ID 0 TILEPROP INT_R_X7Y23 TILE_PATTERN_IDX 8066 TILEPROP INT_R_X7Y23 TILE_TYPE INT_R TILEPROP INT_R_X7Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y23 TILE_X -61262 TILEPROP INT_R_X7Y23 TILE_Y -166072 TILEPROP INT_R_X7Y23 TYPE INT_R TILEPROP INT_R_X7Y24 CLASS tile TILEPROP INT_R_X7Y24 COLUMN 22 TILEPROP INT_R_X7Y24 DEVICE_ID 0 TILEPROP INT_R_X7Y24 FIRST_SITE_ID 13205 TILEPROP INT_R_X7Y24 GRID_POINT_X 22 TILEPROP INT_R_X7Y24 GRID_POINT_Y 131 TILEPROP INT_R_X7Y24 INDEX 15087 TILEPROP INT_R_X7Y24 INT_TILE_X 7 TILEPROP INT_R_X7Y24 INT_TILE_Y 125 TILEPROP INT_R_X7Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y24 IS_DCM_TILE 0 TILEPROP INT_R_X7Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y24 NAME INT_R_X7Y24 TILEPROP INT_R_X7Y24 NUM_ARCS 3737 TILEPROP INT_R_X7Y24 NUM_SITES 1 TILEPROP INT_R_X7Y24 ROW 131 TILEPROP INT_R_X7Y24 SLR_REGION_ID 0 TILEPROP INT_R_X7Y24 TILE_PATTERN_IDX 8038 TILEPROP INT_R_X7Y24 TILE_TYPE INT_R TILEPROP INT_R_X7Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y24 TILE_X -61262 TILEPROP INT_R_X7Y24 TILE_Y -162872 TILEPROP INT_R_X7Y24 TYPE INT_R TILEPROP INT_R_X7Y25 CLASS tile TILEPROP INT_R_X7Y25 COLUMN 22 TILEPROP INT_R_X7Y25 DEVICE_ID 0 TILEPROP INT_R_X7Y25 FIRST_SITE_ID 13010 TILEPROP INT_R_X7Y25 GRID_POINT_X 22 TILEPROP INT_R_X7Y25 GRID_POINT_Y 129 TILEPROP INT_R_X7Y25 INDEX 14857 TILEPROP INT_R_X7Y25 INT_TILE_X 7 TILEPROP INT_R_X7Y25 INT_TILE_Y 124 TILEPROP INT_R_X7Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y25 IS_DCM_TILE 0 TILEPROP INT_R_X7Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y25 NAME INT_R_X7Y25 TILEPROP INT_R_X7Y25 NUM_ARCS 3737 TILEPROP INT_R_X7Y25 NUM_SITES 1 TILEPROP INT_R_X7Y25 ROW 129 TILEPROP INT_R_X7Y25 SLR_REGION_ID 0 TILEPROP INT_R_X7Y25 TILE_PATTERN_IDX 7966 TILEPROP INT_R_X7Y25 TILE_TYPE INT_R TILEPROP INT_R_X7Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y25 TILE_X -61262 TILEPROP INT_R_X7Y25 TILE_Y -158648 TILEPROP INT_R_X7Y25 TYPE INT_R TILEPROP INT_R_X7Y26 CLASS tile TILEPROP INT_R_X7Y26 COLUMN 22 TILEPROP INT_R_X7Y26 DEVICE_ID 0 TILEPROP INT_R_X7Y26 FIRST_SITE_ID 12907 TILEPROP INT_R_X7Y26 GRID_POINT_X 22 TILEPROP INT_R_X7Y26 GRID_POINT_Y 128 TILEPROP INT_R_X7Y26 INDEX 14742 TILEPROP INT_R_X7Y26 INT_TILE_X 7 TILEPROP INT_R_X7Y26 INT_TILE_Y 123 TILEPROP INT_R_X7Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y26 IS_DCM_TILE 0 TILEPROP INT_R_X7Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y26 NAME INT_R_X7Y26 TILEPROP INT_R_X7Y26 NUM_ARCS 3737 TILEPROP INT_R_X7Y26 NUM_SITES 1 TILEPROP INT_R_X7Y26 ROW 128 TILEPROP INT_R_X7Y26 SLR_REGION_ID 0 TILEPROP INT_R_X7Y26 TILE_PATTERN_IDX 7938 TILEPROP INT_R_X7Y26 TILE_TYPE INT_R TILEPROP INT_R_X7Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y26 TILE_X -61262 TILEPROP INT_R_X7Y26 TILE_Y -155448 TILEPROP INT_R_X7Y26 TYPE INT_R TILEPROP INT_R_X7Y27 CLASS tile TILEPROP INT_R_X7Y27 COLUMN 22 TILEPROP INT_R_X7Y27 DEVICE_ID 0 TILEPROP INT_R_X7Y27 FIRST_SITE_ID 12807 TILEPROP INT_R_X7Y27 GRID_POINT_X 22 TILEPROP INT_R_X7Y27 GRID_POINT_Y 127 TILEPROP INT_R_X7Y27 INDEX 14627 TILEPROP INT_R_X7Y27 INT_TILE_X 7 TILEPROP INT_R_X7Y27 INT_TILE_Y 122 TILEPROP INT_R_X7Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y27 IS_DCM_TILE 0 TILEPROP INT_R_X7Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y27 NAME INT_R_X7Y27 TILEPROP INT_R_X7Y27 NUM_ARCS 3737 TILEPROP INT_R_X7Y27 NUM_SITES 1 TILEPROP INT_R_X7Y27 ROW 127 TILEPROP INT_R_X7Y27 SLR_REGION_ID 0 TILEPROP INT_R_X7Y27 TILE_PATTERN_IDX 7909 TILEPROP INT_R_X7Y27 TILE_TYPE INT_R TILEPROP INT_R_X7Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y27 TILE_X -61262 TILEPROP INT_R_X7Y27 TILE_Y -152248 TILEPROP INT_R_X7Y27 TYPE INT_R TILEPROP INT_R_X7Y28 CLASS tile TILEPROP INT_R_X7Y28 COLUMN 22 TILEPROP INT_R_X7Y28 DEVICE_ID 0 TILEPROP INT_R_X7Y28 FIRST_SITE_ID 12707 TILEPROP INT_R_X7Y28 GRID_POINT_X 22 TILEPROP INT_R_X7Y28 GRID_POINT_Y 126 TILEPROP INT_R_X7Y28 INDEX 14512 TILEPROP INT_R_X7Y28 INT_TILE_X 7 TILEPROP INT_R_X7Y28 INT_TILE_Y 121 TILEPROP INT_R_X7Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y28 IS_DCM_TILE 0 TILEPROP INT_R_X7Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y28 NAME INT_R_X7Y28 TILEPROP INT_R_X7Y28 NUM_ARCS 3737 TILEPROP INT_R_X7Y28 NUM_SITES 1 TILEPROP INT_R_X7Y28 ROW 126 TILEPROP INT_R_X7Y28 SLR_REGION_ID 0 TILEPROP INT_R_X7Y28 TILE_PATTERN_IDX 7881 TILEPROP INT_R_X7Y28 TILE_TYPE INT_R TILEPROP INT_R_X7Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y28 TILE_X -61262 TILEPROP INT_R_X7Y28 TILE_Y -149048 TILEPROP INT_R_X7Y28 TYPE INT_R TILEPROP INT_R_X7Y29 CLASS tile TILEPROP INT_R_X7Y29 COLUMN 22 TILEPROP INT_R_X7Y29 DEVICE_ID 0 TILEPROP INT_R_X7Y29 FIRST_SITE_ID 12601 TILEPROP INT_R_X7Y29 GRID_POINT_X 22 TILEPROP INT_R_X7Y29 GRID_POINT_Y 125 TILEPROP INT_R_X7Y29 INDEX 14397 TILEPROP INT_R_X7Y29 INT_TILE_X 7 TILEPROP INT_R_X7Y29 INT_TILE_Y 120 TILEPROP INT_R_X7Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y29 IS_DCM_TILE 0 TILEPROP INT_R_X7Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y29 NAME INT_R_X7Y29 TILEPROP INT_R_X7Y29 NUM_ARCS 3737 TILEPROP INT_R_X7Y29 NUM_SITES 1 TILEPROP INT_R_X7Y29 ROW 125 TILEPROP INT_R_X7Y29 SLR_REGION_ID 0 TILEPROP INT_R_X7Y29 TILE_PATTERN_IDX 7857 TILEPROP INT_R_X7Y29 TILE_TYPE INT_R TILEPROP INT_R_X7Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y29 TILE_X -61262 TILEPROP INT_R_X7Y29 TILE_Y -145848 TILEPROP INT_R_X7Y29 TYPE INT_R TILEPROP INT_R_X7Y30 CLASS tile TILEPROP INT_R_X7Y30 COLUMN 22 TILEPROP INT_R_X7Y30 DEVICE_ID 0 TILEPROP INT_R_X7Y30 FIRST_SITE_ID 12470 TILEPROP INT_R_X7Y30 GRID_POINT_X 22 TILEPROP INT_R_X7Y30 GRID_POINT_Y 124 TILEPROP INT_R_X7Y30 INDEX 14282 TILEPROP INT_R_X7Y30 INT_TILE_X 7 TILEPROP INT_R_X7Y30 INT_TILE_Y 119 TILEPROP INT_R_X7Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y30 IS_DCM_TILE 0 TILEPROP INT_R_X7Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y30 NAME INT_R_X7Y30 TILEPROP INT_R_X7Y30 NUM_ARCS 3737 TILEPROP INT_R_X7Y30 NUM_SITES 1 TILEPROP INT_R_X7Y30 ROW 124 TILEPROP INT_R_X7Y30 SLR_REGION_ID 0 TILEPROP INT_R_X7Y30 TILE_PATTERN_IDX 7834 TILEPROP INT_R_X7Y30 TILE_TYPE INT_R TILEPROP INT_R_X7Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y30 TILE_X -61262 TILEPROP INT_R_X7Y30 TILE_Y -142648 TILEPROP INT_R_X7Y30 TYPE INT_R TILEPROP INT_R_X7Y31 CLASS tile TILEPROP INT_R_X7Y31 COLUMN 22 TILEPROP INT_R_X7Y31 DEVICE_ID 0 TILEPROP INT_R_X7Y31 FIRST_SITE_ID 12365 TILEPROP INT_R_X7Y31 GRID_POINT_X 22 TILEPROP INT_R_X7Y31 GRID_POINT_Y 123 TILEPROP INT_R_X7Y31 INDEX 14167 TILEPROP INT_R_X7Y31 INT_TILE_X 7 TILEPROP INT_R_X7Y31 INT_TILE_Y 118 TILEPROP INT_R_X7Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y31 IS_DCM_TILE 0 TILEPROP INT_R_X7Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y31 NAME INT_R_X7Y31 TILEPROP INT_R_X7Y31 NUM_ARCS 3737 TILEPROP INT_R_X7Y31 NUM_SITES 1 TILEPROP INT_R_X7Y31 ROW 123 TILEPROP INT_R_X7Y31 SLR_REGION_ID 0 TILEPROP INT_R_X7Y31 TILE_PATTERN_IDX 7811 TILEPROP INT_R_X7Y31 TILE_TYPE INT_R TILEPROP INT_R_X7Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y31 TILE_X -61262 TILEPROP INT_R_X7Y31 TILE_Y -139448 TILEPROP INT_R_X7Y31 TYPE INT_R TILEPROP INT_R_X7Y32 CLASS tile TILEPROP INT_R_X7Y32 COLUMN 22 TILEPROP INT_R_X7Y32 DEVICE_ID 0 TILEPROP INT_R_X7Y32 FIRST_SITE_ID 12262 TILEPROP INT_R_X7Y32 GRID_POINT_X 22 TILEPROP INT_R_X7Y32 GRID_POINT_Y 122 TILEPROP INT_R_X7Y32 INDEX 14052 TILEPROP INT_R_X7Y32 INT_TILE_X 7 TILEPROP INT_R_X7Y32 INT_TILE_Y 117 TILEPROP INT_R_X7Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y32 IS_DCM_TILE 0 TILEPROP INT_R_X7Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y32 NAME INT_R_X7Y32 TILEPROP INT_R_X7Y32 NUM_ARCS 3737 TILEPROP INT_R_X7Y32 NUM_SITES 1 TILEPROP INT_R_X7Y32 ROW 122 TILEPROP INT_R_X7Y32 SLR_REGION_ID 0 TILEPROP INT_R_X7Y32 TILE_PATTERN_IDX 7777 TILEPROP INT_R_X7Y32 TILE_TYPE INT_R TILEPROP INT_R_X7Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y32 TILE_X -61262 TILEPROP INT_R_X7Y32 TILE_Y -136248 TILEPROP INT_R_X7Y32 TYPE INT_R TILEPROP INT_R_X7Y33 CLASS tile TILEPROP INT_R_X7Y33 COLUMN 22 TILEPROP INT_R_X7Y33 DEVICE_ID 0 TILEPROP INT_R_X7Y33 FIRST_SITE_ID 12162 TILEPROP INT_R_X7Y33 GRID_POINT_X 22 TILEPROP INT_R_X7Y33 GRID_POINT_Y 121 TILEPROP INT_R_X7Y33 INDEX 13937 TILEPROP INT_R_X7Y33 INT_TILE_X 7 TILEPROP INT_R_X7Y33 INT_TILE_Y 116 TILEPROP INT_R_X7Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y33 IS_DCM_TILE 0 TILEPROP INT_R_X7Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y33 NAME INT_R_X7Y33 TILEPROP INT_R_X7Y33 NUM_ARCS 3737 TILEPROP INT_R_X7Y33 NUM_SITES 1 TILEPROP INT_R_X7Y33 ROW 121 TILEPROP INT_R_X7Y33 SLR_REGION_ID 0 TILEPROP INT_R_X7Y33 TILE_PATTERN_IDX 7748 TILEPROP INT_R_X7Y33 TILE_TYPE INT_R TILEPROP INT_R_X7Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y33 TILE_X -61262 TILEPROP INT_R_X7Y33 TILE_Y -133048 TILEPROP INT_R_X7Y33 TYPE INT_R TILEPROP INT_R_X7Y34 CLASS tile TILEPROP INT_R_X7Y34 COLUMN 22 TILEPROP INT_R_X7Y34 DEVICE_ID 0 TILEPROP INT_R_X7Y34 FIRST_SITE_ID 12062 TILEPROP INT_R_X7Y34 GRID_POINT_X 22 TILEPROP INT_R_X7Y34 GRID_POINT_Y 120 TILEPROP INT_R_X7Y34 INDEX 13822 TILEPROP INT_R_X7Y34 INT_TILE_X 7 TILEPROP INT_R_X7Y34 INT_TILE_Y 115 TILEPROP INT_R_X7Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y34 IS_DCM_TILE 0 TILEPROP INT_R_X7Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y34 NAME INT_R_X7Y34 TILEPROP INT_R_X7Y34 NUM_ARCS 3737 TILEPROP INT_R_X7Y34 NUM_SITES 1 TILEPROP INT_R_X7Y34 ROW 120 TILEPROP INT_R_X7Y34 SLR_REGION_ID 0 TILEPROP INT_R_X7Y34 TILE_PATTERN_IDX 7720 TILEPROP INT_R_X7Y34 TILE_TYPE INT_R TILEPROP INT_R_X7Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y34 TILE_X -61262 TILEPROP INT_R_X7Y34 TILE_Y -129848 TILEPROP INT_R_X7Y34 TYPE INT_R TILEPROP INT_R_X7Y35 CLASS tile TILEPROP INT_R_X7Y35 COLUMN 22 TILEPROP INT_R_X7Y35 DEVICE_ID 0 TILEPROP INT_R_X7Y35 FIRST_SITE_ID 11950 TILEPROP INT_R_X7Y35 GRID_POINT_X 22 TILEPROP INT_R_X7Y35 GRID_POINT_Y 119 TILEPROP INT_R_X7Y35 INDEX 13707 TILEPROP INT_R_X7Y35 INT_TILE_X 7 TILEPROP INT_R_X7Y35 INT_TILE_Y 114 TILEPROP INT_R_X7Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y35 IS_DCM_TILE 0 TILEPROP INT_R_X7Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y35 NAME INT_R_X7Y35 TILEPROP INT_R_X7Y35 NUM_ARCS 3737 TILEPROP INT_R_X7Y35 NUM_SITES 1 TILEPROP INT_R_X7Y35 ROW 119 TILEPROP INT_R_X7Y35 SLR_REGION_ID 0 TILEPROP INT_R_X7Y35 TILE_PATTERN_IDX 7691 TILEPROP INT_R_X7Y35 TILE_TYPE INT_R TILEPROP INT_R_X7Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y35 TILE_X -61262 TILEPROP INT_R_X7Y35 TILE_Y -126648 TILEPROP INT_R_X7Y35 TYPE INT_R TILEPROP INT_R_X7Y36 CLASS tile TILEPROP INT_R_X7Y36 COLUMN 22 TILEPROP INT_R_X7Y36 DEVICE_ID 0 TILEPROP INT_R_X7Y36 FIRST_SITE_ID 11815 TILEPROP INT_R_X7Y36 GRID_POINT_X 22 TILEPROP INT_R_X7Y36 GRID_POINT_Y 118 TILEPROP INT_R_X7Y36 INDEX 13592 TILEPROP INT_R_X7Y36 INT_TILE_X 7 TILEPROP INT_R_X7Y36 INT_TILE_Y 113 TILEPROP INT_R_X7Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y36 IS_DCM_TILE 0 TILEPROP INT_R_X7Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y36 NAME INT_R_X7Y36 TILEPROP INT_R_X7Y36 NUM_ARCS 3737 TILEPROP INT_R_X7Y36 NUM_SITES 1 TILEPROP INT_R_X7Y36 ROW 118 TILEPROP INT_R_X7Y36 SLR_REGION_ID 0 TILEPROP INT_R_X7Y36 TILE_PATTERN_IDX 7663 TILEPROP INT_R_X7Y36 TILE_TYPE INT_R TILEPROP INT_R_X7Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y36 TILE_X -61262 TILEPROP INT_R_X7Y36 TILE_Y -123448 TILEPROP INT_R_X7Y36 TYPE INT_R TILEPROP INT_R_X7Y37 CLASS tile TILEPROP INT_R_X7Y37 COLUMN 22 TILEPROP INT_R_X7Y37 DEVICE_ID 0 TILEPROP INT_R_X7Y37 FIRST_SITE_ID 11715 TILEPROP INT_R_X7Y37 GRID_POINT_X 22 TILEPROP INT_R_X7Y37 GRID_POINT_Y 117 TILEPROP INT_R_X7Y37 INDEX 13477 TILEPROP INT_R_X7Y37 INT_TILE_X 7 TILEPROP INT_R_X7Y37 INT_TILE_Y 112 TILEPROP INT_R_X7Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y37 IS_DCM_TILE 0 TILEPROP INT_R_X7Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y37 NAME INT_R_X7Y37 TILEPROP INT_R_X7Y37 NUM_ARCS 3737 TILEPROP INT_R_X7Y37 NUM_SITES 1 TILEPROP INT_R_X7Y37 ROW 117 TILEPROP INT_R_X7Y37 SLR_REGION_ID 0 TILEPROP INT_R_X7Y37 TILE_PATTERN_IDX 7635 TILEPROP INT_R_X7Y37 TILE_TYPE INT_R TILEPROP INT_R_X7Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y37 TILE_X -61262 TILEPROP INT_R_X7Y37 TILE_Y -120248 TILEPROP INT_R_X7Y37 TYPE INT_R TILEPROP INT_R_X7Y38 CLASS tile TILEPROP INT_R_X7Y38 COLUMN 22 TILEPROP INT_R_X7Y38 DEVICE_ID 0 TILEPROP INT_R_X7Y38 FIRST_SITE_ID 11615 TILEPROP INT_R_X7Y38 GRID_POINT_X 22 TILEPROP INT_R_X7Y38 GRID_POINT_Y 116 TILEPROP INT_R_X7Y38 INDEX 13362 TILEPROP INT_R_X7Y38 INT_TILE_X 7 TILEPROP INT_R_X7Y38 INT_TILE_Y 111 TILEPROP INT_R_X7Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y38 IS_DCM_TILE 0 TILEPROP INT_R_X7Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y38 NAME INT_R_X7Y38 TILEPROP INT_R_X7Y38 NUM_ARCS 3737 TILEPROP INT_R_X7Y38 NUM_SITES 1 TILEPROP INT_R_X7Y38 ROW 116 TILEPROP INT_R_X7Y38 SLR_REGION_ID 0 TILEPROP INT_R_X7Y38 TILE_PATTERN_IDX 7607 TILEPROP INT_R_X7Y38 TILE_TYPE INT_R TILEPROP INT_R_X7Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y38 TILE_X -61262 TILEPROP INT_R_X7Y38 TILE_Y -117048 TILEPROP INT_R_X7Y38 TYPE INT_R TILEPROP INT_R_X7Y39 CLASS tile TILEPROP INT_R_X7Y39 COLUMN 22 TILEPROP INT_R_X7Y39 DEVICE_ID 0 TILEPROP INT_R_X7Y39 FIRST_SITE_ID 11515 TILEPROP INT_R_X7Y39 GRID_POINT_X 22 TILEPROP INT_R_X7Y39 GRID_POINT_Y 115 TILEPROP INT_R_X7Y39 INDEX 13247 TILEPROP INT_R_X7Y39 INT_TILE_X 7 TILEPROP INT_R_X7Y39 INT_TILE_Y 110 TILEPROP INT_R_X7Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y39 IS_DCM_TILE 0 TILEPROP INT_R_X7Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y39 NAME INT_R_X7Y39 TILEPROP INT_R_X7Y39 NUM_ARCS 3737 TILEPROP INT_R_X7Y39 NUM_SITES 1 TILEPROP INT_R_X7Y39 ROW 115 TILEPROP INT_R_X7Y39 SLR_REGION_ID 0 TILEPROP INT_R_X7Y39 TILE_PATTERN_IDX 7578 TILEPROP INT_R_X7Y39 TILE_TYPE INT_R TILEPROP INT_R_X7Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y39 TILE_X -61262 TILEPROP INT_R_X7Y39 TILE_Y -113848 TILEPROP INT_R_X7Y39 TYPE INT_R TILEPROP INT_R_X7Y40 CLASS tile TILEPROP INT_R_X7Y40 COLUMN 22 TILEPROP INT_R_X7Y40 DEVICE_ID 0 TILEPROP INT_R_X7Y40 FIRST_SITE_ID 11401 TILEPROP INT_R_X7Y40 GRID_POINT_X 22 TILEPROP INT_R_X7Y40 GRID_POINT_Y 114 TILEPROP INT_R_X7Y40 INDEX 13132 TILEPROP INT_R_X7Y40 INT_TILE_X 7 TILEPROP INT_R_X7Y40 INT_TILE_Y 109 TILEPROP INT_R_X7Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y40 IS_DCM_TILE 0 TILEPROP INT_R_X7Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y40 NAME INT_R_X7Y40 TILEPROP INT_R_X7Y40 NUM_ARCS 3737 TILEPROP INT_R_X7Y40 NUM_SITES 1 TILEPROP INT_R_X7Y40 ROW 114 TILEPROP INT_R_X7Y40 SLR_REGION_ID 0 TILEPROP INT_R_X7Y40 TILE_PATTERN_IDX 7549 TILEPROP INT_R_X7Y40 TILE_TYPE INT_R TILEPROP INT_R_X7Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y40 TILE_X -61262 TILEPROP INT_R_X7Y40 TILE_Y -110648 TILEPROP INT_R_X7Y40 TYPE INT_R TILEPROP INT_R_X7Y41 CLASS tile TILEPROP INT_R_X7Y41 COLUMN 22 TILEPROP INT_R_X7Y41 DEVICE_ID 0 TILEPROP INT_R_X7Y41 FIRST_SITE_ID 11297 TILEPROP INT_R_X7Y41 GRID_POINT_X 22 TILEPROP INT_R_X7Y41 GRID_POINT_Y 113 TILEPROP INT_R_X7Y41 INDEX 13017 TILEPROP INT_R_X7Y41 INT_TILE_X 7 TILEPROP INT_R_X7Y41 INT_TILE_Y 108 TILEPROP INT_R_X7Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y41 IS_DCM_TILE 0 TILEPROP INT_R_X7Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y41 NAME INT_R_X7Y41 TILEPROP INT_R_X7Y41 NUM_ARCS 3737 TILEPROP INT_R_X7Y41 NUM_SITES 1 TILEPROP INT_R_X7Y41 ROW 113 TILEPROP INT_R_X7Y41 SLR_REGION_ID 0 TILEPROP INT_R_X7Y41 TILE_PATTERN_IDX 7514 TILEPROP INT_R_X7Y41 TILE_TYPE INT_R TILEPROP INT_R_X7Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y41 TILE_X -61262 TILEPROP INT_R_X7Y41 TILE_Y -107448 TILEPROP INT_R_X7Y41 TYPE INT_R TILEPROP INT_R_X7Y42 CLASS tile TILEPROP INT_R_X7Y42 COLUMN 22 TILEPROP INT_R_X7Y42 DEVICE_ID 0 TILEPROP INT_R_X7Y42 FIRST_SITE_ID 11196 TILEPROP INT_R_X7Y42 GRID_POINT_X 22 TILEPROP INT_R_X7Y42 GRID_POINT_Y 112 TILEPROP INT_R_X7Y42 INDEX 12902 TILEPROP INT_R_X7Y42 INT_TILE_X 7 TILEPROP INT_R_X7Y42 INT_TILE_Y 107 TILEPROP INT_R_X7Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y42 IS_DCM_TILE 0 TILEPROP INT_R_X7Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y42 NAME INT_R_X7Y42 TILEPROP INT_R_X7Y42 NUM_ARCS 3737 TILEPROP INT_R_X7Y42 NUM_SITES 1 TILEPROP INT_R_X7Y42 ROW 112 TILEPROP INT_R_X7Y42 SLR_REGION_ID 0 TILEPROP INT_R_X7Y42 TILE_PATTERN_IDX 7485 TILEPROP INT_R_X7Y42 TILE_TYPE INT_R TILEPROP INT_R_X7Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y42 TILE_X -61262 TILEPROP INT_R_X7Y42 TILE_Y -104248 TILEPROP INT_R_X7Y42 TYPE INT_R TILEPROP INT_R_X7Y43 CLASS tile TILEPROP INT_R_X7Y43 COLUMN 22 TILEPROP INT_R_X7Y43 DEVICE_ID 0 TILEPROP INT_R_X7Y43 FIRST_SITE_ID 11093 TILEPROP INT_R_X7Y43 GRID_POINT_X 22 TILEPROP INT_R_X7Y43 GRID_POINT_Y 111 TILEPROP INT_R_X7Y43 INDEX 12787 TILEPROP INT_R_X7Y43 INT_TILE_X 7 TILEPROP INT_R_X7Y43 INT_TILE_Y 106 TILEPROP INT_R_X7Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y43 IS_DCM_TILE 0 TILEPROP INT_R_X7Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y43 NAME INT_R_X7Y43 TILEPROP INT_R_X7Y43 NUM_ARCS 3737 TILEPROP INT_R_X7Y43 NUM_SITES 1 TILEPROP INT_R_X7Y43 ROW 111 TILEPROP INT_R_X7Y43 SLR_REGION_ID 0 TILEPROP INT_R_X7Y43 TILE_PATTERN_IDX 7456 TILEPROP INT_R_X7Y43 TILE_TYPE INT_R TILEPROP INT_R_X7Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y43 TILE_X -61262 TILEPROP INT_R_X7Y43 TILE_Y -101048 TILEPROP INT_R_X7Y43 TYPE INT_R TILEPROP INT_R_X7Y44 CLASS tile TILEPROP INT_R_X7Y44 COLUMN 22 TILEPROP INT_R_X7Y44 DEVICE_ID 0 TILEPROP INT_R_X7Y44 FIRST_SITE_ID 10991 TILEPROP INT_R_X7Y44 GRID_POINT_X 22 TILEPROP INT_R_X7Y44 GRID_POINT_Y 110 TILEPROP INT_R_X7Y44 INDEX 12672 TILEPROP INT_R_X7Y44 INT_TILE_X 7 TILEPROP INT_R_X7Y44 INT_TILE_Y 105 TILEPROP INT_R_X7Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y44 IS_DCM_TILE 0 TILEPROP INT_R_X7Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y44 NAME INT_R_X7Y44 TILEPROP INT_R_X7Y44 NUM_ARCS 3737 TILEPROP INT_R_X7Y44 NUM_SITES 1 TILEPROP INT_R_X7Y44 ROW 110 TILEPROP INT_R_X7Y44 SLR_REGION_ID 0 TILEPROP INT_R_X7Y44 TILE_PATTERN_IDX 7428 TILEPROP INT_R_X7Y44 TILE_TYPE INT_R TILEPROP INT_R_X7Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y44 TILE_X -61262 TILEPROP INT_R_X7Y44 TILE_Y -97848 TILEPROP INT_R_X7Y44 TYPE INT_R TILEPROP INT_R_X7Y45 CLASS tile TILEPROP INT_R_X7Y45 COLUMN 22 TILEPROP INT_R_X7Y45 DEVICE_ID 0 TILEPROP INT_R_X7Y45 FIRST_SITE_ID 10878 TILEPROP INT_R_X7Y45 GRID_POINT_X 22 TILEPROP INT_R_X7Y45 GRID_POINT_Y 109 TILEPROP INT_R_X7Y45 INDEX 12557 TILEPROP INT_R_X7Y45 INT_TILE_X 7 TILEPROP INT_R_X7Y45 INT_TILE_Y 104 TILEPROP INT_R_X7Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y45 IS_DCM_TILE 0 TILEPROP INT_R_X7Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y45 NAME INT_R_X7Y45 TILEPROP INT_R_X7Y45 NUM_ARCS 3737 TILEPROP INT_R_X7Y45 NUM_SITES 1 TILEPROP INT_R_X7Y45 ROW 109 TILEPROP INT_R_X7Y45 SLR_REGION_ID 0 TILEPROP INT_R_X7Y45 TILE_PATTERN_IDX 7392 TILEPROP INT_R_X7Y45 TILE_TYPE INT_R TILEPROP INT_R_X7Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y45 TILE_X -61262 TILEPROP INT_R_X7Y45 TILE_Y -94648 TILEPROP INT_R_X7Y45 TYPE INT_R TILEPROP INT_R_X7Y46 CLASS tile TILEPROP INT_R_X7Y46 COLUMN 22 TILEPROP INT_R_X7Y46 DEVICE_ID 0 TILEPROP INT_R_X7Y46 FIRST_SITE_ID 10759 TILEPROP INT_R_X7Y46 GRID_POINT_X 22 TILEPROP INT_R_X7Y46 GRID_POINT_Y 108 TILEPROP INT_R_X7Y46 INDEX 12442 TILEPROP INT_R_X7Y46 INT_TILE_X 7 TILEPROP INT_R_X7Y46 INT_TILE_Y 103 TILEPROP INT_R_X7Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y46 IS_DCM_TILE 0 TILEPROP INT_R_X7Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y46 NAME INT_R_X7Y46 TILEPROP INT_R_X7Y46 NUM_ARCS 3737 TILEPROP INT_R_X7Y46 NUM_SITES 1 TILEPROP INT_R_X7Y46 ROW 108 TILEPROP INT_R_X7Y46 SLR_REGION_ID 0 TILEPROP INT_R_X7Y46 TILE_PATTERN_IDX 7356 TILEPROP INT_R_X7Y46 TILE_TYPE INT_R TILEPROP INT_R_X7Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y46 TILE_X -61262 TILEPROP INT_R_X7Y46 TILE_Y -91448 TILEPROP INT_R_X7Y46 TYPE INT_R TILEPROP INT_R_X7Y47 CLASS tile TILEPROP INT_R_X7Y47 COLUMN 22 TILEPROP INT_R_X7Y47 DEVICE_ID 0 TILEPROP INT_R_X7Y47 FIRST_SITE_ID 10659 TILEPROP INT_R_X7Y47 GRID_POINT_X 22 TILEPROP INT_R_X7Y47 GRID_POINT_Y 107 TILEPROP INT_R_X7Y47 INDEX 12327 TILEPROP INT_R_X7Y47 INT_TILE_X 7 TILEPROP INT_R_X7Y47 INT_TILE_Y 102 TILEPROP INT_R_X7Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y47 IS_DCM_TILE 0 TILEPROP INT_R_X7Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y47 NAME INT_R_X7Y47 TILEPROP INT_R_X7Y47 NUM_ARCS 3737 TILEPROP INT_R_X7Y47 NUM_SITES 1 TILEPROP INT_R_X7Y47 ROW 107 TILEPROP INT_R_X7Y47 SLR_REGION_ID 0 TILEPROP INT_R_X7Y47 TILE_PATTERN_IDX 7319 TILEPROP INT_R_X7Y47 TILE_TYPE INT_R TILEPROP INT_R_X7Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y47 TILE_X -61262 TILEPROP INT_R_X7Y47 TILE_Y -88248 TILEPROP INT_R_X7Y47 TYPE INT_R TILEPROP INT_R_X7Y48 CLASS tile TILEPROP INT_R_X7Y48 COLUMN 22 TILEPROP INT_R_X7Y48 DEVICE_ID 0 TILEPROP INT_R_X7Y48 FIRST_SITE_ID 10559 TILEPROP INT_R_X7Y48 GRID_POINT_X 22 TILEPROP INT_R_X7Y48 GRID_POINT_Y 106 TILEPROP INT_R_X7Y48 INDEX 12212 TILEPROP INT_R_X7Y48 INT_TILE_X 7 TILEPROP INT_R_X7Y48 INT_TILE_Y 101 TILEPROP INT_R_X7Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y48 IS_DCM_TILE 0 TILEPROP INT_R_X7Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y48 NAME INT_R_X7Y48 TILEPROP INT_R_X7Y48 NUM_ARCS 3737 TILEPROP INT_R_X7Y48 NUM_SITES 1 TILEPROP INT_R_X7Y48 ROW 106 TILEPROP INT_R_X7Y48 SLR_REGION_ID 0 TILEPROP INT_R_X7Y48 TILE_PATTERN_IDX 7283 TILEPROP INT_R_X7Y48 TILE_TYPE INT_R TILEPROP INT_R_X7Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y48 TILE_X -61262 TILEPROP INT_R_X7Y48 TILE_Y -85048 TILEPROP INT_R_X7Y48 TYPE INT_R TILEPROP INT_R_X7Y49 CLASS tile TILEPROP INT_R_X7Y49 COLUMN 22 TILEPROP INT_R_X7Y49 DEVICE_ID 0 TILEPROP INT_R_X7Y49 FIRST_SITE_ID 10463 TILEPROP INT_R_X7Y49 GRID_POINT_X 22 TILEPROP INT_R_X7Y49 GRID_POINT_Y 105 TILEPROP INT_R_X7Y49 INDEX 12097 TILEPROP INT_R_X7Y49 INT_TILE_X 7 TILEPROP INT_R_X7Y49 INT_TILE_Y 100 TILEPROP INT_R_X7Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y49 IS_DCM_TILE 0 TILEPROP INT_R_X7Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y49 NAME INT_R_X7Y49 TILEPROP INT_R_X7Y49 NUM_ARCS 3737 TILEPROP INT_R_X7Y49 NUM_SITES 1 TILEPROP INT_R_X7Y49 ROW 105 TILEPROP INT_R_X7Y49 SLR_REGION_ID 0 TILEPROP INT_R_X7Y49 TILE_PATTERN_IDX 7247 TILEPROP INT_R_X7Y49 TILE_TYPE INT_R TILEPROP INT_R_X7Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y49 TILE_X -61262 TILEPROP INT_R_X7Y49 TILE_Y -81848 TILEPROP INT_R_X7Y49 TYPE INT_R TILEPROP INT_R_X7Y50 CLASS tile TILEPROP INT_R_X7Y50 COLUMN 22 TILEPROP INT_R_X7Y50 DEVICE_ID 0 TILEPROP INT_R_X7Y50 FIRST_SITE_ID 10335 TILEPROP INT_R_X7Y50 GRID_POINT_X 22 TILEPROP INT_R_X7Y50 GRID_POINT_Y 103 TILEPROP INT_R_X7Y50 INDEX 11867 TILEPROP INT_R_X7Y50 INT_TILE_X 7 TILEPROP INT_R_X7Y50 INT_TILE_Y 99 TILEPROP INT_R_X7Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y50 IS_DCM_TILE 0 TILEPROP INT_R_X7Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y50 NAME INT_R_X7Y50 TILEPROP INT_R_X7Y50 NUM_ARCS 3737 TILEPROP INT_R_X7Y50 NUM_SITES 1 TILEPROP INT_R_X7Y50 ROW 103 TILEPROP INT_R_X7Y50 SLR_REGION_ID 0 TILEPROP INT_R_X7Y50 TILE_PATTERN_IDX 7210 TILEPROP INT_R_X7Y50 TILE_TYPE INT_R TILEPROP INT_R_X7Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y50 TILE_X -61262 TILEPROP INT_R_X7Y50 TILE_Y -78400 TILEPROP INT_R_X7Y50 TYPE INT_R TILEPROP INT_R_X7Y51 CLASS tile TILEPROP INT_R_X7Y51 COLUMN 22 TILEPROP INT_R_X7Y51 DEVICE_ID 0 TILEPROP INT_R_X7Y51 FIRST_SITE_ID 10228 TILEPROP INT_R_X7Y51 GRID_POINT_X 22 TILEPROP INT_R_X7Y51 GRID_POINT_Y 102 TILEPROP INT_R_X7Y51 INDEX 11752 TILEPROP INT_R_X7Y51 INT_TILE_X 7 TILEPROP INT_R_X7Y51 INT_TILE_Y 98 TILEPROP INT_R_X7Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y51 IS_DCM_TILE 0 TILEPROP INT_R_X7Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y51 NAME INT_R_X7Y51 TILEPROP INT_R_X7Y51 NUM_ARCS 3737 TILEPROP INT_R_X7Y51 NUM_SITES 1 TILEPROP INT_R_X7Y51 ROW 102 TILEPROP INT_R_X7Y51 SLR_REGION_ID 0 TILEPROP INT_R_X7Y51 TILE_PATTERN_IDX 7173 TILEPROP INT_R_X7Y51 TILE_TYPE INT_R TILEPROP INT_R_X7Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y51 TILE_X -61262 TILEPROP INT_R_X7Y51 TILE_Y -75200 TILEPROP INT_R_X7Y51 TYPE INT_R TILEPROP INT_R_X7Y52 CLASS tile TILEPROP INT_R_X7Y52 COLUMN 22 TILEPROP INT_R_X7Y52 DEVICE_ID 0 TILEPROP INT_R_X7Y52 FIRST_SITE_ID 10128 TILEPROP INT_R_X7Y52 GRID_POINT_X 22 TILEPROP INT_R_X7Y52 GRID_POINT_Y 101 TILEPROP INT_R_X7Y52 INDEX 11637 TILEPROP INT_R_X7Y52 INT_TILE_X 7 TILEPROP INT_R_X7Y52 INT_TILE_Y 97 TILEPROP INT_R_X7Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y52 IS_DCM_TILE 0 TILEPROP INT_R_X7Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y52 NAME INT_R_X7Y52 TILEPROP INT_R_X7Y52 NUM_ARCS 3737 TILEPROP INT_R_X7Y52 NUM_SITES 1 TILEPROP INT_R_X7Y52 ROW 101 TILEPROP INT_R_X7Y52 SLR_REGION_ID 0 TILEPROP INT_R_X7Y52 TILE_PATTERN_IDX 7136 TILEPROP INT_R_X7Y52 TILE_TYPE INT_R TILEPROP INT_R_X7Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y52 TILE_X -61262 TILEPROP INT_R_X7Y52 TILE_Y -72000 TILEPROP INT_R_X7Y52 TYPE INT_R TILEPROP INT_R_X7Y53 CLASS tile TILEPROP INT_R_X7Y53 COLUMN 22 TILEPROP INT_R_X7Y53 DEVICE_ID 0 TILEPROP INT_R_X7Y53 FIRST_SITE_ID 10028 TILEPROP INT_R_X7Y53 GRID_POINT_X 22 TILEPROP INT_R_X7Y53 GRID_POINT_Y 100 TILEPROP INT_R_X7Y53 INDEX 11522 TILEPROP INT_R_X7Y53 INT_TILE_X 7 TILEPROP INT_R_X7Y53 INT_TILE_Y 96 TILEPROP INT_R_X7Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y53 IS_DCM_TILE 0 TILEPROP INT_R_X7Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y53 NAME INT_R_X7Y53 TILEPROP INT_R_X7Y53 NUM_ARCS 3737 TILEPROP INT_R_X7Y53 NUM_SITES 1 TILEPROP INT_R_X7Y53 ROW 100 TILEPROP INT_R_X7Y53 SLR_REGION_ID 0 TILEPROP INT_R_X7Y53 TILE_PATTERN_IDX 7099 TILEPROP INT_R_X7Y53 TILE_TYPE INT_R TILEPROP INT_R_X7Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y53 TILE_X -61262 TILEPROP INT_R_X7Y53 TILE_Y -68800 TILEPROP INT_R_X7Y53 TYPE INT_R TILEPROP INT_R_X7Y54 CLASS tile TILEPROP INT_R_X7Y54 COLUMN 22 TILEPROP INT_R_X7Y54 DEVICE_ID 0 TILEPROP INT_R_X7Y54 FIRST_SITE_ID 9928 TILEPROP INT_R_X7Y54 GRID_POINT_X 22 TILEPROP INT_R_X7Y54 GRID_POINT_Y 99 TILEPROP INT_R_X7Y54 INDEX 11407 TILEPROP INT_R_X7Y54 INT_TILE_X 7 TILEPROP INT_R_X7Y54 INT_TILE_Y 95 TILEPROP INT_R_X7Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y54 IS_DCM_TILE 0 TILEPROP INT_R_X7Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y54 NAME INT_R_X7Y54 TILEPROP INT_R_X7Y54 NUM_ARCS 3737 TILEPROP INT_R_X7Y54 NUM_SITES 1 TILEPROP INT_R_X7Y54 ROW 99 TILEPROP INT_R_X7Y54 SLR_REGION_ID 0 TILEPROP INT_R_X7Y54 TILE_PATTERN_IDX 7062 TILEPROP INT_R_X7Y54 TILE_TYPE INT_R TILEPROP INT_R_X7Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y54 TILE_X -61262 TILEPROP INT_R_X7Y54 TILE_Y -65600 TILEPROP INT_R_X7Y54 TYPE INT_R TILEPROP INT_R_X7Y55 CLASS tile TILEPROP INT_R_X7Y55 COLUMN 22 TILEPROP INT_R_X7Y55 DEVICE_ID 0 TILEPROP INT_R_X7Y55 FIRST_SITE_ID 9816 TILEPROP INT_R_X7Y55 GRID_POINT_X 22 TILEPROP INT_R_X7Y55 GRID_POINT_Y 98 TILEPROP INT_R_X7Y55 INDEX 11292 TILEPROP INT_R_X7Y55 INT_TILE_X 7 TILEPROP INT_R_X7Y55 INT_TILE_Y 94 TILEPROP INT_R_X7Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y55 IS_DCM_TILE 0 TILEPROP INT_R_X7Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y55 NAME INT_R_X7Y55 TILEPROP INT_R_X7Y55 NUM_ARCS 3737 TILEPROP INT_R_X7Y55 NUM_SITES 1 TILEPROP INT_R_X7Y55 ROW 98 TILEPROP INT_R_X7Y55 SLR_REGION_ID 0 TILEPROP INT_R_X7Y55 TILE_PATTERN_IDX 7024 TILEPROP INT_R_X7Y55 TILE_TYPE INT_R TILEPROP INT_R_X7Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y55 TILE_X -61262 TILEPROP INT_R_X7Y55 TILE_Y -62400 TILEPROP INT_R_X7Y55 TYPE INT_R TILEPROP INT_R_X7Y56 CLASS tile TILEPROP INT_R_X7Y56 COLUMN 22 TILEPROP INT_R_X7Y56 DEVICE_ID 0 TILEPROP INT_R_X7Y56 FIRST_SITE_ID 9713 TILEPROP INT_R_X7Y56 GRID_POINT_X 22 TILEPROP INT_R_X7Y56 GRID_POINT_Y 97 TILEPROP INT_R_X7Y56 INDEX 11177 TILEPROP INT_R_X7Y56 INT_TILE_X 7 TILEPROP INT_R_X7Y56 INT_TILE_Y 93 TILEPROP INT_R_X7Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y56 IS_DCM_TILE 0 TILEPROP INT_R_X7Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y56 NAME INT_R_X7Y56 TILEPROP INT_R_X7Y56 NUM_ARCS 3737 TILEPROP INT_R_X7Y56 NUM_SITES 1 TILEPROP INT_R_X7Y56 ROW 97 TILEPROP INT_R_X7Y56 SLR_REGION_ID 0 TILEPROP INT_R_X7Y56 TILE_PATTERN_IDX 6987 TILEPROP INT_R_X7Y56 TILE_TYPE INT_R TILEPROP INT_R_X7Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y56 TILE_X -61262 TILEPROP INT_R_X7Y56 TILE_Y -59200 TILEPROP INT_R_X7Y56 TYPE INT_R TILEPROP INT_R_X7Y57 CLASS tile TILEPROP INT_R_X7Y57 COLUMN 22 TILEPROP INT_R_X7Y57 DEVICE_ID 0 TILEPROP INT_R_X7Y57 FIRST_SITE_ID 9611 TILEPROP INT_R_X7Y57 GRID_POINT_X 22 TILEPROP INT_R_X7Y57 GRID_POINT_Y 96 TILEPROP INT_R_X7Y57 INDEX 11062 TILEPROP INT_R_X7Y57 INT_TILE_X 7 TILEPROP INT_R_X7Y57 INT_TILE_Y 92 TILEPROP INT_R_X7Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y57 IS_DCM_TILE 0 TILEPROP INT_R_X7Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y57 NAME INT_R_X7Y57 TILEPROP INT_R_X7Y57 NUM_ARCS 3737 TILEPROP INT_R_X7Y57 NUM_SITES 1 TILEPROP INT_R_X7Y57 ROW 96 TILEPROP INT_R_X7Y57 SLR_REGION_ID 0 TILEPROP INT_R_X7Y57 TILE_PATTERN_IDX 6950 TILEPROP INT_R_X7Y57 TILE_TYPE INT_R TILEPROP INT_R_X7Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y57 TILE_X -61262 TILEPROP INT_R_X7Y57 TILE_Y -56000 TILEPROP INT_R_X7Y57 TYPE INT_R TILEPROP INT_R_X7Y58 CLASS tile TILEPROP INT_R_X7Y58 COLUMN 22 TILEPROP INT_R_X7Y58 DEVICE_ID 0 TILEPROP INT_R_X7Y58 FIRST_SITE_ID 9508 TILEPROP INT_R_X7Y58 GRID_POINT_X 22 TILEPROP INT_R_X7Y58 GRID_POINT_Y 95 TILEPROP INT_R_X7Y58 INDEX 10947 TILEPROP INT_R_X7Y58 INT_TILE_X 7 TILEPROP INT_R_X7Y58 INT_TILE_Y 91 TILEPROP INT_R_X7Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y58 IS_DCM_TILE 0 TILEPROP INT_R_X7Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y58 NAME INT_R_X7Y58 TILEPROP INT_R_X7Y58 NUM_ARCS 3737 TILEPROP INT_R_X7Y58 NUM_SITES 1 TILEPROP INT_R_X7Y58 ROW 95 TILEPROP INT_R_X7Y58 SLR_REGION_ID 0 TILEPROP INT_R_X7Y58 TILE_PATTERN_IDX 6912 TILEPROP INT_R_X7Y58 TILE_TYPE INT_R TILEPROP INT_R_X7Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y58 TILE_X -61262 TILEPROP INT_R_X7Y58 TILE_Y -52800 TILEPROP INT_R_X7Y58 TYPE INT_R TILEPROP INT_R_X7Y59 CLASS tile TILEPROP INT_R_X7Y59 COLUMN 22 TILEPROP INT_R_X7Y59 DEVICE_ID 0 TILEPROP INT_R_X7Y59 FIRST_SITE_ID 9407 TILEPROP INT_R_X7Y59 GRID_POINT_X 22 TILEPROP INT_R_X7Y59 GRID_POINT_Y 94 TILEPROP INT_R_X7Y59 INDEX 10832 TILEPROP INT_R_X7Y59 INT_TILE_X 7 TILEPROP INT_R_X7Y59 INT_TILE_Y 90 TILEPROP INT_R_X7Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y59 IS_DCM_TILE 0 TILEPROP INT_R_X7Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y59 NAME INT_R_X7Y59 TILEPROP INT_R_X7Y59 NUM_ARCS 3737 TILEPROP INT_R_X7Y59 NUM_SITES 1 TILEPROP INT_R_X7Y59 ROW 94 TILEPROP INT_R_X7Y59 SLR_REGION_ID 0 TILEPROP INT_R_X7Y59 TILE_PATTERN_IDX 6875 TILEPROP INT_R_X7Y59 TILE_TYPE INT_R TILEPROP INT_R_X7Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y59 TILE_X -61262 TILEPROP INT_R_X7Y59 TILE_Y -49600 TILEPROP INT_R_X7Y59 TYPE INT_R TILEPROP INT_R_X7Y60 CLASS tile TILEPROP INT_R_X7Y60 COLUMN 22 TILEPROP INT_R_X7Y60 DEVICE_ID 0 TILEPROP INT_R_X7Y60 FIRST_SITE_ID 9295 TILEPROP INT_R_X7Y60 GRID_POINT_X 22 TILEPROP INT_R_X7Y60 GRID_POINT_Y 93 TILEPROP INT_R_X7Y60 INDEX 10717 TILEPROP INT_R_X7Y60 INT_TILE_X 7 TILEPROP INT_R_X7Y60 INT_TILE_Y 89 TILEPROP INT_R_X7Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y60 IS_DCM_TILE 0 TILEPROP INT_R_X7Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y60 NAME INT_R_X7Y60 TILEPROP INT_R_X7Y60 NUM_ARCS 3737 TILEPROP INT_R_X7Y60 NUM_SITES 1 TILEPROP INT_R_X7Y60 ROW 93 TILEPROP INT_R_X7Y60 SLR_REGION_ID 0 TILEPROP INT_R_X7Y60 TILE_PATTERN_IDX 6838 TILEPROP INT_R_X7Y60 TILE_TYPE INT_R TILEPROP INT_R_X7Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y60 TILE_X -61262 TILEPROP INT_R_X7Y60 TILE_Y -46400 TILEPROP INT_R_X7Y60 TYPE INT_R TILEPROP INT_R_X7Y61 CLASS tile TILEPROP INT_R_X7Y61 COLUMN 22 TILEPROP INT_R_X7Y61 DEVICE_ID 0 TILEPROP INT_R_X7Y61 FIRST_SITE_ID 9192 TILEPROP INT_R_X7Y61 GRID_POINT_X 22 TILEPROP INT_R_X7Y61 GRID_POINT_Y 92 TILEPROP INT_R_X7Y61 INDEX 10602 TILEPROP INT_R_X7Y61 INT_TILE_X 7 TILEPROP INT_R_X7Y61 INT_TILE_Y 88 TILEPROP INT_R_X7Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y61 IS_DCM_TILE 0 TILEPROP INT_R_X7Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y61 NAME INT_R_X7Y61 TILEPROP INT_R_X7Y61 NUM_ARCS 3737 TILEPROP INT_R_X7Y61 NUM_SITES 1 TILEPROP INT_R_X7Y61 ROW 92 TILEPROP INT_R_X7Y61 SLR_REGION_ID 0 TILEPROP INT_R_X7Y61 TILE_PATTERN_IDX 6801 TILEPROP INT_R_X7Y61 TILE_TYPE INT_R TILEPROP INT_R_X7Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y61 TILE_X -61262 TILEPROP INT_R_X7Y61 TILE_Y -43200 TILEPROP INT_R_X7Y61 TYPE INT_R TILEPROP INT_R_X7Y62 CLASS tile TILEPROP INT_R_X7Y62 COLUMN 22 TILEPROP INT_R_X7Y62 DEVICE_ID 0 TILEPROP INT_R_X7Y62 FIRST_SITE_ID 9060 TILEPROP INT_R_X7Y62 GRID_POINT_X 22 TILEPROP INT_R_X7Y62 GRID_POINT_Y 91 TILEPROP INT_R_X7Y62 INDEX 10487 TILEPROP INT_R_X7Y62 INT_TILE_X 7 TILEPROP INT_R_X7Y62 INT_TILE_Y 87 TILEPROP INT_R_X7Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y62 IS_DCM_TILE 0 TILEPROP INT_R_X7Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y62 NAME INT_R_X7Y62 TILEPROP INT_R_X7Y62 NUM_ARCS 3737 TILEPROP INT_R_X7Y62 NUM_SITES 1 TILEPROP INT_R_X7Y62 ROW 91 TILEPROP INT_R_X7Y62 SLR_REGION_ID 0 TILEPROP INT_R_X7Y62 TILE_PATTERN_IDX 6764 TILEPROP INT_R_X7Y62 TILE_TYPE INT_R TILEPROP INT_R_X7Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y62 TILE_X -61262 TILEPROP INT_R_X7Y62 TILE_Y -40000 TILEPROP INT_R_X7Y62 TYPE INT_R TILEPROP INT_R_X7Y63 CLASS tile TILEPROP INT_R_X7Y63 COLUMN 22 TILEPROP INT_R_X7Y63 DEVICE_ID 0 TILEPROP INT_R_X7Y63 FIRST_SITE_ID 8960 TILEPROP INT_R_X7Y63 GRID_POINT_X 22 TILEPROP INT_R_X7Y63 GRID_POINT_Y 90 TILEPROP INT_R_X7Y63 INDEX 10372 TILEPROP INT_R_X7Y63 INT_TILE_X 7 TILEPROP INT_R_X7Y63 INT_TILE_Y 86 TILEPROP INT_R_X7Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y63 IS_DCM_TILE 0 TILEPROP INT_R_X7Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y63 NAME INT_R_X7Y63 TILEPROP INT_R_X7Y63 NUM_ARCS 3737 TILEPROP INT_R_X7Y63 NUM_SITES 1 TILEPROP INT_R_X7Y63 ROW 90 TILEPROP INT_R_X7Y63 SLR_REGION_ID 0 TILEPROP INT_R_X7Y63 TILE_PATTERN_IDX 6727 TILEPROP INT_R_X7Y63 TILE_TYPE INT_R TILEPROP INT_R_X7Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y63 TILE_X -61262 TILEPROP INT_R_X7Y63 TILE_Y -36800 TILEPROP INT_R_X7Y63 TYPE INT_R TILEPROP INT_R_X7Y64 CLASS tile TILEPROP INT_R_X7Y64 COLUMN 22 TILEPROP INT_R_X7Y64 DEVICE_ID 0 TILEPROP INT_R_X7Y64 FIRST_SITE_ID 8860 TILEPROP INT_R_X7Y64 GRID_POINT_X 22 TILEPROP INT_R_X7Y64 GRID_POINT_Y 89 TILEPROP INT_R_X7Y64 INDEX 10257 TILEPROP INT_R_X7Y64 INT_TILE_X 7 TILEPROP INT_R_X7Y64 INT_TILE_Y 85 TILEPROP INT_R_X7Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y64 IS_DCM_TILE 0 TILEPROP INT_R_X7Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y64 NAME INT_R_X7Y64 TILEPROP INT_R_X7Y64 NUM_ARCS 3737 TILEPROP INT_R_X7Y64 NUM_SITES 1 TILEPROP INT_R_X7Y64 ROW 89 TILEPROP INT_R_X7Y64 SLR_REGION_ID 0 TILEPROP INT_R_X7Y64 TILE_PATTERN_IDX 6690 TILEPROP INT_R_X7Y64 TILE_TYPE INT_R TILEPROP INT_R_X7Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y64 TILE_X -61262 TILEPROP INT_R_X7Y64 TILE_Y -33600 TILEPROP INT_R_X7Y64 TYPE INT_R TILEPROP INT_R_X7Y65 CLASS tile TILEPROP INT_R_X7Y65 COLUMN 22 TILEPROP INT_R_X7Y65 DEVICE_ID 0 TILEPROP INT_R_X7Y65 FIRST_SITE_ID 8748 TILEPROP INT_R_X7Y65 GRID_POINT_X 22 TILEPROP INT_R_X7Y65 GRID_POINT_Y 88 TILEPROP INT_R_X7Y65 INDEX 10142 TILEPROP INT_R_X7Y65 INT_TILE_X 7 TILEPROP INT_R_X7Y65 INT_TILE_Y 84 TILEPROP INT_R_X7Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y65 IS_DCM_TILE 0 TILEPROP INT_R_X7Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y65 NAME INT_R_X7Y65 TILEPROP INT_R_X7Y65 NUM_ARCS 3737 TILEPROP INT_R_X7Y65 NUM_SITES 1 TILEPROP INT_R_X7Y65 ROW 88 TILEPROP INT_R_X7Y65 SLR_REGION_ID 0 TILEPROP INT_R_X7Y65 TILE_PATTERN_IDX 6653 TILEPROP INT_R_X7Y65 TILE_TYPE INT_R TILEPROP INT_R_X7Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y65 TILE_X -61262 TILEPROP INT_R_X7Y65 TILE_Y -30400 TILEPROP INT_R_X7Y65 TYPE INT_R TILEPROP INT_R_X7Y66 CLASS tile TILEPROP INT_R_X7Y66 COLUMN 22 TILEPROP INT_R_X7Y66 DEVICE_ID 0 TILEPROP INT_R_X7Y66 FIRST_SITE_ID 8645 TILEPROP INT_R_X7Y66 GRID_POINT_X 22 TILEPROP INT_R_X7Y66 GRID_POINT_Y 87 TILEPROP INT_R_X7Y66 INDEX 10027 TILEPROP INT_R_X7Y66 INT_TILE_X 7 TILEPROP INT_R_X7Y66 INT_TILE_Y 83 TILEPROP INT_R_X7Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y66 IS_DCM_TILE 0 TILEPROP INT_R_X7Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y66 NAME INT_R_X7Y66 TILEPROP INT_R_X7Y66 NUM_ARCS 3737 TILEPROP INT_R_X7Y66 NUM_SITES 1 TILEPROP INT_R_X7Y66 ROW 87 TILEPROP INT_R_X7Y66 SLR_REGION_ID 0 TILEPROP INT_R_X7Y66 TILE_PATTERN_IDX 6616 TILEPROP INT_R_X7Y66 TILE_TYPE INT_R TILEPROP INT_R_X7Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y66 TILE_X -61262 TILEPROP INT_R_X7Y66 TILE_Y -27200 TILEPROP INT_R_X7Y66 TYPE INT_R TILEPROP INT_R_X7Y67 CLASS tile TILEPROP INT_R_X7Y67 COLUMN 22 TILEPROP INT_R_X7Y67 DEVICE_ID 0 TILEPROP INT_R_X7Y67 FIRST_SITE_ID 8541 TILEPROP INT_R_X7Y67 GRID_POINT_X 22 TILEPROP INT_R_X7Y67 GRID_POINT_Y 86 TILEPROP INT_R_X7Y67 INDEX 9912 TILEPROP INT_R_X7Y67 INT_TILE_X 7 TILEPROP INT_R_X7Y67 INT_TILE_Y 82 TILEPROP INT_R_X7Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y67 IS_DCM_TILE 0 TILEPROP INT_R_X7Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y67 NAME INT_R_X7Y67 TILEPROP INT_R_X7Y67 NUM_ARCS 3737 TILEPROP INT_R_X7Y67 NUM_SITES 1 TILEPROP INT_R_X7Y67 ROW 86 TILEPROP INT_R_X7Y67 SLR_REGION_ID 0 TILEPROP INT_R_X7Y67 TILE_PATTERN_IDX 6578 TILEPROP INT_R_X7Y67 TILE_TYPE INT_R TILEPROP INT_R_X7Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y67 TILE_X -61262 TILEPROP INT_R_X7Y67 TILE_Y -24000 TILEPROP INT_R_X7Y67 TYPE INT_R TILEPROP INT_R_X7Y68 CLASS tile TILEPROP INT_R_X7Y68 COLUMN 22 TILEPROP INT_R_X7Y68 DEVICE_ID 0 TILEPROP INT_R_X7Y68 FIRST_SITE_ID 8437 TILEPROP INT_R_X7Y68 GRID_POINT_X 22 TILEPROP INT_R_X7Y68 GRID_POINT_Y 85 TILEPROP INT_R_X7Y68 INDEX 9797 TILEPROP INT_R_X7Y68 INT_TILE_X 7 TILEPROP INT_R_X7Y68 INT_TILE_Y 81 TILEPROP INT_R_X7Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y68 IS_DCM_TILE 0 TILEPROP INT_R_X7Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y68 NAME INT_R_X7Y68 TILEPROP INT_R_X7Y68 NUM_ARCS 3737 TILEPROP INT_R_X7Y68 NUM_SITES 1 TILEPROP INT_R_X7Y68 ROW 85 TILEPROP INT_R_X7Y68 SLR_REGION_ID 0 TILEPROP INT_R_X7Y68 TILE_PATTERN_IDX 6546 TILEPROP INT_R_X7Y68 TILE_TYPE INT_R TILEPROP INT_R_X7Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y68 TILE_X -61262 TILEPROP INT_R_X7Y68 TILE_Y -20800 TILEPROP INT_R_X7Y68 TYPE INT_R TILEPROP INT_R_X7Y69 CLASS tile TILEPROP INT_R_X7Y69 COLUMN 22 TILEPROP INT_R_X7Y69 DEVICE_ID 0 TILEPROP INT_R_X7Y69 FIRST_SITE_ID 8335 TILEPROP INT_R_X7Y69 GRID_POINT_X 22 TILEPROP INT_R_X7Y69 GRID_POINT_Y 84 TILEPROP INT_R_X7Y69 INDEX 9682 TILEPROP INT_R_X7Y69 INT_TILE_X 7 TILEPROP INT_R_X7Y69 INT_TILE_Y 80 TILEPROP INT_R_X7Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y69 IS_DCM_TILE 0 TILEPROP INT_R_X7Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y69 NAME INT_R_X7Y69 TILEPROP INT_R_X7Y69 NUM_ARCS 3737 TILEPROP INT_R_X7Y69 NUM_SITES 1 TILEPROP INT_R_X7Y69 ROW 84 TILEPROP INT_R_X7Y69 SLR_REGION_ID 0 TILEPROP INT_R_X7Y69 TILE_PATTERN_IDX 6515 TILEPROP INT_R_X7Y69 TILE_TYPE INT_R TILEPROP INT_R_X7Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y69 TILE_X -61262 TILEPROP INT_R_X7Y69 TILE_Y -17600 TILEPROP INT_R_X7Y69 TYPE INT_R TILEPROP INT_R_X7Y70 CLASS tile TILEPROP INT_R_X7Y70 COLUMN 22 TILEPROP INT_R_X7Y70 DEVICE_ID 0 TILEPROP INT_R_X7Y70 FIRST_SITE_ID 8221 TILEPROP INT_R_X7Y70 GRID_POINT_X 22 TILEPROP INT_R_X7Y70 GRID_POINT_Y 83 TILEPROP INT_R_X7Y70 INDEX 9567 TILEPROP INT_R_X7Y70 INT_TILE_X 7 TILEPROP INT_R_X7Y70 INT_TILE_Y 79 TILEPROP INT_R_X7Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y70 IS_DCM_TILE 0 TILEPROP INT_R_X7Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y70 NAME INT_R_X7Y70 TILEPROP INT_R_X7Y70 NUM_ARCS 3737 TILEPROP INT_R_X7Y70 NUM_SITES 1 TILEPROP INT_R_X7Y70 ROW 83 TILEPROP INT_R_X7Y70 SLR_REGION_ID 0 TILEPROP INT_R_X7Y70 TILE_PATTERN_IDX 6484 TILEPROP INT_R_X7Y70 TILE_TYPE INT_R TILEPROP INT_R_X7Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y70 TILE_X -61262 TILEPROP INT_R_X7Y70 TILE_Y -14400 TILEPROP INT_R_X7Y70 TYPE INT_R TILEPROP INT_R_X7Y71 CLASS tile TILEPROP INT_R_X7Y71 COLUMN 22 TILEPROP INT_R_X7Y71 DEVICE_ID 0 TILEPROP INT_R_X7Y71 FIRST_SITE_ID 8118 TILEPROP INT_R_X7Y71 GRID_POINT_X 22 TILEPROP INT_R_X7Y71 GRID_POINT_Y 82 TILEPROP INT_R_X7Y71 INDEX 9452 TILEPROP INT_R_X7Y71 INT_TILE_X 7 TILEPROP INT_R_X7Y71 INT_TILE_Y 78 TILEPROP INT_R_X7Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y71 IS_DCM_TILE 0 TILEPROP INT_R_X7Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y71 NAME INT_R_X7Y71 TILEPROP INT_R_X7Y71 NUM_ARCS 3737 TILEPROP INT_R_X7Y71 NUM_SITES 1 TILEPROP INT_R_X7Y71 ROW 82 TILEPROP INT_R_X7Y71 SLR_REGION_ID 0 TILEPROP INT_R_X7Y71 TILE_PATTERN_IDX 6453 TILEPROP INT_R_X7Y71 TILE_TYPE INT_R TILEPROP INT_R_X7Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y71 TILE_X -61262 TILEPROP INT_R_X7Y71 TILE_Y -11200 TILEPROP INT_R_X7Y71 TYPE INT_R TILEPROP INT_R_X7Y72 CLASS tile TILEPROP INT_R_X7Y72 COLUMN 22 TILEPROP INT_R_X7Y72 DEVICE_ID 0 TILEPROP INT_R_X7Y72 FIRST_SITE_ID 8018 TILEPROP INT_R_X7Y72 GRID_POINT_X 22 TILEPROP INT_R_X7Y72 GRID_POINT_Y 81 TILEPROP INT_R_X7Y72 INDEX 9337 TILEPROP INT_R_X7Y72 INT_TILE_X 7 TILEPROP INT_R_X7Y72 INT_TILE_Y 77 TILEPROP INT_R_X7Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y72 IS_DCM_TILE 0 TILEPROP INT_R_X7Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y72 NAME INT_R_X7Y72 TILEPROP INT_R_X7Y72 NUM_ARCS 3737 TILEPROP INT_R_X7Y72 NUM_SITES 1 TILEPROP INT_R_X7Y72 ROW 81 TILEPROP INT_R_X7Y72 SLR_REGION_ID 0 TILEPROP INT_R_X7Y72 TILE_PATTERN_IDX 6422 TILEPROP INT_R_X7Y72 TILE_TYPE INT_R TILEPROP INT_R_X7Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y72 TILE_X -61262 TILEPROP INT_R_X7Y72 TILE_Y -8000 TILEPROP INT_R_X7Y72 TYPE INT_R TILEPROP INT_R_X7Y73 CLASS tile TILEPROP INT_R_X7Y73 COLUMN 22 TILEPROP INT_R_X7Y73 DEVICE_ID 0 TILEPROP INT_R_X7Y73 FIRST_SITE_ID 7918 TILEPROP INT_R_X7Y73 GRID_POINT_X 22 TILEPROP INT_R_X7Y73 GRID_POINT_Y 80 TILEPROP INT_R_X7Y73 INDEX 9222 TILEPROP INT_R_X7Y73 INT_TILE_X 7 TILEPROP INT_R_X7Y73 INT_TILE_Y 76 TILEPROP INT_R_X7Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y73 IS_DCM_TILE 0 TILEPROP INT_R_X7Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y73 NAME INT_R_X7Y73 TILEPROP INT_R_X7Y73 NUM_ARCS 3737 TILEPROP INT_R_X7Y73 NUM_SITES 1 TILEPROP INT_R_X7Y73 ROW 80 TILEPROP INT_R_X7Y73 SLR_REGION_ID 0 TILEPROP INT_R_X7Y73 TILE_PATTERN_IDX 6391 TILEPROP INT_R_X7Y73 TILE_TYPE INT_R TILEPROP INT_R_X7Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y73 TILE_X -61262 TILEPROP INT_R_X7Y73 TILE_Y -4800 TILEPROP INT_R_X7Y73 TYPE INT_R TILEPROP INT_R_X7Y74 CLASS tile TILEPROP INT_R_X7Y74 COLUMN 22 TILEPROP INT_R_X7Y74 DEVICE_ID 0 TILEPROP INT_R_X7Y74 FIRST_SITE_ID 7818 TILEPROP INT_R_X7Y74 GRID_POINT_X 22 TILEPROP INT_R_X7Y74 GRID_POINT_Y 79 TILEPROP INT_R_X7Y74 INDEX 9107 TILEPROP INT_R_X7Y74 INT_TILE_X 7 TILEPROP INT_R_X7Y74 INT_TILE_Y 75 TILEPROP INT_R_X7Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y74 IS_DCM_TILE 0 TILEPROP INT_R_X7Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y74 NAME INT_R_X7Y74 TILEPROP INT_R_X7Y74 NUM_ARCS 3737 TILEPROP INT_R_X7Y74 NUM_SITES 1 TILEPROP INT_R_X7Y74 ROW 79 TILEPROP INT_R_X7Y74 SLR_REGION_ID 0 TILEPROP INT_R_X7Y74 TILE_PATTERN_IDX 6360 TILEPROP INT_R_X7Y74 TILE_TYPE INT_R TILEPROP INT_R_X7Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y74 TILE_X -61262 TILEPROP INT_R_X7Y74 TILE_Y -1600 TILEPROP INT_R_X7Y74 TYPE INT_R TILEPROP INT_R_X7Y75 CLASS tile TILEPROP INT_R_X7Y75 COLUMN 22 TILEPROP INT_R_X7Y75 DEVICE_ID 0 TILEPROP INT_R_X7Y75 FIRST_SITE_ID 7620 TILEPROP INT_R_X7Y75 GRID_POINT_X 22 TILEPROP INT_R_X7Y75 GRID_POINT_Y 77 TILEPROP INT_R_X7Y75 INDEX 8877 TILEPROP INT_R_X7Y75 INT_TILE_X 7 TILEPROP INT_R_X7Y75 INT_TILE_Y 74 TILEPROP INT_R_X7Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y75 IS_DCM_TILE 0 TILEPROP INT_R_X7Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y75 NAME INT_R_X7Y75 TILEPROP INT_R_X7Y75 NUM_ARCS 3737 TILEPROP INT_R_X7Y75 NUM_SITES 1 TILEPROP INT_R_X7Y75 ROW 77 TILEPROP INT_R_X7Y75 SLR_REGION_ID 0 TILEPROP INT_R_X7Y75 TILE_PATTERN_IDX 6284 TILEPROP INT_R_X7Y75 TILE_TYPE INT_R TILEPROP INT_R_X7Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y75 TILE_X -61262 TILEPROP INT_R_X7Y75 TILE_Y 2624 TILEPROP INT_R_X7Y75 TYPE INT_R TILEPROP INT_R_X7Y76 CLASS tile TILEPROP INT_R_X7Y76 COLUMN 22 TILEPROP INT_R_X7Y76 DEVICE_ID 0 TILEPROP INT_R_X7Y76 FIRST_SITE_ID 7517 TILEPROP INT_R_X7Y76 GRID_POINT_X 22 TILEPROP INT_R_X7Y76 GRID_POINT_Y 76 TILEPROP INT_R_X7Y76 INDEX 8762 TILEPROP INT_R_X7Y76 INT_TILE_X 7 TILEPROP INT_R_X7Y76 INT_TILE_Y 73 TILEPROP INT_R_X7Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y76 IS_DCM_TILE 0 TILEPROP INT_R_X7Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y76 NAME INT_R_X7Y76 TILEPROP INT_R_X7Y76 NUM_ARCS 3737 TILEPROP INT_R_X7Y76 NUM_SITES 1 TILEPROP INT_R_X7Y76 ROW 76 TILEPROP INT_R_X7Y76 SLR_REGION_ID 0 TILEPROP INT_R_X7Y76 TILE_PATTERN_IDX 6251 TILEPROP INT_R_X7Y76 TILE_TYPE INT_R TILEPROP INT_R_X7Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y76 TILE_X -61262 TILEPROP INT_R_X7Y76 TILE_Y 5824 TILEPROP INT_R_X7Y76 TYPE INT_R TILEPROP INT_R_X7Y77 CLASS tile TILEPROP INT_R_X7Y77 COLUMN 22 TILEPROP INT_R_X7Y77 DEVICE_ID 0 TILEPROP INT_R_X7Y77 FIRST_SITE_ID 7417 TILEPROP INT_R_X7Y77 GRID_POINT_X 22 TILEPROP INT_R_X7Y77 GRID_POINT_Y 75 TILEPROP INT_R_X7Y77 INDEX 8647 TILEPROP INT_R_X7Y77 INT_TILE_X 7 TILEPROP INT_R_X7Y77 INT_TILE_Y 72 TILEPROP INT_R_X7Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y77 IS_DCM_TILE 0 TILEPROP INT_R_X7Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y77 NAME INT_R_X7Y77 TILEPROP INT_R_X7Y77 NUM_ARCS 3737 TILEPROP INT_R_X7Y77 NUM_SITES 1 TILEPROP INT_R_X7Y77 ROW 75 TILEPROP INT_R_X7Y77 SLR_REGION_ID 0 TILEPROP INT_R_X7Y77 TILE_PATTERN_IDX 6217 TILEPROP INT_R_X7Y77 TILE_TYPE INT_R TILEPROP INT_R_X7Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y77 TILE_X -61262 TILEPROP INT_R_X7Y77 TILE_Y 9024 TILEPROP INT_R_X7Y77 TYPE INT_R TILEPROP INT_R_X7Y78 CLASS tile TILEPROP INT_R_X7Y78 COLUMN 22 TILEPROP INT_R_X7Y78 DEVICE_ID 0 TILEPROP INT_R_X7Y78 FIRST_SITE_ID 7317 TILEPROP INT_R_X7Y78 GRID_POINT_X 22 TILEPROP INT_R_X7Y78 GRID_POINT_Y 74 TILEPROP INT_R_X7Y78 INDEX 8532 TILEPROP INT_R_X7Y78 INT_TILE_X 7 TILEPROP INT_R_X7Y78 INT_TILE_Y 71 TILEPROP INT_R_X7Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y78 IS_DCM_TILE 0 TILEPROP INT_R_X7Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y78 NAME INT_R_X7Y78 TILEPROP INT_R_X7Y78 NUM_ARCS 3737 TILEPROP INT_R_X7Y78 NUM_SITES 1 TILEPROP INT_R_X7Y78 ROW 74 TILEPROP INT_R_X7Y78 SLR_REGION_ID 0 TILEPROP INT_R_X7Y78 TILE_PATTERN_IDX 6184 TILEPROP INT_R_X7Y78 TILE_TYPE INT_R TILEPROP INT_R_X7Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y78 TILE_X -61262 TILEPROP INT_R_X7Y78 TILE_Y 12224 TILEPROP INT_R_X7Y78 TYPE INT_R TILEPROP INT_R_X7Y79 CLASS tile TILEPROP INT_R_X7Y79 COLUMN 22 TILEPROP INT_R_X7Y79 DEVICE_ID 0 TILEPROP INT_R_X7Y79 FIRST_SITE_ID 7211 TILEPROP INT_R_X7Y79 GRID_POINT_X 22 TILEPROP INT_R_X7Y79 GRID_POINT_Y 73 TILEPROP INT_R_X7Y79 INDEX 8417 TILEPROP INT_R_X7Y79 INT_TILE_X 7 TILEPROP INT_R_X7Y79 INT_TILE_Y 70 TILEPROP INT_R_X7Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y79 IS_DCM_TILE 0 TILEPROP INT_R_X7Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y79 NAME INT_R_X7Y79 TILEPROP INT_R_X7Y79 NUM_ARCS 3737 TILEPROP INT_R_X7Y79 NUM_SITES 1 TILEPROP INT_R_X7Y79 ROW 73 TILEPROP INT_R_X7Y79 SLR_REGION_ID 0 TILEPROP INT_R_X7Y79 TILE_PATTERN_IDX 6149 TILEPROP INT_R_X7Y79 TILE_TYPE INT_R TILEPROP INT_R_X7Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y79 TILE_X -61262 TILEPROP INT_R_X7Y79 TILE_Y 15424 TILEPROP INT_R_X7Y79 TYPE INT_R TILEPROP INT_R_X7Y80 CLASS tile TILEPROP INT_R_X7Y80 COLUMN 22 TILEPROP INT_R_X7Y80 DEVICE_ID 0 TILEPROP INT_R_X7Y80 FIRST_SITE_ID 7093 TILEPROP INT_R_X7Y80 GRID_POINT_X 22 TILEPROP INT_R_X7Y80 GRID_POINT_Y 72 TILEPROP INT_R_X7Y80 INDEX 8302 TILEPROP INT_R_X7Y80 INT_TILE_X 7 TILEPROP INT_R_X7Y80 INT_TILE_Y 69 TILEPROP INT_R_X7Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y80 IS_DCM_TILE 0 TILEPROP INT_R_X7Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y80 NAME INT_R_X7Y80 TILEPROP INT_R_X7Y80 NUM_ARCS 3737 TILEPROP INT_R_X7Y80 NUM_SITES 1 TILEPROP INT_R_X7Y80 ROW 72 TILEPROP INT_R_X7Y80 SLR_REGION_ID 0 TILEPROP INT_R_X7Y80 TILE_PATTERN_IDX 6114 TILEPROP INT_R_X7Y80 TILE_TYPE INT_R TILEPROP INT_R_X7Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y80 TILE_X -61262 TILEPROP INT_R_X7Y80 TILE_Y 18624 TILEPROP INT_R_X7Y80 TYPE INT_R TILEPROP INT_R_X7Y81 CLASS tile TILEPROP INT_R_X7Y81 COLUMN 22 TILEPROP INT_R_X7Y81 DEVICE_ID 0 TILEPROP INT_R_X7Y81 FIRST_SITE_ID 6988 TILEPROP INT_R_X7Y81 GRID_POINT_X 22 TILEPROP INT_R_X7Y81 GRID_POINT_Y 71 TILEPROP INT_R_X7Y81 INDEX 8187 TILEPROP INT_R_X7Y81 INT_TILE_X 7 TILEPROP INT_R_X7Y81 INT_TILE_Y 68 TILEPROP INT_R_X7Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y81 IS_DCM_TILE 0 TILEPROP INT_R_X7Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y81 NAME INT_R_X7Y81 TILEPROP INT_R_X7Y81 NUM_ARCS 3737 TILEPROP INT_R_X7Y81 NUM_SITES 1 TILEPROP INT_R_X7Y81 ROW 71 TILEPROP INT_R_X7Y81 SLR_REGION_ID 0 TILEPROP INT_R_X7Y81 TILE_PATTERN_IDX 6080 TILEPROP INT_R_X7Y81 TILE_TYPE INT_R TILEPROP INT_R_X7Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y81 TILE_X -61262 TILEPROP INT_R_X7Y81 TILE_Y 21824 TILEPROP INT_R_X7Y81 TYPE INT_R TILEPROP INT_R_X7Y82 CLASS tile TILEPROP INT_R_X7Y82 COLUMN 22 TILEPROP INT_R_X7Y82 DEVICE_ID 0 TILEPROP INT_R_X7Y82 FIRST_SITE_ID 6886 TILEPROP INT_R_X7Y82 GRID_POINT_X 22 TILEPROP INT_R_X7Y82 GRID_POINT_Y 70 TILEPROP INT_R_X7Y82 INDEX 8072 TILEPROP INT_R_X7Y82 INT_TILE_X 7 TILEPROP INT_R_X7Y82 INT_TILE_Y 67 TILEPROP INT_R_X7Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y82 IS_DCM_TILE 0 TILEPROP INT_R_X7Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y82 NAME INT_R_X7Y82 TILEPROP INT_R_X7Y82 NUM_ARCS 3737 TILEPROP INT_R_X7Y82 NUM_SITES 1 TILEPROP INT_R_X7Y82 ROW 70 TILEPROP INT_R_X7Y82 SLR_REGION_ID 0 TILEPROP INT_R_X7Y82 TILE_PATTERN_IDX 6046 TILEPROP INT_R_X7Y82 TILE_TYPE INT_R TILEPROP INT_R_X7Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y82 TILE_X -61262 TILEPROP INT_R_X7Y82 TILE_Y 25024 TILEPROP INT_R_X7Y82 TYPE INT_R TILEPROP INT_R_X7Y83 CLASS tile TILEPROP INT_R_X7Y83 COLUMN 22 TILEPROP INT_R_X7Y83 DEVICE_ID 0 TILEPROP INT_R_X7Y83 FIRST_SITE_ID 6786 TILEPROP INT_R_X7Y83 GRID_POINT_X 22 TILEPROP INT_R_X7Y83 GRID_POINT_Y 69 TILEPROP INT_R_X7Y83 INDEX 7957 TILEPROP INT_R_X7Y83 INT_TILE_X 7 TILEPROP INT_R_X7Y83 INT_TILE_Y 66 TILEPROP INT_R_X7Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y83 IS_DCM_TILE 0 TILEPROP INT_R_X7Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y83 NAME INT_R_X7Y83 TILEPROP INT_R_X7Y83 NUM_ARCS 3737 TILEPROP INT_R_X7Y83 NUM_SITES 1 TILEPROP INT_R_X7Y83 ROW 69 TILEPROP INT_R_X7Y83 SLR_REGION_ID 0 TILEPROP INT_R_X7Y83 TILE_PATTERN_IDX 6012 TILEPROP INT_R_X7Y83 TILE_TYPE INT_R TILEPROP INT_R_X7Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y83 TILE_X -61262 TILEPROP INT_R_X7Y83 TILE_Y 28224 TILEPROP INT_R_X7Y83 TYPE INT_R TILEPROP INT_R_X7Y84 CLASS tile TILEPROP INT_R_X7Y84 COLUMN 22 TILEPROP INT_R_X7Y84 DEVICE_ID 0 TILEPROP INT_R_X7Y84 FIRST_SITE_ID 6686 TILEPROP INT_R_X7Y84 GRID_POINT_X 22 TILEPROP INT_R_X7Y84 GRID_POINT_Y 68 TILEPROP INT_R_X7Y84 INDEX 7842 TILEPROP INT_R_X7Y84 INT_TILE_X 7 TILEPROP INT_R_X7Y84 INT_TILE_Y 65 TILEPROP INT_R_X7Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y84 IS_DCM_TILE 0 TILEPROP INT_R_X7Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y84 NAME INT_R_X7Y84 TILEPROP INT_R_X7Y84 NUM_ARCS 3737 TILEPROP INT_R_X7Y84 NUM_SITES 1 TILEPROP INT_R_X7Y84 ROW 68 TILEPROP INT_R_X7Y84 SLR_REGION_ID 0 TILEPROP INT_R_X7Y84 TILE_PATTERN_IDX 5978 TILEPROP INT_R_X7Y84 TILE_TYPE INT_R TILEPROP INT_R_X7Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y84 TILE_X -61262 TILEPROP INT_R_X7Y84 TILE_Y 31424 TILEPROP INT_R_X7Y84 TYPE INT_R TILEPROP INT_R_X7Y85 CLASS tile TILEPROP INT_R_X7Y85 COLUMN 22 TILEPROP INT_R_X7Y85 DEVICE_ID 0 TILEPROP INT_R_X7Y85 FIRST_SITE_ID 6574 TILEPROP INT_R_X7Y85 GRID_POINT_X 22 TILEPROP INT_R_X7Y85 GRID_POINT_Y 67 TILEPROP INT_R_X7Y85 INDEX 7727 TILEPROP INT_R_X7Y85 INT_TILE_X 7 TILEPROP INT_R_X7Y85 INT_TILE_Y 64 TILEPROP INT_R_X7Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y85 IS_DCM_TILE 0 TILEPROP INT_R_X7Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y85 NAME INT_R_X7Y85 TILEPROP INT_R_X7Y85 NUM_ARCS 3737 TILEPROP INT_R_X7Y85 NUM_SITES 1 TILEPROP INT_R_X7Y85 ROW 67 TILEPROP INT_R_X7Y85 SLR_REGION_ID 0 TILEPROP INT_R_X7Y85 TILE_PATTERN_IDX 5943 TILEPROP INT_R_X7Y85 TILE_TYPE INT_R TILEPROP INT_R_X7Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y85 TILE_X -61262 TILEPROP INT_R_X7Y85 TILE_Y 34624 TILEPROP INT_R_X7Y85 TYPE INT_R TILEPROP INT_R_X7Y86 CLASS tile TILEPROP INT_R_X7Y86 COLUMN 22 TILEPROP INT_R_X7Y86 DEVICE_ID 0 TILEPROP INT_R_X7Y86 FIRST_SITE_ID 6439 TILEPROP INT_R_X7Y86 GRID_POINT_X 22 TILEPROP INT_R_X7Y86 GRID_POINT_Y 66 TILEPROP INT_R_X7Y86 INDEX 7612 TILEPROP INT_R_X7Y86 INT_TILE_X 7 TILEPROP INT_R_X7Y86 INT_TILE_Y 63 TILEPROP INT_R_X7Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y86 IS_DCM_TILE 0 TILEPROP INT_R_X7Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y86 NAME INT_R_X7Y86 TILEPROP INT_R_X7Y86 NUM_ARCS 3737 TILEPROP INT_R_X7Y86 NUM_SITES 1 TILEPROP INT_R_X7Y86 ROW 66 TILEPROP INT_R_X7Y86 SLR_REGION_ID 0 TILEPROP INT_R_X7Y86 TILE_PATTERN_IDX 5908 TILEPROP INT_R_X7Y86 TILE_TYPE INT_R TILEPROP INT_R_X7Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y86 TILE_X -61262 TILEPROP INT_R_X7Y86 TILE_Y 37824 TILEPROP INT_R_X7Y86 TYPE INT_R TILEPROP INT_R_X7Y87 CLASS tile TILEPROP INT_R_X7Y87 COLUMN 22 TILEPROP INT_R_X7Y87 DEVICE_ID 0 TILEPROP INT_R_X7Y87 FIRST_SITE_ID 6339 TILEPROP INT_R_X7Y87 GRID_POINT_X 22 TILEPROP INT_R_X7Y87 GRID_POINT_Y 65 TILEPROP INT_R_X7Y87 INDEX 7497 TILEPROP INT_R_X7Y87 INT_TILE_X 7 TILEPROP INT_R_X7Y87 INT_TILE_Y 62 TILEPROP INT_R_X7Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y87 IS_DCM_TILE 0 TILEPROP INT_R_X7Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y87 NAME INT_R_X7Y87 TILEPROP INT_R_X7Y87 NUM_ARCS 3737 TILEPROP INT_R_X7Y87 NUM_SITES 1 TILEPROP INT_R_X7Y87 ROW 65 TILEPROP INT_R_X7Y87 SLR_REGION_ID 0 TILEPROP INT_R_X7Y87 TILE_PATTERN_IDX 5874 TILEPROP INT_R_X7Y87 TILE_TYPE INT_R TILEPROP INT_R_X7Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y87 TILE_X -61262 TILEPROP INT_R_X7Y87 TILE_Y 41024 TILEPROP INT_R_X7Y87 TYPE INT_R TILEPROP INT_R_X7Y88 CLASS tile TILEPROP INT_R_X7Y88 COLUMN 22 TILEPROP INT_R_X7Y88 DEVICE_ID 0 TILEPROP INT_R_X7Y88 FIRST_SITE_ID 6239 TILEPROP INT_R_X7Y88 GRID_POINT_X 22 TILEPROP INT_R_X7Y88 GRID_POINT_Y 64 TILEPROP INT_R_X7Y88 INDEX 7382 TILEPROP INT_R_X7Y88 INT_TILE_X 7 TILEPROP INT_R_X7Y88 INT_TILE_Y 61 TILEPROP INT_R_X7Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y88 IS_DCM_TILE 0 TILEPROP INT_R_X7Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y88 NAME INT_R_X7Y88 TILEPROP INT_R_X7Y88 NUM_ARCS 3737 TILEPROP INT_R_X7Y88 NUM_SITES 1 TILEPROP INT_R_X7Y88 ROW 64 TILEPROP INT_R_X7Y88 SLR_REGION_ID 0 TILEPROP INT_R_X7Y88 TILE_PATTERN_IDX 5841 TILEPROP INT_R_X7Y88 TILE_TYPE INT_R TILEPROP INT_R_X7Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y88 TILE_X -61262 TILEPROP INT_R_X7Y88 TILE_Y 44224 TILEPROP INT_R_X7Y88 TYPE INT_R TILEPROP INT_R_X7Y89 CLASS tile TILEPROP INT_R_X7Y89 COLUMN 22 TILEPROP INT_R_X7Y89 DEVICE_ID 0 TILEPROP INT_R_X7Y89 FIRST_SITE_ID 6139 TILEPROP INT_R_X7Y89 GRID_POINT_X 22 TILEPROP INT_R_X7Y89 GRID_POINT_Y 63 TILEPROP INT_R_X7Y89 INDEX 7267 TILEPROP INT_R_X7Y89 INT_TILE_X 7 TILEPROP INT_R_X7Y89 INT_TILE_Y 60 TILEPROP INT_R_X7Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y89 IS_DCM_TILE 0 TILEPROP INT_R_X7Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y89 NAME INT_R_X7Y89 TILEPROP INT_R_X7Y89 NUM_ARCS 3737 TILEPROP INT_R_X7Y89 NUM_SITES 1 TILEPROP INT_R_X7Y89 ROW 63 TILEPROP INT_R_X7Y89 SLR_REGION_ID 0 TILEPROP INT_R_X7Y89 TILE_PATTERN_IDX 5807 TILEPROP INT_R_X7Y89 TILE_TYPE INT_R TILEPROP INT_R_X7Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y89 TILE_X -61262 TILEPROP INT_R_X7Y89 TILE_Y 47424 TILEPROP INT_R_X7Y89 TYPE INT_R TILEPROP INT_R_X7Y90 CLASS tile TILEPROP INT_R_X7Y90 COLUMN 22 TILEPROP INT_R_X7Y90 DEVICE_ID 0 TILEPROP INT_R_X7Y90 FIRST_SITE_ID 6027 TILEPROP INT_R_X7Y90 GRID_POINT_X 22 TILEPROP INT_R_X7Y90 GRID_POINT_Y 62 TILEPROP INT_R_X7Y90 INDEX 7152 TILEPROP INT_R_X7Y90 INT_TILE_X 7 TILEPROP INT_R_X7Y90 INT_TILE_Y 59 TILEPROP INT_R_X7Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y90 IS_DCM_TILE 0 TILEPROP INT_R_X7Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y90 NAME INT_R_X7Y90 TILEPROP INT_R_X7Y90 NUM_ARCS 3737 TILEPROP INT_R_X7Y90 NUM_SITES 1 TILEPROP INT_R_X7Y90 ROW 62 TILEPROP INT_R_X7Y90 SLR_REGION_ID 0 TILEPROP INT_R_X7Y90 TILE_PATTERN_IDX 5773 TILEPROP INT_R_X7Y90 TILE_TYPE INT_R TILEPROP INT_R_X7Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y90 TILE_X -61262 TILEPROP INT_R_X7Y90 TILE_Y 50624 TILEPROP INT_R_X7Y90 TYPE INT_R TILEPROP INT_R_X7Y91 CLASS tile TILEPROP INT_R_X7Y91 COLUMN 22 TILEPROP INT_R_X7Y91 DEVICE_ID 0 TILEPROP INT_R_X7Y91 FIRST_SITE_ID 5924 TILEPROP INT_R_X7Y91 GRID_POINT_X 22 TILEPROP INT_R_X7Y91 GRID_POINT_Y 61 TILEPROP INT_R_X7Y91 INDEX 7037 TILEPROP INT_R_X7Y91 INT_TILE_X 7 TILEPROP INT_R_X7Y91 INT_TILE_Y 58 TILEPROP INT_R_X7Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y91 IS_DCM_TILE 0 TILEPROP INT_R_X7Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y91 NAME INT_R_X7Y91 TILEPROP INT_R_X7Y91 NUM_ARCS 3737 TILEPROP INT_R_X7Y91 NUM_SITES 1 TILEPROP INT_R_X7Y91 ROW 61 TILEPROP INT_R_X7Y91 SLR_REGION_ID 0 TILEPROP INT_R_X7Y91 TILE_PATTERN_IDX 5739 TILEPROP INT_R_X7Y91 TILE_TYPE INT_R TILEPROP INT_R_X7Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y91 TILE_X -61262 TILEPROP INT_R_X7Y91 TILE_Y 53824 TILEPROP INT_R_X7Y91 TYPE INT_R TILEPROP INT_R_X7Y92 CLASS tile TILEPROP INT_R_X7Y92 COLUMN 22 TILEPROP INT_R_X7Y92 DEVICE_ID 0 TILEPROP INT_R_X7Y92 FIRST_SITE_ID 5823 TILEPROP INT_R_X7Y92 GRID_POINT_X 22 TILEPROP INT_R_X7Y92 GRID_POINT_Y 60 TILEPROP INT_R_X7Y92 INDEX 6922 TILEPROP INT_R_X7Y92 INT_TILE_X 7 TILEPROP INT_R_X7Y92 INT_TILE_Y 57 TILEPROP INT_R_X7Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y92 IS_DCM_TILE 0 TILEPROP INT_R_X7Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y92 NAME INT_R_X7Y92 TILEPROP INT_R_X7Y92 NUM_ARCS 3737 TILEPROP INT_R_X7Y92 NUM_SITES 1 TILEPROP INT_R_X7Y92 ROW 60 TILEPROP INT_R_X7Y92 SLR_REGION_ID 0 TILEPROP INT_R_X7Y92 TILE_PATTERN_IDX 5706 TILEPROP INT_R_X7Y92 TILE_TYPE INT_R TILEPROP INT_R_X7Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y92 TILE_X -61262 TILEPROP INT_R_X7Y92 TILE_Y 57024 TILEPROP INT_R_X7Y92 TYPE INT_R TILEPROP INT_R_X7Y93 CLASS tile TILEPROP INT_R_X7Y93 COLUMN 22 TILEPROP INT_R_X7Y93 DEVICE_ID 0 TILEPROP INT_R_X7Y93 FIRST_SITE_ID 5720 TILEPROP INT_R_X7Y93 GRID_POINT_X 22 TILEPROP INT_R_X7Y93 GRID_POINT_Y 59 TILEPROP INT_R_X7Y93 INDEX 6807 TILEPROP INT_R_X7Y93 INT_TILE_X 7 TILEPROP INT_R_X7Y93 INT_TILE_Y 56 TILEPROP INT_R_X7Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y93 IS_DCM_TILE 0 TILEPROP INT_R_X7Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y93 NAME INT_R_X7Y93 TILEPROP INT_R_X7Y93 NUM_ARCS 3737 TILEPROP INT_R_X7Y93 NUM_SITES 1 TILEPROP INT_R_X7Y93 ROW 59 TILEPROP INT_R_X7Y93 SLR_REGION_ID 0 TILEPROP INT_R_X7Y93 TILE_PATTERN_IDX 5672 TILEPROP INT_R_X7Y93 TILE_TYPE INT_R TILEPROP INT_R_X7Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y93 TILE_X -61262 TILEPROP INT_R_X7Y93 TILE_Y 60224 TILEPROP INT_R_X7Y93 TYPE INT_R TILEPROP INT_R_X7Y94 CLASS tile TILEPROP INT_R_X7Y94 COLUMN 22 TILEPROP INT_R_X7Y94 DEVICE_ID 0 TILEPROP INT_R_X7Y94 FIRST_SITE_ID 5618 TILEPROP INT_R_X7Y94 GRID_POINT_X 22 TILEPROP INT_R_X7Y94 GRID_POINT_Y 58 TILEPROP INT_R_X7Y94 INDEX 6692 TILEPROP INT_R_X7Y94 INT_TILE_X 7 TILEPROP INT_R_X7Y94 INT_TILE_Y 55 TILEPROP INT_R_X7Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y94 IS_DCM_TILE 0 TILEPROP INT_R_X7Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y94 NAME INT_R_X7Y94 TILEPROP INT_R_X7Y94 NUM_ARCS 3737 TILEPROP INT_R_X7Y94 NUM_SITES 1 TILEPROP INT_R_X7Y94 ROW 58 TILEPROP INT_R_X7Y94 SLR_REGION_ID 0 TILEPROP INT_R_X7Y94 TILE_PATTERN_IDX 5638 TILEPROP INT_R_X7Y94 TILE_TYPE INT_R TILEPROP INT_R_X7Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y94 TILE_X -61262 TILEPROP INT_R_X7Y94 TILE_Y 63424 TILEPROP INT_R_X7Y94 TYPE INT_R TILEPROP INT_R_X7Y95 CLASS tile TILEPROP INT_R_X7Y95 COLUMN 22 TILEPROP INT_R_X7Y95 DEVICE_ID 0 TILEPROP INT_R_X7Y95 FIRST_SITE_ID 5506 TILEPROP INT_R_X7Y95 GRID_POINT_X 22 TILEPROP INT_R_X7Y95 GRID_POINT_Y 57 TILEPROP INT_R_X7Y95 INDEX 6577 TILEPROP INT_R_X7Y95 INT_TILE_X 7 TILEPROP INT_R_X7Y95 INT_TILE_Y 54 TILEPROP INT_R_X7Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y95 IS_DCM_TILE 0 TILEPROP INT_R_X7Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y95 NAME INT_R_X7Y95 TILEPROP INT_R_X7Y95 NUM_ARCS 3737 TILEPROP INT_R_X7Y95 NUM_SITES 1 TILEPROP INT_R_X7Y95 ROW 57 TILEPROP INT_R_X7Y95 SLR_REGION_ID 0 TILEPROP INT_R_X7Y95 TILE_PATTERN_IDX 5603 TILEPROP INT_R_X7Y95 TILE_TYPE INT_R TILEPROP INT_R_X7Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y95 TILE_X -61262 TILEPROP INT_R_X7Y95 TILE_Y 66624 TILEPROP INT_R_X7Y95 TYPE INT_R TILEPROP INT_R_X7Y96 CLASS tile TILEPROP INT_R_X7Y96 COLUMN 22 TILEPROP INT_R_X7Y96 DEVICE_ID 0 TILEPROP INT_R_X7Y96 FIRST_SITE_ID 5403 TILEPROP INT_R_X7Y96 GRID_POINT_X 22 TILEPROP INT_R_X7Y96 GRID_POINT_Y 56 TILEPROP INT_R_X7Y96 INDEX 6462 TILEPROP INT_R_X7Y96 INT_TILE_X 7 TILEPROP INT_R_X7Y96 INT_TILE_Y 53 TILEPROP INT_R_X7Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y96 IS_DCM_TILE 0 TILEPROP INT_R_X7Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y96 NAME INT_R_X7Y96 TILEPROP INT_R_X7Y96 NUM_ARCS 3737 TILEPROP INT_R_X7Y96 NUM_SITES 1 TILEPROP INT_R_X7Y96 ROW 56 TILEPROP INT_R_X7Y96 SLR_REGION_ID 0 TILEPROP INT_R_X7Y96 TILE_PATTERN_IDX 5569 TILEPROP INT_R_X7Y96 TILE_TYPE INT_R TILEPROP INT_R_X7Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y96 TILE_X -61262 TILEPROP INT_R_X7Y96 TILE_Y 69824 TILEPROP INT_R_X7Y96 TYPE INT_R TILEPROP INT_R_X7Y97 CLASS tile TILEPROP INT_R_X7Y97 COLUMN 22 TILEPROP INT_R_X7Y97 DEVICE_ID 0 TILEPROP INT_R_X7Y97 FIRST_SITE_ID 5303 TILEPROP INT_R_X7Y97 GRID_POINT_X 22 TILEPROP INT_R_X7Y97 GRID_POINT_Y 55 TILEPROP INT_R_X7Y97 INDEX 6347 TILEPROP INT_R_X7Y97 INT_TILE_X 7 TILEPROP INT_R_X7Y97 INT_TILE_Y 52 TILEPROP INT_R_X7Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y97 IS_DCM_TILE 0 TILEPROP INT_R_X7Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y97 NAME INT_R_X7Y97 TILEPROP INT_R_X7Y97 NUM_ARCS 3737 TILEPROP INT_R_X7Y97 NUM_SITES 1 TILEPROP INT_R_X7Y97 ROW 55 TILEPROP INT_R_X7Y97 SLR_REGION_ID 0 TILEPROP INT_R_X7Y97 TILE_PATTERN_IDX 5535 TILEPROP INT_R_X7Y97 TILE_TYPE INT_R TILEPROP INT_R_X7Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y97 TILE_X -61262 TILEPROP INT_R_X7Y97 TILE_Y 73024 TILEPROP INT_R_X7Y97 TYPE INT_R TILEPROP INT_R_X7Y98 CLASS tile TILEPROP INT_R_X7Y98 COLUMN 22 TILEPROP INT_R_X7Y98 DEVICE_ID 0 TILEPROP INT_R_X7Y98 FIRST_SITE_ID 5203 TILEPROP INT_R_X7Y98 GRID_POINT_X 22 TILEPROP INT_R_X7Y98 GRID_POINT_Y 54 TILEPROP INT_R_X7Y98 INDEX 6232 TILEPROP INT_R_X7Y98 INT_TILE_X 7 TILEPROP INT_R_X7Y98 INT_TILE_Y 51 TILEPROP INT_R_X7Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y98 IS_DCM_TILE 0 TILEPROP INT_R_X7Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y98 NAME INT_R_X7Y98 TILEPROP INT_R_X7Y98 NUM_ARCS 3737 TILEPROP INT_R_X7Y98 NUM_SITES 1 TILEPROP INT_R_X7Y98 ROW 54 TILEPROP INT_R_X7Y98 SLR_REGION_ID 0 TILEPROP INT_R_X7Y98 TILE_PATTERN_IDX 5501 TILEPROP INT_R_X7Y98 TILE_TYPE INT_R TILEPROP INT_R_X7Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y98 TILE_X -61262 TILEPROP INT_R_X7Y98 TILE_Y 76224 TILEPROP INT_R_X7Y98 TYPE INT_R TILEPROP INT_R_X7Y99 CLASS tile TILEPROP INT_R_X7Y99 COLUMN 22 TILEPROP INT_R_X7Y99 DEVICE_ID 0 TILEPROP INT_R_X7Y99 FIRST_SITE_ID 5107 TILEPROP INT_R_X7Y99 GRID_POINT_X 22 TILEPROP INT_R_X7Y99 GRID_POINT_Y 53 TILEPROP INT_R_X7Y99 INDEX 6117 TILEPROP INT_R_X7Y99 INT_TILE_X 7 TILEPROP INT_R_X7Y99 INT_TILE_Y 50 TILEPROP INT_R_X7Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y99 IS_DCM_TILE 0 TILEPROP INT_R_X7Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y99 NAME INT_R_X7Y99 TILEPROP INT_R_X7Y99 NUM_ARCS 3737 TILEPROP INT_R_X7Y99 NUM_SITES 1 TILEPROP INT_R_X7Y99 ROW 53 TILEPROP INT_R_X7Y99 SLR_REGION_ID 0 TILEPROP INT_R_X7Y99 TILE_PATTERN_IDX 5468 TILEPROP INT_R_X7Y99 TILE_TYPE INT_R TILEPROP INT_R_X7Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y99 TILE_X -61262 TILEPROP INT_R_X7Y99 TILE_Y 79424 TILEPROP INT_R_X7Y99 TYPE INT_R TILEPROP INT_R_X7Y100 CLASS tile TILEPROP INT_R_X7Y100 COLUMN 22 TILEPROP INT_R_X7Y100 DEVICE_ID 0 TILEPROP INT_R_X7Y100 FIRST_SITE_ID 5009 TILEPROP INT_R_X7Y100 GRID_POINT_X 22 TILEPROP INT_R_X7Y100 GRID_POINT_Y 51 TILEPROP INT_R_X7Y100 INDEX 5887 TILEPROP INT_R_X7Y100 INT_TILE_X 7 TILEPROP INT_R_X7Y100 INT_TILE_Y 49 TILEPROP INT_R_X7Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y100 IS_DCM_TILE 0 TILEPROP INT_R_X7Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y100 NAME INT_R_X7Y100 TILEPROP INT_R_X7Y100 NUM_ARCS 3737 TILEPROP INT_R_X7Y100 NUM_SITES 1 TILEPROP INT_R_X7Y100 ROW 51 TILEPROP INT_R_X7Y100 SLR_REGION_ID 0 TILEPROP INT_R_X7Y100 TILE_PATTERN_IDX 5431 TILEPROP INT_R_X7Y100 TILE_TYPE INT_R TILEPROP INT_R_X7Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y100 TILE_X -61262 TILEPROP INT_R_X7Y100 TILE_Y 82872 TILEPROP INT_R_X7Y100 TYPE INT_R TILEPROP INT_R_X7Y101 CLASS tile TILEPROP INT_R_X7Y101 COLUMN 22 TILEPROP INT_R_X7Y101 DEVICE_ID 0 TILEPROP INT_R_X7Y101 FIRST_SITE_ID 4914 TILEPROP INT_R_X7Y101 GRID_POINT_X 22 TILEPROP INT_R_X7Y101 GRID_POINT_Y 50 TILEPROP INT_R_X7Y101 INDEX 5772 TILEPROP INT_R_X7Y101 INT_TILE_X 7 TILEPROP INT_R_X7Y101 INT_TILE_Y 48 TILEPROP INT_R_X7Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y101 IS_DCM_TILE 0 TILEPROP INT_R_X7Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y101 NAME INT_R_X7Y101 TILEPROP INT_R_X7Y101 NUM_ARCS 3737 TILEPROP INT_R_X7Y101 NUM_SITES 1 TILEPROP INT_R_X7Y101 ROW 50 TILEPROP INT_R_X7Y101 SLR_REGION_ID 0 TILEPROP INT_R_X7Y101 TILE_PATTERN_IDX 5395 TILEPROP INT_R_X7Y101 TILE_TYPE INT_R TILEPROP INT_R_X7Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y101 TILE_X -61262 TILEPROP INT_R_X7Y101 TILE_Y 86072 TILEPROP INT_R_X7Y101 TYPE INT_R TILEPROP INT_R_X7Y102 CLASS tile TILEPROP INT_R_X7Y102 COLUMN 22 TILEPROP INT_R_X7Y102 DEVICE_ID 0 TILEPROP INT_R_X7Y102 FIRST_SITE_ID 4818 TILEPROP INT_R_X7Y102 GRID_POINT_X 22 TILEPROP INT_R_X7Y102 GRID_POINT_Y 49 TILEPROP INT_R_X7Y102 INDEX 5657 TILEPROP INT_R_X7Y102 INT_TILE_X 7 TILEPROP INT_R_X7Y102 INT_TILE_Y 47 TILEPROP INT_R_X7Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y102 IS_DCM_TILE 0 TILEPROP INT_R_X7Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y102 NAME INT_R_X7Y102 TILEPROP INT_R_X7Y102 NUM_ARCS 3737 TILEPROP INT_R_X7Y102 NUM_SITES 1 TILEPROP INT_R_X7Y102 ROW 49 TILEPROP INT_R_X7Y102 SLR_REGION_ID 0 TILEPROP INT_R_X7Y102 TILE_PATTERN_IDX 5358 TILEPROP INT_R_X7Y102 TILE_TYPE INT_R TILEPROP INT_R_X7Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y102 TILE_X -61262 TILEPROP INT_R_X7Y102 TILE_Y 89272 TILEPROP INT_R_X7Y102 TYPE INT_R TILEPROP INT_R_X7Y103 CLASS tile TILEPROP INT_R_X7Y103 COLUMN 22 TILEPROP INT_R_X7Y103 DEVICE_ID 0 TILEPROP INT_R_X7Y103 FIRST_SITE_ID 4730 TILEPROP INT_R_X7Y103 GRID_POINT_X 22 TILEPROP INT_R_X7Y103 GRID_POINT_Y 48 TILEPROP INT_R_X7Y103 INDEX 5542 TILEPROP INT_R_X7Y103 INT_TILE_X 7 TILEPROP INT_R_X7Y103 INT_TILE_Y 46 TILEPROP INT_R_X7Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y103 IS_DCM_TILE 0 TILEPROP INT_R_X7Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y103 NAME INT_R_X7Y103 TILEPROP INT_R_X7Y103 NUM_ARCS 3737 TILEPROP INT_R_X7Y103 NUM_SITES 1 TILEPROP INT_R_X7Y103 ROW 48 TILEPROP INT_R_X7Y103 SLR_REGION_ID 0 TILEPROP INT_R_X7Y103 TILE_PATTERN_IDX 5322 TILEPROP INT_R_X7Y103 TILE_TYPE INT_R TILEPROP INT_R_X7Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y103 TILE_X -61262 TILEPROP INT_R_X7Y103 TILE_Y 92472 TILEPROP INT_R_X7Y103 TYPE INT_R TILEPROP INT_R_X7Y104 CLASS tile TILEPROP INT_R_X7Y104 COLUMN 22 TILEPROP INT_R_X7Y104 DEVICE_ID 0 TILEPROP INT_R_X7Y104 FIRST_SITE_ID 4634 TILEPROP INT_R_X7Y104 GRID_POINT_X 22 TILEPROP INT_R_X7Y104 GRID_POINT_Y 47 TILEPROP INT_R_X7Y104 INDEX 5427 TILEPROP INT_R_X7Y104 INT_TILE_X 7 TILEPROP INT_R_X7Y104 INT_TILE_Y 45 TILEPROP INT_R_X7Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y104 IS_DCM_TILE 0 TILEPROP INT_R_X7Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y104 NAME INT_R_X7Y104 TILEPROP INT_R_X7Y104 NUM_ARCS 3737 TILEPROP INT_R_X7Y104 NUM_SITES 1 TILEPROP INT_R_X7Y104 ROW 47 TILEPROP INT_R_X7Y104 SLR_REGION_ID 0 TILEPROP INT_R_X7Y104 TILE_PATTERN_IDX 5285 TILEPROP INT_R_X7Y104 TILE_TYPE INT_R TILEPROP INT_R_X7Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y104 TILE_X -61262 TILEPROP INT_R_X7Y104 TILE_Y 95672 TILEPROP INT_R_X7Y104 TYPE INT_R TILEPROP INT_R_X7Y105 CLASS tile TILEPROP INT_R_X7Y105 COLUMN 22 TILEPROP INT_R_X7Y105 DEVICE_ID 0 TILEPROP INT_R_X7Y105 FIRST_SITE_ID 4535 TILEPROP INT_R_X7Y105 GRID_POINT_X 22 TILEPROP INT_R_X7Y105 GRID_POINT_Y 46 TILEPROP INT_R_X7Y105 INDEX 5312 TILEPROP INT_R_X7Y105 INT_TILE_X 7 TILEPROP INT_R_X7Y105 INT_TILE_Y 44 TILEPROP INT_R_X7Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y105 IS_DCM_TILE 0 TILEPROP INT_R_X7Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y105 NAME INT_R_X7Y105 TILEPROP INT_R_X7Y105 NUM_ARCS 3737 TILEPROP INT_R_X7Y105 NUM_SITES 1 TILEPROP INT_R_X7Y105 ROW 46 TILEPROP INT_R_X7Y105 SLR_REGION_ID 0 TILEPROP INT_R_X7Y105 TILE_PATTERN_IDX 5249 TILEPROP INT_R_X7Y105 TILE_TYPE INT_R TILEPROP INT_R_X7Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y105 TILE_X -61262 TILEPROP INT_R_X7Y105 TILE_Y 98872 TILEPROP INT_R_X7Y105 TYPE INT_R TILEPROP INT_R_X7Y106 CLASS tile TILEPROP INT_R_X7Y106 COLUMN 22 TILEPROP INT_R_X7Y106 DEVICE_ID 0 TILEPROP INT_R_X7Y106 FIRST_SITE_ID 4436 TILEPROP INT_R_X7Y106 GRID_POINT_X 22 TILEPROP INT_R_X7Y106 GRID_POINT_Y 45 TILEPROP INT_R_X7Y106 INDEX 5197 TILEPROP INT_R_X7Y106 INT_TILE_X 7 TILEPROP INT_R_X7Y106 INT_TILE_Y 43 TILEPROP INT_R_X7Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y106 IS_DCM_TILE 0 TILEPROP INT_R_X7Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y106 NAME INT_R_X7Y106 TILEPROP INT_R_X7Y106 NUM_ARCS 3737 TILEPROP INT_R_X7Y106 NUM_SITES 1 TILEPROP INT_R_X7Y106 ROW 45 TILEPROP INT_R_X7Y106 SLR_REGION_ID 0 TILEPROP INT_R_X7Y106 TILE_PATTERN_IDX 5212 TILEPROP INT_R_X7Y106 TILE_TYPE INT_R TILEPROP INT_R_X7Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y106 TILE_X -61262 TILEPROP INT_R_X7Y106 TILE_Y 102072 TILEPROP INT_R_X7Y106 TYPE INT_R TILEPROP INT_R_X7Y107 CLASS tile TILEPROP INT_R_X7Y107 COLUMN 22 TILEPROP INT_R_X7Y107 DEVICE_ID 0 TILEPROP INT_R_X7Y107 FIRST_SITE_ID 4348 TILEPROP INT_R_X7Y107 GRID_POINT_X 22 TILEPROP INT_R_X7Y107 GRID_POINT_Y 44 TILEPROP INT_R_X7Y107 INDEX 5082 TILEPROP INT_R_X7Y107 INT_TILE_X 7 TILEPROP INT_R_X7Y107 INT_TILE_Y 42 TILEPROP INT_R_X7Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y107 IS_DCM_TILE 0 TILEPROP INT_R_X7Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y107 NAME INT_R_X7Y107 TILEPROP INT_R_X7Y107 NUM_ARCS 3737 TILEPROP INT_R_X7Y107 NUM_SITES 1 TILEPROP INT_R_X7Y107 ROW 44 TILEPROP INT_R_X7Y107 SLR_REGION_ID 0 TILEPROP INT_R_X7Y107 TILE_PATTERN_IDX 5176 TILEPROP INT_R_X7Y107 TILE_TYPE INT_R TILEPROP INT_R_X7Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y107 TILE_X -61262 TILEPROP INT_R_X7Y107 TILE_Y 105272 TILEPROP INT_R_X7Y107 TYPE INT_R TILEPROP INT_R_X7Y108 CLASS tile TILEPROP INT_R_X7Y108 COLUMN 22 TILEPROP INT_R_X7Y108 DEVICE_ID 0 TILEPROP INT_R_X7Y108 FIRST_SITE_ID 4250 TILEPROP INT_R_X7Y108 GRID_POINT_X 22 TILEPROP INT_R_X7Y108 GRID_POINT_Y 43 TILEPROP INT_R_X7Y108 INDEX 4967 TILEPROP INT_R_X7Y108 INT_TILE_X 7 TILEPROP INT_R_X7Y108 INT_TILE_Y 41 TILEPROP INT_R_X7Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y108 IS_DCM_TILE 0 TILEPROP INT_R_X7Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y108 NAME INT_R_X7Y108 TILEPROP INT_R_X7Y108 NUM_ARCS 3737 TILEPROP INT_R_X7Y108 NUM_SITES 1 TILEPROP INT_R_X7Y108 ROW 43 TILEPROP INT_R_X7Y108 SLR_REGION_ID 0 TILEPROP INT_R_X7Y108 TILE_PATTERN_IDX 5139 TILEPROP INT_R_X7Y108 TILE_TYPE INT_R TILEPROP INT_R_X7Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y108 TILE_X -61262 TILEPROP INT_R_X7Y108 TILE_Y 108472 TILEPROP INT_R_X7Y108 TYPE INT_R TILEPROP INT_R_X7Y109 CLASS tile TILEPROP INT_R_X7Y109 COLUMN 22 TILEPROP INT_R_X7Y109 DEVICE_ID 0 TILEPROP INT_R_X7Y109 FIRST_SITE_ID 4161 TILEPROP INT_R_X7Y109 GRID_POINT_X 22 TILEPROP INT_R_X7Y109 GRID_POINT_Y 42 TILEPROP INT_R_X7Y109 INDEX 4852 TILEPROP INT_R_X7Y109 INT_TILE_X 7 TILEPROP INT_R_X7Y109 INT_TILE_Y 40 TILEPROP INT_R_X7Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y109 IS_DCM_TILE 0 TILEPROP INT_R_X7Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y109 NAME INT_R_X7Y109 TILEPROP INT_R_X7Y109 NUM_ARCS 3737 TILEPROP INT_R_X7Y109 NUM_SITES 1 TILEPROP INT_R_X7Y109 ROW 42 TILEPROP INT_R_X7Y109 SLR_REGION_ID 0 TILEPROP INT_R_X7Y109 TILE_PATTERN_IDX 5103 TILEPROP INT_R_X7Y109 TILE_TYPE INT_R TILEPROP INT_R_X7Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y109 TILE_X -61262 TILEPROP INT_R_X7Y109 TILE_Y 111672 TILEPROP INT_R_X7Y109 TYPE INT_R TILEPROP INT_R_X7Y110 CLASS tile TILEPROP INT_R_X7Y110 COLUMN 22 TILEPROP INT_R_X7Y110 DEVICE_ID 0 TILEPROP INT_R_X7Y110 FIRST_SITE_ID 4058 TILEPROP INT_R_X7Y110 GRID_POINT_X 22 TILEPROP INT_R_X7Y110 GRID_POINT_Y 41 TILEPROP INT_R_X7Y110 INDEX 4737 TILEPROP INT_R_X7Y110 INT_TILE_X 7 TILEPROP INT_R_X7Y110 INT_TILE_Y 39 TILEPROP INT_R_X7Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y110 IS_DCM_TILE 0 TILEPROP INT_R_X7Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y110 NAME INT_R_X7Y110 TILEPROP INT_R_X7Y110 NUM_ARCS 3737 TILEPROP INT_R_X7Y110 NUM_SITES 1 TILEPROP INT_R_X7Y110 ROW 41 TILEPROP INT_R_X7Y110 SLR_REGION_ID 0 TILEPROP INT_R_X7Y110 TILE_PATTERN_IDX 5066 TILEPROP INT_R_X7Y110 TILE_TYPE INT_R TILEPROP INT_R_X7Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y110 TILE_X -61262 TILEPROP INT_R_X7Y110 TILE_Y 114872 TILEPROP INT_R_X7Y110 TYPE INT_R TILEPROP INT_R_X7Y111 CLASS tile TILEPROP INT_R_X7Y111 COLUMN 22 TILEPROP INT_R_X7Y111 DEVICE_ID 0 TILEPROP INT_R_X7Y111 FIRST_SITE_ID 3967 TILEPROP INT_R_X7Y111 GRID_POINT_X 22 TILEPROP INT_R_X7Y111 GRID_POINT_Y 40 TILEPROP INT_R_X7Y111 INDEX 4622 TILEPROP INT_R_X7Y111 INT_TILE_X 7 TILEPROP INT_R_X7Y111 INT_TILE_Y 38 TILEPROP INT_R_X7Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y111 IS_DCM_TILE 0 TILEPROP INT_R_X7Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y111 NAME INT_R_X7Y111 TILEPROP INT_R_X7Y111 NUM_ARCS 3737 TILEPROP INT_R_X7Y111 NUM_SITES 1 TILEPROP INT_R_X7Y111 ROW 40 TILEPROP INT_R_X7Y111 SLR_REGION_ID 0 TILEPROP INT_R_X7Y111 TILE_PATTERN_IDX 5030 TILEPROP INT_R_X7Y111 TILE_TYPE INT_R TILEPROP INT_R_X7Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y111 TILE_X -61262 TILEPROP INT_R_X7Y111 TILE_Y 118072 TILEPROP INT_R_X7Y111 TYPE INT_R TILEPROP INT_R_X7Y112 CLASS tile TILEPROP INT_R_X7Y112 COLUMN 22 TILEPROP INT_R_X7Y112 DEVICE_ID 0 TILEPROP INT_R_X7Y112 FIRST_SITE_ID 3839 TILEPROP INT_R_X7Y112 GRID_POINT_X 22 TILEPROP INT_R_X7Y112 GRID_POINT_Y 39 TILEPROP INT_R_X7Y112 INDEX 4507 TILEPROP INT_R_X7Y112 INT_TILE_X 7 TILEPROP INT_R_X7Y112 INT_TILE_Y 37 TILEPROP INT_R_X7Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y112 IS_DCM_TILE 0 TILEPROP INT_R_X7Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y112 NAME INT_R_X7Y112 TILEPROP INT_R_X7Y112 NUM_ARCS 3737 TILEPROP INT_R_X7Y112 NUM_SITES 1 TILEPROP INT_R_X7Y112 ROW 39 TILEPROP INT_R_X7Y112 SLR_REGION_ID 0 TILEPROP INT_R_X7Y112 TILE_PATTERN_IDX 4992 TILEPROP INT_R_X7Y112 TILE_TYPE INT_R TILEPROP INT_R_X7Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y112 TILE_X -61262 TILEPROP INT_R_X7Y112 TILE_Y 121272 TILEPROP INT_R_X7Y112 TYPE INT_R TILEPROP INT_R_X7Y113 CLASS tile TILEPROP INT_R_X7Y113 COLUMN 22 TILEPROP INT_R_X7Y113 DEVICE_ID 0 TILEPROP INT_R_X7Y113 FIRST_SITE_ID 3751 TILEPROP INT_R_X7Y113 GRID_POINT_X 22 TILEPROP INT_R_X7Y113 GRID_POINT_Y 38 TILEPROP INT_R_X7Y113 INDEX 4392 TILEPROP INT_R_X7Y113 INT_TILE_X 7 TILEPROP INT_R_X7Y113 INT_TILE_Y 36 TILEPROP INT_R_X7Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y113 IS_DCM_TILE 0 TILEPROP INT_R_X7Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y113 NAME INT_R_X7Y113 TILEPROP INT_R_X7Y113 NUM_ARCS 3737 TILEPROP INT_R_X7Y113 NUM_SITES 1 TILEPROP INT_R_X7Y113 ROW 38 TILEPROP INT_R_X7Y113 SLR_REGION_ID 0 TILEPROP INT_R_X7Y113 TILE_PATTERN_IDX 4956 TILEPROP INT_R_X7Y113 TILE_TYPE INT_R TILEPROP INT_R_X7Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y113 TILE_X -61262 TILEPROP INT_R_X7Y113 TILE_Y 124472 TILEPROP INT_R_X7Y113 TYPE INT_R TILEPROP INT_R_X7Y114 CLASS tile TILEPROP INT_R_X7Y114 COLUMN 22 TILEPROP INT_R_X7Y114 DEVICE_ID 0 TILEPROP INT_R_X7Y114 FIRST_SITE_ID 3655 TILEPROP INT_R_X7Y114 GRID_POINT_X 22 TILEPROP INT_R_X7Y114 GRID_POINT_Y 37 TILEPROP INT_R_X7Y114 INDEX 4277 TILEPROP INT_R_X7Y114 INT_TILE_X 7 TILEPROP INT_R_X7Y114 INT_TILE_Y 35 TILEPROP INT_R_X7Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y114 IS_DCM_TILE 0 TILEPROP INT_R_X7Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y114 NAME INT_R_X7Y114 TILEPROP INT_R_X7Y114 NUM_ARCS 3737 TILEPROP INT_R_X7Y114 NUM_SITES 1 TILEPROP INT_R_X7Y114 ROW 37 TILEPROP INT_R_X7Y114 SLR_REGION_ID 0 TILEPROP INT_R_X7Y114 TILE_PATTERN_IDX 4919 TILEPROP INT_R_X7Y114 TILE_TYPE INT_R TILEPROP INT_R_X7Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y114 TILE_X -61262 TILEPROP INT_R_X7Y114 TILE_Y 127672 TILEPROP INT_R_X7Y114 TYPE INT_R TILEPROP INT_R_X7Y115 CLASS tile TILEPROP INT_R_X7Y115 COLUMN 22 TILEPROP INT_R_X7Y115 DEVICE_ID 0 TILEPROP INT_R_X7Y115 FIRST_SITE_ID 3561 TILEPROP INT_R_X7Y115 GRID_POINT_X 22 TILEPROP INT_R_X7Y115 GRID_POINT_Y 36 TILEPROP INT_R_X7Y115 INDEX 4162 TILEPROP INT_R_X7Y115 INT_TILE_X 7 TILEPROP INT_R_X7Y115 INT_TILE_Y 34 TILEPROP INT_R_X7Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y115 IS_DCM_TILE 0 TILEPROP INT_R_X7Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y115 NAME INT_R_X7Y115 TILEPROP INT_R_X7Y115 NUM_ARCS 3737 TILEPROP INT_R_X7Y115 NUM_SITES 1 TILEPROP INT_R_X7Y115 ROW 36 TILEPROP INT_R_X7Y115 SLR_REGION_ID 0 TILEPROP INT_R_X7Y115 TILE_PATTERN_IDX 4883 TILEPROP INT_R_X7Y115 TILE_TYPE INT_R TILEPROP INT_R_X7Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y115 TILE_X -61262 TILEPROP INT_R_X7Y115 TILE_Y 130872 TILEPROP INT_R_X7Y115 TYPE INT_R TILEPROP INT_R_X7Y116 CLASS tile TILEPROP INT_R_X7Y116 COLUMN 22 TILEPROP INT_R_X7Y116 DEVICE_ID 0 TILEPROP INT_R_X7Y116 FIRST_SITE_ID 3457 TILEPROP INT_R_X7Y116 GRID_POINT_X 22 TILEPROP INT_R_X7Y116 GRID_POINT_Y 35 TILEPROP INT_R_X7Y116 INDEX 4047 TILEPROP INT_R_X7Y116 INT_TILE_X 7 TILEPROP INT_R_X7Y116 INT_TILE_Y 33 TILEPROP INT_R_X7Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y116 IS_DCM_TILE 0 TILEPROP INT_R_X7Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y116 NAME INT_R_X7Y116 TILEPROP INT_R_X7Y116 NUM_ARCS 3737 TILEPROP INT_R_X7Y116 NUM_SITES 1 TILEPROP INT_R_X7Y116 ROW 35 TILEPROP INT_R_X7Y116 SLR_REGION_ID 0 TILEPROP INT_R_X7Y116 TILE_PATTERN_IDX 4846 TILEPROP INT_R_X7Y116 TILE_TYPE INT_R TILEPROP INT_R_X7Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y116 TILE_X -61262 TILEPROP INT_R_X7Y116 TILE_Y 134072 TILEPROP INT_R_X7Y116 TYPE INT_R TILEPROP INT_R_X7Y117 CLASS tile TILEPROP INT_R_X7Y117 COLUMN 22 TILEPROP INT_R_X7Y117 DEVICE_ID 0 TILEPROP INT_R_X7Y117 FIRST_SITE_ID 3369 TILEPROP INT_R_X7Y117 GRID_POINT_X 22 TILEPROP INT_R_X7Y117 GRID_POINT_Y 34 TILEPROP INT_R_X7Y117 INDEX 3932 TILEPROP INT_R_X7Y117 INT_TILE_X 7 TILEPROP INT_R_X7Y117 INT_TILE_Y 32 TILEPROP INT_R_X7Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y117 IS_DCM_TILE 0 TILEPROP INT_R_X7Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y117 NAME INT_R_X7Y117 TILEPROP INT_R_X7Y117 NUM_ARCS 3737 TILEPROP INT_R_X7Y117 NUM_SITES 1 TILEPROP INT_R_X7Y117 ROW 34 TILEPROP INT_R_X7Y117 SLR_REGION_ID 0 TILEPROP INT_R_X7Y117 TILE_PATTERN_IDX 4810 TILEPROP INT_R_X7Y117 TILE_TYPE INT_R TILEPROP INT_R_X7Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y117 TILE_X -61262 TILEPROP INT_R_X7Y117 TILE_Y 137272 TILEPROP INT_R_X7Y117 TYPE INT_R TILEPROP INT_R_X7Y118 CLASS tile TILEPROP INT_R_X7Y118 COLUMN 22 TILEPROP INT_R_X7Y118 DEVICE_ID 0 TILEPROP INT_R_X7Y118 FIRST_SITE_ID 3269 TILEPROP INT_R_X7Y118 GRID_POINT_X 22 TILEPROP INT_R_X7Y118 GRID_POINT_Y 33 TILEPROP INT_R_X7Y118 INDEX 3817 TILEPROP INT_R_X7Y118 INT_TILE_X 7 TILEPROP INT_R_X7Y118 INT_TILE_Y 31 TILEPROP INT_R_X7Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y118 IS_DCM_TILE 0 TILEPROP INT_R_X7Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y118 NAME INT_R_X7Y118 TILEPROP INT_R_X7Y118 NUM_ARCS 3737 TILEPROP INT_R_X7Y118 NUM_SITES 1 TILEPROP INT_R_X7Y118 ROW 33 TILEPROP INT_R_X7Y118 SLR_REGION_ID 0 TILEPROP INT_R_X7Y118 TILE_PATTERN_IDX 4772 TILEPROP INT_R_X7Y118 TILE_TYPE INT_R TILEPROP INT_R_X7Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y118 TILE_X -61262 TILEPROP INT_R_X7Y118 TILE_Y 140472 TILEPROP INT_R_X7Y118 TYPE INT_R TILEPROP INT_R_X7Y119 CLASS tile TILEPROP INT_R_X7Y119 COLUMN 22 TILEPROP INT_R_X7Y119 DEVICE_ID 0 TILEPROP INT_R_X7Y119 FIRST_SITE_ID 3181 TILEPROP INT_R_X7Y119 GRID_POINT_X 22 TILEPROP INT_R_X7Y119 GRID_POINT_Y 32 TILEPROP INT_R_X7Y119 INDEX 3702 TILEPROP INT_R_X7Y119 INT_TILE_X 7 TILEPROP INT_R_X7Y119 INT_TILE_Y 30 TILEPROP INT_R_X7Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y119 IS_DCM_TILE 0 TILEPROP INT_R_X7Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y119 NAME INT_R_X7Y119 TILEPROP INT_R_X7Y119 NUM_ARCS 3737 TILEPROP INT_R_X7Y119 NUM_SITES 1 TILEPROP INT_R_X7Y119 ROW 32 TILEPROP INT_R_X7Y119 SLR_REGION_ID 0 TILEPROP INT_R_X7Y119 TILE_PATTERN_IDX 4736 TILEPROP INT_R_X7Y119 TILE_TYPE INT_R TILEPROP INT_R_X7Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y119 TILE_X -61262 TILEPROP INT_R_X7Y119 TILE_Y 143672 TILEPROP INT_R_X7Y119 TYPE INT_R TILEPROP INT_R_X7Y120 CLASS tile TILEPROP INT_R_X7Y120 COLUMN 22 TILEPROP INT_R_X7Y120 DEVICE_ID 0 TILEPROP INT_R_X7Y120 FIRST_SITE_ID 3077 TILEPROP INT_R_X7Y120 GRID_POINT_X 22 TILEPROP INT_R_X7Y120 GRID_POINT_Y 31 TILEPROP INT_R_X7Y120 INDEX 3587 TILEPROP INT_R_X7Y120 INT_TILE_X 7 TILEPROP INT_R_X7Y120 INT_TILE_Y 29 TILEPROP INT_R_X7Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y120 IS_DCM_TILE 0 TILEPROP INT_R_X7Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y120 NAME INT_R_X7Y120 TILEPROP INT_R_X7Y120 NUM_ARCS 3737 TILEPROP INT_R_X7Y120 NUM_SITES 1 TILEPROP INT_R_X7Y120 ROW 31 TILEPROP INT_R_X7Y120 SLR_REGION_ID 0 TILEPROP INT_R_X7Y120 TILE_PATTERN_IDX 4699 TILEPROP INT_R_X7Y120 TILE_TYPE INT_R TILEPROP INT_R_X7Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y120 TILE_X -61262 TILEPROP INT_R_X7Y120 TILE_Y 146872 TILEPROP INT_R_X7Y120 TYPE INT_R TILEPROP INT_R_X7Y121 CLASS tile TILEPROP INT_R_X7Y121 COLUMN 22 TILEPROP INT_R_X7Y121 DEVICE_ID 0 TILEPROP INT_R_X7Y121 FIRST_SITE_ID 2986 TILEPROP INT_R_X7Y121 GRID_POINT_X 22 TILEPROP INT_R_X7Y121 GRID_POINT_Y 30 TILEPROP INT_R_X7Y121 INDEX 3472 TILEPROP INT_R_X7Y121 INT_TILE_X 7 TILEPROP INT_R_X7Y121 INT_TILE_Y 28 TILEPROP INT_R_X7Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y121 IS_DCM_TILE 0 TILEPROP INT_R_X7Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y121 NAME INT_R_X7Y121 TILEPROP INT_R_X7Y121 NUM_ARCS 3737 TILEPROP INT_R_X7Y121 NUM_SITES 1 TILEPROP INT_R_X7Y121 ROW 30 TILEPROP INT_R_X7Y121 SLR_REGION_ID 0 TILEPROP INT_R_X7Y121 TILE_PATTERN_IDX 4663 TILEPROP INT_R_X7Y121 TILE_TYPE INT_R TILEPROP INT_R_X7Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y121 TILE_X -61262 TILEPROP INT_R_X7Y121 TILE_Y 150072 TILEPROP INT_R_X7Y121 TYPE INT_R TILEPROP INT_R_X7Y122 CLASS tile TILEPROP INT_R_X7Y122 COLUMN 22 TILEPROP INT_R_X7Y122 DEVICE_ID 0 TILEPROP INT_R_X7Y122 FIRST_SITE_ID 2883 TILEPROP INT_R_X7Y122 GRID_POINT_X 22 TILEPROP INT_R_X7Y122 GRID_POINT_Y 29 TILEPROP INT_R_X7Y122 INDEX 3357 TILEPROP INT_R_X7Y122 INT_TILE_X 7 TILEPROP INT_R_X7Y122 INT_TILE_Y 27 TILEPROP INT_R_X7Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y122 IS_DCM_TILE 0 TILEPROP INT_R_X7Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y122 NAME INT_R_X7Y122 TILEPROP INT_R_X7Y122 NUM_ARCS 3737 TILEPROP INT_R_X7Y122 NUM_SITES 1 TILEPROP INT_R_X7Y122 ROW 29 TILEPROP INT_R_X7Y122 SLR_REGION_ID 0 TILEPROP INT_R_X7Y122 TILE_PATTERN_IDX 4625 TILEPROP INT_R_X7Y122 TILE_TYPE INT_R TILEPROP INT_R_X7Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y122 TILE_X -61262 TILEPROP INT_R_X7Y122 TILE_Y 153272 TILEPROP INT_R_X7Y122 TYPE INT_R TILEPROP INT_R_X7Y123 CLASS tile TILEPROP INT_R_X7Y123 COLUMN 22 TILEPROP INT_R_X7Y123 DEVICE_ID 0 TILEPROP INT_R_X7Y123 FIRST_SITE_ID 2795 TILEPROP INT_R_X7Y123 GRID_POINT_X 22 TILEPROP INT_R_X7Y123 GRID_POINT_Y 28 TILEPROP INT_R_X7Y123 INDEX 3242 TILEPROP INT_R_X7Y123 INT_TILE_X 7 TILEPROP INT_R_X7Y123 INT_TILE_Y 26 TILEPROP INT_R_X7Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y123 IS_DCM_TILE 0 TILEPROP INT_R_X7Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y123 NAME INT_R_X7Y123 TILEPROP INT_R_X7Y123 NUM_ARCS 3737 TILEPROP INT_R_X7Y123 NUM_SITES 1 TILEPROP INT_R_X7Y123 ROW 28 TILEPROP INT_R_X7Y123 SLR_REGION_ID 0 TILEPROP INT_R_X7Y123 TILE_PATTERN_IDX 4589 TILEPROP INT_R_X7Y123 TILE_TYPE INT_R TILEPROP INT_R_X7Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y123 TILE_X -61262 TILEPROP INT_R_X7Y123 TILE_Y 156472 TILEPROP INT_R_X7Y123 TYPE INT_R TILEPROP INT_R_X7Y124 CLASS tile TILEPROP INT_R_X7Y124 COLUMN 22 TILEPROP INT_R_X7Y124 DEVICE_ID 0 TILEPROP INT_R_X7Y124 FIRST_SITE_ID 2699 TILEPROP INT_R_X7Y124 GRID_POINT_X 22 TILEPROP INT_R_X7Y124 GRID_POINT_Y 27 TILEPROP INT_R_X7Y124 INDEX 3127 TILEPROP INT_R_X7Y124 INT_TILE_X 7 TILEPROP INT_R_X7Y124 INT_TILE_Y 25 TILEPROP INT_R_X7Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y124 IS_DCM_TILE 0 TILEPROP INT_R_X7Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y124 NAME INT_R_X7Y124 TILEPROP INT_R_X7Y124 NUM_ARCS 3737 TILEPROP INT_R_X7Y124 NUM_SITES 1 TILEPROP INT_R_X7Y124 ROW 27 TILEPROP INT_R_X7Y124 SLR_REGION_ID 0 TILEPROP INT_R_X7Y124 TILE_PATTERN_IDX 4552 TILEPROP INT_R_X7Y124 TILE_TYPE INT_R TILEPROP INT_R_X7Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y124 TILE_X -61262 TILEPROP INT_R_X7Y124 TILE_Y 159672 TILEPROP INT_R_X7Y124 TYPE INT_R TILEPROP INT_R_X7Y125 CLASS tile TILEPROP INT_R_X7Y125 COLUMN 22 TILEPROP INT_R_X7Y125 DEVICE_ID 0 TILEPROP INT_R_X7Y125 FIRST_SITE_ID 2523 TILEPROP INT_R_X7Y125 GRID_POINT_X 22 TILEPROP INT_R_X7Y125 GRID_POINT_Y 25 TILEPROP INT_R_X7Y125 INDEX 2897 TILEPROP INT_R_X7Y125 INT_TILE_X 7 TILEPROP INT_R_X7Y125 INT_TILE_Y 24 TILEPROP INT_R_X7Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y125 IS_DCM_TILE 0 TILEPROP INT_R_X7Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y125 NAME INT_R_X7Y125 TILEPROP INT_R_X7Y125 NUM_ARCS 3737 TILEPROP INT_R_X7Y125 NUM_SITES 1 TILEPROP INT_R_X7Y125 ROW 25 TILEPROP INT_R_X7Y125 SLR_REGION_ID 0 TILEPROP INT_R_X7Y125 TILE_PATTERN_IDX 4473 TILEPROP INT_R_X7Y125 TILE_TYPE INT_R TILEPROP INT_R_X7Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y125 TILE_X -61262 TILEPROP INT_R_X7Y125 TILE_Y 163896 TILEPROP INT_R_X7Y125 TYPE INT_R TILEPROP INT_R_X7Y126 CLASS tile TILEPROP INT_R_X7Y126 COLUMN 22 TILEPROP INT_R_X7Y126 DEVICE_ID 0 TILEPROP INT_R_X7Y126 FIRST_SITE_ID 2416 TILEPROP INT_R_X7Y126 GRID_POINT_X 22 TILEPROP INT_R_X7Y126 GRID_POINT_Y 24 TILEPROP INT_R_X7Y126 INDEX 2782 TILEPROP INT_R_X7Y126 INT_TILE_X 7 TILEPROP INT_R_X7Y126 INT_TILE_Y 23 TILEPROP INT_R_X7Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y126 IS_DCM_TILE 0 TILEPROP INT_R_X7Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y126 NAME INT_R_X7Y126 TILEPROP INT_R_X7Y126 NUM_ARCS 3737 TILEPROP INT_R_X7Y126 NUM_SITES 1 TILEPROP INT_R_X7Y126 ROW 24 TILEPROP INT_R_X7Y126 SLR_REGION_ID 0 TILEPROP INT_R_X7Y126 TILE_PATTERN_IDX 4434 TILEPROP INT_R_X7Y126 TILE_TYPE INT_R TILEPROP INT_R_X7Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y126 TILE_X -61262 TILEPROP INT_R_X7Y126 TILE_Y 167096 TILEPROP INT_R_X7Y126 TYPE INT_R TILEPROP INT_R_X7Y127 CLASS tile TILEPROP INT_R_X7Y127 COLUMN 22 TILEPROP INT_R_X7Y127 DEVICE_ID 0 TILEPROP INT_R_X7Y127 FIRST_SITE_ID 2320 TILEPROP INT_R_X7Y127 GRID_POINT_X 22 TILEPROP INT_R_X7Y127 GRID_POINT_Y 23 TILEPROP INT_R_X7Y127 INDEX 2667 TILEPROP INT_R_X7Y127 INT_TILE_X 7 TILEPROP INT_R_X7Y127 INT_TILE_Y 22 TILEPROP INT_R_X7Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y127 IS_DCM_TILE 0 TILEPROP INT_R_X7Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y127 NAME INT_R_X7Y127 TILEPROP INT_R_X7Y127 NUM_ARCS 3737 TILEPROP INT_R_X7Y127 NUM_SITES 1 TILEPROP INT_R_X7Y127 ROW 23 TILEPROP INT_R_X7Y127 SLR_REGION_ID 0 TILEPROP INT_R_X7Y127 TILE_PATTERN_IDX 4396 TILEPROP INT_R_X7Y127 TILE_TYPE INT_R TILEPROP INT_R_X7Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y127 TILE_X -61262 TILEPROP INT_R_X7Y127 TILE_Y 170296 TILEPROP INT_R_X7Y127 TYPE INT_R TILEPROP INT_R_X7Y128 CLASS tile TILEPROP INT_R_X7Y128 COLUMN 22 TILEPROP INT_R_X7Y128 DEVICE_ID 0 TILEPROP INT_R_X7Y128 FIRST_SITE_ID 2216 TILEPROP INT_R_X7Y128 GRID_POINT_X 22 TILEPROP INT_R_X7Y128 GRID_POINT_Y 22 TILEPROP INT_R_X7Y128 INDEX 2552 TILEPROP INT_R_X7Y128 INT_TILE_X 7 TILEPROP INT_R_X7Y128 INT_TILE_Y 21 TILEPROP INT_R_X7Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y128 IS_DCM_TILE 0 TILEPROP INT_R_X7Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y128 NAME INT_R_X7Y128 TILEPROP INT_R_X7Y128 NUM_ARCS 3737 TILEPROP INT_R_X7Y128 NUM_SITES 1 TILEPROP INT_R_X7Y128 ROW 22 TILEPROP INT_R_X7Y128 SLR_REGION_ID 0 TILEPROP INT_R_X7Y128 TILE_PATTERN_IDX 4357 TILEPROP INT_R_X7Y128 TILE_TYPE INT_R TILEPROP INT_R_X7Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y128 TILE_X -61262 TILEPROP INT_R_X7Y128 TILE_Y 173496 TILEPROP INT_R_X7Y128 TYPE INT_R TILEPROP INT_R_X7Y129 CLASS tile TILEPROP INT_R_X7Y129 COLUMN 22 TILEPROP INT_R_X7Y129 DEVICE_ID 0 TILEPROP INT_R_X7Y129 FIRST_SITE_ID 2120 TILEPROP INT_R_X7Y129 GRID_POINT_X 22 TILEPROP INT_R_X7Y129 GRID_POINT_Y 21 TILEPROP INT_R_X7Y129 INDEX 2437 TILEPROP INT_R_X7Y129 INT_TILE_X 7 TILEPROP INT_R_X7Y129 INT_TILE_Y 20 TILEPROP INT_R_X7Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y129 IS_DCM_TILE 0 TILEPROP INT_R_X7Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y129 NAME INT_R_X7Y129 TILEPROP INT_R_X7Y129 NUM_ARCS 3737 TILEPROP INT_R_X7Y129 NUM_SITES 1 TILEPROP INT_R_X7Y129 ROW 21 TILEPROP INT_R_X7Y129 SLR_REGION_ID 0 TILEPROP INT_R_X7Y129 TILE_PATTERN_IDX 4319 TILEPROP INT_R_X7Y129 TILE_TYPE INT_R TILEPROP INT_R_X7Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y129 TILE_X -61262 TILEPROP INT_R_X7Y129 TILE_Y 176696 TILEPROP INT_R_X7Y129 TYPE INT_R TILEPROP INT_R_X7Y130 CLASS tile TILEPROP INT_R_X7Y130 COLUMN 22 TILEPROP INT_R_X7Y130 DEVICE_ID 0 TILEPROP INT_R_X7Y130 FIRST_SITE_ID 2001 TILEPROP INT_R_X7Y130 GRID_POINT_X 22 TILEPROP INT_R_X7Y130 GRID_POINT_Y 20 TILEPROP INT_R_X7Y130 INDEX 2322 TILEPROP INT_R_X7Y130 INT_TILE_X 7 TILEPROP INT_R_X7Y130 INT_TILE_Y 19 TILEPROP INT_R_X7Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y130 IS_DCM_TILE 0 TILEPROP INT_R_X7Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y130 NAME INT_R_X7Y130 TILEPROP INT_R_X7Y130 NUM_ARCS 3737 TILEPROP INT_R_X7Y130 NUM_SITES 1 TILEPROP INT_R_X7Y130 ROW 20 TILEPROP INT_R_X7Y130 SLR_REGION_ID 0 TILEPROP INT_R_X7Y130 TILE_PATTERN_IDX 4279 TILEPROP INT_R_X7Y130 TILE_TYPE INT_R TILEPROP INT_R_X7Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y130 TILE_X -61262 TILEPROP INT_R_X7Y130 TILE_Y 179896 TILEPROP INT_R_X7Y130 TYPE INT_R TILEPROP INT_R_X7Y131 CLASS tile TILEPROP INT_R_X7Y131 COLUMN 22 TILEPROP INT_R_X7Y131 DEVICE_ID 0 TILEPROP INT_R_X7Y131 FIRST_SITE_ID 1902 TILEPROP INT_R_X7Y131 GRID_POINT_X 22 TILEPROP INT_R_X7Y131 GRID_POINT_Y 19 TILEPROP INT_R_X7Y131 INDEX 2207 TILEPROP INT_R_X7Y131 INT_TILE_X 7 TILEPROP INT_R_X7Y131 INT_TILE_Y 18 TILEPROP INT_R_X7Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y131 IS_DCM_TILE 0 TILEPROP INT_R_X7Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y131 NAME INT_R_X7Y131 TILEPROP INT_R_X7Y131 NUM_ARCS 3737 TILEPROP INT_R_X7Y131 NUM_SITES 1 TILEPROP INT_R_X7Y131 ROW 19 TILEPROP INT_R_X7Y131 SLR_REGION_ID 0 TILEPROP INT_R_X7Y131 TILE_PATTERN_IDX 4241 TILEPROP INT_R_X7Y131 TILE_TYPE INT_R TILEPROP INT_R_X7Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y131 TILE_X -61262 TILEPROP INT_R_X7Y131 TILE_Y 183096 TILEPROP INT_R_X7Y131 TYPE INT_R TILEPROP INT_R_X7Y132 CLASS tile TILEPROP INT_R_X7Y132 COLUMN 22 TILEPROP INT_R_X7Y132 DEVICE_ID 0 TILEPROP INT_R_X7Y132 FIRST_SITE_ID 1796 TILEPROP INT_R_X7Y132 GRID_POINT_X 22 TILEPROP INT_R_X7Y132 GRID_POINT_Y 18 TILEPROP INT_R_X7Y132 INDEX 2092 TILEPROP INT_R_X7Y132 INT_TILE_X 7 TILEPROP INT_R_X7Y132 INT_TILE_Y 17 TILEPROP INT_R_X7Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y132 IS_DCM_TILE 0 TILEPROP INT_R_X7Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y132 NAME INT_R_X7Y132 TILEPROP INT_R_X7Y132 NUM_ARCS 3737 TILEPROP INT_R_X7Y132 NUM_SITES 1 TILEPROP INT_R_X7Y132 ROW 18 TILEPROP INT_R_X7Y132 SLR_REGION_ID 0 TILEPROP INT_R_X7Y132 TILE_PATTERN_IDX 4202 TILEPROP INT_R_X7Y132 TILE_TYPE INT_R TILEPROP INT_R_X7Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y132 TILE_X -61262 TILEPROP INT_R_X7Y132 TILE_Y 186296 TILEPROP INT_R_X7Y132 TYPE INT_R TILEPROP INT_R_X7Y133 CLASS tile TILEPROP INT_R_X7Y133 COLUMN 22 TILEPROP INT_R_X7Y133 DEVICE_ID 0 TILEPROP INT_R_X7Y133 FIRST_SITE_ID 1695 TILEPROP INT_R_X7Y133 GRID_POINT_X 22 TILEPROP INT_R_X7Y133 GRID_POINT_Y 17 TILEPROP INT_R_X7Y133 INDEX 1977 TILEPROP INT_R_X7Y133 INT_TILE_X 7 TILEPROP INT_R_X7Y133 INT_TILE_Y 16 TILEPROP INT_R_X7Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y133 IS_DCM_TILE 0 TILEPROP INT_R_X7Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y133 NAME INT_R_X7Y133 TILEPROP INT_R_X7Y133 NUM_ARCS 3737 TILEPROP INT_R_X7Y133 NUM_SITES 1 TILEPROP INT_R_X7Y133 ROW 17 TILEPROP INT_R_X7Y133 SLR_REGION_ID 0 TILEPROP INT_R_X7Y133 TILE_PATTERN_IDX 4164 TILEPROP INT_R_X7Y133 TILE_TYPE INT_R TILEPROP INT_R_X7Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y133 TILE_X -61262 TILEPROP INT_R_X7Y133 TILE_Y 189496 TILEPROP INT_R_X7Y133 TYPE INT_R TILEPROP INT_R_X7Y134 CLASS tile TILEPROP INT_R_X7Y134 COLUMN 22 TILEPROP INT_R_X7Y134 DEVICE_ID 0 TILEPROP INT_R_X7Y134 FIRST_SITE_ID 1591 TILEPROP INT_R_X7Y134 GRID_POINT_X 22 TILEPROP INT_R_X7Y134 GRID_POINT_Y 16 TILEPROP INT_R_X7Y134 INDEX 1862 TILEPROP INT_R_X7Y134 INT_TILE_X 7 TILEPROP INT_R_X7Y134 INT_TILE_Y 15 TILEPROP INT_R_X7Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y134 IS_DCM_TILE 0 TILEPROP INT_R_X7Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y134 NAME INT_R_X7Y134 TILEPROP INT_R_X7Y134 NUM_ARCS 3737 TILEPROP INT_R_X7Y134 NUM_SITES 1 TILEPROP INT_R_X7Y134 ROW 16 TILEPROP INT_R_X7Y134 SLR_REGION_ID 0 TILEPROP INT_R_X7Y134 TILE_PATTERN_IDX 4125 TILEPROP INT_R_X7Y134 TILE_TYPE INT_R TILEPROP INT_R_X7Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y134 TILE_X -61262 TILEPROP INT_R_X7Y134 TILE_Y 192696 TILEPROP INT_R_X7Y134 TYPE INT_R TILEPROP INT_R_X7Y135 CLASS tile TILEPROP INT_R_X7Y135 COLUMN 22 TILEPROP INT_R_X7Y135 DEVICE_ID 0 TILEPROP INT_R_X7Y135 FIRST_SITE_ID 1486 TILEPROP INT_R_X7Y135 GRID_POINT_X 22 TILEPROP INT_R_X7Y135 GRID_POINT_Y 15 TILEPROP INT_R_X7Y135 INDEX 1747 TILEPROP INT_R_X7Y135 INT_TILE_X 7 TILEPROP INT_R_X7Y135 INT_TILE_Y 14 TILEPROP INT_R_X7Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y135 IS_DCM_TILE 0 TILEPROP INT_R_X7Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y135 NAME INT_R_X7Y135 TILEPROP INT_R_X7Y135 NUM_ARCS 3737 TILEPROP INT_R_X7Y135 NUM_SITES 1 TILEPROP INT_R_X7Y135 ROW 15 TILEPROP INT_R_X7Y135 SLR_REGION_ID 0 TILEPROP INT_R_X7Y135 TILE_PATTERN_IDX 4087 TILEPROP INT_R_X7Y135 TILE_TYPE INT_R TILEPROP INT_R_X7Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y135 TILE_X -61262 TILEPROP INT_R_X7Y135 TILE_Y 195896 TILEPROP INT_R_X7Y135 TYPE INT_R TILEPROP INT_R_X7Y136 CLASS tile TILEPROP INT_R_X7Y136 COLUMN 22 TILEPROP INT_R_X7Y136 DEVICE_ID 0 TILEPROP INT_R_X7Y136 FIRST_SITE_ID 1347 TILEPROP INT_R_X7Y136 GRID_POINT_X 22 TILEPROP INT_R_X7Y136 GRID_POINT_Y 14 TILEPROP INT_R_X7Y136 INDEX 1632 TILEPROP INT_R_X7Y136 INT_TILE_X 7 TILEPROP INT_R_X7Y136 INT_TILE_Y 13 TILEPROP INT_R_X7Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y136 IS_DCM_TILE 0 TILEPROP INT_R_X7Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y136 NAME INT_R_X7Y136 TILEPROP INT_R_X7Y136 NUM_ARCS 3737 TILEPROP INT_R_X7Y136 NUM_SITES 1 TILEPROP INT_R_X7Y136 ROW 14 TILEPROP INT_R_X7Y136 SLR_REGION_ID 0 TILEPROP INT_R_X7Y136 TILE_PATTERN_IDX 4048 TILEPROP INT_R_X7Y136 TILE_TYPE INT_R TILEPROP INT_R_X7Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y136 TILE_X -61262 TILEPROP INT_R_X7Y136 TILE_Y 199096 TILEPROP INT_R_X7Y136 TYPE INT_R TILEPROP INT_R_X7Y137 CLASS tile TILEPROP INT_R_X7Y137 COLUMN 22 TILEPROP INT_R_X7Y137 DEVICE_ID 0 TILEPROP INT_R_X7Y137 FIRST_SITE_ID 1251 TILEPROP INT_R_X7Y137 GRID_POINT_X 22 TILEPROP INT_R_X7Y137 GRID_POINT_Y 13 TILEPROP INT_R_X7Y137 INDEX 1517 TILEPROP INT_R_X7Y137 INT_TILE_X 7 TILEPROP INT_R_X7Y137 INT_TILE_Y 12 TILEPROP INT_R_X7Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y137 IS_DCM_TILE 0 TILEPROP INT_R_X7Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y137 NAME INT_R_X7Y137 TILEPROP INT_R_X7Y137 NUM_ARCS 3737 TILEPROP INT_R_X7Y137 NUM_SITES 1 TILEPROP INT_R_X7Y137 ROW 13 TILEPROP INT_R_X7Y137 SLR_REGION_ID 0 TILEPROP INT_R_X7Y137 TILE_PATTERN_IDX 4010 TILEPROP INT_R_X7Y137 TILE_TYPE INT_R TILEPROP INT_R_X7Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y137 TILE_X -61262 TILEPROP INT_R_X7Y137 TILE_Y 202296 TILEPROP INT_R_X7Y137 TYPE INT_R TILEPROP INT_R_X7Y138 CLASS tile TILEPROP INT_R_X7Y138 COLUMN 22 TILEPROP INT_R_X7Y138 DEVICE_ID 0 TILEPROP INT_R_X7Y138 FIRST_SITE_ID 1147 TILEPROP INT_R_X7Y138 GRID_POINT_X 22 TILEPROP INT_R_X7Y138 GRID_POINT_Y 12 TILEPROP INT_R_X7Y138 INDEX 1402 TILEPROP INT_R_X7Y138 INT_TILE_X 7 TILEPROP INT_R_X7Y138 INT_TILE_Y 11 TILEPROP INT_R_X7Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y138 IS_DCM_TILE 0 TILEPROP INT_R_X7Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y138 NAME INT_R_X7Y138 TILEPROP INT_R_X7Y138 NUM_ARCS 3737 TILEPROP INT_R_X7Y138 NUM_SITES 1 TILEPROP INT_R_X7Y138 ROW 12 TILEPROP INT_R_X7Y138 SLR_REGION_ID 0 TILEPROP INT_R_X7Y138 TILE_PATTERN_IDX 3971 TILEPROP INT_R_X7Y138 TILE_TYPE INT_R TILEPROP INT_R_X7Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y138 TILE_X -61262 TILEPROP INT_R_X7Y138 TILE_Y 205496 TILEPROP INT_R_X7Y138 TYPE INT_R TILEPROP INT_R_X7Y139 CLASS tile TILEPROP INT_R_X7Y139 COLUMN 22 TILEPROP INT_R_X7Y139 DEVICE_ID 0 TILEPROP INT_R_X7Y139 FIRST_SITE_ID 1051 TILEPROP INT_R_X7Y139 GRID_POINT_X 22 TILEPROP INT_R_X7Y139 GRID_POINT_Y 11 TILEPROP INT_R_X7Y139 INDEX 1287 TILEPROP INT_R_X7Y139 INT_TILE_X 7 TILEPROP INT_R_X7Y139 INT_TILE_Y 10 TILEPROP INT_R_X7Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y139 IS_DCM_TILE 0 TILEPROP INT_R_X7Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y139 NAME INT_R_X7Y139 TILEPROP INT_R_X7Y139 NUM_ARCS 3737 TILEPROP INT_R_X7Y139 NUM_SITES 1 TILEPROP INT_R_X7Y139 ROW 11 TILEPROP INT_R_X7Y139 SLR_REGION_ID 0 TILEPROP INT_R_X7Y139 TILE_PATTERN_IDX 3933 TILEPROP INT_R_X7Y139 TILE_TYPE INT_R TILEPROP INT_R_X7Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y139 TILE_X -61262 TILEPROP INT_R_X7Y139 TILE_Y 208696 TILEPROP INT_R_X7Y139 TYPE INT_R TILEPROP INT_R_X7Y140 CLASS tile TILEPROP INT_R_X7Y140 COLUMN 22 TILEPROP INT_R_X7Y140 DEVICE_ID 0 TILEPROP INT_R_X7Y140 FIRST_SITE_ID 938 TILEPROP INT_R_X7Y140 GRID_POINT_X 22 TILEPROP INT_R_X7Y140 GRID_POINT_Y 10 TILEPROP INT_R_X7Y140 INDEX 1172 TILEPROP INT_R_X7Y140 INT_TILE_X 7 TILEPROP INT_R_X7Y140 INT_TILE_Y 9 TILEPROP INT_R_X7Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y140 IS_DCM_TILE 0 TILEPROP INT_R_X7Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y140 NAME INT_R_X7Y140 TILEPROP INT_R_X7Y140 NUM_ARCS 3737 TILEPROP INT_R_X7Y140 NUM_SITES 1 TILEPROP INT_R_X7Y140 ROW 10 TILEPROP INT_R_X7Y140 SLR_REGION_ID 0 TILEPROP INT_R_X7Y140 TILE_PATTERN_IDX 3894 TILEPROP INT_R_X7Y140 TILE_TYPE INT_R TILEPROP INT_R_X7Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y140 TILE_X -61262 TILEPROP INT_R_X7Y140 TILE_Y 211896 TILEPROP INT_R_X7Y140 TYPE INT_R TILEPROP INT_R_X7Y141 CLASS tile TILEPROP INT_R_X7Y141 COLUMN 22 TILEPROP INT_R_X7Y141 DEVICE_ID 0 TILEPROP INT_R_X7Y141 FIRST_SITE_ID 839 TILEPROP INT_R_X7Y141 GRID_POINT_X 22 TILEPROP INT_R_X7Y141 GRID_POINT_Y 9 TILEPROP INT_R_X7Y141 INDEX 1057 TILEPROP INT_R_X7Y141 INT_TILE_X 7 TILEPROP INT_R_X7Y141 INT_TILE_Y 8 TILEPROP INT_R_X7Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y141 IS_DCM_TILE 0 TILEPROP INT_R_X7Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y141 NAME INT_R_X7Y141 TILEPROP INT_R_X7Y141 NUM_ARCS 3737 TILEPROP INT_R_X7Y141 NUM_SITES 1 TILEPROP INT_R_X7Y141 ROW 9 TILEPROP INT_R_X7Y141 SLR_REGION_ID 0 TILEPROP INT_R_X7Y141 TILE_PATTERN_IDX 3856 TILEPROP INT_R_X7Y141 TILE_TYPE INT_R TILEPROP INT_R_X7Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y141 TILE_X -61262 TILEPROP INT_R_X7Y141 TILE_Y 215096 TILEPROP INT_R_X7Y141 TYPE INT_R TILEPROP INT_R_X7Y142 CLASS tile TILEPROP INT_R_X7Y142 COLUMN 22 TILEPROP INT_R_X7Y142 DEVICE_ID 0 TILEPROP INT_R_X7Y142 FIRST_SITE_ID 735 TILEPROP INT_R_X7Y142 GRID_POINT_X 22 TILEPROP INT_R_X7Y142 GRID_POINT_Y 8 TILEPROP INT_R_X7Y142 INDEX 942 TILEPROP INT_R_X7Y142 INT_TILE_X 7 TILEPROP INT_R_X7Y142 INT_TILE_Y 7 TILEPROP INT_R_X7Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y142 IS_DCM_TILE 0 TILEPROP INT_R_X7Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y142 NAME INT_R_X7Y142 TILEPROP INT_R_X7Y142 NUM_ARCS 3737 TILEPROP INT_R_X7Y142 NUM_SITES 1 TILEPROP INT_R_X7Y142 ROW 8 TILEPROP INT_R_X7Y142 SLR_REGION_ID 0 TILEPROP INT_R_X7Y142 TILE_PATTERN_IDX 3817 TILEPROP INT_R_X7Y142 TILE_TYPE INT_R TILEPROP INT_R_X7Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y142 TILE_X -61262 TILEPROP INT_R_X7Y142 TILE_Y 218296 TILEPROP INT_R_X7Y142 TYPE INT_R TILEPROP INT_R_X7Y143 CLASS tile TILEPROP INT_R_X7Y143 COLUMN 22 TILEPROP INT_R_X7Y143 DEVICE_ID 0 TILEPROP INT_R_X7Y143 FIRST_SITE_ID 638 TILEPROP INT_R_X7Y143 GRID_POINT_X 22 TILEPROP INT_R_X7Y143 GRID_POINT_Y 7 TILEPROP INT_R_X7Y143 INDEX 827 TILEPROP INT_R_X7Y143 INT_TILE_X 7 TILEPROP INT_R_X7Y143 INT_TILE_Y 6 TILEPROP INT_R_X7Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y143 IS_DCM_TILE 0 TILEPROP INT_R_X7Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y143 NAME INT_R_X7Y143 TILEPROP INT_R_X7Y143 NUM_ARCS 3737 TILEPROP INT_R_X7Y143 NUM_SITES 1 TILEPROP INT_R_X7Y143 ROW 7 TILEPROP INT_R_X7Y143 SLR_REGION_ID 0 TILEPROP INT_R_X7Y143 TILE_PATTERN_IDX 3779 TILEPROP INT_R_X7Y143 TILE_TYPE INT_R TILEPROP INT_R_X7Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y143 TILE_X -61262 TILEPROP INT_R_X7Y143 TILE_Y 221496 TILEPROP INT_R_X7Y143 TYPE INT_R TILEPROP INT_R_X7Y144 CLASS tile TILEPROP INT_R_X7Y144 COLUMN 22 TILEPROP INT_R_X7Y144 DEVICE_ID 0 TILEPROP INT_R_X7Y144 FIRST_SITE_ID 527 TILEPROP INT_R_X7Y144 GRID_POINT_X 22 TILEPROP INT_R_X7Y144 GRID_POINT_Y 6 TILEPROP INT_R_X7Y144 INDEX 712 TILEPROP INT_R_X7Y144 INT_TILE_X 7 TILEPROP INT_R_X7Y144 INT_TILE_Y 5 TILEPROP INT_R_X7Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y144 IS_DCM_TILE 0 TILEPROP INT_R_X7Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y144 NAME INT_R_X7Y144 TILEPROP INT_R_X7Y144 NUM_ARCS 3737 TILEPROP INT_R_X7Y144 NUM_SITES 1 TILEPROP INT_R_X7Y144 ROW 6 TILEPROP INT_R_X7Y144 SLR_REGION_ID 0 TILEPROP INT_R_X7Y144 TILE_PATTERN_IDX 3740 TILEPROP INT_R_X7Y144 TILE_TYPE INT_R TILEPROP INT_R_X7Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y144 TILE_X -61262 TILEPROP INT_R_X7Y144 TILE_Y 224696 TILEPROP INT_R_X7Y144 TYPE INT_R TILEPROP INT_R_X7Y145 CLASS tile TILEPROP INT_R_X7Y145 COLUMN 22 TILEPROP INT_R_X7Y145 DEVICE_ID 0 TILEPROP INT_R_X7Y145 FIRST_SITE_ID 422 TILEPROP INT_R_X7Y145 GRID_POINT_X 22 TILEPROP INT_R_X7Y145 GRID_POINT_Y 5 TILEPROP INT_R_X7Y145 INDEX 597 TILEPROP INT_R_X7Y145 INT_TILE_X 7 TILEPROP INT_R_X7Y145 INT_TILE_Y 4 TILEPROP INT_R_X7Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y145 IS_DCM_TILE 0 TILEPROP INT_R_X7Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y145 NAME INT_R_X7Y145 TILEPROP INT_R_X7Y145 NUM_ARCS 3737 TILEPROP INT_R_X7Y145 NUM_SITES 1 TILEPROP INT_R_X7Y145 ROW 5 TILEPROP INT_R_X7Y145 SLR_REGION_ID 0 TILEPROP INT_R_X7Y145 TILE_PATTERN_IDX 3702 TILEPROP INT_R_X7Y145 TILE_TYPE INT_R TILEPROP INT_R_X7Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y145 TILE_X -61262 TILEPROP INT_R_X7Y145 TILE_Y 227896 TILEPROP INT_R_X7Y145 TYPE INT_R TILEPROP INT_R_X7Y146 CLASS tile TILEPROP INT_R_X7Y146 COLUMN 22 TILEPROP INT_R_X7Y146 DEVICE_ID 0 TILEPROP INT_R_X7Y146 FIRST_SITE_ID 315 TILEPROP INT_R_X7Y146 GRID_POINT_X 22 TILEPROP INT_R_X7Y146 GRID_POINT_Y 4 TILEPROP INT_R_X7Y146 INDEX 482 TILEPROP INT_R_X7Y146 INT_TILE_X 7 TILEPROP INT_R_X7Y146 INT_TILE_Y 3 TILEPROP INT_R_X7Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y146 IS_DCM_TILE 0 TILEPROP INT_R_X7Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y146 NAME INT_R_X7Y146 TILEPROP INT_R_X7Y146 NUM_ARCS 3737 TILEPROP INT_R_X7Y146 NUM_SITES 1 TILEPROP INT_R_X7Y146 ROW 4 TILEPROP INT_R_X7Y146 SLR_REGION_ID 0 TILEPROP INT_R_X7Y146 TILE_PATTERN_IDX 3663 TILEPROP INT_R_X7Y146 TILE_TYPE INT_R TILEPROP INT_R_X7Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y146 TILE_X -61262 TILEPROP INT_R_X7Y146 TILE_Y 231096 TILEPROP INT_R_X7Y146 TYPE INT_R TILEPROP INT_R_X7Y147 CLASS tile TILEPROP INT_R_X7Y147 COLUMN 22 TILEPROP INT_R_X7Y147 DEVICE_ID 0 TILEPROP INT_R_X7Y147 FIRST_SITE_ID 219 TILEPROP INT_R_X7Y147 GRID_POINT_X 22 TILEPROP INT_R_X7Y147 GRID_POINT_Y 3 TILEPROP INT_R_X7Y147 INDEX 367 TILEPROP INT_R_X7Y147 INT_TILE_X 7 TILEPROP INT_R_X7Y147 INT_TILE_Y 2 TILEPROP INT_R_X7Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y147 IS_DCM_TILE 0 TILEPROP INT_R_X7Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y147 NAME INT_R_X7Y147 TILEPROP INT_R_X7Y147 NUM_ARCS 3737 TILEPROP INT_R_X7Y147 NUM_SITES 1 TILEPROP INT_R_X7Y147 ROW 3 TILEPROP INT_R_X7Y147 SLR_REGION_ID 0 TILEPROP INT_R_X7Y147 TILE_PATTERN_IDX 3625 TILEPROP INT_R_X7Y147 TILE_TYPE INT_R TILEPROP INT_R_X7Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y147 TILE_X -61262 TILEPROP INT_R_X7Y147 TILE_Y 234296 TILEPROP INT_R_X7Y147 TYPE INT_R TILEPROP INT_R_X7Y148 CLASS tile TILEPROP INT_R_X7Y148 COLUMN 22 TILEPROP INT_R_X7Y148 DEVICE_ID 0 TILEPROP INT_R_X7Y148 FIRST_SITE_ID 115 TILEPROP INT_R_X7Y148 GRID_POINT_X 22 TILEPROP INT_R_X7Y148 GRID_POINT_Y 2 TILEPROP INT_R_X7Y148 INDEX 252 TILEPROP INT_R_X7Y148 INT_TILE_X 7 TILEPROP INT_R_X7Y148 INT_TILE_Y 1 TILEPROP INT_R_X7Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y148 IS_DCM_TILE 0 TILEPROP INT_R_X7Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y148 NAME INT_R_X7Y148 TILEPROP INT_R_X7Y148 NUM_ARCS 3737 TILEPROP INT_R_X7Y148 NUM_SITES 1 TILEPROP INT_R_X7Y148 ROW 2 TILEPROP INT_R_X7Y148 SLR_REGION_ID 0 TILEPROP INT_R_X7Y148 TILE_PATTERN_IDX 3586 TILEPROP INT_R_X7Y148 TILE_TYPE INT_R TILEPROP INT_R_X7Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y148 TILE_X -61262 TILEPROP INT_R_X7Y148 TILE_Y 237496 TILEPROP INT_R_X7Y148 TYPE INT_R TILEPROP INT_R_X7Y149 CLASS tile TILEPROP INT_R_X7Y149 COLUMN 22 TILEPROP INT_R_X7Y149 DEVICE_ID 0 TILEPROP INT_R_X7Y149 FIRST_SITE_ID 19 TILEPROP INT_R_X7Y149 GRID_POINT_X 22 TILEPROP INT_R_X7Y149 GRID_POINT_Y 1 TILEPROP INT_R_X7Y149 INDEX 137 TILEPROP INT_R_X7Y149 INT_TILE_X 7 TILEPROP INT_R_X7Y149 INT_TILE_Y 0 TILEPROP INT_R_X7Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X7Y149 IS_DCM_TILE 0 TILEPROP INT_R_X7Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X7Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X7Y149 NAME INT_R_X7Y149 TILEPROP INT_R_X7Y149 NUM_ARCS 3737 TILEPROP INT_R_X7Y149 NUM_SITES 1 TILEPROP INT_R_X7Y149 ROW 1 TILEPROP INT_R_X7Y149 SLR_REGION_ID 0 TILEPROP INT_R_X7Y149 TILE_PATTERN_IDX 3548 TILEPROP INT_R_X7Y149 TILE_TYPE INT_R TILEPROP INT_R_X7Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X7Y149 TILE_X -61262 TILEPROP INT_R_X7Y149 TILE_Y 240696 TILEPROP INT_R_X7Y149 TYPE INT_R TILEPROP INT_R_X9Y0 CLASS tile TILEPROP INT_R_X9Y0 COLUMN 26 TILEPROP INT_R_X9Y0 DEVICE_ID 0 TILEPROP INT_R_X9Y0 FIRST_SITE_ID 15730 TILEPROP INT_R_X9Y0 GRID_POINT_X 26 TILEPROP INT_R_X9Y0 GRID_POINT_Y 155 TILEPROP INT_R_X9Y0 INDEX 17851 TILEPROP INT_R_X9Y0 INT_TILE_X 9 TILEPROP INT_R_X9Y0 INT_TILE_Y 149 TILEPROP INT_R_X9Y0 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y0 IS_DCM_TILE 0 TILEPROP INT_R_X9Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y0 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y0 NAME INT_R_X9Y0 TILEPROP INT_R_X9Y0 NUM_ARCS 3737 TILEPROP INT_R_X9Y0 NUM_SITES 1 TILEPROP INT_R_X9Y0 ROW 155 TILEPROP INT_R_X9Y0 SLR_REGION_ID 0 TILEPROP INT_R_X9Y0 TILE_PATTERN_IDX 8742 TILEPROP INT_R_X9Y0 TILE_TYPE INT_R TILEPROP INT_R_X9Y0 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y0 TILE_X -52958 TILEPROP INT_R_X9Y0 TILE_Y -239672 TILEPROP INT_R_X9Y0 TYPE INT_R TILEPROP INT_R_X9Y1 CLASS tile TILEPROP INT_R_X9Y1 COLUMN 26 TILEPROP INT_R_X9Y1 DEVICE_ID 0 TILEPROP INT_R_X9Y1 FIRST_SITE_ID 15622 TILEPROP INT_R_X9Y1 GRID_POINT_X 26 TILEPROP INT_R_X9Y1 GRID_POINT_Y 154 TILEPROP INT_R_X9Y1 INDEX 17736 TILEPROP INT_R_X9Y1 INT_TILE_X 9 TILEPROP INT_R_X9Y1 INT_TILE_Y 148 TILEPROP INT_R_X9Y1 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y1 IS_DCM_TILE 0 TILEPROP INT_R_X9Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y1 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y1 NAME INT_R_X9Y1 TILEPROP INT_R_X9Y1 NUM_ARCS 3737 TILEPROP INT_R_X9Y1 NUM_SITES 1 TILEPROP INT_R_X9Y1 ROW 154 TILEPROP INT_R_X9Y1 SLR_REGION_ID 0 TILEPROP INT_R_X9Y1 TILE_PATTERN_IDX 8711 TILEPROP INT_R_X9Y1 TILE_TYPE INT_R TILEPROP INT_R_X9Y1 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y1 TILE_X -52958 TILEPROP INT_R_X9Y1 TILE_Y -236472 TILEPROP INT_R_X9Y1 TYPE INT_R TILEPROP INT_R_X9Y2 CLASS tile TILEPROP INT_R_X9Y2 COLUMN 26 TILEPROP INT_R_X9Y2 DEVICE_ID 0 TILEPROP INT_R_X9Y2 FIRST_SITE_ID 15522 TILEPROP INT_R_X9Y2 GRID_POINT_X 26 TILEPROP INT_R_X9Y2 GRID_POINT_Y 153 TILEPROP INT_R_X9Y2 INDEX 17621 TILEPROP INT_R_X9Y2 INT_TILE_X 9 TILEPROP INT_R_X9Y2 INT_TILE_Y 147 TILEPROP INT_R_X9Y2 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y2 IS_DCM_TILE 0 TILEPROP INT_R_X9Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y2 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y2 NAME INT_R_X9Y2 TILEPROP INT_R_X9Y2 NUM_ARCS 3737 TILEPROP INT_R_X9Y2 NUM_SITES 1 TILEPROP INT_R_X9Y2 ROW 153 TILEPROP INT_R_X9Y2 SLR_REGION_ID 0 TILEPROP INT_R_X9Y2 TILE_PATTERN_IDX 8681 TILEPROP INT_R_X9Y2 TILE_TYPE INT_R TILEPROP INT_R_X9Y2 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y2 TILE_X -52958 TILEPROP INT_R_X9Y2 TILE_Y -233272 TILEPROP INT_R_X9Y2 TYPE INT_R TILEPROP INT_R_X9Y3 CLASS tile TILEPROP INT_R_X9Y3 COLUMN 26 TILEPROP INT_R_X9Y3 DEVICE_ID 0 TILEPROP INT_R_X9Y3 FIRST_SITE_ID 15422 TILEPROP INT_R_X9Y3 GRID_POINT_X 26 TILEPROP INT_R_X9Y3 GRID_POINT_Y 152 TILEPROP INT_R_X9Y3 INDEX 17506 TILEPROP INT_R_X9Y3 INT_TILE_X 9 TILEPROP INT_R_X9Y3 INT_TILE_Y 146 TILEPROP INT_R_X9Y3 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y3 IS_DCM_TILE 0 TILEPROP INT_R_X9Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y3 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y3 NAME INT_R_X9Y3 TILEPROP INT_R_X9Y3 NUM_ARCS 3737 TILEPROP INT_R_X9Y3 NUM_SITES 1 TILEPROP INT_R_X9Y3 ROW 152 TILEPROP INT_R_X9Y3 SLR_REGION_ID 0 TILEPROP INT_R_X9Y3 TILE_PATTERN_IDX 8650 TILEPROP INT_R_X9Y3 TILE_TYPE INT_R TILEPROP INT_R_X9Y3 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y3 TILE_X -52958 TILEPROP INT_R_X9Y3 TILE_Y -230072 TILEPROP INT_R_X9Y3 TYPE INT_R TILEPROP INT_R_X9Y4 CLASS tile TILEPROP INT_R_X9Y4 COLUMN 26 TILEPROP INT_R_X9Y4 DEVICE_ID 0 TILEPROP INT_R_X9Y4 FIRST_SITE_ID 15322 TILEPROP INT_R_X9Y4 GRID_POINT_X 26 TILEPROP INT_R_X9Y4 GRID_POINT_Y 151 TILEPROP INT_R_X9Y4 INDEX 17391 TILEPROP INT_R_X9Y4 INT_TILE_X 9 TILEPROP INT_R_X9Y4 INT_TILE_Y 145 TILEPROP INT_R_X9Y4 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y4 IS_DCM_TILE 0 TILEPROP INT_R_X9Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y4 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y4 NAME INT_R_X9Y4 TILEPROP INT_R_X9Y4 NUM_ARCS 3737 TILEPROP INT_R_X9Y4 NUM_SITES 1 TILEPROP INT_R_X9Y4 ROW 151 TILEPROP INT_R_X9Y4 SLR_REGION_ID 0 TILEPROP INT_R_X9Y4 TILE_PATTERN_IDX 8620 TILEPROP INT_R_X9Y4 TILE_TYPE INT_R TILEPROP INT_R_X9Y4 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y4 TILE_X -52958 TILEPROP INT_R_X9Y4 TILE_Y -226872 TILEPROP INT_R_X9Y4 TYPE INT_R TILEPROP INT_R_X9Y5 CLASS tile TILEPROP INT_R_X9Y5 COLUMN 26 TILEPROP INT_R_X9Y5 DEVICE_ID 0 TILEPROP INT_R_X9Y5 FIRST_SITE_ID 15210 TILEPROP INT_R_X9Y5 GRID_POINT_X 26 TILEPROP INT_R_X9Y5 GRID_POINT_Y 150 TILEPROP INT_R_X9Y5 INDEX 17276 TILEPROP INT_R_X9Y5 INT_TILE_X 9 TILEPROP INT_R_X9Y5 INT_TILE_Y 144 TILEPROP INT_R_X9Y5 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y5 IS_DCM_TILE 0 TILEPROP INT_R_X9Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y5 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y5 NAME INT_R_X9Y5 TILEPROP INT_R_X9Y5 NUM_ARCS 3737 TILEPROP INT_R_X9Y5 NUM_SITES 1 TILEPROP INT_R_X9Y5 ROW 150 TILEPROP INT_R_X9Y5 SLR_REGION_ID 0 TILEPROP INT_R_X9Y5 TILE_PATTERN_IDX 8589 TILEPROP INT_R_X9Y5 TILE_TYPE INT_R TILEPROP INT_R_X9Y5 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y5 TILE_X -52958 TILEPROP INT_R_X9Y5 TILE_Y -223672 TILEPROP INT_R_X9Y5 TYPE INT_R TILEPROP INT_R_X9Y6 CLASS tile TILEPROP INT_R_X9Y6 COLUMN 26 TILEPROP INT_R_X9Y6 DEVICE_ID 0 TILEPROP INT_R_X9Y6 FIRST_SITE_ID 15107 TILEPROP INT_R_X9Y6 GRID_POINT_X 26 TILEPROP INT_R_X9Y6 GRID_POINT_Y 149 TILEPROP INT_R_X9Y6 INDEX 17161 TILEPROP INT_R_X9Y6 INT_TILE_X 9 TILEPROP INT_R_X9Y6 INT_TILE_Y 143 TILEPROP INT_R_X9Y6 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y6 IS_DCM_TILE 0 TILEPROP INT_R_X9Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y6 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y6 NAME INT_R_X9Y6 TILEPROP INT_R_X9Y6 NUM_ARCS 3737 TILEPROP INT_R_X9Y6 NUM_SITES 1 TILEPROP INT_R_X9Y6 ROW 149 TILEPROP INT_R_X9Y6 SLR_REGION_ID 0 TILEPROP INT_R_X9Y6 TILE_PATTERN_IDX 8559 TILEPROP INT_R_X9Y6 TILE_TYPE INT_R TILEPROP INT_R_X9Y6 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y6 TILE_X -52958 TILEPROP INT_R_X9Y6 TILE_Y -220472 TILEPROP INT_R_X9Y6 TYPE INT_R TILEPROP INT_R_X9Y7 CLASS tile TILEPROP INT_R_X9Y7 COLUMN 26 TILEPROP INT_R_X9Y7 DEVICE_ID 0 TILEPROP INT_R_X9Y7 FIRST_SITE_ID 15005 TILEPROP INT_R_X9Y7 GRID_POINT_X 26 TILEPROP INT_R_X9Y7 GRID_POINT_Y 148 TILEPROP INT_R_X9Y7 INDEX 17046 TILEPROP INT_R_X9Y7 INT_TILE_X 9 TILEPROP INT_R_X9Y7 INT_TILE_Y 142 TILEPROP INT_R_X9Y7 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y7 IS_DCM_TILE 0 TILEPROP INT_R_X9Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y7 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y7 NAME INT_R_X9Y7 TILEPROP INT_R_X9Y7 NUM_ARCS 3737 TILEPROP INT_R_X9Y7 NUM_SITES 1 TILEPROP INT_R_X9Y7 ROW 148 TILEPROP INT_R_X9Y7 SLR_REGION_ID 0 TILEPROP INT_R_X9Y7 TILE_PATTERN_IDX 8528 TILEPROP INT_R_X9Y7 TILE_TYPE INT_R TILEPROP INT_R_X9Y7 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y7 TILE_X -52958 TILEPROP INT_R_X9Y7 TILE_Y -217272 TILEPROP INT_R_X9Y7 TYPE INT_R TILEPROP INT_R_X9Y8 CLASS tile TILEPROP INT_R_X9Y8 COLUMN 26 TILEPROP INT_R_X9Y8 DEVICE_ID 0 TILEPROP INT_R_X9Y8 FIRST_SITE_ID 14902 TILEPROP INT_R_X9Y8 GRID_POINT_X 26 TILEPROP INT_R_X9Y8 GRID_POINT_Y 147 TILEPROP INT_R_X9Y8 INDEX 16931 TILEPROP INT_R_X9Y8 INT_TILE_X 9 TILEPROP INT_R_X9Y8 INT_TILE_Y 141 TILEPROP INT_R_X9Y8 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y8 IS_DCM_TILE 0 TILEPROP INT_R_X9Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y8 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y8 NAME INT_R_X9Y8 TILEPROP INT_R_X9Y8 NUM_ARCS 3737 TILEPROP INT_R_X9Y8 NUM_SITES 1 TILEPROP INT_R_X9Y8 ROW 147 TILEPROP INT_R_X9Y8 SLR_REGION_ID 0 TILEPROP INT_R_X9Y8 TILE_PATTERN_IDX 8498 TILEPROP INT_R_X9Y8 TILE_TYPE INT_R TILEPROP INT_R_X9Y8 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y8 TILE_X -52958 TILEPROP INT_R_X9Y8 TILE_Y -214072 TILEPROP INT_R_X9Y8 TYPE INT_R TILEPROP INT_R_X9Y9 CLASS tile TILEPROP INT_R_X9Y9 COLUMN 26 TILEPROP INT_R_X9Y9 DEVICE_ID 0 TILEPROP INT_R_X9Y9 FIRST_SITE_ID 14801 TILEPROP INT_R_X9Y9 GRID_POINT_X 26 TILEPROP INT_R_X9Y9 GRID_POINT_Y 146 TILEPROP INT_R_X9Y9 INDEX 16816 TILEPROP INT_R_X9Y9 INT_TILE_X 9 TILEPROP INT_R_X9Y9 INT_TILE_Y 140 TILEPROP INT_R_X9Y9 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y9 IS_DCM_TILE 0 TILEPROP INT_R_X9Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y9 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y9 NAME INT_R_X9Y9 TILEPROP INT_R_X9Y9 NUM_ARCS 3737 TILEPROP INT_R_X9Y9 NUM_SITES 1 TILEPROP INT_R_X9Y9 ROW 146 TILEPROP INT_R_X9Y9 SLR_REGION_ID 0 TILEPROP INT_R_X9Y9 TILE_PATTERN_IDX 8467 TILEPROP INT_R_X9Y9 TILE_TYPE INT_R TILEPROP INT_R_X9Y9 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y9 TILE_X -52958 TILEPROP INT_R_X9Y9 TILE_Y -210872 TILEPROP INT_R_X9Y9 TYPE INT_R TILEPROP INT_R_X9Y10 CLASS tile TILEPROP INT_R_X9Y10 COLUMN 26 TILEPROP INT_R_X9Y10 DEVICE_ID 0 TILEPROP INT_R_X9Y10 FIRST_SITE_ID 14689 TILEPROP INT_R_X9Y10 GRID_POINT_X 26 TILEPROP INT_R_X9Y10 GRID_POINT_Y 145 TILEPROP INT_R_X9Y10 INDEX 16701 TILEPROP INT_R_X9Y10 INT_TILE_X 9 TILEPROP INT_R_X9Y10 INT_TILE_Y 139 TILEPROP INT_R_X9Y10 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y10 IS_DCM_TILE 0 TILEPROP INT_R_X9Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y10 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y10 NAME INT_R_X9Y10 TILEPROP INT_R_X9Y10 NUM_ARCS 3737 TILEPROP INT_R_X9Y10 NUM_SITES 1 TILEPROP INT_R_X9Y10 ROW 145 TILEPROP INT_R_X9Y10 SLR_REGION_ID 0 TILEPROP INT_R_X9Y10 TILE_PATTERN_IDX 8437 TILEPROP INT_R_X9Y10 TILE_TYPE INT_R TILEPROP INT_R_X9Y10 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y10 TILE_X -52958 TILEPROP INT_R_X9Y10 TILE_Y -207672 TILEPROP INT_R_X9Y10 TYPE INT_R TILEPROP INT_R_X9Y11 CLASS tile TILEPROP INT_R_X9Y11 COLUMN 26 TILEPROP INT_R_X9Y11 DEVICE_ID 0 TILEPROP INT_R_X9Y11 FIRST_SITE_ID 14586 TILEPROP INT_R_X9Y11 GRID_POINT_X 26 TILEPROP INT_R_X9Y11 GRID_POINT_Y 144 TILEPROP INT_R_X9Y11 INDEX 16586 TILEPROP INT_R_X9Y11 INT_TILE_X 9 TILEPROP INT_R_X9Y11 INT_TILE_Y 138 TILEPROP INT_R_X9Y11 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y11 IS_DCM_TILE 0 TILEPROP INT_R_X9Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y11 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y11 NAME INT_R_X9Y11 TILEPROP INT_R_X9Y11 NUM_ARCS 3737 TILEPROP INT_R_X9Y11 NUM_SITES 1 TILEPROP INT_R_X9Y11 ROW 144 TILEPROP INT_R_X9Y11 SLR_REGION_ID 0 TILEPROP INT_R_X9Y11 TILE_PATTERN_IDX 8406 TILEPROP INT_R_X9Y11 TILE_TYPE INT_R TILEPROP INT_R_X9Y11 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y11 TILE_X -52958 TILEPROP INT_R_X9Y11 TILE_Y -204472 TILEPROP INT_R_X9Y11 TYPE INT_R TILEPROP INT_R_X9Y12 CLASS tile TILEPROP INT_R_X9Y12 COLUMN 26 TILEPROP INT_R_X9Y12 DEVICE_ID 0 TILEPROP INT_R_X9Y12 FIRST_SITE_ID 14454 TILEPROP INT_R_X9Y12 GRID_POINT_X 26 TILEPROP INT_R_X9Y12 GRID_POINT_Y 143 TILEPROP INT_R_X9Y12 INDEX 16471 TILEPROP INT_R_X9Y12 INT_TILE_X 9 TILEPROP INT_R_X9Y12 INT_TILE_Y 137 TILEPROP INT_R_X9Y12 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y12 IS_DCM_TILE 0 TILEPROP INT_R_X9Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y12 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y12 NAME INT_R_X9Y12 TILEPROP INT_R_X9Y12 NUM_ARCS 3737 TILEPROP INT_R_X9Y12 NUM_SITES 1 TILEPROP INT_R_X9Y12 ROW 143 TILEPROP INT_R_X9Y12 SLR_REGION_ID 0 TILEPROP INT_R_X9Y12 TILE_PATTERN_IDX 8376 TILEPROP INT_R_X9Y12 TILE_TYPE INT_R TILEPROP INT_R_X9Y12 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y12 TILE_X -52958 TILEPROP INT_R_X9Y12 TILE_Y -201272 TILEPROP INT_R_X9Y12 TYPE INT_R TILEPROP INT_R_X9Y13 CLASS tile TILEPROP INT_R_X9Y13 COLUMN 26 TILEPROP INT_R_X9Y13 DEVICE_ID 0 TILEPROP INT_R_X9Y13 FIRST_SITE_ID 14354 TILEPROP INT_R_X9Y13 GRID_POINT_X 26 TILEPROP INT_R_X9Y13 GRID_POINT_Y 142 TILEPROP INT_R_X9Y13 INDEX 16356 TILEPROP INT_R_X9Y13 INT_TILE_X 9 TILEPROP INT_R_X9Y13 INT_TILE_Y 136 TILEPROP INT_R_X9Y13 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y13 IS_DCM_TILE 0 TILEPROP INT_R_X9Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y13 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y13 NAME INT_R_X9Y13 TILEPROP INT_R_X9Y13 NUM_ARCS 3737 TILEPROP INT_R_X9Y13 NUM_SITES 1 TILEPROP INT_R_X9Y13 ROW 142 TILEPROP INT_R_X9Y13 SLR_REGION_ID 0 TILEPROP INT_R_X9Y13 TILE_PATTERN_IDX 8345 TILEPROP INT_R_X9Y13 TILE_TYPE INT_R TILEPROP INT_R_X9Y13 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y13 TILE_X -52958 TILEPROP INT_R_X9Y13 TILE_Y -198072 TILEPROP INT_R_X9Y13 TYPE INT_R TILEPROP INT_R_X9Y14 CLASS tile TILEPROP INT_R_X9Y14 COLUMN 26 TILEPROP INT_R_X9Y14 DEVICE_ID 0 TILEPROP INT_R_X9Y14 FIRST_SITE_ID 14254 TILEPROP INT_R_X9Y14 GRID_POINT_X 26 TILEPROP INT_R_X9Y14 GRID_POINT_Y 141 TILEPROP INT_R_X9Y14 INDEX 16241 TILEPROP INT_R_X9Y14 INT_TILE_X 9 TILEPROP INT_R_X9Y14 INT_TILE_Y 135 TILEPROP INT_R_X9Y14 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y14 IS_DCM_TILE 0 TILEPROP INT_R_X9Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y14 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y14 NAME INT_R_X9Y14 TILEPROP INT_R_X9Y14 NUM_ARCS 3737 TILEPROP INT_R_X9Y14 NUM_SITES 1 TILEPROP INT_R_X9Y14 ROW 141 TILEPROP INT_R_X9Y14 SLR_REGION_ID 0 TILEPROP INT_R_X9Y14 TILE_PATTERN_IDX 8315 TILEPROP INT_R_X9Y14 TILE_TYPE INT_R TILEPROP INT_R_X9Y14 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y14 TILE_X -52958 TILEPROP INT_R_X9Y14 TILE_Y -194872 TILEPROP INT_R_X9Y14 TYPE INT_R TILEPROP INT_R_X9Y15 CLASS tile TILEPROP INT_R_X9Y15 COLUMN 26 TILEPROP INT_R_X9Y15 DEVICE_ID 0 TILEPROP INT_R_X9Y15 FIRST_SITE_ID 14142 TILEPROP INT_R_X9Y15 GRID_POINT_X 26 TILEPROP INT_R_X9Y15 GRID_POINT_Y 140 TILEPROP INT_R_X9Y15 INDEX 16126 TILEPROP INT_R_X9Y15 INT_TILE_X 9 TILEPROP INT_R_X9Y15 INT_TILE_Y 134 TILEPROP INT_R_X9Y15 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y15 IS_DCM_TILE 0 TILEPROP INT_R_X9Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y15 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y15 NAME INT_R_X9Y15 TILEPROP INT_R_X9Y15 NUM_ARCS 3737 TILEPROP INT_R_X9Y15 NUM_SITES 1 TILEPROP INT_R_X9Y15 ROW 140 TILEPROP INT_R_X9Y15 SLR_REGION_ID 0 TILEPROP INT_R_X9Y15 TILE_PATTERN_IDX 8284 TILEPROP INT_R_X9Y15 TILE_TYPE INT_R TILEPROP INT_R_X9Y15 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y15 TILE_X -52958 TILEPROP INT_R_X9Y15 TILE_Y -191672 TILEPROP INT_R_X9Y15 TYPE INT_R TILEPROP INT_R_X9Y16 CLASS tile TILEPROP INT_R_X9Y16 COLUMN 26 TILEPROP INT_R_X9Y16 DEVICE_ID 0 TILEPROP INT_R_X9Y16 FIRST_SITE_ID 14039 TILEPROP INT_R_X9Y16 GRID_POINT_X 26 TILEPROP INT_R_X9Y16 GRID_POINT_Y 139 TILEPROP INT_R_X9Y16 INDEX 16011 TILEPROP INT_R_X9Y16 INT_TILE_X 9 TILEPROP INT_R_X9Y16 INT_TILE_Y 133 TILEPROP INT_R_X9Y16 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y16 IS_DCM_TILE 0 TILEPROP INT_R_X9Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y16 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y16 NAME INT_R_X9Y16 TILEPROP INT_R_X9Y16 NUM_ARCS 3737 TILEPROP INT_R_X9Y16 NUM_SITES 1 TILEPROP INT_R_X9Y16 ROW 139 TILEPROP INT_R_X9Y16 SLR_REGION_ID 0 TILEPROP INT_R_X9Y16 TILE_PATTERN_IDX 8254 TILEPROP INT_R_X9Y16 TILE_TYPE INT_R TILEPROP INT_R_X9Y16 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y16 TILE_X -52958 TILEPROP INT_R_X9Y16 TILE_Y -188472 TILEPROP INT_R_X9Y16 TYPE INT_R TILEPROP INT_R_X9Y17 CLASS tile TILEPROP INT_R_X9Y17 COLUMN 26 TILEPROP INT_R_X9Y17 DEVICE_ID 0 TILEPROP INT_R_X9Y17 FIRST_SITE_ID 13934 TILEPROP INT_R_X9Y17 GRID_POINT_X 26 TILEPROP INT_R_X9Y17 GRID_POINT_Y 138 TILEPROP INT_R_X9Y17 INDEX 15896 TILEPROP INT_R_X9Y17 INT_TILE_X 9 TILEPROP INT_R_X9Y17 INT_TILE_Y 132 TILEPROP INT_R_X9Y17 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y17 IS_DCM_TILE 0 TILEPROP INT_R_X9Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y17 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y17 NAME INT_R_X9Y17 TILEPROP INT_R_X9Y17 NUM_ARCS 3737 TILEPROP INT_R_X9Y17 NUM_SITES 1 TILEPROP INT_R_X9Y17 ROW 138 TILEPROP INT_R_X9Y17 SLR_REGION_ID 0 TILEPROP INT_R_X9Y17 TILE_PATTERN_IDX 8222 TILEPROP INT_R_X9Y17 TILE_TYPE INT_R TILEPROP INT_R_X9Y17 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y17 TILE_X -52958 TILEPROP INT_R_X9Y17 TILE_Y -185272 TILEPROP INT_R_X9Y17 TYPE INT_R TILEPROP INT_R_X9Y18 CLASS tile TILEPROP INT_R_X9Y18 COLUMN 26 TILEPROP INT_R_X9Y18 DEVICE_ID 0 TILEPROP INT_R_X9Y18 FIRST_SITE_ID 13830 TILEPROP INT_R_X9Y18 GRID_POINT_X 26 TILEPROP INT_R_X9Y18 GRID_POINT_Y 137 TILEPROP INT_R_X9Y18 INDEX 15781 TILEPROP INT_R_X9Y18 INT_TILE_X 9 TILEPROP INT_R_X9Y18 INT_TILE_Y 131 TILEPROP INT_R_X9Y18 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y18 IS_DCM_TILE 0 TILEPROP INT_R_X9Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y18 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y18 NAME INT_R_X9Y18 TILEPROP INT_R_X9Y18 NUM_ARCS 3737 TILEPROP INT_R_X9Y18 NUM_SITES 1 TILEPROP INT_R_X9Y18 ROW 137 TILEPROP INT_R_X9Y18 SLR_REGION_ID 0 TILEPROP INT_R_X9Y18 TILE_PATTERN_IDX 8199 TILEPROP INT_R_X9Y18 TILE_TYPE INT_R TILEPROP INT_R_X9Y18 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y18 TILE_X -52958 TILEPROP INT_R_X9Y18 TILE_Y -182072 TILEPROP INT_R_X9Y18 TYPE INT_R TILEPROP INT_R_X9Y19 CLASS tile TILEPROP INT_R_X9Y19 COLUMN 26 TILEPROP INT_R_X9Y19 DEVICE_ID 0 TILEPROP INT_R_X9Y19 FIRST_SITE_ID 13728 TILEPROP INT_R_X9Y19 GRID_POINT_X 26 TILEPROP INT_R_X9Y19 GRID_POINT_Y 136 TILEPROP INT_R_X9Y19 INDEX 15666 TILEPROP INT_R_X9Y19 INT_TILE_X 9 TILEPROP INT_R_X9Y19 INT_TILE_Y 130 TILEPROP INT_R_X9Y19 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y19 IS_DCM_TILE 0 TILEPROP INT_R_X9Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y19 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y19 NAME INT_R_X9Y19 TILEPROP INT_R_X9Y19 NUM_ARCS 3737 TILEPROP INT_R_X9Y19 NUM_SITES 1 TILEPROP INT_R_X9Y19 ROW 136 TILEPROP INT_R_X9Y19 SLR_REGION_ID 0 TILEPROP INT_R_X9Y19 TILE_PATTERN_IDX 8176 TILEPROP INT_R_X9Y19 TILE_TYPE INT_R TILEPROP INT_R_X9Y19 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y19 TILE_X -52958 TILEPROP INT_R_X9Y19 TILE_Y -178872 TILEPROP INT_R_X9Y19 TYPE INT_R TILEPROP INT_R_X9Y20 CLASS tile TILEPROP INT_R_X9Y20 COLUMN 26 TILEPROP INT_R_X9Y20 DEVICE_ID 0 TILEPROP INT_R_X9Y20 FIRST_SITE_ID 13614 TILEPROP INT_R_X9Y20 GRID_POINT_X 26 TILEPROP INT_R_X9Y20 GRID_POINT_Y 135 TILEPROP INT_R_X9Y20 INDEX 15551 TILEPROP INT_R_X9Y20 INT_TILE_X 9 TILEPROP INT_R_X9Y20 INT_TILE_Y 129 TILEPROP INT_R_X9Y20 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y20 IS_DCM_TILE 0 TILEPROP INT_R_X9Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y20 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y20 NAME INT_R_X9Y20 TILEPROP INT_R_X9Y20 NUM_ARCS 3737 TILEPROP INT_R_X9Y20 NUM_SITES 1 TILEPROP INT_R_X9Y20 ROW 135 TILEPROP INT_R_X9Y20 SLR_REGION_ID 0 TILEPROP INT_R_X9Y20 TILE_PATTERN_IDX 8154 TILEPROP INT_R_X9Y20 TILE_TYPE INT_R TILEPROP INT_R_X9Y20 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y20 TILE_X -52958 TILEPROP INT_R_X9Y20 TILE_Y -175672 TILEPROP INT_R_X9Y20 TYPE INT_R TILEPROP INT_R_X9Y21 CLASS tile TILEPROP INT_R_X9Y21 COLUMN 26 TILEPROP INT_R_X9Y21 DEVICE_ID 0 TILEPROP INT_R_X9Y21 FIRST_SITE_ID 13511 TILEPROP INT_R_X9Y21 GRID_POINT_X 26 TILEPROP INT_R_X9Y21 GRID_POINT_Y 134 TILEPROP INT_R_X9Y21 INDEX 15436 TILEPROP INT_R_X9Y21 INT_TILE_X 9 TILEPROP INT_R_X9Y21 INT_TILE_Y 128 TILEPROP INT_R_X9Y21 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y21 IS_DCM_TILE 0 TILEPROP INT_R_X9Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y21 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y21 NAME INT_R_X9Y21 TILEPROP INT_R_X9Y21 NUM_ARCS 3737 TILEPROP INT_R_X9Y21 NUM_SITES 1 TILEPROP INT_R_X9Y21 ROW 134 TILEPROP INT_R_X9Y21 SLR_REGION_ID 0 TILEPROP INT_R_X9Y21 TILE_PATTERN_IDX 8125 TILEPROP INT_R_X9Y21 TILE_TYPE INT_R TILEPROP INT_R_X9Y21 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y21 TILE_X -52958 TILEPROP INT_R_X9Y21 TILE_Y -172472 TILEPROP INT_R_X9Y21 TYPE INT_R TILEPROP INT_R_X9Y22 CLASS tile TILEPROP INT_R_X9Y22 COLUMN 26 TILEPROP INT_R_X9Y22 DEVICE_ID 0 TILEPROP INT_R_X9Y22 FIRST_SITE_ID 13411 TILEPROP INT_R_X9Y22 GRID_POINT_X 26 TILEPROP INT_R_X9Y22 GRID_POINT_Y 133 TILEPROP INT_R_X9Y22 INDEX 15321 TILEPROP INT_R_X9Y22 INT_TILE_X 9 TILEPROP INT_R_X9Y22 INT_TILE_Y 127 TILEPROP INT_R_X9Y22 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y22 IS_DCM_TILE 0 TILEPROP INT_R_X9Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y22 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y22 NAME INT_R_X9Y22 TILEPROP INT_R_X9Y22 NUM_ARCS 3737 TILEPROP INT_R_X9Y22 NUM_SITES 1 TILEPROP INT_R_X9Y22 ROW 133 TILEPROP INT_R_X9Y22 SLR_REGION_ID 0 TILEPROP INT_R_X9Y22 TILE_PATTERN_IDX 8097 TILEPROP INT_R_X9Y22 TILE_TYPE INT_R TILEPROP INT_R_X9Y22 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y22 TILE_X -52958 TILEPROP INT_R_X9Y22 TILE_Y -169272 TILEPROP INT_R_X9Y22 TYPE INT_R TILEPROP INT_R_X9Y23 CLASS tile TILEPROP INT_R_X9Y23 COLUMN 26 TILEPROP INT_R_X9Y23 DEVICE_ID 0 TILEPROP INT_R_X9Y23 FIRST_SITE_ID 13311 TILEPROP INT_R_X9Y23 GRID_POINT_X 26 TILEPROP INT_R_X9Y23 GRID_POINT_Y 132 TILEPROP INT_R_X9Y23 INDEX 15206 TILEPROP INT_R_X9Y23 INT_TILE_X 9 TILEPROP INT_R_X9Y23 INT_TILE_Y 126 TILEPROP INT_R_X9Y23 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y23 IS_DCM_TILE 0 TILEPROP INT_R_X9Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y23 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y23 NAME INT_R_X9Y23 TILEPROP INT_R_X9Y23 NUM_ARCS 3737 TILEPROP INT_R_X9Y23 NUM_SITES 1 TILEPROP INT_R_X9Y23 ROW 132 TILEPROP INT_R_X9Y23 SLR_REGION_ID 0 TILEPROP INT_R_X9Y23 TILE_PATTERN_IDX 8068 TILEPROP INT_R_X9Y23 TILE_TYPE INT_R TILEPROP INT_R_X9Y23 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y23 TILE_X -52958 TILEPROP INT_R_X9Y23 TILE_Y -166072 TILEPROP INT_R_X9Y23 TYPE INT_R TILEPROP INT_R_X9Y24 CLASS tile TILEPROP INT_R_X9Y24 COLUMN 26 TILEPROP INT_R_X9Y24 DEVICE_ID 0 TILEPROP INT_R_X9Y24 FIRST_SITE_ID 13211 TILEPROP INT_R_X9Y24 GRID_POINT_X 26 TILEPROP INT_R_X9Y24 GRID_POINT_Y 131 TILEPROP INT_R_X9Y24 INDEX 15091 TILEPROP INT_R_X9Y24 INT_TILE_X 9 TILEPROP INT_R_X9Y24 INT_TILE_Y 125 TILEPROP INT_R_X9Y24 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y24 IS_DCM_TILE 0 TILEPROP INT_R_X9Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y24 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y24 NAME INT_R_X9Y24 TILEPROP INT_R_X9Y24 NUM_ARCS 3737 TILEPROP INT_R_X9Y24 NUM_SITES 1 TILEPROP INT_R_X9Y24 ROW 131 TILEPROP INT_R_X9Y24 SLR_REGION_ID 0 TILEPROP INT_R_X9Y24 TILE_PATTERN_IDX 8040 TILEPROP INT_R_X9Y24 TILE_TYPE INT_R TILEPROP INT_R_X9Y24 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y24 TILE_X -52958 TILEPROP INT_R_X9Y24 TILE_Y -162872 TILEPROP INT_R_X9Y24 TYPE INT_R TILEPROP INT_R_X9Y25 CLASS tile TILEPROP INT_R_X9Y25 COLUMN 26 TILEPROP INT_R_X9Y25 DEVICE_ID 0 TILEPROP INT_R_X9Y25 FIRST_SITE_ID 13016 TILEPROP INT_R_X9Y25 GRID_POINT_X 26 TILEPROP INT_R_X9Y25 GRID_POINT_Y 129 TILEPROP INT_R_X9Y25 INDEX 14861 TILEPROP INT_R_X9Y25 INT_TILE_X 9 TILEPROP INT_R_X9Y25 INT_TILE_Y 124 TILEPROP INT_R_X9Y25 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y25 IS_DCM_TILE 0 TILEPROP INT_R_X9Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y25 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y25 NAME INT_R_X9Y25 TILEPROP INT_R_X9Y25 NUM_ARCS 3737 TILEPROP INT_R_X9Y25 NUM_SITES 1 TILEPROP INT_R_X9Y25 ROW 129 TILEPROP INT_R_X9Y25 SLR_REGION_ID 0 TILEPROP INT_R_X9Y25 TILE_PATTERN_IDX 7968 TILEPROP INT_R_X9Y25 TILE_TYPE INT_R TILEPROP INT_R_X9Y25 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y25 TILE_X -52958 TILEPROP INT_R_X9Y25 TILE_Y -158648 TILEPROP INT_R_X9Y25 TYPE INT_R TILEPROP INT_R_X9Y26 CLASS tile TILEPROP INT_R_X9Y26 COLUMN 26 TILEPROP INT_R_X9Y26 DEVICE_ID 0 TILEPROP INT_R_X9Y26 FIRST_SITE_ID 12913 TILEPROP INT_R_X9Y26 GRID_POINT_X 26 TILEPROP INT_R_X9Y26 GRID_POINT_Y 128 TILEPROP INT_R_X9Y26 INDEX 14746 TILEPROP INT_R_X9Y26 INT_TILE_X 9 TILEPROP INT_R_X9Y26 INT_TILE_Y 123 TILEPROP INT_R_X9Y26 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y26 IS_DCM_TILE 0 TILEPROP INT_R_X9Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y26 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y26 NAME INT_R_X9Y26 TILEPROP INT_R_X9Y26 NUM_ARCS 3737 TILEPROP INT_R_X9Y26 NUM_SITES 1 TILEPROP INT_R_X9Y26 ROW 128 TILEPROP INT_R_X9Y26 SLR_REGION_ID 0 TILEPROP INT_R_X9Y26 TILE_PATTERN_IDX 7940 TILEPROP INT_R_X9Y26 TILE_TYPE INT_R TILEPROP INT_R_X9Y26 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y26 TILE_X -52958 TILEPROP INT_R_X9Y26 TILE_Y -155448 TILEPROP INT_R_X9Y26 TYPE INT_R TILEPROP INT_R_X9Y27 CLASS tile TILEPROP INT_R_X9Y27 COLUMN 26 TILEPROP INT_R_X9Y27 DEVICE_ID 0 TILEPROP INT_R_X9Y27 FIRST_SITE_ID 12813 TILEPROP INT_R_X9Y27 GRID_POINT_X 26 TILEPROP INT_R_X9Y27 GRID_POINT_Y 127 TILEPROP INT_R_X9Y27 INDEX 14631 TILEPROP INT_R_X9Y27 INT_TILE_X 9 TILEPROP INT_R_X9Y27 INT_TILE_Y 122 TILEPROP INT_R_X9Y27 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y27 IS_DCM_TILE 0 TILEPROP INT_R_X9Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y27 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y27 NAME INT_R_X9Y27 TILEPROP INT_R_X9Y27 NUM_ARCS 3737 TILEPROP INT_R_X9Y27 NUM_SITES 1 TILEPROP INT_R_X9Y27 ROW 127 TILEPROP INT_R_X9Y27 SLR_REGION_ID 0 TILEPROP INT_R_X9Y27 TILE_PATTERN_IDX 7911 TILEPROP INT_R_X9Y27 TILE_TYPE INT_R TILEPROP INT_R_X9Y27 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y27 TILE_X -52958 TILEPROP INT_R_X9Y27 TILE_Y -152248 TILEPROP INT_R_X9Y27 TYPE INT_R TILEPROP INT_R_X9Y28 CLASS tile TILEPROP INT_R_X9Y28 COLUMN 26 TILEPROP INT_R_X9Y28 DEVICE_ID 0 TILEPROP INT_R_X9Y28 FIRST_SITE_ID 12713 TILEPROP INT_R_X9Y28 GRID_POINT_X 26 TILEPROP INT_R_X9Y28 GRID_POINT_Y 126 TILEPROP INT_R_X9Y28 INDEX 14516 TILEPROP INT_R_X9Y28 INT_TILE_X 9 TILEPROP INT_R_X9Y28 INT_TILE_Y 121 TILEPROP INT_R_X9Y28 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y28 IS_DCM_TILE 0 TILEPROP INT_R_X9Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y28 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y28 NAME INT_R_X9Y28 TILEPROP INT_R_X9Y28 NUM_ARCS 3737 TILEPROP INT_R_X9Y28 NUM_SITES 1 TILEPROP INT_R_X9Y28 ROW 126 TILEPROP INT_R_X9Y28 SLR_REGION_ID 0 TILEPROP INT_R_X9Y28 TILE_PATTERN_IDX 7883 TILEPROP INT_R_X9Y28 TILE_TYPE INT_R TILEPROP INT_R_X9Y28 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y28 TILE_X -52958 TILEPROP INT_R_X9Y28 TILE_Y -149048 TILEPROP INT_R_X9Y28 TYPE INT_R TILEPROP INT_R_X9Y29 CLASS tile TILEPROP INT_R_X9Y29 COLUMN 26 TILEPROP INT_R_X9Y29 DEVICE_ID 0 TILEPROP INT_R_X9Y29 FIRST_SITE_ID 12607 TILEPROP INT_R_X9Y29 GRID_POINT_X 26 TILEPROP INT_R_X9Y29 GRID_POINT_Y 125 TILEPROP INT_R_X9Y29 INDEX 14401 TILEPROP INT_R_X9Y29 INT_TILE_X 9 TILEPROP INT_R_X9Y29 INT_TILE_Y 120 TILEPROP INT_R_X9Y29 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y29 IS_DCM_TILE 0 TILEPROP INT_R_X9Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y29 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y29 NAME INT_R_X9Y29 TILEPROP INT_R_X9Y29 NUM_ARCS 3737 TILEPROP INT_R_X9Y29 NUM_SITES 1 TILEPROP INT_R_X9Y29 ROW 125 TILEPROP INT_R_X9Y29 SLR_REGION_ID 0 TILEPROP INT_R_X9Y29 TILE_PATTERN_IDX 7859 TILEPROP INT_R_X9Y29 TILE_TYPE INT_R TILEPROP INT_R_X9Y29 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y29 TILE_X -52958 TILEPROP INT_R_X9Y29 TILE_Y -145848 TILEPROP INT_R_X9Y29 TYPE INT_R TILEPROP INT_R_X9Y30 CLASS tile TILEPROP INT_R_X9Y30 COLUMN 26 TILEPROP INT_R_X9Y30 DEVICE_ID 0 TILEPROP INT_R_X9Y30 FIRST_SITE_ID 12476 TILEPROP INT_R_X9Y30 GRID_POINT_X 26 TILEPROP INT_R_X9Y30 GRID_POINT_Y 124 TILEPROP INT_R_X9Y30 INDEX 14286 TILEPROP INT_R_X9Y30 INT_TILE_X 9 TILEPROP INT_R_X9Y30 INT_TILE_Y 119 TILEPROP INT_R_X9Y30 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y30 IS_DCM_TILE 0 TILEPROP INT_R_X9Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y30 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y30 NAME INT_R_X9Y30 TILEPROP INT_R_X9Y30 NUM_ARCS 3737 TILEPROP INT_R_X9Y30 NUM_SITES 1 TILEPROP INT_R_X9Y30 ROW 124 TILEPROP INT_R_X9Y30 SLR_REGION_ID 0 TILEPROP INT_R_X9Y30 TILE_PATTERN_IDX 7836 TILEPROP INT_R_X9Y30 TILE_TYPE INT_R TILEPROP INT_R_X9Y30 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y30 TILE_X -52958 TILEPROP INT_R_X9Y30 TILE_Y -142648 TILEPROP INT_R_X9Y30 TYPE INT_R TILEPROP INT_R_X9Y31 CLASS tile TILEPROP INT_R_X9Y31 COLUMN 26 TILEPROP INT_R_X9Y31 DEVICE_ID 0 TILEPROP INT_R_X9Y31 FIRST_SITE_ID 12371 TILEPROP INT_R_X9Y31 GRID_POINT_X 26 TILEPROP INT_R_X9Y31 GRID_POINT_Y 123 TILEPROP INT_R_X9Y31 INDEX 14171 TILEPROP INT_R_X9Y31 INT_TILE_X 9 TILEPROP INT_R_X9Y31 INT_TILE_Y 118 TILEPROP INT_R_X9Y31 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y31 IS_DCM_TILE 0 TILEPROP INT_R_X9Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y31 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y31 NAME INT_R_X9Y31 TILEPROP INT_R_X9Y31 NUM_ARCS 3737 TILEPROP INT_R_X9Y31 NUM_SITES 1 TILEPROP INT_R_X9Y31 ROW 123 TILEPROP INT_R_X9Y31 SLR_REGION_ID 0 TILEPROP INT_R_X9Y31 TILE_PATTERN_IDX 7813 TILEPROP INT_R_X9Y31 TILE_TYPE INT_R TILEPROP INT_R_X9Y31 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y31 TILE_X -52958 TILEPROP INT_R_X9Y31 TILE_Y -139448 TILEPROP INT_R_X9Y31 TYPE INT_R TILEPROP INT_R_X9Y32 CLASS tile TILEPROP INT_R_X9Y32 COLUMN 26 TILEPROP INT_R_X9Y32 DEVICE_ID 0 TILEPROP INT_R_X9Y32 FIRST_SITE_ID 12268 TILEPROP INT_R_X9Y32 GRID_POINT_X 26 TILEPROP INT_R_X9Y32 GRID_POINT_Y 122 TILEPROP INT_R_X9Y32 INDEX 14056 TILEPROP INT_R_X9Y32 INT_TILE_X 9 TILEPROP INT_R_X9Y32 INT_TILE_Y 117 TILEPROP INT_R_X9Y32 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y32 IS_DCM_TILE 0 TILEPROP INT_R_X9Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y32 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y32 NAME INT_R_X9Y32 TILEPROP INT_R_X9Y32 NUM_ARCS 3737 TILEPROP INT_R_X9Y32 NUM_SITES 1 TILEPROP INT_R_X9Y32 ROW 122 TILEPROP INT_R_X9Y32 SLR_REGION_ID 0 TILEPROP INT_R_X9Y32 TILE_PATTERN_IDX 7779 TILEPROP INT_R_X9Y32 TILE_TYPE INT_R TILEPROP INT_R_X9Y32 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y32 TILE_X -52958 TILEPROP INT_R_X9Y32 TILE_Y -136248 TILEPROP INT_R_X9Y32 TYPE INT_R TILEPROP INT_R_X9Y33 CLASS tile TILEPROP INT_R_X9Y33 COLUMN 26 TILEPROP INT_R_X9Y33 DEVICE_ID 0 TILEPROP INT_R_X9Y33 FIRST_SITE_ID 12168 TILEPROP INT_R_X9Y33 GRID_POINT_X 26 TILEPROP INT_R_X9Y33 GRID_POINT_Y 121 TILEPROP INT_R_X9Y33 INDEX 13941 TILEPROP INT_R_X9Y33 INT_TILE_X 9 TILEPROP INT_R_X9Y33 INT_TILE_Y 116 TILEPROP INT_R_X9Y33 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y33 IS_DCM_TILE 0 TILEPROP INT_R_X9Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y33 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y33 NAME INT_R_X9Y33 TILEPROP INT_R_X9Y33 NUM_ARCS 3737 TILEPROP INT_R_X9Y33 NUM_SITES 1 TILEPROP INT_R_X9Y33 ROW 121 TILEPROP INT_R_X9Y33 SLR_REGION_ID 0 TILEPROP INT_R_X9Y33 TILE_PATTERN_IDX 7750 TILEPROP INT_R_X9Y33 TILE_TYPE INT_R TILEPROP INT_R_X9Y33 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y33 TILE_X -52958 TILEPROP INT_R_X9Y33 TILE_Y -133048 TILEPROP INT_R_X9Y33 TYPE INT_R TILEPROP INT_R_X9Y34 CLASS tile TILEPROP INT_R_X9Y34 COLUMN 26 TILEPROP INT_R_X9Y34 DEVICE_ID 0 TILEPROP INT_R_X9Y34 FIRST_SITE_ID 12068 TILEPROP INT_R_X9Y34 GRID_POINT_X 26 TILEPROP INT_R_X9Y34 GRID_POINT_Y 120 TILEPROP INT_R_X9Y34 INDEX 13826 TILEPROP INT_R_X9Y34 INT_TILE_X 9 TILEPROP INT_R_X9Y34 INT_TILE_Y 115 TILEPROP INT_R_X9Y34 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y34 IS_DCM_TILE 0 TILEPROP INT_R_X9Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y34 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y34 NAME INT_R_X9Y34 TILEPROP INT_R_X9Y34 NUM_ARCS 3737 TILEPROP INT_R_X9Y34 NUM_SITES 1 TILEPROP INT_R_X9Y34 ROW 120 TILEPROP INT_R_X9Y34 SLR_REGION_ID 0 TILEPROP INT_R_X9Y34 TILE_PATTERN_IDX 7722 TILEPROP INT_R_X9Y34 TILE_TYPE INT_R TILEPROP INT_R_X9Y34 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y34 TILE_X -52958 TILEPROP INT_R_X9Y34 TILE_Y -129848 TILEPROP INT_R_X9Y34 TYPE INT_R TILEPROP INT_R_X9Y35 CLASS tile TILEPROP INT_R_X9Y35 COLUMN 26 TILEPROP INT_R_X9Y35 DEVICE_ID 0 TILEPROP INT_R_X9Y35 FIRST_SITE_ID 11956 TILEPROP INT_R_X9Y35 GRID_POINT_X 26 TILEPROP INT_R_X9Y35 GRID_POINT_Y 119 TILEPROP INT_R_X9Y35 INDEX 13711 TILEPROP INT_R_X9Y35 INT_TILE_X 9 TILEPROP INT_R_X9Y35 INT_TILE_Y 114 TILEPROP INT_R_X9Y35 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y35 IS_DCM_TILE 0 TILEPROP INT_R_X9Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y35 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y35 NAME INT_R_X9Y35 TILEPROP INT_R_X9Y35 NUM_ARCS 3737 TILEPROP INT_R_X9Y35 NUM_SITES 1 TILEPROP INT_R_X9Y35 ROW 119 TILEPROP INT_R_X9Y35 SLR_REGION_ID 0 TILEPROP INT_R_X9Y35 TILE_PATTERN_IDX 7693 TILEPROP INT_R_X9Y35 TILE_TYPE INT_R TILEPROP INT_R_X9Y35 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y35 TILE_X -52958 TILEPROP INT_R_X9Y35 TILE_Y -126648 TILEPROP INT_R_X9Y35 TYPE INT_R TILEPROP INT_R_X9Y36 CLASS tile TILEPROP INT_R_X9Y36 COLUMN 26 TILEPROP INT_R_X9Y36 DEVICE_ID 0 TILEPROP INT_R_X9Y36 FIRST_SITE_ID 11821 TILEPROP INT_R_X9Y36 GRID_POINT_X 26 TILEPROP INT_R_X9Y36 GRID_POINT_Y 118 TILEPROP INT_R_X9Y36 INDEX 13596 TILEPROP INT_R_X9Y36 INT_TILE_X 9 TILEPROP INT_R_X9Y36 INT_TILE_Y 113 TILEPROP INT_R_X9Y36 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y36 IS_DCM_TILE 0 TILEPROP INT_R_X9Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y36 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y36 NAME INT_R_X9Y36 TILEPROP INT_R_X9Y36 NUM_ARCS 3737 TILEPROP INT_R_X9Y36 NUM_SITES 1 TILEPROP INT_R_X9Y36 ROW 118 TILEPROP INT_R_X9Y36 SLR_REGION_ID 0 TILEPROP INT_R_X9Y36 TILE_PATTERN_IDX 7665 TILEPROP INT_R_X9Y36 TILE_TYPE INT_R TILEPROP INT_R_X9Y36 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y36 TILE_X -52958 TILEPROP INT_R_X9Y36 TILE_Y -123448 TILEPROP INT_R_X9Y36 TYPE INT_R TILEPROP INT_R_X9Y37 CLASS tile TILEPROP INT_R_X9Y37 COLUMN 26 TILEPROP INT_R_X9Y37 DEVICE_ID 0 TILEPROP INT_R_X9Y37 FIRST_SITE_ID 11721 TILEPROP INT_R_X9Y37 GRID_POINT_X 26 TILEPROP INT_R_X9Y37 GRID_POINT_Y 117 TILEPROP INT_R_X9Y37 INDEX 13481 TILEPROP INT_R_X9Y37 INT_TILE_X 9 TILEPROP INT_R_X9Y37 INT_TILE_Y 112 TILEPROP INT_R_X9Y37 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y37 IS_DCM_TILE 0 TILEPROP INT_R_X9Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y37 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y37 NAME INT_R_X9Y37 TILEPROP INT_R_X9Y37 NUM_ARCS 3737 TILEPROP INT_R_X9Y37 NUM_SITES 1 TILEPROP INT_R_X9Y37 ROW 117 TILEPROP INT_R_X9Y37 SLR_REGION_ID 0 TILEPROP INT_R_X9Y37 TILE_PATTERN_IDX 7637 TILEPROP INT_R_X9Y37 TILE_TYPE INT_R TILEPROP INT_R_X9Y37 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y37 TILE_X -52958 TILEPROP INT_R_X9Y37 TILE_Y -120248 TILEPROP INT_R_X9Y37 TYPE INT_R TILEPROP INT_R_X9Y38 CLASS tile TILEPROP INT_R_X9Y38 COLUMN 26 TILEPROP INT_R_X9Y38 DEVICE_ID 0 TILEPROP INT_R_X9Y38 FIRST_SITE_ID 11621 TILEPROP INT_R_X9Y38 GRID_POINT_X 26 TILEPROP INT_R_X9Y38 GRID_POINT_Y 116 TILEPROP INT_R_X9Y38 INDEX 13366 TILEPROP INT_R_X9Y38 INT_TILE_X 9 TILEPROP INT_R_X9Y38 INT_TILE_Y 111 TILEPROP INT_R_X9Y38 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y38 IS_DCM_TILE 0 TILEPROP INT_R_X9Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y38 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y38 NAME INT_R_X9Y38 TILEPROP INT_R_X9Y38 NUM_ARCS 3737 TILEPROP INT_R_X9Y38 NUM_SITES 1 TILEPROP INT_R_X9Y38 ROW 116 TILEPROP INT_R_X9Y38 SLR_REGION_ID 0 TILEPROP INT_R_X9Y38 TILE_PATTERN_IDX 7609 TILEPROP INT_R_X9Y38 TILE_TYPE INT_R TILEPROP INT_R_X9Y38 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y38 TILE_X -52958 TILEPROP INT_R_X9Y38 TILE_Y -117048 TILEPROP INT_R_X9Y38 TYPE INT_R TILEPROP INT_R_X9Y39 CLASS tile TILEPROP INT_R_X9Y39 COLUMN 26 TILEPROP INT_R_X9Y39 DEVICE_ID 0 TILEPROP INT_R_X9Y39 FIRST_SITE_ID 11521 TILEPROP INT_R_X9Y39 GRID_POINT_X 26 TILEPROP INT_R_X9Y39 GRID_POINT_Y 115 TILEPROP INT_R_X9Y39 INDEX 13251 TILEPROP INT_R_X9Y39 INT_TILE_X 9 TILEPROP INT_R_X9Y39 INT_TILE_Y 110 TILEPROP INT_R_X9Y39 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y39 IS_DCM_TILE 0 TILEPROP INT_R_X9Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y39 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y39 NAME INT_R_X9Y39 TILEPROP INT_R_X9Y39 NUM_ARCS 3737 TILEPROP INT_R_X9Y39 NUM_SITES 1 TILEPROP INT_R_X9Y39 ROW 115 TILEPROP INT_R_X9Y39 SLR_REGION_ID 0 TILEPROP INT_R_X9Y39 TILE_PATTERN_IDX 7580 TILEPROP INT_R_X9Y39 TILE_TYPE INT_R TILEPROP INT_R_X9Y39 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y39 TILE_X -52958 TILEPROP INT_R_X9Y39 TILE_Y -113848 TILEPROP INT_R_X9Y39 TYPE INT_R TILEPROP INT_R_X9Y40 CLASS tile TILEPROP INT_R_X9Y40 COLUMN 26 TILEPROP INT_R_X9Y40 DEVICE_ID 0 TILEPROP INT_R_X9Y40 FIRST_SITE_ID 11407 TILEPROP INT_R_X9Y40 GRID_POINT_X 26 TILEPROP INT_R_X9Y40 GRID_POINT_Y 114 TILEPROP INT_R_X9Y40 INDEX 13136 TILEPROP INT_R_X9Y40 INT_TILE_X 9 TILEPROP INT_R_X9Y40 INT_TILE_Y 109 TILEPROP INT_R_X9Y40 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y40 IS_DCM_TILE 0 TILEPROP INT_R_X9Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y40 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y40 NAME INT_R_X9Y40 TILEPROP INT_R_X9Y40 NUM_ARCS 3737 TILEPROP INT_R_X9Y40 NUM_SITES 1 TILEPROP INT_R_X9Y40 ROW 114 TILEPROP INT_R_X9Y40 SLR_REGION_ID 0 TILEPROP INT_R_X9Y40 TILE_PATTERN_IDX 7551 TILEPROP INT_R_X9Y40 TILE_TYPE INT_R TILEPROP INT_R_X9Y40 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y40 TILE_X -52958 TILEPROP INT_R_X9Y40 TILE_Y -110648 TILEPROP INT_R_X9Y40 TYPE INT_R TILEPROP INT_R_X9Y41 CLASS tile TILEPROP INT_R_X9Y41 COLUMN 26 TILEPROP INT_R_X9Y41 DEVICE_ID 0 TILEPROP INT_R_X9Y41 FIRST_SITE_ID 11303 TILEPROP INT_R_X9Y41 GRID_POINT_X 26 TILEPROP INT_R_X9Y41 GRID_POINT_Y 113 TILEPROP INT_R_X9Y41 INDEX 13021 TILEPROP INT_R_X9Y41 INT_TILE_X 9 TILEPROP INT_R_X9Y41 INT_TILE_Y 108 TILEPROP INT_R_X9Y41 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y41 IS_DCM_TILE 0 TILEPROP INT_R_X9Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y41 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y41 NAME INT_R_X9Y41 TILEPROP INT_R_X9Y41 NUM_ARCS 3737 TILEPROP INT_R_X9Y41 NUM_SITES 1 TILEPROP INT_R_X9Y41 ROW 113 TILEPROP INT_R_X9Y41 SLR_REGION_ID 0 TILEPROP INT_R_X9Y41 TILE_PATTERN_IDX 7516 TILEPROP INT_R_X9Y41 TILE_TYPE INT_R TILEPROP INT_R_X9Y41 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y41 TILE_X -52958 TILEPROP INT_R_X9Y41 TILE_Y -107448 TILEPROP INT_R_X9Y41 TYPE INT_R TILEPROP INT_R_X9Y42 CLASS tile TILEPROP INT_R_X9Y42 COLUMN 26 TILEPROP INT_R_X9Y42 DEVICE_ID 0 TILEPROP INT_R_X9Y42 FIRST_SITE_ID 11202 TILEPROP INT_R_X9Y42 GRID_POINT_X 26 TILEPROP INT_R_X9Y42 GRID_POINT_Y 112 TILEPROP INT_R_X9Y42 INDEX 12906 TILEPROP INT_R_X9Y42 INT_TILE_X 9 TILEPROP INT_R_X9Y42 INT_TILE_Y 107 TILEPROP INT_R_X9Y42 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y42 IS_DCM_TILE 0 TILEPROP INT_R_X9Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y42 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y42 NAME INT_R_X9Y42 TILEPROP INT_R_X9Y42 NUM_ARCS 3737 TILEPROP INT_R_X9Y42 NUM_SITES 1 TILEPROP INT_R_X9Y42 ROW 112 TILEPROP INT_R_X9Y42 SLR_REGION_ID 0 TILEPROP INT_R_X9Y42 TILE_PATTERN_IDX 7487 TILEPROP INT_R_X9Y42 TILE_TYPE INT_R TILEPROP INT_R_X9Y42 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y42 TILE_X -52958 TILEPROP INT_R_X9Y42 TILE_Y -104248 TILEPROP INT_R_X9Y42 TYPE INT_R TILEPROP INT_R_X9Y43 CLASS tile TILEPROP INT_R_X9Y43 COLUMN 26 TILEPROP INT_R_X9Y43 DEVICE_ID 0 TILEPROP INT_R_X9Y43 FIRST_SITE_ID 11099 TILEPROP INT_R_X9Y43 GRID_POINT_X 26 TILEPROP INT_R_X9Y43 GRID_POINT_Y 111 TILEPROP INT_R_X9Y43 INDEX 12791 TILEPROP INT_R_X9Y43 INT_TILE_X 9 TILEPROP INT_R_X9Y43 INT_TILE_Y 106 TILEPROP INT_R_X9Y43 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y43 IS_DCM_TILE 0 TILEPROP INT_R_X9Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y43 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y43 NAME INT_R_X9Y43 TILEPROP INT_R_X9Y43 NUM_ARCS 3737 TILEPROP INT_R_X9Y43 NUM_SITES 1 TILEPROP INT_R_X9Y43 ROW 111 TILEPROP INT_R_X9Y43 SLR_REGION_ID 0 TILEPROP INT_R_X9Y43 TILE_PATTERN_IDX 7458 TILEPROP INT_R_X9Y43 TILE_TYPE INT_R TILEPROP INT_R_X9Y43 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y43 TILE_X -52958 TILEPROP INT_R_X9Y43 TILE_Y -101048 TILEPROP INT_R_X9Y43 TYPE INT_R TILEPROP INT_R_X9Y44 CLASS tile TILEPROP INT_R_X9Y44 COLUMN 26 TILEPROP INT_R_X9Y44 DEVICE_ID 0 TILEPROP INT_R_X9Y44 FIRST_SITE_ID 10997 TILEPROP INT_R_X9Y44 GRID_POINT_X 26 TILEPROP INT_R_X9Y44 GRID_POINT_Y 110 TILEPROP INT_R_X9Y44 INDEX 12676 TILEPROP INT_R_X9Y44 INT_TILE_X 9 TILEPROP INT_R_X9Y44 INT_TILE_Y 105 TILEPROP INT_R_X9Y44 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y44 IS_DCM_TILE 0 TILEPROP INT_R_X9Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y44 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y44 NAME INT_R_X9Y44 TILEPROP INT_R_X9Y44 NUM_ARCS 3737 TILEPROP INT_R_X9Y44 NUM_SITES 1 TILEPROP INT_R_X9Y44 ROW 110 TILEPROP INT_R_X9Y44 SLR_REGION_ID 0 TILEPROP INT_R_X9Y44 TILE_PATTERN_IDX 7430 TILEPROP INT_R_X9Y44 TILE_TYPE INT_R TILEPROP INT_R_X9Y44 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y44 TILE_X -52958 TILEPROP INT_R_X9Y44 TILE_Y -97848 TILEPROP INT_R_X9Y44 TYPE INT_R TILEPROP INT_R_X9Y45 CLASS tile TILEPROP INT_R_X9Y45 COLUMN 26 TILEPROP INT_R_X9Y45 DEVICE_ID 0 TILEPROP INT_R_X9Y45 FIRST_SITE_ID 10884 TILEPROP INT_R_X9Y45 GRID_POINT_X 26 TILEPROP INT_R_X9Y45 GRID_POINT_Y 109 TILEPROP INT_R_X9Y45 INDEX 12561 TILEPROP INT_R_X9Y45 INT_TILE_X 9 TILEPROP INT_R_X9Y45 INT_TILE_Y 104 TILEPROP INT_R_X9Y45 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y45 IS_DCM_TILE 0 TILEPROP INT_R_X9Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y45 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y45 NAME INT_R_X9Y45 TILEPROP INT_R_X9Y45 NUM_ARCS 3737 TILEPROP INT_R_X9Y45 NUM_SITES 1 TILEPROP INT_R_X9Y45 ROW 109 TILEPROP INT_R_X9Y45 SLR_REGION_ID 0 TILEPROP INT_R_X9Y45 TILE_PATTERN_IDX 7394 TILEPROP INT_R_X9Y45 TILE_TYPE INT_R TILEPROP INT_R_X9Y45 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y45 TILE_X -52958 TILEPROP INT_R_X9Y45 TILE_Y -94648 TILEPROP INT_R_X9Y45 TYPE INT_R TILEPROP INT_R_X9Y46 CLASS tile TILEPROP INT_R_X9Y46 COLUMN 26 TILEPROP INT_R_X9Y46 DEVICE_ID 0 TILEPROP INT_R_X9Y46 FIRST_SITE_ID 10765 TILEPROP INT_R_X9Y46 GRID_POINT_X 26 TILEPROP INT_R_X9Y46 GRID_POINT_Y 108 TILEPROP INT_R_X9Y46 INDEX 12446 TILEPROP INT_R_X9Y46 INT_TILE_X 9 TILEPROP INT_R_X9Y46 INT_TILE_Y 103 TILEPROP INT_R_X9Y46 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y46 IS_DCM_TILE 0 TILEPROP INT_R_X9Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y46 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y46 NAME INT_R_X9Y46 TILEPROP INT_R_X9Y46 NUM_ARCS 3737 TILEPROP INT_R_X9Y46 NUM_SITES 1 TILEPROP INT_R_X9Y46 ROW 108 TILEPROP INT_R_X9Y46 SLR_REGION_ID 0 TILEPROP INT_R_X9Y46 TILE_PATTERN_IDX 7358 TILEPROP INT_R_X9Y46 TILE_TYPE INT_R TILEPROP INT_R_X9Y46 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y46 TILE_X -52958 TILEPROP INT_R_X9Y46 TILE_Y -91448 TILEPROP INT_R_X9Y46 TYPE INT_R TILEPROP INT_R_X9Y47 CLASS tile TILEPROP INT_R_X9Y47 COLUMN 26 TILEPROP INT_R_X9Y47 DEVICE_ID 0 TILEPROP INT_R_X9Y47 FIRST_SITE_ID 10665 TILEPROP INT_R_X9Y47 GRID_POINT_X 26 TILEPROP INT_R_X9Y47 GRID_POINT_Y 107 TILEPROP INT_R_X9Y47 INDEX 12331 TILEPROP INT_R_X9Y47 INT_TILE_X 9 TILEPROP INT_R_X9Y47 INT_TILE_Y 102 TILEPROP INT_R_X9Y47 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y47 IS_DCM_TILE 0 TILEPROP INT_R_X9Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y47 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y47 NAME INT_R_X9Y47 TILEPROP INT_R_X9Y47 NUM_ARCS 3737 TILEPROP INT_R_X9Y47 NUM_SITES 1 TILEPROP INT_R_X9Y47 ROW 107 TILEPROP INT_R_X9Y47 SLR_REGION_ID 0 TILEPROP INT_R_X9Y47 TILE_PATTERN_IDX 7321 TILEPROP INT_R_X9Y47 TILE_TYPE INT_R TILEPROP INT_R_X9Y47 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y47 TILE_X -52958 TILEPROP INT_R_X9Y47 TILE_Y -88248 TILEPROP INT_R_X9Y47 TYPE INT_R TILEPROP INT_R_X9Y48 CLASS tile TILEPROP INT_R_X9Y48 COLUMN 26 TILEPROP INT_R_X9Y48 DEVICE_ID 0 TILEPROP INT_R_X9Y48 FIRST_SITE_ID 10565 TILEPROP INT_R_X9Y48 GRID_POINT_X 26 TILEPROP INT_R_X9Y48 GRID_POINT_Y 106 TILEPROP INT_R_X9Y48 INDEX 12216 TILEPROP INT_R_X9Y48 INT_TILE_X 9 TILEPROP INT_R_X9Y48 INT_TILE_Y 101 TILEPROP INT_R_X9Y48 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y48 IS_DCM_TILE 0 TILEPROP INT_R_X9Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y48 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y48 NAME INT_R_X9Y48 TILEPROP INT_R_X9Y48 NUM_ARCS 3737 TILEPROP INT_R_X9Y48 NUM_SITES 1 TILEPROP INT_R_X9Y48 ROW 106 TILEPROP INT_R_X9Y48 SLR_REGION_ID 0 TILEPROP INT_R_X9Y48 TILE_PATTERN_IDX 7285 TILEPROP INT_R_X9Y48 TILE_TYPE INT_R TILEPROP INT_R_X9Y48 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y48 TILE_X -52958 TILEPROP INT_R_X9Y48 TILE_Y -85048 TILEPROP INT_R_X9Y48 TYPE INT_R TILEPROP INT_R_X9Y49 CLASS tile TILEPROP INT_R_X9Y49 COLUMN 26 TILEPROP INT_R_X9Y49 DEVICE_ID 0 TILEPROP INT_R_X9Y49 FIRST_SITE_ID 10469 TILEPROP INT_R_X9Y49 GRID_POINT_X 26 TILEPROP INT_R_X9Y49 GRID_POINT_Y 105 TILEPROP INT_R_X9Y49 INDEX 12101 TILEPROP INT_R_X9Y49 INT_TILE_X 9 TILEPROP INT_R_X9Y49 INT_TILE_Y 100 TILEPROP INT_R_X9Y49 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y49 IS_DCM_TILE 0 TILEPROP INT_R_X9Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y49 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y49 NAME INT_R_X9Y49 TILEPROP INT_R_X9Y49 NUM_ARCS 3737 TILEPROP INT_R_X9Y49 NUM_SITES 1 TILEPROP INT_R_X9Y49 ROW 105 TILEPROP INT_R_X9Y49 SLR_REGION_ID 0 TILEPROP INT_R_X9Y49 TILE_PATTERN_IDX 7249 TILEPROP INT_R_X9Y49 TILE_TYPE INT_R TILEPROP INT_R_X9Y49 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y49 TILE_X -52958 TILEPROP INT_R_X9Y49 TILE_Y -81848 TILEPROP INT_R_X9Y49 TYPE INT_R TILEPROP INT_R_X9Y50 CLASS tile TILEPROP INT_R_X9Y50 COLUMN 26 TILEPROP INT_R_X9Y50 DEVICE_ID 0 TILEPROP INT_R_X9Y50 FIRST_SITE_ID 10341 TILEPROP INT_R_X9Y50 GRID_POINT_X 26 TILEPROP INT_R_X9Y50 GRID_POINT_Y 103 TILEPROP INT_R_X9Y50 INDEX 11871 TILEPROP INT_R_X9Y50 INT_TILE_X 9 TILEPROP INT_R_X9Y50 INT_TILE_Y 99 TILEPROP INT_R_X9Y50 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y50 IS_DCM_TILE 0 TILEPROP INT_R_X9Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y50 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y50 NAME INT_R_X9Y50 TILEPROP INT_R_X9Y50 NUM_ARCS 3737 TILEPROP INT_R_X9Y50 NUM_SITES 1 TILEPROP INT_R_X9Y50 ROW 103 TILEPROP INT_R_X9Y50 SLR_REGION_ID 0 TILEPROP INT_R_X9Y50 TILE_PATTERN_IDX 7212 TILEPROP INT_R_X9Y50 TILE_TYPE INT_R TILEPROP INT_R_X9Y50 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y50 TILE_X -52958 TILEPROP INT_R_X9Y50 TILE_Y -78400 TILEPROP INT_R_X9Y50 TYPE INT_R TILEPROP INT_R_X9Y51 CLASS tile TILEPROP INT_R_X9Y51 COLUMN 26 TILEPROP INT_R_X9Y51 DEVICE_ID 0 TILEPROP INT_R_X9Y51 FIRST_SITE_ID 10234 TILEPROP INT_R_X9Y51 GRID_POINT_X 26 TILEPROP INT_R_X9Y51 GRID_POINT_Y 102 TILEPROP INT_R_X9Y51 INDEX 11756 TILEPROP INT_R_X9Y51 INT_TILE_X 9 TILEPROP INT_R_X9Y51 INT_TILE_Y 98 TILEPROP INT_R_X9Y51 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y51 IS_DCM_TILE 0 TILEPROP INT_R_X9Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y51 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y51 NAME INT_R_X9Y51 TILEPROP INT_R_X9Y51 NUM_ARCS 3737 TILEPROP INT_R_X9Y51 NUM_SITES 1 TILEPROP INT_R_X9Y51 ROW 102 TILEPROP INT_R_X9Y51 SLR_REGION_ID 0 TILEPROP INT_R_X9Y51 TILE_PATTERN_IDX 7175 TILEPROP INT_R_X9Y51 TILE_TYPE INT_R TILEPROP INT_R_X9Y51 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y51 TILE_X -52958 TILEPROP INT_R_X9Y51 TILE_Y -75200 TILEPROP INT_R_X9Y51 TYPE INT_R TILEPROP INT_R_X9Y52 CLASS tile TILEPROP INT_R_X9Y52 COLUMN 26 TILEPROP INT_R_X9Y52 DEVICE_ID 0 TILEPROP INT_R_X9Y52 FIRST_SITE_ID 10134 TILEPROP INT_R_X9Y52 GRID_POINT_X 26 TILEPROP INT_R_X9Y52 GRID_POINT_Y 101 TILEPROP INT_R_X9Y52 INDEX 11641 TILEPROP INT_R_X9Y52 INT_TILE_X 9 TILEPROP INT_R_X9Y52 INT_TILE_Y 97 TILEPROP INT_R_X9Y52 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y52 IS_DCM_TILE 0 TILEPROP INT_R_X9Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y52 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y52 NAME INT_R_X9Y52 TILEPROP INT_R_X9Y52 NUM_ARCS 3737 TILEPROP INT_R_X9Y52 NUM_SITES 1 TILEPROP INT_R_X9Y52 ROW 101 TILEPROP INT_R_X9Y52 SLR_REGION_ID 0 TILEPROP INT_R_X9Y52 TILE_PATTERN_IDX 7138 TILEPROP INT_R_X9Y52 TILE_TYPE INT_R TILEPROP INT_R_X9Y52 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y52 TILE_X -52958 TILEPROP INT_R_X9Y52 TILE_Y -72000 TILEPROP INT_R_X9Y52 TYPE INT_R TILEPROP INT_R_X9Y53 CLASS tile TILEPROP INT_R_X9Y53 COLUMN 26 TILEPROP INT_R_X9Y53 DEVICE_ID 0 TILEPROP INT_R_X9Y53 FIRST_SITE_ID 10034 TILEPROP INT_R_X9Y53 GRID_POINT_X 26 TILEPROP INT_R_X9Y53 GRID_POINT_Y 100 TILEPROP INT_R_X9Y53 INDEX 11526 TILEPROP INT_R_X9Y53 INT_TILE_X 9 TILEPROP INT_R_X9Y53 INT_TILE_Y 96 TILEPROP INT_R_X9Y53 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y53 IS_DCM_TILE 0 TILEPROP INT_R_X9Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y53 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y53 NAME INT_R_X9Y53 TILEPROP INT_R_X9Y53 NUM_ARCS 3737 TILEPROP INT_R_X9Y53 NUM_SITES 1 TILEPROP INT_R_X9Y53 ROW 100 TILEPROP INT_R_X9Y53 SLR_REGION_ID 0 TILEPROP INT_R_X9Y53 TILE_PATTERN_IDX 7101 TILEPROP INT_R_X9Y53 TILE_TYPE INT_R TILEPROP INT_R_X9Y53 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y53 TILE_X -52958 TILEPROP INT_R_X9Y53 TILE_Y -68800 TILEPROP INT_R_X9Y53 TYPE INT_R TILEPROP INT_R_X9Y54 CLASS tile TILEPROP INT_R_X9Y54 COLUMN 26 TILEPROP INT_R_X9Y54 DEVICE_ID 0 TILEPROP INT_R_X9Y54 FIRST_SITE_ID 9934 TILEPROP INT_R_X9Y54 GRID_POINT_X 26 TILEPROP INT_R_X9Y54 GRID_POINT_Y 99 TILEPROP INT_R_X9Y54 INDEX 11411 TILEPROP INT_R_X9Y54 INT_TILE_X 9 TILEPROP INT_R_X9Y54 INT_TILE_Y 95 TILEPROP INT_R_X9Y54 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y54 IS_DCM_TILE 0 TILEPROP INT_R_X9Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y54 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y54 NAME INT_R_X9Y54 TILEPROP INT_R_X9Y54 NUM_ARCS 3737 TILEPROP INT_R_X9Y54 NUM_SITES 1 TILEPROP INT_R_X9Y54 ROW 99 TILEPROP INT_R_X9Y54 SLR_REGION_ID 0 TILEPROP INT_R_X9Y54 TILE_PATTERN_IDX 7064 TILEPROP INT_R_X9Y54 TILE_TYPE INT_R TILEPROP INT_R_X9Y54 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y54 TILE_X -52958 TILEPROP INT_R_X9Y54 TILE_Y -65600 TILEPROP INT_R_X9Y54 TYPE INT_R TILEPROP INT_R_X9Y55 CLASS tile TILEPROP INT_R_X9Y55 COLUMN 26 TILEPROP INT_R_X9Y55 DEVICE_ID 0 TILEPROP INT_R_X9Y55 FIRST_SITE_ID 9822 TILEPROP INT_R_X9Y55 GRID_POINT_X 26 TILEPROP INT_R_X9Y55 GRID_POINT_Y 98 TILEPROP INT_R_X9Y55 INDEX 11296 TILEPROP INT_R_X9Y55 INT_TILE_X 9 TILEPROP INT_R_X9Y55 INT_TILE_Y 94 TILEPROP INT_R_X9Y55 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y55 IS_DCM_TILE 0 TILEPROP INT_R_X9Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y55 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y55 NAME INT_R_X9Y55 TILEPROP INT_R_X9Y55 NUM_ARCS 3737 TILEPROP INT_R_X9Y55 NUM_SITES 1 TILEPROP INT_R_X9Y55 ROW 98 TILEPROP INT_R_X9Y55 SLR_REGION_ID 0 TILEPROP INT_R_X9Y55 TILE_PATTERN_IDX 7026 TILEPROP INT_R_X9Y55 TILE_TYPE INT_R TILEPROP INT_R_X9Y55 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y55 TILE_X -52958 TILEPROP INT_R_X9Y55 TILE_Y -62400 TILEPROP INT_R_X9Y55 TYPE INT_R TILEPROP INT_R_X9Y56 CLASS tile TILEPROP INT_R_X9Y56 COLUMN 26 TILEPROP INT_R_X9Y56 DEVICE_ID 0 TILEPROP INT_R_X9Y56 FIRST_SITE_ID 9719 TILEPROP INT_R_X9Y56 GRID_POINT_X 26 TILEPROP INT_R_X9Y56 GRID_POINT_Y 97 TILEPROP INT_R_X9Y56 INDEX 11181 TILEPROP INT_R_X9Y56 INT_TILE_X 9 TILEPROP INT_R_X9Y56 INT_TILE_Y 93 TILEPROP INT_R_X9Y56 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y56 IS_DCM_TILE 0 TILEPROP INT_R_X9Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y56 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y56 NAME INT_R_X9Y56 TILEPROP INT_R_X9Y56 NUM_ARCS 3737 TILEPROP INT_R_X9Y56 NUM_SITES 1 TILEPROP INT_R_X9Y56 ROW 97 TILEPROP INT_R_X9Y56 SLR_REGION_ID 0 TILEPROP INT_R_X9Y56 TILE_PATTERN_IDX 6989 TILEPROP INT_R_X9Y56 TILE_TYPE INT_R TILEPROP INT_R_X9Y56 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y56 TILE_X -52958 TILEPROP INT_R_X9Y56 TILE_Y -59200 TILEPROP INT_R_X9Y56 TYPE INT_R TILEPROP INT_R_X9Y57 CLASS tile TILEPROP INT_R_X9Y57 COLUMN 26 TILEPROP INT_R_X9Y57 DEVICE_ID 0 TILEPROP INT_R_X9Y57 FIRST_SITE_ID 9617 TILEPROP INT_R_X9Y57 GRID_POINT_X 26 TILEPROP INT_R_X9Y57 GRID_POINT_Y 96 TILEPROP INT_R_X9Y57 INDEX 11066 TILEPROP INT_R_X9Y57 INT_TILE_X 9 TILEPROP INT_R_X9Y57 INT_TILE_Y 92 TILEPROP INT_R_X9Y57 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y57 IS_DCM_TILE 0 TILEPROP INT_R_X9Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y57 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y57 NAME INT_R_X9Y57 TILEPROP INT_R_X9Y57 NUM_ARCS 3737 TILEPROP INT_R_X9Y57 NUM_SITES 1 TILEPROP INT_R_X9Y57 ROW 96 TILEPROP INT_R_X9Y57 SLR_REGION_ID 0 TILEPROP INT_R_X9Y57 TILE_PATTERN_IDX 6952 TILEPROP INT_R_X9Y57 TILE_TYPE INT_R TILEPROP INT_R_X9Y57 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y57 TILE_X -52958 TILEPROP INT_R_X9Y57 TILE_Y -56000 TILEPROP INT_R_X9Y57 TYPE INT_R TILEPROP INT_R_X9Y58 CLASS tile TILEPROP INT_R_X9Y58 COLUMN 26 TILEPROP INT_R_X9Y58 DEVICE_ID 0 TILEPROP INT_R_X9Y58 FIRST_SITE_ID 9514 TILEPROP INT_R_X9Y58 GRID_POINT_X 26 TILEPROP INT_R_X9Y58 GRID_POINT_Y 95 TILEPROP INT_R_X9Y58 INDEX 10951 TILEPROP INT_R_X9Y58 INT_TILE_X 9 TILEPROP INT_R_X9Y58 INT_TILE_Y 91 TILEPROP INT_R_X9Y58 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y58 IS_DCM_TILE 0 TILEPROP INT_R_X9Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y58 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y58 NAME INT_R_X9Y58 TILEPROP INT_R_X9Y58 NUM_ARCS 3737 TILEPROP INT_R_X9Y58 NUM_SITES 1 TILEPROP INT_R_X9Y58 ROW 95 TILEPROP INT_R_X9Y58 SLR_REGION_ID 0 TILEPROP INT_R_X9Y58 TILE_PATTERN_IDX 6914 TILEPROP INT_R_X9Y58 TILE_TYPE INT_R TILEPROP INT_R_X9Y58 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y58 TILE_X -52958 TILEPROP INT_R_X9Y58 TILE_Y -52800 TILEPROP INT_R_X9Y58 TYPE INT_R TILEPROP INT_R_X9Y59 CLASS tile TILEPROP INT_R_X9Y59 COLUMN 26 TILEPROP INT_R_X9Y59 DEVICE_ID 0 TILEPROP INT_R_X9Y59 FIRST_SITE_ID 9413 TILEPROP INT_R_X9Y59 GRID_POINT_X 26 TILEPROP INT_R_X9Y59 GRID_POINT_Y 94 TILEPROP INT_R_X9Y59 INDEX 10836 TILEPROP INT_R_X9Y59 INT_TILE_X 9 TILEPROP INT_R_X9Y59 INT_TILE_Y 90 TILEPROP INT_R_X9Y59 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y59 IS_DCM_TILE 0 TILEPROP INT_R_X9Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y59 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y59 NAME INT_R_X9Y59 TILEPROP INT_R_X9Y59 NUM_ARCS 3737 TILEPROP INT_R_X9Y59 NUM_SITES 1 TILEPROP INT_R_X9Y59 ROW 94 TILEPROP INT_R_X9Y59 SLR_REGION_ID 0 TILEPROP INT_R_X9Y59 TILE_PATTERN_IDX 6877 TILEPROP INT_R_X9Y59 TILE_TYPE INT_R TILEPROP INT_R_X9Y59 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y59 TILE_X -52958 TILEPROP INT_R_X9Y59 TILE_Y -49600 TILEPROP INT_R_X9Y59 TYPE INT_R TILEPROP INT_R_X9Y60 CLASS tile TILEPROP INT_R_X9Y60 COLUMN 26 TILEPROP INT_R_X9Y60 DEVICE_ID 0 TILEPROP INT_R_X9Y60 FIRST_SITE_ID 9301 TILEPROP INT_R_X9Y60 GRID_POINT_X 26 TILEPROP INT_R_X9Y60 GRID_POINT_Y 93 TILEPROP INT_R_X9Y60 INDEX 10721 TILEPROP INT_R_X9Y60 INT_TILE_X 9 TILEPROP INT_R_X9Y60 INT_TILE_Y 89 TILEPROP INT_R_X9Y60 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y60 IS_DCM_TILE 0 TILEPROP INT_R_X9Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y60 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y60 NAME INT_R_X9Y60 TILEPROP INT_R_X9Y60 NUM_ARCS 3737 TILEPROP INT_R_X9Y60 NUM_SITES 1 TILEPROP INT_R_X9Y60 ROW 93 TILEPROP INT_R_X9Y60 SLR_REGION_ID 0 TILEPROP INT_R_X9Y60 TILE_PATTERN_IDX 6840 TILEPROP INT_R_X9Y60 TILE_TYPE INT_R TILEPROP INT_R_X9Y60 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y60 TILE_X -52958 TILEPROP INT_R_X9Y60 TILE_Y -46400 TILEPROP INT_R_X9Y60 TYPE INT_R TILEPROP INT_R_X9Y61 CLASS tile TILEPROP INT_R_X9Y61 COLUMN 26 TILEPROP INT_R_X9Y61 DEVICE_ID 0 TILEPROP INT_R_X9Y61 FIRST_SITE_ID 9198 TILEPROP INT_R_X9Y61 GRID_POINT_X 26 TILEPROP INT_R_X9Y61 GRID_POINT_Y 92 TILEPROP INT_R_X9Y61 INDEX 10606 TILEPROP INT_R_X9Y61 INT_TILE_X 9 TILEPROP INT_R_X9Y61 INT_TILE_Y 88 TILEPROP INT_R_X9Y61 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y61 IS_DCM_TILE 0 TILEPROP INT_R_X9Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y61 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y61 NAME INT_R_X9Y61 TILEPROP INT_R_X9Y61 NUM_ARCS 3737 TILEPROP INT_R_X9Y61 NUM_SITES 1 TILEPROP INT_R_X9Y61 ROW 92 TILEPROP INT_R_X9Y61 SLR_REGION_ID 0 TILEPROP INT_R_X9Y61 TILE_PATTERN_IDX 6803 TILEPROP INT_R_X9Y61 TILE_TYPE INT_R TILEPROP INT_R_X9Y61 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y61 TILE_X -52958 TILEPROP INT_R_X9Y61 TILE_Y -43200 TILEPROP INT_R_X9Y61 TYPE INT_R TILEPROP INT_R_X9Y62 CLASS tile TILEPROP INT_R_X9Y62 COLUMN 26 TILEPROP INT_R_X9Y62 DEVICE_ID 0 TILEPROP INT_R_X9Y62 FIRST_SITE_ID 9066 TILEPROP INT_R_X9Y62 GRID_POINT_X 26 TILEPROP INT_R_X9Y62 GRID_POINT_Y 91 TILEPROP INT_R_X9Y62 INDEX 10491 TILEPROP INT_R_X9Y62 INT_TILE_X 9 TILEPROP INT_R_X9Y62 INT_TILE_Y 87 TILEPROP INT_R_X9Y62 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y62 IS_DCM_TILE 0 TILEPROP INT_R_X9Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y62 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y62 NAME INT_R_X9Y62 TILEPROP INT_R_X9Y62 NUM_ARCS 3737 TILEPROP INT_R_X9Y62 NUM_SITES 1 TILEPROP INT_R_X9Y62 ROW 91 TILEPROP INT_R_X9Y62 SLR_REGION_ID 0 TILEPROP INT_R_X9Y62 TILE_PATTERN_IDX 6766 TILEPROP INT_R_X9Y62 TILE_TYPE INT_R TILEPROP INT_R_X9Y62 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y62 TILE_X -52958 TILEPROP INT_R_X9Y62 TILE_Y -40000 TILEPROP INT_R_X9Y62 TYPE INT_R TILEPROP INT_R_X9Y63 CLASS tile TILEPROP INT_R_X9Y63 COLUMN 26 TILEPROP INT_R_X9Y63 DEVICE_ID 0 TILEPROP INT_R_X9Y63 FIRST_SITE_ID 8966 TILEPROP INT_R_X9Y63 GRID_POINT_X 26 TILEPROP INT_R_X9Y63 GRID_POINT_Y 90 TILEPROP INT_R_X9Y63 INDEX 10376 TILEPROP INT_R_X9Y63 INT_TILE_X 9 TILEPROP INT_R_X9Y63 INT_TILE_Y 86 TILEPROP INT_R_X9Y63 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y63 IS_DCM_TILE 0 TILEPROP INT_R_X9Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y63 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y63 NAME INT_R_X9Y63 TILEPROP INT_R_X9Y63 NUM_ARCS 3737 TILEPROP INT_R_X9Y63 NUM_SITES 1 TILEPROP INT_R_X9Y63 ROW 90 TILEPROP INT_R_X9Y63 SLR_REGION_ID 0 TILEPROP INT_R_X9Y63 TILE_PATTERN_IDX 6729 TILEPROP INT_R_X9Y63 TILE_TYPE INT_R TILEPROP INT_R_X9Y63 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y63 TILE_X -52958 TILEPROP INT_R_X9Y63 TILE_Y -36800 TILEPROP INT_R_X9Y63 TYPE INT_R TILEPROP INT_R_X9Y64 CLASS tile TILEPROP INT_R_X9Y64 COLUMN 26 TILEPROP INT_R_X9Y64 DEVICE_ID 0 TILEPROP INT_R_X9Y64 FIRST_SITE_ID 8866 TILEPROP INT_R_X9Y64 GRID_POINT_X 26 TILEPROP INT_R_X9Y64 GRID_POINT_Y 89 TILEPROP INT_R_X9Y64 INDEX 10261 TILEPROP INT_R_X9Y64 INT_TILE_X 9 TILEPROP INT_R_X9Y64 INT_TILE_Y 85 TILEPROP INT_R_X9Y64 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y64 IS_DCM_TILE 0 TILEPROP INT_R_X9Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y64 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y64 NAME INT_R_X9Y64 TILEPROP INT_R_X9Y64 NUM_ARCS 3737 TILEPROP INT_R_X9Y64 NUM_SITES 1 TILEPROP INT_R_X9Y64 ROW 89 TILEPROP INT_R_X9Y64 SLR_REGION_ID 0 TILEPROP INT_R_X9Y64 TILE_PATTERN_IDX 6692 TILEPROP INT_R_X9Y64 TILE_TYPE INT_R TILEPROP INT_R_X9Y64 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y64 TILE_X -52958 TILEPROP INT_R_X9Y64 TILE_Y -33600 TILEPROP INT_R_X9Y64 TYPE INT_R TILEPROP INT_R_X9Y65 CLASS tile TILEPROP INT_R_X9Y65 COLUMN 26 TILEPROP INT_R_X9Y65 DEVICE_ID 0 TILEPROP INT_R_X9Y65 FIRST_SITE_ID 8754 TILEPROP INT_R_X9Y65 GRID_POINT_X 26 TILEPROP INT_R_X9Y65 GRID_POINT_Y 88 TILEPROP INT_R_X9Y65 INDEX 10146 TILEPROP INT_R_X9Y65 INT_TILE_X 9 TILEPROP INT_R_X9Y65 INT_TILE_Y 84 TILEPROP INT_R_X9Y65 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y65 IS_DCM_TILE 0 TILEPROP INT_R_X9Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y65 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y65 NAME INT_R_X9Y65 TILEPROP INT_R_X9Y65 NUM_ARCS 3737 TILEPROP INT_R_X9Y65 NUM_SITES 1 TILEPROP INT_R_X9Y65 ROW 88 TILEPROP INT_R_X9Y65 SLR_REGION_ID 0 TILEPROP INT_R_X9Y65 TILE_PATTERN_IDX 6655 TILEPROP INT_R_X9Y65 TILE_TYPE INT_R TILEPROP INT_R_X9Y65 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y65 TILE_X -52958 TILEPROP INT_R_X9Y65 TILE_Y -30400 TILEPROP INT_R_X9Y65 TYPE INT_R TILEPROP INT_R_X9Y66 CLASS tile TILEPROP INT_R_X9Y66 COLUMN 26 TILEPROP INT_R_X9Y66 DEVICE_ID 0 TILEPROP INT_R_X9Y66 FIRST_SITE_ID 8651 TILEPROP INT_R_X9Y66 GRID_POINT_X 26 TILEPROP INT_R_X9Y66 GRID_POINT_Y 87 TILEPROP INT_R_X9Y66 INDEX 10031 TILEPROP INT_R_X9Y66 INT_TILE_X 9 TILEPROP INT_R_X9Y66 INT_TILE_Y 83 TILEPROP INT_R_X9Y66 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y66 IS_DCM_TILE 0 TILEPROP INT_R_X9Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y66 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y66 NAME INT_R_X9Y66 TILEPROP INT_R_X9Y66 NUM_ARCS 3737 TILEPROP INT_R_X9Y66 NUM_SITES 1 TILEPROP INT_R_X9Y66 ROW 87 TILEPROP INT_R_X9Y66 SLR_REGION_ID 0 TILEPROP INT_R_X9Y66 TILE_PATTERN_IDX 6618 TILEPROP INT_R_X9Y66 TILE_TYPE INT_R TILEPROP INT_R_X9Y66 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y66 TILE_X -52958 TILEPROP INT_R_X9Y66 TILE_Y -27200 TILEPROP INT_R_X9Y66 TYPE INT_R TILEPROP INT_R_X9Y67 CLASS tile TILEPROP INT_R_X9Y67 COLUMN 26 TILEPROP INT_R_X9Y67 DEVICE_ID 0 TILEPROP INT_R_X9Y67 FIRST_SITE_ID 8547 TILEPROP INT_R_X9Y67 GRID_POINT_X 26 TILEPROP INT_R_X9Y67 GRID_POINT_Y 86 TILEPROP INT_R_X9Y67 INDEX 9916 TILEPROP INT_R_X9Y67 INT_TILE_X 9 TILEPROP INT_R_X9Y67 INT_TILE_Y 82 TILEPROP INT_R_X9Y67 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y67 IS_DCM_TILE 0 TILEPROP INT_R_X9Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y67 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y67 NAME INT_R_X9Y67 TILEPROP INT_R_X9Y67 NUM_ARCS 3737 TILEPROP INT_R_X9Y67 NUM_SITES 1 TILEPROP INT_R_X9Y67 ROW 86 TILEPROP INT_R_X9Y67 SLR_REGION_ID 0 TILEPROP INT_R_X9Y67 TILE_PATTERN_IDX 6580 TILEPROP INT_R_X9Y67 TILE_TYPE INT_R TILEPROP INT_R_X9Y67 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y67 TILE_X -52958 TILEPROP INT_R_X9Y67 TILE_Y -24000 TILEPROP INT_R_X9Y67 TYPE INT_R TILEPROP INT_R_X9Y68 CLASS tile TILEPROP INT_R_X9Y68 COLUMN 26 TILEPROP INT_R_X9Y68 DEVICE_ID 0 TILEPROP INT_R_X9Y68 FIRST_SITE_ID 8443 TILEPROP INT_R_X9Y68 GRID_POINT_X 26 TILEPROP INT_R_X9Y68 GRID_POINT_Y 85 TILEPROP INT_R_X9Y68 INDEX 9801 TILEPROP INT_R_X9Y68 INT_TILE_X 9 TILEPROP INT_R_X9Y68 INT_TILE_Y 81 TILEPROP INT_R_X9Y68 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y68 IS_DCM_TILE 0 TILEPROP INT_R_X9Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y68 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y68 NAME INT_R_X9Y68 TILEPROP INT_R_X9Y68 NUM_ARCS 3737 TILEPROP INT_R_X9Y68 NUM_SITES 1 TILEPROP INT_R_X9Y68 ROW 85 TILEPROP INT_R_X9Y68 SLR_REGION_ID 0 TILEPROP INT_R_X9Y68 TILE_PATTERN_IDX 6548 TILEPROP INT_R_X9Y68 TILE_TYPE INT_R TILEPROP INT_R_X9Y68 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y68 TILE_X -52958 TILEPROP INT_R_X9Y68 TILE_Y -20800 TILEPROP INT_R_X9Y68 TYPE INT_R TILEPROP INT_R_X9Y69 CLASS tile TILEPROP INT_R_X9Y69 COLUMN 26 TILEPROP INT_R_X9Y69 DEVICE_ID 0 TILEPROP INT_R_X9Y69 FIRST_SITE_ID 8341 TILEPROP INT_R_X9Y69 GRID_POINT_X 26 TILEPROP INT_R_X9Y69 GRID_POINT_Y 84 TILEPROP INT_R_X9Y69 INDEX 9686 TILEPROP INT_R_X9Y69 INT_TILE_X 9 TILEPROP INT_R_X9Y69 INT_TILE_Y 80 TILEPROP INT_R_X9Y69 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y69 IS_DCM_TILE 0 TILEPROP INT_R_X9Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y69 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y69 NAME INT_R_X9Y69 TILEPROP INT_R_X9Y69 NUM_ARCS 3737 TILEPROP INT_R_X9Y69 NUM_SITES 1 TILEPROP INT_R_X9Y69 ROW 84 TILEPROP INT_R_X9Y69 SLR_REGION_ID 0 TILEPROP INT_R_X9Y69 TILE_PATTERN_IDX 6517 TILEPROP INT_R_X9Y69 TILE_TYPE INT_R TILEPROP INT_R_X9Y69 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y69 TILE_X -52958 TILEPROP INT_R_X9Y69 TILE_Y -17600 TILEPROP INT_R_X9Y69 TYPE INT_R TILEPROP INT_R_X9Y70 CLASS tile TILEPROP INT_R_X9Y70 COLUMN 26 TILEPROP INT_R_X9Y70 DEVICE_ID 0 TILEPROP INT_R_X9Y70 FIRST_SITE_ID 8227 TILEPROP INT_R_X9Y70 GRID_POINT_X 26 TILEPROP INT_R_X9Y70 GRID_POINT_Y 83 TILEPROP INT_R_X9Y70 INDEX 9571 TILEPROP INT_R_X9Y70 INT_TILE_X 9 TILEPROP INT_R_X9Y70 INT_TILE_Y 79 TILEPROP INT_R_X9Y70 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y70 IS_DCM_TILE 0 TILEPROP INT_R_X9Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y70 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y70 NAME INT_R_X9Y70 TILEPROP INT_R_X9Y70 NUM_ARCS 3737 TILEPROP INT_R_X9Y70 NUM_SITES 1 TILEPROP INT_R_X9Y70 ROW 83 TILEPROP INT_R_X9Y70 SLR_REGION_ID 0 TILEPROP INT_R_X9Y70 TILE_PATTERN_IDX 6486 TILEPROP INT_R_X9Y70 TILE_TYPE INT_R TILEPROP INT_R_X9Y70 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y70 TILE_X -52958 TILEPROP INT_R_X9Y70 TILE_Y -14400 TILEPROP INT_R_X9Y70 TYPE INT_R TILEPROP INT_R_X9Y71 CLASS tile TILEPROP INT_R_X9Y71 COLUMN 26 TILEPROP INT_R_X9Y71 DEVICE_ID 0 TILEPROP INT_R_X9Y71 FIRST_SITE_ID 8124 TILEPROP INT_R_X9Y71 GRID_POINT_X 26 TILEPROP INT_R_X9Y71 GRID_POINT_Y 82 TILEPROP INT_R_X9Y71 INDEX 9456 TILEPROP INT_R_X9Y71 INT_TILE_X 9 TILEPROP INT_R_X9Y71 INT_TILE_Y 78 TILEPROP INT_R_X9Y71 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y71 IS_DCM_TILE 0 TILEPROP INT_R_X9Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y71 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y71 NAME INT_R_X9Y71 TILEPROP INT_R_X9Y71 NUM_ARCS 3737 TILEPROP INT_R_X9Y71 NUM_SITES 1 TILEPROP INT_R_X9Y71 ROW 82 TILEPROP INT_R_X9Y71 SLR_REGION_ID 0 TILEPROP INT_R_X9Y71 TILE_PATTERN_IDX 6455 TILEPROP INT_R_X9Y71 TILE_TYPE INT_R TILEPROP INT_R_X9Y71 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y71 TILE_X -52958 TILEPROP INT_R_X9Y71 TILE_Y -11200 TILEPROP INT_R_X9Y71 TYPE INT_R TILEPROP INT_R_X9Y72 CLASS tile TILEPROP INT_R_X9Y72 COLUMN 26 TILEPROP INT_R_X9Y72 DEVICE_ID 0 TILEPROP INT_R_X9Y72 FIRST_SITE_ID 8024 TILEPROP INT_R_X9Y72 GRID_POINT_X 26 TILEPROP INT_R_X9Y72 GRID_POINT_Y 81 TILEPROP INT_R_X9Y72 INDEX 9341 TILEPROP INT_R_X9Y72 INT_TILE_X 9 TILEPROP INT_R_X9Y72 INT_TILE_Y 77 TILEPROP INT_R_X9Y72 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y72 IS_DCM_TILE 0 TILEPROP INT_R_X9Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y72 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y72 NAME INT_R_X9Y72 TILEPROP INT_R_X9Y72 NUM_ARCS 3737 TILEPROP INT_R_X9Y72 NUM_SITES 1 TILEPROP INT_R_X9Y72 ROW 81 TILEPROP INT_R_X9Y72 SLR_REGION_ID 0 TILEPROP INT_R_X9Y72 TILE_PATTERN_IDX 6424 TILEPROP INT_R_X9Y72 TILE_TYPE INT_R TILEPROP INT_R_X9Y72 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y72 TILE_X -52958 TILEPROP INT_R_X9Y72 TILE_Y -8000 TILEPROP INT_R_X9Y72 TYPE INT_R TILEPROP INT_R_X9Y73 CLASS tile TILEPROP INT_R_X9Y73 COLUMN 26 TILEPROP INT_R_X9Y73 DEVICE_ID 0 TILEPROP INT_R_X9Y73 FIRST_SITE_ID 7924 TILEPROP INT_R_X9Y73 GRID_POINT_X 26 TILEPROP INT_R_X9Y73 GRID_POINT_Y 80 TILEPROP INT_R_X9Y73 INDEX 9226 TILEPROP INT_R_X9Y73 INT_TILE_X 9 TILEPROP INT_R_X9Y73 INT_TILE_Y 76 TILEPROP INT_R_X9Y73 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y73 IS_DCM_TILE 0 TILEPROP INT_R_X9Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y73 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y73 NAME INT_R_X9Y73 TILEPROP INT_R_X9Y73 NUM_ARCS 3737 TILEPROP INT_R_X9Y73 NUM_SITES 1 TILEPROP INT_R_X9Y73 ROW 80 TILEPROP INT_R_X9Y73 SLR_REGION_ID 0 TILEPROP INT_R_X9Y73 TILE_PATTERN_IDX 6393 TILEPROP INT_R_X9Y73 TILE_TYPE INT_R TILEPROP INT_R_X9Y73 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y73 TILE_X -52958 TILEPROP INT_R_X9Y73 TILE_Y -4800 TILEPROP INT_R_X9Y73 TYPE INT_R TILEPROP INT_R_X9Y74 CLASS tile TILEPROP INT_R_X9Y74 COLUMN 26 TILEPROP INT_R_X9Y74 DEVICE_ID 0 TILEPROP INT_R_X9Y74 FIRST_SITE_ID 7824 TILEPROP INT_R_X9Y74 GRID_POINT_X 26 TILEPROP INT_R_X9Y74 GRID_POINT_Y 79 TILEPROP INT_R_X9Y74 INDEX 9111 TILEPROP INT_R_X9Y74 INT_TILE_X 9 TILEPROP INT_R_X9Y74 INT_TILE_Y 75 TILEPROP INT_R_X9Y74 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y74 IS_DCM_TILE 0 TILEPROP INT_R_X9Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y74 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y74 NAME INT_R_X9Y74 TILEPROP INT_R_X9Y74 NUM_ARCS 3737 TILEPROP INT_R_X9Y74 NUM_SITES 1 TILEPROP INT_R_X9Y74 ROW 79 TILEPROP INT_R_X9Y74 SLR_REGION_ID 0 TILEPROP INT_R_X9Y74 TILE_PATTERN_IDX 6362 TILEPROP INT_R_X9Y74 TILE_TYPE INT_R TILEPROP INT_R_X9Y74 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y74 TILE_X -52958 TILEPROP INT_R_X9Y74 TILE_Y -1600 TILEPROP INT_R_X9Y74 TYPE INT_R TILEPROP INT_R_X9Y75 CLASS tile TILEPROP INT_R_X9Y75 COLUMN 26 TILEPROP INT_R_X9Y75 DEVICE_ID 0 TILEPROP INT_R_X9Y75 FIRST_SITE_ID 7626 TILEPROP INT_R_X9Y75 GRID_POINT_X 26 TILEPROP INT_R_X9Y75 GRID_POINT_Y 77 TILEPROP INT_R_X9Y75 INDEX 8881 TILEPROP INT_R_X9Y75 INT_TILE_X 9 TILEPROP INT_R_X9Y75 INT_TILE_Y 74 TILEPROP INT_R_X9Y75 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y75 IS_DCM_TILE 0 TILEPROP INT_R_X9Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y75 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y75 NAME INT_R_X9Y75 TILEPROP INT_R_X9Y75 NUM_ARCS 3737 TILEPROP INT_R_X9Y75 NUM_SITES 1 TILEPROP INT_R_X9Y75 ROW 77 TILEPROP INT_R_X9Y75 SLR_REGION_ID 0 TILEPROP INT_R_X9Y75 TILE_PATTERN_IDX 6286 TILEPROP INT_R_X9Y75 TILE_TYPE INT_R TILEPROP INT_R_X9Y75 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y75 TILE_X -52958 TILEPROP INT_R_X9Y75 TILE_Y 2624 TILEPROP INT_R_X9Y75 TYPE INT_R TILEPROP INT_R_X9Y76 CLASS tile TILEPROP INT_R_X9Y76 COLUMN 26 TILEPROP INT_R_X9Y76 DEVICE_ID 0 TILEPROP INT_R_X9Y76 FIRST_SITE_ID 7523 TILEPROP INT_R_X9Y76 GRID_POINT_X 26 TILEPROP INT_R_X9Y76 GRID_POINT_Y 76 TILEPROP INT_R_X9Y76 INDEX 8766 TILEPROP INT_R_X9Y76 INT_TILE_X 9 TILEPROP INT_R_X9Y76 INT_TILE_Y 73 TILEPROP INT_R_X9Y76 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y76 IS_DCM_TILE 0 TILEPROP INT_R_X9Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y76 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y76 NAME INT_R_X9Y76 TILEPROP INT_R_X9Y76 NUM_ARCS 3737 TILEPROP INT_R_X9Y76 NUM_SITES 1 TILEPROP INT_R_X9Y76 ROW 76 TILEPROP INT_R_X9Y76 SLR_REGION_ID 0 TILEPROP INT_R_X9Y76 TILE_PATTERN_IDX 6253 TILEPROP INT_R_X9Y76 TILE_TYPE INT_R TILEPROP INT_R_X9Y76 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y76 TILE_X -52958 TILEPROP INT_R_X9Y76 TILE_Y 5824 TILEPROP INT_R_X9Y76 TYPE INT_R TILEPROP INT_R_X9Y77 CLASS tile TILEPROP INT_R_X9Y77 COLUMN 26 TILEPROP INT_R_X9Y77 DEVICE_ID 0 TILEPROP INT_R_X9Y77 FIRST_SITE_ID 7423 TILEPROP INT_R_X9Y77 GRID_POINT_X 26 TILEPROP INT_R_X9Y77 GRID_POINT_Y 75 TILEPROP INT_R_X9Y77 INDEX 8651 TILEPROP INT_R_X9Y77 INT_TILE_X 9 TILEPROP INT_R_X9Y77 INT_TILE_Y 72 TILEPROP INT_R_X9Y77 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y77 IS_DCM_TILE 0 TILEPROP INT_R_X9Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y77 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y77 NAME INT_R_X9Y77 TILEPROP INT_R_X9Y77 NUM_ARCS 3737 TILEPROP INT_R_X9Y77 NUM_SITES 1 TILEPROP INT_R_X9Y77 ROW 75 TILEPROP INT_R_X9Y77 SLR_REGION_ID 0 TILEPROP INT_R_X9Y77 TILE_PATTERN_IDX 6219 TILEPROP INT_R_X9Y77 TILE_TYPE INT_R TILEPROP INT_R_X9Y77 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y77 TILE_X -52958 TILEPROP INT_R_X9Y77 TILE_Y 9024 TILEPROP INT_R_X9Y77 TYPE INT_R TILEPROP INT_R_X9Y78 CLASS tile TILEPROP INT_R_X9Y78 COLUMN 26 TILEPROP INT_R_X9Y78 DEVICE_ID 0 TILEPROP INT_R_X9Y78 FIRST_SITE_ID 7323 TILEPROP INT_R_X9Y78 GRID_POINT_X 26 TILEPROP INT_R_X9Y78 GRID_POINT_Y 74 TILEPROP INT_R_X9Y78 INDEX 8536 TILEPROP INT_R_X9Y78 INT_TILE_X 9 TILEPROP INT_R_X9Y78 INT_TILE_Y 71 TILEPROP INT_R_X9Y78 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y78 IS_DCM_TILE 0 TILEPROP INT_R_X9Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y78 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y78 NAME INT_R_X9Y78 TILEPROP INT_R_X9Y78 NUM_ARCS 3737 TILEPROP INT_R_X9Y78 NUM_SITES 1 TILEPROP INT_R_X9Y78 ROW 74 TILEPROP INT_R_X9Y78 SLR_REGION_ID 0 TILEPROP INT_R_X9Y78 TILE_PATTERN_IDX 6186 TILEPROP INT_R_X9Y78 TILE_TYPE INT_R TILEPROP INT_R_X9Y78 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y78 TILE_X -52958 TILEPROP INT_R_X9Y78 TILE_Y 12224 TILEPROP INT_R_X9Y78 TYPE INT_R TILEPROP INT_R_X9Y79 CLASS tile TILEPROP INT_R_X9Y79 COLUMN 26 TILEPROP INT_R_X9Y79 DEVICE_ID 0 TILEPROP INT_R_X9Y79 FIRST_SITE_ID 7217 TILEPROP INT_R_X9Y79 GRID_POINT_X 26 TILEPROP INT_R_X9Y79 GRID_POINT_Y 73 TILEPROP INT_R_X9Y79 INDEX 8421 TILEPROP INT_R_X9Y79 INT_TILE_X 9 TILEPROP INT_R_X9Y79 INT_TILE_Y 70 TILEPROP INT_R_X9Y79 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y79 IS_DCM_TILE 0 TILEPROP INT_R_X9Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y79 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y79 NAME INT_R_X9Y79 TILEPROP INT_R_X9Y79 NUM_ARCS 3737 TILEPROP INT_R_X9Y79 NUM_SITES 1 TILEPROP INT_R_X9Y79 ROW 73 TILEPROP INT_R_X9Y79 SLR_REGION_ID 0 TILEPROP INT_R_X9Y79 TILE_PATTERN_IDX 6151 TILEPROP INT_R_X9Y79 TILE_TYPE INT_R TILEPROP INT_R_X9Y79 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y79 TILE_X -52958 TILEPROP INT_R_X9Y79 TILE_Y 15424 TILEPROP INT_R_X9Y79 TYPE INT_R TILEPROP INT_R_X9Y80 CLASS tile TILEPROP INT_R_X9Y80 COLUMN 26 TILEPROP INT_R_X9Y80 DEVICE_ID 0 TILEPROP INT_R_X9Y80 FIRST_SITE_ID 7099 TILEPROP INT_R_X9Y80 GRID_POINT_X 26 TILEPROP INT_R_X9Y80 GRID_POINT_Y 72 TILEPROP INT_R_X9Y80 INDEX 8306 TILEPROP INT_R_X9Y80 INT_TILE_X 9 TILEPROP INT_R_X9Y80 INT_TILE_Y 69 TILEPROP INT_R_X9Y80 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y80 IS_DCM_TILE 0 TILEPROP INT_R_X9Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y80 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y80 NAME INT_R_X9Y80 TILEPROP INT_R_X9Y80 NUM_ARCS 3737 TILEPROP INT_R_X9Y80 NUM_SITES 1 TILEPROP INT_R_X9Y80 ROW 72 TILEPROP INT_R_X9Y80 SLR_REGION_ID 0 TILEPROP INT_R_X9Y80 TILE_PATTERN_IDX 6116 TILEPROP INT_R_X9Y80 TILE_TYPE INT_R TILEPROP INT_R_X9Y80 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y80 TILE_X -52958 TILEPROP INT_R_X9Y80 TILE_Y 18624 TILEPROP INT_R_X9Y80 TYPE INT_R TILEPROP INT_R_X9Y81 CLASS tile TILEPROP INT_R_X9Y81 COLUMN 26 TILEPROP INT_R_X9Y81 DEVICE_ID 0 TILEPROP INT_R_X9Y81 FIRST_SITE_ID 6994 TILEPROP INT_R_X9Y81 GRID_POINT_X 26 TILEPROP INT_R_X9Y81 GRID_POINT_Y 71 TILEPROP INT_R_X9Y81 INDEX 8191 TILEPROP INT_R_X9Y81 INT_TILE_X 9 TILEPROP INT_R_X9Y81 INT_TILE_Y 68 TILEPROP INT_R_X9Y81 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y81 IS_DCM_TILE 0 TILEPROP INT_R_X9Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y81 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y81 NAME INT_R_X9Y81 TILEPROP INT_R_X9Y81 NUM_ARCS 3737 TILEPROP INT_R_X9Y81 NUM_SITES 1 TILEPROP INT_R_X9Y81 ROW 71 TILEPROP INT_R_X9Y81 SLR_REGION_ID 0 TILEPROP INT_R_X9Y81 TILE_PATTERN_IDX 6082 TILEPROP INT_R_X9Y81 TILE_TYPE INT_R TILEPROP INT_R_X9Y81 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y81 TILE_X -52958 TILEPROP INT_R_X9Y81 TILE_Y 21824 TILEPROP INT_R_X9Y81 TYPE INT_R TILEPROP INT_R_X9Y82 CLASS tile TILEPROP INT_R_X9Y82 COLUMN 26 TILEPROP INT_R_X9Y82 DEVICE_ID 0 TILEPROP INT_R_X9Y82 FIRST_SITE_ID 6892 TILEPROP INT_R_X9Y82 GRID_POINT_X 26 TILEPROP INT_R_X9Y82 GRID_POINT_Y 70 TILEPROP INT_R_X9Y82 INDEX 8076 TILEPROP INT_R_X9Y82 INT_TILE_X 9 TILEPROP INT_R_X9Y82 INT_TILE_Y 67 TILEPROP INT_R_X9Y82 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y82 IS_DCM_TILE 0 TILEPROP INT_R_X9Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y82 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y82 NAME INT_R_X9Y82 TILEPROP INT_R_X9Y82 NUM_ARCS 3737 TILEPROP INT_R_X9Y82 NUM_SITES 1 TILEPROP INT_R_X9Y82 ROW 70 TILEPROP INT_R_X9Y82 SLR_REGION_ID 0 TILEPROP INT_R_X9Y82 TILE_PATTERN_IDX 6048 TILEPROP INT_R_X9Y82 TILE_TYPE INT_R TILEPROP INT_R_X9Y82 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y82 TILE_X -52958 TILEPROP INT_R_X9Y82 TILE_Y 25024 TILEPROP INT_R_X9Y82 TYPE INT_R TILEPROP INT_R_X9Y83 CLASS tile TILEPROP INT_R_X9Y83 COLUMN 26 TILEPROP INT_R_X9Y83 DEVICE_ID 0 TILEPROP INT_R_X9Y83 FIRST_SITE_ID 6792 TILEPROP INT_R_X9Y83 GRID_POINT_X 26 TILEPROP INT_R_X9Y83 GRID_POINT_Y 69 TILEPROP INT_R_X9Y83 INDEX 7961 TILEPROP INT_R_X9Y83 INT_TILE_X 9 TILEPROP INT_R_X9Y83 INT_TILE_Y 66 TILEPROP INT_R_X9Y83 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y83 IS_DCM_TILE 0 TILEPROP INT_R_X9Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y83 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y83 NAME INT_R_X9Y83 TILEPROP INT_R_X9Y83 NUM_ARCS 3737 TILEPROP INT_R_X9Y83 NUM_SITES 1 TILEPROP INT_R_X9Y83 ROW 69 TILEPROP INT_R_X9Y83 SLR_REGION_ID 0 TILEPROP INT_R_X9Y83 TILE_PATTERN_IDX 6014 TILEPROP INT_R_X9Y83 TILE_TYPE INT_R TILEPROP INT_R_X9Y83 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y83 TILE_X -52958 TILEPROP INT_R_X9Y83 TILE_Y 28224 TILEPROP INT_R_X9Y83 TYPE INT_R TILEPROP INT_R_X9Y84 CLASS tile TILEPROP INT_R_X9Y84 COLUMN 26 TILEPROP INT_R_X9Y84 DEVICE_ID 0 TILEPROP INT_R_X9Y84 FIRST_SITE_ID 6692 TILEPROP INT_R_X9Y84 GRID_POINT_X 26 TILEPROP INT_R_X9Y84 GRID_POINT_Y 68 TILEPROP INT_R_X9Y84 INDEX 7846 TILEPROP INT_R_X9Y84 INT_TILE_X 9 TILEPROP INT_R_X9Y84 INT_TILE_Y 65 TILEPROP INT_R_X9Y84 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y84 IS_DCM_TILE 0 TILEPROP INT_R_X9Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y84 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y84 NAME INT_R_X9Y84 TILEPROP INT_R_X9Y84 NUM_ARCS 3737 TILEPROP INT_R_X9Y84 NUM_SITES 1 TILEPROP INT_R_X9Y84 ROW 68 TILEPROP INT_R_X9Y84 SLR_REGION_ID 0 TILEPROP INT_R_X9Y84 TILE_PATTERN_IDX 5980 TILEPROP INT_R_X9Y84 TILE_TYPE INT_R TILEPROP INT_R_X9Y84 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y84 TILE_X -52958 TILEPROP INT_R_X9Y84 TILE_Y 31424 TILEPROP INT_R_X9Y84 TYPE INT_R TILEPROP INT_R_X9Y85 CLASS tile TILEPROP INT_R_X9Y85 COLUMN 26 TILEPROP INT_R_X9Y85 DEVICE_ID 0 TILEPROP INT_R_X9Y85 FIRST_SITE_ID 6580 TILEPROP INT_R_X9Y85 GRID_POINT_X 26 TILEPROP INT_R_X9Y85 GRID_POINT_Y 67 TILEPROP INT_R_X9Y85 INDEX 7731 TILEPROP INT_R_X9Y85 INT_TILE_X 9 TILEPROP INT_R_X9Y85 INT_TILE_Y 64 TILEPROP INT_R_X9Y85 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y85 IS_DCM_TILE 0 TILEPROP INT_R_X9Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y85 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y85 NAME INT_R_X9Y85 TILEPROP INT_R_X9Y85 NUM_ARCS 3737 TILEPROP INT_R_X9Y85 NUM_SITES 1 TILEPROP INT_R_X9Y85 ROW 67 TILEPROP INT_R_X9Y85 SLR_REGION_ID 0 TILEPROP INT_R_X9Y85 TILE_PATTERN_IDX 5945 TILEPROP INT_R_X9Y85 TILE_TYPE INT_R TILEPROP INT_R_X9Y85 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y85 TILE_X -52958 TILEPROP INT_R_X9Y85 TILE_Y 34624 TILEPROP INT_R_X9Y85 TYPE INT_R TILEPROP INT_R_X9Y86 CLASS tile TILEPROP INT_R_X9Y86 COLUMN 26 TILEPROP INT_R_X9Y86 DEVICE_ID 0 TILEPROP INT_R_X9Y86 FIRST_SITE_ID 6445 TILEPROP INT_R_X9Y86 GRID_POINT_X 26 TILEPROP INT_R_X9Y86 GRID_POINT_Y 66 TILEPROP INT_R_X9Y86 INDEX 7616 TILEPROP INT_R_X9Y86 INT_TILE_X 9 TILEPROP INT_R_X9Y86 INT_TILE_Y 63 TILEPROP INT_R_X9Y86 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y86 IS_DCM_TILE 0 TILEPROP INT_R_X9Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y86 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y86 NAME INT_R_X9Y86 TILEPROP INT_R_X9Y86 NUM_ARCS 3737 TILEPROP INT_R_X9Y86 NUM_SITES 1 TILEPROP INT_R_X9Y86 ROW 66 TILEPROP INT_R_X9Y86 SLR_REGION_ID 0 TILEPROP INT_R_X9Y86 TILE_PATTERN_IDX 5910 TILEPROP INT_R_X9Y86 TILE_TYPE INT_R TILEPROP INT_R_X9Y86 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y86 TILE_X -52958 TILEPROP INT_R_X9Y86 TILE_Y 37824 TILEPROP INT_R_X9Y86 TYPE INT_R TILEPROP INT_R_X9Y87 CLASS tile TILEPROP INT_R_X9Y87 COLUMN 26 TILEPROP INT_R_X9Y87 DEVICE_ID 0 TILEPROP INT_R_X9Y87 FIRST_SITE_ID 6345 TILEPROP INT_R_X9Y87 GRID_POINT_X 26 TILEPROP INT_R_X9Y87 GRID_POINT_Y 65 TILEPROP INT_R_X9Y87 INDEX 7501 TILEPROP INT_R_X9Y87 INT_TILE_X 9 TILEPROP INT_R_X9Y87 INT_TILE_Y 62 TILEPROP INT_R_X9Y87 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y87 IS_DCM_TILE 0 TILEPROP INT_R_X9Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y87 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y87 NAME INT_R_X9Y87 TILEPROP INT_R_X9Y87 NUM_ARCS 3737 TILEPROP INT_R_X9Y87 NUM_SITES 1 TILEPROP INT_R_X9Y87 ROW 65 TILEPROP INT_R_X9Y87 SLR_REGION_ID 0 TILEPROP INT_R_X9Y87 TILE_PATTERN_IDX 5876 TILEPROP INT_R_X9Y87 TILE_TYPE INT_R TILEPROP INT_R_X9Y87 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y87 TILE_X -52958 TILEPROP INT_R_X9Y87 TILE_Y 41024 TILEPROP INT_R_X9Y87 TYPE INT_R TILEPROP INT_R_X9Y88 CLASS tile TILEPROP INT_R_X9Y88 COLUMN 26 TILEPROP INT_R_X9Y88 DEVICE_ID 0 TILEPROP INT_R_X9Y88 FIRST_SITE_ID 6245 TILEPROP INT_R_X9Y88 GRID_POINT_X 26 TILEPROP INT_R_X9Y88 GRID_POINT_Y 64 TILEPROP INT_R_X9Y88 INDEX 7386 TILEPROP INT_R_X9Y88 INT_TILE_X 9 TILEPROP INT_R_X9Y88 INT_TILE_Y 61 TILEPROP INT_R_X9Y88 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y88 IS_DCM_TILE 0 TILEPROP INT_R_X9Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y88 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y88 NAME INT_R_X9Y88 TILEPROP INT_R_X9Y88 NUM_ARCS 3737 TILEPROP INT_R_X9Y88 NUM_SITES 1 TILEPROP INT_R_X9Y88 ROW 64 TILEPROP INT_R_X9Y88 SLR_REGION_ID 0 TILEPROP INT_R_X9Y88 TILE_PATTERN_IDX 5843 TILEPROP INT_R_X9Y88 TILE_TYPE INT_R TILEPROP INT_R_X9Y88 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y88 TILE_X -52958 TILEPROP INT_R_X9Y88 TILE_Y 44224 TILEPROP INT_R_X9Y88 TYPE INT_R TILEPROP INT_R_X9Y89 CLASS tile TILEPROP INT_R_X9Y89 COLUMN 26 TILEPROP INT_R_X9Y89 DEVICE_ID 0 TILEPROP INT_R_X9Y89 FIRST_SITE_ID 6145 TILEPROP INT_R_X9Y89 GRID_POINT_X 26 TILEPROP INT_R_X9Y89 GRID_POINT_Y 63 TILEPROP INT_R_X9Y89 INDEX 7271 TILEPROP INT_R_X9Y89 INT_TILE_X 9 TILEPROP INT_R_X9Y89 INT_TILE_Y 60 TILEPROP INT_R_X9Y89 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y89 IS_DCM_TILE 0 TILEPROP INT_R_X9Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y89 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y89 NAME INT_R_X9Y89 TILEPROP INT_R_X9Y89 NUM_ARCS 3737 TILEPROP INT_R_X9Y89 NUM_SITES 1 TILEPROP INT_R_X9Y89 ROW 63 TILEPROP INT_R_X9Y89 SLR_REGION_ID 0 TILEPROP INT_R_X9Y89 TILE_PATTERN_IDX 5809 TILEPROP INT_R_X9Y89 TILE_TYPE INT_R TILEPROP INT_R_X9Y89 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y89 TILE_X -52958 TILEPROP INT_R_X9Y89 TILE_Y 47424 TILEPROP INT_R_X9Y89 TYPE INT_R TILEPROP INT_R_X9Y90 CLASS tile TILEPROP INT_R_X9Y90 COLUMN 26 TILEPROP INT_R_X9Y90 DEVICE_ID 0 TILEPROP INT_R_X9Y90 FIRST_SITE_ID 6033 TILEPROP INT_R_X9Y90 GRID_POINT_X 26 TILEPROP INT_R_X9Y90 GRID_POINT_Y 62 TILEPROP INT_R_X9Y90 INDEX 7156 TILEPROP INT_R_X9Y90 INT_TILE_X 9 TILEPROP INT_R_X9Y90 INT_TILE_Y 59 TILEPROP INT_R_X9Y90 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y90 IS_DCM_TILE 0 TILEPROP INT_R_X9Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y90 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y90 NAME INT_R_X9Y90 TILEPROP INT_R_X9Y90 NUM_ARCS 3737 TILEPROP INT_R_X9Y90 NUM_SITES 1 TILEPROP INT_R_X9Y90 ROW 62 TILEPROP INT_R_X9Y90 SLR_REGION_ID 0 TILEPROP INT_R_X9Y90 TILE_PATTERN_IDX 5775 TILEPROP INT_R_X9Y90 TILE_TYPE INT_R TILEPROP INT_R_X9Y90 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y90 TILE_X -52958 TILEPROP INT_R_X9Y90 TILE_Y 50624 TILEPROP INT_R_X9Y90 TYPE INT_R TILEPROP INT_R_X9Y91 CLASS tile TILEPROP INT_R_X9Y91 COLUMN 26 TILEPROP INT_R_X9Y91 DEVICE_ID 0 TILEPROP INT_R_X9Y91 FIRST_SITE_ID 5930 TILEPROP INT_R_X9Y91 GRID_POINT_X 26 TILEPROP INT_R_X9Y91 GRID_POINT_Y 61 TILEPROP INT_R_X9Y91 INDEX 7041 TILEPROP INT_R_X9Y91 INT_TILE_X 9 TILEPROP INT_R_X9Y91 INT_TILE_Y 58 TILEPROP INT_R_X9Y91 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y91 IS_DCM_TILE 0 TILEPROP INT_R_X9Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y91 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y91 NAME INT_R_X9Y91 TILEPROP INT_R_X9Y91 NUM_ARCS 3737 TILEPROP INT_R_X9Y91 NUM_SITES 1 TILEPROP INT_R_X9Y91 ROW 61 TILEPROP INT_R_X9Y91 SLR_REGION_ID 0 TILEPROP INT_R_X9Y91 TILE_PATTERN_IDX 5741 TILEPROP INT_R_X9Y91 TILE_TYPE INT_R TILEPROP INT_R_X9Y91 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y91 TILE_X -52958 TILEPROP INT_R_X9Y91 TILE_Y 53824 TILEPROP INT_R_X9Y91 TYPE INT_R TILEPROP INT_R_X9Y92 CLASS tile TILEPROP INT_R_X9Y92 COLUMN 26 TILEPROP INT_R_X9Y92 DEVICE_ID 0 TILEPROP INT_R_X9Y92 FIRST_SITE_ID 5829 TILEPROP INT_R_X9Y92 GRID_POINT_X 26 TILEPROP INT_R_X9Y92 GRID_POINT_Y 60 TILEPROP INT_R_X9Y92 INDEX 6926 TILEPROP INT_R_X9Y92 INT_TILE_X 9 TILEPROP INT_R_X9Y92 INT_TILE_Y 57 TILEPROP INT_R_X9Y92 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y92 IS_DCM_TILE 0 TILEPROP INT_R_X9Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y92 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y92 NAME INT_R_X9Y92 TILEPROP INT_R_X9Y92 NUM_ARCS 3737 TILEPROP INT_R_X9Y92 NUM_SITES 1 TILEPROP INT_R_X9Y92 ROW 60 TILEPROP INT_R_X9Y92 SLR_REGION_ID 0 TILEPROP INT_R_X9Y92 TILE_PATTERN_IDX 5708 TILEPROP INT_R_X9Y92 TILE_TYPE INT_R TILEPROP INT_R_X9Y92 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y92 TILE_X -52958 TILEPROP INT_R_X9Y92 TILE_Y 57024 TILEPROP INT_R_X9Y92 TYPE INT_R TILEPROP INT_R_X9Y93 CLASS tile TILEPROP INT_R_X9Y93 COLUMN 26 TILEPROP INT_R_X9Y93 DEVICE_ID 0 TILEPROP INT_R_X9Y93 FIRST_SITE_ID 5726 TILEPROP INT_R_X9Y93 GRID_POINT_X 26 TILEPROP INT_R_X9Y93 GRID_POINT_Y 59 TILEPROP INT_R_X9Y93 INDEX 6811 TILEPROP INT_R_X9Y93 INT_TILE_X 9 TILEPROP INT_R_X9Y93 INT_TILE_Y 56 TILEPROP INT_R_X9Y93 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y93 IS_DCM_TILE 0 TILEPROP INT_R_X9Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y93 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y93 NAME INT_R_X9Y93 TILEPROP INT_R_X9Y93 NUM_ARCS 3737 TILEPROP INT_R_X9Y93 NUM_SITES 1 TILEPROP INT_R_X9Y93 ROW 59 TILEPROP INT_R_X9Y93 SLR_REGION_ID 0 TILEPROP INT_R_X9Y93 TILE_PATTERN_IDX 5674 TILEPROP INT_R_X9Y93 TILE_TYPE INT_R TILEPROP INT_R_X9Y93 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y93 TILE_X -52958 TILEPROP INT_R_X9Y93 TILE_Y 60224 TILEPROP INT_R_X9Y93 TYPE INT_R TILEPROP INT_R_X9Y94 CLASS tile TILEPROP INT_R_X9Y94 COLUMN 26 TILEPROP INT_R_X9Y94 DEVICE_ID 0 TILEPROP INT_R_X9Y94 FIRST_SITE_ID 5624 TILEPROP INT_R_X9Y94 GRID_POINT_X 26 TILEPROP INT_R_X9Y94 GRID_POINT_Y 58 TILEPROP INT_R_X9Y94 INDEX 6696 TILEPROP INT_R_X9Y94 INT_TILE_X 9 TILEPROP INT_R_X9Y94 INT_TILE_Y 55 TILEPROP INT_R_X9Y94 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y94 IS_DCM_TILE 0 TILEPROP INT_R_X9Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y94 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y94 NAME INT_R_X9Y94 TILEPROP INT_R_X9Y94 NUM_ARCS 3737 TILEPROP INT_R_X9Y94 NUM_SITES 1 TILEPROP INT_R_X9Y94 ROW 58 TILEPROP INT_R_X9Y94 SLR_REGION_ID 0 TILEPROP INT_R_X9Y94 TILE_PATTERN_IDX 5640 TILEPROP INT_R_X9Y94 TILE_TYPE INT_R TILEPROP INT_R_X9Y94 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y94 TILE_X -52958 TILEPROP INT_R_X9Y94 TILE_Y 63424 TILEPROP INT_R_X9Y94 TYPE INT_R TILEPROP INT_R_X9Y95 CLASS tile TILEPROP INT_R_X9Y95 COLUMN 26 TILEPROP INT_R_X9Y95 DEVICE_ID 0 TILEPROP INT_R_X9Y95 FIRST_SITE_ID 5512 TILEPROP INT_R_X9Y95 GRID_POINT_X 26 TILEPROP INT_R_X9Y95 GRID_POINT_Y 57 TILEPROP INT_R_X9Y95 INDEX 6581 TILEPROP INT_R_X9Y95 INT_TILE_X 9 TILEPROP INT_R_X9Y95 INT_TILE_Y 54 TILEPROP INT_R_X9Y95 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y95 IS_DCM_TILE 0 TILEPROP INT_R_X9Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y95 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y95 NAME INT_R_X9Y95 TILEPROP INT_R_X9Y95 NUM_ARCS 3737 TILEPROP INT_R_X9Y95 NUM_SITES 1 TILEPROP INT_R_X9Y95 ROW 57 TILEPROP INT_R_X9Y95 SLR_REGION_ID 0 TILEPROP INT_R_X9Y95 TILE_PATTERN_IDX 5605 TILEPROP INT_R_X9Y95 TILE_TYPE INT_R TILEPROP INT_R_X9Y95 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y95 TILE_X -52958 TILEPROP INT_R_X9Y95 TILE_Y 66624 TILEPROP INT_R_X9Y95 TYPE INT_R TILEPROP INT_R_X9Y96 CLASS tile TILEPROP INT_R_X9Y96 COLUMN 26 TILEPROP INT_R_X9Y96 DEVICE_ID 0 TILEPROP INT_R_X9Y96 FIRST_SITE_ID 5409 TILEPROP INT_R_X9Y96 GRID_POINT_X 26 TILEPROP INT_R_X9Y96 GRID_POINT_Y 56 TILEPROP INT_R_X9Y96 INDEX 6466 TILEPROP INT_R_X9Y96 INT_TILE_X 9 TILEPROP INT_R_X9Y96 INT_TILE_Y 53 TILEPROP INT_R_X9Y96 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y96 IS_DCM_TILE 0 TILEPROP INT_R_X9Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y96 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y96 NAME INT_R_X9Y96 TILEPROP INT_R_X9Y96 NUM_ARCS 3737 TILEPROP INT_R_X9Y96 NUM_SITES 1 TILEPROP INT_R_X9Y96 ROW 56 TILEPROP INT_R_X9Y96 SLR_REGION_ID 0 TILEPROP INT_R_X9Y96 TILE_PATTERN_IDX 5571 TILEPROP INT_R_X9Y96 TILE_TYPE INT_R TILEPROP INT_R_X9Y96 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y96 TILE_X -52958 TILEPROP INT_R_X9Y96 TILE_Y 69824 TILEPROP INT_R_X9Y96 TYPE INT_R TILEPROP INT_R_X9Y97 CLASS tile TILEPROP INT_R_X9Y97 COLUMN 26 TILEPROP INT_R_X9Y97 DEVICE_ID 0 TILEPROP INT_R_X9Y97 FIRST_SITE_ID 5309 TILEPROP INT_R_X9Y97 GRID_POINT_X 26 TILEPROP INT_R_X9Y97 GRID_POINT_Y 55 TILEPROP INT_R_X9Y97 INDEX 6351 TILEPROP INT_R_X9Y97 INT_TILE_X 9 TILEPROP INT_R_X9Y97 INT_TILE_Y 52 TILEPROP INT_R_X9Y97 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y97 IS_DCM_TILE 0 TILEPROP INT_R_X9Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y97 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y97 NAME INT_R_X9Y97 TILEPROP INT_R_X9Y97 NUM_ARCS 3737 TILEPROP INT_R_X9Y97 NUM_SITES 1 TILEPROP INT_R_X9Y97 ROW 55 TILEPROP INT_R_X9Y97 SLR_REGION_ID 0 TILEPROP INT_R_X9Y97 TILE_PATTERN_IDX 5537 TILEPROP INT_R_X9Y97 TILE_TYPE INT_R TILEPROP INT_R_X9Y97 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y97 TILE_X -52958 TILEPROP INT_R_X9Y97 TILE_Y 73024 TILEPROP INT_R_X9Y97 TYPE INT_R TILEPROP INT_R_X9Y98 CLASS tile TILEPROP INT_R_X9Y98 COLUMN 26 TILEPROP INT_R_X9Y98 DEVICE_ID 0 TILEPROP INT_R_X9Y98 FIRST_SITE_ID 5209 TILEPROP INT_R_X9Y98 GRID_POINT_X 26 TILEPROP INT_R_X9Y98 GRID_POINT_Y 54 TILEPROP INT_R_X9Y98 INDEX 6236 TILEPROP INT_R_X9Y98 INT_TILE_X 9 TILEPROP INT_R_X9Y98 INT_TILE_Y 51 TILEPROP INT_R_X9Y98 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y98 IS_DCM_TILE 0 TILEPROP INT_R_X9Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y98 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y98 NAME INT_R_X9Y98 TILEPROP INT_R_X9Y98 NUM_ARCS 3737 TILEPROP INT_R_X9Y98 NUM_SITES 1 TILEPROP INT_R_X9Y98 ROW 54 TILEPROP INT_R_X9Y98 SLR_REGION_ID 0 TILEPROP INT_R_X9Y98 TILE_PATTERN_IDX 5503 TILEPROP INT_R_X9Y98 TILE_TYPE INT_R TILEPROP INT_R_X9Y98 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y98 TILE_X -52958 TILEPROP INT_R_X9Y98 TILE_Y 76224 TILEPROP INT_R_X9Y98 TYPE INT_R TILEPROP INT_R_X9Y99 CLASS tile TILEPROP INT_R_X9Y99 COLUMN 26 TILEPROP INT_R_X9Y99 DEVICE_ID 0 TILEPROP INT_R_X9Y99 FIRST_SITE_ID 5113 TILEPROP INT_R_X9Y99 GRID_POINT_X 26 TILEPROP INT_R_X9Y99 GRID_POINT_Y 53 TILEPROP INT_R_X9Y99 INDEX 6121 TILEPROP INT_R_X9Y99 INT_TILE_X 9 TILEPROP INT_R_X9Y99 INT_TILE_Y 50 TILEPROP INT_R_X9Y99 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y99 IS_DCM_TILE 0 TILEPROP INT_R_X9Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y99 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y99 NAME INT_R_X9Y99 TILEPROP INT_R_X9Y99 NUM_ARCS 3737 TILEPROP INT_R_X9Y99 NUM_SITES 1 TILEPROP INT_R_X9Y99 ROW 53 TILEPROP INT_R_X9Y99 SLR_REGION_ID 0 TILEPROP INT_R_X9Y99 TILE_PATTERN_IDX 5470 TILEPROP INT_R_X9Y99 TILE_TYPE INT_R TILEPROP INT_R_X9Y99 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y99 TILE_X -52958 TILEPROP INT_R_X9Y99 TILE_Y 79424 TILEPROP INT_R_X9Y99 TYPE INT_R TILEPROP INT_R_X9Y100 CLASS tile TILEPROP INT_R_X9Y100 COLUMN 26 TILEPROP INT_R_X9Y100 DEVICE_ID 0 TILEPROP INT_R_X9Y100 FIRST_SITE_ID 5015 TILEPROP INT_R_X9Y100 GRID_POINT_X 26 TILEPROP INT_R_X9Y100 GRID_POINT_Y 51 TILEPROP INT_R_X9Y100 INDEX 5891 TILEPROP INT_R_X9Y100 INT_TILE_X 9 TILEPROP INT_R_X9Y100 INT_TILE_Y 49 TILEPROP INT_R_X9Y100 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y100 IS_DCM_TILE 0 TILEPROP INT_R_X9Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y100 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y100 NAME INT_R_X9Y100 TILEPROP INT_R_X9Y100 NUM_ARCS 3737 TILEPROP INT_R_X9Y100 NUM_SITES 1 TILEPROP INT_R_X9Y100 ROW 51 TILEPROP INT_R_X9Y100 SLR_REGION_ID 0 TILEPROP INT_R_X9Y100 TILE_PATTERN_IDX 5433 TILEPROP INT_R_X9Y100 TILE_TYPE INT_R TILEPROP INT_R_X9Y100 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y100 TILE_X -52958 TILEPROP INT_R_X9Y100 TILE_Y 82872 TILEPROP INT_R_X9Y100 TYPE INT_R TILEPROP INT_R_X9Y101 CLASS tile TILEPROP INT_R_X9Y101 COLUMN 26 TILEPROP INT_R_X9Y101 DEVICE_ID 0 TILEPROP INT_R_X9Y101 FIRST_SITE_ID 4920 TILEPROP INT_R_X9Y101 GRID_POINT_X 26 TILEPROP INT_R_X9Y101 GRID_POINT_Y 50 TILEPROP INT_R_X9Y101 INDEX 5776 TILEPROP INT_R_X9Y101 INT_TILE_X 9 TILEPROP INT_R_X9Y101 INT_TILE_Y 48 TILEPROP INT_R_X9Y101 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y101 IS_DCM_TILE 0 TILEPROP INT_R_X9Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y101 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y101 NAME INT_R_X9Y101 TILEPROP INT_R_X9Y101 NUM_ARCS 3737 TILEPROP INT_R_X9Y101 NUM_SITES 1 TILEPROP INT_R_X9Y101 ROW 50 TILEPROP INT_R_X9Y101 SLR_REGION_ID 0 TILEPROP INT_R_X9Y101 TILE_PATTERN_IDX 5397 TILEPROP INT_R_X9Y101 TILE_TYPE INT_R TILEPROP INT_R_X9Y101 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y101 TILE_X -52958 TILEPROP INT_R_X9Y101 TILE_Y 86072 TILEPROP INT_R_X9Y101 TYPE INT_R TILEPROP INT_R_X9Y102 CLASS tile TILEPROP INT_R_X9Y102 COLUMN 26 TILEPROP INT_R_X9Y102 DEVICE_ID 0 TILEPROP INT_R_X9Y102 FIRST_SITE_ID 4824 TILEPROP INT_R_X9Y102 GRID_POINT_X 26 TILEPROP INT_R_X9Y102 GRID_POINT_Y 49 TILEPROP INT_R_X9Y102 INDEX 5661 TILEPROP INT_R_X9Y102 INT_TILE_X 9 TILEPROP INT_R_X9Y102 INT_TILE_Y 47 TILEPROP INT_R_X9Y102 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y102 IS_DCM_TILE 0 TILEPROP INT_R_X9Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y102 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y102 NAME INT_R_X9Y102 TILEPROP INT_R_X9Y102 NUM_ARCS 3737 TILEPROP INT_R_X9Y102 NUM_SITES 1 TILEPROP INT_R_X9Y102 ROW 49 TILEPROP INT_R_X9Y102 SLR_REGION_ID 0 TILEPROP INT_R_X9Y102 TILE_PATTERN_IDX 5360 TILEPROP INT_R_X9Y102 TILE_TYPE INT_R TILEPROP INT_R_X9Y102 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y102 TILE_X -52958 TILEPROP INT_R_X9Y102 TILE_Y 89272 TILEPROP INT_R_X9Y102 TYPE INT_R TILEPROP INT_R_X9Y103 CLASS tile TILEPROP INT_R_X9Y103 COLUMN 26 TILEPROP INT_R_X9Y103 DEVICE_ID 0 TILEPROP INT_R_X9Y103 FIRST_SITE_ID 4736 TILEPROP INT_R_X9Y103 GRID_POINT_X 26 TILEPROP INT_R_X9Y103 GRID_POINT_Y 48 TILEPROP INT_R_X9Y103 INDEX 5546 TILEPROP INT_R_X9Y103 INT_TILE_X 9 TILEPROP INT_R_X9Y103 INT_TILE_Y 46 TILEPROP INT_R_X9Y103 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y103 IS_DCM_TILE 0 TILEPROP INT_R_X9Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y103 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y103 NAME INT_R_X9Y103 TILEPROP INT_R_X9Y103 NUM_ARCS 3737 TILEPROP INT_R_X9Y103 NUM_SITES 1 TILEPROP INT_R_X9Y103 ROW 48 TILEPROP INT_R_X9Y103 SLR_REGION_ID 0 TILEPROP INT_R_X9Y103 TILE_PATTERN_IDX 5324 TILEPROP INT_R_X9Y103 TILE_TYPE INT_R TILEPROP INT_R_X9Y103 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y103 TILE_X -52958 TILEPROP INT_R_X9Y103 TILE_Y 92472 TILEPROP INT_R_X9Y103 TYPE INT_R TILEPROP INT_R_X9Y104 CLASS tile TILEPROP INT_R_X9Y104 COLUMN 26 TILEPROP INT_R_X9Y104 DEVICE_ID 0 TILEPROP INT_R_X9Y104 FIRST_SITE_ID 4640 TILEPROP INT_R_X9Y104 GRID_POINT_X 26 TILEPROP INT_R_X9Y104 GRID_POINT_Y 47 TILEPROP INT_R_X9Y104 INDEX 5431 TILEPROP INT_R_X9Y104 INT_TILE_X 9 TILEPROP INT_R_X9Y104 INT_TILE_Y 45 TILEPROP INT_R_X9Y104 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y104 IS_DCM_TILE 0 TILEPROP INT_R_X9Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y104 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y104 NAME INT_R_X9Y104 TILEPROP INT_R_X9Y104 NUM_ARCS 3737 TILEPROP INT_R_X9Y104 NUM_SITES 1 TILEPROP INT_R_X9Y104 ROW 47 TILEPROP INT_R_X9Y104 SLR_REGION_ID 0 TILEPROP INT_R_X9Y104 TILE_PATTERN_IDX 5287 TILEPROP INT_R_X9Y104 TILE_TYPE INT_R TILEPROP INT_R_X9Y104 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y104 TILE_X -52958 TILEPROP INT_R_X9Y104 TILE_Y 95672 TILEPROP INT_R_X9Y104 TYPE INT_R TILEPROP INT_R_X9Y105 CLASS tile TILEPROP INT_R_X9Y105 COLUMN 26 TILEPROP INT_R_X9Y105 DEVICE_ID 0 TILEPROP INT_R_X9Y105 FIRST_SITE_ID 4541 TILEPROP INT_R_X9Y105 GRID_POINT_X 26 TILEPROP INT_R_X9Y105 GRID_POINT_Y 46 TILEPROP INT_R_X9Y105 INDEX 5316 TILEPROP INT_R_X9Y105 INT_TILE_X 9 TILEPROP INT_R_X9Y105 INT_TILE_Y 44 TILEPROP INT_R_X9Y105 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y105 IS_DCM_TILE 0 TILEPROP INT_R_X9Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y105 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y105 NAME INT_R_X9Y105 TILEPROP INT_R_X9Y105 NUM_ARCS 3737 TILEPROP INT_R_X9Y105 NUM_SITES 1 TILEPROP INT_R_X9Y105 ROW 46 TILEPROP INT_R_X9Y105 SLR_REGION_ID 0 TILEPROP INT_R_X9Y105 TILE_PATTERN_IDX 5251 TILEPROP INT_R_X9Y105 TILE_TYPE INT_R TILEPROP INT_R_X9Y105 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y105 TILE_X -52958 TILEPROP INT_R_X9Y105 TILE_Y 98872 TILEPROP INT_R_X9Y105 TYPE INT_R TILEPROP INT_R_X9Y106 CLASS tile TILEPROP INT_R_X9Y106 COLUMN 26 TILEPROP INT_R_X9Y106 DEVICE_ID 0 TILEPROP INT_R_X9Y106 FIRST_SITE_ID 4442 TILEPROP INT_R_X9Y106 GRID_POINT_X 26 TILEPROP INT_R_X9Y106 GRID_POINT_Y 45 TILEPROP INT_R_X9Y106 INDEX 5201 TILEPROP INT_R_X9Y106 INT_TILE_X 9 TILEPROP INT_R_X9Y106 INT_TILE_Y 43 TILEPROP INT_R_X9Y106 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y106 IS_DCM_TILE 0 TILEPROP INT_R_X9Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y106 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y106 NAME INT_R_X9Y106 TILEPROP INT_R_X9Y106 NUM_ARCS 3737 TILEPROP INT_R_X9Y106 NUM_SITES 1 TILEPROP INT_R_X9Y106 ROW 45 TILEPROP INT_R_X9Y106 SLR_REGION_ID 0 TILEPROP INT_R_X9Y106 TILE_PATTERN_IDX 5214 TILEPROP INT_R_X9Y106 TILE_TYPE INT_R TILEPROP INT_R_X9Y106 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y106 TILE_X -52958 TILEPROP INT_R_X9Y106 TILE_Y 102072 TILEPROP INT_R_X9Y106 TYPE INT_R TILEPROP INT_R_X9Y107 CLASS tile TILEPROP INT_R_X9Y107 COLUMN 26 TILEPROP INT_R_X9Y107 DEVICE_ID 0 TILEPROP INT_R_X9Y107 FIRST_SITE_ID 4354 TILEPROP INT_R_X9Y107 GRID_POINT_X 26 TILEPROP INT_R_X9Y107 GRID_POINT_Y 44 TILEPROP INT_R_X9Y107 INDEX 5086 TILEPROP INT_R_X9Y107 INT_TILE_X 9 TILEPROP INT_R_X9Y107 INT_TILE_Y 42 TILEPROP INT_R_X9Y107 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y107 IS_DCM_TILE 0 TILEPROP INT_R_X9Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y107 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y107 NAME INT_R_X9Y107 TILEPROP INT_R_X9Y107 NUM_ARCS 3737 TILEPROP INT_R_X9Y107 NUM_SITES 1 TILEPROP INT_R_X9Y107 ROW 44 TILEPROP INT_R_X9Y107 SLR_REGION_ID 0 TILEPROP INT_R_X9Y107 TILE_PATTERN_IDX 5178 TILEPROP INT_R_X9Y107 TILE_TYPE INT_R TILEPROP INT_R_X9Y107 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y107 TILE_X -52958 TILEPROP INT_R_X9Y107 TILE_Y 105272 TILEPROP INT_R_X9Y107 TYPE INT_R TILEPROP INT_R_X9Y108 CLASS tile TILEPROP INT_R_X9Y108 COLUMN 26 TILEPROP INT_R_X9Y108 DEVICE_ID 0 TILEPROP INT_R_X9Y108 FIRST_SITE_ID 4256 TILEPROP INT_R_X9Y108 GRID_POINT_X 26 TILEPROP INT_R_X9Y108 GRID_POINT_Y 43 TILEPROP INT_R_X9Y108 INDEX 4971 TILEPROP INT_R_X9Y108 INT_TILE_X 9 TILEPROP INT_R_X9Y108 INT_TILE_Y 41 TILEPROP INT_R_X9Y108 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y108 IS_DCM_TILE 0 TILEPROP INT_R_X9Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y108 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y108 NAME INT_R_X9Y108 TILEPROP INT_R_X9Y108 NUM_ARCS 3737 TILEPROP INT_R_X9Y108 NUM_SITES 1 TILEPROP INT_R_X9Y108 ROW 43 TILEPROP INT_R_X9Y108 SLR_REGION_ID 0 TILEPROP INT_R_X9Y108 TILE_PATTERN_IDX 5141 TILEPROP INT_R_X9Y108 TILE_TYPE INT_R TILEPROP INT_R_X9Y108 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y108 TILE_X -52958 TILEPROP INT_R_X9Y108 TILE_Y 108472 TILEPROP INT_R_X9Y108 TYPE INT_R TILEPROP INT_R_X9Y109 CLASS tile TILEPROP INT_R_X9Y109 COLUMN 26 TILEPROP INT_R_X9Y109 DEVICE_ID 0 TILEPROP INT_R_X9Y109 FIRST_SITE_ID 4167 TILEPROP INT_R_X9Y109 GRID_POINT_X 26 TILEPROP INT_R_X9Y109 GRID_POINT_Y 42 TILEPROP INT_R_X9Y109 INDEX 4856 TILEPROP INT_R_X9Y109 INT_TILE_X 9 TILEPROP INT_R_X9Y109 INT_TILE_Y 40 TILEPROP INT_R_X9Y109 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y109 IS_DCM_TILE 0 TILEPROP INT_R_X9Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y109 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y109 NAME INT_R_X9Y109 TILEPROP INT_R_X9Y109 NUM_ARCS 3737 TILEPROP INT_R_X9Y109 NUM_SITES 1 TILEPROP INT_R_X9Y109 ROW 42 TILEPROP INT_R_X9Y109 SLR_REGION_ID 0 TILEPROP INT_R_X9Y109 TILE_PATTERN_IDX 5105 TILEPROP INT_R_X9Y109 TILE_TYPE INT_R TILEPROP INT_R_X9Y109 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y109 TILE_X -52958 TILEPROP INT_R_X9Y109 TILE_Y 111672 TILEPROP INT_R_X9Y109 TYPE INT_R TILEPROP INT_R_X9Y110 CLASS tile TILEPROP INT_R_X9Y110 COLUMN 26 TILEPROP INT_R_X9Y110 DEVICE_ID 0 TILEPROP INT_R_X9Y110 FIRST_SITE_ID 4064 TILEPROP INT_R_X9Y110 GRID_POINT_X 26 TILEPROP INT_R_X9Y110 GRID_POINT_Y 41 TILEPROP INT_R_X9Y110 INDEX 4741 TILEPROP INT_R_X9Y110 INT_TILE_X 9 TILEPROP INT_R_X9Y110 INT_TILE_Y 39 TILEPROP INT_R_X9Y110 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y110 IS_DCM_TILE 0 TILEPROP INT_R_X9Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y110 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y110 NAME INT_R_X9Y110 TILEPROP INT_R_X9Y110 NUM_ARCS 3737 TILEPROP INT_R_X9Y110 NUM_SITES 1 TILEPROP INT_R_X9Y110 ROW 41 TILEPROP INT_R_X9Y110 SLR_REGION_ID 0 TILEPROP INT_R_X9Y110 TILE_PATTERN_IDX 5068 TILEPROP INT_R_X9Y110 TILE_TYPE INT_R TILEPROP INT_R_X9Y110 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y110 TILE_X -52958 TILEPROP INT_R_X9Y110 TILE_Y 114872 TILEPROP INT_R_X9Y110 TYPE INT_R TILEPROP INT_R_X9Y111 CLASS tile TILEPROP INT_R_X9Y111 COLUMN 26 TILEPROP INT_R_X9Y111 DEVICE_ID 0 TILEPROP INT_R_X9Y111 FIRST_SITE_ID 3973 TILEPROP INT_R_X9Y111 GRID_POINT_X 26 TILEPROP INT_R_X9Y111 GRID_POINT_Y 40 TILEPROP INT_R_X9Y111 INDEX 4626 TILEPROP INT_R_X9Y111 INT_TILE_X 9 TILEPROP INT_R_X9Y111 INT_TILE_Y 38 TILEPROP INT_R_X9Y111 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y111 IS_DCM_TILE 0 TILEPROP INT_R_X9Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y111 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y111 NAME INT_R_X9Y111 TILEPROP INT_R_X9Y111 NUM_ARCS 3737 TILEPROP INT_R_X9Y111 NUM_SITES 1 TILEPROP INT_R_X9Y111 ROW 40 TILEPROP INT_R_X9Y111 SLR_REGION_ID 0 TILEPROP INT_R_X9Y111 TILE_PATTERN_IDX 5032 TILEPROP INT_R_X9Y111 TILE_TYPE INT_R TILEPROP INT_R_X9Y111 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y111 TILE_X -52958 TILEPROP INT_R_X9Y111 TILE_Y 118072 TILEPROP INT_R_X9Y111 TYPE INT_R TILEPROP INT_R_X9Y112 CLASS tile TILEPROP INT_R_X9Y112 COLUMN 26 TILEPROP INT_R_X9Y112 DEVICE_ID 0 TILEPROP INT_R_X9Y112 FIRST_SITE_ID 3845 TILEPROP INT_R_X9Y112 GRID_POINT_X 26 TILEPROP INT_R_X9Y112 GRID_POINT_Y 39 TILEPROP INT_R_X9Y112 INDEX 4511 TILEPROP INT_R_X9Y112 INT_TILE_X 9 TILEPROP INT_R_X9Y112 INT_TILE_Y 37 TILEPROP INT_R_X9Y112 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y112 IS_DCM_TILE 0 TILEPROP INT_R_X9Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y112 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y112 NAME INT_R_X9Y112 TILEPROP INT_R_X9Y112 NUM_ARCS 3737 TILEPROP INT_R_X9Y112 NUM_SITES 1 TILEPROP INT_R_X9Y112 ROW 39 TILEPROP INT_R_X9Y112 SLR_REGION_ID 0 TILEPROP INT_R_X9Y112 TILE_PATTERN_IDX 4994 TILEPROP INT_R_X9Y112 TILE_TYPE INT_R TILEPROP INT_R_X9Y112 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y112 TILE_X -52958 TILEPROP INT_R_X9Y112 TILE_Y 121272 TILEPROP INT_R_X9Y112 TYPE INT_R TILEPROP INT_R_X9Y113 CLASS tile TILEPROP INT_R_X9Y113 COLUMN 26 TILEPROP INT_R_X9Y113 DEVICE_ID 0 TILEPROP INT_R_X9Y113 FIRST_SITE_ID 3757 TILEPROP INT_R_X9Y113 GRID_POINT_X 26 TILEPROP INT_R_X9Y113 GRID_POINT_Y 38 TILEPROP INT_R_X9Y113 INDEX 4396 TILEPROP INT_R_X9Y113 INT_TILE_X 9 TILEPROP INT_R_X9Y113 INT_TILE_Y 36 TILEPROP INT_R_X9Y113 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y113 IS_DCM_TILE 0 TILEPROP INT_R_X9Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y113 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y113 NAME INT_R_X9Y113 TILEPROP INT_R_X9Y113 NUM_ARCS 3737 TILEPROP INT_R_X9Y113 NUM_SITES 1 TILEPROP INT_R_X9Y113 ROW 38 TILEPROP INT_R_X9Y113 SLR_REGION_ID 0 TILEPROP INT_R_X9Y113 TILE_PATTERN_IDX 4958 TILEPROP INT_R_X9Y113 TILE_TYPE INT_R TILEPROP INT_R_X9Y113 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y113 TILE_X -52958 TILEPROP INT_R_X9Y113 TILE_Y 124472 TILEPROP INT_R_X9Y113 TYPE INT_R TILEPROP INT_R_X9Y114 CLASS tile TILEPROP INT_R_X9Y114 COLUMN 26 TILEPROP INT_R_X9Y114 DEVICE_ID 0 TILEPROP INT_R_X9Y114 FIRST_SITE_ID 3661 TILEPROP INT_R_X9Y114 GRID_POINT_X 26 TILEPROP INT_R_X9Y114 GRID_POINT_Y 37 TILEPROP INT_R_X9Y114 INDEX 4281 TILEPROP INT_R_X9Y114 INT_TILE_X 9 TILEPROP INT_R_X9Y114 INT_TILE_Y 35 TILEPROP INT_R_X9Y114 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y114 IS_DCM_TILE 0 TILEPROP INT_R_X9Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y114 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y114 NAME INT_R_X9Y114 TILEPROP INT_R_X9Y114 NUM_ARCS 3737 TILEPROP INT_R_X9Y114 NUM_SITES 1 TILEPROP INT_R_X9Y114 ROW 37 TILEPROP INT_R_X9Y114 SLR_REGION_ID 0 TILEPROP INT_R_X9Y114 TILE_PATTERN_IDX 4921 TILEPROP INT_R_X9Y114 TILE_TYPE INT_R TILEPROP INT_R_X9Y114 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y114 TILE_X -52958 TILEPROP INT_R_X9Y114 TILE_Y 127672 TILEPROP INT_R_X9Y114 TYPE INT_R TILEPROP INT_R_X9Y115 CLASS tile TILEPROP INT_R_X9Y115 COLUMN 26 TILEPROP INT_R_X9Y115 DEVICE_ID 0 TILEPROP INT_R_X9Y115 FIRST_SITE_ID 3567 TILEPROP INT_R_X9Y115 GRID_POINT_X 26 TILEPROP INT_R_X9Y115 GRID_POINT_Y 36 TILEPROP INT_R_X9Y115 INDEX 4166 TILEPROP INT_R_X9Y115 INT_TILE_X 9 TILEPROP INT_R_X9Y115 INT_TILE_Y 34 TILEPROP INT_R_X9Y115 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y115 IS_DCM_TILE 0 TILEPROP INT_R_X9Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y115 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y115 NAME INT_R_X9Y115 TILEPROP INT_R_X9Y115 NUM_ARCS 3737 TILEPROP INT_R_X9Y115 NUM_SITES 1 TILEPROP INT_R_X9Y115 ROW 36 TILEPROP INT_R_X9Y115 SLR_REGION_ID 0 TILEPROP INT_R_X9Y115 TILE_PATTERN_IDX 4885 TILEPROP INT_R_X9Y115 TILE_TYPE INT_R TILEPROP INT_R_X9Y115 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y115 TILE_X -52958 TILEPROP INT_R_X9Y115 TILE_Y 130872 TILEPROP INT_R_X9Y115 TYPE INT_R TILEPROP INT_R_X9Y116 CLASS tile TILEPROP INT_R_X9Y116 COLUMN 26 TILEPROP INT_R_X9Y116 DEVICE_ID 0 TILEPROP INT_R_X9Y116 FIRST_SITE_ID 3463 TILEPROP INT_R_X9Y116 GRID_POINT_X 26 TILEPROP INT_R_X9Y116 GRID_POINT_Y 35 TILEPROP INT_R_X9Y116 INDEX 4051 TILEPROP INT_R_X9Y116 INT_TILE_X 9 TILEPROP INT_R_X9Y116 INT_TILE_Y 33 TILEPROP INT_R_X9Y116 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y116 IS_DCM_TILE 0 TILEPROP INT_R_X9Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y116 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y116 NAME INT_R_X9Y116 TILEPROP INT_R_X9Y116 NUM_ARCS 3737 TILEPROP INT_R_X9Y116 NUM_SITES 1 TILEPROP INT_R_X9Y116 ROW 35 TILEPROP INT_R_X9Y116 SLR_REGION_ID 0 TILEPROP INT_R_X9Y116 TILE_PATTERN_IDX 4848 TILEPROP INT_R_X9Y116 TILE_TYPE INT_R TILEPROP INT_R_X9Y116 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y116 TILE_X -52958 TILEPROP INT_R_X9Y116 TILE_Y 134072 TILEPROP INT_R_X9Y116 TYPE INT_R TILEPROP INT_R_X9Y117 CLASS tile TILEPROP INT_R_X9Y117 COLUMN 26 TILEPROP INT_R_X9Y117 DEVICE_ID 0 TILEPROP INT_R_X9Y117 FIRST_SITE_ID 3375 TILEPROP INT_R_X9Y117 GRID_POINT_X 26 TILEPROP INT_R_X9Y117 GRID_POINT_Y 34 TILEPROP INT_R_X9Y117 INDEX 3936 TILEPROP INT_R_X9Y117 INT_TILE_X 9 TILEPROP INT_R_X9Y117 INT_TILE_Y 32 TILEPROP INT_R_X9Y117 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y117 IS_DCM_TILE 0 TILEPROP INT_R_X9Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y117 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y117 NAME INT_R_X9Y117 TILEPROP INT_R_X9Y117 NUM_ARCS 3737 TILEPROP INT_R_X9Y117 NUM_SITES 1 TILEPROP INT_R_X9Y117 ROW 34 TILEPROP INT_R_X9Y117 SLR_REGION_ID 0 TILEPROP INT_R_X9Y117 TILE_PATTERN_IDX 4812 TILEPROP INT_R_X9Y117 TILE_TYPE INT_R TILEPROP INT_R_X9Y117 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y117 TILE_X -52958 TILEPROP INT_R_X9Y117 TILE_Y 137272 TILEPROP INT_R_X9Y117 TYPE INT_R TILEPROP INT_R_X9Y118 CLASS tile TILEPROP INT_R_X9Y118 COLUMN 26 TILEPROP INT_R_X9Y118 DEVICE_ID 0 TILEPROP INT_R_X9Y118 FIRST_SITE_ID 3275 TILEPROP INT_R_X9Y118 GRID_POINT_X 26 TILEPROP INT_R_X9Y118 GRID_POINT_Y 33 TILEPROP INT_R_X9Y118 INDEX 3821 TILEPROP INT_R_X9Y118 INT_TILE_X 9 TILEPROP INT_R_X9Y118 INT_TILE_Y 31 TILEPROP INT_R_X9Y118 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y118 IS_DCM_TILE 0 TILEPROP INT_R_X9Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y118 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y118 NAME INT_R_X9Y118 TILEPROP INT_R_X9Y118 NUM_ARCS 3737 TILEPROP INT_R_X9Y118 NUM_SITES 1 TILEPROP INT_R_X9Y118 ROW 33 TILEPROP INT_R_X9Y118 SLR_REGION_ID 0 TILEPROP INT_R_X9Y118 TILE_PATTERN_IDX 4774 TILEPROP INT_R_X9Y118 TILE_TYPE INT_R TILEPROP INT_R_X9Y118 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y118 TILE_X -52958 TILEPROP INT_R_X9Y118 TILE_Y 140472 TILEPROP INT_R_X9Y118 TYPE INT_R TILEPROP INT_R_X9Y119 CLASS tile TILEPROP INT_R_X9Y119 COLUMN 26 TILEPROP INT_R_X9Y119 DEVICE_ID 0 TILEPROP INT_R_X9Y119 FIRST_SITE_ID 3187 TILEPROP INT_R_X9Y119 GRID_POINT_X 26 TILEPROP INT_R_X9Y119 GRID_POINT_Y 32 TILEPROP INT_R_X9Y119 INDEX 3706 TILEPROP INT_R_X9Y119 INT_TILE_X 9 TILEPROP INT_R_X9Y119 INT_TILE_Y 30 TILEPROP INT_R_X9Y119 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y119 IS_DCM_TILE 0 TILEPROP INT_R_X9Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y119 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y119 NAME INT_R_X9Y119 TILEPROP INT_R_X9Y119 NUM_ARCS 3737 TILEPROP INT_R_X9Y119 NUM_SITES 1 TILEPROP INT_R_X9Y119 ROW 32 TILEPROP INT_R_X9Y119 SLR_REGION_ID 0 TILEPROP INT_R_X9Y119 TILE_PATTERN_IDX 4738 TILEPROP INT_R_X9Y119 TILE_TYPE INT_R TILEPROP INT_R_X9Y119 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y119 TILE_X -52958 TILEPROP INT_R_X9Y119 TILE_Y 143672 TILEPROP INT_R_X9Y119 TYPE INT_R TILEPROP INT_R_X9Y120 CLASS tile TILEPROP INT_R_X9Y120 COLUMN 26 TILEPROP INT_R_X9Y120 DEVICE_ID 0 TILEPROP INT_R_X9Y120 FIRST_SITE_ID 3083 TILEPROP INT_R_X9Y120 GRID_POINT_X 26 TILEPROP INT_R_X9Y120 GRID_POINT_Y 31 TILEPROP INT_R_X9Y120 INDEX 3591 TILEPROP INT_R_X9Y120 INT_TILE_X 9 TILEPROP INT_R_X9Y120 INT_TILE_Y 29 TILEPROP INT_R_X9Y120 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y120 IS_DCM_TILE 0 TILEPROP INT_R_X9Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y120 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y120 NAME INT_R_X9Y120 TILEPROP INT_R_X9Y120 NUM_ARCS 3737 TILEPROP INT_R_X9Y120 NUM_SITES 1 TILEPROP INT_R_X9Y120 ROW 31 TILEPROP INT_R_X9Y120 SLR_REGION_ID 0 TILEPROP INT_R_X9Y120 TILE_PATTERN_IDX 4701 TILEPROP INT_R_X9Y120 TILE_TYPE INT_R TILEPROP INT_R_X9Y120 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y120 TILE_X -52958 TILEPROP INT_R_X9Y120 TILE_Y 146872 TILEPROP INT_R_X9Y120 TYPE INT_R TILEPROP INT_R_X9Y121 CLASS tile TILEPROP INT_R_X9Y121 COLUMN 26 TILEPROP INT_R_X9Y121 DEVICE_ID 0 TILEPROP INT_R_X9Y121 FIRST_SITE_ID 2992 TILEPROP INT_R_X9Y121 GRID_POINT_X 26 TILEPROP INT_R_X9Y121 GRID_POINT_Y 30 TILEPROP INT_R_X9Y121 INDEX 3476 TILEPROP INT_R_X9Y121 INT_TILE_X 9 TILEPROP INT_R_X9Y121 INT_TILE_Y 28 TILEPROP INT_R_X9Y121 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y121 IS_DCM_TILE 0 TILEPROP INT_R_X9Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y121 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y121 NAME INT_R_X9Y121 TILEPROP INT_R_X9Y121 NUM_ARCS 3737 TILEPROP INT_R_X9Y121 NUM_SITES 1 TILEPROP INT_R_X9Y121 ROW 30 TILEPROP INT_R_X9Y121 SLR_REGION_ID 0 TILEPROP INT_R_X9Y121 TILE_PATTERN_IDX 4665 TILEPROP INT_R_X9Y121 TILE_TYPE INT_R TILEPROP INT_R_X9Y121 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y121 TILE_X -52958 TILEPROP INT_R_X9Y121 TILE_Y 150072 TILEPROP INT_R_X9Y121 TYPE INT_R TILEPROP INT_R_X9Y122 CLASS tile TILEPROP INT_R_X9Y122 COLUMN 26 TILEPROP INT_R_X9Y122 DEVICE_ID 0 TILEPROP INT_R_X9Y122 FIRST_SITE_ID 2889 TILEPROP INT_R_X9Y122 GRID_POINT_X 26 TILEPROP INT_R_X9Y122 GRID_POINT_Y 29 TILEPROP INT_R_X9Y122 INDEX 3361 TILEPROP INT_R_X9Y122 INT_TILE_X 9 TILEPROP INT_R_X9Y122 INT_TILE_Y 27 TILEPROP INT_R_X9Y122 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y122 IS_DCM_TILE 0 TILEPROP INT_R_X9Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y122 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y122 NAME INT_R_X9Y122 TILEPROP INT_R_X9Y122 NUM_ARCS 3737 TILEPROP INT_R_X9Y122 NUM_SITES 1 TILEPROP INT_R_X9Y122 ROW 29 TILEPROP INT_R_X9Y122 SLR_REGION_ID 0 TILEPROP INT_R_X9Y122 TILE_PATTERN_IDX 4627 TILEPROP INT_R_X9Y122 TILE_TYPE INT_R TILEPROP INT_R_X9Y122 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y122 TILE_X -52958 TILEPROP INT_R_X9Y122 TILE_Y 153272 TILEPROP INT_R_X9Y122 TYPE INT_R TILEPROP INT_R_X9Y123 CLASS tile TILEPROP INT_R_X9Y123 COLUMN 26 TILEPROP INT_R_X9Y123 DEVICE_ID 0 TILEPROP INT_R_X9Y123 FIRST_SITE_ID 2801 TILEPROP INT_R_X9Y123 GRID_POINT_X 26 TILEPROP INT_R_X9Y123 GRID_POINT_Y 28 TILEPROP INT_R_X9Y123 INDEX 3246 TILEPROP INT_R_X9Y123 INT_TILE_X 9 TILEPROP INT_R_X9Y123 INT_TILE_Y 26 TILEPROP INT_R_X9Y123 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y123 IS_DCM_TILE 0 TILEPROP INT_R_X9Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y123 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y123 NAME INT_R_X9Y123 TILEPROP INT_R_X9Y123 NUM_ARCS 3737 TILEPROP INT_R_X9Y123 NUM_SITES 1 TILEPROP INT_R_X9Y123 ROW 28 TILEPROP INT_R_X9Y123 SLR_REGION_ID 0 TILEPROP INT_R_X9Y123 TILE_PATTERN_IDX 4591 TILEPROP INT_R_X9Y123 TILE_TYPE INT_R TILEPROP INT_R_X9Y123 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y123 TILE_X -52958 TILEPROP INT_R_X9Y123 TILE_Y 156472 TILEPROP INT_R_X9Y123 TYPE INT_R TILEPROP INT_R_X9Y124 CLASS tile TILEPROP INT_R_X9Y124 COLUMN 26 TILEPROP INT_R_X9Y124 DEVICE_ID 0 TILEPROP INT_R_X9Y124 FIRST_SITE_ID 2705 TILEPROP INT_R_X9Y124 GRID_POINT_X 26 TILEPROP INT_R_X9Y124 GRID_POINT_Y 27 TILEPROP INT_R_X9Y124 INDEX 3131 TILEPROP INT_R_X9Y124 INT_TILE_X 9 TILEPROP INT_R_X9Y124 INT_TILE_Y 25 TILEPROP INT_R_X9Y124 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y124 IS_DCM_TILE 0 TILEPROP INT_R_X9Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y124 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y124 NAME INT_R_X9Y124 TILEPROP INT_R_X9Y124 NUM_ARCS 3737 TILEPROP INT_R_X9Y124 NUM_SITES 1 TILEPROP INT_R_X9Y124 ROW 27 TILEPROP INT_R_X9Y124 SLR_REGION_ID 0 TILEPROP INT_R_X9Y124 TILE_PATTERN_IDX 4554 TILEPROP INT_R_X9Y124 TILE_TYPE INT_R TILEPROP INT_R_X9Y124 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y124 TILE_X -52958 TILEPROP INT_R_X9Y124 TILE_Y 159672 TILEPROP INT_R_X9Y124 TYPE INT_R TILEPROP INT_R_X9Y125 CLASS tile TILEPROP INT_R_X9Y125 COLUMN 26 TILEPROP INT_R_X9Y125 DEVICE_ID 0 TILEPROP INT_R_X9Y125 FIRST_SITE_ID 2529 TILEPROP INT_R_X9Y125 GRID_POINT_X 26 TILEPROP INT_R_X9Y125 GRID_POINT_Y 25 TILEPROP INT_R_X9Y125 INDEX 2901 TILEPROP INT_R_X9Y125 INT_TILE_X 9 TILEPROP INT_R_X9Y125 INT_TILE_Y 24 TILEPROP INT_R_X9Y125 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y125 IS_DCM_TILE 0 TILEPROP INT_R_X9Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y125 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y125 NAME INT_R_X9Y125 TILEPROP INT_R_X9Y125 NUM_ARCS 3737 TILEPROP INT_R_X9Y125 NUM_SITES 1 TILEPROP INT_R_X9Y125 ROW 25 TILEPROP INT_R_X9Y125 SLR_REGION_ID 0 TILEPROP INT_R_X9Y125 TILE_PATTERN_IDX 4475 TILEPROP INT_R_X9Y125 TILE_TYPE INT_R TILEPROP INT_R_X9Y125 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y125 TILE_X -52958 TILEPROP INT_R_X9Y125 TILE_Y 163896 TILEPROP INT_R_X9Y125 TYPE INT_R TILEPROP INT_R_X9Y126 CLASS tile TILEPROP INT_R_X9Y126 COLUMN 26 TILEPROP INT_R_X9Y126 DEVICE_ID 0 TILEPROP INT_R_X9Y126 FIRST_SITE_ID 2422 TILEPROP INT_R_X9Y126 GRID_POINT_X 26 TILEPROP INT_R_X9Y126 GRID_POINT_Y 24 TILEPROP INT_R_X9Y126 INDEX 2786 TILEPROP INT_R_X9Y126 INT_TILE_X 9 TILEPROP INT_R_X9Y126 INT_TILE_Y 23 TILEPROP INT_R_X9Y126 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y126 IS_DCM_TILE 0 TILEPROP INT_R_X9Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y126 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y126 NAME INT_R_X9Y126 TILEPROP INT_R_X9Y126 NUM_ARCS 3737 TILEPROP INT_R_X9Y126 NUM_SITES 1 TILEPROP INT_R_X9Y126 ROW 24 TILEPROP INT_R_X9Y126 SLR_REGION_ID 0 TILEPROP INT_R_X9Y126 TILE_PATTERN_IDX 4436 TILEPROP INT_R_X9Y126 TILE_TYPE INT_R TILEPROP INT_R_X9Y126 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y126 TILE_X -52958 TILEPROP INT_R_X9Y126 TILE_Y 167096 TILEPROP INT_R_X9Y126 TYPE INT_R TILEPROP INT_R_X9Y127 CLASS tile TILEPROP INT_R_X9Y127 COLUMN 26 TILEPROP INT_R_X9Y127 DEVICE_ID 0 TILEPROP INT_R_X9Y127 FIRST_SITE_ID 2326 TILEPROP INT_R_X9Y127 GRID_POINT_X 26 TILEPROP INT_R_X9Y127 GRID_POINT_Y 23 TILEPROP INT_R_X9Y127 INDEX 2671 TILEPROP INT_R_X9Y127 INT_TILE_X 9 TILEPROP INT_R_X9Y127 INT_TILE_Y 22 TILEPROP INT_R_X9Y127 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y127 IS_DCM_TILE 0 TILEPROP INT_R_X9Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y127 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y127 NAME INT_R_X9Y127 TILEPROP INT_R_X9Y127 NUM_ARCS 3737 TILEPROP INT_R_X9Y127 NUM_SITES 1 TILEPROP INT_R_X9Y127 ROW 23 TILEPROP INT_R_X9Y127 SLR_REGION_ID 0 TILEPROP INT_R_X9Y127 TILE_PATTERN_IDX 4398 TILEPROP INT_R_X9Y127 TILE_TYPE INT_R TILEPROP INT_R_X9Y127 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y127 TILE_X -52958 TILEPROP INT_R_X9Y127 TILE_Y 170296 TILEPROP INT_R_X9Y127 TYPE INT_R TILEPROP INT_R_X9Y128 CLASS tile TILEPROP INT_R_X9Y128 COLUMN 26 TILEPROP INT_R_X9Y128 DEVICE_ID 0 TILEPROP INT_R_X9Y128 FIRST_SITE_ID 2222 TILEPROP INT_R_X9Y128 GRID_POINT_X 26 TILEPROP INT_R_X9Y128 GRID_POINT_Y 22 TILEPROP INT_R_X9Y128 INDEX 2556 TILEPROP INT_R_X9Y128 INT_TILE_X 9 TILEPROP INT_R_X9Y128 INT_TILE_Y 21 TILEPROP INT_R_X9Y128 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y128 IS_DCM_TILE 0 TILEPROP INT_R_X9Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y128 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y128 NAME INT_R_X9Y128 TILEPROP INT_R_X9Y128 NUM_ARCS 3737 TILEPROP INT_R_X9Y128 NUM_SITES 1 TILEPROP INT_R_X9Y128 ROW 22 TILEPROP INT_R_X9Y128 SLR_REGION_ID 0 TILEPROP INT_R_X9Y128 TILE_PATTERN_IDX 4359 TILEPROP INT_R_X9Y128 TILE_TYPE INT_R TILEPROP INT_R_X9Y128 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y128 TILE_X -52958 TILEPROP INT_R_X9Y128 TILE_Y 173496 TILEPROP INT_R_X9Y128 TYPE INT_R TILEPROP INT_R_X9Y129 CLASS tile TILEPROP INT_R_X9Y129 COLUMN 26 TILEPROP INT_R_X9Y129 DEVICE_ID 0 TILEPROP INT_R_X9Y129 FIRST_SITE_ID 2126 TILEPROP INT_R_X9Y129 GRID_POINT_X 26 TILEPROP INT_R_X9Y129 GRID_POINT_Y 21 TILEPROP INT_R_X9Y129 INDEX 2441 TILEPROP INT_R_X9Y129 INT_TILE_X 9 TILEPROP INT_R_X9Y129 INT_TILE_Y 20 TILEPROP INT_R_X9Y129 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y129 IS_DCM_TILE 0 TILEPROP INT_R_X9Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y129 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y129 NAME INT_R_X9Y129 TILEPROP INT_R_X9Y129 NUM_ARCS 3737 TILEPROP INT_R_X9Y129 NUM_SITES 1 TILEPROP INT_R_X9Y129 ROW 21 TILEPROP INT_R_X9Y129 SLR_REGION_ID 0 TILEPROP INT_R_X9Y129 TILE_PATTERN_IDX 4321 TILEPROP INT_R_X9Y129 TILE_TYPE INT_R TILEPROP INT_R_X9Y129 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y129 TILE_X -52958 TILEPROP INT_R_X9Y129 TILE_Y 176696 TILEPROP INT_R_X9Y129 TYPE INT_R TILEPROP INT_R_X9Y130 CLASS tile TILEPROP INT_R_X9Y130 COLUMN 26 TILEPROP INT_R_X9Y130 DEVICE_ID 0 TILEPROP INT_R_X9Y130 FIRST_SITE_ID 2007 TILEPROP INT_R_X9Y130 GRID_POINT_X 26 TILEPROP INT_R_X9Y130 GRID_POINT_Y 20 TILEPROP INT_R_X9Y130 INDEX 2326 TILEPROP INT_R_X9Y130 INT_TILE_X 9 TILEPROP INT_R_X9Y130 INT_TILE_Y 19 TILEPROP INT_R_X9Y130 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y130 IS_DCM_TILE 0 TILEPROP INT_R_X9Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y130 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y130 NAME INT_R_X9Y130 TILEPROP INT_R_X9Y130 NUM_ARCS 3737 TILEPROP INT_R_X9Y130 NUM_SITES 1 TILEPROP INT_R_X9Y130 ROW 20 TILEPROP INT_R_X9Y130 SLR_REGION_ID 0 TILEPROP INT_R_X9Y130 TILE_PATTERN_IDX 4281 TILEPROP INT_R_X9Y130 TILE_TYPE INT_R TILEPROP INT_R_X9Y130 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y130 TILE_X -52958 TILEPROP INT_R_X9Y130 TILE_Y 179896 TILEPROP INT_R_X9Y130 TYPE INT_R TILEPROP INT_R_X9Y131 CLASS tile TILEPROP INT_R_X9Y131 COLUMN 26 TILEPROP INT_R_X9Y131 DEVICE_ID 0 TILEPROP INT_R_X9Y131 FIRST_SITE_ID 1908 TILEPROP INT_R_X9Y131 GRID_POINT_X 26 TILEPROP INT_R_X9Y131 GRID_POINT_Y 19 TILEPROP INT_R_X9Y131 INDEX 2211 TILEPROP INT_R_X9Y131 INT_TILE_X 9 TILEPROP INT_R_X9Y131 INT_TILE_Y 18 TILEPROP INT_R_X9Y131 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y131 IS_DCM_TILE 0 TILEPROP INT_R_X9Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y131 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y131 NAME INT_R_X9Y131 TILEPROP INT_R_X9Y131 NUM_ARCS 3737 TILEPROP INT_R_X9Y131 NUM_SITES 1 TILEPROP INT_R_X9Y131 ROW 19 TILEPROP INT_R_X9Y131 SLR_REGION_ID 0 TILEPROP INT_R_X9Y131 TILE_PATTERN_IDX 4243 TILEPROP INT_R_X9Y131 TILE_TYPE INT_R TILEPROP INT_R_X9Y131 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y131 TILE_X -52958 TILEPROP INT_R_X9Y131 TILE_Y 183096 TILEPROP INT_R_X9Y131 TYPE INT_R TILEPROP INT_R_X9Y132 CLASS tile TILEPROP INT_R_X9Y132 COLUMN 26 TILEPROP INT_R_X9Y132 DEVICE_ID 0 TILEPROP INT_R_X9Y132 FIRST_SITE_ID 1802 TILEPROP INT_R_X9Y132 GRID_POINT_X 26 TILEPROP INT_R_X9Y132 GRID_POINT_Y 18 TILEPROP INT_R_X9Y132 INDEX 2096 TILEPROP INT_R_X9Y132 INT_TILE_X 9 TILEPROP INT_R_X9Y132 INT_TILE_Y 17 TILEPROP INT_R_X9Y132 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y132 IS_DCM_TILE 0 TILEPROP INT_R_X9Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y132 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y132 NAME INT_R_X9Y132 TILEPROP INT_R_X9Y132 NUM_ARCS 3737 TILEPROP INT_R_X9Y132 NUM_SITES 1 TILEPROP INT_R_X9Y132 ROW 18 TILEPROP INT_R_X9Y132 SLR_REGION_ID 0 TILEPROP INT_R_X9Y132 TILE_PATTERN_IDX 4204 TILEPROP INT_R_X9Y132 TILE_TYPE INT_R TILEPROP INT_R_X9Y132 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y132 TILE_X -52958 TILEPROP INT_R_X9Y132 TILE_Y 186296 TILEPROP INT_R_X9Y132 TYPE INT_R TILEPROP INT_R_X9Y133 CLASS tile TILEPROP INT_R_X9Y133 COLUMN 26 TILEPROP INT_R_X9Y133 DEVICE_ID 0 TILEPROP INT_R_X9Y133 FIRST_SITE_ID 1701 TILEPROP INT_R_X9Y133 GRID_POINT_X 26 TILEPROP INT_R_X9Y133 GRID_POINT_Y 17 TILEPROP INT_R_X9Y133 INDEX 1981 TILEPROP INT_R_X9Y133 INT_TILE_X 9 TILEPROP INT_R_X9Y133 INT_TILE_Y 16 TILEPROP INT_R_X9Y133 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y133 IS_DCM_TILE 0 TILEPROP INT_R_X9Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y133 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y133 NAME INT_R_X9Y133 TILEPROP INT_R_X9Y133 NUM_ARCS 3737 TILEPROP INT_R_X9Y133 NUM_SITES 1 TILEPROP INT_R_X9Y133 ROW 17 TILEPROP INT_R_X9Y133 SLR_REGION_ID 0 TILEPROP INT_R_X9Y133 TILE_PATTERN_IDX 4166 TILEPROP INT_R_X9Y133 TILE_TYPE INT_R TILEPROP INT_R_X9Y133 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y133 TILE_X -52958 TILEPROP INT_R_X9Y133 TILE_Y 189496 TILEPROP INT_R_X9Y133 TYPE INT_R TILEPROP INT_R_X9Y134 CLASS tile TILEPROP INT_R_X9Y134 COLUMN 26 TILEPROP INT_R_X9Y134 DEVICE_ID 0 TILEPROP INT_R_X9Y134 FIRST_SITE_ID 1597 TILEPROP INT_R_X9Y134 GRID_POINT_X 26 TILEPROP INT_R_X9Y134 GRID_POINT_Y 16 TILEPROP INT_R_X9Y134 INDEX 1866 TILEPROP INT_R_X9Y134 INT_TILE_X 9 TILEPROP INT_R_X9Y134 INT_TILE_Y 15 TILEPROP INT_R_X9Y134 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y134 IS_DCM_TILE 0 TILEPROP INT_R_X9Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y134 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y134 NAME INT_R_X9Y134 TILEPROP INT_R_X9Y134 NUM_ARCS 3737 TILEPROP INT_R_X9Y134 NUM_SITES 1 TILEPROP INT_R_X9Y134 ROW 16 TILEPROP INT_R_X9Y134 SLR_REGION_ID 0 TILEPROP INT_R_X9Y134 TILE_PATTERN_IDX 4127 TILEPROP INT_R_X9Y134 TILE_TYPE INT_R TILEPROP INT_R_X9Y134 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y134 TILE_X -52958 TILEPROP INT_R_X9Y134 TILE_Y 192696 TILEPROP INT_R_X9Y134 TYPE INT_R TILEPROP INT_R_X9Y135 CLASS tile TILEPROP INT_R_X9Y135 COLUMN 26 TILEPROP INT_R_X9Y135 DEVICE_ID 0 TILEPROP INT_R_X9Y135 FIRST_SITE_ID 1492 TILEPROP INT_R_X9Y135 GRID_POINT_X 26 TILEPROP INT_R_X9Y135 GRID_POINT_Y 15 TILEPROP INT_R_X9Y135 INDEX 1751 TILEPROP INT_R_X9Y135 INT_TILE_X 9 TILEPROP INT_R_X9Y135 INT_TILE_Y 14 TILEPROP INT_R_X9Y135 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y135 IS_DCM_TILE 0 TILEPROP INT_R_X9Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y135 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y135 NAME INT_R_X9Y135 TILEPROP INT_R_X9Y135 NUM_ARCS 3737 TILEPROP INT_R_X9Y135 NUM_SITES 1 TILEPROP INT_R_X9Y135 ROW 15 TILEPROP INT_R_X9Y135 SLR_REGION_ID 0 TILEPROP INT_R_X9Y135 TILE_PATTERN_IDX 4089 TILEPROP INT_R_X9Y135 TILE_TYPE INT_R TILEPROP INT_R_X9Y135 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y135 TILE_X -52958 TILEPROP INT_R_X9Y135 TILE_Y 195896 TILEPROP INT_R_X9Y135 TYPE INT_R TILEPROP INT_R_X9Y136 CLASS tile TILEPROP INT_R_X9Y136 COLUMN 26 TILEPROP INT_R_X9Y136 DEVICE_ID 0 TILEPROP INT_R_X9Y136 FIRST_SITE_ID 1353 TILEPROP INT_R_X9Y136 GRID_POINT_X 26 TILEPROP INT_R_X9Y136 GRID_POINT_Y 14 TILEPROP INT_R_X9Y136 INDEX 1636 TILEPROP INT_R_X9Y136 INT_TILE_X 9 TILEPROP INT_R_X9Y136 INT_TILE_Y 13 TILEPROP INT_R_X9Y136 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y136 IS_DCM_TILE 0 TILEPROP INT_R_X9Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y136 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y136 NAME INT_R_X9Y136 TILEPROP INT_R_X9Y136 NUM_ARCS 3737 TILEPROP INT_R_X9Y136 NUM_SITES 1 TILEPROP INT_R_X9Y136 ROW 14 TILEPROP INT_R_X9Y136 SLR_REGION_ID 0 TILEPROP INT_R_X9Y136 TILE_PATTERN_IDX 4050 TILEPROP INT_R_X9Y136 TILE_TYPE INT_R TILEPROP INT_R_X9Y136 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y136 TILE_X -52958 TILEPROP INT_R_X9Y136 TILE_Y 199096 TILEPROP INT_R_X9Y136 TYPE INT_R TILEPROP INT_R_X9Y137 CLASS tile TILEPROP INT_R_X9Y137 COLUMN 26 TILEPROP INT_R_X9Y137 DEVICE_ID 0 TILEPROP INT_R_X9Y137 FIRST_SITE_ID 1257 TILEPROP INT_R_X9Y137 GRID_POINT_X 26 TILEPROP INT_R_X9Y137 GRID_POINT_Y 13 TILEPROP INT_R_X9Y137 INDEX 1521 TILEPROP INT_R_X9Y137 INT_TILE_X 9 TILEPROP INT_R_X9Y137 INT_TILE_Y 12 TILEPROP INT_R_X9Y137 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y137 IS_DCM_TILE 0 TILEPROP INT_R_X9Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y137 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y137 NAME INT_R_X9Y137 TILEPROP INT_R_X9Y137 NUM_ARCS 3737 TILEPROP INT_R_X9Y137 NUM_SITES 1 TILEPROP INT_R_X9Y137 ROW 13 TILEPROP INT_R_X9Y137 SLR_REGION_ID 0 TILEPROP INT_R_X9Y137 TILE_PATTERN_IDX 4012 TILEPROP INT_R_X9Y137 TILE_TYPE INT_R TILEPROP INT_R_X9Y137 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y137 TILE_X -52958 TILEPROP INT_R_X9Y137 TILE_Y 202296 TILEPROP INT_R_X9Y137 TYPE INT_R TILEPROP INT_R_X9Y138 CLASS tile TILEPROP INT_R_X9Y138 COLUMN 26 TILEPROP INT_R_X9Y138 DEVICE_ID 0 TILEPROP INT_R_X9Y138 FIRST_SITE_ID 1153 TILEPROP INT_R_X9Y138 GRID_POINT_X 26 TILEPROP INT_R_X9Y138 GRID_POINT_Y 12 TILEPROP INT_R_X9Y138 INDEX 1406 TILEPROP INT_R_X9Y138 INT_TILE_X 9 TILEPROP INT_R_X9Y138 INT_TILE_Y 11 TILEPROP INT_R_X9Y138 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y138 IS_DCM_TILE 0 TILEPROP INT_R_X9Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y138 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y138 NAME INT_R_X9Y138 TILEPROP INT_R_X9Y138 NUM_ARCS 3737 TILEPROP INT_R_X9Y138 NUM_SITES 1 TILEPROP INT_R_X9Y138 ROW 12 TILEPROP INT_R_X9Y138 SLR_REGION_ID 0 TILEPROP INT_R_X9Y138 TILE_PATTERN_IDX 3973 TILEPROP INT_R_X9Y138 TILE_TYPE INT_R TILEPROP INT_R_X9Y138 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y138 TILE_X -52958 TILEPROP INT_R_X9Y138 TILE_Y 205496 TILEPROP INT_R_X9Y138 TYPE INT_R TILEPROP INT_R_X9Y139 CLASS tile TILEPROP INT_R_X9Y139 COLUMN 26 TILEPROP INT_R_X9Y139 DEVICE_ID 0 TILEPROP INT_R_X9Y139 FIRST_SITE_ID 1057 TILEPROP INT_R_X9Y139 GRID_POINT_X 26 TILEPROP INT_R_X9Y139 GRID_POINT_Y 11 TILEPROP INT_R_X9Y139 INDEX 1291 TILEPROP INT_R_X9Y139 INT_TILE_X 9 TILEPROP INT_R_X9Y139 INT_TILE_Y 10 TILEPROP INT_R_X9Y139 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y139 IS_DCM_TILE 0 TILEPROP INT_R_X9Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y139 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y139 NAME INT_R_X9Y139 TILEPROP INT_R_X9Y139 NUM_ARCS 3737 TILEPROP INT_R_X9Y139 NUM_SITES 1 TILEPROP INT_R_X9Y139 ROW 11 TILEPROP INT_R_X9Y139 SLR_REGION_ID 0 TILEPROP INT_R_X9Y139 TILE_PATTERN_IDX 3935 TILEPROP INT_R_X9Y139 TILE_TYPE INT_R TILEPROP INT_R_X9Y139 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y139 TILE_X -52958 TILEPROP INT_R_X9Y139 TILE_Y 208696 TILEPROP INT_R_X9Y139 TYPE INT_R TILEPROP INT_R_X9Y140 CLASS tile TILEPROP INT_R_X9Y140 COLUMN 26 TILEPROP INT_R_X9Y140 DEVICE_ID 0 TILEPROP INT_R_X9Y140 FIRST_SITE_ID 944 TILEPROP INT_R_X9Y140 GRID_POINT_X 26 TILEPROP INT_R_X9Y140 GRID_POINT_Y 10 TILEPROP INT_R_X9Y140 INDEX 1176 TILEPROP INT_R_X9Y140 INT_TILE_X 9 TILEPROP INT_R_X9Y140 INT_TILE_Y 9 TILEPROP INT_R_X9Y140 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y140 IS_DCM_TILE 0 TILEPROP INT_R_X9Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y140 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y140 NAME INT_R_X9Y140 TILEPROP INT_R_X9Y140 NUM_ARCS 3737 TILEPROP INT_R_X9Y140 NUM_SITES 1 TILEPROP INT_R_X9Y140 ROW 10 TILEPROP INT_R_X9Y140 SLR_REGION_ID 0 TILEPROP INT_R_X9Y140 TILE_PATTERN_IDX 3896 TILEPROP INT_R_X9Y140 TILE_TYPE INT_R TILEPROP INT_R_X9Y140 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y140 TILE_X -52958 TILEPROP INT_R_X9Y140 TILE_Y 211896 TILEPROP INT_R_X9Y140 TYPE INT_R TILEPROP INT_R_X9Y141 CLASS tile TILEPROP INT_R_X9Y141 COLUMN 26 TILEPROP INT_R_X9Y141 DEVICE_ID 0 TILEPROP INT_R_X9Y141 FIRST_SITE_ID 845 TILEPROP INT_R_X9Y141 GRID_POINT_X 26 TILEPROP INT_R_X9Y141 GRID_POINT_Y 9 TILEPROP INT_R_X9Y141 INDEX 1061 TILEPROP INT_R_X9Y141 INT_TILE_X 9 TILEPROP INT_R_X9Y141 INT_TILE_Y 8 TILEPROP INT_R_X9Y141 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y141 IS_DCM_TILE 0 TILEPROP INT_R_X9Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y141 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y141 NAME INT_R_X9Y141 TILEPROP INT_R_X9Y141 NUM_ARCS 3737 TILEPROP INT_R_X9Y141 NUM_SITES 1 TILEPROP INT_R_X9Y141 ROW 9 TILEPROP INT_R_X9Y141 SLR_REGION_ID 0 TILEPROP INT_R_X9Y141 TILE_PATTERN_IDX 3858 TILEPROP INT_R_X9Y141 TILE_TYPE INT_R TILEPROP INT_R_X9Y141 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y141 TILE_X -52958 TILEPROP INT_R_X9Y141 TILE_Y 215096 TILEPROP INT_R_X9Y141 TYPE INT_R TILEPROP INT_R_X9Y142 CLASS tile TILEPROP INT_R_X9Y142 COLUMN 26 TILEPROP INT_R_X9Y142 DEVICE_ID 0 TILEPROP INT_R_X9Y142 FIRST_SITE_ID 741 TILEPROP INT_R_X9Y142 GRID_POINT_X 26 TILEPROP INT_R_X9Y142 GRID_POINT_Y 8 TILEPROP INT_R_X9Y142 INDEX 946 TILEPROP INT_R_X9Y142 INT_TILE_X 9 TILEPROP INT_R_X9Y142 INT_TILE_Y 7 TILEPROP INT_R_X9Y142 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y142 IS_DCM_TILE 0 TILEPROP INT_R_X9Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y142 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y142 NAME INT_R_X9Y142 TILEPROP INT_R_X9Y142 NUM_ARCS 3737 TILEPROP INT_R_X9Y142 NUM_SITES 1 TILEPROP INT_R_X9Y142 ROW 8 TILEPROP INT_R_X9Y142 SLR_REGION_ID 0 TILEPROP INT_R_X9Y142 TILE_PATTERN_IDX 3819 TILEPROP INT_R_X9Y142 TILE_TYPE INT_R TILEPROP INT_R_X9Y142 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y142 TILE_X -52958 TILEPROP INT_R_X9Y142 TILE_Y 218296 TILEPROP INT_R_X9Y142 TYPE INT_R TILEPROP INT_R_X9Y143 CLASS tile TILEPROP INT_R_X9Y143 COLUMN 26 TILEPROP INT_R_X9Y143 DEVICE_ID 0 TILEPROP INT_R_X9Y143 FIRST_SITE_ID 644 TILEPROP INT_R_X9Y143 GRID_POINT_X 26 TILEPROP INT_R_X9Y143 GRID_POINT_Y 7 TILEPROP INT_R_X9Y143 INDEX 831 TILEPROP INT_R_X9Y143 INT_TILE_X 9 TILEPROP INT_R_X9Y143 INT_TILE_Y 6 TILEPROP INT_R_X9Y143 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y143 IS_DCM_TILE 0 TILEPROP INT_R_X9Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y143 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y143 NAME INT_R_X9Y143 TILEPROP INT_R_X9Y143 NUM_ARCS 3737 TILEPROP INT_R_X9Y143 NUM_SITES 1 TILEPROP INT_R_X9Y143 ROW 7 TILEPROP INT_R_X9Y143 SLR_REGION_ID 0 TILEPROP INT_R_X9Y143 TILE_PATTERN_IDX 3781 TILEPROP INT_R_X9Y143 TILE_TYPE INT_R TILEPROP INT_R_X9Y143 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y143 TILE_X -52958 TILEPROP INT_R_X9Y143 TILE_Y 221496 TILEPROP INT_R_X9Y143 TYPE INT_R TILEPROP INT_R_X9Y144 CLASS tile TILEPROP INT_R_X9Y144 COLUMN 26 TILEPROP INT_R_X9Y144 DEVICE_ID 0 TILEPROP INT_R_X9Y144 FIRST_SITE_ID 533 TILEPROP INT_R_X9Y144 GRID_POINT_X 26 TILEPROP INT_R_X9Y144 GRID_POINT_Y 6 TILEPROP INT_R_X9Y144 INDEX 716 TILEPROP INT_R_X9Y144 INT_TILE_X 9 TILEPROP INT_R_X9Y144 INT_TILE_Y 5 TILEPROP INT_R_X9Y144 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y144 IS_DCM_TILE 0 TILEPROP INT_R_X9Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y144 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y144 NAME INT_R_X9Y144 TILEPROP INT_R_X9Y144 NUM_ARCS 3737 TILEPROP INT_R_X9Y144 NUM_SITES 1 TILEPROP INT_R_X9Y144 ROW 6 TILEPROP INT_R_X9Y144 SLR_REGION_ID 0 TILEPROP INT_R_X9Y144 TILE_PATTERN_IDX 3742 TILEPROP INT_R_X9Y144 TILE_TYPE INT_R TILEPROP INT_R_X9Y144 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y144 TILE_X -52958 TILEPROP INT_R_X9Y144 TILE_Y 224696 TILEPROP INT_R_X9Y144 TYPE INT_R TILEPROP INT_R_X9Y145 CLASS tile TILEPROP INT_R_X9Y145 COLUMN 26 TILEPROP INT_R_X9Y145 DEVICE_ID 0 TILEPROP INT_R_X9Y145 FIRST_SITE_ID 428 TILEPROP INT_R_X9Y145 GRID_POINT_X 26 TILEPROP INT_R_X9Y145 GRID_POINT_Y 5 TILEPROP INT_R_X9Y145 INDEX 601 TILEPROP INT_R_X9Y145 INT_TILE_X 9 TILEPROP INT_R_X9Y145 INT_TILE_Y 4 TILEPROP INT_R_X9Y145 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y145 IS_DCM_TILE 0 TILEPROP INT_R_X9Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y145 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y145 NAME INT_R_X9Y145 TILEPROP INT_R_X9Y145 NUM_ARCS 3737 TILEPROP INT_R_X9Y145 NUM_SITES 1 TILEPROP INT_R_X9Y145 ROW 5 TILEPROP INT_R_X9Y145 SLR_REGION_ID 0 TILEPROP INT_R_X9Y145 TILE_PATTERN_IDX 3704 TILEPROP INT_R_X9Y145 TILE_TYPE INT_R TILEPROP INT_R_X9Y145 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y145 TILE_X -52958 TILEPROP INT_R_X9Y145 TILE_Y 227896 TILEPROP INT_R_X9Y145 TYPE INT_R TILEPROP INT_R_X9Y146 CLASS tile TILEPROP INT_R_X9Y146 COLUMN 26 TILEPROP INT_R_X9Y146 DEVICE_ID 0 TILEPROP INT_R_X9Y146 FIRST_SITE_ID 321 TILEPROP INT_R_X9Y146 GRID_POINT_X 26 TILEPROP INT_R_X9Y146 GRID_POINT_Y 4 TILEPROP INT_R_X9Y146 INDEX 486 TILEPROP INT_R_X9Y146 INT_TILE_X 9 TILEPROP INT_R_X9Y146 INT_TILE_Y 3 TILEPROP INT_R_X9Y146 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y146 IS_DCM_TILE 0 TILEPROP INT_R_X9Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y146 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y146 NAME INT_R_X9Y146 TILEPROP INT_R_X9Y146 NUM_ARCS 3737 TILEPROP INT_R_X9Y146 NUM_SITES 1 TILEPROP INT_R_X9Y146 ROW 4 TILEPROP INT_R_X9Y146 SLR_REGION_ID 0 TILEPROP INT_R_X9Y146 TILE_PATTERN_IDX 3665 TILEPROP INT_R_X9Y146 TILE_TYPE INT_R TILEPROP INT_R_X9Y146 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y146 TILE_X -52958 TILEPROP INT_R_X9Y146 TILE_Y 231096 TILEPROP INT_R_X9Y146 TYPE INT_R TILEPROP INT_R_X9Y147 CLASS tile TILEPROP INT_R_X9Y147 COLUMN 26 TILEPROP INT_R_X9Y147 DEVICE_ID 0 TILEPROP INT_R_X9Y147 FIRST_SITE_ID 225 TILEPROP INT_R_X9Y147 GRID_POINT_X 26 TILEPROP INT_R_X9Y147 GRID_POINT_Y 3 TILEPROP INT_R_X9Y147 INDEX 371 TILEPROP INT_R_X9Y147 INT_TILE_X 9 TILEPROP INT_R_X9Y147 INT_TILE_Y 2 TILEPROP INT_R_X9Y147 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y147 IS_DCM_TILE 0 TILEPROP INT_R_X9Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y147 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y147 NAME INT_R_X9Y147 TILEPROP INT_R_X9Y147 NUM_ARCS 3737 TILEPROP INT_R_X9Y147 NUM_SITES 1 TILEPROP INT_R_X9Y147 ROW 3 TILEPROP INT_R_X9Y147 SLR_REGION_ID 0 TILEPROP INT_R_X9Y147 TILE_PATTERN_IDX 3627 TILEPROP INT_R_X9Y147 TILE_TYPE INT_R TILEPROP INT_R_X9Y147 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y147 TILE_X -52958 TILEPROP INT_R_X9Y147 TILE_Y 234296 TILEPROP INT_R_X9Y147 TYPE INT_R TILEPROP INT_R_X9Y148 CLASS tile TILEPROP INT_R_X9Y148 COLUMN 26 TILEPROP INT_R_X9Y148 DEVICE_ID 0 TILEPROP INT_R_X9Y148 FIRST_SITE_ID 121 TILEPROP INT_R_X9Y148 GRID_POINT_X 26 TILEPROP INT_R_X9Y148 GRID_POINT_Y 2 TILEPROP INT_R_X9Y148 INDEX 256 TILEPROP INT_R_X9Y148 INT_TILE_X 9 TILEPROP INT_R_X9Y148 INT_TILE_Y 1 TILEPROP INT_R_X9Y148 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y148 IS_DCM_TILE 0 TILEPROP INT_R_X9Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y148 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y148 NAME INT_R_X9Y148 TILEPROP INT_R_X9Y148 NUM_ARCS 3737 TILEPROP INT_R_X9Y148 NUM_SITES 1 TILEPROP INT_R_X9Y148 ROW 2 TILEPROP INT_R_X9Y148 SLR_REGION_ID 0 TILEPROP INT_R_X9Y148 TILE_PATTERN_IDX 3588 TILEPROP INT_R_X9Y148 TILE_TYPE INT_R TILEPROP INT_R_X9Y148 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y148 TILE_X -52958 TILEPROP INT_R_X9Y148 TILE_Y 237496 TILEPROP INT_R_X9Y148 TYPE INT_R TILEPROP INT_R_X9Y149 CLASS tile TILEPROP INT_R_X9Y149 COLUMN 26 TILEPROP INT_R_X9Y149 DEVICE_ID 0 TILEPROP INT_R_X9Y149 FIRST_SITE_ID 25 TILEPROP INT_R_X9Y149 GRID_POINT_X 26 TILEPROP INT_R_X9Y149 GRID_POINT_Y 1 TILEPROP INT_R_X9Y149 INDEX 141 TILEPROP INT_R_X9Y149 INT_TILE_X 9 TILEPROP INT_R_X9Y149 INT_TILE_Y 0 TILEPROP INT_R_X9Y149 IS_CENTER_TILE 0 TILEPROP INT_R_X9Y149 IS_DCM_TILE 0 TILEPROP INT_R_X9Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP INT_R_X9Y149 IS_GT_SITE_TILE 0 TILEPROP INT_R_X9Y149 NAME INT_R_X9Y149 TILEPROP INT_R_X9Y149 NUM_ARCS 3737 TILEPROP INT_R_X9Y149 NUM_SITES 1 TILEPROP INT_R_X9Y149 ROW 1 TILEPROP INT_R_X9Y149 SLR_REGION_ID 0 TILEPROP INT_R_X9Y149 TILE_PATTERN_IDX 3550 TILEPROP INT_R_X9Y149 TILE_TYPE INT_R TILEPROP INT_R_X9Y149 TILE_TYPE_INDEX 96 TILEPROP INT_R_X9Y149 TILE_X -52958 TILEPROP INT_R_X9Y149 TILE_Y 240696 TILEPROP INT_R_X9Y149 TYPE INT_R TILEPROP IO_INT_INTERFACE_L_X0Y0 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y0 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y0 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y0 FIRST_SITE_ID 15706 TILEPROP IO_INT_INTERFACE_L_X0Y0 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y0 GRID_POINT_Y 155 TILEPROP IO_INT_INTERFACE_L_X0Y0 INDEX 17828 TILEPROP IO_INT_INTERFACE_L_X0Y0 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y0 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y0 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y0 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y0 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y0 NAME IO_INT_INTERFACE_L_X0Y0 TILEPROP IO_INT_INTERFACE_L_X0Y0 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y0 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y0 ROW 155 TILEPROP IO_INT_INTERFACE_L_X0Y0 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y0 TILE_PATTERN_IDX 7 TILEPROP IO_INT_INTERFACE_L_X0Y0 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y0 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y0 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y0 TILE_Y -239672 TILEPROP IO_INT_INTERFACE_L_X0Y0 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y1 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y1 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y1 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y1 FIRST_SITE_ID 15601 TILEPROP IO_INT_INTERFACE_L_X0Y1 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y1 GRID_POINT_Y 154 TILEPROP IO_INT_INTERFACE_L_X0Y1 INDEX 17713 TILEPROP IO_INT_INTERFACE_L_X0Y1 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y1 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y1 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y1 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y1 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y1 NAME IO_INT_INTERFACE_L_X0Y1 TILEPROP IO_INT_INTERFACE_L_X0Y1 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y1 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y1 ROW 154 TILEPROP IO_INT_INTERFACE_L_X0Y1 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y1 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y1 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y1 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y1 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y1 TILE_Y -236472 TILEPROP IO_INT_INTERFACE_L_X0Y1 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y2 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y2 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y2 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y2 FIRST_SITE_ID 15501 TILEPROP IO_INT_INTERFACE_L_X0Y2 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y2 GRID_POINT_Y 153 TILEPROP IO_INT_INTERFACE_L_X0Y2 INDEX 17598 TILEPROP IO_INT_INTERFACE_L_X0Y2 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y2 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y2 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y2 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y2 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y2 NAME IO_INT_INTERFACE_L_X0Y2 TILEPROP IO_INT_INTERFACE_L_X0Y2 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y2 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y2 ROW 153 TILEPROP IO_INT_INTERFACE_L_X0Y2 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y2 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y2 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y2 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y2 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y2 TILE_Y -233272 TILEPROP IO_INT_INTERFACE_L_X0Y2 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y3 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y3 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y3 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y3 FIRST_SITE_ID 15401 TILEPROP IO_INT_INTERFACE_L_X0Y3 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y3 GRID_POINT_Y 152 TILEPROP IO_INT_INTERFACE_L_X0Y3 INDEX 17483 TILEPROP IO_INT_INTERFACE_L_X0Y3 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y3 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y3 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y3 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y3 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y3 NAME IO_INT_INTERFACE_L_X0Y3 TILEPROP IO_INT_INTERFACE_L_X0Y3 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y3 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y3 ROW 152 TILEPROP IO_INT_INTERFACE_L_X0Y3 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y3 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y3 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y3 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y3 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y3 TILE_Y -230072 TILEPROP IO_INT_INTERFACE_L_X0Y3 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y4 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y4 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y4 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y4 FIRST_SITE_ID 15301 TILEPROP IO_INT_INTERFACE_L_X0Y4 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y4 GRID_POINT_Y 151 TILEPROP IO_INT_INTERFACE_L_X0Y4 INDEX 17368 TILEPROP IO_INT_INTERFACE_L_X0Y4 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y4 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y4 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y4 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y4 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y4 NAME IO_INT_INTERFACE_L_X0Y4 TILEPROP IO_INT_INTERFACE_L_X0Y4 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y4 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y4 ROW 151 TILEPROP IO_INT_INTERFACE_L_X0Y4 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y4 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y4 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y4 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y4 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y4 TILE_Y -226872 TILEPROP IO_INT_INTERFACE_L_X0Y4 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y5 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y5 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y5 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y5 FIRST_SITE_ID 15186 TILEPROP IO_INT_INTERFACE_L_X0Y5 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y5 GRID_POINT_Y 150 TILEPROP IO_INT_INTERFACE_L_X0Y5 INDEX 17253 TILEPROP IO_INT_INTERFACE_L_X0Y5 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y5 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y5 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y5 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y5 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y5 NAME IO_INT_INTERFACE_L_X0Y5 TILEPROP IO_INT_INTERFACE_L_X0Y5 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y5 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y5 ROW 150 TILEPROP IO_INT_INTERFACE_L_X0Y5 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y5 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y5 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y5 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y5 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y5 TILE_Y -223672 TILEPROP IO_INT_INTERFACE_L_X0Y5 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y6 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y6 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y6 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y6 FIRST_SITE_ID 15086 TILEPROP IO_INT_INTERFACE_L_X0Y6 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y6 GRID_POINT_Y 149 TILEPROP IO_INT_INTERFACE_L_X0Y6 INDEX 17138 TILEPROP IO_INT_INTERFACE_L_X0Y6 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y6 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y6 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y6 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y6 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y6 NAME IO_INT_INTERFACE_L_X0Y6 TILEPROP IO_INT_INTERFACE_L_X0Y6 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y6 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y6 ROW 149 TILEPROP IO_INT_INTERFACE_L_X0Y6 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y6 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y6 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y6 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y6 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y6 TILE_Y -220472 TILEPROP IO_INT_INTERFACE_L_X0Y6 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y7 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y7 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y7 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y7 FIRST_SITE_ID 14982 TILEPROP IO_INT_INTERFACE_L_X0Y7 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y7 GRID_POINT_Y 148 TILEPROP IO_INT_INTERFACE_L_X0Y7 INDEX 17023 TILEPROP IO_INT_INTERFACE_L_X0Y7 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y7 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y7 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y7 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y7 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y7 NAME IO_INT_INTERFACE_L_X0Y7 TILEPROP IO_INT_INTERFACE_L_X0Y7 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y7 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y7 ROW 148 TILEPROP IO_INT_INTERFACE_L_X0Y7 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y7 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y7 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y7 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y7 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y7 TILE_Y -217272 TILEPROP IO_INT_INTERFACE_L_X0Y7 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y8 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y8 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y8 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y8 FIRST_SITE_ID 14880 TILEPROP IO_INT_INTERFACE_L_X0Y8 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y8 GRID_POINT_Y 147 TILEPROP IO_INT_INTERFACE_L_X0Y8 INDEX 16908 TILEPROP IO_INT_INTERFACE_L_X0Y8 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y8 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y8 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y8 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y8 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y8 NAME IO_INT_INTERFACE_L_X0Y8 TILEPROP IO_INT_INTERFACE_L_X0Y8 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y8 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y8 ROW 147 TILEPROP IO_INT_INTERFACE_L_X0Y8 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y8 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y8 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y8 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y8 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y8 TILE_Y -214072 TILEPROP IO_INT_INTERFACE_L_X0Y8 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y9 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y9 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y9 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y9 FIRST_SITE_ID 14780 TILEPROP IO_INT_INTERFACE_L_X0Y9 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y9 GRID_POINT_Y 146 TILEPROP IO_INT_INTERFACE_L_X0Y9 INDEX 16793 TILEPROP IO_INT_INTERFACE_L_X0Y9 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y9 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y9 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y9 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y9 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y9 NAME IO_INT_INTERFACE_L_X0Y9 TILEPROP IO_INT_INTERFACE_L_X0Y9 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y9 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y9 ROW 146 TILEPROP IO_INT_INTERFACE_L_X0Y9 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y9 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y9 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y9 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y9 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y9 TILE_Y -210872 TILEPROP IO_INT_INTERFACE_L_X0Y9 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y10 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y10 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y10 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y10 FIRST_SITE_ID 14665 TILEPROP IO_INT_INTERFACE_L_X0Y10 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y10 GRID_POINT_Y 145 TILEPROP IO_INT_INTERFACE_L_X0Y10 INDEX 16678 TILEPROP IO_INT_INTERFACE_L_X0Y10 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y10 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y10 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y10 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y10 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y10 NAME IO_INT_INTERFACE_L_X0Y10 TILEPROP IO_INT_INTERFACE_L_X0Y10 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y10 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y10 ROW 145 TILEPROP IO_INT_INTERFACE_L_X0Y10 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y10 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y10 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y10 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y10 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y10 TILE_Y -207672 TILEPROP IO_INT_INTERFACE_L_X0Y10 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y11 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y11 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y11 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y11 FIRST_SITE_ID 14565 TILEPROP IO_INT_INTERFACE_L_X0Y11 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y11 GRID_POINT_Y 144 TILEPROP IO_INT_INTERFACE_L_X0Y11 INDEX 16563 TILEPROP IO_INT_INTERFACE_L_X0Y11 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y11 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y11 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y11 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y11 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y11 NAME IO_INT_INTERFACE_L_X0Y11 TILEPROP IO_INT_INTERFACE_L_X0Y11 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y11 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y11 ROW 144 TILEPROP IO_INT_INTERFACE_L_X0Y11 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y11 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y11 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y11 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y11 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y11 TILE_Y -204472 TILEPROP IO_INT_INTERFACE_L_X0Y11 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y12 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y12 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y12 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y12 FIRST_SITE_ID 14433 TILEPROP IO_INT_INTERFACE_L_X0Y12 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y12 GRID_POINT_Y 143 TILEPROP IO_INT_INTERFACE_L_X0Y12 INDEX 16448 TILEPROP IO_INT_INTERFACE_L_X0Y12 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y12 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y12 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y12 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y12 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y12 NAME IO_INT_INTERFACE_L_X0Y12 TILEPROP IO_INT_INTERFACE_L_X0Y12 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y12 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y12 ROW 143 TILEPROP IO_INT_INTERFACE_L_X0Y12 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y12 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y12 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y12 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y12 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y12 TILE_Y -201272 TILEPROP IO_INT_INTERFACE_L_X0Y12 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y13 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y13 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y13 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y13 FIRST_SITE_ID 14333 TILEPROP IO_INT_INTERFACE_L_X0Y13 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y13 GRID_POINT_Y 142 TILEPROP IO_INT_INTERFACE_L_X0Y13 INDEX 16333 TILEPROP IO_INT_INTERFACE_L_X0Y13 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y13 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y13 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y13 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y13 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y13 NAME IO_INT_INTERFACE_L_X0Y13 TILEPROP IO_INT_INTERFACE_L_X0Y13 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y13 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y13 ROW 142 TILEPROP IO_INT_INTERFACE_L_X0Y13 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y13 TILE_PATTERN_IDX 415 TILEPROP IO_INT_INTERFACE_L_X0Y13 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y13 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y13 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y13 TILE_Y -198072 TILEPROP IO_INT_INTERFACE_L_X0Y13 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y14 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y14 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y14 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y14 FIRST_SITE_ID 14233 TILEPROP IO_INT_INTERFACE_L_X0Y14 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y14 GRID_POINT_Y 141 TILEPROP IO_INT_INTERFACE_L_X0Y14 INDEX 16218 TILEPROP IO_INT_INTERFACE_L_X0Y14 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y14 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y14 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y14 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y14 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y14 NAME IO_INT_INTERFACE_L_X0Y14 TILEPROP IO_INT_INTERFACE_L_X0Y14 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y14 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y14 ROW 141 TILEPROP IO_INT_INTERFACE_L_X0Y14 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y14 TILE_PATTERN_IDX 383 TILEPROP IO_INT_INTERFACE_L_X0Y14 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y14 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y14 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y14 TILE_Y -194872 TILEPROP IO_INT_INTERFACE_L_X0Y14 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y15 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y15 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y15 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y15 FIRST_SITE_ID 14118 TILEPROP IO_INT_INTERFACE_L_X0Y15 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y15 GRID_POINT_Y 140 TILEPROP IO_INT_INTERFACE_L_X0Y15 INDEX 16103 TILEPROP IO_INT_INTERFACE_L_X0Y15 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y15 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y15 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y15 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y15 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y15 NAME IO_INT_INTERFACE_L_X0Y15 TILEPROP IO_INT_INTERFACE_L_X0Y15 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y15 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y15 ROW 140 TILEPROP IO_INT_INTERFACE_L_X0Y15 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y15 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y15 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y15 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y15 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y15 TILE_Y -191672 TILEPROP IO_INT_INTERFACE_L_X0Y15 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y16 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y16 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y16 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y16 FIRST_SITE_ID 14018 TILEPROP IO_INT_INTERFACE_L_X0Y16 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y16 GRID_POINT_Y 139 TILEPROP IO_INT_INTERFACE_L_X0Y16 INDEX 15988 TILEPROP IO_INT_INTERFACE_L_X0Y16 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y16 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y16 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y16 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y16 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y16 NAME IO_INT_INTERFACE_L_X0Y16 TILEPROP IO_INT_INTERFACE_L_X0Y16 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y16 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y16 ROW 139 TILEPROP IO_INT_INTERFACE_L_X0Y16 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y16 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y16 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y16 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y16 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y16 TILE_Y -188472 TILEPROP IO_INT_INTERFACE_L_X0Y16 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y17 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y17 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y17 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y17 FIRST_SITE_ID 13909 TILEPROP IO_INT_INTERFACE_L_X0Y17 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y17 GRID_POINT_Y 138 TILEPROP IO_INT_INTERFACE_L_X0Y17 INDEX 15873 TILEPROP IO_INT_INTERFACE_L_X0Y17 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y17 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y17 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y17 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y17 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y17 NAME IO_INT_INTERFACE_L_X0Y17 TILEPROP IO_INT_INTERFACE_L_X0Y17 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y17 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y17 ROW 138 TILEPROP IO_INT_INTERFACE_L_X0Y17 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y17 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y17 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y17 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y17 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y17 TILE_Y -185272 TILEPROP IO_INT_INTERFACE_L_X0Y17 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y18 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y18 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y18 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y18 FIRST_SITE_ID 13809 TILEPROP IO_INT_INTERFACE_L_X0Y18 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y18 GRID_POINT_Y 137 TILEPROP IO_INT_INTERFACE_L_X0Y18 INDEX 15758 TILEPROP IO_INT_INTERFACE_L_X0Y18 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y18 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y18 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y18 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y18 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y18 NAME IO_INT_INTERFACE_L_X0Y18 TILEPROP IO_INT_INTERFACE_L_X0Y18 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y18 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y18 ROW 137 TILEPROP IO_INT_INTERFACE_L_X0Y18 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y18 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y18 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y18 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y18 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y18 TILE_Y -182072 TILEPROP IO_INT_INTERFACE_L_X0Y18 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y19 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y19 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y19 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y19 FIRST_SITE_ID 13705 TILEPROP IO_INT_INTERFACE_L_X0Y19 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y19 GRID_POINT_Y 136 TILEPROP IO_INT_INTERFACE_L_X0Y19 INDEX 15643 TILEPROP IO_INT_INTERFACE_L_X0Y19 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y19 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y19 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y19 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y19 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y19 NAME IO_INT_INTERFACE_L_X0Y19 TILEPROP IO_INT_INTERFACE_L_X0Y19 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y19 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y19 ROW 136 TILEPROP IO_INT_INTERFACE_L_X0Y19 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y19 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y19 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y19 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y19 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y19 TILE_Y -178872 TILEPROP IO_INT_INTERFACE_L_X0Y19 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y20 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y20 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y20 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y20 FIRST_SITE_ID 13590 TILEPROP IO_INT_INTERFACE_L_X0Y20 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y20 GRID_POINT_Y 135 TILEPROP IO_INT_INTERFACE_L_X0Y20 INDEX 15528 TILEPROP IO_INT_INTERFACE_L_X0Y20 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y20 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y20 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y20 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y20 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y20 NAME IO_INT_INTERFACE_L_X0Y20 TILEPROP IO_INT_INTERFACE_L_X0Y20 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y20 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y20 ROW 135 TILEPROP IO_INT_INTERFACE_L_X0Y20 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y20 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y20 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y20 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y20 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y20 TILE_Y -175672 TILEPROP IO_INT_INTERFACE_L_X0Y20 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y21 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y21 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y21 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y21 FIRST_SITE_ID 13490 TILEPROP IO_INT_INTERFACE_L_X0Y21 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y21 GRID_POINT_Y 134 TILEPROP IO_INT_INTERFACE_L_X0Y21 INDEX 15413 TILEPROP IO_INT_INTERFACE_L_X0Y21 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y21 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y21 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y21 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y21 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y21 NAME IO_INT_INTERFACE_L_X0Y21 TILEPROP IO_INT_INTERFACE_L_X0Y21 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y21 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y21 ROW 134 TILEPROP IO_INT_INTERFACE_L_X0Y21 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y21 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y21 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y21 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y21 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y21 TILE_Y -172472 TILEPROP IO_INT_INTERFACE_L_X0Y21 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y22 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y22 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y22 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y22 FIRST_SITE_ID 13390 TILEPROP IO_INT_INTERFACE_L_X0Y22 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y22 GRID_POINT_Y 133 TILEPROP IO_INT_INTERFACE_L_X0Y22 INDEX 15298 TILEPROP IO_INT_INTERFACE_L_X0Y22 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y22 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y22 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y22 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y22 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y22 NAME IO_INT_INTERFACE_L_X0Y22 TILEPROP IO_INT_INTERFACE_L_X0Y22 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y22 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y22 ROW 133 TILEPROP IO_INT_INTERFACE_L_X0Y22 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y22 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y22 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y22 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y22 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y22 TILE_Y -169272 TILEPROP IO_INT_INTERFACE_L_X0Y22 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y23 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y23 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y23 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y23 FIRST_SITE_ID 13290 TILEPROP IO_INT_INTERFACE_L_X0Y23 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y23 GRID_POINT_Y 132 TILEPROP IO_INT_INTERFACE_L_X0Y23 INDEX 15183 TILEPROP IO_INT_INTERFACE_L_X0Y23 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y23 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y23 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y23 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y23 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y23 NAME IO_INT_INTERFACE_L_X0Y23 TILEPROP IO_INT_INTERFACE_L_X0Y23 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y23 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y23 ROW 132 TILEPROP IO_INT_INTERFACE_L_X0Y23 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y23 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y23 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y23 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y23 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y23 TILE_Y -166072 TILEPROP IO_INT_INTERFACE_L_X0Y23 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y24 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y24 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y24 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y24 FIRST_SITE_ID 13190 TILEPROP IO_INT_INTERFACE_L_X0Y24 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y24 GRID_POINT_Y 131 TILEPROP IO_INT_INTERFACE_L_X0Y24 INDEX 15068 TILEPROP IO_INT_INTERFACE_L_X0Y24 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y24 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y24 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y24 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y24 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y24 NAME IO_INT_INTERFACE_L_X0Y24 TILEPROP IO_INT_INTERFACE_L_X0Y24 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y24 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y24 ROW 131 TILEPROP IO_INT_INTERFACE_L_X0Y24 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y24 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y24 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y24 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y24 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y24 TILE_Y -162872 TILEPROP IO_INT_INTERFACE_L_X0Y24 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y25 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y25 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y25 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y25 FIRST_SITE_ID 12992 TILEPROP IO_INT_INTERFACE_L_X0Y25 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y25 GRID_POINT_Y 129 TILEPROP IO_INT_INTERFACE_L_X0Y25 INDEX 14838 TILEPROP IO_INT_INTERFACE_L_X0Y25 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y25 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y25 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y25 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y25 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y25 NAME IO_INT_INTERFACE_L_X0Y25 TILEPROP IO_INT_INTERFACE_L_X0Y25 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y25 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y25 ROW 129 TILEPROP IO_INT_INTERFACE_L_X0Y25 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y25 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y25 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y25 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y25 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y25 TILE_Y -158648 TILEPROP IO_INT_INTERFACE_L_X0Y25 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y26 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y26 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y26 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y26 FIRST_SITE_ID 12892 TILEPROP IO_INT_INTERFACE_L_X0Y26 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y26 GRID_POINT_Y 128 TILEPROP IO_INT_INTERFACE_L_X0Y26 INDEX 14723 TILEPROP IO_INT_INTERFACE_L_X0Y26 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y26 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y26 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y26 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y26 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y26 NAME IO_INT_INTERFACE_L_X0Y26 TILEPROP IO_INT_INTERFACE_L_X0Y26 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y26 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y26 ROW 128 TILEPROP IO_INT_INTERFACE_L_X0Y26 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y26 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y26 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y26 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y26 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y26 TILE_Y -155448 TILEPROP IO_INT_INTERFACE_L_X0Y26 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y27 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y27 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y27 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y27 FIRST_SITE_ID 12792 TILEPROP IO_INT_INTERFACE_L_X0Y27 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y27 GRID_POINT_Y 127 TILEPROP IO_INT_INTERFACE_L_X0Y27 INDEX 14608 TILEPROP IO_INT_INTERFACE_L_X0Y27 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y27 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y27 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y27 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y27 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y27 NAME IO_INT_INTERFACE_L_X0Y27 TILEPROP IO_INT_INTERFACE_L_X0Y27 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y27 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y27 ROW 127 TILEPROP IO_INT_INTERFACE_L_X0Y27 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y27 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y27 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y27 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y27 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y27 TILE_Y -152248 TILEPROP IO_INT_INTERFACE_L_X0Y27 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y28 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y28 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y28 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y28 FIRST_SITE_ID 12692 TILEPROP IO_INT_INTERFACE_L_X0Y28 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y28 GRID_POINT_Y 126 TILEPROP IO_INT_INTERFACE_L_X0Y28 INDEX 14493 TILEPROP IO_INT_INTERFACE_L_X0Y28 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y28 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y28 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y28 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y28 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y28 NAME IO_INT_INTERFACE_L_X0Y28 TILEPROP IO_INT_INTERFACE_L_X0Y28 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y28 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y28 ROW 126 TILEPROP IO_INT_INTERFACE_L_X0Y28 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y28 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y28 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y28 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y28 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y28 TILE_Y -149048 TILEPROP IO_INT_INTERFACE_L_X0Y28 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y29 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y29 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y29 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y29 FIRST_SITE_ID 12580 TILEPROP IO_INT_INTERFACE_L_X0Y29 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y29 GRID_POINT_Y 125 TILEPROP IO_INT_INTERFACE_L_X0Y29 INDEX 14378 TILEPROP IO_INT_INTERFACE_L_X0Y29 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y29 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y29 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y29 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y29 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y29 NAME IO_INT_INTERFACE_L_X0Y29 TILEPROP IO_INT_INTERFACE_L_X0Y29 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y29 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y29 ROW 125 TILEPROP IO_INT_INTERFACE_L_X0Y29 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y29 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y29 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y29 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y29 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y29 TILE_Y -145848 TILEPROP IO_INT_INTERFACE_L_X0Y29 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y30 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y30 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y30 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y30 FIRST_SITE_ID 12452 TILEPROP IO_INT_INTERFACE_L_X0Y30 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y30 GRID_POINT_Y 124 TILEPROP IO_INT_INTERFACE_L_X0Y30 INDEX 14263 TILEPROP IO_INT_INTERFACE_L_X0Y30 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y30 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y30 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y30 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y30 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y30 NAME IO_INT_INTERFACE_L_X0Y30 TILEPROP IO_INT_INTERFACE_L_X0Y30 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y30 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y30 ROW 124 TILEPROP IO_INT_INTERFACE_L_X0Y30 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y30 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y30 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y30 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y30 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y30 TILE_Y -142648 TILEPROP IO_INT_INTERFACE_L_X0Y30 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y31 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y31 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y31 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y31 FIRST_SITE_ID 12348 TILEPROP IO_INT_INTERFACE_L_X0Y31 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y31 GRID_POINT_Y 123 TILEPROP IO_INT_INTERFACE_L_X0Y31 INDEX 14148 TILEPROP IO_INT_INTERFACE_L_X0Y31 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y31 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y31 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y31 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y31 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y31 NAME IO_INT_INTERFACE_L_X0Y31 TILEPROP IO_INT_INTERFACE_L_X0Y31 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y31 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y31 ROW 123 TILEPROP IO_INT_INTERFACE_L_X0Y31 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y31 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y31 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y31 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y31 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y31 TILE_Y -139448 TILEPROP IO_INT_INTERFACE_L_X0Y31 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y32 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y32 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y32 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y32 FIRST_SITE_ID 12247 TILEPROP IO_INT_INTERFACE_L_X0Y32 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y32 GRID_POINT_Y 122 TILEPROP IO_INT_INTERFACE_L_X0Y32 INDEX 14033 TILEPROP IO_INT_INTERFACE_L_X0Y32 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y32 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y32 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y32 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y32 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y32 NAME IO_INT_INTERFACE_L_X0Y32 TILEPROP IO_INT_INTERFACE_L_X0Y32 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y32 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y32 ROW 122 TILEPROP IO_INT_INTERFACE_L_X0Y32 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y32 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y32 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y32 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y32 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y32 TILE_Y -136248 TILEPROP IO_INT_INTERFACE_L_X0Y32 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y33 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y33 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y33 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y33 FIRST_SITE_ID 12147 TILEPROP IO_INT_INTERFACE_L_X0Y33 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y33 GRID_POINT_Y 121 TILEPROP IO_INT_INTERFACE_L_X0Y33 INDEX 13918 TILEPROP IO_INT_INTERFACE_L_X0Y33 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y33 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y33 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y33 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y33 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y33 NAME IO_INT_INTERFACE_L_X0Y33 TILEPROP IO_INT_INTERFACE_L_X0Y33 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y33 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y33 ROW 121 TILEPROP IO_INT_INTERFACE_L_X0Y33 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y33 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y33 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y33 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y33 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y33 TILE_Y -133048 TILEPROP IO_INT_INTERFACE_L_X0Y33 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y34 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y34 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y34 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y34 FIRST_SITE_ID 12047 TILEPROP IO_INT_INTERFACE_L_X0Y34 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y34 GRID_POINT_Y 120 TILEPROP IO_INT_INTERFACE_L_X0Y34 INDEX 13803 TILEPROP IO_INT_INTERFACE_L_X0Y34 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y34 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y34 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y34 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y34 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y34 NAME IO_INT_INTERFACE_L_X0Y34 TILEPROP IO_INT_INTERFACE_L_X0Y34 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y34 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y34 ROW 120 TILEPROP IO_INT_INTERFACE_L_X0Y34 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y34 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y34 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y34 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y34 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y34 TILE_Y -129848 TILEPROP IO_INT_INTERFACE_L_X0Y34 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y35 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y35 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y35 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y35 FIRST_SITE_ID 11932 TILEPROP IO_INT_INTERFACE_L_X0Y35 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y35 GRID_POINT_Y 119 TILEPROP IO_INT_INTERFACE_L_X0Y35 INDEX 13688 TILEPROP IO_INT_INTERFACE_L_X0Y35 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y35 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y35 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y35 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y35 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y35 NAME IO_INT_INTERFACE_L_X0Y35 TILEPROP IO_INT_INTERFACE_L_X0Y35 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y35 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y35 ROW 119 TILEPROP IO_INT_INTERFACE_L_X0Y35 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y35 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y35 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y35 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y35 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y35 TILE_Y -126648 TILEPROP IO_INT_INTERFACE_L_X0Y35 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y36 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y36 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y36 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y36 FIRST_SITE_ID 11800 TILEPROP IO_INT_INTERFACE_L_X0Y36 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y36 GRID_POINT_Y 118 TILEPROP IO_INT_INTERFACE_L_X0Y36 INDEX 13573 TILEPROP IO_INT_INTERFACE_L_X0Y36 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y36 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y36 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y36 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y36 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y36 NAME IO_INT_INTERFACE_L_X0Y36 TILEPROP IO_INT_INTERFACE_L_X0Y36 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y36 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y36 ROW 118 TILEPROP IO_INT_INTERFACE_L_X0Y36 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y36 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y36 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y36 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y36 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y36 TILE_Y -123448 TILEPROP IO_INT_INTERFACE_L_X0Y36 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y37 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y37 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y37 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y37 FIRST_SITE_ID 11700 TILEPROP IO_INT_INTERFACE_L_X0Y37 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y37 GRID_POINT_Y 117 TILEPROP IO_INT_INTERFACE_L_X0Y37 INDEX 13458 TILEPROP IO_INT_INTERFACE_L_X0Y37 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y37 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y37 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y37 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y37 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y37 NAME IO_INT_INTERFACE_L_X0Y37 TILEPROP IO_INT_INTERFACE_L_X0Y37 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y37 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y37 ROW 117 TILEPROP IO_INT_INTERFACE_L_X0Y37 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y37 TILE_PATTERN_IDX 415 TILEPROP IO_INT_INTERFACE_L_X0Y37 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y37 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y37 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y37 TILE_Y -120248 TILEPROP IO_INT_INTERFACE_L_X0Y37 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y38 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y38 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y38 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y38 FIRST_SITE_ID 11600 TILEPROP IO_INT_INTERFACE_L_X0Y38 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y38 GRID_POINT_Y 116 TILEPROP IO_INT_INTERFACE_L_X0Y38 INDEX 13343 TILEPROP IO_INT_INTERFACE_L_X0Y38 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y38 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y38 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y38 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y38 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y38 NAME IO_INT_INTERFACE_L_X0Y38 TILEPROP IO_INT_INTERFACE_L_X0Y38 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y38 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y38 ROW 116 TILEPROP IO_INT_INTERFACE_L_X0Y38 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y38 TILE_PATTERN_IDX 383 TILEPROP IO_INT_INTERFACE_L_X0Y38 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y38 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y38 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y38 TILE_Y -117048 TILEPROP IO_INT_INTERFACE_L_X0Y38 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y39 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y39 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y39 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y39 FIRST_SITE_ID 11500 TILEPROP IO_INT_INTERFACE_L_X0Y39 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y39 GRID_POINT_Y 115 TILEPROP IO_INT_INTERFACE_L_X0Y39 INDEX 13228 TILEPROP IO_INT_INTERFACE_L_X0Y39 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y39 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y39 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y39 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y39 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y39 NAME IO_INT_INTERFACE_L_X0Y39 TILEPROP IO_INT_INTERFACE_L_X0Y39 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y39 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y39 ROW 115 TILEPROP IO_INT_INTERFACE_L_X0Y39 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y39 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y39 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y39 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y39 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y39 TILE_Y -113848 TILEPROP IO_INT_INTERFACE_L_X0Y39 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y40 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y40 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y40 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y40 FIRST_SITE_ID 11383 TILEPROP IO_INT_INTERFACE_L_X0Y40 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y40 GRID_POINT_Y 114 TILEPROP IO_INT_INTERFACE_L_X0Y40 INDEX 13113 TILEPROP IO_INT_INTERFACE_L_X0Y40 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y40 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y40 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y40 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y40 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y40 NAME IO_INT_INTERFACE_L_X0Y40 TILEPROP IO_INT_INTERFACE_L_X0Y40 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y40 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y40 ROW 114 TILEPROP IO_INT_INTERFACE_L_X0Y40 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y40 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y40 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y40 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y40 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y40 TILE_Y -110648 TILEPROP IO_INT_INTERFACE_L_X0Y40 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y41 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y41 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y41 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y41 FIRST_SITE_ID 11282 TILEPROP IO_INT_INTERFACE_L_X0Y41 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y41 GRID_POINT_Y 113 TILEPROP IO_INT_INTERFACE_L_X0Y41 INDEX 12998 TILEPROP IO_INT_INTERFACE_L_X0Y41 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y41 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y41 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y41 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y41 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y41 NAME IO_INT_INTERFACE_L_X0Y41 TILEPROP IO_INT_INTERFACE_L_X0Y41 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y41 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y41 ROW 113 TILEPROP IO_INT_INTERFACE_L_X0Y41 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y41 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y41 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y41 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y41 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y41 TILE_Y -107448 TILEPROP IO_INT_INTERFACE_L_X0Y41 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y42 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y42 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y42 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y42 FIRST_SITE_ID 11180 TILEPROP IO_INT_INTERFACE_L_X0Y42 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y42 GRID_POINT_Y 112 TILEPROP IO_INT_INTERFACE_L_X0Y42 INDEX 12883 TILEPROP IO_INT_INTERFACE_L_X0Y42 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y42 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y42 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y42 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y42 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y42 NAME IO_INT_INTERFACE_L_X0Y42 TILEPROP IO_INT_INTERFACE_L_X0Y42 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y42 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y42 ROW 112 TILEPROP IO_INT_INTERFACE_L_X0Y42 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y42 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y42 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y42 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y42 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y42 TILE_Y -104248 TILEPROP IO_INT_INTERFACE_L_X0Y42 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y43 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y43 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y43 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y43 FIRST_SITE_ID 11076 TILEPROP IO_INT_INTERFACE_L_X0Y43 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y43 GRID_POINT_Y 111 TILEPROP IO_INT_INTERFACE_L_X0Y43 INDEX 12768 TILEPROP IO_INT_INTERFACE_L_X0Y43 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y43 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y43 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y43 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y43 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y43 NAME IO_INT_INTERFACE_L_X0Y43 TILEPROP IO_INT_INTERFACE_L_X0Y43 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y43 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y43 ROW 111 TILEPROP IO_INT_INTERFACE_L_X0Y43 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y43 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y43 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y43 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y43 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y43 TILE_Y -101048 TILEPROP IO_INT_INTERFACE_L_X0Y43 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y44 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y44 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y44 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y44 FIRST_SITE_ID 10976 TILEPROP IO_INT_INTERFACE_L_X0Y44 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y44 GRID_POINT_Y 110 TILEPROP IO_INT_INTERFACE_L_X0Y44 INDEX 12653 TILEPROP IO_INT_INTERFACE_L_X0Y44 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y44 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y44 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y44 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y44 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y44 NAME IO_INT_INTERFACE_L_X0Y44 TILEPROP IO_INT_INTERFACE_L_X0Y44 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y44 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y44 ROW 110 TILEPROP IO_INT_INTERFACE_L_X0Y44 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y44 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y44 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y44 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y44 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y44 TILE_Y -97848 TILEPROP IO_INT_INTERFACE_L_X0Y44 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y45 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y45 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y45 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y45 FIRST_SITE_ID 10860 TILEPROP IO_INT_INTERFACE_L_X0Y45 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y45 GRID_POINT_Y 109 TILEPROP IO_INT_INTERFACE_L_X0Y45 INDEX 12538 TILEPROP IO_INT_INTERFACE_L_X0Y45 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y45 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y45 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y45 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y45 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y45 NAME IO_INT_INTERFACE_L_X0Y45 TILEPROP IO_INT_INTERFACE_L_X0Y45 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y45 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y45 ROW 109 TILEPROP IO_INT_INTERFACE_L_X0Y45 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y45 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y45 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y45 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y45 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y45 TILE_Y -94648 TILEPROP IO_INT_INTERFACE_L_X0Y45 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y46 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y46 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y46 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y46 FIRST_SITE_ID 10744 TILEPROP IO_INT_INTERFACE_L_X0Y46 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y46 GRID_POINT_Y 108 TILEPROP IO_INT_INTERFACE_L_X0Y46 INDEX 12423 TILEPROP IO_INT_INTERFACE_L_X0Y46 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y46 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y46 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y46 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y46 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y46 NAME IO_INT_INTERFACE_L_X0Y46 TILEPROP IO_INT_INTERFACE_L_X0Y46 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y46 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y46 ROW 108 TILEPROP IO_INT_INTERFACE_L_X0Y46 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y46 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y46 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y46 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y46 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y46 TILE_Y -91448 TILEPROP IO_INT_INTERFACE_L_X0Y46 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y47 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y47 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y47 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y47 FIRST_SITE_ID 10644 TILEPROP IO_INT_INTERFACE_L_X0Y47 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y47 GRID_POINT_Y 107 TILEPROP IO_INT_INTERFACE_L_X0Y47 INDEX 12308 TILEPROP IO_INT_INTERFACE_L_X0Y47 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y47 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y47 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y47 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y47 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y47 NAME IO_INT_INTERFACE_L_X0Y47 TILEPROP IO_INT_INTERFACE_L_X0Y47 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y47 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y47 ROW 107 TILEPROP IO_INT_INTERFACE_L_X0Y47 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y47 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y47 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y47 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y47 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y47 TILE_Y -88248 TILEPROP IO_INT_INTERFACE_L_X0Y47 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y48 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y48 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y48 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y48 FIRST_SITE_ID 10544 TILEPROP IO_INT_INTERFACE_L_X0Y48 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y48 GRID_POINT_Y 106 TILEPROP IO_INT_INTERFACE_L_X0Y48 INDEX 12193 TILEPROP IO_INT_INTERFACE_L_X0Y48 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y48 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y48 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y48 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y48 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y48 NAME IO_INT_INTERFACE_L_X0Y48 TILEPROP IO_INT_INTERFACE_L_X0Y48 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y48 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y48 ROW 106 TILEPROP IO_INT_INTERFACE_L_X0Y48 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y48 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y48 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y48 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y48 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y48 TILE_Y -85048 TILEPROP IO_INT_INTERFACE_L_X0Y48 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y49 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y49 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y49 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y49 FIRST_SITE_ID 10448 TILEPROP IO_INT_INTERFACE_L_X0Y49 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y49 GRID_POINT_Y 105 TILEPROP IO_INT_INTERFACE_L_X0Y49 INDEX 12078 TILEPROP IO_INT_INTERFACE_L_X0Y49 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y49 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y49 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y49 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y49 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y49 NAME IO_INT_INTERFACE_L_X0Y49 TILEPROP IO_INT_INTERFACE_L_X0Y49 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y49 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y49 ROW 105 TILEPROP IO_INT_INTERFACE_L_X0Y49 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y49 TILE_PATTERN_IDX 7 TILEPROP IO_INT_INTERFACE_L_X0Y49 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y49 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y49 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y49 TILE_Y -81848 TILEPROP IO_INT_INTERFACE_L_X0Y49 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y50 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y50 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y50 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y50 FIRST_SITE_ID 10317 TILEPROP IO_INT_INTERFACE_L_X0Y50 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y50 GRID_POINT_Y 103 TILEPROP IO_INT_INTERFACE_L_X0Y50 INDEX 11848 TILEPROP IO_INT_INTERFACE_L_X0Y50 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y50 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y50 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y50 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y50 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y50 NAME IO_INT_INTERFACE_L_X0Y50 TILEPROP IO_INT_INTERFACE_L_X0Y50 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y50 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y50 ROW 103 TILEPROP IO_INT_INTERFACE_L_X0Y50 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y50 TILE_PATTERN_IDX 7 TILEPROP IO_INT_INTERFACE_L_X0Y50 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y50 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y50 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y50 TILE_Y -78400 TILEPROP IO_INT_INTERFACE_L_X0Y50 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y51 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y51 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y51 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y51 FIRST_SITE_ID 10213 TILEPROP IO_INT_INTERFACE_L_X0Y51 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y51 GRID_POINT_Y 102 TILEPROP IO_INT_INTERFACE_L_X0Y51 INDEX 11733 TILEPROP IO_INT_INTERFACE_L_X0Y51 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y51 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y51 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y51 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y51 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y51 NAME IO_INT_INTERFACE_L_X0Y51 TILEPROP IO_INT_INTERFACE_L_X0Y51 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y51 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y51 ROW 102 TILEPROP IO_INT_INTERFACE_L_X0Y51 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y51 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y51 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y51 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y51 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y51 TILE_Y -75200 TILEPROP IO_INT_INTERFACE_L_X0Y51 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y52 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y52 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y52 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y52 FIRST_SITE_ID 10113 TILEPROP IO_INT_INTERFACE_L_X0Y52 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y52 GRID_POINT_Y 101 TILEPROP IO_INT_INTERFACE_L_X0Y52 INDEX 11618 TILEPROP IO_INT_INTERFACE_L_X0Y52 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y52 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y52 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y52 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y52 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y52 NAME IO_INT_INTERFACE_L_X0Y52 TILEPROP IO_INT_INTERFACE_L_X0Y52 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y52 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y52 ROW 101 TILEPROP IO_INT_INTERFACE_L_X0Y52 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y52 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y52 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y52 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y52 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y52 TILE_Y -72000 TILEPROP IO_INT_INTERFACE_L_X0Y52 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y53 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y53 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y53 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y53 FIRST_SITE_ID 10013 TILEPROP IO_INT_INTERFACE_L_X0Y53 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y53 GRID_POINT_Y 100 TILEPROP IO_INT_INTERFACE_L_X0Y53 INDEX 11503 TILEPROP IO_INT_INTERFACE_L_X0Y53 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y53 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y53 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y53 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y53 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y53 NAME IO_INT_INTERFACE_L_X0Y53 TILEPROP IO_INT_INTERFACE_L_X0Y53 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y53 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y53 ROW 100 TILEPROP IO_INT_INTERFACE_L_X0Y53 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y53 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y53 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y53 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y53 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y53 TILE_Y -68800 TILEPROP IO_INT_INTERFACE_L_X0Y53 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y54 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y54 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y54 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y54 FIRST_SITE_ID 9913 TILEPROP IO_INT_INTERFACE_L_X0Y54 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y54 GRID_POINT_Y 99 TILEPROP IO_INT_INTERFACE_L_X0Y54 INDEX 11388 TILEPROP IO_INT_INTERFACE_L_X0Y54 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y54 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y54 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y54 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y54 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y54 NAME IO_INT_INTERFACE_L_X0Y54 TILEPROP IO_INT_INTERFACE_L_X0Y54 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y54 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y54 ROW 99 TILEPROP IO_INT_INTERFACE_L_X0Y54 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y54 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y54 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y54 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y54 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y54 TILE_Y -65600 TILEPROP IO_INT_INTERFACE_L_X0Y54 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y55 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y55 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y55 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y55 FIRST_SITE_ID 9798 TILEPROP IO_INT_INTERFACE_L_X0Y55 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y55 GRID_POINT_Y 98 TILEPROP IO_INT_INTERFACE_L_X0Y55 INDEX 11273 TILEPROP IO_INT_INTERFACE_L_X0Y55 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y55 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y55 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y55 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y55 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y55 NAME IO_INT_INTERFACE_L_X0Y55 TILEPROP IO_INT_INTERFACE_L_X0Y55 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y55 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y55 ROW 98 TILEPROP IO_INT_INTERFACE_L_X0Y55 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y55 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y55 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y55 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y55 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y55 TILE_Y -62400 TILEPROP IO_INT_INTERFACE_L_X0Y55 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y56 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y56 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y56 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y56 FIRST_SITE_ID 9698 TILEPROP IO_INT_INTERFACE_L_X0Y56 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y56 GRID_POINT_Y 97 TILEPROP IO_INT_INTERFACE_L_X0Y56 INDEX 11158 TILEPROP IO_INT_INTERFACE_L_X0Y56 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y56 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y56 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y56 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y56 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y56 NAME IO_INT_INTERFACE_L_X0Y56 TILEPROP IO_INT_INTERFACE_L_X0Y56 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y56 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y56 ROW 97 TILEPROP IO_INT_INTERFACE_L_X0Y56 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y56 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y56 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y56 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y56 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y56 TILE_Y -59200 TILEPROP IO_INT_INTERFACE_L_X0Y56 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y57 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y57 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y57 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y57 FIRST_SITE_ID 9594 TILEPROP IO_INT_INTERFACE_L_X0Y57 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y57 GRID_POINT_Y 96 TILEPROP IO_INT_INTERFACE_L_X0Y57 INDEX 11043 TILEPROP IO_INT_INTERFACE_L_X0Y57 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y57 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y57 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y57 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y57 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y57 NAME IO_INT_INTERFACE_L_X0Y57 TILEPROP IO_INT_INTERFACE_L_X0Y57 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y57 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y57 ROW 96 TILEPROP IO_INT_INTERFACE_L_X0Y57 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y57 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y57 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y57 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y57 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y57 TILE_Y -56000 TILEPROP IO_INT_INTERFACE_L_X0Y57 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y58 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y58 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y58 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y58 FIRST_SITE_ID 9492 TILEPROP IO_INT_INTERFACE_L_X0Y58 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y58 GRID_POINT_Y 95 TILEPROP IO_INT_INTERFACE_L_X0Y58 INDEX 10928 TILEPROP IO_INT_INTERFACE_L_X0Y58 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y58 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y58 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y58 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y58 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y58 NAME IO_INT_INTERFACE_L_X0Y58 TILEPROP IO_INT_INTERFACE_L_X0Y58 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y58 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y58 ROW 95 TILEPROP IO_INT_INTERFACE_L_X0Y58 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y58 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y58 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y58 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y58 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y58 TILE_Y -52800 TILEPROP IO_INT_INTERFACE_L_X0Y58 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y59 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y59 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y59 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y59 FIRST_SITE_ID 9392 TILEPROP IO_INT_INTERFACE_L_X0Y59 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y59 GRID_POINT_Y 94 TILEPROP IO_INT_INTERFACE_L_X0Y59 INDEX 10813 TILEPROP IO_INT_INTERFACE_L_X0Y59 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y59 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y59 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y59 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y59 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y59 NAME IO_INT_INTERFACE_L_X0Y59 TILEPROP IO_INT_INTERFACE_L_X0Y59 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y59 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y59 ROW 94 TILEPROP IO_INT_INTERFACE_L_X0Y59 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y59 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y59 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y59 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y59 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y59 TILE_Y -49600 TILEPROP IO_INT_INTERFACE_L_X0Y59 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y60 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y60 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y60 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y60 FIRST_SITE_ID 9277 TILEPROP IO_INT_INTERFACE_L_X0Y60 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y60 GRID_POINT_Y 93 TILEPROP IO_INT_INTERFACE_L_X0Y60 INDEX 10698 TILEPROP IO_INT_INTERFACE_L_X0Y60 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y60 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y60 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y60 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y60 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y60 NAME IO_INT_INTERFACE_L_X0Y60 TILEPROP IO_INT_INTERFACE_L_X0Y60 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y60 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y60 ROW 93 TILEPROP IO_INT_INTERFACE_L_X0Y60 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y60 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y60 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y60 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y60 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y60 TILE_Y -46400 TILEPROP IO_INT_INTERFACE_L_X0Y60 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y61 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y61 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y61 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y61 FIRST_SITE_ID 9177 TILEPROP IO_INT_INTERFACE_L_X0Y61 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y61 GRID_POINT_Y 92 TILEPROP IO_INT_INTERFACE_L_X0Y61 INDEX 10583 TILEPROP IO_INT_INTERFACE_L_X0Y61 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y61 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y61 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y61 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y61 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y61 NAME IO_INT_INTERFACE_L_X0Y61 TILEPROP IO_INT_INTERFACE_L_X0Y61 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y61 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y61 ROW 92 TILEPROP IO_INT_INTERFACE_L_X0Y61 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y61 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y61 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y61 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y61 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y61 TILE_Y -43200 TILEPROP IO_INT_INTERFACE_L_X0Y61 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y62 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y62 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y62 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y62 FIRST_SITE_ID 9045 TILEPROP IO_INT_INTERFACE_L_X0Y62 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y62 GRID_POINT_Y 91 TILEPROP IO_INT_INTERFACE_L_X0Y62 INDEX 10468 TILEPROP IO_INT_INTERFACE_L_X0Y62 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y62 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y62 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y62 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y62 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y62 NAME IO_INT_INTERFACE_L_X0Y62 TILEPROP IO_INT_INTERFACE_L_X0Y62 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y62 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y62 ROW 91 TILEPROP IO_INT_INTERFACE_L_X0Y62 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y62 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y62 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y62 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y62 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y62 TILE_Y -40000 TILEPROP IO_INT_INTERFACE_L_X0Y62 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y63 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y63 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y63 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y63 FIRST_SITE_ID 8945 TILEPROP IO_INT_INTERFACE_L_X0Y63 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y63 GRID_POINT_Y 90 TILEPROP IO_INT_INTERFACE_L_X0Y63 INDEX 10353 TILEPROP IO_INT_INTERFACE_L_X0Y63 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y63 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y63 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y63 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y63 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y63 NAME IO_INT_INTERFACE_L_X0Y63 TILEPROP IO_INT_INTERFACE_L_X0Y63 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y63 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y63 ROW 90 TILEPROP IO_INT_INTERFACE_L_X0Y63 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y63 TILE_PATTERN_IDX 415 TILEPROP IO_INT_INTERFACE_L_X0Y63 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y63 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y63 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y63 TILE_Y -36800 TILEPROP IO_INT_INTERFACE_L_X0Y63 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y64 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y64 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y64 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y64 FIRST_SITE_ID 8845 TILEPROP IO_INT_INTERFACE_L_X0Y64 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y64 GRID_POINT_Y 89 TILEPROP IO_INT_INTERFACE_L_X0Y64 INDEX 10238 TILEPROP IO_INT_INTERFACE_L_X0Y64 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y64 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y64 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y64 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y64 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y64 NAME IO_INT_INTERFACE_L_X0Y64 TILEPROP IO_INT_INTERFACE_L_X0Y64 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y64 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y64 ROW 89 TILEPROP IO_INT_INTERFACE_L_X0Y64 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y64 TILE_PATTERN_IDX 383 TILEPROP IO_INT_INTERFACE_L_X0Y64 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y64 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y64 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y64 TILE_Y -33600 TILEPROP IO_INT_INTERFACE_L_X0Y64 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y65 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y65 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y65 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y65 FIRST_SITE_ID 8730 TILEPROP IO_INT_INTERFACE_L_X0Y65 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y65 GRID_POINT_Y 88 TILEPROP IO_INT_INTERFACE_L_X0Y65 INDEX 10123 TILEPROP IO_INT_INTERFACE_L_X0Y65 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y65 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y65 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y65 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y65 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y65 NAME IO_INT_INTERFACE_L_X0Y65 TILEPROP IO_INT_INTERFACE_L_X0Y65 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y65 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y65 ROW 88 TILEPROP IO_INT_INTERFACE_L_X0Y65 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y65 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y65 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y65 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y65 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y65 TILE_Y -30400 TILEPROP IO_INT_INTERFACE_L_X0Y65 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y66 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y66 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y66 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y66 FIRST_SITE_ID 8630 TILEPROP IO_INT_INTERFACE_L_X0Y66 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y66 GRID_POINT_Y 87 TILEPROP IO_INT_INTERFACE_L_X0Y66 INDEX 10008 TILEPROP IO_INT_INTERFACE_L_X0Y66 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y66 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y66 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y66 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y66 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y66 NAME IO_INT_INTERFACE_L_X0Y66 TILEPROP IO_INT_INTERFACE_L_X0Y66 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y66 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y66 ROW 87 TILEPROP IO_INT_INTERFACE_L_X0Y66 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y66 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y66 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y66 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y66 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y66 TILE_Y -27200 TILEPROP IO_INT_INTERFACE_L_X0Y66 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y67 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y67 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y67 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y67 FIRST_SITE_ID 8522 TILEPROP IO_INT_INTERFACE_L_X0Y67 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y67 GRID_POINT_Y 86 TILEPROP IO_INT_INTERFACE_L_X0Y67 INDEX 9893 TILEPROP IO_INT_INTERFACE_L_X0Y67 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y67 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y67 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y67 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y67 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y67 NAME IO_INT_INTERFACE_L_X0Y67 TILEPROP IO_INT_INTERFACE_L_X0Y67 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y67 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y67 ROW 86 TILEPROP IO_INT_INTERFACE_L_X0Y67 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y67 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y67 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y67 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y67 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y67 TILE_Y -24000 TILEPROP IO_INT_INTERFACE_L_X0Y67 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y68 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y68 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y68 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y68 FIRST_SITE_ID 8422 TILEPROP IO_INT_INTERFACE_L_X0Y68 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y68 GRID_POINT_Y 85 TILEPROP IO_INT_INTERFACE_L_X0Y68 INDEX 9778 TILEPROP IO_INT_INTERFACE_L_X0Y68 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y68 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y68 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y68 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y68 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y68 NAME IO_INT_INTERFACE_L_X0Y68 TILEPROP IO_INT_INTERFACE_L_X0Y68 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y68 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y68 ROW 85 TILEPROP IO_INT_INTERFACE_L_X0Y68 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y68 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y68 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y68 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y68 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y68 TILE_Y -20800 TILEPROP IO_INT_INTERFACE_L_X0Y68 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y69 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y69 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y69 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y69 FIRST_SITE_ID 8318 TILEPROP IO_INT_INTERFACE_L_X0Y69 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y69 GRID_POINT_Y 84 TILEPROP IO_INT_INTERFACE_L_X0Y69 INDEX 9663 TILEPROP IO_INT_INTERFACE_L_X0Y69 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y69 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y69 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y69 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y69 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y69 NAME IO_INT_INTERFACE_L_X0Y69 TILEPROP IO_INT_INTERFACE_L_X0Y69 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y69 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y69 ROW 84 TILEPROP IO_INT_INTERFACE_L_X0Y69 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y69 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y69 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y69 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y69 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y69 TILE_Y -17600 TILEPROP IO_INT_INTERFACE_L_X0Y69 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y70 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y70 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y70 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y70 FIRST_SITE_ID 8203 TILEPROP IO_INT_INTERFACE_L_X0Y70 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y70 GRID_POINT_Y 83 TILEPROP IO_INT_INTERFACE_L_X0Y70 INDEX 9548 TILEPROP IO_INT_INTERFACE_L_X0Y70 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y70 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y70 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y70 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y70 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y70 NAME IO_INT_INTERFACE_L_X0Y70 TILEPROP IO_INT_INTERFACE_L_X0Y70 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y70 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y70 ROW 83 TILEPROP IO_INT_INTERFACE_L_X0Y70 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y70 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y70 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y70 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y70 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y70 TILE_Y -14400 TILEPROP IO_INT_INTERFACE_L_X0Y70 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y71 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y71 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y71 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y71 FIRST_SITE_ID 8103 TILEPROP IO_INT_INTERFACE_L_X0Y71 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y71 GRID_POINT_Y 82 TILEPROP IO_INT_INTERFACE_L_X0Y71 INDEX 9433 TILEPROP IO_INT_INTERFACE_L_X0Y71 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y71 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y71 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y71 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y71 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y71 NAME IO_INT_INTERFACE_L_X0Y71 TILEPROP IO_INT_INTERFACE_L_X0Y71 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y71 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y71 ROW 82 TILEPROP IO_INT_INTERFACE_L_X0Y71 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y71 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y71 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y71 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y71 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y71 TILE_Y -11200 TILEPROP IO_INT_INTERFACE_L_X0Y71 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y72 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y72 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y72 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y72 FIRST_SITE_ID 8003 TILEPROP IO_INT_INTERFACE_L_X0Y72 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y72 GRID_POINT_Y 81 TILEPROP IO_INT_INTERFACE_L_X0Y72 INDEX 9318 TILEPROP IO_INT_INTERFACE_L_X0Y72 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y72 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y72 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y72 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y72 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y72 NAME IO_INT_INTERFACE_L_X0Y72 TILEPROP IO_INT_INTERFACE_L_X0Y72 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y72 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y72 ROW 81 TILEPROP IO_INT_INTERFACE_L_X0Y72 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y72 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y72 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y72 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y72 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y72 TILE_Y -8000 TILEPROP IO_INT_INTERFACE_L_X0Y72 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y73 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y73 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y73 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y73 FIRST_SITE_ID 7903 TILEPROP IO_INT_INTERFACE_L_X0Y73 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y73 GRID_POINT_Y 80 TILEPROP IO_INT_INTERFACE_L_X0Y73 INDEX 9203 TILEPROP IO_INT_INTERFACE_L_X0Y73 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y73 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y73 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y73 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y73 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y73 NAME IO_INT_INTERFACE_L_X0Y73 TILEPROP IO_INT_INTERFACE_L_X0Y73 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y73 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y73 ROW 80 TILEPROP IO_INT_INTERFACE_L_X0Y73 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y73 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y73 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y73 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y73 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y73 TILE_Y -4800 TILEPROP IO_INT_INTERFACE_L_X0Y73 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y74 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y74 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y74 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y74 FIRST_SITE_ID 7803 TILEPROP IO_INT_INTERFACE_L_X0Y74 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y74 GRID_POINT_Y 79 TILEPROP IO_INT_INTERFACE_L_X0Y74 INDEX 9088 TILEPROP IO_INT_INTERFACE_L_X0Y74 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y74 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y74 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y74 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y74 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y74 NAME IO_INT_INTERFACE_L_X0Y74 TILEPROP IO_INT_INTERFACE_L_X0Y74 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y74 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y74 ROW 79 TILEPROP IO_INT_INTERFACE_L_X0Y74 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y74 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y74 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y74 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y74 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y74 TILE_Y -1600 TILEPROP IO_INT_INTERFACE_L_X0Y74 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y75 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y75 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y75 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y75 FIRST_SITE_ID 7602 TILEPROP IO_INT_INTERFACE_L_X0Y75 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y75 GRID_POINT_Y 77 TILEPROP IO_INT_INTERFACE_L_X0Y75 INDEX 8858 TILEPROP IO_INT_INTERFACE_L_X0Y75 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y75 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y75 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y75 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y75 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y75 NAME IO_INT_INTERFACE_L_X0Y75 TILEPROP IO_INT_INTERFACE_L_X0Y75 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y75 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y75 ROW 77 TILEPROP IO_INT_INTERFACE_L_X0Y75 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y75 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y75 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y75 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y75 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y75 TILE_Y 2624 TILEPROP IO_INT_INTERFACE_L_X0Y75 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y76 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y76 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y76 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y76 FIRST_SITE_ID 7502 TILEPROP IO_INT_INTERFACE_L_X0Y76 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y76 GRID_POINT_Y 76 TILEPROP IO_INT_INTERFACE_L_X0Y76 INDEX 8743 TILEPROP IO_INT_INTERFACE_L_X0Y76 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y76 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y76 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y76 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y76 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y76 NAME IO_INT_INTERFACE_L_X0Y76 TILEPROP IO_INT_INTERFACE_L_X0Y76 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y76 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y76 ROW 76 TILEPROP IO_INT_INTERFACE_L_X0Y76 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y76 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y76 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y76 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y76 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y76 TILE_Y 5824 TILEPROP IO_INT_INTERFACE_L_X0Y76 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y77 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y77 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y77 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y77 FIRST_SITE_ID 7402 TILEPROP IO_INT_INTERFACE_L_X0Y77 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y77 GRID_POINT_Y 75 TILEPROP IO_INT_INTERFACE_L_X0Y77 INDEX 8628 TILEPROP IO_INT_INTERFACE_L_X0Y77 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y77 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y77 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y77 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y77 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y77 NAME IO_INT_INTERFACE_L_X0Y77 TILEPROP IO_INT_INTERFACE_L_X0Y77 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y77 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y77 ROW 75 TILEPROP IO_INT_INTERFACE_L_X0Y77 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y77 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y77 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y77 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y77 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y77 TILE_Y 9024 TILEPROP IO_INT_INTERFACE_L_X0Y77 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y78 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y78 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y78 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y78 FIRST_SITE_ID 7302 TILEPROP IO_INT_INTERFACE_L_X0Y78 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y78 GRID_POINT_Y 74 TILEPROP IO_INT_INTERFACE_L_X0Y78 INDEX 8513 TILEPROP IO_INT_INTERFACE_L_X0Y78 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y78 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y78 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y78 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y78 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y78 NAME IO_INT_INTERFACE_L_X0Y78 TILEPROP IO_INT_INTERFACE_L_X0Y78 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y78 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y78 ROW 74 TILEPROP IO_INT_INTERFACE_L_X0Y78 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y78 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y78 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y78 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y78 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y78 TILE_Y 12224 TILEPROP IO_INT_INTERFACE_L_X0Y78 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y79 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y79 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y79 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y79 FIRST_SITE_ID 7190 TILEPROP IO_INT_INTERFACE_L_X0Y79 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y79 GRID_POINT_Y 73 TILEPROP IO_INT_INTERFACE_L_X0Y79 INDEX 8398 TILEPROP IO_INT_INTERFACE_L_X0Y79 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y79 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y79 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y79 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y79 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y79 NAME IO_INT_INTERFACE_L_X0Y79 TILEPROP IO_INT_INTERFACE_L_X0Y79 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y79 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y79 ROW 73 TILEPROP IO_INT_INTERFACE_L_X0Y79 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y79 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y79 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y79 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y79 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y79 TILE_Y 15424 TILEPROP IO_INT_INTERFACE_L_X0Y79 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y80 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y80 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y80 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y80 FIRST_SITE_ID 7075 TILEPROP IO_INT_INTERFACE_L_X0Y80 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y80 GRID_POINT_Y 72 TILEPROP IO_INT_INTERFACE_L_X0Y80 INDEX 8283 TILEPROP IO_INT_INTERFACE_L_X0Y80 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y80 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y80 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y80 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y80 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y80 NAME IO_INT_INTERFACE_L_X0Y80 TILEPROP IO_INT_INTERFACE_L_X0Y80 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y80 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y80 ROW 72 TILEPROP IO_INT_INTERFACE_L_X0Y80 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y80 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y80 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y80 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y80 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y80 TILE_Y 18624 TILEPROP IO_INT_INTERFACE_L_X0Y80 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y81 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y81 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y81 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y81 FIRST_SITE_ID 6971 TILEPROP IO_INT_INTERFACE_L_X0Y81 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y81 GRID_POINT_Y 71 TILEPROP IO_INT_INTERFACE_L_X0Y81 INDEX 8168 TILEPROP IO_INT_INTERFACE_L_X0Y81 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y81 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y81 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y81 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y81 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y81 NAME IO_INT_INTERFACE_L_X0Y81 TILEPROP IO_INT_INTERFACE_L_X0Y81 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y81 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y81 ROW 71 TILEPROP IO_INT_INTERFACE_L_X0Y81 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y81 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y81 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y81 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y81 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y81 TILE_Y 21824 TILEPROP IO_INT_INTERFACE_L_X0Y81 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y82 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y82 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y82 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y82 FIRST_SITE_ID 6871 TILEPROP IO_INT_INTERFACE_L_X0Y82 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y82 GRID_POINT_Y 70 TILEPROP IO_INT_INTERFACE_L_X0Y82 INDEX 8053 TILEPROP IO_INT_INTERFACE_L_X0Y82 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y82 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y82 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y82 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y82 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y82 NAME IO_INT_INTERFACE_L_X0Y82 TILEPROP IO_INT_INTERFACE_L_X0Y82 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y82 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y82 ROW 70 TILEPROP IO_INT_INTERFACE_L_X0Y82 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y82 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y82 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y82 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y82 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y82 TILE_Y 25024 TILEPROP IO_INT_INTERFACE_L_X0Y82 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y83 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y83 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y83 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y83 FIRST_SITE_ID 6771 TILEPROP IO_INT_INTERFACE_L_X0Y83 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y83 GRID_POINT_Y 69 TILEPROP IO_INT_INTERFACE_L_X0Y83 INDEX 7938 TILEPROP IO_INT_INTERFACE_L_X0Y83 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y83 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y83 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y83 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y83 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y83 NAME IO_INT_INTERFACE_L_X0Y83 TILEPROP IO_INT_INTERFACE_L_X0Y83 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y83 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y83 ROW 69 TILEPROP IO_INT_INTERFACE_L_X0Y83 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y83 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y83 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y83 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y83 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y83 TILE_Y 28224 TILEPROP IO_INT_INTERFACE_L_X0Y83 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y84 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y84 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y84 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y84 FIRST_SITE_ID 6671 TILEPROP IO_INT_INTERFACE_L_X0Y84 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y84 GRID_POINT_Y 68 TILEPROP IO_INT_INTERFACE_L_X0Y84 INDEX 7823 TILEPROP IO_INT_INTERFACE_L_X0Y84 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y84 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y84 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y84 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y84 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y84 NAME IO_INT_INTERFACE_L_X0Y84 TILEPROP IO_INT_INTERFACE_L_X0Y84 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y84 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y84 ROW 68 TILEPROP IO_INT_INTERFACE_L_X0Y84 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y84 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y84 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y84 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y84 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y84 TILE_Y 31424 TILEPROP IO_INT_INTERFACE_L_X0Y84 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y85 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y85 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y85 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y85 FIRST_SITE_ID 6556 TILEPROP IO_INT_INTERFACE_L_X0Y85 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y85 GRID_POINT_Y 67 TILEPROP IO_INT_INTERFACE_L_X0Y85 INDEX 7708 TILEPROP IO_INT_INTERFACE_L_X0Y85 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y85 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y85 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y85 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y85 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y85 NAME IO_INT_INTERFACE_L_X0Y85 TILEPROP IO_INT_INTERFACE_L_X0Y85 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y85 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y85 ROW 67 TILEPROP IO_INT_INTERFACE_L_X0Y85 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y85 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y85 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y85 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y85 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y85 TILE_Y 34624 TILEPROP IO_INT_INTERFACE_L_X0Y85 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y86 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y86 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y86 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y86 FIRST_SITE_ID 6424 TILEPROP IO_INT_INTERFACE_L_X0Y86 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y86 GRID_POINT_Y 66 TILEPROP IO_INT_INTERFACE_L_X0Y86 INDEX 7593 TILEPROP IO_INT_INTERFACE_L_X0Y86 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y86 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y86 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y86 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y86 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y86 NAME IO_INT_INTERFACE_L_X0Y86 TILEPROP IO_INT_INTERFACE_L_X0Y86 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y86 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y86 ROW 66 TILEPROP IO_INT_INTERFACE_L_X0Y86 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y86 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y86 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y86 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y86 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y86 TILE_Y 37824 TILEPROP IO_INT_INTERFACE_L_X0Y86 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y87 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y87 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y87 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y87 FIRST_SITE_ID 6324 TILEPROP IO_INT_INTERFACE_L_X0Y87 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y87 GRID_POINT_Y 65 TILEPROP IO_INT_INTERFACE_L_X0Y87 INDEX 7478 TILEPROP IO_INT_INTERFACE_L_X0Y87 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y87 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y87 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y87 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y87 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y87 NAME IO_INT_INTERFACE_L_X0Y87 TILEPROP IO_INT_INTERFACE_L_X0Y87 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y87 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y87 ROW 65 TILEPROP IO_INT_INTERFACE_L_X0Y87 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y87 TILE_PATTERN_IDX 415 TILEPROP IO_INT_INTERFACE_L_X0Y87 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y87 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y87 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y87 TILE_Y 41024 TILEPROP IO_INT_INTERFACE_L_X0Y87 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y88 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y88 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y88 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y88 FIRST_SITE_ID 6224 TILEPROP IO_INT_INTERFACE_L_X0Y88 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y88 GRID_POINT_Y 64 TILEPROP IO_INT_INTERFACE_L_X0Y88 INDEX 7363 TILEPROP IO_INT_INTERFACE_L_X0Y88 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y88 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y88 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y88 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y88 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y88 NAME IO_INT_INTERFACE_L_X0Y88 TILEPROP IO_INT_INTERFACE_L_X0Y88 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y88 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y88 ROW 64 TILEPROP IO_INT_INTERFACE_L_X0Y88 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y88 TILE_PATTERN_IDX 383 TILEPROP IO_INT_INTERFACE_L_X0Y88 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y88 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y88 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y88 TILE_Y 44224 TILEPROP IO_INT_INTERFACE_L_X0Y88 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y89 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y89 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y89 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y89 FIRST_SITE_ID 6124 TILEPROP IO_INT_INTERFACE_L_X0Y89 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y89 GRID_POINT_Y 63 TILEPROP IO_INT_INTERFACE_L_X0Y89 INDEX 7248 TILEPROP IO_INT_INTERFACE_L_X0Y89 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y89 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y89 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y89 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y89 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y89 NAME IO_INT_INTERFACE_L_X0Y89 TILEPROP IO_INT_INTERFACE_L_X0Y89 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y89 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y89 ROW 63 TILEPROP IO_INT_INTERFACE_L_X0Y89 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y89 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y89 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y89 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y89 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y89 TILE_Y 47424 TILEPROP IO_INT_INTERFACE_L_X0Y89 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y90 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y90 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y90 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y90 FIRST_SITE_ID 6009 TILEPROP IO_INT_INTERFACE_L_X0Y90 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y90 GRID_POINT_Y 62 TILEPROP IO_INT_INTERFACE_L_X0Y90 INDEX 7133 TILEPROP IO_INT_INTERFACE_L_X0Y90 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y90 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y90 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y90 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y90 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y90 NAME IO_INT_INTERFACE_L_X0Y90 TILEPROP IO_INT_INTERFACE_L_X0Y90 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y90 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y90 ROW 62 TILEPROP IO_INT_INTERFACE_L_X0Y90 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y90 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y90 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y90 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y90 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y90 TILE_Y 50624 TILEPROP IO_INT_INTERFACE_L_X0Y90 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y91 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y91 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y91 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y91 FIRST_SITE_ID 5909 TILEPROP IO_INT_INTERFACE_L_X0Y91 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y91 GRID_POINT_Y 61 TILEPROP IO_INT_INTERFACE_L_X0Y91 INDEX 7018 TILEPROP IO_INT_INTERFACE_L_X0Y91 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y91 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y91 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y91 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y91 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y91 NAME IO_INT_INTERFACE_L_X0Y91 TILEPROP IO_INT_INTERFACE_L_X0Y91 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y91 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y91 ROW 61 TILEPROP IO_INT_INTERFACE_L_X0Y91 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y91 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y91 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y91 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y91 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y91 TILE_Y 53824 TILEPROP IO_INT_INTERFACE_L_X0Y91 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y92 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y92 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y92 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y92 FIRST_SITE_ID 5807 TILEPROP IO_INT_INTERFACE_L_X0Y92 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y92 GRID_POINT_Y 60 TILEPROP IO_INT_INTERFACE_L_X0Y92 INDEX 6903 TILEPROP IO_INT_INTERFACE_L_X0Y92 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y92 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y92 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y92 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y92 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y92 NAME IO_INT_INTERFACE_L_X0Y92 TILEPROP IO_INT_INTERFACE_L_X0Y92 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y92 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y92 ROW 60 TILEPROP IO_INT_INTERFACE_L_X0Y92 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y92 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y92 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y92 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y92 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y92 TILE_Y 57024 TILEPROP IO_INT_INTERFACE_L_X0Y92 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y93 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y93 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y93 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y93 FIRST_SITE_ID 5703 TILEPROP IO_INT_INTERFACE_L_X0Y93 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y93 GRID_POINT_Y 59 TILEPROP IO_INT_INTERFACE_L_X0Y93 INDEX 6788 TILEPROP IO_INT_INTERFACE_L_X0Y93 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y93 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y93 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y93 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y93 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y93 NAME IO_INT_INTERFACE_L_X0Y93 TILEPROP IO_INT_INTERFACE_L_X0Y93 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y93 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y93 ROW 59 TILEPROP IO_INT_INTERFACE_L_X0Y93 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y93 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y93 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y93 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y93 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y93 TILE_Y 60224 TILEPROP IO_INT_INTERFACE_L_X0Y93 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y94 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y94 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y94 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y94 FIRST_SITE_ID 5603 TILEPROP IO_INT_INTERFACE_L_X0Y94 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y94 GRID_POINT_Y 58 TILEPROP IO_INT_INTERFACE_L_X0Y94 INDEX 6673 TILEPROP IO_INT_INTERFACE_L_X0Y94 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y94 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y94 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y94 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y94 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y94 NAME IO_INT_INTERFACE_L_X0Y94 TILEPROP IO_INT_INTERFACE_L_X0Y94 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y94 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y94 ROW 58 TILEPROP IO_INT_INTERFACE_L_X0Y94 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y94 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y94 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y94 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y94 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y94 TILE_Y 63424 TILEPROP IO_INT_INTERFACE_L_X0Y94 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y95 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y95 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y95 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y95 FIRST_SITE_ID 5488 TILEPROP IO_INT_INTERFACE_L_X0Y95 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y95 GRID_POINT_Y 57 TILEPROP IO_INT_INTERFACE_L_X0Y95 INDEX 6558 TILEPROP IO_INT_INTERFACE_L_X0Y95 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y95 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y95 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y95 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y95 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y95 NAME IO_INT_INTERFACE_L_X0Y95 TILEPROP IO_INT_INTERFACE_L_X0Y95 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y95 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y95 ROW 57 TILEPROP IO_INT_INTERFACE_L_X0Y95 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y95 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y95 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y95 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y95 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y95 TILE_Y 66624 TILEPROP IO_INT_INTERFACE_L_X0Y95 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y96 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y96 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y96 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y96 FIRST_SITE_ID 5388 TILEPROP IO_INT_INTERFACE_L_X0Y96 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y96 GRID_POINT_Y 56 TILEPROP IO_INT_INTERFACE_L_X0Y96 INDEX 6443 TILEPROP IO_INT_INTERFACE_L_X0Y96 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y96 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y96 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y96 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y96 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y96 NAME IO_INT_INTERFACE_L_X0Y96 TILEPROP IO_INT_INTERFACE_L_X0Y96 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y96 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y96 ROW 56 TILEPROP IO_INT_INTERFACE_L_X0Y96 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y96 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y96 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y96 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y96 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y96 TILE_Y 69824 TILEPROP IO_INT_INTERFACE_L_X0Y96 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y97 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y97 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y97 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y97 FIRST_SITE_ID 5288 TILEPROP IO_INT_INTERFACE_L_X0Y97 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y97 GRID_POINT_Y 55 TILEPROP IO_INT_INTERFACE_L_X0Y97 INDEX 6328 TILEPROP IO_INT_INTERFACE_L_X0Y97 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y97 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y97 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y97 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y97 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y97 NAME IO_INT_INTERFACE_L_X0Y97 TILEPROP IO_INT_INTERFACE_L_X0Y97 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y97 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y97 ROW 55 TILEPROP IO_INT_INTERFACE_L_X0Y97 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y97 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y97 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y97 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y97 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y97 TILE_Y 73024 TILEPROP IO_INT_INTERFACE_L_X0Y97 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y98 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y98 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y98 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y98 FIRST_SITE_ID 5188 TILEPROP IO_INT_INTERFACE_L_X0Y98 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y98 GRID_POINT_Y 54 TILEPROP IO_INT_INTERFACE_L_X0Y98 INDEX 6213 TILEPROP IO_INT_INTERFACE_L_X0Y98 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y98 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y98 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y98 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y98 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y98 NAME IO_INT_INTERFACE_L_X0Y98 TILEPROP IO_INT_INTERFACE_L_X0Y98 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y98 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y98 ROW 54 TILEPROP IO_INT_INTERFACE_L_X0Y98 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y98 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y98 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y98 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y98 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y98 TILE_Y 76224 TILEPROP IO_INT_INTERFACE_L_X0Y98 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y99 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y99 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y99 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y99 FIRST_SITE_ID 5092 TILEPROP IO_INT_INTERFACE_L_X0Y99 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y99 GRID_POINT_Y 53 TILEPROP IO_INT_INTERFACE_L_X0Y99 INDEX 6098 TILEPROP IO_INT_INTERFACE_L_X0Y99 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y99 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y99 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y99 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y99 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y99 NAME IO_INT_INTERFACE_L_X0Y99 TILEPROP IO_INT_INTERFACE_L_X0Y99 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y99 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y99 ROW 53 TILEPROP IO_INT_INTERFACE_L_X0Y99 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y99 TILE_PATTERN_IDX 7 TILEPROP IO_INT_INTERFACE_L_X0Y99 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y99 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y99 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y99 TILE_Y 79424 TILEPROP IO_INT_INTERFACE_L_X0Y99 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y100 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y100 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y100 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y100 FIRST_SITE_ID 4991 TILEPROP IO_INT_INTERFACE_L_X0Y100 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y100 GRID_POINT_Y 51 TILEPROP IO_INT_INTERFACE_L_X0Y100 INDEX 5868 TILEPROP IO_INT_INTERFACE_L_X0Y100 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y100 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y100 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y100 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y100 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y100 NAME IO_INT_INTERFACE_L_X0Y100 TILEPROP IO_INT_INTERFACE_L_X0Y100 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y100 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y100 ROW 51 TILEPROP IO_INT_INTERFACE_L_X0Y100 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y100 TILE_PATTERN_IDX 7 TILEPROP IO_INT_INTERFACE_L_X0Y100 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y100 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y100 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y100 TILE_Y 82872 TILEPROP IO_INT_INTERFACE_L_X0Y100 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y101 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y101 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y101 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y101 FIRST_SITE_ID 4899 TILEPROP IO_INT_INTERFACE_L_X0Y101 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y101 GRID_POINT_Y 50 TILEPROP IO_INT_INTERFACE_L_X0Y101 INDEX 5753 TILEPROP IO_INT_INTERFACE_L_X0Y101 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y101 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y101 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y101 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y101 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y101 NAME IO_INT_INTERFACE_L_X0Y101 TILEPROP IO_INT_INTERFACE_L_X0Y101 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y101 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y101 ROW 50 TILEPROP IO_INT_INTERFACE_L_X0Y101 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y101 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y101 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y101 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y101 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y101 TILE_Y 86072 TILEPROP IO_INT_INTERFACE_L_X0Y101 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y102 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y102 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y102 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y102 FIRST_SITE_ID 4803 TILEPROP IO_INT_INTERFACE_L_X0Y102 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y102 GRID_POINT_Y 49 TILEPROP IO_INT_INTERFACE_L_X0Y102 INDEX 5638 TILEPROP IO_INT_INTERFACE_L_X0Y102 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y102 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y102 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y102 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y102 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y102 NAME IO_INT_INTERFACE_L_X0Y102 TILEPROP IO_INT_INTERFACE_L_X0Y102 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y102 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y102 ROW 49 TILEPROP IO_INT_INTERFACE_L_X0Y102 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y102 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y102 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y102 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y102 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y102 TILE_Y 89272 TILEPROP IO_INT_INTERFACE_L_X0Y102 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y103 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y103 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y103 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y103 FIRST_SITE_ID 4715 TILEPROP IO_INT_INTERFACE_L_X0Y103 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y103 GRID_POINT_Y 48 TILEPROP IO_INT_INTERFACE_L_X0Y103 INDEX 5523 TILEPROP IO_INT_INTERFACE_L_X0Y103 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y103 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y103 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y103 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y103 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y103 NAME IO_INT_INTERFACE_L_X0Y103 TILEPROP IO_INT_INTERFACE_L_X0Y103 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y103 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y103 ROW 48 TILEPROP IO_INT_INTERFACE_L_X0Y103 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y103 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y103 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y103 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y103 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y103 TILE_Y 92472 TILEPROP IO_INT_INTERFACE_L_X0Y103 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y104 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y104 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y104 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y104 FIRST_SITE_ID 4619 TILEPROP IO_INT_INTERFACE_L_X0Y104 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y104 GRID_POINT_Y 47 TILEPROP IO_INT_INTERFACE_L_X0Y104 INDEX 5408 TILEPROP IO_INT_INTERFACE_L_X0Y104 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y104 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y104 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y104 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y104 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y104 NAME IO_INT_INTERFACE_L_X0Y104 TILEPROP IO_INT_INTERFACE_L_X0Y104 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y104 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y104 ROW 47 TILEPROP IO_INT_INTERFACE_L_X0Y104 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y104 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y104 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y104 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y104 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y104 TILE_Y 95672 TILEPROP IO_INT_INTERFACE_L_X0Y104 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y105 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y105 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y105 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y105 FIRST_SITE_ID 4517 TILEPROP IO_INT_INTERFACE_L_X0Y105 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y105 GRID_POINT_Y 46 TILEPROP IO_INT_INTERFACE_L_X0Y105 INDEX 5293 TILEPROP IO_INT_INTERFACE_L_X0Y105 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y105 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y105 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y105 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y105 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y105 NAME IO_INT_INTERFACE_L_X0Y105 TILEPROP IO_INT_INTERFACE_L_X0Y105 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y105 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y105 ROW 46 TILEPROP IO_INT_INTERFACE_L_X0Y105 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y105 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y105 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y105 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y105 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y105 TILE_Y 98872 TILEPROP IO_INT_INTERFACE_L_X0Y105 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y106 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y106 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y106 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y106 FIRST_SITE_ID 4421 TILEPROP IO_INT_INTERFACE_L_X0Y106 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y106 GRID_POINT_Y 45 TILEPROP IO_INT_INTERFACE_L_X0Y106 INDEX 5178 TILEPROP IO_INT_INTERFACE_L_X0Y106 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y106 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y106 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y106 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y106 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y106 NAME IO_INT_INTERFACE_L_X0Y106 TILEPROP IO_INT_INTERFACE_L_X0Y106 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y106 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y106 ROW 45 TILEPROP IO_INT_INTERFACE_L_X0Y106 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y106 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y106 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y106 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y106 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y106 TILE_Y 102072 TILEPROP IO_INT_INTERFACE_L_X0Y106 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y107 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y107 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y107 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y107 FIRST_SITE_ID 4331 TILEPROP IO_INT_INTERFACE_L_X0Y107 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y107 GRID_POINT_Y 44 TILEPROP IO_INT_INTERFACE_L_X0Y107 INDEX 5063 TILEPROP IO_INT_INTERFACE_L_X0Y107 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y107 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y107 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y107 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y107 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y107 NAME IO_INT_INTERFACE_L_X0Y107 TILEPROP IO_INT_INTERFACE_L_X0Y107 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y107 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y107 ROW 44 TILEPROP IO_INT_INTERFACE_L_X0Y107 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y107 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y107 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y107 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y107 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y107 TILE_Y 105272 TILEPROP IO_INT_INTERFACE_L_X0Y107 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y108 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y108 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y108 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y108 FIRST_SITE_ID 4234 TILEPROP IO_INT_INTERFACE_L_X0Y108 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y108 GRID_POINT_Y 43 TILEPROP IO_INT_INTERFACE_L_X0Y108 INDEX 4948 TILEPROP IO_INT_INTERFACE_L_X0Y108 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y108 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y108 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y108 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y108 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y108 NAME IO_INT_INTERFACE_L_X0Y108 TILEPROP IO_INT_INTERFACE_L_X0Y108 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y108 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y108 ROW 43 TILEPROP IO_INT_INTERFACE_L_X0Y108 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y108 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y108 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y108 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y108 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y108 TILE_Y 108472 TILEPROP IO_INT_INTERFACE_L_X0Y108 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y109 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y109 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y109 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y109 FIRST_SITE_ID 4146 TILEPROP IO_INT_INTERFACE_L_X0Y109 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y109 GRID_POINT_Y 42 TILEPROP IO_INT_INTERFACE_L_X0Y109 INDEX 4833 TILEPROP IO_INT_INTERFACE_L_X0Y109 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y109 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y109 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y109 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y109 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y109 NAME IO_INT_INTERFACE_L_X0Y109 TILEPROP IO_INT_INTERFACE_L_X0Y109 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y109 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y109 ROW 42 TILEPROP IO_INT_INTERFACE_L_X0Y109 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y109 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y109 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y109 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y109 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y109 TILE_Y 111672 TILEPROP IO_INT_INTERFACE_L_X0Y109 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y110 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y110 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y110 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y110 FIRST_SITE_ID 4040 TILEPROP IO_INT_INTERFACE_L_X0Y110 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y110 GRID_POINT_Y 41 TILEPROP IO_INT_INTERFACE_L_X0Y110 INDEX 4718 TILEPROP IO_INT_INTERFACE_L_X0Y110 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y110 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y110 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y110 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y110 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y110 NAME IO_INT_INTERFACE_L_X0Y110 TILEPROP IO_INT_INTERFACE_L_X0Y110 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y110 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y110 ROW 41 TILEPROP IO_INT_INTERFACE_L_X0Y110 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y110 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y110 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y110 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y110 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y110 TILE_Y 114872 TILEPROP IO_INT_INTERFACE_L_X0Y110 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y111 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y111 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y111 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y111 FIRST_SITE_ID 3952 TILEPROP IO_INT_INTERFACE_L_X0Y111 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y111 GRID_POINT_Y 40 TILEPROP IO_INT_INTERFACE_L_X0Y111 INDEX 4603 TILEPROP IO_INT_INTERFACE_L_X0Y111 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y111 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y111 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y111 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y111 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y111 NAME IO_INT_INTERFACE_L_X0Y111 TILEPROP IO_INT_INTERFACE_L_X0Y111 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y111 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y111 ROW 40 TILEPROP IO_INT_INTERFACE_L_X0Y111 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y111 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y111 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y111 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y111 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y111 TILE_Y 118072 TILEPROP IO_INT_INTERFACE_L_X0Y111 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y112 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y112 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y112 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y112 FIRST_SITE_ID 3824 TILEPROP IO_INT_INTERFACE_L_X0Y112 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y112 GRID_POINT_Y 39 TILEPROP IO_INT_INTERFACE_L_X0Y112 INDEX 4488 TILEPROP IO_INT_INTERFACE_L_X0Y112 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y112 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y112 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y112 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y112 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y112 NAME IO_INT_INTERFACE_L_X0Y112 TILEPROP IO_INT_INTERFACE_L_X0Y112 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y112 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y112 ROW 39 TILEPROP IO_INT_INTERFACE_L_X0Y112 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y112 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y112 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y112 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y112 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y112 TILE_Y 121272 TILEPROP IO_INT_INTERFACE_L_X0Y112 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y113 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y113 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y113 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y113 FIRST_SITE_ID 3736 TILEPROP IO_INT_INTERFACE_L_X0Y113 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y113 GRID_POINT_Y 38 TILEPROP IO_INT_INTERFACE_L_X0Y113 INDEX 4373 TILEPROP IO_INT_INTERFACE_L_X0Y113 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y113 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y113 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y113 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y113 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y113 NAME IO_INT_INTERFACE_L_X0Y113 TILEPROP IO_INT_INTERFACE_L_X0Y113 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y113 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y113 ROW 38 TILEPROP IO_INT_INTERFACE_L_X0Y113 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y113 TILE_PATTERN_IDX 415 TILEPROP IO_INT_INTERFACE_L_X0Y113 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y113 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y113 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y113 TILE_Y 124472 TILEPROP IO_INT_INTERFACE_L_X0Y113 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y114 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y114 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y114 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y114 FIRST_SITE_ID 3640 TILEPROP IO_INT_INTERFACE_L_X0Y114 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y114 GRID_POINT_Y 37 TILEPROP IO_INT_INTERFACE_L_X0Y114 INDEX 4258 TILEPROP IO_INT_INTERFACE_L_X0Y114 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y114 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y114 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y114 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y114 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y114 NAME IO_INT_INTERFACE_L_X0Y114 TILEPROP IO_INT_INTERFACE_L_X0Y114 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y114 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y114 ROW 37 TILEPROP IO_INT_INTERFACE_L_X0Y114 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y114 TILE_PATTERN_IDX 383 TILEPROP IO_INT_INTERFACE_L_X0Y114 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y114 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y114 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y114 TILE_Y 127672 TILEPROP IO_INT_INTERFACE_L_X0Y114 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y115 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y115 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y115 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y115 FIRST_SITE_ID 3543 TILEPROP IO_INT_INTERFACE_L_X0Y115 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y115 GRID_POINT_Y 36 TILEPROP IO_INT_INTERFACE_L_X0Y115 INDEX 4143 TILEPROP IO_INT_INTERFACE_L_X0Y115 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y115 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y115 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y115 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y115 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y115 NAME IO_INT_INTERFACE_L_X0Y115 TILEPROP IO_INT_INTERFACE_L_X0Y115 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y115 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y115 ROW 36 TILEPROP IO_INT_INTERFACE_L_X0Y115 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y115 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y115 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y115 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y115 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y115 TILE_Y 130872 TILEPROP IO_INT_INTERFACE_L_X0Y115 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y116 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y116 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y116 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y116 FIRST_SITE_ID 3442 TILEPROP IO_INT_INTERFACE_L_X0Y116 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y116 GRID_POINT_Y 35 TILEPROP IO_INT_INTERFACE_L_X0Y116 INDEX 4028 TILEPROP IO_INT_INTERFACE_L_X0Y116 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y116 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y116 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y116 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y116 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y116 NAME IO_INT_INTERFACE_L_X0Y116 TILEPROP IO_INT_INTERFACE_L_X0Y116 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y116 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y116 ROW 35 TILEPROP IO_INT_INTERFACE_L_X0Y116 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y116 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y116 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y116 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y116 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y116 TILE_Y 134072 TILEPROP IO_INT_INTERFACE_L_X0Y116 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y117 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y117 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y117 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y117 FIRST_SITE_ID 3350 TILEPROP IO_INT_INTERFACE_L_X0Y117 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y117 GRID_POINT_Y 34 TILEPROP IO_INT_INTERFACE_L_X0Y117 INDEX 3913 TILEPROP IO_INT_INTERFACE_L_X0Y117 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y117 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y117 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y117 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y117 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y117 NAME IO_INT_INTERFACE_L_X0Y117 TILEPROP IO_INT_INTERFACE_L_X0Y117 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y117 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y117 ROW 34 TILEPROP IO_INT_INTERFACE_L_X0Y117 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y117 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y117 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y117 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y117 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y117 TILE_Y 137272 TILEPROP IO_INT_INTERFACE_L_X0Y117 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y118 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y118 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y118 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y118 FIRST_SITE_ID 3254 TILEPROP IO_INT_INTERFACE_L_X0Y118 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y118 GRID_POINT_Y 33 TILEPROP IO_INT_INTERFACE_L_X0Y118 INDEX 3798 TILEPROP IO_INT_INTERFACE_L_X0Y118 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y118 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y118 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y118 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y118 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y118 NAME IO_INT_INTERFACE_L_X0Y118 TILEPROP IO_INT_INTERFACE_L_X0Y118 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y118 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y118 ROW 33 TILEPROP IO_INT_INTERFACE_L_X0Y118 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y118 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y118 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y118 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y118 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y118 TILE_Y 140472 TILEPROP IO_INT_INTERFACE_L_X0Y118 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y119 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y119 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y119 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y119 FIRST_SITE_ID 3164 TILEPROP IO_INT_INTERFACE_L_X0Y119 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y119 GRID_POINT_Y 32 TILEPROP IO_INT_INTERFACE_L_X0Y119 INDEX 3683 TILEPROP IO_INT_INTERFACE_L_X0Y119 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y119 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y119 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y119 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y119 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y119 NAME IO_INT_INTERFACE_L_X0Y119 TILEPROP IO_INT_INTERFACE_L_X0Y119 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y119 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y119 ROW 32 TILEPROP IO_INT_INTERFACE_L_X0Y119 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y119 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y119 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y119 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y119 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y119 TILE_Y 143672 TILEPROP IO_INT_INTERFACE_L_X0Y119 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y120 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y120 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y120 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y120 FIRST_SITE_ID 3059 TILEPROP IO_INT_INTERFACE_L_X0Y120 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y120 GRID_POINT_Y 31 TILEPROP IO_INT_INTERFACE_L_X0Y120 INDEX 3568 TILEPROP IO_INT_INTERFACE_L_X0Y120 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y120 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y120 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y120 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y120 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y120 NAME IO_INT_INTERFACE_L_X0Y120 TILEPROP IO_INT_INTERFACE_L_X0Y120 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y120 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y120 ROW 31 TILEPROP IO_INT_INTERFACE_L_X0Y120 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y120 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y120 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y120 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y120 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y120 TILE_Y 146872 TILEPROP IO_INT_INTERFACE_L_X0Y120 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y121 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y121 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y121 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y121 FIRST_SITE_ID 2971 TILEPROP IO_INT_INTERFACE_L_X0Y121 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y121 GRID_POINT_Y 30 TILEPROP IO_INT_INTERFACE_L_X0Y121 INDEX 3453 TILEPROP IO_INT_INTERFACE_L_X0Y121 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y121 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y121 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y121 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y121 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y121 NAME IO_INT_INTERFACE_L_X0Y121 TILEPROP IO_INT_INTERFACE_L_X0Y121 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y121 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y121 ROW 30 TILEPROP IO_INT_INTERFACE_L_X0Y121 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y121 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y121 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y121 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y121 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y121 TILE_Y 150072 TILEPROP IO_INT_INTERFACE_L_X0Y121 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y122 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y122 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y122 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y122 FIRST_SITE_ID 2868 TILEPROP IO_INT_INTERFACE_L_X0Y122 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y122 GRID_POINT_Y 29 TILEPROP IO_INT_INTERFACE_L_X0Y122 INDEX 3338 TILEPROP IO_INT_INTERFACE_L_X0Y122 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y122 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y122 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y122 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y122 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y122 NAME IO_INT_INTERFACE_L_X0Y122 TILEPROP IO_INT_INTERFACE_L_X0Y122 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y122 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y122 ROW 29 TILEPROP IO_INT_INTERFACE_L_X0Y122 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y122 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y122 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y122 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y122 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y122 TILE_Y 153272 TILEPROP IO_INT_INTERFACE_L_X0Y122 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y123 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y123 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y123 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y123 FIRST_SITE_ID 2780 TILEPROP IO_INT_INTERFACE_L_X0Y123 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y123 GRID_POINT_Y 28 TILEPROP IO_INT_INTERFACE_L_X0Y123 INDEX 3223 TILEPROP IO_INT_INTERFACE_L_X0Y123 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y123 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y123 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y123 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y123 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y123 NAME IO_INT_INTERFACE_L_X0Y123 TILEPROP IO_INT_INTERFACE_L_X0Y123 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y123 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y123 ROW 28 TILEPROP IO_INT_INTERFACE_L_X0Y123 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y123 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y123 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y123 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y123 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y123 TILE_Y 156472 TILEPROP IO_INT_INTERFACE_L_X0Y123 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y124 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y124 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y124 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y124 FIRST_SITE_ID 2684 TILEPROP IO_INT_INTERFACE_L_X0Y124 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y124 GRID_POINT_Y 27 TILEPROP IO_INT_INTERFACE_L_X0Y124 INDEX 3108 TILEPROP IO_INT_INTERFACE_L_X0Y124 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y124 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y124 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y124 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y124 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y124 NAME IO_INT_INTERFACE_L_X0Y124 TILEPROP IO_INT_INTERFACE_L_X0Y124 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y124 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y124 ROW 27 TILEPROP IO_INT_INTERFACE_L_X0Y124 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y124 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y124 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y124 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y124 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y124 TILE_Y 159672 TILEPROP IO_INT_INTERFACE_L_X0Y124 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y125 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y125 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y125 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y125 FIRST_SITE_ID 2505 TILEPROP IO_INT_INTERFACE_L_X0Y125 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y125 GRID_POINT_Y 25 TILEPROP IO_INT_INTERFACE_L_X0Y125 INDEX 2878 TILEPROP IO_INT_INTERFACE_L_X0Y125 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y125 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y125 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y125 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y125 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y125 NAME IO_INT_INTERFACE_L_X0Y125 TILEPROP IO_INT_INTERFACE_L_X0Y125 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y125 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y125 ROW 25 TILEPROP IO_INT_INTERFACE_L_X0Y125 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y125 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y125 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y125 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y125 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y125 TILE_Y 163896 TILEPROP IO_INT_INTERFACE_L_X0Y125 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y126 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y126 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y126 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y126 FIRST_SITE_ID 2401 TILEPROP IO_INT_INTERFACE_L_X0Y126 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y126 GRID_POINT_Y 24 TILEPROP IO_INT_INTERFACE_L_X0Y126 INDEX 2763 TILEPROP IO_INT_INTERFACE_L_X0Y126 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y126 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y126 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y126 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y126 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y126 NAME IO_INT_INTERFACE_L_X0Y126 TILEPROP IO_INT_INTERFACE_L_X0Y126 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y126 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y126 ROW 24 TILEPROP IO_INT_INTERFACE_L_X0Y126 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y126 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y126 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y126 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y126 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y126 TILE_Y 167096 TILEPROP IO_INT_INTERFACE_L_X0Y126 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y127 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y127 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y127 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y127 FIRST_SITE_ID 2305 TILEPROP IO_INT_INTERFACE_L_X0Y127 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y127 GRID_POINT_Y 23 TILEPROP IO_INT_INTERFACE_L_X0Y127 INDEX 2648 TILEPROP IO_INT_INTERFACE_L_X0Y127 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y127 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y127 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y127 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y127 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y127 NAME IO_INT_INTERFACE_L_X0Y127 TILEPROP IO_INT_INTERFACE_L_X0Y127 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y127 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y127 ROW 23 TILEPROP IO_INT_INTERFACE_L_X0Y127 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y127 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y127 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y127 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y127 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y127 TILE_Y 170296 TILEPROP IO_INT_INTERFACE_L_X0Y127 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y128 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y128 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y128 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y128 FIRST_SITE_ID 2201 TILEPROP IO_INT_INTERFACE_L_X0Y128 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y128 GRID_POINT_Y 22 TILEPROP IO_INT_INTERFACE_L_X0Y128 INDEX 2533 TILEPROP IO_INT_INTERFACE_L_X0Y128 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y128 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y128 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y128 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y128 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y128 NAME IO_INT_INTERFACE_L_X0Y128 TILEPROP IO_INT_INTERFACE_L_X0Y128 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y128 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y128 ROW 22 TILEPROP IO_INT_INTERFACE_L_X0Y128 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y128 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y128 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y128 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y128 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y128 TILE_Y 173496 TILEPROP IO_INT_INTERFACE_L_X0Y128 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y129 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y129 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y129 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y129 FIRST_SITE_ID 2099 TILEPROP IO_INT_INTERFACE_L_X0Y129 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y129 GRID_POINT_Y 21 TILEPROP IO_INT_INTERFACE_L_X0Y129 INDEX 2418 TILEPROP IO_INT_INTERFACE_L_X0Y129 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y129 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y129 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y129 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y129 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y129 NAME IO_INT_INTERFACE_L_X0Y129 TILEPROP IO_INT_INTERFACE_L_X0Y129 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y129 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y129 ROW 21 TILEPROP IO_INT_INTERFACE_L_X0Y129 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y129 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y129 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y129 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y129 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y129 TILE_Y 176696 TILEPROP IO_INT_INTERFACE_L_X0Y129 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y130 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y130 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y130 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y130 FIRST_SITE_ID 1983 TILEPROP IO_INT_INTERFACE_L_X0Y130 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y130 GRID_POINT_Y 20 TILEPROP IO_INT_INTERFACE_L_X0Y130 INDEX 2303 TILEPROP IO_INT_INTERFACE_L_X0Y130 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y130 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y130 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y130 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y130 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y130 NAME IO_INT_INTERFACE_L_X0Y130 TILEPROP IO_INT_INTERFACE_L_X0Y130 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y130 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y130 ROW 20 TILEPROP IO_INT_INTERFACE_L_X0Y130 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y130 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y130 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y130 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y130 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y130 TILE_Y 179896 TILEPROP IO_INT_INTERFACE_L_X0Y130 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y131 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y131 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y131 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y131 FIRST_SITE_ID 1885 TILEPROP IO_INT_INTERFACE_L_X0Y131 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y131 GRID_POINT_Y 19 TILEPROP IO_INT_INTERFACE_L_X0Y131 INDEX 2188 TILEPROP IO_INT_INTERFACE_L_X0Y131 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y131 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y131 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y131 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y131 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y131 NAME IO_INT_INTERFACE_L_X0Y131 TILEPROP IO_INT_INTERFACE_L_X0Y131 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y131 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y131 ROW 19 TILEPROP IO_INT_INTERFACE_L_X0Y131 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y131 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y131 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y131 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y131 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y131 TILE_Y 183096 TILEPROP IO_INT_INTERFACE_L_X0Y131 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y132 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y132 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y132 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y132 FIRST_SITE_ID 1781 TILEPROP IO_INT_INTERFACE_L_X0Y132 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y132 GRID_POINT_Y 18 TILEPROP IO_INT_INTERFACE_L_X0Y132 INDEX 2073 TILEPROP IO_INT_INTERFACE_L_X0Y132 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y132 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y132 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y132 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y132 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y132 NAME IO_INT_INTERFACE_L_X0Y132 TILEPROP IO_INT_INTERFACE_L_X0Y132 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y132 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y132 ROW 18 TILEPROP IO_INT_INTERFACE_L_X0Y132 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y132 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y132 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y132 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y132 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y132 TILE_Y 186296 TILEPROP IO_INT_INTERFACE_L_X0Y132 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y133 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y133 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y133 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y133 FIRST_SITE_ID 1680 TILEPROP IO_INT_INTERFACE_L_X0Y133 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y133 GRID_POINT_Y 17 TILEPROP IO_INT_INTERFACE_L_X0Y133 INDEX 1958 TILEPROP IO_INT_INTERFACE_L_X0Y133 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y133 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y133 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y133 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y133 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y133 NAME IO_INT_INTERFACE_L_X0Y133 TILEPROP IO_INT_INTERFACE_L_X0Y133 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y133 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y133 ROW 17 TILEPROP IO_INT_INTERFACE_L_X0Y133 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y133 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y133 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y133 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y133 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y133 TILE_Y 189496 TILEPROP IO_INT_INTERFACE_L_X0Y133 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y134 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y134 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y134 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y134 FIRST_SITE_ID 1576 TILEPROP IO_INT_INTERFACE_L_X0Y134 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y134 GRID_POINT_Y 16 TILEPROP IO_INT_INTERFACE_L_X0Y134 INDEX 1843 TILEPROP IO_INT_INTERFACE_L_X0Y134 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y134 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y134 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y134 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y134 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y134 NAME IO_INT_INTERFACE_L_X0Y134 TILEPROP IO_INT_INTERFACE_L_X0Y134 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y134 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y134 ROW 16 TILEPROP IO_INT_INTERFACE_L_X0Y134 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y134 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y134 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y134 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y134 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y134 TILE_Y 192696 TILEPROP IO_INT_INTERFACE_L_X0Y134 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y135 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y135 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y135 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y135 FIRST_SITE_ID 1468 TILEPROP IO_INT_INTERFACE_L_X0Y135 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y135 GRID_POINT_Y 15 TILEPROP IO_INT_INTERFACE_L_X0Y135 INDEX 1728 TILEPROP IO_INT_INTERFACE_L_X0Y135 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y135 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y135 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y135 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y135 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y135 NAME IO_INT_INTERFACE_L_X0Y135 TILEPROP IO_INT_INTERFACE_L_X0Y135 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y135 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y135 ROW 15 TILEPROP IO_INT_INTERFACE_L_X0Y135 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y135 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y135 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y135 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y135 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y135 TILE_Y 195896 TILEPROP IO_INT_INTERFACE_L_X0Y135 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y136 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y136 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y136 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y136 FIRST_SITE_ID 1332 TILEPROP IO_INT_INTERFACE_L_X0Y136 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y136 GRID_POINT_Y 14 TILEPROP IO_INT_INTERFACE_L_X0Y136 INDEX 1613 TILEPROP IO_INT_INTERFACE_L_X0Y136 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y136 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y136 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y136 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y136 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y136 NAME IO_INT_INTERFACE_L_X0Y136 TILEPROP IO_INT_INTERFACE_L_X0Y136 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y136 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y136 ROW 14 TILEPROP IO_INT_INTERFACE_L_X0Y136 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y136 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y136 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y136 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y136 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y136 TILE_Y 199096 TILEPROP IO_INT_INTERFACE_L_X0Y136 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y137 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y137 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y137 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y137 FIRST_SITE_ID 1236 TILEPROP IO_INT_INTERFACE_L_X0Y137 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y137 GRID_POINT_Y 13 TILEPROP IO_INT_INTERFACE_L_X0Y137 INDEX 1498 TILEPROP IO_INT_INTERFACE_L_X0Y137 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y137 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y137 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y137 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y137 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y137 NAME IO_INT_INTERFACE_L_X0Y137 TILEPROP IO_INT_INTERFACE_L_X0Y137 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y137 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y137 ROW 13 TILEPROP IO_INT_INTERFACE_L_X0Y137 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y137 TILE_PATTERN_IDX 415 TILEPROP IO_INT_INTERFACE_L_X0Y137 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y137 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y137 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y137 TILE_Y 202296 TILEPROP IO_INT_INTERFACE_L_X0Y137 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y138 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y138 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y138 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y138 FIRST_SITE_ID 1132 TILEPROP IO_INT_INTERFACE_L_X0Y138 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y138 GRID_POINT_Y 12 TILEPROP IO_INT_INTERFACE_L_X0Y138 INDEX 1383 TILEPROP IO_INT_INTERFACE_L_X0Y138 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y138 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y138 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y138 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y138 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y138 NAME IO_INT_INTERFACE_L_X0Y138 TILEPROP IO_INT_INTERFACE_L_X0Y138 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y138 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y138 ROW 12 TILEPROP IO_INT_INTERFACE_L_X0Y138 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y138 TILE_PATTERN_IDX 383 TILEPROP IO_INT_INTERFACE_L_X0Y138 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y138 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y138 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y138 TILE_Y 205496 TILEPROP IO_INT_INTERFACE_L_X0Y138 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y139 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y139 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y139 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y139 FIRST_SITE_ID 1036 TILEPROP IO_INT_INTERFACE_L_X0Y139 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y139 GRID_POINT_Y 11 TILEPROP IO_INT_INTERFACE_L_X0Y139 INDEX 1268 TILEPROP IO_INT_INTERFACE_L_X0Y139 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y139 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y139 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y139 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y139 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y139 NAME IO_INT_INTERFACE_L_X0Y139 TILEPROP IO_INT_INTERFACE_L_X0Y139 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y139 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y139 ROW 11 TILEPROP IO_INT_INTERFACE_L_X0Y139 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y139 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y139 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y139 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y139 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y139 TILE_Y 208696 TILEPROP IO_INT_INTERFACE_L_X0Y139 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y140 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y140 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y140 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y140 FIRST_SITE_ID 920 TILEPROP IO_INT_INTERFACE_L_X0Y140 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y140 GRID_POINT_Y 10 TILEPROP IO_INT_INTERFACE_L_X0Y140 INDEX 1153 TILEPROP IO_INT_INTERFACE_L_X0Y140 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y140 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y140 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y140 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y140 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y140 NAME IO_INT_INTERFACE_L_X0Y140 TILEPROP IO_INT_INTERFACE_L_X0Y140 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y140 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y140 ROW 10 TILEPROP IO_INT_INTERFACE_L_X0Y140 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y140 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y140 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y140 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y140 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y140 TILE_Y 211896 TILEPROP IO_INT_INTERFACE_L_X0Y140 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y141 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y141 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y141 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y141 FIRST_SITE_ID 824 TILEPROP IO_INT_INTERFACE_L_X0Y141 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y141 GRID_POINT_Y 9 TILEPROP IO_INT_INTERFACE_L_X0Y141 INDEX 1038 TILEPROP IO_INT_INTERFACE_L_X0Y141 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y141 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y141 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y141 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y141 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y141 NAME IO_INT_INTERFACE_L_X0Y141 TILEPROP IO_INT_INTERFACE_L_X0Y141 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y141 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y141 ROW 9 TILEPROP IO_INT_INTERFACE_L_X0Y141 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y141 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y141 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y141 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y141 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y141 TILE_Y 215096 TILEPROP IO_INT_INTERFACE_L_X0Y141 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y142 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y142 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y142 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y142 FIRST_SITE_ID 719 TILEPROP IO_INT_INTERFACE_L_X0Y142 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y142 GRID_POINT_Y 8 TILEPROP IO_INT_INTERFACE_L_X0Y142 INDEX 923 TILEPROP IO_INT_INTERFACE_L_X0Y142 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y142 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y142 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y142 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y142 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y142 NAME IO_INT_INTERFACE_L_X0Y142 TILEPROP IO_INT_INTERFACE_L_X0Y142 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y142 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y142 ROW 8 TILEPROP IO_INT_INTERFACE_L_X0Y142 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y142 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y142 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y142 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y142 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y142 TILE_Y 218296 TILEPROP IO_INT_INTERFACE_L_X0Y142 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y143 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y143 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y143 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y143 FIRST_SITE_ID 621 TILEPROP IO_INT_INTERFACE_L_X0Y143 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y143 GRID_POINT_Y 7 TILEPROP IO_INT_INTERFACE_L_X0Y143 INDEX 808 TILEPROP IO_INT_INTERFACE_L_X0Y143 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y143 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y143 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y143 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y143 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y143 NAME IO_INT_INTERFACE_L_X0Y143 TILEPROP IO_INT_INTERFACE_L_X0Y143 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y143 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y143 ROW 7 TILEPROP IO_INT_INTERFACE_L_X0Y143 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y143 TILE_PATTERN_IDX 228 TILEPROP IO_INT_INTERFACE_L_X0Y143 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y143 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y143 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y143 TILE_Y 221496 TILEPROP IO_INT_INTERFACE_L_X0Y143 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y144 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y144 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y144 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y144 FIRST_SITE_ID 512 TILEPROP IO_INT_INTERFACE_L_X0Y144 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y144 GRID_POINT_Y 6 TILEPROP IO_INT_INTERFACE_L_X0Y144 INDEX 693 TILEPROP IO_INT_INTERFACE_L_X0Y144 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y144 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y144 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y144 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y144 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y144 NAME IO_INT_INTERFACE_L_X0Y144 TILEPROP IO_INT_INTERFACE_L_X0Y144 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y144 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y144 ROW 6 TILEPROP IO_INT_INTERFACE_L_X0Y144 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y144 TILE_PATTERN_IDX 195 TILEPROP IO_INT_INTERFACE_L_X0Y144 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y144 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y144 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y144 TILE_Y 224696 TILEPROP IO_INT_INTERFACE_L_X0Y144 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y145 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y145 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y145 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y145 FIRST_SITE_ID 404 TILEPROP IO_INT_INTERFACE_L_X0Y145 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y145 GRID_POINT_Y 5 TILEPROP IO_INT_INTERFACE_L_X0Y145 INDEX 578 TILEPROP IO_INT_INTERFACE_L_X0Y145 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y145 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y145 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y145 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y145 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y145 NAME IO_INT_INTERFACE_L_X0Y145 TILEPROP IO_INT_INTERFACE_L_X0Y145 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y145 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y145 ROW 5 TILEPROP IO_INT_INTERFACE_L_X0Y145 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y145 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y145 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y145 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y145 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y145 TILE_Y 227896 TILEPROP IO_INT_INTERFACE_L_X0Y145 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y146 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y146 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y146 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y146 FIRST_SITE_ID 300 TILEPROP IO_INT_INTERFACE_L_X0Y146 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y146 GRID_POINT_Y 4 TILEPROP IO_INT_INTERFACE_L_X0Y146 INDEX 463 TILEPROP IO_INT_INTERFACE_L_X0Y146 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y146 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y146 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y146 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y146 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y146 NAME IO_INT_INTERFACE_L_X0Y146 TILEPROP IO_INT_INTERFACE_L_X0Y146 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y146 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y146 ROW 4 TILEPROP IO_INT_INTERFACE_L_X0Y146 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y146 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y146 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y146 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y146 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y146 TILE_Y 231096 TILEPROP IO_INT_INTERFACE_L_X0Y146 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y147 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y147 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y147 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y147 FIRST_SITE_ID 204 TILEPROP IO_INT_INTERFACE_L_X0Y147 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y147 GRID_POINT_Y 3 TILEPROP IO_INT_INTERFACE_L_X0Y147 INDEX 348 TILEPROP IO_INT_INTERFACE_L_X0Y147 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y147 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y147 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y147 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y147 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y147 NAME IO_INT_INTERFACE_L_X0Y147 TILEPROP IO_INT_INTERFACE_L_X0Y147 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y147 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y147 ROW 3 TILEPROP IO_INT_INTERFACE_L_X0Y147 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y147 TILE_PATTERN_IDX 92 TILEPROP IO_INT_INTERFACE_L_X0Y147 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y147 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y147 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y147 TILE_Y 234296 TILEPROP IO_INT_INTERFACE_L_X0Y147 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y148 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y148 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y148 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y148 FIRST_SITE_ID 100 TILEPROP IO_INT_INTERFACE_L_X0Y148 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y148 GRID_POINT_Y 2 TILEPROP IO_INT_INTERFACE_L_X0Y148 INDEX 233 TILEPROP IO_INT_INTERFACE_L_X0Y148 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y148 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y148 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y148 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y148 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y148 NAME IO_INT_INTERFACE_L_X0Y148 TILEPROP IO_INT_INTERFACE_L_X0Y148 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y148 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y148 ROW 2 TILEPROP IO_INT_INTERFACE_L_X0Y148 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y148 TILE_PATTERN_IDX 53 TILEPROP IO_INT_INTERFACE_L_X0Y148 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y148 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y148 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y148 TILE_Y 237496 TILEPROP IO_INT_INTERFACE_L_X0Y148 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y149 CLASS tile TILEPROP IO_INT_INTERFACE_L_X0Y149 COLUMN 3 TILEPROP IO_INT_INTERFACE_L_X0Y149 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y149 FIRST_SITE_ID 4 TILEPROP IO_INT_INTERFACE_L_X0Y149 GRID_POINT_X 3 TILEPROP IO_INT_INTERFACE_L_X0Y149 GRID_POINT_Y 1 TILEPROP IO_INT_INTERFACE_L_X0Y149 INDEX 118 TILEPROP IO_INT_INTERFACE_L_X0Y149 INT_TILE_X -1 TILEPROP IO_INT_INTERFACE_L_X0Y149 INT_TILE_Y -1 TILEPROP IO_INT_INTERFACE_L_X0Y149 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y149 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y149 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_L_X0Y149 NAME IO_INT_INTERFACE_L_X0Y149 TILEPROP IO_INT_INTERFACE_L_X0Y149 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_L_X0Y149 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_L_X0Y149 ROW 1 TILEPROP IO_INT_INTERFACE_L_X0Y149 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_L_X0Y149 TILE_PATTERN_IDX 7 TILEPROP IO_INT_INTERFACE_L_X0Y149 TILE_TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_L_X0Y149 TILE_TYPE_INDEX 97 TILEPROP IO_INT_INTERFACE_L_X0Y149 TILE_X -98926 TILEPROP IO_INT_INTERFACE_L_X0Y149 TILE_Y 240696 TILEPROP IO_INT_INTERFACE_L_X0Y149 TYPE IO_INT_INTERFACE_L TILEPROP IO_INT_INTERFACE_R_X43Y0 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y0 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y0 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y0 FIRST_SITE_ID 15813 TILEPROP IO_INT_INTERFACE_R_X43Y0 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y0 GRID_POINT_Y 155 TILEPROP IO_INT_INTERFACE_R_X43Y0 INDEX 17936 TILEPROP IO_INT_INTERFACE_R_X43Y0 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y0 INT_TILE_Y 149 TILEPROP IO_INT_INTERFACE_R_X43Y0 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y0 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y0 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y0 NAME IO_INT_INTERFACE_R_X43Y0 TILEPROP IO_INT_INTERFACE_R_X43Y0 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y0 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y0 ROW 155 TILEPROP IO_INT_INTERFACE_R_X43Y0 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y0 TILE_PATTERN_IDX 1685 TILEPROP IO_INT_INTERFACE_R_X43Y0 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y0 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y0 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y0 TILE_Y -239672 TILEPROP IO_INT_INTERFACE_R_X43Y0 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y1 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y1 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y1 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y1 FIRST_SITE_ID 15694 TILEPROP IO_INT_INTERFACE_R_X43Y1 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y1 GRID_POINT_Y 154 TILEPROP IO_INT_INTERFACE_R_X43Y1 INDEX 17821 TILEPROP IO_INT_INTERFACE_R_X43Y1 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y1 INT_TILE_Y 148 TILEPROP IO_INT_INTERFACE_R_X43Y1 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y1 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y1 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y1 NAME IO_INT_INTERFACE_R_X43Y1 TILEPROP IO_INT_INTERFACE_R_X43Y1 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y1 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y1 ROW 154 TILEPROP IO_INT_INTERFACE_R_X43Y1 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y1 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y1 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y1 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y1 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y1 TILE_Y -236472 TILEPROP IO_INT_INTERFACE_R_X43Y1 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y2 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y2 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y2 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y2 FIRST_SITE_ID 15593 TILEPROP IO_INT_INTERFACE_R_X43Y2 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y2 GRID_POINT_Y 153 TILEPROP IO_INT_INTERFACE_R_X43Y2 INDEX 17706 TILEPROP IO_INT_INTERFACE_R_X43Y2 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y2 INT_TILE_Y 147 TILEPROP IO_INT_INTERFACE_R_X43Y2 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y2 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y2 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y2 NAME IO_INT_INTERFACE_R_X43Y2 TILEPROP IO_INT_INTERFACE_R_X43Y2 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y2 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y2 ROW 153 TILEPROP IO_INT_INTERFACE_R_X43Y2 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y2 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y2 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y2 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y2 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y2 TILE_Y -233272 TILEPROP IO_INT_INTERFACE_R_X43Y2 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y3 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y3 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y3 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y3 FIRST_SITE_ID 15493 TILEPROP IO_INT_INTERFACE_R_X43Y3 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y3 GRID_POINT_Y 152 TILEPROP IO_INT_INTERFACE_R_X43Y3 INDEX 17591 TILEPROP IO_INT_INTERFACE_R_X43Y3 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y3 INT_TILE_Y 146 TILEPROP IO_INT_INTERFACE_R_X43Y3 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y3 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y3 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y3 NAME IO_INT_INTERFACE_R_X43Y3 TILEPROP IO_INT_INTERFACE_R_X43Y3 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y3 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y3 ROW 152 TILEPROP IO_INT_INTERFACE_R_X43Y3 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y3 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y3 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y3 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y3 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y3 TILE_Y -230072 TILEPROP IO_INT_INTERFACE_R_X43Y3 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y4 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y4 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y4 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y4 FIRST_SITE_ID 15393 TILEPROP IO_INT_INTERFACE_R_X43Y4 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y4 GRID_POINT_Y 151 TILEPROP IO_INT_INTERFACE_R_X43Y4 INDEX 17476 TILEPROP IO_INT_INTERFACE_R_X43Y4 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y4 INT_TILE_Y 145 TILEPROP IO_INT_INTERFACE_R_X43Y4 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y4 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y4 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y4 NAME IO_INT_INTERFACE_R_X43Y4 TILEPROP IO_INT_INTERFACE_R_X43Y4 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y4 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y4 ROW 151 TILEPROP IO_INT_INTERFACE_R_X43Y4 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y4 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y4 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y4 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y4 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y4 TILE_Y -226872 TILEPROP IO_INT_INTERFACE_R_X43Y4 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y5 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y5 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y5 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y5 FIRST_SITE_ID 15293 TILEPROP IO_INT_INTERFACE_R_X43Y5 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y5 GRID_POINT_Y 150 TILEPROP IO_INT_INTERFACE_R_X43Y5 INDEX 17361 TILEPROP IO_INT_INTERFACE_R_X43Y5 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y5 INT_TILE_Y 144 TILEPROP IO_INT_INTERFACE_R_X43Y5 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y5 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y5 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y5 NAME IO_INT_INTERFACE_R_X43Y5 TILEPROP IO_INT_INTERFACE_R_X43Y5 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y5 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y5 ROW 150 TILEPROP IO_INT_INTERFACE_R_X43Y5 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y5 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y5 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y5 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y5 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y5 TILE_Y -223672 TILEPROP IO_INT_INTERFACE_R_X43Y5 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y6 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y6 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y6 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y6 FIRST_SITE_ID 15178 TILEPROP IO_INT_INTERFACE_R_X43Y6 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y6 GRID_POINT_Y 149 TILEPROP IO_INT_INTERFACE_R_X43Y6 INDEX 17246 TILEPROP IO_INT_INTERFACE_R_X43Y6 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y6 INT_TILE_Y 143 TILEPROP IO_INT_INTERFACE_R_X43Y6 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y6 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y6 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y6 NAME IO_INT_INTERFACE_R_X43Y6 TILEPROP IO_INT_INTERFACE_R_X43Y6 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y6 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y6 ROW 149 TILEPROP IO_INT_INTERFACE_R_X43Y6 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y6 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y6 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y6 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y6 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y6 TILE_Y -220472 TILEPROP IO_INT_INTERFACE_R_X43Y6 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y7 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y7 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y7 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y7 FIRST_SITE_ID 15078 TILEPROP IO_INT_INTERFACE_R_X43Y7 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y7 GRID_POINT_Y 148 TILEPROP IO_INT_INTERFACE_R_X43Y7 INDEX 17131 TILEPROP IO_INT_INTERFACE_R_X43Y7 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y7 INT_TILE_Y 142 TILEPROP IO_INT_INTERFACE_R_X43Y7 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y7 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y7 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y7 NAME IO_INT_INTERFACE_R_X43Y7 TILEPROP IO_INT_INTERFACE_R_X43Y7 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y7 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y7 ROW 148 TILEPROP IO_INT_INTERFACE_R_X43Y7 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y7 TILE_PATTERN_IDX 1918 TILEPROP IO_INT_INTERFACE_R_X43Y7 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y7 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y7 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y7 TILE_Y -217272 TILEPROP IO_INT_INTERFACE_R_X43Y7 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y8 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y8 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y8 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y8 FIRST_SITE_ID 14974 TILEPROP IO_INT_INTERFACE_R_X43Y8 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y8 GRID_POINT_Y 147 TILEPROP IO_INT_INTERFACE_R_X43Y8 INDEX 17016 TILEPROP IO_INT_INTERFACE_R_X43Y8 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y8 INT_TILE_Y 141 TILEPROP IO_INT_INTERFACE_R_X43Y8 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y8 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y8 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y8 NAME IO_INT_INTERFACE_R_X43Y8 TILEPROP IO_INT_INTERFACE_R_X43Y8 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y8 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y8 ROW 147 TILEPROP IO_INT_INTERFACE_R_X43Y8 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y8 TILE_PATTERN_IDX 1881 TILEPROP IO_INT_INTERFACE_R_X43Y8 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y8 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y8 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y8 TILE_Y -214072 TILEPROP IO_INT_INTERFACE_R_X43Y8 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y9 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y9 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y9 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y9 FIRST_SITE_ID 14872 TILEPROP IO_INT_INTERFACE_R_X43Y9 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y9 GRID_POINT_Y 146 TILEPROP IO_INT_INTERFACE_R_X43Y9 INDEX 16901 TILEPROP IO_INT_INTERFACE_R_X43Y9 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y9 INT_TILE_Y 140 TILEPROP IO_INT_INTERFACE_R_X43Y9 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y9 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y9 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y9 NAME IO_INT_INTERFACE_R_X43Y9 TILEPROP IO_INT_INTERFACE_R_X43Y9 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y9 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y9 ROW 146 TILEPROP IO_INT_INTERFACE_R_X43Y9 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y9 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y9 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y9 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y9 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y9 TILE_Y -210872 TILEPROP IO_INT_INTERFACE_R_X43Y9 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y10 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y10 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y10 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y10 FIRST_SITE_ID 14772 TILEPROP IO_INT_INTERFACE_R_X43Y10 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y10 GRID_POINT_Y 145 TILEPROP IO_INT_INTERFACE_R_X43Y10 INDEX 16786 TILEPROP IO_INT_INTERFACE_R_X43Y10 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y10 INT_TILE_Y 139 TILEPROP IO_INT_INTERFACE_R_X43Y10 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y10 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y10 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y10 NAME IO_INT_INTERFACE_R_X43Y10 TILEPROP IO_INT_INTERFACE_R_X43Y10 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y10 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y10 ROW 145 TILEPROP IO_INT_INTERFACE_R_X43Y10 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y10 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y10 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y10 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y10 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y10 TILE_Y -207672 TILEPROP IO_INT_INTERFACE_R_X43Y10 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y11 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y11 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y11 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y11 FIRST_SITE_ID 14657 TILEPROP IO_INT_INTERFACE_R_X43Y11 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y11 GRID_POINT_Y 144 TILEPROP IO_INT_INTERFACE_R_X43Y11 INDEX 16671 TILEPROP IO_INT_INTERFACE_R_X43Y11 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y11 INT_TILE_Y 138 TILEPROP IO_INT_INTERFACE_R_X43Y11 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y11 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y11 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y11 NAME IO_INT_INTERFACE_R_X43Y11 TILEPROP IO_INT_INTERFACE_R_X43Y11 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y11 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y11 ROW 144 TILEPROP IO_INT_INTERFACE_R_X43Y11 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y11 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y11 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y11 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y11 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y11 TILE_Y -204472 TILEPROP IO_INT_INTERFACE_R_X43Y11 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y12 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y12 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y12 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y12 FIRST_SITE_ID 14557 TILEPROP IO_INT_INTERFACE_R_X43Y12 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y12 GRID_POINT_Y 143 TILEPROP IO_INT_INTERFACE_R_X43Y12 INDEX 16556 TILEPROP IO_INT_INTERFACE_R_X43Y12 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y12 INT_TILE_Y 137 TILEPROP IO_INT_INTERFACE_R_X43Y12 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y12 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y12 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y12 NAME IO_INT_INTERFACE_R_X43Y12 TILEPROP IO_INT_INTERFACE_R_X43Y12 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y12 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y12 ROW 143 TILEPROP IO_INT_INTERFACE_R_X43Y12 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y12 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y12 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y12 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y12 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y12 TILE_Y -201272 TILEPROP IO_INT_INTERFACE_R_X43Y12 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y13 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y13 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y13 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y13 FIRST_SITE_ID 14425 TILEPROP IO_INT_INTERFACE_R_X43Y13 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y13 GRID_POINT_Y 142 TILEPROP IO_INT_INTERFACE_R_X43Y13 INDEX 16441 TILEPROP IO_INT_INTERFACE_R_X43Y13 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y13 INT_TILE_Y 136 TILEPROP IO_INT_INTERFACE_R_X43Y13 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y13 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y13 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y13 NAME IO_INT_INTERFACE_R_X43Y13 TILEPROP IO_INT_INTERFACE_R_X43Y13 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y13 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y13 ROW 142 TILEPROP IO_INT_INTERFACE_R_X43Y13 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y13 TILE_PATTERN_IDX 2139 TILEPROP IO_INT_INTERFACE_R_X43Y13 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y13 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y13 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y13 TILE_Y -198072 TILEPROP IO_INT_INTERFACE_R_X43Y13 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y14 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y14 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y14 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y14 FIRST_SITE_ID 14325 TILEPROP IO_INT_INTERFACE_R_X43Y14 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y14 GRID_POINT_Y 141 TILEPROP IO_INT_INTERFACE_R_X43Y14 INDEX 16326 TILEPROP IO_INT_INTERFACE_R_X43Y14 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y14 INT_TILE_Y 135 TILEPROP IO_INT_INTERFACE_R_X43Y14 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y14 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y14 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y14 NAME IO_INT_INTERFACE_R_X43Y14 TILEPROP IO_INT_INTERFACE_R_X43Y14 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y14 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y14 ROW 141 TILEPROP IO_INT_INTERFACE_R_X43Y14 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y14 TILE_PATTERN_IDX 2104 TILEPROP IO_INT_INTERFACE_R_X43Y14 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y14 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y14 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y14 TILE_Y -194872 TILEPROP IO_INT_INTERFACE_R_X43Y14 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y15 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y15 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y15 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y15 FIRST_SITE_ID 14225 TILEPROP IO_INT_INTERFACE_R_X43Y15 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y15 GRID_POINT_Y 140 TILEPROP IO_INT_INTERFACE_R_X43Y15 INDEX 16211 TILEPROP IO_INT_INTERFACE_R_X43Y15 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y15 INT_TILE_Y 134 TILEPROP IO_INT_INTERFACE_R_X43Y15 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y15 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y15 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y15 NAME IO_INT_INTERFACE_R_X43Y15 TILEPROP IO_INT_INTERFACE_R_X43Y15 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y15 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y15 ROW 140 TILEPROP IO_INT_INTERFACE_R_X43Y15 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y15 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y15 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y15 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y15 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y15 TILE_Y -191672 TILEPROP IO_INT_INTERFACE_R_X43Y15 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y16 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y16 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y16 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y16 FIRST_SITE_ID 14110 TILEPROP IO_INT_INTERFACE_R_X43Y16 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y16 GRID_POINT_Y 139 TILEPROP IO_INT_INTERFACE_R_X43Y16 INDEX 16096 TILEPROP IO_INT_INTERFACE_R_X43Y16 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y16 INT_TILE_Y 133 TILEPROP IO_INT_INTERFACE_R_X43Y16 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y16 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y16 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y16 NAME IO_INT_INTERFACE_R_X43Y16 TILEPROP IO_INT_INTERFACE_R_X43Y16 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y16 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y16 ROW 139 TILEPROP IO_INT_INTERFACE_R_X43Y16 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y16 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y16 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y16 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y16 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y16 TILE_Y -188472 TILEPROP IO_INT_INTERFACE_R_X43Y16 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y17 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y17 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y17 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y17 FIRST_SITE_ID 14010 TILEPROP IO_INT_INTERFACE_R_X43Y17 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y17 GRID_POINT_Y 138 TILEPROP IO_INT_INTERFACE_R_X43Y17 INDEX 15981 TILEPROP IO_INT_INTERFACE_R_X43Y17 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y17 INT_TILE_Y 132 TILEPROP IO_INT_INTERFACE_R_X43Y17 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y17 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y17 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y17 NAME IO_INT_INTERFACE_R_X43Y17 TILEPROP IO_INT_INTERFACE_R_X43Y17 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y17 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y17 ROW 138 TILEPROP IO_INT_INTERFACE_R_X43Y17 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y17 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y17 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y17 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y17 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y17 TILE_Y -185272 TILEPROP IO_INT_INTERFACE_R_X43Y17 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y18 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y18 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y18 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y18 FIRST_SITE_ID 13901 TILEPROP IO_INT_INTERFACE_R_X43Y18 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y18 GRID_POINT_Y 137 TILEPROP IO_INT_INTERFACE_R_X43Y18 INDEX 15866 TILEPROP IO_INT_INTERFACE_R_X43Y18 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y18 INT_TILE_Y 131 TILEPROP IO_INT_INTERFACE_R_X43Y18 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y18 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y18 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y18 NAME IO_INT_INTERFACE_R_X43Y18 TILEPROP IO_INT_INTERFACE_R_X43Y18 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y18 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y18 ROW 137 TILEPROP IO_INT_INTERFACE_R_X43Y18 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y18 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y18 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y18 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y18 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y18 TILE_Y -182072 TILEPROP IO_INT_INTERFACE_R_X43Y18 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y19 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y19 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y19 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y19 FIRST_SITE_ID 13801 TILEPROP IO_INT_INTERFACE_R_X43Y19 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y19 GRID_POINT_Y 136 TILEPROP IO_INT_INTERFACE_R_X43Y19 INDEX 15751 TILEPROP IO_INT_INTERFACE_R_X43Y19 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y19 INT_TILE_Y 130 TILEPROP IO_INT_INTERFACE_R_X43Y19 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y19 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y19 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y19 NAME IO_INT_INTERFACE_R_X43Y19 TILEPROP IO_INT_INTERFACE_R_X43Y19 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y19 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y19 ROW 136 TILEPROP IO_INT_INTERFACE_R_X43Y19 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y19 TILE_PATTERN_IDX 1918 TILEPROP IO_INT_INTERFACE_R_X43Y19 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y19 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y19 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y19 TILE_Y -178872 TILEPROP IO_INT_INTERFACE_R_X43Y19 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y20 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y20 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y20 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y20 FIRST_SITE_ID 13697 TILEPROP IO_INT_INTERFACE_R_X43Y20 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y20 GRID_POINT_Y 135 TILEPROP IO_INT_INTERFACE_R_X43Y20 INDEX 15636 TILEPROP IO_INT_INTERFACE_R_X43Y20 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y20 INT_TILE_Y 129 TILEPROP IO_INT_INTERFACE_R_X43Y20 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y20 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y20 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y20 NAME IO_INT_INTERFACE_R_X43Y20 TILEPROP IO_INT_INTERFACE_R_X43Y20 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y20 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y20 ROW 135 TILEPROP IO_INT_INTERFACE_R_X43Y20 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y20 TILE_PATTERN_IDX 1881 TILEPROP IO_INT_INTERFACE_R_X43Y20 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y20 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y20 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y20 TILE_Y -175672 TILEPROP IO_INT_INTERFACE_R_X43Y20 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y21 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y21 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y21 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y21 FIRST_SITE_ID 13582 TILEPROP IO_INT_INTERFACE_R_X43Y21 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y21 GRID_POINT_Y 134 TILEPROP IO_INT_INTERFACE_R_X43Y21 INDEX 15521 TILEPROP IO_INT_INTERFACE_R_X43Y21 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y21 INT_TILE_Y 128 TILEPROP IO_INT_INTERFACE_R_X43Y21 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y21 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y21 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y21 NAME IO_INT_INTERFACE_R_X43Y21 TILEPROP IO_INT_INTERFACE_R_X43Y21 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y21 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y21 ROW 134 TILEPROP IO_INT_INTERFACE_R_X43Y21 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y21 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y21 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y21 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y21 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y21 TILE_Y -172472 TILEPROP IO_INT_INTERFACE_R_X43Y21 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y22 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y22 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y22 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y22 FIRST_SITE_ID 13482 TILEPROP IO_INT_INTERFACE_R_X43Y22 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y22 GRID_POINT_Y 133 TILEPROP IO_INT_INTERFACE_R_X43Y22 INDEX 15406 TILEPROP IO_INT_INTERFACE_R_X43Y22 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y22 INT_TILE_Y 127 TILEPROP IO_INT_INTERFACE_R_X43Y22 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y22 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y22 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y22 NAME IO_INT_INTERFACE_R_X43Y22 TILEPROP IO_INT_INTERFACE_R_X43Y22 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y22 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y22 ROW 133 TILEPROP IO_INT_INTERFACE_R_X43Y22 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y22 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y22 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y22 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y22 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y22 TILE_Y -169272 TILEPROP IO_INT_INTERFACE_R_X43Y22 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y23 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y23 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y23 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y23 FIRST_SITE_ID 13382 TILEPROP IO_INT_INTERFACE_R_X43Y23 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y23 GRID_POINT_Y 132 TILEPROP IO_INT_INTERFACE_R_X43Y23 INDEX 15291 TILEPROP IO_INT_INTERFACE_R_X43Y23 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y23 INT_TILE_Y 126 TILEPROP IO_INT_INTERFACE_R_X43Y23 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y23 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y23 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y23 NAME IO_INT_INTERFACE_R_X43Y23 TILEPROP IO_INT_INTERFACE_R_X43Y23 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y23 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y23 ROW 132 TILEPROP IO_INT_INTERFACE_R_X43Y23 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y23 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y23 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y23 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y23 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y23 TILE_Y -166072 TILEPROP IO_INT_INTERFACE_R_X43Y23 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y24 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y24 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y24 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y24 FIRST_SITE_ID 13282 TILEPROP IO_INT_INTERFACE_R_X43Y24 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y24 GRID_POINT_Y 131 TILEPROP IO_INT_INTERFACE_R_X43Y24 INDEX 15176 TILEPROP IO_INT_INTERFACE_R_X43Y24 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y24 INT_TILE_Y 125 TILEPROP IO_INT_INTERFACE_R_X43Y24 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y24 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y24 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y24 NAME IO_INT_INTERFACE_R_X43Y24 TILEPROP IO_INT_INTERFACE_R_X43Y24 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y24 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y24 ROW 131 TILEPROP IO_INT_INTERFACE_R_X43Y24 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y24 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y24 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y24 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y24 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y24 TILE_Y -162872 TILEPROP IO_INT_INTERFACE_R_X43Y24 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y25 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y25 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y25 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y25 FIRST_SITE_ID 13099 TILEPROP IO_INT_INTERFACE_R_X43Y25 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y25 GRID_POINT_Y 129 TILEPROP IO_INT_INTERFACE_R_X43Y25 INDEX 14946 TILEPROP IO_INT_INTERFACE_R_X43Y25 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y25 INT_TILE_Y 124 TILEPROP IO_INT_INTERFACE_R_X43Y25 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y25 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y25 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y25 NAME IO_INT_INTERFACE_R_X43Y25 TILEPROP IO_INT_INTERFACE_R_X43Y25 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y25 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y25 ROW 129 TILEPROP IO_INT_INTERFACE_R_X43Y25 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y25 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y25 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y25 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y25 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y25 TILE_Y -158648 TILEPROP IO_INT_INTERFACE_R_X43Y25 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y26 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y26 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y26 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y26 FIRST_SITE_ID 12984 TILEPROP IO_INT_INTERFACE_R_X43Y26 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y26 GRID_POINT_Y 128 TILEPROP IO_INT_INTERFACE_R_X43Y26 INDEX 14831 TILEPROP IO_INT_INTERFACE_R_X43Y26 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y26 INT_TILE_Y 123 TILEPROP IO_INT_INTERFACE_R_X43Y26 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y26 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y26 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y26 NAME IO_INT_INTERFACE_R_X43Y26 TILEPROP IO_INT_INTERFACE_R_X43Y26 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y26 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y26 ROW 128 TILEPROP IO_INT_INTERFACE_R_X43Y26 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y26 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y26 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y26 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y26 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y26 TILE_Y -155448 TILEPROP IO_INT_INTERFACE_R_X43Y26 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y27 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y27 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y27 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y27 FIRST_SITE_ID 12884 TILEPROP IO_INT_INTERFACE_R_X43Y27 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y27 GRID_POINT_Y 127 TILEPROP IO_INT_INTERFACE_R_X43Y27 INDEX 14716 TILEPROP IO_INT_INTERFACE_R_X43Y27 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y27 INT_TILE_Y 122 TILEPROP IO_INT_INTERFACE_R_X43Y27 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y27 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y27 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y27 NAME IO_INT_INTERFACE_R_X43Y27 TILEPROP IO_INT_INTERFACE_R_X43Y27 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y27 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y27 ROW 127 TILEPROP IO_INT_INTERFACE_R_X43Y27 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y27 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y27 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y27 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y27 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y27 TILE_Y -152248 TILEPROP IO_INT_INTERFACE_R_X43Y27 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y28 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y28 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y28 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y28 FIRST_SITE_ID 12784 TILEPROP IO_INT_INTERFACE_R_X43Y28 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y28 GRID_POINT_Y 126 TILEPROP IO_INT_INTERFACE_R_X43Y28 INDEX 14601 TILEPROP IO_INT_INTERFACE_R_X43Y28 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y28 INT_TILE_Y 121 TILEPROP IO_INT_INTERFACE_R_X43Y28 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y28 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y28 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y28 NAME IO_INT_INTERFACE_R_X43Y28 TILEPROP IO_INT_INTERFACE_R_X43Y28 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y28 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y28 ROW 126 TILEPROP IO_INT_INTERFACE_R_X43Y28 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y28 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y28 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y28 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y28 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y28 TILE_Y -149048 TILEPROP IO_INT_INTERFACE_R_X43Y28 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y29 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y29 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y29 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y29 FIRST_SITE_ID 12684 TILEPROP IO_INT_INTERFACE_R_X43Y29 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y29 GRID_POINT_Y 125 TILEPROP IO_INT_INTERFACE_R_X43Y29 INDEX 14486 TILEPROP IO_INT_INTERFACE_R_X43Y29 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y29 INT_TILE_Y 120 TILEPROP IO_INT_INTERFACE_R_X43Y29 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y29 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y29 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y29 NAME IO_INT_INTERFACE_R_X43Y29 TILEPROP IO_INT_INTERFACE_R_X43Y29 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y29 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y29 ROW 125 TILEPROP IO_INT_INTERFACE_R_X43Y29 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y29 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y29 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y29 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y29 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y29 TILE_Y -145848 TILEPROP IO_INT_INTERFACE_R_X43Y29 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y30 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y30 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y30 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y30 FIRST_SITE_ID 12572 TILEPROP IO_INT_INTERFACE_R_X43Y30 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y30 GRID_POINT_Y 124 TILEPROP IO_INT_INTERFACE_R_X43Y30 INDEX 14371 TILEPROP IO_INT_INTERFACE_R_X43Y30 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y30 INT_TILE_Y 119 TILEPROP IO_INT_INTERFACE_R_X43Y30 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y30 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y30 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y30 NAME IO_INT_INTERFACE_R_X43Y30 TILEPROP IO_INT_INTERFACE_R_X43Y30 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y30 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y30 ROW 124 TILEPROP IO_INT_INTERFACE_R_X43Y30 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y30 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y30 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y30 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y30 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y30 TILE_Y -142648 TILEPROP IO_INT_INTERFACE_R_X43Y30 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y31 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y31 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y31 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y31 FIRST_SITE_ID 12444 TILEPROP IO_INT_INTERFACE_R_X43Y31 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y31 GRID_POINT_Y 123 TILEPROP IO_INT_INTERFACE_R_X43Y31 INDEX 14256 TILEPROP IO_INT_INTERFACE_R_X43Y31 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y31 INT_TILE_Y 118 TILEPROP IO_INT_INTERFACE_R_X43Y31 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y31 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y31 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y31 NAME IO_INT_INTERFACE_R_X43Y31 TILEPROP IO_INT_INTERFACE_R_X43Y31 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y31 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y31 ROW 123 TILEPROP IO_INT_INTERFACE_R_X43Y31 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y31 TILE_PATTERN_IDX 1918 TILEPROP IO_INT_INTERFACE_R_X43Y31 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y31 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y31 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y31 TILE_Y -139448 TILEPROP IO_INT_INTERFACE_R_X43Y31 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y32 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y32 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y32 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y32 FIRST_SITE_ID 12340 TILEPROP IO_INT_INTERFACE_R_X43Y32 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y32 GRID_POINT_Y 122 TILEPROP IO_INT_INTERFACE_R_X43Y32 INDEX 14141 TILEPROP IO_INT_INTERFACE_R_X43Y32 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y32 INT_TILE_Y 117 TILEPROP IO_INT_INTERFACE_R_X43Y32 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y32 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y32 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y32 NAME IO_INT_INTERFACE_R_X43Y32 TILEPROP IO_INT_INTERFACE_R_X43Y32 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y32 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y32 ROW 122 TILEPROP IO_INT_INTERFACE_R_X43Y32 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y32 TILE_PATTERN_IDX 1881 TILEPROP IO_INT_INTERFACE_R_X43Y32 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y32 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y32 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y32 TILE_Y -136248 TILEPROP IO_INT_INTERFACE_R_X43Y32 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y33 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y33 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y33 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y33 FIRST_SITE_ID 12239 TILEPROP IO_INT_INTERFACE_R_X43Y33 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y33 GRID_POINT_Y 121 TILEPROP IO_INT_INTERFACE_R_X43Y33 INDEX 14026 TILEPROP IO_INT_INTERFACE_R_X43Y33 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y33 INT_TILE_Y 116 TILEPROP IO_INT_INTERFACE_R_X43Y33 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y33 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y33 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y33 NAME IO_INT_INTERFACE_R_X43Y33 TILEPROP IO_INT_INTERFACE_R_X43Y33 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y33 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y33 ROW 121 TILEPROP IO_INT_INTERFACE_R_X43Y33 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y33 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y33 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y33 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y33 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y33 TILE_Y -133048 TILEPROP IO_INT_INTERFACE_R_X43Y33 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y34 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y34 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y34 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y34 FIRST_SITE_ID 12139 TILEPROP IO_INT_INTERFACE_R_X43Y34 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y34 GRID_POINT_Y 120 TILEPROP IO_INT_INTERFACE_R_X43Y34 INDEX 13911 TILEPROP IO_INT_INTERFACE_R_X43Y34 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y34 INT_TILE_Y 115 TILEPROP IO_INT_INTERFACE_R_X43Y34 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y34 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y34 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y34 NAME IO_INT_INTERFACE_R_X43Y34 TILEPROP IO_INT_INTERFACE_R_X43Y34 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y34 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y34 ROW 120 TILEPROP IO_INT_INTERFACE_R_X43Y34 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y34 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y34 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y34 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y34 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y34 TILE_Y -129848 TILEPROP IO_INT_INTERFACE_R_X43Y34 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y35 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y35 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y35 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y35 FIRST_SITE_ID 12039 TILEPROP IO_INT_INTERFACE_R_X43Y35 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y35 GRID_POINT_Y 119 TILEPROP IO_INT_INTERFACE_R_X43Y35 INDEX 13796 TILEPROP IO_INT_INTERFACE_R_X43Y35 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y35 INT_TILE_Y 114 TILEPROP IO_INT_INTERFACE_R_X43Y35 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y35 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y35 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y35 NAME IO_INT_INTERFACE_R_X43Y35 TILEPROP IO_INT_INTERFACE_R_X43Y35 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y35 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y35 ROW 119 TILEPROP IO_INT_INTERFACE_R_X43Y35 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y35 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y35 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y35 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y35 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y35 TILE_Y -126648 TILEPROP IO_INT_INTERFACE_R_X43Y35 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y36 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y36 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y36 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y36 FIRST_SITE_ID 11924 TILEPROP IO_INT_INTERFACE_R_X43Y36 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y36 GRID_POINT_Y 118 TILEPROP IO_INT_INTERFACE_R_X43Y36 INDEX 13681 TILEPROP IO_INT_INTERFACE_R_X43Y36 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y36 INT_TILE_Y 113 TILEPROP IO_INT_INTERFACE_R_X43Y36 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y36 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y36 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y36 NAME IO_INT_INTERFACE_R_X43Y36 TILEPROP IO_INT_INTERFACE_R_X43Y36 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y36 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y36 ROW 118 TILEPROP IO_INT_INTERFACE_R_X43Y36 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y36 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y36 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y36 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y36 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y36 TILE_Y -123448 TILEPROP IO_INT_INTERFACE_R_X43Y36 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y37 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y37 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y37 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y37 FIRST_SITE_ID 11792 TILEPROP IO_INT_INTERFACE_R_X43Y37 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y37 GRID_POINT_Y 117 TILEPROP IO_INT_INTERFACE_R_X43Y37 INDEX 13566 TILEPROP IO_INT_INTERFACE_R_X43Y37 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y37 INT_TILE_Y 112 TILEPROP IO_INT_INTERFACE_R_X43Y37 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y37 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y37 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y37 NAME IO_INT_INTERFACE_R_X43Y37 TILEPROP IO_INT_INTERFACE_R_X43Y37 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y37 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y37 ROW 117 TILEPROP IO_INT_INTERFACE_R_X43Y37 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y37 TILE_PATTERN_IDX 2139 TILEPROP IO_INT_INTERFACE_R_X43Y37 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y37 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y37 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y37 TILE_Y -120248 TILEPROP IO_INT_INTERFACE_R_X43Y37 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y38 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y38 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y38 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y38 FIRST_SITE_ID 11692 TILEPROP IO_INT_INTERFACE_R_X43Y38 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y38 GRID_POINT_Y 116 TILEPROP IO_INT_INTERFACE_R_X43Y38 INDEX 13451 TILEPROP IO_INT_INTERFACE_R_X43Y38 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y38 INT_TILE_Y 111 TILEPROP IO_INT_INTERFACE_R_X43Y38 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y38 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y38 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y38 NAME IO_INT_INTERFACE_R_X43Y38 TILEPROP IO_INT_INTERFACE_R_X43Y38 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y38 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y38 ROW 116 TILEPROP IO_INT_INTERFACE_R_X43Y38 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y38 TILE_PATTERN_IDX 2104 TILEPROP IO_INT_INTERFACE_R_X43Y38 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y38 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y38 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y38 TILE_Y -117048 TILEPROP IO_INT_INTERFACE_R_X43Y38 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y39 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y39 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y39 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y39 FIRST_SITE_ID 11592 TILEPROP IO_INT_INTERFACE_R_X43Y39 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y39 GRID_POINT_Y 115 TILEPROP IO_INT_INTERFACE_R_X43Y39 INDEX 13336 TILEPROP IO_INT_INTERFACE_R_X43Y39 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y39 INT_TILE_Y 110 TILEPROP IO_INT_INTERFACE_R_X43Y39 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y39 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y39 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y39 NAME IO_INT_INTERFACE_R_X43Y39 TILEPROP IO_INT_INTERFACE_R_X43Y39 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y39 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y39 ROW 115 TILEPROP IO_INT_INTERFACE_R_X43Y39 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y39 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y39 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y39 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y39 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y39 TILE_Y -113848 TILEPROP IO_INT_INTERFACE_R_X43Y39 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y40 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y40 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y40 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y40 FIRST_SITE_ID 11492 TILEPROP IO_INT_INTERFACE_R_X43Y40 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y40 GRID_POINT_Y 114 TILEPROP IO_INT_INTERFACE_R_X43Y40 INDEX 13221 TILEPROP IO_INT_INTERFACE_R_X43Y40 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y40 INT_TILE_Y 109 TILEPROP IO_INT_INTERFACE_R_X43Y40 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y40 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y40 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y40 NAME IO_INT_INTERFACE_R_X43Y40 TILEPROP IO_INT_INTERFACE_R_X43Y40 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y40 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y40 ROW 114 TILEPROP IO_INT_INTERFACE_R_X43Y40 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y40 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y40 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y40 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y40 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y40 TILE_Y -110648 TILEPROP IO_INT_INTERFACE_R_X43Y40 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y41 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y41 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y41 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y41 FIRST_SITE_ID 11375 TILEPROP IO_INT_INTERFACE_R_X43Y41 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y41 GRID_POINT_Y 113 TILEPROP IO_INT_INTERFACE_R_X43Y41 INDEX 13106 TILEPROP IO_INT_INTERFACE_R_X43Y41 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y41 INT_TILE_Y 108 TILEPROP IO_INT_INTERFACE_R_X43Y41 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y41 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y41 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y41 NAME IO_INT_INTERFACE_R_X43Y41 TILEPROP IO_INT_INTERFACE_R_X43Y41 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y41 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y41 ROW 113 TILEPROP IO_INT_INTERFACE_R_X43Y41 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y41 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y41 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y41 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y41 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y41 TILE_Y -107448 TILEPROP IO_INT_INTERFACE_R_X43Y41 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y42 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y42 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y42 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y42 FIRST_SITE_ID 11274 TILEPROP IO_INT_INTERFACE_R_X43Y42 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y42 GRID_POINT_Y 112 TILEPROP IO_INT_INTERFACE_R_X43Y42 INDEX 12991 TILEPROP IO_INT_INTERFACE_R_X43Y42 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y42 INT_TILE_Y 107 TILEPROP IO_INT_INTERFACE_R_X43Y42 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y42 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y42 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y42 NAME IO_INT_INTERFACE_R_X43Y42 TILEPROP IO_INT_INTERFACE_R_X43Y42 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y42 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y42 ROW 112 TILEPROP IO_INT_INTERFACE_R_X43Y42 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y42 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y42 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y42 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y42 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y42 TILE_Y -104248 TILEPROP IO_INT_INTERFACE_R_X43Y42 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y43 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y43 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y43 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y43 FIRST_SITE_ID 11172 TILEPROP IO_INT_INTERFACE_R_X43Y43 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y43 GRID_POINT_Y 111 TILEPROP IO_INT_INTERFACE_R_X43Y43 INDEX 12876 TILEPROP IO_INT_INTERFACE_R_X43Y43 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y43 INT_TILE_Y 106 TILEPROP IO_INT_INTERFACE_R_X43Y43 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y43 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y43 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y43 NAME IO_INT_INTERFACE_R_X43Y43 TILEPROP IO_INT_INTERFACE_R_X43Y43 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y43 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y43 ROW 111 TILEPROP IO_INT_INTERFACE_R_X43Y43 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y43 TILE_PATTERN_IDX 1918 TILEPROP IO_INT_INTERFACE_R_X43Y43 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y43 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y43 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y43 TILE_Y -101048 TILEPROP IO_INT_INTERFACE_R_X43Y43 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y44 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y44 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y44 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y44 FIRST_SITE_ID 11068 TILEPROP IO_INT_INTERFACE_R_X43Y44 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y44 GRID_POINT_Y 110 TILEPROP IO_INT_INTERFACE_R_X43Y44 INDEX 12761 TILEPROP IO_INT_INTERFACE_R_X43Y44 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y44 INT_TILE_Y 105 TILEPROP IO_INT_INTERFACE_R_X43Y44 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y44 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y44 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y44 NAME IO_INT_INTERFACE_R_X43Y44 TILEPROP IO_INT_INTERFACE_R_X43Y44 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y44 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y44 ROW 110 TILEPROP IO_INT_INTERFACE_R_X43Y44 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y44 TILE_PATTERN_IDX 1881 TILEPROP IO_INT_INTERFACE_R_X43Y44 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y44 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y44 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y44 TILE_Y -97848 TILEPROP IO_INT_INTERFACE_R_X43Y44 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y45 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y45 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y45 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y45 FIRST_SITE_ID 10968 TILEPROP IO_INT_INTERFACE_R_X43Y45 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y45 GRID_POINT_Y 109 TILEPROP IO_INT_INTERFACE_R_X43Y45 INDEX 12646 TILEPROP IO_INT_INTERFACE_R_X43Y45 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y45 INT_TILE_Y 104 TILEPROP IO_INT_INTERFACE_R_X43Y45 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y45 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y45 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y45 NAME IO_INT_INTERFACE_R_X43Y45 TILEPROP IO_INT_INTERFACE_R_X43Y45 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y45 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y45 ROW 109 TILEPROP IO_INT_INTERFACE_R_X43Y45 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y45 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y45 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y45 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y45 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y45 TILE_Y -94648 TILEPROP IO_INT_INTERFACE_R_X43Y45 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y46 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y46 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y46 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y46 FIRST_SITE_ID 10852 TILEPROP IO_INT_INTERFACE_R_X43Y46 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y46 GRID_POINT_Y 108 TILEPROP IO_INT_INTERFACE_R_X43Y46 INDEX 12531 TILEPROP IO_INT_INTERFACE_R_X43Y46 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y46 INT_TILE_Y 103 TILEPROP IO_INT_INTERFACE_R_X43Y46 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y46 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y46 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y46 NAME IO_INT_INTERFACE_R_X43Y46 TILEPROP IO_INT_INTERFACE_R_X43Y46 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y46 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y46 ROW 108 TILEPROP IO_INT_INTERFACE_R_X43Y46 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y46 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y46 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y46 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y46 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y46 TILE_Y -91448 TILEPROP IO_INT_INTERFACE_R_X43Y46 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y47 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y47 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y47 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y47 FIRST_SITE_ID 10736 TILEPROP IO_INT_INTERFACE_R_X43Y47 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y47 GRID_POINT_Y 107 TILEPROP IO_INT_INTERFACE_R_X43Y47 INDEX 12416 TILEPROP IO_INT_INTERFACE_R_X43Y47 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y47 INT_TILE_Y 102 TILEPROP IO_INT_INTERFACE_R_X43Y47 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y47 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y47 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y47 NAME IO_INT_INTERFACE_R_X43Y47 TILEPROP IO_INT_INTERFACE_R_X43Y47 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y47 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y47 ROW 107 TILEPROP IO_INT_INTERFACE_R_X43Y47 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y47 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y47 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y47 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y47 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y47 TILE_Y -88248 TILEPROP IO_INT_INTERFACE_R_X43Y47 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y48 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y48 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y48 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y48 FIRST_SITE_ID 10636 TILEPROP IO_INT_INTERFACE_R_X43Y48 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y48 GRID_POINT_Y 106 TILEPROP IO_INT_INTERFACE_R_X43Y48 INDEX 12301 TILEPROP IO_INT_INTERFACE_R_X43Y48 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y48 INT_TILE_Y 101 TILEPROP IO_INT_INTERFACE_R_X43Y48 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y48 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y48 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y48 NAME IO_INT_INTERFACE_R_X43Y48 TILEPROP IO_INT_INTERFACE_R_X43Y48 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y48 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y48 ROW 106 TILEPROP IO_INT_INTERFACE_R_X43Y48 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y48 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y48 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y48 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y48 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y48 TILE_Y -85048 TILEPROP IO_INT_INTERFACE_R_X43Y48 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y49 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y49 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y49 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y49 FIRST_SITE_ID 10540 TILEPROP IO_INT_INTERFACE_R_X43Y49 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y49 GRID_POINT_Y 105 TILEPROP IO_INT_INTERFACE_R_X43Y49 INDEX 12186 TILEPROP IO_INT_INTERFACE_R_X43Y49 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y49 INT_TILE_Y 100 TILEPROP IO_INT_INTERFACE_R_X43Y49 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y49 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y49 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y49 NAME IO_INT_INTERFACE_R_X43Y49 TILEPROP IO_INT_INTERFACE_R_X43Y49 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y49 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y49 ROW 105 TILEPROP IO_INT_INTERFACE_R_X43Y49 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y49 TILE_PATTERN_IDX 1685 TILEPROP IO_INT_INTERFACE_R_X43Y49 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y49 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y49 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y49 TILE_Y -81848 TILEPROP IO_INT_INTERFACE_R_X43Y49 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y50 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y50 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y50 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y50 FIRST_SITE_ID 10440 TILEPROP IO_INT_INTERFACE_R_X43Y50 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y50 GRID_POINT_Y 103 TILEPROP IO_INT_INTERFACE_R_X43Y50 INDEX 11956 TILEPROP IO_INT_INTERFACE_R_X43Y50 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y50 INT_TILE_Y 99 TILEPROP IO_INT_INTERFACE_R_X43Y50 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y50 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y50 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y50 NAME IO_INT_INTERFACE_R_X43Y50 TILEPROP IO_INT_INTERFACE_R_X43Y50 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y50 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y50 ROW 103 TILEPROP IO_INT_INTERFACE_R_X43Y50 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y50 TILE_PATTERN_IDX 1685 TILEPROP IO_INT_INTERFACE_R_X43Y50 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y50 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y50 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y50 TILE_Y -78400 TILEPROP IO_INT_INTERFACE_R_X43Y50 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y51 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y51 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y51 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y51 FIRST_SITE_ID 10305 TILEPROP IO_INT_INTERFACE_R_X43Y51 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y51 GRID_POINT_Y 102 TILEPROP IO_INT_INTERFACE_R_X43Y51 INDEX 11841 TILEPROP IO_INT_INTERFACE_R_X43Y51 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y51 INT_TILE_Y 98 TILEPROP IO_INT_INTERFACE_R_X43Y51 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y51 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y51 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y51 NAME IO_INT_INTERFACE_R_X43Y51 TILEPROP IO_INT_INTERFACE_R_X43Y51 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y51 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y51 ROW 102 TILEPROP IO_INT_INTERFACE_R_X43Y51 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y51 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y51 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y51 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y51 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y51 TILE_Y -75200 TILEPROP IO_INT_INTERFACE_R_X43Y51 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y52 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y52 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y52 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y52 FIRST_SITE_ID 10205 TILEPROP IO_INT_INTERFACE_R_X43Y52 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y52 GRID_POINT_Y 101 TILEPROP IO_INT_INTERFACE_R_X43Y52 INDEX 11726 TILEPROP IO_INT_INTERFACE_R_X43Y52 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y52 INT_TILE_Y 97 TILEPROP IO_INT_INTERFACE_R_X43Y52 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y52 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y52 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y52 NAME IO_INT_INTERFACE_R_X43Y52 TILEPROP IO_INT_INTERFACE_R_X43Y52 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y52 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y52 ROW 101 TILEPROP IO_INT_INTERFACE_R_X43Y52 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y52 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y52 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y52 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y52 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y52 TILE_Y -72000 TILEPROP IO_INT_INTERFACE_R_X43Y52 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y53 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y53 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y53 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y53 FIRST_SITE_ID 10105 TILEPROP IO_INT_INTERFACE_R_X43Y53 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y53 GRID_POINT_Y 100 TILEPROP IO_INT_INTERFACE_R_X43Y53 INDEX 11611 TILEPROP IO_INT_INTERFACE_R_X43Y53 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y53 INT_TILE_Y 96 TILEPROP IO_INT_INTERFACE_R_X43Y53 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y53 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y53 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y53 NAME IO_INT_INTERFACE_R_X43Y53 TILEPROP IO_INT_INTERFACE_R_X43Y53 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y53 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y53 ROW 100 TILEPROP IO_INT_INTERFACE_R_X43Y53 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y53 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y53 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y53 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y53 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y53 TILE_Y -68800 TILEPROP IO_INT_INTERFACE_R_X43Y53 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y54 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y54 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y54 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y54 FIRST_SITE_ID 10005 TILEPROP IO_INT_INTERFACE_R_X43Y54 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y54 GRID_POINT_Y 99 TILEPROP IO_INT_INTERFACE_R_X43Y54 INDEX 11496 TILEPROP IO_INT_INTERFACE_R_X43Y54 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y54 INT_TILE_Y 95 TILEPROP IO_INT_INTERFACE_R_X43Y54 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y54 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y54 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y54 NAME IO_INT_INTERFACE_R_X43Y54 TILEPROP IO_INT_INTERFACE_R_X43Y54 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y54 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y54 ROW 99 TILEPROP IO_INT_INTERFACE_R_X43Y54 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y54 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y54 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y54 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y54 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y54 TILE_Y -65600 TILEPROP IO_INT_INTERFACE_R_X43Y54 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y55 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y55 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y55 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y55 FIRST_SITE_ID 9905 TILEPROP IO_INT_INTERFACE_R_X43Y55 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y55 GRID_POINT_Y 98 TILEPROP IO_INT_INTERFACE_R_X43Y55 INDEX 11381 TILEPROP IO_INT_INTERFACE_R_X43Y55 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y55 INT_TILE_Y 94 TILEPROP IO_INT_INTERFACE_R_X43Y55 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y55 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y55 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y55 NAME IO_INT_INTERFACE_R_X43Y55 TILEPROP IO_INT_INTERFACE_R_X43Y55 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y55 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y55 ROW 98 TILEPROP IO_INT_INTERFACE_R_X43Y55 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y55 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y55 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y55 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y55 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y55 TILE_Y -62400 TILEPROP IO_INT_INTERFACE_R_X43Y55 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y56 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y56 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y56 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y56 FIRST_SITE_ID 9790 TILEPROP IO_INT_INTERFACE_R_X43Y56 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y56 GRID_POINT_Y 97 TILEPROP IO_INT_INTERFACE_R_X43Y56 INDEX 11266 TILEPROP IO_INT_INTERFACE_R_X43Y56 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y56 INT_TILE_Y 93 TILEPROP IO_INT_INTERFACE_R_X43Y56 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y56 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y56 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y56 NAME IO_INT_INTERFACE_R_X43Y56 TILEPROP IO_INT_INTERFACE_R_X43Y56 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y56 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y56 ROW 97 TILEPROP IO_INT_INTERFACE_R_X43Y56 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y56 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y56 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y56 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y56 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y56 TILE_Y -59200 TILEPROP IO_INT_INTERFACE_R_X43Y56 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y57 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y57 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y57 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y57 FIRST_SITE_ID 9690 TILEPROP IO_INT_INTERFACE_R_X43Y57 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y57 GRID_POINT_Y 96 TILEPROP IO_INT_INTERFACE_R_X43Y57 INDEX 11151 TILEPROP IO_INT_INTERFACE_R_X43Y57 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y57 INT_TILE_Y 92 TILEPROP IO_INT_INTERFACE_R_X43Y57 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y57 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y57 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y57 NAME IO_INT_INTERFACE_R_X43Y57 TILEPROP IO_INT_INTERFACE_R_X43Y57 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y57 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y57 ROW 96 TILEPROP IO_INT_INTERFACE_R_X43Y57 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y57 TILE_PATTERN_IDX 1918 TILEPROP IO_INT_INTERFACE_R_X43Y57 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y57 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y57 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y57 TILE_Y -56000 TILEPROP IO_INT_INTERFACE_R_X43Y57 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y58 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y58 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y58 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y58 FIRST_SITE_ID 9586 TILEPROP IO_INT_INTERFACE_R_X43Y58 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y58 GRID_POINT_Y 95 TILEPROP IO_INT_INTERFACE_R_X43Y58 INDEX 11036 TILEPROP IO_INT_INTERFACE_R_X43Y58 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y58 INT_TILE_Y 91 TILEPROP IO_INT_INTERFACE_R_X43Y58 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y58 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y58 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y58 NAME IO_INT_INTERFACE_R_X43Y58 TILEPROP IO_INT_INTERFACE_R_X43Y58 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y58 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y58 ROW 95 TILEPROP IO_INT_INTERFACE_R_X43Y58 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y58 TILE_PATTERN_IDX 1881 TILEPROP IO_INT_INTERFACE_R_X43Y58 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y58 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y58 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y58 TILE_Y -52800 TILEPROP IO_INT_INTERFACE_R_X43Y58 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y59 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y59 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y59 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y59 FIRST_SITE_ID 9484 TILEPROP IO_INT_INTERFACE_R_X43Y59 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y59 GRID_POINT_Y 94 TILEPROP IO_INT_INTERFACE_R_X43Y59 INDEX 10921 TILEPROP IO_INT_INTERFACE_R_X43Y59 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y59 INT_TILE_Y 90 TILEPROP IO_INT_INTERFACE_R_X43Y59 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y59 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y59 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y59 NAME IO_INT_INTERFACE_R_X43Y59 TILEPROP IO_INT_INTERFACE_R_X43Y59 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y59 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y59 ROW 94 TILEPROP IO_INT_INTERFACE_R_X43Y59 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y59 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y59 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y59 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y59 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y59 TILE_Y -49600 TILEPROP IO_INT_INTERFACE_R_X43Y59 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y60 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y60 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y60 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y60 FIRST_SITE_ID 9384 TILEPROP IO_INT_INTERFACE_R_X43Y60 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y60 GRID_POINT_Y 93 TILEPROP IO_INT_INTERFACE_R_X43Y60 INDEX 10806 TILEPROP IO_INT_INTERFACE_R_X43Y60 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y60 INT_TILE_Y 89 TILEPROP IO_INT_INTERFACE_R_X43Y60 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y60 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y60 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y60 NAME IO_INT_INTERFACE_R_X43Y60 TILEPROP IO_INT_INTERFACE_R_X43Y60 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y60 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y60 ROW 93 TILEPROP IO_INT_INTERFACE_R_X43Y60 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y60 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y60 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y60 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y60 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y60 TILE_Y -46400 TILEPROP IO_INT_INTERFACE_R_X43Y60 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y61 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y61 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y61 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y61 FIRST_SITE_ID 9269 TILEPROP IO_INT_INTERFACE_R_X43Y61 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y61 GRID_POINT_Y 92 TILEPROP IO_INT_INTERFACE_R_X43Y61 INDEX 10691 TILEPROP IO_INT_INTERFACE_R_X43Y61 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y61 INT_TILE_Y 88 TILEPROP IO_INT_INTERFACE_R_X43Y61 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y61 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y61 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y61 NAME IO_INT_INTERFACE_R_X43Y61 TILEPROP IO_INT_INTERFACE_R_X43Y61 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y61 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y61 ROW 92 TILEPROP IO_INT_INTERFACE_R_X43Y61 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y61 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y61 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y61 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y61 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y61 TILE_Y -43200 TILEPROP IO_INT_INTERFACE_R_X43Y61 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y62 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y62 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y62 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y62 FIRST_SITE_ID 9169 TILEPROP IO_INT_INTERFACE_R_X43Y62 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y62 GRID_POINT_Y 91 TILEPROP IO_INT_INTERFACE_R_X43Y62 INDEX 10576 TILEPROP IO_INT_INTERFACE_R_X43Y62 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y62 INT_TILE_Y 87 TILEPROP IO_INT_INTERFACE_R_X43Y62 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y62 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y62 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y62 NAME IO_INT_INTERFACE_R_X43Y62 TILEPROP IO_INT_INTERFACE_R_X43Y62 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y62 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y62 ROW 91 TILEPROP IO_INT_INTERFACE_R_X43Y62 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y62 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y62 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y62 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y62 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y62 TILE_Y -40000 TILEPROP IO_INT_INTERFACE_R_X43Y62 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y63 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y63 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y63 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y63 FIRST_SITE_ID 9037 TILEPROP IO_INT_INTERFACE_R_X43Y63 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y63 GRID_POINT_Y 90 TILEPROP IO_INT_INTERFACE_R_X43Y63 INDEX 10461 TILEPROP IO_INT_INTERFACE_R_X43Y63 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y63 INT_TILE_Y 86 TILEPROP IO_INT_INTERFACE_R_X43Y63 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y63 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y63 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y63 NAME IO_INT_INTERFACE_R_X43Y63 TILEPROP IO_INT_INTERFACE_R_X43Y63 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y63 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y63 ROW 90 TILEPROP IO_INT_INTERFACE_R_X43Y63 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y63 TILE_PATTERN_IDX 2139 TILEPROP IO_INT_INTERFACE_R_X43Y63 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y63 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y63 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y63 TILE_Y -36800 TILEPROP IO_INT_INTERFACE_R_X43Y63 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y64 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y64 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y64 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y64 FIRST_SITE_ID 8937 TILEPROP IO_INT_INTERFACE_R_X43Y64 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y64 GRID_POINT_Y 89 TILEPROP IO_INT_INTERFACE_R_X43Y64 INDEX 10346 TILEPROP IO_INT_INTERFACE_R_X43Y64 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y64 INT_TILE_Y 85 TILEPROP IO_INT_INTERFACE_R_X43Y64 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y64 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y64 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y64 NAME IO_INT_INTERFACE_R_X43Y64 TILEPROP IO_INT_INTERFACE_R_X43Y64 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y64 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y64 ROW 89 TILEPROP IO_INT_INTERFACE_R_X43Y64 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y64 TILE_PATTERN_IDX 2104 TILEPROP IO_INT_INTERFACE_R_X43Y64 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y64 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y64 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y64 TILE_Y -33600 TILEPROP IO_INT_INTERFACE_R_X43Y64 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y65 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y65 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y65 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y65 FIRST_SITE_ID 8837 TILEPROP IO_INT_INTERFACE_R_X43Y65 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y65 GRID_POINT_Y 88 TILEPROP IO_INT_INTERFACE_R_X43Y65 INDEX 10231 TILEPROP IO_INT_INTERFACE_R_X43Y65 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y65 INT_TILE_Y 84 TILEPROP IO_INT_INTERFACE_R_X43Y65 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y65 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y65 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y65 NAME IO_INT_INTERFACE_R_X43Y65 TILEPROP IO_INT_INTERFACE_R_X43Y65 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y65 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y65 ROW 88 TILEPROP IO_INT_INTERFACE_R_X43Y65 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y65 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y65 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y65 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y65 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y65 TILE_Y -30400 TILEPROP IO_INT_INTERFACE_R_X43Y65 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y66 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y66 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y66 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y66 FIRST_SITE_ID 8722 TILEPROP IO_INT_INTERFACE_R_X43Y66 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y66 GRID_POINT_Y 87 TILEPROP IO_INT_INTERFACE_R_X43Y66 INDEX 10116 TILEPROP IO_INT_INTERFACE_R_X43Y66 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y66 INT_TILE_Y 83 TILEPROP IO_INT_INTERFACE_R_X43Y66 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y66 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y66 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y66 NAME IO_INT_INTERFACE_R_X43Y66 TILEPROP IO_INT_INTERFACE_R_X43Y66 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y66 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y66 ROW 87 TILEPROP IO_INT_INTERFACE_R_X43Y66 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y66 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y66 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y66 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y66 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y66 TILE_Y -27200 TILEPROP IO_INT_INTERFACE_R_X43Y66 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y67 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y67 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y67 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y67 FIRST_SITE_ID 8622 TILEPROP IO_INT_INTERFACE_R_X43Y67 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y67 GRID_POINT_Y 86 TILEPROP IO_INT_INTERFACE_R_X43Y67 INDEX 10001 TILEPROP IO_INT_INTERFACE_R_X43Y67 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y67 INT_TILE_Y 82 TILEPROP IO_INT_INTERFACE_R_X43Y67 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y67 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y67 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y67 NAME IO_INT_INTERFACE_R_X43Y67 TILEPROP IO_INT_INTERFACE_R_X43Y67 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y67 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y67 ROW 86 TILEPROP IO_INT_INTERFACE_R_X43Y67 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y67 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y67 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y67 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y67 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y67 TILE_Y -24000 TILEPROP IO_INT_INTERFACE_R_X43Y67 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y68 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y68 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y68 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y68 FIRST_SITE_ID 8514 TILEPROP IO_INT_INTERFACE_R_X43Y68 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y68 GRID_POINT_Y 85 TILEPROP IO_INT_INTERFACE_R_X43Y68 INDEX 9886 TILEPROP IO_INT_INTERFACE_R_X43Y68 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y68 INT_TILE_Y 81 TILEPROP IO_INT_INTERFACE_R_X43Y68 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y68 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y68 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y68 NAME IO_INT_INTERFACE_R_X43Y68 TILEPROP IO_INT_INTERFACE_R_X43Y68 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y68 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y68 ROW 85 TILEPROP IO_INT_INTERFACE_R_X43Y68 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y68 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y68 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y68 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y68 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y68 TILE_Y -20800 TILEPROP IO_INT_INTERFACE_R_X43Y68 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y69 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y69 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y69 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y69 FIRST_SITE_ID 8414 TILEPROP IO_INT_INTERFACE_R_X43Y69 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y69 GRID_POINT_Y 84 TILEPROP IO_INT_INTERFACE_R_X43Y69 INDEX 9771 TILEPROP IO_INT_INTERFACE_R_X43Y69 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y69 INT_TILE_Y 80 TILEPROP IO_INT_INTERFACE_R_X43Y69 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y69 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y69 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y69 NAME IO_INT_INTERFACE_R_X43Y69 TILEPROP IO_INT_INTERFACE_R_X43Y69 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y69 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y69 ROW 84 TILEPROP IO_INT_INTERFACE_R_X43Y69 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y69 TILE_PATTERN_IDX 1918 TILEPROP IO_INT_INTERFACE_R_X43Y69 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y69 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y69 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y69 TILE_Y -17600 TILEPROP IO_INT_INTERFACE_R_X43Y69 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y70 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y70 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y70 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y70 FIRST_SITE_ID 8310 TILEPROP IO_INT_INTERFACE_R_X43Y70 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y70 GRID_POINT_Y 83 TILEPROP IO_INT_INTERFACE_R_X43Y70 INDEX 9656 TILEPROP IO_INT_INTERFACE_R_X43Y70 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y70 INT_TILE_Y 79 TILEPROP IO_INT_INTERFACE_R_X43Y70 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y70 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y70 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y70 NAME IO_INT_INTERFACE_R_X43Y70 TILEPROP IO_INT_INTERFACE_R_X43Y70 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y70 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y70 ROW 83 TILEPROP IO_INT_INTERFACE_R_X43Y70 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y70 TILE_PATTERN_IDX 1881 TILEPROP IO_INT_INTERFACE_R_X43Y70 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y70 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y70 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y70 TILE_Y -14400 TILEPROP IO_INT_INTERFACE_R_X43Y70 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y71 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y71 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y71 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y71 FIRST_SITE_ID 8195 TILEPROP IO_INT_INTERFACE_R_X43Y71 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y71 GRID_POINT_Y 82 TILEPROP IO_INT_INTERFACE_R_X43Y71 INDEX 9541 TILEPROP IO_INT_INTERFACE_R_X43Y71 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y71 INT_TILE_Y 78 TILEPROP IO_INT_INTERFACE_R_X43Y71 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y71 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y71 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y71 NAME IO_INT_INTERFACE_R_X43Y71 TILEPROP IO_INT_INTERFACE_R_X43Y71 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y71 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y71 ROW 82 TILEPROP IO_INT_INTERFACE_R_X43Y71 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y71 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y71 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y71 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y71 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y71 TILE_Y -11200 TILEPROP IO_INT_INTERFACE_R_X43Y71 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y72 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y72 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y72 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y72 FIRST_SITE_ID 8095 TILEPROP IO_INT_INTERFACE_R_X43Y72 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y72 GRID_POINT_Y 81 TILEPROP IO_INT_INTERFACE_R_X43Y72 INDEX 9426 TILEPROP IO_INT_INTERFACE_R_X43Y72 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y72 INT_TILE_Y 77 TILEPROP IO_INT_INTERFACE_R_X43Y72 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y72 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y72 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y72 NAME IO_INT_INTERFACE_R_X43Y72 TILEPROP IO_INT_INTERFACE_R_X43Y72 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y72 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y72 ROW 81 TILEPROP IO_INT_INTERFACE_R_X43Y72 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y72 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y72 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y72 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y72 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y72 TILE_Y -8000 TILEPROP IO_INT_INTERFACE_R_X43Y72 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y73 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y73 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y73 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y73 FIRST_SITE_ID 7995 TILEPROP IO_INT_INTERFACE_R_X43Y73 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y73 GRID_POINT_Y 80 TILEPROP IO_INT_INTERFACE_R_X43Y73 INDEX 9311 TILEPROP IO_INT_INTERFACE_R_X43Y73 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y73 INT_TILE_Y 76 TILEPROP IO_INT_INTERFACE_R_X43Y73 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y73 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y73 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y73 NAME IO_INT_INTERFACE_R_X43Y73 TILEPROP IO_INT_INTERFACE_R_X43Y73 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y73 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y73 ROW 80 TILEPROP IO_INT_INTERFACE_R_X43Y73 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y73 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y73 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y73 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y73 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y73 TILE_Y -4800 TILEPROP IO_INT_INTERFACE_R_X43Y73 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y74 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y74 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y74 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y74 FIRST_SITE_ID 7895 TILEPROP IO_INT_INTERFACE_R_X43Y74 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y74 GRID_POINT_Y 79 TILEPROP IO_INT_INTERFACE_R_X43Y74 INDEX 9196 TILEPROP IO_INT_INTERFACE_R_X43Y74 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y74 INT_TILE_Y 75 TILEPROP IO_INT_INTERFACE_R_X43Y74 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y74 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y74 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y74 NAME IO_INT_INTERFACE_R_X43Y74 TILEPROP IO_INT_INTERFACE_R_X43Y74 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y74 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y74 ROW 79 TILEPROP IO_INT_INTERFACE_R_X43Y74 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y74 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y74 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y74 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y74 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y74 TILE_Y -1600 TILEPROP IO_INT_INTERFACE_R_X43Y74 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y75 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y75 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y75 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y75 FIRST_SITE_ID 7712 TILEPROP IO_INT_INTERFACE_R_X43Y75 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y75 GRID_POINT_Y 77 TILEPROP IO_INT_INTERFACE_R_X43Y75 INDEX 8966 TILEPROP IO_INT_INTERFACE_R_X43Y75 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y75 INT_TILE_Y 74 TILEPROP IO_INT_INTERFACE_R_X43Y75 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y75 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y75 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y75 NAME IO_INT_INTERFACE_R_X43Y75 TILEPROP IO_INT_INTERFACE_R_X43Y75 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y75 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y75 ROW 77 TILEPROP IO_INT_INTERFACE_R_X43Y75 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y75 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y75 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y75 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y75 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y75 TILE_Y 2624 TILEPROP IO_INT_INTERFACE_R_X43Y75 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y76 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y76 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y76 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y76 FIRST_SITE_ID 7594 TILEPROP IO_INT_INTERFACE_R_X43Y76 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y76 GRID_POINT_Y 76 TILEPROP IO_INT_INTERFACE_R_X43Y76 INDEX 8851 TILEPROP IO_INT_INTERFACE_R_X43Y76 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y76 INT_TILE_Y 73 TILEPROP IO_INT_INTERFACE_R_X43Y76 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y76 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y76 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y76 NAME IO_INT_INTERFACE_R_X43Y76 TILEPROP IO_INT_INTERFACE_R_X43Y76 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y76 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y76 ROW 76 TILEPROP IO_INT_INTERFACE_R_X43Y76 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y76 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y76 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y76 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y76 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y76 TILE_Y 5824 TILEPROP IO_INT_INTERFACE_R_X43Y76 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y77 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y77 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y77 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y77 FIRST_SITE_ID 7494 TILEPROP IO_INT_INTERFACE_R_X43Y77 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y77 GRID_POINT_Y 75 TILEPROP IO_INT_INTERFACE_R_X43Y77 INDEX 8736 TILEPROP IO_INT_INTERFACE_R_X43Y77 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y77 INT_TILE_Y 72 TILEPROP IO_INT_INTERFACE_R_X43Y77 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y77 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y77 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y77 NAME IO_INT_INTERFACE_R_X43Y77 TILEPROP IO_INT_INTERFACE_R_X43Y77 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y77 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y77 ROW 75 TILEPROP IO_INT_INTERFACE_R_X43Y77 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y77 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y77 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y77 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y77 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y77 TILE_Y 9024 TILEPROP IO_INT_INTERFACE_R_X43Y77 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y78 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y78 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y78 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y78 FIRST_SITE_ID 7394 TILEPROP IO_INT_INTERFACE_R_X43Y78 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y78 GRID_POINT_Y 74 TILEPROP IO_INT_INTERFACE_R_X43Y78 INDEX 8621 TILEPROP IO_INT_INTERFACE_R_X43Y78 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y78 INT_TILE_Y 71 TILEPROP IO_INT_INTERFACE_R_X43Y78 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y78 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y78 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y78 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y78 NAME IO_INT_INTERFACE_R_X43Y78 TILEPROP IO_INT_INTERFACE_R_X43Y78 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y78 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y78 ROW 74 TILEPROP IO_INT_INTERFACE_R_X43Y78 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y78 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y78 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y78 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y78 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y78 TILE_Y 12224 TILEPROP IO_INT_INTERFACE_R_X43Y78 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y79 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y79 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y79 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y79 FIRST_SITE_ID 7294 TILEPROP IO_INT_INTERFACE_R_X43Y79 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y79 GRID_POINT_Y 73 TILEPROP IO_INT_INTERFACE_R_X43Y79 INDEX 8506 TILEPROP IO_INT_INTERFACE_R_X43Y79 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y79 INT_TILE_Y 70 TILEPROP IO_INT_INTERFACE_R_X43Y79 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y79 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y79 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y79 NAME IO_INT_INTERFACE_R_X43Y79 TILEPROP IO_INT_INTERFACE_R_X43Y79 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y79 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y79 ROW 73 TILEPROP IO_INT_INTERFACE_R_X43Y79 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y79 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y79 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y79 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y79 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y79 TILE_Y 15424 TILEPROP IO_INT_INTERFACE_R_X43Y79 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y80 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y80 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y80 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y80 FIRST_SITE_ID 7182 TILEPROP IO_INT_INTERFACE_R_X43Y80 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y80 GRID_POINT_Y 72 TILEPROP IO_INT_INTERFACE_R_X43Y80 INDEX 8391 TILEPROP IO_INT_INTERFACE_R_X43Y80 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y80 INT_TILE_Y 69 TILEPROP IO_INT_INTERFACE_R_X43Y80 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y80 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y80 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y80 NAME IO_INT_INTERFACE_R_X43Y80 TILEPROP IO_INT_INTERFACE_R_X43Y80 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y80 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y80 ROW 72 TILEPROP IO_INT_INTERFACE_R_X43Y80 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y80 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y80 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y80 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y80 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y80 TILE_Y 18624 TILEPROP IO_INT_INTERFACE_R_X43Y80 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y81 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y81 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y81 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y81 FIRST_SITE_ID 7067 TILEPROP IO_INT_INTERFACE_R_X43Y81 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y81 GRID_POINT_Y 71 TILEPROP IO_INT_INTERFACE_R_X43Y81 INDEX 8276 TILEPROP IO_INT_INTERFACE_R_X43Y81 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y81 INT_TILE_Y 68 TILEPROP IO_INT_INTERFACE_R_X43Y81 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y81 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y81 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y81 NAME IO_INT_INTERFACE_R_X43Y81 TILEPROP IO_INT_INTERFACE_R_X43Y81 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y81 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y81 ROW 71 TILEPROP IO_INT_INTERFACE_R_X43Y81 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y81 TILE_PATTERN_IDX 1918 TILEPROP IO_INT_INTERFACE_R_X43Y81 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y81 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y81 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y81 TILE_Y 21824 TILEPROP IO_INT_INTERFACE_R_X43Y81 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y82 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y82 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y82 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y82 FIRST_SITE_ID 6963 TILEPROP IO_INT_INTERFACE_R_X43Y82 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y82 GRID_POINT_Y 70 TILEPROP IO_INT_INTERFACE_R_X43Y82 INDEX 8161 TILEPROP IO_INT_INTERFACE_R_X43Y82 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y82 INT_TILE_Y 67 TILEPROP IO_INT_INTERFACE_R_X43Y82 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y82 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y82 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y82 NAME IO_INT_INTERFACE_R_X43Y82 TILEPROP IO_INT_INTERFACE_R_X43Y82 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y82 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y82 ROW 70 TILEPROP IO_INT_INTERFACE_R_X43Y82 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y82 TILE_PATTERN_IDX 1881 TILEPROP IO_INT_INTERFACE_R_X43Y82 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y82 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y82 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y82 TILE_Y 25024 TILEPROP IO_INT_INTERFACE_R_X43Y82 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y83 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y83 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y83 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y83 FIRST_SITE_ID 6863 TILEPROP IO_INT_INTERFACE_R_X43Y83 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y83 GRID_POINT_Y 69 TILEPROP IO_INT_INTERFACE_R_X43Y83 INDEX 8046 TILEPROP IO_INT_INTERFACE_R_X43Y83 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y83 INT_TILE_Y 66 TILEPROP IO_INT_INTERFACE_R_X43Y83 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y83 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y83 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y83 NAME IO_INT_INTERFACE_R_X43Y83 TILEPROP IO_INT_INTERFACE_R_X43Y83 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y83 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y83 ROW 69 TILEPROP IO_INT_INTERFACE_R_X43Y83 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y83 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y83 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y83 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y83 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y83 TILE_Y 28224 TILEPROP IO_INT_INTERFACE_R_X43Y83 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y84 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y84 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y84 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y84 FIRST_SITE_ID 6763 TILEPROP IO_INT_INTERFACE_R_X43Y84 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y84 GRID_POINT_Y 68 TILEPROP IO_INT_INTERFACE_R_X43Y84 INDEX 7931 TILEPROP IO_INT_INTERFACE_R_X43Y84 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y84 INT_TILE_Y 65 TILEPROP IO_INT_INTERFACE_R_X43Y84 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y84 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y84 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y84 NAME IO_INT_INTERFACE_R_X43Y84 TILEPROP IO_INT_INTERFACE_R_X43Y84 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y84 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y84 ROW 68 TILEPROP IO_INT_INTERFACE_R_X43Y84 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y84 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y84 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y84 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y84 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y84 TILE_Y 31424 TILEPROP IO_INT_INTERFACE_R_X43Y84 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y85 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y85 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y85 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y85 FIRST_SITE_ID 6663 TILEPROP IO_INT_INTERFACE_R_X43Y85 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y85 GRID_POINT_Y 67 TILEPROP IO_INT_INTERFACE_R_X43Y85 INDEX 7816 TILEPROP IO_INT_INTERFACE_R_X43Y85 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y85 INT_TILE_Y 64 TILEPROP IO_INT_INTERFACE_R_X43Y85 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y85 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y85 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y85 NAME IO_INT_INTERFACE_R_X43Y85 TILEPROP IO_INT_INTERFACE_R_X43Y85 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y85 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y85 ROW 67 TILEPROP IO_INT_INTERFACE_R_X43Y85 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y85 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y85 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y85 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y85 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y85 TILE_Y 34624 TILEPROP IO_INT_INTERFACE_R_X43Y85 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y86 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y86 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y86 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y86 FIRST_SITE_ID 6548 TILEPROP IO_INT_INTERFACE_R_X43Y86 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y86 GRID_POINT_Y 66 TILEPROP IO_INT_INTERFACE_R_X43Y86 INDEX 7701 TILEPROP IO_INT_INTERFACE_R_X43Y86 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y86 INT_TILE_Y 63 TILEPROP IO_INT_INTERFACE_R_X43Y86 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y86 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y86 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y86 NAME IO_INT_INTERFACE_R_X43Y86 TILEPROP IO_INT_INTERFACE_R_X43Y86 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y86 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y86 ROW 66 TILEPROP IO_INT_INTERFACE_R_X43Y86 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y86 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y86 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y86 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y86 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y86 TILE_Y 37824 TILEPROP IO_INT_INTERFACE_R_X43Y86 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y87 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y87 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y87 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y87 FIRST_SITE_ID 6416 TILEPROP IO_INT_INTERFACE_R_X43Y87 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y87 GRID_POINT_Y 65 TILEPROP IO_INT_INTERFACE_R_X43Y87 INDEX 7586 TILEPROP IO_INT_INTERFACE_R_X43Y87 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y87 INT_TILE_Y 62 TILEPROP IO_INT_INTERFACE_R_X43Y87 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y87 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y87 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y87 NAME IO_INT_INTERFACE_R_X43Y87 TILEPROP IO_INT_INTERFACE_R_X43Y87 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y87 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y87 ROW 65 TILEPROP IO_INT_INTERFACE_R_X43Y87 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y87 TILE_PATTERN_IDX 2139 TILEPROP IO_INT_INTERFACE_R_X43Y87 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y87 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y87 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y87 TILE_Y 41024 TILEPROP IO_INT_INTERFACE_R_X43Y87 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y88 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y88 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y88 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y88 FIRST_SITE_ID 6316 TILEPROP IO_INT_INTERFACE_R_X43Y88 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y88 GRID_POINT_Y 64 TILEPROP IO_INT_INTERFACE_R_X43Y88 INDEX 7471 TILEPROP IO_INT_INTERFACE_R_X43Y88 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y88 INT_TILE_Y 61 TILEPROP IO_INT_INTERFACE_R_X43Y88 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y88 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y88 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y88 NAME IO_INT_INTERFACE_R_X43Y88 TILEPROP IO_INT_INTERFACE_R_X43Y88 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y88 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y88 ROW 64 TILEPROP IO_INT_INTERFACE_R_X43Y88 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y88 TILE_PATTERN_IDX 2104 TILEPROP IO_INT_INTERFACE_R_X43Y88 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y88 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y88 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y88 TILE_Y 44224 TILEPROP IO_INT_INTERFACE_R_X43Y88 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y89 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y89 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y89 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y89 FIRST_SITE_ID 6216 TILEPROP IO_INT_INTERFACE_R_X43Y89 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y89 GRID_POINT_Y 63 TILEPROP IO_INT_INTERFACE_R_X43Y89 INDEX 7356 TILEPROP IO_INT_INTERFACE_R_X43Y89 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y89 INT_TILE_Y 60 TILEPROP IO_INT_INTERFACE_R_X43Y89 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y89 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y89 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y89 NAME IO_INT_INTERFACE_R_X43Y89 TILEPROP IO_INT_INTERFACE_R_X43Y89 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y89 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y89 ROW 63 TILEPROP IO_INT_INTERFACE_R_X43Y89 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y89 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y89 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y89 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y89 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y89 TILE_Y 47424 TILEPROP IO_INT_INTERFACE_R_X43Y89 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y90 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y90 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y90 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y90 FIRST_SITE_ID 6116 TILEPROP IO_INT_INTERFACE_R_X43Y90 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y90 GRID_POINT_Y 62 TILEPROP IO_INT_INTERFACE_R_X43Y90 INDEX 7241 TILEPROP IO_INT_INTERFACE_R_X43Y90 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y90 INT_TILE_Y 59 TILEPROP IO_INT_INTERFACE_R_X43Y90 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y90 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y90 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y90 NAME IO_INT_INTERFACE_R_X43Y90 TILEPROP IO_INT_INTERFACE_R_X43Y90 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y90 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y90 ROW 62 TILEPROP IO_INT_INTERFACE_R_X43Y90 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y90 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y90 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y90 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y90 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y90 TILE_Y 50624 TILEPROP IO_INT_INTERFACE_R_X43Y90 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y91 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y91 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y91 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y91 FIRST_SITE_ID 6001 TILEPROP IO_INT_INTERFACE_R_X43Y91 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y91 GRID_POINT_Y 61 TILEPROP IO_INT_INTERFACE_R_X43Y91 INDEX 7126 TILEPROP IO_INT_INTERFACE_R_X43Y91 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y91 INT_TILE_Y 58 TILEPROP IO_INT_INTERFACE_R_X43Y91 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y91 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y91 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y91 NAME IO_INT_INTERFACE_R_X43Y91 TILEPROP IO_INT_INTERFACE_R_X43Y91 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y91 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y91 ROW 61 TILEPROP IO_INT_INTERFACE_R_X43Y91 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y91 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y91 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y91 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y91 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y91 TILE_Y 53824 TILEPROP IO_INT_INTERFACE_R_X43Y91 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y92 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y92 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y92 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y92 FIRST_SITE_ID 5901 TILEPROP IO_INT_INTERFACE_R_X43Y92 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y92 GRID_POINT_Y 60 TILEPROP IO_INT_INTERFACE_R_X43Y92 INDEX 7011 TILEPROP IO_INT_INTERFACE_R_X43Y92 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y92 INT_TILE_Y 57 TILEPROP IO_INT_INTERFACE_R_X43Y92 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y92 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y92 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y92 NAME IO_INT_INTERFACE_R_X43Y92 TILEPROP IO_INT_INTERFACE_R_X43Y92 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y92 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y92 ROW 60 TILEPROP IO_INT_INTERFACE_R_X43Y92 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y92 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y92 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y92 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y92 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y92 TILE_Y 57024 TILEPROP IO_INT_INTERFACE_R_X43Y92 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y93 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y93 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y93 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y93 FIRST_SITE_ID 5799 TILEPROP IO_INT_INTERFACE_R_X43Y93 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y93 GRID_POINT_Y 59 TILEPROP IO_INT_INTERFACE_R_X43Y93 INDEX 6896 TILEPROP IO_INT_INTERFACE_R_X43Y93 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y93 INT_TILE_Y 56 TILEPROP IO_INT_INTERFACE_R_X43Y93 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y93 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y93 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y93 NAME IO_INT_INTERFACE_R_X43Y93 TILEPROP IO_INT_INTERFACE_R_X43Y93 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y93 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y93 ROW 59 TILEPROP IO_INT_INTERFACE_R_X43Y93 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y93 TILE_PATTERN_IDX 1918 TILEPROP IO_INT_INTERFACE_R_X43Y93 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y93 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y93 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y93 TILE_Y 60224 TILEPROP IO_INT_INTERFACE_R_X43Y93 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y94 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y94 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y94 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y94 FIRST_SITE_ID 5695 TILEPROP IO_INT_INTERFACE_R_X43Y94 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y94 GRID_POINT_Y 58 TILEPROP IO_INT_INTERFACE_R_X43Y94 INDEX 6781 TILEPROP IO_INT_INTERFACE_R_X43Y94 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y94 INT_TILE_Y 55 TILEPROP IO_INT_INTERFACE_R_X43Y94 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y94 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y94 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y94 NAME IO_INT_INTERFACE_R_X43Y94 TILEPROP IO_INT_INTERFACE_R_X43Y94 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y94 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y94 ROW 58 TILEPROP IO_INT_INTERFACE_R_X43Y94 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y94 TILE_PATTERN_IDX 1881 TILEPROP IO_INT_INTERFACE_R_X43Y94 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y94 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y94 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y94 TILE_Y 63424 TILEPROP IO_INT_INTERFACE_R_X43Y94 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y95 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y95 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y95 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y95 FIRST_SITE_ID 5595 TILEPROP IO_INT_INTERFACE_R_X43Y95 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y95 GRID_POINT_Y 57 TILEPROP IO_INT_INTERFACE_R_X43Y95 INDEX 6666 TILEPROP IO_INT_INTERFACE_R_X43Y95 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y95 INT_TILE_Y 54 TILEPROP IO_INT_INTERFACE_R_X43Y95 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y95 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y95 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y95 NAME IO_INT_INTERFACE_R_X43Y95 TILEPROP IO_INT_INTERFACE_R_X43Y95 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y95 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y95 ROW 57 TILEPROP IO_INT_INTERFACE_R_X43Y95 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y95 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y95 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y95 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y95 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y95 TILE_Y 66624 TILEPROP IO_INT_INTERFACE_R_X43Y95 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y96 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y96 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y96 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y96 FIRST_SITE_ID 5480 TILEPROP IO_INT_INTERFACE_R_X43Y96 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y96 GRID_POINT_Y 56 TILEPROP IO_INT_INTERFACE_R_X43Y96 INDEX 6551 TILEPROP IO_INT_INTERFACE_R_X43Y96 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y96 INT_TILE_Y 53 TILEPROP IO_INT_INTERFACE_R_X43Y96 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y96 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y96 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y96 NAME IO_INT_INTERFACE_R_X43Y96 TILEPROP IO_INT_INTERFACE_R_X43Y96 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y96 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y96 ROW 56 TILEPROP IO_INT_INTERFACE_R_X43Y96 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y96 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y96 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y96 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y96 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y96 TILE_Y 69824 TILEPROP IO_INT_INTERFACE_R_X43Y96 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y97 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y97 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y97 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y97 FIRST_SITE_ID 5380 TILEPROP IO_INT_INTERFACE_R_X43Y97 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y97 GRID_POINT_Y 55 TILEPROP IO_INT_INTERFACE_R_X43Y97 INDEX 6436 TILEPROP IO_INT_INTERFACE_R_X43Y97 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y97 INT_TILE_Y 52 TILEPROP IO_INT_INTERFACE_R_X43Y97 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y97 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y97 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y97 NAME IO_INT_INTERFACE_R_X43Y97 TILEPROP IO_INT_INTERFACE_R_X43Y97 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y97 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y97 ROW 55 TILEPROP IO_INT_INTERFACE_R_X43Y97 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y97 TILE_PATTERN_IDX 1763 TILEPROP IO_INT_INTERFACE_R_X43Y97 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y97 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y97 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y97 TILE_Y 73024 TILEPROP IO_INT_INTERFACE_R_X43Y97 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y98 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y98 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y98 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y98 FIRST_SITE_ID 5280 TILEPROP IO_INT_INTERFACE_R_X43Y98 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y98 GRID_POINT_Y 54 TILEPROP IO_INT_INTERFACE_R_X43Y98 INDEX 6321 TILEPROP IO_INT_INTERFACE_R_X43Y98 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y98 INT_TILE_Y 51 TILEPROP IO_INT_INTERFACE_R_X43Y98 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y98 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y98 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y98 NAME IO_INT_INTERFACE_R_X43Y98 TILEPROP IO_INT_INTERFACE_R_X43Y98 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y98 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y98 ROW 54 TILEPROP IO_INT_INTERFACE_R_X43Y98 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y98 TILE_PATTERN_IDX 1725 TILEPROP IO_INT_INTERFACE_R_X43Y98 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y98 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y98 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y98 TILE_Y 76224 TILEPROP IO_INT_INTERFACE_R_X43Y98 TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y99 CLASS tile TILEPROP IO_INT_INTERFACE_R_X43Y99 COLUMN 111 TILEPROP IO_INT_INTERFACE_R_X43Y99 DEVICE_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y99 FIRST_SITE_ID 5184 TILEPROP IO_INT_INTERFACE_R_X43Y99 GRID_POINT_X 111 TILEPROP IO_INT_INTERFACE_R_X43Y99 GRID_POINT_Y 53 TILEPROP IO_INT_INTERFACE_R_X43Y99 INDEX 6206 TILEPROP IO_INT_INTERFACE_R_X43Y99 INT_TILE_X 43 TILEPROP IO_INT_INTERFACE_R_X43Y99 INT_TILE_Y 50 TILEPROP IO_INT_INTERFACE_R_X43Y99 IS_CENTER_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y99 IS_DCM_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y99 IS_GT_SITE_TILE 0 TILEPROP IO_INT_INTERFACE_R_X43Y99 NAME IO_INT_INTERFACE_R_X43Y99 TILEPROP IO_INT_INTERFACE_R_X43Y99 NUM_ARCS 84 TILEPROP IO_INT_INTERFACE_R_X43Y99 NUM_SITES 0 TILEPROP IO_INT_INTERFACE_R_X43Y99 ROW 53 TILEPROP IO_INT_INTERFACE_R_X43Y99 SLR_REGION_ID 0 TILEPROP IO_INT_INTERFACE_R_X43Y99 TILE_PATTERN_IDX 1685 TILEPROP IO_INT_INTERFACE_R_X43Y99 TILE_TYPE IO_INT_INTERFACE_R TILEPROP IO_INT_INTERFACE_R_X43Y99 TILE_TYPE_INDEX 98 TILEPROP IO_INT_INTERFACE_R_X43Y99 TILE_X 104942 TILEPROP IO_INT_INTERFACE_R_X43Y99 TILE_Y 79424 TILEPROP IO_INT_INTERFACE_R_X43Y99 TYPE IO_INT_INTERFACE_R TILEPROP LIOB33_SING_X0Y0 CLASS tile TILEPROP LIOB33_SING_X0Y0 COLUMN 0 TILEPROP LIOB33_SING_X0Y0 DEVICE_ID 0 TILEPROP LIOB33_SING_X0Y0 FIRST_SITE_ID 15702 TILEPROP LIOB33_SING_X0Y0 GRID_POINT_X 0 TILEPROP LIOB33_SING_X0Y0 GRID_POINT_Y 155 TILEPROP LIOB33_SING_X0Y0 INDEX 17825 TILEPROP LIOB33_SING_X0Y0 INT_TILE_X -1 TILEPROP LIOB33_SING_X0Y0 INT_TILE_Y -1 TILEPROP LIOB33_SING_X0Y0 IS_CENTER_TILE 0 TILEPROP LIOB33_SING_X0Y0 IS_DCM_TILE 0 TILEPROP LIOB33_SING_X0Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y0 IS_GT_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y0 NAME LIOB33_SING_X0Y0 TILEPROP LIOB33_SING_X0Y0 NUM_ARCS 0 TILEPROP LIOB33_SING_X0Y0 NUM_SITES 1 TILEPROP LIOB33_SING_X0Y0 ROW 155 TILEPROP LIOB33_SING_X0Y0 SLR_REGION_ID 0 TILEPROP LIOB33_SING_X0Y0 TILE_PATTERN_IDX 4 TILEPROP LIOB33_SING_X0Y0 TILE_TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y0 TILE_TYPE_INDEX 100 TILEPROP LIOB33_SING_X0Y0 TILE_X -101394 TILEPROP LIOB33_SING_X0Y0 TILE_Y -239672 TILEPROP LIOB33_SING_X0Y0 TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y49 CLASS tile TILEPROP LIOB33_SING_X0Y49 COLUMN 0 TILEPROP LIOB33_SING_X0Y49 DEVICE_ID 0 TILEPROP LIOB33_SING_X0Y49 FIRST_SITE_ID 10444 TILEPROP LIOB33_SING_X0Y49 GRID_POINT_X 0 TILEPROP LIOB33_SING_X0Y49 GRID_POINT_Y 105 TILEPROP LIOB33_SING_X0Y49 INDEX 12075 TILEPROP LIOB33_SING_X0Y49 INT_TILE_X -1 TILEPROP LIOB33_SING_X0Y49 INT_TILE_Y -1 TILEPROP LIOB33_SING_X0Y49 IS_CENTER_TILE 0 TILEPROP LIOB33_SING_X0Y49 IS_DCM_TILE 0 TILEPROP LIOB33_SING_X0Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y49 IS_GT_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y49 NAME LIOB33_SING_X0Y49 TILEPROP LIOB33_SING_X0Y49 NUM_ARCS 0 TILEPROP LIOB33_SING_X0Y49 NUM_SITES 1 TILEPROP LIOB33_SING_X0Y49 ROW 105 TILEPROP LIOB33_SING_X0Y49 SLR_REGION_ID 0 TILEPROP LIOB33_SING_X0Y49 TILE_PATTERN_IDX 4 TILEPROP LIOB33_SING_X0Y49 TILE_TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y49 TILE_TYPE_INDEX 100 TILEPROP LIOB33_SING_X0Y49 TILE_X -101394 TILEPROP LIOB33_SING_X0Y49 TILE_Y -81848 TILEPROP LIOB33_SING_X0Y49 TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y50 CLASS tile TILEPROP LIOB33_SING_X0Y50 COLUMN 0 TILEPROP LIOB33_SING_X0Y50 DEVICE_ID 0 TILEPROP LIOB33_SING_X0Y50 FIRST_SITE_ID 10313 TILEPROP LIOB33_SING_X0Y50 GRID_POINT_X 0 TILEPROP LIOB33_SING_X0Y50 GRID_POINT_Y 103 TILEPROP LIOB33_SING_X0Y50 INDEX 11845 TILEPROP LIOB33_SING_X0Y50 INT_TILE_X -1 TILEPROP LIOB33_SING_X0Y50 INT_TILE_Y -1 TILEPROP LIOB33_SING_X0Y50 IS_CENTER_TILE 0 TILEPROP LIOB33_SING_X0Y50 IS_DCM_TILE 0 TILEPROP LIOB33_SING_X0Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y50 IS_GT_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y50 NAME LIOB33_SING_X0Y50 TILEPROP LIOB33_SING_X0Y50 NUM_ARCS 0 TILEPROP LIOB33_SING_X0Y50 NUM_SITES 1 TILEPROP LIOB33_SING_X0Y50 ROW 103 TILEPROP LIOB33_SING_X0Y50 SLR_REGION_ID 0 TILEPROP LIOB33_SING_X0Y50 TILE_PATTERN_IDX 4 TILEPROP LIOB33_SING_X0Y50 TILE_TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y50 TILE_TYPE_INDEX 100 TILEPROP LIOB33_SING_X0Y50 TILE_X -101394 TILEPROP LIOB33_SING_X0Y50 TILE_Y -78400 TILEPROP LIOB33_SING_X0Y50 TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y99 CLASS tile TILEPROP LIOB33_SING_X0Y99 COLUMN 0 TILEPROP LIOB33_SING_X0Y99 DEVICE_ID 0 TILEPROP LIOB33_SING_X0Y99 FIRST_SITE_ID 5088 TILEPROP LIOB33_SING_X0Y99 GRID_POINT_X 0 TILEPROP LIOB33_SING_X0Y99 GRID_POINT_Y 53 TILEPROP LIOB33_SING_X0Y99 INDEX 6095 TILEPROP LIOB33_SING_X0Y99 INT_TILE_X -1 TILEPROP LIOB33_SING_X0Y99 INT_TILE_Y -1 TILEPROP LIOB33_SING_X0Y99 IS_CENTER_TILE 0 TILEPROP LIOB33_SING_X0Y99 IS_DCM_TILE 0 TILEPROP LIOB33_SING_X0Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y99 IS_GT_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y99 NAME LIOB33_SING_X0Y99 TILEPROP LIOB33_SING_X0Y99 NUM_ARCS 0 TILEPROP LIOB33_SING_X0Y99 NUM_SITES 1 TILEPROP LIOB33_SING_X0Y99 ROW 53 TILEPROP LIOB33_SING_X0Y99 SLR_REGION_ID 0 TILEPROP LIOB33_SING_X0Y99 TILE_PATTERN_IDX 4 TILEPROP LIOB33_SING_X0Y99 TILE_TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y99 TILE_TYPE_INDEX 100 TILEPROP LIOB33_SING_X0Y99 TILE_X -101394 TILEPROP LIOB33_SING_X0Y99 TILE_Y 79424 TILEPROP LIOB33_SING_X0Y99 TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y100 CLASS tile TILEPROP LIOB33_SING_X0Y100 COLUMN 0 TILEPROP LIOB33_SING_X0Y100 DEVICE_ID 0 TILEPROP LIOB33_SING_X0Y100 FIRST_SITE_ID 4987 TILEPROP LIOB33_SING_X0Y100 GRID_POINT_X 0 TILEPROP LIOB33_SING_X0Y100 GRID_POINT_Y 51 TILEPROP LIOB33_SING_X0Y100 INDEX 5865 TILEPROP LIOB33_SING_X0Y100 INT_TILE_X -1 TILEPROP LIOB33_SING_X0Y100 INT_TILE_Y -1 TILEPROP LIOB33_SING_X0Y100 IS_CENTER_TILE 0 TILEPROP LIOB33_SING_X0Y100 IS_DCM_TILE 0 TILEPROP LIOB33_SING_X0Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y100 IS_GT_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y100 NAME LIOB33_SING_X0Y100 TILEPROP LIOB33_SING_X0Y100 NUM_ARCS 0 TILEPROP LIOB33_SING_X0Y100 NUM_SITES 1 TILEPROP LIOB33_SING_X0Y100 ROW 51 TILEPROP LIOB33_SING_X0Y100 SLR_REGION_ID 0 TILEPROP LIOB33_SING_X0Y100 TILE_PATTERN_IDX 4 TILEPROP LIOB33_SING_X0Y100 TILE_TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y100 TILE_TYPE_INDEX 100 TILEPROP LIOB33_SING_X0Y100 TILE_X -101394 TILEPROP LIOB33_SING_X0Y100 TILE_Y 82872 TILEPROP LIOB33_SING_X0Y100 TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y149 CLASS tile TILEPROP LIOB33_SING_X0Y149 COLUMN 0 TILEPROP LIOB33_SING_X0Y149 DEVICE_ID 0 TILEPROP LIOB33_SING_X0Y149 FIRST_SITE_ID 0 TILEPROP LIOB33_SING_X0Y149 GRID_POINT_X 0 TILEPROP LIOB33_SING_X0Y149 GRID_POINT_Y 1 TILEPROP LIOB33_SING_X0Y149 INDEX 115 TILEPROP LIOB33_SING_X0Y149 INT_TILE_X -1 TILEPROP LIOB33_SING_X0Y149 INT_TILE_Y -1 TILEPROP LIOB33_SING_X0Y149 IS_CENTER_TILE 0 TILEPROP LIOB33_SING_X0Y149 IS_DCM_TILE 0 TILEPROP LIOB33_SING_X0Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y149 IS_GT_SITE_TILE 0 TILEPROP LIOB33_SING_X0Y149 NAME LIOB33_SING_X0Y149 TILEPROP LIOB33_SING_X0Y149 NUM_ARCS 0 TILEPROP LIOB33_SING_X0Y149 NUM_SITES 1 TILEPROP LIOB33_SING_X0Y149 ROW 1 TILEPROP LIOB33_SING_X0Y149 SLR_REGION_ID 0 TILEPROP LIOB33_SING_X0Y149 TILE_PATTERN_IDX 4 TILEPROP LIOB33_SING_X0Y149 TILE_TYPE LIOB33_SING TILEPROP LIOB33_SING_X0Y149 TILE_TYPE_INDEX 100 TILEPROP LIOB33_SING_X0Y149 TILE_X -101394 TILEPROP LIOB33_SING_X0Y149 TILE_Y 240696 TILEPROP LIOB33_SING_X0Y149 TYPE LIOB33_SING TILEPROP LIOB33_X0Y1 CLASS tile TILEPROP LIOB33_X0Y1 COLUMN 0 TILEPROP LIOB33_X0Y1 DEVICE_ID 0 TILEPROP LIOB33_X0Y1 FIRST_SITE_ID 15593 TILEPROP LIOB33_X0Y1 GRID_POINT_X 0 TILEPROP LIOB33_X0Y1 GRID_POINT_Y 154 TILEPROP LIOB33_X0Y1 INDEX 17710 TILEPROP LIOB33_X0Y1 INT_TILE_X -1 TILEPROP LIOB33_X0Y1 INT_TILE_Y -1 TILEPROP LIOB33_X0Y1 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y1 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y1 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y1 NAME LIOB33_X0Y1 TILEPROP LIOB33_X0Y1 NUM_ARCS 10 TILEPROP LIOB33_X0Y1 NUM_SITES 2 TILEPROP LIOB33_X0Y1 ROW 154 TILEPROP LIOB33_X0Y1 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y1 TILE_PATTERN_IDX 3460 TILEPROP LIOB33_X0Y1 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y1 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y1 TILE_X -101394 TILEPROP LIOB33_X0Y1 TILE_Y -234872 TILEPROP LIOB33_X0Y1 TYPE LIOB33 TILEPROP LIOB33_X0Y3 CLASS tile TILEPROP LIOB33_X0Y3 COLUMN 0 TILEPROP LIOB33_X0Y3 DEVICE_ID 0 TILEPROP LIOB33_X0Y3 FIRST_SITE_ID 15393 TILEPROP LIOB33_X0Y3 GRID_POINT_X 0 TILEPROP LIOB33_X0Y3 GRID_POINT_Y 152 TILEPROP LIOB33_X0Y3 INDEX 17480 TILEPROP LIOB33_X0Y3 INT_TILE_X -1 TILEPROP LIOB33_X0Y3 INT_TILE_Y -1 TILEPROP LIOB33_X0Y3 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y3 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y3 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y3 NAME LIOB33_X0Y3 TILEPROP LIOB33_X0Y3 NUM_ARCS 10 TILEPROP LIOB33_X0Y3 NUM_SITES 2 TILEPROP LIOB33_X0Y3 ROW 152 TILEPROP LIOB33_X0Y3 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y3 TILE_PATTERN_IDX 3387 TILEPROP LIOB33_X0Y3 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y3 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y3 TILE_X -101394 TILEPROP LIOB33_X0Y3 TILE_Y -228472 TILEPROP LIOB33_X0Y3 TYPE LIOB33 TILEPROP LIOB33_X0Y5 CLASS tile TILEPROP LIOB33_X0Y5 COLUMN 0 TILEPROP LIOB33_X0Y5 DEVICE_ID 0 TILEPROP LIOB33_X0Y5 FIRST_SITE_ID 15178 TILEPROP LIOB33_X0Y5 GRID_POINT_X 0 TILEPROP LIOB33_X0Y5 GRID_POINT_Y 150 TILEPROP LIOB33_X0Y5 INDEX 17250 TILEPROP LIOB33_X0Y5 INT_TILE_X -1 TILEPROP LIOB33_X0Y5 INT_TILE_Y -1 TILEPROP LIOB33_X0Y5 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y5 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y5 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y5 NAME LIOB33_X0Y5 TILEPROP LIOB33_X0Y5 NUM_ARCS 10 TILEPROP LIOB33_X0Y5 NUM_SITES 2 TILEPROP LIOB33_X0Y5 ROW 150 TILEPROP LIOB33_X0Y5 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y5 TILE_PATTERN_IDX 3312 TILEPROP LIOB33_X0Y5 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y5 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y5 TILE_X -101394 TILEPROP LIOB33_X0Y5 TILE_Y -222072 TILEPROP LIOB33_X0Y5 TYPE LIOB33 TILEPROP LIOB33_X0Y7 CLASS tile TILEPROP LIOB33_X0Y7 COLUMN 0 TILEPROP LIOB33_X0Y7 DEVICE_ID 0 TILEPROP LIOB33_X0Y7 FIRST_SITE_ID 14974 TILEPROP LIOB33_X0Y7 GRID_POINT_X 0 TILEPROP LIOB33_X0Y7 GRID_POINT_Y 148 TILEPROP LIOB33_X0Y7 INDEX 17020 TILEPROP LIOB33_X0Y7 INT_TILE_X -1 TILEPROP LIOB33_X0Y7 INT_TILE_Y -1 TILEPROP LIOB33_X0Y7 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y7 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y7 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y7 NAME LIOB33_X0Y7 TILEPROP LIOB33_X0Y7 NUM_ARCS 10 TILEPROP LIOB33_X0Y7 NUM_SITES 2 TILEPROP LIOB33_X0Y7 ROW 148 TILEPROP LIOB33_X0Y7 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y7 TILE_PATTERN_IDX 3238 TILEPROP LIOB33_X0Y7 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y7 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y7 TILE_X -101394 TILEPROP LIOB33_X0Y7 TILE_Y -215672 TILEPROP LIOB33_X0Y7 TYPE LIOB33 TILEPROP LIOB33_X0Y9 CLASS tile TILEPROP LIOB33_X0Y9 COLUMN 0 TILEPROP LIOB33_X0Y9 DEVICE_ID 0 TILEPROP LIOB33_X0Y9 FIRST_SITE_ID 14772 TILEPROP LIOB33_X0Y9 GRID_POINT_X 0 TILEPROP LIOB33_X0Y9 GRID_POINT_Y 146 TILEPROP LIOB33_X0Y9 INDEX 16790 TILEPROP LIOB33_X0Y9 INT_TILE_X -1 TILEPROP LIOB33_X0Y9 INT_TILE_Y -1 TILEPROP LIOB33_X0Y9 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y9 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y9 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y9 NAME LIOB33_X0Y9 TILEPROP LIOB33_X0Y9 NUM_ARCS 10 TILEPROP LIOB33_X0Y9 NUM_SITES 2 TILEPROP LIOB33_X0Y9 ROW 146 TILEPROP LIOB33_X0Y9 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y9 TILE_PATTERN_IDX 3165 TILEPROP LIOB33_X0Y9 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y9 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y9 TILE_X -101394 TILEPROP LIOB33_X0Y9 TILE_Y -209272 TILEPROP LIOB33_X0Y9 TYPE LIOB33 TILEPROP LIOB33_X0Y11 CLASS tile TILEPROP LIOB33_X0Y11 COLUMN 0 TILEPROP LIOB33_X0Y11 DEVICE_ID 0 TILEPROP LIOB33_X0Y11 FIRST_SITE_ID 14557 TILEPROP LIOB33_X0Y11 GRID_POINT_X 0 TILEPROP LIOB33_X0Y11 GRID_POINT_Y 144 TILEPROP LIOB33_X0Y11 INDEX 16560 TILEPROP LIOB33_X0Y11 INT_TILE_X -1 TILEPROP LIOB33_X0Y11 INT_TILE_Y -1 TILEPROP LIOB33_X0Y11 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y11 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y11 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y11 NAME LIOB33_X0Y11 TILEPROP LIOB33_X0Y11 NUM_ARCS 10 TILEPROP LIOB33_X0Y11 NUM_SITES 2 TILEPROP LIOB33_X0Y11 ROW 144 TILEPROP LIOB33_X0Y11 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y11 TILE_PATTERN_IDX 3092 TILEPROP LIOB33_X0Y11 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y11 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y11 TILE_X -101394 TILEPROP LIOB33_X0Y11 TILE_Y -202872 TILEPROP LIOB33_X0Y11 TYPE LIOB33 TILEPROP LIOB33_X0Y13 CLASS tile TILEPROP LIOB33_X0Y13 COLUMN 0 TILEPROP LIOB33_X0Y13 DEVICE_ID 0 TILEPROP LIOB33_X0Y13 FIRST_SITE_ID 14325 TILEPROP LIOB33_X0Y13 GRID_POINT_X 0 TILEPROP LIOB33_X0Y13 GRID_POINT_Y 142 TILEPROP LIOB33_X0Y13 INDEX 16330 TILEPROP LIOB33_X0Y13 INT_TILE_X -1 TILEPROP LIOB33_X0Y13 INT_TILE_Y -1 TILEPROP LIOB33_X0Y13 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y13 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y13 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y13 NAME LIOB33_X0Y13 TILEPROP LIOB33_X0Y13 NUM_ARCS 10 TILEPROP LIOB33_X0Y13 NUM_SITES 2 TILEPROP LIOB33_X0Y13 ROW 142 TILEPROP LIOB33_X0Y13 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y13 TILE_PATTERN_IDX 3020 TILEPROP LIOB33_X0Y13 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y13 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y13 TILE_X -101394 TILEPROP LIOB33_X0Y13 TILE_Y -196472 TILEPROP LIOB33_X0Y13 TYPE LIOB33 TILEPROP LIOB33_X0Y15 CLASS tile TILEPROP LIOB33_X0Y15 COLUMN 0 TILEPROP LIOB33_X0Y15 DEVICE_ID 0 TILEPROP LIOB33_X0Y15 FIRST_SITE_ID 14110 TILEPROP LIOB33_X0Y15 GRID_POINT_X 0 TILEPROP LIOB33_X0Y15 GRID_POINT_Y 140 TILEPROP LIOB33_X0Y15 INDEX 16100 TILEPROP LIOB33_X0Y15 INT_TILE_X -1 TILEPROP LIOB33_X0Y15 INT_TILE_Y -1 TILEPROP LIOB33_X0Y15 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y15 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y15 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y15 NAME LIOB33_X0Y15 TILEPROP LIOB33_X0Y15 NUM_ARCS 10 TILEPROP LIOB33_X0Y15 NUM_SITES 2 TILEPROP LIOB33_X0Y15 ROW 140 TILEPROP LIOB33_X0Y15 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y15 TILE_PATTERN_IDX 2948 TILEPROP LIOB33_X0Y15 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y15 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y15 TILE_X -101394 TILEPROP LIOB33_X0Y15 TILE_Y -190072 TILEPROP LIOB33_X0Y15 TYPE LIOB33 TILEPROP LIOB33_X0Y17 CLASS tile TILEPROP LIOB33_X0Y17 COLUMN 0 TILEPROP LIOB33_X0Y17 DEVICE_ID 0 TILEPROP LIOB33_X0Y17 FIRST_SITE_ID 13901 TILEPROP LIOB33_X0Y17 GRID_POINT_X 0 TILEPROP LIOB33_X0Y17 GRID_POINT_Y 138 TILEPROP LIOB33_X0Y17 INDEX 15870 TILEPROP LIOB33_X0Y17 INT_TILE_X -1 TILEPROP LIOB33_X0Y17 INT_TILE_Y -1 TILEPROP LIOB33_X0Y17 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y17 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y17 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y17 NAME LIOB33_X0Y17 TILEPROP LIOB33_X0Y17 NUM_ARCS 10 TILEPROP LIOB33_X0Y17 NUM_SITES 2 TILEPROP LIOB33_X0Y17 ROW 138 TILEPROP LIOB33_X0Y17 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y17 TILE_PATTERN_IDX 2873 TILEPROP LIOB33_X0Y17 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y17 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y17 TILE_X -101394 TILEPROP LIOB33_X0Y17 TILE_Y -183672 TILEPROP LIOB33_X0Y17 TYPE LIOB33 TILEPROP LIOB33_X0Y19 CLASS tile TILEPROP LIOB33_X0Y19 COLUMN 0 TILEPROP LIOB33_X0Y19 DEVICE_ID 0 TILEPROP LIOB33_X0Y19 FIRST_SITE_ID 13697 TILEPROP LIOB33_X0Y19 GRID_POINT_X 0 TILEPROP LIOB33_X0Y19 GRID_POINT_Y 136 TILEPROP LIOB33_X0Y19 INDEX 15640 TILEPROP LIOB33_X0Y19 INT_TILE_X -1 TILEPROP LIOB33_X0Y19 INT_TILE_Y -1 TILEPROP LIOB33_X0Y19 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y19 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y19 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y19 NAME LIOB33_X0Y19 TILEPROP LIOB33_X0Y19 NUM_ARCS 10 TILEPROP LIOB33_X0Y19 NUM_SITES 2 TILEPROP LIOB33_X0Y19 ROW 136 TILEPROP LIOB33_X0Y19 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y19 TILE_PATTERN_IDX 2801 TILEPROP LIOB33_X0Y19 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y19 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y19 TILE_X -101394 TILEPROP LIOB33_X0Y19 TILE_Y -177272 TILEPROP LIOB33_X0Y19 TYPE LIOB33 TILEPROP LIOB33_X0Y21 CLASS tile TILEPROP LIOB33_X0Y21 COLUMN 0 TILEPROP LIOB33_X0Y21 DEVICE_ID 0 TILEPROP LIOB33_X0Y21 FIRST_SITE_ID 13482 TILEPROP LIOB33_X0Y21 GRID_POINT_X 0 TILEPROP LIOB33_X0Y21 GRID_POINT_Y 134 TILEPROP LIOB33_X0Y21 INDEX 15410 TILEPROP LIOB33_X0Y21 INT_TILE_X -1 TILEPROP LIOB33_X0Y21 INT_TILE_Y -1 TILEPROP LIOB33_X0Y21 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y21 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y21 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y21 NAME LIOB33_X0Y21 TILEPROP LIOB33_X0Y21 NUM_ARCS 10 TILEPROP LIOB33_X0Y21 NUM_SITES 2 TILEPROP LIOB33_X0Y21 ROW 134 TILEPROP LIOB33_X0Y21 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y21 TILE_PATTERN_IDX 2728 TILEPROP LIOB33_X0Y21 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y21 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y21 TILE_X -101394 TILEPROP LIOB33_X0Y21 TILE_Y -170872 TILEPROP LIOB33_X0Y21 TYPE LIOB33 TILEPROP LIOB33_X0Y23 CLASS tile TILEPROP LIOB33_X0Y23 COLUMN 0 TILEPROP LIOB33_X0Y23 DEVICE_ID 0 TILEPROP LIOB33_X0Y23 FIRST_SITE_ID 13282 TILEPROP LIOB33_X0Y23 GRID_POINT_X 0 TILEPROP LIOB33_X0Y23 GRID_POINT_Y 132 TILEPROP LIOB33_X0Y23 INDEX 15180 TILEPROP LIOB33_X0Y23 INT_TILE_X -1 TILEPROP LIOB33_X0Y23 INT_TILE_Y -1 TILEPROP LIOB33_X0Y23 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y23 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y23 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y23 NAME LIOB33_X0Y23 TILEPROP LIOB33_X0Y23 NUM_ARCS 10 TILEPROP LIOB33_X0Y23 NUM_SITES 2 TILEPROP LIOB33_X0Y23 ROW 132 TILEPROP LIOB33_X0Y23 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y23 TILE_PATTERN_IDX 2655 TILEPROP LIOB33_X0Y23 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y23 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y23 TILE_X -101394 TILEPROP LIOB33_X0Y23 TILE_Y -164472 TILEPROP LIOB33_X0Y23 TYPE LIOB33 TILEPROP LIOB33_X0Y25 CLASS tile TILEPROP LIOB33_X0Y25 COLUMN 0 TILEPROP LIOB33_X0Y25 DEVICE_ID 0 TILEPROP LIOB33_X0Y25 FIRST_SITE_ID 12984 TILEPROP LIOB33_X0Y25 GRID_POINT_X 0 TILEPROP LIOB33_X0Y25 GRID_POINT_Y 129 TILEPROP LIOB33_X0Y25 INDEX 14835 TILEPROP LIOB33_X0Y25 INT_TILE_X -1 TILEPROP LIOB33_X0Y25 INT_TILE_Y -1 TILEPROP LIOB33_X0Y25 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y25 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y25 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y25 NAME LIOB33_X0Y25 TILEPROP LIOB33_X0Y25 NUM_ARCS 10 TILEPROP LIOB33_X0Y25 NUM_SITES 2 TILEPROP LIOB33_X0Y25 ROW 129 TILEPROP LIOB33_X0Y25 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y25 TILE_PATTERN_IDX 2543 TILEPROP LIOB33_X0Y25 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y25 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y25 TILE_X -101394 TILEPROP LIOB33_X0Y25 TILE_Y -157048 TILEPROP LIOB33_X0Y25 TYPE LIOB33 TILEPROP LIOB33_X0Y27 CLASS tile TILEPROP LIOB33_X0Y27 COLUMN 0 TILEPROP LIOB33_X0Y27 DEVICE_ID 0 TILEPROP LIOB33_X0Y27 FIRST_SITE_ID 12784 TILEPROP LIOB33_X0Y27 GRID_POINT_X 0 TILEPROP LIOB33_X0Y27 GRID_POINT_Y 127 TILEPROP LIOB33_X0Y27 INDEX 14605 TILEPROP LIOB33_X0Y27 INT_TILE_X -1 TILEPROP LIOB33_X0Y27 INT_TILE_Y -1 TILEPROP LIOB33_X0Y27 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y27 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y27 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y27 NAME LIOB33_X0Y27 TILEPROP LIOB33_X0Y27 NUM_ARCS 10 TILEPROP LIOB33_X0Y27 NUM_SITES 2 TILEPROP LIOB33_X0Y27 ROW 127 TILEPROP LIOB33_X0Y27 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y27 TILE_PATTERN_IDX 2470 TILEPROP LIOB33_X0Y27 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y27 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y27 TILE_X -101394 TILEPROP LIOB33_X0Y27 TILE_Y -150648 TILEPROP LIOB33_X0Y27 TYPE LIOB33 TILEPROP LIOB33_X0Y29 CLASS tile TILEPROP LIOB33_X0Y29 COLUMN 0 TILEPROP LIOB33_X0Y29 DEVICE_ID 0 TILEPROP LIOB33_X0Y29 FIRST_SITE_ID 12572 TILEPROP LIOB33_X0Y29 GRID_POINT_X 0 TILEPROP LIOB33_X0Y29 GRID_POINT_Y 125 TILEPROP LIOB33_X0Y29 INDEX 14375 TILEPROP LIOB33_X0Y29 INT_TILE_X -1 TILEPROP LIOB33_X0Y29 INT_TILE_Y -1 TILEPROP LIOB33_X0Y29 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y29 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y29 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y29 NAME LIOB33_X0Y29 TILEPROP LIOB33_X0Y29 NUM_ARCS 10 TILEPROP LIOB33_X0Y29 NUM_SITES 2 TILEPROP LIOB33_X0Y29 ROW 125 TILEPROP LIOB33_X0Y29 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y29 TILE_PATTERN_IDX 2396 TILEPROP LIOB33_X0Y29 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y29 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y29 TILE_X -101394 TILEPROP LIOB33_X0Y29 TILE_Y -144248 TILEPROP LIOB33_X0Y29 TYPE LIOB33 TILEPROP LIOB33_X0Y31 CLASS tile TILEPROP LIOB33_X0Y31 COLUMN 0 TILEPROP LIOB33_X0Y31 DEVICE_ID 0 TILEPROP LIOB33_X0Y31 FIRST_SITE_ID 12340 TILEPROP LIOB33_X0Y31 GRID_POINT_X 0 TILEPROP LIOB33_X0Y31 GRID_POINT_Y 123 TILEPROP LIOB33_X0Y31 INDEX 14145 TILEPROP LIOB33_X0Y31 INT_TILE_X -1 TILEPROP LIOB33_X0Y31 INT_TILE_Y -1 TILEPROP LIOB33_X0Y31 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y31 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y31 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y31 NAME LIOB33_X0Y31 TILEPROP LIOB33_X0Y31 NUM_ARCS 10 TILEPROP LIOB33_X0Y31 NUM_SITES 2 TILEPROP LIOB33_X0Y31 ROW 123 TILEPROP LIOB33_X0Y31 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y31 TILE_PATTERN_IDX 2322 TILEPROP LIOB33_X0Y31 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y31 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y31 TILE_X -101394 TILEPROP LIOB33_X0Y31 TILE_Y -137848 TILEPROP LIOB33_X0Y31 TYPE LIOB33 TILEPROP LIOB33_X0Y33 CLASS tile TILEPROP LIOB33_X0Y33 COLUMN 0 TILEPROP LIOB33_X0Y33 DEVICE_ID 0 TILEPROP LIOB33_X0Y33 FIRST_SITE_ID 12139 TILEPROP LIOB33_X0Y33 GRID_POINT_X 0 TILEPROP LIOB33_X0Y33 GRID_POINT_Y 121 TILEPROP LIOB33_X0Y33 INDEX 13915 TILEPROP LIOB33_X0Y33 INT_TILE_X -1 TILEPROP LIOB33_X0Y33 INT_TILE_Y -1 TILEPROP LIOB33_X0Y33 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y33 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y33 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y33 NAME LIOB33_X0Y33 TILEPROP LIOB33_X0Y33 NUM_ARCS 10 TILEPROP LIOB33_X0Y33 NUM_SITES 2 TILEPROP LIOB33_X0Y33 ROW 121 TILEPROP LIOB33_X0Y33 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y33 TILE_PATTERN_IDX 2249 TILEPROP LIOB33_X0Y33 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y33 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y33 TILE_X -101394 TILEPROP LIOB33_X0Y33 TILE_Y -131448 TILEPROP LIOB33_X0Y33 TYPE LIOB33 TILEPROP LIOB33_X0Y35 CLASS tile TILEPROP LIOB33_X0Y35 COLUMN 0 TILEPROP LIOB33_X0Y35 DEVICE_ID 0 TILEPROP LIOB33_X0Y35 FIRST_SITE_ID 11924 TILEPROP LIOB33_X0Y35 GRID_POINT_X 0 TILEPROP LIOB33_X0Y35 GRID_POINT_Y 119 TILEPROP LIOB33_X0Y35 INDEX 13685 TILEPROP LIOB33_X0Y35 INT_TILE_X -1 TILEPROP LIOB33_X0Y35 INT_TILE_Y -1 TILEPROP LIOB33_X0Y35 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y35 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y35 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y35 NAME LIOB33_X0Y35 TILEPROP LIOB33_X0Y35 NUM_ARCS 10 TILEPROP LIOB33_X0Y35 NUM_SITES 2 TILEPROP LIOB33_X0Y35 ROW 119 TILEPROP LIOB33_X0Y35 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y35 TILE_PATTERN_IDX 2177 TILEPROP LIOB33_X0Y35 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y35 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y35 TILE_X -101394 TILEPROP LIOB33_X0Y35 TILE_Y -125048 TILEPROP LIOB33_X0Y35 TYPE LIOB33 TILEPROP LIOB33_X0Y37 CLASS tile TILEPROP LIOB33_X0Y37 COLUMN 0 TILEPROP LIOB33_X0Y37 DEVICE_ID 0 TILEPROP LIOB33_X0Y37 FIRST_SITE_ID 11692 TILEPROP LIOB33_X0Y37 GRID_POINT_X 0 TILEPROP LIOB33_X0Y37 GRID_POINT_Y 117 TILEPROP LIOB33_X0Y37 INDEX 13455 TILEPROP LIOB33_X0Y37 INT_TILE_X -1 TILEPROP LIOB33_X0Y37 INT_TILE_Y -1 TILEPROP LIOB33_X0Y37 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y37 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y37 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y37 NAME LIOB33_X0Y37 TILEPROP LIOB33_X0Y37 NUM_ARCS 10 TILEPROP LIOB33_X0Y37 NUM_SITES 2 TILEPROP LIOB33_X0Y37 ROW 117 TILEPROP LIOB33_X0Y37 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y37 TILE_PATTERN_IDX 413 TILEPROP LIOB33_X0Y37 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y37 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y37 TILE_X -101394 TILEPROP LIOB33_X0Y37 TILE_Y -118648 TILEPROP LIOB33_X0Y37 TYPE LIOB33 TILEPROP LIOB33_X0Y39 CLASS tile TILEPROP LIOB33_X0Y39 COLUMN 0 TILEPROP LIOB33_X0Y39 DEVICE_ID 0 TILEPROP LIOB33_X0Y39 FIRST_SITE_ID 11492 TILEPROP LIOB33_X0Y39 GRID_POINT_X 0 TILEPROP LIOB33_X0Y39 GRID_POINT_Y 115 TILEPROP LIOB33_X0Y39 INDEX 13225 TILEPROP LIOB33_X0Y39 INT_TILE_X -1 TILEPROP LIOB33_X0Y39 INT_TILE_Y -1 TILEPROP LIOB33_X0Y39 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y39 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y39 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y39 NAME LIOB33_X0Y39 TILEPROP LIOB33_X0Y39 NUM_ARCS 10 TILEPROP LIOB33_X0Y39 NUM_SITES 2 TILEPROP LIOB33_X0Y39 ROW 115 TILEPROP LIOB33_X0Y39 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y39 TILE_PATTERN_IDX 2033 TILEPROP LIOB33_X0Y39 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y39 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y39 TILE_X -101394 TILEPROP LIOB33_X0Y39 TILE_Y -112248 TILEPROP LIOB33_X0Y39 TYPE LIOB33 TILEPROP LIOB33_X0Y41 CLASS tile TILEPROP LIOB33_X0Y41 COLUMN 0 TILEPROP LIOB33_X0Y41 DEVICE_ID 0 TILEPROP LIOB33_X0Y41 FIRST_SITE_ID 11274 TILEPROP LIOB33_X0Y41 GRID_POINT_X 0 TILEPROP LIOB33_X0Y41 GRID_POINT_Y 113 TILEPROP LIOB33_X0Y41 INDEX 12995 TILEPROP LIOB33_X0Y41 INT_TILE_X -1 TILEPROP LIOB33_X0Y41 INT_TILE_Y -1 TILEPROP LIOB33_X0Y41 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y41 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y41 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y41 NAME LIOB33_X0Y41 TILEPROP LIOB33_X0Y41 NUM_ARCS 10 TILEPROP LIOB33_X0Y41 NUM_SITES 2 TILEPROP LIOB33_X0Y41 ROW 113 TILEPROP LIOB33_X0Y41 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y41 TILE_PATTERN_IDX 1957 TILEPROP LIOB33_X0Y41 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y41 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y41 TILE_X -101394 TILEPROP LIOB33_X0Y41 TILE_Y -105848 TILEPROP LIOB33_X0Y41 TYPE LIOB33 TILEPROP LIOB33_X0Y43 CLASS tile TILEPROP LIOB33_X0Y43 COLUMN 0 TILEPROP LIOB33_X0Y43 DEVICE_ID 0 TILEPROP LIOB33_X0Y43 FIRST_SITE_ID 11068 TILEPROP LIOB33_X0Y43 GRID_POINT_X 0 TILEPROP LIOB33_X0Y43 GRID_POINT_Y 111 TILEPROP LIOB33_X0Y43 INDEX 12765 TILEPROP LIOB33_X0Y43 INT_TILE_X -1 TILEPROP LIOB33_X0Y43 INT_TILE_Y -1 TILEPROP LIOB33_X0Y43 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y43 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y43 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y43 NAME LIOB33_X0Y43 TILEPROP LIOB33_X0Y43 NUM_ARCS 10 TILEPROP LIOB33_X0Y43 NUM_SITES 2 TILEPROP LIOB33_X0Y43 ROW 111 TILEPROP LIOB33_X0Y43 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y43 TILE_PATTERN_IDX 1882 TILEPROP LIOB33_X0Y43 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y43 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y43 TILE_X -101394 TILEPROP LIOB33_X0Y43 TILE_Y -99448 TILEPROP LIOB33_X0Y43 TYPE LIOB33 TILEPROP LIOB33_X0Y45 CLASS tile TILEPROP LIOB33_X0Y45 COLUMN 0 TILEPROP LIOB33_X0Y45 DEVICE_ID 0 TILEPROP LIOB33_X0Y45 FIRST_SITE_ID 10852 TILEPROP LIOB33_X0Y45 GRID_POINT_X 0 TILEPROP LIOB33_X0Y45 GRID_POINT_Y 109 TILEPROP LIOB33_X0Y45 INDEX 12535 TILEPROP LIOB33_X0Y45 INT_TILE_X -1 TILEPROP LIOB33_X0Y45 INT_TILE_Y -1 TILEPROP LIOB33_X0Y45 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y45 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y45 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y45 NAME LIOB33_X0Y45 TILEPROP LIOB33_X0Y45 NUM_ARCS 10 TILEPROP LIOB33_X0Y45 NUM_SITES 2 TILEPROP LIOB33_X0Y45 ROW 109 TILEPROP LIOB33_X0Y45 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y45 TILE_PATTERN_IDX 1803 TILEPROP LIOB33_X0Y45 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y45 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y45 TILE_X -101394 TILEPROP LIOB33_X0Y45 TILE_Y -93048 TILEPROP LIOB33_X0Y45 TYPE LIOB33 TILEPROP LIOB33_X0Y47 CLASS tile TILEPROP LIOB33_X0Y47 COLUMN 0 TILEPROP LIOB33_X0Y47 DEVICE_ID 0 TILEPROP LIOB33_X0Y47 FIRST_SITE_ID 10636 TILEPROP LIOB33_X0Y47 GRID_POINT_X 0 TILEPROP LIOB33_X0Y47 GRID_POINT_Y 107 TILEPROP LIOB33_X0Y47 INDEX 12305 TILEPROP LIOB33_X0Y47 INT_TILE_X -1 TILEPROP LIOB33_X0Y47 INT_TILE_Y -1 TILEPROP LIOB33_X0Y47 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y47 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y47 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y47 NAME LIOB33_X0Y47 TILEPROP LIOB33_X0Y47 NUM_ARCS 10 TILEPROP LIOB33_X0Y47 NUM_SITES 2 TILEPROP LIOB33_X0Y47 ROW 107 TILEPROP LIOB33_X0Y47 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y47 TILE_PATTERN_IDX 1726 TILEPROP LIOB33_X0Y47 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y47 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y47 TILE_X -101394 TILEPROP LIOB33_X0Y47 TILE_Y -86648 TILEPROP LIOB33_X0Y47 TYPE LIOB33 TILEPROP LIOB33_X0Y51 CLASS tile TILEPROP LIOB33_X0Y51 COLUMN 0 TILEPROP LIOB33_X0Y51 DEVICE_ID 0 TILEPROP LIOB33_X0Y51 FIRST_SITE_ID 10205 TILEPROP LIOB33_X0Y51 GRID_POINT_X 0 TILEPROP LIOB33_X0Y51 GRID_POINT_Y 102 TILEPROP LIOB33_X0Y51 INDEX 11730 TILEPROP LIOB33_X0Y51 INT_TILE_X -1 TILEPROP LIOB33_X0Y51 INT_TILE_Y -1 TILEPROP LIOB33_X0Y51 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y51 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y51 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y51 NAME LIOB33_X0Y51 TILEPROP LIOB33_X0Y51 NUM_ARCS 10 TILEPROP LIOB33_X0Y51 NUM_SITES 2 TILEPROP LIOB33_X0Y51 ROW 102 TILEPROP LIOB33_X0Y51 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y51 TILE_PATTERN_IDX 7167 TILEPROP LIOB33_X0Y51 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y51 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y51 TILE_X -101394 TILEPROP LIOB33_X0Y51 TILE_Y -73600 TILEPROP LIOB33_X0Y51 TYPE LIOB33 TILEPROP LIOB33_X0Y53 CLASS tile TILEPROP LIOB33_X0Y53 COLUMN 0 TILEPROP LIOB33_X0Y53 DEVICE_ID 0 TILEPROP LIOB33_X0Y53 FIRST_SITE_ID 10005 TILEPROP LIOB33_X0Y53 GRID_POINT_X 0 TILEPROP LIOB33_X0Y53 GRID_POINT_Y 100 TILEPROP LIOB33_X0Y53 INDEX 11500 TILEPROP LIOB33_X0Y53 INT_TILE_X -1 TILEPROP LIOB33_X0Y53 INT_TILE_Y -1 TILEPROP LIOB33_X0Y53 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y53 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y53 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y53 NAME LIOB33_X0Y53 TILEPROP LIOB33_X0Y53 NUM_ARCS 10 TILEPROP LIOB33_X0Y53 NUM_SITES 2 TILEPROP LIOB33_X0Y53 ROW 100 TILEPROP LIOB33_X0Y53 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y53 TILE_PATTERN_IDX 7093 TILEPROP LIOB33_X0Y53 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y53 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y53 TILE_X -101394 TILEPROP LIOB33_X0Y53 TILE_Y -67200 TILEPROP LIOB33_X0Y53 TYPE LIOB33 TILEPROP LIOB33_X0Y55 CLASS tile TILEPROP LIOB33_X0Y55 COLUMN 0 TILEPROP LIOB33_X0Y55 DEVICE_ID 0 TILEPROP LIOB33_X0Y55 FIRST_SITE_ID 9790 TILEPROP LIOB33_X0Y55 GRID_POINT_X 0 TILEPROP LIOB33_X0Y55 GRID_POINT_Y 98 TILEPROP LIOB33_X0Y55 INDEX 11270 TILEPROP LIOB33_X0Y55 INT_TILE_X -1 TILEPROP LIOB33_X0Y55 INT_TILE_Y -1 TILEPROP LIOB33_X0Y55 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y55 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y55 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y55 NAME LIOB33_X0Y55 TILEPROP LIOB33_X0Y55 NUM_ARCS 10 TILEPROP LIOB33_X0Y55 NUM_SITES 2 TILEPROP LIOB33_X0Y55 ROW 98 TILEPROP LIOB33_X0Y55 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y55 TILE_PATTERN_IDX 7018 TILEPROP LIOB33_X0Y55 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y55 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y55 TILE_X -101394 TILEPROP LIOB33_X0Y55 TILE_Y -60800 TILEPROP LIOB33_X0Y55 TYPE LIOB33 TILEPROP LIOB33_X0Y57 CLASS tile TILEPROP LIOB33_X0Y57 COLUMN 0 TILEPROP LIOB33_X0Y57 DEVICE_ID 0 TILEPROP LIOB33_X0Y57 FIRST_SITE_ID 9586 TILEPROP LIOB33_X0Y57 GRID_POINT_X 0 TILEPROP LIOB33_X0Y57 GRID_POINT_Y 96 TILEPROP LIOB33_X0Y57 INDEX 11040 TILEPROP LIOB33_X0Y57 INT_TILE_X -1 TILEPROP LIOB33_X0Y57 INT_TILE_Y -1 TILEPROP LIOB33_X0Y57 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y57 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y57 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y57 NAME LIOB33_X0Y57 TILEPROP LIOB33_X0Y57 NUM_ARCS 10 TILEPROP LIOB33_X0Y57 NUM_SITES 2 TILEPROP LIOB33_X0Y57 ROW 96 TILEPROP LIOB33_X0Y57 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y57 TILE_PATTERN_IDX 6944 TILEPROP LIOB33_X0Y57 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y57 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y57 TILE_X -101394 TILEPROP LIOB33_X0Y57 TILE_Y -54400 TILEPROP LIOB33_X0Y57 TYPE LIOB33 TILEPROP LIOB33_X0Y59 CLASS tile TILEPROP LIOB33_X0Y59 COLUMN 0 TILEPROP LIOB33_X0Y59 DEVICE_ID 0 TILEPROP LIOB33_X0Y59 FIRST_SITE_ID 9384 TILEPROP LIOB33_X0Y59 GRID_POINT_X 0 TILEPROP LIOB33_X0Y59 GRID_POINT_Y 94 TILEPROP LIOB33_X0Y59 INDEX 10810 TILEPROP LIOB33_X0Y59 INT_TILE_X -1 TILEPROP LIOB33_X0Y59 INT_TILE_Y -1 TILEPROP LIOB33_X0Y59 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y59 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y59 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y59 NAME LIOB33_X0Y59 TILEPROP LIOB33_X0Y59 NUM_ARCS 10 TILEPROP LIOB33_X0Y59 NUM_SITES 2 TILEPROP LIOB33_X0Y59 ROW 94 TILEPROP LIOB33_X0Y59 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y59 TILE_PATTERN_IDX 6869 TILEPROP LIOB33_X0Y59 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y59 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y59 TILE_X -101394 TILEPROP LIOB33_X0Y59 TILE_Y -48000 TILEPROP LIOB33_X0Y59 TYPE LIOB33 TILEPROP LIOB33_X0Y61 CLASS tile TILEPROP LIOB33_X0Y61 COLUMN 0 TILEPROP LIOB33_X0Y61 DEVICE_ID 0 TILEPROP LIOB33_X0Y61 FIRST_SITE_ID 9169 TILEPROP LIOB33_X0Y61 GRID_POINT_X 0 TILEPROP LIOB33_X0Y61 GRID_POINT_Y 92 TILEPROP LIOB33_X0Y61 INDEX 10580 TILEPROP LIOB33_X0Y61 INT_TILE_X -1 TILEPROP LIOB33_X0Y61 INT_TILE_Y -1 TILEPROP LIOB33_X0Y61 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y61 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y61 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y61 NAME LIOB33_X0Y61 TILEPROP LIOB33_X0Y61 NUM_ARCS 10 TILEPROP LIOB33_X0Y61 NUM_SITES 2 TILEPROP LIOB33_X0Y61 ROW 92 TILEPROP LIOB33_X0Y61 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y61 TILE_PATTERN_IDX 6795 TILEPROP LIOB33_X0Y61 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y61 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y61 TILE_X -101394 TILEPROP LIOB33_X0Y61 TILE_Y -41600 TILEPROP LIOB33_X0Y61 TYPE LIOB33 TILEPROP LIOB33_X0Y63 CLASS tile TILEPROP LIOB33_X0Y63 COLUMN 0 TILEPROP LIOB33_X0Y63 DEVICE_ID 0 TILEPROP LIOB33_X0Y63 FIRST_SITE_ID 8937 TILEPROP LIOB33_X0Y63 GRID_POINT_X 0 TILEPROP LIOB33_X0Y63 GRID_POINT_Y 90 TILEPROP LIOB33_X0Y63 INDEX 10350 TILEPROP LIOB33_X0Y63 INT_TILE_X -1 TILEPROP LIOB33_X0Y63 INT_TILE_Y -1 TILEPROP LIOB33_X0Y63 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y63 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y63 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y63 NAME LIOB33_X0Y63 TILEPROP LIOB33_X0Y63 NUM_ARCS 10 TILEPROP LIOB33_X0Y63 NUM_SITES 2 TILEPROP LIOB33_X0Y63 ROW 90 TILEPROP LIOB33_X0Y63 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y63 TILE_PATTERN_IDX 6721 TILEPROP LIOB33_X0Y63 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y63 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y63 TILE_X -101394 TILEPROP LIOB33_X0Y63 TILE_Y -35200 TILEPROP LIOB33_X0Y63 TYPE LIOB33 TILEPROP LIOB33_X0Y65 CLASS tile TILEPROP LIOB33_X0Y65 COLUMN 0 TILEPROP LIOB33_X0Y65 DEVICE_ID 0 TILEPROP LIOB33_X0Y65 FIRST_SITE_ID 8722 TILEPROP LIOB33_X0Y65 GRID_POINT_X 0 TILEPROP LIOB33_X0Y65 GRID_POINT_Y 88 TILEPROP LIOB33_X0Y65 INDEX 10120 TILEPROP LIOB33_X0Y65 INT_TILE_X -1 TILEPROP LIOB33_X0Y65 INT_TILE_Y -1 TILEPROP LIOB33_X0Y65 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y65 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y65 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y65 NAME LIOB33_X0Y65 TILEPROP LIOB33_X0Y65 NUM_ARCS 10 TILEPROP LIOB33_X0Y65 NUM_SITES 2 TILEPROP LIOB33_X0Y65 ROW 88 TILEPROP LIOB33_X0Y65 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y65 TILE_PATTERN_IDX 6647 TILEPROP LIOB33_X0Y65 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y65 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y65 TILE_X -101394 TILEPROP LIOB33_X0Y65 TILE_Y -28800 TILEPROP LIOB33_X0Y65 TYPE LIOB33 TILEPROP LIOB33_X0Y67 CLASS tile TILEPROP LIOB33_X0Y67 COLUMN 0 TILEPROP LIOB33_X0Y67 DEVICE_ID 0 TILEPROP LIOB33_X0Y67 FIRST_SITE_ID 8514 TILEPROP LIOB33_X0Y67 GRID_POINT_X 0 TILEPROP LIOB33_X0Y67 GRID_POINT_Y 86 TILEPROP LIOB33_X0Y67 INDEX 9890 TILEPROP LIOB33_X0Y67 INT_TILE_X -1 TILEPROP LIOB33_X0Y67 INT_TILE_Y -1 TILEPROP LIOB33_X0Y67 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y67 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y67 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y67 NAME LIOB33_X0Y67 TILEPROP LIOB33_X0Y67 NUM_ARCS 10 TILEPROP LIOB33_X0Y67 NUM_SITES 2 TILEPROP LIOB33_X0Y67 ROW 86 TILEPROP LIOB33_X0Y67 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y67 TILE_PATTERN_IDX 6571 TILEPROP LIOB33_X0Y67 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y67 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y67 TILE_X -101394 TILEPROP LIOB33_X0Y67 TILE_Y -22400 TILEPROP LIOB33_X0Y67 TYPE LIOB33 TILEPROP LIOB33_X0Y69 CLASS tile TILEPROP LIOB33_X0Y69 COLUMN 0 TILEPROP LIOB33_X0Y69 DEVICE_ID 0 TILEPROP LIOB33_X0Y69 FIRST_SITE_ID 8310 TILEPROP LIOB33_X0Y69 GRID_POINT_X 0 TILEPROP LIOB33_X0Y69 GRID_POINT_Y 84 TILEPROP LIOB33_X0Y69 INDEX 9660 TILEPROP LIOB33_X0Y69 INT_TILE_X -1 TILEPROP LIOB33_X0Y69 INT_TILE_Y -1 TILEPROP LIOB33_X0Y69 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y69 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y69 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y69 NAME LIOB33_X0Y69 TILEPROP LIOB33_X0Y69 NUM_ARCS 10 TILEPROP LIOB33_X0Y69 NUM_SITES 2 TILEPROP LIOB33_X0Y69 ROW 84 TILEPROP LIOB33_X0Y69 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y69 TILE_PATTERN_IDX 6509 TILEPROP LIOB33_X0Y69 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y69 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y69 TILE_X -101394 TILEPROP LIOB33_X0Y69 TILE_Y -16000 TILEPROP LIOB33_X0Y69 TYPE LIOB33 TILEPROP LIOB33_X0Y71 CLASS tile TILEPROP LIOB33_X0Y71 COLUMN 0 TILEPROP LIOB33_X0Y71 DEVICE_ID 0 TILEPROP LIOB33_X0Y71 FIRST_SITE_ID 8095 TILEPROP LIOB33_X0Y71 GRID_POINT_X 0 TILEPROP LIOB33_X0Y71 GRID_POINT_Y 82 TILEPROP LIOB33_X0Y71 INDEX 9430 TILEPROP LIOB33_X0Y71 INT_TILE_X -1 TILEPROP LIOB33_X0Y71 INT_TILE_Y -1 TILEPROP LIOB33_X0Y71 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y71 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y71 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y71 NAME LIOB33_X0Y71 TILEPROP LIOB33_X0Y71 NUM_ARCS 10 TILEPROP LIOB33_X0Y71 NUM_SITES 2 TILEPROP LIOB33_X0Y71 ROW 82 TILEPROP LIOB33_X0Y71 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y71 TILE_PATTERN_IDX 6447 TILEPROP LIOB33_X0Y71 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y71 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y71 TILE_X -101394 TILEPROP LIOB33_X0Y71 TILE_Y -9600 TILEPROP LIOB33_X0Y71 TYPE LIOB33 TILEPROP LIOB33_X0Y73 CLASS tile TILEPROP LIOB33_X0Y73 COLUMN 0 TILEPROP LIOB33_X0Y73 DEVICE_ID 0 TILEPROP LIOB33_X0Y73 FIRST_SITE_ID 7895 TILEPROP LIOB33_X0Y73 GRID_POINT_X 0 TILEPROP LIOB33_X0Y73 GRID_POINT_Y 80 TILEPROP LIOB33_X0Y73 INDEX 9200 TILEPROP LIOB33_X0Y73 INT_TILE_X -1 TILEPROP LIOB33_X0Y73 INT_TILE_Y -1 TILEPROP LIOB33_X0Y73 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y73 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y73 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y73 NAME LIOB33_X0Y73 TILEPROP LIOB33_X0Y73 NUM_ARCS 10 TILEPROP LIOB33_X0Y73 NUM_SITES 2 TILEPROP LIOB33_X0Y73 ROW 80 TILEPROP LIOB33_X0Y73 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y73 TILE_PATTERN_IDX 6385 TILEPROP LIOB33_X0Y73 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y73 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y73 TILE_X -101394 TILEPROP LIOB33_X0Y73 TILE_Y -3200 TILEPROP LIOB33_X0Y73 TYPE LIOB33 TILEPROP LIOB33_X0Y75 CLASS tile TILEPROP LIOB33_X0Y75 COLUMN 0 TILEPROP LIOB33_X0Y75 DEVICE_ID 0 TILEPROP LIOB33_X0Y75 FIRST_SITE_ID 7594 TILEPROP LIOB33_X0Y75 GRID_POINT_X 0 TILEPROP LIOB33_X0Y75 GRID_POINT_Y 77 TILEPROP LIOB33_X0Y75 INDEX 8855 TILEPROP LIOB33_X0Y75 INT_TILE_X -1 TILEPROP LIOB33_X0Y75 INT_TILE_Y -1 TILEPROP LIOB33_X0Y75 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y75 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y75 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y75 NAME LIOB33_X0Y75 TILEPROP LIOB33_X0Y75 NUM_ARCS 10 TILEPROP LIOB33_X0Y75 NUM_SITES 2 TILEPROP LIOB33_X0Y75 ROW 77 TILEPROP LIOB33_X0Y75 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y75 TILE_PATTERN_IDX 2543 TILEPROP LIOB33_X0Y75 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y75 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y75 TILE_X -101394 TILEPROP LIOB33_X0Y75 TILE_Y 4224 TILEPROP LIOB33_X0Y75 TYPE LIOB33 TILEPROP LIOB33_X0Y77 CLASS tile TILEPROP LIOB33_X0Y77 COLUMN 0 TILEPROP LIOB33_X0Y77 DEVICE_ID 0 TILEPROP LIOB33_X0Y77 FIRST_SITE_ID 7394 TILEPROP LIOB33_X0Y77 GRID_POINT_X 0 TILEPROP LIOB33_X0Y77 GRID_POINT_Y 75 TILEPROP LIOB33_X0Y77 INDEX 8625 TILEPROP LIOB33_X0Y77 INT_TILE_X -1 TILEPROP LIOB33_X0Y77 INT_TILE_Y -1 TILEPROP LIOB33_X0Y77 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y77 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y77 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y77 NAME LIOB33_X0Y77 TILEPROP LIOB33_X0Y77 NUM_ARCS 10 TILEPROP LIOB33_X0Y77 NUM_SITES 2 TILEPROP LIOB33_X0Y77 ROW 75 TILEPROP LIOB33_X0Y77 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y77 TILE_PATTERN_IDX 2470 TILEPROP LIOB33_X0Y77 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y77 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y77 TILE_X -101394 TILEPROP LIOB33_X0Y77 TILE_Y 10624 TILEPROP LIOB33_X0Y77 TYPE LIOB33 TILEPROP LIOB33_X0Y79 CLASS tile TILEPROP LIOB33_X0Y79 COLUMN 0 TILEPROP LIOB33_X0Y79 DEVICE_ID 0 TILEPROP LIOB33_X0Y79 FIRST_SITE_ID 7182 TILEPROP LIOB33_X0Y79 GRID_POINT_X 0 TILEPROP LIOB33_X0Y79 GRID_POINT_Y 73 TILEPROP LIOB33_X0Y79 INDEX 8395 TILEPROP LIOB33_X0Y79 INT_TILE_X -1 TILEPROP LIOB33_X0Y79 INT_TILE_Y -1 TILEPROP LIOB33_X0Y79 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y79 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y79 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y79 NAME LIOB33_X0Y79 TILEPROP LIOB33_X0Y79 NUM_ARCS 10 TILEPROP LIOB33_X0Y79 NUM_SITES 2 TILEPROP LIOB33_X0Y79 ROW 73 TILEPROP LIOB33_X0Y79 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y79 TILE_PATTERN_IDX 6140 TILEPROP LIOB33_X0Y79 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y79 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y79 TILE_X -101394 TILEPROP LIOB33_X0Y79 TILE_Y 17024 TILEPROP LIOB33_X0Y79 TYPE LIOB33 TILEPROP LIOB33_X0Y81 CLASS tile TILEPROP LIOB33_X0Y81 COLUMN 0 TILEPROP LIOB33_X0Y81 DEVICE_ID 0 TILEPROP LIOB33_X0Y81 FIRST_SITE_ID 6963 TILEPROP LIOB33_X0Y81 GRID_POINT_X 0 TILEPROP LIOB33_X0Y81 GRID_POINT_Y 71 TILEPROP LIOB33_X0Y81 INDEX 8165 TILEPROP LIOB33_X0Y81 INT_TILE_X -1 TILEPROP LIOB33_X0Y81 INT_TILE_Y -1 TILEPROP LIOB33_X0Y81 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y81 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y81 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y81 NAME LIOB33_X0Y81 TILEPROP LIOB33_X0Y81 NUM_ARCS 10 TILEPROP LIOB33_X0Y81 NUM_SITES 2 TILEPROP LIOB33_X0Y81 ROW 71 TILEPROP LIOB33_X0Y81 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y81 TILE_PATTERN_IDX 6072 TILEPROP LIOB33_X0Y81 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y81 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y81 TILE_X -101394 TILEPROP LIOB33_X0Y81 TILE_Y 23424 TILEPROP LIOB33_X0Y81 TYPE LIOB33 TILEPROP LIOB33_X0Y83 CLASS tile TILEPROP LIOB33_X0Y83 COLUMN 0 TILEPROP LIOB33_X0Y83 DEVICE_ID 0 TILEPROP LIOB33_X0Y83 FIRST_SITE_ID 6763 TILEPROP LIOB33_X0Y83 GRID_POINT_X 0 TILEPROP LIOB33_X0Y83 GRID_POINT_Y 69 TILEPROP LIOB33_X0Y83 INDEX 7935 TILEPROP LIOB33_X0Y83 INT_TILE_X -1 TILEPROP LIOB33_X0Y83 INT_TILE_Y -1 TILEPROP LIOB33_X0Y83 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y83 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y83 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y83 NAME LIOB33_X0Y83 TILEPROP LIOB33_X0Y83 NUM_ARCS 10 TILEPROP LIOB33_X0Y83 NUM_SITES 2 TILEPROP LIOB33_X0Y83 ROW 69 TILEPROP LIOB33_X0Y83 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y83 TILE_PATTERN_IDX 6004 TILEPROP LIOB33_X0Y83 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y83 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y83 TILE_X -101394 TILEPROP LIOB33_X0Y83 TILE_Y 29824 TILEPROP LIOB33_X0Y83 TYPE LIOB33 TILEPROP LIOB33_X0Y85 CLASS tile TILEPROP LIOB33_X0Y85 COLUMN 0 TILEPROP LIOB33_X0Y85 DEVICE_ID 0 TILEPROP LIOB33_X0Y85 FIRST_SITE_ID 6548 TILEPROP LIOB33_X0Y85 GRID_POINT_X 0 TILEPROP LIOB33_X0Y85 GRID_POINT_Y 67 TILEPROP LIOB33_X0Y85 INDEX 7705 TILEPROP LIOB33_X0Y85 INT_TILE_X -1 TILEPROP LIOB33_X0Y85 INT_TILE_Y -1 TILEPROP LIOB33_X0Y85 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y85 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y85 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y85 NAME LIOB33_X0Y85 TILEPROP LIOB33_X0Y85 NUM_ARCS 10 TILEPROP LIOB33_X0Y85 NUM_SITES 2 TILEPROP LIOB33_X0Y85 ROW 67 TILEPROP LIOB33_X0Y85 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y85 TILE_PATTERN_IDX 5935 TILEPROP LIOB33_X0Y85 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y85 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y85 TILE_X -101394 TILEPROP LIOB33_X0Y85 TILE_Y 36224 TILEPROP LIOB33_X0Y85 TYPE LIOB33 TILEPROP LIOB33_X0Y87 CLASS tile TILEPROP LIOB33_X0Y87 COLUMN 0 TILEPROP LIOB33_X0Y87 DEVICE_ID 0 TILEPROP LIOB33_X0Y87 FIRST_SITE_ID 6316 TILEPROP LIOB33_X0Y87 GRID_POINT_X 0 TILEPROP LIOB33_X0Y87 GRID_POINT_Y 65 TILEPROP LIOB33_X0Y87 INDEX 7475 TILEPROP LIOB33_X0Y87 INT_TILE_X -1 TILEPROP LIOB33_X0Y87 INT_TILE_Y -1 TILEPROP LIOB33_X0Y87 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y87 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y87 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y87 NAME LIOB33_X0Y87 TILEPROP LIOB33_X0Y87 NUM_ARCS 10 TILEPROP LIOB33_X0Y87 NUM_SITES 2 TILEPROP LIOB33_X0Y87 ROW 65 TILEPROP LIOB33_X0Y87 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y87 TILE_PATTERN_IDX 413 TILEPROP LIOB33_X0Y87 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y87 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y87 TILE_X -101394 TILEPROP LIOB33_X0Y87 TILE_Y 42624 TILEPROP LIOB33_X0Y87 TYPE LIOB33 TILEPROP LIOB33_X0Y89 CLASS tile TILEPROP LIOB33_X0Y89 COLUMN 0 TILEPROP LIOB33_X0Y89 DEVICE_ID 0 TILEPROP LIOB33_X0Y89 FIRST_SITE_ID 6116 TILEPROP LIOB33_X0Y89 GRID_POINT_X 0 TILEPROP LIOB33_X0Y89 GRID_POINT_Y 63 TILEPROP LIOB33_X0Y89 INDEX 7245 TILEPROP LIOB33_X0Y89 INT_TILE_X -1 TILEPROP LIOB33_X0Y89 INT_TILE_Y -1 TILEPROP LIOB33_X0Y89 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y89 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y89 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y89 NAME LIOB33_X0Y89 TILEPROP LIOB33_X0Y89 NUM_ARCS 10 TILEPROP LIOB33_X0Y89 NUM_SITES 2 TILEPROP LIOB33_X0Y89 ROW 63 TILEPROP LIOB33_X0Y89 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y89 TILE_PATTERN_IDX 5799 TILEPROP LIOB33_X0Y89 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y89 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y89 TILE_X -101394 TILEPROP LIOB33_X0Y89 TILE_Y 49024 TILEPROP LIOB33_X0Y89 TYPE LIOB33 TILEPROP LIOB33_X0Y91 CLASS tile TILEPROP LIOB33_X0Y91 COLUMN 0 TILEPROP LIOB33_X0Y91 DEVICE_ID 0 TILEPROP LIOB33_X0Y91 FIRST_SITE_ID 5901 TILEPROP LIOB33_X0Y91 GRID_POINT_X 0 TILEPROP LIOB33_X0Y91 GRID_POINT_Y 61 TILEPROP LIOB33_X0Y91 INDEX 7015 TILEPROP LIOB33_X0Y91 INT_TILE_X -1 TILEPROP LIOB33_X0Y91 INT_TILE_Y -1 TILEPROP LIOB33_X0Y91 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y91 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y91 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y91 NAME LIOB33_X0Y91 TILEPROP LIOB33_X0Y91 NUM_ARCS 10 TILEPROP LIOB33_X0Y91 NUM_SITES 2 TILEPROP LIOB33_X0Y91 ROW 61 TILEPROP LIOB33_X0Y91 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y91 TILE_PATTERN_IDX 1957 TILEPROP LIOB33_X0Y91 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y91 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y91 TILE_X -101394 TILEPROP LIOB33_X0Y91 TILE_Y 55424 TILEPROP LIOB33_X0Y91 TYPE LIOB33 TILEPROP LIOB33_X0Y93 CLASS tile TILEPROP LIOB33_X0Y93 COLUMN 0 TILEPROP LIOB33_X0Y93 DEVICE_ID 0 TILEPROP LIOB33_X0Y93 FIRST_SITE_ID 5695 TILEPROP LIOB33_X0Y93 GRID_POINT_X 0 TILEPROP LIOB33_X0Y93 GRID_POINT_Y 59 TILEPROP LIOB33_X0Y93 INDEX 6785 TILEPROP LIOB33_X0Y93 INT_TILE_X -1 TILEPROP LIOB33_X0Y93 INT_TILE_Y -1 TILEPROP LIOB33_X0Y93 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y93 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y93 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y93 NAME LIOB33_X0Y93 TILEPROP LIOB33_X0Y93 NUM_ARCS 10 TILEPROP LIOB33_X0Y93 NUM_SITES 2 TILEPROP LIOB33_X0Y93 ROW 59 TILEPROP LIOB33_X0Y93 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y93 TILE_PATTERN_IDX 5664 TILEPROP LIOB33_X0Y93 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y93 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y93 TILE_X -101394 TILEPROP LIOB33_X0Y93 TILE_Y 61824 TILEPROP LIOB33_X0Y93 TYPE LIOB33 TILEPROP LIOB33_X0Y95 CLASS tile TILEPROP LIOB33_X0Y95 COLUMN 0 TILEPROP LIOB33_X0Y95 DEVICE_ID 0 TILEPROP LIOB33_X0Y95 FIRST_SITE_ID 5480 TILEPROP LIOB33_X0Y95 GRID_POINT_X 0 TILEPROP LIOB33_X0Y95 GRID_POINT_Y 57 TILEPROP LIOB33_X0Y95 INDEX 6555 TILEPROP LIOB33_X0Y95 INT_TILE_X -1 TILEPROP LIOB33_X0Y95 INT_TILE_Y -1 TILEPROP LIOB33_X0Y95 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y95 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y95 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y95 NAME LIOB33_X0Y95 TILEPROP LIOB33_X0Y95 NUM_ARCS 10 TILEPROP LIOB33_X0Y95 NUM_SITES 2 TILEPROP LIOB33_X0Y95 ROW 57 TILEPROP LIOB33_X0Y95 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y95 TILE_PATTERN_IDX 5595 TILEPROP LIOB33_X0Y95 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y95 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y95 TILE_X -101394 TILEPROP LIOB33_X0Y95 TILE_Y 68224 TILEPROP LIOB33_X0Y95 TYPE LIOB33 TILEPROP LIOB33_X0Y97 CLASS tile TILEPROP LIOB33_X0Y97 COLUMN 0 TILEPROP LIOB33_X0Y97 DEVICE_ID 0 TILEPROP LIOB33_X0Y97 FIRST_SITE_ID 5280 TILEPROP LIOB33_X0Y97 GRID_POINT_X 0 TILEPROP LIOB33_X0Y97 GRID_POINT_Y 55 TILEPROP LIOB33_X0Y97 INDEX 6325 TILEPROP LIOB33_X0Y97 INT_TILE_X -1 TILEPROP LIOB33_X0Y97 INT_TILE_Y -1 TILEPROP LIOB33_X0Y97 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y97 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y97 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y97 NAME LIOB33_X0Y97 TILEPROP LIOB33_X0Y97 NUM_ARCS 10 TILEPROP LIOB33_X0Y97 NUM_SITES 2 TILEPROP LIOB33_X0Y97 ROW 55 TILEPROP LIOB33_X0Y97 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y97 TILE_PATTERN_IDX 5527 TILEPROP LIOB33_X0Y97 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y97 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y97 TILE_X -101394 TILEPROP LIOB33_X0Y97 TILE_Y 74624 TILEPROP LIOB33_X0Y97 TYPE LIOB33 TILEPROP LIOB33_X0Y101 CLASS tile TILEPROP LIOB33_X0Y101 COLUMN 0 TILEPROP LIOB33_X0Y101 DEVICE_ID 0 TILEPROP LIOB33_X0Y101 FIRST_SITE_ID 4891 TILEPROP LIOB33_X0Y101 GRID_POINT_X 0 TILEPROP LIOB33_X0Y101 GRID_POINT_Y 50 TILEPROP LIOB33_X0Y101 INDEX 5750 TILEPROP LIOB33_X0Y101 INT_TILE_X -1 TILEPROP LIOB33_X0Y101 INT_TILE_Y -1 TILEPROP LIOB33_X0Y101 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y101 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y101 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y101 NAME LIOB33_X0Y101 TILEPROP LIOB33_X0Y101 NUM_ARCS 10 TILEPROP LIOB33_X0Y101 NUM_SITES 2 TILEPROP LIOB33_X0Y101 ROW 50 TILEPROP LIOB33_X0Y101 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y101 TILE_PATTERN_IDX 5387 TILEPROP LIOB33_X0Y101 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y101 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y101 TILE_X -101394 TILEPROP LIOB33_X0Y101 TILE_Y 87672 TILEPROP LIOB33_X0Y101 TYPE LIOB33 TILEPROP LIOB33_X0Y103 CLASS tile TILEPROP LIOB33_X0Y103 COLUMN 0 TILEPROP LIOB33_X0Y103 DEVICE_ID 0 TILEPROP LIOB33_X0Y103 FIRST_SITE_ID 4707 TILEPROP LIOB33_X0Y103 GRID_POINT_X 0 TILEPROP LIOB33_X0Y103 GRID_POINT_Y 48 TILEPROP LIOB33_X0Y103 INDEX 5520 TILEPROP LIOB33_X0Y103 INT_TILE_X -1 TILEPROP LIOB33_X0Y103 INT_TILE_Y -1 TILEPROP LIOB33_X0Y103 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y103 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y103 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y103 NAME LIOB33_X0Y103 TILEPROP LIOB33_X0Y103 NUM_ARCS 10 TILEPROP LIOB33_X0Y103 NUM_SITES 2 TILEPROP LIOB33_X0Y103 ROW 48 TILEPROP LIOB33_X0Y103 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y103 TILE_PATTERN_IDX 5314 TILEPROP LIOB33_X0Y103 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y103 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y103 TILE_X -101394 TILEPROP LIOB33_X0Y103 TILE_Y 94072 TILEPROP LIOB33_X0Y103 TYPE LIOB33 TILEPROP LIOB33_X0Y105 CLASS tile TILEPROP LIOB33_X0Y105 COLUMN 0 TILEPROP LIOB33_X0Y105 DEVICE_ID 0 TILEPROP LIOB33_X0Y105 FIRST_SITE_ID 4509 TILEPROP LIOB33_X0Y105 GRID_POINT_X 0 TILEPROP LIOB33_X0Y105 GRID_POINT_Y 46 TILEPROP LIOB33_X0Y105 INDEX 5290 TILEPROP LIOB33_X0Y105 INT_TILE_X -1 TILEPROP LIOB33_X0Y105 INT_TILE_Y -1 TILEPROP LIOB33_X0Y105 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y105 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y105 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y105 NAME LIOB33_X0Y105 TILEPROP LIOB33_X0Y105 NUM_ARCS 10 TILEPROP LIOB33_X0Y105 NUM_SITES 2 TILEPROP LIOB33_X0Y105 ROW 46 TILEPROP LIOB33_X0Y105 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y105 TILE_PATTERN_IDX 5241 TILEPROP LIOB33_X0Y105 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y105 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y105 TILE_X -101394 TILEPROP LIOB33_X0Y105 TILE_Y 100472 TILEPROP LIOB33_X0Y105 TYPE LIOB33 TILEPROP LIOB33_X0Y107 CLASS tile TILEPROP LIOB33_X0Y107 COLUMN 0 TILEPROP LIOB33_X0Y107 DEVICE_ID 0 TILEPROP LIOB33_X0Y107 FIRST_SITE_ID 4323 TILEPROP LIOB33_X0Y107 GRID_POINT_X 0 TILEPROP LIOB33_X0Y107 GRID_POINT_Y 44 TILEPROP LIOB33_X0Y107 INDEX 5060 TILEPROP LIOB33_X0Y107 INT_TILE_X -1 TILEPROP LIOB33_X0Y107 INT_TILE_Y -1 TILEPROP LIOB33_X0Y107 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y107 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y107 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y107 NAME LIOB33_X0Y107 TILEPROP LIOB33_X0Y107 NUM_ARCS 10 TILEPROP LIOB33_X0Y107 NUM_SITES 2 TILEPROP LIOB33_X0Y107 ROW 44 TILEPROP LIOB33_X0Y107 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y107 TILE_PATTERN_IDX 5168 TILEPROP LIOB33_X0Y107 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y107 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y107 TILE_X -101394 TILEPROP LIOB33_X0Y107 TILE_Y 106872 TILEPROP LIOB33_X0Y107 TYPE LIOB33 TILEPROP LIOB33_X0Y109 CLASS tile TILEPROP LIOB33_X0Y109 COLUMN 0 TILEPROP LIOB33_X0Y109 DEVICE_ID 0 TILEPROP LIOB33_X0Y109 FIRST_SITE_ID 4138 TILEPROP LIOB33_X0Y109 GRID_POINT_X 0 TILEPROP LIOB33_X0Y109 GRID_POINT_Y 42 TILEPROP LIOB33_X0Y109 INDEX 4830 TILEPROP LIOB33_X0Y109 INT_TILE_X -1 TILEPROP LIOB33_X0Y109 INT_TILE_Y -1 TILEPROP LIOB33_X0Y109 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y109 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y109 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y109 NAME LIOB33_X0Y109 TILEPROP LIOB33_X0Y109 NUM_ARCS 10 TILEPROP LIOB33_X0Y109 NUM_SITES 2 TILEPROP LIOB33_X0Y109 ROW 42 TILEPROP LIOB33_X0Y109 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y109 TILE_PATTERN_IDX 5095 TILEPROP LIOB33_X0Y109 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y109 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y109 TILE_X -101394 TILEPROP LIOB33_X0Y109 TILE_Y 113272 TILEPROP LIOB33_X0Y109 TYPE LIOB33 TILEPROP LIOB33_X0Y111 CLASS tile TILEPROP LIOB33_X0Y111 COLUMN 0 TILEPROP LIOB33_X0Y111 DEVICE_ID 0 TILEPROP LIOB33_X0Y111 FIRST_SITE_ID 3944 TILEPROP LIOB33_X0Y111 GRID_POINT_X 0 TILEPROP LIOB33_X0Y111 GRID_POINT_Y 40 TILEPROP LIOB33_X0Y111 INDEX 4600 TILEPROP LIOB33_X0Y111 INT_TILE_X -1 TILEPROP LIOB33_X0Y111 INT_TILE_Y -1 TILEPROP LIOB33_X0Y111 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y111 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y111 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y111 NAME LIOB33_X0Y111 TILEPROP LIOB33_X0Y111 NUM_ARCS 10 TILEPROP LIOB33_X0Y111 NUM_SITES 2 TILEPROP LIOB33_X0Y111 ROW 40 TILEPROP LIOB33_X0Y111 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y111 TILE_PATTERN_IDX 5022 TILEPROP LIOB33_X0Y111 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y111 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y111 TILE_X -101394 TILEPROP LIOB33_X0Y111 TILE_Y 119672 TILEPROP LIOB33_X0Y111 TYPE LIOB33 TILEPROP LIOB33_X0Y113 CLASS tile TILEPROP LIOB33_X0Y113 COLUMN 0 TILEPROP LIOB33_X0Y113 DEVICE_ID 0 TILEPROP LIOB33_X0Y113 FIRST_SITE_ID 3728 TILEPROP LIOB33_X0Y113 GRID_POINT_X 0 TILEPROP LIOB33_X0Y113 GRID_POINT_Y 38 TILEPROP LIOB33_X0Y113 INDEX 4370 TILEPROP LIOB33_X0Y113 INT_TILE_X -1 TILEPROP LIOB33_X0Y113 INT_TILE_Y -1 TILEPROP LIOB33_X0Y113 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y113 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y113 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y113 NAME LIOB33_X0Y113 TILEPROP LIOB33_X0Y113 NUM_ARCS 10 TILEPROP LIOB33_X0Y113 NUM_SITES 2 TILEPROP LIOB33_X0Y113 ROW 38 TILEPROP LIOB33_X0Y113 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y113 TILE_PATTERN_IDX 4948 TILEPROP LIOB33_X0Y113 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y113 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y113 TILE_X -101394 TILEPROP LIOB33_X0Y113 TILE_Y 126072 TILEPROP LIOB33_X0Y113 TYPE LIOB33 TILEPROP LIOB33_X0Y115 CLASS tile TILEPROP LIOB33_X0Y115 COLUMN 0 TILEPROP LIOB33_X0Y115 DEVICE_ID 0 TILEPROP LIOB33_X0Y115 FIRST_SITE_ID 3535 TILEPROP LIOB33_X0Y115 GRID_POINT_X 0 TILEPROP LIOB33_X0Y115 GRID_POINT_Y 36 TILEPROP LIOB33_X0Y115 INDEX 4140 TILEPROP LIOB33_X0Y115 INT_TILE_X -1 TILEPROP LIOB33_X0Y115 INT_TILE_Y -1 TILEPROP LIOB33_X0Y115 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y115 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y115 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y115 NAME LIOB33_X0Y115 TILEPROP LIOB33_X0Y115 NUM_ARCS 10 TILEPROP LIOB33_X0Y115 NUM_SITES 2 TILEPROP LIOB33_X0Y115 ROW 36 TILEPROP LIOB33_X0Y115 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y115 TILE_PATTERN_IDX 4875 TILEPROP LIOB33_X0Y115 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y115 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y115 TILE_X -101394 TILEPROP LIOB33_X0Y115 TILE_Y 132472 TILEPROP LIOB33_X0Y115 TYPE LIOB33 TILEPROP LIOB33_X0Y117 CLASS tile TILEPROP LIOB33_X0Y117 COLUMN 0 TILEPROP LIOB33_X0Y117 DEVICE_ID 0 TILEPROP LIOB33_X0Y117 FIRST_SITE_ID 3342 TILEPROP LIOB33_X0Y117 GRID_POINT_X 0 TILEPROP LIOB33_X0Y117 GRID_POINT_Y 34 TILEPROP LIOB33_X0Y117 INDEX 3910 TILEPROP LIOB33_X0Y117 INT_TILE_X -1 TILEPROP LIOB33_X0Y117 INT_TILE_Y -1 TILEPROP LIOB33_X0Y117 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y117 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y117 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y117 NAME LIOB33_X0Y117 TILEPROP LIOB33_X0Y117 NUM_ARCS 10 TILEPROP LIOB33_X0Y117 NUM_SITES 2 TILEPROP LIOB33_X0Y117 ROW 34 TILEPROP LIOB33_X0Y117 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y117 TILE_PATTERN_IDX 4801 TILEPROP LIOB33_X0Y117 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y117 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y117 TILE_X -101394 TILEPROP LIOB33_X0Y117 TILE_Y 138872 TILEPROP LIOB33_X0Y117 TYPE LIOB33 TILEPROP LIOB33_X0Y119 CLASS tile TILEPROP LIOB33_X0Y119 COLUMN 0 TILEPROP LIOB33_X0Y119 DEVICE_ID 0 TILEPROP LIOB33_X0Y119 FIRST_SITE_ID 3156 TILEPROP LIOB33_X0Y119 GRID_POINT_X 0 TILEPROP LIOB33_X0Y119 GRID_POINT_Y 32 TILEPROP LIOB33_X0Y119 INDEX 3680 TILEPROP LIOB33_X0Y119 INT_TILE_X -1 TILEPROP LIOB33_X0Y119 INT_TILE_Y -1 TILEPROP LIOB33_X0Y119 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y119 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y119 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y119 NAME LIOB33_X0Y119 TILEPROP LIOB33_X0Y119 NUM_ARCS 10 TILEPROP LIOB33_X0Y119 NUM_SITES 2 TILEPROP LIOB33_X0Y119 ROW 32 TILEPROP LIOB33_X0Y119 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y119 TILE_PATTERN_IDX 4728 TILEPROP LIOB33_X0Y119 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y119 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y119 TILE_X -101394 TILEPROP LIOB33_X0Y119 TILE_Y 145272 TILEPROP LIOB33_X0Y119 TYPE LIOB33 TILEPROP LIOB33_X0Y121 CLASS tile TILEPROP LIOB33_X0Y121 COLUMN 0 TILEPROP LIOB33_X0Y121 DEVICE_ID 0 TILEPROP LIOB33_X0Y121 FIRST_SITE_ID 2963 TILEPROP LIOB33_X0Y121 GRID_POINT_X 0 TILEPROP LIOB33_X0Y121 GRID_POINT_Y 30 TILEPROP LIOB33_X0Y121 INDEX 3450 TILEPROP LIOB33_X0Y121 INT_TILE_X -1 TILEPROP LIOB33_X0Y121 INT_TILE_Y -1 TILEPROP LIOB33_X0Y121 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y121 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y121 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y121 NAME LIOB33_X0Y121 TILEPROP LIOB33_X0Y121 NUM_ARCS 10 TILEPROP LIOB33_X0Y121 NUM_SITES 2 TILEPROP LIOB33_X0Y121 ROW 30 TILEPROP LIOB33_X0Y121 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y121 TILE_PATTERN_IDX 4655 TILEPROP LIOB33_X0Y121 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y121 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y121 TILE_X -101394 TILEPROP LIOB33_X0Y121 TILE_Y 151672 TILEPROP LIOB33_X0Y121 TYPE LIOB33 TILEPROP LIOB33_X0Y123 CLASS tile TILEPROP LIOB33_X0Y123 COLUMN 0 TILEPROP LIOB33_X0Y123 DEVICE_ID 0 TILEPROP LIOB33_X0Y123 FIRST_SITE_ID 2772 TILEPROP LIOB33_X0Y123 GRID_POINT_X 0 TILEPROP LIOB33_X0Y123 GRID_POINT_Y 28 TILEPROP LIOB33_X0Y123 INDEX 3220 TILEPROP LIOB33_X0Y123 INT_TILE_X -1 TILEPROP LIOB33_X0Y123 INT_TILE_Y -1 TILEPROP LIOB33_X0Y123 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y123 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y123 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y123 NAME LIOB33_X0Y123 TILEPROP LIOB33_X0Y123 NUM_ARCS 10 TILEPROP LIOB33_X0Y123 NUM_SITES 2 TILEPROP LIOB33_X0Y123 ROW 28 TILEPROP LIOB33_X0Y123 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y123 TILE_PATTERN_IDX 4581 TILEPROP LIOB33_X0Y123 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y123 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y123 TILE_X -101394 TILEPROP LIOB33_X0Y123 TILE_Y 158072 TILEPROP LIOB33_X0Y123 TYPE LIOB33 TILEPROP LIOB33_X0Y125 CLASS tile TILEPROP LIOB33_X0Y125 COLUMN 0 TILEPROP LIOB33_X0Y125 DEVICE_ID 0 TILEPROP LIOB33_X0Y125 FIRST_SITE_ID 2497 TILEPROP LIOB33_X0Y125 GRID_POINT_X 0 TILEPROP LIOB33_X0Y125 GRID_POINT_Y 25 TILEPROP LIOB33_X0Y125 INDEX 2875 TILEPROP LIOB33_X0Y125 INT_TILE_X -1 TILEPROP LIOB33_X0Y125 INT_TILE_Y -1 TILEPROP LIOB33_X0Y125 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y125 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y125 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y125 NAME LIOB33_X0Y125 TILEPROP LIOB33_X0Y125 NUM_ARCS 10 TILEPROP LIOB33_X0Y125 NUM_SITES 2 TILEPROP LIOB33_X0Y125 ROW 25 TILEPROP LIOB33_X0Y125 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y125 TILE_PATTERN_IDX 4465 TILEPROP LIOB33_X0Y125 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y125 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y125 TILE_X -101394 TILEPROP LIOB33_X0Y125 TILE_Y 165496 TILEPROP LIOB33_X0Y125 TYPE LIOB33 TILEPROP LIOB33_X0Y127 CLASS tile TILEPROP LIOB33_X0Y127 COLUMN 0 TILEPROP LIOB33_X0Y127 DEVICE_ID 0 TILEPROP LIOB33_X0Y127 FIRST_SITE_ID 2297 TILEPROP LIOB33_X0Y127 GRID_POINT_X 0 TILEPROP LIOB33_X0Y127 GRID_POINT_Y 23 TILEPROP LIOB33_X0Y127 INDEX 2645 TILEPROP LIOB33_X0Y127 INT_TILE_X -1 TILEPROP LIOB33_X0Y127 INT_TILE_Y -1 TILEPROP LIOB33_X0Y127 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y127 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y127 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y127 NAME LIOB33_X0Y127 TILEPROP LIOB33_X0Y127 NUM_ARCS 10 TILEPROP LIOB33_X0Y127 NUM_SITES 2 TILEPROP LIOB33_X0Y127 ROW 23 TILEPROP LIOB33_X0Y127 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y127 TILE_PATTERN_IDX 4388 TILEPROP LIOB33_X0Y127 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y127 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y127 TILE_X -101394 TILEPROP LIOB33_X0Y127 TILE_Y 171896 TILEPROP LIOB33_X0Y127 TYPE LIOB33 TILEPROP LIOB33_X0Y129 CLASS tile TILEPROP LIOB33_X0Y129 COLUMN 0 TILEPROP LIOB33_X0Y129 DEVICE_ID 0 TILEPROP LIOB33_X0Y129 FIRST_SITE_ID 2091 TILEPROP LIOB33_X0Y129 GRID_POINT_X 0 TILEPROP LIOB33_X0Y129 GRID_POINT_Y 21 TILEPROP LIOB33_X0Y129 INDEX 2415 TILEPROP LIOB33_X0Y129 INT_TILE_X -1 TILEPROP LIOB33_X0Y129 INT_TILE_Y -1 TILEPROP LIOB33_X0Y129 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y129 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y129 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y129 NAME LIOB33_X0Y129 TILEPROP LIOB33_X0Y129 NUM_ARCS 10 TILEPROP LIOB33_X0Y129 NUM_SITES 2 TILEPROP LIOB33_X0Y129 ROW 21 TILEPROP LIOB33_X0Y129 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y129 TILE_PATTERN_IDX 4310 TILEPROP LIOB33_X0Y129 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y129 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y129 TILE_X -101394 TILEPROP LIOB33_X0Y129 TILE_Y 178296 TILEPROP LIOB33_X0Y129 TYPE LIOB33 TILEPROP LIOB33_X0Y131 CLASS tile TILEPROP LIOB33_X0Y131 COLUMN 0 TILEPROP LIOB33_X0Y131 DEVICE_ID 0 TILEPROP LIOB33_X0Y131 FIRST_SITE_ID 1877 TILEPROP LIOB33_X0Y131 GRID_POINT_X 0 TILEPROP LIOB33_X0Y131 GRID_POINT_Y 19 TILEPROP LIOB33_X0Y131 INDEX 2185 TILEPROP LIOB33_X0Y131 INT_TILE_X -1 TILEPROP LIOB33_X0Y131 INT_TILE_Y -1 TILEPROP LIOB33_X0Y131 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y131 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y131 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y131 NAME LIOB33_X0Y131 TILEPROP LIOB33_X0Y131 NUM_ARCS 10 TILEPROP LIOB33_X0Y131 NUM_SITES 2 TILEPROP LIOB33_X0Y131 ROW 19 TILEPROP LIOB33_X0Y131 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y131 TILE_PATTERN_IDX 4233 TILEPROP LIOB33_X0Y131 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y131 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y131 TILE_X -101394 TILEPROP LIOB33_X0Y131 TILE_Y 184696 TILEPROP LIOB33_X0Y131 TYPE LIOB33 TILEPROP LIOB33_X0Y133 CLASS tile TILEPROP LIOB33_X0Y133 COLUMN 0 TILEPROP LIOB33_X0Y133 DEVICE_ID 0 TILEPROP LIOB33_X0Y133 FIRST_SITE_ID 1672 TILEPROP LIOB33_X0Y133 GRID_POINT_X 0 TILEPROP LIOB33_X0Y133 GRID_POINT_Y 17 TILEPROP LIOB33_X0Y133 INDEX 1955 TILEPROP LIOB33_X0Y133 INT_TILE_X -1 TILEPROP LIOB33_X0Y133 INT_TILE_Y -1 TILEPROP LIOB33_X0Y133 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y133 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y133 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y133 NAME LIOB33_X0Y133 TILEPROP LIOB33_X0Y133 NUM_ARCS 10 TILEPROP LIOB33_X0Y133 NUM_SITES 2 TILEPROP LIOB33_X0Y133 ROW 17 TILEPROP LIOB33_X0Y133 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y133 TILE_PATTERN_IDX 4156 TILEPROP LIOB33_X0Y133 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y133 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y133 TILE_X -101394 TILEPROP LIOB33_X0Y133 TILE_Y 191096 TILEPROP LIOB33_X0Y133 TYPE LIOB33 TILEPROP LIOB33_X0Y135 CLASS tile TILEPROP LIOB33_X0Y135 COLUMN 0 TILEPROP LIOB33_X0Y135 DEVICE_ID 0 TILEPROP LIOB33_X0Y135 FIRST_SITE_ID 1460 TILEPROP LIOB33_X0Y135 GRID_POINT_X 0 TILEPROP LIOB33_X0Y135 GRID_POINT_Y 15 TILEPROP LIOB33_X0Y135 INDEX 1725 TILEPROP LIOB33_X0Y135 INT_TILE_X -1 TILEPROP LIOB33_X0Y135 INT_TILE_Y -1 TILEPROP LIOB33_X0Y135 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y135 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y135 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y135 NAME LIOB33_X0Y135 TILEPROP LIOB33_X0Y135 NUM_ARCS 10 TILEPROP LIOB33_X0Y135 NUM_SITES 2 TILEPROP LIOB33_X0Y135 ROW 15 TILEPROP LIOB33_X0Y135 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y135 TILE_PATTERN_IDX 4079 TILEPROP LIOB33_X0Y135 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y135 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y135 TILE_X -101394 TILEPROP LIOB33_X0Y135 TILE_Y 197496 TILEPROP LIOB33_X0Y135 TYPE LIOB33 TILEPROP LIOB33_X0Y137 CLASS tile TILEPROP LIOB33_X0Y137 COLUMN 0 TILEPROP LIOB33_X0Y137 DEVICE_ID 0 TILEPROP LIOB33_X0Y137 FIRST_SITE_ID 1228 TILEPROP LIOB33_X0Y137 GRID_POINT_X 0 TILEPROP LIOB33_X0Y137 GRID_POINT_Y 13 TILEPROP LIOB33_X0Y137 INDEX 1495 TILEPROP LIOB33_X0Y137 INT_TILE_X -1 TILEPROP LIOB33_X0Y137 INT_TILE_Y -1 TILEPROP LIOB33_X0Y137 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y137 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y137 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y137 NAME LIOB33_X0Y137 TILEPROP LIOB33_X0Y137 NUM_ARCS 10 TILEPROP LIOB33_X0Y137 NUM_SITES 2 TILEPROP LIOB33_X0Y137 ROW 13 TILEPROP LIOB33_X0Y137 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y137 TILE_PATTERN_IDX 4002 TILEPROP LIOB33_X0Y137 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y137 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y137 TILE_X -101394 TILEPROP LIOB33_X0Y137 TILE_Y 203896 TILEPROP LIOB33_X0Y137 TYPE LIOB33 TILEPROP LIOB33_X0Y139 CLASS tile TILEPROP LIOB33_X0Y139 COLUMN 0 TILEPROP LIOB33_X0Y139 DEVICE_ID 0 TILEPROP LIOB33_X0Y139 FIRST_SITE_ID 1028 TILEPROP LIOB33_X0Y139 GRID_POINT_X 0 TILEPROP LIOB33_X0Y139 GRID_POINT_Y 11 TILEPROP LIOB33_X0Y139 INDEX 1265 TILEPROP LIOB33_X0Y139 INT_TILE_X -1 TILEPROP LIOB33_X0Y139 INT_TILE_Y -1 TILEPROP LIOB33_X0Y139 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y139 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y139 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y139 NAME LIOB33_X0Y139 TILEPROP LIOB33_X0Y139 NUM_ARCS 10 TILEPROP LIOB33_X0Y139 NUM_SITES 2 TILEPROP LIOB33_X0Y139 ROW 11 TILEPROP LIOB33_X0Y139 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y139 TILE_PATTERN_IDX 3925 TILEPROP LIOB33_X0Y139 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y139 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y139 TILE_X -101394 TILEPROP LIOB33_X0Y139 TILE_Y 210296 TILEPROP LIOB33_X0Y139 TYPE LIOB33 TILEPROP LIOB33_X0Y141 CLASS tile TILEPROP LIOB33_X0Y141 COLUMN 0 TILEPROP LIOB33_X0Y141 DEVICE_ID 0 TILEPROP LIOB33_X0Y141 FIRST_SITE_ID 816 TILEPROP LIOB33_X0Y141 GRID_POINT_X 0 TILEPROP LIOB33_X0Y141 GRID_POINT_Y 9 TILEPROP LIOB33_X0Y141 INDEX 1035 TILEPROP LIOB33_X0Y141 INT_TILE_X -1 TILEPROP LIOB33_X0Y141 INT_TILE_Y -1 TILEPROP LIOB33_X0Y141 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y141 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y141 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y141 NAME LIOB33_X0Y141 TILEPROP LIOB33_X0Y141 NUM_ARCS 10 TILEPROP LIOB33_X0Y141 NUM_SITES 2 TILEPROP LIOB33_X0Y141 ROW 9 TILEPROP LIOB33_X0Y141 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y141 TILE_PATTERN_IDX 3848 TILEPROP LIOB33_X0Y141 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y141 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y141 TILE_X -101394 TILEPROP LIOB33_X0Y141 TILE_Y 216696 TILEPROP LIOB33_X0Y141 TYPE LIOB33 TILEPROP LIOB33_X0Y143 CLASS tile TILEPROP LIOB33_X0Y143 COLUMN 0 TILEPROP LIOB33_X0Y143 DEVICE_ID 0 TILEPROP LIOB33_X0Y143 FIRST_SITE_ID 613 TILEPROP LIOB33_X0Y143 GRID_POINT_X 0 TILEPROP LIOB33_X0Y143 GRID_POINT_Y 7 TILEPROP LIOB33_X0Y143 INDEX 805 TILEPROP LIOB33_X0Y143 INT_TILE_X -1 TILEPROP LIOB33_X0Y143 INT_TILE_Y -1 TILEPROP LIOB33_X0Y143 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y143 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y143 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y143 NAME LIOB33_X0Y143 TILEPROP LIOB33_X0Y143 NUM_ARCS 10 TILEPROP LIOB33_X0Y143 NUM_SITES 2 TILEPROP LIOB33_X0Y143 ROW 7 TILEPROP LIOB33_X0Y143 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y143 TILE_PATTERN_IDX 3771 TILEPROP LIOB33_X0Y143 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y143 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y143 TILE_X -101394 TILEPROP LIOB33_X0Y143 TILE_Y 223096 TILEPROP LIOB33_X0Y143 TYPE LIOB33 TILEPROP LIOB33_X0Y145 CLASS tile TILEPROP LIOB33_X0Y145 COLUMN 0 TILEPROP LIOB33_X0Y145 DEVICE_ID 0 TILEPROP LIOB33_X0Y145 FIRST_SITE_ID 396 TILEPROP LIOB33_X0Y145 GRID_POINT_X 0 TILEPROP LIOB33_X0Y145 GRID_POINT_Y 5 TILEPROP LIOB33_X0Y145 INDEX 575 TILEPROP LIOB33_X0Y145 INT_TILE_X -1 TILEPROP LIOB33_X0Y145 INT_TILE_Y -1 TILEPROP LIOB33_X0Y145 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y145 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y145 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y145 NAME LIOB33_X0Y145 TILEPROP LIOB33_X0Y145 NUM_ARCS 10 TILEPROP LIOB33_X0Y145 NUM_SITES 2 TILEPROP LIOB33_X0Y145 ROW 5 TILEPROP LIOB33_X0Y145 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y145 TILE_PATTERN_IDX 3694 TILEPROP LIOB33_X0Y145 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y145 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y145 TILE_X -101394 TILEPROP LIOB33_X0Y145 TILE_Y 229496 TILEPROP LIOB33_X0Y145 TYPE LIOB33 TILEPROP LIOB33_X0Y147 CLASS tile TILEPROP LIOB33_X0Y147 COLUMN 0 TILEPROP LIOB33_X0Y147 DEVICE_ID 0 TILEPROP LIOB33_X0Y147 FIRST_SITE_ID 196 TILEPROP LIOB33_X0Y147 GRID_POINT_X 0 TILEPROP LIOB33_X0Y147 GRID_POINT_Y 3 TILEPROP LIOB33_X0Y147 INDEX 345 TILEPROP LIOB33_X0Y147 INT_TILE_X -1 TILEPROP LIOB33_X0Y147 INT_TILE_Y -1 TILEPROP LIOB33_X0Y147 IS_CENTER_TILE 0 TILEPROP LIOB33_X0Y147 IS_DCM_TILE 0 TILEPROP LIOB33_X0Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOB33_X0Y147 IS_GT_SITE_TILE 0 TILEPROP LIOB33_X0Y147 NAME LIOB33_X0Y147 TILEPROP LIOB33_X0Y147 NUM_ARCS 10 TILEPROP LIOB33_X0Y147 NUM_SITES 2 TILEPROP LIOB33_X0Y147 ROW 3 TILEPROP LIOB33_X0Y147 SLR_REGION_ID 0 TILEPROP LIOB33_X0Y147 TILE_PATTERN_IDX 3617 TILEPROP LIOB33_X0Y147 TILE_TYPE LIOB33 TILEPROP LIOB33_X0Y147 TILE_TYPE_INDEX 99 TILEPROP LIOB33_X0Y147 TILE_X -101394 TILEPROP LIOB33_X0Y147 TILE_Y 235896 TILEPROP LIOB33_X0Y147 TYPE LIOB33 TILEPROP LIOI3_SING_X0Y0 CLASS tile TILEPROP LIOI3_SING_X0Y0 COLUMN 1 TILEPROP LIOI3_SING_X0Y0 DEVICE_ID 0 TILEPROP LIOI3_SING_X0Y0 FIRST_SITE_ID 15703 TILEPROP LIOI3_SING_X0Y0 GRID_POINT_X 1 TILEPROP LIOI3_SING_X0Y0 GRID_POINT_Y 155 TILEPROP LIOI3_SING_X0Y0 INDEX 17826 TILEPROP LIOI3_SING_X0Y0 INT_TILE_X -1 TILEPROP LIOI3_SING_X0Y0 INT_TILE_Y -1 TILEPROP LIOI3_SING_X0Y0 IS_CENTER_TILE 0 TILEPROP LIOI3_SING_X0Y0 IS_DCM_TILE 0 TILEPROP LIOI3_SING_X0Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y0 IS_GT_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y0 NAME LIOI3_SING_X0Y0 TILEPROP LIOI3_SING_X0Y0 NUM_ARCS 205 TILEPROP LIOI3_SING_X0Y0 NUM_SITES 3 TILEPROP LIOI3_SING_X0Y0 ROW 155 TILEPROP LIOI3_SING_X0Y0 SLR_REGION_ID 0 TILEPROP LIOI3_SING_X0Y0 TILE_PATTERN_IDX 1596 TILEPROP LIOI3_SING_X0Y0 TILE_TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y0 TILE_TYPE_INDEX 102 TILEPROP LIOI3_SING_X0Y0 TILE_X -100350 TILEPROP LIOI3_SING_X0Y0 TILE_Y -239672 TILEPROP LIOI3_SING_X0Y0 TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y49 CLASS tile TILEPROP LIOI3_SING_X0Y49 COLUMN 1 TILEPROP LIOI3_SING_X0Y49 DEVICE_ID 0 TILEPROP LIOI3_SING_X0Y49 FIRST_SITE_ID 10445 TILEPROP LIOI3_SING_X0Y49 GRID_POINT_X 1 TILEPROP LIOI3_SING_X0Y49 GRID_POINT_Y 105 TILEPROP LIOI3_SING_X0Y49 INDEX 12076 TILEPROP LIOI3_SING_X0Y49 INT_TILE_X -1 TILEPROP LIOI3_SING_X0Y49 INT_TILE_Y -1 TILEPROP LIOI3_SING_X0Y49 IS_CENTER_TILE 0 TILEPROP LIOI3_SING_X0Y49 IS_DCM_TILE 0 TILEPROP LIOI3_SING_X0Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y49 IS_GT_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y49 NAME LIOI3_SING_X0Y49 TILEPROP LIOI3_SING_X0Y49 NUM_ARCS 205 TILEPROP LIOI3_SING_X0Y49 NUM_SITES 3 TILEPROP LIOI3_SING_X0Y49 ROW 105 TILEPROP LIOI3_SING_X0Y49 SLR_REGION_ID 0 TILEPROP LIOI3_SING_X0Y49 TILE_PATTERN_IDX 5 TILEPROP LIOI3_SING_X0Y49 TILE_TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y49 TILE_TYPE_INDEX 102 TILEPROP LIOI3_SING_X0Y49 TILE_X -100350 TILEPROP LIOI3_SING_X0Y49 TILE_Y -81848 TILEPROP LIOI3_SING_X0Y49 TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y50 CLASS tile TILEPROP LIOI3_SING_X0Y50 COLUMN 1 TILEPROP LIOI3_SING_X0Y50 DEVICE_ID 0 TILEPROP LIOI3_SING_X0Y50 FIRST_SITE_ID 10314 TILEPROP LIOI3_SING_X0Y50 GRID_POINT_X 1 TILEPROP LIOI3_SING_X0Y50 GRID_POINT_Y 103 TILEPROP LIOI3_SING_X0Y50 INDEX 11846 TILEPROP LIOI3_SING_X0Y50 INT_TILE_X -1 TILEPROP LIOI3_SING_X0Y50 INT_TILE_Y -1 TILEPROP LIOI3_SING_X0Y50 IS_CENTER_TILE 0 TILEPROP LIOI3_SING_X0Y50 IS_DCM_TILE 0 TILEPROP LIOI3_SING_X0Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y50 IS_GT_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y50 NAME LIOI3_SING_X0Y50 TILEPROP LIOI3_SING_X0Y50 NUM_ARCS 205 TILEPROP LIOI3_SING_X0Y50 NUM_SITES 3 TILEPROP LIOI3_SING_X0Y50 ROW 103 TILEPROP LIOI3_SING_X0Y50 SLR_REGION_ID 0 TILEPROP LIOI3_SING_X0Y50 TILE_PATTERN_IDX 1596 TILEPROP LIOI3_SING_X0Y50 TILE_TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y50 TILE_TYPE_INDEX 102 TILEPROP LIOI3_SING_X0Y50 TILE_X -100350 TILEPROP LIOI3_SING_X0Y50 TILE_Y -78400 TILEPROP LIOI3_SING_X0Y50 TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y99 CLASS tile TILEPROP LIOI3_SING_X0Y99 COLUMN 1 TILEPROP LIOI3_SING_X0Y99 DEVICE_ID 0 TILEPROP LIOI3_SING_X0Y99 FIRST_SITE_ID 5089 TILEPROP LIOI3_SING_X0Y99 GRID_POINT_X 1 TILEPROP LIOI3_SING_X0Y99 GRID_POINT_Y 53 TILEPROP LIOI3_SING_X0Y99 INDEX 6096 TILEPROP LIOI3_SING_X0Y99 INT_TILE_X -1 TILEPROP LIOI3_SING_X0Y99 INT_TILE_Y -1 TILEPROP LIOI3_SING_X0Y99 IS_CENTER_TILE 0 TILEPROP LIOI3_SING_X0Y99 IS_DCM_TILE 0 TILEPROP LIOI3_SING_X0Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y99 IS_GT_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y99 NAME LIOI3_SING_X0Y99 TILEPROP LIOI3_SING_X0Y99 NUM_ARCS 205 TILEPROP LIOI3_SING_X0Y99 NUM_SITES 3 TILEPROP LIOI3_SING_X0Y99 ROW 53 TILEPROP LIOI3_SING_X0Y99 SLR_REGION_ID 0 TILEPROP LIOI3_SING_X0Y99 TILE_PATTERN_IDX 5 TILEPROP LIOI3_SING_X0Y99 TILE_TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y99 TILE_TYPE_INDEX 102 TILEPROP LIOI3_SING_X0Y99 TILE_X -100350 TILEPROP LIOI3_SING_X0Y99 TILE_Y 79424 TILEPROP LIOI3_SING_X0Y99 TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y100 CLASS tile TILEPROP LIOI3_SING_X0Y100 COLUMN 1 TILEPROP LIOI3_SING_X0Y100 DEVICE_ID 0 TILEPROP LIOI3_SING_X0Y100 FIRST_SITE_ID 4988 TILEPROP LIOI3_SING_X0Y100 GRID_POINT_X 1 TILEPROP LIOI3_SING_X0Y100 GRID_POINT_Y 51 TILEPROP LIOI3_SING_X0Y100 INDEX 5866 TILEPROP LIOI3_SING_X0Y100 INT_TILE_X -1 TILEPROP LIOI3_SING_X0Y100 INT_TILE_Y -1 TILEPROP LIOI3_SING_X0Y100 IS_CENTER_TILE 0 TILEPROP LIOI3_SING_X0Y100 IS_DCM_TILE 0 TILEPROP LIOI3_SING_X0Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y100 IS_GT_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y100 NAME LIOI3_SING_X0Y100 TILEPROP LIOI3_SING_X0Y100 NUM_ARCS 205 TILEPROP LIOI3_SING_X0Y100 NUM_SITES 3 TILEPROP LIOI3_SING_X0Y100 ROW 51 TILEPROP LIOI3_SING_X0Y100 SLR_REGION_ID 0 TILEPROP LIOI3_SING_X0Y100 TILE_PATTERN_IDX 1596 TILEPROP LIOI3_SING_X0Y100 TILE_TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y100 TILE_TYPE_INDEX 102 TILEPROP LIOI3_SING_X0Y100 TILE_X -100350 TILEPROP LIOI3_SING_X0Y100 TILE_Y 82872 TILEPROP LIOI3_SING_X0Y100 TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y149 CLASS tile TILEPROP LIOI3_SING_X0Y149 COLUMN 1 TILEPROP LIOI3_SING_X0Y149 DEVICE_ID 0 TILEPROP LIOI3_SING_X0Y149 FIRST_SITE_ID 1 TILEPROP LIOI3_SING_X0Y149 GRID_POINT_X 1 TILEPROP LIOI3_SING_X0Y149 GRID_POINT_Y 1 TILEPROP LIOI3_SING_X0Y149 INDEX 116 TILEPROP LIOI3_SING_X0Y149 INT_TILE_X -1 TILEPROP LIOI3_SING_X0Y149 INT_TILE_Y -1 TILEPROP LIOI3_SING_X0Y149 IS_CENTER_TILE 0 TILEPROP LIOI3_SING_X0Y149 IS_DCM_TILE 0 TILEPROP LIOI3_SING_X0Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y149 IS_GT_SITE_TILE 0 TILEPROP LIOI3_SING_X0Y149 NAME LIOI3_SING_X0Y149 TILEPROP LIOI3_SING_X0Y149 NUM_ARCS 205 TILEPROP LIOI3_SING_X0Y149 NUM_SITES 3 TILEPROP LIOI3_SING_X0Y149 ROW 1 TILEPROP LIOI3_SING_X0Y149 SLR_REGION_ID 0 TILEPROP LIOI3_SING_X0Y149 TILE_PATTERN_IDX 5 TILEPROP LIOI3_SING_X0Y149 TILE_TYPE LIOI3_SING TILEPROP LIOI3_SING_X0Y149 TILE_TYPE_INDEX 102 TILEPROP LIOI3_SING_X0Y149 TILE_X -100350 TILEPROP LIOI3_SING_X0Y149 TILE_Y 240696 TILEPROP LIOI3_SING_X0Y149 TYPE LIOI3_SING TILEPROP LIOI3_TBYTESRC_X0Y7 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y7 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y7 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y7 FIRST_SITE_ID 14976 TILEPROP LIOI3_TBYTESRC_X0Y7 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y7 GRID_POINT_Y 148 TILEPROP LIOI3_TBYTESRC_X0Y7 INDEX 17021 TILEPROP LIOI3_TBYTESRC_X0Y7 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y7 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y7 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y7 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y7 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y7 NAME LIOI3_TBYTESRC_X0Y7 TILEPROP LIOI3_TBYTESRC_X0Y7 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y7 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y7 ROW 148 TILEPROP LIOI3_TBYTESRC_X0Y7 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y7 TILE_PATTERN_IDX 1387 TILEPROP LIOI3_TBYTESRC_X0Y7 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y7 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y7 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y7 TILE_Y -215672 TILEPROP LIOI3_TBYTESRC_X0Y7 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y19 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y19 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y19 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y19 FIRST_SITE_ID 13699 TILEPROP LIOI3_TBYTESRC_X0Y19 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y19 GRID_POINT_Y 136 TILEPROP LIOI3_TBYTESRC_X0Y19 INDEX 15641 TILEPROP LIOI3_TBYTESRC_X0Y19 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y19 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y19 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y19 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y19 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y19 NAME LIOI3_TBYTESRC_X0Y19 TILEPROP LIOI3_TBYTESRC_X0Y19 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y19 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y19 ROW 136 TILEPROP LIOI3_TBYTESRC_X0Y19 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y19 TILE_PATTERN_IDX 1031 TILEPROP LIOI3_TBYTESRC_X0Y19 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y19 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y19 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y19 TILE_Y -177272 TILEPROP LIOI3_TBYTESRC_X0Y19 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y31 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y31 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y31 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y31 FIRST_SITE_ID 12342 TILEPROP LIOI3_TBYTESRC_X0Y31 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y31 GRID_POINT_Y 123 TILEPROP LIOI3_TBYTESRC_X0Y31 INDEX 14146 TILEPROP LIOI3_TBYTESRC_X0Y31 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y31 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y31 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y31 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y31 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y31 NAME LIOI3_TBYTESRC_X0Y31 TILEPROP LIOI3_TBYTESRC_X0Y31 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y31 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y31 ROW 123 TILEPROP LIOI3_TBYTESRC_X0Y31 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y31 TILE_PATTERN_IDX 599 TILEPROP LIOI3_TBYTESRC_X0Y31 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y31 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y31 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y31 TILE_Y -137848 TILEPROP LIOI3_TBYTESRC_X0Y31 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y43 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y43 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y43 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y43 FIRST_SITE_ID 11070 TILEPROP LIOI3_TBYTESRC_X0Y43 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y43 GRID_POINT_Y 111 TILEPROP LIOI3_TBYTESRC_X0Y43 INDEX 12766 TILEPROP LIOI3_TBYTESRC_X0Y43 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y43 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y43 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y43 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y43 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y43 NAME LIOI3_TBYTESRC_X0Y43 TILEPROP LIOI3_TBYTESRC_X0Y43 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y43 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y43 ROW 111 TILEPROP LIOI3_TBYTESRC_X0Y43 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y43 TILE_PATTERN_IDX 227 TILEPROP LIOI3_TBYTESRC_X0Y43 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y43 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y43 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y43 TILE_Y -99448 TILEPROP LIOI3_TBYTESRC_X0Y43 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y57 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y57 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y57 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y57 FIRST_SITE_ID 9588 TILEPROP LIOI3_TBYTESRC_X0Y57 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y57 GRID_POINT_Y 96 TILEPROP LIOI3_TBYTESRC_X0Y57 INDEX 11041 TILEPROP LIOI3_TBYTESRC_X0Y57 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y57 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y57 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y57 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y57 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y57 NAME LIOI3_TBYTESRC_X0Y57 TILEPROP LIOI3_TBYTESRC_X0Y57 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y57 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y57 ROW 96 TILEPROP LIOI3_TBYTESRC_X0Y57 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y57 TILE_PATTERN_IDX 1387 TILEPROP LIOI3_TBYTESRC_X0Y57 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y57 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y57 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y57 TILE_Y -54400 TILEPROP LIOI3_TBYTESRC_X0Y57 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y69 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y69 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y69 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y69 FIRST_SITE_ID 8312 TILEPROP LIOI3_TBYTESRC_X0Y69 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y69 GRID_POINT_Y 84 TILEPROP LIOI3_TBYTESRC_X0Y69 INDEX 9661 TILEPROP LIOI3_TBYTESRC_X0Y69 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y69 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y69 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y69 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y69 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y69 NAME LIOI3_TBYTESRC_X0Y69 TILEPROP LIOI3_TBYTESRC_X0Y69 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y69 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y69 ROW 84 TILEPROP LIOI3_TBYTESRC_X0Y69 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y69 TILE_PATTERN_IDX 1031 TILEPROP LIOI3_TBYTESRC_X0Y69 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y69 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y69 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y69 TILE_Y -16000 TILEPROP LIOI3_TBYTESRC_X0Y69 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y81 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y81 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y81 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y81 FIRST_SITE_ID 6965 TILEPROP LIOI3_TBYTESRC_X0Y81 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y81 GRID_POINT_Y 71 TILEPROP LIOI3_TBYTESRC_X0Y81 INDEX 8166 TILEPROP LIOI3_TBYTESRC_X0Y81 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y81 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y81 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y81 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y81 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y81 NAME LIOI3_TBYTESRC_X0Y81 TILEPROP LIOI3_TBYTESRC_X0Y81 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y81 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y81 ROW 71 TILEPROP LIOI3_TBYTESRC_X0Y81 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y81 TILE_PATTERN_IDX 599 TILEPROP LIOI3_TBYTESRC_X0Y81 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y81 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y81 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y81 TILE_Y 23424 TILEPROP LIOI3_TBYTESRC_X0Y81 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y93 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y93 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y93 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y93 FIRST_SITE_ID 5697 TILEPROP LIOI3_TBYTESRC_X0Y93 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y93 GRID_POINT_Y 59 TILEPROP LIOI3_TBYTESRC_X0Y93 INDEX 6786 TILEPROP LIOI3_TBYTESRC_X0Y93 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y93 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y93 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y93 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y93 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y93 NAME LIOI3_TBYTESRC_X0Y93 TILEPROP LIOI3_TBYTESRC_X0Y93 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y93 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y93 ROW 59 TILEPROP LIOI3_TBYTESRC_X0Y93 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y93 TILE_PATTERN_IDX 227 TILEPROP LIOI3_TBYTESRC_X0Y93 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y93 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y93 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y93 TILE_Y 61824 TILEPROP LIOI3_TBYTESRC_X0Y93 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y107 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y107 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y107 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y107 FIRST_SITE_ID 4325 TILEPROP LIOI3_TBYTESRC_X0Y107 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y107 GRID_POINT_Y 44 TILEPROP LIOI3_TBYTESRC_X0Y107 INDEX 5061 TILEPROP LIOI3_TBYTESRC_X0Y107 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y107 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y107 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y107 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y107 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y107 NAME LIOI3_TBYTESRC_X0Y107 TILEPROP LIOI3_TBYTESRC_X0Y107 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y107 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y107 ROW 44 TILEPROP LIOI3_TBYTESRC_X0Y107 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y107 TILE_PATTERN_IDX 1387 TILEPROP LIOI3_TBYTESRC_X0Y107 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y107 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y107 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y107 TILE_Y 106872 TILEPROP LIOI3_TBYTESRC_X0Y107 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y119 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y119 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y119 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y119 FIRST_SITE_ID 3158 TILEPROP LIOI3_TBYTESRC_X0Y119 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y119 GRID_POINT_Y 32 TILEPROP LIOI3_TBYTESRC_X0Y119 INDEX 3681 TILEPROP LIOI3_TBYTESRC_X0Y119 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y119 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y119 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y119 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y119 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y119 NAME LIOI3_TBYTESRC_X0Y119 TILEPROP LIOI3_TBYTESRC_X0Y119 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y119 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y119 ROW 32 TILEPROP LIOI3_TBYTESRC_X0Y119 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y119 TILE_PATTERN_IDX 1031 TILEPROP LIOI3_TBYTESRC_X0Y119 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y119 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y119 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y119 TILE_Y 145272 TILEPROP LIOI3_TBYTESRC_X0Y119 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y131 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y131 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y131 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y131 FIRST_SITE_ID 1879 TILEPROP LIOI3_TBYTESRC_X0Y131 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y131 GRID_POINT_Y 19 TILEPROP LIOI3_TBYTESRC_X0Y131 INDEX 2186 TILEPROP LIOI3_TBYTESRC_X0Y131 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y131 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y131 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y131 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y131 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y131 NAME LIOI3_TBYTESRC_X0Y131 TILEPROP LIOI3_TBYTESRC_X0Y131 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y131 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y131 ROW 19 TILEPROP LIOI3_TBYTESRC_X0Y131 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y131 TILE_PATTERN_IDX 599 TILEPROP LIOI3_TBYTESRC_X0Y131 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y131 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y131 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y131 TILE_Y 184696 TILEPROP LIOI3_TBYTESRC_X0Y131 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y143 CLASS tile TILEPROP LIOI3_TBYTESRC_X0Y143 COLUMN 1 TILEPROP LIOI3_TBYTESRC_X0Y143 DEVICE_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y143 FIRST_SITE_ID 615 TILEPROP LIOI3_TBYTESRC_X0Y143 GRID_POINT_X 1 TILEPROP LIOI3_TBYTESRC_X0Y143 GRID_POINT_Y 7 TILEPROP LIOI3_TBYTESRC_X0Y143 INDEX 806 TILEPROP LIOI3_TBYTESRC_X0Y143 INT_TILE_X -1 TILEPROP LIOI3_TBYTESRC_X0Y143 INT_TILE_Y -1 TILEPROP LIOI3_TBYTESRC_X0Y143 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y143 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y143 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTESRC_X0Y143 NAME LIOI3_TBYTESRC_X0Y143 TILEPROP LIOI3_TBYTESRC_X0Y143 NUM_ARCS 429 TILEPROP LIOI3_TBYTESRC_X0Y143 NUM_SITES 6 TILEPROP LIOI3_TBYTESRC_X0Y143 ROW 7 TILEPROP LIOI3_TBYTESRC_X0Y143 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTESRC_X0Y143 TILE_PATTERN_IDX 227 TILEPROP LIOI3_TBYTESRC_X0Y143 TILE_TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTESRC_X0Y143 TILE_TYPE_INDEX 103 TILEPROP LIOI3_TBYTESRC_X0Y143 TILE_X -100350 TILEPROP LIOI3_TBYTESRC_X0Y143 TILE_Y 223096 TILEPROP LIOI3_TBYTESRC_X0Y143 TYPE LIOI3_TBYTESRC TILEPROP LIOI3_TBYTETERM_X0Y13 CLASS tile TILEPROP LIOI3_TBYTETERM_X0Y13 COLUMN 1 TILEPROP LIOI3_TBYTETERM_X0Y13 DEVICE_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y13 FIRST_SITE_ID 14327 TILEPROP LIOI3_TBYTETERM_X0Y13 GRID_POINT_X 1 TILEPROP LIOI3_TBYTETERM_X0Y13 GRID_POINT_Y 142 TILEPROP LIOI3_TBYTETERM_X0Y13 INDEX 16331 TILEPROP LIOI3_TBYTETERM_X0Y13 INT_TILE_X -1 TILEPROP LIOI3_TBYTETERM_X0Y13 INT_TILE_Y -1 TILEPROP LIOI3_TBYTETERM_X0Y13 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y13 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y13 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y13 NAME LIOI3_TBYTETERM_X0Y13 TILEPROP LIOI3_TBYTETERM_X0Y13 NUM_ARCS 427 TILEPROP LIOI3_TBYTETERM_X0Y13 NUM_SITES 6 TILEPROP LIOI3_TBYTETERM_X0Y13 ROW 142 TILEPROP LIOI3_TBYTETERM_X0Y13 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y13 TILE_PATTERN_IDX 1209 TILEPROP LIOI3_TBYTETERM_X0Y13 TILE_TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y13 TILE_TYPE_INDEX 104 TILEPROP LIOI3_TBYTETERM_X0Y13 TILE_X -100350 TILEPROP LIOI3_TBYTETERM_X0Y13 TILE_Y -196472 TILEPROP LIOI3_TBYTETERM_X0Y13 TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y37 CLASS tile TILEPROP LIOI3_TBYTETERM_X0Y37 COLUMN 1 TILEPROP LIOI3_TBYTETERM_X0Y37 DEVICE_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y37 FIRST_SITE_ID 11694 TILEPROP LIOI3_TBYTETERM_X0Y37 GRID_POINT_X 1 TILEPROP LIOI3_TBYTETERM_X0Y37 GRID_POINT_Y 117 TILEPROP LIOI3_TBYTETERM_X0Y37 INDEX 13456 TILEPROP LIOI3_TBYTETERM_X0Y37 INT_TILE_X -1 TILEPROP LIOI3_TBYTETERM_X0Y37 INT_TILE_Y -1 TILEPROP LIOI3_TBYTETERM_X0Y37 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y37 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y37 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y37 NAME LIOI3_TBYTETERM_X0Y37 TILEPROP LIOI3_TBYTETERM_X0Y37 NUM_ARCS 427 TILEPROP LIOI3_TBYTETERM_X0Y37 NUM_SITES 6 TILEPROP LIOI3_TBYTETERM_X0Y37 ROW 117 TILEPROP LIOI3_TBYTETERM_X0Y37 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y37 TILE_PATTERN_IDX 414 TILEPROP LIOI3_TBYTETERM_X0Y37 TILE_TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y37 TILE_TYPE_INDEX 104 TILEPROP LIOI3_TBYTETERM_X0Y37 TILE_X -100350 TILEPROP LIOI3_TBYTETERM_X0Y37 TILE_Y -118648 TILEPROP LIOI3_TBYTETERM_X0Y37 TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y63 CLASS tile TILEPROP LIOI3_TBYTETERM_X0Y63 COLUMN 1 TILEPROP LIOI3_TBYTETERM_X0Y63 DEVICE_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y63 FIRST_SITE_ID 8939 TILEPROP LIOI3_TBYTETERM_X0Y63 GRID_POINT_X 1 TILEPROP LIOI3_TBYTETERM_X0Y63 GRID_POINT_Y 90 TILEPROP LIOI3_TBYTETERM_X0Y63 INDEX 10351 TILEPROP LIOI3_TBYTETERM_X0Y63 INT_TILE_X -1 TILEPROP LIOI3_TBYTETERM_X0Y63 INT_TILE_Y -1 TILEPROP LIOI3_TBYTETERM_X0Y63 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y63 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y63 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y63 NAME LIOI3_TBYTETERM_X0Y63 TILEPROP LIOI3_TBYTETERM_X0Y63 NUM_ARCS 427 TILEPROP LIOI3_TBYTETERM_X0Y63 NUM_SITES 6 TILEPROP LIOI3_TBYTETERM_X0Y63 ROW 90 TILEPROP LIOI3_TBYTETERM_X0Y63 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y63 TILE_PATTERN_IDX 1209 TILEPROP LIOI3_TBYTETERM_X0Y63 TILE_TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y63 TILE_TYPE_INDEX 104 TILEPROP LIOI3_TBYTETERM_X0Y63 TILE_X -100350 TILEPROP LIOI3_TBYTETERM_X0Y63 TILE_Y -35200 TILEPROP LIOI3_TBYTETERM_X0Y63 TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y87 CLASS tile TILEPROP LIOI3_TBYTETERM_X0Y87 COLUMN 1 TILEPROP LIOI3_TBYTETERM_X0Y87 DEVICE_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y87 FIRST_SITE_ID 6318 TILEPROP LIOI3_TBYTETERM_X0Y87 GRID_POINT_X 1 TILEPROP LIOI3_TBYTETERM_X0Y87 GRID_POINT_Y 65 TILEPROP LIOI3_TBYTETERM_X0Y87 INDEX 7476 TILEPROP LIOI3_TBYTETERM_X0Y87 INT_TILE_X -1 TILEPROP LIOI3_TBYTETERM_X0Y87 INT_TILE_Y -1 TILEPROP LIOI3_TBYTETERM_X0Y87 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y87 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y87 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y87 NAME LIOI3_TBYTETERM_X0Y87 TILEPROP LIOI3_TBYTETERM_X0Y87 NUM_ARCS 427 TILEPROP LIOI3_TBYTETERM_X0Y87 NUM_SITES 6 TILEPROP LIOI3_TBYTETERM_X0Y87 ROW 65 TILEPROP LIOI3_TBYTETERM_X0Y87 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y87 TILE_PATTERN_IDX 414 TILEPROP LIOI3_TBYTETERM_X0Y87 TILE_TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y87 TILE_TYPE_INDEX 104 TILEPROP LIOI3_TBYTETERM_X0Y87 TILE_X -100350 TILEPROP LIOI3_TBYTETERM_X0Y87 TILE_Y 42624 TILEPROP LIOI3_TBYTETERM_X0Y87 TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y113 CLASS tile TILEPROP LIOI3_TBYTETERM_X0Y113 COLUMN 1 TILEPROP LIOI3_TBYTETERM_X0Y113 DEVICE_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y113 FIRST_SITE_ID 3730 TILEPROP LIOI3_TBYTETERM_X0Y113 GRID_POINT_X 1 TILEPROP LIOI3_TBYTETERM_X0Y113 GRID_POINT_Y 38 TILEPROP LIOI3_TBYTETERM_X0Y113 INDEX 4371 TILEPROP LIOI3_TBYTETERM_X0Y113 INT_TILE_X -1 TILEPROP LIOI3_TBYTETERM_X0Y113 INT_TILE_Y -1 TILEPROP LIOI3_TBYTETERM_X0Y113 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y113 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y113 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y113 NAME LIOI3_TBYTETERM_X0Y113 TILEPROP LIOI3_TBYTETERM_X0Y113 NUM_ARCS 427 TILEPROP LIOI3_TBYTETERM_X0Y113 NUM_SITES 6 TILEPROP LIOI3_TBYTETERM_X0Y113 ROW 38 TILEPROP LIOI3_TBYTETERM_X0Y113 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y113 TILE_PATTERN_IDX 1209 TILEPROP LIOI3_TBYTETERM_X0Y113 TILE_TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y113 TILE_TYPE_INDEX 104 TILEPROP LIOI3_TBYTETERM_X0Y113 TILE_X -100350 TILEPROP LIOI3_TBYTETERM_X0Y113 TILE_Y 126072 TILEPROP LIOI3_TBYTETERM_X0Y113 TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y137 CLASS tile TILEPROP LIOI3_TBYTETERM_X0Y137 COLUMN 1 TILEPROP LIOI3_TBYTETERM_X0Y137 DEVICE_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y137 FIRST_SITE_ID 1230 TILEPROP LIOI3_TBYTETERM_X0Y137 GRID_POINT_X 1 TILEPROP LIOI3_TBYTETERM_X0Y137 GRID_POINT_Y 13 TILEPROP LIOI3_TBYTETERM_X0Y137 INDEX 1496 TILEPROP LIOI3_TBYTETERM_X0Y137 INT_TILE_X -1 TILEPROP LIOI3_TBYTETERM_X0Y137 INT_TILE_Y -1 TILEPROP LIOI3_TBYTETERM_X0Y137 IS_CENTER_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y137 IS_DCM_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y137 IS_GT_SITE_TILE 0 TILEPROP LIOI3_TBYTETERM_X0Y137 NAME LIOI3_TBYTETERM_X0Y137 TILEPROP LIOI3_TBYTETERM_X0Y137 NUM_ARCS 427 TILEPROP LIOI3_TBYTETERM_X0Y137 NUM_SITES 6 TILEPROP LIOI3_TBYTETERM_X0Y137 ROW 13 TILEPROP LIOI3_TBYTETERM_X0Y137 SLR_REGION_ID 0 TILEPROP LIOI3_TBYTETERM_X0Y137 TILE_PATTERN_IDX 414 TILEPROP LIOI3_TBYTETERM_X0Y137 TILE_TYPE LIOI3_TBYTETERM TILEPROP LIOI3_TBYTETERM_X0Y137 TILE_TYPE_INDEX 104 TILEPROP LIOI3_TBYTETERM_X0Y137 TILE_X -100350 TILEPROP LIOI3_TBYTETERM_X0Y137 TILE_Y 203896 TILEPROP LIOI3_TBYTETERM_X0Y137 TYPE LIOI3_TBYTETERM TILEPROP LIOI3_X0Y1 CLASS tile TILEPROP LIOI3_X0Y1 COLUMN 1 TILEPROP LIOI3_X0Y1 DEVICE_ID 0 TILEPROP LIOI3_X0Y1 FIRST_SITE_ID 15595 TILEPROP LIOI3_X0Y1 GRID_POINT_X 1 TILEPROP LIOI3_X0Y1 GRID_POINT_Y 154 TILEPROP LIOI3_X0Y1 INDEX 17711 TILEPROP LIOI3_X0Y1 INT_TILE_X -1 TILEPROP LIOI3_X0Y1 INT_TILE_Y -1 TILEPROP LIOI3_X0Y1 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y1 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y1 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y1 NAME LIOI3_X0Y1 TILEPROP LIOI3_X0Y1 NUM_ARCS 434 TILEPROP LIOI3_X0Y1 NUM_SITES 6 TILEPROP LIOI3_X0Y1 ROW 154 TILEPROP LIOI3_X0Y1 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y1 TILE_PATTERN_IDX 1566 TILEPROP LIOI3_X0Y1 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y1 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y1 TILE_X -100350 TILEPROP LIOI3_X0Y1 TILE_Y -234872 TILEPROP LIOI3_X0Y1 TYPE LIOI3 TILEPROP LIOI3_X0Y3 CLASS tile TILEPROP LIOI3_X0Y3 COLUMN 1 TILEPROP LIOI3_X0Y3 DEVICE_ID 0 TILEPROP LIOI3_X0Y3 FIRST_SITE_ID 15395 TILEPROP LIOI3_X0Y3 GRID_POINT_X 1 TILEPROP LIOI3_X0Y3 GRID_POINT_Y 152 TILEPROP LIOI3_X0Y3 INDEX 17481 TILEPROP LIOI3_X0Y3 INT_TILE_X -1 TILEPROP LIOI3_X0Y3 INT_TILE_Y -1 TILEPROP LIOI3_X0Y3 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y3 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y3 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y3 NAME LIOI3_X0Y3 TILEPROP LIOI3_X0Y3 NUM_ARCS 434 TILEPROP LIOI3_X0Y3 NUM_SITES 6 TILEPROP LIOI3_X0Y3 ROW 152 TILEPROP LIOI3_X0Y3 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y3 TILE_PATTERN_IDX 1506 TILEPROP LIOI3_X0Y3 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y3 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y3 TILE_X -100350 TILEPROP LIOI3_X0Y3 TILE_Y -228472 TILEPROP LIOI3_X0Y3 TYPE LIOI3 TILEPROP LIOI3_X0Y5 CLASS tile TILEPROP LIOI3_X0Y5 COLUMN 1 TILEPROP LIOI3_X0Y5 DEVICE_ID 0 TILEPROP LIOI3_X0Y5 FIRST_SITE_ID 15180 TILEPROP LIOI3_X0Y5 GRID_POINT_X 1 TILEPROP LIOI3_X0Y5 GRID_POINT_Y 150 TILEPROP LIOI3_X0Y5 INDEX 17251 TILEPROP LIOI3_X0Y5 INT_TILE_X -1 TILEPROP LIOI3_X0Y5 INT_TILE_Y -1 TILEPROP LIOI3_X0Y5 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y5 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y5 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y5 NAME LIOI3_X0Y5 TILEPROP LIOI3_X0Y5 NUM_ARCS 434 TILEPROP LIOI3_X0Y5 NUM_SITES 6 TILEPROP LIOI3_X0Y5 ROW 150 TILEPROP LIOI3_X0Y5 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y5 TILE_PATTERN_IDX 1446 TILEPROP LIOI3_X0Y5 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y5 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y5 TILE_X -100350 TILEPROP LIOI3_X0Y5 TILE_Y -222072 TILEPROP LIOI3_X0Y5 TYPE LIOI3 TILEPROP LIOI3_X0Y9 CLASS tile TILEPROP LIOI3_X0Y9 COLUMN 1 TILEPROP LIOI3_X0Y9 DEVICE_ID 0 TILEPROP LIOI3_X0Y9 FIRST_SITE_ID 14774 TILEPROP LIOI3_X0Y9 GRID_POINT_X 1 TILEPROP LIOI3_X0Y9 GRID_POINT_Y 146 TILEPROP LIOI3_X0Y9 INDEX 16791 TILEPROP LIOI3_X0Y9 INT_TILE_X -1 TILEPROP LIOI3_X0Y9 INT_TILE_Y -1 TILEPROP LIOI3_X0Y9 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y9 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y9 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y9 NAME LIOI3_X0Y9 TILEPROP LIOI3_X0Y9 NUM_ARCS 434 TILEPROP LIOI3_X0Y9 NUM_SITES 6 TILEPROP LIOI3_X0Y9 ROW 146 TILEPROP LIOI3_X0Y9 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y9 TILE_PATTERN_IDX 1328 TILEPROP LIOI3_X0Y9 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y9 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y9 TILE_X -100350 TILEPROP LIOI3_X0Y9 TILE_Y -209272 TILEPROP LIOI3_X0Y9 TYPE LIOI3 TILEPROP LIOI3_X0Y11 CLASS tile TILEPROP LIOI3_X0Y11 COLUMN 1 TILEPROP LIOI3_X0Y11 DEVICE_ID 0 TILEPROP LIOI3_X0Y11 FIRST_SITE_ID 14559 TILEPROP LIOI3_X0Y11 GRID_POINT_X 1 TILEPROP LIOI3_X0Y11 GRID_POINT_Y 144 TILEPROP LIOI3_X0Y11 INDEX 16561 TILEPROP LIOI3_X0Y11 INT_TILE_X -1 TILEPROP LIOI3_X0Y11 INT_TILE_Y -1 TILEPROP LIOI3_X0Y11 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y11 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y11 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y11 NAME LIOI3_X0Y11 TILEPROP LIOI3_X0Y11 NUM_ARCS 434 TILEPROP LIOI3_X0Y11 NUM_SITES 6 TILEPROP LIOI3_X0Y11 ROW 144 TILEPROP LIOI3_X0Y11 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y11 TILE_PATTERN_IDX 1268 TILEPROP LIOI3_X0Y11 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y11 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y11 TILE_X -100350 TILEPROP LIOI3_X0Y11 TILE_Y -202872 TILEPROP LIOI3_X0Y11 TYPE LIOI3 TILEPROP LIOI3_X0Y15 CLASS tile TILEPROP LIOI3_X0Y15 COLUMN 1 TILEPROP LIOI3_X0Y15 DEVICE_ID 0 TILEPROP LIOI3_X0Y15 FIRST_SITE_ID 14112 TILEPROP LIOI3_X0Y15 GRID_POINT_X 1 TILEPROP LIOI3_X0Y15 GRID_POINT_Y 140 TILEPROP LIOI3_X0Y15 INDEX 16101 TILEPROP LIOI3_X0Y15 INT_TILE_X -1 TILEPROP LIOI3_X0Y15 INT_TILE_Y -1 TILEPROP LIOI3_X0Y15 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y15 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y15 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y15 NAME LIOI3_X0Y15 TILEPROP LIOI3_X0Y15 NUM_ARCS 434 TILEPROP LIOI3_X0Y15 NUM_SITES 6 TILEPROP LIOI3_X0Y15 ROW 140 TILEPROP LIOI3_X0Y15 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y15 TILE_PATTERN_IDX 1150 TILEPROP LIOI3_X0Y15 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y15 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y15 TILE_X -100350 TILEPROP LIOI3_X0Y15 TILE_Y -190072 TILEPROP LIOI3_X0Y15 TYPE LIOI3 TILEPROP LIOI3_X0Y17 CLASS tile TILEPROP LIOI3_X0Y17 COLUMN 1 TILEPROP LIOI3_X0Y17 DEVICE_ID 0 TILEPROP LIOI3_X0Y17 FIRST_SITE_ID 13903 TILEPROP LIOI3_X0Y17 GRID_POINT_X 1 TILEPROP LIOI3_X0Y17 GRID_POINT_Y 138 TILEPROP LIOI3_X0Y17 INDEX 15871 TILEPROP LIOI3_X0Y17 INT_TILE_X -1 TILEPROP LIOI3_X0Y17 INT_TILE_Y -1 TILEPROP LIOI3_X0Y17 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y17 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y17 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y17 NAME LIOI3_X0Y17 TILEPROP LIOI3_X0Y17 NUM_ARCS 434 TILEPROP LIOI3_X0Y17 NUM_SITES 6 TILEPROP LIOI3_X0Y17 ROW 138 TILEPROP LIOI3_X0Y17 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y17 TILE_PATTERN_IDX 1090 TILEPROP LIOI3_X0Y17 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y17 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y17 TILE_X -100350 TILEPROP LIOI3_X0Y17 TILE_Y -183672 TILEPROP LIOI3_X0Y17 TYPE LIOI3 TILEPROP LIOI3_X0Y21 CLASS tile TILEPROP LIOI3_X0Y21 COLUMN 1 TILEPROP LIOI3_X0Y21 DEVICE_ID 0 TILEPROP LIOI3_X0Y21 FIRST_SITE_ID 13484 TILEPROP LIOI3_X0Y21 GRID_POINT_X 1 TILEPROP LIOI3_X0Y21 GRID_POINT_Y 134 TILEPROP LIOI3_X0Y21 INDEX 15411 TILEPROP LIOI3_X0Y21 INT_TILE_X -1 TILEPROP LIOI3_X0Y21 INT_TILE_Y -1 TILEPROP LIOI3_X0Y21 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y21 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y21 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y21 NAME LIOI3_X0Y21 TILEPROP LIOI3_X0Y21 NUM_ARCS 434 TILEPROP LIOI3_X0Y21 NUM_SITES 6 TILEPROP LIOI3_X0Y21 ROW 134 TILEPROP LIOI3_X0Y21 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y21 TILE_PATTERN_IDX 968 TILEPROP LIOI3_X0Y21 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y21 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y21 TILE_X -100350 TILEPROP LIOI3_X0Y21 TILE_Y -170872 TILEPROP LIOI3_X0Y21 TYPE LIOI3 TILEPROP LIOI3_X0Y23 CLASS tile TILEPROP LIOI3_X0Y23 COLUMN 1 TILEPROP LIOI3_X0Y23 DEVICE_ID 0 TILEPROP LIOI3_X0Y23 FIRST_SITE_ID 13284 TILEPROP LIOI3_X0Y23 GRID_POINT_X 1 TILEPROP LIOI3_X0Y23 GRID_POINT_Y 132 TILEPROP LIOI3_X0Y23 INDEX 15181 TILEPROP LIOI3_X0Y23 INT_TILE_X -1 TILEPROP LIOI3_X0Y23 INT_TILE_Y -1 TILEPROP LIOI3_X0Y23 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y23 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y23 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y23 NAME LIOI3_X0Y23 TILEPROP LIOI3_X0Y23 NUM_ARCS 434 TILEPROP LIOI3_X0Y23 NUM_SITES 6 TILEPROP LIOI3_X0Y23 ROW 132 TILEPROP LIOI3_X0Y23 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y23 TILE_PATTERN_IDX 907 TILEPROP LIOI3_X0Y23 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y23 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y23 TILE_X -100350 TILEPROP LIOI3_X0Y23 TILE_Y -164472 TILEPROP LIOI3_X0Y23 TYPE LIOI3 TILEPROP LIOI3_X0Y25 CLASS tile TILEPROP LIOI3_X0Y25 COLUMN 1 TILEPROP LIOI3_X0Y25 DEVICE_ID 0 TILEPROP LIOI3_X0Y25 FIRST_SITE_ID 12986 TILEPROP LIOI3_X0Y25 GRID_POINT_X 1 TILEPROP LIOI3_X0Y25 GRID_POINT_Y 129 TILEPROP LIOI3_X0Y25 INDEX 14836 TILEPROP LIOI3_X0Y25 INT_TILE_X -1 TILEPROP LIOI3_X0Y25 INT_TILE_Y -1 TILEPROP LIOI3_X0Y25 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y25 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y25 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y25 NAME LIOI3_X0Y25 TILEPROP LIOI3_X0Y25 NUM_ARCS 434 TILEPROP LIOI3_X0Y25 NUM_SITES 6 TILEPROP LIOI3_X0Y25 ROW 129 TILEPROP LIOI3_X0Y25 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y25 TILE_PATTERN_IDX 786 TILEPROP LIOI3_X0Y25 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y25 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y25 TILE_X -100350 TILEPROP LIOI3_X0Y25 TILE_Y -157048 TILEPROP LIOI3_X0Y25 TYPE LIOI3 TILEPROP LIOI3_X0Y27 CLASS tile TILEPROP LIOI3_X0Y27 COLUMN 1 TILEPROP LIOI3_X0Y27 DEVICE_ID 0 TILEPROP LIOI3_X0Y27 FIRST_SITE_ID 12786 TILEPROP LIOI3_X0Y27 GRID_POINT_X 1 TILEPROP LIOI3_X0Y27 GRID_POINT_Y 127 TILEPROP LIOI3_X0Y27 INDEX 14606 TILEPROP LIOI3_X0Y27 INT_TILE_X -1 TILEPROP LIOI3_X0Y27 INT_TILE_Y -1 TILEPROP LIOI3_X0Y27 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y27 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y27 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y27 NAME LIOI3_X0Y27 TILEPROP LIOI3_X0Y27 NUM_ARCS 434 TILEPROP LIOI3_X0Y27 NUM_SITES 6 TILEPROP LIOI3_X0Y27 ROW 127 TILEPROP LIOI3_X0Y27 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y27 TILE_PATTERN_IDX 724 TILEPROP LIOI3_X0Y27 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y27 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y27 TILE_X -100350 TILEPROP LIOI3_X0Y27 TILE_Y -150648 TILEPROP LIOI3_X0Y27 TYPE LIOI3 TILEPROP LIOI3_X0Y29 CLASS tile TILEPROP LIOI3_X0Y29 COLUMN 1 TILEPROP LIOI3_X0Y29 DEVICE_ID 0 TILEPROP LIOI3_X0Y29 FIRST_SITE_ID 12574 TILEPROP LIOI3_X0Y29 GRID_POINT_X 1 TILEPROP LIOI3_X0Y29 GRID_POINT_Y 125 TILEPROP LIOI3_X0Y29 INDEX 14376 TILEPROP LIOI3_X0Y29 INT_TILE_X -1 TILEPROP LIOI3_X0Y29 INT_TILE_Y -1 TILEPROP LIOI3_X0Y29 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y29 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y29 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y29 NAME LIOI3_X0Y29 TILEPROP LIOI3_X0Y29 NUM_ARCS 434 TILEPROP LIOI3_X0Y29 NUM_SITES 6 TILEPROP LIOI3_X0Y29 ROW 125 TILEPROP LIOI3_X0Y29 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y29 TILE_PATTERN_IDX 662 TILEPROP LIOI3_X0Y29 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y29 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y29 TILE_X -100350 TILEPROP LIOI3_X0Y29 TILE_Y -144248 TILEPROP LIOI3_X0Y29 TYPE LIOI3 TILEPROP LIOI3_X0Y33 CLASS tile TILEPROP LIOI3_X0Y33 COLUMN 1 TILEPROP LIOI3_X0Y33 DEVICE_ID 0 TILEPROP LIOI3_X0Y33 FIRST_SITE_ID 12141 TILEPROP LIOI3_X0Y33 GRID_POINT_X 1 TILEPROP LIOI3_X0Y33 GRID_POINT_Y 121 TILEPROP LIOI3_X0Y33 INDEX 13916 TILEPROP LIOI3_X0Y33 INT_TILE_X -1 TILEPROP LIOI3_X0Y33 INT_TILE_Y -1 TILEPROP LIOI3_X0Y33 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y33 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y33 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y33 NAME LIOI3_X0Y33 TILEPROP LIOI3_X0Y33 NUM_ARCS 434 TILEPROP LIOI3_X0Y33 NUM_SITES 6 TILEPROP LIOI3_X0Y33 ROW 121 TILEPROP LIOI3_X0Y33 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y33 TILE_PATTERN_IDX 538 TILEPROP LIOI3_X0Y33 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y33 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y33 TILE_X -100350 TILEPROP LIOI3_X0Y33 TILE_Y -131448 TILEPROP LIOI3_X0Y33 TYPE LIOI3 TILEPROP LIOI3_X0Y35 CLASS tile TILEPROP LIOI3_X0Y35 COLUMN 1 TILEPROP LIOI3_X0Y35 DEVICE_ID 0 TILEPROP LIOI3_X0Y35 FIRST_SITE_ID 11926 TILEPROP LIOI3_X0Y35 GRID_POINT_X 1 TILEPROP LIOI3_X0Y35 GRID_POINT_Y 119 TILEPROP LIOI3_X0Y35 INDEX 13686 TILEPROP LIOI3_X0Y35 INT_TILE_X -1 TILEPROP LIOI3_X0Y35 INT_TILE_Y -1 TILEPROP LIOI3_X0Y35 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y35 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y35 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y35 NAME LIOI3_X0Y35 TILEPROP LIOI3_X0Y35 NUM_ARCS 434 TILEPROP LIOI3_X0Y35 NUM_SITES 6 TILEPROP LIOI3_X0Y35 ROW 119 TILEPROP LIOI3_X0Y35 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y35 TILE_PATTERN_IDX 476 TILEPROP LIOI3_X0Y35 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y35 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y35 TILE_X -100350 TILEPROP LIOI3_X0Y35 TILE_Y -125048 TILEPROP LIOI3_X0Y35 TYPE LIOI3 TILEPROP LIOI3_X0Y39 CLASS tile TILEPROP LIOI3_X0Y39 COLUMN 1 TILEPROP LIOI3_X0Y39 DEVICE_ID 0 TILEPROP LIOI3_X0Y39 FIRST_SITE_ID 11494 TILEPROP LIOI3_X0Y39 GRID_POINT_X 1 TILEPROP LIOI3_X0Y39 GRID_POINT_Y 115 TILEPROP LIOI3_X0Y39 INDEX 13226 TILEPROP LIOI3_X0Y39 INT_TILE_X -1 TILEPROP LIOI3_X0Y39 INT_TILE_Y -1 TILEPROP LIOI3_X0Y39 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y39 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y39 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y39 NAME LIOI3_X0Y39 TILEPROP LIOI3_X0Y39 NUM_ARCS 434 TILEPROP LIOI3_X0Y39 NUM_SITES 6 TILEPROP LIOI3_X0Y39 ROW 115 TILEPROP LIOI3_X0Y39 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y39 TILE_PATTERN_IDX 353 TILEPROP LIOI3_X0Y39 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y39 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y39 TILE_X -100350 TILEPROP LIOI3_X0Y39 TILE_Y -112248 TILEPROP LIOI3_X0Y39 TYPE LIOI3 TILEPROP LIOI3_X0Y41 CLASS tile TILEPROP LIOI3_X0Y41 COLUMN 1 TILEPROP LIOI3_X0Y41 DEVICE_ID 0 TILEPROP LIOI3_X0Y41 FIRST_SITE_ID 11276 TILEPROP LIOI3_X0Y41 GRID_POINT_X 1 TILEPROP LIOI3_X0Y41 GRID_POINT_Y 113 TILEPROP LIOI3_X0Y41 INDEX 12996 TILEPROP LIOI3_X0Y41 INT_TILE_X -1 TILEPROP LIOI3_X0Y41 INT_TILE_Y -1 TILEPROP LIOI3_X0Y41 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y41 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y41 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y41 NAME LIOI3_X0Y41 TILEPROP LIOI3_X0Y41 NUM_ARCS 434 TILEPROP LIOI3_X0Y41 NUM_SITES 6 TILEPROP LIOI3_X0Y41 ROW 113 TILEPROP LIOI3_X0Y41 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y41 TILE_PATTERN_IDX 290 TILEPROP LIOI3_X0Y41 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y41 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y41 TILE_X -100350 TILEPROP LIOI3_X0Y41 TILE_Y -105848 TILEPROP LIOI3_X0Y41 TYPE LIOI3 TILEPROP LIOI3_X0Y45 CLASS tile TILEPROP LIOI3_X0Y45 COLUMN 1 TILEPROP LIOI3_X0Y45 DEVICE_ID 0 TILEPROP LIOI3_X0Y45 FIRST_SITE_ID 10854 TILEPROP LIOI3_X0Y45 GRID_POINT_X 1 TILEPROP LIOI3_X0Y45 GRID_POINT_Y 109 TILEPROP LIOI3_X0Y45 INDEX 12536 TILEPROP LIOI3_X0Y45 INT_TILE_X -1 TILEPROP LIOI3_X0Y45 INT_TILE_Y -1 TILEPROP LIOI3_X0Y45 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y45 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y45 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y45 NAME LIOI3_X0Y45 TILEPROP LIOI3_X0Y45 NUM_ARCS 434 TILEPROP LIOI3_X0Y45 NUM_SITES 6 TILEPROP LIOI3_X0Y45 ROW 109 TILEPROP LIOI3_X0Y45 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y45 TILE_PATTERN_IDX 158 TILEPROP LIOI3_X0Y45 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y45 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y45 TILE_X -100350 TILEPROP LIOI3_X0Y45 TILE_Y -93048 TILEPROP LIOI3_X0Y45 TYPE LIOI3 TILEPROP LIOI3_X0Y47 CLASS tile TILEPROP LIOI3_X0Y47 COLUMN 1 TILEPROP LIOI3_X0Y47 DEVICE_ID 0 TILEPROP LIOI3_X0Y47 FIRST_SITE_ID 10638 TILEPROP LIOI3_X0Y47 GRID_POINT_X 1 TILEPROP LIOI3_X0Y47 GRID_POINT_Y 107 TILEPROP LIOI3_X0Y47 INDEX 12306 TILEPROP LIOI3_X0Y47 INT_TILE_X -1 TILEPROP LIOI3_X0Y47 INT_TILE_Y -1 TILEPROP LIOI3_X0Y47 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y47 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y47 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y47 NAME LIOI3_X0Y47 TILEPROP LIOI3_X0Y47 NUM_ARCS 434 TILEPROP LIOI3_X0Y47 NUM_SITES 6 TILEPROP LIOI3_X0Y47 ROW 107 TILEPROP LIOI3_X0Y47 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y47 TILE_PATTERN_IDX 91 TILEPROP LIOI3_X0Y47 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y47 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y47 TILE_X -100350 TILEPROP LIOI3_X0Y47 TILE_Y -86648 TILEPROP LIOI3_X0Y47 TYPE LIOI3 TILEPROP LIOI3_X0Y51 CLASS tile TILEPROP LIOI3_X0Y51 COLUMN 1 TILEPROP LIOI3_X0Y51 DEVICE_ID 0 TILEPROP LIOI3_X0Y51 FIRST_SITE_ID 10207 TILEPROP LIOI3_X0Y51 GRID_POINT_X 1 TILEPROP LIOI3_X0Y51 GRID_POINT_Y 102 TILEPROP LIOI3_X0Y51 INDEX 11731 TILEPROP LIOI3_X0Y51 INT_TILE_X -1 TILEPROP LIOI3_X0Y51 INT_TILE_Y -1 TILEPROP LIOI3_X0Y51 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y51 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y51 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y51 NAME LIOI3_X0Y51 TILEPROP LIOI3_X0Y51 NUM_ARCS 434 TILEPROP LIOI3_X0Y51 NUM_SITES 6 TILEPROP LIOI3_X0Y51 ROW 102 TILEPROP LIOI3_X0Y51 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y51 TILE_PATTERN_IDX 1566 TILEPROP LIOI3_X0Y51 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y51 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y51 TILE_X -100350 TILEPROP LIOI3_X0Y51 TILE_Y -73600 TILEPROP LIOI3_X0Y51 TYPE LIOI3 TILEPROP LIOI3_X0Y53 CLASS tile TILEPROP LIOI3_X0Y53 COLUMN 1 TILEPROP LIOI3_X0Y53 DEVICE_ID 0 TILEPROP LIOI3_X0Y53 FIRST_SITE_ID 10007 TILEPROP LIOI3_X0Y53 GRID_POINT_X 1 TILEPROP LIOI3_X0Y53 GRID_POINT_Y 100 TILEPROP LIOI3_X0Y53 INDEX 11501 TILEPROP LIOI3_X0Y53 INT_TILE_X -1 TILEPROP LIOI3_X0Y53 INT_TILE_Y -1 TILEPROP LIOI3_X0Y53 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y53 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y53 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y53 NAME LIOI3_X0Y53 TILEPROP LIOI3_X0Y53 NUM_ARCS 434 TILEPROP LIOI3_X0Y53 NUM_SITES 6 TILEPROP LIOI3_X0Y53 ROW 100 TILEPROP LIOI3_X0Y53 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y53 TILE_PATTERN_IDX 1506 TILEPROP LIOI3_X0Y53 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y53 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y53 TILE_X -100350 TILEPROP LIOI3_X0Y53 TILE_Y -67200 TILEPROP LIOI3_X0Y53 TYPE LIOI3 TILEPROP LIOI3_X0Y55 CLASS tile TILEPROP LIOI3_X0Y55 COLUMN 1 TILEPROP LIOI3_X0Y55 DEVICE_ID 0 TILEPROP LIOI3_X0Y55 FIRST_SITE_ID 9792 TILEPROP LIOI3_X0Y55 GRID_POINT_X 1 TILEPROP LIOI3_X0Y55 GRID_POINT_Y 98 TILEPROP LIOI3_X0Y55 INDEX 11271 TILEPROP LIOI3_X0Y55 INT_TILE_X -1 TILEPROP LIOI3_X0Y55 INT_TILE_Y -1 TILEPROP LIOI3_X0Y55 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y55 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y55 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y55 NAME LIOI3_X0Y55 TILEPROP LIOI3_X0Y55 NUM_ARCS 434 TILEPROP LIOI3_X0Y55 NUM_SITES 6 TILEPROP LIOI3_X0Y55 ROW 98 TILEPROP LIOI3_X0Y55 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y55 TILE_PATTERN_IDX 1446 TILEPROP LIOI3_X0Y55 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y55 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y55 TILE_X -100350 TILEPROP LIOI3_X0Y55 TILE_Y -60800 TILEPROP LIOI3_X0Y55 TYPE LIOI3 TILEPROP LIOI3_X0Y59 CLASS tile TILEPROP LIOI3_X0Y59 COLUMN 1 TILEPROP LIOI3_X0Y59 DEVICE_ID 0 TILEPROP LIOI3_X0Y59 FIRST_SITE_ID 9386 TILEPROP LIOI3_X0Y59 GRID_POINT_X 1 TILEPROP LIOI3_X0Y59 GRID_POINT_Y 94 TILEPROP LIOI3_X0Y59 INDEX 10811 TILEPROP LIOI3_X0Y59 INT_TILE_X -1 TILEPROP LIOI3_X0Y59 INT_TILE_Y -1 TILEPROP LIOI3_X0Y59 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y59 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y59 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y59 NAME LIOI3_X0Y59 TILEPROP LIOI3_X0Y59 NUM_ARCS 434 TILEPROP LIOI3_X0Y59 NUM_SITES 6 TILEPROP LIOI3_X0Y59 ROW 94 TILEPROP LIOI3_X0Y59 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y59 TILE_PATTERN_IDX 1328 TILEPROP LIOI3_X0Y59 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y59 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y59 TILE_X -100350 TILEPROP LIOI3_X0Y59 TILE_Y -48000 TILEPROP LIOI3_X0Y59 TYPE LIOI3 TILEPROP LIOI3_X0Y61 CLASS tile TILEPROP LIOI3_X0Y61 COLUMN 1 TILEPROP LIOI3_X0Y61 DEVICE_ID 0 TILEPROP LIOI3_X0Y61 FIRST_SITE_ID 9171 TILEPROP LIOI3_X0Y61 GRID_POINT_X 1 TILEPROP LIOI3_X0Y61 GRID_POINT_Y 92 TILEPROP LIOI3_X0Y61 INDEX 10581 TILEPROP LIOI3_X0Y61 INT_TILE_X -1 TILEPROP LIOI3_X0Y61 INT_TILE_Y -1 TILEPROP LIOI3_X0Y61 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y61 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y61 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y61 NAME LIOI3_X0Y61 TILEPROP LIOI3_X0Y61 NUM_ARCS 434 TILEPROP LIOI3_X0Y61 NUM_SITES 6 TILEPROP LIOI3_X0Y61 ROW 92 TILEPROP LIOI3_X0Y61 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y61 TILE_PATTERN_IDX 1268 TILEPROP LIOI3_X0Y61 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y61 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y61 TILE_X -100350 TILEPROP LIOI3_X0Y61 TILE_Y -41600 TILEPROP LIOI3_X0Y61 TYPE LIOI3 TILEPROP LIOI3_X0Y65 CLASS tile TILEPROP LIOI3_X0Y65 COLUMN 1 TILEPROP LIOI3_X0Y65 DEVICE_ID 0 TILEPROP LIOI3_X0Y65 FIRST_SITE_ID 8724 TILEPROP LIOI3_X0Y65 GRID_POINT_X 1 TILEPROP LIOI3_X0Y65 GRID_POINT_Y 88 TILEPROP LIOI3_X0Y65 INDEX 10121 TILEPROP LIOI3_X0Y65 INT_TILE_X -1 TILEPROP LIOI3_X0Y65 INT_TILE_Y -1 TILEPROP LIOI3_X0Y65 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y65 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y65 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y65 NAME LIOI3_X0Y65 TILEPROP LIOI3_X0Y65 NUM_ARCS 434 TILEPROP LIOI3_X0Y65 NUM_SITES 6 TILEPROP LIOI3_X0Y65 ROW 88 TILEPROP LIOI3_X0Y65 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y65 TILE_PATTERN_IDX 1150 TILEPROP LIOI3_X0Y65 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y65 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y65 TILE_X -100350 TILEPROP LIOI3_X0Y65 TILE_Y -28800 TILEPROP LIOI3_X0Y65 TYPE LIOI3 TILEPROP LIOI3_X0Y67 CLASS tile TILEPROP LIOI3_X0Y67 COLUMN 1 TILEPROP LIOI3_X0Y67 DEVICE_ID 0 TILEPROP LIOI3_X0Y67 FIRST_SITE_ID 8516 TILEPROP LIOI3_X0Y67 GRID_POINT_X 1 TILEPROP LIOI3_X0Y67 GRID_POINT_Y 86 TILEPROP LIOI3_X0Y67 INDEX 9891 TILEPROP LIOI3_X0Y67 INT_TILE_X -1 TILEPROP LIOI3_X0Y67 INT_TILE_Y -1 TILEPROP LIOI3_X0Y67 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y67 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y67 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y67 NAME LIOI3_X0Y67 TILEPROP LIOI3_X0Y67 NUM_ARCS 434 TILEPROP LIOI3_X0Y67 NUM_SITES 6 TILEPROP LIOI3_X0Y67 ROW 86 TILEPROP LIOI3_X0Y67 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y67 TILE_PATTERN_IDX 1090 TILEPROP LIOI3_X0Y67 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y67 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y67 TILE_X -100350 TILEPROP LIOI3_X0Y67 TILE_Y -22400 TILEPROP LIOI3_X0Y67 TYPE LIOI3 TILEPROP LIOI3_X0Y71 CLASS tile TILEPROP LIOI3_X0Y71 COLUMN 1 TILEPROP LIOI3_X0Y71 DEVICE_ID 0 TILEPROP LIOI3_X0Y71 FIRST_SITE_ID 8097 TILEPROP LIOI3_X0Y71 GRID_POINT_X 1 TILEPROP LIOI3_X0Y71 GRID_POINT_Y 82 TILEPROP LIOI3_X0Y71 INDEX 9431 TILEPROP LIOI3_X0Y71 INT_TILE_X -1 TILEPROP LIOI3_X0Y71 INT_TILE_Y -1 TILEPROP LIOI3_X0Y71 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y71 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y71 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y71 NAME LIOI3_X0Y71 TILEPROP LIOI3_X0Y71 NUM_ARCS 434 TILEPROP LIOI3_X0Y71 NUM_SITES 6 TILEPROP LIOI3_X0Y71 ROW 82 TILEPROP LIOI3_X0Y71 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y71 TILE_PATTERN_IDX 968 TILEPROP LIOI3_X0Y71 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y71 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y71 TILE_X -100350 TILEPROP LIOI3_X0Y71 TILE_Y -9600 TILEPROP LIOI3_X0Y71 TYPE LIOI3 TILEPROP LIOI3_X0Y73 CLASS tile TILEPROP LIOI3_X0Y73 COLUMN 1 TILEPROP LIOI3_X0Y73 DEVICE_ID 0 TILEPROP LIOI3_X0Y73 FIRST_SITE_ID 7897 TILEPROP LIOI3_X0Y73 GRID_POINT_X 1 TILEPROP LIOI3_X0Y73 GRID_POINT_Y 80 TILEPROP LIOI3_X0Y73 INDEX 9201 TILEPROP LIOI3_X0Y73 INT_TILE_X -1 TILEPROP LIOI3_X0Y73 INT_TILE_Y -1 TILEPROP LIOI3_X0Y73 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y73 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y73 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y73 NAME LIOI3_X0Y73 TILEPROP LIOI3_X0Y73 NUM_ARCS 434 TILEPROP LIOI3_X0Y73 NUM_SITES 6 TILEPROP LIOI3_X0Y73 ROW 80 TILEPROP LIOI3_X0Y73 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y73 TILE_PATTERN_IDX 907 TILEPROP LIOI3_X0Y73 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y73 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y73 TILE_X -100350 TILEPROP LIOI3_X0Y73 TILE_Y -3200 TILEPROP LIOI3_X0Y73 TYPE LIOI3 TILEPROP LIOI3_X0Y75 CLASS tile TILEPROP LIOI3_X0Y75 COLUMN 1 TILEPROP LIOI3_X0Y75 DEVICE_ID 0 TILEPROP LIOI3_X0Y75 FIRST_SITE_ID 7596 TILEPROP LIOI3_X0Y75 GRID_POINT_X 1 TILEPROP LIOI3_X0Y75 GRID_POINT_Y 77 TILEPROP LIOI3_X0Y75 INDEX 8856 TILEPROP LIOI3_X0Y75 INT_TILE_X -1 TILEPROP LIOI3_X0Y75 INT_TILE_Y -1 TILEPROP LIOI3_X0Y75 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y75 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y75 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y75 NAME LIOI3_X0Y75 TILEPROP LIOI3_X0Y75 NUM_ARCS 434 TILEPROP LIOI3_X0Y75 NUM_SITES 6 TILEPROP LIOI3_X0Y75 ROW 77 TILEPROP LIOI3_X0Y75 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y75 TILE_PATTERN_IDX 786 TILEPROP LIOI3_X0Y75 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y75 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y75 TILE_X -100350 TILEPROP LIOI3_X0Y75 TILE_Y 4224 TILEPROP LIOI3_X0Y75 TYPE LIOI3 TILEPROP LIOI3_X0Y77 CLASS tile TILEPROP LIOI3_X0Y77 COLUMN 1 TILEPROP LIOI3_X0Y77 DEVICE_ID 0 TILEPROP LIOI3_X0Y77 FIRST_SITE_ID 7396 TILEPROP LIOI3_X0Y77 GRID_POINT_X 1 TILEPROP LIOI3_X0Y77 GRID_POINT_Y 75 TILEPROP LIOI3_X0Y77 INDEX 8626 TILEPROP LIOI3_X0Y77 INT_TILE_X -1 TILEPROP LIOI3_X0Y77 INT_TILE_Y -1 TILEPROP LIOI3_X0Y77 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y77 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y77 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y77 NAME LIOI3_X0Y77 TILEPROP LIOI3_X0Y77 NUM_ARCS 434 TILEPROP LIOI3_X0Y77 NUM_SITES 6 TILEPROP LIOI3_X0Y77 ROW 75 TILEPROP LIOI3_X0Y77 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y77 TILE_PATTERN_IDX 724 TILEPROP LIOI3_X0Y77 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y77 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y77 TILE_X -100350 TILEPROP LIOI3_X0Y77 TILE_Y 10624 TILEPROP LIOI3_X0Y77 TYPE LIOI3 TILEPROP LIOI3_X0Y79 CLASS tile TILEPROP LIOI3_X0Y79 COLUMN 1 TILEPROP LIOI3_X0Y79 DEVICE_ID 0 TILEPROP LIOI3_X0Y79 FIRST_SITE_ID 7184 TILEPROP LIOI3_X0Y79 GRID_POINT_X 1 TILEPROP LIOI3_X0Y79 GRID_POINT_Y 73 TILEPROP LIOI3_X0Y79 INDEX 8396 TILEPROP LIOI3_X0Y79 INT_TILE_X -1 TILEPROP LIOI3_X0Y79 INT_TILE_Y -1 TILEPROP LIOI3_X0Y79 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y79 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y79 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y79 NAME LIOI3_X0Y79 TILEPROP LIOI3_X0Y79 NUM_ARCS 434 TILEPROP LIOI3_X0Y79 NUM_SITES 6 TILEPROP LIOI3_X0Y79 ROW 73 TILEPROP LIOI3_X0Y79 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y79 TILE_PATTERN_IDX 662 TILEPROP LIOI3_X0Y79 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y79 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y79 TILE_X -100350 TILEPROP LIOI3_X0Y79 TILE_Y 17024 TILEPROP LIOI3_X0Y79 TYPE LIOI3 TILEPROP LIOI3_X0Y83 CLASS tile TILEPROP LIOI3_X0Y83 COLUMN 1 TILEPROP LIOI3_X0Y83 DEVICE_ID 0 TILEPROP LIOI3_X0Y83 FIRST_SITE_ID 6765 TILEPROP LIOI3_X0Y83 GRID_POINT_X 1 TILEPROP LIOI3_X0Y83 GRID_POINT_Y 69 TILEPROP LIOI3_X0Y83 INDEX 7936 TILEPROP LIOI3_X0Y83 INT_TILE_X -1 TILEPROP LIOI3_X0Y83 INT_TILE_Y -1 TILEPROP LIOI3_X0Y83 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y83 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y83 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y83 NAME LIOI3_X0Y83 TILEPROP LIOI3_X0Y83 NUM_ARCS 434 TILEPROP LIOI3_X0Y83 NUM_SITES 6 TILEPROP LIOI3_X0Y83 ROW 69 TILEPROP LIOI3_X0Y83 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y83 TILE_PATTERN_IDX 538 TILEPROP LIOI3_X0Y83 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y83 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y83 TILE_X -100350 TILEPROP LIOI3_X0Y83 TILE_Y 29824 TILEPROP LIOI3_X0Y83 TYPE LIOI3 TILEPROP LIOI3_X0Y85 CLASS tile TILEPROP LIOI3_X0Y85 COLUMN 1 TILEPROP LIOI3_X0Y85 DEVICE_ID 0 TILEPROP LIOI3_X0Y85 FIRST_SITE_ID 6550 TILEPROP LIOI3_X0Y85 GRID_POINT_X 1 TILEPROP LIOI3_X0Y85 GRID_POINT_Y 67 TILEPROP LIOI3_X0Y85 INDEX 7706 TILEPROP LIOI3_X0Y85 INT_TILE_X -1 TILEPROP LIOI3_X0Y85 INT_TILE_Y -1 TILEPROP LIOI3_X0Y85 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y85 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y85 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y85 NAME LIOI3_X0Y85 TILEPROP LIOI3_X0Y85 NUM_ARCS 434 TILEPROP LIOI3_X0Y85 NUM_SITES 6 TILEPROP LIOI3_X0Y85 ROW 67 TILEPROP LIOI3_X0Y85 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y85 TILE_PATTERN_IDX 476 TILEPROP LIOI3_X0Y85 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y85 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y85 TILE_X -100350 TILEPROP LIOI3_X0Y85 TILE_Y 36224 TILEPROP LIOI3_X0Y85 TYPE LIOI3 TILEPROP LIOI3_X0Y89 CLASS tile TILEPROP LIOI3_X0Y89 COLUMN 1 TILEPROP LIOI3_X0Y89 DEVICE_ID 0 TILEPROP LIOI3_X0Y89 FIRST_SITE_ID 6118 TILEPROP LIOI3_X0Y89 GRID_POINT_X 1 TILEPROP LIOI3_X0Y89 GRID_POINT_Y 63 TILEPROP LIOI3_X0Y89 INDEX 7246 TILEPROP LIOI3_X0Y89 INT_TILE_X -1 TILEPROP LIOI3_X0Y89 INT_TILE_Y -1 TILEPROP LIOI3_X0Y89 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y89 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y89 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y89 NAME LIOI3_X0Y89 TILEPROP LIOI3_X0Y89 NUM_ARCS 434 TILEPROP LIOI3_X0Y89 NUM_SITES 6 TILEPROP LIOI3_X0Y89 ROW 63 TILEPROP LIOI3_X0Y89 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y89 TILE_PATTERN_IDX 353 TILEPROP LIOI3_X0Y89 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y89 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y89 TILE_X -100350 TILEPROP LIOI3_X0Y89 TILE_Y 49024 TILEPROP LIOI3_X0Y89 TYPE LIOI3 TILEPROP LIOI3_X0Y91 CLASS tile TILEPROP LIOI3_X0Y91 COLUMN 1 TILEPROP LIOI3_X0Y91 DEVICE_ID 0 TILEPROP LIOI3_X0Y91 FIRST_SITE_ID 5903 TILEPROP LIOI3_X0Y91 GRID_POINT_X 1 TILEPROP LIOI3_X0Y91 GRID_POINT_Y 61 TILEPROP LIOI3_X0Y91 INDEX 7016 TILEPROP LIOI3_X0Y91 INT_TILE_X -1 TILEPROP LIOI3_X0Y91 INT_TILE_Y -1 TILEPROP LIOI3_X0Y91 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y91 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y91 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y91 NAME LIOI3_X0Y91 TILEPROP LIOI3_X0Y91 NUM_ARCS 434 TILEPROP LIOI3_X0Y91 NUM_SITES 6 TILEPROP LIOI3_X0Y91 ROW 61 TILEPROP LIOI3_X0Y91 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y91 TILE_PATTERN_IDX 290 TILEPROP LIOI3_X0Y91 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y91 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y91 TILE_X -100350 TILEPROP LIOI3_X0Y91 TILE_Y 55424 TILEPROP LIOI3_X0Y91 TYPE LIOI3 TILEPROP LIOI3_X0Y95 CLASS tile TILEPROP LIOI3_X0Y95 COLUMN 1 TILEPROP LIOI3_X0Y95 DEVICE_ID 0 TILEPROP LIOI3_X0Y95 FIRST_SITE_ID 5482 TILEPROP LIOI3_X0Y95 GRID_POINT_X 1 TILEPROP LIOI3_X0Y95 GRID_POINT_Y 57 TILEPROP LIOI3_X0Y95 INDEX 6556 TILEPROP LIOI3_X0Y95 INT_TILE_X -1 TILEPROP LIOI3_X0Y95 INT_TILE_Y -1 TILEPROP LIOI3_X0Y95 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y95 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y95 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y95 NAME LIOI3_X0Y95 TILEPROP LIOI3_X0Y95 NUM_ARCS 434 TILEPROP LIOI3_X0Y95 NUM_SITES 6 TILEPROP LIOI3_X0Y95 ROW 57 TILEPROP LIOI3_X0Y95 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y95 TILE_PATTERN_IDX 158 TILEPROP LIOI3_X0Y95 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y95 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y95 TILE_X -100350 TILEPROP LIOI3_X0Y95 TILE_Y 68224 TILEPROP LIOI3_X0Y95 TYPE LIOI3 TILEPROP LIOI3_X0Y97 CLASS tile TILEPROP LIOI3_X0Y97 COLUMN 1 TILEPROP LIOI3_X0Y97 DEVICE_ID 0 TILEPROP LIOI3_X0Y97 FIRST_SITE_ID 5282 TILEPROP LIOI3_X0Y97 GRID_POINT_X 1 TILEPROP LIOI3_X0Y97 GRID_POINT_Y 55 TILEPROP LIOI3_X0Y97 INDEX 6326 TILEPROP LIOI3_X0Y97 INT_TILE_X -1 TILEPROP LIOI3_X0Y97 INT_TILE_Y -1 TILEPROP LIOI3_X0Y97 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y97 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y97 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y97 NAME LIOI3_X0Y97 TILEPROP LIOI3_X0Y97 NUM_ARCS 434 TILEPROP LIOI3_X0Y97 NUM_SITES 6 TILEPROP LIOI3_X0Y97 ROW 55 TILEPROP LIOI3_X0Y97 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y97 TILE_PATTERN_IDX 91 TILEPROP LIOI3_X0Y97 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y97 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y97 TILE_X -100350 TILEPROP LIOI3_X0Y97 TILE_Y 74624 TILEPROP LIOI3_X0Y97 TYPE LIOI3 TILEPROP LIOI3_X0Y101 CLASS tile TILEPROP LIOI3_X0Y101 COLUMN 1 TILEPROP LIOI3_X0Y101 DEVICE_ID 0 TILEPROP LIOI3_X0Y101 FIRST_SITE_ID 4893 TILEPROP LIOI3_X0Y101 GRID_POINT_X 1 TILEPROP LIOI3_X0Y101 GRID_POINT_Y 50 TILEPROP LIOI3_X0Y101 INDEX 5751 TILEPROP LIOI3_X0Y101 INT_TILE_X -1 TILEPROP LIOI3_X0Y101 INT_TILE_Y -1 TILEPROP LIOI3_X0Y101 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y101 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y101 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y101 NAME LIOI3_X0Y101 TILEPROP LIOI3_X0Y101 NUM_ARCS 434 TILEPROP LIOI3_X0Y101 NUM_SITES 6 TILEPROP LIOI3_X0Y101 ROW 50 TILEPROP LIOI3_X0Y101 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y101 TILE_PATTERN_IDX 1566 TILEPROP LIOI3_X0Y101 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y101 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y101 TILE_X -100350 TILEPROP LIOI3_X0Y101 TILE_Y 87672 TILEPROP LIOI3_X0Y101 TYPE LIOI3 TILEPROP LIOI3_X0Y103 CLASS tile TILEPROP LIOI3_X0Y103 COLUMN 1 TILEPROP LIOI3_X0Y103 DEVICE_ID 0 TILEPROP LIOI3_X0Y103 FIRST_SITE_ID 4709 TILEPROP LIOI3_X0Y103 GRID_POINT_X 1 TILEPROP LIOI3_X0Y103 GRID_POINT_Y 48 TILEPROP LIOI3_X0Y103 INDEX 5521 TILEPROP LIOI3_X0Y103 INT_TILE_X -1 TILEPROP LIOI3_X0Y103 INT_TILE_Y -1 TILEPROP LIOI3_X0Y103 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y103 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y103 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y103 NAME LIOI3_X0Y103 TILEPROP LIOI3_X0Y103 NUM_ARCS 434 TILEPROP LIOI3_X0Y103 NUM_SITES 6 TILEPROP LIOI3_X0Y103 ROW 48 TILEPROP LIOI3_X0Y103 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y103 TILE_PATTERN_IDX 1506 TILEPROP LIOI3_X0Y103 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y103 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y103 TILE_X -100350 TILEPROP LIOI3_X0Y103 TILE_Y 94072 TILEPROP LIOI3_X0Y103 TYPE LIOI3 TILEPROP LIOI3_X0Y105 CLASS tile TILEPROP LIOI3_X0Y105 COLUMN 1 TILEPROP LIOI3_X0Y105 DEVICE_ID 0 TILEPROP LIOI3_X0Y105 FIRST_SITE_ID 4511 TILEPROP LIOI3_X0Y105 GRID_POINT_X 1 TILEPROP LIOI3_X0Y105 GRID_POINT_Y 46 TILEPROP LIOI3_X0Y105 INDEX 5291 TILEPROP LIOI3_X0Y105 INT_TILE_X -1 TILEPROP LIOI3_X0Y105 INT_TILE_Y -1 TILEPROP LIOI3_X0Y105 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y105 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y105 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y105 NAME LIOI3_X0Y105 TILEPROP LIOI3_X0Y105 NUM_ARCS 434 TILEPROP LIOI3_X0Y105 NUM_SITES 6 TILEPROP LIOI3_X0Y105 ROW 46 TILEPROP LIOI3_X0Y105 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y105 TILE_PATTERN_IDX 1446 TILEPROP LIOI3_X0Y105 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y105 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y105 TILE_X -100350 TILEPROP LIOI3_X0Y105 TILE_Y 100472 TILEPROP LIOI3_X0Y105 TYPE LIOI3 TILEPROP LIOI3_X0Y109 CLASS tile TILEPROP LIOI3_X0Y109 COLUMN 1 TILEPROP LIOI3_X0Y109 DEVICE_ID 0 TILEPROP LIOI3_X0Y109 FIRST_SITE_ID 4140 TILEPROP LIOI3_X0Y109 GRID_POINT_X 1 TILEPROP LIOI3_X0Y109 GRID_POINT_Y 42 TILEPROP LIOI3_X0Y109 INDEX 4831 TILEPROP LIOI3_X0Y109 INT_TILE_X -1 TILEPROP LIOI3_X0Y109 INT_TILE_Y -1 TILEPROP LIOI3_X0Y109 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y109 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y109 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y109 NAME LIOI3_X0Y109 TILEPROP LIOI3_X0Y109 NUM_ARCS 434 TILEPROP LIOI3_X0Y109 NUM_SITES 6 TILEPROP LIOI3_X0Y109 ROW 42 TILEPROP LIOI3_X0Y109 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y109 TILE_PATTERN_IDX 1328 TILEPROP LIOI3_X0Y109 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y109 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y109 TILE_X -100350 TILEPROP LIOI3_X0Y109 TILE_Y 113272 TILEPROP LIOI3_X0Y109 TYPE LIOI3 TILEPROP LIOI3_X0Y111 CLASS tile TILEPROP LIOI3_X0Y111 COLUMN 1 TILEPROP LIOI3_X0Y111 DEVICE_ID 0 TILEPROP LIOI3_X0Y111 FIRST_SITE_ID 3946 TILEPROP LIOI3_X0Y111 GRID_POINT_X 1 TILEPROP LIOI3_X0Y111 GRID_POINT_Y 40 TILEPROP LIOI3_X0Y111 INDEX 4601 TILEPROP LIOI3_X0Y111 INT_TILE_X -1 TILEPROP LIOI3_X0Y111 INT_TILE_Y -1 TILEPROP LIOI3_X0Y111 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y111 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y111 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y111 NAME LIOI3_X0Y111 TILEPROP LIOI3_X0Y111 NUM_ARCS 434 TILEPROP LIOI3_X0Y111 NUM_SITES 6 TILEPROP LIOI3_X0Y111 ROW 40 TILEPROP LIOI3_X0Y111 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y111 TILE_PATTERN_IDX 1268 TILEPROP LIOI3_X0Y111 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y111 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y111 TILE_X -100350 TILEPROP LIOI3_X0Y111 TILE_Y 119672 TILEPROP LIOI3_X0Y111 TYPE LIOI3 TILEPROP LIOI3_X0Y115 CLASS tile TILEPROP LIOI3_X0Y115 COLUMN 1 TILEPROP LIOI3_X0Y115 DEVICE_ID 0 TILEPROP LIOI3_X0Y115 FIRST_SITE_ID 3537 TILEPROP LIOI3_X0Y115 GRID_POINT_X 1 TILEPROP LIOI3_X0Y115 GRID_POINT_Y 36 TILEPROP LIOI3_X0Y115 INDEX 4141 TILEPROP LIOI3_X0Y115 INT_TILE_X -1 TILEPROP LIOI3_X0Y115 INT_TILE_Y -1 TILEPROP LIOI3_X0Y115 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y115 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y115 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y115 NAME LIOI3_X0Y115 TILEPROP LIOI3_X0Y115 NUM_ARCS 434 TILEPROP LIOI3_X0Y115 NUM_SITES 6 TILEPROP LIOI3_X0Y115 ROW 36 TILEPROP LIOI3_X0Y115 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y115 TILE_PATTERN_IDX 1150 TILEPROP LIOI3_X0Y115 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y115 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y115 TILE_X -100350 TILEPROP LIOI3_X0Y115 TILE_Y 132472 TILEPROP LIOI3_X0Y115 TYPE LIOI3 TILEPROP LIOI3_X0Y117 CLASS tile TILEPROP LIOI3_X0Y117 COLUMN 1 TILEPROP LIOI3_X0Y117 DEVICE_ID 0 TILEPROP LIOI3_X0Y117 FIRST_SITE_ID 3344 TILEPROP LIOI3_X0Y117 GRID_POINT_X 1 TILEPROP LIOI3_X0Y117 GRID_POINT_Y 34 TILEPROP LIOI3_X0Y117 INDEX 3911 TILEPROP LIOI3_X0Y117 INT_TILE_X -1 TILEPROP LIOI3_X0Y117 INT_TILE_Y -1 TILEPROP LIOI3_X0Y117 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y117 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y117 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y117 NAME LIOI3_X0Y117 TILEPROP LIOI3_X0Y117 NUM_ARCS 434 TILEPROP LIOI3_X0Y117 NUM_SITES 6 TILEPROP LIOI3_X0Y117 ROW 34 TILEPROP LIOI3_X0Y117 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y117 TILE_PATTERN_IDX 1090 TILEPROP LIOI3_X0Y117 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y117 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y117 TILE_X -100350 TILEPROP LIOI3_X0Y117 TILE_Y 138872 TILEPROP LIOI3_X0Y117 TYPE LIOI3 TILEPROP LIOI3_X0Y121 CLASS tile TILEPROP LIOI3_X0Y121 COLUMN 1 TILEPROP LIOI3_X0Y121 DEVICE_ID 0 TILEPROP LIOI3_X0Y121 FIRST_SITE_ID 2965 TILEPROP LIOI3_X0Y121 GRID_POINT_X 1 TILEPROP LIOI3_X0Y121 GRID_POINT_Y 30 TILEPROP LIOI3_X0Y121 INDEX 3451 TILEPROP LIOI3_X0Y121 INT_TILE_X -1 TILEPROP LIOI3_X0Y121 INT_TILE_Y -1 TILEPROP LIOI3_X0Y121 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y121 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y121 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y121 NAME LIOI3_X0Y121 TILEPROP LIOI3_X0Y121 NUM_ARCS 434 TILEPROP LIOI3_X0Y121 NUM_SITES 6 TILEPROP LIOI3_X0Y121 ROW 30 TILEPROP LIOI3_X0Y121 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y121 TILE_PATTERN_IDX 968 TILEPROP LIOI3_X0Y121 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y121 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y121 TILE_X -100350 TILEPROP LIOI3_X0Y121 TILE_Y 151672 TILEPROP LIOI3_X0Y121 TYPE LIOI3 TILEPROP LIOI3_X0Y123 CLASS tile TILEPROP LIOI3_X0Y123 COLUMN 1 TILEPROP LIOI3_X0Y123 DEVICE_ID 0 TILEPROP LIOI3_X0Y123 FIRST_SITE_ID 2774 TILEPROP LIOI3_X0Y123 GRID_POINT_X 1 TILEPROP LIOI3_X0Y123 GRID_POINT_Y 28 TILEPROP LIOI3_X0Y123 INDEX 3221 TILEPROP LIOI3_X0Y123 INT_TILE_X -1 TILEPROP LIOI3_X0Y123 INT_TILE_Y -1 TILEPROP LIOI3_X0Y123 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y123 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y123 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y123 NAME LIOI3_X0Y123 TILEPROP LIOI3_X0Y123 NUM_ARCS 434 TILEPROP LIOI3_X0Y123 NUM_SITES 6 TILEPROP LIOI3_X0Y123 ROW 28 TILEPROP LIOI3_X0Y123 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y123 TILE_PATTERN_IDX 907 TILEPROP LIOI3_X0Y123 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y123 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y123 TILE_X -100350 TILEPROP LIOI3_X0Y123 TILE_Y 158072 TILEPROP LIOI3_X0Y123 TYPE LIOI3 TILEPROP LIOI3_X0Y125 CLASS tile TILEPROP LIOI3_X0Y125 COLUMN 1 TILEPROP LIOI3_X0Y125 DEVICE_ID 0 TILEPROP LIOI3_X0Y125 FIRST_SITE_ID 2499 TILEPROP LIOI3_X0Y125 GRID_POINT_X 1 TILEPROP LIOI3_X0Y125 GRID_POINT_Y 25 TILEPROP LIOI3_X0Y125 INDEX 2876 TILEPROP LIOI3_X0Y125 INT_TILE_X -1 TILEPROP LIOI3_X0Y125 INT_TILE_Y -1 TILEPROP LIOI3_X0Y125 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y125 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y125 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y125 NAME LIOI3_X0Y125 TILEPROP LIOI3_X0Y125 NUM_ARCS 434 TILEPROP LIOI3_X0Y125 NUM_SITES 6 TILEPROP LIOI3_X0Y125 ROW 25 TILEPROP LIOI3_X0Y125 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y125 TILE_PATTERN_IDX 786 TILEPROP LIOI3_X0Y125 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y125 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y125 TILE_X -100350 TILEPROP LIOI3_X0Y125 TILE_Y 165496 TILEPROP LIOI3_X0Y125 TYPE LIOI3 TILEPROP LIOI3_X0Y127 CLASS tile TILEPROP LIOI3_X0Y127 COLUMN 1 TILEPROP LIOI3_X0Y127 DEVICE_ID 0 TILEPROP LIOI3_X0Y127 FIRST_SITE_ID 2299 TILEPROP LIOI3_X0Y127 GRID_POINT_X 1 TILEPROP LIOI3_X0Y127 GRID_POINT_Y 23 TILEPROP LIOI3_X0Y127 INDEX 2646 TILEPROP LIOI3_X0Y127 INT_TILE_X -1 TILEPROP LIOI3_X0Y127 INT_TILE_Y -1 TILEPROP LIOI3_X0Y127 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y127 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y127 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y127 NAME LIOI3_X0Y127 TILEPROP LIOI3_X0Y127 NUM_ARCS 434 TILEPROP LIOI3_X0Y127 NUM_SITES 6 TILEPROP LIOI3_X0Y127 ROW 23 TILEPROP LIOI3_X0Y127 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y127 TILE_PATTERN_IDX 724 TILEPROP LIOI3_X0Y127 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y127 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y127 TILE_X -100350 TILEPROP LIOI3_X0Y127 TILE_Y 171896 TILEPROP LIOI3_X0Y127 TYPE LIOI3 TILEPROP LIOI3_X0Y129 CLASS tile TILEPROP LIOI3_X0Y129 COLUMN 1 TILEPROP LIOI3_X0Y129 DEVICE_ID 0 TILEPROP LIOI3_X0Y129 FIRST_SITE_ID 2093 TILEPROP LIOI3_X0Y129 GRID_POINT_X 1 TILEPROP LIOI3_X0Y129 GRID_POINT_Y 21 TILEPROP LIOI3_X0Y129 INDEX 2416 TILEPROP LIOI3_X0Y129 INT_TILE_X -1 TILEPROP LIOI3_X0Y129 INT_TILE_Y -1 TILEPROP LIOI3_X0Y129 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y129 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y129 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y129 NAME LIOI3_X0Y129 TILEPROP LIOI3_X0Y129 NUM_ARCS 434 TILEPROP LIOI3_X0Y129 NUM_SITES 6 TILEPROP LIOI3_X0Y129 ROW 21 TILEPROP LIOI3_X0Y129 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y129 TILE_PATTERN_IDX 662 TILEPROP LIOI3_X0Y129 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y129 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y129 TILE_X -100350 TILEPROP LIOI3_X0Y129 TILE_Y 178296 TILEPROP LIOI3_X0Y129 TYPE LIOI3 TILEPROP LIOI3_X0Y133 CLASS tile TILEPROP LIOI3_X0Y133 COLUMN 1 TILEPROP LIOI3_X0Y133 DEVICE_ID 0 TILEPROP LIOI3_X0Y133 FIRST_SITE_ID 1674 TILEPROP LIOI3_X0Y133 GRID_POINT_X 1 TILEPROP LIOI3_X0Y133 GRID_POINT_Y 17 TILEPROP LIOI3_X0Y133 INDEX 1956 TILEPROP LIOI3_X0Y133 INT_TILE_X -1 TILEPROP LIOI3_X0Y133 INT_TILE_Y -1 TILEPROP LIOI3_X0Y133 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y133 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y133 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y133 NAME LIOI3_X0Y133 TILEPROP LIOI3_X0Y133 NUM_ARCS 434 TILEPROP LIOI3_X0Y133 NUM_SITES 6 TILEPROP LIOI3_X0Y133 ROW 17 TILEPROP LIOI3_X0Y133 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y133 TILE_PATTERN_IDX 538 TILEPROP LIOI3_X0Y133 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y133 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y133 TILE_X -100350 TILEPROP LIOI3_X0Y133 TILE_Y 191096 TILEPROP LIOI3_X0Y133 TYPE LIOI3 TILEPROP LIOI3_X0Y135 CLASS tile TILEPROP LIOI3_X0Y135 COLUMN 1 TILEPROP LIOI3_X0Y135 DEVICE_ID 0 TILEPROP LIOI3_X0Y135 FIRST_SITE_ID 1462 TILEPROP LIOI3_X0Y135 GRID_POINT_X 1 TILEPROP LIOI3_X0Y135 GRID_POINT_Y 15 TILEPROP LIOI3_X0Y135 INDEX 1726 TILEPROP LIOI3_X0Y135 INT_TILE_X -1 TILEPROP LIOI3_X0Y135 INT_TILE_Y -1 TILEPROP LIOI3_X0Y135 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y135 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y135 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y135 NAME LIOI3_X0Y135 TILEPROP LIOI3_X0Y135 NUM_ARCS 434 TILEPROP LIOI3_X0Y135 NUM_SITES 6 TILEPROP LIOI3_X0Y135 ROW 15 TILEPROP LIOI3_X0Y135 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y135 TILE_PATTERN_IDX 476 TILEPROP LIOI3_X0Y135 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y135 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y135 TILE_X -100350 TILEPROP LIOI3_X0Y135 TILE_Y 197496 TILEPROP LIOI3_X0Y135 TYPE LIOI3 TILEPROP LIOI3_X0Y139 CLASS tile TILEPROP LIOI3_X0Y139 COLUMN 1 TILEPROP LIOI3_X0Y139 DEVICE_ID 0 TILEPROP LIOI3_X0Y139 FIRST_SITE_ID 1030 TILEPROP LIOI3_X0Y139 GRID_POINT_X 1 TILEPROP LIOI3_X0Y139 GRID_POINT_Y 11 TILEPROP LIOI3_X0Y139 INDEX 1266 TILEPROP LIOI3_X0Y139 INT_TILE_X -1 TILEPROP LIOI3_X0Y139 INT_TILE_Y -1 TILEPROP LIOI3_X0Y139 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y139 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y139 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y139 NAME LIOI3_X0Y139 TILEPROP LIOI3_X0Y139 NUM_ARCS 434 TILEPROP LIOI3_X0Y139 NUM_SITES 6 TILEPROP LIOI3_X0Y139 ROW 11 TILEPROP LIOI3_X0Y139 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y139 TILE_PATTERN_IDX 353 TILEPROP LIOI3_X0Y139 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y139 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y139 TILE_X -100350 TILEPROP LIOI3_X0Y139 TILE_Y 210296 TILEPROP LIOI3_X0Y139 TYPE LIOI3 TILEPROP LIOI3_X0Y141 CLASS tile TILEPROP LIOI3_X0Y141 COLUMN 1 TILEPROP LIOI3_X0Y141 DEVICE_ID 0 TILEPROP LIOI3_X0Y141 FIRST_SITE_ID 818 TILEPROP LIOI3_X0Y141 GRID_POINT_X 1 TILEPROP LIOI3_X0Y141 GRID_POINT_Y 9 TILEPROP LIOI3_X0Y141 INDEX 1036 TILEPROP LIOI3_X0Y141 INT_TILE_X -1 TILEPROP LIOI3_X0Y141 INT_TILE_Y -1 TILEPROP LIOI3_X0Y141 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y141 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y141 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y141 NAME LIOI3_X0Y141 TILEPROP LIOI3_X0Y141 NUM_ARCS 434 TILEPROP LIOI3_X0Y141 NUM_SITES 6 TILEPROP LIOI3_X0Y141 ROW 9 TILEPROP LIOI3_X0Y141 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y141 TILE_PATTERN_IDX 290 TILEPROP LIOI3_X0Y141 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y141 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y141 TILE_X -100350 TILEPROP LIOI3_X0Y141 TILE_Y 216696 TILEPROP LIOI3_X0Y141 TYPE LIOI3 TILEPROP LIOI3_X0Y145 CLASS tile TILEPROP LIOI3_X0Y145 COLUMN 1 TILEPROP LIOI3_X0Y145 DEVICE_ID 0 TILEPROP LIOI3_X0Y145 FIRST_SITE_ID 398 TILEPROP LIOI3_X0Y145 GRID_POINT_X 1 TILEPROP LIOI3_X0Y145 GRID_POINT_Y 5 TILEPROP LIOI3_X0Y145 INDEX 576 TILEPROP LIOI3_X0Y145 INT_TILE_X -1 TILEPROP LIOI3_X0Y145 INT_TILE_Y -1 TILEPROP LIOI3_X0Y145 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y145 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y145 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y145 NAME LIOI3_X0Y145 TILEPROP LIOI3_X0Y145 NUM_ARCS 434 TILEPROP LIOI3_X0Y145 NUM_SITES 6 TILEPROP LIOI3_X0Y145 ROW 5 TILEPROP LIOI3_X0Y145 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y145 TILE_PATTERN_IDX 158 TILEPROP LIOI3_X0Y145 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y145 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y145 TILE_X -100350 TILEPROP LIOI3_X0Y145 TILE_Y 229496 TILEPROP LIOI3_X0Y145 TYPE LIOI3 TILEPROP LIOI3_X0Y147 CLASS tile TILEPROP LIOI3_X0Y147 COLUMN 1 TILEPROP LIOI3_X0Y147 DEVICE_ID 0 TILEPROP LIOI3_X0Y147 FIRST_SITE_ID 198 TILEPROP LIOI3_X0Y147 GRID_POINT_X 1 TILEPROP LIOI3_X0Y147 GRID_POINT_Y 3 TILEPROP LIOI3_X0Y147 INDEX 346 TILEPROP LIOI3_X0Y147 INT_TILE_X -1 TILEPROP LIOI3_X0Y147 INT_TILE_Y -1 TILEPROP LIOI3_X0Y147 IS_CENTER_TILE 0 TILEPROP LIOI3_X0Y147 IS_DCM_TILE 0 TILEPROP LIOI3_X0Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP LIOI3_X0Y147 IS_GT_SITE_TILE 0 TILEPROP LIOI3_X0Y147 NAME LIOI3_X0Y147 TILEPROP LIOI3_X0Y147 NUM_ARCS 434 TILEPROP LIOI3_X0Y147 NUM_SITES 6 TILEPROP LIOI3_X0Y147 ROW 3 TILEPROP LIOI3_X0Y147 SLR_REGION_ID 0 TILEPROP LIOI3_X0Y147 TILE_PATTERN_IDX 91 TILEPROP LIOI3_X0Y147 TILE_TYPE LIOI3 TILEPROP LIOI3_X0Y147 TILE_TYPE_INDEX 101 TILEPROP LIOI3_X0Y147 TILE_X -100350 TILEPROP LIOI3_X0Y147 TILE_Y 235896 TILEPROP LIOI3_X0Y147 TYPE LIOI3 TILEPROP L_TERM_INT_X2Y1 CLASS tile TILEPROP L_TERM_INT_X2Y1 COLUMN 2 TILEPROP L_TERM_INT_X2Y1 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y1 FIRST_SITE_ID 15706 TILEPROP L_TERM_INT_X2Y1 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y1 GRID_POINT_Y 155 TILEPROP L_TERM_INT_X2Y1 INDEX 17827 TILEPROP L_TERM_INT_X2Y1 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y1 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y1 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y1 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y1 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y1 NAME L_TERM_INT_X2Y1 TILEPROP L_TERM_INT_X2Y1 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y1 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y1 ROW 155 TILEPROP L_TERM_INT_X2Y1 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y1 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y1 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y1 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y1 TILE_X -99306 TILEPROP L_TERM_INT_X2Y1 TILE_Y -239672 TILEPROP L_TERM_INT_X2Y1 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y2 CLASS tile TILEPROP L_TERM_INT_X2Y2 COLUMN 2 TILEPROP L_TERM_INT_X2Y2 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y2 FIRST_SITE_ID 15601 TILEPROP L_TERM_INT_X2Y2 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y2 GRID_POINT_Y 154 TILEPROP L_TERM_INT_X2Y2 INDEX 17712 TILEPROP L_TERM_INT_X2Y2 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y2 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y2 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y2 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y2 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y2 NAME L_TERM_INT_X2Y2 TILEPROP L_TERM_INT_X2Y2 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y2 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y2 ROW 154 TILEPROP L_TERM_INT_X2Y2 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y2 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y2 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y2 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y2 TILE_X -99306 TILEPROP L_TERM_INT_X2Y2 TILE_Y -236472 TILEPROP L_TERM_INT_X2Y2 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y3 CLASS tile TILEPROP L_TERM_INT_X2Y3 COLUMN 2 TILEPROP L_TERM_INT_X2Y3 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y3 FIRST_SITE_ID 15501 TILEPROP L_TERM_INT_X2Y3 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y3 GRID_POINT_Y 153 TILEPROP L_TERM_INT_X2Y3 INDEX 17597 TILEPROP L_TERM_INT_X2Y3 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y3 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y3 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y3 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y3 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y3 NAME L_TERM_INT_X2Y3 TILEPROP L_TERM_INT_X2Y3 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y3 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y3 ROW 153 TILEPROP L_TERM_INT_X2Y3 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y3 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y3 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y3 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y3 TILE_X -99306 TILEPROP L_TERM_INT_X2Y3 TILE_Y -233272 TILEPROP L_TERM_INT_X2Y3 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y4 CLASS tile TILEPROP L_TERM_INT_X2Y4 COLUMN 2 TILEPROP L_TERM_INT_X2Y4 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y4 FIRST_SITE_ID 15401 TILEPROP L_TERM_INT_X2Y4 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y4 GRID_POINT_Y 152 TILEPROP L_TERM_INT_X2Y4 INDEX 17482 TILEPROP L_TERM_INT_X2Y4 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y4 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y4 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y4 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y4 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y4 NAME L_TERM_INT_X2Y4 TILEPROP L_TERM_INT_X2Y4 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y4 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y4 ROW 152 TILEPROP L_TERM_INT_X2Y4 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y4 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y4 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y4 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y4 TILE_X -99306 TILEPROP L_TERM_INT_X2Y4 TILE_Y -230072 TILEPROP L_TERM_INT_X2Y4 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y5 CLASS tile TILEPROP L_TERM_INT_X2Y5 COLUMN 2 TILEPROP L_TERM_INT_X2Y5 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y5 FIRST_SITE_ID 15301 TILEPROP L_TERM_INT_X2Y5 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y5 GRID_POINT_Y 151 TILEPROP L_TERM_INT_X2Y5 INDEX 17367 TILEPROP L_TERM_INT_X2Y5 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y5 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y5 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y5 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y5 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y5 NAME L_TERM_INT_X2Y5 TILEPROP L_TERM_INT_X2Y5 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y5 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y5 ROW 151 TILEPROP L_TERM_INT_X2Y5 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y5 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y5 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y5 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y5 TILE_X -99306 TILEPROP L_TERM_INT_X2Y5 TILE_Y -226872 TILEPROP L_TERM_INT_X2Y5 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y6 CLASS tile TILEPROP L_TERM_INT_X2Y6 COLUMN 2 TILEPROP L_TERM_INT_X2Y6 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y6 FIRST_SITE_ID 15186 TILEPROP L_TERM_INT_X2Y6 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y6 GRID_POINT_Y 150 TILEPROP L_TERM_INT_X2Y6 INDEX 17252 TILEPROP L_TERM_INT_X2Y6 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y6 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y6 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y6 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y6 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y6 NAME L_TERM_INT_X2Y6 TILEPROP L_TERM_INT_X2Y6 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y6 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y6 ROW 150 TILEPROP L_TERM_INT_X2Y6 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y6 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y6 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y6 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y6 TILE_X -99306 TILEPROP L_TERM_INT_X2Y6 TILE_Y -223672 TILEPROP L_TERM_INT_X2Y6 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y7 CLASS tile TILEPROP L_TERM_INT_X2Y7 COLUMN 2 TILEPROP L_TERM_INT_X2Y7 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y7 FIRST_SITE_ID 15086 TILEPROP L_TERM_INT_X2Y7 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y7 GRID_POINT_Y 149 TILEPROP L_TERM_INT_X2Y7 INDEX 17137 TILEPROP L_TERM_INT_X2Y7 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y7 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y7 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y7 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y7 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y7 NAME L_TERM_INT_X2Y7 TILEPROP L_TERM_INT_X2Y7 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y7 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y7 ROW 149 TILEPROP L_TERM_INT_X2Y7 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y7 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y7 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y7 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y7 TILE_X -99306 TILEPROP L_TERM_INT_X2Y7 TILE_Y -220472 TILEPROP L_TERM_INT_X2Y7 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y8 CLASS tile TILEPROP L_TERM_INT_X2Y8 COLUMN 2 TILEPROP L_TERM_INT_X2Y8 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y8 FIRST_SITE_ID 14982 TILEPROP L_TERM_INT_X2Y8 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y8 GRID_POINT_Y 148 TILEPROP L_TERM_INT_X2Y8 INDEX 17022 TILEPROP L_TERM_INT_X2Y8 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y8 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y8 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y8 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y8 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y8 NAME L_TERM_INT_X2Y8 TILEPROP L_TERM_INT_X2Y8 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y8 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y8 ROW 148 TILEPROP L_TERM_INT_X2Y8 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y8 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y8 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y8 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y8 TILE_X -99306 TILEPROP L_TERM_INT_X2Y8 TILE_Y -217272 TILEPROP L_TERM_INT_X2Y8 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y9 CLASS tile TILEPROP L_TERM_INT_X2Y9 COLUMN 2 TILEPROP L_TERM_INT_X2Y9 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y9 FIRST_SITE_ID 14880 TILEPROP L_TERM_INT_X2Y9 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y9 GRID_POINT_Y 147 TILEPROP L_TERM_INT_X2Y9 INDEX 16907 TILEPROP L_TERM_INT_X2Y9 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y9 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y9 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y9 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y9 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y9 NAME L_TERM_INT_X2Y9 TILEPROP L_TERM_INT_X2Y9 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y9 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y9 ROW 147 TILEPROP L_TERM_INT_X2Y9 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y9 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y9 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y9 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y9 TILE_X -99306 TILEPROP L_TERM_INT_X2Y9 TILE_Y -214072 TILEPROP L_TERM_INT_X2Y9 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y10 CLASS tile TILEPROP L_TERM_INT_X2Y10 COLUMN 2 TILEPROP L_TERM_INT_X2Y10 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y10 FIRST_SITE_ID 14780 TILEPROP L_TERM_INT_X2Y10 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y10 GRID_POINT_Y 146 TILEPROP L_TERM_INT_X2Y10 INDEX 16792 TILEPROP L_TERM_INT_X2Y10 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y10 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y10 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y10 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y10 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y10 NAME L_TERM_INT_X2Y10 TILEPROP L_TERM_INT_X2Y10 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y10 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y10 ROW 146 TILEPROP L_TERM_INT_X2Y10 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y10 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y10 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y10 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y10 TILE_X -99306 TILEPROP L_TERM_INT_X2Y10 TILE_Y -210872 TILEPROP L_TERM_INT_X2Y10 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y11 CLASS tile TILEPROP L_TERM_INT_X2Y11 COLUMN 2 TILEPROP L_TERM_INT_X2Y11 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y11 FIRST_SITE_ID 14665 TILEPROP L_TERM_INT_X2Y11 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y11 GRID_POINT_Y 145 TILEPROP L_TERM_INT_X2Y11 INDEX 16677 TILEPROP L_TERM_INT_X2Y11 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y11 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y11 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y11 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y11 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y11 NAME L_TERM_INT_X2Y11 TILEPROP L_TERM_INT_X2Y11 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y11 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y11 ROW 145 TILEPROP L_TERM_INT_X2Y11 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y11 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y11 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y11 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y11 TILE_X -99306 TILEPROP L_TERM_INT_X2Y11 TILE_Y -207672 TILEPROP L_TERM_INT_X2Y11 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y12 CLASS tile TILEPROP L_TERM_INT_X2Y12 COLUMN 2 TILEPROP L_TERM_INT_X2Y12 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y12 FIRST_SITE_ID 14565 TILEPROP L_TERM_INT_X2Y12 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y12 GRID_POINT_Y 144 TILEPROP L_TERM_INT_X2Y12 INDEX 16562 TILEPROP L_TERM_INT_X2Y12 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y12 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y12 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y12 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y12 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y12 NAME L_TERM_INT_X2Y12 TILEPROP L_TERM_INT_X2Y12 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y12 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y12 ROW 144 TILEPROP L_TERM_INT_X2Y12 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y12 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y12 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y12 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y12 TILE_X -99306 TILEPROP L_TERM_INT_X2Y12 TILE_Y -204472 TILEPROP L_TERM_INT_X2Y12 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y13 CLASS tile TILEPROP L_TERM_INT_X2Y13 COLUMN 2 TILEPROP L_TERM_INT_X2Y13 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y13 FIRST_SITE_ID 14433 TILEPROP L_TERM_INT_X2Y13 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y13 GRID_POINT_Y 143 TILEPROP L_TERM_INT_X2Y13 INDEX 16447 TILEPROP L_TERM_INT_X2Y13 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y13 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y13 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y13 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y13 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y13 NAME L_TERM_INT_X2Y13 TILEPROP L_TERM_INT_X2Y13 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y13 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y13 ROW 143 TILEPROP L_TERM_INT_X2Y13 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y13 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y13 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y13 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y13 TILE_X -99306 TILEPROP L_TERM_INT_X2Y13 TILE_Y -201272 TILEPROP L_TERM_INT_X2Y13 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y14 CLASS tile TILEPROP L_TERM_INT_X2Y14 COLUMN 2 TILEPROP L_TERM_INT_X2Y14 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y14 FIRST_SITE_ID 14333 TILEPROP L_TERM_INT_X2Y14 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y14 GRID_POINT_Y 142 TILEPROP L_TERM_INT_X2Y14 INDEX 16332 TILEPROP L_TERM_INT_X2Y14 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y14 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y14 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y14 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y14 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y14 NAME L_TERM_INT_X2Y14 TILEPROP L_TERM_INT_X2Y14 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y14 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y14 ROW 142 TILEPROP L_TERM_INT_X2Y14 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y14 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y14 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y14 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y14 TILE_X -99306 TILEPROP L_TERM_INT_X2Y14 TILE_Y -198072 TILEPROP L_TERM_INT_X2Y14 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y15 CLASS tile TILEPROP L_TERM_INT_X2Y15 COLUMN 2 TILEPROP L_TERM_INT_X2Y15 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y15 FIRST_SITE_ID 14233 TILEPROP L_TERM_INT_X2Y15 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y15 GRID_POINT_Y 141 TILEPROP L_TERM_INT_X2Y15 INDEX 16217 TILEPROP L_TERM_INT_X2Y15 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y15 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y15 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y15 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y15 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y15 NAME L_TERM_INT_X2Y15 TILEPROP L_TERM_INT_X2Y15 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y15 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y15 ROW 141 TILEPROP L_TERM_INT_X2Y15 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y15 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y15 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y15 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y15 TILE_X -99306 TILEPROP L_TERM_INT_X2Y15 TILE_Y -194872 TILEPROP L_TERM_INT_X2Y15 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y16 CLASS tile TILEPROP L_TERM_INT_X2Y16 COLUMN 2 TILEPROP L_TERM_INT_X2Y16 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y16 FIRST_SITE_ID 14118 TILEPROP L_TERM_INT_X2Y16 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y16 GRID_POINT_Y 140 TILEPROP L_TERM_INT_X2Y16 INDEX 16102 TILEPROP L_TERM_INT_X2Y16 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y16 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y16 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y16 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y16 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y16 NAME L_TERM_INT_X2Y16 TILEPROP L_TERM_INT_X2Y16 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y16 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y16 ROW 140 TILEPROP L_TERM_INT_X2Y16 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y16 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y16 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y16 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y16 TILE_X -99306 TILEPROP L_TERM_INT_X2Y16 TILE_Y -191672 TILEPROP L_TERM_INT_X2Y16 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y17 CLASS tile TILEPROP L_TERM_INT_X2Y17 COLUMN 2 TILEPROP L_TERM_INT_X2Y17 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y17 FIRST_SITE_ID 14018 TILEPROP L_TERM_INT_X2Y17 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y17 GRID_POINT_Y 139 TILEPROP L_TERM_INT_X2Y17 INDEX 15987 TILEPROP L_TERM_INT_X2Y17 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y17 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y17 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y17 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y17 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y17 NAME L_TERM_INT_X2Y17 TILEPROP L_TERM_INT_X2Y17 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y17 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y17 ROW 139 TILEPROP L_TERM_INT_X2Y17 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y17 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y17 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y17 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y17 TILE_X -99306 TILEPROP L_TERM_INT_X2Y17 TILE_Y -188472 TILEPROP L_TERM_INT_X2Y17 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y18 CLASS tile TILEPROP L_TERM_INT_X2Y18 COLUMN 2 TILEPROP L_TERM_INT_X2Y18 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y18 FIRST_SITE_ID 13909 TILEPROP L_TERM_INT_X2Y18 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y18 GRID_POINT_Y 138 TILEPROP L_TERM_INT_X2Y18 INDEX 15872 TILEPROP L_TERM_INT_X2Y18 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y18 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y18 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y18 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y18 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y18 NAME L_TERM_INT_X2Y18 TILEPROP L_TERM_INT_X2Y18 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y18 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y18 ROW 138 TILEPROP L_TERM_INT_X2Y18 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y18 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y18 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y18 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y18 TILE_X -99306 TILEPROP L_TERM_INT_X2Y18 TILE_Y -185272 TILEPROP L_TERM_INT_X2Y18 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y19 CLASS tile TILEPROP L_TERM_INT_X2Y19 COLUMN 2 TILEPROP L_TERM_INT_X2Y19 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y19 FIRST_SITE_ID 13809 TILEPROP L_TERM_INT_X2Y19 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y19 GRID_POINT_Y 137 TILEPROP L_TERM_INT_X2Y19 INDEX 15757 TILEPROP L_TERM_INT_X2Y19 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y19 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y19 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y19 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y19 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y19 NAME L_TERM_INT_X2Y19 TILEPROP L_TERM_INT_X2Y19 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y19 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y19 ROW 137 TILEPROP L_TERM_INT_X2Y19 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y19 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y19 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y19 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y19 TILE_X -99306 TILEPROP L_TERM_INT_X2Y19 TILE_Y -182072 TILEPROP L_TERM_INT_X2Y19 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y20 CLASS tile TILEPROP L_TERM_INT_X2Y20 COLUMN 2 TILEPROP L_TERM_INT_X2Y20 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y20 FIRST_SITE_ID 13705 TILEPROP L_TERM_INT_X2Y20 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y20 GRID_POINT_Y 136 TILEPROP L_TERM_INT_X2Y20 INDEX 15642 TILEPROP L_TERM_INT_X2Y20 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y20 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y20 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y20 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y20 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y20 NAME L_TERM_INT_X2Y20 TILEPROP L_TERM_INT_X2Y20 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y20 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y20 ROW 136 TILEPROP L_TERM_INT_X2Y20 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y20 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y20 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y20 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y20 TILE_X -99306 TILEPROP L_TERM_INT_X2Y20 TILE_Y -178872 TILEPROP L_TERM_INT_X2Y20 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y21 CLASS tile TILEPROP L_TERM_INT_X2Y21 COLUMN 2 TILEPROP L_TERM_INT_X2Y21 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y21 FIRST_SITE_ID 13590 TILEPROP L_TERM_INT_X2Y21 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y21 GRID_POINT_Y 135 TILEPROP L_TERM_INT_X2Y21 INDEX 15527 TILEPROP L_TERM_INT_X2Y21 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y21 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y21 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y21 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y21 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y21 NAME L_TERM_INT_X2Y21 TILEPROP L_TERM_INT_X2Y21 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y21 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y21 ROW 135 TILEPROP L_TERM_INT_X2Y21 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y21 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y21 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y21 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y21 TILE_X -99306 TILEPROP L_TERM_INT_X2Y21 TILE_Y -175672 TILEPROP L_TERM_INT_X2Y21 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y22 CLASS tile TILEPROP L_TERM_INT_X2Y22 COLUMN 2 TILEPROP L_TERM_INT_X2Y22 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y22 FIRST_SITE_ID 13490 TILEPROP L_TERM_INT_X2Y22 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y22 GRID_POINT_Y 134 TILEPROP L_TERM_INT_X2Y22 INDEX 15412 TILEPROP L_TERM_INT_X2Y22 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y22 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y22 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y22 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y22 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y22 NAME L_TERM_INT_X2Y22 TILEPROP L_TERM_INT_X2Y22 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y22 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y22 ROW 134 TILEPROP L_TERM_INT_X2Y22 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y22 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y22 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y22 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y22 TILE_X -99306 TILEPROP L_TERM_INT_X2Y22 TILE_Y -172472 TILEPROP L_TERM_INT_X2Y22 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y23 CLASS tile TILEPROP L_TERM_INT_X2Y23 COLUMN 2 TILEPROP L_TERM_INT_X2Y23 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y23 FIRST_SITE_ID 13390 TILEPROP L_TERM_INT_X2Y23 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y23 GRID_POINT_Y 133 TILEPROP L_TERM_INT_X2Y23 INDEX 15297 TILEPROP L_TERM_INT_X2Y23 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y23 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y23 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y23 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y23 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y23 NAME L_TERM_INT_X2Y23 TILEPROP L_TERM_INT_X2Y23 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y23 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y23 ROW 133 TILEPROP L_TERM_INT_X2Y23 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y23 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y23 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y23 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y23 TILE_X -99306 TILEPROP L_TERM_INT_X2Y23 TILE_Y -169272 TILEPROP L_TERM_INT_X2Y23 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y24 CLASS tile TILEPROP L_TERM_INT_X2Y24 COLUMN 2 TILEPROP L_TERM_INT_X2Y24 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y24 FIRST_SITE_ID 13290 TILEPROP L_TERM_INT_X2Y24 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y24 GRID_POINT_Y 132 TILEPROP L_TERM_INT_X2Y24 INDEX 15182 TILEPROP L_TERM_INT_X2Y24 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y24 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y24 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y24 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y24 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y24 NAME L_TERM_INT_X2Y24 TILEPROP L_TERM_INT_X2Y24 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y24 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y24 ROW 132 TILEPROP L_TERM_INT_X2Y24 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y24 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y24 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y24 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y24 TILE_X -99306 TILEPROP L_TERM_INT_X2Y24 TILE_Y -166072 TILEPROP L_TERM_INT_X2Y24 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y25 CLASS tile TILEPROP L_TERM_INT_X2Y25 COLUMN 2 TILEPROP L_TERM_INT_X2Y25 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y25 FIRST_SITE_ID 13190 TILEPROP L_TERM_INT_X2Y25 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y25 GRID_POINT_Y 131 TILEPROP L_TERM_INT_X2Y25 INDEX 15067 TILEPROP L_TERM_INT_X2Y25 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y25 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y25 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y25 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y25 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y25 NAME L_TERM_INT_X2Y25 TILEPROP L_TERM_INT_X2Y25 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y25 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y25 ROW 131 TILEPROP L_TERM_INT_X2Y25 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y25 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y25 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y25 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y25 TILE_X -99306 TILEPROP L_TERM_INT_X2Y25 TILE_Y -162872 TILEPROP L_TERM_INT_X2Y25 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y27 CLASS tile TILEPROP L_TERM_INT_X2Y27 COLUMN 2 TILEPROP L_TERM_INT_X2Y27 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y27 FIRST_SITE_ID 12992 TILEPROP L_TERM_INT_X2Y27 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y27 GRID_POINT_Y 129 TILEPROP L_TERM_INT_X2Y27 INDEX 14837 TILEPROP L_TERM_INT_X2Y27 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y27 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y27 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y27 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y27 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y27 NAME L_TERM_INT_X2Y27 TILEPROP L_TERM_INT_X2Y27 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y27 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y27 ROW 129 TILEPROP L_TERM_INT_X2Y27 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y27 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y27 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y27 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y27 TILE_X -99306 TILEPROP L_TERM_INT_X2Y27 TILE_Y -158648 TILEPROP L_TERM_INT_X2Y27 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y28 CLASS tile TILEPROP L_TERM_INT_X2Y28 COLUMN 2 TILEPROP L_TERM_INT_X2Y28 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y28 FIRST_SITE_ID 12892 TILEPROP L_TERM_INT_X2Y28 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y28 GRID_POINT_Y 128 TILEPROP L_TERM_INT_X2Y28 INDEX 14722 TILEPROP L_TERM_INT_X2Y28 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y28 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y28 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y28 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y28 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y28 NAME L_TERM_INT_X2Y28 TILEPROP L_TERM_INT_X2Y28 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y28 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y28 ROW 128 TILEPROP L_TERM_INT_X2Y28 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y28 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y28 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y28 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y28 TILE_X -99306 TILEPROP L_TERM_INT_X2Y28 TILE_Y -155448 TILEPROP L_TERM_INT_X2Y28 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y29 CLASS tile TILEPROP L_TERM_INT_X2Y29 COLUMN 2 TILEPROP L_TERM_INT_X2Y29 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y29 FIRST_SITE_ID 12792 TILEPROP L_TERM_INT_X2Y29 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y29 GRID_POINT_Y 127 TILEPROP L_TERM_INT_X2Y29 INDEX 14607 TILEPROP L_TERM_INT_X2Y29 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y29 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y29 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y29 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y29 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y29 NAME L_TERM_INT_X2Y29 TILEPROP L_TERM_INT_X2Y29 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y29 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y29 ROW 127 TILEPROP L_TERM_INT_X2Y29 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y29 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y29 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y29 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y29 TILE_X -99306 TILEPROP L_TERM_INT_X2Y29 TILE_Y -152248 TILEPROP L_TERM_INT_X2Y29 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y30 CLASS tile TILEPROP L_TERM_INT_X2Y30 COLUMN 2 TILEPROP L_TERM_INT_X2Y30 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y30 FIRST_SITE_ID 12692 TILEPROP L_TERM_INT_X2Y30 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y30 GRID_POINT_Y 126 TILEPROP L_TERM_INT_X2Y30 INDEX 14492 TILEPROP L_TERM_INT_X2Y30 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y30 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y30 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y30 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y30 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y30 NAME L_TERM_INT_X2Y30 TILEPROP L_TERM_INT_X2Y30 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y30 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y30 ROW 126 TILEPROP L_TERM_INT_X2Y30 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y30 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y30 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y30 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y30 TILE_X -99306 TILEPROP L_TERM_INT_X2Y30 TILE_Y -149048 TILEPROP L_TERM_INT_X2Y30 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y31 CLASS tile TILEPROP L_TERM_INT_X2Y31 COLUMN 2 TILEPROP L_TERM_INT_X2Y31 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y31 FIRST_SITE_ID 12580 TILEPROP L_TERM_INT_X2Y31 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y31 GRID_POINT_Y 125 TILEPROP L_TERM_INT_X2Y31 INDEX 14377 TILEPROP L_TERM_INT_X2Y31 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y31 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y31 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y31 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y31 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y31 NAME L_TERM_INT_X2Y31 TILEPROP L_TERM_INT_X2Y31 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y31 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y31 ROW 125 TILEPROP L_TERM_INT_X2Y31 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y31 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y31 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y31 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y31 TILE_X -99306 TILEPROP L_TERM_INT_X2Y31 TILE_Y -145848 TILEPROP L_TERM_INT_X2Y31 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y32 CLASS tile TILEPROP L_TERM_INT_X2Y32 COLUMN 2 TILEPROP L_TERM_INT_X2Y32 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y32 FIRST_SITE_ID 12452 TILEPROP L_TERM_INT_X2Y32 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y32 GRID_POINT_Y 124 TILEPROP L_TERM_INT_X2Y32 INDEX 14262 TILEPROP L_TERM_INT_X2Y32 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y32 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y32 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y32 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y32 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y32 NAME L_TERM_INT_X2Y32 TILEPROP L_TERM_INT_X2Y32 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y32 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y32 ROW 124 TILEPROP L_TERM_INT_X2Y32 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y32 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y32 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y32 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y32 TILE_X -99306 TILEPROP L_TERM_INT_X2Y32 TILE_Y -142648 TILEPROP L_TERM_INT_X2Y32 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y33 CLASS tile TILEPROP L_TERM_INT_X2Y33 COLUMN 2 TILEPROP L_TERM_INT_X2Y33 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y33 FIRST_SITE_ID 12348 TILEPROP L_TERM_INT_X2Y33 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y33 GRID_POINT_Y 123 TILEPROP L_TERM_INT_X2Y33 INDEX 14147 TILEPROP L_TERM_INT_X2Y33 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y33 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y33 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y33 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y33 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y33 NAME L_TERM_INT_X2Y33 TILEPROP L_TERM_INT_X2Y33 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y33 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y33 ROW 123 TILEPROP L_TERM_INT_X2Y33 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y33 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y33 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y33 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y33 TILE_X -99306 TILEPROP L_TERM_INT_X2Y33 TILE_Y -139448 TILEPROP L_TERM_INT_X2Y33 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y34 CLASS tile TILEPROP L_TERM_INT_X2Y34 COLUMN 2 TILEPROP L_TERM_INT_X2Y34 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y34 FIRST_SITE_ID 12247 TILEPROP L_TERM_INT_X2Y34 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y34 GRID_POINT_Y 122 TILEPROP L_TERM_INT_X2Y34 INDEX 14032 TILEPROP L_TERM_INT_X2Y34 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y34 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y34 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y34 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y34 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y34 NAME L_TERM_INT_X2Y34 TILEPROP L_TERM_INT_X2Y34 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y34 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y34 ROW 122 TILEPROP L_TERM_INT_X2Y34 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y34 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y34 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y34 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y34 TILE_X -99306 TILEPROP L_TERM_INT_X2Y34 TILE_Y -136248 TILEPROP L_TERM_INT_X2Y34 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y35 CLASS tile TILEPROP L_TERM_INT_X2Y35 COLUMN 2 TILEPROP L_TERM_INT_X2Y35 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y35 FIRST_SITE_ID 12147 TILEPROP L_TERM_INT_X2Y35 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y35 GRID_POINT_Y 121 TILEPROP L_TERM_INT_X2Y35 INDEX 13917 TILEPROP L_TERM_INT_X2Y35 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y35 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y35 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y35 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y35 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y35 NAME L_TERM_INT_X2Y35 TILEPROP L_TERM_INT_X2Y35 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y35 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y35 ROW 121 TILEPROP L_TERM_INT_X2Y35 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y35 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y35 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y35 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y35 TILE_X -99306 TILEPROP L_TERM_INT_X2Y35 TILE_Y -133048 TILEPROP L_TERM_INT_X2Y35 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y36 CLASS tile TILEPROP L_TERM_INT_X2Y36 COLUMN 2 TILEPROP L_TERM_INT_X2Y36 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y36 FIRST_SITE_ID 12047 TILEPROP L_TERM_INT_X2Y36 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y36 GRID_POINT_Y 120 TILEPROP L_TERM_INT_X2Y36 INDEX 13802 TILEPROP L_TERM_INT_X2Y36 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y36 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y36 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y36 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y36 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y36 NAME L_TERM_INT_X2Y36 TILEPROP L_TERM_INT_X2Y36 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y36 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y36 ROW 120 TILEPROP L_TERM_INT_X2Y36 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y36 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y36 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y36 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y36 TILE_X -99306 TILEPROP L_TERM_INT_X2Y36 TILE_Y -129848 TILEPROP L_TERM_INT_X2Y36 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y37 CLASS tile TILEPROP L_TERM_INT_X2Y37 COLUMN 2 TILEPROP L_TERM_INT_X2Y37 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y37 FIRST_SITE_ID 11932 TILEPROP L_TERM_INT_X2Y37 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y37 GRID_POINT_Y 119 TILEPROP L_TERM_INT_X2Y37 INDEX 13687 TILEPROP L_TERM_INT_X2Y37 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y37 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y37 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y37 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y37 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y37 NAME L_TERM_INT_X2Y37 TILEPROP L_TERM_INT_X2Y37 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y37 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y37 ROW 119 TILEPROP L_TERM_INT_X2Y37 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y37 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y37 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y37 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y37 TILE_X -99306 TILEPROP L_TERM_INT_X2Y37 TILE_Y -126648 TILEPROP L_TERM_INT_X2Y37 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y38 CLASS tile TILEPROP L_TERM_INT_X2Y38 COLUMN 2 TILEPROP L_TERM_INT_X2Y38 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y38 FIRST_SITE_ID 11800 TILEPROP L_TERM_INT_X2Y38 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y38 GRID_POINT_Y 118 TILEPROP L_TERM_INT_X2Y38 INDEX 13572 TILEPROP L_TERM_INT_X2Y38 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y38 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y38 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y38 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y38 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y38 NAME L_TERM_INT_X2Y38 TILEPROP L_TERM_INT_X2Y38 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y38 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y38 ROW 118 TILEPROP L_TERM_INT_X2Y38 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y38 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y38 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y38 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y38 TILE_X -99306 TILEPROP L_TERM_INT_X2Y38 TILE_Y -123448 TILEPROP L_TERM_INT_X2Y38 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y39 CLASS tile TILEPROP L_TERM_INT_X2Y39 COLUMN 2 TILEPROP L_TERM_INT_X2Y39 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y39 FIRST_SITE_ID 11700 TILEPROP L_TERM_INT_X2Y39 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y39 GRID_POINT_Y 117 TILEPROP L_TERM_INT_X2Y39 INDEX 13457 TILEPROP L_TERM_INT_X2Y39 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y39 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y39 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y39 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y39 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y39 NAME L_TERM_INT_X2Y39 TILEPROP L_TERM_INT_X2Y39 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y39 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y39 ROW 117 TILEPROP L_TERM_INT_X2Y39 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y39 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y39 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y39 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y39 TILE_X -99306 TILEPROP L_TERM_INT_X2Y39 TILE_Y -120248 TILEPROP L_TERM_INT_X2Y39 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y40 CLASS tile TILEPROP L_TERM_INT_X2Y40 COLUMN 2 TILEPROP L_TERM_INT_X2Y40 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y40 FIRST_SITE_ID 11600 TILEPROP L_TERM_INT_X2Y40 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y40 GRID_POINT_Y 116 TILEPROP L_TERM_INT_X2Y40 INDEX 13342 TILEPROP L_TERM_INT_X2Y40 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y40 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y40 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y40 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y40 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y40 NAME L_TERM_INT_X2Y40 TILEPROP L_TERM_INT_X2Y40 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y40 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y40 ROW 116 TILEPROP L_TERM_INT_X2Y40 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y40 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y40 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y40 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y40 TILE_X -99306 TILEPROP L_TERM_INT_X2Y40 TILE_Y -117048 TILEPROP L_TERM_INT_X2Y40 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y41 CLASS tile TILEPROP L_TERM_INT_X2Y41 COLUMN 2 TILEPROP L_TERM_INT_X2Y41 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y41 FIRST_SITE_ID 11500 TILEPROP L_TERM_INT_X2Y41 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y41 GRID_POINT_Y 115 TILEPROP L_TERM_INT_X2Y41 INDEX 13227 TILEPROP L_TERM_INT_X2Y41 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y41 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y41 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y41 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y41 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y41 NAME L_TERM_INT_X2Y41 TILEPROP L_TERM_INT_X2Y41 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y41 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y41 ROW 115 TILEPROP L_TERM_INT_X2Y41 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y41 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y41 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y41 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y41 TILE_X -99306 TILEPROP L_TERM_INT_X2Y41 TILE_Y -113848 TILEPROP L_TERM_INT_X2Y41 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y42 CLASS tile TILEPROP L_TERM_INT_X2Y42 COLUMN 2 TILEPROP L_TERM_INT_X2Y42 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y42 FIRST_SITE_ID 11383 TILEPROP L_TERM_INT_X2Y42 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y42 GRID_POINT_Y 114 TILEPROP L_TERM_INT_X2Y42 INDEX 13112 TILEPROP L_TERM_INT_X2Y42 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y42 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y42 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y42 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y42 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y42 NAME L_TERM_INT_X2Y42 TILEPROP L_TERM_INT_X2Y42 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y42 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y42 ROW 114 TILEPROP L_TERM_INT_X2Y42 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y42 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y42 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y42 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y42 TILE_X -99306 TILEPROP L_TERM_INT_X2Y42 TILE_Y -110648 TILEPROP L_TERM_INT_X2Y42 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y43 CLASS tile TILEPROP L_TERM_INT_X2Y43 COLUMN 2 TILEPROP L_TERM_INT_X2Y43 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y43 FIRST_SITE_ID 11282 TILEPROP L_TERM_INT_X2Y43 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y43 GRID_POINT_Y 113 TILEPROP L_TERM_INT_X2Y43 INDEX 12997 TILEPROP L_TERM_INT_X2Y43 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y43 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y43 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y43 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y43 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y43 NAME L_TERM_INT_X2Y43 TILEPROP L_TERM_INT_X2Y43 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y43 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y43 ROW 113 TILEPROP L_TERM_INT_X2Y43 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y43 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y43 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y43 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y43 TILE_X -99306 TILEPROP L_TERM_INT_X2Y43 TILE_Y -107448 TILEPROP L_TERM_INT_X2Y43 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y44 CLASS tile TILEPROP L_TERM_INT_X2Y44 COLUMN 2 TILEPROP L_TERM_INT_X2Y44 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y44 FIRST_SITE_ID 11180 TILEPROP L_TERM_INT_X2Y44 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y44 GRID_POINT_Y 112 TILEPROP L_TERM_INT_X2Y44 INDEX 12882 TILEPROP L_TERM_INT_X2Y44 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y44 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y44 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y44 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y44 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y44 NAME L_TERM_INT_X2Y44 TILEPROP L_TERM_INT_X2Y44 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y44 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y44 ROW 112 TILEPROP L_TERM_INT_X2Y44 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y44 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y44 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y44 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y44 TILE_X -99306 TILEPROP L_TERM_INT_X2Y44 TILE_Y -104248 TILEPROP L_TERM_INT_X2Y44 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y45 CLASS tile TILEPROP L_TERM_INT_X2Y45 COLUMN 2 TILEPROP L_TERM_INT_X2Y45 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y45 FIRST_SITE_ID 11076 TILEPROP L_TERM_INT_X2Y45 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y45 GRID_POINT_Y 111 TILEPROP L_TERM_INT_X2Y45 INDEX 12767 TILEPROP L_TERM_INT_X2Y45 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y45 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y45 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y45 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y45 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y45 NAME L_TERM_INT_X2Y45 TILEPROP L_TERM_INT_X2Y45 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y45 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y45 ROW 111 TILEPROP L_TERM_INT_X2Y45 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y45 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y45 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y45 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y45 TILE_X -99306 TILEPROP L_TERM_INT_X2Y45 TILE_Y -101048 TILEPROP L_TERM_INT_X2Y45 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y46 CLASS tile TILEPROP L_TERM_INT_X2Y46 COLUMN 2 TILEPROP L_TERM_INT_X2Y46 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y46 FIRST_SITE_ID 10976 TILEPROP L_TERM_INT_X2Y46 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y46 GRID_POINT_Y 110 TILEPROP L_TERM_INT_X2Y46 INDEX 12652 TILEPROP L_TERM_INT_X2Y46 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y46 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y46 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y46 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y46 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y46 NAME L_TERM_INT_X2Y46 TILEPROP L_TERM_INT_X2Y46 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y46 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y46 ROW 110 TILEPROP L_TERM_INT_X2Y46 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y46 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y46 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y46 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y46 TILE_X -99306 TILEPROP L_TERM_INT_X2Y46 TILE_Y -97848 TILEPROP L_TERM_INT_X2Y46 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y47 CLASS tile TILEPROP L_TERM_INT_X2Y47 COLUMN 2 TILEPROP L_TERM_INT_X2Y47 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y47 FIRST_SITE_ID 10860 TILEPROP L_TERM_INT_X2Y47 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y47 GRID_POINT_Y 109 TILEPROP L_TERM_INT_X2Y47 INDEX 12537 TILEPROP L_TERM_INT_X2Y47 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y47 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y47 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y47 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y47 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y47 NAME L_TERM_INT_X2Y47 TILEPROP L_TERM_INT_X2Y47 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y47 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y47 ROW 109 TILEPROP L_TERM_INT_X2Y47 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y47 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y47 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y47 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y47 TILE_X -99306 TILEPROP L_TERM_INT_X2Y47 TILE_Y -94648 TILEPROP L_TERM_INT_X2Y47 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y48 CLASS tile TILEPROP L_TERM_INT_X2Y48 COLUMN 2 TILEPROP L_TERM_INT_X2Y48 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y48 FIRST_SITE_ID 10744 TILEPROP L_TERM_INT_X2Y48 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y48 GRID_POINT_Y 108 TILEPROP L_TERM_INT_X2Y48 INDEX 12422 TILEPROP L_TERM_INT_X2Y48 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y48 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y48 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y48 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y48 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y48 NAME L_TERM_INT_X2Y48 TILEPROP L_TERM_INT_X2Y48 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y48 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y48 ROW 108 TILEPROP L_TERM_INT_X2Y48 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y48 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y48 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y48 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y48 TILE_X -99306 TILEPROP L_TERM_INT_X2Y48 TILE_Y -91448 TILEPROP L_TERM_INT_X2Y48 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y49 CLASS tile TILEPROP L_TERM_INT_X2Y49 COLUMN 2 TILEPROP L_TERM_INT_X2Y49 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y49 FIRST_SITE_ID 10644 TILEPROP L_TERM_INT_X2Y49 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y49 GRID_POINT_Y 107 TILEPROP L_TERM_INT_X2Y49 INDEX 12307 TILEPROP L_TERM_INT_X2Y49 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y49 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y49 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y49 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y49 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y49 NAME L_TERM_INT_X2Y49 TILEPROP L_TERM_INT_X2Y49 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y49 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y49 ROW 107 TILEPROP L_TERM_INT_X2Y49 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y49 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y49 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y49 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y49 TILE_X -99306 TILEPROP L_TERM_INT_X2Y49 TILE_Y -88248 TILEPROP L_TERM_INT_X2Y49 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y50 CLASS tile TILEPROP L_TERM_INT_X2Y50 COLUMN 2 TILEPROP L_TERM_INT_X2Y50 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y50 FIRST_SITE_ID 10544 TILEPROP L_TERM_INT_X2Y50 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y50 GRID_POINT_Y 106 TILEPROP L_TERM_INT_X2Y50 INDEX 12192 TILEPROP L_TERM_INT_X2Y50 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y50 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y50 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y50 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y50 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y50 NAME L_TERM_INT_X2Y50 TILEPROP L_TERM_INT_X2Y50 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y50 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y50 ROW 106 TILEPROP L_TERM_INT_X2Y50 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y50 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y50 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y50 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y50 TILE_X -99306 TILEPROP L_TERM_INT_X2Y50 TILE_Y -85048 TILEPROP L_TERM_INT_X2Y50 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y51 CLASS tile TILEPROP L_TERM_INT_X2Y51 COLUMN 2 TILEPROP L_TERM_INT_X2Y51 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y51 FIRST_SITE_ID 10448 TILEPROP L_TERM_INT_X2Y51 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y51 GRID_POINT_Y 105 TILEPROP L_TERM_INT_X2Y51 INDEX 12077 TILEPROP L_TERM_INT_X2Y51 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y51 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y51 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y51 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y51 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y51 NAME L_TERM_INT_X2Y51 TILEPROP L_TERM_INT_X2Y51 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y51 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y51 ROW 105 TILEPROP L_TERM_INT_X2Y51 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y51 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y51 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y51 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y51 TILE_X -99306 TILEPROP L_TERM_INT_X2Y51 TILE_Y -81848 TILEPROP L_TERM_INT_X2Y51 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y53 CLASS tile TILEPROP L_TERM_INT_X2Y53 COLUMN 2 TILEPROP L_TERM_INT_X2Y53 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y53 FIRST_SITE_ID 10317 TILEPROP L_TERM_INT_X2Y53 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y53 GRID_POINT_Y 103 TILEPROP L_TERM_INT_X2Y53 INDEX 11847 TILEPROP L_TERM_INT_X2Y53 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y53 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y53 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y53 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y53 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y53 NAME L_TERM_INT_X2Y53 TILEPROP L_TERM_INT_X2Y53 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y53 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y53 ROW 103 TILEPROP L_TERM_INT_X2Y53 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y53 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y53 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y53 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y53 TILE_X -99306 TILEPROP L_TERM_INT_X2Y53 TILE_Y -78400 TILEPROP L_TERM_INT_X2Y53 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y54 CLASS tile TILEPROP L_TERM_INT_X2Y54 COLUMN 2 TILEPROP L_TERM_INT_X2Y54 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y54 FIRST_SITE_ID 10213 TILEPROP L_TERM_INT_X2Y54 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y54 GRID_POINT_Y 102 TILEPROP L_TERM_INT_X2Y54 INDEX 11732 TILEPROP L_TERM_INT_X2Y54 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y54 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y54 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y54 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y54 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y54 NAME L_TERM_INT_X2Y54 TILEPROP L_TERM_INT_X2Y54 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y54 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y54 ROW 102 TILEPROP L_TERM_INT_X2Y54 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y54 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y54 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y54 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y54 TILE_X -99306 TILEPROP L_TERM_INT_X2Y54 TILE_Y -75200 TILEPROP L_TERM_INT_X2Y54 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y55 CLASS tile TILEPROP L_TERM_INT_X2Y55 COLUMN 2 TILEPROP L_TERM_INT_X2Y55 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y55 FIRST_SITE_ID 10113 TILEPROP L_TERM_INT_X2Y55 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y55 GRID_POINT_Y 101 TILEPROP L_TERM_INT_X2Y55 INDEX 11617 TILEPROP L_TERM_INT_X2Y55 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y55 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y55 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y55 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y55 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y55 NAME L_TERM_INT_X2Y55 TILEPROP L_TERM_INT_X2Y55 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y55 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y55 ROW 101 TILEPROP L_TERM_INT_X2Y55 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y55 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y55 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y55 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y55 TILE_X -99306 TILEPROP L_TERM_INT_X2Y55 TILE_Y -72000 TILEPROP L_TERM_INT_X2Y55 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y56 CLASS tile TILEPROP L_TERM_INT_X2Y56 COLUMN 2 TILEPROP L_TERM_INT_X2Y56 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y56 FIRST_SITE_ID 10013 TILEPROP L_TERM_INT_X2Y56 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y56 GRID_POINT_Y 100 TILEPROP L_TERM_INT_X2Y56 INDEX 11502 TILEPROP L_TERM_INT_X2Y56 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y56 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y56 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y56 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y56 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y56 NAME L_TERM_INT_X2Y56 TILEPROP L_TERM_INT_X2Y56 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y56 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y56 ROW 100 TILEPROP L_TERM_INT_X2Y56 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y56 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y56 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y56 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y56 TILE_X -99306 TILEPROP L_TERM_INT_X2Y56 TILE_Y -68800 TILEPROP L_TERM_INT_X2Y56 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y57 CLASS tile TILEPROP L_TERM_INT_X2Y57 COLUMN 2 TILEPROP L_TERM_INT_X2Y57 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y57 FIRST_SITE_ID 9913 TILEPROP L_TERM_INT_X2Y57 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y57 GRID_POINT_Y 99 TILEPROP L_TERM_INT_X2Y57 INDEX 11387 TILEPROP L_TERM_INT_X2Y57 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y57 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y57 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y57 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y57 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y57 NAME L_TERM_INT_X2Y57 TILEPROP L_TERM_INT_X2Y57 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y57 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y57 ROW 99 TILEPROP L_TERM_INT_X2Y57 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y57 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y57 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y57 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y57 TILE_X -99306 TILEPROP L_TERM_INT_X2Y57 TILE_Y -65600 TILEPROP L_TERM_INT_X2Y57 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y58 CLASS tile TILEPROP L_TERM_INT_X2Y58 COLUMN 2 TILEPROP L_TERM_INT_X2Y58 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y58 FIRST_SITE_ID 9798 TILEPROP L_TERM_INT_X2Y58 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y58 GRID_POINT_Y 98 TILEPROP L_TERM_INT_X2Y58 INDEX 11272 TILEPROP L_TERM_INT_X2Y58 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y58 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y58 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y58 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y58 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y58 NAME L_TERM_INT_X2Y58 TILEPROP L_TERM_INT_X2Y58 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y58 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y58 ROW 98 TILEPROP L_TERM_INT_X2Y58 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y58 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y58 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y58 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y58 TILE_X -99306 TILEPROP L_TERM_INT_X2Y58 TILE_Y -62400 TILEPROP L_TERM_INT_X2Y58 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y59 CLASS tile TILEPROP L_TERM_INT_X2Y59 COLUMN 2 TILEPROP L_TERM_INT_X2Y59 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y59 FIRST_SITE_ID 9698 TILEPROP L_TERM_INT_X2Y59 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y59 GRID_POINT_Y 97 TILEPROP L_TERM_INT_X2Y59 INDEX 11157 TILEPROP L_TERM_INT_X2Y59 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y59 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y59 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y59 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y59 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y59 NAME L_TERM_INT_X2Y59 TILEPROP L_TERM_INT_X2Y59 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y59 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y59 ROW 97 TILEPROP L_TERM_INT_X2Y59 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y59 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y59 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y59 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y59 TILE_X -99306 TILEPROP L_TERM_INT_X2Y59 TILE_Y -59200 TILEPROP L_TERM_INT_X2Y59 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y60 CLASS tile TILEPROP L_TERM_INT_X2Y60 COLUMN 2 TILEPROP L_TERM_INT_X2Y60 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y60 FIRST_SITE_ID 9594 TILEPROP L_TERM_INT_X2Y60 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y60 GRID_POINT_Y 96 TILEPROP L_TERM_INT_X2Y60 INDEX 11042 TILEPROP L_TERM_INT_X2Y60 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y60 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y60 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y60 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y60 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y60 NAME L_TERM_INT_X2Y60 TILEPROP L_TERM_INT_X2Y60 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y60 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y60 ROW 96 TILEPROP L_TERM_INT_X2Y60 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y60 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y60 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y60 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y60 TILE_X -99306 TILEPROP L_TERM_INT_X2Y60 TILE_Y -56000 TILEPROP L_TERM_INT_X2Y60 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y61 CLASS tile TILEPROP L_TERM_INT_X2Y61 COLUMN 2 TILEPROP L_TERM_INT_X2Y61 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y61 FIRST_SITE_ID 9492 TILEPROP L_TERM_INT_X2Y61 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y61 GRID_POINT_Y 95 TILEPROP L_TERM_INT_X2Y61 INDEX 10927 TILEPROP L_TERM_INT_X2Y61 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y61 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y61 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y61 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y61 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y61 NAME L_TERM_INT_X2Y61 TILEPROP L_TERM_INT_X2Y61 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y61 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y61 ROW 95 TILEPROP L_TERM_INT_X2Y61 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y61 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y61 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y61 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y61 TILE_X -99306 TILEPROP L_TERM_INT_X2Y61 TILE_Y -52800 TILEPROP L_TERM_INT_X2Y61 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y62 CLASS tile TILEPROP L_TERM_INT_X2Y62 COLUMN 2 TILEPROP L_TERM_INT_X2Y62 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y62 FIRST_SITE_ID 9392 TILEPROP L_TERM_INT_X2Y62 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y62 GRID_POINT_Y 94 TILEPROP L_TERM_INT_X2Y62 INDEX 10812 TILEPROP L_TERM_INT_X2Y62 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y62 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y62 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y62 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y62 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y62 NAME L_TERM_INT_X2Y62 TILEPROP L_TERM_INT_X2Y62 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y62 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y62 ROW 94 TILEPROP L_TERM_INT_X2Y62 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y62 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y62 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y62 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y62 TILE_X -99306 TILEPROP L_TERM_INT_X2Y62 TILE_Y -49600 TILEPROP L_TERM_INT_X2Y62 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y63 CLASS tile TILEPROP L_TERM_INT_X2Y63 COLUMN 2 TILEPROP L_TERM_INT_X2Y63 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y63 FIRST_SITE_ID 9277 TILEPROP L_TERM_INT_X2Y63 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y63 GRID_POINT_Y 93 TILEPROP L_TERM_INT_X2Y63 INDEX 10697 TILEPROP L_TERM_INT_X2Y63 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y63 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y63 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y63 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y63 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y63 NAME L_TERM_INT_X2Y63 TILEPROP L_TERM_INT_X2Y63 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y63 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y63 ROW 93 TILEPROP L_TERM_INT_X2Y63 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y63 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y63 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y63 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y63 TILE_X -99306 TILEPROP L_TERM_INT_X2Y63 TILE_Y -46400 TILEPROP L_TERM_INT_X2Y63 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y64 CLASS tile TILEPROP L_TERM_INT_X2Y64 COLUMN 2 TILEPROP L_TERM_INT_X2Y64 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y64 FIRST_SITE_ID 9177 TILEPROP L_TERM_INT_X2Y64 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y64 GRID_POINT_Y 92 TILEPROP L_TERM_INT_X2Y64 INDEX 10582 TILEPROP L_TERM_INT_X2Y64 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y64 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y64 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y64 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y64 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y64 NAME L_TERM_INT_X2Y64 TILEPROP L_TERM_INT_X2Y64 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y64 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y64 ROW 92 TILEPROP L_TERM_INT_X2Y64 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y64 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y64 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y64 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y64 TILE_X -99306 TILEPROP L_TERM_INT_X2Y64 TILE_Y -43200 TILEPROP L_TERM_INT_X2Y64 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y65 CLASS tile TILEPROP L_TERM_INT_X2Y65 COLUMN 2 TILEPROP L_TERM_INT_X2Y65 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y65 FIRST_SITE_ID 9045 TILEPROP L_TERM_INT_X2Y65 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y65 GRID_POINT_Y 91 TILEPROP L_TERM_INT_X2Y65 INDEX 10467 TILEPROP L_TERM_INT_X2Y65 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y65 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y65 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y65 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y65 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y65 NAME L_TERM_INT_X2Y65 TILEPROP L_TERM_INT_X2Y65 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y65 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y65 ROW 91 TILEPROP L_TERM_INT_X2Y65 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y65 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y65 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y65 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y65 TILE_X -99306 TILEPROP L_TERM_INT_X2Y65 TILE_Y -40000 TILEPROP L_TERM_INT_X2Y65 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y66 CLASS tile TILEPROP L_TERM_INT_X2Y66 COLUMN 2 TILEPROP L_TERM_INT_X2Y66 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y66 FIRST_SITE_ID 8945 TILEPROP L_TERM_INT_X2Y66 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y66 GRID_POINT_Y 90 TILEPROP L_TERM_INT_X2Y66 INDEX 10352 TILEPROP L_TERM_INT_X2Y66 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y66 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y66 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y66 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y66 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y66 NAME L_TERM_INT_X2Y66 TILEPROP L_TERM_INT_X2Y66 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y66 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y66 ROW 90 TILEPROP L_TERM_INT_X2Y66 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y66 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y66 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y66 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y66 TILE_X -99306 TILEPROP L_TERM_INT_X2Y66 TILE_Y -36800 TILEPROP L_TERM_INT_X2Y66 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y67 CLASS tile TILEPROP L_TERM_INT_X2Y67 COLUMN 2 TILEPROP L_TERM_INT_X2Y67 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y67 FIRST_SITE_ID 8845 TILEPROP L_TERM_INT_X2Y67 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y67 GRID_POINT_Y 89 TILEPROP L_TERM_INT_X2Y67 INDEX 10237 TILEPROP L_TERM_INT_X2Y67 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y67 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y67 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y67 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y67 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y67 NAME L_TERM_INT_X2Y67 TILEPROP L_TERM_INT_X2Y67 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y67 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y67 ROW 89 TILEPROP L_TERM_INT_X2Y67 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y67 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y67 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y67 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y67 TILE_X -99306 TILEPROP L_TERM_INT_X2Y67 TILE_Y -33600 TILEPROP L_TERM_INT_X2Y67 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y68 CLASS tile TILEPROP L_TERM_INT_X2Y68 COLUMN 2 TILEPROP L_TERM_INT_X2Y68 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y68 FIRST_SITE_ID 8730 TILEPROP L_TERM_INT_X2Y68 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y68 GRID_POINT_Y 88 TILEPROP L_TERM_INT_X2Y68 INDEX 10122 TILEPROP L_TERM_INT_X2Y68 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y68 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y68 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y68 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y68 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y68 NAME L_TERM_INT_X2Y68 TILEPROP L_TERM_INT_X2Y68 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y68 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y68 ROW 88 TILEPROP L_TERM_INT_X2Y68 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y68 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y68 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y68 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y68 TILE_X -99306 TILEPROP L_TERM_INT_X2Y68 TILE_Y -30400 TILEPROP L_TERM_INT_X2Y68 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y69 CLASS tile TILEPROP L_TERM_INT_X2Y69 COLUMN 2 TILEPROP L_TERM_INT_X2Y69 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y69 FIRST_SITE_ID 8630 TILEPROP L_TERM_INT_X2Y69 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y69 GRID_POINT_Y 87 TILEPROP L_TERM_INT_X2Y69 INDEX 10007 TILEPROP L_TERM_INT_X2Y69 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y69 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y69 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y69 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y69 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y69 NAME L_TERM_INT_X2Y69 TILEPROP L_TERM_INT_X2Y69 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y69 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y69 ROW 87 TILEPROP L_TERM_INT_X2Y69 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y69 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y69 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y69 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y69 TILE_X -99306 TILEPROP L_TERM_INT_X2Y69 TILE_Y -27200 TILEPROP L_TERM_INT_X2Y69 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y70 CLASS tile TILEPROP L_TERM_INT_X2Y70 COLUMN 2 TILEPROP L_TERM_INT_X2Y70 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y70 FIRST_SITE_ID 8522 TILEPROP L_TERM_INT_X2Y70 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y70 GRID_POINT_Y 86 TILEPROP L_TERM_INT_X2Y70 INDEX 9892 TILEPROP L_TERM_INT_X2Y70 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y70 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y70 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y70 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y70 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y70 NAME L_TERM_INT_X2Y70 TILEPROP L_TERM_INT_X2Y70 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y70 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y70 ROW 86 TILEPROP L_TERM_INT_X2Y70 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y70 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y70 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y70 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y70 TILE_X -99306 TILEPROP L_TERM_INT_X2Y70 TILE_Y -24000 TILEPROP L_TERM_INT_X2Y70 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y71 CLASS tile TILEPROP L_TERM_INT_X2Y71 COLUMN 2 TILEPROP L_TERM_INT_X2Y71 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y71 FIRST_SITE_ID 8422 TILEPROP L_TERM_INT_X2Y71 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y71 GRID_POINT_Y 85 TILEPROP L_TERM_INT_X2Y71 INDEX 9777 TILEPROP L_TERM_INT_X2Y71 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y71 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y71 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y71 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y71 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y71 NAME L_TERM_INT_X2Y71 TILEPROP L_TERM_INT_X2Y71 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y71 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y71 ROW 85 TILEPROP L_TERM_INT_X2Y71 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y71 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y71 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y71 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y71 TILE_X -99306 TILEPROP L_TERM_INT_X2Y71 TILE_Y -20800 TILEPROP L_TERM_INT_X2Y71 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y72 CLASS tile TILEPROP L_TERM_INT_X2Y72 COLUMN 2 TILEPROP L_TERM_INT_X2Y72 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y72 FIRST_SITE_ID 8318 TILEPROP L_TERM_INT_X2Y72 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y72 GRID_POINT_Y 84 TILEPROP L_TERM_INT_X2Y72 INDEX 9662 TILEPROP L_TERM_INT_X2Y72 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y72 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y72 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y72 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y72 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y72 NAME L_TERM_INT_X2Y72 TILEPROP L_TERM_INT_X2Y72 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y72 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y72 ROW 84 TILEPROP L_TERM_INT_X2Y72 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y72 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y72 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y72 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y72 TILE_X -99306 TILEPROP L_TERM_INT_X2Y72 TILE_Y -17600 TILEPROP L_TERM_INT_X2Y72 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y73 CLASS tile TILEPROP L_TERM_INT_X2Y73 COLUMN 2 TILEPROP L_TERM_INT_X2Y73 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y73 FIRST_SITE_ID 8203 TILEPROP L_TERM_INT_X2Y73 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y73 GRID_POINT_Y 83 TILEPROP L_TERM_INT_X2Y73 INDEX 9547 TILEPROP L_TERM_INT_X2Y73 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y73 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y73 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y73 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y73 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y73 NAME L_TERM_INT_X2Y73 TILEPROP L_TERM_INT_X2Y73 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y73 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y73 ROW 83 TILEPROP L_TERM_INT_X2Y73 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y73 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y73 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y73 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y73 TILE_X -99306 TILEPROP L_TERM_INT_X2Y73 TILE_Y -14400 TILEPROP L_TERM_INT_X2Y73 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y74 CLASS tile TILEPROP L_TERM_INT_X2Y74 COLUMN 2 TILEPROP L_TERM_INT_X2Y74 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y74 FIRST_SITE_ID 8103 TILEPROP L_TERM_INT_X2Y74 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y74 GRID_POINT_Y 82 TILEPROP L_TERM_INT_X2Y74 INDEX 9432 TILEPROP L_TERM_INT_X2Y74 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y74 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y74 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y74 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y74 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y74 NAME L_TERM_INT_X2Y74 TILEPROP L_TERM_INT_X2Y74 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y74 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y74 ROW 82 TILEPROP L_TERM_INT_X2Y74 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y74 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y74 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y74 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y74 TILE_X -99306 TILEPROP L_TERM_INT_X2Y74 TILE_Y -11200 TILEPROP L_TERM_INT_X2Y74 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y75 CLASS tile TILEPROP L_TERM_INT_X2Y75 COLUMN 2 TILEPROP L_TERM_INT_X2Y75 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y75 FIRST_SITE_ID 8003 TILEPROP L_TERM_INT_X2Y75 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y75 GRID_POINT_Y 81 TILEPROP L_TERM_INT_X2Y75 INDEX 9317 TILEPROP L_TERM_INT_X2Y75 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y75 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y75 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y75 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y75 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y75 NAME L_TERM_INT_X2Y75 TILEPROP L_TERM_INT_X2Y75 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y75 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y75 ROW 81 TILEPROP L_TERM_INT_X2Y75 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y75 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y75 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y75 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y75 TILE_X -99306 TILEPROP L_TERM_INT_X2Y75 TILE_Y -8000 TILEPROP L_TERM_INT_X2Y75 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y76 CLASS tile TILEPROP L_TERM_INT_X2Y76 COLUMN 2 TILEPROP L_TERM_INT_X2Y76 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y76 FIRST_SITE_ID 7903 TILEPROP L_TERM_INT_X2Y76 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y76 GRID_POINT_Y 80 TILEPROP L_TERM_INT_X2Y76 INDEX 9202 TILEPROP L_TERM_INT_X2Y76 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y76 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y76 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y76 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y76 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y76 NAME L_TERM_INT_X2Y76 TILEPROP L_TERM_INT_X2Y76 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y76 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y76 ROW 80 TILEPROP L_TERM_INT_X2Y76 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y76 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y76 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y76 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y76 TILE_X -99306 TILEPROP L_TERM_INT_X2Y76 TILE_Y -4800 TILEPROP L_TERM_INT_X2Y76 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y77 CLASS tile TILEPROP L_TERM_INT_X2Y77 COLUMN 2 TILEPROP L_TERM_INT_X2Y77 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y77 FIRST_SITE_ID 7803 TILEPROP L_TERM_INT_X2Y77 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y77 GRID_POINT_Y 79 TILEPROP L_TERM_INT_X2Y77 INDEX 9087 TILEPROP L_TERM_INT_X2Y77 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y77 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y77 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y77 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y77 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y77 NAME L_TERM_INT_X2Y77 TILEPROP L_TERM_INT_X2Y77 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y77 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y77 ROW 79 TILEPROP L_TERM_INT_X2Y77 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y77 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y77 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y77 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y77 TILE_X -99306 TILEPROP L_TERM_INT_X2Y77 TILE_Y -1600 TILEPROP L_TERM_INT_X2Y77 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y79 CLASS tile TILEPROP L_TERM_INT_X2Y79 COLUMN 2 TILEPROP L_TERM_INT_X2Y79 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y79 FIRST_SITE_ID 7602 TILEPROP L_TERM_INT_X2Y79 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y79 GRID_POINT_Y 77 TILEPROP L_TERM_INT_X2Y79 INDEX 8857 TILEPROP L_TERM_INT_X2Y79 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y79 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y79 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y79 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y79 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y79 NAME L_TERM_INT_X2Y79 TILEPROP L_TERM_INT_X2Y79 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y79 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y79 ROW 77 TILEPROP L_TERM_INT_X2Y79 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y79 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y79 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y79 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y79 TILE_X -99306 TILEPROP L_TERM_INT_X2Y79 TILE_Y 2624 TILEPROP L_TERM_INT_X2Y79 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y80 CLASS tile TILEPROP L_TERM_INT_X2Y80 COLUMN 2 TILEPROP L_TERM_INT_X2Y80 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y80 FIRST_SITE_ID 7502 TILEPROP L_TERM_INT_X2Y80 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y80 GRID_POINT_Y 76 TILEPROP L_TERM_INT_X2Y80 INDEX 8742 TILEPROP L_TERM_INT_X2Y80 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y80 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y80 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y80 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y80 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y80 NAME L_TERM_INT_X2Y80 TILEPROP L_TERM_INT_X2Y80 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y80 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y80 ROW 76 TILEPROP L_TERM_INT_X2Y80 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y80 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y80 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y80 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y80 TILE_X -99306 TILEPROP L_TERM_INT_X2Y80 TILE_Y 5824 TILEPROP L_TERM_INT_X2Y80 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y81 CLASS tile TILEPROP L_TERM_INT_X2Y81 COLUMN 2 TILEPROP L_TERM_INT_X2Y81 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y81 FIRST_SITE_ID 7402 TILEPROP L_TERM_INT_X2Y81 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y81 GRID_POINT_Y 75 TILEPROP L_TERM_INT_X2Y81 INDEX 8627 TILEPROP L_TERM_INT_X2Y81 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y81 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y81 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y81 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y81 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y81 NAME L_TERM_INT_X2Y81 TILEPROP L_TERM_INT_X2Y81 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y81 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y81 ROW 75 TILEPROP L_TERM_INT_X2Y81 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y81 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y81 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y81 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y81 TILE_X -99306 TILEPROP L_TERM_INT_X2Y81 TILE_Y 9024 TILEPROP L_TERM_INT_X2Y81 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y82 CLASS tile TILEPROP L_TERM_INT_X2Y82 COLUMN 2 TILEPROP L_TERM_INT_X2Y82 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y82 FIRST_SITE_ID 7302 TILEPROP L_TERM_INT_X2Y82 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y82 GRID_POINT_Y 74 TILEPROP L_TERM_INT_X2Y82 INDEX 8512 TILEPROP L_TERM_INT_X2Y82 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y82 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y82 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y82 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y82 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y82 NAME L_TERM_INT_X2Y82 TILEPROP L_TERM_INT_X2Y82 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y82 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y82 ROW 74 TILEPROP L_TERM_INT_X2Y82 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y82 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y82 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y82 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y82 TILE_X -99306 TILEPROP L_TERM_INT_X2Y82 TILE_Y 12224 TILEPROP L_TERM_INT_X2Y82 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y83 CLASS tile TILEPROP L_TERM_INT_X2Y83 COLUMN 2 TILEPROP L_TERM_INT_X2Y83 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y83 FIRST_SITE_ID 7190 TILEPROP L_TERM_INT_X2Y83 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y83 GRID_POINT_Y 73 TILEPROP L_TERM_INT_X2Y83 INDEX 8397 TILEPROP L_TERM_INT_X2Y83 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y83 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y83 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y83 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y83 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y83 NAME L_TERM_INT_X2Y83 TILEPROP L_TERM_INT_X2Y83 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y83 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y83 ROW 73 TILEPROP L_TERM_INT_X2Y83 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y83 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y83 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y83 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y83 TILE_X -99306 TILEPROP L_TERM_INT_X2Y83 TILE_Y 15424 TILEPROP L_TERM_INT_X2Y83 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y84 CLASS tile TILEPROP L_TERM_INT_X2Y84 COLUMN 2 TILEPROP L_TERM_INT_X2Y84 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y84 FIRST_SITE_ID 7075 TILEPROP L_TERM_INT_X2Y84 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y84 GRID_POINT_Y 72 TILEPROP L_TERM_INT_X2Y84 INDEX 8282 TILEPROP L_TERM_INT_X2Y84 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y84 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y84 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y84 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y84 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y84 NAME L_TERM_INT_X2Y84 TILEPROP L_TERM_INT_X2Y84 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y84 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y84 ROW 72 TILEPROP L_TERM_INT_X2Y84 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y84 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y84 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y84 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y84 TILE_X -99306 TILEPROP L_TERM_INT_X2Y84 TILE_Y 18624 TILEPROP L_TERM_INT_X2Y84 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y85 CLASS tile TILEPROP L_TERM_INT_X2Y85 COLUMN 2 TILEPROP L_TERM_INT_X2Y85 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y85 FIRST_SITE_ID 6971 TILEPROP L_TERM_INT_X2Y85 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y85 GRID_POINT_Y 71 TILEPROP L_TERM_INT_X2Y85 INDEX 8167 TILEPROP L_TERM_INT_X2Y85 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y85 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y85 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y85 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y85 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y85 NAME L_TERM_INT_X2Y85 TILEPROP L_TERM_INT_X2Y85 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y85 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y85 ROW 71 TILEPROP L_TERM_INT_X2Y85 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y85 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y85 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y85 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y85 TILE_X -99306 TILEPROP L_TERM_INT_X2Y85 TILE_Y 21824 TILEPROP L_TERM_INT_X2Y85 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y86 CLASS tile TILEPROP L_TERM_INT_X2Y86 COLUMN 2 TILEPROP L_TERM_INT_X2Y86 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y86 FIRST_SITE_ID 6871 TILEPROP L_TERM_INT_X2Y86 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y86 GRID_POINT_Y 70 TILEPROP L_TERM_INT_X2Y86 INDEX 8052 TILEPROP L_TERM_INT_X2Y86 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y86 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y86 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y86 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y86 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y86 NAME L_TERM_INT_X2Y86 TILEPROP L_TERM_INT_X2Y86 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y86 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y86 ROW 70 TILEPROP L_TERM_INT_X2Y86 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y86 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y86 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y86 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y86 TILE_X -99306 TILEPROP L_TERM_INT_X2Y86 TILE_Y 25024 TILEPROP L_TERM_INT_X2Y86 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y87 CLASS tile TILEPROP L_TERM_INT_X2Y87 COLUMN 2 TILEPROP L_TERM_INT_X2Y87 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y87 FIRST_SITE_ID 6771 TILEPROP L_TERM_INT_X2Y87 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y87 GRID_POINT_Y 69 TILEPROP L_TERM_INT_X2Y87 INDEX 7937 TILEPROP L_TERM_INT_X2Y87 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y87 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y87 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y87 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y87 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y87 NAME L_TERM_INT_X2Y87 TILEPROP L_TERM_INT_X2Y87 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y87 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y87 ROW 69 TILEPROP L_TERM_INT_X2Y87 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y87 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y87 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y87 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y87 TILE_X -99306 TILEPROP L_TERM_INT_X2Y87 TILE_Y 28224 TILEPROP L_TERM_INT_X2Y87 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y88 CLASS tile TILEPROP L_TERM_INT_X2Y88 COLUMN 2 TILEPROP L_TERM_INT_X2Y88 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y88 FIRST_SITE_ID 6671 TILEPROP L_TERM_INT_X2Y88 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y88 GRID_POINT_Y 68 TILEPROP L_TERM_INT_X2Y88 INDEX 7822 TILEPROP L_TERM_INT_X2Y88 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y88 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y88 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y88 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y88 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y88 NAME L_TERM_INT_X2Y88 TILEPROP L_TERM_INT_X2Y88 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y88 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y88 ROW 68 TILEPROP L_TERM_INT_X2Y88 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y88 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y88 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y88 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y88 TILE_X -99306 TILEPROP L_TERM_INT_X2Y88 TILE_Y 31424 TILEPROP L_TERM_INT_X2Y88 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y89 CLASS tile TILEPROP L_TERM_INT_X2Y89 COLUMN 2 TILEPROP L_TERM_INT_X2Y89 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y89 FIRST_SITE_ID 6556 TILEPROP L_TERM_INT_X2Y89 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y89 GRID_POINT_Y 67 TILEPROP L_TERM_INT_X2Y89 INDEX 7707 TILEPROP L_TERM_INT_X2Y89 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y89 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y89 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y89 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y89 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y89 NAME L_TERM_INT_X2Y89 TILEPROP L_TERM_INT_X2Y89 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y89 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y89 ROW 67 TILEPROP L_TERM_INT_X2Y89 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y89 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y89 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y89 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y89 TILE_X -99306 TILEPROP L_TERM_INT_X2Y89 TILE_Y 34624 TILEPROP L_TERM_INT_X2Y89 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y90 CLASS tile TILEPROP L_TERM_INT_X2Y90 COLUMN 2 TILEPROP L_TERM_INT_X2Y90 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y90 FIRST_SITE_ID 6424 TILEPROP L_TERM_INT_X2Y90 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y90 GRID_POINT_Y 66 TILEPROP L_TERM_INT_X2Y90 INDEX 7592 TILEPROP L_TERM_INT_X2Y90 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y90 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y90 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y90 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y90 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y90 NAME L_TERM_INT_X2Y90 TILEPROP L_TERM_INT_X2Y90 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y90 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y90 ROW 66 TILEPROP L_TERM_INT_X2Y90 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y90 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y90 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y90 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y90 TILE_X -99306 TILEPROP L_TERM_INT_X2Y90 TILE_Y 37824 TILEPROP L_TERM_INT_X2Y90 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y91 CLASS tile TILEPROP L_TERM_INT_X2Y91 COLUMN 2 TILEPROP L_TERM_INT_X2Y91 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y91 FIRST_SITE_ID 6324 TILEPROP L_TERM_INT_X2Y91 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y91 GRID_POINT_Y 65 TILEPROP L_TERM_INT_X2Y91 INDEX 7477 TILEPROP L_TERM_INT_X2Y91 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y91 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y91 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y91 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y91 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y91 NAME L_TERM_INT_X2Y91 TILEPROP L_TERM_INT_X2Y91 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y91 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y91 ROW 65 TILEPROP L_TERM_INT_X2Y91 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y91 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y91 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y91 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y91 TILE_X -99306 TILEPROP L_TERM_INT_X2Y91 TILE_Y 41024 TILEPROP L_TERM_INT_X2Y91 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y92 CLASS tile TILEPROP L_TERM_INT_X2Y92 COLUMN 2 TILEPROP L_TERM_INT_X2Y92 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y92 FIRST_SITE_ID 6224 TILEPROP L_TERM_INT_X2Y92 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y92 GRID_POINT_Y 64 TILEPROP L_TERM_INT_X2Y92 INDEX 7362 TILEPROP L_TERM_INT_X2Y92 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y92 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y92 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y92 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y92 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y92 NAME L_TERM_INT_X2Y92 TILEPROP L_TERM_INT_X2Y92 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y92 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y92 ROW 64 TILEPROP L_TERM_INT_X2Y92 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y92 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y92 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y92 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y92 TILE_X -99306 TILEPROP L_TERM_INT_X2Y92 TILE_Y 44224 TILEPROP L_TERM_INT_X2Y92 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y93 CLASS tile TILEPROP L_TERM_INT_X2Y93 COLUMN 2 TILEPROP L_TERM_INT_X2Y93 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y93 FIRST_SITE_ID 6124 TILEPROP L_TERM_INT_X2Y93 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y93 GRID_POINT_Y 63 TILEPROP L_TERM_INT_X2Y93 INDEX 7247 TILEPROP L_TERM_INT_X2Y93 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y93 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y93 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y93 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y93 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y93 NAME L_TERM_INT_X2Y93 TILEPROP L_TERM_INT_X2Y93 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y93 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y93 ROW 63 TILEPROP L_TERM_INT_X2Y93 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y93 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y93 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y93 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y93 TILE_X -99306 TILEPROP L_TERM_INT_X2Y93 TILE_Y 47424 TILEPROP L_TERM_INT_X2Y93 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y94 CLASS tile TILEPROP L_TERM_INT_X2Y94 COLUMN 2 TILEPROP L_TERM_INT_X2Y94 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y94 FIRST_SITE_ID 6009 TILEPROP L_TERM_INT_X2Y94 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y94 GRID_POINT_Y 62 TILEPROP L_TERM_INT_X2Y94 INDEX 7132 TILEPROP L_TERM_INT_X2Y94 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y94 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y94 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y94 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y94 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y94 NAME L_TERM_INT_X2Y94 TILEPROP L_TERM_INT_X2Y94 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y94 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y94 ROW 62 TILEPROP L_TERM_INT_X2Y94 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y94 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y94 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y94 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y94 TILE_X -99306 TILEPROP L_TERM_INT_X2Y94 TILE_Y 50624 TILEPROP L_TERM_INT_X2Y94 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y95 CLASS tile TILEPROP L_TERM_INT_X2Y95 COLUMN 2 TILEPROP L_TERM_INT_X2Y95 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y95 FIRST_SITE_ID 5909 TILEPROP L_TERM_INT_X2Y95 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y95 GRID_POINT_Y 61 TILEPROP L_TERM_INT_X2Y95 INDEX 7017 TILEPROP L_TERM_INT_X2Y95 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y95 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y95 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y95 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y95 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y95 NAME L_TERM_INT_X2Y95 TILEPROP L_TERM_INT_X2Y95 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y95 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y95 ROW 61 TILEPROP L_TERM_INT_X2Y95 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y95 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y95 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y95 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y95 TILE_X -99306 TILEPROP L_TERM_INT_X2Y95 TILE_Y 53824 TILEPROP L_TERM_INT_X2Y95 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y96 CLASS tile TILEPROP L_TERM_INT_X2Y96 COLUMN 2 TILEPROP L_TERM_INT_X2Y96 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y96 FIRST_SITE_ID 5807 TILEPROP L_TERM_INT_X2Y96 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y96 GRID_POINT_Y 60 TILEPROP L_TERM_INT_X2Y96 INDEX 6902 TILEPROP L_TERM_INT_X2Y96 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y96 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y96 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y96 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y96 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y96 NAME L_TERM_INT_X2Y96 TILEPROP L_TERM_INT_X2Y96 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y96 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y96 ROW 60 TILEPROP L_TERM_INT_X2Y96 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y96 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y96 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y96 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y96 TILE_X -99306 TILEPROP L_TERM_INT_X2Y96 TILE_Y 57024 TILEPROP L_TERM_INT_X2Y96 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y97 CLASS tile TILEPROP L_TERM_INT_X2Y97 COLUMN 2 TILEPROP L_TERM_INT_X2Y97 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y97 FIRST_SITE_ID 5703 TILEPROP L_TERM_INT_X2Y97 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y97 GRID_POINT_Y 59 TILEPROP L_TERM_INT_X2Y97 INDEX 6787 TILEPROP L_TERM_INT_X2Y97 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y97 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y97 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y97 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y97 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y97 NAME L_TERM_INT_X2Y97 TILEPROP L_TERM_INT_X2Y97 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y97 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y97 ROW 59 TILEPROP L_TERM_INT_X2Y97 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y97 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y97 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y97 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y97 TILE_X -99306 TILEPROP L_TERM_INT_X2Y97 TILE_Y 60224 TILEPROP L_TERM_INT_X2Y97 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y98 CLASS tile TILEPROP L_TERM_INT_X2Y98 COLUMN 2 TILEPROP L_TERM_INT_X2Y98 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y98 FIRST_SITE_ID 5603 TILEPROP L_TERM_INT_X2Y98 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y98 GRID_POINT_Y 58 TILEPROP L_TERM_INT_X2Y98 INDEX 6672 TILEPROP L_TERM_INT_X2Y98 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y98 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y98 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y98 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y98 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y98 NAME L_TERM_INT_X2Y98 TILEPROP L_TERM_INT_X2Y98 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y98 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y98 ROW 58 TILEPROP L_TERM_INT_X2Y98 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y98 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y98 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y98 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y98 TILE_X -99306 TILEPROP L_TERM_INT_X2Y98 TILE_Y 63424 TILEPROP L_TERM_INT_X2Y98 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y99 CLASS tile TILEPROP L_TERM_INT_X2Y99 COLUMN 2 TILEPROP L_TERM_INT_X2Y99 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y99 FIRST_SITE_ID 5488 TILEPROP L_TERM_INT_X2Y99 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y99 GRID_POINT_Y 57 TILEPROP L_TERM_INT_X2Y99 INDEX 6557 TILEPROP L_TERM_INT_X2Y99 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y99 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y99 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y99 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y99 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y99 NAME L_TERM_INT_X2Y99 TILEPROP L_TERM_INT_X2Y99 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y99 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y99 ROW 57 TILEPROP L_TERM_INT_X2Y99 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y99 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y99 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y99 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y99 TILE_X -99306 TILEPROP L_TERM_INT_X2Y99 TILE_Y 66624 TILEPROP L_TERM_INT_X2Y99 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y100 CLASS tile TILEPROP L_TERM_INT_X2Y100 COLUMN 2 TILEPROP L_TERM_INT_X2Y100 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y100 FIRST_SITE_ID 5388 TILEPROP L_TERM_INT_X2Y100 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y100 GRID_POINT_Y 56 TILEPROP L_TERM_INT_X2Y100 INDEX 6442 TILEPROP L_TERM_INT_X2Y100 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y100 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y100 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y100 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y100 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y100 NAME L_TERM_INT_X2Y100 TILEPROP L_TERM_INT_X2Y100 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y100 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y100 ROW 56 TILEPROP L_TERM_INT_X2Y100 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y100 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y100 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y100 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y100 TILE_X -99306 TILEPROP L_TERM_INT_X2Y100 TILE_Y 69824 TILEPROP L_TERM_INT_X2Y100 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y101 CLASS tile TILEPROP L_TERM_INT_X2Y101 COLUMN 2 TILEPROP L_TERM_INT_X2Y101 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y101 FIRST_SITE_ID 5288 TILEPROP L_TERM_INT_X2Y101 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y101 GRID_POINT_Y 55 TILEPROP L_TERM_INT_X2Y101 INDEX 6327 TILEPROP L_TERM_INT_X2Y101 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y101 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y101 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y101 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y101 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y101 NAME L_TERM_INT_X2Y101 TILEPROP L_TERM_INT_X2Y101 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y101 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y101 ROW 55 TILEPROP L_TERM_INT_X2Y101 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y101 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y101 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y101 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y101 TILE_X -99306 TILEPROP L_TERM_INT_X2Y101 TILE_Y 73024 TILEPROP L_TERM_INT_X2Y101 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y102 CLASS tile TILEPROP L_TERM_INT_X2Y102 COLUMN 2 TILEPROP L_TERM_INT_X2Y102 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y102 FIRST_SITE_ID 5188 TILEPROP L_TERM_INT_X2Y102 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y102 GRID_POINT_Y 54 TILEPROP L_TERM_INT_X2Y102 INDEX 6212 TILEPROP L_TERM_INT_X2Y102 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y102 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y102 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y102 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y102 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y102 NAME L_TERM_INT_X2Y102 TILEPROP L_TERM_INT_X2Y102 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y102 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y102 ROW 54 TILEPROP L_TERM_INT_X2Y102 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y102 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y102 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y102 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y102 TILE_X -99306 TILEPROP L_TERM_INT_X2Y102 TILE_Y 76224 TILEPROP L_TERM_INT_X2Y102 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y103 CLASS tile TILEPROP L_TERM_INT_X2Y103 COLUMN 2 TILEPROP L_TERM_INT_X2Y103 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y103 FIRST_SITE_ID 5092 TILEPROP L_TERM_INT_X2Y103 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y103 GRID_POINT_Y 53 TILEPROP L_TERM_INT_X2Y103 INDEX 6097 TILEPROP L_TERM_INT_X2Y103 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y103 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y103 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y103 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y103 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y103 NAME L_TERM_INT_X2Y103 TILEPROP L_TERM_INT_X2Y103 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y103 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y103 ROW 53 TILEPROP L_TERM_INT_X2Y103 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y103 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y103 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y103 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y103 TILE_X -99306 TILEPROP L_TERM_INT_X2Y103 TILE_Y 79424 TILEPROP L_TERM_INT_X2Y103 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y105 CLASS tile TILEPROP L_TERM_INT_X2Y105 COLUMN 2 TILEPROP L_TERM_INT_X2Y105 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y105 FIRST_SITE_ID 4991 TILEPROP L_TERM_INT_X2Y105 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y105 GRID_POINT_Y 51 TILEPROP L_TERM_INT_X2Y105 INDEX 5867 TILEPROP L_TERM_INT_X2Y105 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y105 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y105 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y105 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y105 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y105 NAME L_TERM_INT_X2Y105 TILEPROP L_TERM_INT_X2Y105 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y105 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y105 ROW 51 TILEPROP L_TERM_INT_X2Y105 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y105 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y105 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y105 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y105 TILE_X -99306 TILEPROP L_TERM_INT_X2Y105 TILE_Y 82872 TILEPROP L_TERM_INT_X2Y105 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y106 CLASS tile TILEPROP L_TERM_INT_X2Y106 COLUMN 2 TILEPROP L_TERM_INT_X2Y106 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y106 FIRST_SITE_ID 4899 TILEPROP L_TERM_INT_X2Y106 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y106 GRID_POINT_Y 50 TILEPROP L_TERM_INT_X2Y106 INDEX 5752 TILEPROP L_TERM_INT_X2Y106 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y106 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y106 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y106 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y106 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y106 NAME L_TERM_INT_X2Y106 TILEPROP L_TERM_INT_X2Y106 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y106 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y106 ROW 50 TILEPROP L_TERM_INT_X2Y106 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y106 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y106 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y106 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y106 TILE_X -99306 TILEPROP L_TERM_INT_X2Y106 TILE_Y 86072 TILEPROP L_TERM_INT_X2Y106 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y107 CLASS tile TILEPROP L_TERM_INT_X2Y107 COLUMN 2 TILEPROP L_TERM_INT_X2Y107 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y107 FIRST_SITE_ID 4803 TILEPROP L_TERM_INT_X2Y107 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y107 GRID_POINT_Y 49 TILEPROP L_TERM_INT_X2Y107 INDEX 5637 TILEPROP L_TERM_INT_X2Y107 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y107 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y107 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y107 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y107 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y107 NAME L_TERM_INT_X2Y107 TILEPROP L_TERM_INT_X2Y107 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y107 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y107 ROW 49 TILEPROP L_TERM_INT_X2Y107 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y107 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y107 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y107 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y107 TILE_X -99306 TILEPROP L_TERM_INT_X2Y107 TILE_Y 89272 TILEPROP L_TERM_INT_X2Y107 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y108 CLASS tile TILEPROP L_TERM_INT_X2Y108 COLUMN 2 TILEPROP L_TERM_INT_X2Y108 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y108 FIRST_SITE_ID 4715 TILEPROP L_TERM_INT_X2Y108 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y108 GRID_POINT_Y 48 TILEPROP L_TERM_INT_X2Y108 INDEX 5522 TILEPROP L_TERM_INT_X2Y108 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y108 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y108 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y108 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y108 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y108 NAME L_TERM_INT_X2Y108 TILEPROP L_TERM_INT_X2Y108 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y108 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y108 ROW 48 TILEPROP L_TERM_INT_X2Y108 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y108 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y108 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y108 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y108 TILE_X -99306 TILEPROP L_TERM_INT_X2Y108 TILE_Y 92472 TILEPROP L_TERM_INT_X2Y108 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y109 CLASS tile TILEPROP L_TERM_INT_X2Y109 COLUMN 2 TILEPROP L_TERM_INT_X2Y109 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y109 FIRST_SITE_ID 4619 TILEPROP L_TERM_INT_X2Y109 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y109 GRID_POINT_Y 47 TILEPROP L_TERM_INT_X2Y109 INDEX 5407 TILEPROP L_TERM_INT_X2Y109 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y109 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y109 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y109 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y109 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y109 NAME L_TERM_INT_X2Y109 TILEPROP L_TERM_INT_X2Y109 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y109 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y109 ROW 47 TILEPROP L_TERM_INT_X2Y109 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y109 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y109 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y109 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y109 TILE_X -99306 TILEPROP L_TERM_INT_X2Y109 TILE_Y 95672 TILEPROP L_TERM_INT_X2Y109 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y110 CLASS tile TILEPROP L_TERM_INT_X2Y110 COLUMN 2 TILEPROP L_TERM_INT_X2Y110 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y110 FIRST_SITE_ID 4517 TILEPROP L_TERM_INT_X2Y110 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y110 GRID_POINT_Y 46 TILEPROP L_TERM_INT_X2Y110 INDEX 5292 TILEPROP L_TERM_INT_X2Y110 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y110 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y110 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y110 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y110 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y110 NAME L_TERM_INT_X2Y110 TILEPROP L_TERM_INT_X2Y110 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y110 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y110 ROW 46 TILEPROP L_TERM_INT_X2Y110 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y110 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y110 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y110 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y110 TILE_X -99306 TILEPROP L_TERM_INT_X2Y110 TILE_Y 98872 TILEPROP L_TERM_INT_X2Y110 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y111 CLASS tile TILEPROP L_TERM_INT_X2Y111 COLUMN 2 TILEPROP L_TERM_INT_X2Y111 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y111 FIRST_SITE_ID 4421 TILEPROP L_TERM_INT_X2Y111 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y111 GRID_POINT_Y 45 TILEPROP L_TERM_INT_X2Y111 INDEX 5177 TILEPROP L_TERM_INT_X2Y111 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y111 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y111 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y111 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y111 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y111 NAME L_TERM_INT_X2Y111 TILEPROP L_TERM_INT_X2Y111 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y111 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y111 ROW 45 TILEPROP L_TERM_INT_X2Y111 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y111 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y111 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y111 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y111 TILE_X -99306 TILEPROP L_TERM_INT_X2Y111 TILE_Y 102072 TILEPROP L_TERM_INT_X2Y111 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y112 CLASS tile TILEPROP L_TERM_INT_X2Y112 COLUMN 2 TILEPROP L_TERM_INT_X2Y112 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y112 FIRST_SITE_ID 4331 TILEPROP L_TERM_INT_X2Y112 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y112 GRID_POINT_Y 44 TILEPROP L_TERM_INT_X2Y112 INDEX 5062 TILEPROP L_TERM_INT_X2Y112 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y112 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y112 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y112 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y112 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y112 NAME L_TERM_INT_X2Y112 TILEPROP L_TERM_INT_X2Y112 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y112 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y112 ROW 44 TILEPROP L_TERM_INT_X2Y112 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y112 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y112 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y112 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y112 TILE_X -99306 TILEPROP L_TERM_INT_X2Y112 TILE_Y 105272 TILEPROP L_TERM_INT_X2Y112 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y113 CLASS tile TILEPROP L_TERM_INT_X2Y113 COLUMN 2 TILEPROP L_TERM_INT_X2Y113 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y113 FIRST_SITE_ID 4234 TILEPROP L_TERM_INT_X2Y113 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y113 GRID_POINT_Y 43 TILEPROP L_TERM_INT_X2Y113 INDEX 4947 TILEPROP L_TERM_INT_X2Y113 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y113 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y113 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y113 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y113 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y113 NAME L_TERM_INT_X2Y113 TILEPROP L_TERM_INT_X2Y113 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y113 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y113 ROW 43 TILEPROP L_TERM_INT_X2Y113 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y113 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y113 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y113 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y113 TILE_X -99306 TILEPROP L_TERM_INT_X2Y113 TILE_Y 108472 TILEPROP L_TERM_INT_X2Y113 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y114 CLASS tile TILEPROP L_TERM_INT_X2Y114 COLUMN 2 TILEPROP L_TERM_INT_X2Y114 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y114 FIRST_SITE_ID 4146 TILEPROP L_TERM_INT_X2Y114 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y114 GRID_POINT_Y 42 TILEPROP L_TERM_INT_X2Y114 INDEX 4832 TILEPROP L_TERM_INT_X2Y114 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y114 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y114 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y114 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y114 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y114 NAME L_TERM_INT_X2Y114 TILEPROP L_TERM_INT_X2Y114 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y114 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y114 ROW 42 TILEPROP L_TERM_INT_X2Y114 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y114 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y114 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y114 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y114 TILE_X -99306 TILEPROP L_TERM_INT_X2Y114 TILE_Y 111672 TILEPROP L_TERM_INT_X2Y114 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y115 CLASS tile TILEPROP L_TERM_INT_X2Y115 COLUMN 2 TILEPROP L_TERM_INT_X2Y115 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y115 FIRST_SITE_ID 4040 TILEPROP L_TERM_INT_X2Y115 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y115 GRID_POINT_Y 41 TILEPROP L_TERM_INT_X2Y115 INDEX 4717 TILEPROP L_TERM_INT_X2Y115 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y115 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y115 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y115 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y115 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y115 NAME L_TERM_INT_X2Y115 TILEPROP L_TERM_INT_X2Y115 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y115 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y115 ROW 41 TILEPROP L_TERM_INT_X2Y115 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y115 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y115 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y115 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y115 TILE_X -99306 TILEPROP L_TERM_INT_X2Y115 TILE_Y 114872 TILEPROP L_TERM_INT_X2Y115 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y116 CLASS tile TILEPROP L_TERM_INT_X2Y116 COLUMN 2 TILEPROP L_TERM_INT_X2Y116 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y116 FIRST_SITE_ID 3952 TILEPROP L_TERM_INT_X2Y116 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y116 GRID_POINT_Y 40 TILEPROP L_TERM_INT_X2Y116 INDEX 4602 TILEPROP L_TERM_INT_X2Y116 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y116 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y116 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y116 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y116 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y116 NAME L_TERM_INT_X2Y116 TILEPROP L_TERM_INT_X2Y116 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y116 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y116 ROW 40 TILEPROP L_TERM_INT_X2Y116 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y116 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y116 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y116 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y116 TILE_X -99306 TILEPROP L_TERM_INT_X2Y116 TILE_Y 118072 TILEPROP L_TERM_INT_X2Y116 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y117 CLASS tile TILEPROP L_TERM_INT_X2Y117 COLUMN 2 TILEPROP L_TERM_INT_X2Y117 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y117 FIRST_SITE_ID 3824 TILEPROP L_TERM_INT_X2Y117 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y117 GRID_POINT_Y 39 TILEPROP L_TERM_INT_X2Y117 INDEX 4487 TILEPROP L_TERM_INT_X2Y117 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y117 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y117 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y117 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y117 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y117 NAME L_TERM_INT_X2Y117 TILEPROP L_TERM_INT_X2Y117 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y117 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y117 ROW 39 TILEPROP L_TERM_INT_X2Y117 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y117 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y117 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y117 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y117 TILE_X -99306 TILEPROP L_TERM_INT_X2Y117 TILE_Y 121272 TILEPROP L_TERM_INT_X2Y117 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y118 CLASS tile TILEPROP L_TERM_INT_X2Y118 COLUMN 2 TILEPROP L_TERM_INT_X2Y118 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y118 FIRST_SITE_ID 3736 TILEPROP L_TERM_INT_X2Y118 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y118 GRID_POINT_Y 38 TILEPROP L_TERM_INT_X2Y118 INDEX 4372 TILEPROP L_TERM_INT_X2Y118 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y118 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y118 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y118 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y118 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y118 NAME L_TERM_INT_X2Y118 TILEPROP L_TERM_INT_X2Y118 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y118 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y118 ROW 38 TILEPROP L_TERM_INT_X2Y118 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y118 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y118 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y118 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y118 TILE_X -99306 TILEPROP L_TERM_INT_X2Y118 TILE_Y 124472 TILEPROP L_TERM_INT_X2Y118 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y119 CLASS tile TILEPROP L_TERM_INT_X2Y119 COLUMN 2 TILEPROP L_TERM_INT_X2Y119 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y119 FIRST_SITE_ID 3640 TILEPROP L_TERM_INT_X2Y119 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y119 GRID_POINT_Y 37 TILEPROP L_TERM_INT_X2Y119 INDEX 4257 TILEPROP L_TERM_INT_X2Y119 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y119 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y119 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y119 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y119 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y119 NAME L_TERM_INT_X2Y119 TILEPROP L_TERM_INT_X2Y119 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y119 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y119 ROW 37 TILEPROP L_TERM_INT_X2Y119 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y119 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y119 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y119 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y119 TILE_X -99306 TILEPROP L_TERM_INT_X2Y119 TILE_Y 127672 TILEPROP L_TERM_INT_X2Y119 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y120 CLASS tile TILEPROP L_TERM_INT_X2Y120 COLUMN 2 TILEPROP L_TERM_INT_X2Y120 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y120 FIRST_SITE_ID 3543 TILEPROP L_TERM_INT_X2Y120 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y120 GRID_POINT_Y 36 TILEPROP L_TERM_INT_X2Y120 INDEX 4142 TILEPROP L_TERM_INT_X2Y120 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y120 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y120 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y120 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y120 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y120 NAME L_TERM_INT_X2Y120 TILEPROP L_TERM_INT_X2Y120 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y120 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y120 ROW 36 TILEPROP L_TERM_INT_X2Y120 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y120 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y120 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y120 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y120 TILE_X -99306 TILEPROP L_TERM_INT_X2Y120 TILE_Y 130872 TILEPROP L_TERM_INT_X2Y120 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y121 CLASS tile TILEPROP L_TERM_INT_X2Y121 COLUMN 2 TILEPROP L_TERM_INT_X2Y121 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y121 FIRST_SITE_ID 3442 TILEPROP L_TERM_INT_X2Y121 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y121 GRID_POINT_Y 35 TILEPROP L_TERM_INT_X2Y121 INDEX 4027 TILEPROP L_TERM_INT_X2Y121 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y121 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y121 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y121 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y121 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y121 NAME L_TERM_INT_X2Y121 TILEPROP L_TERM_INT_X2Y121 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y121 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y121 ROW 35 TILEPROP L_TERM_INT_X2Y121 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y121 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y121 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y121 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y121 TILE_X -99306 TILEPROP L_TERM_INT_X2Y121 TILE_Y 134072 TILEPROP L_TERM_INT_X2Y121 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y122 CLASS tile TILEPROP L_TERM_INT_X2Y122 COLUMN 2 TILEPROP L_TERM_INT_X2Y122 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y122 FIRST_SITE_ID 3350 TILEPROP L_TERM_INT_X2Y122 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y122 GRID_POINT_Y 34 TILEPROP L_TERM_INT_X2Y122 INDEX 3912 TILEPROP L_TERM_INT_X2Y122 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y122 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y122 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y122 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y122 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y122 NAME L_TERM_INT_X2Y122 TILEPROP L_TERM_INT_X2Y122 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y122 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y122 ROW 34 TILEPROP L_TERM_INT_X2Y122 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y122 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y122 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y122 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y122 TILE_X -99306 TILEPROP L_TERM_INT_X2Y122 TILE_Y 137272 TILEPROP L_TERM_INT_X2Y122 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y123 CLASS tile TILEPROP L_TERM_INT_X2Y123 COLUMN 2 TILEPROP L_TERM_INT_X2Y123 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y123 FIRST_SITE_ID 3254 TILEPROP L_TERM_INT_X2Y123 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y123 GRID_POINT_Y 33 TILEPROP L_TERM_INT_X2Y123 INDEX 3797 TILEPROP L_TERM_INT_X2Y123 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y123 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y123 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y123 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y123 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y123 NAME L_TERM_INT_X2Y123 TILEPROP L_TERM_INT_X2Y123 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y123 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y123 ROW 33 TILEPROP L_TERM_INT_X2Y123 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y123 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y123 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y123 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y123 TILE_X -99306 TILEPROP L_TERM_INT_X2Y123 TILE_Y 140472 TILEPROP L_TERM_INT_X2Y123 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y124 CLASS tile TILEPROP L_TERM_INT_X2Y124 COLUMN 2 TILEPROP L_TERM_INT_X2Y124 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y124 FIRST_SITE_ID 3164 TILEPROP L_TERM_INT_X2Y124 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y124 GRID_POINT_Y 32 TILEPROP L_TERM_INT_X2Y124 INDEX 3682 TILEPROP L_TERM_INT_X2Y124 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y124 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y124 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y124 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y124 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y124 NAME L_TERM_INT_X2Y124 TILEPROP L_TERM_INT_X2Y124 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y124 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y124 ROW 32 TILEPROP L_TERM_INT_X2Y124 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y124 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y124 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y124 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y124 TILE_X -99306 TILEPROP L_TERM_INT_X2Y124 TILE_Y 143672 TILEPROP L_TERM_INT_X2Y124 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y125 CLASS tile TILEPROP L_TERM_INT_X2Y125 COLUMN 2 TILEPROP L_TERM_INT_X2Y125 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y125 FIRST_SITE_ID 3059 TILEPROP L_TERM_INT_X2Y125 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y125 GRID_POINT_Y 31 TILEPROP L_TERM_INT_X2Y125 INDEX 3567 TILEPROP L_TERM_INT_X2Y125 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y125 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y125 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y125 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y125 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y125 NAME L_TERM_INT_X2Y125 TILEPROP L_TERM_INT_X2Y125 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y125 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y125 ROW 31 TILEPROP L_TERM_INT_X2Y125 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y125 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y125 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y125 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y125 TILE_X -99306 TILEPROP L_TERM_INT_X2Y125 TILE_Y 146872 TILEPROP L_TERM_INT_X2Y125 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y126 CLASS tile TILEPROP L_TERM_INT_X2Y126 COLUMN 2 TILEPROP L_TERM_INT_X2Y126 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y126 FIRST_SITE_ID 2971 TILEPROP L_TERM_INT_X2Y126 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y126 GRID_POINT_Y 30 TILEPROP L_TERM_INT_X2Y126 INDEX 3452 TILEPROP L_TERM_INT_X2Y126 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y126 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y126 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y126 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y126 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y126 NAME L_TERM_INT_X2Y126 TILEPROP L_TERM_INT_X2Y126 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y126 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y126 ROW 30 TILEPROP L_TERM_INT_X2Y126 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y126 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y126 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y126 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y126 TILE_X -99306 TILEPROP L_TERM_INT_X2Y126 TILE_Y 150072 TILEPROP L_TERM_INT_X2Y126 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y127 CLASS tile TILEPROP L_TERM_INT_X2Y127 COLUMN 2 TILEPROP L_TERM_INT_X2Y127 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y127 FIRST_SITE_ID 2868 TILEPROP L_TERM_INT_X2Y127 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y127 GRID_POINT_Y 29 TILEPROP L_TERM_INT_X2Y127 INDEX 3337 TILEPROP L_TERM_INT_X2Y127 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y127 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y127 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y127 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y127 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y127 NAME L_TERM_INT_X2Y127 TILEPROP L_TERM_INT_X2Y127 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y127 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y127 ROW 29 TILEPROP L_TERM_INT_X2Y127 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y127 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y127 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y127 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y127 TILE_X -99306 TILEPROP L_TERM_INT_X2Y127 TILE_Y 153272 TILEPROP L_TERM_INT_X2Y127 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y128 CLASS tile TILEPROP L_TERM_INT_X2Y128 COLUMN 2 TILEPROP L_TERM_INT_X2Y128 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y128 FIRST_SITE_ID 2780 TILEPROP L_TERM_INT_X2Y128 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y128 GRID_POINT_Y 28 TILEPROP L_TERM_INT_X2Y128 INDEX 3222 TILEPROP L_TERM_INT_X2Y128 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y128 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y128 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y128 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y128 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y128 NAME L_TERM_INT_X2Y128 TILEPROP L_TERM_INT_X2Y128 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y128 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y128 ROW 28 TILEPROP L_TERM_INT_X2Y128 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y128 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y128 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y128 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y128 TILE_X -99306 TILEPROP L_TERM_INT_X2Y128 TILE_Y 156472 TILEPROP L_TERM_INT_X2Y128 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y129 CLASS tile TILEPROP L_TERM_INT_X2Y129 COLUMN 2 TILEPROP L_TERM_INT_X2Y129 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y129 FIRST_SITE_ID 2684 TILEPROP L_TERM_INT_X2Y129 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y129 GRID_POINT_Y 27 TILEPROP L_TERM_INT_X2Y129 INDEX 3107 TILEPROP L_TERM_INT_X2Y129 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y129 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y129 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y129 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y129 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y129 NAME L_TERM_INT_X2Y129 TILEPROP L_TERM_INT_X2Y129 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y129 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y129 ROW 27 TILEPROP L_TERM_INT_X2Y129 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y129 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y129 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y129 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y129 TILE_X -99306 TILEPROP L_TERM_INT_X2Y129 TILE_Y 159672 TILEPROP L_TERM_INT_X2Y129 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y131 CLASS tile TILEPROP L_TERM_INT_X2Y131 COLUMN 2 TILEPROP L_TERM_INT_X2Y131 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y131 FIRST_SITE_ID 2505 TILEPROP L_TERM_INT_X2Y131 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y131 GRID_POINT_Y 25 TILEPROP L_TERM_INT_X2Y131 INDEX 2877 TILEPROP L_TERM_INT_X2Y131 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y131 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y131 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y131 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y131 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y131 NAME L_TERM_INT_X2Y131 TILEPROP L_TERM_INT_X2Y131 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y131 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y131 ROW 25 TILEPROP L_TERM_INT_X2Y131 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y131 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y131 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y131 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y131 TILE_X -99306 TILEPROP L_TERM_INT_X2Y131 TILE_Y 163896 TILEPROP L_TERM_INT_X2Y131 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y132 CLASS tile TILEPROP L_TERM_INT_X2Y132 COLUMN 2 TILEPROP L_TERM_INT_X2Y132 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y132 FIRST_SITE_ID 2401 TILEPROP L_TERM_INT_X2Y132 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y132 GRID_POINT_Y 24 TILEPROP L_TERM_INT_X2Y132 INDEX 2762 TILEPROP L_TERM_INT_X2Y132 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y132 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y132 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y132 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y132 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y132 NAME L_TERM_INT_X2Y132 TILEPROP L_TERM_INT_X2Y132 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y132 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y132 ROW 24 TILEPROP L_TERM_INT_X2Y132 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y132 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y132 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y132 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y132 TILE_X -99306 TILEPROP L_TERM_INT_X2Y132 TILE_Y 167096 TILEPROP L_TERM_INT_X2Y132 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y133 CLASS tile TILEPROP L_TERM_INT_X2Y133 COLUMN 2 TILEPROP L_TERM_INT_X2Y133 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y133 FIRST_SITE_ID 2305 TILEPROP L_TERM_INT_X2Y133 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y133 GRID_POINT_Y 23 TILEPROP L_TERM_INT_X2Y133 INDEX 2647 TILEPROP L_TERM_INT_X2Y133 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y133 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y133 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y133 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y133 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y133 NAME L_TERM_INT_X2Y133 TILEPROP L_TERM_INT_X2Y133 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y133 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y133 ROW 23 TILEPROP L_TERM_INT_X2Y133 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y133 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y133 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y133 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y133 TILE_X -99306 TILEPROP L_TERM_INT_X2Y133 TILE_Y 170296 TILEPROP L_TERM_INT_X2Y133 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y134 CLASS tile TILEPROP L_TERM_INT_X2Y134 COLUMN 2 TILEPROP L_TERM_INT_X2Y134 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y134 FIRST_SITE_ID 2201 TILEPROP L_TERM_INT_X2Y134 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y134 GRID_POINT_Y 22 TILEPROP L_TERM_INT_X2Y134 INDEX 2532 TILEPROP L_TERM_INT_X2Y134 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y134 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y134 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y134 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y134 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y134 NAME L_TERM_INT_X2Y134 TILEPROP L_TERM_INT_X2Y134 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y134 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y134 ROW 22 TILEPROP L_TERM_INT_X2Y134 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y134 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y134 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y134 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y134 TILE_X -99306 TILEPROP L_TERM_INT_X2Y134 TILE_Y 173496 TILEPROP L_TERM_INT_X2Y134 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y135 CLASS tile TILEPROP L_TERM_INT_X2Y135 COLUMN 2 TILEPROP L_TERM_INT_X2Y135 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y135 FIRST_SITE_ID 2099 TILEPROP L_TERM_INT_X2Y135 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y135 GRID_POINT_Y 21 TILEPROP L_TERM_INT_X2Y135 INDEX 2417 TILEPROP L_TERM_INT_X2Y135 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y135 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y135 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y135 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y135 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y135 NAME L_TERM_INT_X2Y135 TILEPROP L_TERM_INT_X2Y135 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y135 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y135 ROW 21 TILEPROP L_TERM_INT_X2Y135 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y135 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y135 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y135 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y135 TILE_X -99306 TILEPROP L_TERM_INT_X2Y135 TILE_Y 176696 TILEPROP L_TERM_INT_X2Y135 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y136 CLASS tile TILEPROP L_TERM_INT_X2Y136 COLUMN 2 TILEPROP L_TERM_INT_X2Y136 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y136 FIRST_SITE_ID 1983 TILEPROP L_TERM_INT_X2Y136 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y136 GRID_POINT_Y 20 TILEPROP L_TERM_INT_X2Y136 INDEX 2302 TILEPROP L_TERM_INT_X2Y136 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y136 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y136 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y136 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y136 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y136 NAME L_TERM_INT_X2Y136 TILEPROP L_TERM_INT_X2Y136 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y136 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y136 ROW 20 TILEPROP L_TERM_INT_X2Y136 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y136 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y136 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y136 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y136 TILE_X -99306 TILEPROP L_TERM_INT_X2Y136 TILE_Y 179896 TILEPROP L_TERM_INT_X2Y136 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y137 CLASS tile TILEPROP L_TERM_INT_X2Y137 COLUMN 2 TILEPROP L_TERM_INT_X2Y137 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y137 FIRST_SITE_ID 1885 TILEPROP L_TERM_INT_X2Y137 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y137 GRID_POINT_Y 19 TILEPROP L_TERM_INT_X2Y137 INDEX 2187 TILEPROP L_TERM_INT_X2Y137 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y137 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y137 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y137 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y137 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y137 NAME L_TERM_INT_X2Y137 TILEPROP L_TERM_INT_X2Y137 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y137 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y137 ROW 19 TILEPROP L_TERM_INT_X2Y137 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y137 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y137 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y137 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y137 TILE_X -99306 TILEPROP L_TERM_INT_X2Y137 TILE_Y 183096 TILEPROP L_TERM_INT_X2Y137 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y138 CLASS tile TILEPROP L_TERM_INT_X2Y138 COLUMN 2 TILEPROP L_TERM_INT_X2Y138 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y138 FIRST_SITE_ID 1781 TILEPROP L_TERM_INT_X2Y138 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y138 GRID_POINT_Y 18 TILEPROP L_TERM_INT_X2Y138 INDEX 2072 TILEPROP L_TERM_INT_X2Y138 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y138 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y138 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y138 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y138 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y138 NAME L_TERM_INT_X2Y138 TILEPROP L_TERM_INT_X2Y138 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y138 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y138 ROW 18 TILEPROP L_TERM_INT_X2Y138 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y138 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y138 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y138 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y138 TILE_X -99306 TILEPROP L_TERM_INT_X2Y138 TILE_Y 186296 TILEPROP L_TERM_INT_X2Y138 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y139 CLASS tile TILEPROP L_TERM_INT_X2Y139 COLUMN 2 TILEPROP L_TERM_INT_X2Y139 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y139 FIRST_SITE_ID 1680 TILEPROP L_TERM_INT_X2Y139 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y139 GRID_POINT_Y 17 TILEPROP L_TERM_INT_X2Y139 INDEX 1957 TILEPROP L_TERM_INT_X2Y139 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y139 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y139 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y139 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y139 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y139 NAME L_TERM_INT_X2Y139 TILEPROP L_TERM_INT_X2Y139 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y139 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y139 ROW 17 TILEPROP L_TERM_INT_X2Y139 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y139 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y139 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y139 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y139 TILE_X -99306 TILEPROP L_TERM_INT_X2Y139 TILE_Y 189496 TILEPROP L_TERM_INT_X2Y139 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y140 CLASS tile TILEPROP L_TERM_INT_X2Y140 COLUMN 2 TILEPROP L_TERM_INT_X2Y140 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y140 FIRST_SITE_ID 1576 TILEPROP L_TERM_INT_X2Y140 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y140 GRID_POINT_Y 16 TILEPROP L_TERM_INT_X2Y140 INDEX 1842 TILEPROP L_TERM_INT_X2Y140 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y140 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y140 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y140 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y140 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y140 NAME L_TERM_INT_X2Y140 TILEPROP L_TERM_INT_X2Y140 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y140 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y140 ROW 16 TILEPROP L_TERM_INT_X2Y140 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y140 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y140 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y140 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y140 TILE_X -99306 TILEPROP L_TERM_INT_X2Y140 TILE_Y 192696 TILEPROP L_TERM_INT_X2Y140 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y141 CLASS tile TILEPROP L_TERM_INT_X2Y141 COLUMN 2 TILEPROP L_TERM_INT_X2Y141 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y141 FIRST_SITE_ID 1468 TILEPROP L_TERM_INT_X2Y141 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y141 GRID_POINT_Y 15 TILEPROP L_TERM_INT_X2Y141 INDEX 1727 TILEPROP L_TERM_INT_X2Y141 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y141 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y141 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y141 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y141 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y141 NAME L_TERM_INT_X2Y141 TILEPROP L_TERM_INT_X2Y141 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y141 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y141 ROW 15 TILEPROP L_TERM_INT_X2Y141 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y141 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y141 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y141 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y141 TILE_X -99306 TILEPROP L_TERM_INT_X2Y141 TILE_Y 195896 TILEPROP L_TERM_INT_X2Y141 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y142 CLASS tile TILEPROP L_TERM_INT_X2Y142 COLUMN 2 TILEPROP L_TERM_INT_X2Y142 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y142 FIRST_SITE_ID 1332 TILEPROP L_TERM_INT_X2Y142 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y142 GRID_POINT_Y 14 TILEPROP L_TERM_INT_X2Y142 INDEX 1612 TILEPROP L_TERM_INT_X2Y142 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y142 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y142 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y142 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y142 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y142 NAME L_TERM_INT_X2Y142 TILEPROP L_TERM_INT_X2Y142 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y142 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y142 ROW 14 TILEPROP L_TERM_INT_X2Y142 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y142 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y142 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y142 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y142 TILE_X -99306 TILEPROP L_TERM_INT_X2Y142 TILE_Y 199096 TILEPROP L_TERM_INT_X2Y142 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y143 CLASS tile TILEPROP L_TERM_INT_X2Y143 COLUMN 2 TILEPROP L_TERM_INT_X2Y143 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y143 FIRST_SITE_ID 1236 TILEPROP L_TERM_INT_X2Y143 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y143 GRID_POINT_Y 13 TILEPROP L_TERM_INT_X2Y143 INDEX 1497 TILEPROP L_TERM_INT_X2Y143 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y143 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y143 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y143 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y143 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y143 NAME L_TERM_INT_X2Y143 TILEPROP L_TERM_INT_X2Y143 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y143 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y143 ROW 13 TILEPROP L_TERM_INT_X2Y143 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y143 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y143 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y143 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y143 TILE_X -99306 TILEPROP L_TERM_INT_X2Y143 TILE_Y 202296 TILEPROP L_TERM_INT_X2Y143 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y144 CLASS tile TILEPROP L_TERM_INT_X2Y144 COLUMN 2 TILEPROP L_TERM_INT_X2Y144 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y144 FIRST_SITE_ID 1132 TILEPROP L_TERM_INT_X2Y144 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y144 GRID_POINT_Y 12 TILEPROP L_TERM_INT_X2Y144 INDEX 1382 TILEPROP L_TERM_INT_X2Y144 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y144 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y144 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y144 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y144 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y144 NAME L_TERM_INT_X2Y144 TILEPROP L_TERM_INT_X2Y144 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y144 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y144 ROW 12 TILEPROP L_TERM_INT_X2Y144 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y144 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y144 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y144 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y144 TILE_X -99306 TILEPROP L_TERM_INT_X2Y144 TILE_Y 205496 TILEPROP L_TERM_INT_X2Y144 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y145 CLASS tile TILEPROP L_TERM_INT_X2Y145 COLUMN 2 TILEPROP L_TERM_INT_X2Y145 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y145 FIRST_SITE_ID 1036 TILEPROP L_TERM_INT_X2Y145 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y145 GRID_POINT_Y 11 TILEPROP L_TERM_INT_X2Y145 INDEX 1267 TILEPROP L_TERM_INT_X2Y145 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y145 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y145 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y145 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y145 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y145 NAME L_TERM_INT_X2Y145 TILEPROP L_TERM_INT_X2Y145 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y145 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y145 ROW 11 TILEPROP L_TERM_INT_X2Y145 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y145 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y145 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y145 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y145 TILE_X -99306 TILEPROP L_TERM_INT_X2Y145 TILE_Y 208696 TILEPROP L_TERM_INT_X2Y145 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y146 CLASS tile TILEPROP L_TERM_INT_X2Y146 COLUMN 2 TILEPROP L_TERM_INT_X2Y146 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y146 FIRST_SITE_ID 920 TILEPROP L_TERM_INT_X2Y146 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y146 GRID_POINT_Y 10 TILEPROP L_TERM_INT_X2Y146 INDEX 1152 TILEPROP L_TERM_INT_X2Y146 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y146 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y146 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y146 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y146 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y146 NAME L_TERM_INT_X2Y146 TILEPROP L_TERM_INT_X2Y146 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y146 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y146 ROW 10 TILEPROP L_TERM_INT_X2Y146 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y146 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y146 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y146 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y146 TILE_X -99306 TILEPROP L_TERM_INT_X2Y146 TILE_Y 211896 TILEPROP L_TERM_INT_X2Y146 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y147 CLASS tile TILEPROP L_TERM_INT_X2Y147 COLUMN 2 TILEPROP L_TERM_INT_X2Y147 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y147 FIRST_SITE_ID 824 TILEPROP L_TERM_INT_X2Y147 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y147 GRID_POINT_Y 9 TILEPROP L_TERM_INT_X2Y147 INDEX 1037 TILEPROP L_TERM_INT_X2Y147 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y147 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y147 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y147 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y147 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y147 NAME L_TERM_INT_X2Y147 TILEPROP L_TERM_INT_X2Y147 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y147 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y147 ROW 9 TILEPROP L_TERM_INT_X2Y147 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y147 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y147 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y147 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y147 TILE_X -99306 TILEPROP L_TERM_INT_X2Y147 TILE_Y 215096 TILEPROP L_TERM_INT_X2Y147 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y148 CLASS tile TILEPROP L_TERM_INT_X2Y148 COLUMN 2 TILEPROP L_TERM_INT_X2Y148 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y148 FIRST_SITE_ID 719 TILEPROP L_TERM_INT_X2Y148 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y148 GRID_POINT_Y 8 TILEPROP L_TERM_INT_X2Y148 INDEX 922 TILEPROP L_TERM_INT_X2Y148 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y148 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y148 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y148 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y148 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y148 NAME L_TERM_INT_X2Y148 TILEPROP L_TERM_INT_X2Y148 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y148 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y148 ROW 8 TILEPROP L_TERM_INT_X2Y148 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y148 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y148 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y148 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y148 TILE_X -99306 TILEPROP L_TERM_INT_X2Y148 TILE_Y 218296 TILEPROP L_TERM_INT_X2Y148 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y149 CLASS tile TILEPROP L_TERM_INT_X2Y149 COLUMN 2 TILEPROP L_TERM_INT_X2Y149 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y149 FIRST_SITE_ID 621 TILEPROP L_TERM_INT_X2Y149 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y149 GRID_POINT_Y 7 TILEPROP L_TERM_INT_X2Y149 INDEX 807 TILEPROP L_TERM_INT_X2Y149 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y149 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y149 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y149 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y149 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y149 NAME L_TERM_INT_X2Y149 TILEPROP L_TERM_INT_X2Y149 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y149 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y149 ROW 7 TILEPROP L_TERM_INT_X2Y149 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y149 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y149 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y149 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y149 TILE_X -99306 TILEPROP L_TERM_INT_X2Y149 TILE_Y 221496 TILEPROP L_TERM_INT_X2Y149 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y150 CLASS tile TILEPROP L_TERM_INT_X2Y150 COLUMN 2 TILEPROP L_TERM_INT_X2Y150 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y150 FIRST_SITE_ID 512 TILEPROP L_TERM_INT_X2Y150 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y150 GRID_POINT_Y 6 TILEPROP L_TERM_INT_X2Y150 INDEX 692 TILEPROP L_TERM_INT_X2Y150 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y150 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y150 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y150 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y150 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y150 NAME L_TERM_INT_X2Y150 TILEPROP L_TERM_INT_X2Y150 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y150 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y150 ROW 6 TILEPROP L_TERM_INT_X2Y150 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y150 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y150 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y150 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y150 TILE_X -99306 TILEPROP L_TERM_INT_X2Y150 TILE_Y 224696 TILEPROP L_TERM_INT_X2Y150 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y151 CLASS tile TILEPROP L_TERM_INT_X2Y151 COLUMN 2 TILEPROP L_TERM_INT_X2Y151 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y151 FIRST_SITE_ID 404 TILEPROP L_TERM_INT_X2Y151 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y151 GRID_POINT_Y 5 TILEPROP L_TERM_INT_X2Y151 INDEX 577 TILEPROP L_TERM_INT_X2Y151 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y151 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y151 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y151 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y151 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y151 NAME L_TERM_INT_X2Y151 TILEPROP L_TERM_INT_X2Y151 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y151 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y151 ROW 5 TILEPROP L_TERM_INT_X2Y151 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y151 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y151 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y151 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y151 TILE_X -99306 TILEPROP L_TERM_INT_X2Y151 TILE_Y 227896 TILEPROP L_TERM_INT_X2Y151 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y152 CLASS tile TILEPROP L_TERM_INT_X2Y152 COLUMN 2 TILEPROP L_TERM_INT_X2Y152 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y152 FIRST_SITE_ID 300 TILEPROP L_TERM_INT_X2Y152 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y152 GRID_POINT_Y 4 TILEPROP L_TERM_INT_X2Y152 INDEX 462 TILEPROP L_TERM_INT_X2Y152 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y152 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y152 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y152 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y152 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y152 NAME L_TERM_INT_X2Y152 TILEPROP L_TERM_INT_X2Y152 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y152 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y152 ROW 4 TILEPROP L_TERM_INT_X2Y152 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y152 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y152 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y152 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y152 TILE_X -99306 TILEPROP L_TERM_INT_X2Y152 TILE_Y 231096 TILEPROP L_TERM_INT_X2Y152 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y153 CLASS tile TILEPROP L_TERM_INT_X2Y153 COLUMN 2 TILEPROP L_TERM_INT_X2Y153 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y153 FIRST_SITE_ID 204 TILEPROP L_TERM_INT_X2Y153 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y153 GRID_POINT_Y 3 TILEPROP L_TERM_INT_X2Y153 INDEX 347 TILEPROP L_TERM_INT_X2Y153 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y153 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y153 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y153 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y153 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y153 NAME L_TERM_INT_X2Y153 TILEPROP L_TERM_INT_X2Y153 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y153 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y153 ROW 3 TILEPROP L_TERM_INT_X2Y153 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y153 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y153 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y153 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y153 TILE_X -99306 TILEPROP L_TERM_INT_X2Y153 TILE_Y 234296 TILEPROP L_TERM_INT_X2Y153 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y154 CLASS tile TILEPROP L_TERM_INT_X2Y154 COLUMN 2 TILEPROP L_TERM_INT_X2Y154 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y154 FIRST_SITE_ID 100 TILEPROP L_TERM_INT_X2Y154 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y154 GRID_POINT_Y 2 TILEPROP L_TERM_INT_X2Y154 INDEX 232 TILEPROP L_TERM_INT_X2Y154 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y154 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y154 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y154 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y154 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y154 NAME L_TERM_INT_X2Y154 TILEPROP L_TERM_INT_X2Y154 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y154 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y154 ROW 2 TILEPROP L_TERM_INT_X2Y154 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y154 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y154 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y154 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y154 TILE_X -99306 TILEPROP L_TERM_INT_X2Y154 TILE_Y 237496 TILEPROP L_TERM_INT_X2Y154 TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y155 CLASS tile TILEPROP L_TERM_INT_X2Y155 COLUMN 2 TILEPROP L_TERM_INT_X2Y155 DEVICE_ID 0 TILEPROP L_TERM_INT_X2Y155 FIRST_SITE_ID 4 TILEPROP L_TERM_INT_X2Y155 GRID_POINT_X 2 TILEPROP L_TERM_INT_X2Y155 GRID_POINT_Y 1 TILEPROP L_TERM_INT_X2Y155 INDEX 117 TILEPROP L_TERM_INT_X2Y155 INT_TILE_X -1 TILEPROP L_TERM_INT_X2Y155 INT_TILE_Y -1 TILEPROP L_TERM_INT_X2Y155 IS_CENTER_TILE 0 TILEPROP L_TERM_INT_X2Y155 IS_DCM_TILE 0 TILEPROP L_TERM_INT_X2Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y155 IS_GT_SITE_TILE 0 TILEPROP L_TERM_INT_X2Y155 NAME L_TERM_INT_X2Y155 TILEPROP L_TERM_INT_X2Y155 NUM_ARCS 0 TILEPROP L_TERM_INT_X2Y155 NUM_SITES 0 TILEPROP L_TERM_INT_X2Y155 ROW 1 TILEPROP L_TERM_INT_X2Y155 SLR_REGION_ID 0 TILEPROP L_TERM_INT_X2Y155 TILE_PATTERN_IDX 6 TILEPROP L_TERM_INT_X2Y155 TILE_TYPE L_TERM_INT TILEPROP L_TERM_INT_X2Y155 TILE_TYPE_INDEX 105 TILEPROP L_TERM_INT_X2Y155 TILE_X -99306 TILEPROP L_TERM_INT_X2Y155 TILE_Y 240696 TILEPROP L_TERM_INT_X2Y155 TYPE L_TERM_INT TILEPROP MONITOR_BOT_X46Y79 CLASS tile TILEPROP MONITOR_BOT_X46Y79 COLUMN 46 TILEPROP MONITOR_BOT_X46Y79 DEVICE_ID 0 TILEPROP MONITOR_BOT_X46Y79 FIRST_SITE_ID 7636 TILEPROP MONITOR_BOT_X46Y79 GRID_POINT_X 46 TILEPROP MONITOR_BOT_X46Y79 GRID_POINT_Y 77 TILEPROP MONITOR_BOT_X46Y79 INDEX 8901 TILEPROP MONITOR_BOT_X46Y79 INT_TILE_X -1 TILEPROP MONITOR_BOT_X46Y79 INT_TILE_Y -1 TILEPROP MONITOR_BOT_X46Y79 IS_CENTER_TILE 0 TILEPROP MONITOR_BOT_X46Y79 IS_DCM_TILE 0 TILEPROP MONITOR_BOT_X46Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP MONITOR_BOT_X46Y79 IS_GT_SITE_TILE 0 TILEPROP MONITOR_BOT_X46Y79 NAME MONITOR_BOT_X46Y79 TILEPROP MONITOR_BOT_X46Y79 NUM_ARCS 232 TILEPROP MONITOR_BOT_X46Y79 NUM_SITES 3 TILEPROP MONITOR_BOT_X46Y79 ROW 77 TILEPROP MONITOR_BOT_X46Y79 SLR_REGION_ID 0 TILEPROP MONITOR_BOT_X46Y79 TILE_PATTERN_IDX 6289 TILEPROP MONITOR_BOT_X46Y79 TILE_TYPE MONITOR_BOT TILEPROP MONITOR_BOT_X46Y79 TILE_TYPE_INDEX 106 TILEPROP MONITOR_BOT_X46Y79 TILE_X -17084 TILEPROP MONITOR_BOT_X46Y79 TILE_Y 17024 TILEPROP MONITOR_BOT_X46Y79 TYPE MONITOR_BOT TILEPROP MONITOR_MID_X46Y89 CLASS tile TILEPROP MONITOR_MID_X46Y89 COLUMN 46 TILEPROP MONITOR_MID_X46Y89 DEVICE_ID 0 TILEPROP MONITOR_MID_X46Y89 FIRST_SITE_ID 6590 TILEPROP MONITOR_MID_X46Y89 GRID_POINT_X 46 TILEPROP MONITOR_MID_X46Y89 GRID_POINT_Y 67 TILEPROP MONITOR_MID_X46Y89 INDEX 7751 TILEPROP MONITOR_MID_X46Y89 INT_TILE_X -1 TILEPROP MONITOR_MID_X46Y89 INT_TILE_Y -1 TILEPROP MONITOR_MID_X46Y89 IS_CENTER_TILE 0 TILEPROP MONITOR_MID_X46Y89 IS_DCM_TILE 0 TILEPROP MONITOR_MID_X46Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP MONITOR_MID_X46Y89 IS_GT_SITE_TILE 0 TILEPROP MONITOR_MID_X46Y89 NAME MONITOR_MID_X46Y89 TILEPROP MONITOR_MID_X46Y89 NUM_ARCS 12 TILEPROP MONITOR_MID_X46Y89 NUM_SITES 0 TILEPROP MONITOR_MID_X46Y89 ROW 67 TILEPROP MONITOR_MID_X46Y89 SLR_REGION_ID 0 TILEPROP MONITOR_MID_X46Y89 TILE_PATTERN_IDX 5948 TILEPROP MONITOR_MID_X46Y89 TILE_TYPE MONITOR_MID TILEPROP MONITOR_MID_X46Y89 TILE_TYPE_INDEX 108 TILEPROP MONITOR_MID_X46Y89 TILE_X -17084 TILEPROP MONITOR_MID_X46Y89 TILE_Y 49024 TILEPROP MONITOR_MID_X46Y89 TYPE MONITOR_MID TILEPROP MONITOR_TOP_X46Y99 CLASS tile TILEPROP MONITOR_TOP_X46Y99 COLUMN 46 TILEPROP MONITOR_TOP_X46Y99 DEVICE_ID 0 TILEPROP MONITOR_TOP_X46Y99 FIRST_SITE_ID 5522 TILEPROP MONITOR_TOP_X46Y99 GRID_POINT_X 46 TILEPROP MONITOR_TOP_X46Y99 GRID_POINT_Y 57 TILEPROP MONITOR_TOP_X46Y99 INDEX 6601 TILEPROP MONITOR_TOP_X46Y99 INT_TILE_X -1 TILEPROP MONITOR_TOP_X46Y99 INT_TILE_Y -1 TILEPROP MONITOR_TOP_X46Y99 IS_CENTER_TILE 0 TILEPROP MONITOR_TOP_X46Y99 IS_DCM_TILE 0 TILEPROP MONITOR_TOP_X46Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP MONITOR_TOP_X46Y99 IS_GT_SITE_TILE 0 TILEPROP MONITOR_TOP_X46Y99 NAME MONITOR_TOP_X46Y99 TILEPROP MONITOR_TOP_X46Y99 NUM_ARCS 8 TILEPROP MONITOR_TOP_X46Y99 NUM_SITES 0 TILEPROP MONITOR_TOP_X46Y99 ROW 57 TILEPROP MONITOR_TOP_X46Y99 SLR_REGION_ID 0 TILEPROP MONITOR_TOP_X46Y99 TILE_PATTERN_IDX 5608 TILEPROP MONITOR_TOP_X46Y99 TILE_TYPE MONITOR_TOP TILEPROP MONITOR_TOP_X46Y99 TILE_TYPE_INDEX 110 TILEPROP MONITOR_TOP_X46Y99 TILE_X -17084 TILEPROP MONITOR_TOP_X46Y99 TILE_Y 73024 TILEPROP MONITOR_TOP_X46Y99 TYPE MONITOR_TOP TILEPROP NULL_X0Y0 CLASS tile TILEPROP NULL_X0Y0 COLUMN 0 TILEPROP NULL_X0Y0 DEVICE_ID 0 TILEPROP NULL_X0Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X0Y0 GRID_POINT_X 0 TILEPROP NULL_X0Y0 GRID_POINT_Y 156 TILEPROP NULL_X0Y0 INDEX 17940 TILEPROP NULL_X0Y0 INT_TILE_X -1 TILEPROP NULL_X0Y0 INT_TILE_Y -1 TILEPROP NULL_X0Y0 IS_CENTER_TILE 0 TILEPROP NULL_X0Y0 IS_DCM_TILE 0 TILEPROP NULL_X0Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y0 NAME NULL_X0Y0 TILEPROP NULL_X0Y0 NUM_ARCS 0 TILEPROP NULL_X0Y0 NUM_SITES 0 TILEPROP NULL_X0Y0 ROW 156 TILEPROP NULL_X0Y0 SLR_REGION_ID 0 TILEPROP NULL_X0Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y0 TILE_TYPE NULL TILEPROP NULL_X0Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y0 TILE_X -101742 TILEPROP NULL_X0Y0 TILE_Y -244472 TILEPROP NULL_X0Y0 TYPE NULL TILEPROP NULL_X0Y3 CLASS tile TILEPROP NULL_X0Y3 COLUMN 0 TILEPROP NULL_X0Y3 DEVICE_ID 0 TILEPROP NULL_X0Y3 FIRST_SITE_ID 15501 TILEPROP NULL_X0Y3 GRID_POINT_X 0 TILEPROP NULL_X0Y3 GRID_POINT_Y 153 TILEPROP NULL_X0Y3 INDEX 17595 TILEPROP NULL_X0Y3 INT_TILE_X -1 TILEPROP NULL_X0Y3 INT_TILE_Y -1 TILEPROP NULL_X0Y3 IS_CENTER_TILE 0 TILEPROP NULL_X0Y3 IS_DCM_TILE 0 TILEPROP NULL_X0Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y3 NAME NULL_X0Y3 TILEPROP NULL_X0Y3 NUM_ARCS 0 TILEPROP NULL_X0Y3 NUM_SITES 0 TILEPROP NULL_X0Y3 ROW 153 TILEPROP NULL_X0Y3 SLR_REGION_ID 0 TILEPROP NULL_X0Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y3 TILE_TYPE NULL TILEPROP NULL_X0Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y3 TILE_X -101742 TILEPROP NULL_X0Y3 TILE_Y -234872 TILEPROP NULL_X0Y3 TYPE NULL TILEPROP NULL_X0Y5 CLASS tile TILEPROP NULL_X0Y5 COLUMN 0 TILEPROP NULL_X0Y5 DEVICE_ID 0 TILEPROP NULL_X0Y5 FIRST_SITE_ID 15301 TILEPROP NULL_X0Y5 GRID_POINT_X 0 TILEPROP NULL_X0Y5 GRID_POINT_Y 151 TILEPROP NULL_X0Y5 INDEX 17365 TILEPROP NULL_X0Y5 INT_TILE_X -1 TILEPROP NULL_X0Y5 INT_TILE_Y -1 TILEPROP NULL_X0Y5 IS_CENTER_TILE 0 TILEPROP NULL_X0Y5 IS_DCM_TILE 0 TILEPROP NULL_X0Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y5 NAME NULL_X0Y5 TILEPROP NULL_X0Y5 NUM_ARCS 0 TILEPROP NULL_X0Y5 NUM_SITES 0 TILEPROP NULL_X0Y5 ROW 151 TILEPROP NULL_X0Y5 SLR_REGION_ID 0 TILEPROP NULL_X0Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y5 TILE_TYPE NULL TILEPROP NULL_X0Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y5 TILE_X -101742 TILEPROP NULL_X0Y5 TILE_Y -228472 TILEPROP NULL_X0Y5 TYPE NULL TILEPROP NULL_X0Y7 CLASS tile TILEPROP NULL_X0Y7 COLUMN 0 TILEPROP NULL_X0Y7 DEVICE_ID 0 TILEPROP NULL_X0Y7 FIRST_SITE_ID 15086 TILEPROP NULL_X0Y7 GRID_POINT_X 0 TILEPROP NULL_X0Y7 GRID_POINT_Y 149 TILEPROP NULL_X0Y7 INDEX 17135 TILEPROP NULL_X0Y7 INT_TILE_X -1 TILEPROP NULL_X0Y7 INT_TILE_Y -1 TILEPROP NULL_X0Y7 IS_CENTER_TILE 0 TILEPROP NULL_X0Y7 IS_DCM_TILE 0 TILEPROP NULL_X0Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y7 NAME NULL_X0Y7 TILEPROP NULL_X0Y7 NUM_ARCS 0 TILEPROP NULL_X0Y7 NUM_SITES 0 TILEPROP NULL_X0Y7 ROW 149 TILEPROP NULL_X0Y7 SLR_REGION_ID 0 TILEPROP NULL_X0Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y7 TILE_TYPE NULL TILEPROP NULL_X0Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y7 TILE_X -101742 TILEPROP NULL_X0Y7 TILE_Y -222072 TILEPROP NULL_X0Y7 TYPE NULL TILEPROP NULL_X0Y9 CLASS tile TILEPROP NULL_X0Y9 COLUMN 0 TILEPROP NULL_X0Y9 DEVICE_ID 0 TILEPROP NULL_X0Y9 FIRST_SITE_ID 14880 TILEPROP NULL_X0Y9 GRID_POINT_X 0 TILEPROP NULL_X0Y9 GRID_POINT_Y 147 TILEPROP NULL_X0Y9 INDEX 16905 TILEPROP NULL_X0Y9 INT_TILE_X -1 TILEPROP NULL_X0Y9 INT_TILE_Y -1 TILEPROP NULL_X0Y9 IS_CENTER_TILE 0 TILEPROP NULL_X0Y9 IS_DCM_TILE 0 TILEPROP NULL_X0Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y9 NAME NULL_X0Y9 TILEPROP NULL_X0Y9 NUM_ARCS 0 TILEPROP NULL_X0Y9 NUM_SITES 0 TILEPROP NULL_X0Y9 ROW 147 TILEPROP NULL_X0Y9 SLR_REGION_ID 0 TILEPROP NULL_X0Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y9 TILE_TYPE NULL TILEPROP NULL_X0Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y9 TILE_X -101742 TILEPROP NULL_X0Y9 TILE_Y -215672 TILEPROP NULL_X0Y9 TYPE NULL TILEPROP NULL_X0Y11 CLASS tile TILEPROP NULL_X0Y11 COLUMN 0 TILEPROP NULL_X0Y11 DEVICE_ID 0 TILEPROP NULL_X0Y11 FIRST_SITE_ID 14665 TILEPROP NULL_X0Y11 GRID_POINT_X 0 TILEPROP NULL_X0Y11 GRID_POINT_Y 145 TILEPROP NULL_X0Y11 INDEX 16675 TILEPROP NULL_X0Y11 INT_TILE_X -1 TILEPROP NULL_X0Y11 INT_TILE_Y -1 TILEPROP NULL_X0Y11 IS_CENTER_TILE 0 TILEPROP NULL_X0Y11 IS_DCM_TILE 0 TILEPROP NULL_X0Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y11 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y11 NAME NULL_X0Y11 TILEPROP NULL_X0Y11 NUM_ARCS 0 TILEPROP NULL_X0Y11 NUM_SITES 0 TILEPROP NULL_X0Y11 ROW 145 TILEPROP NULL_X0Y11 SLR_REGION_ID 0 TILEPROP NULL_X0Y11 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y11 TILE_TYPE NULL TILEPROP NULL_X0Y11 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y11 TILE_X -101742 TILEPROP NULL_X0Y11 TILE_Y -209272 TILEPROP NULL_X0Y11 TYPE NULL TILEPROP NULL_X0Y13 CLASS tile TILEPROP NULL_X0Y13 COLUMN 0 TILEPROP NULL_X0Y13 DEVICE_ID 0 TILEPROP NULL_X0Y13 FIRST_SITE_ID 14433 TILEPROP NULL_X0Y13 GRID_POINT_X 0 TILEPROP NULL_X0Y13 GRID_POINT_Y 143 TILEPROP NULL_X0Y13 INDEX 16445 TILEPROP NULL_X0Y13 INT_TILE_X -1 TILEPROP NULL_X0Y13 INT_TILE_Y -1 TILEPROP NULL_X0Y13 IS_CENTER_TILE 0 TILEPROP NULL_X0Y13 IS_DCM_TILE 0 TILEPROP NULL_X0Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y13 NAME NULL_X0Y13 TILEPROP NULL_X0Y13 NUM_ARCS 0 TILEPROP NULL_X0Y13 NUM_SITES 0 TILEPROP NULL_X0Y13 ROW 143 TILEPROP NULL_X0Y13 SLR_REGION_ID 0 TILEPROP NULL_X0Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y13 TILE_TYPE NULL TILEPROP NULL_X0Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y13 TILE_X -101742 TILEPROP NULL_X0Y13 TILE_Y -202872 TILEPROP NULL_X0Y13 TYPE NULL TILEPROP NULL_X0Y15 CLASS tile TILEPROP NULL_X0Y15 COLUMN 0 TILEPROP NULL_X0Y15 DEVICE_ID 0 TILEPROP NULL_X0Y15 FIRST_SITE_ID 14233 TILEPROP NULL_X0Y15 GRID_POINT_X 0 TILEPROP NULL_X0Y15 GRID_POINT_Y 141 TILEPROP NULL_X0Y15 INDEX 16215 TILEPROP NULL_X0Y15 INT_TILE_X -1 TILEPROP NULL_X0Y15 INT_TILE_Y -1 TILEPROP NULL_X0Y15 IS_CENTER_TILE 0 TILEPROP NULL_X0Y15 IS_DCM_TILE 0 TILEPROP NULL_X0Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y15 NAME NULL_X0Y15 TILEPROP NULL_X0Y15 NUM_ARCS 0 TILEPROP NULL_X0Y15 NUM_SITES 0 TILEPROP NULL_X0Y15 ROW 141 TILEPROP NULL_X0Y15 SLR_REGION_ID 0 TILEPROP NULL_X0Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y15 TILE_TYPE NULL TILEPROP NULL_X0Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y15 TILE_X -101742 TILEPROP NULL_X0Y15 TILE_Y -196472 TILEPROP NULL_X0Y15 TYPE NULL TILEPROP NULL_X0Y17 CLASS tile TILEPROP NULL_X0Y17 COLUMN 0 TILEPROP NULL_X0Y17 DEVICE_ID 0 TILEPROP NULL_X0Y17 FIRST_SITE_ID 14018 TILEPROP NULL_X0Y17 GRID_POINT_X 0 TILEPROP NULL_X0Y17 GRID_POINT_Y 139 TILEPROP NULL_X0Y17 INDEX 15985 TILEPROP NULL_X0Y17 INT_TILE_X -1 TILEPROP NULL_X0Y17 INT_TILE_Y -1 TILEPROP NULL_X0Y17 IS_CENTER_TILE 0 TILEPROP NULL_X0Y17 IS_DCM_TILE 0 TILEPROP NULL_X0Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y17 NAME NULL_X0Y17 TILEPROP NULL_X0Y17 NUM_ARCS 0 TILEPROP NULL_X0Y17 NUM_SITES 0 TILEPROP NULL_X0Y17 ROW 139 TILEPROP NULL_X0Y17 SLR_REGION_ID 0 TILEPROP NULL_X0Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y17 TILE_TYPE NULL TILEPROP NULL_X0Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y17 TILE_X -101742 TILEPROP NULL_X0Y17 TILE_Y -190072 TILEPROP NULL_X0Y17 TYPE NULL TILEPROP NULL_X0Y19 CLASS tile TILEPROP NULL_X0Y19 COLUMN 0 TILEPROP NULL_X0Y19 DEVICE_ID 0 TILEPROP NULL_X0Y19 FIRST_SITE_ID 13809 TILEPROP NULL_X0Y19 GRID_POINT_X 0 TILEPROP NULL_X0Y19 GRID_POINT_Y 137 TILEPROP NULL_X0Y19 INDEX 15755 TILEPROP NULL_X0Y19 INT_TILE_X -1 TILEPROP NULL_X0Y19 INT_TILE_Y -1 TILEPROP NULL_X0Y19 IS_CENTER_TILE 0 TILEPROP NULL_X0Y19 IS_DCM_TILE 0 TILEPROP NULL_X0Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y19 NAME NULL_X0Y19 TILEPROP NULL_X0Y19 NUM_ARCS 0 TILEPROP NULL_X0Y19 NUM_SITES 0 TILEPROP NULL_X0Y19 ROW 137 TILEPROP NULL_X0Y19 SLR_REGION_ID 0 TILEPROP NULL_X0Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y19 TILE_TYPE NULL TILEPROP NULL_X0Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y19 TILE_X -101742 TILEPROP NULL_X0Y19 TILE_Y -183672 TILEPROP NULL_X0Y19 TYPE NULL TILEPROP NULL_X0Y21 CLASS tile TILEPROP NULL_X0Y21 COLUMN 0 TILEPROP NULL_X0Y21 DEVICE_ID 0 TILEPROP NULL_X0Y21 FIRST_SITE_ID 13590 TILEPROP NULL_X0Y21 GRID_POINT_X 0 TILEPROP NULL_X0Y21 GRID_POINT_Y 135 TILEPROP NULL_X0Y21 INDEX 15525 TILEPROP NULL_X0Y21 INT_TILE_X -1 TILEPROP NULL_X0Y21 INT_TILE_Y -1 TILEPROP NULL_X0Y21 IS_CENTER_TILE 0 TILEPROP NULL_X0Y21 IS_DCM_TILE 0 TILEPROP NULL_X0Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y21 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y21 NAME NULL_X0Y21 TILEPROP NULL_X0Y21 NUM_ARCS 0 TILEPROP NULL_X0Y21 NUM_SITES 0 TILEPROP NULL_X0Y21 ROW 135 TILEPROP NULL_X0Y21 SLR_REGION_ID 0 TILEPROP NULL_X0Y21 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y21 TILE_TYPE NULL TILEPROP NULL_X0Y21 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y21 TILE_X -101742 TILEPROP NULL_X0Y21 TILE_Y -177272 TILEPROP NULL_X0Y21 TYPE NULL TILEPROP NULL_X0Y23 CLASS tile TILEPROP NULL_X0Y23 COLUMN 0 TILEPROP NULL_X0Y23 DEVICE_ID 0 TILEPROP NULL_X0Y23 FIRST_SITE_ID 13390 TILEPROP NULL_X0Y23 GRID_POINT_X 0 TILEPROP NULL_X0Y23 GRID_POINT_Y 133 TILEPROP NULL_X0Y23 INDEX 15295 TILEPROP NULL_X0Y23 INT_TILE_X -1 TILEPROP NULL_X0Y23 INT_TILE_Y -1 TILEPROP NULL_X0Y23 IS_CENTER_TILE 0 TILEPROP NULL_X0Y23 IS_DCM_TILE 0 TILEPROP NULL_X0Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y23 NAME NULL_X0Y23 TILEPROP NULL_X0Y23 NUM_ARCS 0 TILEPROP NULL_X0Y23 NUM_SITES 0 TILEPROP NULL_X0Y23 ROW 133 TILEPROP NULL_X0Y23 SLR_REGION_ID 0 TILEPROP NULL_X0Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y23 TILE_TYPE NULL TILEPROP NULL_X0Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y23 TILE_X -101742 TILEPROP NULL_X0Y23 TILE_Y -170872 TILEPROP NULL_X0Y23 TYPE NULL TILEPROP NULL_X0Y25 CLASS tile TILEPROP NULL_X0Y25 COLUMN 0 TILEPROP NULL_X0Y25 DEVICE_ID 0 TILEPROP NULL_X0Y25 FIRST_SITE_ID 13190 TILEPROP NULL_X0Y25 GRID_POINT_X 0 TILEPROP NULL_X0Y25 GRID_POINT_Y 131 TILEPROP NULL_X0Y25 INDEX 15065 TILEPROP NULL_X0Y25 INT_TILE_X -1 TILEPROP NULL_X0Y25 INT_TILE_Y -1 TILEPROP NULL_X0Y25 IS_CENTER_TILE 0 TILEPROP NULL_X0Y25 IS_DCM_TILE 0 TILEPROP NULL_X0Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y25 NAME NULL_X0Y25 TILEPROP NULL_X0Y25 NUM_ARCS 0 TILEPROP NULL_X0Y25 NUM_SITES 0 TILEPROP NULL_X0Y25 ROW 131 TILEPROP NULL_X0Y25 SLR_REGION_ID 0 TILEPROP NULL_X0Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y25 TILE_TYPE NULL TILEPROP NULL_X0Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y25 TILE_X -101742 TILEPROP NULL_X0Y25 TILE_Y -164472 TILEPROP NULL_X0Y25 TYPE NULL TILEPROP NULL_X0Y28 CLASS tile TILEPROP NULL_X0Y28 COLUMN 0 TILEPROP NULL_X0Y28 DEVICE_ID 0 TILEPROP NULL_X0Y28 FIRST_SITE_ID 12892 TILEPROP NULL_X0Y28 GRID_POINT_X 0 TILEPROP NULL_X0Y28 GRID_POINT_Y 128 TILEPROP NULL_X0Y28 INDEX 14720 TILEPROP NULL_X0Y28 INT_TILE_X -1 TILEPROP NULL_X0Y28 INT_TILE_Y -1 TILEPROP NULL_X0Y28 IS_CENTER_TILE 0 TILEPROP NULL_X0Y28 IS_DCM_TILE 0 TILEPROP NULL_X0Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y28 NAME NULL_X0Y28 TILEPROP NULL_X0Y28 NUM_ARCS 0 TILEPROP NULL_X0Y28 NUM_SITES 0 TILEPROP NULL_X0Y28 ROW 128 TILEPROP NULL_X0Y28 SLR_REGION_ID 0 TILEPROP NULL_X0Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y28 TILE_TYPE NULL TILEPROP NULL_X0Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y28 TILE_X -101742 TILEPROP NULL_X0Y28 TILE_Y -157048 TILEPROP NULL_X0Y28 TYPE NULL TILEPROP NULL_X0Y30 CLASS tile TILEPROP NULL_X0Y30 COLUMN 0 TILEPROP NULL_X0Y30 DEVICE_ID 0 TILEPROP NULL_X0Y30 FIRST_SITE_ID 12692 TILEPROP NULL_X0Y30 GRID_POINT_X 0 TILEPROP NULL_X0Y30 GRID_POINT_Y 126 TILEPROP NULL_X0Y30 INDEX 14490 TILEPROP NULL_X0Y30 INT_TILE_X -1 TILEPROP NULL_X0Y30 INT_TILE_Y -1 TILEPROP NULL_X0Y30 IS_CENTER_TILE 0 TILEPROP NULL_X0Y30 IS_DCM_TILE 0 TILEPROP NULL_X0Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y30 NAME NULL_X0Y30 TILEPROP NULL_X0Y30 NUM_ARCS 0 TILEPROP NULL_X0Y30 NUM_SITES 0 TILEPROP NULL_X0Y30 ROW 126 TILEPROP NULL_X0Y30 SLR_REGION_ID 0 TILEPROP NULL_X0Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y30 TILE_TYPE NULL TILEPROP NULL_X0Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y30 TILE_X -101742 TILEPROP NULL_X0Y30 TILE_Y -150648 TILEPROP NULL_X0Y30 TYPE NULL TILEPROP NULL_X0Y32 CLASS tile TILEPROP NULL_X0Y32 COLUMN 0 TILEPROP NULL_X0Y32 DEVICE_ID 0 TILEPROP NULL_X0Y32 FIRST_SITE_ID 12452 TILEPROP NULL_X0Y32 GRID_POINT_X 0 TILEPROP NULL_X0Y32 GRID_POINT_Y 124 TILEPROP NULL_X0Y32 INDEX 14260 TILEPROP NULL_X0Y32 INT_TILE_X -1 TILEPROP NULL_X0Y32 INT_TILE_Y -1 TILEPROP NULL_X0Y32 IS_CENTER_TILE 0 TILEPROP NULL_X0Y32 IS_DCM_TILE 0 TILEPROP NULL_X0Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y32 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y32 NAME NULL_X0Y32 TILEPROP NULL_X0Y32 NUM_ARCS 0 TILEPROP NULL_X0Y32 NUM_SITES 0 TILEPROP NULL_X0Y32 ROW 124 TILEPROP NULL_X0Y32 SLR_REGION_ID 0 TILEPROP NULL_X0Y32 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y32 TILE_TYPE NULL TILEPROP NULL_X0Y32 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y32 TILE_X -101742 TILEPROP NULL_X0Y32 TILE_Y -144248 TILEPROP NULL_X0Y32 TYPE NULL TILEPROP NULL_X0Y34 CLASS tile TILEPROP NULL_X0Y34 COLUMN 0 TILEPROP NULL_X0Y34 DEVICE_ID 0 TILEPROP NULL_X0Y34 FIRST_SITE_ID 12247 TILEPROP NULL_X0Y34 GRID_POINT_X 0 TILEPROP NULL_X0Y34 GRID_POINT_Y 122 TILEPROP NULL_X0Y34 INDEX 14030 TILEPROP NULL_X0Y34 INT_TILE_X -1 TILEPROP NULL_X0Y34 INT_TILE_Y -1 TILEPROP NULL_X0Y34 IS_CENTER_TILE 0 TILEPROP NULL_X0Y34 IS_DCM_TILE 0 TILEPROP NULL_X0Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y34 NAME NULL_X0Y34 TILEPROP NULL_X0Y34 NUM_ARCS 0 TILEPROP NULL_X0Y34 NUM_SITES 0 TILEPROP NULL_X0Y34 ROW 122 TILEPROP NULL_X0Y34 SLR_REGION_ID 0 TILEPROP NULL_X0Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y34 TILE_TYPE NULL TILEPROP NULL_X0Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y34 TILE_X -101742 TILEPROP NULL_X0Y34 TILE_Y -137848 TILEPROP NULL_X0Y34 TYPE NULL TILEPROP NULL_X0Y36 CLASS tile TILEPROP NULL_X0Y36 COLUMN 0 TILEPROP NULL_X0Y36 DEVICE_ID 0 TILEPROP NULL_X0Y36 FIRST_SITE_ID 12047 TILEPROP NULL_X0Y36 GRID_POINT_X 0 TILEPROP NULL_X0Y36 GRID_POINT_Y 120 TILEPROP NULL_X0Y36 INDEX 13800 TILEPROP NULL_X0Y36 INT_TILE_X -1 TILEPROP NULL_X0Y36 INT_TILE_Y -1 TILEPROP NULL_X0Y36 IS_CENTER_TILE 0 TILEPROP NULL_X0Y36 IS_DCM_TILE 0 TILEPROP NULL_X0Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y36 NAME NULL_X0Y36 TILEPROP NULL_X0Y36 NUM_ARCS 0 TILEPROP NULL_X0Y36 NUM_SITES 0 TILEPROP NULL_X0Y36 ROW 120 TILEPROP NULL_X0Y36 SLR_REGION_ID 0 TILEPROP NULL_X0Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y36 TILE_TYPE NULL TILEPROP NULL_X0Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y36 TILE_X -101742 TILEPROP NULL_X0Y36 TILE_Y -131448 TILEPROP NULL_X0Y36 TYPE NULL TILEPROP NULL_X0Y38 CLASS tile TILEPROP NULL_X0Y38 COLUMN 0 TILEPROP NULL_X0Y38 DEVICE_ID 0 TILEPROP NULL_X0Y38 FIRST_SITE_ID 11800 TILEPROP NULL_X0Y38 GRID_POINT_X 0 TILEPROP NULL_X0Y38 GRID_POINT_Y 118 TILEPROP NULL_X0Y38 INDEX 13570 TILEPROP NULL_X0Y38 INT_TILE_X -1 TILEPROP NULL_X0Y38 INT_TILE_Y -1 TILEPROP NULL_X0Y38 IS_CENTER_TILE 0 TILEPROP NULL_X0Y38 IS_DCM_TILE 0 TILEPROP NULL_X0Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y38 NAME NULL_X0Y38 TILEPROP NULL_X0Y38 NUM_ARCS 0 TILEPROP NULL_X0Y38 NUM_SITES 0 TILEPROP NULL_X0Y38 ROW 118 TILEPROP NULL_X0Y38 SLR_REGION_ID 0 TILEPROP NULL_X0Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y38 TILE_TYPE NULL TILEPROP NULL_X0Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y38 TILE_X -101742 TILEPROP NULL_X0Y38 TILE_Y -125048 TILEPROP NULL_X0Y38 TYPE NULL TILEPROP NULL_X0Y40 CLASS tile TILEPROP NULL_X0Y40 COLUMN 0 TILEPROP NULL_X0Y40 DEVICE_ID 0 TILEPROP NULL_X0Y40 FIRST_SITE_ID 11600 TILEPROP NULL_X0Y40 GRID_POINT_X 0 TILEPROP NULL_X0Y40 GRID_POINT_Y 116 TILEPROP NULL_X0Y40 INDEX 13340 TILEPROP NULL_X0Y40 INT_TILE_X -1 TILEPROP NULL_X0Y40 INT_TILE_Y -1 TILEPROP NULL_X0Y40 IS_CENTER_TILE 0 TILEPROP NULL_X0Y40 IS_DCM_TILE 0 TILEPROP NULL_X0Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y40 NAME NULL_X0Y40 TILEPROP NULL_X0Y40 NUM_ARCS 0 TILEPROP NULL_X0Y40 NUM_SITES 0 TILEPROP NULL_X0Y40 ROW 116 TILEPROP NULL_X0Y40 SLR_REGION_ID 0 TILEPROP NULL_X0Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y40 TILE_TYPE NULL TILEPROP NULL_X0Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y40 TILE_X -101742 TILEPROP NULL_X0Y40 TILE_Y -118648 TILEPROP NULL_X0Y40 TYPE NULL TILEPROP NULL_X0Y42 CLASS tile TILEPROP NULL_X0Y42 COLUMN 0 TILEPROP NULL_X0Y42 DEVICE_ID 0 TILEPROP NULL_X0Y42 FIRST_SITE_ID 11383 TILEPROP NULL_X0Y42 GRID_POINT_X 0 TILEPROP NULL_X0Y42 GRID_POINT_Y 114 TILEPROP NULL_X0Y42 INDEX 13110 TILEPROP NULL_X0Y42 INT_TILE_X -1 TILEPROP NULL_X0Y42 INT_TILE_Y -1 TILEPROP NULL_X0Y42 IS_CENTER_TILE 0 TILEPROP NULL_X0Y42 IS_DCM_TILE 0 TILEPROP NULL_X0Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y42 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y42 NAME NULL_X0Y42 TILEPROP NULL_X0Y42 NUM_ARCS 0 TILEPROP NULL_X0Y42 NUM_SITES 0 TILEPROP NULL_X0Y42 ROW 114 TILEPROP NULL_X0Y42 SLR_REGION_ID 0 TILEPROP NULL_X0Y42 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y42 TILE_TYPE NULL TILEPROP NULL_X0Y42 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y42 TILE_X -101742 TILEPROP NULL_X0Y42 TILE_Y -112248 TILEPROP NULL_X0Y42 TYPE NULL TILEPROP NULL_X0Y44 CLASS tile TILEPROP NULL_X0Y44 COLUMN 0 TILEPROP NULL_X0Y44 DEVICE_ID 0 TILEPROP NULL_X0Y44 FIRST_SITE_ID 11180 TILEPROP NULL_X0Y44 GRID_POINT_X 0 TILEPROP NULL_X0Y44 GRID_POINT_Y 112 TILEPROP NULL_X0Y44 INDEX 12880 TILEPROP NULL_X0Y44 INT_TILE_X -1 TILEPROP NULL_X0Y44 INT_TILE_Y -1 TILEPROP NULL_X0Y44 IS_CENTER_TILE 0 TILEPROP NULL_X0Y44 IS_DCM_TILE 0 TILEPROP NULL_X0Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y44 NAME NULL_X0Y44 TILEPROP NULL_X0Y44 NUM_ARCS 0 TILEPROP NULL_X0Y44 NUM_SITES 0 TILEPROP NULL_X0Y44 ROW 112 TILEPROP NULL_X0Y44 SLR_REGION_ID 0 TILEPROP NULL_X0Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y44 TILE_TYPE NULL TILEPROP NULL_X0Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y44 TILE_X -101742 TILEPROP NULL_X0Y44 TILE_Y -105848 TILEPROP NULL_X0Y44 TYPE NULL TILEPROP NULL_X0Y46 CLASS tile TILEPROP NULL_X0Y46 COLUMN 0 TILEPROP NULL_X0Y46 DEVICE_ID 0 TILEPROP NULL_X0Y46 FIRST_SITE_ID 10976 TILEPROP NULL_X0Y46 GRID_POINT_X 0 TILEPROP NULL_X0Y46 GRID_POINT_Y 110 TILEPROP NULL_X0Y46 INDEX 12650 TILEPROP NULL_X0Y46 INT_TILE_X -1 TILEPROP NULL_X0Y46 INT_TILE_Y -1 TILEPROP NULL_X0Y46 IS_CENTER_TILE 0 TILEPROP NULL_X0Y46 IS_DCM_TILE 0 TILEPROP NULL_X0Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y46 NAME NULL_X0Y46 TILEPROP NULL_X0Y46 NUM_ARCS 0 TILEPROP NULL_X0Y46 NUM_SITES 0 TILEPROP NULL_X0Y46 ROW 110 TILEPROP NULL_X0Y46 SLR_REGION_ID 0 TILEPROP NULL_X0Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y46 TILE_TYPE NULL TILEPROP NULL_X0Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y46 TILE_X -101742 TILEPROP NULL_X0Y46 TILE_Y -99448 TILEPROP NULL_X0Y46 TYPE NULL TILEPROP NULL_X0Y48 CLASS tile TILEPROP NULL_X0Y48 COLUMN 0 TILEPROP NULL_X0Y48 DEVICE_ID 0 TILEPROP NULL_X0Y48 FIRST_SITE_ID 10744 TILEPROP NULL_X0Y48 GRID_POINT_X 0 TILEPROP NULL_X0Y48 GRID_POINT_Y 108 TILEPROP NULL_X0Y48 INDEX 12420 TILEPROP NULL_X0Y48 INT_TILE_X -1 TILEPROP NULL_X0Y48 INT_TILE_Y -1 TILEPROP NULL_X0Y48 IS_CENTER_TILE 0 TILEPROP NULL_X0Y48 IS_DCM_TILE 0 TILEPROP NULL_X0Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y48 NAME NULL_X0Y48 TILEPROP NULL_X0Y48 NUM_ARCS 0 TILEPROP NULL_X0Y48 NUM_SITES 0 TILEPROP NULL_X0Y48 ROW 108 TILEPROP NULL_X0Y48 SLR_REGION_ID 0 TILEPROP NULL_X0Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y48 TILE_TYPE NULL TILEPROP NULL_X0Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y48 TILE_X -101742 TILEPROP NULL_X0Y48 TILE_Y -93048 TILEPROP NULL_X0Y48 TYPE NULL TILEPROP NULL_X0Y50 CLASS tile TILEPROP NULL_X0Y50 COLUMN 0 TILEPROP NULL_X0Y50 DEVICE_ID 0 TILEPROP NULL_X0Y50 FIRST_SITE_ID 10544 TILEPROP NULL_X0Y50 GRID_POINT_X 0 TILEPROP NULL_X0Y50 GRID_POINT_Y 106 TILEPROP NULL_X0Y50 INDEX 12190 TILEPROP NULL_X0Y50 INT_TILE_X -1 TILEPROP NULL_X0Y50 INT_TILE_Y -1 TILEPROP NULL_X0Y50 IS_CENTER_TILE 0 TILEPROP NULL_X0Y50 IS_DCM_TILE 0 TILEPROP NULL_X0Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y50 NAME NULL_X0Y50 TILEPROP NULL_X0Y50 NUM_ARCS 0 TILEPROP NULL_X0Y50 NUM_SITES 0 TILEPROP NULL_X0Y50 ROW 106 TILEPROP NULL_X0Y50 SLR_REGION_ID 0 TILEPROP NULL_X0Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y50 TILE_TYPE NULL TILEPROP NULL_X0Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y50 TILE_X -101742 TILEPROP NULL_X0Y50 TILE_Y -86648 TILEPROP NULL_X0Y50 TYPE NULL TILEPROP NULL_X0Y52 CLASS tile TILEPROP NULL_X0Y52 COLUMN 0 TILEPROP NULL_X0Y52 DEVICE_ID 0 TILEPROP NULL_X0Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X0Y52 GRID_POINT_X 0 TILEPROP NULL_X0Y52 GRID_POINT_Y 104 TILEPROP NULL_X0Y52 INDEX 11960 TILEPROP NULL_X0Y52 INT_TILE_X -1 TILEPROP NULL_X0Y52 INT_TILE_Y -1 TILEPROP NULL_X0Y52 IS_CENTER_TILE 0 TILEPROP NULL_X0Y52 IS_DCM_TILE 0 TILEPROP NULL_X0Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y52 NAME NULL_X0Y52 TILEPROP NULL_X0Y52 NUM_ARCS 0 TILEPROP NULL_X0Y52 NUM_SITES 0 TILEPROP NULL_X0Y52 ROW 104 TILEPROP NULL_X0Y52 SLR_REGION_ID 0 TILEPROP NULL_X0Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y52 TILE_TYPE NULL TILEPROP NULL_X0Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y52 TILE_X -101742 TILEPROP NULL_X0Y52 TILE_Y -80248 TILEPROP NULL_X0Y52 TYPE NULL TILEPROP NULL_X0Y55 CLASS tile TILEPROP NULL_X0Y55 COLUMN 0 TILEPROP NULL_X0Y55 DEVICE_ID 0 TILEPROP NULL_X0Y55 FIRST_SITE_ID 10113 TILEPROP NULL_X0Y55 GRID_POINT_X 0 TILEPROP NULL_X0Y55 GRID_POINT_Y 101 TILEPROP NULL_X0Y55 INDEX 11615 TILEPROP NULL_X0Y55 INT_TILE_X -1 TILEPROP NULL_X0Y55 INT_TILE_Y -1 TILEPROP NULL_X0Y55 IS_CENTER_TILE 0 TILEPROP NULL_X0Y55 IS_DCM_TILE 0 TILEPROP NULL_X0Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y55 NAME NULL_X0Y55 TILEPROP NULL_X0Y55 NUM_ARCS 0 TILEPROP NULL_X0Y55 NUM_SITES 0 TILEPROP NULL_X0Y55 ROW 101 TILEPROP NULL_X0Y55 SLR_REGION_ID 0 TILEPROP NULL_X0Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y55 TILE_TYPE NULL TILEPROP NULL_X0Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y55 TILE_X -101742 TILEPROP NULL_X0Y55 TILE_Y -73600 TILEPROP NULL_X0Y55 TYPE NULL TILEPROP NULL_X0Y57 CLASS tile TILEPROP NULL_X0Y57 COLUMN 0 TILEPROP NULL_X0Y57 DEVICE_ID 0 TILEPROP NULL_X0Y57 FIRST_SITE_ID 9913 TILEPROP NULL_X0Y57 GRID_POINT_X 0 TILEPROP NULL_X0Y57 GRID_POINT_Y 99 TILEPROP NULL_X0Y57 INDEX 11385 TILEPROP NULL_X0Y57 INT_TILE_X -1 TILEPROP NULL_X0Y57 INT_TILE_Y -1 TILEPROP NULL_X0Y57 IS_CENTER_TILE 0 TILEPROP NULL_X0Y57 IS_DCM_TILE 0 TILEPROP NULL_X0Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y57 NAME NULL_X0Y57 TILEPROP NULL_X0Y57 NUM_ARCS 0 TILEPROP NULL_X0Y57 NUM_SITES 0 TILEPROP NULL_X0Y57 ROW 99 TILEPROP NULL_X0Y57 SLR_REGION_ID 0 TILEPROP NULL_X0Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y57 TILE_TYPE NULL TILEPROP NULL_X0Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y57 TILE_X -101742 TILEPROP NULL_X0Y57 TILE_Y -67200 TILEPROP NULL_X0Y57 TYPE NULL TILEPROP NULL_X0Y59 CLASS tile TILEPROP NULL_X0Y59 COLUMN 0 TILEPROP NULL_X0Y59 DEVICE_ID 0 TILEPROP NULL_X0Y59 FIRST_SITE_ID 9698 TILEPROP NULL_X0Y59 GRID_POINT_X 0 TILEPROP NULL_X0Y59 GRID_POINT_Y 97 TILEPROP NULL_X0Y59 INDEX 11155 TILEPROP NULL_X0Y59 INT_TILE_X -1 TILEPROP NULL_X0Y59 INT_TILE_Y -1 TILEPROP NULL_X0Y59 IS_CENTER_TILE 0 TILEPROP NULL_X0Y59 IS_DCM_TILE 0 TILEPROP NULL_X0Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y59 NAME NULL_X0Y59 TILEPROP NULL_X0Y59 NUM_ARCS 0 TILEPROP NULL_X0Y59 NUM_SITES 0 TILEPROP NULL_X0Y59 ROW 97 TILEPROP NULL_X0Y59 SLR_REGION_ID 0 TILEPROP NULL_X0Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y59 TILE_TYPE NULL TILEPROP NULL_X0Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y59 TILE_X -101742 TILEPROP NULL_X0Y59 TILE_Y -60800 TILEPROP NULL_X0Y59 TYPE NULL TILEPROP NULL_X0Y61 CLASS tile TILEPROP NULL_X0Y61 COLUMN 0 TILEPROP NULL_X0Y61 DEVICE_ID 0 TILEPROP NULL_X0Y61 FIRST_SITE_ID 9492 TILEPROP NULL_X0Y61 GRID_POINT_X 0 TILEPROP NULL_X0Y61 GRID_POINT_Y 95 TILEPROP NULL_X0Y61 INDEX 10925 TILEPROP NULL_X0Y61 INT_TILE_X -1 TILEPROP NULL_X0Y61 INT_TILE_Y -1 TILEPROP NULL_X0Y61 IS_CENTER_TILE 0 TILEPROP NULL_X0Y61 IS_DCM_TILE 0 TILEPROP NULL_X0Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y61 NAME NULL_X0Y61 TILEPROP NULL_X0Y61 NUM_ARCS 0 TILEPROP NULL_X0Y61 NUM_SITES 0 TILEPROP NULL_X0Y61 ROW 95 TILEPROP NULL_X0Y61 SLR_REGION_ID 0 TILEPROP NULL_X0Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y61 TILE_TYPE NULL TILEPROP NULL_X0Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y61 TILE_X -101742 TILEPROP NULL_X0Y61 TILE_Y -54400 TILEPROP NULL_X0Y61 TYPE NULL TILEPROP NULL_X0Y63 CLASS tile TILEPROP NULL_X0Y63 COLUMN 0 TILEPROP NULL_X0Y63 DEVICE_ID 0 TILEPROP NULL_X0Y63 FIRST_SITE_ID 9277 TILEPROP NULL_X0Y63 GRID_POINT_X 0 TILEPROP NULL_X0Y63 GRID_POINT_Y 93 TILEPROP NULL_X0Y63 INDEX 10695 TILEPROP NULL_X0Y63 INT_TILE_X -1 TILEPROP NULL_X0Y63 INT_TILE_Y -1 TILEPROP NULL_X0Y63 IS_CENTER_TILE 0 TILEPROP NULL_X0Y63 IS_DCM_TILE 0 TILEPROP NULL_X0Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y63 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y63 NAME NULL_X0Y63 TILEPROP NULL_X0Y63 NUM_ARCS 0 TILEPROP NULL_X0Y63 NUM_SITES 0 TILEPROP NULL_X0Y63 ROW 93 TILEPROP NULL_X0Y63 SLR_REGION_ID 0 TILEPROP NULL_X0Y63 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y63 TILE_TYPE NULL TILEPROP NULL_X0Y63 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y63 TILE_X -101742 TILEPROP NULL_X0Y63 TILE_Y -48000 TILEPROP NULL_X0Y63 TYPE NULL TILEPROP NULL_X0Y65 CLASS tile TILEPROP NULL_X0Y65 COLUMN 0 TILEPROP NULL_X0Y65 DEVICE_ID 0 TILEPROP NULL_X0Y65 FIRST_SITE_ID 9045 TILEPROP NULL_X0Y65 GRID_POINT_X 0 TILEPROP NULL_X0Y65 GRID_POINT_Y 91 TILEPROP NULL_X0Y65 INDEX 10465 TILEPROP NULL_X0Y65 INT_TILE_X -1 TILEPROP NULL_X0Y65 INT_TILE_Y -1 TILEPROP NULL_X0Y65 IS_CENTER_TILE 0 TILEPROP NULL_X0Y65 IS_DCM_TILE 0 TILEPROP NULL_X0Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y65 NAME NULL_X0Y65 TILEPROP NULL_X0Y65 NUM_ARCS 0 TILEPROP NULL_X0Y65 NUM_SITES 0 TILEPROP NULL_X0Y65 ROW 91 TILEPROP NULL_X0Y65 SLR_REGION_ID 0 TILEPROP NULL_X0Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y65 TILE_TYPE NULL TILEPROP NULL_X0Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y65 TILE_X -101742 TILEPROP NULL_X0Y65 TILE_Y -41600 TILEPROP NULL_X0Y65 TYPE NULL TILEPROP NULL_X0Y67 CLASS tile TILEPROP NULL_X0Y67 COLUMN 0 TILEPROP NULL_X0Y67 DEVICE_ID 0 TILEPROP NULL_X0Y67 FIRST_SITE_ID 8845 TILEPROP NULL_X0Y67 GRID_POINT_X 0 TILEPROP NULL_X0Y67 GRID_POINT_Y 89 TILEPROP NULL_X0Y67 INDEX 10235 TILEPROP NULL_X0Y67 INT_TILE_X -1 TILEPROP NULL_X0Y67 INT_TILE_Y -1 TILEPROP NULL_X0Y67 IS_CENTER_TILE 0 TILEPROP NULL_X0Y67 IS_DCM_TILE 0 TILEPROP NULL_X0Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y67 NAME NULL_X0Y67 TILEPROP NULL_X0Y67 NUM_ARCS 0 TILEPROP NULL_X0Y67 NUM_SITES 0 TILEPROP NULL_X0Y67 ROW 89 TILEPROP NULL_X0Y67 SLR_REGION_ID 0 TILEPROP NULL_X0Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y67 TILE_TYPE NULL TILEPROP NULL_X0Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y67 TILE_X -101742 TILEPROP NULL_X0Y67 TILE_Y -35200 TILEPROP NULL_X0Y67 TYPE NULL TILEPROP NULL_X0Y69 CLASS tile TILEPROP NULL_X0Y69 COLUMN 0 TILEPROP NULL_X0Y69 DEVICE_ID 0 TILEPROP NULL_X0Y69 FIRST_SITE_ID 8630 TILEPROP NULL_X0Y69 GRID_POINT_X 0 TILEPROP NULL_X0Y69 GRID_POINT_Y 87 TILEPROP NULL_X0Y69 INDEX 10005 TILEPROP NULL_X0Y69 INT_TILE_X -1 TILEPROP NULL_X0Y69 INT_TILE_Y -1 TILEPROP NULL_X0Y69 IS_CENTER_TILE 0 TILEPROP NULL_X0Y69 IS_DCM_TILE 0 TILEPROP NULL_X0Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y69 NAME NULL_X0Y69 TILEPROP NULL_X0Y69 NUM_ARCS 0 TILEPROP NULL_X0Y69 NUM_SITES 0 TILEPROP NULL_X0Y69 ROW 87 TILEPROP NULL_X0Y69 SLR_REGION_ID 0 TILEPROP NULL_X0Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y69 TILE_TYPE NULL TILEPROP NULL_X0Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y69 TILE_X -101742 TILEPROP NULL_X0Y69 TILE_Y -28800 TILEPROP NULL_X0Y69 TYPE NULL TILEPROP NULL_X0Y71 CLASS tile TILEPROP NULL_X0Y71 COLUMN 0 TILEPROP NULL_X0Y71 DEVICE_ID 0 TILEPROP NULL_X0Y71 FIRST_SITE_ID 8422 TILEPROP NULL_X0Y71 GRID_POINT_X 0 TILEPROP NULL_X0Y71 GRID_POINT_Y 85 TILEPROP NULL_X0Y71 INDEX 9775 TILEPROP NULL_X0Y71 INT_TILE_X -1 TILEPROP NULL_X0Y71 INT_TILE_Y -1 TILEPROP NULL_X0Y71 IS_CENTER_TILE 0 TILEPROP NULL_X0Y71 IS_DCM_TILE 0 TILEPROP NULL_X0Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y71 NAME NULL_X0Y71 TILEPROP NULL_X0Y71 NUM_ARCS 0 TILEPROP NULL_X0Y71 NUM_SITES 0 TILEPROP NULL_X0Y71 ROW 85 TILEPROP NULL_X0Y71 SLR_REGION_ID 0 TILEPROP NULL_X0Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y71 TILE_TYPE NULL TILEPROP NULL_X0Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y71 TILE_X -101742 TILEPROP NULL_X0Y71 TILE_Y -22400 TILEPROP NULL_X0Y71 TYPE NULL TILEPROP NULL_X0Y73 CLASS tile TILEPROP NULL_X0Y73 COLUMN 0 TILEPROP NULL_X0Y73 DEVICE_ID 0 TILEPROP NULL_X0Y73 FIRST_SITE_ID 8203 TILEPROP NULL_X0Y73 GRID_POINT_X 0 TILEPROP NULL_X0Y73 GRID_POINT_Y 83 TILEPROP NULL_X0Y73 INDEX 9545 TILEPROP NULL_X0Y73 INT_TILE_X -1 TILEPROP NULL_X0Y73 INT_TILE_Y -1 TILEPROP NULL_X0Y73 IS_CENTER_TILE 0 TILEPROP NULL_X0Y73 IS_DCM_TILE 0 TILEPROP NULL_X0Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y73 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y73 NAME NULL_X0Y73 TILEPROP NULL_X0Y73 NUM_ARCS 0 TILEPROP NULL_X0Y73 NUM_SITES 0 TILEPROP NULL_X0Y73 ROW 83 TILEPROP NULL_X0Y73 SLR_REGION_ID 0 TILEPROP NULL_X0Y73 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y73 TILE_TYPE NULL TILEPROP NULL_X0Y73 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y73 TILE_X -101742 TILEPROP NULL_X0Y73 TILE_Y -16000 TILEPROP NULL_X0Y73 TYPE NULL TILEPROP NULL_X0Y75 CLASS tile TILEPROP NULL_X0Y75 COLUMN 0 TILEPROP NULL_X0Y75 DEVICE_ID 0 TILEPROP NULL_X0Y75 FIRST_SITE_ID 8003 TILEPROP NULL_X0Y75 GRID_POINT_X 0 TILEPROP NULL_X0Y75 GRID_POINT_Y 81 TILEPROP NULL_X0Y75 INDEX 9315 TILEPROP NULL_X0Y75 INT_TILE_X -1 TILEPROP NULL_X0Y75 INT_TILE_Y -1 TILEPROP NULL_X0Y75 IS_CENTER_TILE 0 TILEPROP NULL_X0Y75 IS_DCM_TILE 0 TILEPROP NULL_X0Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y75 NAME NULL_X0Y75 TILEPROP NULL_X0Y75 NUM_ARCS 0 TILEPROP NULL_X0Y75 NUM_SITES 0 TILEPROP NULL_X0Y75 ROW 81 TILEPROP NULL_X0Y75 SLR_REGION_ID 0 TILEPROP NULL_X0Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y75 TILE_TYPE NULL TILEPROP NULL_X0Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y75 TILE_X -101742 TILEPROP NULL_X0Y75 TILE_Y -9600 TILEPROP NULL_X0Y75 TYPE NULL TILEPROP NULL_X0Y77 CLASS tile TILEPROP NULL_X0Y77 COLUMN 0 TILEPROP NULL_X0Y77 DEVICE_ID 0 TILEPROP NULL_X0Y77 FIRST_SITE_ID 7803 TILEPROP NULL_X0Y77 GRID_POINT_X 0 TILEPROP NULL_X0Y77 GRID_POINT_Y 79 TILEPROP NULL_X0Y77 INDEX 9085 TILEPROP NULL_X0Y77 INT_TILE_X -1 TILEPROP NULL_X0Y77 INT_TILE_Y -1 TILEPROP NULL_X0Y77 IS_CENTER_TILE 0 TILEPROP NULL_X0Y77 IS_DCM_TILE 0 TILEPROP NULL_X0Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y77 NAME NULL_X0Y77 TILEPROP NULL_X0Y77 NUM_ARCS 0 TILEPROP NULL_X0Y77 NUM_SITES 0 TILEPROP NULL_X0Y77 ROW 79 TILEPROP NULL_X0Y77 SLR_REGION_ID 0 TILEPROP NULL_X0Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y77 TILE_TYPE NULL TILEPROP NULL_X0Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y77 TILE_X -101742 TILEPROP NULL_X0Y77 TILE_Y -3200 TILEPROP NULL_X0Y77 TYPE NULL TILEPROP NULL_X0Y80 CLASS tile TILEPROP NULL_X0Y80 COLUMN 0 TILEPROP NULL_X0Y80 DEVICE_ID 0 TILEPROP NULL_X0Y80 FIRST_SITE_ID 7502 TILEPROP NULL_X0Y80 GRID_POINT_X 0 TILEPROP NULL_X0Y80 GRID_POINT_Y 76 TILEPROP NULL_X0Y80 INDEX 8740 TILEPROP NULL_X0Y80 INT_TILE_X -1 TILEPROP NULL_X0Y80 INT_TILE_Y -1 TILEPROP NULL_X0Y80 IS_CENTER_TILE 0 TILEPROP NULL_X0Y80 IS_DCM_TILE 0 TILEPROP NULL_X0Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y80 NAME NULL_X0Y80 TILEPROP NULL_X0Y80 NUM_ARCS 0 TILEPROP NULL_X0Y80 NUM_SITES 0 TILEPROP NULL_X0Y80 ROW 76 TILEPROP NULL_X0Y80 SLR_REGION_ID 0 TILEPROP NULL_X0Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y80 TILE_TYPE NULL TILEPROP NULL_X0Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y80 TILE_X -101742 TILEPROP NULL_X0Y80 TILE_Y 4224 TILEPROP NULL_X0Y80 TYPE NULL TILEPROP NULL_X0Y82 CLASS tile TILEPROP NULL_X0Y82 COLUMN 0 TILEPROP NULL_X0Y82 DEVICE_ID 0 TILEPROP NULL_X0Y82 FIRST_SITE_ID 7302 TILEPROP NULL_X0Y82 GRID_POINT_X 0 TILEPROP NULL_X0Y82 GRID_POINT_Y 74 TILEPROP NULL_X0Y82 INDEX 8510 TILEPROP NULL_X0Y82 INT_TILE_X -1 TILEPROP NULL_X0Y82 INT_TILE_Y -1 TILEPROP NULL_X0Y82 IS_CENTER_TILE 0 TILEPROP NULL_X0Y82 IS_DCM_TILE 0 TILEPROP NULL_X0Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y82 NAME NULL_X0Y82 TILEPROP NULL_X0Y82 NUM_ARCS 0 TILEPROP NULL_X0Y82 NUM_SITES 0 TILEPROP NULL_X0Y82 ROW 74 TILEPROP NULL_X0Y82 SLR_REGION_ID 0 TILEPROP NULL_X0Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y82 TILE_TYPE NULL TILEPROP NULL_X0Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y82 TILE_X -101742 TILEPROP NULL_X0Y82 TILE_Y 10624 TILEPROP NULL_X0Y82 TYPE NULL TILEPROP NULL_X0Y84 CLASS tile TILEPROP NULL_X0Y84 COLUMN 0 TILEPROP NULL_X0Y84 DEVICE_ID 0 TILEPROP NULL_X0Y84 FIRST_SITE_ID 7075 TILEPROP NULL_X0Y84 GRID_POINT_X 0 TILEPROP NULL_X0Y84 GRID_POINT_Y 72 TILEPROP NULL_X0Y84 INDEX 8280 TILEPROP NULL_X0Y84 INT_TILE_X -1 TILEPROP NULL_X0Y84 INT_TILE_Y -1 TILEPROP NULL_X0Y84 IS_CENTER_TILE 0 TILEPROP NULL_X0Y84 IS_DCM_TILE 0 TILEPROP NULL_X0Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y84 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y84 NAME NULL_X0Y84 TILEPROP NULL_X0Y84 NUM_ARCS 0 TILEPROP NULL_X0Y84 NUM_SITES 0 TILEPROP NULL_X0Y84 ROW 72 TILEPROP NULL_X0Y84 SLR_REGION_ID 0 TILEPROP NULL_X0Y84 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y84 TILE_TYPE NULL TILEPROP NULL_X0Y84 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y84 TILE_X -101742 TILEPROP NULL_X0Y84 TILE_Y 17024 TILEPROP NULL_X0Y84 TYPE NULL TILEPROP NULL_X0Y86 CLASS tile TILEPROP NULL_X0Y86 COLUMN 0 TILEPROP NULL_X0Y86 DEVICE_ID 0 TILEPROP NULL_X0Y86 FIRST_SITE_ID 6871 TILEPROP NULL_X0Y86 GRID_POINT_X 0 TILEPROP NULL_X0Y86 GRID_POINT_Y 70 TILEPROP NULL_X0Y86 INDEX 8050 TILEPROP NULL_X0Y86 INT_TILE_X -1 TILEPROP NULL_X0Y86 INT_TILE_Y -1 TILEPROP NULL_X0Y86 IS_CENTER_TILE 0 TILEPROP NULL_X0Y86 IS_DCM_TILE 0 TILEPROP NULL_X0Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y86 NAME NULL_X0Y86 TILEPROP NULL_X0Y86 NUM_ARCS 0 TILEPROP NULL_X0Y86 NUM_SITES 0 TILEPROP NULL_X0Y86 ROW 70 TILEPROP NULL_X0Y86 SLR_REGION_ID 0 TILEPROP NULL_X0Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y86 TILE_TYPE NULL TILEPROP NULL_X0Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y86 TILE_X -101742 TILEPROP NULL_X0Y86 TILE_Y 23424 TILEPROP NULL_X0Y86 TYPE NULL TILEPROP NULL_X0Y88 CLASS tile TILEPROP NULL_X0Y88 COLUMN 0 TILEPROP NULL_X0Y88 DEVICE_ID 0 TILEPROP NULL_X0Y88 FIRST_SITE_ID 6671 TILEPROP NULL_X0Y88 GRID_POINT_X 0 TILEPROP NULL_X0Y88 GRID_POINT_Y 68 TILEPROP NULL_X0Y88 INDEX 7820 TILEPROP NULL_X0Y88 INT_TILE_X -1 TILEPROP NULL_X0Y88 INT_TILE_Y -1 TILEPROP NULL_X0Y88 IS_CENTER_TILE 0 TILEPROP NULL_X0Y88 IS_DCM_TILE 0 TILEPROP NULL_X0Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y88 NAME NULL_X0Y88 TILEPROP NULL_X0Y88 NUM_ARCS 0 TILEPROP NULL_X0Y88 NUM_SITES 0 TILEPROP NULL_X0Y88 ROW 68 TILEPROP NULL_X0Y88 SLR_REGION_ID 0 TILEPROP NULL_X0Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y88 TILE_TYPE NULL TILEPROP NULL_X0Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y88 TILE_X -101742 TILEPROP NULL_X0Y88 TILE_Y 29824 TILEPROP NULL_X0Y88 TYPE NULL TILEPROP NULL_X0Y90 CLASS tile TILEPROP NULL_X0Y90 COLUMN 0 TILEPROP NULL_X0Y90 DEVICE_ID 0 TILEPROP NULL_X0Y90 FIRST_SITE_ID 6424 TILEPROP NULL_X0Y90 GRID_POINT_X 0 TILEPROP NULL_X0Y90 GRID_POINT_Y 66 TILEPROP NULL_X0Y90 INDEX 7590 TILEPROP NULL_X0Y90 INT_TILE_X -1 TILEPROP NULL_X0Y90 INT_TILE_Y -1 TILEPROP NULL_X0Y90 IS_CENTER_TILE 0 TILEPROP NULL_X0Y90 IS_DCM_TILE 0 TILEPROP NULL_X0Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y90 NAME NULL_X0Y90 TILEPROP NULL_X0Y90 NUM_ARCS 0 TILEPROP NULL_X0Y90 NUM_SITES 0 TILEPROP NULL_X0Y90 ROW 66 TILEPROP NULL_X0Y90 SLR_REGION_ID 0 TILEPROP NULL_X0Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y90 TILE_TYPE NULL TILEPROP NULL_X0Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y90 TILE_X -101742 TILEPROP NULL_X0Y90 TILE_Y 36224 TILEPROP NULL_X0Y90 TYPE NULL TILEPROP NULL_X0Y92 CLASS tile TILEPROP NULL_X0Y92 COLUMN 0 TILEPROP NULL_X0Y92 DEVICE_ID 0 TILEPROP NULL_X0Y92 FIRST_SITE_ID 6224 TILEPROP NULL_X0Y92 GRID_POINT_X 0 TILEPROP NULL_X0Y92 GRID_POINT_Y 64 TILEPROP NULL_X0Y92 INDEX 7360 TILEPROP NULL_X0Y92 INT_TILE_X -1 TILEPROP NULL_X0Y92 INT_TILE_Y -1 TILEPROP NULL_X0Y92 IS_CENTER_TILE 0 TILEPROP NULL_X0Y92 IS_DCM_TILE 0 TILEPROP NULL_X0Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y92 NAME NULL_X0Y92 TILEPROP NULL_X0Y92 NUM_ARCS 0 TILEPROP NULL_X0Y92 NUM_SITES 0 TILEPROP NULL_X0Y92 ROW 64 TILEPROP NULL_X0Y92 SLR_REGION_ID 0 TILEPROP NULL_X0Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y92 TILE_TYPE NULL TILEPROP NULL_X0Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y92 TILE_X -101742 TILEPROP NULL_X0Y92 TILE_Y 42624 TILEPROP NULL_X0Y92 TYPE NULL TILEPROP NULL_X0Y94 CLASS tile TILEPROP NULL_X0Y94 COLUMN 0 TILEPROP NULL_X0Y94 DEVICE_ID 0 TILEPROP NULL_X0Y94 FIRST_SITE_ID 6009 TILEPROP NULL_X0Y94 GRID_POINT_X 0 TILEPROP NULL_X0Y94 GRID_POINT_Y 62 TILEPROP NULL_X0Y94 INDEX 7130 TILEPROP NULL_X0Y94 INT_TILE_X -1 TILEPROP NULL_X0Y94 INT_TILE_Y -1 TILEPROP NULL_X0Y94 IS_CENTER_TILE 0 TILEPROP NULL_X0Y94 IS_DCM_TILE 0 TILEPROP NULL_X0Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y94 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y94 NAME NULL_X0Y94 TILEPROP NULL_X0Y94 NUM_ARCS 0 TILEPROP NULL_X0Y94 NUM_SITES 0 TILEPROP NULL_X0Y94 ROW 62 TILEPROP NULL_X0Y94 SLR_REGION_ID 0 TILEPROP NULL_X0Y94 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y94 TILE_TYPE NULL TILEPROP NULL_X0Y94 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y94 TILE_X -101742 TILEPROP NULL_X0Y94 TILE_Y 49024 TILEPROP NULL_X0Y94 TYPE NULL TILEPROP NULL_X0Y96 CLASS tile TILEPROP NULL_X0Y96 COLUMN 0 TILEPROP NULL_X0Y96 DEVICE_ID 0 TILEPROP NULL_X0Y96 FIRST_SITE_ID 5807 TILEPROP NULL_X0Y96 GRID_POINT_X 0 TILEPROP NULL_X0Y96 GRID_POINT_Y 60 TILEPROP NULL_X0Y96 INDEX 6900 TILEPROP NULL_X0Y96 INT_TILE_X -1 TILEPROP NULL_X0Y96 INT_TILE_Y -1 TILEPROP NULL_X0Y96 IS_CENTER_TILE 0 TILEPROP NULL_X0Y96 IS_DCM_TILE 0 TILEPROP NULL_X0Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y96 NAME NULL_X0Y96 TILEPROP NULL_X0Y96 NUM_ARCS 0 TILEPROP NULL_X0Y96 NUM_SITES 0 TILEPROP NULL_X0Y96 ROW 60 TILEPROP NULL_X0Y96 SLR_REGION_ID 0 TILEPROP NULL_X0Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y96 TILE_TYPE NULL TILEPROP NULL_X0Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y96 TILE_X -101742 TILEPROP NULL_X0Y96 TILE_Y 55424 TILEPROP NULL_X0Y96 TYPE NULL TILEPROP NULL_X0Y98 CLASS tile TILEPROP NULL_X0Y98 COLUMN 0 TILEPROP NULL_X0Y98 DEVICE_ID 0 TILEPROP NULL_X0Y98 FIRST_SITE_ID 5603 TILEPROP NULL_X0Y98 GRID_POINT_X 0 TILEPROP NULL_X0Y98 GRID_POINT_Y 58 TILEPROP NULL_X0Y98 INDEX 6670 TILEPROP NULL_X0Y98 INT_TILE_X -1 TILEPROP NULL_X0Y98 INT_TILE_Y -1 TILEPROP NULL_X0Y98 IS_CENTER_TILE 0 TILEPROP NULL_X0Y98 IS_DCM_TILE 0 TILEPROP NULL_X0Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y98 NAME NULL_X0Y98 TILEPROP NULL_X0Y98 NUM_ARCS 0 TILEPROP NULL_X0Y98 NUM_SITES 0 TILEPROP NULL_X0Y98 ROW 58 TILEPROP NULL_X0Y98 SLR_REGION_ID 0 TILEPROP NULL_X0Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y98 TILE_TYPE NULL TILEPROP NULL_X0Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y98 TILE_X -101742 TILEPROP NULL_X0Y98 TILE_Y 61824 TILEPROP NULL_X0Y98 TYPE NULL TILEPROP NULL_X0Y100 CLASS tile TILEPROP NULL_X0Y100 COLUMN 0 TILEPROP NULL_X0Y100 DEVICE_ID 0 TILEPROP NULL_X0Y100 FIRST_SITE_ID 5388 TILEPROP NULL_X0Y100 GRID_POINT_X 0 TILEPROP NULL_X0Y100 GRID_POINT_Y 56 TILEPROP NULL_X0Y100 INDEX 6440 TILEPROP NULL_X0Y100 INT_TILE_X -1 TILEPROP NULL_X0Y100 INT_TILE_Y -1 TILEPROP NULL_X0Y100 IS_CENTER_TILE 0 TILEPROP NULL_X0Y100 IS_DCM_TILE 0 TILEPROP NULL_X0Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y100 NAME NULL_X0Y100 TILEPROP NULL_X0Y100 NUM_ARCS 0 TILEPROP NULL_X0Y100 NUM_SITES 0 TILEPROP NULL_X0Y100 ROW 56 TILEPROP NULL_X0Y100 SLR_REGION_ID 0 TILEPROP NULL_X0Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y100 TILE_TYPE NULL TILEPROP NULL_X0Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y100 TILE_X -101742 TILEPROP NULL_X0Y100 TILE_Y 68224 TILEPROP NULL_X0Y100 TYPE NULL TILEPROP NULL_X0Y102 CLASS tile TILEPROP NULL_X0Y102 COLUMN 0 TILEPROP NULL_X0Y102 DEVICE_ID 0 TILEPROP NULL_X0Y102 FIRST_SITE_ID 5188 TILEPROP NULL_X0Y102 GRID_POINT_X 0 TILEPROP NULL_X0Y102 GRID_POINT_Y 54 TILEPROP NULL_X0Y102 INDEX 6210 TILEPROP NULL_X0Y102 INT_TILE_X -1 TILEPROP NULL_X0Y102 INT_TILE_Y -1 TILEPROP NULL_X0Y102 IS_CENTER_TILE 0 TILEPROP NULL_X0Y102 IS_DCM_TILE 0 TILEPROP NULL_X0Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y102 NAME NULL_X0Y102 TILEPROP NULL_X0Y102 NUM_ARCS 0 TILEPROP NULL_X0Y102 NUM_SITES 0 TILEPROP NULL_X0Y102 ROW 54 TILEPROP NULL_X0Y102 SLR_REGION_ID 0 TILEPROP NULL_X0Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y102 TILE_TYPE NULL TILEPROP NULL_X0Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y102 TILE_X -101742 TILEPROP NULL_X0Y102 TILE_Y 74624 TILEPROP NULL_X0Y102 TYPE NULL TILEPROP NULL_X0Y104 CLASS tile TILEPROP NULL_X0Y104 COLUMN 0 TILEPROP NULL_X0Y104 DEVICE_ID 0 TILEPROP NULL_X0Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X0Y104 GRID_POINT_X 0 TILEPROP NULL_X0Y104 GRID_POINT_Y 52 TILEPROP NULL_X0Y104 INDEX 5980 TILEPROP NULL_X0Y104 INT_TILE_X -1 TILEPROP NULL_X0Y104 INT_TILE_Y -1 TILEPROP NULL_X0Y104 IS_CENTER_TILE 0 TILEPROP NULL_X0Y104 IS_DCM_TILE 0 TILEPROP NULL_X0Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y104 NAME NULL_X0Y104 TILEPROP NULL_X0Y104 NUM_ARCS 0 TILEPROP NULL_X0Y104 NUM_SITES 0 TILEPROP NULL_X0Y104 ROW 52 TILEPROP NULL_X0Y104 SLR_REGION_ID 0 TILEPROP NULL_X0Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y104 TILE_TYPE NULL TILEPROP NULL_X0Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y104 TILE_X -101742 TILEPROP NULL_X0Y104 TILE_Y 81024 TILEPROP NULL_X0Y104 TYPE NULL TILEPROP NULL_X0Y107 CLASS tile TILEPROP NULL_X0Y107 COLUMN 0 TILEPROP NULL_X0Y107 DEVICE_ID 0 TILEPROP NULL_X0Y107 FIRST_SITE_ID 4803 TILEPROP NULL_X0Y107 GRID_POINT_X 0 TILEPROP NULL_X0Y107 GRID_POINT_Y 49 TILEPROP NULL_X0Y107 INDEX 5635 TILEPROP NULL_X0Y107 INT_TILE_X -1 TILEPROP NULL_X0Y107 INT_TILE_Y -1 TILEPROP NULL_X0Y107 IS_CENTER_TILE 0 TILEPROP NULL_X0Y107 IS_DCM_TILE 0 TILEPROP NULL_X0Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y107 NAME NULL_X0Y107 TILEPROP NULL_X0Y107 NUM_ARCS 0 TILEPROP NULL_X0Y107 NUM_SITES 0 TILEPROP NULL_X0Y107 ROW 49 TILEPROP NULL_X0Y107 SLR_REGION_ID 0 TILEPROP NULL_X0Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y107 TILE_TYPE NULL TILEPROP NULL_X0Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y107 TILE_X -101742 TILEPROP NULL_X0Y107 TILE_Y 87672 TILEPROP NULL_X0Y107 TYPE NULL TILEPROP NULL_X0Y109 CLASS tile TILEPROP NULL_X0Y109 COLUMN 0 TILEPROP NULL_X0Y109 DEVICE_ID 0 TILEPROP NULL_X0Y109 FIRST_SITE_ID 4619 TILEPROP NULL_X0Y109 GRID_POINT_X 0 TILEPROP NULL_X0Y109 GRID_POINT_Y 47 TILEPROP NULL_X0Y109 INDEX 5405 TILEPROP NULL_X0Y109 INT_TILE_X -1 TILEPROP NULL_X0Y109 INT_TILE_Y -1 TILEPROP NULL_X0Y109 IS_CENTER_TILE 0 TILEPROP NULL_X0Y109 IS_DCM_TILE 0 TILEPROP NULL_X0Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y109 NAME NULL_X0Y109 TILEPROP NULL_X0Y109 NUM_ARCS 0 TILEPROP NULL_X0Y109 NUM_SITES 0 TILEPROP NULL_X0Y109 ROW 47 TILEPROP NULL_X0Y109 SLR_REGION_ID 0 TILEPROP NULL_X0Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y109 TILE_TYPE NULL TILEPROP NULL_X0Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y109 TILE_X -101742 TILEPROP NULL_X0Y109 TILE_Y 94072 TILEPROP NULL_X0Y109 TYPE NULL TILEPROP NULL_X0Y111 CLASS tile TILEPROP NULL_X0Y111 COLUMN 0 TILEPROP NULL_X0Y111 DEVICE_ID 0 TILEPROP NULL_X0Y111 FIRST_SITE_ID 4421 TILEPROP NULL_X0Y111 GRID_POINT_X 0 TILEPROP NULL_X0Y111 GRID_POINT_Y 45 TILEPROP NULL_X0Y111 INDEX 5175 TILEPROP NULL_X0Y111 INT_TILE_X -1 TILEPROP NULL_X0Y111 INT_TILE_Y -1 TILEPROP NULL_X0Y111 IS_CENTER_TILE 0 TILEPROP NULL_X0Y111 IS_DCM_TILE 0 TILEPROP NULL_X0Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y111 NAME NULL_X0Y111 TILEPROP NULL_X0Y111 NUM_ARCS 0 TILEPROP NULL_X0Y111 NUM_SITES 0 TILEPROP NULL_X0Y111 ROW 45 TILEPROP NULL_X0Y111 SLR_REGION_ID 0 TILEPROP NULL_X0Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y111 TILE_TYPE NULL TILEPROP NULL_X0Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y111 TILE_X -101742 TILEPROP NULL_X0Y111 TILE_Y 100472 TILEPROP NULL_X0Y111 TYPE NULL TILEPROP NULL_X0Y113 CLASS tile TILEPROP NULL_X0Y113 COLUMN 0 TILEPROP NULL_X0Y113 DEVICE_ID 0 TILEPROP NULL_X0Y113 FIRST_SITE_ID 4234 TILEPROP NULL_X0Y113 GRID_POINT_X 0 TILEPROP NULL_X0Y113 GRID_POINT_Y 43 TILEPROP NULL_X0Y113 INDEX 4945 TILEPROP NULL_X0Y113 INT_TILE_X -1 TILEPROP NULL_X0Y113 INT_TILE_Y -1 TILEPROP NULL_X0Y113 IS_CENTER_TILE 0 TILEPROP NULL_X0Y113 IS_DCM_TILE 0 TILEPROP NULL_X0Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y113 NAME NULL_X0Y113 TILEPROP NULL_X0Y113 NUM_ARCS 0 TILEPROP NULL_X0Y113 NUM_SITES 0 TILEPROP NULL_X0Y113 ROW 43 TILEPROP NULL_X0Y113 SLR_REGION_ID 0 TILEPROP NULL_X0Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y113 TILE_TYPE NULL TILEPROP NULL_X0Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y113 TILE_X -101742 TILEPROP NULL_X0Y113 TILE_Y 106872 TILEPROP NULL_X0Y113 TYPE NULL TILEPROP NULL_X0Y115 CLASS tile TILEPROP NULL_X0Y115 COLUMN 0 TILEPROP NULL_X0Y115 DEVICE_ID 0 TILEPROP NULL_X0Y115 FIRST_SITE_ID 4040 TILEPROP NULL_X0Y115 GRID_POINT_X 0 TILEPROP NULL_X0Y115 GRID_POINT_Y 41 TILEPROP NULL_X0Y115 INDEX 4715 TILEPROP NULL_X0Y115 INT_TILE_X -1 TILEPROP NULL_X0Y115 INT_TILE_Y -1 TILEPROP NULL_X0Y115 IS_CENTER_TILE 0 TILEPROP NULL_X0Y115 IS_DCM_TILE 0 TILEPROP NULL_X0Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y115 NAME NULL_X0Y115 TILEPROP NULL_X0Y115 NUM_ARCS 0 TILEPROP NULL_X0Y115 NUM_SITES 0 TILEPROP NULL_X0Y115 ROW 41 TILEPROP NULL_X0Y115 SLR_REGION_ID 0 TILEPROP NULL_X0Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y115 TILE_TYPE NULL TILEPROP NULL_X0Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y115 TILE_X -101742 TILEPROP NULL_X0Y115 TILE_Y 113272 TILEPROP NULL_X0Y115 TYPE NULL TILEPROP NULL_X0Y117 CLASS tile TILEPROP NULL_X0Y117 COLUMN 0 TILEPROP NULL_X0Y117 DEVICE_ID 0 TILEPROP NULL_X0Y117 FIRST_SITE_ID 3824 TILEPROP NULL_X0Y117 GRID_POINT_X 0 TILEPROP NULL_X0Y117 GRID_POINT_Y 39 TILEPROP NULL_X0Y117 INDEX 4485 TILEPROP NULL_X0Y117 INT_TILE_X -1 TILEPROP NULL_X0Y117 INT_TILE_Y -1 TILEPROP NULL_X0Y117 IS_CENTER_TILE 0 TILEPROP NULL_X0Y117 IS_DCM_TILE 0 TILEPROP NULL_X0Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y117 NAME NULL_X0Y117 TILEPROP NULL_X0Y117 NUM_ARCS 0 TILEPROP NULL_X0Y117 NUM_SITES 0 TILEPROP NULL_X0Y117 ROW 39 TILEPROP NULL_X0Y117 SLR_REGION_ID 0 TILEPROP NULL_X0Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y117 TILE_TYPE NULL TILEPROP NULL_X0Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y117 TILE_X -101742 TILEPROP NULL_X0Y117 TILE_Y 119672 TILEPROP NULL_X0Y117 TYPE NULL TILEPROP NULL_X0Y119 CLASS tile TILEPROP NULL_X0Y119 COLUMN 0 TILEPROP NULL_X0Y119 DEVICE_ID 0 TILEPROP NULL_X0Y119 FIRST_SITE_ID 3640 TILEPROP NULL_X0Y119 GRID_POINT_X 0 TILEPROP NULL_X0Y119 GRID_POINT_Y 37 TILEPROP NULL_X0Y119 INDEX 4255 TILEPROP NULL_X0Y119 INT_TILE_X -1 TILEPROP NULL_X0Y119 INT_TILE_Y -1 TILEPROP NULL_X0Y119 IS_CENTER_TILE 0 TILEPROP NULL_X0Y119 IS_DCM_TILE 0 TILEPROP NULL_X0Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y119 NAME NULL_X0Y119 TILEPROP NULL_X0Y119 NUM_ARCS 0 TILEPROP NULL_X0Y119 NUM_SITES 0 TILEPROP NULL_X0Y119 ROW 37 TILEPROP NULL_X0Y119 SLR_REGION_ID 0 TILEPROP NULL_X0Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y119 TILE_TYPE NULL TILEPROP NULL_X0Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y119 TILE_X -101742 TILEPROP NULL_X0Y119 TILE_Y 126072 TILEPROP NULL_X0Y119 TYPE NULL TILEPROP NULL_X0Y121 CLASS tile TILEPROP NULL_X0Y121 COLUMN 0 TILEPROP NULL_X0Y121 DEVICE_ID 0 TILEPROP NULL_X0Y121 FIRST_SITE_ID 3442 TILEPROP NULL_X0Y121 GRID_POINT_X 0 TILEPROP NULL_X0Y121 GRID_POINT_Y 35 TILEPROP NULL_X0Y121 INDEX 4025 TILEPROP NULL_X0Y121 INT_TILE_X -1 TILEPROP NULL_X0Y121 INT_TILE_Y -1 TILEPROP NULL_X0Y121 IS_CENTER_TILE 0 TILEPROP NULL_X0Y121 IS_DCM_TILE 0 TILEPROP NULL_X0Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y121 NAME NULL_X0Y121 TILEPROP NULL_X0Y121 NUM_ARCS 0 TILEPROP NULL_X0Y121 NUM_SITES 0 TILEPROP NULL_X0Y121 ROW 35 TILEPROP NULL_X0Y121 SLR_REGION_ID 0 TILEPROP NULL_X0Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y121 TILE_TYPE NULL TILEPROP NULL_X0Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y121 TILE_X -101742 TILEPROP NULL_X0Y121 TILE_Y 132472 TILEPROP NULL_X0Y121 TYPE NULL TILEPROP NULL_X0Y123 CLASS tile TILEPROP NULL_X0Y123 COLUMN 0 TILEPROP NULL_X0Y123 DEVICE_ID 0 TILEPROP NULL_X0Y123 FIRST_SITE_ID 3254 TILEPROP NULL_X0Y123 GRID_POINT_X 0 TILEPROP NULL_X0Y123 GRID_POINT_Y 33 TILEPROP NULL_X0Y123 INDEX 3795 TILEPROP NULL_X0Y123 INT_TILE_X -1 TILEPROP NULL_X0Y123 INT_TILE_Y -1 TILEPROP NULL_X0Y123 IS_CENTER_TILE 0 TILEPROP NULL_X0Y123 IS_DCM_TILE 0 TILEPROP NULL_X0Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y123 NAME NULL_X0Y123 TILEPROP NULL_X0Y123 NUM_ARCS 0 TILEPROP NULL_X0Y123 NUM_SITES 0 TILEPROP NULL_X0Y123 ROW 33 TILEPROP NULL_X0Y123 SLR_REGION_ID 0 TILEPROP NULL_X0Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y123 TILE_TYPE NULL TILEPROP NULL_X0Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y123 TILE_X -101742 TILEPROP NULL_X0Y123 TILE_Y 138872 TILEPROP NULL_X0Y123 TYPE NULL TILEPROP NULL_X0Y125 CLASS tile TILEPROP NULL_X0Y125 COLUMN 0 TILEPROP NULL_X0Y125 DEVICE_ID 0 TILEPROP NULL_X0Y125 FIRST_SITE_ID 3059 TILEPROP NULL_X0Y125 GRID_POINT_X 0 TILEPROP NULL_X0Y125 GRID_POINT_Y 31 TILEPROP NULL_X0Y125 INDEX 3565 TILEPROP NULL_X0Y125 INT_TILE_X -1 TILEPROP NULL_X0Y125 INT_TILE_Y -1 TILEPROP NULL_X0Y125 IS_CENTER_TILE 0 TILEPROP NULL_X0Y125 IS_DCM_TILE 0 TILEPROP NULL_X0Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y125 NAME NULL_X0Y125 TILEPROP NULL_X0Y125 NUM_ARCS 0 TILEPROP NULL_X0Y125 NUM_SITES 0 TILEPROP NULL_X0Y125 ROW 31 TILEPROP NULL_X0Y125 SLR_REGION_ID 0 TILEPROP NULL_X0Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y125 TILE_TYPE NULL TILEPROP NULL_X0Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y125 TILE_X -101742 TILEPROP NULL_X0Y125 TILE_Y 145272 TILEPROP NULL_X0Y125 TYPE NULL TILEPROP NULL_X0Y127 CLASS tile TILEPROP NULL_X0Y127 COLUMN 0 TILEPROP NULL_X0Y127 DEVICE_ID 0 TILEPROP NULL_X0Y127 FIRST_SITE_ID 2868 TILEPROP NULL_X0Y127 GRID_POINT_X 0 TILEPROP NULL_X0Y127 GRID_POINT_Y 29 TILEPROP NULL_X0Y127 INDEX 3335 TILEPROP NULL_X0Y127 INT_TILE_X -1 TILEPROP NULL_X0Y127 INT_TILE_Y -1 TILEPROP NULL_X0Y127 IS_CENTER_TILE 0 TILEPROP NULL_X0Y127 IS_DCM_TILE 0 TILEPROP NULL_X0Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y127 NAME NULL_X0Y127 TILEPROP NULL_X0Y127 NUM_ARCS 0 TILEPROP NULL_X0Y127 NUM_SITES 0 TILEPROP NULL_X0Y127 ROW 29 TILEPROP NULL_X0Y127 SLR_REGION_ID 0 TILEPROP NULL_X0Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y127 TILE_TYPE NULL TILEPROP NULL_X0Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y127 TILE_X -101742 TILEPROP NULL_X0Y127 TILE_Y 151672 TILEPROP NULL_X0Y127 TYPE NULL TILEPROP NULL_X0Y129 CLASS tile TILEPROP NULL_X0Y129 COLUMN 0 TILEPROP NULL_X0Y129 DEVICE_ID 0 TILEPROP NULL_X0Y129 FIRST_SITE_ID 2684 TILEPROP NULL_X0Y129 GRID_POINT_X 0 TILEPROP NULL_X0Y129 GRID_POINT_Y 27 TILEPROP NULL_X0Y129 INDEX 3105 TILEPROP NULL_X0Y129 INT_TILE_X -1 TILEPROP NULL_X0Y129 INT_TILE_Y -1 TILEPROP NULL_X0Y129 IS_CENTER_TILE 0 TILEPROP NULL_X0Y129 IS_DCM_TILE 0 TILEPROP NULL_X0Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y129 NAME NULL_X0Y129 TILEPROP NULL_X0Y129 NUM_ARCS 0 TILEPROP NULL_X0Y129 NUM_SITES 0 TILEPROP NULL_X0Y129 ROW 27 TILEPROP NULL_X0Y129 SLR_REGION_ID 0 TILEPROP NULL_X0Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y129 TILE_TYPE NULL TILEPROP NULL_X0Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y129 TILE_X -101742 TILEPROP NULL_X0Y129 TILE_Y 158072 TILEPROP NULL_X0Y129 TYPE NULL TILEPROP NULL_X0Y132 CLASS tile TILEPROP NULL_X0Y132 COLUMN 0 TILEPROP NULL_X0Y132 DEVICE_ID 0 TILEPROP NULL_X0Y132 FIRST_SITE_ID 2401 TILEPROP NULL_X0Y132 GRID_POINT_X 0 TILEPROP NULL_X0Y132 GRID_POINT_Y 24 TILEPROP NULL_X0Y132 INDEX 2760 TILEPROP NULL_X0Y132 INT_TILE_X -1 TILEPROP NULL_X0Y132 INT_TILE_Y -1 TILEPROP NULL_X0Y132 IS_CENTER_TILE 0 TILEPROP NULL_X0Y132 IS_DCM_TILE 0 TILEPROP NULL_X0Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y132 NAME NULL_X0Y132 TILEPROP NULL_X0Y132 NUM_ARCS 0 TILEPROP NULL_X0Y132 NUM_SITES 0 TILEPROP NULL_X0Y132 ROW 24 TILEPROP NULL_X0Y132 SLR_REGION_ID 0 TILEPROP NULL_X0Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y132 TILE_TYPE NULL TILEPROP NULL_X0Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y132 TILE_X -101742 TILEPROP NULL_X0Y132 TILE_Y 165496 TILEPROP NULL_X0Y132 TYPE NULL TILEPROP NULL_X0Y134 CLASS tile TILEPROP NULL_X0Y134 COLUMN 0 TILEPROP NULL_X0Y134 DEVICE_ID 0 TILEPROP NULL_X0Y134 FIRST_SITE_ID 2201 TILEPROP NULL_X0Y134 GRID_POINT_X 0 TILEPROP NULL_X0Y134 GRID_POINT_Y 22 TILEPROP NULL_X0Y134 INDEX 2530 TILEPROP NULL_X0Y134 INT_TILE_X -1 TILEPROP NULL_X0Y134 INT_TILE_Y -1 TILEPROP NULL_X0Y134 IS_CENTER_TILE 0 TILEPROP NULL_X0Y134 IS_DCM_TILE 0 TILEPROP NULL_X0Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y134 NAME NULL_X0Y134 TILEPROP NULL_X0Y134 NUM_ARCS 0 TILEPROP NULL_X0Y134 NUM_SITES 0 TILEPROP NULL_X0Y134 ROW 22 TILEPROP NULL_X0Y134 SLR_REGION_ID 0 TILEPROP NULL_X0Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y134 TILE_TYPE NULL TILEPROP NULL_X0Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y134 TILE_X -101742 TILEPROP NULL_X0Y134 TILE_Y 171896 TILEPROP NULL_X0Y134 TYPE NULL TILEPROP NULL_X0Y136 CLASS tile TILEPROP NULL_X0Y136 COLUMN 0 TILEPROP NULL_X0Y136 DEVICE_ID 0 TILEPROP NULL_X0Y136 FIRST_SITE_ID 1983 TILEPROP NULL_X0Y136 GRID_POINT_X 0 TILEPROP NULL_X0Y136 GRID_POINT_Y 20 TILEPROP NULL_X0Y136 INDEX 2300 TILEPROP NULL_X0Y136 INT_TILE_X -1 TILEPROP NULL_X0Y136 INT_TILE_Y -1 TILEPROP NULL_X0Y136 IS_CENTER_TILE 0 TILEPROP NULL_X0Y136 IS_DCM_TILE 0 TILEPROP NULL_X0Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y136 NAME NULL_X0Y136 TILEPROP NULL_X0Y136 NUM_ARCS 0 TILEPROP NULL_X0Y136 NUM_SITES 0 TILEPROP NULL_X0Y136 ROW 20 TILEPROP NULL_X0Y136 SLR_REGION_ID 0 TILEPROP NULL_X0Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y136 TILE_TYPE NULL TILEPROP NULL_X0Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y136 TILE_X -101742 TILEPROP NULL_X0Y136 TILE_Y 178296 TILEPROP NULL_X0Y136 TYPE NULL TILEPROP NULL_X0Y138 CLASS tile TILEPROP NULL_X0Y138 COLUMN 0 TILEPROP NULL_X0Y138 DEVICE_ID 0 TILEPROP NULL_X0Y138 FIRST_SITE_ID 1781 TILEPROP NULL_X0Y138 GRID_POINT_X 0 TILEPROP NULL_X0Y138 GRID_POINT_Y 18 TILEPROP NULL_X0Y138 INDEX 2070 TILEPROP NULL_X0Y138 INT_TILE_X -1 TILEPROP NULL_X0Y138 INT_TILE_Y -1 TILEPROP NULL_X0Y138 IS_CENTER_TILE 0 TILEPROP NULL_X0Y138 IS_DCM_TILE 0 TILEPROP NULL_X0Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y138 NAME NULL_X0Y138 TILEPROP NULL_X0Y138 NUM_ARCS 0 TILEPROP NULL_X0Y138 NUM_SITES 0 TILEPROP NULL_X0Y138 ROW 18 TILEPROP NULL_X0Y138 SLR_REGION_ID 0 TILEPROP NULL_X0Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y138 TILE_TYPE NULL TILEPROP NULL_X0Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y138 TILE_X -101742 TILEPROP NULL_X0Y138 TILE_Y 184696 TILEPROP NULL_X0Y138 TYPE NULL TILEPROP NULL_X0Y140 CLASS tile TILEPROP NULL_X0Y140 COLUMN 0 TILEPROP NULL_X0Y140 DEVICE_ID 0 TILEPROP NULL_X0Y140 FIRST_SITE_ID 1576 TILEPROP NULL_X0Y140 GRID_POINT_X 0 TILEPROP NULL_X0Y140 GRID_POINT_Y 16 TILEPROP NULL_X0Y140 INDEX 1840 TILEPROP NULL_X0Y140 INT_TILE_X -1 TILEPROP NULL_X0Y140 INT_TILE_Y -1 TILEPROP NULL_X0Y140 IS_CENTER_TILE 0 TILEPROP NULL_X0Y140 IS_DCM_TILE 0 TILEPROP NULL_X0Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y140 NAME NULL_X0Y140 TILEPROP NULL_X0Y140 NUM_ARCS 0 TILEPROP NULL_X0Y140 NUM_SITES 0 TILEPROP NULL_X0Y140 ROW 16 TILEPROP NULL_X0Y140 SLR_REGION_ID 0 TILEPROP NULL_X0Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y140 TILE_TYPE NULL TILEPROP NULL_X0Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y140 TILE_X -101742 TILEPROP NULL_X0Y140 TILE_Y 191096 TILEPROP NULL_X0Y140 TYPE NULL TILEPROP NULL_X0Y142 CLASS tile TILEPROP NULL_X0Y142 COLUMN 0 TILEPROP NULL_X0Y142 DEVICE_ID 0 TILEPROP NULL_X0Y142 FIRST_SITE_ID 1332 TILEPROP NULL_X0Y142 GRID_POINT_X 0 TILEPROP NULL_X0Y142 GRID_POINT_Y 14 TILEPROP NULL_X0Y142 INDEX 1610 TILEPROP NULL_X0Y142 INT_TILE_X -1 TILEPROP NULL_X0Y142 INT_TILE_Y -1 TILEPROP NULL_X0Y142 IS_CENTER_TILE 0 TILEPROP NULL_X0Y142 IS_DCM_TILE 0 TILEPROP NULL_X0Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y142 NAME NULL_X0Y142 TILEPROP NULL_X0Y142 NUM_ARCS 0 TILEPROP NULL_X0Y142 NUM_SITES 0 TILEPROP NULL_X0Y142 ROW 14 TILEPROP NULL_X0Y142 SLR_REGION_ID 0 TILEPROP NULL_X0Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y142 TILE_TYPE NULL TILEPROP NULL_X0Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y142 TILE_X -101742 TILEPROP NULL_X0Y142 TILE_Y 197496 TILEPROP NULL_X0Y142 TYPE NULL TILEPROP NULL_X0Y144 CLASS tile TILEPROP NULL_X0Y144 COLUMN 0 TILEPROP NULL_X0Y144 DEVICE_ID 0 TILEPROP NULL_X0Y144 FIRST_SITE_ID 1132 TILEPROP NULL_X0Y144 GRID_POINT_X 0 TILEPROP NULL_X0Y144 GRID_POINT_Y 12 TILEPROP NULL_X0Y144 INDEX 1380 TILEPROP NULL_X0Y144 INT_TILE_X -1 TILEPROP NULL_X0Y144 INT_TILE_Y -1 TILEPROP NULL_X0Y144 IS_CENTER_TILE 0 TILEPROP NULL_X0Y144 IS_DCM_TILE 0 TILEPROP NULL_X0Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y144 NAME NULL_X0Y144 TILEPROP NULL_X0Y144 NUM_ARCS 0 TILEPROP NULL_X0Y144 NUM_SITES 0 TILEPROP NULL_X0Y144 ROW 12 TILEPROP NULL_X0Y144 SLR_REGION_ID 0 TILEPROP NULL_X0Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y144 TILE_TYPE NULL TILEPROP NULL_X0Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y144 TILE_X -101742 TILEPROP NULL_X0Y144 TILE_Y 203896 TILEPROP NULL_X0Y144 TYPE NULL TILEPROP NULL_X0Y146 CLASS tile TILEPROP NULL_X0Y146 COLUMN 0 TILEPROP NULL_X0Y146 DEVICE_ID 0 TILEPROP NULL_X0Y146 FIRST_SITE_ID 920 TILEPROP NULL_X0Y146 GRID_POINT_X 0 TILEPROP NULL_X0Y146 GRID_POINT_Y 10 TILEPROP NULL_X0Y146 INDEX 1150 TILEPROP NULL_X0Y146 INT_TILE_X -1 TILEPROP NULL_X0Y146 INT_TILE_Y -1 TILEPROP NULL_X0Y146 IS_CENTER_TILE 0 TILEPROP NULL_X0Y146 IS_DCM_TILE 0 TILEPROP NULL_X0Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y146 NAME NULL_X0Y146 TILEPROP NULL_X0Y146 NUM_ARCS 0 TILEPROP NULL_X0Y146 NUM_SITES 0 TILEPROP NULL_X0Y146 ROW 10 TILEPROP NULL_X0Y146 SLR_REGION_ID 0 TILEPROP NULL_X0Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y146 TILE_TYPE NULL TILEPROP NULL_X0Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y146 TILE_X -101742 TILEPROP NULL_X0Y146 TILE_Y 210296 TILEPROP NULL_X0Y146 TYPE NULL TILEPROP NULL_X0Y148 CLASS tile TILEPROP NULL_X0Y148 COLUMN 0 TILEPROP NULL_X0Y148 DEVICE_ID 0 TILEPROP NULL_X0Y148 FIRST_SITE_ID 719 TILEPROP NULL_X0Y148 GRID_POINT_X 0 TILEPROP NULL_X0Y148 GRID_POINT_Y 8 TILEPROP NULL_X0Y148 INDEX 920 TILEPROP NULL_X0Y148 INT_TILE_X -1 TILEPROP NULL_X0Y148 INT_TILE_Y -1 TILEPROP NULL_X0Y148 IS_CENTER_TILE 0 TILEPROP NULL_X0Y148 IS_DCM_TILE 0 TILEPROP NULL_X0Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y148 NAME NULL_X0Y148 TILEPROP NULL_X0Y148 NUM_ARCS 0 TILEPROP NULL_X0Y148 NUM_SITES 0 TILEPROP NULL_X0Y148 ROW 8 TILEPROP NULL_X0Y148 SLR_REGION_ID 0 TILEPROP NULL_X0Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y148 TILE_TYPE NULL TILEPROP NULL_X0Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y148 TILE_X -101742 TILEPROP NULL_X0Y148 TILE_Y 216696 TILEPROP NULL_X0Y148 TYPE NULL TILEPROP NULL_X0Y150 CLASS tile TILEPROP NULL_X0Y150 COLUMN 0 TILEPROP NULL_X0Y150 DEVICE_ID 0 TILEPROP NULL_X0Y150 FIRST_SITE_ID 512 TILEPROP NULL_X0Y150 GRID_POINT_X 0 TILEPROP NULL_X0Y150 GRID_POINT_Y 6 TILEPROP NULL_X0Y150 INDEX 690 TILEPROP NULL_X0Y150 INT_TILE_X -1 TILEPROP NULL_X0Y150 INT_TILE_Y -1 TILEPROP NULL_X0Y150 IS_CENTER_TILE 0 TILEPROP NULL_X0Y150 IS_DCM_TILE 0 TILEPROP NULL_X0Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y150 NAME NULL_X0Y150 TILEPROP NULL_X0Y150 NUM_ARCS 0 TILEPROP NULL_X0Y150 NUM_SITES 0 TILEPROP NULL_X0Y150 ROW 6 TILEPROP NULL_X0Y150 SLR_REGION_ID 0 TILEPROP NULL_X0Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y150 TILE_TYPE NULL TILEPROP NULL_X0Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y150 TILE_X -101742 TILEPROP NULL_X0Y150 TILE_Y 223096 TILEPROP NULL_X0Y150 TYPE NULL TILEPROP NULL_X0Y152 CLASS tile TILEPROP NULL_X0Y152 COLUMN 0 TILEPROP NULL_X0Y152 DEVICE_ID 0 TILEPROP NULL_X0Y152 FIRST_SITE_ID 300 TILEPROP NULL_X0Y152 GRID_POINT_X 0 TILEPROP NULL_X0Y152 GRID_POINT_Y 4 TILEPROP NULL_X0Y152 INDEX 460 TILEPROP NULL_X0Y152 INT_TILE_X -1 TILEPROP NULL_X0Y152 INT_TILE_Y -1 TILEPROP NULL_X0Y152 IS_CENTER_TILE 0 TILEPROP NULL_X0Y152 IS_DCM_TILE 0 TILEPROP NULL_X0Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y152 NAME NULL_X0Y152 TILEPROP NULL_X0Y152 NUM_ARCS 0 TILEPROP NULL_X0Y152 NUM_SITES 0 TILEPROP NULL_X0Y152 ROW 4 TILEPROP NULL_X0Y152 SLR_REGION_ID 0 TILEPROP NULL_X0Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y152 TILE_TYPE NULL TILEPROP NULL_X0Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y152 TILE_X -101742 TILEPROP NULL_X0Y152 TILE_Y 229496 TILEPROP NULL_X0Y152 TYPE NULL TILEPROP NULL_X0Y154 CLASS tile TILEPROP NULL_X0Y154 COLUMN 0 TILEPROP NULL_X0Y154 DEVICE_ID 0 TILEPROP NULL_X0Y154 FIRST_SITE_ID 100 TILEPROP NULL_X0Y154 GRID_POINT_X 0 TILEPROP NULL_X0Y154 GRID_POINT_Y 2 TILEPROP NULL_X0Y154 INDEX 230 TILEPROP NULL_X0Y154 INT_TILE_X -1 TILEPROP NULL_X0Y154 INT_TILE_Y -1 TILEPROP NULL_X0Y154 IS_CENTER_TILE 0 TILEPROP NULL_X0Y154 IS_DCM_TILE 0 TILEPROP NULL_X0Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y154 NAME NULL_X0Y154 TILEPROP NULL_X0Y154 NUM_ARCS 0 TILEPROP NULL_X0Y154 NUM_SITES 0 TILEPROP NULL_X0Y154 ROW 2 TILEPROP NULL_X0Y154 SLR_REGION_ID 0 TILEPROP NULL_X0Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y154 TILE_TYPE NULL TILEPROP NULL_X0Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y154 TILE_X -101742 TILEPROP NULL_X0Y154 TILE_Y 235896 TILEPROP NULL_X0Y154 TYPE NULL TILEPROP NULL_X0Y156 CLASS tile TILEPROP NULL_X0Y156 COLUMN 0 TILEPROP NULL_X0Y156 DEVICE_ID 0 TILEPROP NULL_X0Y156 FIRST_SITE_ID 0 TILEPROP NULL_X0Y156 GRID_POINT_X 0 TILEPROP NULL_X0Y156 GRID_POINT_Y 0 TILEPROP NULL_X0Y156 INDEX 0 TILEPROP NULL_X0Y156 INT_TILE_X -1 TILEPROP NULL_X0Y156 INT_TILE_Y -1 TILEPROP NULL_X0Y156 IS_CENTER_TILE 0 TILEPROP NULL_X0Y156 IS_DCM_TILE 0 TILEPROP NULL_X0Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X0Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X0Y156 NAME NULL_X0Y156 TILEPROP NULL_X0Y156 NUM_ARCS 0 TILEPROP NULL_X0Y156 NUM_SITES 0 TILEPROP NULL_X0Y156 ROW 0 TILEPROP NULL_X0Y156 SLR_REGION_ID 0 TILEPROP NULL_X0Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X0Y156 TILE_TYPE NULL TILEPROP NULL_X0Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X0Y156 TILE_X -101742 TILEPROP NULL_X0Y156 TILE_Y 242296 TILEPROP NULL_X0Y156 TYPE NULL TILEPROP NULL_X100Y0 CLASS tile TILEPROP NULL_X100Y0 COLUMN 100 TILEPROP NULL_X100Y0 DEVICE_ID 0 TILEPROP NULL_X100Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X100Y0 GRID_POINT_X 100 TILEPROP NULL_X100Y0 GRID_POINT_Y 156 TILEPROP NULL_X100Y0 INDEX 18040 TILEPROP NULL_X100Y0 INT_TILE_X 39 TILEPROP NULL_X100Y0 INT_TILE_Y 149 TILEPROP NULL_X100Y0 IS_CENTER_TILE 0 TILEPROP NULL_X100Y0 IS_DCM_TILE 0 TILEPROP NULL_X100Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y0 NAME NULL_X100Y0 TILEPROP NULL_X100Y0 NUM_ARCS 0 TILEPROP NULL_X100Y0 NUM_SITES 0 TILEPROP NULL_X100Y0 ROW 156 TILEPROP NULL_X100Y0 SLR_REGION_ID 0 TILEPROP NULL_X100Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y0 TILE_TYPE NULL TILEPROP NULL_X100Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y0 TILE_X 84574 TILEPROP NULL_X100Y0 TILE_Y -244472 TILEPROP NULL_X100Y0 TYPE NULL TILEPROP NULL_X100Y104 CLASS tile TILEPROP NULL_X100Y104 COLUMN 100 TILEPROP NULL_X100Y104 DEVICE_ID 0 TILEPROP NULL_X100Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X100Y104 GRID_POINT_X 100 TILEPROP NULL_X100Y104 GRID_POINT_Y 52 TILEPROP NULL_X100Y104 INDEX 6080 TILEPROP NULL_X100Y104 INT_TILE_X -1 TILEPROP NULL_X100Y104 INT_TILE_Y -1 TILEPROP NULL_X100Y104 IS_CENTER_TILE 0 TILEPROP NULL_X100Y104 IS_DCM_TILE 0 TILEPROP NULL_X100Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y104 NAME NULL_X100Y104 TILEPROP NULL_X100Y104 NUM_ARCS 0 TILEPROP NULL_X100Y104 NUM_SITES 0 TILEPROP NULL_X100Y104 ROW 52 TILEPROP NULL_X100Y104 SLR_REGION_ID 0 TILEPROP NULL_X100Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y104 TILE_TYPE NULL TILEPROP NULL_X100Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y104 TILE_X 84574 TILEPROP NULL_X100Y104 TILE_Y 81024 TILEPROP NULL_X100Y104 TYPE NULL TILEPROP NULL_X100Y105 CLASS tile TILEPROP NULL_X100Y105 COLUMN 100 TILEPROP NULL_X100Y105 DEVICE_ID 0 TILEPROP NULL_X100Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X100Y105 GRID_POINT_X 100 TILEPROP NULL_X100Y105 GRID_POINT_Y 51 TILEPROP NULL_X100Y105 INDEX 5965 TILEPROP NULL_X100Y105 INT_TILE_X -1 TILEPROP NULL_X100Y105 INT_TILE_Y -1 TILEPROP NULL_X100Y105 IS_CENTER_TILE 0 TILEPROP NULL_X100Y105 IS_DCM_TILE 0 TILEPROP NULL_X100Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y105 NAME NULL_X100Y105 TILEPROP NULL_X100Y105 NUM_ARCS 0 TILEPROP NULL_X100Y105 NUM_SITES 0 TILEPROP NULL_X100Y105 ROW 51 TILEPROP NULL_X100Y105 SLR_REGION_ID 0 TILEPROP NULL_X100Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y105 TILE_TYPE NULL TILEPROP NULL_X100Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y105 TILE_X 84574 TILEPROP NULL_X100Y105 TILE_Y 81272 TILEPROP NULL_X100Y105 TYPE NULL TILEPROP NULL_X100Y106 CLASS tile TILEPROP NULL_X100Y106 COLUMN 100 TILEPROP NULL_X100Y106 DEVICE_ID 0 TILEPROP NULL_X100Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X100Y106 GRID_POINT_X 100 TILEPROP NULL_X100Y106 GRID_POINT_Y 50 TILEPROP NULL_X100Y106 INDEX 5850 TILEPROP NULL_X100Y106 INT_TILE_X -1 TILEPROP NULL_X100Y106 INT_TILE_Y -1 TILEPROP NULL_X100Y106 IS_CENTER_TILE 0 TILEPROP NULL_X100Y106 IS_DCM_TILE 0 TILEPROP NULL_X100Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y106 NAME NULL_X100Y106 TILEPROP NULL_X100Y106 NUM_ARCS 0 TILEPROP NULL_X100Y106 NUM_SITES 0 TILEPROP NULL_X100Y106 ROW 50 TILEPROP NULL_X100Y106 SLR_REGION_ID 0 TILEPROP NULL_X100Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y106 TILE_TYPE NULL TILEPROP NULL_X100Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y106 TILE_X 84574 TILEPROP NULL_X100Y106 TILE_Y 84472 TILEPROP NULL_X100Y106 TYPE NULL TILEPROP NULL_X100Y107 CLASS tile TILEPROP NULL_X100Y107 COLUMN 100 TILEPROP NULL_X100Y107 DEVICE_ID 0 TILEPROP NULL_X100Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X100Y107 GRID_POINT_X 100 TILEPROP NULL_X100Y107 GRID_POINT_Y 49 TILEPROP NULL_X100Y107 INDEX 5735 TILEPROP NULL_X100Y107 INT_TILE_X -1 TILEPROP NULL_X100Y107 INT_TILE_Y -1 TILEPROP NULL_X100Y107 IS_CENTER_TILE 0 TILEPROP NULL_X100Y107 IS_DCM_TILE 0 TILEPROP NULL_X100Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y107 NAME NULL_X100Y107 TILEPROP NULL_X100Y107 NUM_ARCS 0 TILEPROP NULL_X100Y107 NUM_SITES 0 TILEPROP NULL_X100Y107 ROW 49 TILEPROP NULL_X100Y107 SLR_REGION_ID 0 TILEPROP NULL_X100Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y107 TILE_TYPE NULL TILEPROP NULL_X100Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y107 TILE_X 84574 TILEPROP NULL_X100Y107 TILE_Y 87672 TILEPROP NULL_X100Y107 TYPE NULL TILEPROP NULL_X100Y108 CLASS tile TILEPROP NULL_X100Y108 COLUMN 100 TILEPROP NULL_X100Y108 DEVICE_ID 0 TILEPROP NULL_X100Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X100Y108 GRID_POINT_X 100 TILEPROP NULL_X100Y108 GRID_POINT_Y 48 TILEPROP NULL_X100Y108 INDEX 5620 TILEPROP NULL_X100Y108 INT_TILE_X -1 TILEPROP NULL_X100Y108 INT_TILE_Y -1 TILEPROP NULL_X100Y108 IS_CENTER_TILE 0 TILEPROP NULL_X100Y108 IS_DCM_TILE 0 TILEPROP NULL_X100Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y108 NAME NULL_X100Y108 TILEPROP NULL_X100Y108 NUM_ARCS 0 TILEPROP NULL_X100Y108 NUM_SITES 0 TILEPROP NULL_X100Y108 ROW 48 TILEPROP NULL_X100Y108 SLR_REGION_ID 0 TILEPROP NULL_X100Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y108 TILE_TYPE NULL TILEPROP NULL_X100Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y108 TILE_X 84574 TILEPROP NULL_X100Y108 TILE_Y 90872 TILEPROP NULL_X100Y108 TYPE NULL TILEPROP NULL_X100Y109 CLASS tile TILEPROP NULL_X100Y109 COLUMN 100 TILEPROP NULL_X100Y109 DEVICE_ID 0 TILEPROP NULL_X100Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X100Y109 GRID_POINT_X 100 TILEPROP NULL_X100Y109 GRID_POINT_Y 47 TILEPROP NULL_X100Y109 INDEX 5505 TILEPROP NULL_X100Y109 INT_TILE_X -1 TILEPROP NULL_X100Y109 INT_TILE_Y -1 TILEPROP NULL_X100Y109 IS_CENTER_TILE 0 TILEPROP NULL_X100Y109 IS_DCM_TILE 0 TILEPROP NULL_X100Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y109 NAME NULL_X100Y109 TILEPROP NULL_X100Y109 NUM_ARCS 0 TILEPROP NULL_X100Y109 NUM_SITES 0 TILEPROP NULL_X100Y109 ROW 47 TILEPROP NULL_X100Y109 SLR_REGION_ID 0 TILEPROP NULL_X100Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y109 TILE_TYPE NULL TILEPROP NULL_X100Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y109 TILE_X 84574 TILEPROP NULL_X100Y109 TILE_Y 94072 TILEPROP NULL_X100Y109 TYPE NULL TILEPROP NULL_X100Y110 CLASS tile TILEPROP NULL_X100Y110 COLUMN 100 TILEPROP NULL_X100Y110 DEVICE_ID 0 TILEPROP NULL_X100Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X100Y110 GRID_POINT_X 100 TILEPROP NULL_X100Y110 GRID_POINT_Y 46 TILEPROP NULL_X100Y110 INDEX 5390 TILEPROP NULL_X100Y110 INT_TILE_X -1 TILEPROP NULL_X100Y110 INT_TILE_Y -1 TILEPROP NULL_X100Y110 IS_CENTER_TILE 0 TILEPROP NULL_X100Y110 IS_DCM_TILE 0 TILEPROP NULL_X100Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y110 NAME NULL_X100Y110 TILEPROP NULL_X100Y110 NUM_ARCS 0 TILEPROP NULL_X100Y110 NUM_SITES 0 TILEPROP NULL_X100Y110 ROW 46 TILEPROP NULL_X100Y110 SLR_REGION_ID 0 TILEPROP NULL_X100Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y110 TILE_TYPE NULL TILEPROP NULL_X100Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y110 TILE_X 84574 TILEPROP NULL_X100Y110 TILE_Y 97272 TILEPROP NULL_X100Y110 TYPE NULL TILEPROP NULL_X100Y111 CLASS tile TILEPROP NULL_X100Y111 COLUMN 100 TILEPROP NULL_X100Y111 DEVICE_ID 0 TILEPROP NULL_X100Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X100Y111 GRID_POINT_X 100 TILEPROP NULL_X100Y111 GRID_POINT_Y 45 TILEPROP NULL_X100Y111 INDEX 5275 TILEPROP NULL_X100Y111 INT_TILE_X -1 TILEPROP NULL_X100Y111 INT_TILE_Y -1 TILEPROP NULL_X100Y111 IS_CENTER_TILE 0 TILEPROP NULL_X100Y111 IS_DCM_TILE 0 TILEPROP NULL_X100Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y111 NAME NULL_X100Y111 TILEPROP NULL_X100Y111 NUM_ARCS 0 TILEPROP NULL_X100Y111 NUM_SITES 0 TILEPROP NULL_X100Y111 ROW 45 TILEPROP NULL_X100Y111 SLR_REGION_ID 0 TILEPROP NULL_X100Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y111 TILE_TYPE NULL TILEPROP NULL_X100Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y111 TILE_X 84574 TILEPROP NULL_X100Y111 TILE_Y 100472 TILEPROP NULL_X100Y111 TYPE NULL TILEPROP NULL_X100Y112 CLASS tile TILEPROP NULL_X100Y112 COLUMN 100 TILEPROP NULL_X100Y112 DEVICE_ID 0 TILEPROP NULL_X100Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X100Y112 GRID_POINT_X 100 TILEPROP NULL_X100Y112 GRID_POINT_Y 44 TILEPROP NULL_X100Y112 INDEX 5160 TILEPROP NULL_X100Y112 INT_TILE_X -1 TILEPROP NULL_X100Y112 INT_TILE_Y -1 TILEPROP NULL_X100Y112 IS_CENTER_TILE 0 TILEPROP NULL_X100Y112 IS_DCM_TILE 0 TILEPROP NULL_X100Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y112 NAME NULL_X100Y112 TILEPROP NULL_X100Y112 NUM_ARCS 0 TILEPROP NULL_X100Y112 NUM_SITES 0 TILEPROP NULL_X100Y112 ROW 44 TILEPROP NULL_X100Y112 SLR_REGION_ID 0 TILEPROP NULL_X100Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y112 TILE_TYPE NULL TILEPROP NULL_X100Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y112 TILE_X 84574 TILEPROP NULL_X100Y112 TILE_Y 103672 TILEPROP NULL_X100Y112 TYPE NULL TILEPROP NULL_X100Y113 CLASS tile TILEPROP NULL_X100Y113 COLUMN 100 TILEPROP NULL_X100Y113 DEVICE_ID 0 TILEPROP NULL_X100Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X100Y113 GRID_POINT_X 100 TILEPROP NULL_X100Y113 GRID_POINT_Y 43 TILEPROP NULL_X100Y113 INDEX 5045 TILEPROP NULL_X100Y113 INT_TILE_X -1 TILEPROP NULL_X100Y113 INT_TILE_Y -1 TILEPROP NULL_X100Y113 IS_CENTER_TILE 0 TILEPROP NULL_X100Y113 IS_DCM_TILE 0 TILEPROP NULL_X100Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y113 NAME NULL_X100Y113 TILEPROP NULL_X100Y113 NUM_ARCS 0 TILEPROP NULL_X100Y113 NUM_SITES 0 TILEPROP NULL_X100Y113 ROW 43 TILEPROP NULL_X100Y113 SLR_REGION_ID 0 TILEPROP NULL_X100Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y113 TILE_TYPE NULL TILEPROP NULL_X100Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y113 TILE_X 84574 TILEPROP NULL_X100Y113 TILE_Y 106872 TILEPROP NULL_X100Y113 TYPE NULL TILEPROP NULL_X100Y114 CLASS tile TILEPROP NULL_X100Y114 COLUMN 100 TILEPROP NULL_X100Y114 DEVICE_ID 0 TILEPROP NULL_X100Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X100Y114 GRID_POINT_X 100 TILEPROP NULL_X100Y114 GRID_POINT_Y 42 TILEPROP NULL_X100Y114 INDEX 4930 TILEPROP NULL_X100Y114 INT_TILE_X -1 TILEPROP NULL_X100Y114 INT_TILE_Y -1 TILEPROP NULL_X100Y114 IS_CENTER_TILE 0 TILEPROP NULL_X100Y114 IS_DCM_TILE 0 TILEPROP NULL_X100Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y114 NAME NULL_X100Y114 TILEPROP NULL_X100Y114 NUM_ARCS 0 TILEPROP NULL_X100Y114 NUM_SITES 0 TILEPROP NULL_X100Y114 ROW 42 TILEPROP NULL_X100Y114 SLR_REGION_ID 0 TILEPROP NULL_X100Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y114 TILE_TYPE NULL TILEPROP NULL_X100Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y114 TILE_X 84574 TILEPROP NULL_X100Y114 TILE_Y 110072 TILEPROP NULL_X100Y114 TYPE NULL TILEPROP NULL_X100Y115 CLASS tile TILEPROP NULL_X100Y115 COLUMN 100 TILEPROP NULL_X100Y115 DEVICE_ID 0 TILEPROP NULL_X100Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X100Y115 GRID_POINT_X 100 TILEPROP NULL_X100Y115 GRID_POINT_Y 41 TILEPROP NULL_X100Y115 INDEX 4815 TILEPROP NULL_X100Y115 INT_TILE_X -1 TILEPROP NULL_X100Y115 INT_TILE_Y -1 TILEPROP NULL_X100Y115 IS_CENTER_TILE 0 TILEPROP NULL_X100Y115 IS_DCM_TILE 0 TILEPROP NULL_X100Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y115 NAME NULL_X100Y115 TILEPROP NULL_X100Y115 NUM_ARCS 0 TILEPROP NULL_X100Y115 NUM_SITES 0 TILEPROP NULL_X100Y115 ROW 41 TILEPROP NULL_X100Y115 SLR_REGION_ID 0 TILEPROP NULL_X100Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y115 TILE_TYPE NULL TILEPROP NULL_X100Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y115 TILE_X 84574 TILEPROP NULL_X100Y115 TILE_Y 113272 TILEPROP NULL_X100Y115 TYPE NULL TILEPROP NULL_X100Y116 CLASS tile TILEPROP NULL_X100Y116 COLUMN 100 TILEPROP NULL_X100Y116 DEVICE_ID 0 TILEPROP NULL_X100Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X100Y116 GRID_POINT_X 100 TILEPROP NULL_X100Y116 GRID_POINT_Y 40 TILEPROP NULL_X100Y116 INDEX 4700 TILEPROP NULL_X100Y116 INT_TILE_X -1 TILEPROP NULL_X100Y116 INT_TILE_Y -1 TILEPROP NULL_X100Y116 IS_CENTER_TILE 0 TILEPROP NULL_X100Y116 IS_DCM_TILE 0 TILEPROP NULL_X100Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y116 NAME NULL_X100Y116 TILEPROP NULL_X100Y116 NUM_ARCS 0 TILEPROP NULL_X100Y116 NUM_SITES 0 TILEPROP NULL_X100Y116 ROW 40 TILEPROP NULL_X100Y116 SLR_REGION_ID 0 TILEPROP NULL_X100Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y116 TILE_TYPE NULL TILEPROP NULL_X100Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y116 TILE_X 84574 TILEPROP NULL_X100Y116 TILE_Y 116472 TILEPROP NULL_X100Y116 TYPE NULL TILEPROP NULL_X100Y117 CLASS tile TILEPROP NULL_X100Y117 COLUMN 100 TILEPROP NULL_X100Y117 DEVICE_ID 0 TILEPROP NULL_X100Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X100Y117 GRID_POINT_X 100 TILEPROP NULL_X100Y117 GRID_POINT_Y 39 TILEPROP NULL_X100Y117 INDEX 4585 TILEPROP NULL_X100Y117 INT_TILE_X -1 TILEPROP NULL_X100Y117 INT_TILE_Y -1 TILEPROP NULL_X100Y117 IS_CENTER_TILE 0 TILEPROP NULL_X100Y117 IS_DCM_TILE 0 TILEPROP NULL_X100Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y117 NAME NULL_X100Y117 TILEPROP NULL_X100Y117 NUM_ARCS 0 TILEPROP NULL_X100Y117 NUM_SITES 0 TILEPROP NULL_X100Y117 ROW 39 TILEPROP NULL_X100Y117 SLR_REGION_ID 0 TILEPROP NULL_X100Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y117 TILE_TYPE NULL TILEPROP NULL_X100Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y117 TILE_X 84574 TILEPROP NULL_X100Y117 TILE_Y 119672 TILEPROP NULL_X100Y117 TYPE NULL TILEPROP NULL_X100Y118 CLASS tile TILEPROP NULL_X100Y118 COLUMN 100 TILEPROP NULL_X100Y118 DEVICE_ID 0 TILEPROP NULL_X100Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X100Y118 GRID_POINT_X 100 TILEPROP NULL_X100Y118 GRID_POINT_Y 38 TILEPROP NULL_X100Y118 INDEX 4470 TILEPROP NULL_X100Y118 INT_TILE_X -1 TILEPROP NULL_X100Y118 INT_TILE_Y -1 TILEPROP NULL_X100Y118 IS_CENTER_TILE 0 TILEPROP NULL_X100Y118 IS_DCM_TILE 0 TILEPROP NULL_X100Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y118 NAME NULL_X100Y118 TILEPROP NULL_X100Y118 NUM_ARCS 0 TILEPROP NULL_X100Y118 NUM_SITES 0 TILEPROP NULL_X100Y118 ROW 38 TILEPROP NULL_X100Y118 SLR_REGION_ID 0 TILEPROP NULL_X100Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y118 TILE_TYPE NULL TILEPROP NULL_X100Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y118 TILE_X 84574 TILEPROP NULL_X100Y118 TILE_Y 122872 TILEPROP NULL_X100Y118 TYPE NULL TILEPROP NULL_X100Y119 CLASS tile TILEPROP NULL_X100Y119 COLUMN 100 TILEPROP NULL_X100Y119 DEVICE_ID 0 TILEPROP NULL_X100Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X100Y119 GRID_POINT_X 100 TILEPROP NULL_X100Y119 GRID_POINT_Y 37 TILEPROP NULL_X100Y119 INDEX 4355 TILEPROP NULL_X100Y119 INT_TILE_X -1 TILEPROP NULL_X100Y119 INT_TILE_Y -1 TILEPROP NULL_X100Y119 IS_CENTER_TILE 0 TILEPROP NULL_X100Y119 IS_DCM_TILE 0 TILEPROP NULL_X100Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y119 NAME NULL_X100Y119 TILEPROP NULL_X100Y119 NUM_ARCS 0 TILEPROP NULL_X100Y119 NUM_SITES 0 TILEPROP NULL_X100Y119 ROW 37 TILEPROP NULL_X100Y119 SLR_REGION_ID 0 TILEPROP NULL_X100Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y119 TILE_TYPE NULL TILEPROP NULL_X100Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y119 TILE_X 84574 TILEPROP NULL_X100Y119 TILE_Y 126072 TILEPROP NULL_X100Y119 TYPE NULL TILEPROP NULL_X100Y120 CLASS tile TILEPROP NULL_X100Y120 COLUMN 100 TILEPROP NULL_X100Y120 DEVICE_ID 0 TILEPROP NULL_X100Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X100Y120 GRID_POINT_X 100 TILEPROP NULL_X100Y120 GRID_POINT_Y 36 TILEPROP NULL_X100Y120 INDEX 4240 TILEPROP NULL_X100Y120 INT_TILE_X -1 TILEPROP NULL_X100Y120 INT_TILE_Y -1 TILEPROP NULL_X100Y120 IS_CENTER_TILE 0 TILEPROP NULL_X100Y120 IS_DCM_TILE 0 TILEPROP NULL_X100Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y120 NAME NULL_X100Y120 TILEPROP NULL_X100Y120 NUM_ARCS 0 TILEPROP NULL_X100Y120 NUM_SITES 0 TILEPROP NULL_X100Y120 ROW 36 TILEPROP NULL_X100Y120 SLR_REGION_ID 0 TILEPROP NULL_X100Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y120 TILE_TYPE NULL TILEPROP NULL_X100Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y120 TILE_X 84574 TILEPROP NULL_X100Y120 TILE_Y 129272 TILEPROP NULL_X100Y120 TYPE NULL TILEPROP NULL_X100Y121 CLASS tile TILEPROP NULL_X100Y121 COLUMN 100 TILEPROP NULL_X100Y121 DEVICE_ID 0 TILEPROP NULL_X100Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X100Y121 GRID_POINT_X 100 TILEPROP NULL_X100Y121 GRID_POINT_Y 35 TILEPROP NULL_X100Y121 INDEX 4125 TILEPROP NULL_X100Y121 INT_TILE_X -1 TILEPROP NULL_X100Y121 INT_TILE_Y -1 TILEPROP NULL_X100Y121 IS_CENTER_TILE 0 TILEPROP NULL_X100Y121 IS_DCM_TILE 0 TILEPROP NULL_X100Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y121 NAME NULL_X100Y121 TILEPROP NULL_X100Y121 NUM_ARCS 0 TILEPROP NULL_X100Y121 NUM_SITES 0 TILEPROP NULL_X100Y121 ROW 35 TILEPROP NULL_X100Y121 SLR_REGION_ID 0 TILEPROP NULL_X100Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y121 TILE_TYPE NULL TILEPROP NULL_X100Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y121 TILE_X 84574 TILEPROP NULL_X100Y121 TILE_Y 132472 TILEPROP NULL_X100Y121 TYPE NULL TILEPROP NULL_X100Y122 CLASS tile TILEPROP NULL_X100Y122 COLUMN 100 TILEPROP NULL_X100Y122 DEVICE_ID 0 TILEPROP NULL_X100Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X100Y122 GRID_POINT_X 100 TILEPROP NULL_X100Y122 GRID_POINT_Y 34 TILEPROP NULL_X100Y122 INDEX 4010 TILEPROP NULL_X100Y122 INT_TILE_X -1 TILEPROP NULL_X100Y122 INT_TILE_Y -1 TILEPROP NULL_X100Y122 IS_CENTER_TILE 0 TILEPROP NULL_X100Y122 IS_DCM_TILE 0 TILEPROP NULL_X100Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y122 NAME NULL_X100Y122 TILEPROP NULL_X100Y122 NUM_ARCS 0 TILEPROP NULL_X100Y122 NUM_SITES 0 TILEPROP NULL_X100Y122 ROW 34 TILEPROP NULL_X100Y122 SLR_REGION_ID 0 TILEPROP NULL_X100Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y122 TILE_TYPE NULL TILEPROP NULL_X100Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y122 TILE_X 84574 TILEPROP NULL_X100Y122 TILE_Y 135672 TILEPROP NULL_X100Y122 TYPE NULL TILEPROP NULL_X100Y123 CLASS tile TILEPROP NULL_X100Y123 COLUMN 100 TILEPROP NULL_X100Y123 DEVICE_ID 0 TILEPROP NULL_X100Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X100Y123 GRID_POINT_X 100 TILEPROP NULL_X100Y123 GRID_POINT_Y 33 TILEPROP NULL_X100Y123 INDEX 3895 TILEPROP NULL_X100Y123 INT_TILE_X -1 TILEPROP NULL_X100Y123 INT_TILE_Y -1 TILEPROP NULL_X100Y123 IS_CENTER_TILE 0 TILEPROP NULL_X100Y123 IS_DCM_TILE 0 TILEPROP NULL_X100Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y123 NAME NULL_X100Y123 TILEPROP NULL_X100Y123 NUM_ARCS 0 TILEPROP NULL_X100Y123 NUM_SITES 0 TILEPROP NULL_X100Y123 ROW 33 TILEPROP NULL_X100Y123 SLR_REGION_ID 0 TILEPROP NULL_X100Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y123 TILE_TYPE NULL TILEPROP NULL_X100Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y123 TILE_X 84574 TILEPROP NULL_X100Y123 TILE_Y 138872 TILEPROP NULL_X100Y123 TYPE NULL TILEPROP NULL_X100Y124 CLASS tile TILEPROP NULL_X100Y124 COLUMN 100 TILEPROP NULL_X100Y124 DEVICE_ID 0 TILEPROP NULL_X100Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X100Y124 GRID_POINT_X 100 TILEPROP NULL_X100Y124 GRID_POINT_Y 32 TILEPROP NULL_X100Y124 INDEX 3780 TILEPROP NULL_X100Y124 INT_TILE_X -1 TILEPROP NULL_X100Y124 INT_TILE_Y -1 TILEPROP NULL_X100Y124 IS_CENTER_TILE 0 TILEPROP NULL_X100Y124 IS_DCM_TILE 0 TILEPROP NULL_X100Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y124 NAME NULL_X100Y124 TILEPROP NULL_X100Y124 NUM_ARCS 0 TILEPROP NULL_X100Y124 NUM_SITES 0 TILEPROP NULL_X100Y124 ROW 32 TILEPROP NULL_X100Y124 SLR_REGION_ID 0 TILEPROP NULL_X100Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y124 TILE_TYPE NULL TILEPROP NULL_X100Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y124 TILE_X 84574 TILEPROP NULL_X100Y124 TILE_Y 142072 TILEPROP NULL_X100Y124 TYPE NULL TILEPROP NULL_X100Y125 CLASS tile TILEPROP NULL_X100Y125 COLUMN 100 TILEPROP NULL_X100Y125 DEVICE_ID 0 TILEPROP NULL_X100Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X100Y125 GRID_POINT_X 100 TILEPROP NULL_X100Y125 GRID_POINT_Y 31 TILEPROP NULL_X100Y125 INDEX 3665 TILEPROP NULL_X100Y125 INT_TILE_X -1 TILEPROP NULL_X100Y125 INT_TILE_Y -1 TILEPROP NULL_X100Y125 IS_CENTER_TILE 0 TILEPROP NULL_X100Y125 IS_DCM_TILE 0 TILEPROP NULL_X100Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y125 NAME NULL_X100Y125 TILEPROP NULL_X100Y125 NUM_ARCS 0 TILEPROP NULL_X100Y125 NUM_SITES 0 TILEPROP NULL_X100Y125 ROW 31 TILEPROP NULL_X100Y125 SLR_REGION_ID 0 TILEPROP NULL_X100Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y125 TILE_TYPE NULL TILEPROP NULL_X100Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y125 TILE_X 84574 TILEPROP NULL_X100Y125 TILE_Y 145272 TILEPROP NULL_X100Y125 TYPE NULL TILEPROP NULL_X100Y126 CLASS tile TILEPROP NULL_X100Y126 COLUMN 100 TILEPROP NULL_X100Y126 DEVICE_ID 0 TILEPROP NULL_X100Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X100Y126 GRID_POINT_X 100 TILEPROP NULL_X100Y126 GRID_POINT_Y 30 TILEPROP NULL_X100Y126 INDEX 3550 TILEPROP NULL_X100Y126 INT_TILE_X -1 TILEPROP NULL_X100Y126 INT_TILE_Y -1 TILEPROP NULL_X100Y126 IS_CENTER_TILE 0 TILEPROP NULL_X100Y126 IS_DCM_TILE 0 TILEPROP NULL_X100Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y126 NAME NULL_X100Y126 TILEPROP NULL_X100Y126 NUM_ARCS 0 TILEPROP NULL_X100Y126 NUM_SITES 0 TILEPROP NULL_X100Y126 ROW 30 TILEPROP NULL_X100Y126 SLR_REGION_ID 0 TILEPROP NULL_X100Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y126 TILE_TYPE NULL TILEPROP NULL_X100Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y126 TILE_X 84574 TILEPROP NULL_X100Y126 TILE_Y 148472 TILEPROP NULL_X100Y126 TYPE NULL TILEPROP NULL_X100Y127 CLASS tile TILEPROP NULL_X100Y127 COLUMN 100 TILEPROP NULL_X100Y127 DEVICE_ID 0 TILEPROP NULL_X100Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X100Y127 GRID_POINT_X 100 TILEPROP NULL_X100Y127 GRID_POINT_Y 29 TILEPROP NULL_X100Y127 INDEX 3435 TILEPROP NULL_X100Y127 INT_TILE_X -1 TILEPROP NULL_X100Y127 INT_TILE_Y -1 TILEPROP NULL_X100Y127 IS_CENTER_TILE 0 TILEPROP NULL_X100Y127 IS_DCM_TILE 0 TILEPROP NULL_X100Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y127 NAME NULL_X100Y127 TILEPROP NULL_X100Y127 NUM_ARCS 0 TILEPROP NULL_X100Y127 NUM_SITES 0 TILEPROP NULL_X100Y127 ROW 29 TILEPROP NULL_X100Y127 SLR_REGION_ID 0 TILEPROP NULL_X100Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y127 TILE_TYPE NULL TILEPROP NULL_X100Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y127 TILE_X 84574 TILEPROP NULL_X100Y127 TILE_Y 151672 TILEPROP NULL_X100Y127 TYPE NULL TILEPROP NULL_X100Y128 CLASS tile TILEPROP NULL_X100Y128 COLUMN 100 TILEPROP NULL_X100Y128 DEVICE_ID 0 TILEPROP NULL_X100Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X100Y128 GRID_POINT_X 100 TILEPROP NULL_X100Y128 GRID_POINT_Y 28 TILEPROP NULL_X100Y128 INDEX 3320 TILEPROP NULL_X100Y128 INT_TILE_X -1 TILEPROP NULL_X100Y128 INT_TILE_Y -1 TILEPROP NULL_X100Y128 IS_CENTER_TILE 0 TILEPROP NULL_X100Y128 IS_DCM_TILE 0 TILEPROP NULL_X100Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y128 NAME NULL_X100Y128 TILEPROP NULL_X100Y128 NUM_ARCS 0 TILEPROP NULL_X100Y128 NUM_SITES 0 TILEPROP NULL_X100Y128 ROW 28 TILEPROP NULL_X100Y128 SLR_REGION_ID 0 TILEPROP NULL_X100Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y128 TILE_TYPE NULL TILEPROP NULL_X100Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y128 TILE_X 84574 TILEPROP NULL_X100Y128 TILE_Y 154872 TILEPROP NULL_X100Y128 TYPE NULL TILEPROP NULL_X100Y129 CLASS tile TILEPROP NULL_X100Y129 COLUMN 100 TILEPROP NULL_X100Y129 DEVICE_ID 0 TILEPROP NULL_X100Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X100Y129 GRID_POINT_X 100 TILEPROP NULL_X100Y129 GRID_POINT_Y 27 TILEPROP NULL_X100Y129 INDEX 3205 TILEPROP NULL_X100Y129 INT_TILE_X -1 TILEPROP NULL_X100Y129 INT_TILE_Y -1 TILEPROP NULL_X100Y129 IS_CENTER_TILE 0 TILEPROP NULL_X100Y129 IS_DCM_TILE 0 TILEPROP NULL_X100Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y129 NAME NULL_X100Y129 TILEPROP NULL_X100Y129 NUM_ARCS 0 TILEPROP NULL_X100Y129 NUM_SITES 0 TILEPROP NULL_X100Y129 ROW 27 TILEPROP NULL_X100Y129 SLR_REGION_ID 0 TILEPROP NULL_X100Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y129 TILE_TYPE NULL TILEPROP NULL_X100Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y129 TILE_X 84574 TILEPROP NULL_X100Y129 TILE_Y 158072 TILEPROP NULL_X100Y129 TYPE NULL TILEPROP NULL_X100Y130 CLASS tile TILEPROP NULL_X100Y130 COLUMN 100 TILEPROP NULL_X100Y130 DEVICE_ID 0 TILEPROP NULL_X100Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X100Y130 GRID_POINT_X 100 TILEPROP NULL_X100Y130 GRID_POINT_Y 26 TILEPROP NULL_X100Y130 INDEX 3090 TILEPROP NULL_X100Y130 INT_TILE_X -1 TILEPROP NULL_X100Y130 INT_TILE_Y -1 TILEPROP NULL_X100Y130 IS_CENTER_TILE 0 TILEPROP NULL_X100Y130 IS_DCM_TILE 0 TILEPROP NULL_X100Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y130 NAME NULL_X100Y130 TILEPROP NULL_X100Y130 NUM_ARCS 0 TILEPROP NULL_X100Y130 NUM_SITES 0 TILEPROP NULL_X100Y130 ROW 26 TILEPROP NULL_X100Y130 SLR_REGION_ID 0 TILEPROP NULL_X100Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y130 TILE_TYPE NULL TILEPROP NULL_X100Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y130 TILE_X 84574 TILEPROP NULL_X100Y130 TILE_Y 161272 TILEPROP NULL_X100Y130 TYPE NULL TILEPROP NULL_X100Y131 CLASS tile TILEPROP NULL_X100Y131 COLUMN 100 TILEPROP NULL_X100Y131 DEVICE_ID 0 TILEPROP NULL_X100Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X100Y131 GRID_POINT_X 100 TILEPROP NULL_X100Y131 GRID_POINT_Y 25 TILEPROP NULL_X100Y131 INDEX 2975 TILEPROP NULL_X100Y131 INT_TILE_X -1 TILEPROP NULL_X100Y131 INT_TILE_Y -1 TILEPROP NULL_X100Y131 IS_CENTER_TILE 0 TILEPROP NULL_X100Y131 IS_DCM_TILE 0 TILEPROP NULL_X100Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y131 NAME NULL_X100Y131 TILEPROP NULL_X100Y131 NUM_ARCS 0 TILEPROP NULL_X100Y131 NUM_SITES 0 TILEPROP NULL_X100Y131 ROW 25 TILEPROP NULL_X100Y131 SLR_REGION_ID 0 TILEPROP NULL_X100Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y131 TILE_TYPE NULL TILEPROP NULL_X100Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y131 TILE_X 84574 TILEPROP NULL_X100Y131 TILE_Y 162296 TILEPROP NULL_X100Y131 TYPE NULL TILEPROP NULL_X100Y132 CLASS tile TILEPROP NULL_X100Y132 COLUMN 100 TILEPROP NULL_X100Y132 DEVICE_ID 0 TILEPROP NULL_X100Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X100Y132 GRID_POINT_X 100 TILEPROP NULL_X100Y132 GRID_POINT_Y 24 TILEPROP NULL_X100Y132 INDEX 2860 TILEPROP NULL_X100Y132 INT_TILE_X -1 TILEPROP NULL_X100Y132 INT_TILE_Y -1 TILEPROP NULL_X100Y132 IS_CENTER_TILE 0 TILEPROP NULL_X100Y132 IS_DCM_TILE 0 TILEPROP NULL_X100Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y132 NAME NULL_X100Y132 TILEPROP NULL_X100Y132 NUM_ARCS 0 TILEPROP NULL_X100Y132 NUM_SITES 0 TILEPROP NULL_X100Y132 ROW 24 TILEPROP NULL_X100Y132 SLR_REGION_ID 0 TILEPROP NULL_X100Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y132 TILE_TYPE NULL TILEPROP NULL_X100Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y132 TILE_X 84574 TILEPROP NULL_X100Y132 TILE_Y 165496 TILEPROP NULL_X100Y132 TYPE NULL TILEPROP NULL_X100Y133 CLASS tile TILEPROP NULL_X100Y133 COLUMN 100 TILEPROP NULL_X100Y133 DEVICE_ID 0 TILEPROP NULL_X100Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X100Y133 GRID_POINT_X 100 TILEPROP NULL_X100Y133 GRID_POINT_Y 23 TILEPROP NULL_X100Y133 INDEX 2745 TILEPROP NULL_X100Y133 INT_TILE_X -1 TILEPROP NULL_X100Y133 INT_TILE_Y -1 TILEPROP NULL_X100Y133 IS_CENTER_TILE 0 TILEPROP NULL_X100Y133 IS_DCM_TILE 0 TILEPROP NULL_X100Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y133 NAME NULL_X100Y133 TILEPROP NULL_X100Y133 NUM_ARCS 0 TILEPROP NULL_X100Y133 NUM_SITES 0 TILEPROP NULL_X100Y133 ROW 23 TILEPROP NULL_X100Y133 SLR_REGION_ID 0 TILEPROP NULL_X100Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y133 TILE_TYPE NULL TILEPROP NULL_X100Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y133 TILE_X 84574 TILEPROP NULL_X100Y133 TILE_Y 168696 TILEPROP NULL_X100Y133 TYPE NULL TILEPROP NULL_X100Y134 CLASS tile TILEPROP NULL_X100Y134 COLUMN 100 TILEPROP NULL_X100Y134 DEVICE_ID 0 TILEPROP NULL_X100Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X100Y134 GRID_POINT_X 100 TILEPROP NULL_X100Y134 GRID_POINT_Y 22 TILEPROP NULL_X100Y134 INDEX 2630 TILEPROP NULL_X100Y134 INT_TILE_X -1 TILEPROP NULL_X100Y134 INT_TILE_Y -1 TILEPROP NULL_X100Y134 IS_CENTER_TILE 0 TILEPROP NULL_X100Y134 IS_DCM_TILE 0 TILEPROP NULL_X100Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y134 NAME NULL_X100Y134 TILEPROP NULL_X100Y134 NUM_ARCS 0 TILEPROP NULL_X100Y134 NUM_SITES 0 TILEPROP NULL_X100Y134 ROW 22 TILEPROP NULL_X100Y134 SLR_REGION_ID 0 TILEPROP NULL_X100Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y134 TILE_TYPE NULL TILEPROP NULL_X100Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y134 TILE_X 84574 TILEPROP NULL_X100Y134 TILE_Y 171896 TILEPROP NULL_X100Y134 TYPE NULL TILEPROP NULL_X100Y135 CLASS tile TILEPROP NULL_X100Y135 COLUMN 100 TILEPROP NULL_X100Y135 DEVICE_ID 0 TILEPROP NULL_X100Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X100Y135 GRID_POINT_X 100 TILEPROP NULL_X100Y135 GRID_POINT_Y 21 TILEPROP NULL_X100Y135 INDEX 2515 TILEPROP NULL_X100Y135 INT_TILE_X -1 TILEPROP NULL_X100Y135 INT_TILE_Y -1 TILEPROP NULL_X100Y135 IS_CENTER_TILE 0 TILEPROP NULL_X100Y135 IS_DCM_TILE 0 TILEPROP NULL_X100Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y135 NAME NULL_X100Y135 TILEPROP NULL_X100Y135 NUM_ARCS 0 TILEPROP NULL_X100Y135 NUM_SITES 0 TILEPROP NULL_X100Y135 ROW 21 TILEPROP NULL_X100Y135 SLR_REGION_ID 0 TILEPROP NULL_X100Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y135 TILE_TYPE NULL TILEPROP NULL_X100Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y135 TILE_X 84574 TILEPROP NULL_X100Y135 TILE_Y 175096 TILEPROP NULL_X100Y135 TYPE NULL TILEPROP NULL_X100Y136 CLASS tile TILEPROP NULL_X100Y136 COLUMN 100 TILEPROP NULL_X100Y136 DEVICE_ID 0 TILEPROP NULL_X100Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X100Y136 GRID_POINT_X 100 TILEPROP NULL_X100Y136 GRID_POINT_Y 20 TILEPROP NULL_X100Y136 INDEX 2400 TILEPROP NULL_X100Y136 INT_TILE_X -1 TILEPROP NULL_X100Y136 INT_TILE_Y -1 TILEPROP NULL_X100Y136 IS_CENTER_TILE 0 TILEPROP NULL_X100Y136 IS_DCM_TILE 0 TILEPROP NULL_X100Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y136 NAME NULL_X100Y136 TILEPROP NULL_X100Y136 NUM_ARCS 0 TILEPROP NULL_X100Y136 NUM_SITES 0 TILEPROP NULL_X100Y136 ROW 20 TILEPROP NULL_X100Y136 SLR_REGION_ID 0 TILEPROP NULL_X100Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y136 TILE_TYPE NULL TILEPROP NULL_X100Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y136 TILE_X 84574 TILEPROP NULL_X100Y136 TILE_Y 178296 TILEPROP NULL_X100Y136 TYPE NULL TILEPROP NULL_X100Y137 CLASS tile TILEPROP NULL_X100Y137 COLUMN 100 TILEPROP NULL_X100Y137 DEVICE_ID 0 TILEPROP NULL_X100Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X100Y137 GRID_POINT_X 100 TILEPROP NULL_X100Y137 GRID_POINT_Y 19 TILEPROP NULL_X100Y137 INDEX 2285 TILEPROP NULL_X100Y137 INT_TILE_X -1 TILEPROP NULL_X100Y137 INT_TILE_Y -1 TILEPROP NULL_X100Y137 IS_CENTER_TILE 0 TILEPROP NULL_X100Y137 IS_DCM_TILE 0 TILEPROP NULL_X100Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y137 NAME NULL_X100Y137 TILEPROP NULL_X100Y137 NUM_ARCS 0 TILEPROP NULL_X100Y137 NUM_SITES 0 TILEPROP NULL_X100Y137 ROW 19 TILEPROP NULL_X100Y137 SLR_REGION_ID 0 TILEPROP NULL_X100Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y137 TILE_TYPE NULL TILEPROP NULL_X100Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y137 TILE_X 84574 TILEPROP NULL_X100Y137 TILE_Y 181496 TILEPROP NULL_X100Y137 TYPE NULL TILEPROP NULL_X100Y138 CLASS tile TILEPROP NULL_X100Y138 COLUMN 100 TILEPROP NULL_X100Y138 DEVICE_ID 0 TILEPROP NULL_X100Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X100Y138 GRID_POINT_X 100 TILEPROP NULL_X100Y138 GRID_POINT_Y 18 TILEPROP NULL_X100Y138 INDEX 2170 TILEPROP NULL_X100Y138 INT_TILE_X -1 TILEPROP NULL_X100Y138 INT_TILE_Y -1 TILEPROP NULL_X100Y138 IS_CENTER_TILE 0 TILEPROP NULL_X100Y138 IS_DCM_TILE 0 TILEPROP NULL_X100Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y138 NAME NULL_X100Y138 TILEPROP NULL_X100Y138 NUM_ARCS 0 TILEPROP NULL_X100Y138 NUM_SITES 0 TILEPROP NULL_X100Y138 ROW 18 TILEPROP NULL_X100Y138 SLR_REGION_ID 0 TILEPROP NULL_X100Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y138 TILE_TYPE NULL TILEPROP NULL_X100Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y138 TILE_X 84574 TILEPROP NULL_X100Y138 TILE_Y 184696 TILEPROP NULL_X100Y138 TYPE NULL TILEPROP NULL_X100Y139 CLASS tile TILEPROP NULL_X100Y139 COLUMN 100 TILEPROP NULL_X100Y139 DEVICE_ID 0 TILEPROP NULL_X100Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X100Y139 GRID_POINT_X 100 TILEPROP NULL_X100Y139 GRID_POINT_Y 17 TILEPROP NULL_X100Y139 INDEX 2055 TILEPROP NULL_X100Y139 INT_TILE_X -1 TILEPROP NULL_X100Y139 INT_TILE_Y -1 TILEPROP NULL_X100Y139 IS_CENTER_TILE 0 TILEPROP NULL_X100Y139 IS_DCM_TILE 0 TILEPROP NULL_X100Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y139 NAME NULL_X100Y139 TILEPROP NULL_X100Y139 NUM_ARCS 0 TILEPROP NULL_X100Y139 NUM_SITES 0 TILEPROP NULL_X100Y139 ROW 17 TILEPROP NULL_X100Y139 SLR_REGION_ID 0 TILEPROP NULL_X100Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y139 TILE_TYPE NULL TILEPROP NULL_X100Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y139 TILE_X 84574 TILEPROP NULL_X100Y139 TILE_Y 187896 TILEPROP NULL_X100Y139 TYPE NULL TILEPROP NULL_X100Y140 CLASS tile TILEPROP NULL_X100Y140 COLUMN 100 TILEPROP NULL_X100Y140 DEVICE_ID 0 TILEPROP NULL_X100Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X100Y140 GRID_POINT_X 100 TILEPROP NULL_X100Y140 GRID_POINT_Y 16 TILEPROP NULL_X100Y140 INDEX 1940 TILEPROP NULL_X100Y140 INT_TILE_X -1 TILEPROP NULL_X100Y140 INT_TILE_Y -1 TILEPROP NULL_X100Y140 IS_CENTER_TILE 0 TILEPROP NULL_X100Y140 IS_DCM_TILE 0 TILEPROP NULL_X100Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y140 NAME NULL_X100Y140 TILEPROP NULL_X100Y140 NUM_ARCS 0 TILEPROP NULL_X100Y140 NUM_SITES 0 TILEPROP NULL_X100Y140 ROW 16 TILEPROP NULL_X100Y140 SLR_REGION_ID 0 TILEPROP NULL_X100Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y140 TILE_TYPE NULL TILEPROP NULL_X100Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y140 TILE_X 84574 TILEPROP NULL_X100Y140 TILE_Y 191096 TILEPROP NULL_X100Y140 TYPE NULL TILEPROP NULL_X100Y141 CLASS tile TILEPROP NULL_X100Y141 COLUMN 100 TILEPROP NULL_X100Y141 DEVICE_ID 0 TILEPROP NULL_X100Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X100Y141 GRID_POINT_X 100 TILEPROP NULL_X100Y141 GRID_POINT_Y 15 TILEPROP NULL_X100Y141 INDEX 1825 TILEPROP NULL_X100Y141 INT_TILE_X -1 TILEPROP NULL_X100Y141 INT_TILE_Y -1 TILEPROP NULL_X100Y141 IS_CENTER_TILE 0 TILEPROP NULL_X100Y141 IS_DCM_TILE 0 TILEPROP NULL_X100Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y141 NAME NULL_X100Y141 TILEPROP NULL_X100Y141 NUM_ARCS 0 TILEPROP NULL_X100Y141 NUM_SITES 0 TILEPROP NULL_X100Y141 ROW 15 TILEPROP NULL_X100Y141 SLR_REGION_ID 0 TILEPROP NULL_X100Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y141 TILE_TYPE NULL TILEPROP NULL_X100Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y141 TILE_X 84574 TILEPROP NULL_X100Y141 TILE_Y 194296 TILEPROP NULL_X100Y141 TYPE NULL TILEPROP NULL_X100Y142 CLASS tile TILEPROP NULL_X100Y142 COLUMN 100 TILEPROP NULL_X100Y142 DEVICE_ID 0 TILEPROP NULL_X100Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X100Y142 GRID_POINT_X 100 TILEPROP NULL_X100Y142 GRID_POINT_Y 14 TILEPROP NULL_X100Y142 INDEX 1710 TILEPROP NULL_X100Y142 INT_TILE_X -1 TILEPROP NULL_X100Y142 INT_TILE_Y -1 TILEPROP NULL_X100Y142 IS_CENTER_TILE 0 TILEPROP NULL_X100Y142 IS_DCM_TILE 0 TILEPROP NULL_X100Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y142 NAME NULL_X100Y142 TILEPROP NULL_X100Y142 NUM_ARCS 0 TILEPROP NULL_X100Y142 NUM_SITES 0 TILEPROP NULL_X100Y142 ROW 14 TILEPROP NULL_X100Y142 SLR_REGION_ID 0 TILEPROP NULL_X100Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y142 TILE_TYPE NULL TILEPROP NULL_X100Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y142 TILE_X 84574 TILEPROP NULL_X100Y142 TILE_Y 197496 TILEPROP NULL_X100Y142 TYPE NULL TILEPROP NULL_X100Y143 CLASS tile TILEPROP NULL_X100Y143 COLUMN 100 TILEPROP NULL_X100Y143 DEVICE_ID 0 TILEPROP NULL_X100Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X100Y143 GRID_POINT_X 100 TILEPROP NULL_X100Y143 GRID_POINT_Y 13 TILEPROP NULL_X100Y143 INDEX 1595 TILEPROP NULL_X100Y143 INT_TILE_X -1 TILEPROP NULL_X100Y143 INT_TILE_Y -1 TILEPROP NULL_X100Y143 IS_CENTER_TILE 0 TILEPROP NULL_X100Y143 IS_DCM_TILE 0 TILEPROP NULL_X100Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y143 NAME NULL_X100Y143 TILEPROP NULL_X100Y143 NUM_ARCS 0 TILEPROP NULL_X100Y143 NUM_SITES 0 TILEPROP NULL_X100Y143 ROW 13 TILEPROP NULL_X100Y143 SLR_REGION_ID 0 TILEPROP NULL_X100Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y143 TILE_TYPE NULL TILEPROP NULL_X100Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y143 TILE_X 84574 TILEPROP NULL_X100Y143 TILE_Y 200696 TILEPROP NULL_X100Y143 TYPE NULL TILEPROP NULL_X100Y144 CLASS tile TILEPROP NULL_X100Y144 COLUMN 100 TILEPROP NULL_X100Y144 DEVICE_ID 0 TILEPROP NULL_X100Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X100Y144 GRID_POINT_X 100 TILEPROP NULL_X100Y144 GRID_POINT_Y 12 TILEPROP NULL_X100Y144 INDEX 1480 TILEPROP NULL_X100Y144 INT_TILE_X -1 TILEPROP NULL_X100Y144 INT_TILE_Y -1 TILEPROP NULL_X100Y144 IS_CENTER_TILE 0 TILEPROP NULL_X100Y144 IS_DCM_TILE 0 TILEPROP NULL_X100Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y144 NAME NULL_X100Y144 TILEPROP NULL_X100Y144 NUM_ARCS 0 TILEPROP NULL_X100Y144 NUM_SITES 0 TILEPROP NULL_X100Y144 ROW 12 TILEPROP NULL_X100Y144 SLR_REGION_ID 0 TILEPROP NULL_X100Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y144 TILE_TYPE NULL TILEPROP NULL_X100Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y144 TILE_X 84574 TILEPROP NULL_X100Y144 TILE_Y 203896 TILEPROP NULL_X100Y144 TYPE NULL TILEPROP NULL_X100Y145 CLASS tile TILEPROP NULL_X100Y145 COLUMN 100 TILEPROP NULL_X100Y145 DEVICE_ID 0 TILEPROP NULL_X100Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X100Y145 GRID_POINT_X 100 TILEPROP NULL_X100Y145 GRID_POINT_Y 11 TILEPROP NULL_X100Y145 INDEX 1365 TILEPROP NULL_X100Y145 INT_TILE_X -1 TILEPROP NULL_X100Y145 INT_TILE_Y -1 TILEPROP NULL_X100Y145 IS_CENTER_TILE 0 TILEPROP NULL_X100Y145 IS_DCM_TILE 0 TILEPROP NULL_X100Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y145 NAME NULL_X100Y145 TILEPROP NULL_X100Y145 NUM_ARCS 0 TILEPROP NULL_X100Y145 NUM_SITES 0 TILEPROP NULL_X100Y145 ROW 11 TILEPROP NULL_X100Y145 SLR_REGION_ID 0 TILEPROP NULL_X100Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y145 TILE_TYPE NULL TILEPROP NULL_X100Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y145 TILE_X 84574 TILEPROP NULL_X100Y145 TILE_Y 207096 TILEPROP NULL_X100Y145 TYPE NULL TILEPROP NULL_X100Y146 CLASS tile TILEPROP NULL_X100Y146 COLUMN 100 TILEPROP NULL_X100Y146 DEVICE_ID 0 TILEPROP NULL_X100Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X100Y146 GRID_POINT_X 100 TILEPROP NULL_X100Y146 GRID_POINT_Y 10 TILEPROP NULL_X100Y146 INDEX 1250 TILEPROP NULL_X100Y146 INT_TILE_X -1 TILEPROP NULL_X100Y146 INT_TILE_Y -1 TILEPROP NULL_X100Y146 IS_CENTER_TILE 0 TILEPROP NULL_X100Y146 IS_DCM_TILE 0 TILEPROP NULL_X100Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y146 NAME NULL_X100Y146 TILEPROP NULL_X100Y146 NUM_ARCS 0 TILEPROP NULL_X100Y146 NUM_SITES 0 TILEPROP NULL_X100Y146 ROW 10 TILEPROP NULL_X100Y146 SLR_REGION_ID 0 TILEPROP NULL_X100Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y146 TILE_TYPE NULL TILEPROP NULL_X100Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y146 TILE_X 84574 TILEPROP NULL_X100Y146 TILE_Y 210296 TILEPROP NULL_X100Y146 TYPE NULL TILEPROP NULL_X100Y147 CLASS tile TILEPROP NULL_X100Y147 COLUMN 100 TILEPROP NULL_X100Y147 DEVICE_ID 0 TILEPROP NULL_X100Y147 FIRST_SITE_ID 920 TILEPROP NULL_X100Y147 GRID_POINT_X 100 TILEPROP NULL_X100Y147 GRID_POINT_Y 9 TILEPROP NULL_X100Y147 INDEX 1135 TILEPROP NULL_X100Y147 INT_TILE_X -1 TILEPROP NULL_X100Y147 INT_TILE_Y -1 TILEPROP NULL_X100Y147 IS_CENTER_TILE 0 TILEPROP NULL_X100Y147 IS_DCM_TILE 0 TILEPROP NULL_X100Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y147 NAME NULL_X100Y147 TILEPROP NULL_X100Y147 NUM_ARCS 0 TILEPROP NULL_X100Y147 NUM_SITES 0 TILEPROP NULL_X100Y147 ROW 9 TILEPROP NULL_X100Y147 SLR_REGION_ID 0 TILEPROP NULL_X100Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y147 TILE_TYPE NULL TILEPROP NULL_X100Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y147 TILE_X 84574 TILEPROP NULL_X100Y147 TILE_Y 213496 TILEPROP NULL_X100Y147 TYPE NULL TILEPROP NULL_X100Y148 CLASS tile TILEPROP NULL_X100Y148 COLUMN 100 TILEPROP NULL_X100Y148 DEVICE_ID 0 TILEPROP NULL_X100Y148 FIRST_SITE_ID 816 TILEPROP NULL_X100Y148 GRID_POINT_X 100 TILEPROP NULL_X100Y148 GRID_POINT_Y 8 TILEPROP NULL_X100Y148 INDEX 1020 TILEPROP NULL_X100Y148 INT_TILE_X -1 TILEPROP NULL_X100Y148 INT_TILE_Y -1 TILEPROP NULL_X100Y148 IS_CENTER_TILE 0 TILEPROP NULL_X100Y148 IS_DCM_TILE 0 TILEPROP NULL_X100Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y148 NAME NULL_X100Y148 TILEPROP NULL_X100Y148 NUM_ARCS 0 TILEPROP NULL_X100Y148 NUM_SITES 0 TILEPROP NULL_X100Y148 ROW 8 TILEPROP NULL_X100Y148 SLR_REGION_ID 0 TILEPROP NULL_X100Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y148 TILE_TYPE NULL TILEPROP NULL_X100Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y148 TILE_X 84574 TILEPROP NULL_X100Y148 TILE_Y 216696 TILEPROP NULL_X100Y148 TYPE NULL TILEPROP NULL_X100Y149 CLASS tile TILEPROP NULL_X100Y149 COLUMN 100 TILEPROP NULL_X100Y149 DEVICE_ID 0 TILEPROP NULL_X100Y149 FIRST_SITE_ID 719 TILEPROP NULL_X100Y149 GRID_POINT_X 100 TILEPROP NULL_X100Y149 GRID_POINT_Y 7 TILEPROP NULL_X100Y149 INDEX 905 TILEPROP NULL_X100Y149 INT_TILE_X -1 TILEPROP NULL_X100Y149 INT_TILE_Y -1 TILEPROP NULL_X100Y149 IS_CENTER_TILE 0 TILEPROP NULL_X100Y149 IS_DCM_TILE 0 TILEPROP NULL_X100Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y149 NAME NULL_X100Y149 TILEPROP NULL_X100Y149 NUM_ARCS 0 TILEPROP NULL_X100Y149 NUM_SITES 0 TILEPROP NULL_X100Y149 ROW 7 TILEPROP NULL_X100Y149 SLR_REGION_ID 0 TILEPROP NULL_X100Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y149 TILE_TYPE NULL TILEPROP NULL_X100Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y149 TILE_X 84574 TILEPROP NULL_X100Y149 TILE_Y 219896 TILEPROP NULL_X100Y149 TYPE NULL TILEPROP NULL_X100Y150 CLASS tile TILEPROP NULL_X100Y150 COLUMN 100 TILEPROP NULL_X100Y150 DEVICE_ID 0 TILEPROP NULL_X100Y150 FIRST_SITE_ID 613 TILEPROP NULL_X100Y150 GRID_POINT_X 100 TILEPROP NULL_X100Y150 GRID_POINT_Y 6 TILEPROP NULL_X100Y150 INDEX 790 TILEPROP NULL_X100Y150 INT_TILE_X -1 TILEPROP NULL_X100Y150 INT_TILE_Y -1 TILEPROP NULL_X100Y150 IS_CENTER_TILE 0 TILEPROP NULL_X100Y150 IS_DCM_TILE 0 TILEPROP NULL_X100Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y150 NAME NULL_X100Y150 TILEPROP NULL_X100Y150 NUM_ARCS 0 TILEPROP NULL_X100Y150 NUM_SITES 0 TILEPROP NULL_X100Y150 ROW 6 TILEPROP NULL_X100Y150 SLR_REGION_ID 0 TILEPROP NULL_X100Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y150 TILE_TYPE NULL TILEPROP NULL_X100Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y150 TILE_X 84574 TILEPROP NULL_X100Y150 TILE_Y 223096 TILEPROP NULL_X100Y150 TYPE NULL TILEPROP NULL_X100Y151 CLASS tile TILEPROP NULL_X100Y151 COLUMN 100 TILEPROP NULL_X100Y151 DEVICE_ID 0 TILEPROP NULL_X100Y151 FIRST_SITE_ID 512 TILEPROP NULL_X100Y151 GRID_POINT_X 100 TILEPROP NULL_X100Y151 GRID_POINT_Y 5 TILEPROP NULL_X100Y151 INDEX 675 TILEPROP NULL_X100Y151 INT_TILE_X -1 TILEPROP NULL_X100Y151 INT_TILE_Y -1 TILEPROP NULL_X100Y151 IS_CENTER_TILE 0 TILEPROP NULL_X100Y151 IS_DCM_TILE 0 TILEPROP NULL_X100Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y151 NAME NULL_X100Y151 TILEPROP NULL_X100Y151 NUM_ARCS 0 TILEPROP NULL_X100Y151 NUM_SITES 0 TILEPROP NULL_X100Y151 ROW 5 TILEPROP NULL_X100Y151 SLR_REGION_ID 0 TILEPROP NULL_X100Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y151 TILE_TYPE NULL TILEPROP NULL_X100Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y151 TILE_X 84574 TILEPROP NULL_X100Y151 TILE_Y 226296 TILEPROP NULL_X100Y151 TYPE NULL TILEPROP NULL_X100Y152 CLASS tile TILEPROP NULL_X100Y152 COLUMN 100 TILEPROP NULL_X100Y152 DEVICE_ID 0 TILEPROP NULL_X100Y152 FIRST_SITE_ID 396 TILEPROP NULL_X100Y152 GRID_POINT_X 100 TILEPROP NULL_X100Y152 GRID_POINT_Y 4 TILEPROP NULL_X100Y152 INDEX 560 TILEPROP NULL_X100Y152 INT_TILE_X -1 TILEPROP NULL_X100Y152 INT_TILE_Y -1 TILEPROP NULL_X100Y152 IS_CENTER_TILE 0 TILEPROP NULL_X100Y152 IS_DCM_TILE 0 TILEPROP NULL_X100Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y152 NAME NULL_X100Y152 TILEPROP NULL_X100Y152 NUM_ARCS 0 TILEPROP NULL_X100Y152 NUM_SITES 0 TILEPROP NULL_X100Y152 ROW 4 TILEPROP NULL_X100Y152 SLR_REGION_ID 0 TILEPROP NULL_X100Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y152 TILE_TYPE NULL TILEPROP NULL_X100Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y152 TILE_X 84574 TILEPROP NULL_X100Y152 TILE_Y 229496 TILEPROP NULL_X100Y152 TYPE NULL TILEPROP NULL_X100Y153 CLASS tile TILEPROP NULL_X100Y153 COLUMN 100 TILEPROP NULL_X100Y153 DEVICE_ID 0 TILEPROP NULL_X100Y153 FIRST_SITE_ID 300 TILEPROP NULL_X100Y153 GRID_POINT_X 100 TILEPROP NULL_X100Y153 GRID_POINT_Y 3 TILEPROP NULL_X100Y153 INDEX 445 TILEPROP NULL_X100Y153 INT_TILE_X -1 TILEPROP NULL_X100Y153 INT_TILE_Y -1 TILEPROP NULL_X100Y153 IS_CENTER_TILE 0 TILEPROP NULL_X100Y153 IS_DCM_TILE 0 TILEPROP NULL_X100Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y153 NAME NULL_X100Y153 TILEPROP NULL_X100Y153 NUM_ARCS 0 TILEPROP NULL_X100Y153 NUM_SITES 0 TILEPROP NULL_X100Y153 ROW 3 TILEPROP NULL_X100Y153 SLR_REGION_ID 0 TILEPROP NULL_X100Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y153 TILE_TYPE NULL TILEPROP NULL_X100Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y153 TILE_X 84574 TILEPROP NULL_X100Y153 TILE_Y 232696 TILEPROP NULL_X100Y153 TYPE NULL TILEPROP NULL_X100Y154 CLASS tile TILEPROP NULL_X100Y154 COLUMN 100 TILEPROP NULL_X100Y154 DEVICE_ID 0 TILEPROP NULL_X100Y154 FIRST_SITE_ID 196 TILEPROP NULL_X100Y154 GRID_POINT_X 100 TILEPROP NULL_X100Y154 GRID_POINT_Y 2 TILEPROP NULL_X100Y154 INDEX 330 TILEPROP NULL_X100Y154 INT_TILE_X -1 TILEPROP NULL_X100Y154 INT_TILE_Y -1 TILEPROP NULL_X100Y154 IS_CENTER_TILE 0 TILEPROP NULL_X100Y154 IS_DCM_TILE 0 TILEPROP NULL_X100Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y154 NAME NULL_X100Y154 TILEPROP NULL_X100Y154 NUM_ARCS 0 TILEPROP NULL_X100Y154 NUM_SITES 0 TILEPROP NULL_X100Y154 ROW 2 TILEPROP NULL_X100Y154 SLR_REGION_ID 0 TILEPROP NULL_X100Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y154 TILE_TYPE NULL TILEPROP NULL_X100Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y154 TILE_X 84574 TILEPROP NULL_X100Y154 TILE_Y 235896 TILEPROP NULL_X100Y154 TYPE NULL TILEPROP NULL_X100Y155 CLASS tile TILEPROP NULL_X100Y155 COLUMN 100 TILEPROP NULL_X100Y155 DEVICE_ID 0 TILEPROP NULL_X100Y155 FIRST_SITE_ID 100 TILEPROP NULL_X100Y155 GRID_POINT_X 100 TILEPROP NULL_X100Y155 GRID_POINT_Y 1 TILEPROP NULL_X100Y155 INDEX 215 TILEPROP NULL_X100Y155 INT_TILE_X -1 TILEPROP NULL_X100Y155 INT_TILE_Y -1 TILEPROP NULL_X100Y155 IS_CENTER_TILE 0 TILEPROP NULL_X100Y155 IS_DCM_TILE 0 TILEPROP NULL_X100Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y155 NAME NULL_X100Y155 TILEPROP NULL_X100Y155 NUM_ARCS 0 TILEPROP NULL_X100Y155 NUM_SITES 0 TILEPROP NULL_X100Y155 ROW 1 TILEPROP NULL_X100Y155 SLR_REGION_ID 0 TILEPROP NULL_X100Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y155 TILE_TYPE NULL TILEPROP NULL_X100Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y155 TILE_X 84574 TILEPROP NULL_X100Y155 TILE_Y 239096 TILEPROP NULL_X100Y155 TYPE NULL TILEPROP NULL_X100Y156 CLASS tile TILEPROP NULL_X100Y156 COLUMN 100 TILEPROP NULL_X100Y156 DEVICE_ID 0 TILEPROP NULL_X100Y156 FIRST_SITE_ID 0 TILEPROP NULL_X100Y156 GRID_POINT_X 100 TILEPROP NULL_X100Y156 GRID_POINT_Y 0 TILEPROP NULL_X100Y156 INDEX 100 TILEPROP NULL_X100Y156 INT_TILE_X -1 TILEPROP NULL_X100Y156 INT_TILE_Y -1 TILEPROP NULL_X100Y156 IS_CENTER_TILE 0 TILEPROP NULL_X100Y156 IS_DCM_TILE 0 TILEPROP NULL_X100Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X100Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X100Y156 NAME NULL_X100Y156 TILEPROP NULL_X100Y156 NUM_ARCS 0 TILEPROP NULL_X100Y156 NUM_SITES 0 TILEPROP NULL_X100Y156 ROW 0 TILEPROP NULL_X100Y156 SLR_REGION_ID 0 TILEPROP NULL_X100Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X100Y156 TILE_TYPE NULL TILEPROP NULL_X100Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X100Y156 TILE_X 84574 TILEPROP NULL_X100Y156 TILE_Y 242296 TILEPROP NULL_X100Y156 TYPE NULL TILEPROP NULL_X101Y0 CLASS tile TILEPROP NULL_X101Y0 COLUMN 101 TILEPROP NULL_X101Y0 DEVICE_ID 0 TILEPROP NULL_X101Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X101Y0 GRID_POINT_X 101 TILEPROP NULL_X101Y0 GRID_POINT_Y 156 TILEPROP NULL_X101Y0 INDEX 18041 TILEPROP NULL_X101Y0 INT_TILE_X 39 TILEPROP NULL_X101Y0 INT_TILE_Y 149 TILEPROP NULL_X101Y0 IS_CENTER_TILE 0 TILEPROP NULL_X101Y0 IS_DCM_TILE 0 TILEPROP NULL_X101Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y0 NAME NULL_X101Y0 TILEPROP NULL_X101Y0 NUM_ARCS 0 TILEPROP NULL_X101Y0 NUM_SITES 0 TILEPROP NULL_X101Y0 ROW 156 TILEPROP NULL_X101Y0 SLR_REGION_ID 0 TILEPROP NULL_X101Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y0 TILE_TYPE NULL TILEPROP NULL_X101Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y0 TILE_X 85270 TILEPROP NULL_X101Y0 TILE_Y -244472 TILEPROP NULL_X101Y0 TYPE NULL TILEPROP NULL_X101Y104 CLASS tile TILEPROP NULL_X101Y104 COLUMN 101 TILEPROP NULL_X101Y104 DEVICE_ID 0 TILEPROP NULL_X101Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X101Y104 GRID_POINT_X 101 TILEPROP NULL_X101Y104 GRID_POINT_Y 52 TILEPROP NULL_X101Y104 INDEX 6081 TILEPROP NULL_X101Y104 INT_TILE_X -1 TILEPROP NULL_X101Y104 INT_TILE_Y -1 TILEPROP NULL_X101Y104 IS_CENTER_TILE 0 TILEPROP NULL_X101Y104 IS_DCM_TILE 0 TILEPROP NULL_X101Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y104 NAME NULL_X101Y104 TILEPROP NULL_X101Y104 NUM_ARCS 0 TILEPROP NULL_X101Y104 NUM_SITES 0 TILEPROP NULL_X101Y104 ROW 52 TILEPROP NULL_X101Y104 SLR_REGION_ID 0 TILEPROP NULL_X101Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y104 TILE_TYPE NULL TILEPROP NULL_X101Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y104 TILE_X 85270 TILEPROP NULL_X101Y104 TILE_Y 81024 TILEPROP NULL_X101Y104 TYPE NULL TILEPROP NULL_X101Y105 CLASS tile TILEPROP NULL_X101Y105 COLUMN 101 TILEPROP NULL_X101Y105 DEVICE_ID 0 TILEPROP NULL_X101Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X101Y105 GRID_POINT_X 101 TILEPROP NULL_X101Y105 GRID_POINT_Y 51 TILEPROP NULL_X101Y105 INDEX 5966 TILEPROP NULL_X101Y105 INT_TILE_X -1 TILEPROP NULL_X101Y105 INT_TILE_Y -1 TILEPROP NULL_X101Y105 IS_CENTER_TILE 0 TILEPROP NULL_X101Y105 IS_DCM_TILE 0 TILEPROP NULL_X101Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y105 NAME NULL_X101Y105 TILEPROP NULL_X101Y105 NUM_ARCS 0 TILEPROP NULL_X101Y105 NUM_SITES 0 TILEPROP NULL_X101Y105 ROW 51 TILEPROP NULL_X101Y105 SLR_REGION_ID 0 TILEPROP NULL_X101Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y105 TILE_TYPE NULL TILEPROP NULL_X101Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y105 TILE_X 85270 TILEPROP NULL_X101Y105 TILE_Y 81272 TILEPROP NULL_X101Y105 TYPE NULL TILEPROP NULL_X101Y106 CLASS tile TILEPROP NULL_X101Y106 COLUMN 101 TILEPROP NULL_X101Y106 DEVICE_ID 0 TILEPROP NULL_X101Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X101Y106 GRID_POINT_X 101 TILEPROP NULL_X101Y106 GRID_POINT_Y 50 TILEPROP NULL_X101Y106 INDEX 5851 TILEPROP NULL_X101Y106 INT_TILE_X -1 TILEPROP NULL_X101Y106 INT_TILE_Y -1 TILEPROP NULL_X101Y106 IS_CENTER_TILE 0 TILEPROP NULL_X101Y106 IS_DCM_TILE 0 TILEPROP NULL_X101Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y106 NAME NULL_X101Y106 TILEPROP NULL_X101Y106 NUM_ARCS 0 TILEPROP NULL_X101Y106 NUM_SITES 0 TILEPROP NULL_X101Y106 ROW 50 TILEPROP NULL_X101Y106 SLR_REGION_ID 0 TILEPROP NULL_X101Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y106 TILE_TYPE NULL TILEPROP NULL_X101Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y106 TILE_X 85270 TILEPROP NULL_X101Y106 TILE_Y 84472 TILEPROP NULL_X101Y106 TYPE NULL TILEPROP NULL_X101Y107 CLASS tile TILEPROP NULL_X101Y107 COLUMN 101 TILEPROP NULL_X101Y107 DEVICE_ID 0 TILEPROP NULL_X101Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X101Y107 GRID_POINT_X 101 TILEPROP NULL_X101Y107 GRID_POINT_Y 49 TILEPROP NULL_X101Y107 INDEX 5736 TILEPROP NULL_X101Y107 INT_TILE_X -1 TILEPROP NULL_X101Y107 INT_TILE_Y -1 TILEPROP NULL_X101Y107 IS_CENTER_TILE 0 TILEPROP NULL_X101Y107 IS_DCM_TILE 0 TILEPROP NULL_X101Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y107 NAME NULL_X101Y107 TILEPROP NULL_X101Y107 NUM_ARCS 0 TILEPROP NULL_X101Y107 NUM_SITES 0 TILEPROP NULL_X101Y107 ROW 49 TILEPROP NULL_X101Y107 SLR_REGION_ID 0 TILEPROP NULL_X101Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y107 TILE_TYPE NULL TILEPROP NULL_X101Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y107 TILE_X 85270 TILEPROP NULL_X101Y107 TILE_Y 87672 TILEPROP NULL_X101Y107 TYPE NULL TILEPROP NULL_X101Y108 CLASS tile TILEPROP NULL_X101Y108 COLUMN 101 TILEPROP NULL_X101Y108 DEVICE_ID 0 TILEPROP NULL_X101Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X101Y108 GRID_POINT_X 101 TILEPROP NULL_X101Y108 GRID_POINT_Y 48 TILEPROP NULL_X101Y108 INDEX 5621 TILEPROP NULL_X101Y108 INT_TILE_X -1 TILEPROP NULL_X101Y108 INT_TILE_Y -1 TILEPROP NULL_X101Y108 IS_CENTER_TILE 0 TILEPROP NULL_X101Y108 IS_DCM_TILE 0 TILEPROP NULL_X101Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y108 NAME NULL_X101Y108 TILEPROP NULL_X101Y108 NUM_ARCS 0 TILEPROP NULL_X101Y108 NUM_SITES 0 TILEPROP NULL_X101Y108 ROW 48 TILEPROP NULL_X101Y108 SLR_REGION_ID 0 TILEPROP NULL_X101Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y108 TILE_TYPE NULL TILEPROP NULL_X101Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y108 TILE_X 85270 TILEPROP NULL_X101Y108 TILE_Y 90872 TILEPROP NULL_X101Y108 TYPE NULL TILEPROP NULL_X101Y109 CLASS tile TILEPROP NULL_X101Y109 COLUMN 101 TILEPROP NULL_X101Y109 DEVICE_ID 0 TILEPROP NULL_X101Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X101Y109 GRID_POINT_X 101 TILEPROP NULL_X101Y109 GRID_POINT_Y 47 TILEPROP NULL_X101Y109 INDEX 5506 TILEPROP NULL_X101Y109 INT_TILE_X -1 TILEPROP NULL_X101Y109 INT_TILE_Y -1 TILEPROP NULL_X101Y109 IS_CENTER_TILE 0 TILEPROP NULL_X101Y109 IS_DCM_TILE 0 TILEPROP NULL_X101Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y109 NAME NULL_X101Y109 TILEPROP NULL_X101Y109 NUM_ARCS 0 TILEPROP NULL_X101Y109 NUM_SITES 0 TILEPROP NULL_X101Y109 ROW 47 TILEPROP NULL_X101Y109 SLR_REGION_ID 0 TILEPROP NULL_X101Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y109 TILE_TYPE NULL TILEPROP NULL_X101Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y109 TILE_X 85270 TILEPROP NULL_X101Y109 TILE_Y 94072 TILEPROP NULL_X101Y109 TYPE NULL TILEPROP NULL_X101Y110 CLASS tile TILEPROP NULL_X101Y110 COLUMN 101 TILEPROP NULL_X101Y110 DEVICE_ID 0 TILEPROP NULL_X101Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X101Y110 GRID_POINT_X 101 TILEPROP NULL_X101Y110 GRID_POINT_Y 46 TILEPROP NULL_X101Y110 INDEX 5391 TILEPROP NULL_X101Y110 INT_TILE_X -1 TILEPROP NULL_X101Y110 INT_TILE_Y -1 TILEPROP NULL_X101Y110 IS_CENTER_TILE 0 TILEPROP NULL_X101Y110 IS_DCM_TILE 0 TILEPROP NULL_X101Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y110 NAME NULL_X101Y110 TILEPROP NULL_X101Y110 NUM_ARCS 0 TILEPROP NULL_X101Y110 NUM_SITES 0 TILEPROP NULL_X101Y110 ROW 46 TILEPROP NULL_X101Y110 SLR_REGION_ID 0 TILEPROP NULL_X101Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y110 TILE_TYPE NULL TILEPROP NULL_X101Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y110 TILE_X 85270 TILEPROP NULL_X101Y110 TILE_Y 97272 TILEPROP NULL_X101Y110 TYPE NULL TILEPROP NULL_X101Y111 CLASS tile TILEPROP NULL_X101Y111 COLUMN 101 TILEPROP NULL_X101Y111 DEVICE_ID 0 TILEPROP NULL_X101Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X101Y111 GRID_POINT_X 101 TILEPROP NULL_X101Y111 GRID_POINT_Y 45 TILEPROP NULL_X101Y111 INDEX 5276 TILEPROP NULL_X101Y111 INT_TILE_X -1 TILEPROP NULL_X101Y111 INT_TILE_Y -1 TILEPROP NULL_X101Y111 IS_CENTER_TILE 0 TILEPROP NULL_X101Y111 IS_DCM_TILE 0 TILEPROP NULL_X101Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y111 NAME NULL_X101Y111 TILEPROP NULL_X101Y111 NUM_ARCS 0 TILEPROP NULL_X101Y111 NUM_SITES 0 TILEPROP NULL_X101Y111 ROW 45 TILEPROP NULL_X101Y111 SLR_REGION_ID 0 TILEPROP NULL_X101Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y111 TILE_TYPE NULL TILEPROP NULL_X101Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y111 TILE_X 85270 TILEPROP NULL_X101Y111 TILE_Y 100472 TILEPROP NULL_X101Y111 TYPE NULL TILEPROP NULL_X101Y112 CLASS tile TILEPROP NULL_X101Y112 COLUMN 101 TILEPROP NULL_X101Y112 DEVICE_ID 0 TILEPROP NULL_X101Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X101Y112 GRID_POINT_X 101 TILEPROP NULL_X101Y112 GRID_POINT_Y 44 TILEPROP NULL_X101Y112 INDEX 5161 TILEPROP NULL_X101Y112 INT_TILE_X -1 TILEPROP NULL_X101Y112 INT_TILE_Y -1 TILEPROP NULL_X101Y112 IS_CENTER_TILE 0 TILEPROP NULL_X101Y112 IS_DCM_TILE 0 TILEPROP NULL_X101Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y112 NAME NULL_X101Y112 TILEPROP NULL_X101Y112 NUM_ARCS 0 TILEPROP NULL_X101Y112 NUM_SITES 0 TILEPROP NULL_X101Y112 ROW 44 TILEPROP NULL_X101Y112 SLR_REGION_ID 0 TILEPROP NULL_X101Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y112 TILE_TYPE NULL TILEPROP NULL_X101Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y112 TILE_X 85270 TILEPROP NULL_X101Y112 TILE_Y 103672 TILEPROP NULL_X101Y112 TYPE NULL TILEPROP NULL_X101Y113 CLASS tile TILEPROP NULL_X101Y113 COLUMN 101 TILEPROP NULL_X101Y113 DEVICE_ID 0 TILEPROP NULL_X101Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X101Y113 GRID_POINT_X 101 TILEPROP NULL_X101Y113 GRID_POINT_Y 43 TILEPROP NULL_X101Y113 INDEX 5046 TILEPROP NULL_X101Y113 INT_TILE_X -1 TILEPROP NULL_X101Y113 INT_TILE_Y -1 TILEPROP NULL_X101Y113 IS_CENTER_TILE 0 TILEPROP NULL_X101Y113 IS_DCM_TILE 0 TILEPROP NULL_X101Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y113 NAME NULL_X101Y113 TILEPROP NULL_X101Y113 NUM_ARCS 0 TILEPROP NULL_X101Y113 NUM_SITES 0 TILEPROP NULL_X101Y113 ROW 43 TILEPROP NULL_X101Y113 SLR_REGION_ID 0 TILEPROP NULL_X101Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y113 TILE_TYPE NULL TILEPROP NULL_X101Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y113 TILE_X 85270 TILEPROP NULL_X101Y113 TILE_Y 106872 TILEPROP NULL_X101Y113 TYPE NULL TILEPROP NULL_X101Y114 CLASS tile TILEPROP NULL_X101Y114 COLUMN 101 TILEPROP NULL_X101Y114 DEVICE_ID 0 TILEPROP NULL_X101Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X101Y114 GRID_POINT_X 101 TILEPROP NULL_X101Y114 GRID_POINT_Y 42 TILEPROP NULL_X101Y114 INDEX 4931 TILEPROP NULL_X101Y114 INT_TILE_X -1 TILEPROP NULL_X101Y114 INT_TILE_Y -1 TILEPROP NULL_X101Y114 IS_CENTER_TILE 0 TILEPROP NULL_X101Y114 IS_DCM_TILE 0 TILEPROP NULL_X101Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y114 NAME NULL_X101Y114 TILEPROP NULL_X101Y114 NUM_ARCS 0 TILEPROP NULL_X101Y114 NUM_SITES 0 TILEPROP NULL_X101Y114 ROW 42 TILEPROP NULL_X101Y114 SLR_REGION_ID 0 TILEPROP NULL_X101Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y114 TILE_TYPE NULL TILEPROP NULL_X101Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y114 TILE_X 85270 TILEPROP NULL_X101Y114 TILE_Y 110072 TILEPROP NULL_X101Y114 TYPE NULL TILEPROP NULL_X101Y115 CLASS tile TILEPROP NULL_X101Y115 COLUMN 101 TILEPROP NULL_X101Y115 DEVICE_ID 0 TILEPROP NULL_X101Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X101Y115 GRID_POINT_X 101 TILEPROP NULL_X101Y115 GRID_POINT_Y 41 TILEPROP NULL_X101Y115 INDEX 4816 TILEPROP NULL_X101Y115 INT_TILE_X -1 TILEPROP NULL_X101Y115 INT_TILE_Y -1 TILEPROP NULL_X101Y115 IS_CENTER_TILE 0 TILEPROP NULL_X101Y115 IS_DCM_TILE 0 TILEPROP NULL_X101Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y115 NAME NULL_X101Y115 TILEPROP NULL_X101Y115 NUM_ARCS 0 TILEPROP NULL_X101Y115 NUM_SITES 0 TILEPROP NULL_X101Y115 ROW 41 TILEPROP NULL_X101Y115 SLR_REGION_ID 0 TILEPROP NULL_X101Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y115 TILE_TYPE NULL TILEPROP NULL_X101Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y115 TILE_X 85270 TILEPROP NULL_X101Y115 TILE_Y 113272 TILEPROP NULL_X101Y115 TYPE NULL TILEPROP NULL_X101Y116 CLASS tile TILEPROP NULL_X101Y116 COLUMN 101 TILEPROP NULL_X101Y116 DEVICE_ID 0 TILEPROP NULL_X101Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X101Y116 GRID_POINT_X 101 TILEPROP NULL_X101Y116 GRID_POINT_Y 40 TILEPROP NULL_X101Y116 INDEX 4701 TILEPROP NULL_X101Y116 INT_TILE_X -1 TILEPROP NULL_X101Y116 INT_TILE_Y -1 TILEPROP NULL_X101Y116 IS_CENTER_TILE 0 TILEPROP NULL_X101Y116 IS_DCM_TILE 0 TILEPROP NULL_X101Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y116 NAME NULL_X101Y116 TILEPROP NULL_X101Y116 NUM_ARCS 0 TILEPROP NULL_X101Y116 NUM_SITES 0 TILEPROP NULL_X101Y116 ROW 40 TILEPROP NULL_X101Y116 SLR_REGION_ID 0 TILEPROP NULL_X101Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y116 TILE_TYPE NULL TILEPROP NULL_X101Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y116 TILE_X 85270 TILEPROP NULL_X101Y116 TILE_Y 116472 TILEPROP NULL_X101Y116 TYPE NULL TILEPROP NULL_X101Y117 CLASS tile TILEPROP NULL_X101Y117 COLUMN 101 TILEPROP NULL_X101Y117 DEVICE_ID 0 TILEPROP NULL_X101Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X101Y117 GRID_POINT_X 101 TILEPROP NULL_X101Y117 GRID_POINT_Y 39 TILEPROP NULL_X101Y117 INDEX 4586 TILEPROP NULL_X101Y117 INT_TILE_X -1 TILEPROP NULL_X101Y117 INT_TILE_Y -1 TILEPROP NULL_X101Y117 IS_CENTER_TILE 0 TILEPROP NULL_X101Y117 IS_DCM_TILE 0 TILEPROP NULL_X101Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y117 NAME NULL_X101Y117 TILEPROP NULL_X101Y117 NUM_ARCS 0 TILEPROP NULL_X101Y117 NUM_SITES 0 TILEPROP NULL_X101Y117 ROW 39 TILEPROP NULL_X101Y117 SLR_REGION_ID 0 TILEPROP NULL_X101Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y117 TILE_TYPE NULL TILEPROP NULL_X101Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y117 TILE_X 85270 TILEPROP NULL_X101Y117 TILE_Y 119672 TILEPROP NULL_X101Y117 TYPE NULL TILEPROP NULL_X101Y118 CLASS tile TILEPROP NULL_X101Y118 COLUMN 101 TILEPROP NULL_X101Y118 DEVICE_ID 0 TILEPROP NULL_X101Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X101Y118 GRID_POINT_X 101 TILEPROP NULL_X101Y118 GRID_POINT_Y 38 TILEPROP NULL_X101Y118 INDEX 4471 TILEPROP NULL_X101Y118 INT_TILE_X -1 TILEPROP NULL_X101Y118 INT_TILE_Y -1 TILEPROP NULL_X101Y118 IS_CENTER_TILE 0 TILEPROP NULL_X101Y118 IS_DCM_TILE 0 TILEPROP NULL_X101Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y118 NAME NULL_X101Y118 TILEPROP NULL_X101Y118 NUM_ARCS 0 TILEPROP NULL_X101Y118 NUM_SITES 0 TILEPROP NULL_X101Y118 ROW 38 TILEPROP NULL_X101Y118 SLR_REGION_ID 0 TILEPROP NULL_X101Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y118 TILE_TYPE NULL TILEPROP NULL_X101Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y118 TILE_X 85270 TILEPROP NULL_X101Y118 TILE_Y 122872 TILEPROP NULL_X101Y118 TYPE NULL TILEPROP NULL_X101Y119 CLASS tile TILEPROP NULL_X101Y119 COLUMN 101 TILEPROP NULL_X101Y119 DEVICE_ID 0 TILEPROP NULL_X101Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X101Y119 GRID_POINT_X 101 TILEPROP NULL_X101Y119 GRID_POINT_Y 37 TILEPROP NULL_X101Y119 INDEX 4356 TILEPROP NULL_X101Y119 INT_TILE_X -1 TILEPROP NULL_X101Y119 INT_TILE_Y -1 TILEPROP NULL_X101Y119 IS_CENTER_TILE 0 TILEPROP NULL_X101Y119 IS_DCM_TILE 0 TILEPROP NULL_X101Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y119 NAME NULL_X101Y119 TILEPROP NULL_X101Y119 NUM_ARCS 0 TILEPROP NULL_X101Y119 NUM_SITES 0 TILEPROP NULL_X101Y119 ROW 37 TILEPROP NULL_X101Y119 SLR_REGION_ID 0 TILEPROP NULL_X101Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y119 TILE_TYPE NULL TILEPROP NULL_X101Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y119 TILE_X 85270 TILEPROP NULL_X101Y119 TILE_Y 126072 TILEPROP NULL_X101Y119 TYPE NULL TILEPROP NULL_X101Y120 CLASS tile TILEPROP NULL_X101Y120 COLUMN 101 TILEPROP NULL_X101Y120 DEVICE_ID 0 TILEPROP NULL_X101Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X101Y120 GRID_POINT_X 101 TILEPROP NULL_X101Y120 GRID_POINT_Y 36 TILEPROP NULL_X101Y120 INDEX 4241 TILEPROP NULL_X101Y120 INT_TILE_X -1 TILEPROP NULL_X101Y120 INT_TILE_Y -1 TILEPROP NULL_X101Y120 IS_CENTER_TILE 0 TILEPROP NULL_X101Y120 IS_DCM_TILE 0 TILEPROP NULL_X101Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y120 NAME NULL_X101Y120 TILEPROP NULL_X101Y120 NUM_ARCS 0 TILEPROP NULL_X101Y120 NUM_SITES 0 TILEPROP NULL_X101Y120 ROW 36 TILEPROP NULL_X101Y120 SLR_REGION_ID 0 TILEPROP NULL_X101Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y120 TILE_TYPE NULL TILEPROP NULL_X101Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y120 TILE_X 85270 TILEPROP NULL_X101Y120 TILE_Y 129272 TILEPROP NULL_X101Y120 TYPE NULL TILEPROP NULL_X101Y121 CLASS tile TILEPROP NULL_X101Y121 COLUMN 101 TILEPROP NULL_X101Y121 DEVICE_ID 0 TILEPROP NULL_X101Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X101Y121 GRID_POINT_X 101 TILEPROP NULL_X101Y121 GRID_POINT_Y 35 TILEPROP NULL_X101Y121 INDEX 4126 TILEPROP NULL_X101Y121 INT_TILE_X -1 TILEPROP NULL_X101Y121 INT_TILE_Y -1 TILEPROP NULL_X101Y121 IS_CENTER_TILE 0 TILEPROP NULL_X101Y121 IS_DCM_TILE 0 TILEPROP NULL_X101Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y121 NAME NULL_X101Y121 TILEPROP NULL_X101Y121 NUM_ARCS 0 TILEPROP NULL_X101Y121 NUM_SITES 0 TILEPROP NULL_X101Y121 ROW 35 TILEPROP NULL_X101Y121 SLR_REGION_ID 0 TILEPROP NULL_X101Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y121 TILE_TYPE NULL TILEPROP NULL_X101Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y121 TILE_X 85270 TILEPROP NULL_X101Y121 TILE_Y 132472 TILEPROP NULL_X101Y121 TYPE NULL TILEPROP NULL_X101Y122 CLASS tile TILEPROP NULL_X101Y122 COLUMN 101 TILEPROP NULL_X101Y122 DEVICE_ID 0 TILEPROP NULL_X101Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X101Y122 GRID_POINT_X 101 TILEPROP NULL_X101Y122 GRID_POINT_Y 34 TILEPROP NULL_X101Y122 INDEX 4011 TILEPROP NULL_X101Y122 INT_TILE_X -1 TILEPROP NULL_X101Y122 INT_TILE_Y -1 TILEPROP NULL_X101Y122 IS_CENTER_TILE 0 TILEPROP NULL_X101Y122 IS_DCM_TILE 0 TILEPROP NULL_X101Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y122 NAME NULL_X101Y122 TILEPROP NULL_X101Y122 NUM_ARCS 0 TILEPROP NULL_X101Y122 NUM_SITES 0 TILEPROP NULL_X101Y122 ROW 34 TILEPROP NULL_X101Y122 SLR_REGION_ID 0 TILEPROP NULL_X101Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y122 TILE_TYPE NULL TILEPROP NULL_X101Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y122 TILE_X 85270 TILEPROP NULL_X101Y122 TILE_Y 135672 TILEPROP NULL_X101Y122 TYPE NULL TILEPROP NULL_X101Y123 CLASS tile TILEPROP NULL_X101Y123 COLUMN 101 TILEPROP NULL_X101Y123 DEVICE_ID 0 TILEPROP NULL_X101Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X101Y123 GRID_POINT_X 101 TILEPROP NULL_X101Y123 GRID_POINT_Y 33 TILEPROP NULL_X101Y123 INDEX 3896 TILEPROP NULL_X101Y123 INT_TILE_X -1 TILEPROP NULL_X101Y123 INT_TILE_Y -1 TILEPROP NULL_X101Y123 IS_CENTER_TILE 0 TILEPROP NULL_X101Y123 IS_DCM_TILE 0 TILEPROP NULL_X101Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y123 NAME NULL_X101Y123 TILEPROP NULL_X101Y123 NUM_ARCS 0 TILEPROP NULL_X101Y123 NUM_SITES 0 TILEPROP NULL_X101Y123 ROW 33 TILEPROP NULL_X101Y123 SLR_REGION_ID 0 TILEPROP NULL_X101Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y123 TILE_TYPE NULL TILEPROP NULL_X101Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y123 TILE_X 85270 TILEPROP NULL_X101Y123 TILE_Y 138872 TILEPROP NULL_X101Y123 TYPE NULL TILEPROP NULL_X101Y124 CLASS tile TILEPROP NULL_X101Y124 COLUMN 101 TILEPROP NULL_X101Y124 DEVICE_ID 0 TILEPROP NULL_X101Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X101Y124 GRID_POINT_X 101 TILEPROP NULL_X101Y124 GRID_POINT_Y 32 TILEPROP NULL_X101Y124 INDEX 3781 TILEPROP NULL_X101Y124 INT_TILE_X -1 TILEPROP NULL_X101Y124 INT_TILE_Y -1 TILEPROP NULL_X101Y124 IS_CENTER_TILE 0 TILEPROP NULL_X101Y124 IS_DCM_TILE 0 TILEPROP NULL_X101Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y124 NAME NULL_X101Y124 TILEPROP NULL_X101Y124 NUM_ARCS 0 TILEPROP NULL_X101Y124 NUM_SITES 0 TILEPROP NULL_X101Y124 ROW 32 TILEPROP NULL_X101Y124 SLR_REGION_ID 0 TILEPROP NULL_X101Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y124 TILE_TYPE NULL TILEPROP NULL_X101Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y124 TILE_X 85270 TILEPROP NULL_X101Y124 TILE_Y 142072 TILEPROP NULL_X101Y124 TYPE NULL TILEPROP NULL_X101Y125 CLASS tile TILEPROP NULL_X101Y125 COLUMN 101 TILEPROP NULL_X101Y125 DEVICE_ID 0 TILEPROP NULL_X101Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X101Y125 GRID_POINT_X 101 TILEPROP NULL_X101Y125 GRID_POINT_Y 31 TILEPROP NULL_X101Y125 INDEX 3666 TILEPROP NULL_X101Y125 INT_TILE_X -1 TILEPROP NULL_X101Y125 INT_TILE_Y -1 TILEPROP NULL_X101Y125 IS_CENTER_TILE 0 TILEPROP NULL_X101Y125 IS_DCM_TILE 0 TILEPROP NULL_X101Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y125 NAME NULL_X101Y125 TILEPROP NULL_X101Y125 NUM_ARCS 0 TILEPROP NULL_X101Y125 NUM_SITES 0 TILEPROP NULL_X101Y125 ROW 31 TILEPROP NULL_X101Y125 SLR_REGION_ID 0 TILEPROP NULL_X101Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y125 TILE_TYPE NULL TILEPROP NULL_X101Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y125 TILE_X 85270 TILEPROP NULL_X101Y125 TILE_Y 145272 TILEPROP NULL_X101Y125 TYPE NULL TILEPROP NULL_X101Y126 CLASS tile TILEPROP NULL_X101Y126 COLUMN 101 TILEPROP NULL_X101Y126 DEVICE_ID 0 TILEPROP NULL_X101Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X101Y126 GRID_POINT_X 101 TILEPROP NULL_X101Y126 GRID_POINT_Y 30 TILEPROP NULL_X101Y126 INDEX 3551 TILEPROP NULL_X101Y126 INT_TILE_X -1 TILEPROP NULL_X101Y126 INT_TILE_Y -1 TILEPROP NULL_X101Y126 IS_CENTER_TILE 0 TILEPROP NULL_X101Y126 IS_DCM_TILE 0 TILEPROP NULL_X101Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y126 NAME NULL_X101Y126 TILEPROP NULL_X101Y126 NUM_ARCS 0 TILEPROP NULL_X101Y126 NUM_SITES 0 TILEPROP NULL_X101Y126 ROW 30 TILEPROP NULL_X101Y126 SLR_REGION_ID 0 TILEPROP NULL_X101Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y126 TILE_TYPE NULL TILEPROP NULL_X101Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y126 TILE_X 85270 TILEPROP NULL_X101Y126 TILE_Y 148472 TILEPROP NULL_X101Y126 TYPE NULL TILEPROP NULL_X101Y127 CLASS tile TILEPROP NULL_X101Y127 COLUMN 101 TILEPROP NULL_X101Y127 DEVICE_ID 0 TILEPROP NULL_X101Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X101Y127 GRID_POINT_X 101 TILEPROP NULL_X101Y127 GRID_POINT_Y 29 TILEPROP NULL_X101Y127 INDEX 3436 TILEPROP NULL_X101Y127 INT_TILE_X -1 TILEPROP NULL_X101Y127 INT_TILE_Y -1 TILEPROP NULL_X101Y127 IS_CENTER_TILE 0 TILEPROP NULL_X101Y127 IS_DCM_TILE 0 TILEPROP NULL_X101Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y127 NAME NULL_X101Y127 TILEPROP NULL_X101Y127 NUM_ARCS 0 TILEPROP NULL_X101Y127 NUM_SITES 0 TILEPROP NULL_X101Y127 ROW 29 TILEPROP NULL_X101Y127 SLR_REGION_ID 0 TILEPROP NULL_X101Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y127 TILE_TYPE NULL TILEPROP NULL_X101Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y127 TILE_X 85270 TILEPROP NULL_X101Y127 TILE_Y 151672 TILEPROP NULL_X101Y127 TYPE NULL TILEPROP NULL_X101Y128 CLASS tile TILEPROP NULL_X101Y128 COLUMN 101 TILEPROP NULL_X101Y128 DEVICE_ID 0 TILEPROP NULL_X101Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X101Y128 GRID_POINT_X 101 TILEPROP NULL_X101Y128 GRID_POINT_Y 28 TILEPROP NULL_X101Y128 INDEX 3321 TILEPROP NULL_X101Y128 INT_TILE_X -1 TILEPROP NULL_X101Y128 INT_TILE_Y -1 TILEPROP NULL_X101Y128 IS_CENTER_TILE 0 TILEPROP NULL_X101Y128 IS_DCM_TILE 0 TILEPROP NULL_X101Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y128 NAME NULL_X101Y128 TILEPROP NULL_X101Y128 NUM_ARCS 0 TILEPROP NULL_X101Y128 NUM_SITES 0 TILEPROP NULL_X101Y128 ROW 28 TILEPROP NULL_X101Y128 SLR_REGION_ID 0 TILEPROP NULL_X101Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y128 TILE_TYPE NULL TILEPROP NULL_X101Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y128 TILE_X 85270 TILEPROP NULL_X101Y128 TILE_Y 154872 TILEPROP NULL_X101Y128 TYPE NULL TILEPROP NULL_X101Y129 CLASS tile TILEPROP NULL_X101Y129 COLUMN 101 TILEPROP NULL_X101Y129 DEVICE_ID 0 TILEPROP NULL_X101Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X101Y129 GRID_POINT_X 101 TILEPROP NULL_X101Y129 GRID_POINT_Y 27 TILEPROP NULL_X101Y129 INDEX 3206 TILEPROP NULL_X101Y129 INT_TILE_X -1 TILEPROP NULL_X101Y129 INT_TILE_Y -1 TILEPROP NULL_X101Y129 IS_CENTER_TILE 0 TILEPROP NULL_X101Y129 IS_DCM_TILE 0 TILEPROP NULL_X101Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y129 NAME NULL_X101Y129 TILEPROP NULL_X101Y129 NUM_ARCS 0 TILEPROP NULL_X101Y129 NUM_SITES 0 TILEPROP NULL_X101Y129 ROW 27 TILEPROP NULL_X101Y129 SLR_REGION_ID 0 TILEPROP NULL_X101Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y129 TILE_TYPE NULL TILEPROP NULL_X101Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y129 TILE_X 85270 TILEPROP NULL_X101Y129 TILE_Y 158072 TILEPROP NULL_X101Y129 TYPE NULL TILEPROP NULL_X101Y130 CLASS tile TILEPROP NULL_X101Y130 COLUMN 101 TILEPROP NULL_X101Y130 DEVICE_ID 0 TILEPROP NULL_X101Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X101Y130 GRID_POINT_X 101 TILEPROP NULL_X101Y130 GRID_POINT_Y 26 TILEPROP NULL_X101Y130 INDEX 3091 TILEPROP NULL_X101Y130 INT_TILE_X -1 TILEPROP NULL_X101Y130 INT_TILE_Y -1 TILEPROP NULL_X101Y130 IS_CENTER_TILE 0 TILEPROP NULL_X101Y130 IS_DCM_TILE 0 TILEPROP NULL_X101Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y130 NAME NULL_X101Y130 TILEPROP NULL_X101Y130 NUM_ARCS 0 TILEPROP NULL_X101Y130 NUM_SITES 0 TILEPROP NULL_X101Y130 ROW 26 TILEPROP NULL_X101Y130 SLR_REGION_ID 0 TILEPROP NULL_X101Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y130 TILE_TYPE NULL TILEPROP NULL_X101Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y130 TILE_X 85270 TILEPROP NULL_X101Y130 TILE_Y 161272 TILEPROP NULL_X101Y130 TYPE NULL TILEPROP NULL_X101Y131 CLASS tile TILEPROP NULL_X101Y131 COLUMN 101 TILEPROP NULL_X101Y131 DEVICE_ID 0 TILEPROP NULL_X101Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X101Y131 GRID_POINT_X 101 TILEPROP NULL_X101Y131 GRID_POINT_Y 25 TILEPROP NULL_X101Y131 INDEX 2976 TILEPROP NULL_X101Y131 INT_TILE_X -1 TILEPROP NULL_X101Y131 INT_TILE_Y -1 TILEPROP NULL_X101Y131 IS_CENTER_TILE 0 TILEPROP NULL_X101Y131 IS_DCM_TILE 0 TILEPROP NULL_X101Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y131 NAME NULL_X101Y131 TILEPROP NULL_X101Y131 NUM_ARCS 0 TILEPROP NULL_X101Y131 NUM_SITES 0 TILEPROP NULL_X101Y131 ROW 25 TILEPROP NULL_X101Y131 SLR_REGION_ID 0 TILEPROP NULL_X101Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y131 TILE_TYPE NULL TILEPROP NULL_X101Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y131 TILE_X 85270 TILEPROP NULL_X101Y131 TILE_Y 162296 TILEPROP NULL_X101Y131 TYPE NULL TILEPROP NULL_X101Y132 CLASS tile TILEPROP NULL_X101Y132 COLUMN 101 TILEPROP NULL_X101Y132 DEVICE_ID 0 TILEPROP NULL_X101Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X101Y132 GRID_POINT_X 101 TILEPROP NULL_X101Y132 GRID_POINT_Y 24 TILEPROP NULL_X101Y132 INDEX 2861 TILEPROP NULL_X101Y132 INT_TILE_X -1 TILEPROP NULL_X101Y132 INT_TILE_Y -1 TILEPROP NULL_X101Y132 IS_CENTER_TILE 0 TILEPROP NULL_X101Y132 IS_DCM_TILE 0 TILEPROP NULL_X101Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y132 NAME NULL_X101Y132 TILEPROP NULL_X101Y132 NUM_ARCS 0 TILEPROP NULL_X101Y132 NUM_SITES 0 TILEPROP NULL_X101Y132 ROW 24 TILEPROP NULL_X101Y132 SLR_REGION_ID 0 TILEPROP NULL_X101Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y132 TILE_TYPE NULL TILEPROP NULL_X101Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y132 TILE_X 85270 TILEPROP NULL_X101Y132 TILE_Y 165496 TILEPROP NULL_X101Y132 TYPE NULL TILEPROP NULL_X101Y133 CLASS tile TILEPROP NULL_X101Y133 COLUMN 101 TILEPROP NULL_X101Y133 DEVICE_ID 0 TILEPROP NULL_X101Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X101Y133 GRID_POINT_X 101 TILEPROP NULL_X101Y133 GRID_POINT_Y 23 TILEPROP NULL_X101Y133 INDEX 2746 TILEPROP NULL_X101Y133 INT_TILE_X -1 TILEPROP NULL_X101Y133 INT_TILE_Y -1 TILEPROP NULL_X101Y133 IS_CENTER_TILE 0 TILEPROP NULL_X101Y133 IS_DCM_TILE 0 TILEPROP NULL_X101Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y133 NAME NULL_X101Y133 TILEPROP NULL_X101Y133 NUM_ARCS 0 TILEPROP NULL_X101Y133 NUM_SITES 0 TILEPROP NULL_X101Y133 ROW 23 TILEPROP NULL_X101Y133 SLR_REGION_ID 0 TILEPROP NULL_X101Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y133 TILE_TYPE NULL TILEPROP NULL_X101Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y133 TILE_X 85270 TILEPROP NULL_X101Y133 TILE_Y 168696 TILEPROP NULL_X101Y133 TYPE NULL TILEPROP NULL_X101Y134 CLASS tile TILEPROP NULL_X101Y134 COLUMN 101 TILEPROP NULL_X101Y134 DEVICE_ID 0 TILEPROP NULL_X101Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X101Y134 GRID_POINT_X 101 TILEPROP NULL_X101Y134 GRID_POINT_Y 22 TILEPROP NULL_X101Y134 INDEX 2631 TILEPROP NULL_X101Y134 INT_TILE_X -1 TILEPROP NULL_X101Y134 INT_TILE_Y -1 TILEPROP NULL_X101Y134 IS_CENTER_TILE 0 TILEPROP NULL_X101Y134 IS_DCM_TILE 0 TILEPROP NULL_X101Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y134 NAME NULL_X101Y134 TILEPROP NULL_X101Y134 NUM_ARCS 0 TILEPROP NULL_X101Y134 NUM_SITES 0 TILEPROP NULL_X101Y134 ROW 22 TILEPROP NULL_X101Y134 SLR_REGION_ID 0 TILEPROP NULL_X101Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y134 TILE_TYPE NULL TILEPROP NULL_X101Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y134 TILE_X 85270 TILEPROP NULL_X101Y134 TILE_Y 171896 TILEPROP NULL_X101Y134 TYPE NULL TILEPROP NULL_X101Y135 CLASS tile TILEPROP NULL_X101Y135 COLUMN 101 TILEPROP NULL_X101Y135 DEVICE_ID 0 TILEPROP NULL_X101Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X101Y135 GRID_POINT_X 101 TILEPROP NULL_X101Y135 GRID_POINT_Y 21 TILEPROP NULL_X101Y135 INDEX 2516 TILEPROP NULL_X101Y135 INT_TILE_X -1 TILEPROP NULL_X101Y135 INT_TILE_Y -1 TILEPROP NULL_X101Y135 IS_CENTER_TILE 0 TILEPROP NULL_X101Y135 IS_DCM_TILE 0 TILEPROP NULL_X101Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y135 NAME NULL_X101Y135 TILEPROP NULL_X101Y135 NUM_ARCS 0 TILEPROP NULL_X101Y135 NUM_SITES 0 TILEPROP NULL_X101Y135 ROW 21 TILEPROP NULL_X101Y135 SLR_REGION_ID 0 TILEPROP NULL_X101Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y135 TILE_TYPE NULL TILEPROP NULL_X101Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y135 TILE_X 85270 TILEPROP NULL_X101Y135 TILE_Y 175096 TILEPROP NULL_X101Y135 TYPE NULL TILEPROP NULL_X101Y136 CLASS tile TILEPROP NULL_X101Y136 COLUMN 101 TILEPROP NULL_X101Y136 DEVICE_ID 0 TILEPROP NULL_X101Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X101Y136 GRID_POINT_X 101 TILEPROP NULL_X101Y136 GRID_POINT_Y 20 TILEPROP NULL_X101Y136 INDEX 2401 TILEPROP NULL_X101Y136 INT_TILE_X -1 TILEPROP NULL_X101Y136 INT_TILE_Y -1 TILEPROP NULL_X101Y136 IS_CENTER_TILE 0 TILEPROP NULL_X101Y136 IS_DCM_TILE 0 TILEPROP NULL_X101Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y136 NAME NULL_X101Y136 TILEPROP NULL_X101Y136 NUM_ARCS 0 TILEPROP NULL_X101Y136 NUM_SITES 0 TILEPROP NULL_X101Y136 ROW 20 TILEPROP NULL_X101Y136 SLR_REGION_ID 0 TILEPROP NULL_X101Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y136 TILE_TYPE NULL TILEPROP NULL_X101Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y136 TILE_X 85270 TILEPROP NULL_X101Y136 TILE_Y 178296 TILEPROP NULL_X101Y136 TYPE NULL TILEPROP NULL_X101Y137 CLASS tile TILEPROP NULL_X101Y137 COLUMN 101 TILEPROP NULL_X101Y137 DEVICE_ID 0 TILEPROP NULL_X101Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X101Y137 GRID_POINT_X 101 TILEPROP NULL_X101Y137 GRID_POINT_Y 19 TILEPROP NULL_X101Y137 INDEX 2286 TILEPROP NULL_X101Y137 INT_TILE_X -1 TILEPROP NULL_X101Y137 INT_TILE_Y -1 TILEPROP NULL_X101Y137 IS_CENTER_TILE 0 TILEPROP NULL_X101Y137 IS_DCM_TILE 0 TILEPROP NULL_X101Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y137 NAME NULL_X101Y137 TILEPROP NULL_X101Y137 NUM_ARCS 0 TILEPROP NULL_X101Y137 NUM_SITES 0 TILEPROP NULL_X101Y137 ROW 19 TILEPROP NULL_X101Y137 SLR_REGION_ID 0 TILEPROP NULL_X101Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y137 TILE_TYPE NULL TILEPROP NULL_X101Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y137 TILE_X 85270 TILEPROP NULL_X101Y137 TILE_Y 181496 TILEPROP NULL_X101Y137 TYPE NULL TILEPROP NULL_X101Y138 CLASS tile TILEPROP NULL_X101Y138 COLUMN 101 TILEPROP NULL_X101Y138 DEVICE_ID 0 TILEPROP NULL_X101Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X101Y138 GRID_POINT_X 101 TILEPROP NULL_X101Y138 GRID_POINT_Y 18 TILEPROP NULL_X101Y138 INDEX 2171 TILEPROP NULL_X101Y138 INT_TILE_X -1 TILEPROP NULL_X101Y138 INT_TILE_Y -1 TILEPROP NULL_X101Y138 IS_CENTER_TILE 0 TILEPROP NULL_X101Y138 IS_DCM_TILE 0 TILEPROP NULL_X101Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y138 NAME NULL_X101Y138 TILEPROP NULL_X101Y138 NUM_ARCS 0 TILEPROP NULL_X101Y138 NUM_SITES 0 TILEPROP NULL_X101Y138 ROW 18 TILEPROP NULL_X101Y138 SLR_REGION_ID 0 TILEPROP NULL_X101Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y138 TILE_TYPE NULL TILEPROP NULL_X101Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y138 TILE_X 85270 TILEPROP NULL_X101Y138 TILE_Y 184696 TILEPROP NULL_X101Y138 TYPE NULL TILEPROP NULL_X101Y139 CLASS tile TILEPROP NULL_X101Y139 COLUMN 101 TILEPROP NULL_X101Y139 DEVICE_ID 0 TILEPROP NULL_X101Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X101Y139 GRID_POINT_X 101 TILEPROP NULL_X101Y139 GRID_POINT_Y 17 TILEPROP NULL_X101Y139 INDEX 2056 TILEPROP NULL_X101Y139 INT_TILE_X -1 TILEPROP NULL_X101Y139 INT_TILE_Y -1 TILEPROP NULL_X101Y139 IS_CENTER_TILE 0 TILEPROP NULL_X101Y139 IS_DCM_TILE 0 TILEPROP NULL_X101Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y139 NAME NULL_X101Y139 TILEPROP NULL_X101Y139 NUM_ARCS 0 TILEPROP NULL_X101Y139 NUM_SITES 0 TILEPROP NULL_X101Y139 ROW 17 TILEPROP NULL_X101Y139 SLR_REGION_ID 0 TILEPROP NULL_X101Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y139 TILE_TYPE NULL TILEPROP NULL_X101Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y139 TILE_X 85270 TILEPROP NULL_X101Y139 TILE_Y 187896 TILEPROP NULL_X101Y139 TYPE NULL TILEPROP NULL_X101Y140 CLASS tile TILEPROP NULL_X101Y140 COLUMN 101 TILEPROP NULL_X101Y140 DEVICE_ID 0 TILEPROP NULL_X101Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X101Y140 GRID_POINT_X 101 TILEPROP NULL_X101Y140 GRID_POINT_Y 16 TILEPROP NULL_X101Y140 INDEX 1941 TILEPROP NULL_X101Y140 INT_TILE_X -1 TILEPROP NULL_X101Y140 INT_TILE_Y -1 TILEPROP NULL_X101Y140 IS_CENTER_TILE 0 TILEPROP NULL_X101Y140 IS_DCM_TILE 0 TILEPROP NULL_X101Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y140 NAME NULL_X101Y140 TILEPROP NULL_X101Y140 NUM_ARCS 0 TILEPROP NULL_X101Y140 NUM_SITES 0 TILEPROP NULL_X101Y140 ROW 16 TILEPROP NULL_X101Y140 SLR_REGION_ID 0 TILEPROP NULL_X101Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y140 TILE_TYPE NULL TILEPROP NULL_X101Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y140 TILE_X 85270 TILEPROP NULL_X101Y140 TILE_Y 191096 TILEPROP NULL_X101Y140 TYPE NULL TILEPROP NULL_X101Y141 CLASS tile TILEPROP NULL_X101Y141 COLUMN 101 TILEPROP NULL_X101Y141 DEVICE_ID 0 TILEPROP NULL_X101Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X101Y141 GRID_POINT_X 101 TILEPROP NULL_X101Y141 GRID_POINT_Y 15 TILEPROP NULL_X101Y141 INDEX 1826 TILEPROP NULL_X101Y141 INT_TILE_X -1 TILEPROP NULL_X101Y141 INT_TILE_Y -1 TILEPROP NULL_X101Y141 IS_CENTER_TILE 0 TILEPROP NULL_X101Y141 IS_DCM_TILE 0 TILEPROP NULL_X101Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y141 NAME NULL_X101Y141 TILEPROP NULL_X101Y141 NUM_ARCS 0 TILEPROP NULL_X101Y141 NUM_SITES 0 TILEPROP NULL_X101Y141 ROW 15 TILEPROP NULL_X101Y141 SLR_REGION_ID 0 TILEPROP NULL_X101Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y141 TILE_TYPE NULL TILEPROP NULL_X101Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y141 TILE_X 85270 TILEPROP NULL_X101Y141 TILE_Y 194296 TILEPROP NULL_X101Y141 TYPE NULL TILEPROP NULL_X101Y142 CLASS tile TILEPROP NULL_X101Y142 COLUMN 101 TILEPROP NULL_X101Y142 DEVICE_ID 0 TILEPROP NULL_X101Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X101Y142 GRID_POINT_X 101 TILEPROP NULL_X101Y142 GRID_POINT_Y 14 TILEPROP NULL_X101Y142 INDEX 1711 TILEPROP NULL_X101Y142 INT_TILE_X -1 TILEPROP NULL_X101Y142 INT_TILE_Y -1 TILEPROP NULL_X101Y142 IS_CENTER_TILE 0 TILEPROP NULL_X101Y142 IS_DCM_TILE 0 TILEPROP NULL_X101Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y142 NAME NULL_X101Y142 TILEPROP NULL_X101Y142 NUM_ARCS 0 TILEPROP NULL_X101Y142 NUM_SITES 0 TILEPROP NULL_X101Y142 ROW 14 TILEPROP NULL_X101Y142 SLR_REGION_ID 0 TILEPROP NULL_X101Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y142 TILE_TYPE NULL TILEPROP NULL_X101Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y142 TILE_X 85270 TILEPROP NULL_X101Y142 TILE_Y 197496 TILEPROP NULL_X101Y142 TYPE NULL TILEPROP NULL_X101Y143 CLASS tile TILEPROP NULL_X101Y143 COLUMN 101 TILEPROP NULL_X101Y143 DEVICE_ID 0 TILEPROP NULL_X101Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X101Y143 GRID_POINT_X 101 TILEPROP NULL_X101Y143 GRID_POINT_Y 13 TILEPROP NULL_X101Y143 INDEX 1596 TILEPROP NULL_X101Y143 INT_TILE_X -1 TILEPROP NULL_X101Y143 INT_TILE_Y -1 TILEPROP NULL_X101Y143 IS_CENTER_TILE 0 TILEPROP NULL_X101Y143 IS_DCM_TILE 0 TILEPROP NULL_X101Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y143 NAME NULL_X101Y143 TILEPROP NULL_X101Y143 NUM_ARCS 0 TILEPROP NULL_X101Y143 NUM_SITES 0 TILEPROP NULL_X101Y143 ROW 13 TILEPROP NULL_X101Y143 SLR_REGION_ID 0 TILEPROP NULL_X101Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y143 TILE_TYPE NULL TILEPROP NULL_X101Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y143 TILE_X 85270 TILEPROP NULL_X101Y143 TILE_Y 200696 TILEPROP NULL_X101Y143 TYPE NULL TILEPROP NULL_X101Y144 CLASS tile TILEPROP NULL_X101Y144 COLUMN 101 TILEPROP NULL_X101Y144 DEVICE_ID 0 TILEPROP NULL_X101Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X101Y144 GRID_POINT_X 101 TILEPROP NULL_X101Y144 GRID_POINT_Y 12 TILEPROP NULL_X101Y144 INDEX 1481 TILEPROP NULL_X101Y144 INT_TILE_X -1 TILEPROP NULL_X101Y144 INT_TILE_Y -1 TILEPROP NULL_X101Y144 IS_CENTER_TILE 0 TILEPROP NULL_X101Y144 IS_DCM_TILE 0 TILEPROP NULL_X101Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y144 NAME NULL_X101Y144 TILEPROP NULL_X101Y144 NUM_ARCS 0 TILEPROP NULL_X101Y144 NUM_SITES 0 TILEPROP NULL_X101Y144 ROW 12 TILEPROP NULL_X101Y144 SLR_REGION_ID 0 TILEPROP NULL_X101Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y144 TILE_TYPE NULL TILEPROP NULL_X101Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y144 TILE_X 85270 TILEPROP NULL_X101Y144 TILE_Y 203896 TILEPROP NULL_X101Y144 TYPE NULL TILEPROP NULL_X101Y145 CLASS tile TILEPROP NULL_X101Y145 COLUMN 101 TILEPROP NULL_X101Y145 DEVICE_ID 0 TILEPROP NULL_X101Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X101Y145 GRID_POINT_X 101 TILEPROP NULL_X101Y145 GRID_POINT_Y 11 TILEPROP NULL_X101Y145 INDEX 1366 TILEPROP NULL_X101Y145 INT_TILE_X -1 TILEPROP NULL_X101Y145 INT_TILE_Y -1 TILEPROP NULL_X101Y145 IS_CENTER_TILE 0 TILEPROP NULL_X101Y145 IS_DCM_TILE 0 TILEPROP NULL_X101Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y145 NAME NULL_X101Y145 TILEPROP NULL_X101Y145 NUM_ARCS 0 TILEPROP NULL_X101Y145 NUM_SITES 0 TILEPROP NULL_X101Y145 ROW 11 TILEPROP NULL_X101Y145 SLR_REGION_ID 0 TILEPROP NULL_X101Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y145 TILE_TYPE NULL TILEPROP NULL_X101Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y145 TILE_X 85270 TILEPROP NULL_X101Y145 TILE_Y 207096 TILEPROP NULL_X101Y145 TYPE NULL TILEPROP NULL_X101Y146 CLASS tile TILEPROP NULL_X101Y146 COLUMN 101 TILEPROP NULL_X101Y146 DEVICE_ID 0 TILEPROP NULL_X101Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X101Y146 GRID_POINT_X 101 TILEPROP NULL_X101Y146 GRID_POINT_Y 10 TILEPROP NULL_X101Y146 INDEX 1251 TILEPROP NULL_X101Y146 INT_TILE_X -1 TILEPROP NULL_X101Y146 INT_TILE_Y -1 TILEPROP NULL_X101Y146 IS_CENTER_TILE 0 TILEPROP NULL_X101Y146 IS_DCM_TILE 0 TILEPROP NULL_X101Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y146 NAME NULL_X101Y146 TILEPROP NULL_X101Y146 NUM_ARCS 0 TILEPROP NULL_X101Y146 NUM_SITES 0 TILEPROP NULL_X101Y146 ROW 10 TILEPROP NULL_X101Y146 SLR_REGION_ID 0 TILEPROP NULL_X101Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y146 TILE_TYPE NULL TILEPROP NULL_X101Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y146 TILE_X 85270 TILEPROP NULL_X101Y146 TILE_Y 210296 TILEPROP NULL_X101Y146 TYPE NULL TILEPROP NULL_X101Y147 CLASS tile TILEPROP NULL_X101Y147 COLUMN 101 TILEPROP NULL_X101Y147 DEVICE_ID 0 TILEPROP NULL_X101Y147 FIRST_SITE_ID 920 TILEPROP NULL_X101Y147 GRID_POINT_X 101 TILEPROP NULL_X101Y147 GRID_POINT_Y 9 TILEPROP NULL_X101Y147 INDEX 1136 TILEPROP NULL_X101Y147 INT_TILE_X -1 TILEPROP NULL_X101Y147 INT_TILE_Y -1 TILEPROP NULL_X101Y147 IS_CENTER_TILE 0 TILEPROP NULL_X101Y147 IS_DCM_TILE 0 TILEPROP NULL_X101Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y147 NAME NULL_X101Y147 TILEPROP NULL_X101Y147 NUM_ARCS 0 TILEPROP NULL_X101Y147 NUM_SITES 0 TILEPROP NULL_X101Y147 ROW 9 TILEPROP NULL_X101Y147 SLR_REGION_ID 0 TILEPROP NULL_X101Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y147 TILE_TYPE NULL TILEPROP NULL_X101Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y147 TILE_X 85270 TILEPROP NULL_X101Y147 TILE_Y 213496 TILEPROP NULL_X101Y147 TYPE NULL TILEPROP NULL_X101Y148 CLASS tile TILEPROP NULL_X101Y148 COLUMN 101 TILEPROP NULL_X101Y148 DEVICE_ID 0 TILEPROP NULL_X101Y148 FIRST_SITE_ID 816 TILEPROP NULL_X101Y148 GRID_POINT_X 101 TILEPROP NULL_X101Y148 GRID_POINT_Y 8 TILEPROP NULL_X101Y148 INDEX 1021 TILEPROP NULL_X101Y148 INT_TILE_X -1 TILEPROP NULL_X101Y148 INT_TILE_Y -1 TILEPROP NULL_X101Y148 IS_CENTER_TILE 0 TILEPROP NULL_X101Y148 IS_DCM_TILE 0 TILEPROP NULL_X101Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y148 NAME NULL_X101Y148 TILEPROP NULL_X101Y148 NUM_ARCS 0 TILEPROP NULL_X101Y148 NUM_SITES 0 TILEPROP NULL_X101Y148 ROW 8 TILEPROP NULL_X101Y148 SLR_REGION_ID 0 TILEPROP NULL_X101Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y148 TILE_TYPE NULL TILEPROP NULL_X101Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y148 TILE_X 85270 TILEPROP NULL_X101Y148 TILE_Y 216696 TILEPROP NULL_X101Y148 TYPE NULL TILEPROP NULL_X101Y149 CLASS tile TILEPROP NULL_X101Y149 COLUMN 101 TILEPROP NULL_X101Y149 DEVICE_ID 0 TILEPROP NULL_X101Y149 FIRST_SITE_ID 719 TILEPROP NULL_X101Y149 GRID_POINT_X 101 TILEPROP NULL_X101Y149 GRID_POINT_Y 7 TILEPROP NULL_X101Y149 INDEX 906 TILEPROP NULL_X101Y149 INT_TILE_X -1 TILEPROP NULL_X101Y149 INT_TILE_Y -1 TILEPROP NULL_X101Y149 IS_CENTER_TILE 0 TILEPROP NULL_X101Y149 IS_DCM_TILE 0 TILEPROP NULL_X101Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y149 NAME NULL_X101Y149 TILEPROP NULL_X101Y149 NUM_ARCS 0 TILEPROP NULL_X101Y149 NUM_SITES 0 TILEPROP NULL_X101Y149 ROW 7 TILEPROP NULL_X101Y149 SLR_REGION_ID 0 TILEPROP NULL_X101Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y149 TILE_TYPE NULL TILEPROP NULL_X101Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y149 TILE_X 85270 TILEPROP NULL_X101Y149 TILE_Y 219896 TILEPROP NULL_X101Y149 TYPE NULL TILEPROP NULL_X101Y150 CLASS tile TILEPROP NULL_X101Y150 COLUMN 101 TILEPROP NULL_X101Y150 DEVICE_ID 0 TILEPROP NULL_X101Y150 FIRST_SITE_ID 613 TILEPROP NULL_X101Y150 GRID_POINT_X 101 TILEPROP NULL_X101Y150 GRID_POINT_Y 6 TILEPROP NULL_X101Y150 INDEX 791 TILEPROP NULL_X101Y150 INT_TILE_X -1 TILEPROP NULL_X101Y150 INT_TILE_Y -1 TILEPROP NULL_X101Y150 IS_CENTER_TILE 0 TILEPROP NULL_X101Y150 IS_DCM_TILE 0 TILEPROP NULL_X101Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y150 NAME NULL_X101Y150 TILEPROP NULL_X101Y150 NUM_ARCS 0 TILEPROP NULL_X101Y150 NUM_SITES 0 TILEPROP NULL_X101Y150 ROW 6 TILEPROP NULL_X101Y150 SLR_REGION_ID 0 TILEPROP NULL_X101Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y150 TILE_TYPE NULL TILEPROP NULL_X101Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y150 TILE_X 85270 TILEPROP NULL_X101Y150 TILE_Y 223096 TILEPROP NULL_X101Y150 TYPE NULL TILEPROP NULL_X101Y151 CLASS tile TILEPROP NULL_X101Y151 COLUMN 101 TILEPROP NULL_X101Y151 DEVICE_ID 0 TILEPROP NULL_X101Y151 FIRST_SITE_ID 512 TILEPROP NULL_X101Y151 GRID_POINT_X 101 TILEPROP NULL_X101Y151 GRID_POINT_Y 5 TILEPROP NULL_X101Y151 INDEX 676 TILEPROP NULL_X101Y151 INT_TILE_X -1 TILEPROP NULL_X101Y151 INT_TILE_Y -1 TILEPROP NULL_X101Y151 IS_CENTER_TILE 0 TILEPROP NULL_X101Y151 IS_DCM_TILE 0 TILEPROP NULL_X101Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y151 NAME NULL_X101Y151 TILEPROP NULL_X101Y151 NUM_ARCS 0 TILEPROP NULL_X101Y151 NUM_SITES 0 TILEPROP NULL_X101Y151 ROW 5 TILEPROP NULL_X101Y151 SLR_REGION_ID 0 TILEPROP NULL_X101Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y151 TILE_TYPE NULL TILEPROP NULL_X101Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y151 TILE_X 85270 TILEPROP NULL_X101Y151 TILE_Y 226296 TILEPROP NULL_X101Y151 TYPE NULL TILEPROP NULL_X101Y152 CLASS tile TILEPROP NULL_X101Y152 COLUMN 101 TILEPROP NULL_X101Y152 DEVICE_ID 0 TILEPROP NULL_X101Y152 FIRST_SITE_ID 396 TILEPROP NULL_X101Y152 GRID_POINT_X 101 TILEPROP NULL_X101Y152 GRID_POINT_Y 4 TILEPROP NULL_X101Y152 INDEX 561 TILEPROP NULL_X101Y152 INT_TILE_X -1 TILEPROP NULL_X101Y152 INT_TILE_Y -1 TILEPROP NULL_X101Y152 IS_CENTER_TILE 0 TILEPROP NULL_X101Y152 IS_DCM_TILE 0 TILEPROP NULL_X101Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y152 NAME NULL_X101Y152 TILEPROP NULL_X101Y152 NUM_ARCS 0 TILEPROP NULL_X101Y152 NUM_SITES 0 TILEPROP NULL_X101Y152 ROW 4 TILEPROP NULL_X101Y152 SLR_REGION_ID 0 TILEPROP NULL_X101Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y152 TILE_TYPE NULL TILEPROP NULL_X101Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y152 TILE_X 85270 TILEPROP NULL_X101Y152 TILE_Y 229496 TILEPROP NULL_X101Y152 TYPE NULL TILEPROP NULL_X101Y153 CLASS tile TILEPROP NULL_X101Y153 COLUMN 101 TILEPROP NULL_X101Y153 DEVICE_ID 0 TILEPROP NULL_X101Y153 FIRST_SITE_ID 300 TILEPROP NULL_X101Y153 GRID_POINT_X 101 TILEPROP NULL_X101Y153 GRID_POINT_Y 3 TILEPROP NULL_X101Y153 INDEX 446 TILEPROP NULL_X101Y153 INT_TILE_X -1 TILEPROP NULL_X101Y153 INT_TILE_Y -1 TILEPROP NULL_X101Y153 IS_CENTER_TILE 0 TILEPROP NULL_X101Y153 IS_DCM_TILE 0 TILEPROP NULL_X101Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y153 NAME NULL_X101Y153 TILEPROP NULL_X101Y153 NUM_ARCS 0 TILEPROP NULL_X101Y153 NUM_SITES 0 TILEPROP NULL_X101Y153 ROW 3 TILEPROP NULL_X101Y153 SLR_REGION_ID 0 TILEPROP NULL_X101Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y153 TILE_TYPE NULL TILEPROP NULL_X101Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y153 TILE_X 85270 TILEPROP NULL_X101Y153 TILE_Y 232696 TILEPROP NULL_X101Y153 TYPE NULL TILEPROP NULL_X101Y154 CLASS tile TILEPROP NULL_X101Y154 COLUMN 101 TILEPROP NULL_X101Y154 DEVICE_ID 0 TILEPROP NULL_X101Y154 FIRST_SITE_ID 196 TILEPROP NULL_X101Y154 GRID_POINT_X 101 TILEPROP NULL_X101Y154 GRID_POINT_Y 2 TILEPROP NULL_X101Y154 INDEX 331 TILEPROP NULL_X101Y154 INT_TILE_X -1 TILEPROP NULL_X101Y154 INT_TILE_Y -1 TILEPROP NULL_X101Y154 IS_CENTER_TILE 0 TILEPROP NULL_X101Y154 IS_DCM_TILE 0 TILEPROP NULL_X101Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y154 NAME NULL_X101Y154 TILEPROP NULL_X101Y154 NUM_ARCS 0 TILEPROP NULL_X101Y154 NUM_SITES 0 TILEPROP NULL_X101Y154 ROW 2 TILEPROP NULL_X101Y154 SLR_REGION_ID 0 TILEPROP NULL_X101Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y154 TILE_TYPE NULL TILEPROP NULL_X101Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y154 TILE_X 85270 TILEPROP NULL_X101Y154 TILE_Y 235896 TILEPROP NULL_X101Y154 TYPE NULL TILEPROP NULL_X101Y155 CLASS tile TILEPROP NULL_X101Y155 COLUMN 101 TILEPROP NULL_X101Y155 DEVICE_ID 0 TILEPROP NULL_X101Y155 FIRST_SITE_ID 100 TILEPROP NULL_X101Y155 GRID_POINT_X 101 TILEPROP NULL_X101Y155 GRID_POINT_Y 1 TILEPROP NULL_X101Y155 INDEX 216 TILEPROP NULL_X101Y155 INT_TILE_X -1 TILEPROP NULL_X101Y155 INT_TILE_Y -1 TILEPROP NULL_X101Y155 IS_CENTER_TILE 0 TILEPROP NULL_X101Y155 IS_DCM_TILE 0 TILEPROP NULL_X101Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y155 NAME NULL_X101Y155 TILEPROP NULL_X101Y155 NUM_ARCS 0 TILEPROP NULL_X101Y155 NUM_SITES 0 TILEPROP NULL_X101Y155 ROW 1 TILEPROP NULL_X101Y155 SLR_REGION_ID 0 TILEPROP NULL_X101Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y155 TILE_TYPE NULL TILEPROP NULL_X101Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y155 TILE_X 85270 TILEPROP NULL_X101Y155 TILE_Y 239096 TILEPROP NULL_X101Y155 TYPE NULL TILEPROP NULL_X101Y156 CLASS tile TILEPROP NULL_X101Y156 COLUMN 101 TILEPROP NULL_X101Y156 DEVICE_ID 0 TILEPROP NULL_X101Y156 FIRST_SITE_ID 0 TILEPROP NULL_X101Y156 GRID_POINT_X 101 TILEPROP NULL_X101Y156 GRID_POINT_Y 0 TILEPROP NULL_X101Y156 INDEX 101 TILEPROP NULL_X101Y156 INT_TILE_X -1 TILEPROP NULL_X101Y156 INT_TILE_Y -1 TILEPROP NULL_X101Y156 IS_CENTER_TILE 0 TILEPROP NULL_X101Y156 IS_DCM_TILE 0 TILEPROP NULL_X101Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X101Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X101Y156 NAME NULL_X101Y156 TILEPROP NULL_X101Y156 NUM_ARCS 0 TILEPROP NULL_X101Y156 NUM_SITES 0 TILEPROP NULL_X101Y156 ROW 0 TILEPROP NULL_X101Y156 SLR_REGION_ID 0 TILEPROP NULL_X101Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X101Y156 TILE_TYPE NULL TILEPROP NULL_X101Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X101Y156 TILE_X 85270 TILEPROP NULL_X101Y156 TILE_Y 242296 TILEPROP NULL_X101Y156 TYPE NULL TILEPROP NULL_X102Y105 CLASS tile TILEPROP NULL_X102Y105 COLUMN 102 TILEPROP NULL_X102Y105 DEVICE_ID 0 TILEPROP NULL_X102Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X102Y105 GRID_POINT_X 102 TILEPROP NULL_X102Y105 GRID_POINT_Y 51 TILEPROP NULL_X102Y105 INDEX 5967 TILEPROP NULL_X102Y105 INT_TILE_X -1 TILEPROP NULL_X102Y105 INT_TILE_Y -1 TILEPROP NULL_X102Y105 IS_CENTER_TILE 0 TILEPROP NULL_X102Y105 IS_DCM_TILE 0 TILEPROP NULL_X102Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y105 NAME NULL_X102Y105 TILEPROP NULL_X102Y105 NUM_ARCS 0 TILEPROP NULL_X102Y105 NUM_SITES 0 TILEPROP NULL_X102Y105 ROW 51 TILEPROP NULL_X102Y105 SLR_REGION_ID 0 TILEPROP NULL_X102Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y105 TILE_TYPE NULL TILEPROP NULL_X102Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y105 TILE_X 85966 TILEPROP NULL_X102Y105 TILE_Y 81272 TILEPROP NULL_X102Y105 TYPE NULL TILEPROP NULL_X102Y106 CLASS tile TILEPROP NULL_X102Y106 COLUMN 102 TILEPROP NULL_X102Y106 DEVICE_ID 0 TILEPROP NULL_X102Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X102Y106 GRID_POINT_X 102 TILEPROP NULL_X102Y106 GRID_POINT_Y 50 TILEPROP NULL_X102Y106 INDEX 5852 TILEPROP NULL_X102Y106 INT_TILE_X -1 TILEPROP NULL_X102Y106 INT_TILE_Y -1 TILEPROP NULL_X102Y106 IS_CENTER_TILE 0 TILEPROP NULL_X102Y106 IS_DCM_TILE 0 TILEPROP NULL_X102Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y106 NAME NULL_X102Y106 TILEPROP NULL_X102Y106 NUM_ARCS 0 TILEPROP NULL_X102Y106 NUM_SITES 0 TILEPROP NULL_X102Y106 ROW 50 TILEPROP NULL_X102Y106 SLR_REGION_ID 0 TILEPROP NULL_X102Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y106 TILE_TYPE NULL TILEPROP NULL_X102Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y106 TILE_X 85966 TILEPROP NULL_X102Y106 TILE_Y 84472 TILEPROP NULL_X102Y106 TYPE NULL TILEPROP NULL_X102Y107 CLASS tile TILEPROP NULL_X102Y107 COLUMN 102 TILEPROP NULL_X102Y107 DEVICE_ID 0 TILEPROP NULL_X102Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X102Y107 GRID_POINT_X 102 TILEPROP NULL_X102Y107 GRID_POINT_Y 49 TILEPROP NULL_X102Y107 INDEX 5737 TILEPROP NULL_X102Y107 INT_TILE_X -1 TILEPROP NULL_X102Y107 INT_TILE_Y -1 TILEPROP NULL_X102Y107 IS_CENTER_TILE 0 TILEPROP NULL_X102Y107 IS_DCM_TILE 0 TILEPROP NULL_X102Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y107 NAME NULL_X102Y107 TILEPROP NULL_X102Y107 NUM_ARCS 0 TILEPROP NULL_X102Y107 NUM_SITES 0 TILEPROP NULL_X102Y107 ROW 49 TILEPROP NULL_X102Y107 SLR_REGION_ID 0 TILEPROP NULL_X102Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y107 TILE_TYPE NULL TILEPROP NULL_X102Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y107 TILE_X 85966 TILEPROP NULL_X102Y107 TILE_Y 87672 TILEPROP NULL_X102Y107 TYPE NULL TILEPROP NULL_X102Y108 CLASS tile TILEPROP NULL_X102Y108 COLUMN 102 TILEPROP NULL_X102Y108 DEVICE_ID 0 TILEPROP NULL_X102Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X102Y108 GRID_POINT_X 102 TILEPROP NULL_X102Y108 GRID_POINT_Y 48 TILEPROP NULL_X102Y108 INDEX 5622 TILEPROP NULL_X102Y108 INT_TILE_X -1 TILEPROP NULL_X102Y108 INT_TILE_Y -1 TILEPROP NULL_X102Y108 IS_CENTER_TILE 0 TILEPROP NULL_X102Y108 IS_DCM_TILE 0 TILEPROP NULL_X102Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y108 NAME NULL_X102Y108 TILEPROP NULL_X102Y108 NUM_ARCS 0 TILEPROP NULL_X102Y108 NUM_SITES 0 TILEPROP NULL_X102Y108 ROW 48 TILEPROP NULL_X102Y108 SLR_REGION_ID 0 TILEPROP NULL_X102Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y108 TILE_TYPE NULL TILEPROP NULL_X102Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y108 TILE_X 85966 TILEPROP NULL_X102Y108 TILE_Y 90872 TILEPROP NULL_X102Y108 TYPE NULL TILEPROP NULL_X102Y109 CLASS tile TILEPROP NULL_X102Y109 COLUMN 102 TILEPROP NULL_X102Y109 DEVICE_ID 0 TILEPROP NULL_X102Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X102Y109 GRID_POINT_X 102 TILEPROP NULL_X102Y109 GRID_POINT_Y 47 TILEPROP NULL_X102Y109 INDEX 5507 TILEPROP NULL_X102Y109 INT_TILE_X -1 TILEPROP NULL_X102Y109 INT_TILE_Y -1 TILEPROP NULL_X102Y109 IS_CENTER_TILE 0 TILEPROP NULL_X102Y109 IS_DCM_TILE 0 TILEPROP NULL_X102Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y109 NAME NULL_X102Y109 TILEPROP NULL_X102Y109 NUM_ARCS 0 TILEPROP NULL_X102Y109 NUM_SITES 0 TILEPROP NULL_X102Y109 ROW 47 TILEPROP NULL_X102Y109 SLR_REGION_ID 0 TILEPROP NULL_X102Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y109 TILE_TYPE NULL TILEPROP NULL_X102Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y109 TILE_X 85966 TILEPROP NULL_X102Y109 TILE_Y 94072 TILEPROP NULL_X102Y109 TYPE NULL TILEPROP NULL_X102Y110 CLASS tile TILEPROP NULL_X102Y110 COLUMN 102 TILEPROP NULL_X102Y110 DEVICE_ID 0 TILEPROP NULL_X102Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X102Y110 GRID_POINT_X 102 TILEPROP NULL_X102Y110 GRID_POINT_Y 46 TILEPROP NULL_X102Y110 INDEX 5392 TILEPROP NULL_X102Y110 INT_TILE_X -1 TILEPROP NULL_X102Y110 INT_TILE_Y -1 TILEPROP NULL_X102Y110 IS_CENTER_TILE 0 TILEPROP NULL_X102Y110 IS_DCM_TILE 0 TILEPROP NULL_X102Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y110 NAME NULL_X102Y110 TILEPROP NULL_X102Y110 NUM_ARCS 0 TILEPROP NULL_X102Y110 NUM_SITES 0 TILEPROP NULL_X102Y110 ROW 46 TILEPROP NULL_X102Y110 SLR_REGION_ID 0 TILEPROP NULL_X102Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y110 TILE_TYPE NULL TILEPROP NULL_X102Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y110 TILE_X 85966 TILEPROP NULL_X102Y110 TILE_Y 97272 TILEPROP NULL_X102Y110 TYPE NULL TILEPROP NULL_X102Y111 CLASS tile TILEPROP NULL_X102Y111 COLUMN 102 TILEPROP NULL_X102Y111 DEVICE_ID 0 TILEPROP NULL_X102Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X102Y111 GRID_POINT_X 102 TILEPROP NULL_X102Y111 GRID_POINT_Y 45 TILEPROP NULL_X102Y111 INDEX 5277 TILEPROP NULL_X102Y111 INT_TILE_X -1 TILEPROP NULL_X102Y111 INT_TILE_Y -1 TILEPROP NULL_X102Y111 IS_CENTER_TILE 0 TILEPROP NULL_X102Y111 IS_DCM_TILE 0 TILEPROP NULL_X102Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y111 NAME NULL_X102Y111 TILEPROP NULL_X102Y111 NUM_ARCS 0 TILEPROP NULL_X102Y111 NUM_SITES 0 TILEPROP NULL_X102Y111 ROW 45 TILEPROP NULL_X102Y111 SLR_REGION_ID 0 TILEPROP NULL_X102Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y111 TILE_TYPE NULL TILEPROP NULL_X102Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y111 TILE_X 85966 TILEPROP NULL_X102Y111 TILE_Y 100472 TILEPROP NULL_X102Y111 TYPE NULL TILEPROP NULL_X102Y112 CLASS tile TILEPROP NULL_X102Y112 COLUMN 102 TILEPROP NULL_X102Y112 DEVICE_ID 0 TILEPROP NULL_X102Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X102Y112 GRID_POINT_X 102 TILEPROP NULL_X102Y112 GRID_POINT_Y 44 TILEPROP NULL_X102Y112 INDEX 5162 TILEPROP NULL_X102Y112 INT_TILE_X -1 TILEPROP NULL_X102Y112 INT_TILE_Y -1 TILEPROP NULL_X102Y112 IS_CENTER_TILE 0 TILEPROP NULL_X102Y112 IS_DCM_TILE 0 TILEPROP NULL_X102Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y112 NAME NULL_X102Y112 TILEPROP NULL_X102Y112 NUM_ARCS 0 TILEPROP NULL_X102Y112 NUM_SITES 0 TILEPROP NULL_X102Y112 ROW 44 TILEPROP NULL_X102Y112 SLR_REGION_ID 0 TILEPROP NULL_X102Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y112 TILE_TYPE NULL TILEPROP NULL_X102Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y112 TILE_X 85966 TILEPROP NULL_X102Y112 TILE_Y 103672 TILEPROP NULL_X102Y112 TYPE NULL TILEPROP NULL_X102Y113 CLASS tile TILEPROP NULL_X102Y113 COLUMN 102 TILEPROP NULL_X102Y113 DEVICE_ID 0 TILEPROP NULL_X102Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X102Y113 GRID_POINT_X 102 TILEPROP NULL_X102Y113 GRID_POINT_Y 43 TILEPROP NULL_X102Y113 INDEX 5047 TILEPROP NULL_X102Y113 INT_TILE_X -1 TILEPROP NULL_X102Y113 INT_TILE_Y -1 TILEPROP NULL_X102Y113 IS_CENTER_TILE 0 TILEPROP NULL_X102Y113 IS_DCM_TILE 0 TILEPROP NULL_X102Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y113 NAME NULL_X102Y113 TILEPROP NULL_X102Y113 NUM_ARCS 0 TILEPROP NULL_X102Y113 NUM_SITES 0 TILEPROP NULL_X102Y113 ROW 43 TILEPROP NULL_X102Y113 SLR_REGION_ID 0 TILEPROP NULL_X102Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y113 TILE_TYPE NULL TILEPROP NULL_X102Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y113 TILE_X 85966 TILEPROP NULL_X102Y113 TILE_Y 106872 TILEPROP NULL_X102Y113 TYPE NULL TILEPROP NULL_X102Y114 CLASS tile TILEPROP NULL_X102Y114 COLUMN 102 TILEPROP NULL_X102Y114 DEVICE_ID 0 TILEPROP NULL_X102Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X102Y114 GRID_POINT_X 102 TILEPROP NULL_X102Y114 GRID_POINT_Y 42 TILEPROP NULL_X102Y114 INDEX 4932 TILEPROP NULL_X102Y114 INT_TILE_X -1 TILEPROP NULL_X102Y114 INT_TILE_Y -1 TILEPROP NULL_X102Y114 IS_CENTER_TILE 0 TILEPROP NULL_X102Y114 IS_DCM_TILE 0 TILEPROP NULL_X102Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y114 NAME NULL_X102Y114 TILEPROP NULL_X102Y114 NUM_ARCS 0 TILEPROP NULL_X102Y114 NUM_SITES 0 TILEPROP NULL_X102Y114 ROW 42 TILEPROP NULL_X102Y114 SLR_REGION_ID 0 TILEPROP NULL_X102Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y114 TILE_TYPE NULL TILEPROP NULL_X102Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y114 TILE_X 85966 TILEPROP NULL_X102Y114 TILE_Y 110072 TILEPROP NULL_X102Y114 TYPE NULL TILEPROP NULL_X102Y115 CLASS tile TILEPROP NULL_X102Y115 COLUMN 102 TILEPROP NULL_X102Y115 DEVICE_ID 0 TILEPROP NULL_X102Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X102Y115 GRID_POINT_X 102 TILEPROP NULL_X102Y115 GRID_POINT_Y 41 TILEPROP NULL_X102Y115 INDEX 4817 TILEPROP NULL_X102Y115 INT_TILE_X -1 TILEPROP NULL_X102Y115 INT_TILE_Y -1 TILEPROP NULL_X102Y115 IS_CENTER_TILE 0 TILEPROP NULL_X102Y115 IS_DCM_TILE 0 TILEPROP NULL_X102Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y115 NAME NULL_X102Y115 TILEPROP NULL_X102Y115 NUM_ARCS 0 TILEPROP NULL_X102Y115 NUM_SITES 0 TILEPROP NULL_X102Y115 ROW 41 TILEPROP NULL_X102Y115 SLR_REGION_ID 0 TILEPROP NULL_X102Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y115 TILE_TYPE NULL TILEPROP NULL_X102Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y115 TILE_X 85966 TILEPROP NULL_X102Y115 TILE_Y 113272 TILEPROP NULL_X102Y115 TYPE NULL TILEPROP NULL_X102Y116 CLASS tile TILEPROP NULL_X102Y116 COLUMN 102 TILEPROP NULL_X102Y116 DEVICE_ID 0 TILEPROP NULL_X102Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X102Y116 GRID_POINT_X 102 TILEPROP NULL_X102Y116 GRID_POINT_Y 40 TILEPROP NULL_X102Y116 INDEX 4702 TILEPROP NULL_X102Y116 INT_TILE_X -1 TILEPROP NULL_X102Y116 INT_TILE_Y -1 TILEPROP NULL_X102Y116 IS_CENTER_TILE 0 TILEPROP NULL_X102Y116 IS_DCM_TILE 0 TILEPROP NULL_X102Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y116 NAME NULL_X102Y116 TILEPROP NULL_X102Y116 NUM_ARCS 0 TILEPROP NULL_X102Y116 NUM_SITES 0 TILEPROP NULL_X102Y116 ROW 40 TILEPROP NULL_X102Y116 SLR_REGION_ID 0 TILEPROP NULL_X102Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y116 TILE_TYPE NULL TILEPROP NULL_X102Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y116 TILE_X 85966 TILEPROP NULL_X102Y116 TILE_Y 116472 TILEPROP NULL_X102Y116 TYPE NULL TILEPROP NULL_X102Y117 CLASS tile TILEPROP NULL_X102Y117 COLUMN 102 TILEPROP NULL_X102Y117 DEVICE_ID 0 TILEPROP NULL_X102Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X102Y117 GRID_POINT_X 102 TILEPROP NULL_X102Y117 GRID_POINT_Y 39 TILEPROP NULL_X102Y117 INDEX 4587 TILEPROP NULL_X102Y117 INT_TILE_X -1 TILEPROP NULL_X102Y117 INT_TILE_Y -1 TILEPROP NULL_X102Y117 IS_CENTER_TILE 0 TILEPROP NULL_X102Y117 IS_DCM_TILE 0 TILEPROP NULL_X102Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y117 NAME NULL_X102Y117 TILEPROP NULL_X102Y117 NUM_ARCS 0 TILEPROP NULL_X102Y117 NUM_SITES 0 TILEPROP NULL_X102Y117 ROW 39 TILEPROP NULL_X102Y117 SLR_REGION_ID 0 TILEPROP NULL_X102Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y117 TILE_TYPE NULL TILEPROP NULL_X102Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y117 TILE_X 85966 TILEPROP NULL_X102Y117 TILE_Y 119672 TILEPROP NULL_X102Y117 TYPE NULL TILEPROP NULL_X102Y118 CLASS tile TILEPROP NULL_X102Y118 COLUMN 102 TILEPROP NULL_X102Y118 DEVICE_ID 0 TILEPROP NULL_X102Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X102Y118 GRID_POINT_X 102 TILEPROP NULL_X102Y118 GRID_POINT_Y 38 TILEPROP NULL_X102Y118 INDEX 4472 TILEPROP NULL_X102Y118 INT_TILE_X -1 TILEPROP NULL_X102Y118 INT_TILE_Y -1 TILEPROP NULL_X102Y118 IS_CENTER_TILE 0 TILEPROP NULL_X102Y118 IS_DCM_TILE 0 TILEPROP NULL_X102Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y118 NAME NULL_X102Y118 TILEPROP NULL_X102Y118 NUM_ARCS 0 TILEPROP NULL_X102Y118 NUM_SITES 0 TILEPROP NULL_X102Y118 ROW 38 TILEPROP NULL_X102Y118 SLR_REGION_ID 0 TILEPROP NULL_X102Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y118 TILE_TYPE NULL TILEPROP NULL_X102Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y118 TILE_X 85966 TILEPROP NULL_X102Y118 TILE_Y 122872 TILEPROP NULL_X102Y118 TYPE NULL TILEPROP NULL_X102Y119 CLASS tile TILEPROP NULL_X102Y119 COLUMN 102 TILEPROP NULL_X102Y119 DEVICE_ID 0 TILEPROP NULL_X102Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X102Y119 GRID_POINT_X 102 TILEPROP NULL_X102Y119 GRID_POINT_Y 37 TILEPROP NULL_X102Y119 INDEX 4357 TILEPROP NULL_X102Y119 INT_TILE_X -1 TILEPROP NULL_X102Y119 INT_TILE_Y -1 TILEPROP NULL_X102Y119 IS_CENTER_TILE 0 TILEPROP NULL_X102Y119 IS_DCM_TILE 0 TILEPROP NULL_X102Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y119 NAME NULL_X102Y119 TILEPROP NULL_X102Y119 NUM_ARCS 0 TILEPROP NULL_X102Y119 NUM_SITES 0 TILEPROP NULL_X102Y119 ROW 37 TILEPROP NULL_X102Y119 SLR_REGION_ID 0 TILEPROP NULL_X102Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y119 TILE_TYPE NULL TILEPROP NULL_X102Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y119 TILE_X 85966 TILEPROP NULL_X102Y119 TILE_Y 126072 TILEPROP NULL_X102Y119 TYPE NULL TILEPROP NULL_X102Y120 CLASS tile TILEPROP NULL_X102Y120 COLUMN 102 TILEPROP NULL_X102Y120 DEVICE_ID 0 TILEPROP NULL_X102Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X102Y120 GRID_POINT_X 102 TILEPROP NULL_X102Y120 GRID_POINT_Y 36 TILEPROP NULL_X102Y120 INDEX 4242 TILEPROP NULL_X102Y120 INT_TILE_X -1 TILEPROP NULL_X102Y120 INT_TILE_Y -1 TILEPROP NULL_X102Y120 IS_CENTER_TILE 0 TILEPROP NULL_X102Y120 IS_DCM_TILE 0 TILEPROP NULL_X102Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y120 NAME NULL_X102Y120 TILEPROP NULL_X102Y120 NUM_ARCS 0 TILEPROP NULL_X102Y120 NUM_SITES 0 TILEPROP NULL_X102Y120 ROW 36 TILEPROP NULL_X102Y120 SLR_REGION_ID 0 TILEPROP NULL_X102Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y120 TILE_TYPE NULL TILEPROP NULL_X102Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y120 TILE_X 85966 TILEPROP NULL_X102Y120 TILE_Y 129272 TILEPROP NULL_X102Y120 TYPE NULL TILEPROP NULL_X102Y121 CLASS tile TILEPROP NULL_X102Y121 COLUMN 102 TILEPROP NULL_X102Y121 DEVICE_ID 0 TILEPROP NULL_X102Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X102Y121 GRID_POINT_X 102 TILEPROP NULL_X102Y121 GRID_POINT_Y 35 TILEPROP NULL_X102Y121 INDEX 4127 TILEPROP NULL_X102Y121 INT_TILE_X -1 TILEPROP NULL_X102Y121 INT_TILE_Y -1 TILEPROP NULL_X102Y121 IS_CENTER_TILE 0 TILEPROP NULL_X102Y121 IS_DCM_TILE 0 TILEPROP NULL_X102Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y121 NAME NULL_X102Y121 TILEPROP NULL_X102Y121 NUM_ARCS 0 TILEPROP NULL_X102Y121 NUM_SITES 0 TILEPROP NULL_X102Y121 ROW 35 TILEPROP NULL_X102Y121 SLR_REGION_ID 0 TILEPROP NULL_X102Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y121 TILE_TYPE NULL TILEPROP NULL_X102Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y121 TILE_X 85966 TILEPROP NULL_X102Y121 TILE_Y 132472 TILEPROP NULL_X102Y121 TYPE NULL TILEPROP NULL_X102Y122 CLASS tile TILEPROP NULL_X102Y122 COLUMN 102 TILEPROP NULL_X102Y122 DEVICE_ID 0 TILEPROP NULL_X102Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X102Y122 GRID_POINT_X 102 TILEPROP NULL_X102Y122 GRID_POINT_Y 34 TILEPROP NULL_X102Y122 INDEX 4012 TILEPROP NULL_X102Y122 INT_TILE_X -1 TILEPROP NULL_X102Y122 INT_TILE_Y -1 TILEPROP NULL_X102Y122 IS_CENTER_TILE 0 TILEPROP NULL_X102Y122 IS_DCM_TILE 0 TILEPROP NULL_X102Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y122 NAME NULL_X102Y122 TILEPROP NULL_X102Y122 NUM_ARCS 0 TILEPROP NULL_X102Y122 NUM_SITES 0 TILEPROP NULL_X102Y122 ROW 34 TILEPROP NULL_X102Y122 SLR_REGION_ID 0 TILEPROP NULL_X102Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y122 TILE_TYPE NULL TILEPROP NULL_X102Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y122 TILE_X 85966 TILEPROP NULL_X102Y122 TILE_Y 135672 TILEPROP NULL_X102Y122 TYPE NULL TILEPROP NULL_X102Y123 CLASS tile TILEPROP NULL_X102Y123 COLUMN 102 TILEPROP NULL_X102Y123 DEVICE_ID 0 TILEPROP NULL_X102Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X102Y123 GRID_POINT_X 102 TILEPROP NULL_X102Y123 GRID_POINT_Y 33 TILEPROP NULL_X102Y123 INDEX 3897 TILEPROP NULL_X102Y123 INT_TILE_X -1 TILEPROP NULL_X102Y123 INT_TILE_Y -1 TILEPROP NULL_X102Y123 IS_CENTER_TILE 0 TILEPROP NULL_X102Y123 IS_DCM_TILE 0 TILEPROP NULL_X102Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y123 NAME NULL_X102Y123 TILEPROP NULL_X102Y123 NUM_ARCS 0 TILEPROP NULL_X102Y123 NUM_SITES 0 TILEPROP NULL_X102Y123 ROW 33 TILEPROP NULL_X102Y123 SLR_REGION_ID 0 TILEPROP NULL_X102Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y123 TILE_TYPE NULL TILEPROP NULL_X102Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y123 TILE_X 85966 TILEPROP NULL_X102Y123 TILE_Y 138872 TILEPROP NULL_X102Y123 TYPE NULL TILEPROP NULL_X102Y124 CLASS tile TILEPROP NULL_X102Y124 COLUMN 102 TILEPROP NULL_X102Y124 DEVICE_ID 0 TILEPROP NULL_X102Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X102Y124 GRID_POINT_X 102 TILEPROP NULL_X102Y124 GRID_POINT_Y 32 TILEPROP NULL_X102Y124 INDEX 3782 TILEPROP NULL_X102Y124 INT_TILE_X -1 TILEPROP NULL_X102Y124 INT_TILE_Y -1 TILEPROP NULL_X102Y124 IS_CENTER_TILE 0 TILEPROP NULL_X102Y124 IS_DCM_TILE 0 TILEPROP NULL_X102Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y124 NAME NULL_X102Y124 TILEPROP NULL_X102Y124 NUM_ARCS 0 TILEPROP NULL_X102Y124 NUM_SITES 0 TILEPROP NULL_X102Y124 ROW 32 TILEPROP NULL_X102Y124 SLR_REGION_ID 0 TILEPROP NULL_X102Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y124 TILE_TYPE NULL TILEPROP NULL_X102Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y124 TILE_X 85966 TILEPROP NULL_X102Y124 TILE_Y 142072 TILEPROP NULL_X102Y124 TYPE NULL TILEPROP NULL_X102Y125 CLASS tile TILEPROP NULL_X102Y125 COLUMN 102 TILEPROP NULL_X102Y125 DEVICE_ID 0 TILEPROP NULL_X102Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X102Y125 GRID_POINT_X 102 TILEPROP NULL_X102Y125 GRID_POINT_Y 31 TILEPROP NULL_X102Y125 INDEX 3667 TILEPROP NULL_X102Y125 INT_TILE_X -1 TILEPROP NULL_X102Y125 INT_TILE_Y -1 TILEPROP NULL_X102Y125 IS_CENTER_TILE 0 TILEPROP NULL_X102Y125 IS_DCM_TILE 0 TILEPROP NULL_X102Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y125 NAME NULL_X102Y125 TILEPROP NULL_X102Y125 NUM_ARCS 0 TILEPROP NULL_X102Y125 NUM_SITES 0 TILEPROP NULL_X102Y125 ROW 31 TILEPROP NULL_X102Y125 SLR_REGION_ID 0 TILEPROP NULL_X102Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y125 TILE_TYPE NULL TILEPROP NULL_X102Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y125 TILE_X 85966 TILEPROP NULL_X102Y125 TILE_Y 145272 TILEPROP NULL_X102Y125 TYPE NULL TILEPROP NULL_X102Y126 CLASS tile TILEPROP NULL_X102Y126 COLUMN 102 TILEPROP NULL_X102Y126 DEVICE_ID 0 TILEPROP NULL_X102Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X102Y126 GRID_POINT_X 102 TILEPROP NULL_X102Y126 GRID_POINT_Y 30 TILEPROP NULL_X102Y126 INDEX 3552 TILEPROP NULL_X102Y126 INT_TILE_X -1 TILEPROP NULL_X102Y126 INT_TILE_Y -1 TILEPROP NULL_X102Y126 IS_CENTER_TILE 0 TILEPROP NULL_X102Y126 IS_DCM_TILE 0 TILEPROP NULL_X102Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y126 NAME NULL_X102Y126 TILEPROP NULL_X102Y126 NUM_ARCS 0 TILEPROP NULL_X102Y126 NUM_SITES 0 TILEPROP NULL_X102Y126 ROW 30 TILEPROP NULL_X102Y126 SLR_REGION_ID 0 TILEPROP NULL_X102Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y126 TILE_TYPE NULL TILEPROP NULL_X102Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y126 TILE_X 85966 TILEPROP NULL_X102Y126 TILE_Y 148472 TILEPROP NULL_X102Y126 TYPE NULL TILEPROP NULL_X102Y127 CLASS tile TILEPROP NULL_X102Y127 COLUMN 102 TILEPROP NULL_X102Y127 DEVICE_ID 0 TILEPROP NULL_X102Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X102Y127 GRID_POINT_X 102 TILEPROP NULL_X102Y127 GRID_POINT_Y 29 TILEPROP NULL_X102Y127 INDEX 3437 TILEPROP NULL_X102Y127 INT_TILE_X -1 TILEPROP NULL_X102Y127 INT_TILE_Y -1 TILEPROP NULL_X102Y127 IS_CENTER_TILE 0 TILEPROP NULL_X102Y127 IS_DCM_TILE 0 TILEPROP NULL_X102Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y127 NAME NULL_X102Y127 TILEPROP NULL_X102Y127 NUM_ARCS 0 TILEPROP NULL_X102Y127 NUM_SITES 0 TILEPROP NULL_X102Y127 ROW 29 TILEPROP NULL_X102Y127 SLR_REGION_ID 0 TILEPROP NULL_X102Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y127 TILE_TYPE NULL TILEPROP NULL_X102Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y127 TILE_X 85966 TILEPROP NULL_X102Y127 TILE_Y 151672 TILEPROP NULL_X102Y127 TYPE NULL TILEPROP NULL_X102Y128 CLASS tile TILEPROP NULL_X102Y128 COLUMN 102 TILEPROP NULL_X102Y128 DEVICE_ID 0 TILEPROP NULL_X102Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X102Y128 GRID_POINT_X 102 TILEPROP NULL_X102Y128 GRID_POINT_Y 28 TILEPROP NULL_X102Y128 INDEX 3322 TILEPROP NULL_X102Y128 INT_TILE_X -1 TILEPROP NULL_X102Y128 INT_TILE_Y -1 TILEPROP NULL_X102Y128 IS_CENTER_TILE 0 TILEPROP NULL_X102Y128 IS_DCM_TILE 0 TILEPROP NULL_X102Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y128 NAME NULL_X102Y128 TILEPROP NULL_X102Y128 NUM_ARCS 0 TILEPROP NULL_X102Y128 NUM_SITES 0 TILEPROP NULL_X102Y128 ROW 28 TILEPROP NULL_X102Y128 SLR_REGION_ID 0 TILEPROP NULL_X102Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y128 TILE_TYPE NULL TILEPROP NULL_X102Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y128 TILE_X 85966 TILEPROP NULL_X102Y128 TILE_Y 154872 TILEPROP NULL_X102Y128 TYPE NULL TILEPROP NULL_X102Y129 CLASS tile TILEPROP NULL_X102Y129 COLUMN 102 TILEPROP NULL_X102Y129 DEVICE_ID 0 TILEPROP NULL_X102Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X102Y129 GRID_POINT_X 102 TILEPROP NULL_X102Y129 GRID_POINT_Y 27 TILEPROP NULL_X102Y129 INDEX 3207 TILEPROP NULL_X102Y129 INT_TILE_X -1 TILEPROP NULL_X102Y129 INT_TILE_Y -1 TILEPROP NULL_X102Y129 IS_CENTER_TILE 0 TILEPROP NULL_X102Y129 IS_DCM_TILE 0 TILEPROP NULL_X102Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y129 NAME NULL_X102Y129 TILEPROP NULL_X102Y129 NUM_ARCS 0 TILEPROP NULL_X102Y129 NUM_SITES 0 TILEPROP NULL_X102Y129 ROW 27 TILEPROP NULL_X102Y129 SLR_REGION_ID 0 TILEPROP NULL_X102Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y129 TILE_TYPE NULL TILEPROP NULL_X102Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y129 TILE_X 85966 TILEPROP NULL_X102Y129 TILE_Y 158072 TILEPROP NULL_X102Y129 TYPE NULL TILEPROP NULL_X102Y130 CLASS tile TILEPROP NULL_X102Y130 COLUMN 102 TILEPROP NULL_X102Y130 DEVICE_ID 0 TILEPROP NULL_X102Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X102Y130 GRID_POINT_X 102 TILEPROP NULL_X102Y130 GRID_POINT_Y 26 TILEPROP NULL_X102Y130 INDEX 3092 TILEPROP NULL_X102Y130 INT_TILE_X -1 TILEPROP NULL_X102Y130 INT_TILE_Y -1 TILEPROP NULL_X102Y130 IS_CENTER_TILE 0 TILEPROP NULL_X102Y130 IS_DCM_TILE 0 TILEPROP NULL_X102Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y130 NAME NULL_X102Y130 TILEPROP NULL_X102Y130 NUM_ARCS 0 TILEPROP NULL_X102Y130 NUM_SITES 0 TILEPROP NULL_X102Y130 ROW 26 TILEPROP NULL_X102Y130 SLR_REGION_ID 0 TILEPROP NULL_X102Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y130 TILE_TYPE NULL TILEPROP NULL_X102Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y130 TILE_X 85966 TILEPROP NULL_X102Y130 TILE_Y 161272 TILEPROP NULL_X102Y130 TYPE NULL TILEPROP NULL_X102Y131 CLASS tile TILEPROP NULL_X102Y131 COLUMN 102 TILEPROP NULL_X102Y131 DEVICE_ID 0 TILEPROP NULL_X102Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X102Y131 GRID_POINT_X 102 TILEPROP NULL_X102Y131 GRID_POINT_Y 25 TILEPROP NULL_X102Y131 INDEX 2977 TILEPROP NULL_X102Y131 INT_TILE_X -1 TILEPROP NULL_X102Y131 INT_TILE_Y -1 TILEPROP NULL_X102Y131 IS_CENTER_TILE 0 TILEPROP NULL_X102Y131 IS_DCM_TILE 0 TILEPROP NULL_X102Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y131 NAME NULL_X102Y131 TILEPROP NULL_X102Y131 NUM_ARCS 0 TILEPROP NULL_X102Y131 NUM_SITES 0 TILEPROP NULL_X102Y131 ROW 25 TILEPROP NULL_X102Y131 SLR_REGION_ID 0 TILEPROP NULL_X102Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y131 TILE_TYPE NULL TILEPROP NULL_X102Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y131 TILE_X 85966 TILEPROP NULL_X102Y131 TILE_Y 162296 TILEPROP NULL_X102Y131 TYPE NULL TILEPROP NULL_X102Y132 CLASS tile TILEPROP NULL_X102Y132 COLUMN 102 TILEPROP NULL_X102Y132 DEVICE_ID 0 TILEPROP NULL_X102Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X102Y132 GRID_POINT_X 102 TILEPROP NULL_X102Y132 GRID_POINT_Y 24 TILEPROP NULL_X102Y132 INDEX 2862 TILEPROP NULL_X102Y132 INT_TILE_X -1 TILEPROP NULL_X102Y132 INT_TILE_Y -1 TILEPROP NULL_X102Y132 IS_CENTER_TILE 0 TILEPROP NULL_X102Y132 IS_DCM_TILE 0 TILEPROP NULL_X102Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y132 NAME NULL_X102Y132 TILEPROP NULL_X102Y132 NUM_ARCS 0 TILEPROP NULL_X102Y132 NUM_SITES 0 TILEPROP NULL_X102Y132 ROW 24 TILEPROP NULL_X102Y132 SLR_REGION_ID 0 TILEPROP NULL_X102Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y132 TILE_TYPE NULL TILEPROP NULL_X102Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y132 TILE_X 85966 TILEPROP NULL_X102Y132 TILE_Y 165496 TILEPROP NULL_X102Y132 TYPE NULL TILEPROP NULL_X102Y133 CLASS tile TILEPROP NULL_X102Y133 COLUMN 102 TILEPROP NULL_X102Y133 DEVICE_ID 0 TILEPROP NULL_X102Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X102Y133 GRID_POINT_X 102 TILEPROP NULL_X102Y133 GRID_POINT_Y 23 TILEPROP NULL_X102Y133 INDEX 2747 TILEPROP NULL_X102Y133 INT_TILE_X -1 TILEPROP NULL_X102Y133 INT_TILE_Y -1 TILEPROP NULL_X102Y133 IS_CENTER_TILE 0 TILEPROP NULL_X102Y133 IS_DCM_TILE 0 TILEPROP NULL_X102Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y133 NAME NULL_X102Y133 TILEPROP NULL_X102Y133 NUM_ARCS 0 TILEPROP NULL_X102Y133 NUM_SITES 0 TILEPROP NULL_X102Y133 ROW 23 TILEPROP NULL_X102Y133 SLR_REGION_ID 0 TILEPROP NULL_X102Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y133 TILE_TYPE NULL TILEPROP NULL_X102Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y133 TILE_X 85966 TILEPROP NULL_X102Y133 TILE_Y 168696 TILEPROP NULL_X102Y133 TYPE NULL TILEPROP NULL_X102Y134 CLASS tile TILEPROP NULL_X102Y134 COLUMN 102 TILEPROP NULL_X102Y134 DEVICE_ID 0 TILEPROP NULL_X102Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X102Y134 GRID_POINT_X 102 TILEPROP NULL_X102Y134 GRID_POINT_Y 22 TILEPROP NULL_X102Y134 INDEX 2632 TILEPROP NULL_X102Y134 INT_TILE_X -1 TILEPROP NULL_X102Y134 INT_TILE_Y -1 TILEPROP NULL_X102Y134 IS_CENTER_TILE 0 TILEPROP NULL_X102Y134 IS_DCM_TILE 0 TILEPROP NULL_X102Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y134 NAME NULL_X102Y134 TILEPROP NULL_X102Y134 NUM_ARCS 0 TILEPROP NULL_X102Y134 NUM_SITES 0 TILEPROP NULL_X102Y134 ROW 22 TILEPROP NULL_X102Y134 SLR_REGION_ID 0 TILEPROP NULL_X102Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y134 TILE_TYPE NULL TILEPROP NULL_X102Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y134 TILE_X 85966 TILEPROP NULL_X102Y134 TILE_Y 171896 TILEPROP NULL_X102Y134 TYPE NULL TILEPROP NULL_X102Y135 CLASS tile TILEPROP NULL_X102Y135 COLUMN 102 TILEPROP NULL_X102Y135 DEVICE_ID 0 TILEPROP NULL_X102Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X102Y135 GRID_POINT_X 102 TILEPROP NULL_X102Y135 GRID_POINT_Y 21 TILEPROP NULL_X102Y135 INDEX 2517 TILEPROP NULL_X102Y135 INT_TILE_X -1 TILEPROP NULL_X102Y135 INT_TILE_Y -1 TILEPROP NULL_X102Y135 IS_CENTER_TILE 0 TILEPROP NULL_X102Y135 IS_DCM_TILE 0 TILEPROP NULL_X102Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y135 NAME NULL_X102Y135 TILEPROP NULL_X102Y135 NUM_ARCS 0 TILEPROP NULL_X102Y135 NUM_SITES 0 TILEPROP NULL_X102Y135 ROW 21 TILEPROP NULL_X102Y135 SLR_REGION_ID 0 TILEPROP NULL_X102Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y135 TILE_TYPE NULL TILEPROP NULL_X102Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y135 TILE_X 85966 TILEPROP NULL_X102Y135 TILE_Y 175096 TILEPROP NULL_X102Y135 TYPE NULL TILEPROP NULL_X102Y136 CLASS tile TILEPROP NULL_X102Y136 COLUMN 102 TILEPROP NULL_X102Y136 DEVICE_ID 0 TILEPROP NULL_X102Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X102Y136 GRID_POINT_X 102 TILEPROP NULL_X102Y136 GRID_POINT_Y 20 TILEPROP NULL_X102Y136 INDEX 2402 TILEPROP NULL_X102Y136 INT_TILE_X -1 TILEPROP NULL_X102Y136 INT_TILE_Y -1 TILEPROP NULL_X102Y136 IS_CENTER_TILE 0 TILEPROP NULL_X102Y136 IS_DCM_TILE 0 TILEPROP NULL_X102Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y136 NAME NULL_X102Y136 TILEPROP NULL_X102Y136 NUM_ARCS 0 TILEPROP NULL_X102Y136 NUM_SITES 0 TILEPROP NULL_X102Y136 ROW 20 TILEPROP NULL_X102Y136 SLR_REGION_ID 0 TILEPROP NULL_X102Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y136 TILE_TYPE NULL TILEPROP NULL_X102Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y136 TILE_X 85966 TILEPROP NULL_X102Y136 TILE_Y 178296 TILEPROP NULL_X102Y136 TYPE NULL TILEPROP NULL_X102Y137 CLASS tile TILEPROP NULL_X102Y137 COLUMN 102 TILEPROP NULL_X102Y137 DEVICE_ID 0 TILEPROP NULL_X102Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X102Y137 GRID_POINT_X 102 TILEPROP NULL_X102Y137 GRID_POINT_Y 19 TILEPROP NULL_X102Y137 INDEX 2287 TILEPROP NULL_X102Y137 INT_TILE_X -1 TILEPROP NULL_X102Y137 INT_TILE_Y -1 TILEPROP NULL_X102Y137 IS_CENTER_TILE 0 TILEPROP NULL_X102Y137 IS_DCM_TILE 0 TILEPROP NULL_X102Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y137 NAME NULL_X102Y137 TILEPROP NULL_X102Y137 NUM_ARCS 0 TILEPROP NULL_X102Y137 NUM_SITES 0 TILEPROP NULL_X102Y137 ROW 19 TILEPROP NULL_X102Y137 SLR_REGION_ID 0 TILEPROP NULL_X102Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y137 TILE_TYPE NULL TILEPROP NULL_X102Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y137 TILE_X 85966 TILEPROP NULL_X102Y137 TILE_Y 181496 TILEPROP NULL_X102Y137 TYPE NULL TILEPROP NULL_X102Y138 CLASS tile TILEPROP NULL_X102Y138 COLUMN 102 TILEPROP NULL_X102Y138 DEVICE_ID 0 TILEPROP NULL_X102Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X102Y138 GRID_POINT_X 102 TILEPROP NULL_X102Y138 GRID_POINT_Y 18 TILEPROP NULL_X102Y138 INDEX 2172 TILEPROP NULL_X102Y138 INT_TILE_X -1 TILEPROP NULL_X102Y138 INT_TILE_Y -1 TILEPROP NULL_X102Y138 IS_CENTER_TILE 0 TILEPROP NULL_X102Y138 IS_DCM_TILE 0 TILEPROP NULL_X102Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y138 NAME NULL_X102Y138 TILEPROP NULL_X102Y138 NUM_ARCS 0 TILEPROP NULL_X102Y138 NUM_SITES 0 TILEPROP NULL_X102Y138 ROW 18 TILEPROP NULL_X102Y138 SLR_REGION_ID 0 TILEPROP NULL_X102Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y138 TILE_TYPE NULL TILEPROP NULL_X102Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y138 TILE_X 85966 TILEPROP NULL_X102Y138 TILE_Y 184696 TILEPROP NULL_X102Y138 TYPE NULL TILEPROP NULL_X102Y139 CLASS tile TILEPROP NULL_X102Y139 COLUMN 102 TILEPROP NULL_X102Y139 DEVICE_ID 0 TILEPROP NULL_X102Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X102Y139 GRID_POINT_X 102 TILEPROP NULL_X102Y139 GRID_POINT_Y 17 TILEPROP NULL_X102Y139 INDEX 2057 TILEPROP NULL_X102Y139 INT_TILE_X -1 TILEPROP NULL_X102Y139 INT_TILE_Y -1 TILEPROP NULL_X102Y139 IS_CENTER_TILE 0 TILEPROP NULL_X102Y139 IS_DCM_TILE 0 TILEPROP NULL_X102Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y139 NAME NULL_X102Y139 TILEPROP NULL_X102Y139 NUM_ARCS 0 TILEPROP NULL_X102Y139 NUM_SITES 0 TILEPROP NULL_X102Y139 ROW 17 TILEPROP NULL_X102Y139 SLR_REGION_ID 0 TILEPROP NULL_X102Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y139 TILE_TYPE NULL TILEPROP NULL_X102Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y139 TILE_X 85966 TILEPROP NULL_X102Y139 TILE_Y 187896 TILEPROP NULL_X102Y139 TYPE NULL TILEPROP NULL_X102Y140 CLASS tile TILEPROP NULL_X102Y140 COLUMN 102 TILEPROP NULL_X102Y140 DEVICE_ID 0 TILEPROP NULL_X102Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X102Y140 GRID_POINT_X 102 TILEPROP NULL_X102Y140 GRID_POINT_Y 16 TILEPROP NULL_X102Y140 INDEX 1942 TILEPROP NULL_X102Y140 INT_TILE_X -1 TILEPROP NULL_X102Y140 INT_TILE_Y -1 TILEPROP NULL_X102Y140 IS_CENTER_TILE 0 TILEPROP NULL_X102Y140 IS_DCM_TILE 0 TILEPROP NULL_X102Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y140 NAME NULL_X102Y140 TILEPROP NULL_X102Y140 NUM_ARCS 0 TILEPROP NULL_X102Y140 NUM_SITES 0 TILEPROP NULL_X102Y140 ROW 16 TILEPROP NULL_X102Y140 SLR_REGION_ID 0 TILEPROP NULL_X102Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y140 TILE_TYPE NULL TILEPROP NULL_X102Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y140 TILE_X 85966 TILEPROP NULL_X102Y140 TILE_Y 191096 TILEPROP NULL_X102Y140 TYPE NULL TILEPROP NULL_X102Y141 CLASS tile TILEPROP NULL_X102Y141 COLUMN 102 TILEPROP NULL_X102Y141 DEVICE_ID 0 TILEPROP NULL_X102Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X102Y141 GRID_POINT_X 102 TILEPROP NULL_X102Y141 GRID_POINT_Y 15 TILEPROP NULL_X102Y141 INDEX 1827 TILEPROP NULL_X102Y141 INT_TILE_X -1 TILEPROP NULL_X102Y141 INT_TILE_Y -1 TILEPROP NULL_X102Y141 IS_CENTER_TILE 0 TILEPROP NULL_X102Y141 IS_DCM_TILE 0 TILEPROP NULL_X102Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y141 NAME NULL_X102Y141 TILEPROP NULL_X102Y141 NUM_ARCS 0 TILEPROP NULL_X102Y141 NUM_SITES 0 TILEPROP NULL_X102Y141 ROW 15 TILEPROP NULL_X102Y141 SLR_REGION_ID 0 TILEPROP NULL_X102Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y141 TILE_TYPE NULL TILEPROP NULL_X102Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y141 TILE_X 85966 TILEPROP NULL_X102Y141 TILE_Y 194296 TILEPROP NULL_X102Y141 TYPE NULL TILEPROP NULL_X102Y142 CLASS tile TILEPROP NULL_X102Y142 COLUMN 102 TILEPROP NULL_X102Y142 DEVICE_ID 0 TILEPROP NULL_X102Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X102Y142 GRID_POINT_X 102 TILEPROP NULL_X102Y142 GRID_POINT_Y 14 TILEPROP NULL_X102Y142 INDEX 1712 TILEPROP NULL_X102Y142 INT_TILE_X -1 TILEPROP NULL_X102Y142 INT_TILE_Y -1 TILEPROP NULL_X102Y142 IS_CENTER_TILE 0 TILEPROP NULL_X102Y142 IS_DCM_TILE 0 TILEPROP NULL_X102Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y142 NAME NULL_X102Y142 TILEPROP NULL_X102Y142 NUM_ARCS 0 TILEPROP NULL_X102Y142 NUM_SITES 0 TILEPROP NULL_X102Y142 ROW 14 TILEPROP NULL_X102Y142 SLR_REGION_ID 0 TILEPROP NULL_X102Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y142 TILE_TYPE NULL TILEPROP NULL_X102Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y142 TILE_X 85966 TILEPROP NULL_X102Y142 TILE_Y 197496 TILEPROP NULL_X102Y142 TYPE NULL TILEPROP NULL_X102Y143 CLASS tile TILEPROP NULL_X102Y143 COLUMN 102 TILEPROP NULL_X102Y143 DEVICE_ID 0 TILEPROP NULL_X102Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X102Y143 GRID_POINT_X 102 TILEPROP NULL_X102Y143 GRID_POINT_Y 13 TILEPROP NULL_X102Y143 INDEX 1597 TILEPROP NULL_X102Y143 INT_TILE_X -1 TILEPROP NULL_X102Y143 INT_TILE_Y -1 TILEPROP NULL_X102Y143 IS_CENTER_TILE 0 TILEPROP NULL_X102Y143 IS_DCM_TILE 0 TILEPROP NULL_X102Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y143 NAME NULL_X102Y143 TILEPROP NULL_X102Y143 NUM_ARCS 0 TILEPROP NULL_X102Y143 NUM_SITES 0 TILEPROP NULL_X102Y143 ROW 13 TILEPROP NULL_X102Y143 SLR_REGION_ID 0 TILEPROP NULL_X102Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y143 TILE_TYPE NULL TILEPROP NULL_X102Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y143 TILE_X 85966 TILEPROP NULL_X102Y143 TILE_Y 200696 TILEPROP NULL_X102Y143 TYPE NULL TILEPROP NULL_X102Y144 CLASS tile TILEPROP NULL_X102Y144 COLUMN 102 TILEPROP NULL_X102Y144 DEVICE_ID 0 TILEPROP NULL_X102Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X102Y144 GRID_POINT_X 102 TILEPROP NULL_X102Y144 GRID_POINT_Y 12 TILEPROP NULL_X102Y144 INDEX 1482 TILEPROP NULL_X102Y144 INT_TILE_X -1 TILEPROP NULL_X102Y144 INT_TILE_Y -1 TILEPROP NULL_X102Y144 IS_CENTER_TILE 0 TILEPROP NULL_X102Y144 IS_DCM_TILE 0 TILEPROP NULL_X102Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y144 NAME NULL_X102Y144 TILEPROP NULL_X102Y144 NUM_ARCS 0 TILEPROP NULL_X102Y144 NUM_SITES 0 TILEPROP NULL_X102Y144 ROW 12 TILEPROP NULL_X102Y144 SLR_REGION_ID 0 TILEPROP NULL_X102Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y144 TILE_TYPE NULL TILEPROP NULL_X102Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y144 TILE_X 85966 TILEPROP NULL_X102Y144 TILE_Y 203896 TILEPROP NULL_X102Y144 TYPE NULL TILEPROP NULL_X102Y145 CLASS tile TILEPROP NULL_X102Y145 COLUMN 102 TILEPROP NULL_X102Y145 DEVICE_ID 0 TILEPROP NULL_X102Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X102Y145 GRID_POINT_X 102 TILEPROP NULL_X102Y145 GRID_POINT_Y 11 TILEPROP NULL_X102Y145 INDEX 1367 TILEPROP NULL_X102Y145 INT_TILE_X -1 TILEPROP NULL_X102Y145 INT_TILE_Y -1 TILEPROP NULL_X102Y145 IS_CENTER_TILE 0 TILEPROP NULL_X102Y145 IS_DCM_TILE 0 TILEPROP NULL_X102Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y145 NAME NULL_X102Y145 TILEPROP NULL_X102Y145 NUM_ARCS 0 TILEPROP NULL_X102Y145 NUM_SITES 0 TILEPROP NULL_X102Y145 ROW 11 TILEPROP NULL_X102Y145 SLR_REGION_ID 0 TILEPROP NULL_X102Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y145 TILE_TYPE NULL TILEPROP NULL_X102Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y145 TILE_X 85966 TILEPROP NULL_X102Y145 TILE_Y 207096 TILEPROP NULL_X102Y145 TYPE NULL TILEPROP NULL_X102Y146 CLASS tile TILEPROP NULL_X102Y146 COLUMN 102 TILEPROP NULL_X102Y146 DEVICE_ID 0 TILEPROP NULL_X102Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X102Y146 GRID_POINT_X 102 TILEPROP NULL_X102Y146 GRID_POINT_Y 10 TILEPROP NULL_X102Y146 INDEX 1252 TILEPROP NULL_X102Y146 INT_TILE_X -1 TILEPROP NULL_X102Y146 INT_TILE_Y -1 TILEPROP NULL_X102Y146 IS_CENTER_TILE 0 TILEPROP NULL_X102Y146 IS_DCM_TILE 0 TILEPROP NULL_X102Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y146 NAME NULL_X102Y146 TILEPROP NULL_X102Y146 NUM_ARCS 0 TILEPROP NULL_X102Y146 NUM_SITES 0 TILEPROP NULL_X102Y146 ROW 10 TILEPROP NULL_X102Y146 SLR_REGION_ID 0 TILEPROP NULL_X102Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y146 TILE_TYPE NULL TILEPROP NULL_X102Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y146 TILE_X 85966 TILEPROP NULL_X102Y146 TILE_Y 210296 TILEPROP NULL_X102Y146 TYPE NULL TILEPROP NULL_X102Y147 CLASS tile TILEPROP NULL_X102Y147 COLUMN 102 TILEPROP NULL_X102Y147 DEVICE_ID 0 TILEPROP NULL_X102Y147 FIRST_SITE_ID 920 TILEPROP NULL_X102Y147 GRID_POINT_X 102 TILEPROP NULL_X102Y147 GRID_POINT_Y 9 TILEPROP NULL_X102Y147 INDEX 1137 TILEPROP NULL_X102Y147 INT_TILE_X -1 TILEPROP NULL_X102Y147 INT_TILE_Y -1 TILEPROP NULL_X102Y147 IS_CENTER_TILE 0 TILEPROP NULL_X102Y147 IS_DCM_TILE 0 TILEPROP NULL_X102Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y147 NAME NULL_X102Y147 TILEPROP NULL_X102Y147 NUM_ARCS 0 TILEPROP NULL_X102Y147 NUM_SITES 0 TILEPROP NULL_X102Y147 ROW 9 TILEPROP NULL_X102Y147 SLR_REGION_ID 0 TILEPROP NULL_X102Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y147 TILE_TYPE NULL TILEPROP NULL_X102Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y147 TILE_X 85966 TILEPROP NULL_X102Y147 TILE_Y 213496 TILEPROP NULL_X102Y147 TYPE NULL TILEPROP NULL_X102Y148 CLASS tile TILEPROP NULL_X102Y148 COLUMN 102 TILEPROP NULL_X102Y148 DEVICE_ID 0 TILEPROP NULL_X102Y148 FIRST_SITE_ID 816 TILEPROP NULL_X102Y148 GRID_POINT_X 102 TILEPROP NULL_X102Y148 GRID_POINT_Y 8 TILEPROP NULL_X102Y148 INDEX 1022 TILEPROP NULL_X102Y148 INT_TILE_X -1 TILEPROP NULL_X102Y148 INT_TILE_Y -1 TILEPROP NULL_X102Y148 IS_CENTER_TILE 0 TILEPROP NULL_X102Y148 IS_DCM_TILE 0 TILEPROP NULL_X102Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y148 NAME NULL_X102Y148 TILEPROP NULL_X102Y148 NUM_ARCS 0 TILEPROP NULL_X102Y148 NUM_SITES 0 TILEPROP NULL_X102Y148 ROW 8 TILEPROP NULL_X102Y148 SLR_REGION_ID 0 TILEPROP NULL_X102Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y148 TILE_TYPE NULL TILEPROP NULL_X102Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y148 TILE_X 85966 TILEPROP NULL_X102Y148 TILE_Y 216696 TILEPROP NULL_X102Y148 TYPE NULL TILEPROP NULL_X102Y149 CLASS tile TILEPROP NULL_X102Y149 COLUMN 102 TILEPROP NULL_X102Y149 DEVICE_ID 0 TILEPROP NULL_X102Y149 FIRST_SITE_ID 719 TILEPROP NULL_X102Y149 GRID_POINT_X 102 TILEPROP NULL_X102Y149 GRID_POINT_Y 7 TILEPROP NULL_X102Y149 INDEX 907 TILEPROP NULL_X102Y149 INT_TILE_X -1 TILEPROP NULL_X102Y149 INT_TILE_Y -1 TILEPROP NULL_X102Y149 IS_CENTER_TILE 0 TILEPROP NULL_X102Y149 IS_DCM_TILE 0 TILEPROP NULL_X102Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y149 NAME NULL_X102Y149 TILEPROP NULL_X102Y149 NUM_ARCS 0 TILEPROP NULL_X102Y149 NUM_SITES 0 TILEPROP NULL_X102Y149 ROW 7 TILEPROP NULL_X102Y149 SLR_REGION_ID 0 TILEPROP NULL_X102Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y149 TILE_TYPE NULL TILEPROP NULL_X102Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y149 TILE_X 85966 TILEPROP NULL_X102Y149 TILE_Y 219896 TILEPROP NULL_X102Y149 TYPE NULL TILEPROP NULL_X102Y150 CLASS tile TILEPROP NULL_X102Y150 COLUMN 102 TILEPROP NULL_X102Y150 DEVICE_ID 0 TILEPROP NULL_X102Y150 FIRST_SITE_ID 613 TILEPROP NULL_X102Y150 GRID_POINT_X 102 TILEPROP NULL_X102Y150 GRID_POINT_Y 6 TILEPROP NULL_X102Y150 INDEX 792 TILEPROP NULL_X102Y150 INT_TILE_X -1 TILEPROP NULL_X102Y150 INT_TILE_Y -1 TILEPROP NULL_X102Y150 IS_CENTER_TILE 0 TILEPROP NULL_X102Y150 IS_DCM_TILE 0 TILEPROP NULL_X102Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y150 NAME NULL_X102Y150 TILEPROP NULL_X102Y150 NUM_ARCS 0 TILEPROP NULL_X102Y150 NUM_SITES 0 TILEPROP NULL_X102Y150 ROW 6 TILEPROP NULL_X102Y150 SLR_REGION_ID 0 TILEPROP NULL_X102Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y150 TILE_TYPE NULL TILEPROP NULL_X102Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y150 TILE_X 85966 TILEPROP NULL_X102Y150 TILE_Y 223096 TILEPROP NULL_X102Y150 TYPE NULL TILEPROP NULL_X102Y151 CLASS tile TILEPROP NULL_X102Y151 COLUMN 102 TILEPROP NULL_X102Y151 DEVICE_ID 0 TILEPROP NULL_X102Y151 FIRST_SITE_ID 512 TILEPROP NULL_X102Y151 GRID_POINT_X 102 TILEPROP NULL_X102Y151 GRID_POINT_Y 5 TILEPROP NULL_X102Y151 INDEX 677 TILEPROP NULL_X102Y151 INT_TILE_X -1 TILEPROP NULL_X102Y151 INT_TILE_Y -1 TILEPROP NULL_X102Y151 IS_CENTER_TILE 0 TILEPROP NULL_X102Y151 IS_DCM_TILE 0 TILEPROP NULL_X102Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y151 NAME NULL_X102Y151 TILEPROP NULL_X102Y151 NUM_ARCS 0 TILEPROP NULL_X102Y151 NUM_SITES 0 TILEPROP NULL_X102Y151 ROW 5 TILEPROP NULL_X102Y151 SLR_REGION_ID 0 TILEPROP NULL_X102Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y151 TILE_TYPE NULL TILEPROP NULL_X102Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y151 TILE_X 85966 TILEPROP NULL_X102Y151 TILE_Y 226296 TILEPROP NULL_X102Y151 TYPE NULL TILEPROP NULL_X102Y152 CLASS tile TILEPROP NULL_X102Y152 COLUMN 102 TILEPROP NULL_X102Y152 DEVICE_ID 0 TILEPROP NULL_X102Y152 FIRST_SITE_ID 396 TILEPROP NULL_X102Y152 GRID_POINT_X 102 TILEPROP NULL_X102Y152 GRID_POINT_Y 4 TILEPROP NULL_X102Y152 INDEX 562 TILEPROP NULL_X102Y152 INT_TILE_X -1 TILEPROP NULL_X102Y152 INT_TILE_Y -1 TILEPROP NULL_X102Y152 IS_CENTER_TILE 0 TILEPROP NULL_X102Y152 IS_DCM_TILE 0 TILEPROP NULL_X102Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y152 NAME NULL_X102Y152 TILEPROP NULL_X102Y152 NUM_ARCS 0 TILEPROP NULL_X102Y152 NUM_SITES 0 TILEPROP NULL_X102Y152 ROW 4 TILEPROP NULL_X102Y152 SLR_REGION_ID 0 TILEPROP NULL_X102Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y152 TILE_TYPE NULL TILEPROP NULL_X102Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y152 TILE_X 85966 TILEPROP NULL_X102Y152 TILE_Y 229496 TILEPROP NULL_X102Y152 TYPE NULL TILEPROP NULL_X102Y153 CLASS tile TILEPROP NULL_X102Y153 COLUMN 102 TILEPROP NULL_X102Y153 DEVICE_ID 0 TILEPROP NULL_X102Y153 FIRST_SITE_ID 300 TILEPROP NULL_X102Y153 GRID_POINT_X 102 TILEPROP NULL_X102Y153 GRID_POINT_Y 3 TILEPROP NULL_X102Y153 INDEX 447 TILEPROP NULL_X102Y153 INT_TILE_X -1 TILEPROP NULL_X102Y153 INT_TILE_Y -1 TILEPROP NULL_X102Y153 IS_CENTER_TILE 0 TILEPROP NULL_X102Y153 IS_DCM_TILE 0 TILEPROP NULL_X102Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y153 NAME NULL_X102Y153 TILEPROP NULL_X102Y153 NUM_ARCS 0 TILEPROP NULL_X102Y153 NUM_SITES 0 TILEPROP NULL_X102Y153 ROW 3 TILEPROP NULL_X102Y153 SLR_REGION_ID 0 TILEPROP NULL_X102Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y153 TILE_TYPE NULL TILEPROP NULL_X102Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y153 TILE_X 85966 TILEPROP NULL_X102Y153 TILE_Y 232696 TILEPROP NULL_X102Y153 TYPE NULL TILEPROP NULL_X102Y154 CLASS tile TILEPROP NULL_X102Y154 COLUMN 102 TILEPROP NULL_X102Y154 DEVICE_ID 0 TILEPROP NULL_X102Y154 FIRST_SITE_ID 196 TILEPROP NULL_X102Y154 GRID_POINT_X 102 TILEPROP NULL_X102Y154 GRID_POINT_Y 2 TILEPROP NULL_X102Y154 INDEX 332 TILEPROP NULL_X102Y154 INT_TILE_X -1 TILEPROP NULL_X102Y154 INT_TILE_Y -1 TILEPROP NULL_X102Y154 IS_CENTER_TILE 0 TILEPROP NULL_X102Y154 IS_DCM_TILE 0 TILEPROP NULL_X102Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y154 NAME NULL_X102Y154 TILEPROP NULL_X102Y154 NUM_ARCS 0 TILEPROP NULL_X102Y154 NUM_SITES 0 TILEPROP NULL_X102Y154 ROW 2 TILEPROP NULL_X102Y154 SLR_REGION_ID 0 TILEPROP NULL_X102Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y154 TILE_TYPE NULL TILEPROP NULL_X102Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y154 TILE_X 85966 TILEPROP NULL_X102Y154 TILE_Y 235896 TILEPROP NULL_X102Y154 TYPE NULL TILEPROP NULL_X102Y155 CLASS tile TILEPROP NULL_X102Y155 COLUMN 102 TILEPROP NULL_X102Y155 DEVICE_ID 0 TILEPROP NULL_X102Y155 FIRST_SITE_ID 100 TILEPROP NULL_X102Y155 GRID_POINT_X 102 TILEPROP NULL_X102Y155 GRID_POINT_Y 1 TILEPROP NULL_X102Y155 INDEX 217 TILEPROP NULL_X102Y155 INT_TILE_X -1 TILEPROP NULL_X102Y155 INT_TILE_Y -1 TILEPROP NULL_X102Y155 IS_CENTER_TILE 0 TILEPROP NULL_X102Y155 IS_DCM_TILE 0 TILEPROP NULL_X102Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y155 NAME NULL_X102Y155 TILEPROP NULL_X102Y155 NUM_ARCS 0 TILEPROP NULL_X102Y155 NUM_SITES 0 TILEPROP NULL_X102Y155 ROW 1 TILEPROP NULL_X102Y155 SLR_REGION_ID 0 TILEPROP NULL_X102Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y155 TILE_TYPE NULL TILEPROP NULL_X102Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y155 TILE_X 85966 TILEPROP NULL_X102Y155 TILE_Y 239096 TILEPROP NULL_X102Y155 TYPE NULL TILEPROP NULL_X102Y156 CLASS tile TILEPROP NULL_X102Y156 COLUMN 102 TILEPROP NULL_X102Y156 DEVICE_ID 0 TILEPROP NULL_X102Y156 FIRST_SITE_ID 0 TILEPROP NULL_X102Y156 GRID_POINT_X 102 TILEPROP NULL_X102Y156 GRID_POINT_Y 0 TILEPROP NULL_X102Y156 INDEX 102 TILEPROP NULL_X102Y156 INT_TILE_X -1 TILEPROP NULL_X102Y156 INT_TILE_Y -1 TILEPROP NULL_X102Y156 IS_CENTER_TILE 0 TILEPROP NULL_X102Y156 IS_DCM_TILE 0 TILEPROP NULL_X102Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X102Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X102Y156 NAME NULL_X102Y156 TILEPROP NULL_X102Y156 NUM_ARCS 0 TILEPROP NULL_X102Y156 NUM_SITES 0 TILEPROP NULL_X102Y156 ROW 0 TILEPROP NULL_X102Y156 SLR_REGION_ID 0 TILEPROP NULL_X102Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X102Y156 TILE_TYPE NULL TILEPROP NULL_X102Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X102Y156 TILE_X 85966 TILEPROP NULL_X102Y156 TILE_Y 242296 TILEPROP NULL_X102Y156 TYPE NULL TILEPROP NULL_X103Y105 CLASS tile TILEPROP NULL_X103Y105 COLUMN 103 TILEPROP NULL_X103Y105 DEVICE_ID 0 TILEPROP NULL_X103Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X103Y105 GRID_POINT_X 103 TILEPROP NULL_X103Y105 GRID_POINT_Y 51 TILEPROP NULL_X103Y105 INDEX 5968 TILEPROP NULL_X103Y105 INT_TILE_X -1 TILEPROP NULL_X103Y105 INT_TILE_Y -1 TILEPROP NULL_X103Y105 IS_CENTER_TILE 0 TILEPROP NULL_X103Y105 IS_DCM_TILE 0 TILEPROP NULL_X103Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y105 NAME NULL_X103Y105 TILEPROP NULL_X103Y105 NUM_ARCS 0 TILEPROP NULL_X103Y105 NUM_SITES 0 TILEPROP NULL_X103Y105 ROW 51 TILEPROP NULL_X103Y105 SLR_REGION_ID 0 TILEPROP NULL_X103Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y105 TILE_TYPE NULL TILEPROP NULL_X103Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y105 TILE_X 89422 TILEPROP NULL_X103Y105 TILE_Y 81272 TILEPROP NULL_X103Y105 TYPE NULL TILEPROP NULL_X103Y106 CLASS tile TILEPROP NULL_X103Y106 COLUMN 103 TILEPROP NULL_X103Y106 DEVICE_ID 0 TILEPROP NULL_X103Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X103Y106 GRID_POINT_X 103 TILEPROP NULL_X103Y106 GRID_POINT_Y 50 TILEPROP NULL_X103Y106 INDEX 5853 TILEPROP NULL_X103Y106 INT_TILE_X -1 TILEPROP NULL_X103Y106 INT_TILE_Y -1 TILEPROP NULL_X103Y106 IS_CENTER_TILE 0 TILEPROP NULL_X103Y106 IS_DCM_TILE 0 TILEPROP NULL_X103Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y106 NAME NULL_X103Y106 TILEPROP NULL_X103Y106 NUM_ARCS 0 TILEPROP NULL_X103Y106 NUM_SITES 0 TILEPROP NULL_X103Y106 ROW 50 TILEPROP NULL_X103Y106 SLR_REGION_ID 0 TILEPROP NULL_X103Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y106 TILE_TYPE NULL TILEPROP NULL_X103Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y106 TILE_X 89422 TILEPROP NULL_X103Y106 TILE_Y 84472 TILEPROP NULL_X103Y106 TYPE NULL TILEPROP NULL_X103Y107 CLASS tile TILEPROP NULL_X103Y107 COLUMN 103 TILEPROP NULL_X103Y107 DEVICE_ID 0 TILEPROP NULL_X103Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X103Y107 GRID_POINT_X 103 TILEPROP NULL_X103Y107 GRID_POINT_Y 49 TILEPROP NULL_X103Y107 INDEX 5738 TILEPROP NULL_X103Y107 INT_TILE_X -1 TILEPROP NULL_X103Y107 INT_TILE_Y -1 TILEPROP NULL_X103Y107 IS_CENTER_TILE 0 TILEPROP NULL_X103Y107 IS_DCM_TILE 0 TILEPROP NULL_X103Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y107 NAME NULL_X103Y107 TILEPROP NULL_X103Y107 NUM_ARCS 0 TILEPROP NULL_X103Y107 NUM_SITES 0 TILEPROP NULL_X103Y107 ROW 49 TILEPROP NULL_X103Y107 SLR_REGION_ID 0 TILEPROP NULL_X103Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y107 TILE_TYPE NULL TILEPROP NULL_X103Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y107 TILE_X 89422 TILEPROP NULL_X103Y107 TILE_Y 87672 TILEPROP NULL_X103Y107 TYPE NULL TILEPROP NULL_X103Y108 CLASS tile TILEPROP NULL_X103Y108 COLUMN 103 TILEPROP NULL_X103Y108 DEVICE_ID 0 TILEPROP NULL_X103Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X103Y108 GRID_POINT_X 103 TILEPROP NULL_X103Y108 GRID_POINT_Y 48 TILEPROP NULL_X103Y108 INDEX 5623 TILEPROP NULL_X103Y108 INT_TILE_X -1 TILEPROP NULL_X103Y108 INT_TILE_Y -1 TILEPROP NULL_X103Y108 IS_CENTER_TILE 0 TILEPROP NULL_X103Y108 IS_DCM_TILE 0 TILEPROP NULL_X103Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y108 NAME NULL_X103Y108 TILEPROP NULL_X103Y108 NUM_ARCS 0 TILEPROP NULL_X103Y108 NUM_SITES 0 TILEPROP NULL_X103Y108 ROW 48 TILEPROP NULL_X103Y108 SLR_REGION_ID 0 TILEPROP NULL_X103Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y108 TILE_TYPE NULL TILEPROP NULL_X103Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y108 TILE_X 89422 TILEPROP NULL_X103Y108 TILE_Y 90872 TILEPROP NULL_X103Y108 TYPE NULL TILEPROP NULL_X103Y109 CLASS tile TILEPROP NULL_X103Y109 COLUMN 103 TILEPROP NULL_X103Y109 DEVICE_ID 0 TILEPROP NULL_X103Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X103Y109 GRID_POINT_X 103 TILEPROP NULL_X103Y109 GRID_POINT_Y 47 TILEPROP NULL_X103Y109 INDEX 5508 TILEPROP NULL_X103Y109 INT_TILE_X -1 TILEPROP NULL_X103Y109 INT_TILE_Y -1 TILEPROP NULL_X103Y109 IS_CENTER_TILE 0 TILEPROP NULL_X103Y109 IS_DCM_TILE 0 TILEPROP NULL_X103Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y109 NAME NULL_X103Y109 TILEPROP NULL_X103Y109 NUM_ARCS 0 TILEPROP NULL_X103Y109 NUM_SITES 0 TILEPROP NULL_X103Y109 ROW 47 TILEPROP NULL_X103Y109 SLR_REGION_ID 0 TILEPROP NULL_X103Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y109 TILE_TYPE NULL TILEPROP NULL_X103Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y109 TILE_X 89422 TILEPROP NULL_X103Y109 TILE_Y 94072 TILEPROP NULL_X103Y109 TYPE NULL TILEPROP NULL_X103Y110 CLASS tile TILEPROP NULL_X103Y110 COLUMN 103 TILEPROP NULL_X103Y110 DEVICE_ID 0 TILEPROP NULL_X103Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X103Y110 GRID_POINT_X 103 TILEPROP NULL_X103Y110 GRID_POINT_Y 46 TILEPROP NULL_X103Y110 INDEX 5393 TILEPROP NULL_X103Y110 INT_TILE_X -1 TILEPROP NULL_X103Y110 INT_TILE_Y -1 TILEPROP NULL_X103Y110 IS_CENTER_TILE 0 TILEPROP NULL_X103Y110 IS_DCM_TILE 0 TILEPROP NULL_X103Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y110 NAME NULL_X103Y110 TILEPROP NULL_X103Y110 NUM_ARCS 0 TILEPROP NULL_X103Y110 NUM_SITES 0 TILEPROP NULL_X103Y110 ROW 46 TILEPROP NULL_X103Y110 SLR_REGION_ID 0 TILEPROP NULL_X103Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y110 TILE_TYPE NULL TILEPROP NULL_X103Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y110 TILE_X 89422 TILEPROP NULL_X103Y110 TILE_Y 97272 TILEPROP NULL_X103Y110 TYPE NULL TILEPROP NULL_X103Y111 CLASS tile TILEPROP NULL_X103Y111 COLUMN 103 TILEPROP NULL_X103Y111 DEVICE_ID 0 TILEPROP NULL_X103Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X103Y111 GRID_POINT_X 103 TILEPROP NULL_X103Y111 GRID_POINT_Y 45 TILEPROP NULL_X103Y111 INDEX 5278 TILEPROP NULL_X103Y111 INT_TILE_X -1 TILEPROP NULL_X103Y111 INT_TILE_Y -1 TILEPROP NULL_X103Y111 IS_CENTER_TILE 0 TILEPROP NULL_X103Y111 IS_DCM_TILE 0 TILEPROP NULL_X103Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y111 NAME NULL_X103Y111 TILEPROP NULL_X103Y111 NUM_ARCS 0 TILEPROP NULL_X103Y111 NUM_SITES 0 TILEPROP NULL_X103Y111 ROW 45 TILEPROP NULL_X103Y111 SLR_REGION_ID 0 TILEPROP NULL_X103Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y111 TILE_TYPE NULL TILEPROP NULL_X103Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y111 TILE_X 89422 TILEPROP NULL_X103Y111 TILE_Y 100472 TILEPROP NULL_X103Y111 TYPE NULL TILEPROP NULL_X103Y112 CLASS tile TILEPROP NULL_X103Y112 COLUMN 103 TILEPROP NULL_X103Y112 DEVICE_ID 0 TILEPROP NULL_X103Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X103Y112 GRID_POINT_X 103 TILEPROP NULL_X103Y112 GRID_POINT_Y 44 TILEPROP NULL_X103Y112 INDEX 5163 TILEPROP NULL_X103Y112 INT_TILE_X -1 TILEPROP NULL_X103Y112 INT_TILE_Y -1 TILEPROP NULL_X103Y112 IS_CENTER_TILE 0 TILEPROP NULL_X103Y112 IS_DCM_TILE 0 TILEPROP NULL_X103Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y112 NAME NULL_X103Y112 TILEPROP NULL_X103Y112 NUM_ARCS 0 TILEPROP NULL_X103Y112 NUM_SITES 0 TILEPROP NULL_X103Y112 ROW 44 TILEPROP NULL_X103Y112 SLR_REGION_ID 0 TILEPROP NULL_X103Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y112 TILE_TYPE NULL TILEPROP NULL_X103Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y112 TILE_X 89422 TILEPROP NULL_X103Y112 TILE_Y 103672 TILEPROP NULL_X103Y112 TYPE NULL TILEPROP NULL_X103Y113 CLASS tile TILEPROP NULL_X103Y113 COLUMN 103 TILEPROP NULL_X103Y113 DEVICE_ID 0 TILEPROP NULL_X103Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X103Y113 GRID_POINT_X 103 TILEPROP NULL_X103Y113 GRID_POINT_Y 43 TILEPROP NULL_X103Y113 INDEX 5048 TILEPROP NULL_X103Y113 INT_TILE_X -1 TILEPROP NULL_X103Y113 INT_TILE_Y -1 TILEPROP NULL_X103Y113 IS_CENTER_TILE 0 TILEPROP NULL_X103Y113 IS_DCM_TILE 0 TILEPROP NULL_X103Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y113 NAME NULL_X103Y113 TILEPROP NULL_X103Y113 NUM_ARCS 0 TILEPROP NULL_X103Y113 NUM_SITES 0 TILEPROP NULL_X103Y113 ROW 43 TILEPROP NULL_X103Y113 SLR_REGION_ID 0 TILEPROP NULL_X103Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y113 TILE_TYPE NULL TILEPROP NULL_X103Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y113 TILE_X 89422 TILEPROP NULL_X103Y113 TILE_Y 106872 TILEPROP NULL_X103Y113 TYPE NULL TILEPROP NULL_X103Y114 CLASS tile TILEPROP NULL_X103Y114 COLUMN 103 TILEPROP NULL_X103Y114 DEVICE_ID 0 TILEPROP NULL_X103Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X103Y114 GRID_POINT_X 103 TILEPROP NULL_X103Y114 GRID_POINT_Y 42 TILEPROP NULL_X103Y114 INDEX 4933 TILEPROP NULL_X103Y114 INT_TILE_X -1 TILEPROP NULL_X103Y114 INT_TILE_Y -1 TILEPROP NULL_X103Y114 IS_CENTER_TILE 0 TILEPROP NULL_X103Y114 IS_DCM_TILE 0 TILEPROP NULL_X103Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y114 NAME NULL_X103Y114 TILEPROP NULL_X103Y114 NUM_ARCS 0 TILEPROP NULL_X103Y114 NUM_SITES 0 TILEPROP NULL_X103Y114 ROW 42 TILEPROP NULL_X103Y114 SLR_REGION_ID 0 TILEPROP NULL_X103Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y114 TILE_TYPE NULL TILEPROP NULL_X103Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y114 TILE_X 89422 TILEPROP NULL_X103Y114 TILE_Y 110072 TILEPROP NULL_X103Y114 TYPE NULL TILEPROP NULL_X103Y115 CLASS tile TILEPROP NULL_X103Y115 COLUMN 103 TILEPROP NULL_X103Y115 DEVICE_ID 0 TILEPROP NULL_X103Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X103Y115 GRID_POINT_X 103 TILEPROP NULL_X103Y115 GRID_POINT_Y 41 TILEPROP NULL_X103Y115 INDEX 4818 TILEPROP NULL_X103Y115 INT_TILE_X -1 TILEPROP NULL_X103Y115 INT_TILE_Y -1 TILEPROP NULL_X103Y115 IS_CENTER_TILE 0 TILEPROP NULL_X103Y115 IS_DCM_TILE 0 TILEPROP NULL_X103Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y115 NAME NULL_X103Y115 TILEPROP NULL_X103Y115 NUM_ARCS 0 TILEPROP NULL_X103Y115 NUM_SITES 0 TILEPROP NULL_X103Y115 ROW 41 TILEPROP NULL_X103Y115 SLR_REGION_ID 0 TILEPROP NULL_X103Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y115 TILE_TYPE NULL TILEPROP NULL_X103Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y115 TILE_X 89422 TILEPROP NULL_X103Y115 TILE_Y 113272 TILEPROP NULL_X103Y115 TYPE NULL TILEPROP NULL_X103Y116 CLASS tile TILEPROP NULL_X103Y116 COLUMN 103 TILEPROP NULL_X103Y116 DEVICE_ID 0 TILEPROP NULL_X103Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X103Y116 GRID_POINT_X 103 TILEPROP NULL_X103Y116 GRID_POINT_Y 40 TILEPROP NULL_X103Y116 INDEX 4703 TILEPROP NULL_X103Y116 INT_TILE_X -1 TILEPROP NULL_X103Y116 INT_TILE_Y -1 TILEPROP NULL_X103Y116 IS_CENTER_TILE 0 TILEPROP NULL_X103Y116 IS_DCM_TILE 0 TILEPROP NULL_X103Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y116 NAME NULL_X103Y116 TILEPROP NULL_X103Y116 NUM_ARCS 0 TILEPROP NULL_X103Y116 NUM_SITES 0 TILEPROP NULL_X103Y116 ROW 40 TILEPROP NULL_X103Y116 SLR_REGION_ID 0 TILEPROP NULL_X103Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y116 TILE_TYPE NULL TILEPROP NULL_X103Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y116 TILE_X 89422 TILEPROP NULL_X103Y116 TILE_Y 116472 TILEPROP NULL_X103Y116 TYPE NULL TILEPROP NULL_X103Y117 CLASS tile TILEPROP NULL_X103Y117 COLUMN 103 TILEPROP NULL_X103Y117 DEVICE_ID 0 TILEPROP NULL_X103Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X103Y117 GRID_POINT_X 103 TILEPROP NULL_X103Y117 GRID_POINT_Y 39 TILEPROP NULL_X103Y117 INDEX 4588 TILEPROP NULL_X103Y117 INT_TILE_X -1 TILEPROP NULL_X103Y117 INT_TILE_Y -1 TILEPROP NULL_X103Y117 IS_CENTER_TILE 0 TILEPROP NULL_X103Y117 IS_DCM_TILE 0 TILEPROP NULL_X103Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y117 NAME NULL_X103Y117 TILEPROP NULL_X103Y117 NUM_ARCS 0 TILEPROP NULL_X103Y117 NUM_SITES 0 TILEPROP NULL_X103Y117 ROW 39 TILEPROP NULL_X103Y117 SLR_REGION_ID 0 TILEPROP NULL_X103Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y117 TILE_TYPE NULL TILEPROP NULL_X103Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y117 TILE_X 89422 TILEPROP NULL_X103Y117 TILE_Y 119672 TILEPROP NULL_X103Y117 TYPE NULL TILEPROP NULL_X103Y118 CLASS tile TILEPROP NULL_X103Y118 COLUMN 103 TILEPROP NULL_X103Y118 DEVICE_ID 0 TILEPROP NULL_X103Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X103Y118 GRID_POINT_X 103 TILEPROP NULL_X103Y118 GRID_POINT_Y 38 TILEPROP NULL_X103Y118 INDEX 4473 TILEPROP NULL_X103Y118 INT_TILE_X -1 TILEPROP NULL_X103Y118 INT_TILE_Y -1 TILEPROP NULL_X103Y118 IS_CENTER_TILE 0 TILEPROP NULL_X103Y118 IS_DCM_TILE 0 TILEPROP NULL_X103Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y118 NAME NULL_X103Y118 TILEPROP NULL_X103Y118 NUM_ARCS 0 TILEPROP NULL_X103Y118 NUM_SITES 0 TILEPROP NULL_X103Y118 ROW 38 TILEPROP NULL_X103Y118 SLR_REGION_ID 0 TILEPROP NULL_X103Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y118 TILE_TYPE NULL TILEPROP NULL_X103Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y118 TILE_X 89422 TILEPROP NULL_X103Y118 TILE_Y 122872 TILEPROP NULL_X103Y118 TYPE NULL TILEPROP NULL_X103Y119 CLASS tile TILEPROP NULL_X103Y119 COLUMN 103 TILEPROP NULL_X103Y119 DEVICE_ID 0 TILEPROP NULL_X103Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X103Y119 GRID_POINT_X 103 TILEPROP NULL_X103Y119 GRID_POINT_Y 37 TILEPROP NULL_X103Y119 INDEX 4358 TILEPROP NULL_X103Y119 INT_TILE_X -1 TILEPROP NULL_X103Y119 INT_TILE_Y -1 TILEPROP NULL_X103Y119 IS_CENTER_TILE 0 TILEPROP NULL_X103Y119 IS_DCM_TILE 0 TILEPROP NULL_X103Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y119 NAME NULL_X103Y119 TILEPROP NULL_X103Y119 NUM_ARCS 0 TILEPROP NULL_X103Y119 NUM_SITES 0 TILEPROP NULL_X103Y119 ROW 37 TILEPROP NULL_X103Y119 SLR_REGION_ID 0 TILEPROP NULL_X103Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y119 TILE_TYPE NULL TILEPROP NULL_X103Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y119 TILE_X 89422 TILEPROP NULL_X103Y119 TILE_Y 126072 TILEPROP NULL_X103Y119 TYPE NULL TILEPROP NULL_X103Y120 CLASS tile TILEPROP NULL_X103Y120 COLUMN 103 TILEPROP NULL_X103Y120 DEVICE_ID 0 TILEPROP NULL_X103Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X103Y120 GRID_POINT_X 103 TILEPROP NULL_X103Y120 GRID_POINT_Y 36 TILEPROP NULL_X103Y120 INDEX 4243 TILEPROP NULL_X103Y120 INT_TILE_X -1 TILEPROP NULL_X103Y120 INT_TILE_Y -1 TILEPROP NULL_X103Y120 IS_CENTER_TILE 0 TILEPROP NULL_X103Y120 IS_DCM_TILE 0 TILEPROP NULL_X103Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y120 NAME NULL_X103Y120 TILEPROP NULL_X103Y120 NUM_ARCS 0 TILEPROP NULL_X103Y120 NUM_SITES 0 TILEPROP NULL_X103Y120 ROW 36 TILEPROP NULL_X103Y120 SLR_REGION_ID 0 TILEPROP NULL_X103Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y120 TILE_TYPE NULL TILEPROP NULL_X103Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y120 TILE_X 89422 TILEPROP NULL_X103Y120 TILE_Y 129272 TILEPROP NULL_X103Y120 TYPE NULL TILEPROP NULL_X103Y121 CLASS tile TILEPROP NULL_X103Y121 COLUMN 103 TILEPROP NULL_X103Y121 DEVICE_ID 0 TILEPROP NULL_X103Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X103Y121 GRID_POINT_X 103 TILEPROP NULL_X103Y121 GRID_POINT_Y 35 TILEPROP NULL_X103Y121 INDEX 4128 TILEPROP NULL_X103Y121 INT_TILE_X -1 TILEPROP NULL_X103Y121 INT_TILE_Y -1 TILEPROP NULL_X103Y121 IS_CENTER_TILE 0 TILEPROP NULL_X103Y121 IS_DCM_TILE 0 TILEPROP NULL_X103Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y121 NAME NULL_X103Y121 TILEPROP NULL_X103Y121 NUM_ARCS 0 TILEPROP NULL_X103Y121 NUM_SITES 0 TILEPROP NULL_X103Y121 ROW 35 TILEPROP NULL_X103Y121 SLR_REGION_ID 0 TILEPROP NULL_X103Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y121 TILE_TYPE NULL TILEPROP NULL_X103Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y121 TILE_X 89422 TILEPROP NULL_X103Y121 TILE_Y 132472 TILEPROP NULL_X103Y121 TYPE NULL TILEPROP NULL_X103Y122 CLASS tile TILEPROP NULL_X103Y122 COLUMN 103 TILEPROP NULL_X103Y122 DEVICE_ID 0 TILEPROP NULL_X103Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X103Y122 GRID_POINT_X 103 TILEPROP NULL_X103Y122 GRID_POINT_Y 34 TILEPROP NULL_X103Y122 INDEX 4013 TILEPROP NULL_X103Y122 INT_TILE_X -1 TILEPROP NULL_X103Y122 INT_TILE_Y -1 TILEPROP NULL_X103Y122 IS_CENTER_TILE 0 TILEPROP NULL_X103Y122 IS_DCM_TILE 0 TILEPROP NULL_X103Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y122 NAME NULL_X103Y122 TILEPROP NULL_X103Y122 NUM_ARCS 0 TILEPROP NULL_X103Y122 NUM_SITES 0 TILEPROP NULL_X103Y122 ROW 34 TILEPROP NULL_X103Y122 SLR_REGION_ID 0 TILEPROP NULL_X103Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y122 TILE_TYPE NULL TILEPROP NULL_X103Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y122 TILE_X 89422 TILEPROP NULL_X103Y122 TILE_Y 135672 TILEPROP NULL_X103Y122 TYPE NULL TILEPROP NULL_X103Y123 CLASS tile TILEPROP NULL_X103Y123 COLUMN 103 TILEPROP NULL_X103Y123 DEVICE_ID 0 TILEPROP NULL_X103Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X103Y123 GRID_POINT_X 103 TILEPROP NULL_X103Y123 GRID_POINT_Y 33 TILEPROP NULL_X103Y123 INDEX 3898 TILEPROP NULL_X103Y123 INT_TILE_X -1 TILEPROP NULL_X103Y123 INT_TILE_Y -1 TILEPROP NULL_X103Y123 IS_CENTER_TILE 0 TILEPROP NULL_X103Y123 IS_DCM_TILE 0 TILEPROP NULL_X103Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y123 NAME NULL_X103Y123 TILEPROP NULL_X103Y123 NUM_ARCS 0 TILEPROP NULL_X103Y123 NUM_SITES 0 TILEPROP NULL_X103Y123 ROW 33 TILEPROP NULL_X103Y123 SLR_REGION_ID 0 TILEPROP NULL_X103Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y123 TILE_TYPE NULL TILEPROP NULL_X103Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y123 TILE_X 89422 TILEPROP NULL_X103Y123 TILE_Y 138872 TILEPROP NULL_X103Y123 TYPE NULL TILEPROP NULL_X103Y124 CLASS tile TILEPROP NULL_X103Y124 COLUMN 103 TILEPROP NULL_X103Y124 DEVICE_ID 0 TILEPROP NULL_X103Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X103Y124 GRID_POINT_X 103 TILEPROP NULL_X103Y124 GRID_POINT_Y 32 TILEPROP NULL_X103Y124 INDEX 3783 TILEPROP NULL_X103Y124 INT_TILE_X -1 TILEPROP NULL_X103Y124 INT_TILE_Y -1 TILEPROP NULL_X103Y124 IS_CENTER_TILE 0 TILEPROP NULL_X103Y124 IS_DCM_TILE 0 TILEPROP NULL_X103Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y124 NAME NULL_X103Y124 TILEPROP NULL_X103Y124 NUM_ARCS 0 TILEPROP NULL_X103Y124 NUM_SITES 0 TILEPROP NULL_X103Y124 ROW 32 TILEPROP NULL_X103Y124 SLR_REGION_ID 0 TILEPROP NULL_X103Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y124 TILE_TYPE NULL TILEPROP NULL_X103Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y124 TILE_X 89422 TILEPROP NULL_X103Y124 TILE_Y 142072 TILEPROP NULL_X103Y124 TYPE NULL TILEPROP NULL_X103Y125 CLASS tile TILEPROP NULL_X103Y125 COLUMN 103 TILEPROP NULL_X103Y125 DEVICE_ID 0 TILEPROP NULL_X103Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X103Y125 GRID_POINT_X 103 TILEPROP NULL_X103Y125 GRID_POINT_Y 31 TILEPROP NULL_X103Y125 INDEX 3668 TILEPROP NULL_X103Y125 INT_TILE_X -1 TILEPROP NULL_X103Y125 INT_TILE_Y -1 TILEPROP NULL_X103Y125 IS_CENTER_TILE 0 TILEPROP NULL_X103Y125 IS_DCM_TILE 0 TILEPROP NULL_X103Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y125 NAME NULL_X103Y125 TILEPROP NULL_X103Y125 NUM_ARCS 0 TILEPROP NULL_X103Y125 NUM_SITES 0 TILEPROP NULL_X103Y125 ROW 31 TILEPROP NULL_X103Y125 SLR_REGION_ID 0 TILEPROP NULL_X103Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y125 TILE_TYPE NULL TILEPROP NULL_X103Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y125 TILE_X 89422 TILEPROP NULL_X103Y125 TILE_Y 145272 TILEPROP NULL_X103Y125 TYPE NULL TILEPROP NULL_X103Y126 CLASS tile TILEPROP NULL_X103Y126 COLUMN 103 TILEPROP NULL_X103Y126 DEVICE_ID 0 TILEPROP NULL_X103Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X103Y126 GRID_POINT_X 103 TILEPROP NULL_X103Y126 GRID_POINT_Y 30 TILEPROP NULL_X103Y126 INDEX 3553 TILEPROP NULL_X103Y126 INT_TILE_X -1 TILEPROP NULL_X103Y126 INT_TILE_Y -1 TILEPROP NULL_X103Y126 IS_CENTER_TILE 0 TILEPROP NULL_X103Y126 IS_DCM_TILE 0 TILEPROP NULL_X103Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y126 NAME NULL_X103Y126 TILEPROP NULL_X103Y126 NUM_ARCS 0 TILEPROP NULL_X103Y126 NUM_SITES 0 TILEPROP NULL_X103Y126 ROW 30 TILEPROP NULL_X103Y126 SLR_REGION_ID 0 TILEPROP NULL_X103Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y126 TILE_TYPE NULL TILEPROP NULL_X103Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y126 TILE_X 89422 TILEPROP NULL_X103Y126 TILE_Y 148472 TILEPROP NULL_X103Y126 TYPE NULL TILEPROP NULL_X103Y127 CLASS tile TILEPROP NULL_X103Y127 COLUMN 103 TILEPROP NULL_X103Y127 DEVICE_ID 0 TILEPROP NULL_X103Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X103Y127 GRID_POINT_X 103 TILEPROP NULL_X103Y127 GRID_POINT_Y 29 TILEPROP NULL_X103Y127 INDEX 3438 TILEPROP NULL_X103Y127 INT_TILE_X -1 TILEPROP NULL_X103Y127 INT_TILE_Y -1 TILEPROP NULL_X103Y127 IS_CENTER_TILE 0 TILEPROP NULL_X103Y127 IS_DCM_TILE 0 TILEPROP NULL_X103Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y127 NAME NULL_X103Y127 TILEPROP NULL_X103Y127 NUM_ARCS 0 TILEPROP NULL_X103Y127 NUM_SITES 0 TILEPROP NULL_X103Y127 ROW 29 TILEPROP NULL_X103Y127 SLR_REGION_ID 0 TILEPROP NULL_X103Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y127 TILE_TYPE NULL TILEPROP NULL_X103Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y127 TILE_X 89422 TILEPROP NULL_X103Y127 TILE_Y 151672 TILEPROP NULL_X103Y127 TYPE NULL TILEPROP NULL_X103Y128 CLASS tile TILEPROP NULL_X103Y128 COLUMN 103 TILEPROP NULL_X103Y128 DEVICE_ID 0 TILEPROP NULL_X103Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X103Y128 GRID_POINT_X 103 TILEPROP NULL_X103Y128 GRID_POINT_Y 28 TILEPROP NULL_X103Y128 INDEX 3323 TILEPROP NULL_X103Y128 INT_TILE_X -1 TILEPROP NULL_X103Y128 INT_TILE_Y -1 TILEPROP NULL_X103Y128 IS_CENTER_TILE 0 TILEPROP NULL_X103Y128 IS_DCM_TILE 0 TILEPROP NULL_X103Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y128 NAME NULL_X103Y128 TILEPROP NULL_X103Y128 NUM_ARCS 0 TILEPROP NULL_X103Y128 NUM_SITES 0 TILEPROP NULL_X103Y128 ROW 28 TILEPROP NULL_X103Y128 SLR_REGION_ID 0 TILEPROP NULL_X103Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y128 TILE_TYPE NULL TILEPROP NULL_X103Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y128 TILE_X 89422 TILEPROP NULL_X103Y128 TILE_Y 154872 TILEPROP NULL_X103Y128 TYPE NULL TILEPROP NULL_X103Y129 CLASS tile TILEPROP NULL_X103Y129 COLUMN 103 TILEPROP NULL_X103Y129 DEVICE_ID 0 TILEPROP NULL_X103Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X103Y129 GRID_POINT_X 103 TILEPROP NULL_X103Y129 GRID_POINT_Y 27 TILEPROP NULL_X103Y129 INDEX 3208 TILEPROP NULL_X103Y129 INT_TILE_X -1 TILEPROP NULL_X103Y129 INT_TILE_Y -1 TILEPROP NULL_X103Y129 IS_CENTER_TILE 0 TILEPROP NULL_X103Y129 IS_DCM_TILE 0 TILEPROP NULL_X103Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y129 NAME NULL_X103Y129 TILEPROP NULL_X103Y129 NUM_ARCS 0 TILEPROP NULL_X103Y129 NUM_SITES 0 TILEPROP NULL_X103Y129 ROW 27 TILEPROP NULL_X103Y129 SLR_REGION_ID 0 TILEPROP NULL_X103Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y129 TILE_TYPE NULL TILEPROP NULL_X103Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y129 TILE_X 89422 TILEPROP NULL_X103Y129 TILE_Y 158072 TILEPROP NULL_X103Y129 TYPE NULL TILEPROP NULL_X103Y130 CLASS tile TILEPROP NULL_X103Y130 COLUMN 103 TILEPROP NULL_X103Y130 DEVICE_ID 0 TILEPROP NULL_X103Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X103Y130 GRID_POINT_X 103 TILEPROP NULL_X103Y130 GRID_POINT_Y 26 TILEPROP NULL_X103Y130 INDEX 3093 TILEPROP NULL_X103Y130 INT_TILE_X -1 TILEPROP NULL_X103Y130 INT_TILE_Y -1 TILEPROP NULL_X103Y130 IS_CENTER_TILE 0 TILEPROP NULL_X103Y130 IS_DCM_TILE 0 TILEPROP NULL_X103Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y130 NAME NULL_X103Y130 TILEPROP NULL_X103Y130 NUM_ARCS 0 TILEPROP NULL_X103Y130 NUM_SITES 0 TILEPROP NULL_X103Y130 ROW 26 TILEPROP NULL_X103Y130 SLR_REGION_ID 0 TILEPROP NULL_X103Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y130 TILE_TYPE NULL TILEPROP NULL_X103Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y130 TILE_X 89422 TILEPROP NULL_X103Y130 TILE_Y 161272 TILEPROP NULL_X103Y130 TYPE NULL TILEPROP NULL_X103Y131 CLASS tile TILEPROP NULL_X103Y131 COLUMN 103 TILEPROP NULL_X103Y131 DEVICE_ID 0 TILEPROP NULL_X103Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X103Y131 GRID_POINT_X 103 TILEPROP NULL_X103Y131 GRID_POINT_Y 25 TILEPROP NULL_X103Y131 INDEX 2978 TILEPROP NULL_X103Y131 INT_TILE_X -1 TILEPROP NULL_X103Y131 INT_TILE_Y -1 TILEPROP NULL_X103Y131 IS_CENTER_TILE 0 TILEPROP NULL_X103Y131 IS_DCM_TILE 0 TILEPROP NULL_X103Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y131 NAME NULL_X103Y131 TILEPROP NULL_X103Y131 NUM_ARCS 0 TILEPROP NULL_X103Y131 NUM_SITES 0 TILEPROP NULL_X103Y131 ROW 25 TILEPROP NULL_X103Y131 SLR_REGION_ID 0 TILEPROP NULL_X103Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y131 TILE_TYPE NULL TILEPROP NULL_X103Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y131 TILE_X 89422 TILEPROP NULL_X103Y131 TILE_Y 162296 TILEPROP NULL_X103Y131 TYPE NULL TILEPROP NULL_X103Y132 CLASS tile TILEPROP NULL_X103Y132 COLUMN 103 TILEPROP NULL_X103Y132 DEVICE_ID 0 TILEPROP NULL_X103Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X103Y132 GRID_POINT_X 103 TILEPROP NULL_X103Y132 GRID_POINT_Y 24 TILEPROP NULL_X103Y132 INDEX 2863 TILEPROP NULL_X103Y132 INT_TILE_X -1 TILEPROP NULL_X103Y132 INT_TILE_Y -1 TILEPROP NULL_X103Y132 IS_CENTER_TILE 0 TILEPROP NULL_X103Y132 IS_DCM_TILE 0 TILEPROP NULL_X103Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y132 NAME NULL_X103Y132 TILEPROP NULL_X103Y132 NUM_ARCS 0 TILEPROP NULL_X103Y132 NUM_SITES 0 TILEPROP NULL_X103Y132 ROW 24 TILEPROP NULL_X103Y132 SLR_REGION_ID 0 TILEPROP NULL_X103Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y132 TILE_TYPE NULL TILEPROP NULL_X103Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y132 TILE_X 89422 TILEPROP NULL_X103Y132 TILE_Y 165496 TILEPROP NULL_X103Y132 TYPE NULL TILEPROP NULL_X103Y133 CLASS tile TILEPROP NULL_X103Y133 COLUMN 103 TILEPROP NULL_X103Y133 DEVICE_ID 0 TILEPROP NULL_X103Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X103Y133 GRID_POINT_X 103 TILEPROP NULL_X103Y133 GRID_POINT_Y 23 TILEPROP NULL_X103Y133 INDEX 2748 TILEPROP NULL_X103Y133 INT_TILE_X -1 TILEPROP NULL_X103Y133 INT_TILE_Y -1 TILEPROP NULL_X103Y133 IS_CENTER_TILE 0 TILEPROP NULL_X103Y133 IS_DCM_TILE 0 TILEPROP NULL_X103Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y133 NAME NULL_X103Y133 TILEPROP NULL_X103Y133 NUM_ARCS 0 TILEPROP NULL_X103Y133 NUM_SITES 0 TILEPROP NULL_X103Y133 ROW 23 TILEPROP NULL_X103Y133 SLR_REGION_ID 0 TILEPROP NULL_X103Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y133 TILE_TYPE NULL TILEPROP NULL_X103Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y133 TILE_X 89422 TILEPROP NULL_X103Y133 TILE_Y 168696 TILEPROP NULL_X103Y133 TYPE NULL TILEPROP NULL_X103Y134 CLASS tile TILEPROP NULL_X103Y134 COLUMN 103 TILEPROP NULL_X103Y134 DEVICE_ID 0 TILEPROP NULL_X103Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X103Y134 GRID_POINT_X 103 TILEPROP NULL_X103Y134 GRID_POINT_Y 22 TILEPROP NULL_X103Y134 INDEX 2633 TILEPROP NULL_X103Y134 INT_TILE_X -1 TILEPROP NULL_X103Y134 INT_TILE_Y -1 TILEPROP NULL_X103Y134 IS_CENTER_TILE 0 TILEPROP NULL_X103Y134 IS_DCM_TILE 0 TILEPROP NULL_X103Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y134 NAME NULL_X103Y134 TILEPROP NULL_X103Y134 NUM_ARCS 0 TILEPROP NULL_X103Y134 NUM_SITES 0 TILEPROP NULL_X103Y134 ROW 22 TILEPROP NULL_X103Y134 SLR_REGION_ID 0 TILEPROP NULL_X103Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y134 TILE_TYPE NULL TILEPROP NULL_X103Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y134 TILE_X 89422 TILEPROP NULL_X103Y134 TILE_Y 171896 TILEPROP NULL_X103Y134 TYPE NULL TILEPROP NULL_X103Y135 CLASS tile TILEPROP NULL_X103Y135 COLUMN 103 TILEPROP NULL_X103Y135 DEVICE_ID 0 TILEPROP NULL_X103Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X103Y135 GRID_POINT_X 103 TILEPROP NULL_X103Y135 GRID_POINT_Y 21 TILEPROP NULL_X103Y135 INDEX 2518 TILEPROP NULL_X103Y135 INT_TILE_X -1 TILEPROP NULL_X103Y135 INT_TILE_Y -1 TILEPROP NULL_X103Y135 IS_CENTER_TILE 0 TILEPROP NULL_X103Y135 IS_DCM_TILE 0 TILEPROP NULL_X103Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y135 NAME NULL_X103Y135 TILEPROP NULL_X103Y135 NUM_ARCS 0 TILEPROP NULL_X103Y135 NUM_SITES 0 TILEPROP NULL_X103Y135 ROW 21 TILEPROP NULL_X103Y135 SLR_REGION_ID 0 TILEPROP NULL_X103Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y135 TILE_TYPE NULL TILEPROP NULL_X103Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y135 TILE_X 89422 TILEPROP NULL_X103Y135 TILE_Y 175096 TILEPROP NULL_X103Y135 TYPE NULL TILEPROP NULL_X103Y136 CLASS tile TILEPROP NULL_X103Y136 COLUMN 103 TILEPROP NULL_X103Y136 DEVICE_ID 0 TILEPROP NULL_X103Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X103Y136 GRID_POINT_X 103 TILEPROP NULL_X103Y136 GRID_POINT_Y 20 TILEPROP NULL_X103Y136 INDEX 2403 TILEPROP NULL_X103Y136 INT_TILE_X -1 TILEPROP NULL_X103Y136 INT_TILE_Y -1 TILEPROP NULL_X103Y136 IS_CENTER_TILE 0 TILEPROP NULL_X103Y136 IS_DCM_TILE 0 TILEPROP NULL_X103Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y136 NAME NULL_X103Y136 TILEPROP NULL_X103Y136 NUM_ARCS 0 TILEPROP NULL_X103Y136 NUM_SITES 0 TILEPROP NULL_X103Y136 ROW 20 TILEPROP NULL_X103Y136 SLR_REGION_ID 0 TILEPROP NULL_X103Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y136 TILE_TYPE NULL TILEPROP NULL_X103Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y136 TILE_X 89422 TILEPROP NULL_X103Y136 TILE_Y 178296 TILEPROP NULL_X103Y136 TYPE NULL TILEPROP NULL_X103Y137 CLASS tile TILEPROP NULL_X103Y137 COLUMN 103 TILEPROP NULL_X103Y137 DEVICE_ID 0 TILEPROP NULL_X103Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X103Y137 GRID_POINT_X 103 TILEPROP NULL_X103Y137 GRID_POINT_Y 19 TILEPROP NULL_X103Y137 INDEX 2288 TILEPROP NULL_X103Y137 INT_TILE_X -1 TILEPROP NULL_X103Y137 INT_TILE_Y -1 TILEPROP NULL_X103Y137 IS_CENTER_TILE 0 TILEPROP NULL_X103Y137 IS_DCM_TILE 0 TILEPROP NULL_X103Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y137 NAME NULL_X103Y137 TILEPROP NULL_X103Y137 NUM_ARCS 0 TILEPROP NULL_X103Y137 NUM_SITES 0 TILEPROP NULL_X103Y137 ROW 19 TILEPROP NULL_X103Y137 SLR_REGION_ID 0 TILEPROP NULL_X103Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y137 TILE_TYPE NULL TILEPROP NULL_X103Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y137 TILE_X 89422 TILEPROP NULL_X103Y137 TILE_Y 181496 TILEPROP NULL_X103Y137 TYPE NULL TILEPROP NULL_X103Y138 CLASS tile TILEPROP NULL_X103Y138 COLUMN 103 TILEPROP NULL_X103Y138 DEVICE_ID 0 TILEPROP NULL_X103Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X103Y138 GRID_POINT_X 103 TILEPROP NULL_X103Y138 GRID_POINT_Y 18 TILEPROP NULL_X103Y138 INDEX 2173 TILEPROP NULL_X103Y138 INT_TILE_X -1 TILEPROP NULL_X103Y138 INT_TILE_Y -1 TILEPROP NULL_X103Y138 IS_CENTER_TILE 0 TILEPROP NULL_X103Y138 IS_DCM_TILE 0 TILEPROP NULL_X103Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y138 NAME NULL_X103Y138 TILEPROP NULL_X103Y138 NUM_ARCS 0 TILEPROP NULL_X103Y138 NUM_SITES 0 TILEPROP NULL_X103Y138 ROW 18 TILEPROP NULL_X103Y138 SLR_REGION_ID 0 TILEPROP NULL_X103Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y138 TILE_TYPE NULL TILEPROP NULL_X103Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y138 TILE_X 89422 TILEPROP NULL_X103Y138 TILE_Y 184696 TILEPROP NULL_X103Y138 TYPE NULL TILEPROP NULL_X103Y139 CLASS tile TILEPROP NULL_X103Y139 COLUMN 103 TILEPROP NULL_X103Y139 DEVICE_ID 0 TILEPROP NULL_X103Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X103Y139 GRID_POINT_X 103 TILEPROP NULL_X103Y139 GRID_POINT_Y 17 TILEPROP NULL_X103Y139 INDEX 2058 TILEPROP NULL_X103Y139 INT_TILE_X -1 TILEPROP NULL_X103Y139 INT_TILE_Y -1 TILEPROP NULL_X103Y139 IS_CENTER_TILE 0 TILEPROP NULL_X103Y139 IS_DCM_TILE 0 TILEPROP NULL_X103Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y139 NAME NULL_X103Y139 TILEPROP NULL_X103Y139 NUM_ARCS 0 TILEPROP NULL_X103Y139 NUM_SITES 0 TILEPROP NULL_X103Y139 ROW 17 TILEPROP NULL_X103Y139 SLR_REGION_ID 0 TILEPROP NULL_X103Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y139 TILE_TYPE NULL TILEPROP NULL_X103Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y139 TILE_X 89422 TILEPROP NULL_X103Y139 TILE_Y 187896 TILEPROP NULL_X103Y139 TYPE NULL TILEPROP NULL_X103Y140 CLASS tile TILEPROP NULL_X103Y140 COLUMN 103 TILEPROP NULL_X103Y140 DEVICE_ID 0 TILEPROP NULL_X103Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X103Y140 GRID_POINT_X 103 TILEPROP NULL_X103Y140 GRID_POINT_Y 16 TILEPROP NULL_X103Y140 INDEX 1943 TILEPROP NULL_X103Y140 INT_TILE_X -1 TILEPROP NULL_X103Y140 INT_TILE_Y -1 TILEPROP NULL_X103Y140 IS_CENTER_TILE 0 TILEPROP NULL_X103Y140 IS_DCM_TILE 0 TILEPROP NULL_X103Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y140 NAME NULL_X103Y140 TILEPROP NULL_X103Y140 NUM_ARCS 0 TILEPROP NULL_X103Y140 NUM_SITES 0 TILEPROP NULL_X103Y140 ROW 16 TILEPROP NULL_X103Y140 SLR_REGION_ID 0 TILEPROP NULL_X103Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y140 TILE_TYPE NULL TILEPROP NULL_X103Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y140 TILE_X 89422 TILEPROP NULL_X103Y140 TILE_Y 191096 TILEPROP NULL_X103Y140 TYPE NULL TILEPROP NULL_X103Y141 CLASS tile TILEPROP NULL_X103Y141 COLUMN 103 TILEPROP NULL_X103Y141 DEVICE_ID 0 TILEPROP NULL_X103Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X103Y141 GRID_POINT_X 103 TILEPROP NULL_X103Y141 GRID_POINT_Y 15 TILEPROP NULL_X103Y141 INDEX 1828 TILEPROP NULL_X103Y141 INT_TILE_X -1 TILEPROP NULL_X103Y141 INT_TILE_Y -1 TILEPROP NULL_X103Y141 IS_CENTER_TILE 0 TILEPROP NULL_X103Y141 IS_DCM_TILE 0 TILEPROP NULL_X103Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y141 NAME NULL_X103Y141 TILEPROP NULL_X103Y141 NUM_ARCS 0 TILEPROP NULL_X103Y141 NUM_SITES 0 TILEPROP NULL_X103Y141 ROW 15 TILEPROP NULL_X103Y141 SLR_REGION_ID 0 TILEPROP NULL_X103Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y141 TILE_TYPE NULL TILEPROP NULL_X103Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y141 TILE_X 89422 TILEPROP NULL_X103Y141 TILE_Y 194296 TILEPROP NULL_X103Y141 TYPE NULL TILEPROP NULL_X103Y142 CLASS tile TILEPROP NULL_X103Y142 COLUMN 103 TILEPROP NULL_X103Y142 DEVICE_ID 0 TILEPROP NULL_X103Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X103Y142 GRID_POINT_X 103 TILEPROP NULL_X103Y142 GRID_POINT_Y 14 TILEPROP NULL_X103Y142 INDEX 1713 TILEPROP NULL_X103Y142 INT_TILE_X -1 TILEPROP NULL_X103Y142 INT_TILE_Y -1 TILEPROP NULL_X103Y142 IS_CENTER_TILE 0 TILEPROP NULL_X103Y142 IS_DCM_TILE 0 TILEPROP NULL_X103Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y142 NAME NULL_X103Y142 TILEPROP NULL_X103Y142 NUM_ARCS 0 TILEPROP NULL_X103Y142 NUM_SITES 0 TILEPROP NULL_X103Y142 ROW 14 TILEPROP NULL_X103Y142 SLR_REGION_ID 0 TILEPROP NULL_X103Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y142 TILE_TYPE NULL TILEPROP NULL_X103Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y142 TILE_X 89422 TILEPROP NULL_X103Y142 TILE_Y 197496 TILEPROP NULL_X103Y142 TYPE NULL TILEPROP NULL_X103Y143 CLASS tile TILEPROP NULL_X103Y143 COLUMN 103 TILEPROP NULL_X103Y143 DEVICE_ID 0 TILEPROP NULL_X103Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X103Y143 GRID_POINT_X 103 TILEPROP NULL_X103Y143 GRID_POINT_Y 13 TILEPROP NULL_X103Y143 INDEX 1598 TILEPROP NULL_X103Y143 INT_TILE_X -1 TILEPROP NULL_X103Y143 INT_TILE_Y -1 TILEPROP NULL_X103Y143 IS_CENTER_TILE 0 TILEPROP NULL_X103Y143 IS_DCM_TILE 0 TILEPROP NULL_X103Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y143 NAME NULL_X103Y143 TILEPROP NULL_X103Y143 NUM_ARCS 0 TILEPROP NULL_X103Y143 NUM_SITES 0 TILEPROP NULL_X103Y143 ROW 13 TILEPROP NULL_X103Y143 SLR_REGION_ID 0 TILEPROP NULL_X103Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y143 TILE_TYPE NULL TILEPROP NULL_X103Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y143 TILE_X 89422 TILEPROP NULL_X103Y143 TILE_Y 200696 TILEPROP NULL_X103Y143 TYPE NULL TILEPROP NULL_X103Y144 CLASS tile TILEPROP NULL_X103Y144 COLUMN 103 TILEPROP NULL_X103Y144 DEVICE_ID 0 TILEPROP NULL_X103Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X103Y144 GRID_POINT_X 103 TILEPROP NULL_X103Y144 GRID_POINT_Y 12 TILEPROP NULL_X103Y144 INDEX 1483 TILEPROP NULL_X103Y144 INT_TILE_X -1 TILEPROP NULL_X103Y144 INT_TILE_Y -1 TILEPROP NULL_X103Y144 IS_CENTER_TILE 0 TILEPROP NULL_X103Y144 IS_DCM_TILE 0 TILEPROP NULL_X103Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y144 NAME NULL_X103Y144 TILEPROP NULL_X103Y144 NUM_ARCS 0 TILEPROP NULL_X103Y144 NUM_SITES 0 TILEPROP NULL_X103Y144 ROW 12 TILEPROP NULL_X103Y144 SLR_REGION_ID 0 TILEPROP NULL_X103Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y144 TILE_TYPE NULL TILEPROP NULL_X103Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y144 TILE_X 89422 TILEPROP NULL_X103Y144 TILE_Y 203896 TILEPROP NULL_X103Y144 TYPE NULL TILEPROP NULL_X103Y145 CLASS tile TILEPROP NULL_X103Y145 COLUMN 103 TILEPROP NULL_X103Y145 DEVICE_ID 0 TILEPROP NULL_X103Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X103Y145 GRID_POINT_X 103 TILEPROP NULL_X103Y145 GRID_POINT_Y 11 TILEPROP NULL_X103Y145 INDEX 1368 TILEPROP NULL_X103Y145 INT_TILE_X -1 TILEPROP NULL_X103Y145 INT_TILE_Y -1 TILEPROP NULL_X103Y145 IS_CENTER_TILE 0 TILEPROP NULL_X103Y145 IS_DCM_TILE 0 TILEPROP NULL_X103Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y145 NAME NULL_X103Y145 TILEPROP NULL_X103Y145 NUM_ARCS 0 TILEPROP NULL_X103Y145 NUM_SITES 0 TILEPROP NULL_X103Y145 ROW 11 TILEPROP NULL_X103Y145 SLR_REGION_ID 0 TILEPROP NULL_X103Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y145 TILE_TYPE NULL TILEPROP NULL_X103Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y145 TILE_X 89422 TILEPROP NULL_X103Y145 TILE_Y 207096 TILEPROP NULL_X103Y145 TYPE NULL TILEPROP NULL_X103Y146 CLASS tile TILEPROP NULL_X103Y146 COLUMN 103 TILEPROP NULL_X103Y146 DEVICE_ID 0 TILEPROP NULL_X103Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X103Y146 GRID_POINT_X 103 TILEPROP NULL_X103Y146 GRID_POINT_Y 10 TILEPROP NULL_X103Y146 INDEX 1253 TILEPROP NULL_X103Y146 INT_TILE_X -1 TILEPROP NULL_X103Y146 INT_TILE_Y -1 TILEPROP NULL_X103Y146 IS_CENTER_TILE 0 TILEPROP NULL_X103Y146 IS_DCM_TILE 0 TILEPROP NULL_X103Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y146 NAME NULL_X103Y146 TILEPROP NULL_X103Y146 NUM_ARCS 0 TILEPROP NULL_X103Y146 NUM_SITES 0 TILEPROP NULL_X103Y146 ROW 10 TILEPROP NULL_X103Y146 SLR_REGION_ID 0 TILEPROP NULL_X103Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y146 TILE_TYPE NULL TILEPROP NULL_X103Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y146 TILE_X 89422 TILEPROP NULL_X103Y146 TILE_Y 210296 TILEPROP NULL_X103Y146 TYPE NULL TILEPROP NULL_X103Y147 CLASS tile TILEPROP NULL_X103Y147 COLUMN 103 TILEPROP NULL_X103Y147 DEVICE_ID 0 TILEPROP NULL_X103Y147 FIRST_SITE_ID 920 TILEPROP NULL_X103Y147 GRID_POINT_X 103 TILEPROP NULL_X103Y147 GRID_POINT_Y 9 TILEPROP NULL_X103Y147 INDEX 1138 TILEPROP NULL_X103Y147 INT_TILE_X -1 TILEPROP NULL_X103Y147 INT_TILE_Y -1 TILEPROP NULL_X103Y147 IS_CENTER_TILE 0 TILEPROP NULL_X103Y147 IS_DCM_TILE 0 TILEPROP NULL_X103Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y147 NAME NULL_X103Y147 TILEPROP NULL_X103Y147 NUM_ARCS 0 TILEPROP NULL_X103Y147 NUM_SITES 0 TILEPROP NULL_X103Y147 ROW 9 TILEPROP NULL_X103Y147 SLR_REGION_ID 0 TILEPROP NULL_X103Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y147 TILE_TYPE NULL TILEPROP NULL_X103Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y147 TILE_X 89422 TILEPROP NULL_X103Y147 TILE_Y 213496 TILEPROP NULL_X103Y147 TYPE NULL TILEPROP NULL_X103Y148 CLASS tile TILEPROP NULL_X103Y148 COLUMN 103 TILEPROP NULL_X103Y148 DEVICE_ID 0 TILEPROP NULL_X103Y148 FIRST_SITE_ID 816 TILEPROP NULL_X103Y148 GRID_POINT_X 103 TILEPROP NULL_X103Y148 GRID_POINT_Y 8 TILEPROP NULL_X103Y148 INDEX 1023 TILEPROP NULL_X103Y148 INT_TILE_X -1 TILEPROP NULL_X103Y148 INT_TILE_Y -1 TILEPROP NULL_X103Y148 IS_CENTER_TILE 0 TILEPROP NULL_X103Y148 IS_DCM_TILE 0 TILEPROP NULL_X103Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y148 NAME NULL_X103Y148 TILEPROP NULL_X103Y148 NUM_ARCS 0 TILEPROP NULL_X103Y148 NUM_SITES 0 TILEPROP NULL_X103Y148 ROW 8 TILEPROP NULL_X103Y148 SLR_REGION_ID 0 TILEPROP NULL_X103Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y148 TILE_TYPE NULL TILEPROP NULL_X103Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y148 TILE_X 89422 TILEPROP NULL_X103Y148 TILE_Y 216696 TILEPROP NULL_X103Y148 TYPE NULL TILEPROP NULL_X103Y149 CLASS tile TILEPROP NULL_X103Y149 COLUMN 103 TILEPROP NULL_X103Y149 DEVICE_ID 0 TILEPROP NULL_X103Y149 FIRST_SITE_ID 719 TILEPROP NULL_X103Y149 GRID_POINT_X 103 TILEPROP NULL_X103Y149 GRID_POINT_Y 7 TILEPROP NULL_X103Y149 INDEX 908 TILEPROP NULL_X103Y149 INT_TILE_X -1 TILEPROP NULL_X103Y149 INT_TILE_Y -1 TILEPROP NULL_X103Y149 IS_CENTER_TILE 0 TILEPROP NULL_X103Y149 IS_DCM_TILE 0 TILEPROP NULL_X103Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y149 NAME NULL_X103Y149 TILEPROP NULL_X103Y149 NUM_ARCS 0 TILEPROP NULL_X103Y149 NUM_SITES 0 TILEPROP NULL_X103Y149 ROW 7 TILEPROP NULL_X103Y149 SLR_REGION_ID 0 TILEPROP NULL_X103Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y149 TILE_TYPE NULL TILEPROP NULL_X103Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y149 TILE_X 89422 TILEPROP NULL_X103Y149 TILE_Y 219896 TILEPROP NULL_X103Y149 TYPE NULL TILEPROP NULL_X103Y150 CLASS tile TILEPROP NULL_X103Y150 COLUMN 103 TILEPROP NULL_X103Y150 DEVICE_ID 0 TILEPROP NULL_X103Y150 FIRST_SITE_ID 613 TILEPROP NULL_X103Y150 GRID_POINT_X 103 TILEPROP NULL_X103Y150 GRID_POINT_Y 6 TILEPROP NULL_X103Y150 INDEX 793 TILEPROP NULL_X103Y150 INT_TILE_X -1 TILEPROP NULL_X103Y150 INT_TILE_Y -1 TILEPROP NULL_X103Y150 IS_CENTER_TILE 0 TILEPROP NULL_X103Y150 IS_DCM_TILE 0 TILEPROP NULL_X103Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y150 NAME NULL_X103Y150 TILEPROP NULL_X103Y150 NUM_ARCS 0 TILEPROP NULL_X103Y150 NUM_SITES 0 TILEPROP NULL_X103Y150 ROW 6 TILEPROP NULL_X103Y150 SLR_REGION_ID 0 TILEPROP NULL_X103Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y150 TILE_TYPE NULL TILEPROP NULL_X103Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y150 TILE_X 89422 TILEPROP NULL_X103Y150 TILE_Y 223096 TILEPROP NULL_X103Y150 TYPE NULL TILEPROP NULL_X103Y151 CLASS tile TILEPROP NULL_X103Y151 COLUMN 103 TILEPROP NULL_X103Y151 DEVICE_ID 0 TILEPROP NULL_X103Y151 FIRST_SITE_ID 512 TILEPROP NULL_X103Y151 GRID_POINT_X 103 TILEPROP NULL_X103Y151 GRID_POINT_Y 5 TILEPROP NULL_X103Y151 INDEX 678 TILEPROP NULL_X103Y151 INT_TILE_X -1 TILEPROP NULL_X103Y151 INT_TILE_Y -1 TILEPROP NULL_X103Y151 IS_CENTER_TILE 0 TILEPROP NULL_X103Y151 IS_DCM_TILE 0 TILEPROP NULL_X103Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y151 NAME NULL_X103Y151 TILEPROP NULL_X103Y151 NUM_ARCS 0 TILEPROP NULL_X103Y151 NUM_SITES 0 TILEPROP NULL_X103Y151 ROW 5 TILEPROP NULL_X103Y151 SLR_REGION_ID 0 TILEPROP NULL_X103Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y151 TILE_TYPE NULL TILEPROP NULL_X103Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y151 TILE_X 89422 TILEPROP NULL_X103Y151 TILE_Y 226296 TILEPROP NULL_X103Y151 TYPE NULL TILEPROP NULL_X103Y152 CLASS tile TILEPROP NULL_X103Y152 COLUMN 103 TILEPROP NULL_X103Y152 DEVICE_ID 0 TILEPROP NULL_X103Y152 FIRST_SITE_ID 396 TILEPROP NULL_X103Y152 GRID_POINT_X 103 TILEPROP NULL_X103Y152 GRID_POINT_Y 4 TILEPROP NULL_X103Y152 INDEX 563 TILEPROP NULL_X103Y152 INT_TILE_X -1 TILEPROP NULL_X103Y152 INT_TILE_Y -1 TILEPROP NULL_X103Y152 IS_CENTER_TILE 0 TILEPROP NULL_X103Y152 IS_DCM_TILE 0 TILEPROP NULL_X103Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y152 NAME NULL_X103Y152 TILEPROP NULL_X103Y152 NUM_ARCS 0 TILEPROP NULL_X103Y152 NUM_SITES 0 TILEPROP NULL_X103Y152 ROW 4 TILEPROP NULL_X103Y152 SLR_REGION_ID 0 TILEPROP NULL_X103Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y152 TILE_TYPE NULL TILEPROP NULL_X103Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y152 TILE_X 89422 TILEPROP NULL_X103Y152 TILE_Y 229496 TILEPROP NULL_X103Y152 TYPE NULL TILEPROP NULL_X103Y153 CLASS tile TILEPROP NULL_X103Y153 COLUMN 103 TILEPROP NULL_X103Y153 DEVICE_ID 0 TILEPROP NULL_X103Y153 FIRST_SITE_ID 300 TILEPROP NULL_X103Y153 GRID_POINT_X 103 TILEPROP NULL_X103Y153 GRID_POINT_Y 3 TILEPROP NULL_X103Y153 INDEX 448 TILEPROP NULL_X103Y153 INT_TILE_X -1 TILEPROP NULL_X103Y153 INT_TILE_Y -1 TILEPROP NULL_X103Y153 IS_CENTER_TILE 0 TILEPROP NULL_X103Y153 IS_DCM_TILE 0 TILEPROP NULL_X103Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y153 NAME NULL_X103Y153 TILEPROP NULL_X103Y153 NUM_ARCS 0 TILEPROP NULL_X103Y153 NUM_SITES 0 TILEPROP NULL_X103Y153 ROW 3 TILEPROP NULL_X103Y153 SLR_REGION_ID 0 TILEPROP NULL_X103Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y153 TILE_TYPE NULL TILEPROP NULL_X103Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y153 TILE_X 89422 TILEPROP NULL_X103Y153 TILE_Y 232696 TILEPROP NULL_X103Y153 TYPE NULL TILEPROP NULL_X103Y154 CLASS tile TILEPROP NULL_X103Y154 COLUMN 103 TILEPROP NULL_X103Y154 DEVICE_ID 0 TILEPROP NULL_X103Y154 FIRST_SITE_ID 196 TILEPROP NULL_X103Y154 GRID_POINT_X 103 TILEPROP NULL_X103Y154 GRID_POINT_Y 2 TILEPROP NULL_X103Y154 INDEX 333 TILEPROP NULL_X103Y154 INT_TILE_X -1 TILEPROP NULL_X103Y154 INT_TILE_Y -1 TILEPROP NULL_X103Y154 IS_CENTER_TILE 0 TILEPROP NULL_X103Y154 IS_DCM_TILE 0 TILEPROP NULL_X103Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y154 NAME NULL_X103Y154 TILEPROP NULL_X103Y154 NUM_ARCS 0 TILEPROP NULL_X103Y154 NUM_SITES 0 TILEPROP NULL_X103Y154 ROW 2 TILEPROP NULL_X103Y154 SLR_REGION_ID 0 TILEPROP NULL_X103Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y154 TILE_TYPE NULL TILEPROP NULL_X103Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y154 TILE_X 89422 TILEPROP NULL_X103Y154 TILE_Y 235896 TILEPROP NULL_X103Y154 TYPE NULL TILEPROP NULL_X103Y155 CLASS tile TILEPROP NULL_X103Y155 COLUMN 103 TILEPROP NULL_X103Y155 DEVICE_ID 0 TILEPROP NULL_X103Y155 FIRST_SITE_ID 100 TILEPROP NULL_X103Y155 GRID_POINT_X 103 TILEPROP NULL_X103Y155 GRID_POINT_Y 1 TILEPROP NULL_X103Y155 INDEX 218 TILEPROP NULL_X103Y155 INT_TILE_X -1 TILEPROP NULL_X103Y155 INT_TILE_Y -1 TILEPROP NULL_X103Y155 IS_CENTER_TILE 0 TILEPROP NULL_X103Y155 IS_DCM_TILE 0 TILEPROP NULL_X103Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y155 NAME NULL_X103Y155 TILEPROP NULL_X103Y155 NUM_ARCS 0 TILEPROP NULL_X103Y155 NUM_SITES 0 TILEPROP NULL_X103Y155 ROW 1 TILEPROP NULL_X103Y155 SLR_REGION_ID 0 TILEPROP NULL_X103Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y155 TILE_TYPE NULL TILEPROP NULL_X103Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y155 TILE_X 89422 TILEPROP NULL_X103Y155 TILE_Y 239096 TILEPROP NULL_X103Y155 TYPE NULL TILEPROP NULL_X103Y156 CLASS tile TILEPROP NULL_X103Y156 COLUMN 103 TILEPROP NULL_X103Y156 DEVICE_ID 0 TILEPROP NULL_X103Y156 FIRST_SITE_ID 0 TILEPROP NULL_X103Y156 GRID_POINT_X 103 TILEPROP NULL_X103Y156 GRID_POINT_Y 0 TILEPROP NULL_X103Y156 INDEX 103 TILEPROP NULL_X103Y156 INT_TILE_X -1 TILEPROP NULL_X103Y156 INT_TILE_Y -1 TILEPROP NULL_X103Y156 IS_CENTER_TILE 0 TILEPROP NULL_X103Y156 IS_DCM_TILE 0 TILEPROP NULL_X103Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X103Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X103Y156 NAME NULL_X103Y156 TILEPROP NULL_X103Y156 NUM_ARCS 0 TILEPROP NULL_X103Y156 NUM_SITES 0 TILEPROP NULL_X103Y156 ROW 0 TILEPROP NULL_X103Y156 SLR_REGION_ID 0 TILEPROP NULL_X103Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X103Y156 TILE_TYPE NULL TILEPROP NULL_X103Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X103Y156 TILE_X 89422 TILEPROP NULL_X103Y156 TILE_Y 242296 TILEPROP NULL_X103Y156 TYPE NULL TILEPROP NULL_X104Y0 CLASS tile TILEPROP NULL_X104Y0 COLUMN 104 TILEPROP NULL_X104Y0 DEVICE_ID 0 TILEPROP NULL_X104Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X104Y0 GRID_POINT_X 104 TILEPROP NULL_X104Y0 GRID_POINT_Y 156 TILEPROP NULL_X104Y0 INDEX 18044 TILEPROP NULL_X104Y0 INT_TILE_X 41 TILEPROP NULL_X104Y0 INT_TILE_Y 149 TILEPROP NULL_X104Y0 IS_CENTER_TILE 0 TILEPROP NULL_X104Y0 IS_DCM_TILE 0 TILEPROP NULL_X104Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y0 NAME NULL_X104Y0 TILEPROP NULL_X104Y0 NUM_ARCS 0 TILEPROP NULL_X104Y0 NUM_SITES 0 TILEPROP NULL_X104Y0 ROW 156 TILEPROP NULL_X104Y0 SLR_REGION_ID 0 TILEPROP NULL_X104Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y0 TILE_TYPE NULL TILEPROP NULL_X104Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y0 TILE_X 92878 TILEPROP NULL_X104Y0 TILE_Y -244472 TILEPROP NULL_X104Y0 TYPE NULL TILEPROP NULL_X104Y104 CLASS tile TILEPROP NULL_X104Y104 COLUMN 104 TILEPROP NULL_X104Y104 DEVICE_ID 0 TILEPROP NULL_X104Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X104Y104 GRID_POINT_X 104 TILEPROP NULL_X104Y104 GRID_POINT_Y 52 TILEPROP NULL_X104Y104 INDEX 6084 TILEPROP NULL_X104Y104 INT_TILE_X -1 TILEPROP NULL_X104Y104 INT_TILE_Y -1 TILEPROP NULL_X104Y104 IS_CENTER_TILE 0 TILEPROP NULL_X104Y104 IS_DCM_TILE 0 TILEPROP NULL_X104Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y104 NAME NULL_X104Y104 TILEPROP NULL_X104Y104 NUM_ARCS 0 TILEPROP NULL_X104Y104 NUM_SITES 0 TILEPROP NULL_X104Y104 ROW 52 TILEPROP NULL_X104Y104 SLR_REGION_ID 0 TILEPROP NULL_X104Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y104 TILE_TYPE NULL TILEPROP NULL_X104Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y104 TILE_X 92878 TILEPROP NULL_X104Y104 TILE_Y 81024 TILEPROP NULL_X104Y104 TYPE NULL TILEPROP NULL_X104Y105 CLASS tile TILEPROP NULL_X104Y105 COLUMN 104 TILEPROP NULL_X104Y105 DEVICE_ID 0 TILEPROP NULL_X104Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X104Y105 GRID_POINT_X 104 TILEPROP NULL_X104Y105 GRID_POINT_Y 51 TILEPROP NULL_X104Y105 INDEX 5969 TILEPROP NULL_X104Y105 INT_TILE_X -1 TILEPROP NULL_X104Y105 INT_TILE_Y -1 TILEPROP NULL_X104Y105 IS_CENTER_TILE 0 TILEPROP NULL_X104Y105 IS_DCM_TILE 0 TILEPROP NULL_X104Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y105 NAME NULL_X104Y105 TILEPROP NULL_X104Y105 NUM_ARCS 0 TILEPROP NULL_X104Y105 NUM_SITES 0 TILEPROP NULL_X104Y105 ROW 51 TILEPROP NULL_X104Y105 SLR_REGION_ID 0 TILEPROP NULL_X104Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y105 TILE_TYPE NULL TILEPROP NULL_X104Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y105 TILE_X 92878 TILEPROP NULL_X104Y105 TILE_Y 81272 TILEPROP NULL_X104Y105 TYPE NULL TILEPROP NULL_X104Y106 CLASS tile TILEPROP NULL_X104Y106 COLUMN 104 TILEPROP NULL_X104Y106 DEVICE_ID 0 TILEPROP NULL_X104Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X104Y106 GRID_POINT_X 104 TILEPROP NULL_X104Y106 GRID_POINT_Y 50 TILEPROP NULL_X104Y106 INDEX 5854 TILEPROP NULL_X104Y106 INT_TILE_X -1 TILEPROP NULL_X104Y106 INT_TILE_Y -1 TILEPROP NULL_X104Y106 IS_CENTER_TILE 0 TILEPROP NULL_X104Y106 IS_DCM_TILE 0 TILEPROP NULL_X104Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y106 NAME NULL_X104Y106 TILEPROP NULL_X104Y106 NUM_ARCS 0 TILEPROP NULL_X104Y106 NUM_SITES 0 TILEPROP NULL_X104Y106 ROW 50 TILEPROP NULL_X104Y106 SLR_REGION_ID 0 TILEPROP NULL_X104Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y106 TILE_TYPE NULL TILEPROP NULL_X104Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y106 TILE_X 92878 TILEPROP NULL_X104Y106 TILE_Y 84472 TILEPROP NULL_X104Y106 TYPE NULL TILEPROP NULL_X104Y107 CLASS tile TILEPROP NULL_X104Y107 COLUMN 104 TILEPROP NULL_X104Y107 DEVICE_ID 0 TILEPROP NULL_X104Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X104Y107 GRID_POINT_X 104 TILEPROP NULL_X104Y107 GRID_POINT_Y 49 TILEPROP NULL_X104Y107 INDEX 5739 TILEPROP NULL_X104Y107 INT_TILE_X -1 TILEPROP NULL_X104Y107 INT_TILE_Y -1 TILEPROP NULL_X104Y107 IS_CENTER_TILE 0 TILEPROP NULL_X104Y107 IS_DCM_TILE 0 TILEPROP NULL_X104Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y107 NAME NULL_X104Y107 TILEPROP NULL_X104Y107 NUM_ARCS 0 TILEPROP NULL_X104Y107 NUM_SITES 0 TILEPROP NULL_X104Y107 ROW 49 TILEPROP NULL_X104Y107 SLR_REGION_ID 0 TILEPROP NULL_X104Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y107 TILE_TYPE NULL TILEPROP NULL_X104Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y107 TILE_X 92878 TILEPROP NULL_X104Y107 TILE_Y 87672 TILEPROP NULL_X104Y107 TYPE NULL TILEPROP NULL_X104Y108 CLASS tile TILEPROP NULL_X104Y108 COLUMN 104 TILEPROP NULL_X104Y108 DEVICE_ID 0 TILEPROP NULL_X104Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X104Y108 GRID_POINT_X 104 TILEPROP NULL_X104Y108 GRID_POINT_Y 48 TILEPROP NULL_X104Y108 INDEX 5624 TILEPROP NULL_X104Y108 INT_TILE_X -1 TILEPROP NULL_X104Y108 INT_TILE_Y -1 TILEPROP NULL_X104Y108 IS_CENTER_TILE 0 TILEPROP NULL_X104Y108 IS_DCM_TILE 0 TILEPROP NULL_X104Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y108 NAME NULL_X104Y108 TILEPROP NULL_X104Y108 NUM_ARCS 0 TILEPROP NULL_X104Y108 NUM_SITES 0 TILEPROP NULL_X104Y108 ROW 48 TILEPROP NULL_X104Y108 SLR_REGION_ID 0 TILEPROP NULL_X104Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y108 TILE_TYPE NULL TILEPROP NULL_X104Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y108 TILE_X 92878 TILEPROP NULL_X104Y108 TILE_Y 90872 TILEPROP NULL_X104Y108 TYPE NULL TILEPROP NULL_X104Y109 CLASS tile TILEPROP NULL_X104Y109 COLUMN 104 TILEPROP NULL_X104Y109 DEVICE_ID 0 TILEPROP NULL_X104Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X104Y109 GRID_POINT_X 104 TILEPROP NULL_X104Y109 GRID_POINT_Y 47 TILEPROP NULL_X104Y109 INDEX 5509 TILEPROP NULL_X104Y109 INT_TILE_X -1 TILEPROP NULL_X104Y109 INT_TILE_Y -1 TILEPROP NULL_X104Y109 IS_CENTER_TILE 0 TILEPROP NULL_X104Y109 IS_DCM_TILE 0 TILEPROP NULL_X104Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y109 NAME NULL_X104Y109 TILEPROP NULL_X104Y109 NUM_ARCS 0 TILEPROP NULL_X104Y109 NUM_SITES 0 TILEPROP NULL_X104Y109 ROW 47 TILEPROP NULL_X104Y109 SLR_REGION_ID 0 TILEPROP NULL_X104Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y109 TILE_TYPE NULL TILEPROP NULL_X104Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y109 TILE_X 92878 TILEPROP NULL_X104Y109 TILE_Y 94072 TILEPROP NULL_X104Y109 TYPE NULL TILEPROP NULL_X104Y110 CLASS tile TILEPROP NULL_X104Y110 COLUMN 104 TILEPROP NULL_X104Y110 DEVICE_ID 0 TILEPROP NULL_X104Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X104Y110 GRID_POINT_X 104 TILEPROP NULL_X104Y110 GRID_POINT_Y 46 TILEPROP NULL_X104Y110 INDEX 5394 TILEPROP NULL_X104Y110 INT_TILE_X -1 TILEPROP NULL_X104Y110 INT_TILE_Y -1 TILEPROP NULL_X104Y110 IS_CENTER_TILE 0 TILEPROP NULL_X104Y110 IS_DCM_TILE 0 TILEPROP NULL_X104Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y110 NAME NULL_X104Y110 TILEPROP NULL_X104Y110 NUM_ARCS 0 TILEPROP NULL_X104Y110 NUM_SITES 0 TILEPROP NULL_X104Y110 ROW 46 TILEPROP NULL_X104Y110 SLR_REGION_ID 0 TILEPROP NULL_X104Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y110 TILE_TYPE NULL TILEPROP NULL_X104Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y110 TILE_X 92878 TILEPROP NULL_X104Y110 TILE_Y 97272 TILEPROP NULL_X104Y110 TYPE NULL TILEPROP NULL_X104Y111 CLASS tile TILEPROP NULL_X104Y111 COLUMN 104 TILEPROP NULL_X104Y111 DEVICE_ID 0 TILEPROP NULL_X104Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X104Y111 GRID_POINT_X 104 TILEPROP NULL_X104Y111 GRID_POINT_Y 45 TILEPROP NULL_X104Y111 INDEX 5279 TILEPROP NULL_X104Y111 INT_TILE_X -1 TILEPROP NULL_X104Y111 INT_TILE_Y -1 TILEPROP NULL_X104Y111 IS_CENTER_TILE 0 TILEPROP NULL_X104Y111 IS_DCM_TILE 0 TILEPROP NULL_X104Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y111 NAME NULL_X104Y111 TILEPROP NULL_X104Y111 NUM_ARCS 0 TILEPROP NULL_X104Y111 NUM_SITES 0 TILEPROP NULL_X104Y111 ROW 45 TILEPROP NULL_X104Y111 SLR_REGION_ID 0 TILEPROP NULL_X104Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y111 TILE_TYPE NULL TILEPROP NULL_X104Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y111 TILE_X 92878 TILEPROP NULL_X104Y111 TILE_Y 100472 TILEPROP NULL_X104Y111 TYPE NULL TILEPROP NULL_X104Y112 CLASS tile TILEPROP NULL_X104Y112 COLUMN 104 TILEPROP NULL_X104Y112 DEVICE_ID 0 TILEPROP NULL_X104Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X104Y112 GRID_POINT_X 104 TILEPROP NULL_X104Y112 GRID_POINT_Y 44 TILEPROP NULL_X104Y112 INDEX 5164 TILEPROP NULL_X104Y112 INT_TILE_X -1 TILEPROP NULL_X104Y112 INT_TILE_Y -1 TILEPROP NULL_X104Y112 IS_CENTER_TILE 0 TILEPROP NULL_X104Y112 IS_DCM_TILE 0 TILEPROP NULL_X104Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y112 NAME NULL_X104Y112 TILEPROP NULL_X104Y112 NUM_ARCS 0 TILEPROP NULL_X104Y112 NUM_SITES 0 TILEPROP NULL_X104Y112 ROW 44 TILEPROP NULL_X104Y112 SLR_REGION_ID 0 TILEPROP NULL_X104Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y112 TILE_TYPE NULL TILEPROP NULL_X104Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y112 TILE_X 92878 TILEPROP NULL_X104Y112 TILE_Y 103672 TILEPROP NULL_X104Y112 TYPE NULL TILEPROP NULL_X104Y113 CLASS tile TILEPROP NULL_X104Y113 COLUMN 104 TILEPROP NULL_X104Y113 DEVICE_ID 0 TILEPROP NULL_X104Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X104Y113 GRID_POINT_X 104 TILEPROP NULL_X104Y113 GRID_POINT_Y 43 TILEPROP NULL_X104Y113 INDEX 5049 TILEPROP NULL_X104Y113 INT_TILE_X -1 TILEPROP NULL_X104Y113 INT_TILE_Y -1 TILEPROP NULL_X104Y113 IS_CENTER_TILE 0 TILEPROP NULL_X104Y113 IS_DCM_TILE 0 TILEPROP NULL_X104Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y113 NAME NULL_X104Y113 TILEPROP NULL_X104Y113 NUM_ARCS 0 TILEPROP NULL_X104Y113 NUM_SITES 0 TILEPROP NULL_X104Y113 ROW 43 TILEPROP NULL_X104Y113 SLR_REGION_ID 0 TILEPROP NULL_X104Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y113 TILE_TYPE NULL TILEPROP NULL_X104Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y113 TILE_X 92878 TILEPROP NULL_X104Y113 TILE_Y 106872 TILEPROP NULL_X104Y113 TYPE NULL TILEPROP NULL_X104Y114 CLASS tile TILEPROP NULL_X104Y114 COLUMN 104 TILEPROP NULL_X104Y114 DEVICE_ID 0 TILEPROP NULL_X104Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X104Y114 GRID_POINT_X 104 TILEPROP NULL_X104Y114 GRID_POINT_Y 42 TILEPROP NULL_X104Y114 INDEX 4934 TILEPROP NULL_X104Y114 INT_TILE_X -1 TILEPROP NULL_X104Y114 INT_TILE_Y -1 TILEPROP NULL_X104Y114 IS_CENTER_TILE 0 TILEPROP NULL_X104Y114 IS_DCM_TILE 0 TILEPROP NULL_X104Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y114 NAME NULL_X104Y114 TILEPROP NULL_X104Y114 NUM_ARCS 0 TILEPROP NULL_X104Y114 NUM_SITES 0 TILEPROP NULL_X104Y114 ROW 42 TILEPROP NULL_X104Y114 SLR_REGION_ID 0 TILEPROP NULL_X104Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y114 TILE_TYPE NULL TILEPROP NULL_X104Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y114 TILE_X 92878 TILEPROP NULL_X104Y114 TILE_Y 110072 TILEPROP NULL_X104Y114 TYPE NULL TILEPROP NULL_X104Y115 CLASS tile TILEPROP NULL_X104Y115 COLUMN 104 TILEPROP NULL_X104Y115 DEVICE_ID 0 TILEPROP NULL_X104Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X104Y115 GRID_POINT_X 104 TILEPROP NULL_X104Y115 GRID_POINT_Y 41 TILEPROP NULL_X104Y115 INDEX 4819 TILEPROP NULL_X104Y115 INT_TILE_X -1 TILEPROP NULL_X104Y115 INT_TILE_Y -1 TILEPROP NULL_X104Y115 IS_CENTER_TILE 0 TILEPROP NULL_X104Y115 IS_DCM_TILE 0 TILEPROP NULL_X104Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y115 NAME NULL_X104Y115 TILEPROP NULL_X104Y115 NUM_ARCS 0 TILEPROP NULL_X104Y115 NUM_SITES 0 TILEPROP NULL_X104Y115 ROW 41 TILEPROP NULL_X104Y115 SLR_REGION_ID 0 TILEPROP NULL_X104Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y115 TILE_TYPE NULL TILEPROP NULL_X104Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y115 TILE_X 92878 TILEPROP NULL_X104Y115 TILE_Y 113272 TILEPROP NULL_X104Y115 TYPE NULL TILEPROP NULL_X104Y116 CLASS tile TILEPROP NULL_X104Y116 COLUMN 104 TILEPROP NULL_X104Y116 DEVICE_ID 0 TILEPROP NULL_X104Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X104Y116 GRID_POINT_X 104 TILEPROP NULL_X104Y116 GRID_POINT_Y 40 TILEPROP NULL_X104Y116 INDEX 4704 TILEPROP NULL_X104Y116 INT_TILE_X -1 TILEPROP NULL_X104Y116 INT_TILE_Y -1 TILEPROP NULL_X104Y116 IS_CENTER_TILE 0 TILEPROP NULL_X104Y116 IS_DCM_TILE 0 TILEPROP NULL_X104Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y116 NAME NULL_X104Y116 TILEPROP NULL_X104Y116 NUM_ARCS 0 TILEPROP NULL_X104Y116 NUM_SITES 0 TILEPROP NULL_X104Y116 ROW 40 TILEPROP NULL_X104Y116 SLR_REGION_ID 0 TILEPROP NULL_X104Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y116 TILE_TYPE NULL TILEPROP NULL_X104Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y116 TILE_X 92878 TILEPROP NULL_X104Y116 TILE_Y 116472 TILEPROP NULL_X104Y116 TYPE NULL TILEPROP NULL_X104Y117 CLASS tile TILEPROP NULL_X104Y117 COLUMN 104 TILEPROP NULL_X104Y117 DEVICE_ID 0 TILEPROP NULL_X104Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X104Y117 GRID_POINT_X 104 TILEPROP NULL_X104Y117 GRID_POINT_Y 39 TILEPROP NULL_X104Y117 INDEX 4589 TILEPROP NULL_X104Y117 INT_TILE_X -1 TILEPROP NULL_X104Y117 INT_TILE_Y -1 TILEPROP NULL_X104Y117 IS_CENTER_TILE 0 TILEPROP NULL_X104Y117 IS_DCM_TILE 0 TILEPROP NULL_X104Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y117 NAME NULL_X104Y117 TILEPROP NULL_X104Y117 NUM_ARCS 0 TILEPROP NULL_X104Y117 NUM_SITES 0 TILEPROP NULL_X104Y117 ROW 39 TILEPROP NULL_X104Y117 SLR_REGION_ID 0 TILEPROP NULL_X104Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y117 TILE_TYPE NULL TILEPROP NULL_X104Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y117 TILE_X 92878 TILEPROP NULL_X104Y117 TILE_Y 119672 TILEPROP NULL_X104Y117 TYPE NULL TILEPROP NULL_X104Y118 CLASS tile TILEPROP NULL_X104Y118 COLUMN 104 TILEPROP NULL_X104Y118 DEVICE_ID 0 TILEPROP NULL_X104Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X104Y118 GRID_POINT_X 104 TILEPROP NULL_X104Y118 GRID_POINT_Y 38 TILEPROP NULL_X104Y118 INDEX 4474 TILEPROP NULL_X104Y118 INT_TILE_X -1 TILEPROP NULL_X104Y118 INT_TILE_Y -1 TILEPROP NULL_X104Y118 IS_CENTER_TILE 0 TILEPROP NULL_X104Y118 IS_DCM_TILE 0 TILEPROP NULL_X104Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y118 NAME NULL_X104Y118 TILEPROP NULL_X104Y118 NUM_ARCS 0 TILEPROP NULL_X104Y118 NUM_SITES 0 TILEPROP NULL_X104Y118 ROW 38 TILEPROP NULL_X104Y118 SLR_REGION_ID 0 TILEPROP NULL_X104Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y118 TILE_TYPE NULL TILEPROP NULL_X104Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y118 TILE_X 92878 TILEPROP NULL_X104Y118 TILE_Y 122872 TILEPROP NULL_X104Y118 TYPE NULL TILEPROP NULL_X104Y119 CLASS tile TILEPROP NULL_X104Y119 COLUMN 104 TILEPROP NULL_X104Y119 DEVICE_ID 0 TILEPROP NULL_X104Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X104Y119 GRID_POINT_X 104 TILEPROP NULL_X104Y119 GRID_POINT_Y 37 TILEPROP NULL_X104Y119 INDEX 4359 TILEPROP NULL_X104Y119 INT_TILE_X -1 TILEPROP NULL_X104Y119 INT_TILE_Y -1 TILEPROP NULL_X104Y119 IS_CENTER_TILE 0 TILEPROP NULL_X104Y119 IS_DCM_TILE 0 TILEPROP NULL_X104Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y119 NAME NULL_X104Y119 TILEPROP NULL_X104Y119 NUM_ARCS 0 TILEPROP NULL_X104Y119 NUM_SITES 0 TILEPROP NULL_X104Y119 ROW 37 TILEPROP NULL_X104Y119 SLR_REGION_ID 0 TILEPROP NULL_X104Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y119 TILE_TYPE NULL TILEPROP NULL_X104Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y119 TILE_X 92878 TILEPROP NULL_X104Y119 TILE_Y 126072 TILEPROP NULL_X104Y119 TYPE NULL TILEPROP NULL_X104Y120 CLASS tile TILEPROP NULL_X104Y120 COLUMN 104 TILEPROP NULL_X104Y120 DEVICE_ID 0 TILEPROP NULL_X104Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X104Y120 GRID_POINT_X 104 TILEPROP NULL_X104Y120 GRID_POINT_Y 36 TILEPROP NULL_X104Y120 INDEX 4244 TILEPROP NULL_X104Y120 INT_TILE_X -1 TILEPROP NULL_X104Y120 INT_TILE_Y -1 TILEPROP NULL_X104Y120 IS_CENTER_TILE 0 TILEPROP NULL_X104Y120 IS_DCM_TILE 0 TILEPROP NULL_X104Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y120 NAME NULL_X104Y120 TILEPROP NULL_X104Y120 NUM_ARCS 0 TILEPROP NULL_X104Y120 NUM_SITES 0 TILEPROP NULL_X104Y120 ROW 36 TILEPROP NULL_X104Y120 SLR_REGION_ID 0 TILEPROP NULL_X104Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y120 TILE_TYPE NULL TILEPROP NULL_X104Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y120 TILE_X 92878 TILEPROP NULL_X104Y120 TILE_Y 129272 TILEPROP NULL_X104Y120 TYPE NULL TILEPROP NULL_X104Y121 CLASS tile TILEPROP NULL_X104Y121 COLUMN 104 TILEPROP NULL_X104Y121 DEVICE_ID 0 TILEPROP NULL_X104Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X104Y121 GRID_POINT_X 104 TILEPROP NULL_X104Y121 GRID_POINT_Y 35 TILEPROP NULL_X104Y121 INDEX 4129 TILEPROP NULL_X104Y121 INT_TILE_X -1 TILEPROP NULL_X104Y121 INT_TILE_Y -1 TILEPROP NULL_X104Y121 IS_CENTER_TILE 0 TILEPROP NULL_X104Y121 IS_DCM_TILE 0 TILEPROP NULL_X104Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y121 NAME NULL_X104Y121 TILEPROP NULL_X104Y121 NUM_ARCS 0 TILEPROP NULL_X104Y121 NUM_SITES 0 TILEPROP NULL_X104Y121 ROW 35 TILEPROP NULL_X104Y121 SLR_REGION_ID 0 TILEPROP NULL_X104Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y121 TILE_TYPE NULL TILEPROP NULL_X104Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y121 TILE_X 92878 TILEPROP NULL_X104Y121 TILE_Y 132472 TILEPROP NULL_X104Y121 TYPE NULL TILEPROP NULL_X104Y122 CLASS tile TILEPROP NULL_X104Y122 COLUMN 104 TILEPROP NULL_X104Y122 DEVICE_ID 0 TILEPROP NULL_X104Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X104Y122 GRID_POINT_X 104 TILEPROP NULL_X104Y122 GRID_POINT_Y 34 TILEPROP NULL_X104Y122 INDEX 4014 TILEPROP NULL_X104Y122 INT_TILE_X -1 TILEPROP NULL_X104Y122 INT_TILE_Y -1 TILEPROP NULL_X104Y122 IS_CENTER_TILE 0 TILEPROP NULL_X104Y122 IS_DCM_TILE 0 TILEPROP NULL_X104Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y122 NAME NULL_X104Y122 TILEPROP NULL_X104Y122 NUM_ARCS 0 TILEPROP NULL_X104Y122 NUM_SITES 0 TILEPROP NULL_X104Y122 ROW 34 TILEPROP NULL_X104Y122 SLR_REGION_ID 0 TILEPROP NULL_X104Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y122 TILE_TYPE NULL TILEPROP NULL_X104Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y122 TILE_X 92878 TILEPROP NULL_X104Y122 TILE_Y 135672 TILEPROP NULL_X104Y122 TYPE NULL TILEPROP NULL_X104Y123 CLASS tile TILEPROP NULL_X104Y123 COLUMN 104 TILEPROP NULL_X104Y123 DEVICE_ID 0 TILEPROP NULL_X104Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X104Y123 GRID_POINT_X 104 TILEPROP NULL_X104Y123 GRID_POINT_Y 33 TILEPROP NULL_X104Y123 INDEX 3899 TILEPROP NULL_X104Y123 INT_TILE_X -1 TILEPROP NULL_X104Y123 INT_TILE_Y -1 TILEPROP NULL_X104Y123 IS_CENTER_TILE 0 TILEPROP NULL_X104Y123 IS_DCM_TILE 0 TILEPROP NULL_X104Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y123 NAME NULL_X104Y123 TILEPROP NULL_X104Y123 NUM_ARCS 0 TILEPROP NULL_X104Y123 NUM_SITES 0 TILEPROP NULL_X104Y123 ROW 33 TILEPROP NULL_X104Y123 SLR_REGION_ID 0 TILEPROP NULL_X104Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y123 TILE_TYPE NULL TILEPROP NULL_X104Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y123 TILE_X 92878 TILEPROP NULL_X104Y123 TILE_Y 138872 TILEPROP NULL_X104Y123 TYPE NULL TILEPROP NULL_X104Y124 CLASS tile TILEPROP NULL_X104Y124 COLUMN 104 TILEPROP NULL_X104Y124 DEVICE_ID 0 TILEPROP NULL_X104Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X104Y124 GRID_POINT_X 104 TILEPROP NULL_X104Y124 GRID_POINT_Y 32 TILEPROP NULL_X104Y124 INDEX 3784 TILEPROP NULL_X104Y124 INT_TILE_X -1 TILEPROP NULL_X104Y124 INT_TILE_Y -1 TILEPROP NULL_X104Y124 IS_CENTER_TILE 0 TILEPROP NULL_X104Y124 IS_DCM_TILE 0 TILEPROP NULL_X104Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y124 NAME NULL_X104Y124 TILEPROP NULL_X104Y124 NUM_ARCS 0 TILEPROP NULL_X104Y124 NUM_SITES 0 TILEPROP NULL_X104Y124 ROW 32 TILEPROP NULL_X104Y124 SLR_REGION_ID 0 TILEPROP NULL_X104Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y124 TILE_TYPE NULL TILEPROP NULL_X104Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y124 TILE_X 92878 TILEPROP NULL_X104Y124 TILE_Y 142072 TILEPROP NULL_X104Y124 TYPE NULL TILEPROP NULL_X104Y125 CLASS tile TILEPROP NULL_X104Y125 COLUMN 104 TILEPROP NULL_X104Y125 DEVICE_ID 0 TILEPROP NULL_X104Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X104Y125 GRID_POINT_X 104 TILEPROP NULL_X104Y125 GRID_POINT_Y 31 TILEPROP NULL_X104Y125 INDEX 3669 TILEPROP NULL_X104Y125 INT_TILE_X -1 TILEPROP NULL_X104Y125 INT_TILE_Y -1 TILEPROP NULL_X104Y125 IS_CENTER_TILE 0 TILEPROP NULL_X104Y125 IS_DCM_TILE 0 TILEPROP NULL_X104Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y125 NAME NULL_X104Y125 TILEPROP NULL_X104Y125 NUM_ARCS 0 TILEPROP NULL_X104Y125 NUM_SITES 0 TILEPROP NULL_X104Y125 ROW 31 TILEPROP NULL_X104Y125 SLR_REGION_ID 0 TILEPROP NULL_X104Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y125 TILE_TYPE NULL TILEPROP NULL_X104Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y125 TILE_X 92878 TILEPROP NULL_X104Y125 TILE_Y 145272 TILEPROP NULL_X104Y125 TYPE NULL TILEPROP NULL_X104Y126 CLASS tile TILEPROP NULL_X104Y126 COLUMN 104 TILEPROP NULL_X104Y126 DEVICE_ID 0 TILEPROP NULL_X104Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X104Y126 GRID_POINT_X 104 TILEPROP NULL_X104Y126 GRID_POINT_Y 30 TILEPROP NULL_X104Y126 INDEX 3554 TILEPROP NULL_X104Y126 INT_TILE_X -1 TILEPROP NULL_X104Y126 INT_TILE_Y -1 TILEPROP NULL_X104Y126 IS_CENTER_TILE 0 TILEPROP NULL_X104Y126 IS_DCM_TILE 0 TILEPROP NULL_X104Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y126 NAME NULL_X104Y126 TILEPROP NULL_X104Y126 NUM_ARCS 0 TILEPROP NULL_X104Y126 NUM_SITES 0 TILEPROP NULL_X104Y126 ROW 30 TILEPROP NULL_X104Y126 SLR_REGION_ID 0 TILEPROP NULL_X104Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y126 TILE_TYPE NULL TILEPROP NULL_X104Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y126 TILE_X 92878 TILEPROP NULL_X104Y126 TILE_Y 148472 TILEPROP NULL_X104Y126 TYPE NULL TILEPROP NULL_X104Y127 CLASS tile TILEPROP NULL_X104Y127 COLUMN 104 TILEPROP NULL_X104Y127 DEVICE_ID 0 TILEPROP NULL_X104Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X104Y127 GRID_POINT_X 104 TILEPROP NULL_X104Y127 GRID_POINT_Y 29 TILEPROP NULL_X104Y127 INDEX 3439 TILEPROP NULL_X104Y127 INT_TILE_X -1 TILEPROP NULL_X104Y127 INT_TILE_Y -1 TILEPROP NULL_X104Y127 IS_CENTER_TILE 0 TILEPROP NULL_X104Y127 IS_DCM_TILE 0 TILEPROP NULL_X104Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y127 NAME NULL_X104Y127 TILEPROP NULL_X104Y127 NUM_ARCS 0 TILEPROP NULL_X104Y127 NUM_SITES 0 TILEPROP NULL_X104Y127 ROW 29 TILEPROP NULL_X104Y127 SLR_REGION_ID 0 TILEPROP NULL_X104Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y127 TILE_TYPE NULL TILEPROP NULL_X104Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y127 TILE_X 92878 TILEPROP NULL_X104Y127 TILE_Y 151672 TILEPROP NULL_X104Y127 TYPE NULL TILEPROP NULL_X104Y128 CLASS tile TILEPROP NULL_X104Y128 COLUMN 104 TILEPROP NULL_X104Y128 DEVICE_ID 0 TILEPROP NULL_X104Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X104Y128 GRID_POINT_X 104 TILEPROP NULL_X104Y128 GRID_POINT_Y 28 TILEPROP NULL_X104Y128 INDEX 3324 TILEPROP NULL_X104Y128 INT_TILE_X -1 TILEPROP NULL_X104Y128 INT_TILE_Y -1 TILEPROP NULL_X104Y128 IS_CENTER_TILE 0 TILEPROP NULL_X104Y128 IS_DCM_TILE 0 TILEPROP NULL_X104Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y128 NAME NULL_X104Y128 TILEPROP NULL_X104Y128 NUM_ARCS 0 TILEPROP NULL_X104Y128 NUM_SITES 0 TILEPROP NULL_X104Y128 ROW 28 TILEPROP NULL_X104Y128 SLR_REGION_ID 0 TILEPROP NULL_X104Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y128 TILE_TYPE NULL TILEPROP NULL_X104Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y128 TILE_X 92878 TILEPROP NULL_X104Y128 TILE_Y 154872 TILEPROP NULL_X104Y128 TYPE NULL TILEPROP NULL_X104Y129 CLASS tile TILEPROP NULL_X104Y129 COLUMN 104 TILEPROP NULL_X104Y129 DEVICE_ID 0 TILEPROP NULL_X104Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X104Y129 GRID_POINT_X 104 TILEPROP NULL_X104Y129 GRID_POINT_Y 27 TILEPROP NULL_X104Y129 INDEX 3209 TILEPROP NULL_X104Y129 INT_TILE_X -1 TILEPROP NULL_X104Y129 INT_TILE_Y -1 TILEPROP NULL_X104Y129 IS_CENTER_TILE 0 TILEPROP NULL_X104Y129 IS_DCM_TILE 0 TILEPROP NULL_X104Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y129 NAME NULL_X104Y129 TILEPROP NULL_X104Y129 NUM_ARCS 0 TILEPROP NULL_X104Y129 NUM_SITES 0 TILEPROP NULL_X104Y129 ROW 27 TILEPROP NULL_X104Y129 SLR_REGION_ID 0 TILEPROP NULL_X104Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y129 TILE_TYPE NULL TILEPROP NULL_X104Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y129 TILE_X 92878 TILEPROP NULL_X104Y129 TILE_Y 158072 TILEPROP NULL_X104Y129 TYPE NULL TILEPROP NULL_X104Y130 CLASS tile TILEPROP NULL_X104Y130 COLUMN 104 TILEPROP NULL_X104Y130 DEVICE_ID 0 TILEPROP NULL_X104Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X104Y130 GRID_POINT_X 104 TILEPROP NULL_X104Y130 GRID_POINT_Y 26 TILEPROP NULL_X104Y130 INDEX 3094 TILEPROP NULL_X104Y130 INT_TILE_X -1 TILEPROP NULL_X104Y130 INT_TILE_Y -1 TILEPROP NULL_X104Y130 IS_CENTER_TILE 0 TILEPROP NULL_X104Y130 IS_DCM_TILE 0 TILEPROP NULL_X104Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y130 NAME NULL_X104Y130 TILEPROP NULL_X104Y130 NUM_ARCS 0 TILEPROP NULL_X104Y130 NUM_SITES 0 TILEPROP NULL_X104Y130 ROW 26 TILEPROP NULL_X104Y130 SLR_REGION_ID 0 TILEPROP NULL_X104Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y130 TILE_TYPE NULL TILEPROP NULL_X104Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y130 TILE_X 92878 TILEPROP NULL_X104Y130 TILE_Y 161272 TILEPROP NULL_X104Y130 TYPE NULL TILEPROP NULL_X104Y131 CLASS tile TILEPROP NULL_X104Y131 COLUMN 104 TILEPROP NULL_X104Y131 DEVICE_ID 0 TILEPROP NULL_X104Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X104Y131 GRID_POINT_X 104 TILEPROP NULL_X104Y131 GRID_POINT_Y 25 TILEPROP NULL_X104Y131 INDEX 2979 TILEPROP NULL_X104Y131 INT_TILE_X -1 TILEPROP NULL_X104Y131 INT_TILE_Y -1 TILEPROP NULL_X104Y131 IS_CENTER_TILE 0 TILEPROP NULL_X104Y131 IS_DCM_TILE 0 TILEPROP NULL_X104Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y131 NAME NULL_X104Y131 TILEPROP NULL_X104Y131 NUM_ARCS 0 TILEPROP NULL_X104Y131 NUM_SITES 0 TILEPROP NULL_X104Y131 ROW 25 TILEPROP NULL_X104Y131 SLR_REGION_ID 0 TILEPROP NULL_X104Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y131 TILE_TYPE NULL TILEPROP NULL_X104Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y131 TILE_X 92878 TILEPROP NULL_X104Y131 TILE_Y 162296 TILEPROP NULL_X104Y131 TYPE NULL TILEPROP NULL_X104Y132 CLASS tile TILEPROP NULL_X104Y132 COLUMN 104 TILEPROP NULL_X104Y132 DEVICE_ID 0 TILEPROP NULL_X104Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X104Y132 GRID_POINT_X 104 TILEPROP NULL_X104Y132 GRID_POINT_Y 24 TILEPROP NULL_X104Y132 INDEX 2864 TILEPROP NULL_X104Y132 INT_TILE_X -1 TILEPROP NULL_X104Y132 INT_TILE_Y -1 TILEPROP NULL_X104Y132 IS_CENTER_TILE 0 TILEPROP NULL_X104Y132 IS_DCM_TILE 0 TILEPROP NULL_X104Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y132 NAME NULL_X104Y132 TILEPROP NULL_X104Y132 NUM_ARCS 0 TILEPROP NULL_X104Y132 NUM_SITES 0 TILEPROP NULL_X104Y132 ROW 24 TILEPROP NULL_X104Y132 SLR_REGION_ID 0 TILEPROP NULL_X104Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y132 TILE_TYPE NULL TILEPROP NULL_X104Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y132 TILE_X 92878 TILEPROP NULL_X104Y132 TILE_Y 165496 TILEPROP NULL_X104Y132 TYPE NULL TILEPROP NULL_X104Y133 CLASS tile TILEPROP NULL_X104Y133 COLUMN 104 TILEPROP NULL_X104Y133 DEVICE_ID 0 TILEPROP NULL_X104Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X104Y133 GRID_POINT_X 104 TILEPROP NULL_X104Y133 GRID_POINT_Y 23 TILEPROP NULL_X104Y133 INDEX 2749 TILEPROP NULL_X104Y133 INT_TILE_X -1 TILEPROP NULL_X104Y133 INT_TILE_Y -1 TILEPROP NULL_X104Y133 IS_CENTER_TILE 0 TILEPROP NULL_X104Y133 IS_DCM_TILE 0 TILEPROP NULL_X104Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y133 NAME NULL_X104Y133 TILEPROP NULL_X104Y133 NUM_ARCS 0 TILEPROP NULL_X104Y133 NUM_SITES 0 TILEPROP NULL_X104Y133 ROW 23 TILEPROP NULL_X104Y133 SLR_REGION_ID 0 TILEPROP NULL_X104Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y133 TILE_TYPE NULL TILEPROP NULL_X104Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y133 TILE_X 92878 TILEPROP NULL_X104Y133 TILE_Y 168696 TILEPROP NULL_X104Y133 TYPE NULL TILEPROP NULL_X104Y134 CLASS tile TILEPROP NULL_X104Y134 COLUMN 104 TILEPROP NULL_X104Y134 DEVICE_ID 0 TILEPROP NULL_X104Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X104Y134 GRID_POINT_X 104 TILEPROP NULL_X104Y134 GRID_POINT_Y 22 TILEPROP NULL_X104Y134 INDEX 2634 TILEPROP NULL_X104Y134 INT_TILE_X -1 TILEPROP NULL_X104Y134 INT_TILE_Y -1 TILEPROP NULL_X104Y134 IS_CENTER_TILE 0 TILEPROP NULL_X104Y134 IS_DCM_TILE 0 TILEPROP NULL_X104Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y134 NAME NULL_X104Y134 TILEPROP NULL_X104Y134 NUM_ARCS 0 TILEPROP NULL_X104Y134 NUM_SITES 0 TILEPROP NULL_X104Y134 ROW 22 TILEPROP NULL_X104Y134 SLR_REGION_ID 0 TILEPROP NULL_X104Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y134 TILE_TYPE NULL TILEPROP NULL_X104Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y134 TILE_X 92878 TILEPROP NULL_X104Y134 TILE_Y 171896 TILEPROP NULL_X104Y134 TYPE NULL TILEPROP NULL_X104Y135 CLASS tile TILEPROP NULL_X104Y135 COLUMN 104 TILEPROP NULL_X104Y135 DEVICE_ID 0 TILEPROP NULL_X104Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X104Y135 GRID_POINT_X 104 TILEPROP NULL_X104Y135 GRID_POINT_Y 21 TILEPROP NULL_X104Y135 INDEX 2519 TILEPROP NULL_X104Y135 INT_TILE_X -1 TILEPROP NULL_X104Y135 INT_TILE_Y -1 TILEPROP NULL_X104Y135 IS_CENTER_TILE 0 TILEPROP NULL_X104Y135 IS_DCM_TILE 0 TILEPROP NULL_X104Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y135 NAME NULL_X104Y135 TILEPROP NULL_X104Y135 NUM_ARCS 0 TILEPROP NULL_X104Y135 NUM_SITES 0 TILEPROP NULL_X104Y135 ROW 21 TILEPROP NULL_X104Y135 SLR_REGION_ID 0 TILEPROP NULL_X104Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y135 TILE_TYPE NULL TILEPROP NULL_X104Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y135 TILE_X 92878 TILEPROP NULL_X104Y135 TILE_Y 175096 TILEPROP NULL_X104Y135 TYPE NULL TILEPROP NULL_X104Y136 CLASS tile TILEPROP NULL_X104Y136 COLUMN 104 TILEPROP NULL_X104Y136 DEVICE_ID 0 TILEPROP NULL_X104Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X104Y136 GRID_POINT_X 104 TILEPROP NULL_X104Y136 GRID_POINT_Y 20 TILEPROP NULL_X104Y136 INDEX 2404 TILEPROP NULL_X104Y136 INT_TILE_X -1 TILEPROP NULL_X104Y136 INT_TILE_Y -1 TILEPROP NULL_X104Y136 IS_CENTER_TILE 0 TILEPROP NULL_X104Y136 IS_DCM_TILE 0 TILEPROP NULL_X104Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y136 NAME NULL_X104Y136 TILEPROP NULL_X104Y136 NUM_ARCS 0 TILEPROP NULL_X104Y136 NUM_SITES 0 TILEPROP NULL_X104Y136 ROW 20 TILEPROP NULL_X104Y136 SLR_REGION_ID 0 TILEPROP NULL_X104Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y136 TILE_TYPE NULL TILEPROP NULL_X104Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y136 TILE_X 92878 TILEPROP NULL_X104Y136 TILE_Y 178296 TILEPROP NULL_X104Y136 TYPE NULL TILEPROP NULL_X104Y137 CLASS tile TILEPROP NULL_X104Y137 COLUMN 104 TILEPROP NULL_X104Y137 DEVICE_ID 0 TILEPROP NULL_X104Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X104Y137 GRID_POINT_X 104 TILEPROP NULL_X104Y137 GRID_POINT_Y 19 TILEPROP NULL_X104Y137 INDEX 2289 TILEPROP NULL_X104Y137 INT_TILE_X -1 TILEPROP NULL_X104Y137 INT_TILE_Y -1 TILEPROP NULL_X104Y137 IS_CENTER_TILE 0 TILEPROP NULL_X104Y137 IS_DCM_TILE 0 TILEPROP NULL_X104Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y137 NAME NULL_X104Y137 TILEPROP NULL_X104Y137 NUM_ARCS 0 TILEPROP NULL_X104Y137 NUM_SITES 0 TILEPROP NULL_X104Y137 ROW 19 TILEPROP NULL_X104Y137 SLR_REGION_ID 0 TILEPROP NULL_X104Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y137 TILE_TYPE NULL TILEPROP NULL_X104Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y137 TILE_X 92878 TILEPROP NULL_X104Y137 TILE_Y 181496 TILEPROP NULL_X104Y137 TYPE NULL TILEPROP NULL_X104Y138 CLASS tile TILEPROP NULL_X104Y138 COLUMN 104 TILEPROP NULL_X104Y138 DEVICE_ID 0 TILEPROP NULL_X104Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X104Y138 GRID_POINT_X 104 TILEPROP NULL_X104Y138 GRID_POINT_Y 18 TILEPROP NULL_X104Y138 INDEX 2174 TILEPROP NULL_X104Y138 INT_TILE_X -1 TILEPROP NULL_X104Y138 INT_TILE_Y -1 TILEPROP NULL_X104Y138 IS_CENTER_TILE 0 TILEPROP NULL_X104Y138 IS_DCM_TILE 0 TILEPROP NULL_X104Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y138 NAME NULL_X104Y138 TILEPROP NULL_X104Y138 NUM_ARCS 0 TILEPROP NULL_X104Y138 NUM_SITES 0 TILEPROP NULL_X104Y138 ROW 18 TILEPROP NULL_X104Y138 SLR_REGION_ID 0 TILEPROP NULL_X104Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y138 TILE_TYPE NULL TILEPROP NULL_X104Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y138 TILE_X 92878 TILEPROP NULL_X104Y138 TILE_Y 184696 TILEPROP NULL_X104Y138 TYPE NULL TILEPROP NULL_X104Y139 CLASS tile TILEPROP NULL_X104Y139 COLUMN 104 TILEPROP NULL_X104Y139 DEVICE_ID 0 TILEPROP NULL_X104Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X104Y139 GRID_POINT_X 104 TILEPROP NULL_X104Y139 GRID_POINT_Y 17 TILEPROP NULL_X104Y139 INDEX 2059 TILEPROP NULL_X104Y139 INT_TILE_X -1 TILEPROP NULL_X104Y139 INT_TILE_Y -1 TILEPROP NULL_X104Y139 IS_CENTER_TILE 0 TILEPROP NULL_X104Y139 IS_DCM_TILE 0 TILEPROP NULL_X104Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y139 NAME NULL_X104Y139 TILEPROP NULL_X104Y139 NUM_ARCS 0 TILEPROP NULL_X104Y139 NUM_SITES 0 TILEPROP NULL_X104Y139 ROW 17 TILEPROP NULL_X104Y139 SLR_REGION_ID 0 TILEPROP NULL_X104Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y139 TILE_TYPE NULL TILEPROP NULL_X104Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y139 TILE_X 92878 TILEPROP NULL_X104Y139 TILE_Y 187896 TILEPROP NULL_X104Y139 TYPE NULL TILEPROP NULL_X104Y140 CLASS tile TILEPROP NULL_X104Y140 COLUMN 104 TILEPROP NULL_X104Y140 DEVICE_ID 0 TILEPROP NULL_X104Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X104Y140 GRID_POINT_X 104 TILEPROP NULL_X104Y140 GRID_POINT_Y 16 TILEPROP NULL_X104Y140 INDEX 1944 TILEPROP NULL_X104Y140 INT_TILE_X -1 TILEPROP NULL_X104Y140 INT_TILE_Y -1 TILEPROP NULL_X104Y140 IS_CENTER_TILE 0 TILEPROP NULL_X104Y140 IS_DCM_TILE 0 TILEPROP NULL_X104Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y140 NAME NULL_X104Y140 TILEPROP NULL_X104Y140 NUM_ARCS 0 TILEPROP NULL_X104Y140 NUM_SITES 0 TILEPROP NULL_X104Y140 ROW 16 TILEPROP NULL_X104Y140 SLR_REGION_ID 0 TILEPROP NULL_X104Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y140 TILE_TYPE NULL TILEPROP NULL_X104Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y140 TILE_X 92878 TILEPROP NULL_X104Y140 TILE_Y 191096 TILEPROP NULL_X104Y140 TYPE NULL TILEPROP NULL_X104Y141 CLASS tile TILEPROP NULL_X104Y141 COLUMN 104 TILEPROP NULL_X104Y141 DEVICE_ID 0 TILEPROP NULL_X104Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X104Y141 GRID_POINT_X 104 TILEPROP NULL_X104Y141 GRID_POINT_Y 15 TILEPROP NULL_X104Y141 INDEX 1829 TILEPROP NULL_X104Y141 INT_TILE_X -1 TILEPROP NULL_X104Y141 INT_TILE_Y -1 TILEPROP NULL_X104Y141 IS_CENTER_TILE 0 TILEPROP NULL_X104Y141 IS_DCM_TILE 0 TILEPROP NULL_X104Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y141 NAME NULL_X104Y141 TILEPROP NULL_X104Y141 NUM_ARCS 0 TILEPROP NULL_X104Y141 NUM_SITES 0 TILEPROP NULL_X104Y141 ROW 15 TILEPROP NULL_X104Y141 SLR_REGION_ID 0 TILEPROP NULL_X104Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y141 TILE_TYPE NULL TILEPROP NULL_X104Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y141 TILE_X 92878 TILEPROP NULL_X104Y141 TILE_Y 194296 TILEPROP NULL_X104Y141 TYPE NULL TILEPROP NULL_X104Y142 CLASS tile TILEPROP NULL_X104Y142 COLUMN 104 TILEPROP NULL_X104Y142 DEVICE_ID 0 TILEPROP NULL_X104Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X104Y142 GRID_POINT_X 104 TILEPROP NULL_X104Y142 GRID_POINT_Y 14 TILEPROP NULL_X104Y142 INDEX 1714 TILEPROP NULL_X104Y142 INT_TILE_X -1 TILEPROP NULL_X104Y142 INT_TILE_Y -1 TILEPROP NULL_X104Y142 IS_CENTER_TILE 0 TILEPROP NULL_X104Y142 IS_DCM_TILE 0 TILEPROP NULL_X104Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y142 NAME NULL_X104Y142 TILEPROP NULL_X104Y142 NUM_ARCS 0 TILEPROP NULL_X104Y142 NUM_SITES 0 TILEPROP NULL_X104Y142 ROW 14 TILEPROP NULL_X104Y142 SLR_REGION_ID 0 TILEPROP NULL_X104Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y142 TILE_TYPE NULL TILEPROP NULL_X104Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y142 TILE_X 92878 TILEPROP NULL_X104Y142 TILE_Y 197496 TILEPROP NULL_X104Y142 TYPE NULL TILEPROP NULL_X104Y143 CLASS tile TILEPROP NULL_X104Y143 COLUMN 104 TILEPROP NULL_X104Y143 DEVICE_ID 0 TILEPROP NULL_X104Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X104Y143 GRID_POINT_X 104 TILEPROP NULL_X104Y143 GRID_POINT_Y 13 TILEPROP NULL_X104Y143 INDEX 1599 TILEPROP NULL_X104Y143 INT_TILE_X -1 TILEPROP NULL_X104Y143 INT_TILE_Y -1 TILEPROP NULL_X104Y143 IS_CENTER_TILE 0 TILEPROP NULL_X104Y143 IS_DCM_TILE 0 TILEPROP NULL_X104Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y143 NAME NULL_X104Y143 TILEPROP NULL_X104Y143 NUM_ARCS 0 TILEPROP NULL_X104Y143 NUM_SITES 0 TILEPROP NULL_X104Y143 ROW 13 TILEPROP NULL_X104Y143 SLR_REGION_ID 0 TILEPROP NULL_X104Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y143 TILE_TYPE NULL TILEPROP NULL_X104Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y143 TILE_X 92878 TILEPROP NULL_X104Y143 TILE_Y 200696 TILEPROP NULL_X104Y143 TYPE NULL TILEPROP NULL_X104Y144 CLASS tile TILEPROP NULL_X104Y144 COLUMN 104 TILEPROP NULL_X104Y144 DEVICE_ID 0 TILEPROP NULL_X104Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X104Y144 GRID_POINT_X 104 TILEPROP NULL_X104Y144 GRID_POINT_Y 12 TILEPROP NULL_X104Y144 INDEX 1484 TILEPROP NULL_X104Y144 INT_TILE_X -1 TILEPROP NULL_X104Y144 INT_TILE_Y -1 TILEPROP NULL_X104Y144 IS_CENTER_TILE 0 TILEPROP NULL_X104Y144 IS_DCM_TILE 0 TILEPROP NULL_X104Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y144 NAME NULL_X104Y144 TILEPROP NULL_X104Y144 NUM_ARCS 0 TILEPROP NULL_X104Y144 NUM_SITES 0 TILEPROP NULL_X104Y144 ROW 12 TILEPROP NULL_X104Y144 SLR_REGION_ID 0 TILEPROP NULL_X104Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y144 TILE_TYPE NULL TILEPROP NULL_X104Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y144 TILE_X 92878 TILEPROP NULL_X104Y144 TILE_Y 203896 TILEPROP NULL_X104Y144 TYPE NULL TILEPROP NULL_X104Y145 CLASS tile TILEPROP NULL_X104Y145 COLUMN 104 TILEPROP NULL_X104Y145 DEVICE_ID 0 TILEPROP NULL_X104Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X104Y145 GRID_POINT_X 104 TILEPROP NULL_X104Y145 GRID_POINT_Y 11 TILEPROP NULL_X104Y145 INDEX 1369 TILEPROP NULL_X104Y145 INT_TILE_X -1 TILEPROP NULL_X104Y145 INT_TILE_Y -1 TILEPROP NULL_X104Y145 IS_CENTER_TILE 0 TILEPROP NULL_X104Y145 IS_DCM_TILE 0 TILEPROP NULL_X104Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y145 NAME NULL_X104Y145 TILEPROP NULL_X104Y145 NUM_ARCS 0 TILEPROP NULL_X104Y145 NUM_SITES 0 TILEPROP NULL_X104Y145 ROW 11 TILEPROP NULL_X104Y145 SLR_REGION_ID 0 TILEPROP NULL_X104Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y145 TILE_TYPE NULL TILEPROP NULL_X104Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y145 TILE_X 92878 TILEPROP NULL_X104Y145 TILE_Y 207096 TILEPROP NULL_X104Y145 TYPE NULL TILEPROP NULL_X104Y146 CLASS tile TILEPROP NULL_X104Y146 COLUMN 104 TILEPROP NULL_X104Y146 DEVICE_ID 0 TILEPROP NULL_X104Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X104Y146 GRID_POINT_X 104 TILEPROP NULL_X104Y146 GRID_POINT_Y 10 TILEPROP NULL_X104Y146 INDEX 1254 TILEPROP NULL_X104Y146 INT_TILE_X -1 TILEPROP NULL_X104Y146 INT_TILE_Y -1 TILEPROP NULL_X104Y146 IS_CENTER_TILE 0 TILEPROP NULL_X104Y146 IS_DCM_TILE 0 TILEPROP NULL_X104Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y146 NAME NULL_X104Y146 TILEPROP NULL_X104Y146 NUM_ARCS 0 TILEPROP NULL_X104Y146 NUM_SITES 0 TILEPROP NULL_X104Y146 ROW 10 TILEPROP NULL_X104Y146 SLR_REGION_ID 0 TILEPROP NULL_X104Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y146 TILE_TYPE NULL TILEPROP NULL_X104Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y146 TILE_X 92878 TILEPROP NULL_X104Y146 TILE_Y 210296 TILEPROP NULL_X104Y146 TYPE NULL TILEPROP NULL_X104Y147 CLASS tile TILEPROP NULL_X104Y147 COLUMN 104 TILEPROP NULL_X104Y147 DEVICE_ID 0 TILEPROP NULL_X104Y147 FIRST_SITE_ID 920 TILEPROP NULL_X104Y147 GRID_POINT_X 104 TILEPROP NULL_X104Y147 GRID_POINT_Y 9 TILEPROP NULL_X104Y147 INDEX 1139 TILEPROP NULL_X104Y147 INT_TILE_X -1 TILEPROP NULL_X104Y147 INT_TILE_Y -1 TILEPROP NULL_X104Y147 IS_CENTER_TILE 0 TILEPROP NULL_X104Y147 IS_DCM_TILE 0 TILEPROP NULL_X104Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y147 NAME NULL_X104Y147 TILEPROP NULL_X104Y147 NUM_ARCS 0 TILEPROP NULL_X104Y147 NUM_SITES 0 TILEPROP NULL_X104Y147 ROW 9 TILEPROP NULL_X104Y147 SLR_REGION_ID 0 TILEPROP NULL_X104Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y147 TILE_TYPE NULL TILEPROP NULL_X104Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y147 TILE_X 92878 TILEPROP NULL_X104Y147 TILE_Y 213496 TILEPROP NULL_X104Y147 TYPE NULL TILEPROP NULL_X104Y148 CLASS tile TILEPROP NULL_X104Y148 COLUMN 104 TILEPROP NULL_X104Y148 DEVICE_ID 0 TILEPROP NULL_X104Y148 FIRST_SITE_ID 816 TILEPROP NULL_X104Y148 GRID_POINT_X 104 TILEPROP NULL_X104Y148 GRID_POINT_Y 8 TILEPROP NULL_X104Y148 INDEX 1024 TILEPROP NULL_X104Y148 INT_TILE_X -1 TILEPROP NULL_X104Y148 INT_TILE_Y -1 TILEPROP NULL_X104Y148 IS_CENTER_TILE 0 TILEPROP NULL_X104Y148 IS_DCM_TILE 0 TILEPROP NULL_X104Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y148 NAME NULL_X104Y148 TILEPROP NULL_X104Y148 NUM_ARCS 0 TILEPROP NULL_X104Y148 NUM_SITES 0 TILEPROP NULL_X104Y148 ROW 8 TILEPROP NULL_X104Y148 SLR_REGION_ID 0 TILEPROP NULL_X104Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y148 TILE_TYPE NULL TILEPROP NULL_X104Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y148 TILE_X 92878 TILEPROP NULL_X104Y148 TILE_Y 216696 TILEPROP NULL_X104Y148 TYPE NULL TILEPROP NULL_X104Y149 CLASS tile TILEPROP NULL_X104Y149 COLUMN 104 TILEPROP NULL_X104Y149 DEVICE_ID 0 TILEPROP NULL_X104Y149 FIRST_SITE_ID 719 TILEPROP NULL_X104Y149 GRID_POINT_X 104 TILEPROP NULL_X104Y149 GRID_POINT_Y 7 TILEPROP NULL_X104Y149 INDEX 909 TILEPROP NULL_X104Y149 INT_TILE_X -1 TILEPROP NULL_X104Y149 INT_TILE_Y -1 TILEPROP NULL_X104Y149 IS_CENTER_TILE 0 TILEPROP NULL_X104Y149 IS_DCM_TILE 0 TILEPROP NULL_X104Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y149 NAME NULL_X104Y149 TILEPROP NULL_X104Y149 NUM_ARCS 0 TILEPROP NULL_X104Y149 NUM_SITES 0 TILEPROP NULL_X104Y149 ROW 7 TILEPROP NULL_X104Y149 SLR_REGION_ID 0 TILEPROP NULL_X104Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y149 TILE_TYPE NULL TILEPROP NULL_X104Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y149 TILE_X 92878 TILEPROP NULL_X104Y149 TILE_Y 219896 TILEPROP NULL_X104Y149 TYPE NULL TILEPROP NULL_X104Y150 CLASS tile TILEPROP NULL_X104Y150 COLUMN 104 TILEPROP NULL_X104Y150 DEVICE_ID 0 TILEPROP NULL_X104Y150 FIRST_SITE_ID 613 TILEPROP NULL_X104Y150 GRID_POINT_X 104 TILEPROP NULL_X104Y150 GRID_POINT_Y 6 TILEPROP NULL_X104Y150 INDEX 794 TILEPROP NULL_X104Y150 INT_TILE_X -1 TILEPROP NULL_X104Y150 INT_TILE_Y -1 TILEPROP NULL_X104Y150 IS_CENTER_TILE 0 TILEPROP NULL_X104Y150 IS_DCM_TILE 0 TILEPROP NULL_X104Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y150 NAME NULL_X104Y150 TILEPROP NULL_X104Y150 NUM_ARCS 0 TILEPROP NULL_X104Y150 NUM_SITES 0 TILEPROP NULL_X104Y150 ROW 6 TILEPROP NULL_X104Y150 SLR_REGION_ID 0 TILEPROP NULL_X104Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y150 TILE_TYPE NULL TILEPROP NULL_X104Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y150 TILE_X 92878 TILEPROP NULL_X104Y150 TILE_Y 223096 TILEPROP NULL_X104Y150 TYPE NULL TILEPROP NULL_X104Y151 CLASS tile TILEPROP NULL_X104Y151 COLUMN 104 TILEPROP NULL_X104Y151 DEVICE_ID 0 TILEPROP NULL_X104Y151 FIRST_SITE_ID 512 TILEPROP NULL_X104Y151 GRID_POINT_X 104 TILEPROP NULL_X104Y151 GRID_POINT_Y 5 TILEPROP NULL_X104Y151 INDEX 679 TILEPROP NULL_X104Y151 INT_TILE_X -1 TILEPROP NULL_X104Y151 INT_TILE_Y -1 TILEPROP NULL_X104Y151 IS_CENTER_TILE 0 TILEPROP NULL_X104Y151 IS_DCM_TILE 0 TILEPROP NULL_X104Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y151 NAME NULL_X104Y151 TILEPROP NULL_X104Y151 NUM_ARCS 0 TILEPROP NULL_X104Y151 NUM_SITES 0 TILEPROP NULL_X104Y151 ROW 5 TILEPROP NULL_X104Y151 SLR_REGION_ID 0 TILEPROP NULL_X104Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y151 TILE_TYPE NULL TILEPROP NULL_X104Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y151 TILE_X 92878 TILEPROP NULL_X104Y151 TILE_Y 226296 TILEPROP NULL_X104Y151 TYPE NULL TILEPROP NULL_X104Y152 CLASS tile TILEPROP NULL_X104Y152 COLUMN 104 TILEPROP NULL_X104Y152 DEVICE_ID 0 TILEPROP NULL_X104Y152 FIRST_SITE_ID 396 TILEPROP NULL_X104Y152 GRID_POINT_X 104 TILEPROP NULL_X104Y152 GRID_POINT_Y 4 TILEPROP NULL_X104Y152 INDEX 564 TILEPROP NULL_X104Y152 INT_TILE_X -1 TILEPROP NULL_X104Y152 INT_TILE_Y -1 TILEPROP NULL_X104Y152 IS_CENTER_TILE 0 TILEPROP NULL_X104Y152 IS_DCM_TILE 0 TILEPROP NULL_X104Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y152 NAME NULL_X104Y152 TILEPROP NULL_X104Y152 NUM_ARCS 0 TILEPROP NULL_X104Y152 NUM_SITES 0 TILEPROP NULL_X104Y152 ROW 4 TILEPROP NULL_X104Y152 SLR_REGION_ID 0 TILEPROP NULL_X104Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y152 TILE_TYPE NULL TILEPROP NULL_X104Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y152 TILE_X 92878 TILEPROP NULL_X104Y152 TILE_Y 229496 TILEPROP NULL_X104Y152 TYPE NULL TILEPROP NULL_X104Y153 CLASS tile TILEPROP NULL_X104Y153 COLUMN 104 TILEPROP NULL_X104Y153 DEVICE_ID 0 TILEPROP NULL_X104Y153 FIRST_SITE_ID 300 TILEPROP NULL_X104Y153 GRID_POINT_X 104 TILEPROP NULL_X104Y153 GRID_POINT_Y 3 TILEPROP NULL_X104Y153 INDEX 449 TILEPROP NULL_X104Y153 INT_TILE_X -1 TILEPROP NULL_X104Y153 INT_TILE_Y -1 TILEPROP NULL_X104Y153 IS_CENTER_TILE 0 TILEPROP NULL_X104Y153 IS_DCM_TILE 0 TILEPROP NULL_X104Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y153 NAME NULL_X104Y153 TILEPROP NULL_X104Y153 NUM_ARCS 0 TILEPROP NULL_X104Y153 NUM_SITES 0 TILEPROP NULL_X104Y153 ROW 3 TILEPROP NULL_X104Y153 SLR_REGION_ID 0 TILEPROP NULL_X104Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y153 TILE_TYPE NULL TILEPROP NULL_X104Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y153 TILE_X 92878 TILEPROP NULL_X104Y153 TILE_Y 232696 TILEPROP NULL_X104Y153 TYPE NULL TILEPROP NULL_X104Y154 CLASS tile TILEPROP NULL_X104Y154 COLUMN 104 TILEPROP NULL_X104Y154 DEVICE_ID 0 TILEPROP NULL_X104Y154 FIRST_SITE_ID 196 TILEPROP NULL_X104Y154 GRID_POINT_X 104 TILEPROP NULL_X104Y154 GRID_POINT_Y 2 TILEPROP NULL_X104Y154 INDEX 334 TILEPROP NULL_X104Y154 INT_TILE_X -1 TILEPROP NULL_X104Y154 INT_TILE_Y -1 TILEPROP NULL_X104Y154 IS_CENTER_TILE 0 TILEPROP NULL_X104Y154 IS_DCM_TILE 0 TILEPROP NULL_X104Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y154 NAME NULL_X104Y154 TILEPROP NULL_X104Y154 NUM_ARCS 0 TILEPROP NULL_X104Y154 NUM_SITES 0 TILEPROP NULL_X104Y154 ROW 2 TILEPROP NULL_X104Y154 SLR_REGION_ID 0 TILEPROP NULL_X104Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y154 TILE_TYPE NULL TILEPROP NULL_X104Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y154 TILE_X 92878 TILEPROP NULL_X104Y154 TILE_Y 235896 TILEPROP NULL_X104Y154 TYPE NULL TILEPROP NULL_X104Y155 CLASS tile TILEPROP NULL_X104Y155 COLUMN 104 TILEPROP NULL_X104Y155 DEVICE_ID 0 TILEPROP NULL_X104Y155 FIRST_SITE_ID 100 TILEPROP NULL_X104Y155 GRID_POINT_X 104 TILEPROP NULL_X104Y155 GRID_POINT_Y 1 TILEPROP NULL_X104Y155 INDEX 219 TILEPROP NULL_X104Y155 INT_TILE_X -1 TILEPROP NULL_X104Y155 INT_TILE_Y -1 TILEPROP NULL_X104Y155 IS_CENTER_TILE 0 TILEPROP NULL_X104Y155 IS_DCM_TILE 0 TILEPROP NULL_X104Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y155 NAME NULL_X104Y155 TILEPROP NULL_X104Y155 NUM_ARCS 0 TILEPROP NULL_X104Y155 NUM_SITES 0 TILEPROP NULL_X104Y155 ROW 1 TILEPROP NULL_X104Y155 SLR_REGION_ID 0 TILEPROP NULL_X104Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y155 TILE_TYPE NULL TILEPROP NULL_X104Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y155 TILE_X 92878 TILEPROP NULL_X104Y155 TILE_Y 239096 TILEPROP NULL_X104Y155 TYPE NULL TILEPROP NULL_X104Y156 CLASS tile TILEPROP NULL_X104Y156 COLUMN 104 TILEPROP NULL_X104Y156 DEVICE_ID 0 TILEPROP NULL_X104Y156 FIRST_SITE_ID 0 TILEPROP NULL_X104Y156 GRID_POINT_X 104 TILEPROP NULL_X104Y156 GRID_POINT_Y 0 TILEPROP NULL_X104Y156 INDEX 104 TILEPROP NULL_X104Y156 INT_TILE_X -1 TILEPROP NULL_X104Y156 INT_TILE_Y -1 TILEPROP NULL_X104Y156 IS_CENTER_TILE 0 TILEPROP NULL_X104Y156 IS_DCM_TILE 0 TILEPROP NULL_X104Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X104Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X104Y156 NAME NULL_X104Y156 TILEPROP NULL_X104Y156 NUM_ARCS 0 TILEPROP NULL_X104Y156 NUM_SITES 0 TILEPROP NULL_X104Y156 ROW 0 TILEPROP NULL_X104Y156 SLR_REGION_ID 0 TILEPROP NULL_X104Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X104Y156 TILE_TYPE NULL TILEPROP NULL_X104Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X104Y156 TILE_X 92878 TILEPROP NULL_X104Y156 TILE_Y 242296 TILEPROP NULL_X104Y156 TYPE NULL TILEPROP NULL_X105Y0 CLASS tile TILEPROP NULL_X105Y0 COLUMN 105 TILEPROP NULL_X105Y0 DEVICE_ID 0 TILEPROP NULL_X105Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X105Y0 GRID_POINT_X 105 TILEPROP NULL_X105Y0 GRID_POINT_Y 156 TILEPROP NULL_X105Y0 INDEX 18045 TILEPROP NULL_X105Y0 INT_TILE_X 41 TILEPROP NULL_X105Y0 INT_TILE_Y 149 TILEPROP NULL_X105Y0 IS_CENTER_TILE 0 TILEPROP NULL_X105Y0 IS_DCM_TILE 0 TILEPROP NULL_X105Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y0 NAME NULL_X105Y0 TILEPROP NULL_X105Y0 NUM_ARCS 0 TILEPROP NULL_X105Y0 NUM_SITES 0 TILEPROP NULL_X105Y0 ROW 156 TILEPROP NULL_X105Y0 SLR_REGION_ID 0 TILEPROP NULL_X105Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y0 TILE_TYPE NULL TILEPROP NULL_X105Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y0 TILE_X 93574 TILEPROP NULL_X105Y0 TILE_Y -244472 TILEPROP NULL_X105Y0 TYPE NULL TILEPROP NULL_X105Y52 CLASS tile TILEPROP NULL_X105Y52 COLUMN 105 TILEPROP NULL_X105Y52 DEVICE_ID 0 TILEPROP NULL_X105Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X105Y52 GRID_POINT_X 105 TILEPROP NULL_X105Y52 GRID_POINT_Y 104 TILEPROP NULL_X105Y52 INDEX 12065 TILEPROP NULL_X105Y52 INT_TILE_X 41 TILEPROP NULL_X105Y52 INT_TILE_Y 99 TILEPROP NULL_X105Y52 IS_CENTER_TILE 0 TILEPROP NULL_X105Y52 IS_DCM_TILE 0 TILEPROP NULL_X105Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y52 NAME NULL_X105Y52 TILEPROP NULL_X105Y52 NUM_ARCS 0 TILEPROP NULL_X105Y52 NUM_SITES 0 TILEPROP NULL_X105Y52 ROW 104 TILEPROP NULL_X105Y52 SLR_REGION_ID 0 TILEPROP NULL_X105Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y52 TILE_TYPE NULL TILEPROP NULL_X105Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y52 TILE_X 93574 TILEPROP NULL_X105Y52 TILE_Y -80248 TILEPROP NULL_X105Y52 TYPE NULL TILEPROP NULL_X105Y104 CLASS tile TILEPROP NULL_X105Y104 COLUMN 105 TILEPROP NULL_X105Y104 DEVICE_ID 0 TILEPROP NULL_X105Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X105Y104 GRID_POINT_X 105 TILEPROP NULL_X105Y104 GRID_POINT_Y 52 TILEPROP NULL_X105Y104 INDEX 6085 TILEPROP NULL_X105Y104 INT_TILE_X -1 TILEPROP NULL_X105Y104 INT_TILE_Y -1 TILEPROP NULL_X105Y104 IS_CENTER_TILE 0 TILEPROP NULL_X105Y104 IS_DCM_TILE 0 TILEPROP NULL_X105Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y104 NAME NULL_X105Y104 TILEPROP NULL_X105Y104 NUM_ARCS 0 TILEPROP NULL_X105Y104 NUM_SITES 0 TILEPROP NULL_X105Y104 ROW 52 TILEPROP NULL_X105Y104 SLR_REGION_ID 0 TILEPROP NULL_X105Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y104 TILE_TYPE NULL TILEPROP NULL_X105Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y104 TILE_X 93574 TILEPROP NULL_X105Y104 TILE_Y 81024 TILEPROP NULL_X105Y104 TYPE NULL TILEPROP NULL_X105Y105 CLASS tile TILEPROP NULL_X105Y105 COLUMN 105 TILEPROP NULL_X105Y105 DEVICE_ID 0 TILEPROP NULL_X105Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X105Y105 GRID_POINT_X 105 TILEPROP NULL_X105Y105 GRID_POINT_Y 51 TILEPROP NULL_X105Y105 INDEX 5970 TILEPROP NULL_X105Y105 INT_TILE_X -1 TILEPROP NULL_X105Y105 INT_TILE_Y -1 TILEPROP NULL_X105Y105 IS_CENTER_TILE 0 TILEPROP NULL_X105Y105 IS_DCM_TILE 0 TILEPROP NULL_X105Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y105 NAME NULL_X105Y105 TILEPROP NULL_X105Y105 NUM_ARCS 0 TILEPROP NULL_X105Y105 NUM_SITES 0 TILEPROP NULL_X105Y105 ROW 51 TILEPROP NULL_X105Y105 SLR_REGION_ID 0 TILEPROP NULL_X105Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y105 TILE_TYPE NULL TILEPROP NULL_X105Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y105 TILE_X 93574 TILEPROP NULL_X105Y105 TILE_Y 81272 TILEPROP NULL_X105Y105 TYPE NULL TILEPROP NULL_X105Y106 CLASS tile TILEPROP NULL_X105Y106 COLUMN 105 TILEPROP NULL_X105Y106 DEVICE_ID 0 TILEPROP NULL_X105Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X105Y106 GRID_POINT_X 105 TILEPROP NULL_X105Y106 GRID_POINT_Y 50 TILEPROP NULL_X105Y106 INDEX 5855 TILEPROP NULL_X105Y106 INT_TILE_X -1 TILEPROP NULL_X105Y106 INT_TILE_Y -1 TILEPROP NULL_X105Y106 IS_CENTER_TILE 0 TILEPROP NULL_X105Y106 IS_DCM_TILE 0 TILEPROP NULL_X105Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y106 NAME NULL_X105Y106 TILEPROP NULL_X105Y106 NUM_ARCS 0 TILEPROP NULL_X105Y106 NUM_SITES 0 TILEPROP NULL_X105Y106 ROW 50 TILEPROP NULL_X105Y106 SLR_REGION_ID 0 TILEPROP NULL_X105Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y106 TILE_TYPE NULL TILEPROP NULL_X105Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y106 TILE_X 93574 TILEPROP NULL_X105Y106 TILE_Y 84472 TILEPROP NULL_X105Y106 TYPE NULL TILEPROP NULL_X105Y107 CLASS tile TILEPROP NULL_X105Y107 COLUMN 105 TILEPROP NULL_X105Y107 DEVICE_ID 0 TILEPROP NULL_X105Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X105Y107 GRID_POINT_X 105 TILEPROP NULL_X105Y107 GRID_POINT_Y 49 TILEPROP NULL_X105Y107 INDEX 5740 TILEPROP NULL_X105Y107 INT_TILE_X -1 TILEPROP NULL_X105Y107 INT_TILE_Y -1 TILEPROP NULL_X105Y107 IS_CENTER_TILE 0 TILEPROP NULL_X105Y107 IS_DCM_TILE 0 TILEPROP NULL_X105Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y107 NAME NULL_X105Y107 TILEPROP NULL_X105Y107 NUM_ARCS 0 TILEPROP NULL_X105Y107 NUM_SITES 0 TILEPROP NULL_X105Y107 ROW 49 TILEPROP NULL_X105Y107 SLR_REGION_ID 0 TILEPROP NULL_X105Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y107 TILE_TYPE NULL TILEPROP NULL_X105Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y107 TILE_X 93574 TILEPROP NULL_X105Y107 TILE_Y 87672 TILEPROP NULL_X105Y107 TYPE NULL TILEPROP NULL_X105Y108 CLASS tile TILEPROP NULL_X105Y108 COLUMN 105 TILEPROP NULL_X105Y108 DEVICE_ID 0 TILEPROP NULL_X105Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X105Y108 GRID_POINT_X 105 TILEPROP NULL_X105Y108 GRID_POINT_Y 48 TILEPROP NULL_X105Y108 INDEX 5625 TILEPROP NULL_X105Y108 INT_TILE_X -1 TILEPROP NULL_X105Y108 INT_TILE_Y -1 TILEPROP NULL_X105Y108 IS_CENTER_TILE 0 TILEPROP NULL_X105Y108 IS_DCM_TILE 0 TILEPROP NULL_X105Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y108 NAME NULL_X105Y108 TILEPROP NULL_X105Y108 NUM_ARCS 0 TILEPROP NULL_X105Y108 NUM_SITES 0 TILEPROP NULL_X105Y108 ROW 48 TILEPROP NULL_X105Y108 SLR_REGION_ID 0 TILEPROP NULL_X105Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y108 TILE_TYPE NULL TILEPROP NULL_X105Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y108 TILE_X 93574 TILEPROP NULL_X105Y108 TILE_Y 90872 TILEPROP NULL_X105Y108 TYPE NULL TILEPROP NULL_X105Y109 CLASS tile TILEPROP NULL_X105Y109 COLUMN 105 TILEPROP NULL_X105Y109 DEVICE_ID 0 TILEPROP NULL_X105Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X105Y109 GRID_POINT_X 105 TILEPROP NULL_X105Y109 GRID_POINT_Y 47 TILEPROP NULL_X105Y109 INDEX 5510 TILEPROP NULL_X105Y109 INT_TILE_X -1 TILEPROP NULL_X105Y109 INT_TILE_Y -1 TILEPROP NULL_X105Y109 IS_CENTER_TILE 0 TILEPROP NULL_X105Y109 IS_DCM_TILE 0 TILEPROP NULL_X105Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y109 NAME NULL_X105Y109 TILEPROP NULL_X105Y109 NUM_ARCS 0 TILEPROP NULL_X105Y109 NUM_SITES 0 TILEPROP NULL_X105Y109 ROW 47 TILEPROP NULL_X105Y109 SLR_REGION_ID 0 TILEPROP NULL_X105Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y109 TILE_TYPE NULL TILEPROP NULL_X105Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y109 TILE_X 93574 TILEPROP NULL_X105Y109 TILE_Y 94072 TILEPROP NULL_X105Y109 TYPE NULL TILEPROP NULL_X105Y110 CLASS tile TILEPROP NULL_X105Y110 COLUMN 105 TILEPROP NULL_X105Y110 DEVICE_ID 0 TILEPROP NULL_X105Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X105Y110 GRID_POINT_X 105 TILEPROP NULL_X105Y110 GRID_POINT_Y 46 TILEPROP NULL_X105Y110 INDEX 5395 TILEPROP NULL_X105Y110 INT_TILE_X -1 TILEPROP NULL_X105Y110 INT_TILE_Y -1 TILEPROP NULL_X105Y110 IS_CENTER_TILE 0 TILEPROP NULL_X105Y110 IS_DCM_TILE 0 TILEPROP NULL_X105Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y110 NAME NULL_X105Y110 TILEPROP NULL_X105Y110 NUM_ARCS 0 TILEPROP NULL_X105Y110 NUM_SITES 0 TILEPROP NULL_X105Y110 ROW 46 TILEPROP NULL_X105Y110 SLR_REGION_ID 0 TILEPROP NULL_X105Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y110 TILE_TYPE NULL TILEPROP NULL_X105Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y110 TILE_X 93574 TILEPROP NULL_X105Y110 TILE_Y 97272 TILEPROP NULL_X105Y110 TYPE NULL TILEPROP NULL_X105Y111 CLASS tile TILEPROP NULL_X105Y111 COLUMN 105 TILEPROP NULL_X105Y111 DEVICE_ID 0 TILEPROP NULL_X105Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X105Y111 GRID_POINT_X 105 TILEPROP NULL_X105Y111 GRID_POINT_Y 45 TILEPROP NULL_X105Y111 INDEX 5280 TILEPROP NULL_X105Y111 INT_TILE_X -1 TILEPROP NULL_X105Y111 INT_TILE_Y -1 TILEPROP NULL_X105Y111 IS_CENTER_TILE 0 TILEPROP NULL_X105Y111 IS_DCM_TILE 0 TILEPROP NULL_X105Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y111 NAME NULL_X105Y111 TILEPROP NULL_X105Y111 NUM_ARCS 0 TILEPROP NULL_X105Y111 NUM_SITES 0 TILEPROP NULL_X105Y111 ROW 45 TILEPROP NULL_X105Y111 SLR_REGION_ID 0 TILEPROP NULL_X105Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y111 TILE_TYPE NULL TILEPROP NULL_X105Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y111 TILE_X 93574 TILEPROP NULL_X105Y111 TILE_Y 100472 TILEPROP NULL_X105Y111 TYPE NULL TILEPROP NULL_X105Y112 CLASS tile TILEPROP NULL_X105Y112 COLUMN 105 TILEPROP NULL_X105Y112 DEVICE_ID 0 TILEPROP NULL_X105Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X105Y112 GRID_POINT_X 105 TILEPROP NULL_X105Y112 GRID_POINT_Y 44 TILEPROP NULL_X105Y112 INDEX 5165 TILEPROP NULL_X105Y112 INT_TILE_X -1 TILEPROP NULL_X105Y112 INT_TILE_Y -1 TILEPROP NULL_X105Y112 IS_CENTER_TILE 0 TILEPROP NULL_X105Y112 IS_DCM_TILE 0 TILEPROP NULL_X105Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y112 NAME NULL_X105Y112 TILEPROP NULL_X105Y112 NUM_ARCS 0 TILEPROP NULL_X105Y112 NUM_SITES 0 TILEPROP NULL_X105Y112 ROW 44 TILEPROP NULL_X105Y112 SLR_REGION_ID 0 TILEPROP NULL_X105Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y112 TILE_TYPE NULL TILEPROP NULL_X105Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y112 TILE_X 93574 TILEPROP NULL_X105Y112 TILE_Y 103672 TILEPROP NULL_X105Y112 TYPE NULL TILEPROP NULL_X105Y113 CLASS tile TILEPROP NULL_X105Y113 COLUMN 105 TILEPROP NULL_X105Y113 DEVICE_ID 0 TILEPROP NULL_X105Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X105Y113 GRID_POINT_X 105 TILEPROP NULL_X105Y113 GRID_POINT_Y 43 TILEPROP NULL_X105Y113 INDEX 5050 TILEPROP NULL_X105Y113 INT_TILE_X -1 TILEPROP NULL_X105Y113 INT_TILE_Y -1 TILEPROP NULL_X105Y113 IS_CENTER_TILE 0 TILEPROP NULL_X105Y113 IS_DCM_TILE 0 TILEPROP NULL_X105Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y113 NAME NULL_X105Y113 TILEPROP NULL_X105Y113 NUM_ARCS 0 TILEPROP NULL_X105Y113 NUM_SITES 0 TILEPROP NULL_X105Y113 ROW 43 TILEPROP NULL_X105Y113 SLR_REGION_ID 0 TILEPROP NULL_X105Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y113 TILE_TYPE NULL TILEPROP NULL_X105Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y113 TILE_X 93574 TILEPROP NULL_X105Y113 TILE_Y 106872 TILEPROP NULL_X105Y113 TYPE NULL TILEPROP NULL_X105Y114 CLASS tile TILEPROP NULL_X105Y114 COLUMN 105 TILEPROP NULL_X105Y114 DEVICE_ID 0 TILEPROP NULL_X105Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X105Y114 GRID_POINT_X 105 TILEPROP NULL_X105Y114 GRID_POINT_Y 42 TILEPROP NULL_X105Y114 INDEX 4935 TILEPROP NULL_X105Y114 INT_TILE_X -1 TILEPROP NULL_X105Y114 INT_TILE_Y -1 TILEPROP NULL_X105Y114 IS_CENTER_TILE 0 TILEPROP NULL_X105Y114 IS_DCM_TILE 0 TILEPROP NULL_X105Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y114 NAME NULL_X105Y114 TILEPROP NULL_X105Y114 NUM_ARCS 0 TILEPROP NULL_X105Y114 NUM_SITES 0 TILEPROP NULL_X105Y114 ROW 42 TILEPROP NULL_X105Y114 SLR_REGION_ID 0 TILEPROP NULL_X105Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y114 TILE_TYPE NULL TILEPROP NULL_X105Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y114 TILE_X 93574 TILEPROP NULL_X105Y114 TILE_Y 110072 TILEPROP NULL_X105Y114 TYPE NULL TILEPROP NULL_X105Y115 CLASS tile TILEPROP NULL_X105Y115 COLUMN 105 TILEPROP NULL_X105Y115 DEVICE_ID 0 TILEPROP NULL_X105Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X105Y115 GRID_POINT_X 105 TILEPROP NULL_X105Y115 GRID_POINT_Y 41 TILEPROP NULL_X105Y115 INDEX 4820 TILEPROP NULL_X105Y115 INT_TILE_X -1 TILEPROP NULL_X105Y115 INT_TILE_Y -1 TILEPROP NULL_X105Y115 IS_CENTER_TILE 0 TILEPROP NULL_X105Y115 IS_DCM_TILE 0 TILEPROP NULL_X105Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y115 NAME NULL_X105Y115 TILEPROP NULL_X105Y115 NUM_ARCS 0 TILEPROP NULL_X105Y115 NUM_SITES 0 TILEPROP NULL_X105Y115 ROW 41 TILEPROP NULL_X105Y115 SLR_REGION_ID 0 TILEPROP NULL_X105Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y115 TILE_TYPE NULL TILEPROP NULL_X105Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y115 TILE_X 93574 TILEPROP NULL_X105Y115 TILE_Y 113272 TILEPROP NULL_X105Y115 TYPE NULL TILEPROP NULL_X105Y116 CLASS tile TILEPROP NULL_X105Y116 COLUMN 105 TILEPROP NULL_X105Y116 DEVICE_ID 0 TILEPROP NULL_X105Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X105Y116 GRID_POINT_X 105 TILEPROP NULL_X105Y116 GRID_POINT_Y 40 TILEPROP NULL_X105Y116 INDEX 4705 TILEPROP NULL_X105Y116 INT_TILE_X -1 TILEPROP NULL_X105Y116 INT_TILE_Y -1 TILEPROP NULL_X105Y116 IS_CENTER_TILE 0 TILEPROP NULL_X105Y116 IS_DCM_TILE 0 TILEPROP NULL_X105Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y116 NAME NULL_X105Y116 TILEPROP NULL_X105Y116 NUM_ARCS 0 TILEPROP NULL_X105Y116 NUM_SITES 0 TILEPROP NULL_X105Y116 ROW 40 TILEPROP NULL_X105Y116 SLR_REGION_ID 0 TILEPROP NULL_X105Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y116 TILE_TYPE NULL TILEPROP NULL_X105Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y116 TILE_X 93574 TILEPROP NULL_X105Y116 TILE_Y 116472 TILEPROP NULL_X105Y116 TYPE NULL TILEPROP NULL_X105Y117 CLASS tile TILEPROP NULL_X105Y117 COLUMN 105 TILEPROP NULL_X105Y117 DEVICE_ID 0 TILEPROP NULL_X105Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X105Y117 GRID_POINT_X 105 TILEPROP NULL_X105Y117 GRID_POINT_Y 39 TILEPROP NULL_X105Y117 INDEX 4590 TILEPROP NULL_X105Y117 INT_TILE_X -1 TILEPROP NULL_X105Y117 INT_TILE_Y -1 TILEPROP NULL_X105Y117 IS_CENTER_TILE 0 TILEPROP NULL_X105Y117 IS_DCM_TILE 0 TILEPROP NULL_X105Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y117 NAME NULL_X105Y117 TILEPROP NULL_X105Y117 NUM_ARCS 0 TILEPROP NULL_X105Y117 NUM_SITES 0 TILEPROP NULL_X105Y117 ROW 39 TILEPROP NULL_X105Y117 SLR_REGION_ID 0 TILEPROP NULL_X105Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y117 TILE_TYPE NULL TILEPROP NULL_X105Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y117 TILE_X 93574 TILEPROP NULL_X105Y117 TILE_Y 119672 TILEPROP NULL_X105Y117 TYPE NULL TILEPROP NULL_X105Y118 CLASS tile TILEPROP NULL_X105Y118 COLUMN 105 TILEPROP NULL_X105Y118 DEVICE_ID 0 TILEPROP NULL_X105Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X105Y118 GRID_POINT_X 105 TILEPROP NULL_X105Y118 GRID_POINT_Y 38 TILEPROP NULL_X105Y118 INDEX 4475 TILEPROP NULL_X105Y118 INT_TILE_X -1 TILEPROP NULL_X105Y118 INT_TILE_Y -1 TILEPROP NULL_X105Y118 IS_CENTER_TILE 0 TILEPROP NULL_X105Y118 IS_DCM_TILE 0 TILEPROP NULL_X105Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y118 NAME NULL_X105Y118 TILEPROP NULL_X105Y118 NUM_ARCS 0 TILEPROP NULL_X105Y118 NUM_SITES 0 TILEPROP NULL_X105Y118 ROW 38 TILEPROP NULL_X105Y118 SLR_REGION_ID 0 TILEPROP NULL_X105Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y118 TILE_TYPE NULL TILEPROP NULL_X105Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y118 TILE_X 93574 TILEPROP NULL_X105Y118 TILE_Y 122872 TILEPROP NULL_X105Y118 TYPE NULL TILEPROP NULL_X105Y119 CLASS tile TILEPROP NULL_X105Y119 COLUMN 105 TILEPROP NULL_X105Y119 DEVICE_ID 0 TILEPROP NULL_X105Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X105Y119 GRID_POINT_X 105 TILEPROP NULL_X105Y119 GRID_POINT_Y 37 TILEPROP NULL_X105Y119 INDEX 4360 TILEPROP NULL_X105Y119 INT_TILE_X -1 TILEPROP NULL_X105Y119 INT_TILE_Y -1 TILEPROP NULL_X105Y119 IS_CENTER_TILE 0 TILEPROP NULL_X105Y119 IS_DCM_TILE 0 TILEPROP NULL_X105Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y119 NAME NULL_X105Y119 TILEPROP NULL_X105Y119 NUM_ARCS 0 TILEPROP NULL_X105Y119 NUM_SITES 0 TILEPROP NULL_X105Y119 ROW 37 TILEPROP NULL_X105Y119 SLR_REGION_ID 0 TILEPROP NULL_X105Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y119 TILE_TYPE NULL TILEPROP NULL_X105Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y119 TILE_X 93574 TILEPROP NULL_X105Y119 TILE_Y 126072 TILEPROP NULL_X105Y119 TYPE NULL TILEPROP NULL_X105Y120 CLASS tile TILEPROP NULL_X105Y120 COLUMN 105 TILEPROP NULL_X105Y120 DEVICE_ID 0 TILEPROP NULL_X105Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X105Y120 GRID_POINT_X 105 TILEPROP NULL_X105Y120 GRID_POINT_Y 36 TILEPROP NULL_X105Y120 INDEX 4245 TILEPROP NULL_X105Y120 INT_TILE_X -1 TILEPROP NULL_X105Y120 INT_TILE_Y -1 TILEPROP NULL_X105Y120 IS_CENTER_TILE 0 TILEPROP NULL_X105Y120 IS_DCM_TILE 0 TILEPROP NULL_X105Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y120 NAME NULL_X105Y120 TILEPROP NULL_X105Y120 NUM_ARCS 0 TILEPROP NULL_X105Y120 NUM_SITES 0 TILEPROP NULL_X105Y120 ROW 36 TILEPROP NULL_X105Y120 SLR_REGION_ID 0 TILEPROP NULL_X105Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y120 TILE_TYPE NULL TILEPROP NULL_X105Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y120 TILE_X 93574 TILEPROP NULL_X105Y120 TILE_Y 129272 TILEPROP NULL_X105Y120 TYPE NULL TILEPROP NULL_X105Y121 CLASS tile TILEPROP NULL_X105Y121 COLUMN 105 TILEPROP NULL_X105Y121 DEVICE_ID 0 TILEPROP NULL_X105Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X105Y121 GRID_POINT_X 105 TILEPROP NULL_X105Y121 GRID_POINT_Y 35 TILEPROP NULL_X105Y121 INDEX 4130 TILEPROP NULL_X105Y121 INT_TILE_X -1 TILEPROP NULL_X105Y121 INT_TILE_Y -1 TILEPROP NULL_X105Y121 IS_CENTER_TILE 0 TILEPROP NULL_X105Y121 IS_DCM_TILE 0 TILEPROP NULL_X105Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y121 NAME NULL_X105Y121 TILEPROP NULL_X105Y121 NUM_ARCS 0 TILEPROP NULL_X105Y121 NUM_SITES 0 TILEPROP NULL_X105Y121 ROW 35 TILEPROP NULL_X105Y121 SLR_REGION_ID 0 TILEPROP NULL_X105Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y121 TILE_TYPE NULL TILEPROP NULL_X105Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y121 TILE_X 93574 TILEPROP NULL_X105Y121 TILE_Y 132472 TILEPROP NULL_X105Y121 TYPE NULL TILEPROP NULL_X105Y122 CLASS tile TILEPROP NULL_X105Y122 COLUMN 105 TILEPROP NULL_X105Y122 DEVICE_ID 0 TILEPROP NULL_X105Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X105Y122 GRID_POINT_X 105 TILEPROP NULL_X105Y122 GRID_POINT_Y 34 TILEPROP NULL_X105Y122 INDEX 4015 TILEPROP NULL_X105Y122 INT_TILE_X -1 TILEPROP NULL_X105Y122 INT_TILE_Y -1 TILEPROP NULL_X105Y122 IS_CENTER_TILE 0 TILEPROP NULL_X105Y122 IS_DCM_TILE 0 TILEPROP NULL_X105Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y122 NAME NULL_X105Y122 TILEPROP NULL_X105Y122 NUM_ARCS 0 TILEPROP NULL_X105Y122 NUM_SITES 0 TILEPROP NULL_X105Y122 ROW 34 TILEPROP NULL_X105Y122 SLR_REGION_ID 0 TILEPROP NULL_X105Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y122 TILE_TYPE NULL TILEPROP NULL_X105Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y122 TILE_X 93574 TILEPROP NULL_X105Y122 TILE_Y 135672 TILEPROP NULL_X105Y122 TYPE NULL TILEPROP NULL_X105Y123 CLASS tile TILEPROP NULL_X105Y123 COLUMN 105 TILEPROP NULL_X105Y123 DEVICE_ID 0 TILEPROP NULL_X105Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X105Y123 GRID_POINT_X 105 TILEPROP NULL_X105Y123 GRID_POINT_Y 33 TILEPROP NULL_X105Y123 INDEX 3900 TILEPROP NULL_X105Y123 INT_TILE_X -1 TILEPROP NULL_X105Y123 INT_TILE_Y -1 TILEPROP NULL_X105Y123 IS_CENTER_TILE 0 TILEPROP NULL_X105Y123 IS_DCM_TILE 0 TILEPROP NULL_X105Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y123 NAME NULL_X105Y123 TILEPROP NULL_X105Y123 NUM_ARCS 0 TILEPROP NULL_X105Y123 NUM_SITES 0 TILEPROP NULL_X105Y123 ROW 33 TILEPROP NULL_X105Y123 SLR_REGION_ID 0 TILEPROP NULL_X105Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y123 TILE_TYPE NULL TILEPROP NULL_X105Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y123 TILE_X 93574 TILEPROP NULL_X105Y123 TILE_Y 138872 TILEPROP NULL_X105Y123 TYPE NULL TILEPROP NULL_X105Y124 CLASS tile TILEPROP NULL_X105Y124 COLUMN 105 TILEPROP NULL_X105Y124 DEVICE_ID 0 TILEPROP NULL_X105Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X105Y124 GRID_POINT_X 105 TILEPROP NULL_X105Y124 GRID_POINT_Y 32 TILEPROP NULL_X105Y124 INDEX 3785 TILEPROP NULL_X105Y124 INT_TILE_X -1 TILEPROP NULL_X105Y124 INT_TILE_Y -1 TILEPROP NULL_X105Y124 IS_CENTER_TILE 0 TILEPROP NULL_X105Y124 IS_DCM_TILE 0 TILEPROP NULL_X105Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y124 NAME NULL_X105Y124 TILEPROP NULL_X105Y124 NUM_ARCS 0 TILEPROP NULL_X105Y124 NUM_SITES 0 TILEPROP NULL_X105Y124 ROW 32 TILEPROP NULL_X105Y124 SLR_REGION_ID 0 TILEPROP NULL_X105Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y124 TILE_TYPE NULL TILEPROP NULL_X105Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y124 TILE_X 93574 TILEPROP NULL_X105Y124 TILE_Y 142072 TILEPROP NULL_X105Y124 TYPE NULL TILEPROP NULL_X105Y125 CLASS tile TILEPROP NULL_X105Y125 COLUMN 105 TILEPROP NULL_X105Y125 DEVICE_ID 0 TILEPROP NULL_X105Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X105Y125 GRID_POINT_X 105 TILEPROP NULL_X105Y125 GRID_POINT_Y 31 TILEPROP NULL_X105Y125 INDEX 3670 TILEPROP NULL_X105Y125 INT_TILE_X -1 TILEPROP NULL_X105Y125 INT_TILE_Y -1 TILEPROP NULL_X105Y125 IS_CENTER_TILE 0 TILEPROP NULL_X105Y125 IS_DCM_TILE 0 TILEPROP NULL_X105Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y125 NAME NULL_X105Y125 TILEPROP NULL_X105Y125 NUM_ARCS 0 TILEPROP NULL_X105Y125 NUM_SITES 0 TILEPROP NULL_X105Y125 ROW 31 TILEPROP NULL_X105Y125 SLR_REGION_ID 0 TILEPROP NULL_X105Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y125 TILE_TYPE NULL TILEPROP NULL_X105Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y125 TILE_X 93574 TILEPROP NULL_X105Y125 TILE_Y 145272 TILEPROP NULL_X105Y125 TYPE NULL TILEPROP NULL_X105Y126 CLASS tile TILEPROP NULL_X105Y126 COLUMN 105 TILEPROP NULL_X105Y126 DEVICE_ID 0 TILEPROP NULL_X105Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X105Y126 GRID_POINT_X 105 TILEPROP NULL_X105Y126 GRID_POINT_Y 30 TILEPROP NULL_X105Y126 INDEX 3555 TILEPROP NULL_X105Y126 INT_TILE_X -1 TILEPROP NULL_X105Y126 INT_TILE_Y -1 TILEPROP NULL_X105Y126 IS_CENTER_TILE 0 TILEPROP NULL_X105Y126 IS_DCM_TILE 0 TILEPROP NULL_X105Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y126 NAME NULL_X105Y126 TILEPROP NULL_X105Y126 NUM_ARCS 0 TILEPROP NULL_X105Y126 NUM_SITES 0 TILEPROP NULL_X105Y126 ROW 30 TILEPROP NULL_X105Y126 SLR_REGION_ID 0 TILEPROP NULL_X105Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y126 TILE_TYPE NULL TILEPROP NULL_X105Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y126 TILE_X 93574 TILEPROP NULL_X105Y126 TILE_Y 148472 TILEPROP NULL_X105Y126 TYPE NULL TILEPROP NULL_X105Y127 CLASS tile TILEPROP NULL_X105Y127 COLUMN 105 TILEPROP NULL_X105Y127 DEVICE_ID 0 TILEPROP NULL_X105Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X105Y127 GRID_POINT_X 105 TILEPROP NULL_X105Y127 GRID_POINT_Y 29 TILEPROP NULL_X105Y127 INDEX 3440 TILEPROP NULL_X105Y127 INT_TILE_X -1 TILEPROP NULL_X105Y127 INT_TILE_Y -1 TILEPROP NULL_X105Y127 IS_CENTER_TILE 0 TILEPROP NULL_X105Y127 IS_DCM_TILE 0 TILEPROP NULL_X105Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y127 NAME NULL_X105Y127 TILEPROP NULL_X105Y127 NUM_ARCS 0 TILEPROP NULL_X105Y127 NUM_SITES 0 TILEPROP NULL_X105Y127 ROW 29 TILEPROP NULL_X105Y127 SLR_REGION_ID 0 TILEPROP NULL_X105Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y127 TILE_TYPE NULL TILEPROP NULL_X105Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y127 TILE_X 93574 TILEPROP NULL_X105Y127 TILE_Y 151672 TILEPROP NULL_X105Y127 TYPE NULL TILEPROP NULL_X105Y128 CLASS tile TILEPROP NULL_X105Y128 COLUMN 105 TILEPROP NULL_X105Y128 DEVICE_ID 0 TILEPROP NULL_X105Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X105Y128 GRID_POINT_X 105 TILEPROP NULL_X105Y128 GRID_POINT_Y 28 TILEPROP NULL_X105Y128 INDEX 3325 TILEPROP NULL_X105Y128 INT_TILE_X -1 TILEPROP NULL_X105Y128 INT_TILE_Y -1 TILEPROP NULL_X105Y128 IS_CENTER_TILE 0 TILEPROP NULL_X105Y128 IS_DCM_TILE 0 TILEPROP NULL_X105Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y128 NAME NULL_X105Y128 TILEPROP NULL_X105Y128 NUM_ARCS 0 TILEPROP NULL_X105Y128 NUM_SITES 0 TILEPROP NULL_X105Y128 ROW 28 TILEPROP NULL_X105Y128 SLR_REGION_ID 0 TILEPROP NULL_X105Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y128 TILE_TYPE NULL TILEPROP NULL_X105Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y128 TILE_X 93574 TILEPROP NULL_X105Y128 TILE_Y 154872 TILEPROP NULL_X105Y128 TYPE NULL TILEPROP NULL_X105Y129 CLASS tile TILEPROP NULL_X105Y129 COLUMN 105 TILEPROP NULL_X105Y129 DEVICE_ID 0 TILEPROP NULL_X105Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X105Y129 GRID_POINT_X 105 TILEPROP NULL_X105Y129 GRID_POINT_Y 27 TILEPROP NULL_X105Y129 INDEX 3210 TILEPROP NULL_X105Y129 INT_TILE_X -1 TILEPROP NULL_X105Y129 INT_TILE_Y -1 TILEPROP NULL_X105Y129 IS_CENTER_TILE 0 TILEPROP NULL_X105Y129 IS_DCM_TILE 0 TILEPROP NULL_X105Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y129 NAME NULL_X105Y129 TILEPROP NULL_X105Y129 NUM_ARCS 0 TILEPROP NULL_X105Y129 NUM_SITES 0 TILEPROP NULL_X105Y129 ROW 27 TILEPROP NULL_X105Y129 SLR_REGION_ID 0 TILEPROP NULL_X105Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y129 TILE_TYPE NULL TILEPROP NULL_X105Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y129 TILE_X 93574 TILEPROP NULL_X105Y129 TILE_Y 158072 TILEPROP NULL_X105Y129 TYPE NULL TILEPROP NULL_X105Y130 CLASS tile TILEPROP NULL_X105Y130 COLUMN 105 TILEPROP NULL_X105Y130 DEVICE_ID 0 TILEPROP NULL_X105Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X105Y130 GRID_POINT_X 105 TILEPROP NULL_X105Y130 GRID_POINT_Y 26 TILEPROP NULL_X105Y130 INDEX 3095 TILEPROP NULL_X105Y130 INT_TILE_X -1 TILEPROP NULL_X105Y130 INT_TILE_Y -1 TILEPROP NULL_X105Y130 IS_CENTER_TILE 0 TILEPROP NULL_X105Y130 IS_DCM_TILE 0 TILEPROP NULL_X105Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y130 NAME NULL_X105Y130 TILEPROP NULL_X105Y130 NUM_ARCS 0 TILEPROP NULL_X105Y130 NUM_SITES 0 TILEPROP NULL_X105Y130 ROW 26 TILEPROP NULL_X105Y130 SLR_REGION_ID 0 TILEPROP NULL_X105Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y130 TILE_TYPE NULL TILEPROP NULL_X105Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y130 TILE_X 93574 TILEPROP NULL_X105Y130 TILE_Y 161272 TILEPROP NULL_X105Y130 TYPE NULL TILEPROP NULL_X105Y131 CLASS tile TILEPROP NULL_X105Y131 COLUMN 105 TILEPROP NULL_X105Y131 DEVICE_ID 0 TILEPROP NULL_X105Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X105Y131 GRID_POINT_X 105 TILEPROP NULL_X105Y131 GRID_POINT_Y 25 TILEPROP NULL_X105Y131 INDEX 2980 TILEPROP NULL_X105Y131 INT_TILE_X -1 TILEPROP NULL_X105Y131 INT_TILE_Y -1 TILEPROP NULL_X105Y131 IS_CENTER_TILE 0 TILEPROP NULL_X105Y131 IS_DCM_TILE 0 TILEPROP NULL_X105Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y131 NAME NULL_X105Y131 TILEPROP NULL_X105Y131 NUM_ARCS 0 TILEPROP NULL_X105Y131 NUM_SITES 0 TILEPROP NULL_X105Y131 ROW 25 TILEPROP NULL_X105Y131 SLR_REGION_ID 0 TILEPROP NULL_X105Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y131 TILE_TYPE NULL TILEPROP NULL_X105Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y131 TILE_X 93574 TILEPROP NULL_X105Y131 TILE_Y 162296 TILEPROP NULL_X105Y131 TYPE NULL TILEPROP NULL_X105Y132 CLASS tile TILEPROP NULL_X105Y132 COLUMN 105 TILEPROP NULL_X105Y132 DEVICE_ID 0 TILEPROP NULL_X105Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X105Y132 GRID_POINT_X 105 TILEPROP NULL_X105Y132 GRID_POINT_Y 24 TILEPROP NULL_X105Y132 INDEX 2865 TILEPROP NULL_X105Y132 INT_TILE_X -1 TILEPROP NULL_X105Y132 INT_TILE_Y -1 TILEPROP NULL_X105Y132 IS_CENTER_TILE 0 TILEPROP NULL_X105Y132 IS_DCM_TILE 0 TILEPROP NULL_X105Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y132 NAME NULL_X105Y132 TILEPROP NULL_X105Y132 NUM_ARCS 0 TILEPROP NULL_X105Y132 NUM_SITES 0 TILEPROP NULL_X105Y132 ROW 24 TILEPROP NULL_X105Y132 SLR_REGION_ID 0 TILEPROP NULL_X105Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y132 TILE_TYPE NULL TILEPROP NULL_X105Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y132 TILE_X 93574 TILEPROP NULL_X105Y132 TILE_Y 165496 TILEPROP NULL_X105Y132 TYPE NULL TILEPROP NULL_X105Y133 CLASS tile TILEPROP NULL_X105Y133 COLUMN 105 TILEPROP NULL_X105Y133 DEVICE_ID 0 TILEPROP NULL_X105Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X105Y133 GRID_POINT_X 105 TILEPROP NULL_X105Y133 GRID_POINT_Y 23 TILEPROP NULL_X105Y133 INDEX 2750 TILEPROP NULL_X105Y133 INT_TILE_X -1 TILEPROP NULL_X105Y133 INT_TILE_Y -1 TILEPROP NULL_X105Y133 IS_CENTER_TILE 0 TILEPROP NULL_X105Y133 IS_DCM_TILE 0 TILEPROP NULL_X105Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y133 NAME NULL_X105Y133 TILEPROP NULL_X105Y133 NUM_ARCS 0 TILEPROP NULL_X105Y133 NUM_SITES 0 TILEPROP NULL_X105Y133 ROW 23 TILEPROP NULL_X105Y133 SLR_REGION_ID 0 TILEPROP NULL_X105Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y133 TILE_TYPE NULL TILEPROP NULL_X105Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y133 TILE_X 93574 TILEPROP NULL_X105Y133 TILE_Y 168696 TILEPROP NULL_X105Y133 TYPE NULL TILEPROP NULL_X105Y134 CLASS tile TILEPROP NULL_X105Y134 COLUMN 105 TILEPROP NULL_X105Y134 DEVICE_ID 0 TILEPROP NULL_X105Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X105Y134 GRID_POINT_X 105 TILEPROP NULL_X105Y134 GRID_POINT_Y 22 TILEPROP NULL_X105Y134 INDEX 2635 TILEPROP NULL_X105Y134 INT_TILE_X -1 TILEPROP NULL_X105Y134 INT_TILE_Y -1 TILEPROP NULL_X105Y134 IS_CENTER_TILE 0 TILEPROP NULL_X105Y134 IS_DCM_TILE 0 TILEPROP NULL_X105Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y134 NAME NULL_X105Y134 TILEPROP NULL_X105Y134 NUM_ARCS 0 TILEPROP NULL_X105Y134 NUM_SITES 0 TILEPROP NULL_X105Y134 ROW 22 TILEPROP NULL_X105Y134 SLR_REGION_ID 0 TILEPROP NULL_X105Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y134 TILE_TYPE NULL TILEPROP NULL_X105Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y134 TILE_X 93574 TILEPROP NULL_X105Y134 TILE_Y 171896 TILEPROP NULL_X105Y134 TYPE NULL TILEPROP NULL_X105Y135 CLASS tile TILEPROP NULL_X105Y135 COLUMN 105 TILEPROP NULL_X105Y135 DEVICE_ID 0 TILEPROP NULL_X105Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X105Y135 GRID_POINT_X 105 TILEPROP NULL_X105Y135 GRID_POINT_Y 21 TILEPROP NULL_X105Y135 INDEX 2520 TILEPROP NULL_X105Y135 INT_TILE_X -1 TILEPROP NULL_X105Y135 INT_TILE_Y -1 TILEPROP NULL_X105Y135 IS_CENTER_TILE 0 TILEPROP NULL_X105Y135 IS_DCM_TILE 0 TILEPROP NULL_X105Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y135 NAME NULL_X105Y135 TILEPROP NULL_X105Y135 NUM_ARCS 0 TILEPROP NULL_X105Y135 NUM_SITES 0 TILEPROP NULL_X105Y135 ROW 21 TILEPROP NULL_X105Y135 SLR_REGION_ID 0 TILEPROP NULL_X105Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y135 TILE_TYPE NULL TILEPROP NULL_X105Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y135 TILE_X 93574 TILEPROP NULL_X105Y135 TILE_Y 175096 TILEPROP NULL_X105Y135 TYPE NULL TILEPROP NULL_X105Y136 CLASS tile TILEPROP NULL_X105Y136 COLUMN 105 TILEPROP NULL_X105Y136 DEVICE_ID 0 TILEPROP NULL_X105Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X105Y136 GRID_POINT_X 105 TILEPROP NULL_X105Y136 GRID_POINT_Y 20 TILEPROP NULL_X105Y136 INDEX 2405 TILEPROP NULL_X105Y136 INT_TILE_X -1 TILEPROP NULL_X105Y136 INT_TILE_Y -1 TILEPROP NULL_X105Y136 IS_CENTER_TILE 0 TILEPROP NULL_X105Y136 IS_DCM_TILE 0 TILEPROP NULL_X105Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y136 NAME NULL_X105Y136 TILEPROP NULL_X105Y136 NUM_ARCS 0 TILEPROP NULL_X105Y136 NUM_SITES 0 TILEPROP NULL_X105Y136 ROW 20 TILEPROP NULL_X105Y136 SLR_REGION_ID 0 TILEPROP NULL_X105Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y136 TILE_TYPE NULL TILEPROP NULL_X105Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y136 TILE_X 93574 TILEPROP NULL_X105Y136 TILE_Y 178296 TILEPROP NULL_X105Y136 TYPE NULL TILEPROP NULL_X105Y137 CLASS tile TILEPROP NULL_X105Y137 COLUMN 105 TILEPROP NULL_X105Y137 DEVICE_ID 0 TILEPROP NULL_X105Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X105Y137 GRID_POINT_X 105 TILEPROP NULL_X105Y137 GRID_POINT_Y 19 TILEPROP NULL_X105Y137 INDEX 2290 TILEPROP NULL_X105Y137 INT_TILE_X -1 TILEPROP NULL_X105Y137 INT_TILE_Y -1 TILEPROP NULL_X105Y137 IS_CENTER_TILE 0 TILEPROP NULL_X105Y137 IS_DCM_TILE 0 TILEPROP NULL_X105Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y137 NAME NULL_X105Y137 TILEPROP NULL_X105Y137 NUM_ARCS 0 TILEPROP NULL_X105Y137 NUM_SITES 0 TILEPROP NULL_X105Y137 ROW 19 TILEPROP NULL_X105Y137 SLR_REGION_ID 0 TILEPROP NULL_X105Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y137 TILE_TYPE NULL TILEPROP NULL_X105Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y137 TILE_X 93574 TILEPROP NULL_X105Y137 TILE_Y 181496 TILEPROP NULL_X105Y137 TYPE NULL TILEPROP NULL_X105Y138 CLASS tile TILEPROP NULL_X105Y138 COLUMN 105 TILEPROP NULL_X105Y138 DEVICE_ID 0 TILEPROP NULL_X105Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X105Y138 GRID_POINT_X 105 TILEPROP NULL_X105Y138 GRID_POINT_Y 18 TILEPROP NULL_X105Y138 INDEX 2175 TILEPROP NULL_X105Y138 INT_TILE_X -1 TILEPROP NULL_X105Y138 INT_TILE_Y -1 TILEPROP NULL_X105Y138 IS_CENTER_TILE 0 TILEPROP NULL_X105Y138 IS_DCM_TILE 0 TILEPROP NULL_X105Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y138 NAME NULL_X105Y138 TILEPROP NULL_X105Y138 NUM_ARCS 0 TILEPROP NULL_X105Y138 NUM_SITES 0 TILEPROP NULL_X105Y138 ROW 18 TILEPROP NULL_X105Y138 SLR_REGION_ID 0 TILEPROP NULL_X105Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y138 TILE_TYPE NULL TILEPROP NULL_X105Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y138 TILE_X 93574 TILEPROP NULL_X105Y138 TILE_Y 184696 TILEPROP NULL_X105Y138 TYPE NULL TILEPROP NULL_X105Y139 CLASS tile TILEPROP NULL_X105Y139 COLUMN 105 TILEPROP NULL_X105Y139 DEVICE_ID 0 TILEPROP NULL_X105Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X105Y139 GRID_POINT_X 105 TILEPROP NULL_X105Y139 GRID_POINT_Y 17 TILEPROP NULL_X105Y139 INDEX 2060 TILEPROP NULL_X105Y139 INT_TILE_X -1 TILEPROP NULL_X105Y139 INT_TILE_Y -1 TILEPROP NULL_X105Y139 IS_CENTER_TILE 0 TILEPROP NULL_X105Y139 IS_DCM_TILE 0 TILEPROP NULL_X105Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y139 NAME NULL_X105Y139 TILEPROP NULL_X105Y139 NUM_ARCS 0 TILEPROP NULL_X105Y139 NUM_SITES 0 TILEPROP NULL_X105Y139 ROW 17 TILEPROP NULL_X105Y139 SLR_REGION_ID 0 TILEPROP NULL_X105Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y139 TILE_TYPE NULL TILEPROP NULL_X105Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y139 TILE_X 93574 TILEPROP NULL_X105Y139 TILE_Y 187896 TILEPROP NULL_X105Y139 TYPE NULL TILEPROP NULL_X105Y140 CLASS tile TILEPROP NULL_X105Y140 COLUMN 105 TILEPROP NULL_X105Y140 DEVICE_ID 0 TILEPROP NULL_X105Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X105Y140 GRID_POINT_X 105 TILEPROP NULL_X105Y140 GRID_POINT_Y 16 TILEPROP NULL_X105Y140 INDEX 1945 TILEPROP NULL_X105Y140 INT_TILE_X -1 TILEPROP NULL_X105Y140 INT_TILE_Y -1 TILEPROP NULL_X105Y140 IS_CENTER_TILE 0 TILEPROP NULL_X105Y140 IS_DCM_TILE 0 TILEPROP NULL_X105Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y140 NAME NULL_X105Y140 TILEPROP NULL_X105Y140 NUM_ARCS 0 TILEPROP NULL_X105Y140 NUM_SITES 0 TILEPROP NULL_X105Y140 ROW 16 TILEPROP NULL_X105Y140 SLR_REGION_ID 0 TILEPROP NULL_X105Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y140 TILE_TYPE NULL TILEPROP NULL_X105Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y140 TILE_X 93574 TILEPROP NULL_X105Y140 TILE_Y 191096 TILEPROP NULL_X105Y140 TYPE NULL TILEPROP NULL_X105Y141 CLASS tile TILEPROP NULL_X105Y141 COLUMN 105 TILEPROP NULL_X105Y141 DEVICE_ID 0 TILEPROP NULL_X105Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X105Y141 GRID_POINT_X 105 TILEPROP NULL_X105Y141 GRID_POINT_Y 15 TILEPROP NULL_X105Y141 INDEX 1830 TILEPROP NULL_X105Y141 INT_TILE_X -1 TILEPROP NULL_X105Y141 INT_TILE_Y -1 TILEPROP NULL_X105Y141 IS_CENTER_TILE 0 TILEPROP NULL_X105Y141 IS_DCM_TILE 0 TILEPROP NULL_X105Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y141 NAME NULL_X105Y141 TILEPROP NULL_X105Y141 NUM_ARCS 0 TILEPROP NULL_X105Y141 NUM_SITES 0 TILEPROP NULL_X105Y141 ROW 15 TILEPROP NULL_X105Y141 SLR_REGION_ID 0 TILEPROP NULL_X105Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y141 TILE_TYPE NULL TILEPROP NULL_X105Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y141 TILE_X 93574 TILEPROP NULL_X105Y141 TILE_Y 194296 TILEPROP NULL_X105Y141 TYPE NULL TILEPROP NULL_X105Y142 CLASS tile TILEPROP NULL_X105Y142 COLUMN 105 TILEPROP NULL_X105Y142 DEVICE_ID 0 TILEPROP NULL_X105Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X105Y142 GRID_POINT_X 105 TILEPROP NULL_X105Y142 GRID_POINT_Y 14 TILEPROP NULL_X105Y142 INDEX 1715 TILEPROP NULL_X105Y142 INT_TILE_X -1 TILEPROP NULL_X105Y142 INT_TILE_Y -1 TILEPROP NULL_X105Y142 IS_CENTER_TILE 0 TILEPROP NULL_X105Y142 IS_DCM_TILE 0 TILEPROP NULL_X105Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y142 NAME NULL_X105Y142 TILEPROP NULL_X105Y142 NUM_ARCS 0 TILEPROP NULL_X105Y142 NUM_SITES 0 TILEPROP NULL_X105Y142 ROW 14 TILEPROP NULL_X105Y142 SLR_REGION_ID 0 TILEPROP NULL_X105Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y142 TILE_TYPE NULL TILEPROP NULL_X105Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y142 TILE_X 93574 TILEPROP NULL_X105Y142 TILE_Y 197496 TILEPROP NULL_X105Y142 TYPE NULL TILEPROP NULL_X105Y143 CLASS tile TILEPROP NULL_X105Y143 COLUMN 105 TILEPROP NULL_X105Y143 DEVICE_ID 0 TILEPROP NULL_X105Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X105Y143 GRID_POINT_X 105 TILEPROP NULL_X105Y143 GRID_POINT_Y 13 TILEPROP NULL_X105Y143 INDEX 1600 TILEPROP NULL_X105Y143 INT_TILE_X -1 TILEPROP NULL_X105Y143 INT_TILE_Y -1 TILEPROP NULL_X105Y143 IS_CENTER_TILE 0 TILEPROP NULL_X105Y143 IS_DCM_TILE 0 TILEPROP NULL_X105Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y143 NAME NULL_X105Y143 TILEPROP NULL_X105Y143 NUM_ARCS 0 TILEPROP NULL_X105Y143 NUM_SITES 0 TILEPROP NULL_X105Y143 ROW 13 TILEPROP NULL_X105Y143 SLR_REGION_ID 0 TILEPROP NULL_X105Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y143 TILE_TYPE NULL TILEPROP NULL_X105Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y143 TILE_X 93574 TILEPROP NULL_X105Y143 TILE_Y 200696 TILEPROP NULL_X105Y143 TYPE NULL TILEPROP NULL_X105Y144 CLASS tile TILEPROP NULL_X105Y144 COLUMN 105 TILEPROP NULL_X105Y144 DEVICE_ID 0 TILEPROP NULL_X105Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X105Y144 GRID_POINT_X 105 TILEPROP NULL_X105Y144 GRID_POINT_Y 12 TILEPROP NULL_X105Y144 INDEX 1485 TILEPROP NULL_X105Y144 INT_TILE_X -1 TILEPROP NULL_X105Y144 INT_TILE_Y -1 TILEPROP NULL_X105Y144 IS_CENTER_TILE 0 TILEPROP NULL_X105Y144 IS_DCM_TILE 0 TILEPROP NULL_X105Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y144 NAME NULL_X105Y144 TILEPROP NULL_X105Y144 NUM_ARCS 0 TILEPROP NULL_X105Y144 NUM_SITES 0 TILEPROP NULL_X105Y144 ROW 12 TILEPROP NULL_X105Y144 SLR_REGION_ID 0 TILEPROP NULL_X105Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y144 TILE_TYPE NULL TILEPROP NULL_X105Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y144 TILE_X 93574 TILEPROP NULL_X105Y144 TILE_Y 203896 TILEPROP NULL_X105Y144 TYPE NULL TILEPROP NULL_X105Y145 CLASS tile TILEPROP NULL_X105Y145 COLUMN 105 TILEPROP NULL_X105Y145 DEVICE_ID 0 TILEPROP NULL_X105Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X105Y145 GRID_POINT_X 105 TILEPROP NULL_X105Y145 GRID_POINT_Y 11 TILEPROP NULL_X105Y145 INDEX 1370 TILEPROP NULL_X105Y145 INT_TILE_X -1 TILEPROP NULL_X105Y145 INT_TILE_Y -1 TILEPROP NULL_X105Y145 IS_CENTER_TILE 0 TILEPROP NULL_X105Y145 IS_DCM_TILE 0 TILEPROP NULL_X105Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y145 NAME NULL_X105Y145 TILEPROP NULL_X105Y145 NUM_ARCS 0 TILEPROP NULL_X105Y145 NUM_SITES 0 TILEPROP NULL_X105Y145 ROW 11 TILEPROP NULL_X105Y145 SLR_REGION_ID 0 TILEPROP NULL_X105Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y145 TILE_TYPE NULL TILEPROP NULL_X105Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y145 TILE_X 93574 TILEPROP NULL_X105Y145 TILE_Y 207096 TILEPROP NULL_X105Y145 TYPE NULL TILEPROP NULL_X105Y146 CLASS tile TILEPROP NULL_X105Y146 COLUMN 105 TILEPROP NULL_X105Y146 DEVICE_ID 0 TILEPROP NULL_X105Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X105Y146 GRID_POINT_X 105 TILEPROP NULL_X105Y146 GRID_POINT_Y 10 TILEPROP NULL_X105Y146 INDEX 1255 TILEPROP NULL_X105Y146 INT_TILE_X -1 TILEPROP NULL_X105Y146 INT_TILE_Y -1 TILEPROP NULL_X105Y146 IS_CENTER_TILE 0 TILEPROP NULL_X105Y146 IS_DCM_TILE 0 TILEPROP NULL_X105Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y146 NAME NULL_X105Y146 TILEPROP NULL_X105Y146 NUM_ARCS 0 TILEPROP NULL_X105Y146 NUM_SITES 0 TILEPROP NULL_X105Y146 ROW 10 TILEPROP NULL_X105Y146 SLR_REGION_ID 0 TILEPROP NULL_X105Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y146 TILE_TYPE NULL TILEPROP NULL_X105Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y146 TILE_X 93574 TILEPROP NULL_X105Y146 TILE_Y 210296 TILEPROP NULL_X105Y146 TYPE NULL TILEPROP NULL_X105Y147 CLASS tile TILEPROP NULL_X105Y147 COLUMN 105 TILEPROP NULL_X105Y147 DEVICE_ID 0 TILEPROP NULL_X105Y147 FIRST_SITE_ID 920 TILEPROP NULL_X105Y147 GRID_POINT_X 105 TILEPROP NULL_X105Y147 GRID_POINT_Y 9 TILEPROP NULL_X105Y147 INDEX 1140 TILEPROP NULL_X105Y147 INT_TILE_X -1 TILEPROP NULL_X105Y147 INT_TILE_Y -1 TILEPROP NULL_X105Y147 IS_CENTER_TILE 0 TILEPROP NULL_X105Y147 IS_DCM_TILE 0 TILEPROP NULL_X105Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y147 NAME NULL_X105Y147 TILEPROP NULL_X105Y147 NUM_ARCS 0 TILEPROP NULL_X105Y147 NUM_SITES 0 TILEPROP NULL_X105Y147 ROW 9 TILEPROP NULL_X105Y147 SLR_REGION_ID 0 TILEPROP NULL_X105Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y147 TILE_TYPE NULL TILEPROP NULL_X105Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y147 TILE_X 93574 TILEPROP NULL_X105Y147 TILE_Y 213496 TILEPROP NULL_X105Y147 TYPE NULL TILEPROP NULL_X105Y148 CLASS tile TILEPROP NULL_X105Y148 COLUMN 105 TILEPROP NULL_X105Y148 DEVICE_ID 0 TILEPROP NULL_X105Y148 FIRST_SITE_ID 816 TILEPROP NULL_X105Y148 GRID_POINT_X 105 TILEPROP NULL_X105Y148 GRID_POINT_Y 8 TILEPROP NULL_X105Y148 INDEX 1025 TILEPROP NULL_X105Y148 INT_TILE_X -1 TILEPROP NULL_X105Y148 INT_TILE_Y -1 TILEPROP NULL_X105Y148 IS_CENTER_TILE 0 TILEPROP NULL_X105Y148 IS_DCM_TILE 0 TILEPROP NULL_X105Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y148 NAME NULL_X105Y148 TILEPROP NULL_X105Y148 NUM_ARCS 0 TILEPROP NULL_X105Y148 NUM_SITES 0 TILEPROP NULL_X105Y148 ROW 8 TILEPROP NULL_X105Y148 SLR_REGION_ID 0 TILEPROP NULL_X105Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y148 TILE_TYPE NULL TILEPROP NULL_X105Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y148 TILE_X 93574 TILEPROP NULL_X105Y148 TILE_Y 216696 TILEPROP NULL_X105Y148 TYPE NULL TILEPROP NULL_X105Y149 CLASS tile TILEPROP NULL_X105Y149 COLUMN 105 TILEPROP NULL_X105Y149 DEVICE_ID 0 TILEPROP NULL_X105Y149 FIRST_SITE_ID 719 TILEPROP NULL_X105Y149 GRID_POINT_X 105 TILEPROP NULL_X105Y149 GRID_POINT_Y 7 TILEPROP NULL_X105Y149 INDEX 910 TILEPROP NULL_X105Y149 INT_TILE_X -1 TILEPROP NULL_X105Y149 INT_TILE_Y -1 TILEPROP NULL_X105Y149 IS_CENTER_TILE 0 TILEPROP NULL_X105Y149 IS_DCM_TILE 0 TILEPROP NULL_X105Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y149 NAME NULL_X105Y149 TILEPROP NULL_X105Y149 NUM_ARCS 0 TILEPROP NULL_X105Y149 NUM_SITES 0 TILEPROP NULL_X105Y149 ROW 7 TILEPROP NULL_X105Y149 SLR_REGION_ID 0 TILEPROP NULL_X105Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y149 TILE_TYPE NULL TILEPROP NULL_X105Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y149 TILE_X 93574 TILEPROP NULL_X105Y149 TILE_Y 219896 TILEPROP NULL_X105Y149 TYPE NULL TILEPROP NULL_X105Y150 CLASS tile TILEPROP NULL_X105Y150 COLUMN 105 TILEPROP NULL_X105Y150 DEVICE_ID 0 TILEPROP NULL_X105Y150 FIRST_SITE_ID 613 TILEPROP NULL_X105Y150 GRID_POINT_X 105 TILEPROP NULL_X105Y150 GRID_POINT_Y 6 TILEPROP NULL_X105Y150 INDEX 795 TILEPROP NULL_X105Y150 INT_TILE_X -1 TILEPROP NULL_X105Y150 INT_TILE_Y -1 TILEPROP NULL_X105Y150 IS_CENTER_TILE 0 TILEPROP NULL_X105Y150 IS_DCM_TILE 0 TILEPROP NULL_X105Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y150 NAME NULL_X105Y150 TILEPROP NULL_X105Y150 NUM_ARCS 0 TILEPROP NULL_X105Y150 NUM_SITES 0 TILEPROP NULL_X105Y150 ROW 6 TILEPROP NULL_X105Y150 SLR_REGION_ID 0 TILEPROP NULL_X105Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y150 TILE_TYPE NULL TILEPROP NULL_X105Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y150 TILE_X 93574 TILEPROP NULL_X105Y150 TILE_Y 223096 TILEPROP NULL_X105Y150 TYPE NULL TILEPROP NULL_X105Y151 CLASS tile TILEPROP NULL_X105Y151 COLUMN 105 TILEPROP NULL_X105Y151 DEVICE_ID 0 TILEPROP NULL_X105Y151 FIRST_SITE_ID 512 TILEPROP NULL_X105Y151 GRID_POINT_X 105 TILEPROP NULL_X105Y151 GRID_POINT_Y 5 TILEPROP NULL_X105Y151 INDEX 680 TILEPROP NULL_X105Y151 INT_TILE_X -1 TILEPROP NULL_X105Y151 INT_TILE_Y -1 TILEPROP NULL_X105Y151 IS_CENTER_TILE 0 TILEPROP NULL_X105Y151 IS_DCM_TILE 0 TILEPROP NULL_X105Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y151 NAME NULL_X105Y151 TILEPROP NULL_X105Y151 NUM_ARCS 0 TILEPROP NULL_X105Y151 NUM_SITES 0 TILEPROP NULL_X105Y151 ROW 5 TILEPROP NULL_X105Y151 SLR_REGION_ID 0 TILEPROP NULL_X105Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y151 TILE_TYPE NULL TILEPROP NULL_X105Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y151 TILE_X 93574 TILEPROP NULL_X105Y151 TILE_Y 226296 TILEPROP NULL_X105Y151 TYPE NULL TILEPROP NULL_X105Y152 CLASS tile TILEPROP NULL_X105Y152 COLUMN 105 TILEPROP NULL_X105Y152 DEVICE_ID 0 TILEPROP NULL_X105Y152 FIRST_SITE_ID 396 TILEPROP NULL_X105Y152 GRID_POINT_X 105 TILEPROP NULL_X105Y152 GRID_POINT_Y 4 TILEPROP NULL_X105Y152 INDEX 565 TILEPROP NULL_X105Y152 INT_TILE_X -1 TILEPROP NULL_X105Y152 INT_TILE_Y -1 TILEPROP NULL_X105Y152 IS_CENTER_TILE 0 TILEPROP NULL_X105Y152 IS_DCM_TILE 0 TILEPROP NULL_X105Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y152 NAME NULL_X105Y152 TILEPROP NULL_X105Y152 NUM_ARCS 0 TILEPROP NULL_X105Y152 NUM_SITES 0 TILEPROP NULL_X105Y152 ROW 4 TILEPROP NULL_X105Y152 SLR_REGION_ID 0 TILEPROP NULL_X105Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y152 TILE_TYPE NULL TILEPROP NULL_X105Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y152 TILE_X 93574 TILEPROP NULL_X105Y152 TILE_Y 229496 TILEPROP NULL_X105Y152 TYPE NULL TILEPROP NULL_X105Y153 CLASS tile TILEPROP NULL_X105Y153 COLUMN 105 TILEPROP NULL_X105Y153 DEVICE_ID 0 TILEPROP NULL_X105Y153 FIRST_SITE_ID 300 TILEPROP NULL_X105Y153 GRID_POINT_X 105 TILEPROP NULL_X105Y153 GRID_POINT_Y 3 TILEPROP NULL_X105Y153 INDEX 450 TILEPROP NULL_X105Y153 INT_TILE_X -1 TILEPROP NULL_X105Y153 INT_TILE_Y -1 TILEPROP NULL_X105Y153 IS_CENTER_TILE 0 TILEPROP NULL_X105Y153 IS_DCM_TILE 0 TILEPROP NULL_X105Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y153 NAME NULL_X105Y153 TILEPROP NULL_X105Y153 NUM_ARCS 0 TILEPROP NULL_X105Y153 NUM_SITES 0 TILEPROP NULL_X105Y153 ROW 3 TILEPROP NULL_X105Y153 SLR_REGION_ID 0 TILEPROP NULL_X105Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y153 TILE_TYPE NULL TILEPROP NULL_X105Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y153 TILE_X 93574 TILEPROP NULL_X105Y153 TILE_Y 232696 TILEPROP NULL_X105Y153 TYPE NULL TILEPROP NULL_X105Y154 CLASS tile TILEPROP NULL_X105Y154 COLUMN 105 TILEPROP NULL_X105Y154 DEVICE_ID 0 TILEPROP NULL_X105Y154 FIRST_SITE_ID 196 TILEPROP NULL_X105Y154 GRID_POINT_X 105 TILEPROP NULL_X105Y154 GRID_POINT_Y 2 TILEPROP NULL_X105Y154 INDEX 335 TILEPROP NULL_X105Y154 INT_TILE_X -1 TILEPROP NULL_X105Y154 INT_TILE_Y -1 TILEPROP NULL_X105Y154 IS_CENTER_TILE 0 TILEPROP NULL_X105Y154 IS_DCM_TILE 0 TILEPROP NULL_X105Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y154 NAME NULL_X105Y154 TILEPROP NULL_X105Y154 NUM_ARCS 0 TILEPROP NULL_X105Y154 NUM_SITES 0 TILEPROP NULL_X105Y154 ROW 2 TILEPROP NULL_X105Y154 SLR_REGION_ID 0 TILEPROP NULL_X105Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y154 TILE_TYPE NULL TILEPROP NULL_X105Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y154 TILE_X 93574 TILEPROP NULL_X105Y154 TILE_Y 235896 TILEPROP NULL_X105Y154 TYPE NULL TILEPROP NULL_X105Y155 CLASS tile TILEPROP NULL_X105Y155 COLUMN 105 TILEPROP NULL_X105Y155 DEVICE_ID 0 TILEPROP NULL_X105Y155 FIRST_SITE_ID 100 TILEPROP NULL_X105Y155 GRID_POINT_X 105 TILEPROP NULL_X105Y155 GRID_POINT_Y 1 TILEPROP NULL_X105Y155 INDEX 220 TILEPROP NULL_X105Y155 INT_TILE_X -1 TILEPROP NULL_X105Y155 INT_TILE_Y -1 TILEPROP NULL_X105Y155 IS_CENTER_TILE 0 TILEPROP NULL_X105Y155 IS_DCM_TILE 0 TILEPROP NULL_X105Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y155 NAME NULL_X105Y155 TILEPROP NULL_X105Y155 NUM_ARCS 0 TILEPROP NULL_X105Y155 NUM_SITES 0 TILEPROP NULL_X105Y155 ROW 1 TILEPROP NULL_X105Y155 SLR_REGION_ID 0 TILEPROP NULL_X105Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y155 TILE_TYPE NULL TILEPROP NULL_X105Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y155 TILE_X 93574 TILEPROP NULL_X105Y155 TILE_Y 239096 TILEPROP NULL_X105Y155 TYPE NULL TILEPROP NULL_X105Y156 CLASS tile TILEPROP NULL_X105Y156 COLUMN 105 TILEPROP NULL_X105Y156 DEVICE_ID 0 TILEPROP NULL_X105Y156 FIRST_SITE_ID 0 TILEPROP NULL_X105Y156 GRID_POINT_X 105 TILEPROP NULL_X105Y156 GRID_POINT_Y 0 TILEPROP NULL_X105Y156 INDEX 105 TILEPROP NULL_X105Y156 INT_TILE_X -1 TILEPROP NULL_X105Y156 INT_TILE_Y -1 TILEPROP NULL_X105Y156 IS_CENTER_TILE 0 TILEPROP NULL_X105Y156 IS_DCM_TILE 0 TILEPROP NULL_X105Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X105Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X105Y156 NAME NULL_X105Y156 TILEPROP NULL_X105Y156 NUM_ARCS 0 TILEPROP NULL_X105Y156 NUM_SITES 0 TILEPROP NULL_X105Y156 ROW 0 TILEPROP NULL_X105Y156 SLR_REGION_ID 0 TILEPROP NULL_X105Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X105Y156 TILE_TYPE NULL TILEPROP NULL_X105Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X105Y156 TILE_X 93574 TILEPROP NULL_X105Y156 TILE_Y 242296 TILEPROP NULL_X105Y156 TYPE NULL TILEPROP NULL_X106Y1 CLASS tile TILEPROP NULL_X106Y1 COLUMN 106 TILEPROP NULL_X106Y1 DEVICE_ID 0 TILEPROP NULL_X106Y1 FIRST_SITE_ID 15811 TILEPROP NULL_X106Y1 GRID_POINT_X 106 TILEPROP NULL_X106Y1 GRID_POINT_Y 155 TILEPROP NULL_X106Y1 INDEX 17931 TILEPROP NULL_X106Y1 INT_TILE_X 41 TILEPROP NULL_X106Y1 INT_TILE_Y 149 TILEPROP NULL_X106Y1 IS_CENTER_TILE 0 TILEPROP NULL_X106Y1 IS_DCM_TILE 0 TILEPROP NULL_X106Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y1 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y1 NAME NULL_X106Y1 TILEPROP NULL_X106Y1 NUM_ARCS 0 TILEPROP NULL_X106Y1 NUM_SITES 0 TILEPROP NULL_X106Y1 ROW 155 TILEPROP NULL_X106Y1 SLR_REGION_ID 0 TILEPROP NULL_X106Y1 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y1 TILE_TYPE NULL TILEPROP NULL_X106Y1 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y1 TILE_X 93638 TILEPROP NULL_X106Y1 TILE_Y -241272 TILEPROP NULL_X106Y1 TYPE NULL TILEPROP NULL_X106Y2 CLASS tile TILEPROP NULL_X106Y2 COLUMN 106 TILEPROP NULL_X106Y2 DEVICE_ID 0 TILEPROP NULL_X106Y2 FIRST_SITE_ID 15692 TILEPROP NULL_X106Y2 GRID_POINT_X 106 TILEPROP NULL_X106Y2 GRID_POINT_Y 154 TILEPROP NULL_X106Y2 INDEX 17816 TILEPROP NULL_X106Y2 INT_TILE_X 41 TILEPROP NULL_X106Y2 INT_TILE_Y 148 TILEPROP NULL_X106Y2 IS_CENTER_TILE 0 TILEPROP NULL_X106Y2 IS_DCM_TILE 0 TILEPROP NULL_X106Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y2 NAME NULL_X106Y2 TILEPROP NULL_X106Y2 NUM_ARCS 0 TILEPROP NULL_X106Y2 NUM_SITES 0 TILEPROP NULL_X106Y2 ROW 154 TILEPROP NULL_X106Y2 SLR_REGION_ID 0 TILEPROP NULL_X106Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y2 TILE_TYPE NULL TILEPROP NULL_X106Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y2 TILE_X 93638 TILEPROP NULL_X106Y2 TILE_Y -238072 TILEPROP NULL_X106Y2 TYPE NULL TILEPROP NULL_X106Y3 CLASS tile TILEPROP NULL_X106Y3 COLUMN 106 TILEPROP NULL_X106Y3 DEVICE_ID 0 TILEPROP NULL_X106Y3 FIRST_SITE_ID 15591 TILEPROP NULL_X106Y3 GRID_POINT_X 106 TILEPROP NULL_X106Y3 GRID_POINT_Y 153 TILEPROP NULL_X106Y3 INDEX 17701 TILEPROP NULL_X106Y3 INT_TILE_X 41 TILEPROP NULL_X106Y3 INT_TILE_Y 147 TILEPROP NULL_X106Y3 IS_CENTER_TILE 0 TILEPROP NULL_X106Y3 IS_DCM_TILE 0 TILEPROP NULL_X106Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y3 NAME NULL_X106Y3 TILEPROP NULL_X106Y3 NUM_ARCS 0 TILEPROP NULL_X106Y3 NUM_SITES 0 TILEPROP NULL_X106Y3 ROW 153 TILEPROP NULL_X106Y3 SLR_REGION_ID 0 TILEPROP NULL_X106Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y3 TILE_TYPE NULL TILEPROP NULL_X106Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y3 TILE_X 93638 TILEPROP NULL_X106Y3 TILE_Y -234872 TILEPROP NULL_X106Y3 TYPE NULL TILEPROP NULL_X106Y4 CLASS tile TILEPROP NULL_X106Y4 COLUMN 106 TILEPROP NULL_X106Y4 DEVICE_ID 0 TILEPROP NULL_X106Y4 FIRST_SITE_ID 15491 TILEPROP NULL_X106Y4 GRID_POINT_X 106 TILEPROP NULL_X106Y4 GRID_POINT_Y 152 TILEPROP NULL_X106Y4 INDEX 17586 TILEPROP NULL_X106Y4 INT_TILE_X 41 TILEPROP NULL_X106Y4 INT_TILE_Y 146 TILEPROP NULL_X106Y4 IS_CENTER_TILE 0 TILEPROP NULL_X106Y4 IS_DCM_TILE 0 TILEPROP NULL_X106Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y4 NAME NULL_X106Y4 TILEPROP NULL_X106Y4 NUM_ARCS 0 TILEPROP NULL_X106Y4 NUM_SITES 0 TILEPROP NULL_X106Y4 ROW 152 TILEPROP NULL_X106Y4 SLR_REGION_ID 0 TILEPROP NULL_X106Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y4 TILE_TYPE NULL TILEPROP NULL_X106Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y4 TILE_X 93638 TILEPROP NULL_X106Y4 TILE_Y -231672 TILEPROP NULL_X106Y4 TYPE NULL TILEPROP NULL_X106Y5 CLASS tile TILEPROP NULL_X106Y5 COLUMN 106 TILEPROP NULL_X106Y5 DEVICE_ID 0 TILEPROP NULL_X106Y5 FIRST_SITE_ID 15391 TILEPROP NULL_X106Y5 GRID_POINT_X 106 TILEPROP NULL_X106Y5 GRID_POINT_Y 151 TILEPROP NULL_X106Y5 INDEX 17471 TILEPROP NULL_X106Y5 INT_TILE_X 41 TILEPROP NULL_X106Y5 INT_TILE_Y 145 TILEPROP NULL_X106Y5 IS_CENTER_TILE 0 TILEPROP NULL_X106Y5 IS_DCM_TILE 0 TILEPROP NULL_X106Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y5 NAME NULL_X106Y5 TILEPROP NULL_X106Y5 NUM_ARCS 0 TILEPROP NULL_X106Y5 NUM_SITES 0 TILEPROP NULL_X106Y5 ROW 151 TILEPROP NULL_X106Y5 SLR_REGION_ID 0 TILEPROP NULL_X106Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y5 TILE_TYPE NULL TILEPROP NULL_X106Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y5 TILE_X 93638 TILEPROP NULL_X106Y5 TILE_Y -228472 TILEPROP NULL_X106Y5 TYPE NULL TILEPROP NULL_X106Y6 CLASS tile TILEPROP NULL_X106Y6 COLUMN 106 TILEPROP NULL_X106Y6 DEVICE_ID 0 TILEPROP NULL_X106Y6 FIRST_SITE_ID 15291 TILEPROP NULL_X106Y6 GRID_POINT_X 106 TILEPROP NULL_X106Y6 GRID_POINT_Y 150 TILEPROP NULL_X106Y6 INDEX 17356 TILEPROP NULL_X106Y6 INT_TILE_X 41 TILEPROP NULL_X106Y6 INT_TILE_Y 144 TILEPROP NULL_X106Y6 IS_CENTER_TILE 0 TILEPROP NULL_X106Y6 IS_DCM_TILE 0 TILEPROP NULL_X106Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y6 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y6 NAME NULL_X106Y6 TILEPROP NULL_X106Y6 NUM_ARCS 0 TILEPROP NULL_X106Y6 NUM_SITES 0 TILEPROP NULL_X106Y6 ROW 150 TILEPROP NULL_X106Y6 SLR_REGION_ID 0 TILEPROP NULL_X106Y6 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y6 TILE_TYPE NULL TILEPROP NULL_X106Y6 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y6 TILE_X 93638 TILEPROP NULL_X106Y6 TILE_Y -225272 TILEPROP NULL_X106Y6 TYPE NULL TILEPROP NULL_X106Y7 CLASS tile TILEPROP NULL_X106Y7 COLUMN 106 TILEPROP NULL_X106Y7 DEVICE_ID 0 TILEPROP NULL_X106Y7 FIRST_SITE_ID 15176 TILEPROP NULL_X106Y7 GRID_POINT_X 106 TILEPROP NULL_X106Y7 GRID_POINT_Y 149 TILEPROP NULL_X106Y7 INDEX 17241 TILEPROP NULL_X106Y7 INT_TILE_X 41 TILEPROP NULL_X106Y7 INT_TILE_Y 143 TILEPROP NULL_X106Y7 IS_CENTER_TILE 0 TILEPROP NULL_X106Y7 IS_DCM_TILE 0 TILEPROP NULL_X106Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y7 NAME NULL_X106Y7 TILEPROP NULL_X106Y7 NUM_ARCS 0 TILEPROP NULL_X106Y7 NUM_SITES 0 TILEPROP NULL_X106Y7 ROW 149 TILEPROP NULL_X106Y7 SLR_REGION_ID 0 TILEPROP NULL_X106Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y7 TILE_TYPE NULL TILEPROP NULL_X106Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y7 TILE_X 93638 TILEPROP NULL_X106Y7 TILE_Y -222072 TILEPROP NULL_X106Y7 TYPE NULL TILEPROP NULL_X106Y8 CLASS tile TILEPROP NULL_X106Y8 COLUMN 106 TILEPROP NULL_X106Y8 DEVICE_ID 0 TILEPROP NULL_X106Y8 FIRST_SITE_ID 15074 TILEPROP NULL_X106Y8 GRID_POINT_X 106 TILEPROP NULL_X106Y8 GRID_POINT_Y 148 TILEPROP NULL_X106Y8 INDEX 17126 TILEPROP NULL_X106Y8 INT_TILE_X 41 TILEPROP NULL_X106Y8 INT_TILE_Y 142 TILEPROP NULL_X106Y8 IS_CENTER_TILE 0 TILEPROP NULL_X106Y8 IS_DCM_TILE 0 TILEPROP NULL_X106Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y8 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y8 NAME NULL_X106Y8 TILEPROP NULL_X106Y8 NUM_ARCS 0 TILEPROP NULL_X106Y8 NUM_SITES 0 TILEPROP NULL_X106Y8 ROW 148 TILEPROP NULL_X106Y8 SLR_REGION_ID 0 TILEPROP NULL_X106Y8 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y8 TILE_TYPE NULL TILEPROP NULL_X106Y8 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y8 TILE_X 93638 TILEPROP NULL_X106Y8 TILE_Y -218872 TILEPROP NULL_X106Y8 TYPE NULL TILEPROP NULL_X106Y10 CLASS tile TILEPROP NULL_X106Y10 COLUMN 106 TILEPROP NULL_X106Y10 DEVICE_ID 0 TILEPROP NULL_X106Y10 FIRST_SITE_ID 14870 TILEPROP NULL_X106Y10 GRID_POINT_X 106 TILEPROP NULL_X106Y10 GRID_POINT_Y 146 TILEPROP NULL_X106Y10 INDEX 16896 TILEPROP NULL_X106Y10 INT_TILE_X 41 TILEPROP NULL_X106Y10 INT_TILE_Y 140 TILEPROP NULL_X106Y10 IS_CENTER_TILE 0 TILEPROP NULL_X106Y10 IS_DCM_TILE 0 TILEPROP NULL_X106Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y10 NAME NULL_X106Y10 TILEPROP NULL_X106Y10 NUM_ARCS 0 TILEPROP NULL_X106Y10 NUM_SITES 0 TILEPROP NULL_X106Y10 ROW 146 TILEPROP NULL_X106Y10 SLR_REGION_ID 0 TILEPROP NULL_X106Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y10 TILE_TYPE NULL TILEPROP NULL_X106Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y10 TILE_X 93638 TILEPROP NULL_X106Y10 TILE_Y -212472 TILEPROP NULL_X106Y10 TYPE NULL TILEPROP NULL_X106Y11 CLASS tile TILEPROP NULL_X106Y11 COLUMN 106 TILEPROP NULL_X106Y11 DEVICE_ID 0 TILEPROP NULL_X106Y11 FIRST_SITE_ID 14770 TILEPROP NULL_X106Y11 GRID_POINT_X 106 TILEPROP NULL_X106Y11 GRID_POINT_Y 145 TILEPROP NULL_X106Y11 INDEX 16781 TILEPROP NULL_X106Y11 INT_TILE_X 41 TILEPROP NULL_X106Y11 INT_TILE_Y 139 TILEPROP NULL_X106Y11 IS_CENTER_TILE 0 TILEPROP NULL_X106Y11 IS_DCM_TILE 0 TILEPROP NULL_X106Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y11 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y11 NAME NULL_X106Y11 TILEPROP NULL_X106Y11 NUM_ARCS 0 TILEPROP NULL_X106Y11 NUM_SITES 0 TILEPROP NULL_X106Y11 ROW 145 TILEPROP NULL_X106Y11 SLR_REGION_ID 0 TILEPROP NULL_X106Y11 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y11 TILE_TYPE NULL TILEPROP NULL_X106Y11 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y11 TILE_X 93638 TILEPROP NULL_X106Y11 TILE_Y -209272 TILEPROP NULL_X106Y11 TYPE NULL TILEPROP NULL_X106Y12 CLASS tile TILEPROP NULL_X106Y12 COLUMN 106 TILEPROP NULL_X106Y12 DEVICE_ID 0 TILEPROP NULL_X106Y12 FIRST_SITE_ID 14655 TILEPROP NULL_X106Y12 GRID_POINT_X 106 TILEPROP NULL_X106Y12 GRID_POINT_Y 144 TILEPROP NULL_X106Y12 INDEX 16666 TILEPROP NULL_X106Y12 INT_TILE_X 41 TILEPROP NULL_X106Y12 INT_TILE_Y 138 TILEPROP NULL_X106Y12 IS_CENTER_TILE 0 TILEPROP NULL_X106Y12 IS_DCM_TILE 0 TILEPROP NULL_X106Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y12 NAME NULL_X106Y12 TILEPROP NULL_X106Y12 NUM_ARCS 0 TILEPROP NULL_X106Y12 NUM_SITES 0 TILEPROP NULL_X106Y12 ROW 144 TILEPROP NULL_X106Y12 SLR_REGION_ID 0 TILEPROP NULL_X106Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y12 TILE_TYPE NULL TILEPROP NULL_X106Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y12 TILE_X 93638 TILEPROP NULL_X106Y12 TILE_Y -206072 TILEPROP NULL_X106Y12 TYPE NULL TILEPROP NULL_X106Y13 CLASS tile TILEPROP NULL_X106Y13 COLUMN 106 TILEPROP NULL_X106Y13 DEVICE_ID 0 TILEPROP NULL_X106Y13 FIRST_SITE_ID 14555 TILEPROP NULL_X106Y13 GRID_POINT_X 106 TILEPROP NULL_X106Y13 GRID_POINT_Y 143 TILEPROP NULL_X106Y13 INDEX 16551 TILEPROP NULL_X106Y13 INT_TILE_X 41 TILEPROP NULL_X106Y13 INT_TILE_Y 137 TILEPROP NULL_X106Y13 IS_CENTER_TILE 0 TILEPROP NULL_X106Y13 IS_DCM_TILE 0 TILEPROP NULL_X106Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y13 NAME NULL_X106Y13 TILEPROP NULL_X106Y13 NUM_ARCS 0 TILEPROP NULL_X106Y13 NUM_SITES 0 TILEPROP NULL_X106Y13 ROW 143 TILEPROP NULL_X106Y13 SLR_REGION_ID 0 TILEPROP NULL_X106Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y13 TILE_TYPE NULL TILEPROP NULL_X106Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y13 TILE_X 93638 TILEPROP NULL_X106Y13 TILE_Y -202872 TILEPROP NULL_X106Y13 TYPE NULL TILEPROP NULL_X106Y14 CLASS tile TILEPROP NULL_X106Y14 COLUMN 106 TILEPROP NULL_X106Y14 DEVICE_ID 0 TILEPROP NULL_X106Y14 FIRST_SITE_ID 14423 TILEPROP NULL_X106Y14 GRID_POINT_X 106 TILEPROP NULL_X106Y14 GRID_POINT_Y 142 TILEPROP NULL_X106Y14 INDEX 16436 TILEPROP NULL_X106Y14 INT_TILE_X 41 TILEPROP NULL_X106Y14 INT_TILE_Y 136 TILEPROP NULL_X106Y14 IS_CENTER_TILE 0 TILEPROP NULL_X106Y14 IS_DCM_TILE 0 TILEPROP NULL_X106Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y14 NAME NULL_X106Y14 TILEPROP NULL_X106Y14 NUM_ARCS 0 TILEPROP NULL_X106Y14 NUM_SITES 0 TILEPROP NULL_X106Y14 ROW 142 TILEPROP NULL_X106Y14 SLR_REGION_ID 0 TILEPROP NULL_X106Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y14 TILE_TYPE NULL TILEPROP NULL_X106Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y14 TILE_X 93638 TILEPROP NULL_X106Y14 TILE_Y -199672 TILEPROP NULL_X106Y14 TYPE NULL TILEPROP NULL_X106Y15 CLASS tile TILEPROP NULL_X106Y15 COLUMN 106 TILEPROP NULL_X106Y15 DEVICE_ID 0 TILEPROP NULL_X106Y15 FIRST_SITE_ID 14323 TILEPROP NULL_X106Y15 GRID_POINT_X 106 TILEPROP NULL_X106Y15 GRID_POINT_Y 141 TILEPROP NULL_X106Y15 INDEX 16321 TILEPROP NULL_X106Y15 INT_TILE_X 41 TILEPROP NULL_X106Y15 INT_TILE_Y 135 TILEPROP NULL_X106Y15 IS_CENTER_TILE 0 TILEPROP NULL_X106Y15 IS_DCM_TILE 0 TILEPROP NULL_X106Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y15 NAME NULL_X106Y15 TILEPROP NULL_X106Y15 NUM_ARCS 0 TILEPROP NULL_X106Y15 NUM_SITES 0 TILEPROP NULL_X106Y15 ROW 141 TILEPROP NULL_X106Y15 SLR_REGION_ID 0 TILEPROP NULL_X106Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y15 TILE_TYPE NULL TILEPROP NULL_X106Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y15 TILE_X 93638 TILEPROP NULL_X106Y15 TILE_Y -196472 TILEPROP NULL_X106Y15 TYPE NULL TILEPROP NULL_X106Y16 CLASS tile TILEPROP NULL_X106Y16 COLUMN 106 TILEPROP NULL_X106Y16 DEVICE_ID 0 TILEPROP NULL_X106Y16 FIRST_SITE_ID 14223 TILEPROP NULL_X106Y16 GRID_POINT_X 106 TILEPROP NULL_X106Y16 GRID_POINT_Y 140 TILEPROP NULL_X106Y16 INDEX 16206 TILEPROP NULL_X106Y16 INT_TILE_X 41 TILEPROP NULL_X106Y16 INT_TILE_Y 134 TILEPROP NULL_X106Y16 IS_CENTER_TILE 0 TILEPROP NULL_X106Y16 IS_DCM_TILE 0 TILEPROP NULL_X106Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y16 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y16 NAME NULL_X106Y16 TILEPROP NULL_X106Y16 NUM_ARCS 0 TILEPROP NULL_X106Y16 NUM_SITES 0 TILEPROP NULL_X106Y16 ROW 140 TILEPROP NULL_X106Y16 SLR_REGION_ID 0 TILEPROP NULL_X106Y16 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y16 TILE_TYPE NULL TILEPROP NULL_X106Y16 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y16 TILE_X 93638 TILEPROP NULL_X106Y16 TILE_Y -193272 TILEPROP NULL_X106Y16 TYPE NULL TILEPROP NULL_X106Y17 CLASS tile TILEPROP NULL_X106Y17 COLUMN 106 TILEPROP NULL_X106Y17 DEVICE_ID 0 TILEPROP NULL_X106Y17 FIRST_SITE_ID 14108 TILEPROP NULL_X106Y17 GRID_POINT_X 106 TILEPROP NULL_X106Y17 GRID_POINT_Y 139 TILEPROP NULL_X106Y17 INDEX 16091 TILEPROP NULL_X106Y17 INT_TILE_X 41 TILEPROP NULL_X106Y17 INT_TILE_Y 133 TILEPROP NULL_X106Y17 IS_CENTER_TILE 0 TILEPROP NULL_X106Y17 IS_DCM_TILE 0 TILEPROP NULL_X106Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y17 NAME NULL_X106Y17 TILEPROP NULL_X106Y17 NUM_ARCS 0 TILEPROP NULL_X106Y17 NUM_SITES 0 TILEPROP NULL_X106Y17 ROW 139 TILEPROP NULL_X106Y17 SLR_REGION_ID 0 TILEPROP NULL_X106Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y17 TILE_TYPE NULL TILEPROP NULL_X106Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y17 TILE_X 93638 TILEPROP NULL_X106Y17 TILE_Y -190072 TILEPROP NULL_X106Y17 TYPE NULL TILEPROP NULL_X106Y19 CLASS tile TILEPROP NULL_X106Y19 COLUMN 106 TILEPROP NULL_X106Y19 DEVICE_ID 0 TILEPROP NULL_X106Y19 FIRST_SITE_ID 13899 TILEPROP NULL_X106Y19 GRID_POINT_X 106 TILEPROP NULL_X106Y19 GRID_POINT_Y 137 TILEPROP NULL_X106Y19 INDEX 15861 TILEPROP NULL_X106Y19 INT_TILE_X 41 TILEPROP NULL_X106Y19 INT_TILE_Y 131 TILEPROP NULL_X106Y19 IS_CENTER_TILE 0 TILEPROP NULL_X106Y19 IS_DCM_TILE 0 TILEPROP NULL_X106Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y19 NAME NULL_X106Y19 TILEPROP NULL_X106Y19 NUM_ARCS 0 TILEPROP NULL_X106Y19 NUM_SITES 0 TILEPROP NULL_X106Y19 ROW 137 TILEPROP NULL_X106Y19 SLR_REGION_ID 0 TILEPROP NULL_X106Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y19 TILE_TYPE NULL TILEPROP NULL_X106Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y19 TILE_X 93638 TILEPROP NULL_X106Y19 TILE_Y -183672 TILEPROP NULL_X106Y19 TYPE NULL TILEPROP NULL_X106Y20 CLASS tile TILEPROP NULL_X106Y20 COLUMN 106 TILEPROP NULL_X106Y20 DEVICE_ID 0 TILEPROP NULL_X106Y20 FIRST_SITE_ID 13797 TILEPROP NULL_X106Y20 GRID_POINT_X 106 TILEPROP NULL_X106Y20 GRID_POINT_Y 136 TILEPROP NULL_X106Y20 INDEX 15746 TILEPROP NULL_X106Y20 INT_TILE_X 41 TILEPROP NULL_X106Y20 INT_TILE_Y 130 TILEPROP NULL_X106Y20 IS_CENTER_TILE 0 TILEPROP NULL_X106Y20 IS_DCM_TILE 0 TILEPROP NULL_X106Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y20 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y20 NAME NULL_X106Y20 TILEPROP NULL_X106Y20 NUM_ARCS 0 TILEPROP NULL_X106Y20 NUM_SITES 0 TILEPROP NULL_X106Y20 ROW 136 TILEPROP NULL_X106Y20 SLR_REGION_ID 0 TILEPROP NULL_X106Y20 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y20 TILE_TYPE NULL TILEPROP NULL_X106Y20 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y20 TILE_X 93638 TILEPROP NULL_X106Y20 TILE_Y -180472 TILEPROP NULL_X106Y20 TYPE NULL TILEPROP NULL_X106Y21 CLASS tile TILEPROP NULL_X106Y21 COLUMN 106 TILEPROP NULL_X106Y21 DEVICE_ID 0 TILEPROP NULL_X106Y21 FIRST_SITE_ID 13695 TILEPROP NULL_X106Y21 GRID_POINT_X 106 TILEPROP NULL_X106Y21 GRID_POINT_Y 135 TILEPROP NULL_X106Y21 INDEX 15631 TILEPROP NULL_X106Y21 INT_TILE_X 41 TILEPROP NULL_X106Y21 INT_TILE_Y 129 TILEPROP NULL_X106Y21 IS_CENTER_TILE 0 TILEPROP NULL_X106Y21 IS_DCM_TILE 0 TILEPROP NULL_X106Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y21 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y21 NAME NULL_X106Y21 TILEPROP NULL_X106Y21 NUM_ARCS 0 TILEPROP NULL_X106Y21 NUM_SITES 0 TILEPROP NULL_X106Y21 ROW 135 TILEPROP NULL_X106Y21 SLR_REGION_ID 0 TILEPROP NULL_X106Y21 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y21 TILE_TYPE NULL TILEPROP NULL_X106Y21 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y21 TILE_X 93638 TILEPROP NULL_X106Y21 TILE_Y -177272 TILEPROP NULL_X106Y21 TYPE NULL TILEPROP NULL_X106Y22 CLASS tile TILEPROP NULL_X106Y22 COLUMN 106 TILEPROP NULL_X106Y22 DEVICE_ID 0 TILEPROP NULL_X106Y22 FIRST_SITE_ID 13580 TILEPROP NULL_X106Y22 GRID_POINT_X 106 TILEPROP NULL_X106Y22 GRID_POINT_Y 134 TILEPROP NULL_X106Y22 INDEX 15516 TILEPROP NULL_X106Y22 INT_TILE_X 41 TILEPROP NULL_X106Y22 INT_TILE_Y 128 TILEPROP NULL_X106Y22 IS_CENTER_TILE 0 TILEPROP NULL_X106Y22 IS_DCM_TILE 0 TILEPROP NULL_X106Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y22 NAME NULL_X106Y22 TILEPROP NULL_X106Y22 NUM_ARCS 0 TILEPROP NULL_X106Y22 NUM_SITES 0 TILEPROP NULL_X106Y22 ROW 134 TILEPROP NULL_X106Y22 SLR_REGION_ID 0 TILEPROP NULL_X106Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y22 TILE_TYPE NULL TILEPROP NULL_X106Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y22 TILE_X 93638 TILEPROP NULL_X106Y22 TILE_Y -174072 TILEPROP NULL_X106Y22 TYPE NULL TILEPROP NULL_X106Y23 CLASS tile TILEPROP NULL_X106Y23 COLUMN 106 TILEPROP NULL_X106Y23 DEVICE_ID 0 TILEPROP NULL_X106Y23 FIRST_SITE_ID 13480 TILEPROP NULL_X106Y23 GRID_POINT_X 106 TILEPROP NULL_X106Y23 GRID_POINT_Y 133 TILEPROP NULL_X106Y23 INDEX 15401 TILEPROP NULL_X106Y23 INT_TILE_X 41 TILEPROP NULL_X106Y23 INT_TILE_Y 127 TILEPROP NULL_X106Y23 IS_CENTER_TILE 0 TILEPROP NULL_X106Y23 IS_DCM_TILE 0 TILEPROP NULL_X106Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y23 NAME NULL_X106Y23 TILEPROP NULL_X106Y23 NUM_ARCS 0 TILEPROP NULL_X106Y23 NUM_SITES 0 TILEPROP NULL_X106Y23 ROW 133 TILEPROP NULL_X106Y23 SLR_REGION_ID 0 TILEPROP NULL_X106Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y23 TILE_TYPE NULL TILEPROP NULL_X106Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y23 TILE_X 93638 TILEPROP NULL_X106Y23 TILE_Y -170872 TILEPROP NULL_X106Y23 TYPE NULL TILEPROP NULL_X106Y24 CLASS tile TILEPROP NULL_X106Y24 COLUMN 106 TILEPROP NULL_X106Y24 DEVICE_ID 0 TILEPROP NULL_X106Y24 FIRST_SITE_ID 13380 TILEPROP NULL_X106Y24 GRID_POINT_X 106 TILEPROP NULL_X106Y24 GRID_POINT_Y 132 TILEPROP NULL_X106Y24 INDEX 15286 TILEPROP NULL_X106Y24 INT_TILE_X 41 TILEPROP NULL_X106Y24 INT_TILE_Y 126 TILEPROP NULL_X106Y24 IS_CENTER_TILE 0 TILEPROP NULL_X106Y24 IS_DCM_TILE 0 TILEPROP NULL_X106Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y24 NAME NULL_X106Y24 TILEPROP NULL_X106Y24 NUM_ARCS 0 TILEPROP NULL_X106Y24 NUM_SITES 0 TILEPROP NULL_X106Y24 ROW 132 TILEPROP NULL_X106Y24 SLR_REGION_ID 0 TILEPROP NULL_X106Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y24 TILE_TYPE NULL TILEPROP NULL_X106Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y24 TILE_X 93638 TILEPROP NULL_X106Y24 TILE_Y -167672 TILEPROP NULL_X106Y24 TYPE NULL TILEPROP NULL_X106Y25 CLASS tile TILEPROP NULL_X106Y25 COLUMN 106 TILEPROP NULL_X106Y25 DEVICE_ID 0 TILEPROP NULL_X106Y25 FIRST_SITE_ID 13280 TILEPROP NULL_X106Y25 GRID_POINT_X 106 TILEPROP NULL_X106Y25 GRID_POINT_Y 131 TILEPROP NULL_X106Y25 INDEX 15171 TILEPROP NULL_X106Y25 INT_TILE_X 41 TILEPROP NULL_X106Y25 INT_TILE_Y 125 TILEPROP NULL_X106Y25 IS_CENTER_TILE 0 TILEPROP NULL_X106Y25 IS_DCM_TILE 0 TILEPROP NULL_X106Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y25 NAME NULL_X106Y25 TILEPROP NULL_X106Y25 NUM_ARCS 0 TILEPROP NULL_X106Y25 NUM_SITES 0 TILEPROP NULL_X106Y25 ROW 131 TILEPROP NULL_X106Y25 SLR_REGION_ID 0 TILEPROP NULL_X106Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y25 TILE_TYPE NULL TILEPROP NULL_X106Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y25 TILE_X 93638 TILEPROP NULL_X106Y25 TILE_Y -164472 TILEPROP NULL_X106Y25 TYPE NULL TILEPROP NULL_X106Y27 CLASS tile TILEPROP NULL_X106Y27 COLUMN 106 TILEPROP NULL_X106Y27 DEVICE_ID 0 TILEPROP NULL_X106Y27 FIRST_SITE_ID 13097 TILEPROP NULL_X106Y27 GRID_POINT_X 106 TILEPROP NULL_X106Y27 GRID_POINT_Y 129 TILEPROP NULL_X106Y27 INDEX 14941 TILEPROP NULL_X106Y27 INT_TILE_X 41 TILEPROP NULL_X106Y27 INT_TILE_Y 124 TILEPROP NULL_X106Y27 IS_CENTER_TILE 0 TILEPROP NULL_X106Y27 IS_DCM_TILE 0 TILEPROP NULL_X106Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y27 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y27 NAME NULL_X106Y27 TILEPROP NULL_X106Y27 NUM_ARCS 0 TILEPROP NULL_X106Y27 NUM_SITES 0 TILEPROP NULL_X106Y27 ROW 129 TILEPROP NULL_X106Y27 SLR_REGION_ID 0 TILEPROP NULL_X106Y27 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y27 TILE_TYPE NULL TILEPROP NULL_X106Y27 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y27 TILE_X 93638 TILEPROP NULL_X106Y27 TILE_Y -160248 TILEPROP NULL_X106Y27 TYPE NULL TILEPROP NULL_X106Y28 CLASS tile TILEPROP NULL_X106Y28 COLUMN 106 TILEPROP NULL_X106Y28 DEVICE_ID 0 TILEPROP NULL_X106Y28 FIRST_SITE_ID 12982 TILEPROP NULL_X106Y28 GRID_POINT_X 106 TILEPROP NULL_X106Y28 GRID_POINT_Y 128 TILEPROP NULL_X106Y28 INDEX 14826 TILEPROP NULL_X106Y28 INT_TILE_X 41 TILEPROP NULL_X106Y28 INT_TILE_Y 123 TILEPROP NULL_X106Y28 IS_CENTER_TILE 0 TILEPROP NULL_X106Y28 IS_DCM_TILE 0 TILEPROP NULL_X106Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y28 NAME NULL_X106Y28 TILEPROP NULL_X106Y28 NUM_ARCS 0 TILEPROP NULL_X106Y28 NUM_SITES 0 TILEPROP NULL_X106Y28 ROW 128 TILEPROP NULL_X106Y28 SLR_REGION_ID 0 TILEPROP NULL_X106Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y28 TILE_TYPE NULL TILEPROP NULL_X106Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y28 TILE_X 93638 TILEPROP NULL_X106Y28 TILE_Y -157048 TILEPROP NULL_X106Y28 TYPE NULL TILEPROP NULL_X106Y29 CLASS tile TILEPROP NULL_X106Y29 COLUMN 106 TILEPROP NULL_X106Y29 DEVICE_ID 0 TILEPROP NULL_X106Y29 FIRST_SITE_ID 12882 TILEPROP NULL_X106Y29 GRID_POINT_X 106 TILEPROP NULL_X106Y29 GRID_POINT_Y 127 TILEPROP NULL_X106Y29 INDEX 14711 TILEPROP NULL_X106Y29 INT_TILE_X 41 TILEPROP NULL_X106Y29 INT_TILE_Y 122 TILEPROP NULL_X106Y29 IS_CENTER_TILE 0 TILEPROP NULL_X106Y29 IS_DCM_TILE 0 TILEPROP NULL_X106Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y29 NAME NULL_X106Y29 TILEPROP NULL_X106Y29 NUM_ARCS 0 TILEPROP NULL_X106Y29 NUM_SITES 0 TILEPROP NULL_X106Y29 ROW 127 TILEPROP NULL_X106Y29 SLR_REGION_ID 0 TILEPROP NULL_X106Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y29 TILE_TYPE NULL TILEPROP NULL_X106Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y29 TILE_X 93638 TILEPROP NULL_X106Y29 TILE_Y -153848 TILEPROP NULL_X106Y29 TYPE NULL TILEPROP NULL_X106Y30 CLASS tile TILEPROP NULL_X106Y30 COLUMN 106 TILEPROP NULL_X106Y30 DEVICE_ID 0 TILEPROP NULL_X106Y30 FIRST_SITE_ID 12782 TILEPROP NULL_X106Y30 GRID_POINT_X 106 TILEPROP NULL_X106Y30 GRID_POINT_Y 126 TILEPROP NULL_X106Y30 INDEX 14596 TILEPROP NULL_X106Y30 INT_TILE_X 41 TILEPROP NULL_X106Y30 INT_TILE_Y 121 TILEPROP NULL_X106Y30 IS_CENTER_TILE 0 TILEPROP NULL_X106Y30 IS_DCM_TILE 0 TILEPROP NULL_X106Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y30 NAME NULL_X106Y30 TILEPROP NULL_X106Y30 NUM_ARCS 0 TILEPROP NULL_X106Y30 NUM_SITES 0 TILEPROP NULL_X106Y30 ROW 126 TILEPROP NULL_X106Y30 SLR_REGION_ID 0 TILEPROP NULL_X106Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y30 TILE_TYPE NULL TILEPROP NULL_X106Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y30 TILE_X 93638 TILEPROP NULL_X106Y30 TILE_Y -150648 TILEPROP NULL_X106Y30 TYPE NULL TILEPROP NULL_X106Y32 CLASS tile TILEPROP NULL_X106Y32 COLUMN 106 TILEPROP NULL_X106Y32 DEVICE_ID 0 TILEPROP NULL_X106Y32 FIRST_SITE_ID 12570 TILEPROP NULL_X106Y32 GRID_POINT_X 106 TILEPROP NULL_X106Y32 GRID_POINT_Y 124 TILEPROP NULL_X106Y32 INDEX 14366 TILEPROP NULL_X106Y32 INT_TILE_X 41 TILEPROP NULL_X106Y32 INT_TILE_Y 119 TILEPROP NULL_X106Y32 IS_CENTER_TILE 0 TILEPROP NULL_X106Y32 IS_DCM_TILE 0 TILEPROP NULL_X106Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y32 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y32 NAME NULL_X106Y32 TILEPROP NULL_X106Y32 NUM_ARCS 0 TILEPROP NULL_X106Y32 NUM_SITES 0 TILEPROP NULL_X106Y32 ROW 124 TILEPROP NULL_X106Y32 SLR_REGION_ID 0 TILEPROP NULL_X106Y32 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y32 TILE_TYPE NULL TILEPROP NULL_X106Y32 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y32 TILE_X 93638 TILEPROP NULL_X106Y32 TILE_Y -144248 TILEPROP NULL_X106Y32 TYPE NULL TILEPROP NULL_X106Y33 CLASS tile TILEPROP NULL_X106Y33 COLUMN 106 TILEPROP NULL_X106Y33 DEVICE_ID 0 TILEPROP NULL_X106Y33 FIRST_SITE_ID 12440 TILEPROP NULL_X106Y33 GRID_POINT_X 106 TILEPROP NULL_X106Y33 GRID_POINT_Y 123 TILEPROP NULL_X106Y33 INDEX 14251 TILEPROP NULL_X106Y33 INT_TILE_X 41 TILEPROP NULL_X106Y33 INT_TILE_Y 118 TILEPROP NULL_X106Y33 IS_CENTER_TILE 0 TILEPROP NULL_X106Y33 IS_DCM_TILE 0 TILEPROP NULL_X106Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y33 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y33 NAME NULL_X106Y33 TILEPROP NULL_X106Y33 NUM_ARCS 0 TILEPROP NULL_X106Y33 NUM_SITES 0 TILEPROP NULL_X106Y33 ROW 123 TILEPROP NULL_X106Y33 SLR_REGION_ID 0 TILEPROP NULL_X106Y33 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y33 TILE_TYPE NULL TILEPROP NULL_X106Y33 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y33 TILE_X 93638 TILEPROP NULL_X106Y33 TILE_Y -141048 TILEPROP NULL_X106Y33 TYPE NULL TILEPROP NULL_X106Y34 CLASS tile TILEPROP NULL_X106Y34 COLUMN 106 TILEPROP NULL_X106Y34 DEVICE_ID 0 TILEPROP NULL_X106Y34 FIRST_SITE_ID 12338 TILEPROP NULL_X106Y34 GRID_POINT_X 106 TILEPROP NULL_X106Y34 GRID_POINT_Y 122 TILEPROP NULL_X106Y34 INDEX 14136 TILEPROP NULL_X106Y34 INT_TILE_X 41 TILEPROP NULL_X106Y34 INT_TILE_Y 117 TILEPROP NULL_X106Y34 IS_CENTER_TILE 0 TILEPROP NULL_X106Y34 IS_DCM_TILE 0 TILEPROP NULL_X106Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y34 NAME NULL_X106Y34 TILEPROP NULL_X106Y34 NUM_ARCS 0 TILEPROP NULL_X106Y34 NUM_SITES 0 TILEPROP NULL_X106Y34 ROW 122 TILEPROP NULL_X106Y34 SLR_REGION_ID 0 TILEPROP NULL_X106Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y34 TILE_TYPE NULL TILEPROP NULL_X106Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y34 TILE_X 93638 TILEPROP NULL_X106Y34 TILE_Y -137848 TILEPROP NULL_X106Y34 TYPE NULL TILEPROP NULL_X106Y35 CLASS tile TILEPROP NULL_X106Y35 COLUMN 106 TILEPROP NULL_X106Y35 DEVICE_ID 0 TILEPROP NULL_X106Y35 FIRST_SITE_ID 12237 TILEPROP NULL_X106Y35 GRID_POINT_X 106 TILEPROP NULL_X106Y35 GRID_POINT_Y 121 TILEPROP NULL_X106Y35 INDEX 14021 TILEPROP NULL_X106Y35 INT_TILE_X 41 TILEPROP NULL_X106Y35 INT_TILE_Y 116 TILEPROP NULL_X106Y35 IS_CENTER_TILE 0 TILEPROP NULL_X106Y35 IS_DCM_TILE 0 TILEPROP NULL_X106Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y35 NAME NULL_X106Y35 TILEPROP NULL_X106Y35 NUM_ARCS 0 TILEPROP NULL_X106Y35 NUM_SITES 0 TILEPROP NULL_X106Y35 ROW 121 TILEPROP NULL_X106Y35 SLR_REGION_ID 0 TILEPROP NULL_X106Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y35 TILE_TYPE NULL TILEPROP NULL_X106Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y35 TILE_X 93638 TILEPROP NULL_X106Y35 TILE_Y -134648 TILEPROP NULL_X106Y35 TYPE NULL TILEPROP NULL_X106Y36 CLASS tile TILEPROP NULL_X106Y36 COLUMN 106 TILEPROP NULL_X106Y36 DEVICE_ID 0 TILEPROP NULL_X106Y36 FIRST_SITE_ID 12137 TILEPROP NULL_X106Y36 GRID_POINT_X 106 TILEPROP NULL_X106Y36 GRID_POINT_Y 120 TILEPROP NULL_X106Y36 INDEX 13906 TILEPROP NULL_X106Y36 INT_TILE_X 41 TILEPROP NULL_X106Y36 INT_TILE_Y 115 TILEPROP NULL_X106Y36 IS_CENTER_TILE 0 TILEPROP NULL_X106Y36 IS_DCM_TILE 0 TILEPROP NULL_X106Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y36 NAME NULL_X106Y36 TILEPROP NULL_X106Y36 NUM_ARCS 0 TILEPROP NULL_X106Y36 NUM_SITES 0 TILEPROP NULL_X106Y36 ROW 120 TILEPROP NULL_X106Y36 SLR_REGION_ID 0 TILEPROP NULL_X106Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y36 TILE_TYPE NULL TILEPROP NULL_X106Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y36 TILE_X 93638 TILEPROP NULL_X106Y36 TILE_Y -131448 TILEPROP NULL_X106Y36 TYPE NULL TILEPROP NULL_X106Y37 CLASS tile TILEPROP NULL_X106Y37 COLUMN 106 TILEPROP NULL_X106Y37 DEVICE_ID 0 TILEPROP NULL_X106Y37 FIRST_SITE_ID 12037 TILEPROP NULL_X106Y37 GRID_POINT_X 106 TILEPROP NULL_X106Y37 GRID_POINT_Y 119 TILEPROP NULL_X106Y37 INDEX 13791 TILEPROP NULL_X106Y37 INT_TILE_X 41 TILEPROP NULL_X106Y37 INT_TILE_Y 114 TILEPROP NULL_X106Y37 IS_CENTER_TILE 0 TILEPROP NULL_X106Y37 IS_DCM_TILE 0 TILEPROP NULL_X106Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y37 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y37 NAME NULL_X106Y37 TILEPROP NULL_X106Y37 NUM_ARCS 0 TILEPROP NULL_X106Y37 NUM_SITES 0 TILEPROP NULL_X106Y37 ROW 119 TILEPROP NULL_X106Y37 SLR_REGION_ID 0 TILEPROP NULL_X106Y37 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y37 TILE_TYPE NULL TILEPROP NULL_X106Y37 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y37 TILE_X 93638 TILEPROP NULL_X106Y37 TILE_Y -128248 TILEPROP NULL_X106Y37 TYPE NULL TILEPROP NULL_X106Y38 CLASS tile TILEPROP NULL_X106Y38 COLUMN 106 TILEPROP NULL_X106Y38 DEVICE_ID 0 TILEPROP NULL_X106Y38 FIRST_SITE_ID 11922 TILEPROP NULL_X106Y38 GRID_POINT_X 106 TILEPROP NULL_X106Y38 GRID_POINT_Y 118 TILEPROP NULL_X106Y38 INDEX 13676 TILEPROP NULL_X106Y38 INT_TILE_X 41 TILEPROP NULL_X106Y38 INT_TILE_Y 113 TILEPROP NULL_X106Y38 IS_CENTER_TILE 0 TILEPROP NULL_X106Y38 IS_DCM_TILE 0 TILEPROP NULL_X106Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y38 NAME NULL_X106Y38 TILEPROP NULL_X106Y38 NUM_ARCS 0 TILEPROP NULL_X106Y38 NUM_SITES 0 TILEPROP NULL_X106Y38 ROW 118 TILEPROP NULL_X106Y38 SLR_REGION_ID 0 TILEPROP NULL_X106Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y38 TILE_TYPE NULL TILEPROP NULL_X106Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y38 TILE_X 93638 TILEPROP NULL_X106Y38 TILE_Y -125048 TILEPROP NULL_X106Y38 TYPE NULL TILEPROP NULL_X106Y39 CLASS tile TILEPROP NULL_X106Y39 COLUMN 106 TILEPROP NULL_X106Y39 DEVICE_ID 0 TILEPROP NULL_X106Y39 FIRST_SITE_ID 11790 TILEPROP NULL_X106Y39 GRID_POINT_X 106 TILEPROP NULL_X106Y39 GRID_POINT_Y 117 TILEPROP NULL_X106Y39 INDEX 13561 TILEPROP NULL_X106Y39 INT_TILE_X 41 TILEPROP NULL_X106Y39 INT_TILE_Y 112 TILEPROP NULL_X106Y39 IS_CENTER_TILE 0 TILEPROP NULL_X106Y39 IS_DCM_TILE 0 TILEPROP NULL_X106Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y39 NAME NULL_X106Y39 TILEPROP NULL_X106Y39 NUM_ARCS 0 TILEPROP NULL_X106Y39 NUM_SITES 0 TILEPROP NULL_X106Y39 ROW 117 TILEPROP NULL_X106Y39 SLR_REGION_ID 0 TILEPROP NULL_X106Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y39 TILE_TYPE NULL TILEPROP NULL_X106Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y39 TILE_X 93638 TILEPROP NULL_X106Y39 TILE_Y -121848 TILEPROP NULL_X106Y39 TYPE NULL TILEPROP NULL_X106Y40 CLASS tile TILEPROP NULL_X106Y40 COLUMN 106 TILEPROP NULL_X106Y40 DEVICE_ID 0 TILEPROP NULL_X106Y40 FIRST_SITE_ID 11690 TILEPROP NULL_X106Y40 GRID_POINT_X 106 TILEPROP NULL_X106Y40 GRID_POINT_Y 116 TILEPROP NULL_X106Y40 INDEX 13446 TILEPROP NULL_X106Y40 INT_TILE_X 41 TILEPROP NULL_X106Y40 INT_TILE_Y 111 TILEPROP NULL_X106Y40 IS_CENTER_TILE 0 TILEPROP NULL_X106Y40 IS_DCM_TILE 0 TILEPROP NULL_X106Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y40 NAME NULL_X106Y40 TILEPROP NULL_X106Y40 NUM_ARCS 0 TILEPROP NULL_X106Y40 NUM_SITES 0 TILEPROP NULL_X106Y40 ROW 116 TILEPROP NULL_X106Y40 SLR_REGION_ID 0 TILEPROP NULL_X106Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y40 TILE_TYPE NULL TILEPROP NULL_X106Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y40 TILE_X 93638 TILEPROP NULL_X106Y40 TILE_Y -118648 TILEPROP NULL_X106Y40 TYPE NULL TILEPROP NULL_X106Y41 CLASS tile TILEPROP NULL_X106Y41 COLUMN 106 TILEPROP NULL_X106Y41 DEVICE_ID 0 TILEPROP NULL_X106Y41 FIRST_SITE_ID 11590 TILEPROP NULL_X106Y41 GRID_POINT_X 106 TILEPROP NULL_X106Y41 GRID_POINT_Y 115 TILEPROP NULL_X106Y41 INDEX 13331 TILEPROP NULL_X106Y41 INT_TILE_X 41 TILEPROP NULL_X106Y41 INT_TILE_Y 110 TILEPROP NULL_X106Y41 IS_CENTER_TILE 0 TILEPROP NULL_X106Y41 IS_DCM_TILE 0 TILEPROP NULL_X106Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y41 NAME NULL_X106Y41 TILEPROP NULL_X106Y41 NUM_ARCS 0 TILEPROP NULL_X106Y41 NUM_SITES 0 TILEPROP NULL_X106Y41 ROW 115 TILEPROP NULL_X106Y41 SLR_REGION_ID 0 TILEPROP NULL_X106Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y41 TILE_TYPE NULL TILEPROP NULL_X106Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y41 TILE_X 93638 TILEPROP NULL_X106Y41 TILE_Y -115448 TILEPROP NULL_X106Y41 TYPE NULL TILEPROP NULL_X106Y42 CLASS tile TILEPROP NULL_X106Y42 COLUMN 106 TILEPROP NULL_X106Y42 DEVICE_ID 0 TILEPROP NULL_X106Y42 FIRST_SITE_ID 11490 TILEPROP NULL_X106Y42 GRID_POINT_X 106 TILEPROP NULL_X106Y42 GRID_POINT_Y 114 TILEPROP NULL_X106Y42 INDEX 13216 TILEPROP NULL_X106Y42 INT_TILE_X 41 TILEPROP NULL_X106Y42 INT_TILE_Y 109 TILEPROP NULL_X106Y42 IS_CENTER_TILE 0 TILEPROP NULL_X106Y42 IS_DCM_TILE 0 TILEPROP NULL_X106Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y42 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y42 NAME NULL_X106Y42 TILEPROP NULL_X106Y42 NUM_ARCS 0 TILEPROP NULL_X106Y42 NUM_SITES 0 TILEPROP NULL_X106Y42 ROW 114 TILEPROP NULL_X106Y42 SLR_REGION_ID 0 TILEPROP NULL_X106Y42 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y42 TILE_TYPE NULL TILEPROP NULL_X106Y42 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y42 TILE_X 93638 TILEPROP NULL_X106Y42 TILE_Y -112248 TILEPROP NULL_X106Y42 TYPE NULL TILEPROP NULL_X106Y43 CLASS tile TILEPROP NULL_X106Y43 COLUMN 106 TILEPROP NULL_X106Y43 DEVICE_ID 0 TILEPROP NULL_X106Y43 FIRST_SITE_ID 11373 TILEPROP NULL_X106Y43 GRID_POINT_X 106 TILEPROP NULL_X106Y43 GRID_POINT_Y 113 TILEPROP NULL_X106Y43 INDEX 13101 TILEPROP NULL_X106Y43 INT_TILE_X 41 TILEPROP NULL_X106Y43 INT_TILE_Y 108 TILEPROP NULL_X106Y43 IS_CENTER_TILE 0 TILEPROP NULL_X106Y43 IS_DCM_TILE 0 TILEPROP NULL_X106Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y43 NAME NULL_X106Y43 TILEPROP NULL_X106Y43 NUM_ARCS 0 TILEPROP NULL_X106Y43 NUM_SITES 0 TILEPROP NULL_X106Y43 ROW 113 TILEPROP NULL_X106Y43 SLR_REGION_ID 0 TILEPROP NULL_X106Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y43 TILE_TYPE NULL TILEPROP NULL_X106Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y43 TILE_X 93638 TILEPROP NULL_X106Y43 TILE_Y -109048 TILEPROP NULL_X106Y43 TYPE NULL TILEPROP NULL_X106Y45 CLASS tile TILEPROP NULL_X106Y45 COLUMN 106 TILEPROP NULL_X106Y45 DEVICE_ID 0 TILEPROP NULL_X106Y45 FIRST_SITE_ID 11168 TILEPROP NULL_X106Y45 GRID_POINT_X 106 TILEPROP NULL_X106Y45 GRID_POINT_Y 111 TILEPROP NULL_X106Y45 INDEX 12871 TILEPROP NULL_X106Y45 INT_TILE_X 41 TILEPROP NULL_X106Y45 INT_TILE_Y 106 TILEPROP NULL_X106Y45 IS_CENTER_TILE 0 TILEPROP NULL_X106Y45 IS_DCM_TILE 0 TILEPROP NULL_X106Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y45 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y45 NAME NULL_X106Y45 TILEPROP NULL_X106Y45 NUM_ARCS 0 TILEPROP NULL_X106Y45 NUM_SITES 0 TILEPROP NULL_X106Y45 ROW 111 TILEPROP NULL_X106Y45 SLR_REGION_ID 0 TILEPROP NULL_X106Y45 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y45 TILE_TYPE NULL TILEPROP NULL_X106Y45 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y45 TILE_X 93638 TILEPROP NULL_X106Y45 TILE_Y -102648 TILEPROP NULL_X106Y45 TYPE NULL TILEPROP NULL_X106Y46 CLASS tile TILEPROP NULL_X106Y46 COLUMN 106 TILEPROP NULL_X106Y46 DEVICE_ID 0 TILEPROP NULL_X106Y46 FIRST_SITE_ID 11066 TILEPROP NULL_X106Y46 GRID_POINT_X 106 TILEPROP NULL_X106Y46 GRID_POINT_Y 110 TILEPROP NULL_X106Y46 INDEX 12756 TILEPROP NULL_X106Y46 INT_TILE_X 41 TILEPROP NULL_X106Y46 INT_TILE_Y 105 TILEPROP NULL_X106Y46 IS_CENTER_TILE 0 TILEPROP NULL_X106Y46 IS_DCM_TILE 0 TILEPROP NULL_X106Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y46 NAME NULL_X106Y46 TILEPROP NULL_X106Y46 NUM_ARCS 0 TILEPROP NULL_X106Y46 NUM_SITES 0 TILEPROP NULL_X106Y46 ROW 110 TILEPROP NULL_X106Y46 SLR_REGION_ID 0 TILEPROP NULL_X106Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y46 TILE_TYPE NULL TILEPROP NULL_X106Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y46 TILE_X 93638 TILEPROP NULL_X106Y46 TILE_Y -99448 TILEPROP NULL_X106Y46 TYPE NULL TILEPROP NULL_X106Y47 CLASS tile TILEPROP NULL_X106Y47 COLUMN 106 TILEPROP NULL_X106Y47 DEVICE_ID 0 TILEPROP NULL_X106Y47 FIRST_SITE_ID 10966 TILEPROP NULL_X106Y47 GRID_POINT_X 106 TILEPROP NULL_X106Y47 GRID_POINT_Y 109 TILEPROP NULL_X106Y47 INDEX 12641 TILEPROP NULL_X106Y47 INT_TILE_X 41 TILEPROP NULL_X106Y47 INT_TILE_Y 104 TILEPROP NULL_X106Y47 IS_CENTER_TILE 0 TILEPROP NULL_X106Y47 IS_DCM_TILE 0 TILEPROP NULL_X106Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y47 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y47 NAME NULL_X106Y47 TILEPROP NULL_X106Y47 NUM_ARCS 0 TILEPROP NULL_X106Y47 NUM_SITES 0 TILEPROP NULL_X106Y47 ROW 109 TILEPROP NULL_X106Y47 SLR_REGION_ID 0 TILEPROP NULL_X106Y47 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y47 TILE_TYPE NULL TILEPROP NULL_X106Y47 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y47 TILE_X 93638 TILEPROP NULL_X106Y47 TILE_Y -96248 TILEPROP NULL_X106Y47 TYPE NULL TILEPROP NULL_X106Y48 CLASS tile TILEPROP NULL_X106Y48 COLUMN 106 TILEPROP NULL_X106Y48 DEVICE_ID 0 TILEPROP NULL_X106Y48 FIRST_SITE_ID 10850 TILEPROP NULL_X106Y48 GRID_POINT_X 106 TILEPROP NULL_X106Y48 GRID_POINT_Y 108 TILEPROP NULL_X106Y48 INDEX 12526 TILEPROP NULL_X106Y48 INT_TILE_X 41 TILEPROP NULL_X106Y48 INT_TILE_Y 103 TILEPROP NULL_X106Y48 IS_CENTER_TILE 0 TILEPROP NULL_X106Y48 IS_DCM_TILE 0 TILEPROP NULL_X106Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y48 NAME NULL_X106Y48 TILEPROP NULL_X106Y48 NUM_ARCS 0 TILEPROP NULL_X106Y48 NUM_SITES 0 TILEPROP NULL_X106Y48 ROW 108 TILEPROP NULL_X106Y48 SLR_REGION_ID 0 TILEPROP NULL_X106Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y48 TILE_TYPE NULL TILEPROP NULL_X106Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y48 TILE_X 93638 TILEPROP NULL_X106Y48 TILE_Y -93048 TILEPROP NULL_X106Y48 TYPE NULL TILEPROP NULL_X106Y49 CLASS tile TILEPROP NULL_X106Y49 COLUMN 106 TILEPROP NULL_X106Y49 DEVICE_ID 0 TILEPROP NULL_X106Y49 FIRST_SITE_ID 10734 TILEPROP NULL_X106Y49 GRID_POINT_X 106 TILEPROP NULL_X106Y49 GRID_POINT_Y 107 TILEPROP NULL_X106Y49 INDEX 12411 TILEPROP NULL_X106Y49 INT_TILE_X 41 TILEPROP NULL_X106Y49 INT_TILE_Y 102 TILEPROP NULL_X106Y49 IS_CENTER_TILE 0 TILEPROP NULL_X106Y49 IS_DCM_TILE 0 TILEPROP NULL_X106Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y49 NAME NULL_X106Y49 TILEPROP NULL_X106Y49 NUM_ARCS 0 TILEPROP NULL_X106Y49 NUM_SITES 0 TILEPROP NULL_X106Y49 ROW 107 TILEPROP NULL_X106Y49 SLR_REGION_ID 0 TILEPROP NULL_X106Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y49 TILE_TYPE NULL TILEPROP NULL_X106Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y49 TILE_X 93638 TILEPROP NULL_X106Y49 TILE_Y -89848 TILEPROP NULL_X106Y49 TYPE NULL TILEPROP NULL_X106Y50 CLASS tile TILEPROP NULL_X106Y50 COLUMN 106 TILEPROP NULL_X106Y50 DEVICE_ID 0 TILEPROP NULL_X106Y50 FIRST_SITE_ID 10634 TILEPROP NULL_X106Y50 GRID_POINT_X 106 TILEPROP NULL_X106Y50 GRID_POINT_Y 106 TILEPROP NULL_X106Y50 INDEX 12296 TILEPROP NULL_X106Y50 INT_TILE_X 41 TILEPROP NULL_X106Y50 INT_TILE_Y 101 TILEPROP NULL_X106Y50 IS_CENTER_TILE 0 TILEPROP NULL_X106Y50 IS_DCM_TILE 0 TILEPROP NULL_X106Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y50 NAME NULL_X106Y50 TILEPROP NULL_X106Y50 NUM_ARCS 0 TILEPROP NULL_X106Y50 NUM_SITES 0 TILEPROP NULL_X106Y50 ROW 106 TILEPROP NULL_X106Y50 SLR_REGION_ID 0 TILEPROP NULL_X106Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y50 TILE_TYPE NULL TILEPROP NULL_X106Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y50 TILE_X 93638 TILEPROP NULL_X106Y50 TILE_Y -86648 TILEPROP NULL_X106Y50 TYPE NULL TILEPROP NULL_X106Y51 CLASS tile TILEPROP NULL_X106Y51 COLUMN 106 TILEPROP NULL_X106Y51 DEVICE_ID 0 TILEPROP NULL_X106Y51 FIRST_SITE_ID 10538 TILEPROP NULL_X106Y51 GRID_POINT_X 106 TILEPROP NULL_X106Y51 GRID_POINT_Y 105 TILEPROP NULL_X106Y51 INDEX 12181 TILEPROP NULL_X106Y51 INT_TILE_X 41 TILEPROP NULL_X106Y51 INT_TILE_Y 100 TILEPROP NULL_X106Y51 IS_CENTER_TILE 0 TILEPROP NULL_X106Y51 IS_DCM_TILE 0 TILEPROP NULL_X106Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y51 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y51 NAME NULL_X106Y51 TILEPROP NULL_X106Y51 NUM_ARCS 0 TILEPROP NULL_X106Y51 NUM_SITES 0 TILEPROP NULL_X106Y51 ROW 105 TILEPROP NULL_X106Y51 SLR_REGION_ID 0 TILEPROP NULL_X106Y51 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y51 TILE_TYPE NULL TILEPROP NULL_X106Y51 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y51 TILE_X 93638 TILEPROP NULL_X106Y51 TILE_Y -83448 TILEPROP NULL_X106Y51 TYPE NULL TILEPROP NULL_X106Y53 CLASS tile TILEPROP NULL_X106Y53 COLUMN 106 TILEPROP NULL_X106Y53 DEVICE_ID 0 TILEPROP NULL_X106Y53 FIRST_SITE_ID 10438 TILEPROP NULL_X106Y53 GRID_POINT_X 106 TILEPROP NULL_X106Y53 GRID_POINT_Y 103 TILEPROP NULL_X106Y53 INDEX 11951 TILEPROP NULL_X106Y53 INT_TILE_X 41 TILEPROP NULL_X106Y53 INT_TILE_Y 99 TILEPROP NULL_X106Y53 IS_CENTER_TILE 0 TILEPROP NULL_X106Y53 IS_DCM_TILE 0 TILEPROP NULL_X106Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y53 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y53 NAME NULL_X106Y53 TILEPROP NULL_X106Y53 NUM_ARCS 0 TILEPROP NULL_X106Y53 NUM_SITES 0 TILEPROP NULL_X106Y53 ROW 103 TILEPROP NULL_X106Y53 SLR_REGION_ID 0 TILEPROP NULL_X106Y53 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y53 TILE_TYPE NULL TILEPROP NULL_X106Y53 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y53 TILE_X 93638 TILEPROP NULL_X106Y53 TILE_Y -80000 TILEPROP NULL_X106Y53 TYPE NULL TILEPROP NULL_X106Y54 CLASS tile TILEPROP NULL_X106Y54 COLUMN 106 TILEPROP NULL_X106Y54 DEVICE_ID 0 TILEPROP NULL_X106Y54 FIRST_SITE_ID 10303 TILEPROP NULL_X106Y54 GRID_POINT_X 106 TILEPROP NULL_X106Y54 GRID_POINT_Y 102 TILEPROP NULL_X106Y54 INDEX 11836 TILEPROP NULL_X106Y54 INT_TILE_X 41 TILEPROP NULL_X106Y54 INT_TILE_Y 98 TILEPROP NULL_X106Y54 IS_CENTER_TILE 0 TILEPROP NULL_X106Y54 IS_DCM_TILE 0 TILEPROP NULL_X106Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y54 NAME NULL_X106Y54 TILEPROP NULL_X106Y54 NUM_ARCS 0 TILEPROP NULL_X106Y54 NUM_SITES 0 TILEPROP NULL_X106Y54 ROW 102 TILEPROP NULL_X106Y54 SLR_REGION_ID 0 TILEPROP NULL_X106Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y54 TILE_TYPE NULL TILEPROP NULL_X106Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y54 TILE_X 93638 TILEPROP NULL_X106Y54 TILE_Y -76800 TILEPROP NULL_X106Y54 TYPE NULL TILEPROP NULL_X106Y55 CLASS tile TILEPROP NULL_X106Y55 COLUMN 106 TILEPROP NULL_X106Y55 DEVICE_ID 0 TILEPROP NULL_X106Y55 FIRST_SITE_ID 10203 TILEPROP NULL_X106Y55 GRID_POINT_X 106 TILEPROP NULL_X106Y55 GRID_POINT_Y 101 TILEPROP NULL_X106Y55 INDEX 11721 TILEPROP NULL_X106Y55 INT_TILE_X 41 TILEPROP NULL_X106Y55 INT_TILE_Y 97 TILEPROP NULL_X106Y55 IS_CENTER_TILE 0 TILEPROP NULL_X106Y55 IS_DCM_TILE 0 TILEPROP NULL_X106Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y55 NAME NULL_X106Y55 TILEPROP NULL_X106Y55 NUM_ARCS 0 TILEPROP NULL_X106Y55 NUM_SITES 0 TILEPROP NULL_X106Y55 ROW 101 TILEPROP NULL_X106Y55 SLR_REGION_ID 0 TILEPROP NULL_X106Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y55 TILE_TYPE NULL TILEPROP NULL_X106Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y55 TILE_X 93638 TILEPROP NULL_X106Y55 TILE_Y -73600 TILEPROP NULL_X106Y55 TYPE NULL TILEPROP NULL_X106Y56 CLASS tile TILEPROP NULL_X106Y56 COLUMN 106 TILEPROP NULL_X106Y56 DEVICE_ID 0 TILEPROP NULL_X106Y56 FIRST_SITE_ID 10103 TILEPROP NULL_X106Y56 GRID_POINT_X 106 TILEPROP NULL_X106Y56 GRID_POINT_Y 100 TILEPROP NULL_X106Y56 INDEX 11606 TILEPROP NULL_X106Y56 INT_TILE_X 41 TILEPROP NULL_X106Y56 INT_TILE_Y 96 TILEPROP NULL_X106Y56 IS_CENTER_TILE 0 TILEPROP NULL_X106Y56 IS_DCM_TILE 0 TILEPROP NULL_X106Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y56 NAME NULL_X106Y56 TILEPROP NULL_X106Y56 NUM_ARCS 0 TILEPROP NULL_X106Y56 NUM_SITES 0 TILEPROP NULL_X106Y56 ROW 100 TILEPROP NULL_X106Y56 SLR_REGION_ID 0 TILEPROP NULL_X106Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y56 TILE_TYPE NULL TILEPROP NULL_X106Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y56 TILE_X 93638 TILEPROP NULL_X106Y56 TILE_Y -70400 TILEPROP NULL_X106Y56 TYPE NULL TILEPROP NULL_X106Y57 CLASS tile TILEPROP NULL_X106Y57 COLUMN 106 TILEPROP NULL_X106Y57 DEVICE_ID 0 TILEPROP NULL_X106Y57 FIRST_SITE_ID 10003 TILEPROP NULL_X106Y57 GRID_POINT_X 106 TILEPROP NULL_X106Y57 GRID_POINT_Y 99 TILEPROP NULL_X106Y57 INDEX 11491 TILEPROP NULL_X106Y57 INT_TILE_X 41 TILEPROP NULL_X106Y57 INT_TILE_Y 95 TILEPROP NULL_X106Y57 IS_CENTER_TILE 0 TILEPROP NULL_X106Y57 IS_DCM_TILE 0 TILEPROP NULL_X106Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y57 NAME NULL_X106Y57 TILEPROP NULL_X106Y57 NUM_ARCS 0 TILEPROP NULL_X106Y57 NUM_SITES 0 TILEPROP NULL_X106Y57 ROW 99 TILEPROP NULL_X106Y57 SLR_REGION_ID 0 TILEPROP NULL_X106Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y57 TILE_TYPE NULL TILEPROP NULL_X106Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y57 TILE_X 93638 TILEPROP NULL_X106Y57 TILE_Y -67200 TILEPROP NULL_X106Y57 TYPE NULL TILEPROP NULL_X106Y58 CLASS tile TILEPROP NULL_X106Y58 COLUMN 106 TILEPROP NULL_X106Y58 DEVICE_ID 0 TILEPROP NULL_X106Y58 FIRST_SITE_ID 9903 TILEPROP NULL_X106Y58 GRID_POINT_X 106 TILEPROP NULL_X106Y58 GRID_POINT_Y 98 TILEPROP NULL_X106Y58 INDEX 11376 TILEPROP NULL_X106Y58 INT_TILE_X 41 TILEPROP NULL_X106Y58 INT_TILE_Y 94 TILEPROP NULL_X106Y58 IS_CENTER_TILE 0 TILEPROP NULL_X106Y58 IS_DCM_TILE 0 TILEPROP NULL_X106Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y58 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y58 NAME NULL_X106Y58 TILEPROP NULL_X106Y58 NUM_ARCS 0 TILEPROP NULL_X106Y58 NUM_SITES 0 TILEPROP NULL_X106Y58 ROW 98 TILEPROP NULL_X106Y58 SLR_REGION_ID 0 TILEPROP NULL_X106Y58 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y58 TILE_TYPE NULL TILEPROP NULL_X106Y58 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y58 TILE_X 93638 TILEPROP NULL_X106Y58 TILE_Y -64000 TILEPROP NULL_X106Y58 TYPE NULL TILEPROP NULL_X106Y59 CLASS tile TILEPROP NULL_X106Y59 COLUMN 106 TILEPROP NULL_X106Y59 DEVICE_ID 0 TILEPROP NULL_X106Y59 FIRST_SITE_ID 9788 TILEPROP NULL_X106Y59 GRID_POINT_X 106 TILEPROP NULL_X106Y59 GRID_POINT_Y 97 TILEPROP NULL_X106Y59 INDEX 11261 TILEPROP NULL_X106Y59 INT_TILE_X 41 TILEPROP NULL_X106Y59 INT_TILE_Y 93 TILEPROP NULL_X106Y59 IS_CENTER_TILE 0 TILEPROP NULL_X106Y59 IS_DCM_TILE 0 TILEPROP NULL_X106Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y59 NAME NULL_X106Y59 TILEPROP NULL_X106Y59 NUM_ARCS 0 TILEPROP NULL_X106Y59 NUM_SITES 0 TILEPROP NULL_X106Y59 ROW 97 TILEPROP NULL_X106Y59 SLR_REGION_ID 0 TILEPROP NULL_X106Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y59 TILE_TYPE NULL TILEPROP NULL_X106Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y59 TILE_X 93638 TILEPROP NULL_X106Y59 TILE_Y -60800 TILEPROP NULL_X106Y59 TYPE NULL TILEPROP NULL_X106Y60 CLASS tile TILEPROP NULL_X106Y60 COLUMN 106 TILEPROP NULL_X106Y60 DEVICE_ID 0 TILEPROP NULL_X106Y60 FIRST_SITE_ID 9686 TILEPROP NULL_X106Y60 GRID_POINT_X 106 TILEPROP NULL_X106Y60 GRID_POINT_Y 96 TILEPROP NULL_X106Y60 INDEX 11146 TILEPROP NULL_X106Y60 INT_TILE_X 41 TILEPROP NULL_X106Y60 INT_TILE_Y 92 TILEPROP NULL_X106Y60 IS_CENTER_TILE 0 TILEPROP NULL_X106Y60 IS_DCM_TILE 0 TILEPROP NULL_X106Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y60 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y60 NAME NULL_X106Y60 TILEPROP NULL_X106Y60 NUM_ARCS 0 TILEPROP NULL_X106Y60 NUM_SITES 0 TILEPROP NULL_X106Y60 ROW 96 TILEPROP NULL_X106Y60 SLR_REGION_ID 0 TILEPROP NULL_X106Y60 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y60 TILE_TYPE NULL TILEPROP NULL_X106Y60 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y60 TILE_X 93638 TILEPROP NULL_X106Y60 TILE_Y -57600 TILEPROP NULL_X106Y60 TYPE NULL TILEPROP NULL_X106Y62 CLASS tile TILEPROP NULL_X106Y62 COLUMN 106 TILEPROP NULL_X106Y62 DEVICE_ID 0 TILEPROP NULL_X106Y62 FIRST_SITE_ID 9482 TILEPROP NULL_X106Y62 GRID_POINT_X 106 TILEPROP NULL_X106Y62 GRID_POINT_Y 94 TILEPROP NULL_X106Y62 INDEX 10916 TILEPROP NULL_X106Y62 INT_TILE_X 41 TILEPROP NULL_X106Y62 INT_TILE_Y 90 TILEPROP NULL_X106Y62 IS_CENTER_TILE 0 TILEPROP NULL_X106Y62 IS_DCM_TILE 0 TILEPROP NULL_X106Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y62 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y62 NAME NULL_X106Y62 TILEPROP NULL_X106Y62 NUM_ARCS 0 TILEPROP NULL_X106Y62 NUM_SITES 0 TILEPROP NULL_X106Y62 ROW 94 TILEPROP NULL_X106Y62 SLR_REGION_ID 0 TILEPROP NULL_X106Y62 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y62 TILE_TYPE NULL TILEPROP NULL_X106Y62 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y62 TILE_X 93638 TILEPROP NULL_X106Y62 TILE_Y -51200 TILEPROP NULL_X106Y62 TYPE NULL TILEPROP NULL_X106Y63 CLASS tile TILEPROP NULL_X106Y63 COLUMN 106 TILEPROP NULL_X106Y63 DEVICE_ID 0 TILEPROP NULL_X106Y63 FIRST_SITE_ID 9382 TILEPROP NULL_X106Y63 GRID_POINT_X 106 TILEPROP NULL_X106Y63 GRID_POINT_Y 93 TILEPROP NULL_X106Y63 INDEX 10801 TILEPROP NULL_X106Y63 INT_TILE_X 41 TILEPROP NULL_X106Y63 INT_TILE_Y 89 TILEPROP NULL_X106Y63 IS_CENTER_TILE 0 TILEPROP NULL_X106Y63 IS_DCM_TILE 0 TILEPROP NULL_X106Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y63 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y63 NAME NULL_X106Y63 TILEPROP NULL_X106Y63 NUM_ARCS 0 TILEPROP NULL_X106Y63 NUM_SITES 0 TILEPROP NULL_X106Y63 ROW 93 TILEPROP NULL_X106Y63 SLR_REGION_ID 0 TILEPROP NULL_X106Y63 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y63 TILE_TYPE NULL TILEPROP NULL_X106Y63 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y63 TILE_X 93638 TILEPROP NULL_X106Y63 TILE_Y -48000 TILEPROP NULL_X106Y63 TYPE NULL TILEPROP NULL_X106Y64 CLASS tile TILEPROP NULL_X106Y64 COLUMN 106 TILEPROP NULL_X106Y64 DEVICE_ID 0 TILEPROP NULL_X106Y64 FIRST_SITE_ID 9267 TILEPROP NULL_X106Y64 GRID_POINT_X 106 TILEPROP NULL_X106Y64 GRID_POINT_Y 92 TILEPROP NULL_X106Y64 INDEX 10686 TILEPROP NULL_X106Y64 INT_TILE_X 41 TILEPROP NULL_X106Y64 INT_TILE_Y 88 TILEPROP NULL_X106Y64 IS_CENTER_TILE 0 TILEPROP NULL_X106Y64 IS_DCM_TILE 0 TILEPROP NULL_X106Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y64 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y64 NAME NULL_X106Y64 TILEPROP NULL_X106Y64 NUM_ARCS 0 TILEPROP NULL_X106Y64 NUM_SITES 0 TILEPROP NULL_X106Y64 ROW 92 TILEPROP NULL_X106Y64 SLR_REGION_ID 0 TILEPROP NULL_X106Y64 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y64 TILE_TYPE NULL TILEPROP NULL_X106Y64 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y64 TILE_X 93638 TILEPROP NULL_X106Y64 TILE_Y -44800 TILEPROP NULL_X106Y64 TYPE NULL TILEPROP NULL_X106Y65 CLASS tile TILEPROP NULL_X106Y65 COLUMN 106 TILEPROP NULL_X106Y65 DEVICE_ID 0 TILEPROP NULL_X106Y65 FIRST_SITE_ID 9167 TILEPROP NULL_X106Y65 GRID_POINT_X 106 TILEPROP NULL_X106Y65 GRID_POINT_Y 91 TILEPROP NULL_X106Y65 INDEX 10571 TILEPROP NULL_X106Y65 INT_TILE_X 41 TILEPROP NULL_X106Y65 INT_TILE_Y 87 TILEPROP NULL_X106Y65 IS_CENTER_TILE 0 TILEPROP NULL_X106Y65 IS_DCM_TILE 0 TILEPROP NULL_X106Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y65 NAME NULL_X106Y65 TILEPROP NULL_X106Y65 NUM_ARCS 0 TILEPROP NULL_X106Y65 NUM_SITES 0 TILEPROP NULL_X106Y65 ROW 91 TILEPROP NULL_X106Y65 SLR_REGION_ID 0 TILEPROP NULL_X106Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y65 TILE_TYPE NULL TILEPROP NULL_X106Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y65 TILE_X 93638 TILEPROP NULL_X106Y65 TILE_Y -41600 TILEPROP NULL_X106Y65 TYPE NULL TILEPROP NULL_X106Y66 CLASS tile TILEPROP NULL_X106Y66 COLUMN 106 TILEPROP NULL_X106Y66 DEVICE_ID 0 TILEPROP NULL_X106Y66 FIRST_SITE_ID 9035 TILEPROP NULL_X106Y66 GRID_POINT_X 106 TILEPROP NULL_X106Y66 GRID_POINT_Y 90 TILEPROP NULL_X106Y66 INDEX 10456 TILEPROP NULL_X106Y66 INT_TILE_X 41 TILEPROP NULL_X106Y66 INT_TILE_Y 86 TILEPROP NULL_X106Y66 IS_CENTER_TILE 0 TILEPROP NULL_X106Y66 IS_DCM_TILE 0 TILEPROP NULL_X106Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y66 NAME NULL_X106Y66 TILEPROP NULL_X106Y66 NUM_ARCS 0 TILEPROP NULL_X106Y66 NUM_SITES 0 TILEPROP NULL_X106Y66 ROW 90 TILEPROP NULL_X106Y66 SLR_REGION_ID 0 TILEPROP NULL_X106Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y66 TILE_TYPE NULL TILEPROP NULL_X106Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y66 TILE_X 93638 TILEPROP NULL_X106Y66 TILE_Y -38400 TILEPROP NULL_X106Y66 TYPE NULL TILEPROP NULL_X106Y67 CLASS tile TILEPROP NULL_X106Y67 COLUMN 106 TILEPROP NULL_X106Y67 DEVICE_ID 0 TILEPROP NULL_X106Y67 FIRST_SITE_ID 8935 TILEPROP NULL_X106Y67 GRID_POINT_X 106 TILEPROP NULL_X106Y67 GRID_POINT_Y 89 TILEPROP NULL_X106Y67 INDEX 10341 TILEPROP NULL_X106Y67 INT_TILE_X 41 TILEPROP NULL_X106Y67 INT_TILE_Y 85 TILEPROP NULL_X106Y67 IS_CENTER_TILE 0 TILEPROP NULL_X106Y67 IS_DCM_TILE 0 TILEPROP NULL_X106Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y67 NAME NULL_X106Y67 TILEPROP NULL_X106Y67 NUM_ARCS 0 TILEPROP NULL_X106Y67 NUM_SITES 0 TILEPROP NULL_X106Y67 ROW 89 TILEPROP NULL_X106Y67 SLR_REGION_ID 0 TILEPROP NULL_X106Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y67 TILE_TYPE NULL TILEPROP NULL_X106Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y67 TILE_X 93638 TILEPROP NULL_X106Y67 TILE_Y -35200 TILEPROP NULL_X106Y67 TYPE NULL TILEPROP NULL_X106Y68 CLASS tile TILEPROP NULL_X106Y68 COLUMN 106 TILEPROP NULL_X106Y68 DEVICE_ID 0 TILEPROP NULL_X106Y68 FIRST_SITE_ID 8835 TILEPROP NULL_X106Y68 GRID_POINT_X 106 TILEPROP NULL_X106Y68 GRID_POINT_Y 88 TILEPROP NULL_X106Y68 INDEX 10226 TILEPROP NULL_X106Y68 INT_TILE_X 41 TILEPROP NULL_X106Y68 INT_TILE_Y 84 TILEPROP NULL_X106Y68 IS_CENTER_TILE 0 TILEPROP NULL_X106Y68 IS_DCM_TILE 0 TILEPROP NULL_X106Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y68 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y68 NAME NULL_X106Y68 TILEPROP NULL_X106Y68 NUM_ARCS 0 TILEPROP NULL_X106Y68 NUM_SITES 0 TILEPROP NULL_X106Y68 ROW 88 TILEPROP NULL_X106Y68 SLR_REGION_ID 0 TILEPROP NULL_X106Y68 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y68 TILE_TYPE NULL TILEPROP NULL_X106Y68 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y68 TILE_X 93638 TILEPROP NULL_X106Y68 TILE_Y -32000 TILEPROP NULL_X106Y68 TYPE NULL TILEPROP NULL_X106Y69 CLASS tile TILEPROP NULL_X106Y69 COLUMN 106 TILEPROP NULL_X106Y69 DEVICE_ID 0 TILEPROP NULL_X106Y69 FIRST_SITE_ID 8720 TILEPROP NULL_X106Y69 GRID_POINT_X 106 TILEPROP NULL_X106Y69 GRID_POINT_Y 87 TILEPROP NULL_X106Y69 INDEX 10111 TILEPROP NULL_X106Y69 INT_TILE_X 41 TILEPROP NULL_X106Y69 INT_TILE_Y 83 TILEPROP NULL_X106Y69 IS_CENTER_TILE 0 TILEPROP NULL_X106Y69 IS_DCM_TILE 0 TILEPROP NULL_X106Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y69 NAME NULL_X106Y69 TILEPROP NULL_X106Y69 NUM_ARCS 0 TILEPROP NULL_X106Y69 NUM_SITES 0 TILEPROP NULL_X106Y69 ROW 87 TILEPROP NULL_X106Y69 SLR_REGION_ID 0 TILEPROP NULL_X106Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y69 TILE_TYPE NULL TILEPROP NULL_X106Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y69 TILE_X 93638 TILEPROP NULL_X106Y69 TILE_Y -28800 TILEPROP NULL_X106Y69 TYPE NULL TILEPROP NULL_X106Y71 CLASS tile TILEPROP NULL_X106Y71 COLUMN 106 TILEPROP NULL_X106Y71 DEVICE_ID 0 TILEPROP NULL_X106Y71 FIRST_SITE_ID 8512 TILEPROP NULL_X106Y71 GRID_POINT_X 106 TILEPROP NULL_X106Y71 GRID_POINT_Y 85 TILEPROP NULL_X106Y71 INDEX 9881 TILEPROP NULL_X106Y71 INT_TILE_X 41 TILEPROP NULL_X106Y71 INT_TILE_Y 81 TILEPROP NULL_X106Y71 IS_CENTER_TILE 0 TILEPROP NULL_X106Y71 IS_DCM_TILE 0 TILEPROP NULL_X106Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y71 NAME NULL_X106Y71 TILEPROP NULL_X106Y71 NUM_ARCS 0 TILEPROP NULL_X106Y71 NUM_SITES 0 TILEPROP NULL_X106Y71 ROW 85 TILEPROP NULL_X106Y71 SLR_REGION_ID 0 TILEPROP NULL_X106Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y71 TILE_TYPE NULL TILEPROP NULL_X106Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y71 TILE_X 93638 TILEPROP NULL_X106Y71 TILE_Y -22400 TILEPROP NULL_X106Y71 TYPE NULL TILEPROP NULL_X106Y72 CLASS tile TILEPROP NULL_X106Y72 COLUMN 106 TILEPROP NULL_X106Y72 DEVICE_ID 0 TILEPROP NULL_X106Y72 FIRST_SITE_ID 8410 TILEPROP NULL_X106Y72 GRID_POINT_X 106 TILEPROP NULL_X106Y72 GRID_POINT_Y 84 TILEPROP NULL_X106Y72 INDEX 9766 TILEPROP NULL_X106Y72 INT_TILE_X 41 TILEPROP NULL_X106Y72 INT_TILE_Y 80 TILEPROP NULL_X106Y72 IS_CENTER_TILE 0 TILEPROP NULL_X106Y72 IS_DCM_TILE 0 TILEPROP NULL_X106Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y72 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y72 NAME NULL_X106Y72 TILEPROP NULL_X106Y72 NUM_ARCS 0 TILEPROP NULL_X106Y72 NUM_SITES 0 TILEPROP NULL_X106Y72 ROW 84 TILEPROP NULL_X106Y72 SLR_REGION_ID 0 TILEPROP NULL_X106Y72 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y72 TILE_TYPE NULL TILEPROP NULL_X106Y72 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y72 TILE_X 93638 TILEPROP NULL_X106Y72 TILE_Y -19200 TILEPROP NULL_X106Y72 TYPE NULL TILEPROP NULL_X106Y73 CLASS tile TILEPROP NULL_X106Y73 COLUMN 106 TILEPROP NULL_X106Y73 DEVICE_ID 0 TILEPROP NULL_X106Y73 FIRST_SITE_ID 8308 TILEPROP NULL_X106Y73 GRID_POINT_X 106 TILEPROP NULL_X106Y73 GRID_POINT_Y 83 TILEPROP NULL_X106Y73 INDEX 9651 TILEPROP NULL_X106Y73 INT_TILE_X 41 TILEPROP NULL_X106Y73 INT_TILE_Y 79 TILEPROP NULL_X106Y73 IS_CENTER_TILE 0 TILEPROP NULL_X106Y73 IS_DCM_TILE 0 TILEPROP NULL_X106Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y73 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y73 NAME NULL_X106Y73 TILEPROP NULL_X106Y73 NUM_ARCS 0 TILEPROP NULL_X106Y73 NUM_SITES 0 TILEPROP NULL_X106Y73 ROW 83 TILEPROP NULL_X106Y73 SLR_REGION_ID 0 TILEPROP NULL_X106Y73 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y73 TILE_TYPE NULL TILEPROP NULL_X106Y73 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y73 TILE_X 93638 TILEPROP NULL_X106Y73 TILE_Y -16000 TILEPROP NULL_X106Y73 TYPE NULL TILEPROP NULL_X106Y74 CLASS tile TILEPROP NULL_X106Y74 COLUMN 106 TILEPROP NULL_X106Y74 DEVICE_ID 0 TILEPROP NULL_X106Y74 FIRST_SITE_ID 8193 TILEPROP NULL_X106Y74 GRID_POINT_X 106 TILEPROP NULL_X106Y74 GRID_POINT_Y 82 TILEPROP NULL_X106Y74 INDEX 9536 TILEPROP NULL_X106Y74 INT_TILE_X 41 TILEPROP NULL_X106Y74 INT_TILE_Y 78 TILEPROP NULL_X106Y74 IS_CENTER_TILE 0 TILEPROP NULL_X106Y74 IS_DCM_TILE 0 TILEPROP NULL_X106Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y74 NAME NULL_X106Y74 TILEPROP NULL_X106Y74 NUM_ARCS 0 TILEPROP NULL_X106Y74 NUM_SITES 0 TILEPROP NULL_X106Y74 ROW 82 TILEPROP NULL_X106Y74 SLR_REGION_ID 0 TILEPROP NULL_X106Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y74 TILE_TYPE NULL TILEPROP NULL_X106Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y74 TILE_X 93638 TILEPROP NULL_X106Y74 TILE_Y -12800 TILEPROP NULL_X106Y74 TYPE NULL TILEPROP NULL_X106Y75 CLASS tile TILEPROP NULL_X106Y75 COLUMN 106 TILEPROP NULL_X106Y75 DEVICE_ID 0 TILEPROP NULL_X106Y75 FIRST_SITE_ID 8093 TILEPROP NULL_X106Y75 GRID_POINT_X 106 TILEPROP NULL_X106Y75 GRID_POINT_Y 81 TILEPROP NULL_X106Y75 INDEX 9421 TILEPROP NULL_X106Y75 INT_TILE_X 41 TILEPROP NULL_X106Y75 INT_TILE_Y 77 TILEPROP NULL_X106Y75 IS_CENTER_TILE 0 TILEPROP NULL_X106Y75 IS_DCM_TILE 0 TILEPROP NULL_X106Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y75 NAME NULL_X106Y75 TILEPROP NULL_X106Y75 NUM_ARCS 0 TILEPROP NULL_X106Y75 NUM_SITES 0 TILEPROP NULL_X106Y75 ROW 81 TILEPROP NULL_X106Y75 SLR_REGION_ID 0 TILEPROP NULL_X106Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y75 TILE_TYPE NULL TILEPROP NULL_X106Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y75 TILE_X 93638 TILEPROP NULL_X106Y75 TILE_Y -9600 TILEPROP NULL_X106Y75 TYPE NULL TILEPROP NULL_X106Y76 CLASS tile TILEPROP NULL_X106Y76 COLUMN 106 TILEPROP NULL_X106Y76 DEVICE_ID 0 TILEPROP NULL_X106Y76 FIRST_SITE_ID 7993 TILEPROP NULL_X106Y76 GRID_POINT_X 106 TILEPROP NULL_X106Y76 GRID_POINT_Y 80 TILEPROP NULL_X106Y76 INDEX 9306 TILEPROP NULL_X106Y76 INT_TILE_X 41 TILEPROP NULL_X106Y76 INT_TILE_Y 76 TILEPROP NULL_X106Y76 IS_CENTER_TILE 0 TILEPROP NULL_X106Y76 IS_DCM_TILE 0 TILEPROP NULL_X106Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y76 NAME NULL_X106Y76 TILEPROP NULL_X106Y76 NUM_ARCS 0 TILEPROP NULL_X106Y76 NUM_SITES 0 TILEPROP NULL_X106Y76 ROW 80 TILEPROP NULL_X106Y76 SLR_REGION_ID 0 TILEPROP NULL_X106Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y76 TILE_TYPE NULL TILEPROP NULL_X106Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y76 TILE_X 93638 TILEPROP NULL_X106Y76 TILE_Y -6400 TILEPROP NULL_X106Y76 TYPE NULL TILEPROP NULL_X106Y77 CLASS tile TILEPROP NULL_X106Y77 COLUMN 106 TILEPROP NULL_X106Y77 DEVICE_ID 0 TILEPROP NULL_X106Y77 FIRST_SITE_ID 7893 TILEPROP NULL_X106Y77 GRID_POINT_X 106 TILEPROP NULL_X106Y77 GRID_POINT_Y 79 TILEPROP NULL_X106Y77 INDEX 9191 TILEPROP NULL_X106Y77 INT_TILE_X 41 TILEPROP NULL_X106Y77 INT_TILE_Y 75 TILEPROP NULL_X106Y77 IS_CENTER_TILE 0 TILEPROP NULL_X106Y77 IS_DCM_TILE 0 TILEPROP NULL_X106Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y77 NAME NULL_X106Y77 TILEPROP NULL_X106Y77 NUM_ARCS 0 TILEPROP NULL_X106Y77 NUM_SITES 0 TILEPROP NULL_X106Y77 ROW 79 TILEPROP NULL_X106Y77 SLR_REGION_ID 0 TILEPROP NULL_X106Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y77 TILE_TYPE NULL TILEPROP NULL_X106Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y77 TILE_X 93638 TILEPROP NULL_X106Y77 TILE_Y -3200 TILEPROP NULL_X106Y77 TYPE NULL TILEPROP NULL_X106Y79 CLASS tile TILEPROP NULL_X106Y79 COLUMN 106 TILEPROP NULL_X106Y79 DEVICE_ID 0 TILEPROP NULL_X106Y79 FIRST_SITE_ID 7710 TILEPROP NULL_X106Y79 GRID_POINT_X 106 TILEPROP NULL_X106Y79 GRID_POINT_Y 77 TILEPROP NULL_X106Y79 INDEX 8961 TILEPROP NULL_X106Y79 INT_TILE_X 41 TILEPROP NULL_X106Y79 INT_TILE_Y 74 TILEPROP NULL_X106Y79 IS_CENTER_TILE 0 TILEPROP NULL_X106Y79 IS_DCM_TILE 0 TILEPROP NULL_X106Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y79 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y79 NAME NULL_X106Y79 TILEPROP NULL_X106Y79 NUM_ARCS 0 TILEPROP NULL_X106Y79 NUM_SITES 0 TILEPROP NULL_X106Y79 ROW 77 TILEPROP NULL_X106Y79 SLR_REGION_ID 0 TILEPROP NULL_X106Y79 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y79 TILE_TYPE NULL TILEPROP NULL_X106Y79 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y79 TILE_X 93638 TILEPROP NULL_X106Y79 TILE_Y 1024 TILEPROP NULL_X106Y79 TYPE NULL TILEPROP NULL_X106Y80 CLASS tile TILEPROP NULL_X106Y80 COLUMN 106 TILEPROP NULL_X106Y80 DEVICE_ID 0 TILEPROP NULL_X106Y80 FIRST_SITE_ID 7592 TILEPROP NULL_X106Y80 GRID_POINT_X 106 TILEPROP NULL_X106Y80 GRID_POINT_Y 76 TILEPROP NULL_X106Y80 INDEX 8846 TILEPROP NULL_X106Y80 INT_TILE_X 41 TILEPROP NULL_X106Y80 INT_TILE_Y 73 TILEPROP NULL_X106Y80 IS_CENTER_TILE 0 TILEPROP NULL_X106Y80 IS_DCM_TILE 0 TILEPROP NULL_X106Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y80 NAME NULL_X106Y80 TILEPROP NULL_X106Y80 NUM_ARCS 0 TILEPROP NULL_X106Y80 NUM_SITES 0 TILEPROP NULL_X106Y80 ROW 76 TILEPROP NULL_X106Y80 SLR_REGION_ID 0 TILEPROP NULL_X106Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y80 TILE_TYPE NULL TILEPROP NULL_X106Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y80 TILE_X 93638 TILEPROP NULL_X106Y80 TILE_Y 4224 TILEPROP NULL_X106Y80 TYPE NULL TILEPROP NULL_X106Y81 CLASS tile TILEPROP NULL_X106Y81 COLUMN 106 TILEPROP NULL_X106Y81 DEVICE_ID 0 TILEPROP NULL_X106Y81 FIRST_SITE_ID 7492 TILEPROP NULL_X106Y81 GRID_POINT_X 106 TILEPROP NULL_X106Y81 GRID_POINT_Y 75 TILEPROP NULL_X106Y81 INDEX 8731 TILEPROP NULL_X106Y81 INT_TILE_X 41 TILEPROP NULL_X106Y81 INT_TILE_Y 72 TILEPROP NULL_X106Y81 IS_CENTER_TILE 0 TILEPROP NULL_X106Y81 IS_DCM_TILE 0 TILEPROP NULL_X106Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y81 NAME NULL_X106Y81 TILEPROP NULL_X106Y81 NUM_ARCS 0 TILEPROP NULL_X106Y81 NUM_SITES 0 TILEPROP NULL_X106Y81 ROW 75 TILEPROP NULL_X106Y81 SLR_REGION_ID 0 TILEPROP NULL_X106Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y81 TILE_TYPE NULL TILEPROP NULL_X106Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y81 TILE_X 93638 TILEPROP NULL_X106Y81 TILE_Y 7424 TILEPROP NULL_X106Y81 TYPE NULL TILEPROP NULL_X106Y82 CLASS tile TILEPROP NULL_X106Y82 COLUMN 106 TILEPROP NULL_X106Y82 DEVICE_ID 0 TILEPROP NULL_X106Y82 FIRST_SITE_ID 7392 TILEPROP NULL_X106Y82 GRID_POINT_X 106 TILEPROP NULL_X106Y82 GRID_POINT_Y 74 TILEPROP NULL_X106Y82 INDEX 8616 TILEPROP NULL_X106Y82 INT_TILE_X 41 TILEPROP NULL_X106Y82 INT_TILE_Y 71 TILEPROP NULL_X106Y82 IS_CENTER_TILE 0 TILEPROP NULL_X106Y82 IS_DCM_TILE 0 TILEPROP NULL_X106Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y82 NAME NULL_X106Y82 TILEPROP NULL_X106Y82 NUM_ARCS 0 TILEPROP NULL_X106Y82 NUM_SITES 0 TILEPROP NULL_X106Y82 ROW 74 TILEPROP NULL_X106Y82 SLR_REGION_ID 0 TILEPROP NULL_X106Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y82 TILE_TYPE NULL TILEPROP NULL_X106Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y82 TILE_X 93638 TILEPROP NULL_X106Y82 TILE_Y 10624 TILEPROP NULL_X106Y82 TYPE NULL TILEPROP NULL_X106Y84 CLASS tile TILEPROP NULL_X106Y84 COLUMN 106 TILEPROP NULL_X106Y84 DEVICE_ID 0 TILEPROP NULL_X106Y84 FIRST_SITE_ID 7180 TILEPROP NULL_X106Y84 GRID_POINT_X 106 TILEPROP NULL_X106Y84 GRID_POINT_Y 72 TILEPROP NULL_X106Y84 INDEX 8386 TILEPROP NULL_X106Y84 INT_TILE_X 41 TILEPROP NULL_X106Y84 INT_TILE_Y 69 TILEPROP NULL_X106Y84 IS_CENTER_TILE 0 TILEPROP NULL_X106Y84 IS_DCM_TILE 0 TILEPROP NULL_X106Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y84 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y84 NAME NULL_X106Y84 TILEPROP NULL_X106Y84 NUM_ARCS 0 TILEPROP NULL_X106Y84 NUM_SITES 0 TILEPROP NULL_X106Y84 ROW 72 TILEPROP NULL_X106Y84 SLR_REGION_ID 0 TILEPROP NULL_X106Y84 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y84 TILE_TYPE NULL TILEPROP NULL_X106Y84 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y84 TILE_X 93638 TILEPROP NULL_X106Y84 TILE_Y 17024 TILEPROP NULL_X106Y84 TYPE NULL TILEPROP NULL_X106Y85 CLASS tile TILEPROP NULL_X106Y85 COLUMN 106 TILEPROP NULL_X106Y85 DEVICE_ID 0 TILEPROP NULL_X106Y85 FIRST_SITE_ID 7063 TILEPROP NULL_X106Y85 GRID_POINT_X 106 TILEPROP NULL_X106Y85 GRID_POINT_Y 71 TILEPROP NULL_X106Y85 INDEX 8271 TILEPROP NULL_X106Y85 INT_TILE_X 41 TILEPROP NULL_X106Y85 INT_TILE_Y 68 TILEPROP NULL_X106Y85 IS_CENTER_TILE 0 TILEPROP NULL_X106Y85 IS_DCM_TILE 0 TILEPROP NULL_X106Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y85 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y85 NAME NULL_X106Y85 TILEPROP NULL_X106Y85 NUM_ARCS 0 TILEPROP NULL_X106Y85 NUM_SITES 0 TILEPROP NULL_X106Y85 ROW 71 TILEPROP NULL_X106Y85 SLR_REGION_ID 0 TILEPROP NULL_X106Y85 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y85 TILE_TYPE NULL TILEPROP NULL_X106Y85 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y85 TILE_X 93638 TILEPROP NULL_X106Y85 TILE_Y 20224 TILEPROP NULL_X106Y85 TYPE NULL TILEPROP NULL_X106Y86 CLASS tile TILEPROP NULL_X106Y86 COLUMN 106 TILEPROP NULL_X106Y86 DEVICE_ID 0 TILEPROP NULL_X106Y86 FIRST_SITE_ID 6961 TILEPROP NULL_X106Y86 GRID_POINT_X 106 TILEPROP NULL_X106Y86 GRID_POINT_Y 70 TILEPROP NULL_X106Y86 INDEX 8156 TILEPROP NULL_X106Y86 INT_TILE_X 41 TILEPROP NULL_X106Y86 INT_TILE_Y 67 TILEPROP NULL_X106Y86 IS_CENTER_TILE 0 TILEPROP NULL_X106Y86 IS_DCM_TILE 0 TILEPROP NULL_X106Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y86 NAME NULL_X106Y86 TILEPROP NULL_X106Y86 NUM_ARCS 0 TILEPROP NULL_X106Y86 NUM_SITES 0 TILEPROP NULL_X106Y86 ROW 70 TILEPROP NULL_X106Y86 SLR_REGION_ID 0 TILEPROP NULL_X106Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y86 TILE_TYPE NULL TILEPROP NULL_X106Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y86 TILE_X 93638 TILEPROP NULL_X106Y86 TILE_Y 23424 TILEPROP NULL_X106Y86 TYPE NULL TILEPROP NULL_X106Y87 CLASS tile TILEPROP NULL_X106Y87 COLUMN 106 TILEPROP NULL_X106Y87 DEVICE_ID 0 TILEPROP NULL_X106Y87 FIRST_SITE_ID 6861 TILEPROP NULL_X106Y87 GRID_POINT_X 106 TILEPROP NULL_X106Y87 GRID_POINT_Y 69 TILEPROP NULL_X106Y87 INDEX 8041 TILEPROP NULL_X106Y87 INT_TILE_X 41 TILEPROP NULL_X106Y87 INT_TILE_Y 66 TILEPROP NULL_X106Y87 IS_CENTER_TILE 0 TILEPROP NULL_X106Y87 IS_DCM_TILE 0 TILEPROP NULL_X106Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y87 NAME NULL_X106Y87 TILEPROP NULL_X106Y87 NUM_ARCS 0 TILEPROP NULL_X106Y87 NUM_SITES 0 TILEPROP NULL_X106Y87 ROW 69 TILEPROP NULL_X106Y87 SLR_REGION_ID 0 TILEPROP NULL_X106Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y87 TILE_TYPE NULL TILEPROP NULL_X106Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y87 TILE_X 93638 TILEPROP NULL_X106Y87 TILE_Y 26624 TILEPROP NULL_X106Y87 TYPE NULL TILEPROP NULL_X106Y88 CLASS tile TILEPROP NULL_X106Y88 COLUMN 106 TILEPROP NULL_X106Y88 DEVICE_ID 0 TILEPROP NULL_X106Y88 FIRST_SITE_ID 6761 TILEPROP NULL_X106Y88 GRID_POINT_X 106 TILEPROP NULL_X106Y88 GRID_POINT_Y 68 TILEPROP NULL_X106Y88 INDEX 7926 TILEPROP NULL_X106Y88 INT_TILE_X 41 TILEPROP NULL_X106Y88 INT_TILE_Y 65 TILEPROP NULL_X106Y88 IS_CENTER_TILE 0 TILEPROP NULL_X106Y88 IS_DCM_TILE 0 TILEPROP NULL_X106Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y88 NAME NULL_X106Y88 TILEPROP NULL_X106Y88 NUM_ARCS 0 TILEPROP NULL_X106Y88 NUM_SITES 0 TILEPROP NULL_X106Y88 ROW 68 TILEPROP NULL_X106Y88 SLR_REGION_ID 0 TILEPROP NULL_X106Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y88 TILE_TYPE NULL TILEPROP NULL_X106Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y88 TILE_X 93638 TILEPROP NULL_X106Y88 TILE_Y 29824 TILEPROP NULL_X106Y88 TYPE NULL TILEPROP NULL_X106Y89 CLASS tile TILEPROP NULL_X106Y89 COLUMN 106 TILEPROP NULL_X106Y89 DEVICE_ID 0 TILEPROP NULL_X106Y89 FIRST_SITE_ID 6661 TILEPROP NULL_X106Y89 GRID_POINT_X 106 TILEPROP NULL_X106Y89 GRID_POINT_Y 67 TILEPROP NULL_X106Y89 INDEX 7811 TILEPROP NULL_X106Y89 INT_TILE_X 41 TILEPROP NULL_X106Y89 INT_TILE_Y 64 TILEPROP NULL_X106Y89 IS_CENTER_TILE 0 TILEPROP NULL_X106Y89 IS_DCM_TILE 0 TILEPROP NULL_X106Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y89 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y89 NAME NULL_X106Y89 TILEPROP NULL_X106Y89 NUM_ARCS 0 TILEPROP NULL_X106Y89 NUM_SITES 0 TILEPROP NULL_X106Y89 ROW 67 TILEPROP NULL_X106Y89 SLR_REGION_ID 0 TILEPROP NULL_X106Y89 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y89 TILE_TYPE NULL TILEPROP NULL_X106Y89 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y89 TILE_X 93638 TILEPROP NULL_X106Y89 TILE_Y 33024 TILEPROP NULL_X106Y89 TYPE NULL TILEPROP NULL_X106Y90 CLASS tile TILEPROP NULL_X106Y90 COLUMN 106 TILEPROP NULL_X106Y90 DEVICE_ID 0 TILEPROP NULL_X106Y90 FIRST_SITE_ID 6546 TILEPROP NULL_X106Y90 GRID_POINT_X 106 TILEPROP NULL_X106Y90 GRID_POINT_Y 66 TILEPROP NULL_X106Y90 INDEX 7696 TILEPROP NULL_X106Y90 INT_TILE_X 41 TILEPROP NULL_X106Y90 INT_TILE_Y 63 TILEPROP NULL_X106Y90 IS_CENTER_TILE 0 TILEPROP NULL_X106Y90 IS_DCM_TILE 0 TILEPROP NULL_X106Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y90 NAME NULL_X106Y90 TILEPROP NULL_X106Y90 NUM_ARCS 0 TILEPROP NULL_X106Y90 NUM_SITES 0 TILEPROP NULL_X106Y90 ROW 66 TILEPROP NULL_X106Y90 SLR_REGION_ID 0 TILEPROP NULL_X106Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y90 TILE_TYPE NULL TILEPROP NULL_X106Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y90 TILE_X 93638 TILEPROP NULL_X106Y90 TILE_Y 36224 TILEPROP NULL_X106Y90 TYPE NULL TILEPROP NULL_X106Y91 CLASS tile TILEPROP NULL_X106Y91 COLUMN 106 TILEPROP NULL_X106Y91 DEVICE_ID 0 TILEPROP NULL_X106Y91 FIRST_SITE_ID 6414 TILEPROP NULL_X106Y91 GRID_POINT_X 106 TILEPROP NULL_X106Y91 GRID_POINT_Y 65 TILEPROP NULL_X106Y91 INDEX 7581 TILEPROP NULL_X106Y91 INT_TILE_X 41 TILEPROP NULL_X106Y91 INT_TILE_Y 62 TILEPROP NULL_X106Y91 IS_CENTER_TILE 0 TILEPROP NULL_X106Y91 IS_DCM_TILE 0 TILEPROP NULL_X106Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y91 NAME NULL_X106Y91 TILEPROP NULL_X106Y91 NUM_ARCS 0 TILEPROP NULL_X106Y91 NUM_SITES 0 TILEPROP NULL_X106Y91 ROW 65 TILEPROP NULL_X106Y91 SLR_REGION_ID 0 TILEPROP NULL_X106Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y91 TILE_TYPE NULL TILEPROP NULL_X106Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y91 TILE_X 93638 TILEPROP NULL_X106Y91 TILE_Y 39424 TILEPROP NULL_X106Y91 TYPE NULL TILEPROP NULL_X106Y92 CLASS tile TILEPROP NULL_X106Y92 COLUMN 106 TILEPROP NULL_X106Y92 DEVICE_ID 0 TILEPROP NULL_X106Y92 FIRST_SITE_ID 6314 TILEPROP NULL_X106Y92 GRID_POINT_X 106 TILEPROP NULL_X106Y92 GRID_POINT_Y 64 TILEPROP NULL_X106Y92 INDEX 7466 TILEPROP NULL_X106Y92 INT_TILE_X 41 TILEPROP NULL_X106Y92 INT_TILE_Y 61 TILEPROP NULL_X106Y92 IS_CENTER_TILE 0 TILEPROP NULL_X106Y92 IS_DCM_TILE 0 TILEPROP NULL_X106Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y92 NAME NULL_X106Y92 TILEPROP NULL_X106Y92 NUM_ARCS 0 TILEPROP NULL_X106Y92 NUM_SITES 0 TILEPROP NULL_X106Y92 ROW 64 TILEPROP NULL_X106Y92 SLR_REGION_ID 0 TILEPROP NULL_X106Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y92 TILE_TYPE NULL TILEPROP NULL_X106Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y92 TILE_X 93638 TILEPROP NULL_X106Y92 TILE_Y 42624 TILEPROP NULL_X106Y92 TYPE NULL TILEPROP NULL_X106Y93 CLASS tile TILEPROP NULL_X106Y93 COLUMN 106 TILEPROP NULL_X106Y93 DEVICE_ID 0 TILEPROP NULL_X106Y93 FIRST_SITE_ID 6214 TILEPROP NULL_X106Y93 GRID_POINT_X 106 TILEPROP NULL_X106Y93 GRID_POINT_Y 63 TILEPROP NULL_X106Y93 INDEX 7351 TILEPROP NULL_X106Y93 INT_TILE_X 41 TILEPROP NULL_X106Y93 INT_TILE_Y 60 TILEPROP NULL_X106Y93 IS_CENTER_TILE 0 TILEPROP NULL_X106Y93 IS_DCM_TILE 0 TILEPROP NULL_X106Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y93 NAME NULL_X106Y93 TILEPROP NULL_X106Y93 NUM_ARCS 0 TILEPROP NULL_X106Y93 NUM_SITES 0 TILEPROP NULL_X106Y93 ROW 63 TILEPROP NULL_X106Y93 SLR_REGION_ID 0 TILEPROP NULL_X106Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y93 TILE_TYPE NULL TILEPROP NULL_X106Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y93 TILE_X 93638 TILEPROP NULL_X106Y93 TILE_Y 45824 TILEPROP NULL_X106Y93 TYPE NULL TILEPROP NULL_X106Y94 CLASS tile TILEPROP NULL_X106Y94 COLUMN 106 TILEPROP NULL_X106Y94 DEVICE_ID 0 TILEPROP NULL_X106Y94 FIRST_SITE_ID 6114 TILEPROP NULL_X106Y94 GRID_POINT_X 106 TILEPROP NULL_X106Y94 GRID_POINT_Y 62 TILEPROP NULL_X106Y94 INDEX 7236 TILEPROP NULL_X106Y94 INT_TILE_X 41 TILEPROP NULL_X106Y94 INT_TILE_Y 59 TILEPROP NULL_X106Y94 IS_CENTER_TILE 0 TILEPROP NULL_X106Y94 IS_DCM_TILE 0 TILEPROP NULL_X106Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y94 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y94 NAME NULL_X106Y94 TILEPROP NULL_X106Y94 NUM_ARCS 0 TILEPROP NULL_X106Y94 NUM_SITES 0 TILEPROP NULL_X106Y94 ROW 62 TILEPROP NULL_X106Y94 SLR_REGION_ID 0 TILEPROP NULL_X106Y94 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y94 TILE_TYPE NULL TILEPROP NULL_X106Y94 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y94 TILE_X 93638 TILEPROP NULL_X106Y94 TILE_Y 49024 TILEPROP NULL_X106Y94 TYPE NULL TILEPROP NULL_X106Y95 CLASS tile TILEPROP NULL_X106Y95 COLUMN 106 TILEPROP NULL_X106Y95 DEVICE_ID 0 TILEPROP NULL_X106Y95 FIRST_SITE_ID 5999 TILEPROP NULL_X106Y95 GRID_POINT_X 106 TILEPROP NULL_X106Y95 GRID_POINT_Y 61 TILEPROP NULL_X106Y95 INDEX 7121 TILEPROP NULL_X106Y95 INT_TILE_X 41 TILEPROP NULL_X106Y95 INT_TILE_Y 58 TILEPROP NULL_X106Y95 IS_CENTER_TILE 0 TILEPROP NULL_X106Y95 IS_DCM_TILE 0 TILEPROP NULL_X106Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y95 NAME NULL_X106Y95 TILEPROP NULL_X106Y95 NUM_ARCS 0 TILEPROP NULL_X106Y95 NUM_SITES 0 TILEPROP NULL_X106Y95 ROW 61 TILEPROP NULL_X106Y95 SLR_REGION_ID 0 TILEPROP NULL_X106Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y95 TILE_TYPE NULL TILEPROP NULL_X106Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y95 TILE_X 93638 TILEPROP NULL_X106Y95 TILE_Y 52224 TILEPROP NULL_X106Y95 TYPE NULL TILEPROP NULL_X106Y97 CLASS tile TILEPROP NULL_X106Y97 COLUMN 106 TILEPROP NULL_X106Y97 DEVICE_ID 0 TILEPROP NULL_X106Y97 FIRST_SITE_ID 5795 TILEPROP NULL_X106Y97 GRID_POINT_X 106 TILEPROP NULL_X106Y97 GRID_POINT_Y 59 TILEPROP NULL_X106Y97 INDEX 6891 TILEPROP NULL_X106Y97 INT_TILE_X 41 TILEPROP NULL_X106Y97 INT_TILE_Y 56 TILEPROP NULL_X106Y97 IS_CENTER_TILE 0 TILEPROP NULL_X106Y97 IS_DCM_TILE 0 TILEPROP NULL_X106Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y97 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y97 NAME NULL_X106Y97 TILEPROP NULL_X106Y97 NUM_ARCS 0 TILEPROP NULL_X106Y97 NUM_SITES 0 TILEPROP NULL_X106Y97 ROW 59 TILEPROP NULL_X106Y97 SLR_REGION_ID 0 TILEPROP NULL_X106Y97 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y97 TILE_TYPE NULL TILEPROP NULL_X106Y97 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y97 TILE_X 93638 TILEPROP NULL_X106Y97 TILE_Y 58624 TILEPROP NULL_X106Y97 TYPE NULL TILEPROP NULL_X106Y98 CLASS tile TILEPROP NULL_X106Y98 COLUMN 106 TILEPROP NULL_X106Y98 DEVICE_ID 0 TILEPROP NULL_X106Y98 FIRST_SITE_ID 5693 TILEPROP NULL_X106Y98 GRID_POINT_X 106 TILEPROP NULL_X106Y98 GRID_POINT_Y 58 TILEPROP NULL_X106Y98 INDEX 6776 TILEPROP NULL_X106Y98 INT_TILE_X 41 TILEPROP NULL_X106Y98 INT_TILE_Y 55 TILEPROP NULL_X106Y98 IS_CENTER_TILE 0 TILEPROP NULL_X106Y98 IS_DCM_TILE 0 TILEPROP NULL_X106Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y98 NAME NULL_X106Y98 TILEPROP NULL_X106Y98 NUM_ARCS 0 TILEPROP NULL_X106Y98 NUM_SITES 0 TILEPROP NULL_X106Y98 ROW 58 TILEPROP NULL_X106Y98 SLR_REGION_ID 0 TILEPROP NULL_X106Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y98 TILE_TYPE NULL TILEPROP NULL_X106Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y98 TILE_X 93638 TILEPROP NULL_X106Y98 TILE_Y 61824 TILEPROP NULL_X106Y98 TYPE NULL TILEPROP NULL_X106Y99 CLASS tile TILEPROP NULL_X106Y99 COLUMN 106 TILEPROP NULL_X106Y99 DEVICE_ID 0 TILEPROP NULL_X106Y99 FIRST_SITE_ID 5593 TILEPROP NULL_X106Y99 GRID_POINT_X 106 TILEPROP NULL_X106Y99 GRID_POINT_Y 57 TILEPROP NULL_X106Y99 INDEX 6661 TILEPROP NULL_X106Y99 INT_TILE_X 41 TILEPROP NULL_X106Y99 INT_TILE_Y 54 TILEPROP NULL_X106Y99 IS_CENTER_TILE 0 TILEPROP NULL_X106Y99 IS_DCM_TILE 0 TILEPROP NULL_X106Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y99 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y99 NAME NULL_X106Y99 TILEPROP NULL_X106Y99 NUM_ARCS 0 TILEPROP NULL_X106Y99 NUM_SITES 0 TILEPROP NULL_X106Y99 ROW 57 TILEPROP NULL_X106Y99 SLR_REGION_ID 0 TILEPROP NULL_X106Y99 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y99 TILE_TYPE NULL TILEPROP NULL_X106Y99 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y99 TILE_X 93638 TILEPROP NULL_X106Y99 TILE_Y 65024 TILEPROP NULL_X106Y99 TYPE NULL TILEPROP NULL_X106Y100 CLASS tile TILEPROP NULL_X106Y100 COLUMN 106 TILEPROP NULL_X106Y100 DEVICE_ID 0 TILEPROP NULL_X106Y100 FIRST_SITE_ID 5478 TILEPROP NULL_X106Y100 GRID_POINT_X 106 TILEPROP NULL_X106Y100 GRID_POINT_Y 56 TILEPROP NULL_X106Y100 INDEX 6546 TILEPROP NULL_X106Y100 INT_TILE_X 41 TILEPROP NULL_X106Y100 INT_TILE_Y 53 TILEPROP NULL_X106Y100 IS_CENTER_TILE 0 TILEPROP NULL_X106Y100 IS_DCM_TILE 0 TILEPROP NULL_X106Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y100 NAME NULL_X106Y100 TILEPROP NULL_X106Y100 NUM_ARCS 0 TILEPROP NULL_X106Y100 NUM_SITES 0 TILEPROP NULL_X106Y100 ROW 56 TILEPROP NULL_X106Y100 SLR_REGION_ID 0 TILEPROP NULL_X106Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y100 TILE_TYPE NULL TILEPROP NULL_X106Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y100 TILE_X 93638 TILEPROP NULL_X106Y100 TILE_Y 68224 TILEPROP NULL_X106Y100 TYPE NULL TILEPROP NULL_X106Y101 CLASS tile TILEPROP NULL_X106Y101 COLUMN 106 TILEPROP NULL_X106Y101 DEVICE_ID 0 TILEPROP NULL_X106Y101 FIRST_SITE_ID 5378 TILEPROP NULL_X106Y101 GRID_POINT_X 106 TILEPROP NULL_X106Y101 GRID_POINT_Y 55 TILEPROP NULL_X106Y101 INDEX 6431 TILEPROP NULL_X106Y101 INT_TILE_X 41 TILEPROP NULL_X106Y101 INT_TILE_Y 52 TILEPROP NULL_X106Y101 IS_CENTER_TILE 0 TILEPROP NULL_X106Y101 IS_DCM_TILE 0 TILEPROP NULL_X106Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y101 NAME NULL_X106Y101 TILEPROP NULL_X106Y101 NUM_ARCS 0 TILEPROP NULL_X106Y101 NUM_SITES 0 TILEPROP NULL_X106Y101 ROW 55 TILEPROP NULL_X106Y101 SLR_REGION_ID 0 TILEPROP NULL_X106Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y101 TILE_TYPE NULL TILEPROP NULL_X106Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y101 TILE_X 93638 TILEPROP NULL_X106Y101 TILE_Y 71424 TILEPROP NULL_X106Y101 TYPE NULL TILEPROP NULL_X106Y102 CLASS tile TILEPROP NULL_X106Y102 COLUMN 106 TILEPROP NULL_X106Y102 DEVICE_ID 0 TILEPROP NULL_X106Y102 FIRST_SITE_ID 5278 TILEPROP NULL_X106Y102 GRID_POINT_X 106 TILEPROP NULL_X106Y102 GRID_POINT_Y 54 TILEPROP NULL_X106Y102 INDEX 6316 TILEPROP NULL_X106Y102 INT_TILE_X 41 TILEPROP NULL_X106Y102 INT_TILE_Y 51 TILEPROP NULL_X106Y102 IS_CENTER_TILE 0 TILEPROP NULL_X106Y102 IS_DCM_TILE 0 TILEPROP NULL_X106Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y102 NAME NULL_X106Y102 TILEPROP NULL_X106Y102 NUM_ARCS 0 TILEPROP NULL_X106Y102 NUM_SITES 0 TILEPROP NULL_X106Y102 ROW 54 TILEPROP NULL_X106Y102 SLR_REGION_ID 0 TILEPROP NULL_X106Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y102 TILE_TYPE NULL TILEPROP NULL_X106Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y102 TILE_X 93638 TILEPROP NULL_X106Y102 TILE_Y 74624 TILEPROP NULL_X106Y102 TYPE NULL TILEPROP NULL_X106Y103 CLASS tile TILEPROP NULL_X106Y103 COLUMN 106 TILEPROP NULL_X106Y103 DEVICE_ID 0 TILEPROP NULL_X106Y103 FIRST_SITE_ID 5182 TILEPROP NULL_X106Y103 GRID_POINT_X 106 TILEPROP NULL_X106Y103 GRID_POINT_Y 53 TILEPROP NULL_X106Y103 INDEX 6201 TILEPROP NULL_X106Y103 INT_TILE_X 41 TILEPROP NULL_X106Y103 INT_TILE_Y 50 TILEPROP NULL_X106Y103 IS_CENTER_TILE 0 TILEPROP NULL_X106Y103 IS_DCM_TILE 0 TILEPROP NULL_X106Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y103 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y103 NAME NULL_X106Y103 TILEPROP NULL_X106Y103 NUM_ARCS 0 TILEPROP NULL_X106Y103 NUM_SITES 0 TILEPROP NULL_X106Y103 ROW 53 TILEPROP NULL_X106Y103 SLR_REGION_ID 0 TILEPROP NULL_X106Y103 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y103 TILE_TYPE NULL TILEPROP NULL_X106Y103 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y103 TILE_X 93638 TILEPROP NULL_X106Y103 TILE_Y 77824 TILEPROP NULL_X106Y103 TYPE NULL TILEPROP NULL_X106Y104 CLASS tile TILEPROP NULL_X106Y104 COLUMN 106 TILEPROP NULL_X106Y104 DEVICE_ID 0 TILEPROP NULL_X106Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X106Y104 GRID_POINT_X 106 TILEPROP NULL_X106Y104 GRID_POINT_Y 52 TILEPROP NULL_X106Y104 INDEX 6086 TILEPROP NULL_X106Y104 INT_TILE_X -1 TILEPROP NULL_X106Y104 INT_TILE_Y -1 TILEPROP NULL_X106Y104 IS_CENTER_TILE 0 TILEPROP NULL_X106Y104 IS_DCM_TILE 0 TILEPROP NULL_X106Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y104 NAME NULL_X106Y104 TILEPROP NULL_X106Y104 NUM_ARCS 0 TILEPROP NULL_X106Y104 NUM_SITES 0 TILEPROP NULL_X106Y104 ROW 52 TILEPROP NULL_X106Y104 SLR_REGION_ID 0 TILEPROP NULL_X106Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y104 TILE_TYPE NULL TILEPROP NULL_X106Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y104 TILE_X 93638 TILEPROP NULL_X106Y104 TILE_Y 81024 TILEPROP NULL_X106Y104 TYPE NULL TILEPROP NULL_X106Y105 CLASS tile TILEPROP NULL_X106Y105 COLUMN 106 TILEPROP NULL_X106Y105 DEVICE_ID 0 TILEPROP NULL_X106Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X106Y105 GRID_POINT_X 106 TILEPROP NULL_X106Y105 GRID_POINT_Y 51 TILEPROP NULL_X106Y105 INDEX 5971 TILEPROP NULL_X106Y105 INT_TILE_X -1 TILEPROP NULL_X106Y105 INT_TILE_Y -1 TILEPROP NULL_X106Y105 IS_CENTER_TILE 0 TILEPROP NULL_X106Y105 IS_DCM_TILE 0 TILEPROP NULL_X106Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y105 NAME NULL_X106Y105 TILEPROP NULL_X106Y105 NUM_ARCS 0 TILEPROP NULL_X106Y105 NUM_SITES 0 TILEPROP NULL_X106Y105 ROW 51 TILEPROP NULL_X106Y105 SLR_REGION_ID 0 TILEPROP NULL_X106Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y105 TILE_TYPE NULL TILEPROP NULL_X106Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y105 TILE_X 93638 TILEPROP NULL_X106Y105 TILE_Y 81272 TILEPROP NULL_X106Y105 TYPE NULL TILEPROP NULL_X106Y106 CLASS tile TILEPROP NULL_X106Y106 COLUMN 106 TILEPROP NULL_X106Y106 DEVICE_ID 0 TILEPROP NULL_X106Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X106Y106 GRID_POINT_X 106 TILEPROP NULL_X106Y106 GRID_POINT_Y 50 TILEPROP NULL_X106Y106 INDEX 5856 TILEPROP NULL_X106Y106 INT_TILE_X -1 TILEPROP NULL_X106Y106 INT_TILE_Y -1 TILEPROP NULL_X106Y106 IS_CENTER_TILE 0 TILEPROP NULL_X106Y106 IS_DCM_TILE 0 TILEPROP NULL_X106Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y106 NAME NULL_X106Y106 TILEPROP NULL_X106Y106 NUM_ARCS 0 TILEPROP NULL_X106Y106 NUM_SITES 0 TILEPROP NULL_X106Y106 ROW 50 TILEPROP NULL_X106Y106 SLR_REGION_ID 0 TILEPROP NULL_X106Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y106 TILE_TYPE NULL TILEPROP NULL_X106Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y106 TILE_X 93638 TILEPROP NULL_X106Y106 TILE_Y 84472 TILEPROP NULL_X106Y106 TYPE NULL TILEPROP NULL_X106Y107 CLASS tile TILEPROP NULL_X106Y107 COLUMN 106 TILEPROP NULL_X106Y107 DEVICE_ID 0 TILEPROP NULL_X106Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X106Y107 GRID_POINT_X 106 TILEPROP NULL_X106Y107 GRID_POINT_Y 49 TILEPROP NULL_X106Y107 INDEX 5741 TILEPROP NULL_X106Y107 INT_TILE_X -1 TILEPROP NULL_X106Y107 INT_TILE_Y -1 TILEPROP NULL_X106Y107 IS_CENTER_TILE 0 TILEPROP NULL_X106Y107 IS_DCM_TILE 0 TILEPROP NULL_X106Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y107 NAME NULL_X106Y107 TILEPROP NULL_X106Y107 NUM_ARCS 0 TILEPROP NULL_X106Y107 NUM_SITES 0 TILEPROP NULL_X106Y107 ROW 49 TILEPROP NULL_X106Y107 SLR_REGION_ID 0 TILEPROP NULL_X106Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y107 TILE_TYPE NULL TILEPROP NULL_X106Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y107 TILE_X 93638 TILEPROP NULL_X106Y107 TILE_Y 87672 TILEPROP NULL_X106Y107 TYPE NULL TILEPROP NULL_X106Y108 CLASS tile TILEPROP NULL_X106Y108 COLUMN 106 TILEPROP NULL_X106Y108 DEVICE_ID 0 TILEPROP NULL_X106Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X106Y108 GRID_POINT_X 106 TILEPROP NULL_X106Y108 GRID_POINT_Y 48 TILEPROP NULL_X106Y108 INDEX 5626 TILEPROP NULL_X106Y108 INT_TILE_X -1 TILEPROP NULL_X106Y108 INT_TILE_Y -1 TILEPROP NULL_X106Y108 IS_CENTER_TILE 0 TILEPROP NULL_X106Y108 IS_DCM_TILE 0 TILEPROP NULL_X106Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y108 NAME NULL_X106Y108 TILEPROP NULL_X106Y108 NUM_ARCS 0 TILEPROP NULL_X106Y108 NUM_SITES 0 TILEPROP NULL_X106Y108 ROW 48 TILEPROP NULL_X106Y108 SLR_REGION_ID 0 TILEPROP NULL_X106Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y108 TILE_TYPE NULL TILEPROP NULL_X106Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y108 TILE_X 93638 TILEPROP NULL_X106Y108 TILE_Y 90872 TILEPROP NULL_X106Y108 TYPE NULL TILEPROP NULL_X106Y109 CLASS tile TILEPROP NULL_X106Y109 COLUMN 106 TILEPROP NULL_X106Y109 DEVICE_ID 0 TILEPROP NULL_X106Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X106Y109 GRID_POINT_X 106 TILEPROP NULL_X106Y109 GRID_POINT_Y 47 TILEPROP NULL_X106Y109 INDEX 5511 TILEPROP NULL_X106Y109 INT_TILE_X -1 TILEPROP NULL_X106Y109 INT_TILE_Y -1 TILEPROP NULL_X106Y109 IS_CENTER_TILE 0 TILEPROP NULL_X106Y109 IS_DCM_TILE 0 TILEPROP NULL_X106Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y109 NAME NULL_X106Y109 TILEPROP NULL_X106Y109 NUM_ARCS 0 TILEPROP NULL_X106Y109 NUM_SITES 0 TILEPROP NULL_X106Y109 ROW 47 TILEPROP NULL_X106Y109 SLR_REGION_ID 0 TILEPROP NULL_X106Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y109 TILE_TYPE NULL TILEPROP NULL_X106Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y109 TILE_X 93638 TILEPROP NULL_X106Y109 TILE_Y 94072 TILEPROP NULL_X106Y109 TYPE NULL TILEPROP NULL_X106Y110 CLASS tile TILEPROP NULL_X106Y110 COLUMN 106 TILEPROP NULL_X106Y110 DEVICE_ID 0 TILEPROP NULL_X106Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X106Y110 GRID_POINT_X 106 TILEPROP NULL_X106Y110 GRID_POINT_Y 46 TILEPROP NULL_X106Y110 INDEX 5396 TILEPROP NULL_X106Y110 INT_TILE_X -1 TILEPROP NULL_X106Y110 INT_TILE_Y -1 TILEPROP NULL_X106Y110 IS_CENTER_TILE 0 TILEPROP NULL_X106Y110 IS_DCM_TILE 0 TILEPROP NULL_X106Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y110 NAME NULL_X106Y110 TILEPROP NULL_X106Y110 NUM_ARCS 0 TILEPROP NULL_X106Y110 NUM_SITES 0 TILEPROP NULL_X106Y110 ROW 46 TILEPROP NULL_X106Y110 SLR_REGION_ID 0 TILEPROP NULL_X106Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y110 TILE_TYPE NULL TILEPROP NULL_X106Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y110 TILE_X 93638 TILEPROP NULL_X106Y110 TILE_Y 97272 TILEPROP NULL_X106Y110 TYPE NULL TILEPROP NULL_X106Y111 CLASS tile TILEPROP NULL_X106Y111 COLUMN 106 TILEPROP NULL_X106Y111 DEVICE_ID 0 TILEPROP NULL_X106Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X106Y111 GRID_POINT_X 106 TILEPROP NULL_X106Y111 GRID_POINT_Y 45 TILEPROP NULL_X106Y111 INDEX 5281 TILEPROP NULL_X106Y111 INT_TILE_X -1 TILEPROP NULL_X106Y111 INT_TILE_Y -1 TILEPROP NULL_X106Y111 IS_CENTER_TILE 0 TILEPROP NULL_X106Y111 IS_DCM_TILE 0 TILEPROP NULL_X106Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y111 NAME NULL_X106Y111 TILEPROP NULL_X106Y111 NUM_ARCS 0 TILEPROP NULL_X106Y111 NUM_SITES 0 TILEPROP NULL_X106Y111 ROW 45 TILEPROP NULL_X106Y111 SLR_REGION_ID 0 TILEPROP NULL_X106Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y111 TILE_TYPE NULL TILEPROP NULL_X106Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y111 TILE_X 93638 TILEPROP NULL_X106Y111 TILE_Y 100472 TILEPROP NULL_X106Y111 TYPE NULL TILEPROP NULL_X106Y112 CLASS tile TILEPROP NULL_X106Y112 COLUMN 106 TILEPROP NULL_X106Y112 DEVICE_ID 0 TILEPROP NULL_X106Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X106Y112 GRID_POINT_X 106 TILEPROP NULL_X106Y112 GRID_POINT_Y 44 TILEPROP NULL_X106Y112 INDEX 5166 TILEPROP NULL_X106Y112 INT_TILE_X -1 TILEPROP NULL_X106Y112 INT_TILE_Y -1 TILEPROP NULL_X106Y112 IS_CENTER_TILE 0 TILEPROP NULL_X106Y112 IS_DCM_TILE 0 TILEPROP NULL_X106Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y112 NAME NULL_X106Y112 TILEPROP NULL_X106Y112 NUM_ARCS 0 TILEPROP NULL_X106Y112 NUM_SITES 0 TILEPROP NULL_X106Y112 ROW 44 TILEPROP NULL_X106Y112 SLR_REGION_ID 0 TILEPROP NULL_X106Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y112 TILE_TYPE NULL TILEPROP NULL_X106Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y112 TILE_X 93638 TILEPROP NULL_X106Y112 TILE_Y 103672 TILEPROP NULL_X106Y112 TYPE NULL TILEPROP NULL_X106Y113 CLASS tile TILEPROP NULL_X106Y113 COLUMN 106 TILEPROP NULL_X106Y113 DEVICE_ID 0 TILEPROP NULL_X106Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X106Y113 GRID_POINT_X 106 TILEPROP NULL_X106Y113 GRID_POINT_Y 43 TILEPROP NULL_X106Y113 INDEX 5051 TILEPROP NULL_X106Y113 INT_TILE_X -1 TILEPROP NULL_X106Y113 INT_TILE_Y -1 TILEPROP NULL_X106Y113 IS_CENTER_TILE 0 TILEPROP NULL_X106Y113 IS_DCM_TILE 0 TILEPROP NULL_X106Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y113 NAME NULL_X106Y113 TILEPROP NULL_X106Y113 NUM_ARCS 0 TILEPROP NULL_X106Y113 NUM_SITES 0 TILEPROP NULL_X106Y113 ROW 43 TILEPROP NULL_X106Y113 SLR_REGION_ID 0 TILEPROP NULL_X106Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y113 TILE_TYPE NULL TILEPROP NULL_X106Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y113 TILE_X 93638 TILEPROP NULL_X106Y113 TILE_Y 106872 TILEPROP NULL_X106Y113 TYPE NULL TILEPROP NULL_X106Y114 CLASS tile TILEPROP NULL_X106Y114 COLUMN 106 TILEPROP NULL_X106Y114 DEVICE_ID 0 TILEPROP NULL_X106Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X106Y114 GRID_POINT_X 106 TILEPROP NULL_X106Y114 GRID_POINT_Y 42 TILEPROP NULL_X106Y114 INDEX 4936 TILEPROP NULL_X106Y114 INT_TILE_X -1 TILEPROP NULL_X106Y114 INT_TILE_Y -1 TILEPROP NULL_X106Y114 IS_CENTER_TILE 0 TILEPROP NULL_X106Y114 IS_DCM_TILE 0 TILEPROP NULL_X106Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y114 NAME NULL_X106Y114 TILEPROP NULL_X106Y114 NUM_ARCS 0 TILEPROP NULL_X106Y114 NUM_SITES 0 TILEPROP NULL_X106Y114 ROW 42 TILEPROP NULL_X106Y114 SLR_REGION_ID 0 TILEPROP NULL_X106Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y114 TILE_TYPE NULL TILEPROP NULL_X106Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y114 TILE_X 93638 TILEPROP NULL_X106Y114 TILE_Y 110072 TILEPROP NULL_X106Y114 TYPE NULL TILEPROP NULL_X106Y115 CLASS tile TILEPROP NULL_X106Y115 COLUMN 106 TILEPROP NULL_X106Y115 DEVICE_ID 0 TILEPROP NULL_X106Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X106Y115 GRID_POINT_X 106 TILEPROP NULL_X106Y115 GRID_POINT_Y 41 TILEPROP NULL_X106Y115 INDEX 4821 TILEPROP NULL_X106Y115 INT_TILE_X -1 TILEPROP NULL_X106Y115 INT_TILE_Y -1 TILEPROP NULL_X106Y115 IS_CENTER_TILE 0 TILEPROP NULL_X106Y115 IS_DCM_TILE 0 TILEPROP NULL_X106Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y115 NAME NULL_X106Y115 TILEPROP NULL_X106Y115 NUM_ARCS 0 TILEPROP NULL_X106Y115 NUM_SITES 0 TILEPROP NULL_X106Y115 ROW 41 TILEPROP NULL_X106Y115 SLR_REGION_ID 0 TILEPROP NULL_X106Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y115 TILE_TYPE NULL TILEPROP NULL_X106Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y115 TILE_X 93638 TILEPROP NULL_X106Y115 TILE_Y 113272 TILEPROP NULL_X106Y115 TYPE NULL TILEPROP NULL_X106Y116 CLASS tile TILEPROP NULL_X106Y116 COLUMN 106 TILEPROP NULL_X106Y116 DEVICE_ID 0 TILEPROP NULL_X106Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X106Y116 GRID_POINT_X 106 TILEPROP NULL_X106Y116 GRID_POINT_Y 40 TILEPROP NULL_X106Y116 INDEX 4706 TILEPROP NULL_X106Y116 INT_TILE_X -1 TILEPROP NULL_X106Y116 INT_TILE_Y -1 TILEPROP NULL_X106Y116 IS_CENTER_TILE 0 TILEPROP NULL_X106Y116 IS_DCM_TILE 0 TILEPROP NULL_X106Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y116 NAME NULL_X106Y116 TILEPROP NULL_X106Y116 NUM_ARCS 0 TILEPROP NULL_X106Y116 NUM_SITES 0 TILEPROP NULL_X106Y116 ROW 40 TILEPROP NULL_X106Y116 SLR_REGION_ID 0 TILEPROP NULL_X106Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y116 TILE_TYPE NULL TILEPROP NULL_X106Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y116 TILE_X 93638 TILEPROP NULL_X106Y116 TILE_Y 116472 TILEPROP NULL_X106Y116 TYPE NULL TILEPROP NULL_X106Y117 CLASS tile TILEPROP NULL_X106Y117 COLUMN 106 TILEPROP NULL_X106Y117 DEVICE_ID 0 TILEPROP NULL_X106Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X106Y117 GRID_POINT_X 106 TILEPROP NULL_X106Y117 GRID_POINT_Y 39 TILEPROP NULL_X106Y117 INDEX 4591 TILEPROP NULL_X106Y117 INT_TILE_X -1 TILEPROP NULL_X106Y117 INT_TILE_Y -1 TILEPROP NULL_X106Y117 IS_CENTER_TILE 0 TILEPROP NULL_X106Y117 IS_DCM_TILE 0 TILEPROP NULL_X106Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y117 NAME NULL_X106Y117 TILEPROP NULL_X106Y117 NUM_ARCS 0 TILEPROP NULL_X106Y117 NUM_SITES 0 TILEPROP NULL_X106Y117 ROW 39 TILEPROP NULL_X106Y117 SLR_REGION_ID 0 TILEPROP NULL_X106Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y117 TILE_TYPE NULL TILEPROP NULL_X106Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y117 TILE_X 93638 TILEPROP NULL_X106Y117 TILE_Y 119672 TILEPROP NULL_X106Y117 TYPE NULL TILEPROP NULL_X106Y118 CLASS tile TILEPROP NULL_X106Y118 COLUMN 106 TILEPROP NULL_X106Y118 DEVICE_ID 0 TILEPROP NULL_X106Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X106Y118 GRID_POINT_X 106 TILEPROP NULL_X106Y118 GRID_POINT_Y 38 TILEPROP NULL_X106Y118 INDEX 4476 TILEPROP NULL_X106Y118 INT_TILE_X -1 TILEPROP NULL_X106Y118 INT_TILE_Y -1 TILEPROP NULL_X106Y118 IS_CENTER_TILE 0 TILEPROP NULL_X106Y118 IS_DCM_TILE 0 TILEPROP NULL_X106Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y118 NAME NULL_X106Y118 TILEPROP NULL_X106Y118 NUM_ARCS 0 TILEPROP NULL_X106Y118 NUM_SITES 0 TILEPROP NULL_X106Y118 ROW 38 TILEPROP NULL_X106Y118 SLR_REGION_ID 0 TILEPROP NULL_X106Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y118 TILE_TYPE NULL TILEPROP NULL_X106Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y118 TILE_X 93638 TILEPROP NULL_X106Y118 TILE_Y 122872 TILEPROP NULL_X106Y118 TYPE NULL TILEPROP NULL_X106Y119 CLASS tile TILEPROP NULL_X106Y119 COLUMN 106 TILEPROP NULL_X106Y119 DEVICE_ID 0 TILEPROP NULL_X106Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X106Y119 GRID_POINT_X 106 TILEPROP NULL_X106Y119 GRID_POINT_Y 37 TILEPROP NULL_X106Y119 INDEX 4361 TILEPROP NULL_X106Y119 INT_TILE_X -1 TILEPROP NULL_X106Y119 INT_TILE_Y -1 TILEPROP NULL_X106Y119 IS_CENTER_TILE 0 TILEPROP NULL_X106Y119 IS_DCM_TILE 0 TILEPROP NULL_X106Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y119 NAME NULL_X106Y119 TILEPROP NULL_X106Y119 NUM_ARCS 0 TILEPROP NULL_X106Y119 NUM_SITES 0 TILEPROP NULL_X106Y119 ROW 37 TILEPROP NULL_X106Y119 SLR_REGION_ID 0 TILEPROP NULL_X106Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y119 TILE_TYPE NULL TILEPROP NULL_X106Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y119 TILE_X 93638 TILEPROP NULL_X106Y119 TILE_Y 126072 TILEPROP NULL_X106Y119 TYPE NULL TILEPROP NULL_X106Y120 CLASS tile TILEPROP NULL_X106Y120 COLUMN 106 TILEPROP NULL_X106Y120 DEVICE_ID 0 TILEPROP NULL_X106Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X106Y120 GRID_POINT_X 106 TILEPROP NULL_X106Y120 GRID_POINT_Y 36 TILEPROP NULL_X106Y120 INDEX 4246 TILEPROP NULL_X106Y120 INT_TILE_X -1 TILEPROP NULL_X106Y120 INT_TILE_Y -1 TILEPROP NULL_X106Y120 IS_CENTER_TILE 0 TILEPROP NULL_X106Y120 IS_DCM_TILE 0 TILEPROP NULL_X106Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y120 NAME NULL_X106Y120 TILEPROP NULL_X106Y120 NUM_ARCS 0 TILEPROP NULL_X106Y120 NUM_SITES 0 TILEPROP NULL_X106Y120 ROW 36 TILEPROP NULL_X106Y120 SLR_REGION_ID 0 TILEPROP NULL_X106Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y120 TILE_TYPE NULL TILEPROP NULL_X106Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y120 TILE_X 93638 TILEPROP NULL_X106Y120 TILE_Y 129272 TILEPROP NULL_X106Y120 TYPE NULL TILEPROP NULL_X106Y121 CLASS tile TILEPROP NULL_X106Y121 COLUMN 106 TILEPROP NULL_X106Y121 DEVICE_ID 0 TILEPROP NULL_X106Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X106Y121 GRID_POINT_X 106 TILEPROP NULL_X106Y121 GRID_POINT_Y 35 TILEPROP NULL_X106Y121 INDEX 4131 TILEPROP NULL_X106Y121 INT_TILE_X -1 TILEPROP NULL_X106Y121 INT_TILE_Y -1 TILEPROP NULL_X106Y121 IS_CENTER_TILE 0 TILEPROP NULL_X106Y121 IS_DCM_TILE 0 TILEPROP NULL_X106Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y121 NAME NULL_X106Y121 TILEPROP NULL_X106Y121 NUM_ARCS 0 TILEPROP NULL_X106Y121 NUM_SITES 0 TILEPROP NULL_X106Y121 ROW 35 TILEPROP NULL_X106Y121 SLR_REGION_ID 0 TILEPROP NULL_X106Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y121 TILE_TYPE NULL TILEPROP NULL_X106Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y121 TILE_X 93638 TILEPROP NULL_X106Y121 TILE_Y 132472 TILEPROP NULL_X106Y121 TYPE NULL TILEPROP NULL_X106Y122 CLASS tile TILEPROP NULL_X106Y122 COLUMN 106 TILEPROP NULL_X106Y122 DEVICE_ID 0 TILEPROP NULL_X106Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X106Y122 GRID_POINT_X 106 TILEPROP NULL_X106Y122 GRID_POINT_Y 34 TILEPROP NULL_X106Y122 INDEX 4016 TILEPROP NULL_X106Y122 INT_TILE_X -1 TILEPROP NULL_X106Y122 INT_TILE_Y -1 TILEPROP NULL_X106Y122 IS_CENTER_TILE 0 TILEPROP NULL_X106Y122 IS_DCM_TILE 0 TILEPROP NULL_X106Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y122 NAME NULL_X106Y122 TILEPROP NULL_X106Y122 NUM_ARCS 0 TILEPROP NULL_X106Y122 NUM_SITES 0 TILEPROP NULL_X106Y122 ROW 34 TILEPROP NULL_X106Y122 SLR_REGION_ID 0 TILEPROP NULL_X106Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y122 TILE_TYPE NULL TILEPROP NULL_X106Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y122 TILE_X 93638 TILEPROP NULL_X106Y122 TILE_Y 135672 TILEPROP NULL_X106Y122 TYPE NULL TILEPROP NULL_X106Y123 CLASS tile TILEPROP NULL_X106Y123 COLUMN 106 TILEPROP NULL_X106Y123 DEVICE_ID 0 TILEPROP NULL_X106Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X106Y123 GRID_POINT_X 106 TILEPROP NULL_X106Y123 GRID_POINT_Y 33 TILEPROP NULL_X106Y123 INDEX 3901 TILEPROP NULL_X106Y123 INT_TILE_X -1 TILEPROP NULL_X106Y123 INT_TILE_Y -1 TILEPROP NULL_X106Y123 IS_CENTER_TILE 0 TILEPROP NULL_X106Y123 IS_DCM_TILE 0 TILEPROP NULL_X106Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y123 NAME NULL_X106Y123 TILEPROP NULL_X106Y123 NUM_ARCS 0 TILEPROP NULL_X106Y123 NUM_SITES 0 TILEPROP NULL_X106Y123 ROW 33 TILEPROP NULL_X106Y123 SLR_REGION_ID 0 TILEPROP NULL_X106Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y123 TILE_TYPE NULL TILEPROP NULL_X106Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y123 TILE_X 93638 TILEPROP NULL_X106Y123 TILE_Y 138872 TILEPROP NULL_X106Y123 TYPE NULL TILEPROP NULL_X106Y124 CLASS tile TILEPROP NULL_X106Y124 COLUMN 106 TILEPROP NULL_X106Y124 DEVICE_ID 0 TILEPROP NULL_X106Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X106Y124 GRID_POINT_X 106 TILEPROP NULL_X106Y124 GRID_POINT_Y 32 TILEPROP NULL_X106Y124 INDEX 3786 TILEPROP NULL_X106Y124 INT_TILE_X -1 TILEPROP NULL_X106Y124 INT_TILE_Y -1 TILEPROP NULL_X106Y124 IS_CENTER_TILE 0 TILEPROP NULL_X106Y124 IS_DCM_TILE 0 TILEPROP NULL_X106Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y124 NAME NULL_X106Y124 TILEPROP NULL_X106Y124 NUM_ARCS 0 TILEPROP NULL_X106Y124 NUM_SITES 0 TILEPROP NULL_X106Y124 ROW 32 TILEPROP NULL_X106Y124 SLR_REGION_ID 0 TILEPROP NULL_X106Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y124 TILE_TYPE NULL TILEPROP NULL_X106Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y124 TILE_X 93638 TILEPROP NULL_X106Y124 TILE_Y 142072 TILEPROP NULL_X106Y124 TYPE NULL TILEPROP NULL_X106Y125 CLASS tile TILEPROP NULL_X106Y125 COLUMN 106 TILEPROP NULL_X106Y125 DEVICE_ID 0 TILEPROP NULL_X106Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X106Y125 GRID_POINT_X 106 TILEPROP NULL_X106Y125 GRID_POINT_Y 31 TILEPROP NULL_X106Y125 INDEX 3671 TILEPROP NULL_X106Y125 INT_TILE_X -1 TILEPROP NULL_X106Y125 INT_TILE_Y -1 TILEPROP NULL_X106Y125 IS_CENTER_TILE 0 TILEPROP NULL_X106Y125 IS_DCM_TILE 0 TILEPROP NULL_X106Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y125 NAME NULL_X106Y125 TILEPROP NULL_X106Y125 NUM_ARCS 0 TILEPROP NULL_X106Y125 NUM_SITES 0 TILEPROP NULL_X106Y125 ROW 31 TILEPROP NULL_X106Y125 SLR_REGION_ID 0 TILEPROP NULL_X106Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y125 TILE_TYPE NULL TILEPROP NULL_X106Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y125 TILE_X 93638 TILEPROP NULL_X106Y125 TILE_Y 145272 TILEPROP NULL_X106Y125 TYPE NULL TILEPROP NULL_X106Y126 CLASS tile TILEPROP NULL_X106Y126 COLUMN 106 TILEPROP NULL_X106Y126 DEVICE_ID 0 TILEPROP NULL_X106Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X106Y126 GRID_POINT_X 106 TILEPROP NULL_X106Y126 GRID_POINT_Y 30 TILEPROP NULL_X106Y126 INDEX 3556 TILEPROP NULL_X106Y126 INT_TILE_X -1 TILEPROP NULL_X106Y126 INT_TILE_Y -1 TILEPROP NULL_X106Y126 IS_CENTER_TILE 0 TILEPROP NULL_X106Y126 IS_DCM_TILE 0 TILEPROP NULL_X106Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y126 NAME NULL_X106Y126 TILEPROP NULL_X106Y126 NUM_ARCS 0 TILEPROP NULL_X106Y126 NUM_SITES 0 TILEPROP NULL_X106Y126 ROW 30 TILEPROP NULL_X106Y126 SLR_REGION_ID 0 TILEPROP NULL_X106Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y126 TILE_TYPE NULL TILEPROP NULL_X106Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y126 TILE_X 93638 TILEPROP NULL_X106Y126 TILE_Y 148472 TILEPROP NULL_X106Y126 TYPE NULL TILEPROP NULL_X106Y127 CLASS tile TILEPROP NULL_X106Y127 COLUMN 106 TILEPROP NULL_X106Y127 DEVICE_ID 0 TILEPROP NULL_X106Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X106Y127 GRID_POINT_X 106 TILEPROP NULL_X106Y127 GRID_POINT_Y 29 TILEPROP NULL_X106Y127 INDEX 3441 TILEPROP NULL_X106Y127 INT_TILE_X -1 TILEPROP NULL_X106Y127 INT_TILE_Y -1 TILEPROP NULL_X106Y127 IS_CENTER_TILE 0 TILEPROP NULL_X106Y127 IS_DCM_TILE 0 TILEPROP NULL_X106Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y127 NAME NULL_X106Y127 TILEPROP NULL_X106Y127 NUM_ARCS 0 TILEPROP NULL_X106Y127 NUM_SITES 0 TILEPROP NULL_X106Y127 ROW 29 TILEPROP NULL_X106Y127 SLR_REGION_ID 0 TILEPROP NULL_X106Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y127 TILE_TYPE NULL TILEPROP NULL_X106Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y127 TILE_X 93638 TILEPROP NULL_X106Y127 TILE_Y 151672 TILEPROP NULL_X106Y127 TYPE NULL TILEPROP NULL_X106Y128 CLASS tile TILEPROP NULL_X106Y128 COLUMN 106 TILEPROP NULL_X106Y128 DEVICE_ID 0 TILEPROP NULL_X106Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X106Y128 GRID_POINT_X 106 TILEPROP NULL_X106Y128 GRID_POINT_Y 28 TILEPROP NULL_X106Y128 INDEX 3326 TILEPROP NULL_X106Y128 INT_TILE_X -1 TILEPROP NULL_X106Y128 INT_TILE_Y -1 TILEPROP NULL_X106Y128 IS_CENTER_TILE 0 TILEPROP NULL_X106Y128 IS_DCM_TILE 0 TILEPROP NULL_X106Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y128 NAME NULL_X106Y128 TILEPROP NULL_X106Y128 NUM_ARCS 0 TILEPROP NULL_X106Y128 NUM_SITES 0 TILEPROP NULL_X106Y128 ROW 28 TILEPROP NULL_X106Y128 SLR_REGION_ID 0 TILEPROP NULL_X106Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y128 TILE_TYPE NULL TILEPROP NULL_X106Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y128 TILE_X 93638 TILEPROP NULL_X106Y128 TILE_Y 154872 TILEPROP NULL_X106Y128 TYPE NULL TILEPROP NULL_X106Y129 CLASS tile TILEPROP NULL_X106Y129 COLUMN 106 TILEPROP NULL_X106Y129 DEVICE_ID 0 TILEPROP NULL_X106Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X106Y129 GRID_POINT_X 106 TILEPROP NULL_X106Y129 GRID_POINT_Y 27 TILEPROP NULL_X106Y129 INDEX 3211 TILEPROP NULL_X106Y129 INT_TILE_X -1 TILEPROP NULL_X106Y129 INT_TILE_Y -1 TILEPROP NULL_X106Y129 IS_CENTER_TILE 0 TILEPROP NULL_X106Y129 IS_DCM_TILE 0 TILEPROP NULL_X106Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y129 NAME NULL_X106Y129 TILEPROP NULL_X106Y129 NUM_ARCS 0 TILEPROP NULL_X106Y129 NUM_SITES 0 TILEPROP NULL_X106Y129 ROW 27 TILEPROP NULL_X106Y129 SLR_REGION_ID 0 TILEPROP NULL_X106Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y129 TILE_TYPE NULL TILEPROP NULL_X106Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y129 TILE_X 93638 TILEPROP NULL_X106Y129 TILE_Y 158072 TILEPROP NULL_X106Y129 TYPE NULL TILEPROP NULL_X106Y130 CLASS tile TILEPROP NULL_X106Y130 COLUMN 106 TILEPROP NULL_X106Y130 DEVICE_ID 0 TILEPROP NULL_X106Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X106Y130 GRID_POINT_X 106 TILEPROP NULL_X106Y130 GRID_POINT_Y 26 TILEPROP NULL_X106Y130 INDEX 3096 TILEPROP NULL_X106Y130 INT_TILE_X -1 TILEPROP NULL_X106Y130 INT_TILE_Y -1 TILEPROP NULL_X106Y130 IS_CENTER_TILE 0 TILEPROP NULL_X106Y130 IS_DCM_TILE 0 TILEPROP NULL_X106Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y130 NAME NULL_X106Y130 TILEPROP NULL_X106Y130 NUM_ARCS 0 TILEPROP NULL_X106Y130 NUM_SITES 0 TILEPROP NULL_X106Y130 ROW 26 TILEPROP NULL_X106Y130 SLR_REGION_ID 0 TILEPROP NULL_X106Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y130 TILE_TYPE NULL TILEPROP NULL_X106Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y130 TILE_X 93638 TILEPROP NULL_X106Y130 TILE_Y 161272 TILEPROP NULL_X106Y130 TYPE NULL TILEPROP NULL_X106Y131 CLASS tile TILEPROP NULL_X106Y131 COLUMN 106 TILEPROP NULL_X106Y131 DEVICE_ID 0 TILEPROP NULL_X106Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X106Y131 GRID_POINT_X 106 TILEPROP NULL_X106Y131 GRID_POINT_Y 25 TILEPROP NULL_X106Y131 INDEX 2981 TILEPROP NULL_X106Y131 INT_TILE_X -1 TILEPROP NULL_X106Y131 INT_TILE_Y -1 TILEPROP NULL_X106Y131 IS_CENTER_TILE 0 TILEPROP NULL_X106Y131 IS_DCM_TILE 0 TILEPROP NULL_X106Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y131 NAME NULL_X106Y131 TILEPROP NULL_X106Y131 NUM_ARCS 0 TILEPROP NULL_X106Y131 NUM_SITES 0 TILEPROP NULL_X106Y131 ROW 25 TILEPROP NULL_X106Y131 SLR_REGION_ID 0 TILEPROP NULL_X106Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y131 TILE_TYPE NULL TILEPROP NULL_X106Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y131 TILE_X 93638 TILEPROP NULL_X106Y131 TILE_Y 162296 TILEPROP NULL_X106Y131 TYPE NULL TILEPROP NULL_X106Y132 CLASS tile TILEPROP NULL_X106Y132 COLUMN 106 TILEPROP NULL_X106Y132 DEVICE_ID 0 TILEPROP NULL_X106Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X106Y132 GRID_POINT_X 106 TILEPROP NULL_X106Y132 GRID_POINT_Y 24 TILEPROP NULL_X106Y132 INDEX 2866 TILEPROP NULL_X106Y132 INT_TILE_X -1 TILEPROP NULL_X106Y132 INT_TILE_Y -1 TILEPROP NULL_X106Y132 IS_CENTER_TILE 0 TILEPROP NULL_X106Y132 IS_DCM_TILE 0 TILEPROP NULL_X106Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y132 NAME NULL_X106Y132 TILEPROP NULL_X106Y132 NUM_ARCS 0 TILEPROP NULL_X106Y132 NUM_SITES 0 TILEPROP NULL_X106Y132 ROW 24 TILEPROP NULL_X106Y132 SLR_REGION_ID 0 TILEPROP NULL_X106Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y132 TILE_TYPE NULL TILEPROP NULL_X106Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y132 TILE_X 93638 TILEPROP NULL_X106Y132 TILE_Y 165496 TILEPROP NULL_X106Y132 TYPE NULL TILEPROP NULL_X106Y133 CLASS tile TILEPROP NULL_X106Y133 COLUMN 106 TILEPROP NULL_X106Y133 DEVICE_ID 0 TILEPROP NULL_X106Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X106Y133 GRID_POINT_X 106 TILEPROP NULL_X106Y133 GRID_POINT_Y 23 TILEPROP NULL_X106Y133 INDEX 2751 TILEPROP NULL_X106Y133 INT_TILE_X -1 TILEPROP NULL_X106Y133 INT_TILE_Y -1 TILEPROP NULL_X106Y133 IS_CENTER_TILE 0 TILEPROP NULL_X106Y133 IS_DCM_TILE 0 TILEPROP NULL_X106Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y133 NAME NULL_X106Y133 TILEPROP NULL_X106Y133 NUM_ARCS 0 TILEPROP NULL_X106Y133 NUM_SITES 0 TILEPROP NULL_X106Y133 ROW 23 TILEPROP NULL_X106Y133 SLR_REGION_ID 0 TILEPROP NULL_X106Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y133 TILE_TYPE NULL TILEPROP NULL_X106Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y133 TILE_X 93638 TILEPROP NULL_X106Y133 TILE_Y 168696 TILEPROP NULL_X106Y133 TYPE NULL TILEPROP NULL_X106Y134 CLASS tile TILEPROP NULL_X106Y134 COLUMN 106 TILEPROP NULL_X106Y134 DEVICE_ID 0 TILEPROP NULL_X106Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X106Y134 GRID_POINT_X 106 TILEPROP NULL_X106Y134 GRID_POINT_Y 22 TILEPROP NULL_X106Y134 INDEX 2636 TILEPROP NULL_X106Y134 INT_TILE_X -1 TILEPROP NULL_X106Y134 INT_TILE_Y -1 TILEPROP NULL_X106Y134 IS_CENTER_TILE 0 TILEPROP NULL_X106Y134 IS_DCM_TILE 0 TILEPROP NULL_X106Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y134 NAME NULL_X106Y134 TILEPROP NULL_X106Y134 NUM_ARCS 0 TILEPROP NULL_X106Y134 NUM_SITES 0 TILEPROP NULL_X106Y134 ROW 22 TILEPROP NULL_X106Y134 SLR_REGION_ID 0 TILEPROP NULL_X106Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y134 TILE_TYPE NULL TILEPROP NULL_X106Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y134 TILE_X 93638 TILEPROP NULL_X106Y134 TILE_Y 171896 TILEPROP NULL_X106Y134 TYPE NULL TILEPROP NULL_X106Y135 CLASS tile TILEPROP NULL_X106Y135 COLUMN 106 TILEPROP NULL_X106Y135 DEVICE_ID 0 TILEPROP NULL_X106Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X106Y135 GRID_POINT_X 106 TILEPROP NULL_X106Y135 GRID_POINT_Y 21 TILEPROP NULL_X106Y135 INDEX 2521 TILEPROP NULL_X106Y135 INT_TILE_X -1 TILEPROP NULL_X106Y135 INT_TILE_Y -1 TILEPROP NULL_X106Y135 IS_CENTER_TILE 0 TILEPROP NULL_X106Y135 IS_DCM_TILE 0 TILEPROP NULL_X106Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y135 NAME NULL_X106Y135 TILEPROP NULL_X106Y135 NUM_ARCS 0 TILEPROP NULL_X106Y135 NUM_SITES 0 TILEPROP NULL_X106Y135 ROW 21 TILEPROP NULL_X106Y135 SLR_REGION_ID 0 TILEPROP NULL_X106Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y135 TILE_TYPE NULL TILEPROP NULL_X106Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y135 TILE_X 93638 TILEPROP NULL_X106Y135 TILE_Y 175096 TILEPROP NULL_X106Y135 TYPE NULL TILEPROP NULL_X106Y136 CLASS tile TILEPROP NULL_X106Y136 COLUMN 106 TILEPROP NULL_X106Y136 DEVICE_ID 0 TILEPROP NULL_X106Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X106Y136 GRID_POINT_X 106 TILEPROP NULL_X106Y136 GRID_POINT_Y 20 TILEPROP NULL_X106Y136 INDEX 2406 TILEPROP NULL_X106Y136 INT_TILE_X -1 TILEPROP NULL_X106Y136 INT_TILE_Y -1 TILEPROP NULL_X106Y136 IS_CENTER_TILE 0 TILEPROP NULL_X106Y136 IS_DCM_TILE 0 TILEPROP NULL_X106Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y136 NAME NULL_X106Y136 TILEPROP NULL_X106Y136 NUM_ARCS 0 TILEPROP NULL_X106Y136 NUM_SITES 0 TILEPROP NULL_X106Y136 ROW 20 TILEPROP NULL_X106Y136 SLR_REGION_ID 0 TILEPROP NULL_X106Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y136 TILE_TYPE NULL TILEPROP NULL_X106Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y136 TILE_X 93638 TILEPROP NULL_X106Y136 TILE_Y 178296 TILEPROP NULL_X106Y136 TYPE NULL TILEPROP NULL_X106Y137 CLASS tile TILEPROP NULL_X106Y137 COLUMN 106 TILEPROP NULL_X106Y137 DEVICE_ID 0 TILEPROP NULL_X106Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X106Y137 GRID_POINT_X 106 TILEPROP NULL_X106Y137 GRID_POINT_Y 19 TILEPROP NULL_X106Y137 INDEX 2291 TILEPROP NULL_X106Y137 INT_TILE_X -1 TILEPROP NULL_X106Y137 INT_TILE_Y -1 TILEPROP NULL_X106Y137 IS_CENTER_TILE 0 TILEPROP NULL_X106Y137 IS_DCM_TILE 0 TILEPROP NULL_X106Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y137 NAME NULL_X106Y137 TILEPROP NULL_X106Y137 NUM_ARCS 0 TILEPROP NULL_X106Y137 NUM_SITES 0 TILEPROP NULL_X106Y137 ROW 19 TILEPROP NULL_X106Y137 SLR_REGION_ID 0 TILEPROP NULL_X106Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y137 TILE_TYPE NULL TILEPROP NULL_X106Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y137 TILE_X 93638 TILEPROP NULL_X106Y137 TILE_Y 181496 TILEPROP NULL_X106Y137 TYPE NULL TILEPROP NULL_X106Y138 CLASS tile TILEPROP NULL_X106Y138 COLUMN 106 TILEPROP NULL_X106Y138 DEVICE_ID 0 TILEPROP NULL_X106Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X106Y138 GRID_POINT_X 106 TILEPROP NULL_X106Y138 GRID_POINT_Y 18 TILEPROP NULL_X106Y138 INDEX 2176 TILEPROP NULL_X106Y138 INT_TILE_X -1 TILEPROP NULL_X106Y138 INT_TILE_Y -1 TILEPROP NULL_X106Y138 IS_CENTER_TILE 0 TILEPROP NULL_X106Y138 IS_DCM_TILE 0 TILEPROP NULL_X106Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y138 NAME NULL_X106Y138 TILEPROP NULL_X106Y138 NUM_ARCS 0 TILEPROP NULL_X106Y138 NUM_SITES 0 TILEPROP NULL_X106Y138 ROW 18 TILEPROP NULL_X106Y138 SLR_REGION_ID 0 TILEPROP NULL_X106Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y138 TILE_TYPE NULL TILEPROP NULL_X106Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y138 TILE_X 93638 TILEPROP NULL_X106Y138 TILE_Y 184696 TILEPROP NULL_X106Y138 TYPE NULL TILEPROP NULL_X106Y139 CLASS tile TILEPROP NULL_X106Y139 COLUMN 106 TILEPROP NULL_X106Y139 DEVICE_ID 0 TILEPROP NULL_X106Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X106Y139 GRID_POINT_X 106 TILEPROP NULL_X106Y139 GRID_POINT_Y 17 TILEPROP NULL_X106Y139 INDEX 2061 TILEPROP NULL_X106Y139 INT_TILE_X -1 TILEPROP NULL_X106Y139 INT_TILE_Y -1 TILEPROP NULL_X106Y139 IS_CENTER_TILE 0 TILEPROP NULL_X106Y139 IS_DCM_TILE 0 TILEPROP NULL_X106Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y139 NAME NULL_X106Y139 TILEPROP NULL_X106Y139 NUM_ARCS 0 TILEPROP NULL_X106Y139 NUM_SITES 0 TILEPROP NULL_X106Y139 ROW 17 TILEPROP NULL_X106Y139 SLR_REGION_ID 0 TILEPROP NULL_X106Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y139 TILE_TYPE NULL TILEPROP NULL_X106Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y139 TILE_X 93638 TILEPROP NULL_X106Y139 TILE_Y 187896 TILEPROP NULL_X106Y139 TYPE NULL TILEPROP NULL_X106Y140 CLASS tile TILEPROP NULL_X106Y140 COLUMN 106 TILEPROP NULL_X106Y140 DEVICE_ID 0 TILEPROP NULL_X106Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X106Y140 GRID_POINT_X 106 TILEPROP NULL_X106Y140 GRID_POINT_Y 16 TILEPROP NULL_X106Y140 INDEX 1946 TILEPROP NULL_X106Y140 INT_TILE_X -1 TILEPROP NULL_X106Y140 INT_TILE_Y -1 TILEPROP NULL_X106Y140 IS_CENTER_TILE 0 TILEPROP NULL_X106Y140 IS_DCM_TILE 0 TILEPROP NULL_X106Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y140 NAME NULL_X106Y140 TILEPROP NULL_X106Y140 NUM_ARCS 0 TILEPROP NULL_X106Y140 NUM_SITES 0 TILEPROP NULL_X106Y140 ROW 16 TILEPROP NULL_X106Y140 SLR_REGION_ID 0 TILEPROP NULL_X106Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y140 TILE_TYPE NULL TILEPROP NULL_X106Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y140 TILE_X 93638 TILEPROP NULL_X106Y140 TILE_Y 191096 TILEPROP NULL_X106Y140 TYPE NULL TILEPROP NULL_X106Y141 CLASS tile TILEPROP NULL_X106Y141 COLUMN 106 TILEPROP NULL_X106Y141 DEVICE_ID 0 TILEPROP NULL_X106Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X106Y141 GRID_POINT_X 106 TILEPROP NULL_X106Y141 GRID_POINT_Y 15 TILEPROP NULL_X106Y141 INDEX 1831 TILEPROP NULL_X106Y141 INT_TILE_X -1 TILEPROP NULL_X106Y141 INT_TILE_Y -1 TILEPROP NULL_X106Y141 IS_CENTER_TILE 0 TILEPROP NULL_X106Y141 IS_DCM_TILE 0 TILEPROP NULL_X106Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y141 NAME NULL_X106Y141 TILEPROP NULL_X106Y141 NUM_ARCS 0 TILEPROP NULL_X106Y141 NUM_SITES 0 TILEPROP NULL_X106Y141 ROW 15 TILEPROP NULL_X106Y141 SLR_REGION_ID 0 TILEPROP NULL_X106Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y141 TILE_TYPE NULL TILEPROP NULL_X106Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y141 TILE_X 93638 TILEPROP NULL_X106Y141 TILE_Y 194296 TILEPROP NULL_X106Y141 TYPE NULL TILEPROP NULL_X106Y142 CLASS tile TILEPROP NULL_X106Y142 COLUMN 106 TILEPROP NULL_X106Y142 DEVICE_ID 0 TILEPROP NULL_X106Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X106Y142 GRID_POINT_X 106 TILEPROP NULL_X106Y142 GRID_POINT_Y 14 TILEPROP NULL_X106Y142 INDEX 1716 TILEPROP NULL_X106Y142 INT_TILE_X -1 TILEPROP NULL_X106Y142 INT_TILE_Y -1 TILEPROP NULL_X106Y142 IS_CENTER_TILE 0 TILEPROP NULL_X106Y142 IS_DCM_TILE 0 TILEPROP NULL_X106Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y142 NAME NULL_X106Y142 TILEPROP NULL_X106Y142 NUM_ARCS 0 TILEPROP NULL_X106Y142 NUM_SITES 0 TILEPROP NULL_X106Y142 ROW 14 TILEPROP NULL_X106Y142 SLR_REGION_ID 0 TILEPROP NULL_X106Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y142 TILE_TYPE NULL TILEPROP NULL_X106Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y142 TILE_X 93638 TILEPROP NULL_X106Y142 TILE_Y 197496 TILEPROP NULL_X106Y142 TYPE NULL TILEPROP NULL_X106Y143 CLASS tile TILEPROP NULL_X106Y143 COLUMN 106 TILEPROP NULL_X106Y143 DEVICE_ID 0 TILEPROP NULL_X106Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X106Y143 GRID_POINT_X 106 TILEPROP NULL_X106Y143 GRID_POINT_Y 13 TILEPROP NULL_X106Y143 INDEX 1601 TILEPROP NULL_X106Y143 INT_TILE_X -1 TILEPROP NULL_X106Y143 INT_TILE_Y -1 TILEPROP NULL_X106Y143 IS_CENTER_TILE 0 TILEPROP NULL_X106Y143 IS_DCM_TILE 0 TILEPROP NULL_X106Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y143 NAME NULL_X106Y143 TILEPROP NULL_X106Y143 NUM_ARCS 0 TILEPROP NULL_X106Y143 NUM_SITES 0 TILEPROP NULL_X106Y143 ROW 13 TILEPROP NULL_X106Y143 SLR_REGION_ID 0 TILEPROP NULL_X106Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y143 TILE_TYPE NULL TILEPROP NULL_X106Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y143 TILE_X 93638 TILEPROP NULL_X106Y143 TILE_Y 200696 TILEPROP NULL_X106Y143 TYPE NULL TILEPROP NULL_X106Y144 CLASS tile TILEPROP NULL_X106Y144 COLUMN 106 TILEPROP NULL_X106Y144 DEVICE_ID 0 TILEPROP NULL_X106Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X106Y144 GRID_POINT_X 106 TILEPROP NULL_X106Y144 GRID_POINT_Y 12 TILEPROP NULL_X106Y144 INDEX 1486 TILEPROP NULL_X106Y144 INT_TILE_X -1 TILEPROP NULL_X106Y144 INT_TILE_Y -1 TILEPROP NULL_X106Y144 IS_CENTER_TILE 0 TILEPROP NULL_X106Y144 IS_DCM_TILE 0 TILEPROP NULL_X106Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y144 NAME NULL_X106Y144 TILEPROP NULL_X106Y144 NUM_ARCS 0 TILEPROP NULL_X106Y144 NUM_SITES 0 TILEPROP NULL_X106Y144 ROW 12 TILEPROP NULL_X106Y144 SLR_REGION_ID 0 TILEPROP NULL_X106Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y144 TILE_TYPE NULL TILEPROP NULL_X106Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y144 TILE_X 93638 TILEPROP NULL_X106Y144 TILE_Y 203896 TILEPROP NULL_X106Y144 TYPE NULL TILEPROP NULL_X106Y145 CLASS tile TILEPROP NULL_X106Y145 COLUMN 106 TILEPROP NULL_X106Y145 DEVICE_ID 0 TILEPROP NULL_X106Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X106Y145 GRID_POINT_X 106 TILEPROP NULL_X106Y145 GRID_POINT_Y 11 TILEPROP NULL_X106Y145 INDEX 1371 TILEPROP NULL_X106Y145 INT_TILE_X -1 TILEPROP NULL_X106Y145 INT_TILE_Y -1 TILEPROP NULL_X106Y145 IS_CENTER_TILE 0 TILEPROP NULL_X106Y145 IS_DCM_TILE 0 TILEPROP NULL_X106Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y145 NAME NULL_X106Y145 TILEPROP NULL_X106Y145 NUM_ARCS 0 TILEPROP NULL_X106Y145 NUM_SITES 0 TILEPROP NULL_X106Y145 ROW 11 TILEPROP NULL_X106Y145 SLR_REGION_ID 0 TILEPROP NULL_X106Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y145 TILE_TYPE NULL TILEPROP NULL_X106Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y145 TILE_X 93638 TILEPROP NULL_X106Y145 TILE_Y 207096 TILEPROP NULL_X106Y145 TYPE NULL TILEPROP NULL_X106Y146 CLASS tile TILEPROP NULL_X106Y146 COLUMN 106 TILEPROP NULL_X106Y146 DEVICE_ID 0 TILEPROP NULL_X106Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X106Y146 GRID_POINT_X 106 TILEPROP NULL_X106Y146 GRID_POINT_Y 10 TILEPROP NULL_X106Y146 INDEX 1256 TILEPROP NULL_X106Y146 INT_TILE_X -1 TILEPROP NULL_X106Y146 INT_TILE_Y -1 TILEPROP NULL_X106Y146 IS_CENTER_TILE 0 TILEPROP NULL_X106Y146 IS_DCM_TILE 0 TILEPROP NULL_X106Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y146 NAME NULL_X106Y146 TILEPROP NULL_X106Y146 NUM_ARCS 0 TILEPROP NULL_X106Y146 NUM_SITES 0 TILEPROP NULL_X106Y146 ROW 10 TILEPROP NULL_X106Y146 SLR_REGION_ID 0 TILEPROP NULL_X106Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y146 TILE_TYPE NULL TILEPROP NULL_X106Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y146 TILE_X 93638 TILEPROP NULL_X106Y146 TILE_Y 210296 TILEPROP NULL_X106Y146 TYPE NULL TILEPROP NULL_X106Y147 CLASS tile TILEPROP NULL_X106Y147 COLUMN 106 TILEPROP NULL_X106Y147 DEVICE_ID 0 TILEPROP NULL_X106Y147 FIRST_SITE_ID 920 TILEPROP NULL_X106Y147 GRID_POINT_X 106 TILEPROP NULL_X106Y147 GRID_POINT_Y 9 TILEPROP NULL_X106Y147 INDEX 1141 TILEPROP NULL_X106Y147 INT_TILE_X -1 TILEPROP NULL_X106Y147 INT_TILE_Y -1 TILEPROP NULL_X106Y147 IS_CENTER_TILE 0 TILEPROP NULL_X106Y147 IS_DCM_TILE 0 TILEPROP NULL_X106Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y147 NAME NULL_X106Y147 TILEPROP NULL_X106Y147 NUM_ARCS 0 TILEPROP NULL_X106Y147 NUM_SITES 0 TILEPROP NULL_X106Y147 ROW 9 TILEPROP NULL_X106Y147 SLR_REGION_ID 0 TILEPROP NULL_X106Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y147 TILE_TYPE NULL TILEPROP NULL_X106Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y147 TILE_X 93638 TILEPROP NULL_X106Y147 TILE_Y 213496 TILEPROP NULL_X106Y147 TYPE NULL TILEPROP NULL_X106Y148 CLASS tile TILEPROP NULL_X106Y148 COLUMN 106 TILEPROP NULL_X106Y148 DEVICE_ID 0 TILEPROP NULL_X106Y148 FIRST_SITE_ID 816 TILEPROP NULL_X106Y148 GRID_POINT_X 106 TILEPROP NULL_X106Y148 GRID_POINT_Y 8 TILEPROP NULL_X106Y148 INDEX 1026 TILEPROP NULL_X106Y148 INT_TILE_X -1 TILEPROP NULL_X106Y148 INT_TILE_Y -1 TILEPROP NULL_X106Y148 IS_CENTER_TILE 0 TILEPROP NULL_X106Y148 IS_DCM_TILE 0 TILEPROP NULL_X106Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y148 NAME NULL_X106Y148 TILEPROP NULL_X106Y148 NUM_ARCS 0 TILEPROP NULL_X106Y148 NUM_SITES 0 TILEPROP NULL_X106Y148 ROW 8 TILEPROP NULL_X106Y148 SLR_REGION_ID 0 TILEPROP NULL_X106Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y148 TILE_TYPE NULL TILEPROP NULL_X106Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y148 TILE_X 93638 TILEPROP NULL_X106Y148 TILE_Y 216696 TILEPROP NULL_X106Y148 TYPE NULL TILEPROP NULL_X106Y149 CLASS tile TILEPROP NULL_X106Y149 COLUMN 106 TILEPROP NULL_X106Y149 DEVICE_ID 0 TILEPROP NULL_X106Y149 FIRST_SITE_ID 719 TILEPROP NULL_X106Y149 GRID_POINT_X 106 TILEPROP NULL_X106Y149 GRID_POINT_Y 7 TILEPROP NULL_X106Y149 INDEX 911 TILEPROP NULL_X106Y149 INT_TILE_X -1 TILEPROP NULL_X106Y149 INT_TILE_Y -1 TILEPROP NULL_X106Y149 IS_CENTER_TILE 0 TILEPROP NULL_X106Y149 IS_DCM_TILE 0 TILEPROP NULL_X106Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y149 NAME NULL_X106Y149 TILEPROP NULL_X106Y149 NUM_ARCS 0 TILEPROP NULL_X106Y149 NUM_SITES 0 TILEPROP NULL_X106Y149 ROW 7 TILEPROP NULL_X106Y149 SLR_REGION_ID 0 TILEPROP NULL_X106Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y149 TILE_TYPE NULL TILEPROP NULL_X106Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y149 TILE_X 93638 TILEPROP NULL_X106Y149 TILE_Y 219896 TILEPROP NULL_X106Y149 TYPE NULL TILEPROP NULL_X106Y150 CLASS tile TILEPROP NULL_X106Y150 COLUMN 106 TILEPROP NULL_X106Y150 DEVICE_ID 0 TILEPROP NULL_X106Y150 FIRST_SITE_ID 613 TILEPROP NULL_X106Y150 GRID_POINT_X 106 TILEPROP NULL_X106Y150 GRID_POINT_Y 6 TILEPROP NULL_X106Y150 INDEX 796 TILEPROP NULL_X106Y150 INT_TILE_X -1 TILEPROP NULL_X106Y150 INT_TILE_Y -1 TILEPROP NULL_X106Y150 IS_CENTER_TILE 0 TILEPROP NULL_X106Y150 IS_DCM_TILE 0 TILEPROP NULL_X106Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y150 NAME NULL_X106Y150 TILEPROP NULL_X106Y150 NUM_ARCS 0 TILEPROP NULL_X106Y150 NUM_SITES 0 TILEPROP NULL_X106Y150 ROW 6 TILEPROP NULL_X106Y150 SLR_REGION_ID 0 TILEPROP NULL_X106Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y150 TILE_TYPE NULL TILEPROP NULL_X106Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y150 TILE_X 93638 TILEPROP NULL_X106Y150 TILE_Y 223096 TILEPROP NULL_X106Y150 TYPE NULL TILEPROP NULL_X106Y151 CLASS tile TILEPROP NULL_X106Y151 COLUMN 106 TILEPROP NULL_X106Y151 DEVICE_ID 0 TILEPROP NULL_X106Y151 FIRST_SITE_ID 512 TILEPROP NULL_X106Y151 GRID_POINT_X 106 TILEPROP NULL_X106Y151 GRID_POINT_Y 5 TILEPROP NULL_X106Y151 INDEX 681 TILEPROP NULL_X106Y151 INT_TILE_X -1 TILEPROP NULL_X106Y151 INT_TILE_Y -1 TILEPROP NULL_X106Y151 IS_CENTER_TILE 0 TILEPROP NULL_X106Y151 IS_DCM_TILE 0 TILEPROP NULL_X106Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y151 NAME NULL_X106Y151 TILEPROP NULL_X106Y151 NUM_ARCS 0 TILEPROP NULL_X106Y151 NUM_SITES 0 TILEPROP NULL_X106Y151 ROW 5 TILEPROP NULL_X106Y151 SLR_REGION_ID 0 TILEPROP NULL_X106Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y151 TILE_TYPE NULL TILEPROP NULL_X106Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y151 TILE_X 93638 TILEPROP NULL_X106Y151 TILE_Y 226296 TILEPROP NULL_X106Y151 TYPE NULL TILEPROP NULL_X106Y152 CLASS tile TILEPROP NULL_X106Y152 COLUMN 106 TILEPROP NULL_X106Y152 DEVICE_ID 0 TILEPROP NULL_X106Y152 FIRST_SITE_ID 396 TILEPROP NULL_X106Y152 GRID_POINT_X 106 TILEPROP NULL_X106Y152 GRID_POINT_Y 4 TILEPROP NULL_X106Y152 INDEX 566 TILEPROP NULL_X106Y152 INT_TILE_X -1 TILEPROP NULL_X106Y152 INT_TILE_Y -1 TILEPROP NULL_X106Y152 IS_CENTER_TILE 0 TILEPROP NULL_X106Y152 IS_DCM_TILE 0 TILEPROP NULL_X106Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y152 NAME NULL_X106Y152 TILEPROP NULL_X106Y152 NUM_ARCS 0 TILEPROP NULL_X106Y152 NUM_SITES 0 TILEPROP NULL_X106Y152 ROW 4 TILEPROP NULL_X106Y152 SLR_REGION_ID 0 TILEPROP NULL_X106Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y152 TILE_TYPE NULL TILEPROP NULL_X106Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y152 TILE_X 93638 TILEPROP NULL_X106Y152 TILE_Y 229496 TILEPROP NULL_X106Y152 TYPE NULL TILEPROP NULL_X106Y153 CLASS tile TILEPROP NULL_X106Y153 COLUMN 106 TILEPROP NULL_X106Y153 DEVICE_ID 0 TILEPROP NULL_X106Y153 FIRST_SITE_ID 300 TILEPROP NULL_X106Y153 GRID_POINT_X 106 TILEPROP NULL_X106Y153 GRID_POINT_Y 3 TILEPROP NULL_X106Y153 INDEX 451 TILEPROP NULL_X106Y153 INT_TILE_X -1 TILEPROP NULL_X106Y153 INT_TILE_Y -1 TILEPROP NULL_X106Y153 IS_CENTER_TILE 0 TILEPROP NULL_X106Y153 IS_DCM_TILE 0 TILEPROP NULL_X106Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y153 NAME NULL_X106Y153 TILEPROP NULL_X106Y153 NUM_ARCS 0 TILEPROP NULL_X106Y153 NUM_SITES 0 TILEPROP NULL_X106Y153 ROW 3 TILEPROP NULL_X106Y153 SLR_REGION_ID 0 TILEPROP NULL_X106Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y153 TILE_TYPE NULL TILEPROP NULL_X106Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y153 TILE_X 93638 TILEPROP NULL_X106Y153 TILE_Y 232696 TILEPROP NULL_X106Y153 TYPE NULL TILEPROP NULL_X106Y154 CLASS tile TILEPROP NULL_X106Y154 COLUMN 106 TILEPROP NULL_X106Y154 DEVICE_ID 0 TILEPROP NULL_X106Y154 FIRST_SITE_ID 196 TILEPROP NULL_X106Y154 GRID_POINT_X 106 TILEPROP NULL_X106Y154 GRID_POINT_Y 2 TILEPROP NULL_X106Y154 INDEX 336 TILEPROP NULL_X106Y154 INT_TILE_X -1 TILEPROP NULL_X106Y154 INT_TILE_Y -1 TILEPROP NULL_X106Y154 IS_CENTER_TILE 0 TILEPROP NULL_X106Y154 IS_DCM_TILE 0 TILEPROP NULL_X106Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y154 NAME NULL_X106Y154 TILEPROP NULL_X106Y154 NUM_ARCS 0 TILEPROP NULL_X106Y154 NUM_SITES 0 TILEPROP NULL_X106Y154 ROW 2 TILEPROP NULL_X106Y154 SLR_REGION_ID 0 TILEPROP NULL_X106Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y154 TILE_TYPE NULL TILEPROP NULL_X106Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y154 TILE_X 93638 TILEPROP NULL_X106Y154 TILE_Y 235896 TILEPROP NULL_X106Y154 TYPE NULL TILEPROP NULL_X106Y155 CLASS tile TILEPROP NULL_X106Y155 COLUMN 106 TILEPROP NULL_X106Y155 DEVICE_ID 0 TILEPROP NULL_X106Y155 FIRST_SITE_ID 100 TILEPROP NULL_X106Y155 GRID_POINT_X 106 TILEPROP NULL_X106Y155 GRID_POINT_Y 1 TILEPROP NULL_X106Y155 INDEX 221 TILEPROP NULL_X106Y155 INT_TILE_X -1 TILEPROP NULL_X106Y155 INT_TILE_Y -1 TILEPROP NULL_X106Y155 IS_CENTER_TILE 0 TILEPROP NULL_X106Y155 IS_DCM_TILE 0 TILEPROP NULL_X106Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y155 NAME NULL_X106Y155 TILEPROP NULL_X106Y155 NUM_ARCS 0 TILEPROP NULL_X106Y155 NUM_SITES 0 TILEPROP NULL_X106Y155 ROW 1 TILEPROP NULL_X106Y155 SLR_REGION_ID 0 TILEPROP NULL_X106Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y155 TILE_TYPE NULL TILEPROP NULL_X106Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y155 TILE_X 93638 TILEPROP NULL_X106Y155 TILE_Y 239096 TILEPROP NULL_X106Y155 TYPE NULL TILEPROP NULL_X106Y156 CLASS tile TILEPROP NULL_X106Y156 COLUMN 106 TILEPROP NULL_X106Y156 DEVICE_ID 0 TILEPROP NULL_X106Y156 FIRST_SITE_ID 0 TILEPROP NULL_X106Y156 GRID_POINT_X 106 TILEPROP NULL_X106Y156 GRID_POINT_Y 0 TILEPROP NULL_X106Y156 INDEX 106 TILEPROP NULL_X106Y156 INT_TILE_X -1 TILEPROP NULL_X106Y156 INT_TILE_Y -1 TILEPROP NULL_X106Y156 IS_CENTER_TILE 0 TILEPROP NULL_X106Y156 IS_DCM_TILE 0 TILEPROP NULL_X106Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X106Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X106Y156 NAME NULL_X106Y156 TILEPROP NULL_X106Y156 NUM_ARCS 0 TILEPROP NULL_X106Y156 NUM_SITES 0 TILEPROP NULL_X106Y156 ROW 0 TILEPROP NULL_X106Y156 SLR_REGION_ID 0 TILEPROP NULL_X106Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X106Y156 TILE_TYPE NULL TILEPROP NULL_X106Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X106Y156 TILE_X 93638 TILEPROP NULL_X106Y156 TILE_Y 242296 TILEPROP NULL_X106Y156 TYPE NULL TILEPROP NULL_X107Y0 CLASS tile TILEPROP NULL_X107Y0 COLUMN 107 TILEPROP NULL_X107Y0 DEVICE_ID 0 TILEPROP NULL_X107Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X107Y0 GRID_POINT_X 107 TILEPROP NULL_X107Y0 GRID_POINT_Y 156 TILEPROP NULL_X107Y0 INDEX 18047 TILEPROP NULL_X107Y0 INT_TILE_X 41 TILEPROP NULL_X107Y0 INT_TILE_Y 149 TILEPROP NULL_X107Y0 IS_CENTER_TILE 0 TILEPROP NULL_X107Y0 IS_DCM_TILE 0 TILEPROP NULL_X107Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y0 NAME NULL_X107Y0 TILEPROP NULL_X107Y0 NUM_ARCS 0 TILEPROP NULL_X107Y0 NUM_SITES 0 TILEPROP NULL_X107Y0 ROW 156 TILEPROP NULL_X107Y0 SLR_REGION_ID 0 TILEPROP NULL_X107Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y0 TILE_TYPE NULL TILEPROP NULL_X107Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y0 TILE_X 97238 TILEPROP NULL_X107Y0 TILE_Y -244472 TILEPROP NULL_X107Y0 TYPE NULL TILEPROP NULL_X107Y2 CLASS tile TILEPROP NULL_X107Y2 COLUMN 107 TILEPROP NULL_X107Y2 DEVICE_ID 0 TILEPROP NULL_X107Y2 FIRST_SITE_ID 15692 TILEPROP NULL_X107Y2 GRID_POINT_X 107 TILEPROP NULL_X107Y2 GRID_POINT_Y 154 TILEPROP NULL_X107Y2 INDEX 17817 TILEPROP NULL_X107Y2 INT_TILE_X 41 TILEPROP NULL_X107Y2 INT_TILE_Y 148 TILEPROP NULL_X107Y2 IS_CENTER_TILE 0 TILEPROP NULL_X107Y2 IS_DCM_TILE 0 TILEPROP NULL_X107Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y2 NAME NULL_X107Y2 TILEPROP NULL_X107Y2 NUM_ARCS 0 TILEPROP NULL_X107Y2 NUM_SITES 0 TILEPROP NULL_X107Y2 ROW 154 TILEPROP NULL_X107Y2 SLR_REGION_ID 0 TILEPROP NULL_X107Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y2 TILE_TYPE NULL TILEPROP NULL_X107Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y2 TILE_X 97238 TILEPROP NULL_X107Y2 TILE_Y -238072 TILEPROP NULL_X107Y2 TYPE NULL TILEPROP NULL_X107Y3 CLASS tile TILEPROP NULL_X107Y3 COLUMN 107 TILEPROP NULL_X107Y3 DEVICE_ID 0 TILEPROP NULL_X107Y3 FIRST_SITE_ID 15591 TILEPROP NULL_X107Y3 GRID_POINT_X 107 TILEPROP NULL_X107Y3 GRID_POINT_Y 153 TILEPROP NULL_X107Y3 INDEX 17702 TILEPROP NULL_X107Y3 INT_TILE_X 41 TILEPROP NULL_X107Y3 INT_TILE_Y 147 TILEPROP NULL_X107Y3 IS_CENTER_TILE 0 TILEPROP NULL_X107Y3 IS_DCM_TILE 0 TILEPROP NULL_X107Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y3 NAME NULL_X107Y3 TILEPROP NULL_X107Y3 NUM_ARCS 0 TILEPROP NULL_X107Y3 NUM_SITES 0 TILEPROP NULL_X107Y3 ROW 153 TILEPROP NULL_X107Y3 SLR_REGION_ID 0 TILEPROP NULL_X107Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y3 TILE_TYPE NULL TILEPROP NULL_X107Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y3 TILE_X 97238 TILEPROP NULL_X107Y3 TILE_Y -234872 TILEPROP NULL_X107Y3 TYPE NULL TILEPROP NULL_X107Y4 CLASS tile TILEPROP NULL_X107Y4 COLUMN 107 TILEPROP NULL_X107Y4 DEVICE_ID 0 TILEPROP NULL_X107Y4 FIRST_SITE_ID 15491 TILEPROP NULL_X107Y4 GRID_POINT_X 107 TILEPROP NULL_X107Y4 GRID_POINT_Y 152 TILEPROP NULL_X107Y4 INDEX 17587 TILEPROP NULL_X107Y4 INT_TILE_X 41 TILEPROP NULL_X107Y4 INT_TILE_Y 146 TILEPROP NULL_X107Y4 IS_CENTER_TILE 0 TILEPROP NULL_X107Y4 IS_DCM_TILE 0 TILEPROP NULL_X107Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y4 NAME NULL_X107Y4 TILEPROP NULL_X107Y4 NUM_ARCS 0 TILEPROP NULL_X107Y4 NUM_SITES 0 TILEPROP NULL_X107Y4 ROW 152 TILEPROP NULL_X107Y4 SLR_REGION_ID 0 TILEPROP NULL_X107Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y4 TILE_TYPE NULL TILEPROP NULL_X107Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y4 TILE_X 97238 TILEPROP NULL_X107Y4 TILE_Y -231672 TILEPROP NULL_X107Y4 TYPE NULL TILEPROP NULL_X107Y5 CLASS tile TILEPROP NULL_X107Y5 COLUMN 107 TILEPROP NULL_X107Y5 DEVICE_ID 0 TILEPROP NULL_X107Y5 FIRST_SITE_ID 15391 TILEPROP NULL_X107Y5 GRID_POINT_X 107 TILEPROP NULL_X107Y5 GRID_POINT_Y 151 TILEPROP NULL_X107Y5 INDEX 17472 TILEPROP NULL_X107Y5 INT_TILE_X 41 TILEPROP NULL_X107Y5 INT_TILE_Y 145 TILEPROP NULL_X107Y5 IS_CENTER_TILE 0 TILEPROP NULL_X107Y5 IS_DCM_TILE 0 TILEPROP NULL_X107Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y5 NAME NULL_X107Y5 TILEPROP NULL_X107Y5 NUM_ARCS 0 TILEPROP NULL_X107Y5 NUM_SITES 0 TILEPROP NULL_X107Y5 ROW 151 TILEPROP NULL_X107Y5 SLR_REGION_ID 0 TILEPROP NULL_X107Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y5 TILE_TYPE NULL TILEPROP NULL_X107Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y5 TILE_X 97238 TILEPROP NULL_X107Y5 TILE_Y -228472 TILEPROP NULL_X107Y5 TYPE NULL TILEPROP NULL_X107Y6 CLASS tile TILEPROP NULL_X107Y6 COLUMN 107 TILEPROP NULL_X107Y6 DEVICE_ID 0 TILEPROP NULL_X107Y6 FIRST_SITE_ID 15291 TILEPROP NULL_X107Y6 GRID_POINT_X 107 TILEPROP NULL_X107Y6 GRID_POINT_Y 150 TILEPROP NULL_X107Y6 INDEX 17357 TILEPROP NULL_X107Y6 INT_TILE_X 41 TILEPROP NULL_X107Y6 INT_TILE_Y 144 TILEPROP NULL_X107Y6 IS_CENTER_TILE 0 TILEPROP NULL_X107Y6 IS_DCM_TILE 0 TILEPROP NULL_X107Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y6 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y6 NAME NULL_X107Y6 TILEPROP NULL_X107Y6 NUM_ARCS 0 TILEPROP NULL_X107Y6 NUM_SITES 0 TILEPROP NULL_X107Y6 ROW 150 TILEPROP NULL_X107Y6 SLR_REGION_ID 0 TILEPROP NULL_X107Y6 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y6 TILE_TYPE NULL TILEPROP NULL_X107Y6 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y6 TILE_X 97238 TILEPROP NULL_X107Y6 TILE_Y -225272 TILEPROP NULL_X107Y6 TYPE NULL TILEPROP NULL_X107Y7 CLASS tile TILEPROP NULL_X107Y7 COLUMN 107 TILEPROP NULL_X107Y7 DEVICE_ID 0 TILEPROP NULL_X107Y7 FIRST_SITE_ID 15176 TILEPROP NULL_X107Y7 GRID_POINT_X 107 TILEPROP NULL_X107Y7 GRID_POINT_Y 149 TILEPROP NULL_X107Y7 INDEX 17242 TILEPROP NULL_X107Y7 INT_TILE_X 41 TILEPROP NULL_X107Y7 INT_TILE_Y 143 TILEPROP NULL_X107Y7 IS_CENTER_TILE 0 TILEPROP NULL_X107Y7 IS_DCM_TILE 0 TILEPROP NULL_X107Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y7 NAME NULL_X107Y7 TILEPROP NULL_X107Y7 NUM_ARCS 0 TILEPROP NULL_X107Y7 NUM_SITES 0 TILEPROP NULL_X107Y7 ROW 149 TILEPROP NULL_X107Y7 SLR_REGION_ID 0 TILEPROP NULL_X107Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y7 TILE_TYPE NULL TILEPROP NULL_X107Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y7 TILE_X 97238 TILEPROP NULL_X107Y7 TILE_Y -222072 TILEPROP NULL_X107Y7 TYPE NULL TILEPROP NULL_X107Y9 CLASS tile TILEPROP NULL_X107Y9 COLUMN 107 TILEPROP NULL_X107Y9 DEVICE_ID 0 TILEPROP NULL_X107Y9 FIRST_SITE_ID 14972 TILEPROP NULL_X107Y9 GRID_POINT_X 107 TILEPROP NULL_X107Y9 GRID_POINT_Y 147 TILEPROP NULL_X107Y9 INDEX 17012 TILEPROP NULL_X107Y9 INT_TILE_X 41 TILEPROP NULL_X107Y9 INT_TILE_Y 141 TILEPROP NULL_X107Y9 IS_CENTER_TILE 0 TILEPROP NULL_X107Y9 IS_DCM_TILE 0 TILEPROP NULL_X107Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y9 NAME NULL_X107Y9 TILEPROP NULL_X107Y9 NUM_ARCS 0 TILEPROP NULL_X107Y9 NUM_SITES 0 TILEPROP NULL_X107Y9 ROW 147 TILEPROP NULL_X107Y9 SLR_REGION_ID 0 TILEPROP NULL_X107Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y9 TILE_TYPE NULL TILEPROP NULL_X107Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y9 TILE_X 97238 TILEPROP NULL_X107Y9 TILE_Y -215672 TILEPROP NULL_X107Y9 TYPE NULL TILEPROP NULL_X107Y10 CLASS tile TILEPROP NULL_X107Y10 COLUMN 107 TILEPROP NULL_X107Y10 DEVICE_ID 0 TILEPROP NULL_X107Y10 FIRST_SITE_ID 14870 TILEPROP NULL_X107Y10 GRID_POINT_X 107 TILEPROP NULL_X107Y10 GRID_POINT_Y 146 TILEPROP NULL_X107Y10 INDEX 16897 TILEPROP NULL_X107Y10 INT_TILE_X 41 TILEPROP NULL_X107Y10 INT_TILE_Y 140 TILEPROP NULL_X107Y10 IS_CENTER_TILE 0 TILEPROP NULL_X107Y10 IS_DCM_TILE 0 TILEPROP NULL_X107Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y10 NAME NULL_X107Y10 TILEPROP NULL_X107Y10 NUM_ARCS 0 TILEPROP NULL_X107Y10 NUM_SITES 0 TILEPROP NULL_X107Y10 ROW 146 TILEPROP NULL_X107Y10 SLR_REGION_ID 0 TILEPROP NULL_X107Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y10 TILE_TYPE NULL TILEPROP NULL_X107Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y10 TILE_X 97238 TILEPROP NULL_X107Y10 TILE_Y -212472 TILEPROP NULL_X107Y10 TYPE NULL TILEPROP NULL_X107Y11 CLASS tile TILEPROP NULL_X107Y11 COLUMN 107 TILEPROP NULL_X107Y11 DEVICE_ID 0 TILEPROP NULL_X107Y11 FIRST_SITE_ID 14770 TILEPROP NULL_X107Y11 GRID_POINT_X 107 TILEPROP NULL_X107Y11 GRID_POINT_Y 145 TILEPROP NULL_X107Y11 INDEX 16782 TILEPROP NULL_X107Y11 INT_TILE_X 41 TILEPROP NULL_X107Y11 INT_TILE_Y 139 TILEPROP NULL_X107Y11 IS_CENTER_TILE 0 TILEPROP NULL_X107Y11 IS_DCM_TILE 0 TILEPROP NULL_X107Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y11 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y11 NAME NULL_X107Y11 TILEPROP NULL_X107Y11 NUM_ARCS 0 TILEPROP NULL_X107Y11 NUM_SITES 0 TILEPROP NULL_X107Y11 ROW 145 TILEPROP NULL_X107Y11 SLR_REGION_ID 0 TILEPROP NULL_X107Y11 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y11 TILE_TYPE NULL TILEPROP NULL_X107Y11 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y11 TILE_X 97238 TILEPROP NULL_X107Y11 TILE_Y -209272 TILEPROP NULL_X107Y11 TYPE NULL TILEPROP NULL_X107Y12 CLASS tile TILEPROP NULL_X107Y12 COLUMN 107 TILEPROP NULL_X107Y12 DEVICE_ID 0 TILEPROP NULL_X107Y12 FIRST_SITE_ID 14655 TILEPROP NULL_X107Y12 GRID_POINT_X 107 TILEPROP NULL_X107Y12 GRID_POINT_Y 144 TILEPROP NULL_X107Y12 INDEX 16667 TILEPROP NULL_X107Y12 INT_TILE_X 41 TILEPROP NULL_X107Y12 INT_TILE_Y 138 TILEPROP NULL_X107Y12 IS_CENTER_TILE 0 TILEPROP NULL_X107Y12 IS_DCM_TILE 0 TILEPROP NULL_X107Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y12 NAME NULL_X107Y12 TILEPROP NULL_X107Y12 NUM_ARCS 0 TILEPROP NULL_X107Y12 NUM_SITES 0 TILEPROP NULL_X107Y12 ROW 144 TILEPROP NULL_X107Y12 SLR_REGION_ID 0 TILEPROP NULL_X107Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y12 TILE_TYPE NULL TILEPROP NULL_X107Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y12 TILE_X 97238 TILEPROP NULL_X107Y12 TILE_Y -206072 TILEPROP NULL_X107Y12 TYPE NULL TILEPROP NULL_X107Y13 CLASS tile TILEPROP NULL_X107Y13 COLUMN 107 TILEPROP NULL_X107Y13 DEVICE_ID 0 TILEPROP NULL_X107Y13 FIRST_SITE_ID 14555 TILEPROP NULL_X107Y13 GRID_POINT_X 107 TILEPROP NULL_X107Y13 GRID_POINT_Y 143 TILEPROP NULL_X107Y13 INDEX 16552 TILEPROP NULL_X107Y13 INT_TILE_X 41 TILEPROP NULL_X107Y13 INT_TILE_Y 137 TILEPROP NULL_X107Y13 IS_CENTER_TILE 0 TILEPROP NULL_X107Y13 IS_DCM_TILE 0 TILEPROP NULL_X107Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y13 NAME NULL_X107Y13 TILEPROP NULL_X107Y13 NUM_ARCS 0 TILEPROP NULL_X107Y13 NUM_SITES 0 TILEPROP NULL_X107Y13 ROW 143 TILEPROP NULL_X107Y13 SLR_REGION_ID 0 TILEPROP NULL_X107Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y13 TILE_TYPE NULL TILEPROP NULL_X107Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y13 TILE_X 97238 TILEPROP NULL_X107Y13 TILE_Y -202872 TILEPROP NULL_X107Y13 TYPE NULL TILEPROP NULL_X107Y14 CLASS tile TILEPROP NULL_X107Y14 COLUMN 107 TILEPROP NULL_X107Y14 DEVICE_ID 0 TILEPROP NULL_X107Y14 FIRST_SITE_ID 14423 TILEPROP NULL_X107Y14 GRID_POINT_X 107 TILEPROP NULL_X107Y14 GRID_POINT_Y 142 TILEPROP NULL_X107Y14 INDEX 16437 TILEPROP NULL_X107Y14 INT_TILE_X 41 TILEPROP NULL_X107Y14 INT_TILE_Y 136 TILEPROP NULL_X107Y14 IS_CENTER_TILE 0 TILEPROP NULL_X107Y14 IS_DCM_TILE 0 TILEPROP NULL_X107Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y14 NAME NULL_X107Y14 TILEPROP NULL_X107Y14 NUM_ARCS 0 TILEPROP NULL_X107Y14 NUM_SITES 0 TILEPROP NULL_X107Y14 ROW 142 TILEPROP NULL_X107Y14 SLR_REGION_ID 0 TILEPROP NULL_X107Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y14 TILE_TYPE NULL TILEPROP NULL_X107Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y14 TILE_X 97238 TILEPROP NULL_X107Y14 TILE_Y -199672 TILEPROP NULL_X107Y14 TYPE NULL TILEPROP NULL_X107Y15 CLASS tile TILEPROP NULL_X107Y15 COLUMN 107 TILEPROP NULL_X107Y15 DEVICE_ID 0 TILEPROP NULL_X107Y15 FIRST_SITE_ID 14323 TILEPROP NULL_X107Y15 GRID_POINT_X 107 TILEPROP NULL_X107Y15 GRID_POINT_Y 141 TILEPROP NULL_X107Y15 INDEX 16322 TILEPROP NULL_X107Y15 INT_TILE_X 41 TILEPROP NULL_X107Y15 INT_TILE_Y 135 TILEPROP NULL_X107Y15 IS_CENTER_TILE 0 TILEPROP NULL_X107Y15 IS_DCM_TILE 0 TILEPROP NULL_X107Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y15 NAME NULL_X107Y15 TILEPROP NULL_X107Y15 NUM_ARCS 0 TILEPROP NULL_X107Y15 NUM_SITES 0 TILEPROP NULL_X107Y15 ROW 141 TILEPROP NULL_X107Y15 SLR_REGION_ID 0 TILEPROP NULL_X107Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y15 TILE_TYPE NULL TILEPROP NULL_X107Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y15 TILE_X 97238 TILEPROP NULL_X107Y15 TILE_Y -196472 TILEPROP NULL_X107Y15 TYPE NULL TILEPROP NULL_X107Y16 CLASS tile TILEPROP NULL_X107Y16 COLUMN 107 TILEPROP NULL_X107Y16 DEVICE_ID 0 TILEPROP NULL_X107Y16 FIRST_SITE_ID 14223 TILEPROP NULL_X107Y16 GRID_POINT_X 107 TILEPROP NULL_X107Y16 GRID_POINT_Y 140 TILEPROP NULL_X107Y16 INDEX 16207 TILEPROP NULL_X107Y16 INT_TILE_X 41 TILEPROP NULL_X107Y16 INT_TILE_Y 134 TILEPROP NULL_X107Y16 IS_CENTER_TILE 0 TILEPROP NULL_X107Y16 IS_DCM_TILE 0 TILEPROP NULL_X107Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y16 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y16 NAME NULL_X107Y16 TILEPROP NULL_X107Y16 NUM_ARCS 0 TILEPROP NULL_X107Y16 NUM_SITES 0 TILEPROP NULL_X107Y16 ROW 140 TILEPROP NULL_X107Y16 SLR_REGION_ID 0 TILEPROP NULL_X107Y16 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y16 TILE_TYPE NULL TILEPROP NULL_X107Y16 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y16 TILE_X 97238 TILEPROP NULL_X107Y16 TILE_Y -193272 TILEPROP NULL_X107Y16 TYPE NULL TILEPROP NULL_X107Y17 CLASS tile TILEPROP NULL_X107Y17 COLUMN 107 TILEPROP NULL_X107Y17 DEVICE_ID 0 TILEPROP NULL_X107Y17 FIRST_SITE_ID 14108 TILEPROP NULL_X107Y17 GRID_POINT_X 107 TILEPROP NULL_X107Y17 GRID_POINT_Y 139 TILEPROP NULL_X107Y17 INDEX 16092 TILEPROP NULL_X107Y17 INT_TILE_X 41 TILEPROP NULL_X107Y17 INT_TILE_Y 133 TILEPROP NULL_X107Y17 IS_CENTER_TILE 0 TILEPROP NULL_X107Y17 IS_DCM_TILE 0 TILEPROP NULL_X107Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y17 NAME NULL_X107Y17 TILEPROP NULL_X107Y17 NUM_ARCS 0 TILEPROP NULL_X107Y17 NUM_SITES 0 TILEPROP NULL_X107Y17 ROW 139 TILEPROP NULL_X107Y17 SLR_REGION_ID 0 TILEPROP NULL_X107Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y17 TILE_TYPE NULL TILEPROP NULL_X107Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y17 TILE_X 97238 TILEPROP NULL_X107Y17 TILE_Y -190072 TILEPROP NULL_X107Y17 TYPE NULL TILEPROP NULL_X107Y18 CLASS tile TILEPROP NULL_X107Y18 COLUMN 107 TILEPROP NULL_X107Y18 DEVICE_ID 0 TILEPROP NULL_X107Y18 FIRST_SITE_ID 14008 TILEPROP NULL_X107Y18 GRID_POINT_X 107 TILEPROP NULL_X107Y18 GRID_POINT_Y 138 TILEPROP NULL_X107Y18 INDEX 15977 TILEPROP NULL_X107Y18 INT_TILE_X 41 TILEPROP NULL_X107Y18 INT_TILE_Y 132 TILEPROP NULL_X107Y18 IS_CENTER_TILE 0 TILEPROP NULL_X107Y18 IS_DCM_TILE 0 TILEPROP NULL_X107Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y18 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y18 NAME NULL_X107Y18 TILEPROP NULL_X107Y18 NUM_ARCS 0 TILEPROP NULL_X107Y18 NUM_SITES 0 TILEPROP NULL_X107Y18 ROW 138 TILEPROP NULL_X107Y18 SLR_REGION_ID 0 TILEPROP NULL_X107Y18 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y18 TILE_TYPE NULL TILEPROP NULL_X107Y18 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y18 TILE_X 97238 TILEPROP NULL_X107Y18 TILE_Y -186872 TILEPROP NULL_X107Y18 TYPE NULL TILEPROP NULL_X107Y19 CLASS tile TILEPROP NULL_X107Y19 COLUMN 107 TILEPROP NULL_X107Y19 DEVICE_ID 0 TILEPROP NULL_X107Y19 FIRST_SITE_ID 13899 TILEPROP NULL_X107Y19 GRID_POINT_X 107 TILEPROP NULL_X107Y19 GRID_POINT_Y 137 TILEPROP NULL_X107Y19 INDEX 15862 TILEPROP NULL_X107Y19 INT_TILE_X 41 TILEPROP NULL_X107Y19 INT_TILE_Y 131 TILEPROP NULL_X107Y19 IS_CENTER_TILE 0 TILEPROP NULL_X107Y19 IS_DCM_TILE 0 TILEPROP NULL_X107Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y19 NAME NULL_X107Y19 TILEPROP NULL_X107Y19 NUM_ARCS 0 TILEPROP NULL_X107Y19 NUM_SITES 0 TILEPROP NULL_X107Y19 ROW 137 TILEPROP NULL_X107Y19 SLR_REGION_ID 0 TILEPROP NULL_X107Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y19 TILE_TYPE NULL TILEPROP NULL_X107Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y19 TILE_X 97238 TILEPROP NULL_X107Y19 TILE_Y -183672 TILEPROP NULL_X107Y19 TYPE NULL TILEPROP NULL_X107Y21 CLASS tile TILEPROP NULL_X107Y21 COLUMN 107 TILEPROP NULL_X107Y21 DEVICE_ID 0 TILEPROP NULL_X107Y21 FIRST_SITE_ID 13695 TILEPROP NULL_X107Y21 GRID_POINT_X 107 TILEPROP NULL_X107Y21 GRID_POINT_Y 135 TILEPROP NULL_X107Y21 INDEX 15632 TILEPROP NULL_X107Y21 INT_TILE_X 41 TILEPROP NULL_X107Y21 INT_TILE_Y 129 TILEPROP NULL_X107Y21 IS_CENTER_TILE 0 TILEPROP NULL_X107Y21 IS_DCM_TILE 0 TILEPROP NULL_X107Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y21 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y21 NAME NULL_X107Y21 TILEPROP NULL_X107Y21 NUM_ARCS 0 TILEPROP NULL_X107Y21 NUM_SITES 0 TILEPROP NULL_X107Y21 ROW 135 TILEPROP NULL_X107Y21 SLR_REGION_ID 0 TILEPROP NULL_X107Y21 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y21 TILE_TYPE NULL TILEPROP NULL_X107Y21 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y21 TILE_X 97238 TILEPROP NULL_X107Y21 TILE_Y -177272 TILEPROP NULL_X107Y21 TYPE NULL TILEPROP NULL_X107Y22 CLASS tile TILEPROP NULL_X107Y22 COLUMN 107 TILEPROP NULL_X107Y22 DEVICE_ID 0 TILEPROP NULL_X107Y22 FIRST_SITE_ID 13580 TILEPROP NULL_X107Y22 GRID_POINT_X 107 TILEPROP NULL_X107Y22 GRID_POINT_Y 134 TILEPROP NULL_X107Y22 INDEX 15517 TILEPROP NULL_X107Y22 INT_TILE_X 41 TILEPROP NULL_X107Y22 INT_TILE_Y 128 TILEPROP NULL_X107Y22 IS_CENTER_TILE 0 TILEPROP NULL_X107Y22 IS_DCM_TILE 0 TILEPROP NULL_X107Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y22 NAME NULL_X107Y22 TILEPROP NULL_X107Y22 NUM_ARCS 0 TILEPROP NULL_X107Y22 NUM_SITES 0 TILEPROP NULL_X107Y22 ROW 134 TILEPROP NULL_X107Y22 SLR_REGION_ID 0 TILEPROP NULL_X107Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y22 TILE_TYPE NULL TILEPROP NULL_X107Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y22 TILE_X 97238 TILEPROP NULL_X107Y22 TILE_Y -174072 TILEPROP NULL_X107Y22 TYPE NULL TILEPROP NULL_X107Y23 CLASS tile TILEPROP NULL_X107Y23 COLUMN 107 TILEPROP NULL_X107Y23 DEVICE_ID 0 TILEPROP NULL_X107Y23 FIRST_SITE_ID 13480 TILEPROP NULL_X107Y23 GRID_POINT_X 107 TILEPROP NULL_X107Y23 GRID_POINT_Y 133 TILEPROP NULL_X107Y23 INDEX 15402 TILEPROP NULL_X107Y23 INT_TILE_X 41 TILEPROP NULL_X107Y23 INT_TILE_Y 127 TILEPROP NULL_X107Y23 IS_CENTER_TILE 0 TILEPROP NULL_X107Y23 IS_DCM_TILE 0 TILEPROP NULL_X107Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y23 NAME NULL_X107Y23 TILEPROP NULL_X107Y23 NUM_ARCS 0 TILEPROP NULL_X107Y23 NUM_SITES 0 TILEPROP NULL_X107Y23 ROW 133 TILEPROP NULL_X107Y23 SLR_REGION_ID 0 TILEPROP NULL_X107Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y23 TILE_TYPE NULL TILEPROP NULL_X107Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y23 TILE_X 97238 TILEPROP NULL_X107Y23 TILE_Y -170872 TILEPROP NULL_X107Y23 TYPE NULL TILEPROP NULL_X107Y24 CLASS tile TILEPROP NULL_X107Y24 COLUMN 107 TILEPROP NULL_X107Y24 DEVICE_ID 0 TILEPROP NULL_X107Y24 FIRST_SITE_ID 13380 TILEPROP NULL_X107Y24 GRID_POINT_X 107 TILEPROP NULL_X107Y24 GRID_POINT_Y 132 TILEPROP NULL_X107Y24 INDEX 15287 TILEPROP NULL_X107Y24 INT_TILE_X 41 TILEPROP NULL_X107Y24 INT_TILE_Y 126 TILEPROP NULL_X107Y24 IS_CENTER_TILE 0 TILEPROP NULL_X107Y24 IS_DCM_TILE 0 TILEPROP NULL_X107Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y24 NAME NULL_X107Y24 TILEPROP NULL_X107Y24 NUM_ARCS 0 TILEPROP NULL_X107Y24 NUM_SITES 0 TILEPROP NULL_X107Y24 ROW 132 TILEPROP NULL_X107Y24 SLR_REGION_ID 0 TILEPROP NULL_X107Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y24 TILE_TYPE NULL TILEPROP NULL_X107Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y24 TILE_X 97238 TILEPROP NULL_X107Y24 TILE_Y -167672 TILEPROP NULL_X107Y24 TYPE NULL TILEPROP NULL_X107Y25 CLASS tile TILEPROP NULL_X107Y25 COLUMN 107 TILEPROP NULL_X107Y25 DEVICE_ID 0 TILEPROP NULL_X107Y25 FIRST_SITE_ID 13280 TILEPROP NULL_X107Y25 GRID_POINT_X 107 TILEPROP NULL_X107Y25 GRID_POINT_Y 131 TILEPROP NULL_X107Y25 INDEX 15172 TILEPROP NULL_X107Y25 INT_TILE_X 41 TILEPROP NULL_X107Y25 INT_TILE_Y 125 TILEPROP NULL_X107Y25 IS_CENTER_TILE 0 TILEPROP NULL_X107Y25 IS_DCM_TILE 0 TILEPROP NULL_X107Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y25 NAME NULL_X107Y25 TILEPROP NULL_X107Y25 NUM_ARCS 0 TILEPROP NULL_X107Y25 NUM_SITES 0 TILEPROP NULL_X107Y25 ROW 131 TILEPROP NULL_X107Y25 SLR_REGION_ID 0 TILEPROP NULL_X107Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y25 TILE_TYPE NULL TILEPROP NULL_X107Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y25 TILE_X 97238 TILEPROP NULL_X107Y25 TILE_Y -164472 TILEPROP NULL_X107Y25 TYPE NULL TILEPROP NULL_X107Y27 CLASS tile TILEPROP NULL_X107Y27 COLUMN 107 TILEPROP NULL_X107Y27 DEVICE_ID 0 TILEPROP NULL_X107Y27 FIRST_SITE_ID 13097 TILEPROP NULL_X107Y27 GRID_POINT_X 107 TILEPROP NULL_X107Y27 GRID_POINT_Y 129 TILEPROP NULL_X107Y27 INDEX 14942 TILEPROP NULL_X107Y27 INT_TILE_X 41 TILEPROP NULL_X107Y27 INT_TILE_Y 124 TILEPROP NULL_X107Y27 IS_CENTER_TILE 0 TILEPROP NULL_X107Y27 IS_DCM_TILE 0 TILEPROP NULL_X107Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y27 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y27 NAME NULL_X107Y27 TILEPROP NULL_X107Y27 NUM_ARCS 0 TILEPROP NULL_X107Y27 NUM_SITES 0 TILEPROP NULL_X107Y27 ROW 129 TILEPROP NULL_X107Y27 SLR_REGION_ID 0 TILEPROP NULL_X107Y27 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y27 TILE_TYPE NULL TILEPROP NULL_X107Y27 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y27 TILE_X 97238 TILEPROP NULL_X107Y27 TILE_Y -160248 TILEPROP NULL_X107Y27 TYPE NULL TILEPROP NULL_X107Y28 CLASS tile TILEPROP NULL_X107Y28 COLUMN 107 TILEPROP NULL_X107Y28 DEVICE_ID 0 TILEPROP NULL_X107Y28 FIRST_SITE_ID 12982 TILEPROP NULL_X107Y28 GRID_POINT_X 107 TILEPROP NULL_X107Y28 GRID_POINT_Y 128 TILEPROP NULL_X107Y28 INDEX 14827 TILEPROP NULL_X107Y28 INT_TILE_X 41 TILEPROP NULL_X107Y28 INT_TILE_Y 123 TILEPROP NULL_X107Y28 IS_CENTER_TILE 0 TILEPROP NULL_X107Y28 IS_DCM_TILE 0 TILEPROP NULL_X107Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y28 NAME NULL_X107Y28 TILEPROP NULL_X107Y28 NUM_ARCS 0 TILEPROP NULL_X107Y28 NUM_SITES 0 TILEPROP NULL_X107Y28 ROW 128 TILEPROP NULL_X107Y28 SLR_REGION_ID 0 TILEPROP NULL_X107Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y28 TILE_TYPE NULL TILEPROP NULL_X107Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y28 TILE_X 97238 TILEPROP NULL_X107Y28 TILE_Y -157048 TILEPROP NULL_X107Y28 TYPE NULL TILEPROP NULL_X107Y29 CLASS tile TILEPROP NULL_X107Y29 COLUMN 107 TILEPROP NULL_X107Y29 DEVICE_ID 0 TILEPROP NULL_X107Y29 FIRST_SITE_ID 12882 TILEPROP NULL_X107Y29 GRID_POINT_X 107 TILEPROP NULL_X107Y29 GRID_POINT_Y 127 TILEPROP NULL_X107Y29 INDEX 14712 TILEPROP NULL_X107Y29 INT_TILE_X 41 TILEPROP NULL_X107Y29 INT_TILE_Y 122 TILEPROP NULL_X107Y29 IS_CENTER_TILE 0 TILEPROP NULL_X107Y29 IS_DCM_TILE 0 TILEPROP NULL_X107Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y29 NAME NULL_X107Y29 TILEPROP NULL_X107Y29 NUM_ARCS 0 TILEPROP NULL_X107Y29 NUM_SITES 0 TILEPROP NULL_X107Y29 ROW 127 TILEPROP NULL_X107Y29 SLR_REGION_ID 0 TILEPROP NULL_X107Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y29 TILE_TYPE NULL TILEPROP NULL_X107Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y29 TILE_X 97238 TILEPROP NULL_X107Y29 TILE_Y -153848 TILEPROP NULL_X107Y29 TYPE NULL TILEPROP NULL_X107Y30 CLASS tile TILEPROP NULL_X107Y30 COLUMN 107 TILEPROP NULL_X107Y30 DEVICE_ID 0 TILEPROP NULL_X107Y30 FIRST_SITE_ID 12782 TILEPROP NULL_X107Y30 GRID_POINT_X 107 TILEPROP NULL_X107Y30 GRID_POINT_Y 126 TILEPROP NULL_X107Y30 INDEX 14597 TILEPROP NULL_X107Y30 INT_TILE_X 41 TILEPROP NULL_X107Y30 INT_TILE_Y 121 TILEPROP NULL_X107Y30 IS_CENTER_TILE 0 TILEPROP NULL_X107Y30 IS_DCM_TILE 0 TILEPROP NULL_X107Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y30 NAME NULL_X107Y30 TILEPROP NULL_X107Y30 NUM_ARCS 0 TILEPROP NULL_X107Y30 NUM_SITES 0 TILEPROP NULL_X107Y30 ROW 126 TILEPROP NULL_X107Y30 SLR_REGION_ID 0 TILEPROP NULL_X107Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y30 TILE_TYPE NULL TILEPROP NULL_X107Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y30 TILE_X 97238 TILEPROP NULL_X107Y30 TILE_Y -150648 TILEPROP NULL_X107Y30 TYPE NULL TILEPROP NULL_X107Y31 CLASS tile TILEPROP NULL_X107Y31 COLUMN 107 TILEPROP NULL_X107Y31 DEVICE_ID 0 TILEPROP NULL_X107Y31 FIRST_SITE_ID 12682 TILEPROP NULL_X107Y31 GRID_POINT_X 107 TILEPROP NULL_X107Y31 GRID_POINT_Y 125 TILEPROP NULL_X107Y31 INDEX 14482 TILEPROP NULL_X107Y31 INT_TILE_X 41 TILEPROP NULL_X107Y31 INT_TILE_Y 120 TILEPROP NULL_X107Y31 IS_CENTER_TILE 0 TILEPROP NULL_X107Y31 IS_DCM_TILE 0 TILEPROP NULL_X107Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y31 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y31 NAME NULL_X107Y31 TILEPROP NULL_X107Y31 NUM_ARCS 0 TILEPROP NULL_X107Y31 NUM_SITES 0 TILEPROP NULL_X107Y31 ROW 125 TILEPROP NULL_X107Y31 SLR_REGION_ID 0 TILEPROP NULL_X107Y31 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y31 TILE_TYPE NULL TILEPROP NULL_X107Y31 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y31 TILE_X 97238 TILEPROP NULL_X107Y31 TILE_Y -147448 TILEPROP NULL_X107Y31 TYPE NULL TILEPROP NULL_X107Y32 CLASS tile TILEPROP NULL_X107Y32 COLUMN 107 TILEPROP NULL_X107Y32 DEVICE_ID 0 TILEPROP NULL_X107Y32 FIRST_SITE_ID 12570 TILEPROP NULL_X107Y32 GRID_POINT_X 107 TILEPROP NULL_X107Y32 GRID_POINT_Y 124 TILEPROP NULL_X107Y32 INDEX 14367 TILEPROP NULL_X107Y32 INT_TILE_X 41 TILEPROP NULL_X107Y32 INT_TILE_Y 119 TILEPROP NULL_X107Y32 IS_CENTER_TILE 0 TILEPROP NULL_X107Y32 IS_DCM_TILE 0 TILEPROP NULL_X107Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y32 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y32 NAME NULL_X107Y32 TILEPROP NULL_X107Y32 NUM_ARCS 0 TILEPROP NULL_X107Y32 NUM_SITES 0 TILEPROP NULL_X107Y32 ROW 124 TILEPROP NULL_X107Y32 SLR_REGION_ID 0 TILEPROP NULL_X107Y32 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y32 TILE_TYPE NULL TILEPROP NULL_X107Y32 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y32 TILE_X 97238 TILEPROP NULL_X107Y32 TILE_Y -144248 TILEPROP NULL_X107Y32 TYPE NULL TILEPROP NULL_X107Y34 CLASS tile TILEPROP NULL_X107Y34 COLUMN 107 TILEPROP NULL_X107Y34 DEVICE_ID 0 TILEPROP NULL_X107Y34 FIRST_SITE_ID 12338 TILEPROP NULL_X107Y34 GRID_POINT_X 107 TILEPROP NULL_X107Y34 GRID_POINT_Y 122 TILEPROP NULL_X107Y34 INDEX 14137 TILEPROP NULL_X107Y34 INT_TILE_X 41 TILEPROP NULL_X107Y34 INT_TILE_Y 117 TILEPROP NULL_X107Y34 IS_CENTER_TILE 0 TILEPROP NULL_X107Y34 IS_DCM_TILE 0 TILEPROP NULL_X107Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y34 NAME NULL_X107Y34 TILEPROP NULL_X107Y34 NUM_ARCS 0 TILEPROP NULL_X107Y34 NUM_SITES 0 TILEPROP NULL_X107Y34 ROW 122 TILEPROP NULL_X107Y34 SLR_REGION_ID 0 TILEPROP NULL_X107Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y34 TILE_TYPE NULL TILEPROP NULL_X107Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y34 TILE_X 97238 TILEPROP NULL_X107Y34 TILE_Y -137848 TILEPROP NULL_X107Y34 TYPE NULL TILEPROP NULL_X107Y35 CLASS tile TILEPROP NULL_X107Y35 COLUMN 107 TILEPROP NULL_X107Y35 DEVICE_ID 0 TILEPROP NULL_X107Y35 FIRST_SITE_ID 12237 TILEPROP NULL_X107Y35 GRID_POINT_X 107 TILEPROP NULL_X107Y35 GRID_POINT_Y 121 TILEPROP NULL_X107Y35 INDEX 14022 TILEPROP NULL_X107Y35 INT_TILE_X 41 TILEPROP NULL_X107Y35 INT_TILE_Y 116 TILEPROP NULL_X107Y35 IS_CENTER_TILE 0 TILEPROP NULL_X107Y35 IS_DCM_TILE 0 TILEPROP NULL_X107Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y35 NAME NULL_X107Y35 TILEPROP NULL_X107Y35 NUM_ARCS 0 TILEPROP NULL_X107Y35 NUM_SITES 0 TILEPROP NULL_X107Y35 ROW 121 TILEPROP NULL_X107Y35 SLR_REGION_ID 0 TILEPROP NULL_X107Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y35 TILE_TYPE NULL TILEPROP NULL_X107Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y35 TILE_X 97238 TILEPROP NULL_X107Y35 TILE_Y -134648 TILEPROP NULL_X107Y35 TYPE NULL TILEPROP NULL_X107Y36 CLASS tile TILEPROP NULL_X107Y36 COLUMN 107 TILEPROP NULL_X107Y36 DEVICE_ID 0 TILEPROP NULL_X107Y36 FIRST_SITE_ID 12137 TILEPROP NULL_X107Y36 GRID_POINT_X 107 TILEPROP NULL_X107Y36 GRID_POINT_Y 120 TILEPROP NULL_X107Y36 INDEX 13907 TILEPROP NULL_X107Y36 INT_TILE_X 41 TILEPROP NULL_X107Y36 INT_TILE_Y 115 TILEPROP NULL_X107Y36 IS_CENTER_TILE 0 TILEPROP NULL_X107Y36 IS_DCM_TILE 0 TILEPROP NULL_X107Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y36 NAME NULL_X107Y36 TILEPROP NULL_X107Y36 NUM_ARCS 0 TILEPROP NULL_X107Y36 NUM_SITES 0 TILEPROP NULL_X107Y36 ROW 120 TILEPROP NULL_X107Y36 SLR_REGION_ID 0 TILEPROP NULL_X107Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y36 TILE_TYPE NULL TILEPROP NULL_X107Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y36 TILE_X 97238 TILEPROP NULL_X107Y36 TILE_Y -131448 TILEPROP NULL_X107Y36 TYPE NULL TILEPROP NULL_X107Y37 CLASS tile TILEPROP NULL_X107Y37 COLUMN 107 TILEPROP NULL_X107Y37 DEVICE_ID 0 TILEPROP NULL_X107Y37 FIRST_SITE_ID 12037 TILEPROP NULL_X107Y37 GRID_POINT_X 107 TILEPROP NULL_X107Y37 GRID_POINT_Y 119 TILEPROP NULL_X107Y37 INDEX 13792 TILEPROP NULL_X107Y37 INT_TILE_X 41 TILEPROP NULL_X107Y37 INT_TILE_Y 114 TILEPROP NULL_X107Y37 IS_CENTER_TILE 0 TILEPROP NULL_X107Y37 IS_DCM_TILE 0 TILEPROP NULL_X107Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y37 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y37 NAME NULL_X107Y37 TILEPROP NULL_X107Y37 NUM_ARCS 0 TILEPROP NULL_X107Y37 NUM_SITES 0 TILEPROP NULL_X107Y37 ROW 119 TILEPROP NULL_X107Y37 SLR_REGION_ID 0 TILEPROP NULL_X107Y37 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y37 TILE_TYPE NULL TILEPROP NULL_X107Y37 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y37 TILE_X 97238 TILEPROP NULL_X107Y37 TILE_Y -128248 TILEPROP NULL_X107Y37 TYPE NULL TILEPROP NULL_X107Y38 CLASS tile TILEPROP NULL_X107Y38 COLUMN 107 TILEPROP NULL_X107Y38 DEVICE_ID 0 TILEPROP NULL_X107Y38 FIRST_SITE_ID 11922 TILEPROP NULL_X107Y38 GRID_POINT_X 107 TILEPROP NULL_X107Y38 GRID_POINT_Y 118 TILEPROP NULL_X107Y38 INDEX 13677 TILEPROP NULL_X107Y38 INT_TILE_X 41 TILEPROP NULL_X107Y38 INT_TILE_Y 113 TILEPROP NULL_X107Y38 IS_CENTER_TILE 0 TILEPROP NULL_X107Y38 IS_DCM_TILE 0 TILEPROP NULL_X107Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y38 NAME NULL_X107Y38 TILEPROP NULL_X107Y38 NUM_ARCS 0 TILEPROP NULL_X107Y38 NUM_SITES 0 TILEPROP NULL_X107Y38 ROW 118 TILEPROP NULL_X107Y38 SLR_REGION_ID 0 TILEPROP NULL_X107Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y38 TILE_TYPE NULL TILEPROP NULL_X107Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y38 TILE_X 97238 TILEPROP NULL_X107Y38 TILE_Y -125048 TILEPROP NULL_X107Y38 TYPE NULL TILEPROP NULL_X107Y39 CLASS tile TILEPROP NULL_X107Y39 COLUMN 107 TILEPROP NULL_X107Y39 DEVICE_ID 0 TILEPROP NULL_X107Y39 FIRST_SITE_ID 11790 TILEPROP NULL_X107Y39 GRID_POINT_X 107 TILEPROP NULL_X107Y39 GRID_POINT_Y 117 TILEPROP NULL_X107Y39 INDEX 13562 TILEPROP NULL_X107Y39 INT_TILE_X 41 TILEPROP NULL_X107Y39 INT_TILE_Y 112 TILEPROP NULL_X107Y39 IS_CENTER_TILE 0 TILEPROP NULL_X107Y39 IS_DCM_TILE 0 TILEPROP NULL_X107Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y39 NAME NULL_X107Y39 TILEPROP NULL_X107Y39 NUM_ARCS 0 TILEPROP NULL_X107Y39 NUM_SITES 0 TILEPROP NULL_X107Y39 ROW 117 TILEPROP NULL_X107Y39 SLR_REGION_ID 0 TILEPROP NULL_X107Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y39 TILE_TYPE NULL TILEPROP NULL_X107Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y39 TILE_X 97238 TILEPROP NULL_X107Y39 TILE_Y -121848 TILEPROP NULL_X107Y39 TYPE NULL TILEPROP NULL_X107Y40 CLASS tile TILEPROP NULL_X107Y40 COLUMN 107 TILEPROP NULL_X107Y40 DEVICE_ID 0 TILEPROP NULL_X107Y40 FIRST_SITE_ID 11690 TILEPROP NULL_X107Y40 GRID_POINT_X 107 TILEPROP NULL_X107Y40 GRID_POINT_Y 116 TILEPROP NULL_X107Y40 INDEX 13447 TILEPROP NULL_X107Y40 INT_TILE_X 41 TILEPROP NULL_X107Y40 INT_TILE_Y 111 TILEPROP NULL_X107Y40 IS_CENTER_TILE 0 TILEPROP NULL_X107Y40 IS_DCM_TILE 0 TILEPROP NULL_X107Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y40 NAME NULL_X107Y40 TILEPROP NULL_X107Y40 NUM_ARCS 0 TILEPROP NULL_X107Y40 NUM_SITES 0 TILEPROP NULL_X107Y40 ROW 116 TILEPROP NULL_X107Y40 SLR_REGION_ID 0 TILEPROP NULL_X107Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y40 TILE_TYPE NULL TILEPROP NULL_X107Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y40 TILE_X 97238 TILEPROP NULL_X107Y40 TILE_Y -118648 TILEPROP NULL_X107Y40 TYPE NULL TILEPROP NULL_X107Y41 CLASS tile TILEPROP NULL_X107Y41 COLUMN 107 TILEPROP NULL_X107Y41 DEVICE_ID 0 TILEPROP NULL_X107Y41 FIRST_SITE_ID 11590 TILEPROP NULL_X107Y41 GRID_POINT_X 107 TILEPROP NULL_X107Y41 GRID_POINT_Y 115 TILEPROP NULL_X107Y41 INDEX 13332 TILEPROP NULL_X107Y41 INT_TILE_X 41 TILEPROP NULL_X107Y41 INT_TILE_Y 110 TILEPROP NULL_X107Y41 IS_CENTER_TILE 0 TILEPROP NULL_X107Y41 IS_DCM_TILE 0 TILEPROP NULL_X107Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y41 NAME NULL_X107Y41 TILEPROP NULL_X107Y41 NUM_ARCS 0 TILEPROP NULL_X107Y41 NUM_SITES 0 TILEPROP NULL_X107Y41 ROW 115 TILEPROP NULL_X107Y41 SLR_REGION_ID 0 TILEPROP NULL_X107Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y41 TILE_TYPE NULL TILEPROP NULL_X107Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y41 TILE_X 97238 TILEPROP NULL_X107Y41 TILE_Y -115448 TILEPROP NULL_X107Y41 TYPE NULL TILEPROP NULL_X107Y42 CLASS tile TILEPROP NULL_X107Y42 COLUMN 107 TILEPROP NULL_X107Y42 DEVICE_ID 0 TILEPROP NULL_X107Y42 FIRST_SITE_ID 11490 TILEPROP NULL_X107Y42 GRID_POINT_X 107 TILEPROP NULL_X107Y42 GRID_POINT_Y 114 TILEPROP NULL_X107Y42 INDEX 13217 TILEPROP NULL_X107Y42 INT_TILE_X 41 TILEPROP NULL_X107Y42 INT_TILE_Y 109 TILEPROP NULL_X107Y42 IS_CENTER_TILE 0 TILEPROP NULL_X107Y42 IS_DCM_TILE 0 TILEPROP NULL_X107Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y42 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y42 NAME NULL_X107Y42 TILEPROP NULL_X107Y42 NUM_ARCS 0 TILEPROP NULL_X107Y42 NUM_SITES 0 TILEPROP NULL_X107Y42 ROW 114 TILEPROP NULL_X107Y42 SLR_REGION_ID 0 TILEPROP NULL_X107Y42 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y42 TILE_TYPE NULL TILEPROP NULL_X107Y42 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y42 TILE_X 97238 TILEPROP NULL_X107Y42 TILE_Y -112248 TILEPROP NULL_X107Y42 TYPE NULL TILEPROP NULL_X107Y43 CLASS tile TILEPROP NULL_X107Y43 COLUMN 107 TILEPROP NULL_X107Y43 DEVICE_ID 0 TILEPROP NULL_X107Y43 FIRST_SITE_ID 11373 TILEPROP NULL_X107Y43 GRID_POINT_X 107 TILEPROP NULL_X107Y43 GRID_POINT_Y 113 TILEPROP NULL_X107Y43 INDEX 13102 TILEPROP NULL_X107Y43 INT_TILE_X 41 TILEPROP NULL_X107Y43 INT_TILE_Y 108 TILEPROP NULL_X107Y43 IS_CENTER_TILE 0 TILEPROP NULL_X107Y43 IS_DCM_TILE 0 TILEPROP NULL_X107Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y43 NAME NULL_X107Y43 TILEPROP NULL_X107Y43 NUM_ARCS 0 TILEPROP NULL_X107Y43 NUM_SITES 0 TILEPROP NULL_X107Y43 ROW 113 TILEPROP NULL_X107Y43 SLR_REGION_ID 0 TILEPROP NULL_X107Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y43 TILE_TYPE NULL TILEPROP NULL_X107Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y43 TILE_X 97238 TILEPROP NULL_X107Y43 TILE_Y -109048 TILEPROP NULL_X107Y43 TYPE NULL TILEPROP NULL_X107Y44 CLASS tile TILEPROP NULL_X107Y44 COLUMN 107 TILEPROP NULL_X107Y44 DEVICE_ID 0 TILEPROP NULL_X107Y44 FIRST_SITE_ID 11272 TILEPROP NULL_X107Y44 GRID_POINT_X 107 TILEPROP NULL_X107Y44 GRID_POINT_Y 112 TILEPROP NULL_X107Y44 INDEX 12987 TILEPROP NULL_X107Y44 INT_TILE_X 41 TILEPROP NULL_X107Y44 INT_TILE_Y 107 TILEPROP NULL_X107Y44 IS_CENTER_TILE 0 TILEPROP NULL_X107Y44 IS_DCM_TILE 0 TILEPROP NULL_X107Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y44 NAME NULL_X107Y44 TILEPROP NULL_X107Y44 NUM_ARCS 0 TILEPROP NULL_X107Y44 NUM_SITES 0 TILEPROP NULL_X107Y44 ROW 112 TILEPROP NULL_X107Y44 SLR_REGION_ID 0 TILEPROP NULL_X107Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y44 TILE_TYPE NULL TILEPROP NULL_X107Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y44 TILE_X 97238 TILEPROP NULL_X107Y44 TILE_Y -105848 TILEPROP NULL_X107Y44 TYPE NULL TILEPROP NULL_X107Y46 CLASS tile TILEPROP NULL_X107Y46 COLUMN 107 TILEPROP NULL_X107Y46 DEVICE_ID 0 TILEPROP NULL_X107Y46 FIRST_SITE_ID 11066 TILEPROP NULL_X107Y46 GRID_POINT_X 107 TILEPROP NULL_X107Y46 GRID_POINT_Y 110 TILEPROP NULL_X107Y46 INDEX 12757 TILEPROP NULL_X107Y46 INT_TILE_X 41 TILEPROP NULL_X107Y46 INT_TILE_Y 105 TILEPROP NULL_X107Y46 IS_CENTER_TILE 0 TILEPROP NULL_X107Y46 IS_DCM_TILE 0 TILEPROP NULL_X107Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y46 NAME NULL_X107Y46 TILEPROP NULL_X107Y46 NUM_ARCS 0 TILEPROP NULL_X107Y46 NUM_SITES 0 TILEPROP NULL_X107Y46 ROW 110 TILEPROP NULL_X107Y46 SLR_REGION_ID 0 TILEPROP NULL_X107Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y46 TILE_TYPE NULL TILEPROP NULL_X107Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y46 TILE_X 97238 TILEPROP NULL_X107Y46 TILE_Y -99448 TILEPROP NULL_X107Y46 TYPE NULL TILEPROP NULL_X107Y47 CLASS tile TILEPROP NULL_X107Y47 COLUMN 107 TILEPROP NULL_X107Y47 DEVICE_ID 0 TILEPROP NULL_X107Y47 FIRST_SITE_ID 10966 TILEPROP NULL_X107Y47 GRID_POINT_X 107 TILEPROP NULL_X107Y47 GRID_POINT_Y 109 TILEPROP NULL_X107Y47 INDEX 12642 TILEPROP NULL_X107Y47 INT_TILE_X 41 TILEPROP NULL_X107Y47 INT_TILE_Y 104 TILEPROP NULL_X107Y47 IS_CENTER_TILE 0 TILEPROP NULL_X107Y47 IS_DCM_TILE 0 TILEPROP NULL_X107Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y47 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y47 NAME NULL_X107Y47 TILEPROP NULL_X107Y47 NUM_ARCS 0 TILEPROP NULL_X107Y47 NUM_SITES 0 TILEPROP NULL_X107Y47 ROW 109 TILEPROP NULL_X107Y47 SLR_REGION_ID 0 TILEPROP NULL_X107Y47 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y47 TILE_TYPE NULL TILEPROP NULL_X107Y47 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y47 TILE_X 97238 TILEPROP NULL_X107Y47 TILE_Y -96248 TILEPROP NULL_X107Y47 TYPE NULL TILEPROP NULL_X107Y48 CLASS tile TILEPROP NULL_X107Y48 COLUMN 107 TILEPROP NULL_X107Y48 DEVICE_ID 0 TILEPROP NULL_X107Y48 FIRST_SITE_ID 10850 TILEPROP NULL_X107Y48 GRID_POINT_X 107 TILEPROP NULL_X107Y48 GRID_POINT_Y 108 TILEPROP NULL_X107Y48 INDEX 12527 TILEPROP NULL_X107Y48 INT_TILE_X 41 TILEPROP NULL_X107Y48 INT_TILE_Y 103 TILEPROP NULL_X107Y48 IS_CENTER_TILE 0 TILEPROP NULL_X107Y48 IS_DCM_TILE 0 TILEPROP NULL_X107Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y48 NAME NULL_X107Y48 TILEPROP NULL_X107Y48 NUM_ARCS 0 TILEPROP NULL_X107Y48 NUM_SITES 0 TILEPROP NULL_X107Y48 ROW 108 TILEPROP NULL_X107Y48 SLR_REGION_ID 0 TILEPROP NULL_X107Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y48 TILE_TYPE NULL TILEPROP NULL_X107Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y48 TILE_X 97238 TILEPROP NULL_X107Y48 TILE_Y -93048 TILEPROP NULL_X107Y48 TYPE NULL TILEPROP NULL_X107Y49 CLASS tile TILEPROP NULL_X107Y49 COLUMN 107 TILEPROP NULL_X107Y49 DEVICE_ID 0 TILEPROP NULL_X107Y49 FIRST_SITE_ID 10734 TILEPROP NULL_X107Y49 GRID_POINT_X 107 TILEPROP NULL_X107Y49 GRID_POINT_Y 107 TILEPROP NULL_X107Y49 INDEX 12412 TILEPROP NULL_X107Y49 INT_TILE_X 41 TILEPROP NULL_X107Y49 INT_TILE_Y 102 TILEPROP NULL_X107Y49 IS_CENTER_TILE 0 TILEPROP NULL_X107Y49 IS_DCM_TILE 0 TILEPROP NULL_X107Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y49 NAME NULL_X107Y49 TILEPROP NULL_X107Y49 NUM_ARCS 0 TILEPROP NULL_X107Y49 NUM_SITES 0 TILEPROP NULL_X107Y49 ROW 107 TILEPROP NULL_X107Y49 SLR_REGION_ID 0 TILEPROP NULL_X107Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y49 TILE_TYPE NULL TILEPROP NULL_X107Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y49 TILE_X 97238 TILEPROP NULL_X107Y49 TILE_Y -89848 TILEPROP NULL_X107Y49 TYPE NULL TILEPROP NULL_X107Y50 CLASS tile TILEPROP NULL_X107Y50 COLUMN 107 TILEPROP NULL_X107Y50 DEVICE_ID 0 TILEPROP NULL_X107Y50 FIRST_SITE_ID 10634 TILEPROP NULL_X107Y50 GRID_POINT_X 107 TILEPROP NULL_X107Y50 GRID_POINT_Y 106 TILEPROP NULL_X107Y50 INDEX 12297 TILEPROP NULL_X107Y50 INT_TILE_X 41 TILEPROP NULL_X107Y50 INT_TILE_Y 101 TILEPROP NULL_X107Y50 IS_CENTER_TILE 0 TILEPROP NULL_X107Y50 IS_DCM_TILE 0 TILEPROP NULL_X107Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y50 NAME NULL_X107Y50 TILEPROP NULL_X107Y50 NUM_ARCS 0 TILEPROP NULL_X107Y50 NUM_SITES 0 TILEPROP NULL_X107Y50 ROW 106 TILEPROP NULL_X107Y50 SLR_REGION_ID 0 TILEPROP NULL_X107Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y50 TILE_TYPE NULL TILEPROP NULL_X107Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y50 TILE_X 97238 TILEPROP NULL_X107Y50 TILE_Y -86648 TILEPROP NULL_X107Y50 TYPE NULL TILEPROP NULL_X107Y52 CLASS tile TILEPROP NULL_X107Y52 COLUMN 107 TILEPROP NULL_X107Y52 DEVICE_ID 0 TILEPROP NULL_X107Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X107Y52 GRID_POINT_X 107 TILEPROP NULL_X107Y52 GRID_POINT_Y 104 TILEPROP NULL_X107Y52 INDEX 12067 TILEPROP NULL_X107Y52 INT_TILE_X 41 TILEPROP NULL_X107Y52 INT_TILE_Y 99 TILEPROP NULL_X107Y52 IS_CENTER_TILE 0 TILEPROP NULL_X107Y52 IS_DCM_TILE 0 TILEPROP NULL_X107Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y52 NAME NULL_X107Y52 TILEPROP NULL_X107Y52 NUM_ARCS 0 TILEPROP NULL_X107Y52 NUM_SITES 0 TILEPROP NULL_X107Y52 ROW 104 TILEPROP NULL_X107Y52 SLR_REGION_ID 0 TILEPROP NULL_X107Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y52 TILE_TYPE NULL TILEPROP NULL_X107Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y52 TILE_X 97238 TILEPROP NULL_X107Y52 TILE_Y -80248 TILEPROP NULL_X107Y52 TYPE NULL TILEPROP NULL_X107Y54 CLASS tile TILEPROP NULL_X107Y54 COLUMN 107 TILEPROP NULL_X107Y54 DEVICE_ID 0 TILEPROP NULL_X107Y54 FIRST_SITE_ID 10303 TILEPROP NULL_X107Y54 GRID_POINT_X 107 TILEPROP NULL_X107Y54 GRID_POINT_Y 102 TILEPROP NULL_X107Y54 INDEX 11837 TILEPROP NULL_X107Y54 INT_TILE_X 41 TILEPROP NULL_X107Y54 INT_TILE_Y 98 TILEPROP NULL_X107Y54 IS_CENTER_TILE 0 TILEPROP NULL_X107Y54 IS_DCM_TILE 0 TILEPROP NULL_X107Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y54 NAME NULL_X107Y54 TILEPROP NULL_X107Y54 NUM_ARCS 0 TILEPROP NULL_X107Y54 NUM_SITES 0 TILEPROP NULL_X107Y54 ROW 102 TILEPROP NULL_X107Y54 SLR_REGION_ID 0 TILEPROP NULL_X107Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y54 TILE_TYPE NULL TILEPROP NULL_X107Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y54 TILE_X 97238 TILEPROP NULL_X107Y54 TILE_Y -76800 TILEPROP NULL_X107Y54 TYPE NULL TILEPROP NULL_X107Y55 CLASS tile TILEPROP NULL_X107Y55 COLUMN 107 TILEPROP NULL_X107Y55 DEVICE_ID 0 TILEPROP NULL_X107Y55 FIRST_SITE_ID 10203 TILEPROP NULL_X107Y55 GRID_POINT_X 107 TILEPROP NULL_X107Y55 GRID_POINT_Y 101 TILEPROP NULL_X107Y55 INDEX 11722 TILEPROP NULL_X107Y55 INT_TILE_X 41 TILEPROP NULL_X107Y55 INT_TILE_Y 97 TILEPROP NULL_X107Y55 IS_CENTER_TILE 0 TILEPROP NULL_X107Y55 IS_DCM_TILE 0 TILEPROP NULL_X107Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y55 NAME NULL_X107Y55 TILEPROP NULL_X107Y55 NUM_ARCS 0 TILEPROP NULL_X107Y55 NUM_SITES 0 TILEPROP NULL_X107Y55 ROW 101 TILEPROP NULL_X107Y55 SLR_REGION_ID 0 TILEPROP NULL_X107Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y55 TILE_TYPE NULL TILEPROP NULL_X107Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y55 TILE_X 97238 TILEPROP NULL_X107Y55 TILE_Y -73600 TILEPROP NULL_X107Y55 TYPE NULL TILEPROP NULL_X107Y56 CLASS tile TILEPROP NULL_X107Y56 COLUMN 107 TILEPROP NULL_X107Y56 DEVICE_ID 0 TILEPROP NULL_X107Y56 FIRST_SITE_ID 10103 TILEPROP NULL_X107Y56 GRID_POINT_X 107 TILEPROP NULL_X107Y56 GRID_POINT_Y 100 TILEPROP NULL_X107Y56 INDEX 11607 TILEPROP NULL_X107Y56 INT_TILE_X 41 TILEPROP NULL_X107Y56 INT_TILE_Y 96 TILEPROP NULL_X107Y56 IS_CENTER_TILE 0 TILEPROP NULL_X107Y56 IS_DCM_TILE 0 TILEPROP NULL_X107Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y56 NAME NULL_X107Y56 TILEPROP NULL_X107Y56 NUM_ARCS 0 TILEPROP NULL_X107Y56 NUM_SITES 0 TILEPROP NULL_X107Y56 ROW 100 TILEPROP NULL_X107Y56 SLR_REGION_ID 0 TILEPROP NULL_X107Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y56 TILE_TYPE NULL TILEPROP NULL_X107Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y56 TILE_X 97238 TILEPROP NULL_X107Y56 TILE_Y -70400 TILEPROP NULL_X107Y56 TYPE NULL TILEPROP NULL_X107Y57 CLASS tile TILEPROP NULL_X107Y57 COLUMN 107 TILEPROP NULL_X107Y57 DEVICE_ID 0 TILEPROP NULL_X107Y57 FIRST_SITE_ID 10003 TILEPROP NULL_X107Y57 GRID_POINT_X 107 TILEPROP NULL_X107Y57 GRID_POINT_Y 99 TILEPROP NULL_X107Y57 INDEX 11492 TILEPROP NULL_X107Y57 INT_TILE_X 41 TILEPROP NULL_X107Y57 INT_TILE_Y 95 TILEPROP NULL_X107Y57 IS_CENTER_TILE 0 TILEPROP NULL_X107Y57 IS_DCM_TILE 0 TILEPROP NULL_X107Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y57 NAME NULL_X107Y57 TILEPROP NULL_X107Y57 NUM_ARCS 0 TILEPROP NULL_X107Y57 NUM_SITES 0 TILEPROP NULL_X107Y57 ROW 99 TILEPROP NULL_X107Y57 SLR_REGION_ID 0 TILEPROP NULL_X107Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y57 TILE_TYPE NULL TILEPROP NULL_X107Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y57 TILE_X 97238 TILEPROP NULL_X107Y57 TILE_Y -67200 TILEPROP NULL_X107Y57 TYPE NULL TILEPROP NULL_X107Y58 CLASS tile TILEPROP NULL_X107Y58 COLUMN 107 TILEPROP NULL_X107Y58 DEVICE_ID 0 TILEPROP NULL_X107Y58 FIRST_SITE_ID 9903 TILEPROP NULL_X107Y58 GRID_POINT_X 107 TILEPROP NULL_X107Y58 GRID_POINT_Y 98 TILEPROP NULL_X107Y58 INDEX 11377 TILEPROP NULL_X107Y58 INT_TILE_X 41 TILEPROP NULL_X107Y58 INT_TILE_Y 94 TILEPROP NULL_X107Y58 IS_CENTER_TILE 0 TILEPROP NULL_X107Y58 IS_DCM_TILE 0 TILEPROP NULL_X107Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y58 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y58 NAME NULL_X107Y58 TILEPROP NULL_X107Y58 NUM_ARCS 0 TILEPROP NULL_X107Y58 NUM_SITES 0 TILEPROP NULL_X107Y58 ROW 98 TILEPROP NULL_X107Y58 SLR_REGION_ID 0 TILEPROP NULL_X107Y58 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y58 TILE_TYPE NULL TILEPROP NULL_X107Y58 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y58 TILE_X 97238 TILEPROP NULL_X107Y58 TILE_Y -64000 TILEPROP NULL_X107Y58 TYPE NULL TILEPROP NULL_X107Y59 CLASS tile TILEPROP NULL_X107Y59 COLUMN 107 TILEPROP NULL_X107Y59 DEVICE_ID 0 TILEPROP NULL_X107Y59 FIRST_SITE_ID 9788 TILEPROP NULL_X107Y59 GRID_POINT_X 107 TILEPROP NULL_X107Y59 GRID_POINT_Y 97 TILEPROP NULL_X107Y59 INDEX 11262 TILEPROP NULL_X107Y59 INT_TILE_X 41 TILEPROP NULL_X107Y59 INT_TILE_Y 93 TILEPROP NULL_X107Y59 IS_CENTER_TILE 0 TILEPROP NULL_X107Y59 IS_DCM_TILE 0 TILEPROP NULL_X107Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y59 NAME NULL_X107Y59 TILEPROP NULL_X107Y59 NUM_ARCS 0 TILEPROP NULL_X107Y59 NUM_SITES 0 TILEPROP NULL_X107Y59 ROW 97 TILEPROP NULL_X107Y59 SLR_REGION_ID 0 TILEPROP NULL_X107Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y59 TILE_TYPE NULL TILEPROP NULL_X107Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y59 TILE_X 97238 TILEPROP NULL_X107Y59 TILE_Y -60800 TILEPROP NULL_X107Y59 TYPE NULL TILEPROP NULL_X107Y61 CLASS tile TILEPROP NULL_X107Y61 COLUMN 107 TILEPROP NULL_X107Y61 DEVICE_ID 0 TILEPROP NULL_X107Y61 FIRST_SITE_ID 9584 TILEPROP NULL_X107Y61 GRID_POINT_X 107 TILEPROP NULL_X107Y61 GRID_POINT_Y 95 TILEPROP NULL_X107Y61 INDEX 11032 TILEPROP NULL_X107Y61 INT_TILE_X 41 TILEPROP NULL_X107Y61 INT_TILE_Y 91 TILEPROP NULL_X107Y61 IS_CENTER_TILE 0 TILEPROP NULL_X107Y61 IS_DCM_TILE 0 TILEPROP NULL_X107Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y61 NAME NULL_X107Y61 TILEPROP NULL_X107Y61 NUM_ARCS 0 TILEPROP NULL_X107Y61 NUM_SITES 0 TILEPROP NULL_X107Y61 ROW 95 TILEPROP NULL_X107Y61 SLR_REGION_ID 0 TILEPROP NULL_X107Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y61 TILE_TYPE NULL TILEPROP NULL_X107Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y61 TILE_X 97238 TILEPROP NULL_X107Y61 TILE_Y -54400 TILEPROP NULL_X107Y61 TYPE NULL TILEPROP NULL_X107Y62 CLASS tile TILEPROP NULL_X107Y62 COLUMN 107 TILEPROP NULL_X107Y62 DEVICE_ID 0 TILEPROP NULL_X107Y62 FIRST_SITE_ID 9482 TILEPROP NULL_X107Y62 GRID_POINT_X 107 TILEPROP NULL_X107Y62 GRID_POINT_Y 94 TILEPROP NULL_X107Y62 INDEX 10917 TILEPROP NULL_X107Y62 INT_TILE_X 41 TILEPROP NULL_X107Y62 INT_TILE_Y 90 TILEPROP NULL_X107Y62 IS_CENTER_TILE 0 TILEPROP NULL_X107Y62 IS_DCM_TILE 0 TILEPROP NULL_X107Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y62 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y62 NAME NULL_X107Y62 TILEPROP NULL_X107Y62 NUM_ARCS 0 TILEPROP NULL_X107Y62 NUM_SITES 0 TILEPROP NULL_X107Y62 ROW 94 TILEPROP NULL_X107Y62 SLR_REGION_ID 0 TILEPROP NULL_X107Y62 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y62 TILE_TYPE NULL TILEPROP NULL_X107Y62 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y62 TILE_X 97238 TILEPROP NULL_X107Y62 TILE_Y -51200 TILEPROP NULL_X107Y62 TYPE NULL TILEPROP NULL_X107Y63 CLASS tile TILEPROP NULL_X107Y63 COLUMN 107 TILEPROP NULL_X107Y63 DEVICE_ID 0 TILEPROP NULL_X107Y63 FIRST_SITE_ID 9382 TILEPROP NULL_X107Y63 GRID_POINT_X 107 TILEPROP NULL_X107Y63 GRID_POINT_Y 93 TILEPROP NULL_X107Y63 INDEX 10802 TILEPROP NULL_X107Y63 INT_TILE_X 41 TILEPROP NULL_X107Y63 INT_TILE_Y 89 TILEPROP NULL_X107Y63 IS_CENTER_TILE 0 TILEPROP NULL_X107Y63 IS_DCM_TILE 0 TILEPROP NULL_X107Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y63 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y63 NAME NULL_X107Y63 TILEPROP NULL_X107Y63 NUM_ARCS 0 TILEPROP NULL_X107Y63 NUM_SITES 0 TILEPROP NULL_X107Y63 ROW 93 TILEPROP NULL_X107Y63 SLR_REGION_ID 0 TILEPROP NULL_X107Y63 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y63 TILE_TYPE NULL TILEPROP NULL_X107Y63 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y63 TILE_X 97238 TILEPROP NULL_X107Y63 TILE_Y -48000 TILEPROP NULL_X107Y63 TYPE NULL TILEPROP NULL_X107Y64 CLASS tile TILEPROP NULL_X107Y64 COLUMN 107 TILEPROP NULL_X107Y64 DEVICE_ID 0 TILEPROP NULL_X107Y64 FIRST_SITE_ID 9267 TILEPROP NULL_X107Y64 GRID_POINT_X 107 TILEPROP NULL_X107Y64 GRID_POINT_Y 92 TILEPROP NULL_X107Y64 INDEX 10687 TILEPROP NULL_X107Y64 INT_TILE_X 41 TILEPROP NULL_X107Y64 INT_TILE_Y 88 TILEPROP NULL_X107Y64 IS_CENTER_TILE 0 TILEPROP NULL_X107Y64 IS_DCM_TILE 0 TILEPROP NULL_X107Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y64 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y64 NAME NULL_X107Y64 TILEPROP NULL_X107Y64 NUM_ARCS 0 TILEPROP NULL_X107Y64 NUM_SITES 0 TILEPROP NULL_X107Y64 ROW 92 TILEPROP NULL_X107Y64 SLR_REGION_ID 0 TILEPROP NULL_X107Y64 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y64 TILE_TYPE NULL TILEPROP NULL_X107Y64 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y64 TILE_X 97238 TILEPROP NULL_X107Y64 TILE_Y -44800 TILEPROP NULL_X107Y64 TYPE NULL TILEPROP NULL_X107Y65 CLASS tile TILEPROP NULL_X107Y65 COLUMN 107 TILEPROP NULL_X107Y65 DEVICE_ID 0 TILEPROP NULL_X107Y65 FIRST_SITE_ID 9167 TILEPROP NULL_X107Y65 GRID_POINT_X 107 TILEPROP NULL_X107Y65 GRID_POINT_Y 91 TILEPROP NULL_X107Y65 INDEX 10572 TILEPROP NULL_X107Y65 INT_TILE_X 41 TILEPROP NULL_X107Y65 INT_TILE_Y 87 TILEPROP NULL_X107Y65 IS_CENTER_TILE 0 TILEPROP NULL_X107Y65 IS_DCM_TILE 0 TILEPROP NULL_X107Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y65 NAME NULL_X107Y65 TILEPROP NULL_X107Y65 NUM_ARCS 0 TILEPROP NULL_X107Y65 NUM_SITES 0 TILEPROP NULL_X107Y65 ROW 91 TILEPROP NULL_X107Y65 SLR_REGION_ID 0 TILEPROP NULL_X107Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y65 TILE_TYPE NULL TILEPROP NULL_X107Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y65 TILE_X 97238 TILEPROP NULL_X107Y65 TILE_Y -41600 TILEPROP NULL_X107Y65 TYPE NULL TILEPROP NULL_X107Y66 CLASS tile TILEPROP NULL_X107Y66 COLUMN 107 TILEPROP NULL_X107Y66 DEVICE_ID 0 TILEPROP NULL_X107Y66 FIRST_SITE_ID 9035 TILEPROP NULL_X107Y66 GRID_POINT_X 107 TILEPROP NULL_X107Y66 GRID_POINT_Y 90 TILEPROP NULL_X107Y66 INDEX 10457 TILEPROP NULL_X107Y66 INT_TILE_X 41 TILEPROP NULL_X107Y66 INT_TILE_Y 86 TILEPROP NULL_X107Y66 IS_CENTER_TILE 0 TILEPROP NULL_X107Y66 IS_DCM_TILE 0 TILEPROP NULL_X107Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y66 NAME NULL_X107Y66 TILEPROP NULL_X107Y66 NUM_ARCS 0 TILEPROP NULL_X107Y66 NUM_SITES 0 TILEPROP NULL_X107Y66 ROW 90 TILEPROP NULL_X107Y66 SLR_REGION_ID 0 TILEPROP NULL_X107Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y66 TILE_TYPE NULL TILEPROP NULL_X107Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y66 TILE_X 97238 TILEPROP NULL_X107Y66 TILE_Y -38400 TILEPROP NULL_X107Y66 TYPE NULL TILEPROP NULL_X107Y67 CLASS tile TILEPROP NULL_X107Y67 COLUMN 107 TILEPROP NULL_X107Y67 DEVICE_ID 0 TILEPROP NULL_X107Y67 FIRST_SITE_ID 8935 TILEPROP NULL_X107Y67 GRID_POINT_X 107 TILEPROP NULL_X107Y67 GRID_POINT_Y 89 TILEPROP NULL_X107Y67 INDEX 10342 TILEPROP NULL_X107Y67 INT_TILE_X 41 TILEPROP NULL_X107Y67 INT_TILE_Y 85 TILEPROP NULL_X107Y67 IS_CENTER_TILE 0 TILEPROP NULL_X107Y67 IS_DCM_TILE 0 TILEPROP NULL_X107Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y67 NAME NULL_X107Y67 TILEPROP NULL_X107Y67 NUM_ARCS 0 TILEPROP NULL_X107Y67 NUM_SITES 0 TILEPROP NULL_X107Y67 ROW 89 TILEPROP NULL_X107Y67 SLR_REGION_ID 0 TILEPROP NULL_X107Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y67 TILE_TYPE NULL TILEPROP NULL_X107Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y67 TILE_X 97238 TILEPROP NULL_X107Y67 TILE_Y -35200 TILEPROP NULL_X107Y67 TYPE NULL TILEPROP NULL_X107Y68 CLASS tile TILEPROP NULL_X107Y68 COLUMN 107 TILEPROP NULL_X107Y68 DEVICE_ID 0 TILEPROP NULL_X107Y68 FIRST_SITE_ID 8835 TILEPROP NULL_X107Y68 GRID_POINT_X 107 TILEPROP NULL_X107Y68 GRID_POINT_Y 88 TILEPROP NULL_X107Y68 INDEX 10227 TILEPROP NULL_X107Y68 INT_TILE_X 41 TILEPROP NULL_X107Y68 INT_TILE_Y 84 TILEPROP NULL_X107Y68 IS_CENTER_TILE 0 TILEPROP NULL_X107Y68 IS_DCM_TILE 0 TILEPROP NULL_X107Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y68 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y68 NAME NULL_X107Y68 TILEPROP NULL_X107Y68 NUM_ARCS 0 TILEPROP NULL_X107Y68 NUM_SITES 0 TILEPROP NULL_X107Y68 ROW 88 TILEPROP NULL_X107Y68 SLR_REGION_ID 0 TILEPROP NULL_X107Y68 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y68 TILE_TYPE NULL TILEPROP NULL_X107Y68 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y68 TILE_X 97238 TILEPROP NULL_X107Y68 TILE_Y -32000 TILEPROP NULL_X107Y68 TYPE NULL TILEPROP NULL_X107Y69 CLASS tile TILEPROP NULL_X107Y69 COLUMN 107 TILEPROP NULL_X107Y69 DEVICE_ID 0 TILEPROP NULL_X107Y69 FIRST_SITE_ID 8720 TILEPROP NULL_X107Y69 GRID_POINT_X 107 TILEPROP NULL_X107Y69 GRID_POINT_Y 87 TILEPROP NULL_X107Y69 INDEX 10112 TILEPROP NULL_X107Y69 INT_TILE_X 41 TILEPROP NULL_X107Y69 INT_TILE_Y 83 TILEPROP NULL_X107Y69 IS_CENTER_TILE 0 TILEPROP NULL_X107Y69 IS_DCM_TILE 0 TILEPROP NULL_X107Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y69 NAME NULL_X107Y69 TILEPROP NULL_X107Y69 NUM_ARCS 0 TILEPROP NULL_X107Y69 NUM_SITES 0 TILEPROP NULL_X107Y69 ROW 87 TILEPROP NULL_X107Y69 SLR_REGION_ID 0 TILEPROP NULL_X107Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y69 TILE_TYPE NULL TILEPROP NULL_X107Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y69 TILE_X 97238 TILEPROP NULL_X107Y69 TILE_Y -28800 TILEPROP NULL_X107Y69 TYPE NULL TILEPROP NULL_X107Y70 CLASS tile TILEPROP NULL_X107Y70 COLUMN 107 TILEPROP NULL_X107Y70 DEVICE_ID 0 TILEPROP NULL_X107Y70 FIRST_SITE_ID 8620 TILEPROP NULL_X107Y70 GRID_POINT_X 107 TILEPROP NULL_X107Y70 GRID_POINT_Y 86 TILEPROP NULL_X107Y70 INDEX 9997 TILEPROP NULL_X107Y70 INT_TILE_X 41 TILEPROP NULL_X107Y70 INT_TILE_Y 82 TILEPROP NULL_X107Y70 IS_CENTER_TILE 0 TILEPROP NULL_X107Y70 IS_DCM_TILE 0 TILEPROP NULL_X107Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y70 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y70 NAME NULL_X107Y70 TILEPROP NULL_X107Y70 NUM_ARCS 0 TILEPROP NULL_X107Y70 NUM_SITES 0 TILEPROP NULL_X107Y70 ROW 86 TILEPROP NULL_X107Y70 SLR_REGION_ID 0 TILEPROP NULL_X107Y70 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y70 TILE_TYPE NULL TILEPROP NULL_X107Y70 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y70 TILE_X 97238 TILEPROP NULL_X107Y70 TILE_Y -25600 TILEPROP NULL_X107Y70 TYPE NULL TILEPROP NULL_X107Y71 CLASS tile TILEPROP NULL_X107Y71 COLUMN 107 TILEPROP NULL_X107Y71 DEVICE_ID 0 TILEPROP NULL_X107Y71 FIRST_SITE_ID 8512 TILEPROP NULL_X107Y71 GRID_POINT_X 107 TILEPROP NULL_X107Y71 GRID_POINT_Y 85 TILEPROP NULL_X107Y71 INDEX 9882 TILEPROP NULL_X107Y71 INT_TILE_X 41 TILEPROP NULL_X107Y71 INT_TILE_Y 81 TILEPROP NULL_X107Y71 IS_CENTER_TILE 0 TILEPROP NULL_X107Y71 IS_DCM_TILE 0 TILEPROP NULL_X107Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y71 NAME NULL_X107Y71 TILEPROP NULL_X107Y71 NUM_ARCS 0 TILEPROP NULL_X107Y71 NUM_SITES 0 TILEPROP NULL_X107Y71 ROW 85 TILEPROP NULL_X107Y71 SLR_REGION_ID 0 TILEPROP NULL_X107Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y71 TILE_TYPE NULL TILEPROP NULL_X107Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y71 TILE_X 97238 TILEPROP NULL_X107Y71 TILE_Y -22400 TILEPROP NULL_X107Y71 TYPE NULL TILEPROP NULL_X107Y73 CLASS tile TILEPROP NULL_X107Y73 COLUMN 107 TILEPROP NULL_X107Y73 DEVICE_ID 0 TILEPROP NULL_X107Y73 FIRST_SITE_ID 8308 TILEPROP NULL_X107Y73 GRID_POINT_X 107 TILEPROP NULL_X107Y73 GRID_POINT_Y 83 TILEPROP NULL_X107Y73 INDEX 9652 TILEPROP NULL_X107Y73 INT_TILE_X 41 TILEPROP NULL_X107Y73 INT_TILE_Y 79 TILEPROP NULL_X107Y73 IS_CENTER_TILE 0 TILEPROP NULL_X107Y73 IS_DCM_TILE 0 TILEPROP NULL_X107Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y73 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y73 NAME NULL_X107Y73 TILEPROP NULL_X107Y73 NUM_ARCS 0 TILEPROP NULL_X107Y73 NUM_SITES 0 TILEPROP NULL_X107Y73 ROW 83 TILEPROP NULL_X107Y73 SLR_REGION_ID 0 TILEPROP NULL_X107Y73 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y73 TILE_TYPE NULL TILEPROP NULL_X107Y73 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y73 TILE_X 97238 TILEPROP NULL_X107Y73 TILE_Y -16000 TILEPROP NULL_X107Y73 TYPE NULL TILEPROP NULL_X107Y74 CLASS tile TILEPROP NULL_X107Y74 COLUMN 107 TILEPROP NULL_X107Y74 DEVICE_ID 0 TILEPROP NULL_X107Y74 FIRST_SITE_ID 8193 TILEPROP NULL_X107Y74 GRID_POINT_X 107 TILEPROP NULL_X107Y74 GRID_POINT_Y 82 TILEPROP NULL_X107Y74 INDEX 9537 TILEPROP NULL_X107Y74 INT_TILE_X 41 TILEPROP NULL_X107Y74 INT_TILE_Y 78 TILEPROP NULL_X107Y74 IS_CENTER_TILE 0 TILEPROP NULL_X107Y74 IS_DCM_TILE 0 TILEPROP NULL_X107Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y74 NAME NULL_X107Y74 TILEPROP NULL_X107Y74 NUM_ARCS 0 TILEPROP NULL_X107Y74 NUM_SITES 0 TILEPROP NULL_X107Y74 ROW 82 TILEPROP NULL_X107Y74 SLR_REGION_ID 0 TILEPROP NULL_X107Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y74 TILE_TYPE NULL TILEPROP NULL_X107Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y74 TILE_X 97238 TILEPROP NULL_X107Y74 TILE_Y -12800 TILEPROP NULL_X107Y74 TYPE NULL TILEPROP NULL_X107Y75 CLASS tile TILEPROP NULL_X107Y75 COLUMN 107 TILEPROP NULL_X107Y75 DEVICE_ID 0 TILEPROP NULL_X107Y75 FIRST_SITE_ID 8093 TILEPROP NULL_X107Y75 GRID_POINT_X 107 TILEPROP NULL_X107Y75 GRID_POINT_Y 81 TILEPROP NULL_X107Y75 INDEX 9422 TILEPROP NULL_X107Y75 INT_TILE_X 41 TILEPROP NULL_X107Y75 INT_TILE_Y 77 TILEPROP NULL_X107Y75 IS_CENTER_TILE 0 TILEPROP NULL_X107Y75 IS_DCM_TILE 0 TILEPROP NULL_X107Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y75 NAME NULL_X107Y75 TILEPROP NULL_X107Y75 NUM_ARCS 0 TILEPROP NULL_X107Y75 NUM_SITES 0 TILEPROP NULL_X107Y75 ROW 81 TILEPROP NULL_X107Y75 SLR_REGION_ID 0 TILEPROP NULL_X107Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y75 TILE_TYPE NULL TILEPROP NULL_X107Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y75 TILE_X 97238 TILEPROP NULL_X107Y75 TILE_Y -9600 TILEPROP NULL_X107Y75 TYPE NULL TILEPROP NULL_X107Y76 CLASS tile TILEPROP NULL_X107Y76 COLUMN 107 TILEPROP NULL_X107Y76 DEVICE_ID 0 TILEPROP NULL_X107Y76 FIRST_SITE_ID 7993 TILEPROP NULL_X107Y76 GRID_POINT_X 107 TILEPROP NULL_X107Y76 GRID_POINT_Y 80 TILEPROP NULL_X107Y76 INDEX 9307 TILEPROP NULL_X107Y76 INT_TILE_X 41 TILEPROP NULL_X107Y76 INT_TILE_Y 76 TILEPROP NULL_X107Y76 IS_CENTER_TILE 0 TILEPROP NULL_X107Y76 IS_DCM_TILE 0 TILEPROP NULL_X107Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y76 NAME NULL_X107Y76 TILEPROP NULL_X107Y76 NUM_ARCS 0 TILEPROP NULL_X107Y76 NUM_SITES 0 TILEPROP NULL_X107Y76 ROW 80 TILEPROP NULL_X107Y76 SLR_REGION_ID 0 TILEPROP NULL_X107Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y76 TILE_TYPE NULL TILEPROP NULL_X107Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y76 TILE_X 97238 TILEPROP NULL_X107Y76 TILE_Y -6400 TILEPROP NULL_X107Y76 TYPE NULL TILEPROP NULL_X107Y77 CLASS tile TILEPROP NULL_X107Y77 COLUMN 107 TILEPROP NULL_X107Y77 DEVICE_ID 0 TILEPROP NULL_X107Y77 FIRST_SITE_ID 7893 TILEPROP NULL_X107Y77 GRID_POINT_X 107 TILEPROP NULL_X107Y77 GRID_POINT_Y 79 TILEPROP NULL_X107Y77 INDEX 9192 TILEPROP NULL_X107Y77 INT_TILE_X 41 TILEPROP NULL_X107Y77 INT_TILE_Y 75 TILEPROP NULL_X107Y77 IS_CENTER_TILE 0 TILEPROP NULL_X107Y77 IS_DCM_TILE 0 TILEPROP NULL_X107Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y77 NAME NULL_X107Y77 TILEPROP NULL_X107Y77 NUM_ARCS 0 TILEPROP NULL_X107Y77 NUM_SITES 0 TILEPROP NULL_X107Y77 ROW 79 TILEPROP NULL_X107Y77 SLR_REGION_ID 0 TILEPROP NULL_X107Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y77 TILE_TYPE NULL TILEPROP NULL_X107Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y77 TILE_X 97238 TILEPROP NULL_X107Y77 TILE_Y -3200 TILEPROP NULL_X107Y77 TYPE NULL TILEPROP NULL_X107Y79 CLASS tile TILEPROP NULL_X107Y79 COLUMN 107 TILEPROP NULL_X107Y79 DEVICE_ID 0 TILEPROP NULL_X107Y79 FIRST_SITE_ID 7710 TILEPROP NULL_X107Y79 GRID_POINT_X 107 TILEPROP NULL_X107Y79 GRID_POINT_Y 77 TILEPROP NULL_X107Y79 INDEX 8962 TILEPROP NULL_X107Y79 INT_TILE_X 41 TILEPROP NULL_X107Y79 INT_TILE_Y 74 TILEPROP NULL_X107Y79 IS_CENTER_TILE 0 TILEPROP NULL_X107Y79 IS_DCM_TILE 0 TILEPROP NULL_X107Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y79 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y79 NAME NULL_X107Y79 TILEPROP NULL_X107Y79 NUM_ARCS 0 TILEPROP NULL_X107Y79 NUM_SITES 0 TILEPROP NULL_X107Y79 ROW 77 TILEPROP NULL_X107Y79 SLR_REGION_ID 0 TILEPROP NULL_X107Y79 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y79 TILE_TYPE NULL TILEPROP NULL_X107Y79 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y79 TILE_X 97238 TILEPROP NULL_X107Y79 TILE_Y 1024 TILEPROP NULL_X107Y79 TYPE NULL TILEPROP NULL_X107Y80 CLASS tile TILEPROP NULL_X107Y80 COLUMN 107 TILEPROP NULL_X107Y80 DEVICE_ID 0 TILEPROP NULL_X107Y80 FIRST_SITE_ID 7592 TILEPROP NULL_X107Y80 GRID_POINT_X 107 TILEPROP NULL_X107Y80 GRID_POINT_Y 76 TILEPROP NULL_X107Y80 INDEX 8847 TILEPROP NULL_X107Y80 INT_TILE_X 41 TILEPROP NULL_X107Y80 INT_TILE_Y 73 TILEPROP NULL_X107Y80 IS_CENTER_TILE 0 TILEPROP NULL_X107Y80 IS_DCM_TILE 0 TILEPROP NULL_X107Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y80 NAME NULL_X107Y80 TILEPROP NULL_X107Y80 NUM_ARCS 0 TILEPROP NULL_X107Y80 NUM_SITES 0 TILEPROP NULL_X107Y80 ROW 76 TILEPROP NULL_X107Y80 SLR_REGION_ID 0 TILEPROP NULL_X107Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y80 TILE_TYPE NULL TILEPROP NULL_X107Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y80 TILE_X 97238 TILEPROP NULL_X107Y80 TILE_Y 4224 TILEPROP NULL_X107Y80 TYPE NULL TILEPROP NULL_X107Y81 CLASS tile TILEPROP NULL_X107Y81 COLUMN 107 TILEPROP NULL_X107Y81 DEVICE_ID 0 TILEPROP NULL_X107Y81 FIRST_SITE_ID 7492 TILEPROP NULL_X107Y81 GRID_POINT_X 107 TILEPROP NULL_X107Y81 GRID_POINT_Y 75 TILEPROP NULL_X107Y81 INDEX 8732 TILEPROP NULL_X107Y81 INT_TILE_X 41 TILEPROP NULL_X107Y81 INT_TILE_Y 72 TILEPROP NULL_X107Y81 IS_CENTER_TILE 0 TILEPROP NULL_X107Y81 IS_DCM_TILE 0 TILEPROP NULL_X107Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y81 NAME NULL_X107Y81 TILEPROP NULL_X107Y81 NUM_ARCS 0 TILEPROP NULL_X107Y81 NUM_SITES 0 TILEPROP NULL_X107Y81 ROW 75 TILEPROP NULL_X107Y81 SLR_REGION_ID 0 TILEPROP NULL_X107Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y81 TILE_TYPE NULL TILEPROP NULL_X107Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y81 TILE_X 97238 TILEPROP NULL_X107Y81 TILE_Y 7424 TILEPROP NULL_X107Y81 TYPE NULL TILEPROP NULL_X107Y82 CLASS tile TILEPROP NULL_X107Y82 COLUMN 107 TILEPROP NULL_X107Y82 DEVICE_ID 0 TILEPROP NULL_X107Y82 FIRST_SITE_ID 7392 TILEPROP NULL_X107Y82 GRID_POINT_X 107 TILEPROP NULL_X107Y82 GRID_POINT_Y 74 TILEPROP NULL_X107Y82 INDEX 8617 TILEPROP NULL_X107Y82 INT_TILE_X 41 TILEPROP NULL_X107Y82 INT_TILE_Y 71 TILEPROP NULL_X107Y82 IS_CENTER_TILE 0 TILEPROP NULL_X107Y82 IS_DCM_TILE 0 TILEPROP NULL_X107Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y82 NAME NULL_X107Y82 TILEPROP NULL_X107Y82 NUM_ARCS 0 TILEPROP NULL_X107Y82 NUM_SITES 0 TILEPROP NULL_X107Y82 ROW 74 TILEPROP NULL_X107Y82 SLR_REGION_ID 0 TILEPROP NULL_X107Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y82 TILE_TYPE NULL TILEPROP NULL_X107Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y82 TILE_X 97238 TILEPROP NULL_X107Y82 TILE_Y 10624 TILEPROP NULL_X107Y82 TYPE NULL TILEPROP NULL_X107Y83 CLASS tile TILEPROP NULL_X107Y83 COLUMN 107 TILEPROP NULL_X107Y83 DEVICE_ID 0 TILEPROP NULL_X107Y83 FIRST_SITE_ID 7292 TILEPROP NULL_X107Y83 GRID_POINT_X 107 TILEPROP NULL_X107Y83 GRID_POINT_Y 73 TILEPROP NULL_X107Y83 INDEX 8502 TILEPROP NULL_X107Y83 INT_TILE_X 41 TILEPROP NULL_X107Y83 INT_TILE_Y 70 TILEPROP NULL_X107Y83 IS_CENTER_TILE 0 TILEPROP NULL_X107Y83 IS_DCM_TILE 0 TILEPROP NULL_X107Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y83 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y83 NAME NULL_X107Y83 TILEPROP NULL_X107Y83 NUM_ARCS 0 TILEPROP NULL_X107Y83 NUM_SITES 0 TILEPROP NULL_X107Y83 ROW 73 TILEPROP NULL_X107Y83 SLR_REGION_ID 0 TILEPROP NULL_X107Y83 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y83 TILE_TYPE NULL TILEPROP NULL_X107Y83 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y83 TILE_X 97238 TILEPROP NULL_X107Y83 TILE_Y 13824 TILEPROP NULL_X107Y83 TYPE NULL TILEPROP NULL_X107Y84 CLASS tile TILEPROP NULL_X107Y84 COLUMN 107 TILEPROP NULL_X107Y84 DEVICE_ID 0 TILEPROP NULL_X107Y84 FIRST_SITE_ID 7180 TILEPROP NULL_X107Y84 GRID_POINT_X 107 TILEPROP NULL_X107Y84 GRID_POINT_Y 72 TILEPROP NULL_X107Y84 INDEX 8387 TILEPROP NULL_X107Y84 INT_TILE_X 41 TILEPROP NULL_X107Y84 INT_TILE_Y 69 TILEPROP NULL_X107Y84 IS_CENTER_TILE 0 TILEPROP NULL_X107Y84 IS_DCM_TILE 0 TILEPROP NULL_X107Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y84 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y84 NAME NULL_X107Y84 TILEPROP NULL_X107Y84 NUM_ARCS 0 TILEPROP NULL_X107Y84 NUM_SITES 0 TILEPROP NULL_X107Y84 ROW 72 TILEPROP NULL_X107Y84 SLR_REGION_ID 0 TILEPROP NULL_X107Y84 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y84 TILE_TYPE NULL TILEPROP NULL_X107Y84 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y84 TILE_X 97238 TILEPROP NULL_X107Y84 TILE_Y 17024 TILEPROP NULL_X107Y84 TYPE NULL TILEPROP NULL_X107Y86 CLASS tile TILEPROP NULL_X107Y86 COLUMN 107 TILEPROP NULL_X107Y86 DEVICE_ID 0 TILEPROP NULL_X107Y86 FIRST_SITE_ID 6961 TILEPROP NULL_X107Y86 GRID_POINT_X 107 TILEPROP NULL_X107Y86 GRID_POINT_Y 70 TILEPROP NULL_X107Y86 INDEX 8157 TILEPROP NULL_X107Y86 INT_TILE_X 41 TILEPROP NULL_X107Y86 INT_TILE_Y 67 TILEPROP NULL_X107Y86 IS_CENTER_TILE 0 TILEPROP NULL_X107Y86 IS_DCM_TILE 0 TILEPROP NULL_X107Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y86 NAME NULL_X107Y86 TILEPROP NULL_X107Y86 NUM_ARCS 0 TILEPROP NULL_X107Y86 NUM_SITES 0 TILEPROP NULL_X107Y86 ROW 70 TILEPROP NULL_X107Y86 SLR_REGION_ID 0 TILEPROP NULL_X107Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y86 TILE_TYPE NULL TILEPROP NULL_X107Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y86 TILE_X 97238 TILEPROP NULL_X107Y86 TILE_Y 23424 TILEPROP NULL_X107Y86 TYPE NULL TILEPROP NULL_X107Y87 CLASS tile TILEPROP NULL_X107Y87 COLUMN 107 TILEPROP NULL_X107Y87 DEVICE_ID 0 TILEPROP NULL_X107Y87 FIRST_SITE_ID 6861 TILEPROP NULL_X107Y87 GRID_POINT_X 107 TILEPROP NULL_X107Y87 GRID_POINT_Y 69 TILEPROP NULL_X107Y87 INDEX 8042 TILEPROP NULL_X107Y87 INT_TILE_X 41 TILEPROP NULL_X107Y87 INT_TILE_Y 66 TILEPROP NULL_X107Y87 IS_CENTER_TILE 0 TILEPROP NULL_X107Y87 IS_DCM_TILE 0 TILEPROP NULL_X107Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y87 NAME NULL_X107Y87 TILEPROP NULL_X107Y87 NUM_ARCS 0 TILEPROP NULL_X107Y87 NUM_SITES 0 TILEPROP NULL_X107Y87 ROW 69 TILEPROP NULL_X107Y87 SLR_REGION_ID 0 TILEPROP NULL_X107Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y87 TILE_TYPE NULL TILEPROP NULL_X107Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y87 TILE_X 97238 TILEPROP NULL_X107Y87 TILE_Y 26624 TILEPROP NULL_X107Y87 TYPE NULL TILEPROP NULL_X107Y88 CLASS tile TILEPROP NULL_X107Y88 COLUMN 107 TILEPROP NULL_X107Y88 DEVICE_ID 0 TILEPROP NULL_X107Y88 FIRST_SITE_ID 6761 TILEPROP NULL_X107Y88 GRID_POINT_X 107 TILEPROP NULL_X107Y88 GRID_POINT_Y 68 TILEPROP NULL_X107Y88 INDEX 7927 TILEPROP NULL_X107Y88 INT_TILE_X 41 TILEPROP NULL_X107Y88 INT_TILE_Y 65 TILEPROP NULL_X107Y88 IS_CENTER_TILE 0 TILEPROP NULL_X107Y88 IS_DCM_TILE 0 TILEPROP NULL_X107Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y88 NAME NULL_X107Y88 TILEPROP NULL_X107Y88 NUM_ARCS 0 TILEPROP NULL_X107Y88 NUM_SITES 0 TILEPROP NULL_X107Y88 ROW 68 TILEPROP NULL_X107Y88 SLR_REGION_ID 0 TILEPROP NULL_X107Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y88 TILE_TYPE NULL TILEPROP NULL_X107Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y88 TILE_X 97238 TILEPROP NULL_X107Y88 TILE_Y 29824 TILEPROP NULL_X107Y88 TYPE NULL TILEPROP NULL_X107Y89 CLASS tile TILEPROP NULL_X107Y89 COLUMN 107 TILEPROP NULL_X107Y89 DEVICE_ID 0 TILEPROP NULL_X107Y89 FIRST_SITE_ID 6661 TILEPROP NULL_X107Y89 GRID_POINT_X 107 TILEPROP NULL_X107Y89 GRID_POINT_Y 67 TILEPROP NULL_X107Y89 INDEX 7812 TILEPROP NULL_X107Y89 INT_TILE_X 41 TILEPROP NULL_X107Y89 INT_TILE_Y 64 TILEPROP NULL_X107Y89 IS_CENTER_TILE 0 TILEPROP NULL_X107Y89 IS_DCM_TILE 0 TILEPROP NULL_X107Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y89 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y89 NAME NULL_X107Y89 TILEPROP NULL_X107Y89 NUM_ARCS 0 TILEPROP NULL_X107Y89 NUM_SITES 0 TILEPROP NULL_X107Y89 ROW 67 TILEPROP NULL_X107Y89 SLR_REGION_ID 0 TILEPROP NULL_X107Y89 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y89 TILE_TYPE NULL TILEPROP NULL_X107Y89 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y89 TILE_X 97238 TILEPROP NULL_X107Y89 TILE_Y 33024 TILEPROP NULL_X107Y89 TYPE NULL TILEPROP NULL_X107Y90 CLASS tile TILEPROP NULL_X107Y90 COLUMN 107 TILEPROP NULL_X107Y90 DEVICE_ID 0 TILEPROP NULL_X107Y90 FIRST_SITE_ID 6546 TILEPROP NULL_X107Y90 GRID_POINT_X 107 TILEPROP NULL_X107Y90 GRID_POINT_Y 66 TILEPROP NULL_X107Y90 INDEX 7697 TILEPROP NULL_X107Y90 INT_TILE_X 41 TILEPROP NULL_X107Y90 INT_TILE_Y 63 TILEPROP NULL_X107Y90 IS_CENTER_TILE 0 TILEPROP NULL_X107Y90 IS_DCM_TILE 0 TILEPROP NULL_X107Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y90 NAME NULL_X107Y90 TILEPROP NULL_X107Y90 NUM_ARCS 0 TILEPROP NULL_X107Y90 NUM_SITES 0 TILEPROP NULL_X107Y90 ROW 66 TILEPROP NULL_X107Y90 SLR_REGION_ID 0 TILEPROP NULL_X107Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y90 TILE_TYPE NULL TILEPROP NULL_X107Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y90 TILE_X 97238 TILEPROP NULL_X107Y90 TILE_Y 36224 TILEPROP NULL_X107Y90 TYPE NULL TILEPROP NULL_X107Y91 CLASS tile TILEPROP NULL_X107Y91 COLUMN 107 TILEPROP NULL_X107Y91 DEVICE_ID 0 TILEPROP NULL_X107Y91 FIRST_SITE_ID 6414 TILEPROP NULL_X107Y91 GRID_POINT_X 107 TILEPROP NULL_X107Y91 GRID_POINT_Y 65 TILEPROP NULL_X107Y91 INDEX 7582 TILEPROP NULL_X107Y91 INT_TILE_X 41 TILEPROP NULL_X107Y91 INT_TILE_Y 62 TILEPROP NULL_X107Y91 IS_CENTER_TILE 0 TILEPROP NULL_X107Y91 IS_DCM_TILE 0 TILEPROP NULL_X107Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y91 NAME NULL_X107Y91 TILEPROP NULL_X107Y91 NUM_ARCS 0 TILEPROP NULL_X107Y91 NUM_SITES 0 TILEPROP NULL_X107Y91 ROW 65 TILEPROP NULL_X107Y91 SLR_REGION_ID 0 TILEPROP NULL_X107Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y91 TILE_TYPE NULL TILEPROP NULL_X107Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y91 TILE_X 97238 TILEPROP NULL_X107Y91 TILE_Y 39424 TILEPROP NULL_X107Y91 TYPE NULL TILEPROP NULL_X107Y92 CLASS tile TILEPROP NULL_X107Y92 COLUMN 107 TILEPROP NULL_X107Y92 DEVICE_ID 0 TILEPROP NULL_X107Y92 FIRST_SITE_ID 6314 TILEPROP NULL_X107Y92 GRID_POINT_X 107 TILEPROP NULL_X107Y92 GRID_POINT_Y 64 TILEPROP NULL_X107Y92 INDEX 7467 TILEPROP NULL_X107Y92 INT_TILE_X 41 TILEPROP NULL_X107Y92 INT_TILE_Y 61 TILEPROP NULL_X107Y92 IS_CENTER_TILE 0 TILEPROP NULL_X107Y92 IS_DCM_TILE 0 TILEPROP NULL_X107Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y92 NAME NULL_X107Y92 TILEPROP NULL_X107Y92 NUM_ARCS 0 TILEPROP NULL_X107Y92 NUM_SITES 0 TILEPROP NULL_X107Y92 ROW 64 TILEPROP NULL_X107Y92 SLR_REGION_ID 0 TILEPROP NULL_X107Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y92 TILE_TYPE NULL TILEPROP NULL_X107Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y92 TILE_X 97238 TILEPROP NULL_X107Y92 TILE_Y 42624 TILEPROP NULL_X107Y92 TYPE NULL TILEPROP NULL_X107Y93 CLASS tile TILEPROP NULL_X107Y93 COLUMN 107 TILEPROP NULL_X107Y93 DEVICE_ID 0 TILEPROP NULL_X107Y93 FIRST_SITE_ID 6214 TILEPROP NULL_X107Y93 GRID_POINT_X 107 TILEPROP NULL_X107Y93 GRID_POINT_Y 63 TILEPROP NULL_X107Y93 INDEX 7352 TILEPROP NULL_X107Y93 INT_TILE_X 41 TILEPROP NULL_X107Y93 INT_TILE_Y 60 TILEPROP NULL_X107Y93 IS_CENTER_TILE 0 TILEPROP NULL_X107Y93 IS_DCM_TILE 0 TILEPROP NULL_X107Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y93 NAME NULL_X107Y93 TILEPROP NULL_X107Y93 NUM_ARCS 0 TILEPROP NULL_X107Y93 NUM_SITES 0 TILEPROP NULL_X107Y93 ROW 63 TILEPROP NULL_X107Y93 SLR_REGION_ID 0 TILEPROP NULL_X107Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y93 TILE_TYPE NULL TILEPROP NULL_X107Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y93 TILE_X 97238 TILEPROP NULL_X107Y93 TILE_Y 45824 TILEPROP NULL_X107Y93 TYPE NULL TILEPROP NULL_X107Y94 CLASS tile TILEPROP NULL_X107Y94 COLUMN 107 TILEPROP NULL_X107Y94 DEVICE_ID 0 TILEPROP NULL_X107Y94 FIRST_SITE_ID 6114 TILEPROP NULL_X107Y94 GRID_POINT_X 107 TILEPROP NULL_X107Y94 GRID_POINT_Y 62 TILEPROP NULL_X107Y94 INDEX 7237 TILEPROP NULL_X107Y94 INT_TILE_X 41 TILEPROP NULL_X107Y94 INT_TILE_Y 59 TILEPROP NULL_X107Y94 IS_CENTER_TILE 0 TILEPROP NULL_X107Y94 IS_DCM_TILE 0 TILEPROP NULL_X107Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y94 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y94 NAME NULL_X107Y94 TILEPROP NULL_X107Y94 NUM_ARCS 0 TILEPROP NULL_X107Y94 NUM_SITES 0 TILEPROP NULL_X107Y94 ROW 62 TILEPROP NULL_X107Y94 SLR_REGION_ID 0 TILEPROP NULL_X107Y94 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y94 TILE_TYPE NULL TILEPROP NULL_X107Y94 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y94 TILE_X 97238 TILEPROP NULL_X107Y94 TILE_Y 49024 TILEPROP NULL_X107Y94 TYPE NULL TILEPROP NULL_X107Y95 CLASS tile TILEPROP NULL_X107Y95 COLUMN 107 TILEPROP NULL_X107Y95 DEVICE_ID 0 TILEPROP NULL_X107Y95 FIRST_SITE_ID 5999 TILEPROP NULL_X107Y95 GRID_POINT_X 107 TILEPROP NULL_X107Y95 GRID_POINT_Y 61 TILEPROP NULL_X107Y95 INDEX 7122 TILEPROP NULL_X107Y95 INT_TILE_X 41 TILEPROP NULL_X107Y95 INT_TILE_Y 58 TILEPROP NULL_X107Y95 IS_CENTER_TILE 0 TILEPROP NULL_X107Y95 IS_DCM_TILE 0 TILEPROP NULL_X107Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y95 NAME NULL_X107Y95 TILEPROP NULL_X107Y95 NUM_ARCS 0 TILEPROP NULL_X107Y95 NUM_SITES 0 TILEPROP NULL_X107Y95 ROW 61 TILEPROP NULL_X107Y95 SLR_REGION_ID 0 TILEPROP NULL_X107Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y95 TILE_TYPE NULL TILEPROP NULL_X107Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y95 TILE_X 97238 TILEPROP NULL_X107Y95 TILE_Y 52224 TILEPROP NULL_X107Y95 TYPE NULL TILEPROP NULL_X107Y96 CLASS tile TILEPROP NULL_X107Y96 COLUMN 107 TILEPROP NULL_X107Y96 DEVICE_ID 0 TILEPROP NULL_X107Y96 FIRST_SITE_ID 5899 TILEPROP NULL_X107Y96 GRID_POINT_X 107 TILEPROP NULL_X107Y96 GRID_POINT_Y 60 TILEPROP NULL_X107Y96 INDEX 7007 TILEPROP NULL_X107Y96 INT_TILE_X 41 TILEPROP NULL_X107Y96 INT_TILE_Y 57 TILEPROP NULL_X107Y96 IS_CENTER_TILE 0 TILEPROP NULL_X107Y96 IS_DCM_TILE 0 TILEPROP NULL_X107Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y96 NAME NULL_X107Y96 TILEPROP NULL_X107Y96 NUM_ARCS 0 TILEPROP NULL_X107Y96 NUM_SITES 0 TILEPROP NULL_X107Y96 ROW 60 TILEPROP NULL_X107Y96 SLR_REGION_ID 0 TILEPROP NULL_X107Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y96 TILE_TYPE NULL TILEPROP NULL_X107Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y96 TILE_X 97238 TILEPROP NULL_X107Y96 TILE_Y 55424 TILEPROP NULL_X107Y96 TYPE NULL TILEPROP NULL_X107Y98 CLASS tile TILEPROP NULL_X107Y98 COLUMN 107 TILEPROP NULL_X107Y98 DEVICE_ID 0 TILEPROP NULL_X107Y98 FIRST_SITE_ID 5693 TILEPROP NULL_X107Y98 GRID_POINT_X 107 TILEPROP NULL_X107Y98 GRID_POINT_Y 58 TILEPROP NULL_X107Y98 INDEX 6777 TILEPROP NULL_X107Y98 INT_TILE_X 41 TILEPROP NULL_X107Y98 INT_TILE_Y 55 TILEPROP NULL_X107Y98 IS_CENTER_TILE 0 TILEPROP NULL_X107Y98 IS_DCM_TILE 0 TILEPROP NULL_X107Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y98 NAME NULL_X107Y98 TILEPROP NULL_X107Y98 NUM_ARCS 0 TILEPROP NULL_X107Y98 NUM_SITES 0 TILEPROP NULL_X107Y98 ROW 58 TILEPROP NULL_X107Y98 SLR_REGION_ID 0 TILEPROP NULL_X107Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y98 TILE_TYPE NULL TILEPROP NULL_X107Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y98 TILE_X 97238 TILEPROP NULL_X107Y98 TILE_Y 61824 TILEPROP NULL_X107Y98 TYPE NULL TILEPROP NULL_X107Y99 CLASS tile TILEPROP NULL_X107Y99 COLUMN 107 TILEPROP NULL_X107Y99 DEVICE_ID 0 TILEPROP NULL_X107Y99 FIRST_SITE_ID 5593 TILEPROP NULL_X107Y99 GRID_POINT_X 107 TILEPROP NULL_X107Y99 GRID_POINT_Y 57 TILEPROP NULL_X107Y99 INDEX 6662 TILEPROP NULL_X107Y99 INT_TILE_X 41 TILEPROP NULL_X107Y99 INT_TILE_Y 54 TILEPROP NULL_X107Y99 IS_CENTER_TILE 0 TILEPROP NULL_X107Y99 IS_DCM_TILE 0 TILEPROP NULL_X107Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y99 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y99 NAME NULL_X107Y99 TILEPROP NULL_X107Y99 NUM_ARCS 0 TILEPROP NULL_X107Y99 NUM_SITES 0 TILEPROP NULL_X107Y99 ROW 57 TILEPROP NULL_X107Y99 SLR_REGION_ID 0 TILEPROP NULL_X107Y99 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y99 TILE_TYPE NULL TILEPROP NULL_X107Y99 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y99 TILE_X 97238 TILEPROP NULL_X107Y99 TILE_Y 65024 TILEPROP NULL_X107Y99 TYPE NULL TILEPROP NULL_X107Y100 CLASS tile TILEPROP NULL_X107Y100 COLUMN 107 TILEPROP NULL_X107Y100 DEVICE_ID 0 TILEPROP NULL_X107Y100 FIRST_SITE_ID 5478 TILEPROP NULL_X107Y100 GRID_POINT_X 107 TILEPROP NULL_X107Y100 GRID_POINT_Y 56 TILEPROP NULL_X107Y100 INDEX 6547 TILEPROP NULL_X107Y100 INT_TILE_X 41 TILEPROP NULL_X107Y100 INT_TILE_Y 53 TILEPROP NULL_X107Y100 IS_CENTER_TILE 0 TILEPROP NULL_X107Y100 IS_DCM_TILE 0 TILEPROP NULL_X107Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y100 NAME NULL_X107Y100 TILEPROP NULL_X107Y100 NUM_ARCS 0 TILEPROP NULL_X107Y100 NUM_SITES 0 TILEPROP NULL_X107Y100 ROW 56 TILEPROP NULL_X107Y100 SLR_REGION_ID 0 TILEPROP NULL_X107Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y100 TILE_TYPE NULL TILEPROP NULL_X107Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y100 TILE_X 97238 TILEPROP NULL_X107Y100 TILE_Y 68224 TILEPROP NULL_X107Y100 TYPE NULL TILEPROP NULL_X107Y101 CLASS tile TILEPROP NULL_X107Y101 COLUMN 107 TILEPROP NULL_X107Y101 DEVICE_ID 0 TILEPROP NULL_X107Y101 FIRST_SITE_ID 5378 TILEPROP NULL_X107Y101 GRID_POINT_X 107 TILEPROP NULL_X107Y101 GRID_POINT_Y 55 TILEPROP NULL_X107Y101 INDEX 6432 TILEPROP NULL_X107Y101 INT_TILE_X 41 TILEPROP NULL_X107Y101 INT_TILE_Y 52 TILEPROP NULL_X107Y101 IS_CENTER_TILE 0 TILEPROP NULL_X107Y101 IS_DCM_TILE 0 TILEPROP NULL_X107Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y101 NAME NULL_X107Y101 TILEPROP NULL_X107Y101 NUM_ARCS 0 TILEPROP NULL_X107Y101 NUM_SITES 0 TILEPROP NULL_X107Y101 ROW 55 TILEPROP NULL_X107Y101 SLR_REGION_ID 0 TILEPROP NULL_X107Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y101 TILE_TYPE NULL TILEPROP NULL_X107Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y101 TILE_X 97238 TILEPROP NULL_X107Y101 TILE_Y 71424 TILEPROP NULL_X107Y101 TYPE NULL TILEPROP NULL_X107Y102 CLASS tile TILEPROP NULL_X107Y102 COLUMN 107 TILEPROP NULL_X107Y102 DEVICE_ID 0 TILEPROP NULL_X107Y102 FIRST_SITE_ID 5278 TILEPROP NULL_X107Y102 GRID_POINT_X 107 TILEPROP NULL_X107Y102 GRID_POINT_Y 54 TILEPROP NULL_X107Y102 INDEX 6317 TILEPROP NULL_X107Y102 INT_TILE_X 41 TILEPROP NULL_X107Y102 INT_TILE_Y 51 TILEPROP NULL_X107Y102 IS_CENTER_TILE 0 TILEPROP NULL_X107Y102 IS_DCM_TILE 0 TILEPROP NULL_X107Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y102 NAME NULL_X107Y102 TILEPROP NULL_X107Y102 NUM_ARCS 0 TILEPROP NULL_X107Y102 NUM_SITES 0 TILEPROP NULL_X107Y102 ROW 54 TILEPROP NULL_X107Y102 SLR_REGION_ID 0 TILEPROP NULL_X107Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y102 TILE_TYPE NULL TILEPROP NULL_X107Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y102 TILE_X 97238 TILEPROP NULL_X107Y102 TILE_Y 74624 TILEPROP NULL_X107Y102 TYPE NULL TILEPROP NULL_X107Y104 CLASS tile TILEPROP NULL_X107Y104 COLUMN 107 TILEPROP NULL_X107Y104 DEVICE_ID 0 TILEPROP NULL_X107Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X107Y104 GRID_POINT_X 107 TILEPROP NULL_X107Y104 GRID_POINT_Y 52 TILEPROP NULL_X107Y104 INDEX 6087 TILEPROP NULL_X107Y104 INT_TILE_X -1 TILEPROP NULL_X107Y104 INT_TILE_Y -1 TILEPROP NULL_X107Y104 IS_CENTER_TILE 0 TILEPROP NULL_X107Y104 IS_DCM_TILE 0 TILEPROP NULL_X107Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y104 NAME NULL_X107Y104 TILEPROP NULL_X107Y104 NUM_ARCS 0 TILEPROP NULL_X107Y104 NUM_SITES 0 TILEPROP NULL_X107Y104 ROW 52 TILEPROP NULL_X107Y104 SLR_REGION_ID 0 TILEPROP NULL_X107Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y104 TILE_TYPE NULL TILEPROP NULL_X107Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y104 TILE_X 97238 TILEPROP NULL_X107Y104 TILE_Y 81024 TILEPROP NULL_X107Y104 TYPE NULL TILEPROP NULL_X107Y105 CLASS tile TILEPROP NULL_X107Y105 COLUMN 107 TILEPROP NULL_X107Y105 DEVICE_ID 0 TILEPROP NULL_X107Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X107Y105 GRID_POINT_X 107 TILEPROP NULL_X107Y105 GRID_POINT_Y 51 TILEPROP NULL_X107Y105 INDEX 5972 TILEPROP NULL_X107Y105 INT_TILE_X -1 TILEPROP NULL_X107Y105 INT_TILE_Y -1 TILEPROP NULL_X107Y105 IS_CENTER_TILE 0 TILEPROP NULL_X107Y105 IS_DCM_TILE 0 TILEPROP NULL_X107Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y105 NAME NULL_X107Y105 TILEPROP NULL_X107Y105 NUM_ARCS 0 TILEPROP NULL_X107Y105 NUM_SITES 0 TILEPROP NULL_X107Y105 ROW 51 TILEPROP NULL_X107Y105 SLR_REGION_ID 0 TILEPROP NULL_X107Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y105 TILE_TYPE NULL TILEPROP NULL_X107Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y105 TILE_X 97238 TILEPROP NULL_X107Y105 TILE_Y 81272 TILEPROP NULL_X107Y105 TYPE NULL TILEPROP NULL_X107Y106 CLASS tile TILEPROP NULL_X107Y106 COLUMN 107 TILEPROP NULL_X107Y106 DEVICE_ID 0 TILEPROP NULL_X107Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X107Y106 GRID_POINT_X 107 TILEPROP NULL_X107Y106 GRID_POINT_Y 50 TILEPROP NULL_X107Y106 INDEX 5857 TILEPROP NULL_X107Y106 INT_TILE_X -1 TILEPROP NULL_X107Y106 INT_TILE_Y -1 TILEPROP NULL_X107Y106 IS_CENTER_TILE 0 TILEPROP NULL_X107Y106 IS_DCM_TILE 0 TILEPROP NULL_X107Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y106 NAME NULL_X107Y106 TILEPROP NULL_X107Y106 NUM_ARCS 0 TILEPROP NULL_X107Y106 NUM_SITES 0 TILEPROP NULL_X107Y106 ROW 50 TILEPROP NULL_X107Y106 SLR_REGION_ID 0 TILEPROP NULL_X107Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y106 TILE_TYPE NULL TILEPROP NULL_X107Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y106 TILE_X 97238 TILEPROP NULL_X107Y106 TILE_Y 84472 TILEPROP NULL_X107Y106 TYPE NULL TILEPROP NULL_X107Y107 CLASS tile TILEPROP NULL_X107Y107 COLUMN 107 TILEPROP NULL_X107Y107 DEVICE_ID 0 TILEPROP NULL_X107Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X107Y107 GRID_POINT_X 107 TILEPROP NULL_X107Y107 GRID_POINT_Y 49 TILEPROP NULL_X107Y107 INDEX 5742 TILEPROP NULL_X107Y107 INT_TILE_X -1 TILEPROP NULL_X107Y107 INT_TILE_Y -1 TILEPROP NULL_X107Y107 IS_CENTER_TILE 0 TILEPROP NULL_X107Y107 IS_DCM_TILE 0 TILEPROP NULL_X107Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y107 NAME NULL_X107Y107 TILEPROP NULL_X107Y107 NUM_ARCS 0 TILEPROP NULL_X107Y107 NUM_SITES 0 TILEPROP NULL_X107Y107 ROW 49 TILEPROP NULL_X107Y107 SLR_REGION_ID 0 TILEPROP NULL_X107Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y107 TILE_TYPE NULL TILEPROP NULL_X107Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y107 TILE_X 97238 TILEPROP NULL_X107Y107 TILE_Y 87672 TILEPROP NULL_X107Y107 TYPE NULL TILEPROP NULL_X107Y108 CLASS tile TILEPROP NULL_X107Y108 COLUMN 107 TILEPROP NULL_X107Y108 DEVICE_ID 0 TILEPROP NULL_X107Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X107Y108 GRID_POINT_X 107 TILEPROP NULL_X107Y108 GRID_POINT_Y 48 TILEPROP NULL_X107Y108 INDEX 5627 TILEPROP NULL_X107Y108 INT_TILE_X -1 TILEPROP NULL_X107Y108 INT_TILE_Y -1 TILEPROP NULL_X107Y108 IS_CENTER_TILE 0 TILEPROP NULL_X107Y108 IS_DCM_TILE 0 TILEPROP NULL_X107Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y108 NAME NULL_X107Y108 TILEPROP NULL_X107Y108 NUM_ARCS 0 TILEPROP NULL_X107Y108 NUM_SITES 0 TILEPROP NULL_X107Y108 ROW 48 TILEPROP NULL_X107Y108 SLR_REGION_ID 0 TILEPROP NULL_X107Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y108 TILE_TYPE NULL TILEPROP NULL_X107Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y108 TILE_X 97238 TILEPROP NULL_X107Y108 TILE_Y 90872 TILEPROP NULL_X107Y108 TYPE NULL TILEPROP NULL_X107Y109 CLASS tile TILEPROP NULL_X107Y109 COLUMN 107 TILEPROP NULL_X107Y109 DEVICE_ID 0 TILEPROP NULL_X107Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X107Y109 GRID_POINT_X 107 TILEPROP NULL_X107Y109 GRID_POINT_Y 47 TILEPROP NULL_X107Y109 INDEX 5512 TILEPROP NULL_X107Y109 INT_TILE_X -1 TILEPROP NULL_X107Y109 INT_TILE_Y -1 TILEPROP NULL_X107Y109 IS_CENTER_TILE 0 TILEPROP NULL_X107Y109 IS_DCM_TILE 0 TILEPROP NULL_X107Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y109 NAME NULL_X107Y109 TILEPROP NULL_X107Y109 NUM_ARCS 0 TILEPROP NULL_X107Y109 NUM_SITES 0 TILEPROP NULL_X107Y109 ROW 47 TILEPROP NULL_X107Y109 SLR_REGION_ID 0 TILEPROP NULL_X107Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y109 TILE_TYPE NULL TILEPROP NULL_X107Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y109 TILE_X 97238 TILEPROP NULL_X107Y109 TILE_Y 94072 TILEPROP NULL_X107Y109 TYPE NULL TILEPROP NULL_X107Y110 CLASS tile TILEPROP NULL_X107Y110 COLUMN 107 TILEPROP NULL_X107Y110 DEVICE_ID 0 TILEPROP NULL_X107Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X107Y110 GRID_POINT_X 107 TILEPROP NULL_X107Y110 GRID_POINT_Y 46 TILEPROP NULL_X107Y110 INDEX 5397 TILEPROP NULL_X107Y110 INT_TILE_X -1 TILEPROP NULL_X107Y110 INT_TILE_Y -1 TILEPROP NULL_X107Y110 IS_CENTER_TILE 0 TILEPROP NULL_X107Y110 IS_DCM_TILE 0 TILEPROP NULL_X107Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y110 NAME NULL_X107Y110 TILEPROP NULL_X107Y110 NUM_ARCS 0 TILEPROP NULL_X107Y110 NUM_SITES 0 TILEPROP NULL_X107Y110 ROW 46 TILEPROP NULL_X107Y110 SLR_REGION_ID 0 TILEPROP NULL_X107Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y110 TILE_TYPE NULL TILEPROP NULL_X107Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y110 TILE_X 97238 TILEPROP NULL_X107Y110 TILE_Y 97272 TILEPROP NULL_X107Y110 TYPE NULL TILEPROP NULL_X107Y111 CLASS tile TILEPROP NULL_X107Y111 COLUMN 107 TILEPROP NULL_X107Y111 DEVICE_ID 0 TILEPROP NULL_X107Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X107Y111 GRID_POINT_X 107 TILEPROP NULL_X107Y111 GRID_POINT_Y 45 TILEPROP NULL_X107Y111 INDEX 5282 TILEPROP NULL_X107Y111 INT_TILE_X -1 TILEPROP NULL_X107Y111 INT_TILE_Y -1 TILEPROP NULL_X107Y111 IS_CENTER_TILE 0 TILEPROP NULL_X107Y111 IS_DCM_TILE 0 TILEPROP NULL_X107Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y111 NAME NULL_X107Y111 TILEPROP NULL_X107Y111 NUM_ARCS 0 TILEPROP NULL_X107Y111 NUM_SITES 0 TILEPROP NULL_X107Y111 ROW 45 TILEPROP NULL_X107Y111 SLR_REGION_ID 0 TILEPROP NULL_X107Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y111 TILE_TYPE NULL TILEPROP NULL_X107Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y111 TILE_X 97238 TILEPROP NULL_X107Y111 TILE_Y 100472 TILEPROP NULL_X107Y111 TYPE NULL TILEPROP NULL_X107Y112 CLASS tile TILEPROP NULL_X107Y112 COLUMN 107 TILEPROP NULL_X107Y112 DEVICE_ID 0 TILEPROP NULL_X107Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X107Y112 GRID_POINT_X 107 TILEPROP NULL_X107Y112 GRID_POINT_Y 44 TILEPROP NULL_X107Y112 INDEX 5167 TILEPROP NULL_X107Y112 INT_TILE_X -1 TILEPROP NULL_X107Y112 INT_TILE_Y -1 TILEPROP NULL_X107Y112 IS_CENTER_TILE 0 TILEPROP NULL_X107Y112 IS_DCM_TILE 0 TILEPROP NULL_X107Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y112 NAME NULL_X107Y112 TILEPROP NULL_X107Y112 NUM_ARCS 0 TILEPROP NULL_X107Y112 NUM_SITES 0 TILEPROP NULL_X107Y112 ROW 44 TILEPROP NULL_X107Y112 SLR_REGION_ID 0 TILEPROP NULL_X107Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y112 TILE_TYPE NULL TILEPROP NULL_X107Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y112 TILE_X 97238 TILEPROP NULL_X107Y112 TILE_Y 103672 TILEPROP NULL_X107Y112 TYPE NULL TILEPROP NULL_X107Y113 CLASS tile TILEPROP NULL_X107Y113 COLUMN 107 TILEPROP NULL_X107Y113 DEVICE_ID 0 TILEPROP NULL_X107Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X107Y113 GRID_POINT_X 107 TILEPROP NULL_X107Y113 GRID_POINT_Y 43 TILEPROP NULL_X107Y113 INDEX 5052 TILEPROP NULL_X107Y113 INT_TILE_X -1 TILEPROP NULL_X107Y113 INT_TILE_Y -1 TILEPROP NULL_X107Y113 IS_CENTER_TILE 0 TILEPROP NULL_X107Y113 IS_DCM_TILE 0 TILEPROP NULL_X107Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y113 NAME NULL_X107Y113 TILEPROP NULL_X107Y113 NUM_ARCS 0 TILEPROP NULL_X107Y113 NUM_SITES 0 TILEPROP NULL_X107Y113 ROW 43 TILEPROP NULL_X107Y113 SLR_REGION_ID 0 TILEPROP NULL_X107Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y113 TILE_TYPE NULL TILEPROP NULL_X107Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y113 TILE_X 97238 TILEPROP NULL_X107Y113 TILE_Y 106872 TILEPROP NULL_X107Y113 TYPE NULL TILEPROP NULL_X107Y114 CLASS tile TILEPROP NULL_X107Y114 COLUMN 107 TILEPROP NULL_X107Y114 DEVICE_ID 0 TILEPROP NULL_X107Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X107Y114 GRID_POINT_X 107 TILEPROP NULL_X107Y114 GRID_POINT_Y 42 TILEPROP NULL_X107Y114 INDEX 4937 TILEPROP NULL_X107Y114 INT_TILE_X -1 TILEPROP NULL_X107Y114 INT_TILE_Y -1 TILEPROP NULL_X107Y114 IS_CENTER_TILE 0 TILEPROP NULL_X107Y114 IS_DCM_TILE 0 TILEPROP NULL_X107Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y114 NAME NULL_X107Y114 TILEPROP NULL_X107Y114 NUM_ARCS 0 TILEPROP NULL_X107Y114 NUM_SITES 0 TILEPROP NULL_X107Y114 ROW 42 TILEPROP NULL_X107Y114 SLR_REGION_ID 0 TILEPROP NULL_X107Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y114 TILE_TYPE NULL TILEPROP NULL_X107Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y114 TILE_X 97238 TILEPROP NULL_X107Y114 TILE_Y 110072 TILEPROP NULL_X107Y114 TYPE NULL TILEPROP NULL_X107Y115 CLASS tile TILEPROP NULL_X107Y115 COLUMN 107 TILEPROP NULL_X107Y115 DEVICE_ID 0 TILEPROP NULL_X107Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X107Y115 GRID_POINT_X 107 TILEPROP NULL_X107Y115 GRID_POINT_Y 41 TILEPROP NULL_X107Y115 INDEX 4822 TILEPROP NULL_X107Y115 INT_TILE_X -1 TILEPROP NULL_X107Y115 INT_TILE_Y -1 TILEPROP NULL_X107Y115 IS_CENTER_TILE 0 TILEPROP NULL_X107Y115 IS_DCM_TILE 0 TILEPROP NULL_X107Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y115 NAME NULL_X107Y115 TILEPROP NULL_X107Y115 NUM_ARCS 0 TILEPROP NULL_X107Y115 NUM_SITES 0 TILEPROP NULL_X107Y115 ROW 41 TILEPROP NULL_X107Y115 SLR_REGION_ID 0 TILEPROP NULL_X107Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y115 TILE_TYPE NULL TILEPROP NULL_X107Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y115 TILE_X 97238 TILEPROP NULL_X107Y115 TILE_Y 113272 TILEPROP NULL_X107Y115 TYPE NULL TILEPROP NULL_X107Y116 CLASS tile TILEPROP NULL_X107Y116 COLUMN 107 TILEPROP NULL_X107Y116 DEVICE_ID 0 TILEPROP NULL_X107Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X107Y116 GRID_POINT_X 107 TILEPROP NULL_X107Y116 GRID_POINT_Y 40 TILEPROP NULL_X107Y116 INDEX 4707 TILEPROP NULL_X107Y116 INT_TILE_X -1 TILEPROP NULL_X107Y116 INT_TILE_Y -1 TILEPROP NULL_X107Y116 IS_CENTER_TILE 0 TILEPROP NULL_X107Y116 IS_DCM_TILE 0 TILEPROP NULL_X107Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y116 NAME NULL_X107Y116 TILEPROP NULL_X107Y116 NUM_ARCS 0 TILEPROP NULL_X107Y116 NUM_SITES 0 TILEPROP NULL_X107Y116 ROW 40 TILEPROP NULL_X107Y116 SLR_REGION_ID 0 TILEPROP NULL_X107Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y116 TILE_TYPE NULL TILEPROP NULL_X107Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y116 TILE_X 97238 TILEPROP NULL_X107Y116 TILE_Y 116472 TILEPROP NULL_X107Y116 TYPE NULL TILEPROP NULL_X107Y117 CLASS tile TILEPROP NULL_X107Y117 COLUMN 107 TILEPROP NULL_X107Y117 DEVICE_ID 0 TILEPROP NULL_X107Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X107Y117 GRID_POINT_X 107 TILEPROP NULL_X107Y117 GRID_POINT_Y 39 TILEPROP NULL_X107Y117 INDEX 4592 TILEPROP NULL_X107Y117 INT_TILE_X -1 TILEPROP NULL_X107Y117 INT_TILE_Y -1 TILEPROP NULL_X107Y117 IS_CENTER_TILE 0 TILEPROP NULL_X107Y117 IS_DCM_TILE 0 TILEPROP NULL_X107Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y117 NAME NULL_X107Y117 TILEPROP NULL_X107Y117 NUM_ARCS 0 TILEPROP NULL_X107Y117 NUM_SITES 0 TILEPROP NULL_X107Y117 ROW 39 TILEPROP NULL_X107Y117 SLR_REGION_ID 0 TILEPROP NULL_X107Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y117 TILE_TYPE NULL TILEPROP NULL_X107Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y117 TILE_X 97238 TILEPROP NULL_X107Y117 TILE_Y 119672 TILEPROP NULL_X107Y117 TYPE NULL TILEPROP NULL_X107Y118 CLASS tile TILEPROP NULL_X107Y118 COLUMN 107 TILEPROP NULL_X107Y118 DEVICE_ID 0 TILEPROP NULL_X107Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X107Y118 GRID_POINT_X 107 TILEPROP NULL_X107Y118 GRID_POINT_Y 38 TILEPROP NULL_X107Y118 INDEX 4477 TILEPROP NULL_X107Y118 INT_TILE_X -1 TILEPROP NULL_X107Y118 INT_TILE_Y -1 TILEPROP NULL_X107Y118 IS_CENTER_TILE 0 TILEPROP NULL_X107Y118 IS_DCM_TILE 0 TILEPROP NULL_X107Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y118 NAME NULL_X107Y118 TILEPROP NULL_X107Y118 NUM_ARCS 0 TILEPROP NULL_X107Y118 NUM_SITES 0 TILEPROP NULL_X107Y118 ROW 38 TILEPROP NULL_X107Y118 SLR_REGION_ID 0 TILEPROP NULL_X107Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y118 TILE_TYPE NULL TILEPROP NULL_X107Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y118 TILE_X 97238 TILEPROP NULL_X107Y118 TILE_Y 122872 TILEPROP NULL_X107Y118 TYPE NULL TILEPROP NULL_X107Y119 CLASS tile TILEPROP NULL_X107Y119 COLUMN 107 TILEPROP NULL_X107Y119 DEVICE_ID 0 TILEPROP NULL_X107Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X107Y119 GRID_POINT_X 107 TILEPROP NULL_X107Y119 GRID_POINT_Y 37 TILEPROP NULL_X107Y119 INDEX 4362 TILEPROP NULL_X107Y119 INT_TILE_X -1 TILEPROP NULL_X107Y119 INT_TILE_Y -1 TILEPROP NULL_X107Y119 IS_CENTER_TILE 0 TILEPROP NULL_X107Y119 IS_DCM_TILE 0 TILEPROP NULL_X107Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y119 NAME NULL_X107Y119 TILEPROP NULL_X107Y119 NUM_ARCS 0 TILEPROP NULL_X107Y119 NUM_SITES 0 TILEPROP NULL_X107Y119 ROW 37 TILEPROP NULL_X107Y119 SLR_REGION_ID 0 TILEPROP NULL_X107Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y119 TILE_TYPE NULL TILEPROP NULL_X107Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y119 TILE_X 97238 TILEPROP NULL_X107Y119 TILE_Y 126072 TILEPROP NULL_X107Y119 TYPE NULL TILEPROP NULL_X107Y120 CLASS tile TILEPROP NULL_X107Y120 COLUMN 107 TILEPROP NULL_X107Y120 DEVICE_ID 0 TILEPROP NULL_X107Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X107Y120 GRID_POINT_X 107 TILEPROP NULL_X107Y120 GRID_POINT_Y 36 TILEPROP NULL_X107Y120 INDEX 4247 TILEPROP NULL_X107Y120 INT_TILE_X -1 TILEPROP NULL_X107Y120 INT_TILE_Y -1 TILEPROP NULL_X107Y120 IS_CENTER_TILE 0 TILEPROP NULL_X107Y120 IS_DCM_TILE 0 TILEPROP NULL_X107Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y120 NAME NULL_X107Y120 TILEPROP NULL_X107Y120 NUM_ARCS 0 TILEPROP NULL_X107Y120 NUM_SITES 0 TILEPROP NULL_X107Y120 ROW 36 TILEPROP NULL_X107Y120 SLR_REGION_ID 0 TILEPROP NULL_X107Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y120 TILE_TYPE NULL TILEPROP NULL_X107Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y120 TILE_X 97238 TILEPROP NULL_X107Y120 TILE_Y 129272 TILEPROP NULL_X107Y120 TYPE NULL TILEPROP NULL_X107Y121 CLASS tile TILEPROP NULL_X107Y121 COLUMN 107 TILEPROP NULL_X107Y121 DEVICE_ID 0 TILEPROP NULL_X107Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X107Y121 GRID_POINT_X 107 TILEPROP NULL_X107Y121 GRID_POINT_Y 35 TILEPROP NULL_X107Y121 INDEX 4132 TILEPROP NULL_X107Y121 INT_TILE_X -1 TILEPROP NULL_X107Y121 INT_TILE_Y -1 TILEPROP NULL_X107Y121 IS_CENTER_TILE 0 TILEPROP NULL_X107Y121 IS_DCM_TILE 0 TILEPROP NULL_X107Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y121 NAME NULL_X107Y121 TILEPROP NULL_X107Y121 NUM_ARCS 0 TILEPROP NULL_X107Y121 NUM_SITES 0 TILEPROP NULL_X107Y121 ROW 35 TILEPROP NULL_X107Y121 SLR_REGION_ID 0 TILEPROP NULL_X107Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y121 TILE_TYPE NULL TILEPROP NULL_X107Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y121 TILE_X 97238 TILEPROP NULL_X107Y121 TILE_Y 132472 TILEPROP NULL_X107Y121 TYPE NULL TILEPROP NULL_X107Y122 CLASS tile TILEPROP NULL_X107Y122 COLUMN 107 TILEPROP NULL_X107Y122 DEVICE_ID 0 TILEPROP NULL_X107Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X107Y122 GRID_POINT_X 107 TILEPROP NULL_X107Y122 GRID_POINT_Y 34 TILEPROP NULL_X107Y122 INDEX 4017 TILEPROP NULL_X107Y122 INT_TILE_X -1 TILEPROP NULL_X107Y122 INT_TILE_Y -1 TILEPROP NULL_X107Y122 IS_CENTER_TILE 0 TILEPROP NULL_X107Y122 IS_DCM_TILE 0 TILEPROP NULL_X107Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y122 NAME NULL_X107Y122 TILEPROP NULL_X107Y122 NUM_ARCS 0 TILEPROP NULL_X107Y122 NUM_SITES 0 TILEPROP NULL_X107Y122 ROW 34 TILEPROP NULL_X107Y122 SLR_REGION_ID 0 TILEPROP NULL_X107Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y122 TILE_TYPE NULL TILEPROP NULL_X107Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y122 TILE_X 97238 TILEPROP NULL_X107Y122 TILE_Y 135672 TILEPROP NULL_X107Y122 TYPE NULL TILEPROP NULL_X107Y123 CLASS tile TILEPROP NULL_X107Y123 COLUMN 107 TILEPROP NULL_X107Y123 DEVICE_ID 0 TILEPROP NULL_X107Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X107Y123 GRID_POINT_X 107 TILEPROP NULL_X107Y123 GRID_POINT_Y 33 TILEPROP NULL_X107Y123 INDEX 3902 TILEPROP NULL_X107Y123 INT_TILE_X -1 TILEPROP NULL_X107Y123 INT_TILE_Y -1 TILEPROP NULL_X107Y123 IS_CENTER_TILE 0 TILEPROP NULL_X107Y123 IS_DCM_TILE 0 TILEPROP NULL_X107Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y123 NAME NULL_X107Y123 TILEPROP NULL_X107Y123 NUM_ARCS 0 TILEPROP NULL_X107Y123 NUM_SITES 0 TILEPROP NULL_X107Y123 ROW 33 TILEPROP NULL_X107Y123 SLR_REGION_ID 0 TILEPROP NULL_X107Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y123 TILE_TYPE NULL TILEPROP NULL_X107Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y123 TILE_X 97238 TILEPROP NULL_X107Y123 TILE_Y 138872 TILEPROP NULL_X107Y123 TYPE NULL TILEPROP NULL_X107Y124 CLASS tile TILEPROP NULL_X107Y124 COLUMN 107 TILEPROP NULL_X107Y124 DEVICE_ID 0 TILEPROP NULL_X107Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X107Y124 GRID_POINT_X 107 TILEPROP NULL_X107Y124 GRID_POINT_Y 32 TILEPROP NULL_X107Y124 INDEX 3787 TILEPROP NULL_X107Y124 INT_TILE_X -1 TILEPROP NULL_X107Y124 INT_TILE_Y -1 TILEPROP NULL_X107Y124 IS_CENTER_TILE 0 TILEPROP NULL_X107Y124 IS_DCM_TILE 0 TILEPROP NULL_X107Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y124 NAME NULL_X107Y124 TILEPROP NULL_X107Y124 NUM_ARCS 0 TILEPROP NULL_X107Y124 NUM_SITES 0 TILEPROP NULL_X107Y124 ROW 32 TILEPROP NULL_X107Y124 SLR_REGION_ID 0 TILEPROP NULL_X107Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y124 TILE_TYPE NULL TILEPROP NULL_X107Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y124 TILE_X 97238 TILEPROP NULL_X107Y124 TILE_Y 142072 TILEPROP NULL_X107Y124 TYPE NULL TILEPROP NULL_X107Y125 CLASS tile TILEPROP NULL_X107Y125 COLUMN 107 TILEPROP NULL_X107Y125 DEVICE_ID 0 TILEPROP NULL_X107Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X107Y125 GRID_POINT_X 107 TILEPROP NULL_X107Y125 GRID_POINT_Y 31 TILEPROP NULL_X107Y125 INDEX 3672 TILEPROP NULL_X107Y125 INT_TILE_X -1 TILEPROP NULL_X107Y125 INT_TILE_Y -1 TILEPROP NULL_X107Y125 IS_CENTER_TILE 0 TILEPROP NULL_X107Y125 IS_DCM_TILE 0 TILEPROP NULL_X107Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y125 NAME NULL_X107Y125 TILEPROP NULL_X107Y125 NUM_ARCS 0 TILEPROP NULL_X107Y125 NUM_SITES 0 TILEPROP NULL_X107Y125 ROW 31 TILEPROP NULL_X107Y125 SLR_REGION_ID 0 TILEPROP NULL_X107Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y125 TILE_TYPE NULL TILEPROP NULL_X107Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y125 TILE_X 97238 TILEPROP NULL_X107Y125 TILE_Y 145272 TILEPROP NULL_X107Y125 TYPE NULL TILEPROP NULL_X107Y126 CLASS tile TILEPROP NULL_X107Y126 COLUMN 107 TILEPROP NULL_X107Y126 DEVICE_ID 0 TILEPROP NULL_X107Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X107Y126 GRID_POINT_X 107 TILEPROP NULL_X107Y126 GRID_POINT_Y 30 TILEPROP NULL_X107Y126 INDEX 3557 TILEPROP NULL_X107Y126 INT_TILE_X -1 TILEPROP NULL_X107Y126 INT_TILE_Y -1 TILEPROP NULL_X107Y126 IS_CENTER_TILE 0 TILEPROP NULL_X107Y126 IS_DCM_TILE 0 TILEPROP NULL_X107Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y126 NAME NULL_X107Y126 TILEPROP NULL_X107Y126 NUM_ARCS 0 TILEPROP NULL_X107Y126 NUM_SITES 0 TILEPROP NULL_X107Y126 ROW 30 TILEPROP NULL_X107Y126 SLR_REGION_ID 0 TILEPROP NULL_X107Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y126 TILE_TYPE NULL TILEPROP NULL_X107Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y126 TILE_X 97238 TILEPROP NULL_X107Y126 TILE_Y 148472 TILEPROP NULL_X107Y126 TYPE NULL TILEPROP NULL_X107Y127 CLASS tile TILEPROP NULL_X107Y127 COLUMN 107 TILEPROP NULL_X107Y127 DEVICE_ID 0 TILEPROP NULL_X107Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X107Y127 GRID_POINT_X 107 TILEPROP NULL_X107Y127 GRID_POINT_Y 29 TILEPROP NULL_X107Y127 INDEX 3442 TILEPROP NULL_X107Y127 INT_TILE_X -1 TILEPROP NULL_X107Y127 INT_TILE_Y -1 TILEPROP NULL_X107Y127 IS_CENTER_TILE 0 TILEPROP NULL_X107Y127 IS_DCM_TILE 0 TILEPROP NULL_X107Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y127 NAME NULL_X107Y127 TILEPROP NULL_X107Y127 NUM_ARCS 0 TILEPROP NULL_X107Y127 NUM_SITES 0 TILEPROP NULL_X107Y127 ROW 29 TILEPROP NULL_X107Y127 SLR_REGION_ID 0 TILEPROP NULL_X107Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y127 TILE_TYPE NULL TILEPROP NULL_X107Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y127 TILE_X 97238 TILEPROP NULL_X107Y127 TILE_Y 151672 TILEPROP NULL_X107Y127 TYPE NULL TILEPROP NULL_X107Y128 CLASS tile TILEPROP NULL_X107Y128 COLUMN 107 TILEPROP NULL_X107Y128 DEVICE_ID 0 TILEPROP NULL_X107Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X107Y128 GRID_POINT_X 107 TILEPROP NULL_X107Y128 GRID_POINT_Y 28 TILEPROP NULL_X107Y128 INDEX 3327 TILEPROP NULL_X107Y128 INT_TILE_X -1 TILEPROP NULL_X107Y128 INT_TILE_Y -1 TILEPROP NULL_X107Y128 IS_CENTER_TILE 0 TILEPROP NULL_X107Y128 IS_DCM_TILE 0 TILEPROP NULL_X107Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y128 NAME NULL_X107Y128 TILEPROP NULL_X107Y128 NUM_ARCS 0 TILEPROP NULL_X107Y128 NUM_SITES 0 TILEPROP NULL_X107Y128 ROW 28 TILEPROP NULL_X107Y128 SLR_REGION_ID 0 TILEPROP NULL_X107Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y128 TILE_TYPE NULL TILEPROP NULL_X107Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y128 TILE_X 97238 TILEPROP NULL_X107Y128 TILE_Y 154872 TILEPROP NULL_X107Y128 TYPE NULL TILEPROP NULL_X107Y129 CLASS tile TILEPROP NULL_X107Y129 COLUMN 107 TILEPROP NULL_X107Y129 DEVICE_ID 0 TILEPROP NULL_X107Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X107Y129 GRID_POINT_X 107 TILEPROP NULL_X107Y129 GRID_POINT_Y 27 TILEPROP NULL_X107Y129 INDEX 3212 TILEPROP NULL_X107Y129 INT_TILE_X -1 TILEPROP NULL_X107Y129 INT_TILE_Y -1 TILEPROP NULL_X107Y129 IS_CENTER_TILE 0 TILEPROP NULL_X107Y129 IS_DCM_TILE 0 TILEPROP NULL_X107Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y129 NAME NULL_X107Y129 TILEPROP NULL_X107Y129 NUM_ARCS 0 TILEPROP NULL_X107Y129 NUM_SITES 0 TILEPROP NULL_X107Y129 ROW 27 TILEPROP NULL_X107Y129 SLR_REGION_ID 0 TILEPROP NULL_X107Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y129 TILE_TYPE NULL TILEPROP NULL_X107Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y129 TILE_X 97238 TILEPROP NULL_X107Y129 TILE_Y 158072 TILEPROP NULL_X107Y129 TYPE NULL TILEPROP NULL_X107Y130 CLASS tile TILEPROP NULL_X107Y130 COLUMN 107 TILEPROP NULL_X107Y130 DEVICE_ID 0 TILEPROP NULL_X107Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X107Y130 GRID_POINT_X 107 TILEPROP NULL_X107Y130 GRID_POINT_Y 26 TILEPROP NULL_X107Y130 INDEX 3097 TILEPROP NULL_X107Y130 INT_TILE_X -1 TILEPROP NULL_X107Y130 INT_TILE_Y -1 TILEPROP NULL_X107Y130 IS_CENTER_TILE 0 TILEPROP NULL_X107Y130 IS_DCM_TILE 0 TILEPROP NULL_X107Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y130 NAME NULL_X107Y130 TILEPROP NULL_X107Y130 NUM_ARCS 0 TILEPROP NULL_X107Y130 NUM_SITES 0 TILEPROP NULL_X107Y130 ROW 26 TILEPROP NULL_X107Y130 SLR_REGION_ID 0 TILEPROP NULL_X107Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y130 TILE_TYPE NULL TILEPROP NULL_X107Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y130 TILE_X 97238 TILEPROP NULL_X107Y130 TILE_Y 161272 TILEPROP NULL_X107Y130 TYPE NULL TILEPROP NULL_X107Y131 CLASS tile TILEPROP NULL_X107Y131 COLUMN 107 TILEPROP NULL_X107Y131 DEVICE_ID 0 TILEPROP NULL_X107Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X107Y131 GRID_POINT_X 107 TILEPROP NULL_X107Y131 GRID_POINT_Y 25 TILEPROP NULL_X107Y131 INDEX 2982 TILEPROP NULL_X107Y131 INT_TILE_X -1 TILEPROP NULL_X107Y131 INT_TILE_Y -1 TILEPROP NULL_X107Y131 IS_CENTER_TILE 0 TILEPROP NULL_X107Y131 IS_DCM_TILE 0 TILEPROP NULL_X107Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y131 NAME NULL_X107Y131 TILEPROP NULL_X107Y131 NUM_ARCS 0 TILEPROP NULL_X107Y131 NUM_SITES 0 TILEPROP NULL_X107Y131 ROW 25 TILEPROP NULL_X107Y131 SLR_REGION_ID 0 TILEPROP NULL_X107Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y131 TILE_TYPE NULL TILEPROP NULL_X107Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y131 TILE_X 97238 TILEPROP NULL_X107Y131 TILE_Y 162296 TILEPROP NULL_X107Y131 TYPE NULL TILEPROP NULL_X107Y132 CLASS tile TILEPROP NULL_X107Y132 COLUMN 107 TILEPROP NULL_X107Y132 DEVICE_ID 0 TILEPROP NULL_X107Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X107Y132 GRID_POINT_X 107 TILEPROP NULL_X107Y132 GRID_POINT_Y 24 TILEPROP NULL_X107Y132 INDEX 2867 TILEPROP NULL_X107Y132 INT_TILE_X -1 TILEPROP NULL_X107Y132 INT_TILE_Y -1 TILEPROP NULL_X107Y132 IS_CENTER_TILE 0 TILEPROP NULL_X107Y132 IS_DCM_TILE 0 TILEPROP NULL_X107Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y132 NAME NULL_X107Y132 TILEPROP NULL_X107Y132 NUM_ARCS 0 TILEPROP NULL_X107Y132 NUM_SITES 0 TILEPROP NULL_X107Y132 ROW 24 TILEPROP NULL_X107Y132 SLR_REGION_ID 0 TILEPROP NULL_X107Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y132 TILE_TYPE NULL TILEPROP NULL_X107Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y132 TILE_X 97238 TILEPROP NULL_X107Y132 TILE_Y 165496 TILEPROP NULL_X107Y132 TYPE NULL TILEPROP NULL_X107Y133 CLASS tile TILEPROP NULL_X107Y133 COLUMN 107 TILEPROP NULL_X107Y133 DEVICE_ID 0 TILEPROP NULL_X107Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X107Y133 GRID_POINT_X 107 TILEPROP NULL_X107Y133 GRID_POINT_Y 23 TILEPROP NULL_X107Y133 INDEX 2752 TILEPROP NULL_X107Y133 INT_TILE_X -1 TILEPROP NULL_X107Y133 INT_TILE_Y -1 TILEPROP NULL_X107Y133 IS_CENTER_TILE 0 TILEPROP NULL_X107Y133 IS_DCM_TILE 0 TILEPROP NULL_X107Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y133 NAME NULL_X107Y133 TILEPROP NULL_X107Y133 NUM_ARCS 0 TILEPROP NULL_X107Y133 NUM_SITES 0 TILEPROP NULL_X107Y133 ROW 23 TILEPROP NULL_X107Y133 SLR_REGION_ID 0 TILEPROP NULL_X107Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y133 TILE_TYPE NULL TILEPROP NULL_X107Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y133 TILE_X 97238 TILEPROP NULL_X107Y133 TILE_Y 168696 TILEPROP NULL_X107Y133 TYPE NULL TILEPROP NULL_X107Y134 CLASS tile TILEPROP NULL_X107Y134 COLUMN 107 TILEPROP NULL_X107Y134 DEVICE_ID 0 TILEPROP NULL_X107Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X107Y134 GRID_POINT_X 107 TILEPROP NULL_X107Y134 GRID_POINT_Y 22 TILEPROP NULL_X107Y134 INDEX 2637 TILEPROP NULL_X107Y134 INT_TILE_X -1 TILEPROP NULL_X107Y134 INT_TILE_Y -1 TILEPROP NULL_X107Y134 IS_CENTER_TILE 0 TILEPROP NULL_X107Y134 IS_DCM_TILE 0 TILEPROP NULL_X107Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y134 NAME NULL_X107Y134 TILEPROP NULL_X107Y134 NUM_ARCS 0 TILEPROP NULL_X107Y134 NUM_SITES 0 TILEPROP NULL_X107Y134 ROW 22 TILEPROP NULL_X107Y134 SLR_REGION_ID 0 TILEPROP NULL_X107Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y134 TILE_TYPE NULL TILEPROP NULL_X107Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y134 TILE_X 97238 TILEPROP NULL_X107Y134 TILE_Y 171896 TILEPROP NULL_X107Y134 TYPE NULL TILEPROP NULL_X107Y135 CLASS tile TILEPROP NULL_X107Y135 COLUMN 107 TILEPROP NULL_X107Y135 DEVICE_ID 0 TILEPROP NULL_X107Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X107Y135 GRID_POINT_X 107 TILEPROP NULL_X107Y135 GRID_POINT_Y 21 TILEPROP NULL_X107Y135 INDEX 2522 TILEPROP NULL_X107Y135 INT_TILE_X -1 TILEPROP NULL_X107Y135 INT_TILE_Y -1 TILEPROP NULL_X107Y135 IS_CENTER_TILE 0 TILEPROP NULL_X107Y135 IS_DCM_TILE 0 TILEPROP NULL_X107Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y135 NAME NULL_X107Y135 TILEPROP NULL_X107Y135 NUM_ARCS 0 TILEPROP NULL_X107Y135 NUM_SITES 0 TILEPROP NULL_X107Y135 ROW 21 TILEPROP NULL_X107Y135 SLR_REGION_ID 0 TILEPROP NULL_X107Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y135 TILE_TYPE NULL TILEPROP NULL_X107Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y135 TILE_X 97238 TILEPROP NULL_X107Y135 TILE_Y 175096 TILEPROP NULL_X107Y135 TYPE NULL TILEPROP NULL_X107Y136 CLASS tile TILEPROP NULL_X107Y136 COLUMN 107 TILEPROP NULL_X107Y136 DEVICE_ID 0 TILEPROP NULL_X107Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X107Y136 GRID_POINT_X 107 TILEPROP NULL_X107Y136 GRID_POINT_Y 20 TILEPROP NULL_X107Y136 INDEX 2407 TILEPROP NULL_X107Y136 INT_TILE_X -1 TILEPROP NULL_X107Y136 INT_TILE_Y -1 TILEPROP NULL_X107Y136 IS_CENTER_TILE 0 TILEPROP NULL_X107Y136 IS_DCM_TILE 0 TILEPROP NULL_X107Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y136 NAME NULL_X107Y136 TILEPROP NULL_X107Y136 NUM_ARCS 0 TILEPROP NULL_X107Y136 NUM_SITES 0 TILEPROP NULL_X107Y136 ROW 20 TILEPROP NULL_X107Y136 SLR_REGION_ID 0 TILEPROP NULL_X107Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y136 TILE_TYPE NULL TILEPROP NULL_X107Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y136 TILE_X 97238 TILEPROP NULL_X107Y136 TILE_Y 178296 TILEPROP NULL_X107Y136 TYPE NULL TILEPROP NULL_X107Y137 CLASS tile TILEPROP NULL_X107Y137 COLUMN 107 TILEPROP NULL_X107Y137 DEVICE_ID 0 TILEPROP NULL_X107Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X107Y137 GRID_POINT_X 107 TILEPROP NULL_X107Y137 GRID_POINT_Y 19 TILEPROP NULL_X107Y137 INDEX 2292 TILEPROP NULL_X107Y137 INT_TILE_X -1 TILEPROP NULL_X107Y137 INT_TILE_Y -1 TILEPROP NULL_X107Y137 IS_CENTER_TILE 0 TILEPROP NULL_X107Y137 IS_DCM_TILE 0 TILEPROP NULL_X107Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y137 NAME NULL_X107Y137 TILEPROP NULL_X107Y137 NUM_ARCS 0 TILEPROP NULL_X107Y137 NUM_SITES 0 TILEPROP NULL_X107Y137 ROW 19 TILEPROP NULL_X107Y137 SLR_REGION_ID 0 TILEPROP NULL_X107Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y137 TILE_TYPE NULL TILEPROP NULL_X107Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y137 TILE_X 97238 TILEPROP NULL_X107Y137 TILE_Y 181496 TILEPROP NULL_X107Y137 TYPE NULL TILEPROP NULL_X107Y138 CLASS tile TILEPROP NULL_X107Y138 COLUMN 107 TILEPROP NULL_X107Y138 DEVICE_ID 0 TILEPROP NULL_X107Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X107Y138 GRID_POINT_X 107 TILEPROP NULL_X107Y138 GRID_POINT_Y 18 TILEPROP NULL_X107Y138 INDEX 2177 TILEPROP NULL_X107Y138 INT_TILE_X -1 TILEPROP NULL_X107Y138 INT_TILE_Y -1 TILEPROP NULL_X107Y138 IS_CENTER_TILE 0 TILEPROP NULL_X107Y138 IS_DCM_TILE 0 TILEPROP NULL_X107Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y138 NAME NULL_X107Y138 TILEPROP NULL_X107Y138 NUM_ARCS 0 TILEPROP NULL_X107Y138 NUM_SITES 0 TILEPROP NULL_X107Y138 ROW 18 TILEPROP NULL_X107Y138 SLR_REGION_ID 0 TILEPROP NULL_X107Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y138 TILE_TYPE NULL TILEPROP NULL_X107Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y138 TILE_X 97238 TILEPROP NULL_X107Y138 TILE_Y 184696 TILEPROP NULL_X107Y138 TYPE NULL TILEPROP NULL_X107Y139 CLASS tile TILEPROP NULL_X107Y139 COLUMN 107 TILEPROP NULL_X107Y139 DEVICE_ID 0 TILEPROP NULL_X107Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X107Y139 GRID_POINT_X 107 TILEPROP NULL_X107Y139 GRID_POINT_Y 17 TILEPROP NULL_X107Y139 INDEX 2062 TILEPROP NULL_X107Y139 INT_TILE_X -1 TILEPROP NULL_X107Y139 INT_TILE_Y -1 TILEPROP NULL_X107Y139 IS_CENTER_TILE 0 TILEPROP NULL_X107Y139 IS_DCM_TILE 0 TILEPROP NULL_X107Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y139 NAME NULL_X107Y139 TILEPROP NULL_X107Y139 NUM_ARCS 0 TILEPROP NULL_X107Y139 NUM_SITES 0 TILEPROP NULL_X107Y139 ROW 17 TILEPROP NULL_X107Y139 SLR_REGION_ID 0 TILEPROP NULL_X107Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y139 TILE_TYPE NULL TILEPROP NULL_X107Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y139 TILE_X 97238 TILEPROP NULL_X107Y139 TILE_Y 187896 TILEPROP NULL_X107Y139 TYPE NULL TILEPROP NULL_X107Y140 CLASS tile TILEPROP NULL_X107Y140 COLUMN 107 TILEPROP NULL_X107Y140 DEVICE_ID 0 TILEPROP NULL_X107Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X107Y140 GRID_POINT_X 107 TILEPROP NULL_X107Y140 GRID_POINT_Y 16 TILEPROP NULL_X107Y140 INDEX 1947 TILEPROP NULL_X107Y140 INT_TILE_X -1 TILEPROP NULL_X107Y140 INT_TILE_Y -1 TILEPROP NULL_X107Y140 IS_CENTER_TILE 0 TILEPROP NULL_X107Y140 IS_DCM_TILE 0 TILEPROP NULL_X107Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y140 NAME NULL_X107Y140 TILEPROP NULL_X107Y140 NUM_ARCS 0 TILEPROP NULL_X107Y140 NUM_SITES 0 TILEPROP NULL_X107Y140 ROW 16 TILEPROP NULL_X107Y140 SLR_REGION_ID 0 TILEPROP NULL_X107Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y140 TILE_TYPE NULL TILEPROP NULL_X107Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y140 TILE_X 97238 TILEPROP NULL_X107Y140 TILE_Y 191096 TILEPROP NULL_X107Y140 TYPE NULL TILEPROP NULL_X107Y141 CLASS tile TILEPROP NULL_X107Y141 COLUMN 107 TILEPROP NULL_X107Y141 DEVICE_ID 0 TILEPROP NULL_X107Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X107Y141 GRID_POINT_X 107 TILEPROP NULL_X107Y141 GRID_POINT_Y 15 TILEPROP NULL_X107Y141 INDEX 1832 TILEPROP NULL_X107Y141 INT_TILE_X -1 TILEPROP NULL_X107Y141 INT_TILE_Y -1 TILEPROP NULL_X107Y141 IS_CENTER_TILE 0 TILEPROP NULL_X107Y141 IS_DCM_TILE 0 TILEPROP NULL_X107Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y141 NAME NULL_X107Y141 TILEPROP NULL_X107Y141 NUM_ARCS 0 TILEPROP NULL_X107Y141 NUM_SITES 0 TILEPROP NULL_X107Y141 ROW 15 TILEPROP NULL_X107Y141 SLR_REGION_ID 0 TILEPROP NULL_X107Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y141 TILE_TYPE NULL TILEPROP NULL_X107Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y141 TILE_X 97238 TILEPROP NULL_X107Y141 TILE_Y 194296 TILEPROP NULL_X107Y141 TYPE NULL TILEPROP NULL_X107Y142 CLASS tile TILEPROP NULL_X107Y142 COLUMN 107 TILEPROP NULL_X107Y142 DEVICE_ID 0 TILEPROP NULL_X107Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X107Y142 GRID_POINT_X 107 TILEPROP NULL_X107Y142 GRID_POINT_Y 14 TILEPROP NULL_X107Y142 INDEX 1717 TILEPROP NULL_X107Y142 INT_TILE_X -1 TILEPROP NULL_X107Y142 INT_TILE_Y -1 TILEPROP NULL_X107Y142 IS_CENTER_TILE 0 TILEPROP NULL_X107Y142 IS_DCM_TILE 0 TILEPROP NULL_X107Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y142 NAME NULL_X107Y142 TILEPROP NULL_X107Y142 NUM_ARCS 0 TILEPROP NULL_X107Y142 NUM_SITES 0 TILEPROP NULL_X107Y142 ROW 14 TILEPROP NULL_X107Y142 SLR_REGION_ID 0 TILEPROP NULL_X107Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y142 TILE_TYPE NULL TILEPROP NULL_X107Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y142 TILE_X 97238 TILEPROP NULL_X107Y142 TILE_Y 197496 TILEPROP NULL_X107Y142 TYPE NULL TILEPROP NULL_X107Y143 CLASS tile TILEPROP NULL_X107Y143 COLUMN 107 TILEPROP NULL_X107Y143 DEVICE_ID 0 TILEPROP NULL_X107Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X107Y143 GRID_POINT_X 107 TILEPROP NULL_X107Y143 GRID_POINT_Y 13 TILEPROP NULL_X107Y143 INDEX 1602 TILEPROP NULL_X107Y143 INT_TILE_X -1 TILEPROP NULL_X107Y143 INT_TILE_Y -1 TILEPROP NULL_X107Y143 IS_CENTER_TILE 0 TILEPROP NULL_X107Y143 IS_DCM_TILE 0 TILEPROP NULL_X107Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y143 NAME NULL_X107Y143 TILEPROP NULL_X107Y143 NUM_ARCS 0 TILEPROP NULL_X107Y143 NUM_SITES 0 TILEPROP NULL_X107Y143 ROW 13 TILEPROP NULL_X107Y143 SLR_REGION_ID 0 TILEPROP NULL_X107Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y143 TILE_TYPE NULL TILEPROP NULL_X107Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y143 TILE_X 97238 TILEPROP NULL_X107Y143 TILE_Y 200696 TILEPROP NULL_X107Y143 TYPE NULL TILEPROP NULL_X107Y144 CLASS tile TILEPROP NULL_X107Y144 COLUMN 107 TILEPROP NULL_X107Y144 DEVICE_ID 0 TILEPROP NULL_X107Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X107Y144 GRID_POINT_X 107 TILEPROP NULL_X107Y144 GRID_POINT_Y 12 TILEPROP NULL_X107Y144 INDEX 1487 TILEPROP NULL_X107Y144 INT_TILE_X -1 TILEPROP NULL_X107Y144 INT_TILE_Y -1 TILEPROP NULL_X107Y144 IS_CENTER_TILE 0 TILEPROP NULL_X107Y144 IS_DCM_TILE 0 TILEPROP NULL_X107Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y144 NAME NULL_X107Y144 TILEPROP NULL_X107Y144 NUM_ARCS 0 TILEPROP NULL_X107Y144 NUM_SITES 0 TILEPROP NULL_X107Y144 ROW 12 TILEPROP NULL_X107Y144 SLR_REGION_ID 0 TILEPROP NULL_X107Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y144 TILE_TYPE NULL TILEPROP NULL_X107Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y144 TILE_X 97238 TILEPROP NULL_X107Y144 TILE_Y 203896 TILEPROP NULL_X107Y144 TYPE NULL TILEPROP NULL_X107Y145 CLASS tile TILEPROP NULL_X107Y145 COLUMN 107 TILEPROP NULL_X107Y145 DEVICE_ID 0 TILEPROP NULL_X107Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X107Y145 GRID_POINT_X 107 TILEPROP NULL_X107Y145 GRID_POINT_Y 11 TILEPROP NULL_X107Y145 INDEX 1372 TILEPROP NULL_X107Y145 INT_TILE_X -1 TILEPROP NULL_X107Y145 INT_TILE_Y -1 TILEPROP NULL_X107Y145 IS_CENTER_TILE 0 TILEPROP NULL_X107Y145 IS_DCM_TILE 0 TILEPROP NULL_X107Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y145 NAME NULL_X107Y145 TILEPROP NULL_X107Y145 NUM_ARCS 0 TILEPROP NULL_X107Y145 NUM_SITES 0 TILEPROP NULL_X107Y145 ROW 11 TILEPROP NULL_X107Y145 SLR_REGION_ID 0 TILEPROP NULL_X107Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y145 TILE_TYPE NULL TILEPROP NULL_X107Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y145 TILE_X 97238 TILEPROP NULL_X107Y145 TILE_Y 207096 TILEPROP NULL_X107Y145 TYPE NULL TILEPROP NULL_X107Y146 CLASS tile TILEPROP NULL_X107Y146 COLUMN 107 TILEPROP NULL_X107Y146 DEVICE_ID 0 TILEPROP NULL_X107Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X107Y146 GRID_POINT_X 107 TILEPROP NULL_X107Y146 GRID_POINT_Y 10 TILEPROP NULL_X107Y146 INDEX 1257 TILEPROP NULL_X107Y146 INT_TILE_X -1 TILEPROP NULL_X107Y146 INT_TILE_Y -1 TILEPROP NULL_X107Y146 IS_CENTER_TILE 0 TILEPROP NULL_X107Y146 IS_DCM_TILE 0 TILEPROP NULL_X107Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y146 NAME NULL_X107Y146 TILEPROP NULL_X107Y146 NUM_ARCS 0 TILEPROP NULL_X107Y146 NUM_SITES 0 TILEPROP NULL_X107Y146 ROW 10 TILEPROP NULL_X107Y146 SLR_REGION_ID 0 TILEPROP NULL_X107Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y146 TILE_TYPE NULL TILEPROP NULL_X107Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y146 TILE_X 97238 TILEPROP NULL_X107Y146 TILE_Y 210296 TILEPROP NULL_X107Y146 TYPE NULL TILEPROP NULL_X107Y147 CLASS tile TILEPROP NULL_X107Y147 COLUMN 107 TILEPROP NULL_X107Y147 DEVICE_ID 0 TILEPROP NULL_X107Y147 FIRST_SITE_ID 920 TILEPROP NULL_X107Y147 GRID_POINT_X 107 TILEPROP NULL_X107Y147 GRID_POINT_Y 9 TILEPROP NULL_X107Y147 INDEX 1142 TILEPROP NULL_X107Y147 INT_TILE_X -1 TILEPROP NULL_X107Y147 INT_TILE_Y -1 TILEPROP NULL_X107Y147 IS_CENTER_TILE 0 TILEPROP NULL_X107Y147 IS_DCM_TILE 0 TILEPROP NULL_X107Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y147 NAME NULL_X107Y147 TILEPROP NULL_X107Y147 NUM_ARCS 0 TILEPROP NULL_X107Y147 NUM_SITES 0 TILEPROP NULL_X107Y147 ROW 9 TILEPROP NULL_X107Y147 SLR_REGION_ID 0 TILEPROP NULL_X107Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y147 TILE_TYPE NULL TILEPROP NULL_X107Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y147 TILE_X 97238 TILEPROP NULL_X107Y147 TILE_Y 213496 TILEPROP NULL_X107Y147 TYPE NULL TILEPROP NULL_X107Y148 CLASS tile TILEPROP NULL_X107Y148 COLUMN 107 TILEPROP NULL_X107Y148 DEVICE_ID 0 TILEPROP NULL_X107Y148 FIRST_SITE_ID 816 TILEPROP NULL_X107Y148 GRID_POINT_X 107 TILEPROP NULL_X107Y148 GRID_POINT_Y 8 TILEPROP NULL_X107Y148 INDEX 1027 TILEPROP NULL_X107Y148 INT_TILE_X -1 TILEPROP NULL_X107Y148 INT_TILE_Y -1 TILEPROP NULL_X107Y148 IS_CENTER_TILE 0 TILEPROP NULL_X107Y148 IS_DCM_TILE 0 TILEPROP NULL_X107Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y148 NAME NULL_X107Y148 TILEPROP NULL_X107Y148 NUM_ARCS 0 TILEPROP NULL_X107Y148 NUM_SITES 0 TILEPROP NULL_X107Y148 ROW 8 TILEPROP NULL_X107Y148 SLR_REGION_ID 0 TILEPROP NULL_X107Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y148 TILE_TYPE NULL TILEPROP NULL_X107Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y148 TILE_X 97238 TILEPROP NULL_X107Y148 TILE_Y 216696 TILEPROP NULL_X107Y148 TYPE NULL TILEPROP NULL_X107Y149 CLASS tile TILEPROP NULL_X107Y149 COLUMN 107 TILEPROP NULL_X107Y149 DEVICE_ID 0 TILEPROP NULL_X107Y149 FIRST_SITE_ID 719 TILEPROP NULL_X107Y149 GRID_POINT_X 107 TILEPROP NULL_X107Y149 GRID_POINT_Y 7 TILEPROP NULL_X107Y149 INDEX 912 TILEPROP NULL_X107Y149 INT_TILE_X -1 TILEPROP NULL_X107Y149 INT_TILE_Y -1 TILEPROP NULL_X107Y149 IS_CENTER_TILE 0 TILEPROP NULL_X107Y149 IS_DCM_TILE 0 TILEPROP NULL_X107Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y149 NAME NULL_X107Y149 TILEPROP NULL_X107Y149 NUM_ARCS 0 TILEPROP NULL_X107Y149 NUM_SITES 0 TILEPROP NULL_X107Y149 ROW 7 TILEPROP NULL_X107Y149 SLR_REGION_ID 0 TILEPROP NULL_X107Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y149 TILE_TYPE NULL TILEPROP NULL_X107Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y149 TILE_X 97238 TILEPROP NULL_X107Y149 TILE_Y 219896 TILEPROP NULL_X107Y149 TYPE NULL TILEPROP NULL_X107Y150 CLASS tile TILEPROP NULL_X107Y150 COLUMN 107 TILEPROP NULL_X107Y150 DEVICE_ID 0 TILEPROP NULL_X107Y150 FIRST_SITE_ID 613 TILEPROP NULL_X107Y150 GRID_POINT_X 107 TILEPROP NULL_X107Y150 GRID_POINT_Y 6 TILEPROP NULL_X107Y150 INDEX 797 TILEPROP NULL_X107Y150 INT_TILE_X -1 TILEPROP NULL_X107Y150 INT_TILE_Y -1 TILEPROP NULL_X107Y150 IS_CENTER_TILE 0 TILEPROP NULL_X107Y150 IS_DCM_TILE 0 TILEPROP NULL_X107Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y150 NAME NULL_X107Y150 TILEPROP NULL_X107Y150 NUM_ARCS 0 TILEPROP NULL_X107Y150 NUM_SITES 0 TILEPROP NULL_X107Y150 ROW 6 TILEPROP NULL_X107Y150 SLR_REGION_ID 0 TILEPROP NULL_X107Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y150 TILE_TYPE NULL TILEPROP NULL_X107Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y150 TILE_X 97238 TILEPROP NULL_X107Y150 TILE_Y 223096 TILEPROP NULL_X107Y150 TYPE NULL TILEPROP NULL_X107Y151 CLASS tile TILEPROP NULL_X107Y151 COLUMN 107 TILEPROP NULL_X107Y151 DEVICE_ID 0 TILEPROP NULL_X107Y151 FIRST_SITE_ID 512 TILEPROP NULL_X107Y151 GRID_POINT_X 107 TILEPROP NULL_X107Y151 GRID_POINT_Y 5 TILEPROP NULL_X107Y151 INDEX 682 TILEPROP NULL_X107Y151 INT_TILE_X -1 TILEPROP NULL_X107Y151 INT_TILE_Y -1 TILEPROP NULL_X107Y151 IS_CENTER_TILE 0 TILEPROP NULL_X107Y151 IS_DCM_TILE 0 TILEPROP NULL_X107Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y151 NAME NULL_X107Y151 TILEPROP NULL_X107Y151 NUM_ARCS 0 TILEPROP NULL_X107Y151 NUM_SITES 0 TILEPROP NULL_X107Y151 ROW 5 TILEPROP NULL_X107Y151 SLR_REGION_ID 0 TILEPROP NULL_X107Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y151 TILE_TYPE NULL TILEPROP NULL_X107Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y151 TILE_X 97238 TILEPROP NULL_X107Y151 TILE_Y 226296 TILEPROP NULL_X107Y151 TYPE NULL TILEPROP NULL_X107Y152 CLASS tile TILEPROP NULL_X107Y152 COLUMN 107 TILEPROP NULL_X107Y152 DEVICE_ID 0 TILEPROP NULL_X107Y152 FIRST_SITE_ID 396 TILEPROP NULL_X107Y152 GRID_POINT_X 107 TILEPROP NULL_X107Y152 GRID_POINT_Y 4 TILEPROP NULL_X107Y152 INDEX 567 TILEPROP NULL_X107Y152 INT_TILE_X -1 TILEPROP NULL_X107Y152 INT_TILE_Y -1 TILEPROP NULL_X107Y152 IS_CENTER_TILE 0 TILEPROP NULL_X107Y152 IS_DCM_TILE 0 TILEPROP NULL_X107Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y152 NAME NULL_X107Y152 TILEPROP NULL_X107Y152 NUM_ARCS 0 TILEPROP NULL_X107Y152 NUM_SITES 0 TILEPROP NULL_X107Y152 ROW 4 TILEPROP NULL_X107Y152 SLR_REGION_ID 0 TILEPROP NULL_X107Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y152 TILE_TYPE NULL TILEPROP NULL_X107Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y152 TILE_X 97238 TILEPROP NULL_X107Y152 TILE_Y 229496 TILEPROP NULL_X107Y152 TYPE NULL TILEPROP NULL_X107Y153 CLASS tile TILEPROP NULL_X107Y153 COLUMN 107 TILEPROP NULL_X107Y153 DEVICE_ID 0 TILEPROP NULL_X107Y153 FIRST_SITE_ID 300 TILEPROP NULL_X107Y153 GRID_POINT_X 107 TILEPROP NULL_X107Y153 GRID_POINT_Y 3 TILEPROP NULL_X107Y153 INDEX 452 TILEPROP NULL_X107Y153 INT_TILE_X -1 TILEPROP NULL_X107Y153 INT_TILE_Y -1 TILEPROP NULL_X107Y153 IS_CENTER_TILE 0 TILEPROP NULL_X107Y153 IS_DCM_TILE 0 TILEPROP NULL_X107Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y153 NAME NULL_X107Y153 TILEPROP NULL_X107Y153 NUM_ARCS 0 TILEPROP NULL_X107Y153 NUM_SITES 0 TILEPROP NULL_X107Y153 ROW 3 TILEPROP NULL_X107Y153 SLR_REGION_ID 0 TILEPROP NULL_X107Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y153 TILE_TYPE NULL TILEPROP NULL_X107Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y153 TILE_X 97238 TILEPROP NULL_X107Y153 TILE_Y 232696 TILEPROP NULL_X107Y153 TYPE NULL TILEPROP NULL_X107Y154 CLASS tile TILEPROP NULL_X107Y154 COLUMN 107 TILEPROP NULL_X107Y154 DEVICE_ID 0 TILEPROP NULL_X107Y154 FIRST_SITE_ID 196 TILEPROP NULL_X107Y154 GRID_POINT_X 107 TILEPROP NULL_X107Y154 GRID_POINT_Y 2 TILEPROP NULL_X107Y154 INDEX 337 TILEPROP NULL_X107Y154 INT_TILE_X -1 TILEPROP NULL_X107Y154 INT_TILE_Y -1 TILEPROP NULL_X107Y154 IS_CENTER_TILE 0 TILEPROP NULL_X107Y154 IS_DCM_TILE 0 TILEPROP NULL_X107Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y154 NAME NULL_X107Y154 TILEPROP NULL_X107Y154 NUM_ARCS 0 TILEPROP NULL_X107Y154 NUM_SITES 0 TILEPROP NULL_X107Y154 ROW 2 TILEPROP NULL_X107Y154 SLR_REGION_ID 0 TILEPROP NULL_X107Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y154 TILE_TYPE NULL TILEPROP NULL_X107Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y154 TILE_X 97238 TILEPROP NULL_X107Y154 TILE_Y 235896 TILEPROP NULL_X107Y154 TYPE NULL TILEPROP NULL_X107Y155 CLASS tile TILEPROP NULL_X107Y155 COLUMN 107 TILEPROP NULL_X107Y155 DEVICE_ID 0 TILEPROP NULL_X107Y155 FIRST_SITE_ID 100 TILEPROP NULL_X107Y155 GRID_POINT_X 107 TILEPROP NULL_X107Y155 GRID_POINT_Y 1 TILEPROP NULL_X107Y155 INDEX 222 TILEPROP NULL_X107Y155 INT_TILE_X -1 TILEPROP NULL_X107Y155 INT_TILE_Y -1 TILEPROP NULL_X107Y155 IS_CENTER_TILE 0 TILEPROP NULL_X107Y155 IS_DCM_TILE 0 TILEPROP NULL_X107Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y155 NAME NULL_X107Y155 TILEPROP NULL_X107Y155 NUM_ARCS 0 TILEPROP NULL_X107Y155 NUM_SITES 0 TILEPROP NULL_X107Y155 ROW 1 TILEPROP NULL_X107Y155 SLR_REGION_ID 0 TILEPROP NULL_X107Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y155 TILE_TYPE NULL TILEPROP NULL_X107Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y155 TILE_X 97238 TILEPROP NULL_X107Y155 TILE_Y 239096 TILEPROP NULL_X107Y155 TYPE NULL TILEPROP NULL_X107Y156 CLASS tile TILEPROP NULL_X107Y156 COLUMN 107 TILEPROP NULL_X107Y156 DEVICE_ID 0 TILEPROP NULL_X107Y156 FIRST_SITE_ID 0 TILEPROP NULL_X107Y156 GRID_POINT_X 107 TILEPROP NULL_X107Y156 GRID_POINT_Y 0 TILEPROP NULL_X107Y156 INDEX 107 TILEPROP NULL_X107Y156 INT_TILE_X -1 TILEPROP NULL_X107Y156 INT_TILE_Y -1 TILEPROP NULL_X107Y156 IS_CENTER_TILE 0 TILEPROP NULL_X107Y156 IS_DCM_TILE 0 TILEPROP NULL_X107Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X107Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X107Y156 NAME NULL_X107Y156 TILEPROP NULL_X107Y156 NUM_ARCS 0 TILEPROP NULL_X107Y156 NUM_SITES 0 TILEPROP NULL_X107Y156 ROW 0 TILEPROP NULL_X107Y156 SLR_REGION_ID 0 TILEPROP NULL_X107Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X107Y156 TILE_TYPE NULL TILEPROP NULL_X107Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X107Y156 TILE_X 97238 TILEPROP NULL_X107Y156 TILE_Y 242296 TILEPROP NULL_X107Y156 TYPE NULL TILEPROP NULL_X108Y0 CLASS tile TILEPROP NULL_X108Y0 COLUMN 108 TILEPROP NULL_X108Y0 DEVICE_ID 0 TILEPROP NULL_X108Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X108Y0 GRID_POINT_X 108 TILEPROP NULL_X108Y0 GRID_POINT_Y 156 TILEPROP NULL_X108Y0 INDEX 18048 TILEPROP NULL_X108Y0 INT_TILE_X 41 TILEPROP NULL_X108Y0 INT_TILE_Y 149 TILEPROP NULL_X108Y0 IS_CENTER_TILE 0 TILEPROP NULL_X108Y0 IS_DCM_TILE 0 TILEPROP NULL_X108Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y0 NAME NULL_X108Y0 TILEPROP NULL_X108Y0 NUM_ARCS 0 TILEPROP NULL_X108Y0 NUM_SITES 0 TILEPROP NULL_X108Y0 ROW 156 TILEPROP NULL_X108Y0 SLR_REGION_ID 0 TILEPROP NULL_X108Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y0 TILE_TYPE NULL TILEPROP NULL_X108Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y0 TILE_X 97934 TILEPROP NULL_X108Y0 TILE_Y -244472 TILEPROP NULL_X108Y0 TYPE NULL TILEPROP NULL_X108Y52 CLASS tile TILEPROP NULL_X108Y52 COLUMN 108 TILEPROP NULL_X108Y52 DEVICE_ID 0 TILEPROP NULL_X108Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X108Y52 GRID_POINT_X 108 TILEPROP NULL_X108Y52 GRID_POINT_Y 104 TILEPROP NULL_X108Y52 INDEX 12068 TILEPROP NULL_X108Y52 INT_TILE_X 41 TILEPROP NULL_X108Y52 INT_TILE_Y 99 TILEPROP NULL_X108Y52 IS_CENTER_TILE 0 TILEPROP NULL_X108Y52 IS_DCM_TILE 0 TILEPROP NULL_X108Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y52 NAME NULL_X108Y52 TILEPROP NULL_X108Y52 NUM_ARCS 0 TILEPROP NULL_X108Y52 NUM_SITES 0 TILEPROP NULL_X108Y52 ROW 104 TILEPROP NULL_X108Y52 SLR_REGION_ID 0 TILEPROP NULL_X108Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y52 TILE_TYPE NULL TILEPROP NULL_X108Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y52 TILE_X 97934 TILEPROP NULL_X108Y52 TILE_Y -80248 TILEPROP NULL_X108Y52 TYPE NULL TILEPROP NULL_X108Y104 CLASS tile TILEPROP NULL_X108Y104 COLUMN 108 TILEPROP NULL_X108Y104 DEVICE_ID 0 TILEPROP NULL_X108Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X108Y104 GRID_POINT_X 108 TILEPROP NULL_X108Y104 GRID_POINT_Y 52 TILEPROP NULL_X108Y104 INDEX 6088 TILEPROP NULL_X108Y104 INT_TILE_X -1 TILEPROP NULL_X108Y104 INT_TILE_Y -1 TILEPROP NULL_X108Y104 IS_CENTER_TILE 0 TILEPROP NULL_X108Y104 IS_DCM_TILE 0 TILEPROP NULL_X108Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y104 NAME NULL_X108Y104 TILEPROP NULL_X108Y104 NUM_ARCS 0 TILEPROP NULL_X108Y104 NUM_SITES 0 TILEPROP NULL_X108Y104 ROW 52 TILEPROP NULL_X108Y104 SLR_REGION_ID 0 TILEPROP NULL_X108Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y104 TILE_TYPE NULL TILEPROP NULL_X108Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y104 TILE_X 97934 TILEPROP NULL_X108Y104 TILE_Y 81024 TILEPROP NULL_X108Y104 TYPE NULL TILEPROP NULL_X108Y105 CLASS tile TILEPROP NULL_X108Y105 COLUMN 108 TILEPROP NULL_X108Y105 DEVICE_ID 0 TILEPROP NULL_X108Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X108Y105 GRID_POINT_X 108 TILEPROP NULL_X108Y105 GRID_POINT_Y 51 TILEPROP NULL_X108Y105 INDEX 5973 TILEPROP NULL_X108Y105 INT_TILE_X -1 TILEPROP NULL_X108Y105 INT_TILE_Y -1 TILEPROP NULL_X108Y105 IS_CENTER_TILE 0 TILEPROP NULL_X108Y105 IS_DCM_TILE 0 TILEPROP NULL_X108Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y105 NAME NULL_X108Y105 TILEPROP NULL_X108Y105 NUM_ARCS 0 TILEPROP NULL_X108Y105 NUM_SITES 0 TILEPROP NULL_X108Y105 ROW 51 TILEPROP NULL_X108Y105 SLR_REGION_ID 0 TILEPROP NULL_X108Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y105 TILE_TYPE NULL TILEPROP NULL_X108Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y105 TILE_X 97934 TILEPROP NULL_X108Y105 TILE_Y 81272 TILEPROP NULL_X108Y105 TYPE NULL TILEPROP NULL_X108Y106 CLASS tile TILEPROP NULL_X108Y106 COLUMN 108 TILEPROP NULL_X108Y106 DEVICE_ID 0 TILEPROP NULL_X108Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X108Y106 GRID_POINT_X 108 TILEPROP NULL_X108Y106 GRID_POINT_Y 50 TILEPROP NULL_X108Y106 INDEX 5858 TILEPROP NULL_X108Y106 INT_TILE_X -1 TILEPROP NULL_X108Y106 INT_TILE_Y -1 TILEPROP NULL_X108Y106 IS_CENTER_TILE 0 TILEPROP NULL_X108Y106 IS_DCM_TILE 0 TILEPROP NULL_X108Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y106 NAME NULL_X108Y106 TILEPROP NULL_X108Y106 NUM_ARCS 0 TILEPROP NULL_X108Y106 NUM_SITES 0 TILEPROP NULL_X108Y106 ROW 50 TILEPROP NULL_X108Y106 SLR_REGION_ID 0 TILEPROP NULL_X108Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y106 TILE_TYPE NULL TILEPROP NULL_X108Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y106 TILE_X 97934 TILEPROP NULL_X108Y106 TILE_Y 84472 TILEPROP NULL_X108Y106 TYPE NULL TILEPROP NULL_X108Y107 CLASS tile TILEPROP NULL_X108Y107 COLUMN 108 TILEPROP NULL_X108Y107 DEVICE_ID 0 TILEPROP NULL_X108Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X108Y107 GRID_POINT_X 108 TILEPROP NULL_X108Y107 GRID_POINT_Y 49 TILEPROP NULL_X108Y107 INDEX 5743 TILEPROP NULL_X108Y107 INT_TILE_X -1 TILEPROP NULL_X108Y107 INT_TILE_Y -1 TILEPROP NULL_X108Y107 IS_CENTER_TILE 0 TILEPROP NULL_X108Y107 IS_DCM_TILE 0 TILEPROP NULL_X108Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y107 NAME NULL_X108Y107 TILEPROP NULL_X108Y107 NUM_ARCS 0 TILEPROP NULL_X108Y107 NUM_SITES 0 TILEPROP NULL_X108Y107 ROW 49 TILEPROP NULL_X108Y107 SLR_REGION_ID 0 TILEPROP NULL_X108Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y107 TILE_TYPE NULL TILEPROP NULL_X108Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y107 TILE_X 97934 TILEPROP NULL_X108Y107 TILE_Y 87672 TILEPROP NULL_X108Y107 TYPE NULL TILEPROP NULL_X108Y108 CLASS tile TILEPROP NULL_X108Y108 COLUMN 108 TILEPROP NULL_X108Y108 DEVICE_ID 0 TILEPROP NULL_X108Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X108Y108 GRID_POINT_X 108 TILEPROP NULL_X108Y108 GRID_POINT_Y 48 TILEPROP NULL_X108Y108 INDEX 5628 TILEPROP NULL_X108Y108 INT_TILE_X -1 TILEPROP NULL_X108Y108 INT_TILE_Y -1 TILEPROP NULL_X108Y108 IS_CENTER_TILE 0 TILEPROP NULL_X108Y108 IS_DCM_TILE 0 TILEPROP NULL_X108Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y108 NAME NULL_X108Y108 TILEPROP NULL_X108Y108 NUM_ARCS 0 TILEPROP NULL_X108Y108 NUM_SITES 0 TILEPROP NULL_X108Y108 ROW 48 TILEPROP NULL_X108Y108 SLR_REGION_ID 0 TILEPROP NULL_X108Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y108 TILE_TYPE NULL TILEPROP NULL_X108Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y108 TILE_X 97934 TILEPROP NULL_X108Y108 TILE_Y 90872 TILEPROP NULL_X108Y108 TYPE NULL TILEPROP NULL_X108Y109 CLASS tile TILEPROP NULL_X108Y109 COLUMN 108 TILEPROP NULL_X108Y109 DEVICE_ID 0 TILEPROP NULL_X108Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X108Y109 GRID_POINT_X 108 TILEPROP NULL_X108Y109 GRID_POINT_Y 47 TILEPROP NULL_X108Y109 INDEX 5513 TILEPROP NULL_X108Y109 INT_TILE_X -1 TILEPROP NULL_X108Y109 INT_TILE_Y -1 TILEPROP NULL_X108Y109 IS_CENTER_TILE 0 TILEPROP NULL_X108Y109 IS_DCM_TILE 0 TILEPROP NULL_X108Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y109 NAME NULL_X108Y109 TILEPROP NULL_X108Y109 NUM_ARCS 0 TILEPROP NULL_X108Y109 NUM_SITES 0 TILEPROP NULL_X108Y109 ROW 47 TILEPROP NULL_X108Y109 SLR_REGION_ID 0 TILEPROP NULL_X108Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y109 TILE_TYPE NULL TILEPROP NULL_X108Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y109 TILE_X 97934 TILEPROP NULL_X108Y109 TILE_Y 94072 TILEPROP NULL_X108Y109 TYPE NULL TILEPROP NULL_X108Y110 CLASS tile TILEPROP NULL_X108Y110 COLUMN 108 TILEPROP NULL_X108Y110 DEVICE_ID 0 TILEPROP NULL_X108Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X108Y110 GRID_POINT_X 108 TILEPROP NULL_X108Y110 GRID_POINT_Y 46 TILEPROP NULL_X108Y110 INDEX 5398 TILEPROP NULL_X108Y110 INT_TILE_X -1 TILEPROP NULL_X108Y110 INT_TILE_Y -1 TILEPROP NULL_X108Y110 IS_CENTER_TILE 0 TILEPROP NULL_X108Y110 IS_DCM_TILE 0 TILEPROP NULL_X108Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y110 NAME NULL_X108Y110 TILEPROP NULL_X108Y110 NUM_ARCS 0 TILEPROP NULL_X108Y110 NUM_SITES 0 TILEPROP NULL_X108Y110 ROW 46 TILEPROP NULL_X108Y110 SLR_REGION_ID 0 TILEPROP NULL_X108Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y110 TILE_TYPE NULL TILEPROP NULL_X108Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y110 TILE_X 97934 TILEPROP NULL_X108Y110 TILE_Y 97272 TILEPROP NULL_X108Y110 TYPE NULL TILEPROP NULL_X108Y111 CLASS tile TILEPROP NULL_X108Y111 COLUMN 108 TILEPROP NULL_X108Y111 DEVICE_ID 0 TILEPROP NULL_X108Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X108Y111 GRID_POINT_X 108 TILEPROP NULL_X108Y111 GRID_POINT_Y 45 TILEPROP NULL_X108Y111 INDEX 5283 TILEPROP NULL_X108Y111 INT_TILE_X -1 TILEPROP NULL_X108Y111 INT_TILE_Y -1 TILEPROP NULL_X108Y111 IS_CENTER_TILE 0 TILEPROP NULL_X108Y111 IS_DCM_TILE 0 TILEPROP NULL_X108Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y111 NAME NULL_X108Y111 TILEPROP NULL_X108Y111 NUM_ARCS 0 TILEPROP NULL_X108Y111 NUM_SITES 0 TILEPROP NULL_X108Y111 ROW 45 TILEPROP NULL_X108Y111 SLR_REGION_ID 0 TILEPROP NULL_X108Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y111 TILE_TYPE NULL TILEPROP NULL_X108Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y111 TILE_X 97934 TILEPROP NULL_X108Y111 TILE_Y 100472 TILEPROP NULL_X108Y111 TYPE NULL TILEPROP NULL_X108Y112 CLASS tile TILEPROP NULL_X108Y112 COLUMN 108 TILEPROP NULL_X108Y112 DEVICE_ID 0 TILEPROP NULL_X108Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X108Y112 GRID_POINT_X 108 TILEPROP NULL_X108Y112 GRID_POINT_Y 44 TILEPROP NULL_X108Y112 INDEX 5168 TILEPROP NULL_X108Y112 INT_TILE_X -1 TILEPROP NULL_X108Y112 INT_TILE_Y -1 TILEPROP NULL_X108Y112 IS_CENTER_TILE 0 TILEPROP NULL_X108Y112 IS_DCM_TILE 0 TILEPROP NULL_X108Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y112 NAME NULL_X108Y112 TILEPROP NULL_X108Y112 NUM_ARCS 0 TILEPROP NULL_X108Y112 NUM_SITES 0 TILEPROP NULL_X108Y112 ROW 44 TILEPROP NULL_X108Y112 SLR_REGION_ID 0 TILEPROP NULL_X108Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y112 TILE_TYPE NULL TILEPROP NULL_X108Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y112 TILE_X 97934 TILEPROP NULL_X108Y112 TILE_Y 103672 TILEPROP NULL_X108Y112 TYPE NULL TILEPROP NULL_X108Y113 CLASS tile TILEPROP NULL_X108Y113 COLUMN 108 TILEPROP NULL_X108Y113 DEVICE_ID 0 TILEPROP NULL_X108Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X108Y113 GRID_POINT_X 108 TILEPROP NULL_X108Y113 GRID_POINT_Y 43 TILEPROP NULL_X108Y113 INDEX 5053 TILEPROP NULL_X108Y113 INT_TILE_X -1 TILEPROP NULL_X108Y113 INT_TILE_Y -1 TILEPROP NULL_X108Y113 IS_CENTER_TILE 0 TILEPROP NULL_X108Y113 IS_DCM_TILE 0 TILEPROP NULL_X108Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y113 NAME NULL_X108Y113 TILEPROP NULL_X108Y113 NUM_ARCS 0 TILEPROP NULL_X108Y113 NUM_SITES 0 TILEPROP NULL_X108Y113 ROW 43 TILEPROP NULL_X108Y113 SLR_REGION_ID 0 TILEPROP NULL_X108Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y113 TILE_TYPE NULL TILEPROP NULL_X108Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y113 TILE_X 97934 TILEPROP NULL_X108Y113 TILE_Y 106872 TILEPROP NULL_X108Y113 TYPE NULL TILEPROP NULL_X108Y114 CLASS tile TILEPROP NULL_X108Y114 COLUMN 108 TILEPROP NULL_X108Y114 DEVICE_ID 0 TILEPROP NULL_X108Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X108Y114 GRID_POINT_X 108 TILEPROP NULL_X108Y114 GRID_POINT_Y 42 TILEPROP NULL_X108Y114 INDEX 4938 TILEPROP NULL_X108Y114 INT_TILE_X -1 TILEPROP NULL_X108Y114 INT_TILE_Y -1 TILEPROP NULL_X108Y114 IS_CENTER_TILE 0 TILEPROP NULL_X108Y114 IS_DCM_TILE 0 TILEPROP NULL_X108Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y114 NAME NULL_X108Y114 TILEPROP NULL_X108Y114 NUM_ARCS 0 TILEPROP NULL_X108Y114 NUM_SITES 0 TILEPROP NULL_X108Y114 ROW 42 TILEPROP NULL_X108Y114 SLR_REGION_ID 0 TILEPROP NULL_X108Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y114 TILE_TYPE NULL TILEPROP NULL_X108Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y114 TILE_X 97934 TILEPROP NULL_X108Y114 TILE_Y 110072 TILEPROP NULL_X108Y114 TYPE NULL TILEPROP NULL_X108Y115 CLASS tile TILEPROP NULL_X108Y115 COLUMN 108 TILEPROP NULL_X108Y115 DEVICE_ID 0 TILEPROP NULL_X108Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X108Y115 GRID_POINT_X 108 TILEPROP NULL_X108Y115 GRID_POINT_Y 41 TILEPROP NULL_X108Y115 INDEX 4823 TILEPROP NULL_X108Y115 INT_TILE_X -1 TILEPROP NULL_X108Y115 INT_TILE_Y -1 TILEPROP NULL_X108Y115 IS_CENTER_TILE 0 TILEPROP NULL_X108Y115 IS_DCM_TILE 0 TILEPROP NULL_X108Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y115 NAME NULL_X108Y115 TILEPROP NULL_X108Y115 NUM_ARCS 0 TILEPROP NULL_X108Y115 NUM_SITES 0 TILEPROP NULL_X108Y115 ROW 41 TILEPROP NULL_X108Y115 SLR_REGION_ID 0 TILEPROP NULL_X108Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y115 TILE_TYPE NULL TILEPROP NULL_X108Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y115 TILE_X 97934 TILEPROP NULL_X108Y115 TILE_Y 113272 TILEPROP NULL_X108Y115 TYPE NULL TILEPROP NULL_X108Y116 CLASS tile TILEPROP NULL_X108Y116 COLUMN 108 TILEPROP NULL_X108Y116 DEVICE_ID 0 TILEPROP NULL_X108Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X108Y116 GRID_POINT_X 108 TILEPROP NULL_X108Y116 GRID_POINT_Y 40 TILEPROP NULL_X108Y116 INDEX 4708 TILEPROP NULL_X108Y116 INT_TILE_X -1 TILEPROP NULL_X108Y116 INT_TILE_Y -1 TILEPROP NULL_X108Y116 IS_CENTER_TILE 0 TILEPROP NULL_X108Y116 IS_DCM_TILE 0 TILEPROP NULL_X108Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y116 NAME NULL_X108Y116 TILEPROP NULL_X108Y116 NUM_ARCS 0 TILEPROP NULL_X108Y116 NUM_SITES 0 TILEPROP NULL_X108Y116 ROW 40 TILEPROP NULL_X108Y116 SLR_REGION_ID 0 TILEPROP NULL_X108Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y116 TILE_TYPE NULL TILEPROP NULL_X108Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y116 TILE_X 97934 TILEPROP NULL_X108Y116 TILE_Y 116472 TILEPROP NULL_X108Y116 TYPE NULL TILEPROP NULL_X108Y117 CLASS tile TILEPROP NULL_X108Y117 COLUMN 108 TILEPROP NULL_X108Y117 DEVICE_ID 0 TILEPROP NULL_X108Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X108Y117 GRID_POINT_X 108 TILEPROP NULL_X108Y117 GRID_POINT_Y 39 TILEPROP NULL_X108Y117 INDEX 4593 TILEPROP NULL_X108Y117 INT_TILE_X -1 TILEPROP NULL_X108Y117 INT_TILE_Y -1 TILEPROP NULL_X108Y117 IS_CENTER_TILE 0 TILEPROP NULL_X108Y117 IS_DCM_TILE 0 TILEPROP NULL_X108Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y117 NAME NULL_X108Y117 TILEPROP NULL_X108Y117 NUM_ARCS 0 TILEPROP NULL_X108Y117 NUM_SITES 0 TILEPROP NULL_X108Y117 ROW 39 TILEPROP NULL_X108Y117 SLR_REGION_ID 0 TILEPROP NULL_X108Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y117 TILE_TYPE NULL TILEPROP NULL_X108Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y117 TILE_X 97934 TILEPROP NULL_X108Y117 TILE_Y 119672 TILEPROP NULL_X108Y117 TYPE NULL TILEPROP NULL_X108Y118 CLASS tile TILEPROP NULL_X108Y118 COLUMN 108 TILEPROP NULL_X108Y118 DEVICE_ID 0 TILEPROP NULL_X108Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X108Y118 GRID_POINT_X 108 TILEPROP NULL_X108Y118 GRID_POINT_Y 38 TILEPROP NULL_X108Y118 INDEX 4478 TILEPROP NULL_X108Y118 INT_TILE_X -1 TILEPROP NULL_X108Y118 INT_TILE_Y -1 TILEPROP NULL_X108Y118 IS_CENTER_TILE 0 TILEPROP NULL_X108Y118 IS_DCM_TILE 0 TILEPROP NULL_X108Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y118 NAME NULL_X108Y118 TILEPROP NULL_X108Y118 NUM_ARCS 0 TILEPROP NULL_X108Y118 NUM_SITES 0 TILEPROP NULL_X108Y118 ROW 38 TILEPROP NULL_X108Y118 SLR_REGION_ID 0 TILEPROP NULL_X108Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y118 TILE_TYPE NULL TILEPROP NULL_X108Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y118 TILE_X 97934 TILEPROP NULL_X108Y118 TILE_Y 122872 TILEPROP NULL_X108Y118 TYPE NULL TILEPROP NULL_X108Y119 CLASS tile TILEPROP NULL_X108Y119 COLUMN 108 TILEPROP NULL_X108Y119 DEVICE_ID 0 TILEPROP NULL_X108Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X108Y119 GRID_POINT_X 108 TILEPROP NULL_X108Y119 GRID_POINT_Y 37 TILEPROP NULL_X108Y119 INDEX 4363 TILEPROP NULL_X108Y119 INT_TILE_X -1 TILEPROP NULL_X108Y119 INT_TILE_Y -1 TILEPROP NULL_X108Y119 IS_CENTER_TILE 0 TILEPROP NULL_X108Y119 IS_DCM_TILE 0 TILEPROP NULL_X108Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y119 NAME NULL_X108Y119 TILEPROP NULL_X108Y119 NUM_ARCS 0 TILEPROP NULL_X108Y119 NUM_SITES 0 TILEPROP NULL_X108Y119 ROW 37 TILEPROP NULL_X108Y119 SLR_REGION_ID 0 TILEPROP NULL_X108Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y119 TILE_TYPE NULL TILEPROP NULL_X108Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y119 TILE_X 97934 TILEPROP NULL_X108Y119 TILE_Y 126072 TILEPROP NULL_X108Y119 TYPE NULL TILEPROP NULL_X108Y120 CLASS tile TILEPROP NULL_X108Y120 COLUMN 108 TILEPROP NULL_X108Y120 DEVICE_ID 0 TILEPROP NULL_X108Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X108Y120 GRID_POINT_X 108 TILEPROP NULL_X108Y120 GRID_POINT_Y 36 TILEPROP NULL_X108Y120 INDEX 4248 TILEPROP NULL_X108Y120 INT_TILE_X -1 TILEPROP NULL_X108Y120 INT_TILE_Y -1 TILEPROP NULL_X108Y120 IS_CENTER_TILE 0 TILEPROP NULL_X108Y120 IS_DCM_TILE 0 TILEPROP NULL_X108Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y120 NAME NULL_X108Y120 TILEPROP NULL_X108Y120 NUM_ARCS 0 TILEPROP NULL_X108Y120 NUM_SITES 0 TILEPROP NULL_X108Y120 ROW 36 TILEPROP NULL_X108Y120 SLR_REGION_ID 0 TILEPROP NULL_X108Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y120 TILE_TYPE NULL TILEPROP NULL_X108Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y120 TILE_X 97934 TILEPROP NULL_X108Y120 TILE_Y 129272 TILEPROP NULL_X108Y120 TYPE NULL TILEPROP NULL_X108Y121 CLASS tile TILEPROP NULL_X108Y121 COLUMN 108 TILEPROP NULL_X108Y121 DEVICE_ID 0 TILEPROP NULL_X108Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X108Y121 GRID_POINT_X 108 TILEPROP NULL_X108Y121 GRID_POINT_Y 35 TILEPROP NULL_X108Y121 INDEX 4133 TILEPROP NULL_X108Y121 INT_TILE_X -1 TILEPROP NULL_X108Y121 INT_TILE_Y -1 TILEPROP NULL_X108Y121 IS_CENTER_TILE 0 TILEPROP NULL_X108Y121 IS_DCM_TILE 0 TILEPROP NULL_X108Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y121 NAME NULL_X108Y121 TILEPROP NULL_X108Y121 NUM_ARCS 0 TILEPROP NULL_X108Y121 NUM_SITES 0 TILEPROP NULL_X108Y121 ROW 35 TILEPROP NULL_X108Y121 SLR_REGION_ID 0 TILEPROP NULL_X108Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y121 TILE_TYPE NULL TILEPROP NULL_X108Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y121 TILE_X 97934 TILEPROP NULL_X108Y121 TILE_Y 132472 TILEPROP NULL_X108Y121 TYPE NULL TILEPROP NULL_X108Y122 CLASS tile TILEPROP NULL_X108Y122 COLUMN 108 TILEPROP NULL_X108Y122 DEVICE_ID 0 TILEPROP NULL_X108Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X108Y122 GRID_POINT_X 108 TILEPROP NULL_X108Y122 GRID_POINT_Y 34 TILEPROP NULL_X108Y122 INDEX 4018 TILEPROP NULL_X108Y122 INT_TILE_X -1 TILEPROP NULL_X108Y122 INT_TILE_Y -1 TILEPROP NULL_X108Y122 IS_CENTER_TILE 0 TILEPROP NULL_X108Y122 IS_DCM_TILE 0 TILEPROP NULL_X108Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y122 NAME NULL_X108Y122 TILEPROP NULL_X108Y122 NUM_ARCS 0 TILEPROP NULL_X108Y122 NUM_SITES 0 TILEPROP NULL_X108Y122 ROW 34 TILEPROP NULL_X108Y122 SLR_REGION_ID 0 TILEPROP NULL_X108Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y122 TILE_TYPE NULL TILEPROP NULL_X108Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y122 TILE_X 97934 TILEPROP NULL_X108Y122 TILE_Y 135672 TILEPROP NULL_X108Y122 TYPE NULL TILEPROP NULL_X108Y123 CLASS tile TILEPROP NULL_X108Y123 COLUMN 108 TILEPROP NULL_X108Y123 DEVICE_ID 0 TILEPROP NULL_X108Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X108Y123 GRID_POINT_X 108 TILEPROP NULL_X108Y123 GRID_POINT_Y 33 TILEPROP NULL_X108Y123 INDEX 3903 TILEPROP NULL_X108Y123 INT_TILE_X -1 TILEPROP NULL_X108Y123 INT_TILE_Y -1 TILEPROP NULL_X108Y123 IS_CENTER_TILE 0 TILEPROP NULL_X108Y123 IS_DCM_TILE 0 TILEPROP NULL_X108Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y123 NAME NULL_X108Y123 TILEPROP NULL_X108Y123 NUM_ARCS 0 TILEPROP NULL_X108Y123 NUM_SITES 0 TILEPROP NULL_X108Y123 ROW 33 TILEPROP NULL_X108Y123 SLR_REGION_ID 0 TILEPROP NULL_X108Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y123 TILE_TYPE NULL TILEPROP NULL_X108Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y123 TILE_X 97934 TILEPROP NULL_X108Y123 TILE_Y 138872 TILEPROP NULL_X108Y123 TYPE NULL TILEPROP NULL_X108Y124 CLASS tile TILEPROP NULL_X108Y124 COLUMN 108 TILEPROP NULL_X108Y124 DEVICE_ID 0 TILEPROP NULL_X108Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X108Y124 GRID_POINT_X 108 TILEPROP NULL_X108Y124 GRID_POINT_Y 32 TILEPROP NULL_X108Y124 INDEX 3788 TILEPROP NULL_X108Y124 INT_TILE_X -1 TILEPROP NULL_X108Y124 INT_TILE_Y -1 TILEPROP NULL_X108Y124 IS_CENTER_TILE 0 TILEPROP NULL_X108Y124 IS_DCM_TILE 0 TILEPROP NULL_X108Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y124 NAME NULL_X108Y124 TILEPROP NULL_X108Y124 NUM_ARCS 0 TILEPROP NULL_X108Y124 NUM_SITES 0 TILEPROP NULL_X108Y124 ROW 32 TILEPROP NULL_X108Y124 SLR_REGION_ID 0 TILEPROP NULL_X108Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y124 TILE_TYPE NULL TILEPROP NULL_X108Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y124 TILE_X 97934 TILEPROP NULL_X108Y124 TILE_Y 142072 TILEPROP NULL_X108Y124 TYPE NULL TILEPROP NULL_X108Y125 CLASS tile TILEPROP NULL_X108Y125 COLUMN 108 TILEPROP NULL_X108Y125 DEVICE_ID 0 TILEPROP NULL_X108Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X108Y125 GRID_POINT_X 108 TILEPROP NULL_X108Y125 GRID_POINT_Y 31 TILEPROP NULL_X108Y125 INDEX 3673 TILEPROP NULL_X108Y125 INT_TILE_X -1 TILEPROP NULL_X108Y125 INT_TILE_Y -1 TILEPROP NULL_X108Y125 IS_CENTER_TILE 0 TILEPROP NULL_X108Y125 IS_DCM_TILE 0 TILEPROP NULL_X108Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y125 NAME NULL_X108Y125 TILEPROP NULL_X108Y125 NUM_ARCS 0 TILEPROP NULL_X108Y125 NUM_SITES 0 TILEPROP NULL_X108Y125 ROW 31 TILEPROP NULL_X108Y125 SLR_REGION_ID 0 TILEPROP NULL_X108Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y125 TILE_TYPE NULL TILEPROP NULL_X108Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y125 TILE_X 97934 TILEPROP NULL_X108Y125 TILE_Y 145272 TILEPROP NULL_X108Y125 TYPE NULL TILEPROP NULL_X108Y126 CLASS tile TILEPROP NULL_X108Y126 COLUMN 108 TILEPROP NULL_X108Y126 DEVICE_ID 0 TILEPROP NULL_X108Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X108Y126 GRID_POINT_X 108 TILEPROP NULL_X108Y126 GRID_POINT_Y 30 TILEPROP NULL_X108Y126 INDEX 3558 TILEPROP NULL_X108Y126 INT_TILE_X -1 TILEPROP NULL_X108Y126 INT_TILE_Y -1 TILEPROP NULL_X108Y126 IS_CENTER_TILE 0 TILEPROP NULL_X108Y126 IS_DCM_TILE 0 TILEPROP NULL_X108Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y126 NAME NULL_X108Y126 TILEPROP NULL_X108Y126 NUM_ARCS 0 TILEPROP NULL_X108Y126 NUM_SITES 0 TILEPROP NULL_X108Y126 ROW 30 TILEPROP NULL_X108Y126 SLR_REGION_ID 0 TILEPROP NULL_X108Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y126 TILE_TYPE NULL TILEPROP NULL_X108Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y126 TILE_X 97934 TILEPROP NULL_X108Y126 TILE_Y 148472 TILEPROP NULL_X108Y126 TYPE NULL TILEPROP NULL_X108Y127 CLASS tile TILEPROP NULL_X108Y127 COLUMN 108 TILEPROP NULL_X108Y127 DEVICE_ID 0 TILEPROP NULL_X108Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X108Y127 GRID_POINT_X 108 TILEPROP NULL_X108Y127 GRID_POINT_Y 29 TILEPROP NULL_X108Y127 INDEX 3443 TILEPROP NULL_X108Y127 INT_TILE_X -1 TILEPROP NULL_X108Y127 INT_TILE_Y -1 TILEPROP NULL_X108Y127 IS_CENTER_TILE 0 TILEPROP NULL_X108Y127 IS_DCM_TILE 0 TILEPROP NULL_X108Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y127 NAME NULL_X108Y127 TILEPROP NULL_X108Y127 NUM_ARCS 0 TILEPROP NULL_X108Y127 NUM_SITES 0 TILEPROP NULL_X108Y127 ROW 29 TILEPROP NULL_X108Y127 SLR_REGION_ID 0 TILEPROP NULL_X108Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y127 TILE_TYPE NULL TILEPROP NULL_X108Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y127 TILE_X 97934 TILEPROP NULL_X108Y127 TILE_Y 151672 TILEPROP NULL_X108Y127 TYPE NULL TILEPROP NULL_X108Y128 CLASS tile TILEPROP NULL_X108Y128 COLUMN 108 TILEPROP NULL_X108Y128 DEVICE_ID 0 TILEPROP NULL_X108Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X108Y128 GRID_POINT_X 108 TILEPROP NULL_X108Y128 GRID_POINT_Y 28 TILEPROP NULL_X108Y128 INDEX 3328 TILEPROP NULL_X108Y128 INT_TILE_X -1 TILEPROP NULL_X108Y128 INT_TILE_Y -1 TILEPROP NULL_X108Y128 IS_CENTER_TILE 0 TILEPROP NULL_X108Y128 IS_DCM_TILE 0 TILEPROP NULL_X108Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y128 NAME NULL_X108Y128 TILEPROP NULL_X108Y128 NUM_ARCS 0 TILEPROP NULL_X108Y128 NUM_SITES 0 TILEPROP NULL_X108Y128 ROW 28 TILEPROP NULL_X108Y128 SLR_REGION_ID 0 TILEPROP NULL_X108Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y128 TILE_TYPE NULL TILEPROP NULL_X108Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y128 TILE_X 97934 TILEPROP NULL_X108Y128 TILE_Y 154872 TILEPROP NULL_X108Y128 TYPE NULL TILEPROP NULL_X108Y129 CLASS tile TILEPROP NULL_X108Y129 COLUMN 108 TILEPROP NULL_X108Y129 DEVICE_ID 0 TILEPROP NULL_X108Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X108Y129 GRID_POINT_X 108 TILEPROP NULL_X108Y129 GRID_POINT_Y 27 TILEPROP NULL_X108Y129 INDEX 3213 TILEPROP NULL_X108Y129 INT_TILE_X -1 TILEPROP NULL_X108Y129 INT_TILE_Y -1 TILEPROP NULL_X108Y129 IS_CENTER_TILE 0 TILEPROP NULL_X108Y129 IS_DCM_TILE 0 TILEPROP NULL_X108Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y129 NAME NULL_X108Y129 TILEPROP NULL_X108Y129 NUM_ARCS 0 TILEPROP NULL_X108Y129 NUM_SITES 0 TILEPROP NULL_X108Y129 ROW 27 TILEPROP NULL_X108Y129 SLR_REGION_ID 0 TILEPROP NULL_X108Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y129 TILE_TYPE NULL TILEPROP NULL_X108Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y129 TILE_X 97934 TILEPROP NULL_X108Y129 TILE_Y 158072 TILEPROP NULL_X108Y129 TYPE NULL TILEPROP NULL_X108Y130 CLASS tile TILEPROP NULL_X108Y130 COLUMN 108 TILEPROP NULL_X108Y130 DEVICE_ID 0 TILEPROP NULL_X108Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X108Y130 GRID_POINT_X 108 TILEPROP NULL_X108Y130 GRID_POINT_Y 26 TILEPROP NULL_X108Y130 INDEX 3098 TILEPROP NULL_X108Y130 INT_TILE_X -1 TILEPROP NULL_X108Y130 INT_TILE_Y -1 TILEPROP NULL_X108Y130 IS_CENTER_TILE 0 TILEPROP NULL_X108Y130 IS_DCM_TILE 0 TILEPROP NULL_X108Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y130 NAME NULL_X108Y130 TILEPROP NULL_X108Y130 NUM_ARCS 0 TILEPROP NULL_X108Y130 NUM_SITES 0 TILEPROP NULL_X108Y130 ROW 26 TILEPROP NULL_X108Y130 SLR_REGION_ID 0 TILEPROP NULL_X108Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y130 TILE_TYPE NULL TILEPROP NULL_X108Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y130 TILE_X 97934 TILEPROP NULL_X108Y130 TILE_Y 161272 TILEPROP NULL_X108Y130 TYPE NULL TILEPROP NULL_X108Y131 CLASS tile TILEPROP NULL_X108Y131 COLUMN 108 TILEPROP NULL_X108Y131 DEVICE_ID 0 TILEPROP NULL_X108Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X108Y131 GRID_POINT_X 108 TILEPROP NULL_X108Y131 GRID_POINT_Y 25 TILEPROP NULL_X108Y131 INDEX 2983 TILEPROP NULL_X108Y131 INT_TILE_X -1 TILEPROP NULL_X108Y131 INT_TILE_Y -1 TILEPROP NULL_X108Y131 IS_CENTER_TILE 0 TILEPROP NULL_X108Y131 IS_DCM_TILE 0 TILEPROP NULL_X108Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y131 NAME NULL_X108Y131 TILEPROP NULL_X108Y131 NUM_ARCS 0 TILEPROP NULL_X108Y131 NUM_SITES 0 TILEPROP NULL_X108Y131 ROW 25 TILEPROP NULL_X108Y131 SLR_REGION_ID 0 TILEPROP NULL_X108Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y131 TILE_TYPE NULL TILEPROP NULL_X108Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y131 TILE_X 97934 TILEPROP NULL_X108Y131 TILE_Y 162296 TILEPROP NULL_X108Y131 TYPE NULL TILEPROP NULL_X108Y132 CLASS tile TILEPROP NULL_X108Y132 COLUMN 108 TILEPROP NULL_X108Y132 DEVICE_ID 0 TILEPROP NULL_X108Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X108Y132 GRID_POINT_X 108 TILEPROP NULL_X108Y132 GRID_POINT_Y 24 TILEPROP NULL_X108Y132 INDEX 2868 TILEPROP NULL_X108Y132 INT_TILE_X -1 TILEPROP NULL_X108Y132 INT_TILE_Y -1 TILEPROP NULL_X108Y132 IS_CENTER_TILE 0 TILEPROP NULL_X108Y132 IS_DCM_TILE 0 TILEPROP NULL_X108Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y132 NAME NULL_X108Y132 TILEPROP NULL_X108Y132 NUM_ARCS 0 TILEPROP NULL_X108Y132 NUM_SITES 0 TILEPROP NULL_X108Y132 ROW 24 TILEPROP NULL_X108Y132 SLR_REGION_ID 0 TILEPROP NULL_X108Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y132 TILE_TYPE NULL TILEPROP NULL_X108Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y132 TILE_X 97934 TILEPROP NULL_X108Y132 TILE_Y 165496 TILEPROP NULL_X108Y132 TYPE NULL TILEPROP NULL_X108Y133 CLASS tile TILEPROP NULL_X108Y133 COLUMN 108 TILEPROP NULL_X108Y133 DEVICE_ID 0 TILEPROP NULL_X108Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X108Y133 GRID_POINT_X 108 TILEPROP NULL_X108Y133 GRID_POINT_Y 23 TILEPROP NULL_X108Y133 INDEX 2753 TILEPROP NULL_X108Y133 INT_TILE_X -1 TILEPROP NULL_X108Y133 INT_TILE_Y -1 TILEPROP NULL_X108Y133 IS_CENTER_TILE 0 TILEPROP NULL_X108Y133 IS_DCM_TILE 0 TILEPROP NULL_X108Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y133 NAME NULL_X108Y133 TILEPROP NULL_X108Y133 NUM_ARCS 0 TILEPROP NULL_X108Y133 NUM_SITES 0 TILEPROP NULL_X108Y133 ROW 23 TILEPROP NULL_X108Y133 SLR_REGION_ID 0 TILEPROP NULL_X108Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y133 TILE_TYPE NULL TILEPROP NULL_X108Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y133 TILE_X 97934 TILEPROP NULL_X108Y133 TILE_Y 168696 TILEPROP NULL_X108Y133 TYPE NULL TILEPROP NULL_X108Y134 CLASS tile TILEPROP NULL_X108Y134 COLUMN 108 TILEPROP NULL_X108Y134 DEVICE_ID 0 TILEPROP NULL_X108Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X108Y134 GRID_POINT_X 108 TILEPROP NULL_X108Y134 GRID_POINT_Y 22 TILEPROP NULL_X108Y134 INDEX 2638 TILEPROP NULL_X108Y134 INT_TILE_X -1 TILEPROP NULL_X108Y134 INT_TILE_Y -1 TILEPROP NULL_X108Y134 IS_CENTER_TILE 0 TILEPROP NULL_X108Y134 IS_DCM_TILE 0 TILEPROP NULL_X108Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y134 NAME NULL_X108Y134 TILEPROP NULL_X108Y134 NUM_ARCS 0 TILEPROP NULL_X108Y134 NUM_SITES 0 TILEPROP NULL_X108Y134 ROW 22 TILEPROP NULL_X108Y134 SLR_REGION_ID 0 TILEPROP NULL_X108Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y134 TILE_TYPE NULL TILEPROP NULL_X108Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y134 TILE_X 97934 TILEPROP NULL_X108Y134 TILE_Y 171896 TILEPROP NULL_X108Y134 TYPE NULL TILEPROP NULL_X108Y135 CLASS tile TILEPROP NULL_X108Y135 COLUMN 108 TILEPROP NULL_X108Y135 DEVICE_ID 0 TILEPROP NULL_X108Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X108Y135 GRID_POINT_X 108 TILEPROP NULL_X108Y135 GRID_POINT_Y 21 TILEPROP NULL_X108Y135 INDEX 2523 TILEPROP NULL_X108Y135 INT_TILE_X -1 TILEPROP NULL_X108Y135 INT_TILE_Y -1 TILEPROP NULL_X108Y135 IS_CENTER_TILE 0 TILEPROP NULL_X108Y135 IS_DCM_TILE 0 TILEPROP NULL_X108Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y135 NAME NULL_X108Y135 TILEPROP NULL_X108Y135 NUM_ARCS 0 TILEPROP NULL_X108Y135 NUM_SITES 0 TILEPROP NULL_X108Y135 ROW 21 TILEPROP NULL_X108Y135 SLR_REGION_ID 0 TILEPROP NULL_X108Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y135 TILE_TYPE NULL TILEPROP NULL_X108Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y135 TILE_X 97934 TILEPROP NULL_X108Y135 TILE_Y 175096 TILEPROP NULL_X108Y135 TYPE NULL TILEPROP NULL_X108Y136 CLASS tile TILEPROP NULL_X108Y136 COLUMN 108 TILEPROP NULL_X108Y136 DEVICE_ID 0 TILEPROP NULL_X108Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X108Y136 GRID_POINT_X 108 TILEPROP NULL_X108Y136 GRID_POINT_Y 20 TILEPROP NULL_X108Y136 INDEX 2408 TILEPROP NULL_X108Y136 INT_TILE_X -1 TILEPROP NULL_X108Y136 INT_TILE_Y -1 TILEPROP NULL_X108Y136 IS_CENTER_TILE 0 TILEPROP NULL_X108Y136 IS_DCM_TILE 0 TILEPROP NULL_X108Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y136 NAME NULL_X108Y136 TILEPROP NULL_X108Y136 NUM_ARCS 0 TILEPROP NULL_X108Y136 NUM_SITES 0 TILEPROP NULL_X108Y136 ROW 20 TILEPROP NULL_X108Y136 SLR_REGION_ID 0 TILEPROP NULL_X108Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y136 TILE_TYPE NULL TILEPROP NULL_X108Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y136 TILE_X 97934 TILEPROP NULL_X108Y136 TILE_Y 178296 TILEPROP NULL_X108Y136 TYPE NULL TILEPROP NULL_X108Y137 CLASS tile TILEPROP NULL_X108Y137 COLUMN 108 TILEPROP NULL_X108Y137 DEVICE_ID 0 TILEPROP NULL_X108Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X108Y137 GRID_POINT_X 108 TILEPROP NULL_X108Y137 GRID_POINT_Y 19 TILEPROP NULL_X108Y137 INDEX 2293 TILEPROP NULL_X108Y137 INT_TILE_X -1 TILEPROP NULL_X108Y137 INT_TILE_Y -1 TILEPROP NULL_X108Y137 IS_CENTER_TILE 0 TILEPROP NULL_X108Y137 IS_DCM_TILE 0 TILEPROP NULL_X108Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y137 NAME NULL_X108Y137 TILEPROP NULL_X108Y137 NUM_ARCS 0 TILEPROP NULL_X108Y137 NUM_SITES 0 TILEPROP NULL_X108Y137 ROW 19 TILEPROP NULL_X108Y137 SLR_REGION_ID 0 TILEPROP NULL_X108Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y137 TILE_TYPE NULL TILEPROP NULL_X108Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y137 TILE_X 97934 TILEPROP NULL_X108Y137 TILE_Y 181496 TILEPROP NULL_X108Y137 TYPE NULL TILEPROP NULL_X108Y138 CLASS tile TILEPROP NULL_X108Y138 COLUMN 108 TILEPROP NULL_X108Y138 DEVICE_ID 0 TILEPROP NULL_X108Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X108Y138 GRID_POINT_X 108 TILEPROP NULL_X108Y138 GRID_POINT_Y 18 TILEPROP NULL_X108Y138 INDEX 2178 TILEPROP NULL_X108Y138 INT_TILE_X -1 TILEPROP NULL_X108Y138 INT_TILE_Y -1 TILEPROP NULL_X108Y138 IS_CENTER_TILE 0 TILEPROP NULL_X108Y138 IS_DCM_TILE 0 TILEPROP NULL_X108Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y138 NAME NULL_X108Y138 TILEPROP NULL_X108Y138 NUM_ARCS 0 TILEPROP NULL_X108Y138 NUM_SITES 0 TILEPROP NULL_X108Y138 ROW 18 TILEPROP NULL_X108Y138 SLR_REGION_ID 0 TILEPROP NULL_X108Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y138 TILE_TYPE NULL TILEPROP NULL_X108Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y138 TILE_X 97934 TILEPROP NULL_X108Y138 TILE_Y 184696 TILEPROP NULL_X108Y138 TYPE NULL TILEPROP NULL_X108Y139 CLASS tile TILEPROP NULL_X108Y139 COLUMN 108 TILEPROP NULL_X108Y139 DEVICE_ID 0 TILEPROP NULL_X108Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X108Y139 GRID_POINT_X 108 TILEPROP NULL_X108Y139 GRID_POINT_Y 17 TILEPROP NULL_X108Y139 INDEX 2063 TILEPROP NULL_X108Y139 INT_TILE_X -1 TILEPROP NULL_X108Y139 INT_TILE_Y -1 TILEPROP NULL_X108Y139 IS_CENTER_TILE 0 TILEPROP NULL_X108Y139 IS_DCM_TILE 0 TILEPROP NULL_X108Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y139 NAME NULL_X108Y139 TILEPROP NULL_X108Y139 NUM_ARCS 0 TILEPROP NULL_X108Y139 NUM_SITES 0 TILEPROP NULL_X108Y139 ROW 17 TILEPROP NULL_X108Y139 SLR_REGION_ID 0 TILEPROP NULL_X108Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y139 TILE_TYPE NULL TILEPROP NULL_X108Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y139 TILE_X 97934 TILEPROP NULL_X108Y139 TILE_Y 187896 TILEPROP NULL_X108Y139 TYPE NULL TILEPROP NULL_X108Y140 CLASS tile TILEPROP NULL_X108Y140 COLUMN 108 TILEPROP NULL_X108Y140 DEVICE_ID 0 TILEPROP NULL_X108Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X108Y140 GRID_POINT_X 108 TILEPROP NULL_X108Y140 GRID_POINT_Y 16 TILEPROP NULL_X108Y140 INDEX 1948 TILEPROP NULL_X108Y140 INT_TILE_X -1 TILEPROP NULL_X108Y140 INT_TILE_Y -1 TILEPROP NULL_X108Y140 IS_CENTER_TILE 0 TILEPROP NULL_X108Y140 IS_DCM_TILE 0 TILEPROP NULL_X108Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y140 NAME NULL_X108Y140 TILEPROP NULL_X108Y140 NUM_ARCS 0 TILEPROP NULL_X108Y140 NUM_SITES 0 TILEPROP NULL_X108Y140 ROW 16 TILEPROP NULL_X108Y140 SLR_REGION_ID 0 TILEPROP NULL_X108Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y140 TILE_TYPE NULL TILEPROP NULL_X108Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y140 TILE_X 97934 TILEPROP NULL_X108Y140 TILE_Y 191096 TILEPROP NULL_X108Y140 TYPE NULL TILEPROP NULL_X108Y141 CLASS tile TILEPROP NULL_X108Y141 COLUMN 108 TILEPROP NULL_X108Y141 DEVICE_ID 0 TILEPROP NULL_X108Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X108Y141 GRID_POINT_X 108 TILEPROP NULL_X108Y141 GRID_POINT_Y 15 TILEPROP NULL_X108Y141 INDEX 1833 TILEPROP NULL_X108Y141 INT_TILE_X -1 TILEPROP NULL_X108Y141 INT_TILE_Y -1 TILEPROP NULL_X108Y141 IS_CENTER_TILE 0 TILEPROP NULL_X108Y141 IS_DCM_TILE 0 TILEPROP NULL_X108Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y141 NAME NULL_X108Y141 TILEPROP NULL_X108Y141 NUM_ARCS 0 TILEPROP NULL_X108Y141 NUM_SITES 0 TILEPROP NULL_X108Y141 ROW 15 TILEPROP NULL_X108Y141 SLR_REGION_ID 0 TILEPROP NULL_X108Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y141 TILE_TYPE NULL TILEPROP NULL_X108Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y141 TILE_X 97934 TILEPROP NULL_X108Y141 TILE_Y 194296 TILEPROP NULL_X108Y141 TYPE NULL TILEPROP NULL_X108Y142 CLASS tile TILEPROP NULL_X108Y142 COLUMN 108 TILEPROP NULL_X108Y142 DEVICE_ID 0 TILEPROP NULL_X108Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X108Y142 GRID_POINT_X 108 TILEPROP NULL_X108Y142 GRID_POINT_Y 14 TILEPROP NULL_X108Y142 INDEX 1718 TILEPROP NULL_X108Y142 INT_TILE_X -1 TILEPROP NULL_X108Y142 INT_TILE_Y -1 TILEPROP NULL_X108Y142 IS_CENTER_TILE 0 TILEPROP NULL_X108Y142 IS_DCM_TILE 0 TILEPROP NULL_X108Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y142 NAME NULL_X108Y142 TILEPROP NULL_X108Y142 NUM_ARCS 0 TILEPROP NULL_X108Y142 NUM_SITES 0 TILEPROP NULL_X108Y142 ROW 14 TILEPROP NULL_X108Y142 SLR_REGION_ID 0 TILEPROP NULL_X108Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y142 TILE_TYPE NULL TILEPROP NULL_X108Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y142 TILE_X 97934 TILEPROP NULL_X108Y142 TILE_Y 197496 TILEPROP NULL_X108Y142 TYPE NULL TILEPROP NULL_X108Y143 CLASS tile TILEPROP NULL_X108Y143 COLUMN 108 TILEPROP NULL_X108Y143 DEVICE_ID 0 TILEPROP NULL_X108Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X108Y143 GRID_POINT_X 108 TILEPROP NULL_X108Y143 GRID_POINT_Y 13 TILEPROP NULL_X108Y143 INDEX 1603 TILEPROP NULL_X108Y143 INT_TILE_X -1 TILEPROP NULL_X108Y143 INT_TILE_Y -1 TILEPROP NULL_X108Y143 IS_CENTER_TILE 0 TILEPROP NULL_X108Y143 IS_DCM_TILE 0 TILEPROP NULL_X108Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y143 NAME NULL_X108Y143 TILEPROP NULL_X108Y143 NUM_ARCS 0 TILEPROP NULL_X108Y143 NUM_SITES 0 TILEPROP NULL_X108Y143 ROW 13 TILEPROP NULL_X108Y143 SLR_REGION_ID 0 TILEPROP NULL_X108Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y143 TILE_TYPE NULL TILEPROP NULL_X108Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y143 TILE_X 97934 TILEPROP NULL_X108Y143 TILE_Y 200696 TILEPROP NULL_X108Y143 TYPE NULL TILEPROP NULL_X108Y144 CLASS tile TILEPROP NULL_X108Y144 COLUMN 108 TILEPROP NULL_X108Y144 DEVICE_ID 0 TILEPROP NULL_X108Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X108Y144 GRID_POINT_X 108 TILEPROP NULL_X108Y144 GRID_POINT_Y 12 TILEPROP NULL_X108Y144 INDEX 1488 TILEPROP NULL_X108Y144 INT_TILE_X -1 TILEPROP NULL_X108Y144 INT_TILE_Y -1 TILEPROP NULL_X108Y144 IS_CENTER_TILE 0 TILEPROP NULL_X108Y144 IS_DCM_TILE 0 TILEPROP NULL_X108Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y144 NAME NULL_X108Y144 TILEPROP NULL_X108Y144 NUM_ARCS 0 TILEPROP NULL_X108Y144 NUM_SITES 0 TILEPROP NULL_X108Y144 ROW 12 TILEPROP NULL_X108Y144 SLR_REGION_ID 0 TILEPROP NULL_X108Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y144 TILE_TYPE NULL TILEPROP NULL_X108Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y144 TILE_X 97934 TILEPROP NULL_X108Y144 TILE_Y 203896 TILEPROP NULL_X108Y144 TYPE NULL TILEPROP NULL_X108Y145 CLASS tile TILEPROP NULL_X108Y145 COLUMN 108 TILEPROP NULL_X108Y145 DEVICE_ID 0 TILEPROP NULL_X108Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X108Y145 GRID_POINT_X 108 TILEPROP NULL_X108Y145 GRID_POINT_Y 11 TILEPROP NULL_X108Y145 INDEX 1373 TILEPROP NULL_X108Y145 INT_TILE_X -1 TILEPROP NULL_X108Y145 INT_TILE_Y -1 TILEPROP NULL_X108Y145 IS_CENTER_TILE 0 TILEPROP NULL_X108Y145 IS_DCM_TILE 0 TILEPROP NULL_X108Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y145 NAME NULL_X108Y145 TILEPROP NULL_X108Y145 NUM_ARCS 0 TILEPROP NULL_X108Y145 NUM_SITES 0 TILEPROP NULL_X108Y145 ROW 11 TILEPROP NULL_X108Y145 SLR_REGION_ID 0 TILEPROP NULL_X108Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y145 TILE_TYPE NULL TILEPROP NULL_X108Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y145 TILE_X 97934 TILEPROP NULL_X108Y145 TILE_Y 207096 TILEPROP NULL_X108Y145 TYPE NULL TILEPROP NULL_X108Y146 CLASS tile TILEPROP NULL_X108Y146 COLUMN 108 TILEPROP NULL_X108Y146 DEVICE_ID 0 TILEPROP NULL_X108Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X108Y146 GRID_POINT_X 108 TILEPROP NULL_X108Y146 GRID_POINT_Y 10 TILEPROP NULL_X108Y146 INDEX 1258 TILEPROP NULL_X108Y146 INT_TILE_X -1 TILEPROP NULL_X108Y146 INT_TILE_Y -1 TILEPROP NULL_X108Y146 IS_CENTER_TILE 0 TILEPROP NULL_X108Y146 IS_DCM_TILE 0 TILEPROP NULL_X108Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y146 NAME NULL_X108Y146 TILEPROP NULL_X108Y146 NUM_ARCS 0 TILEPROP NULL_X108Y146 NUM_SITES 0 TILEPROP NULL_X108Y146 ROW 10 TILEPROP NULL_X108Y146 SLR_REGION_ID 0 TILEPROP NULL_X108Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y146 TILE_TYPE NULL TILEPROP NULL_X108Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y146 TILE_X 97934 TILEPROP NULL_X108Y146 TILE_Y 210296 TILEPROP NULL_X108Y146 TYPE NULL TILEPROP NULL_X108Y147 CLASS tile TILEPROP NULL_X108Y147 COLUMN 108 TILEPROP NULL_X108Y147 DEVICE_ID 0 TILEPROP NULL_X108Y147 FIRST_SITE_ID 920 TILEPROP NULL_X108Y147 GRID_POINT_X 108 TILEPROP NULL_X108Y147 GRID_POINT_Y 9 TILEPROP NULL_X108Y147 INDEX 1143 TILEPROP NULL_X108Y147 INT_TILE_X -1 TILEPROP NULL_X108Y147 INT_TILE_Y -1 TILEPROP NULL_X108Y147 IS_CENTER_TILE 0 TILEPROP NULL_X108Y147 IS_DCM_TILE 0 TILEPROP NULL_X108Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y147 NAME NULL_X108Y147 TILEPROP NULL_X108Y147 NUM_ARCS 0 TILEPROP NULL_X108Y147 NUM_SITES 0 TILEPROP NULL_X108Y147 ROW 9 TILEPROP NULL_X108Y147 SLR_REGION_ID 0 TILEPROP NULL_X108Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y147 TILE_TYPE NULL TILEPROP NULL_X108Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y147 TILE_X 97934 TILEPROP NULL_X108Y147 TILE_Y 213496 TILEPROP NULL_X108Y147 TYPE NULL TILEPROP NULL_X108Y148 CLASS tile TILEPROP NULL_X108Y148 COLUMN 108 TILEPROP NULL_X108Y148 DEVICE_ID 0 TILEPROP NULL_X108Y148 FIRST_SITE_ID 816 TILEPROP NULL_X108Y148 GRID_POINT_X 108 TILEPROP NULL_X108Y148 GRID_POINT_Y 8 TILEPROP NULL_X108Y148 INDEX 1028 TILEPROP NULL_X108Y148 INT_TILE_X -1 TILEPROP NULL_X108Y148 INT_TILE_Y -1 TILEPROP NULL_X108Y148 IS_CENTER_TILE 0 TILEPROP NULL_X108Y148 IS_DCM_TILE 0 TILEPROP NULL_X108Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y148 NAME NULL_X108Y148 TILEPROP NULL_X108Y148 NUM_ARCS 0 TILEPROP NULL_X108Y148 NUM_SITES 0 TILEPROP NULL_X108Y148 ROW 8 TILEPROP NULL_X108Y148 SLR_REGION_ID 0 TILEPROP NULL_X108Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y148 TILE_TYPE NULL TILEPROP NULL_X108Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y148 TILE_X 97934 TILEPROP NULL_X108Y148 TILE_Y 216696 TILEPROP NULL_X108Y148 TYPE NULL TILEPROP NULL_X108Y149 CLASS tile TILEPROP NULL_X108Y149 COLUMN 108 TILEPROP NULL_X108Y149 DEVICE_ID 0 TILEPROP NULL_X108Y149 FIRST_SITE_ID 719 TILEPROP NULL_X108Y149 GRID_POINT_X 108 TILEPROP NULL_X108Y149 GRID_POINT_Y 7 TILEPROP NULL_X108Y149 INDEX 913 TILEPROP NULL_X108Y149 INT_TILE_X -1 TILEPROP NULL_X108Y149 INT_TILE_Y -1 TILEPROP NULL_X108Y149 IS_CENTER_TILE 0 TILEPROP NULL_X108Y149 IS_DCM_TILE 0 TILEPROP NULL_X108Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y149 NAME NULL_X108Y149 TILEPROP NULL_X108Y149 NUM_ARCS 0 TILEPROP NULL_X108Y149 NUM_SITES 0 TILEPROP NULL_X108Y149 ROW 7 TILEPROP NULL_X108Y149 SLR_REGION_ID 0 TILEPROP NULL_X108Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y149 TILE_TYPE NULL TILEPROP NULL_X108Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y149 TILE_X 97934 TILEPROP NULL_X108Y149 TILE_Y 219896 TILEPROP NULL_X108Y149 TYPE NULL TILEPROP NULL_X108Y150 CLASS tile TILEPROP NULL_X108Y150 COLUMN 108 TILEPROP NULL_X108Y150 DEVICE_ID 0 TILEPROP NULL_X108Y150 FIRST_SITE_ID 613 TILEPROP NULL_X108Y150 GRID_POINT_X 108 TILEPROP NULL_X108Y150 GRID_POINT_Y 6 TILEPROP NULL_X108Y150 INDEX 798 TILEPROP NULL_X108Y150 INT_TILE_X -1 TILEPROP NULL_X108Y150 INT_TILE_Y -1 TILEPROP NULL_X108Y150 IS_CENTER_TILE 0 TILEPROP NULL_X108Y150 IS_DCM_TILE 0 TILEPROP NULL_X108Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y150 NAME NULL_X108Y150 TILEPROP NULL_X108Y150 NUM_ARCS 0 TILEPROP NULL_X108Y150 NUM_SITES 0 TILEPROP NULL_X108Y150 ROW 6 TILEPROP NULL_X108Y150 SLR_REGION_ID 0 TILEPROP NULL_X108Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y150 TILE_TYPE NULL TILEPROP NULL_X108Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y150 TILE_X 97934 TILEPROP NULL_X108Y150 TILE_Y 223096 TILEPROP NULL_X108Y150 TYPE NULL TILEPROP NULL_X108Y151 CLASS tile TILEPROP NULL_X108Y151 COLUMN 108 TILEPROP NULL_X108Y151 DEVICE_ID 0 TILEPROP NULL_X108Y151 FIRST_SITE_ID 512 TILEPROP NULL_X108Y151 GRID_POINT_X 108 TILEPROP NULL_X108Y151 GRID_POINT_Y 5 TILEPROP NULL_X108Y151 INDEX 683 TILEPROP NULL_X108Y151 INT_TILE_X -1 TILEPROP NULL_X108Y151 INT_TILE_Y -1 TILEPROP NULL_X108Y151 IS_CENTER_TILE 0 TILEPROP NULL_X108Y151 IS_DCM_TILE 0 TILEPROP NULL_X108Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y151 NAME NULL_X108Y151 TILEPROP NULL_X108Y151 NUM_ARCS 0 TILEPROP NULL_X108Y151 NUM_SITES 0 TILEPROP NULL_X108Y151 ROW 5 TILEPROP NULL_X108Y151 SLR_REGION_ID 0 TILEPROP NULL_X108Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y151 TILE_TYPE NULL TILEPROP NULL_X108Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y151 TILE_X 97934 TILEPROP NULL_X108Y151 TILE_Y 226296 TILEPROP NULL_X108Y151 TYPE NULL TILEPROP NULL_X108Y152 CLASS tile TILEPROP NULL_X108Y152 COLUMN 108 TILEPROP NULL_X108Y152 DEVICE_ID 0 TILEPROP NULL_X108Y152 FIRST_SITE_ID 396 TILEPROP NULL_X108Y152 GRID_POINT_X 108 TILEPROP NULL_X108Y152 GRID_POINT_Y 4 TILEPROP NULL_X108Y152 INDEX 568 TILEPROP NULL_X108Y152 INT_TILE_X -1 TILEPROP NULL_X108Y152 INT_TILE_Y -1 TILEPROP NULL_X108Y152 IS_CENTER_TILE 0 TILEPROP NULL_X108Y152 IS_DCM_TILE 0 TILEPROP NULL_X108Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y152 NAME NULL_X108Y152 TILEPROP NULL_X108Y152 NUM_ARCS 0 TILEPROP NULL_X108Y152 NUM_SITES 0 TILEPROP NULL_X108Y152 ROW 4 TILEPROP NULL_X108Y152 SLR_REGION_ID 0 TILEPROP NULL_X108Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y152 TILE_TYPE NULL TILEPROP NULL_X108Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y152 TILE_X 97934 TILEPROP NULL_X108Y152 TILE_Y 229496 TILEPROP NULL_X108Y152 TYPE NULL TILEPROP NULL_X108Y153 CLASS tile TILEPROP NULL_X108Y153 COLUMN 108 TILEPROP NULL_X108Y153 DEVICE_ID 0 TILEPROP NULL_X108Y153 FIRST_SITE_ID 300 TILEPROP NULL_X108Y153 GRID_POINT_X 108 TILEPROP NULL_X108Y153 GRID_POINT_Y 3 TILEPROP NULL_X108Y153 INDEX 453 TILEPROP NULL_X108Y153 INT_TILE_X -1 TILEPROP NULL_X108Y153 INT_TILE_Y -1 TILEPROP NULL_X108Y153 IS_CENTER_TILE 0 TILEPROP NULL_X108Y153 IS_DCM_TILE 0 TILEPROP NULL_X108Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y153 NAME NULL_X108Y153 TILEPROP NULL_X108Y153 NUM_ARCS 0 TILEPROP NULL_X108Y153 NUM_SITES 0 TILEPROP NULL_X108Y153 ROW 3 TILEPROP NULL_X108Y153 SLR_REGION_ID 0 TILEPROP NULL_X108Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y153 TILE_TYPE NULL TILEPROP NULL_X108Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y153 TILE_X 97934 TILEPROP NULL_X108Y153 TILE_Y 232696 TILEPROP NULL_X108Y153 TYPE NULL TILEPROP NULL_X108Y154 CLASS tile TILEPROP NULL_X108Y154 COLUMN 108 TILEPROP NULL_X108Y154 DEVICE_ID 0 TILEPROP NULL_X108Y154 FIRST_SITE_ID 196 TILEPROP NULL_X108Y154 GRID_POINT_X 108 TILEPROP NULL_X108Y154 GRID_POINT_Y 2 TILEPROP NULL_X108Y154 INDEX 338 TILEPROP NULL_X108Y154 INT_TILE_X -1 TILEPROP NULL_X108Y154 INT_TILE_Y -1 TILEPROP NULL_X108Y154 IS_CENTER_TILE 0 TILEPROP NULL_X108Y154 IS_DCM_TILE 0 TILEPROP NULL_X108Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y154 NAME NULL_X108Y154 TILEPROP NULL_X108Y154 NUM_ARCS 0 TILEPROP NULL_X108Y154 NUM_SITES 0 TILEPROP NULL_X108Y154 ROW 2 TILEPROP NULL_X108Y154 SLR_REGION_ID 0 TILEPROP NULL_X108Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y154 TILE_TYPE NULL TILEPROP NULL_X108Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y154 TILE_X 97934 TILEPROP NULL_X108Y154 TILE_Y 235896 TILEPROP NULL_X108Y154 TYPE NULL TILEPROP NULL_X108Y155 CLASS tile TILEPROP NULL_X108Y155 COLUMN 108 TILEPROP NULL_X108Y155 DEVICE_ID 0 TILEPROP NULL_X108Y155 FIRST_SITE_ID 100 TILEPROP NULL_X108Y155 GRID_POINT_X 108 TILEPROP NULL_X108Y155 GRID_POINT_Y 1 TILEPROP NULL_X108Y155 INDEX 223 TILEPROP NULL_X108Y155 INT_TILE_X -1 TILEPROP NULL_X108Y155 INT_TILE_Y -1 TILEPROP NULL_X108Y155 IS_CENTER_TILE 0 TILEPROP NULL_X108Y155 IS_DCM_TILE 0 TILEPROP NULL_X108Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y155 NAME NULL_X108Y155 TILEPROP NULL_X108Y155 NUM_ARCS 0 TILEPROP NULL_X108Y155 NUM_SITES 0 TILEPROP NULL_X108Y155 ROW 1 TILEPROP NULL_X108Y155 SLR_REGION_ID 0 TILEPROP NULL_X108Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y155 TILE_TYPE NULL TILEPROP NULL_X108Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y155 TILE_X 97934 TILEPROP NULL_X108Y155 TILE_Y 239096 TILEPROP NULL_X108Y155 TYPE NULL TILEPROP NULL_X108Y156 CLASS tile TILEPROP NULL_X108Y156 COLUMN 108 TILEPROP NULL_X108Y156 DEVICE_ID 0 TILEPROP NULL_X108Y156 FIRST_SITE_ID 0 TILEPROP NULL_X108Y156 GRID_POINT_X 108 TILEPROP NULL_X108Y156 GRID_POINT_Y 0 TILEPROP NULL_X108Y156 INDEX 108 TILEPROP NULL_X108Y156 INT_TILE_X -1 TILEPROP NULL_X108Y156 INT_TILE_Y -1 TILEPROP NULL_X108Y156 IS_CENTER_TILE 0 TILEPROP NULL_X108Y156 IS_DCM_TILE 0 TILEPROP NULL_X108Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X108Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X108Y156 NAME NULL_X108Y156 TILEPROP NULL_X108Y156 NUM_ARCS 0 TILEPROP NULL_X108Y156 NUM_SITES 0 TILEPROP NULL_X108Y156 ROW 0 TILEPROP NULL_X108Y156 SLR_REGION_ID 0 TILEPROP NULL_X108Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X108Y156 TILE_TYPE NULL TILEPROP NULL_X108Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X108Y156 TILE_X 97934 TILEPROP NULL_X108Y156 TILE_Y 242296 TILEPROP NULL_X108Y156 TYPE NULL TILEPROP NULL_X109Y105 CLASS tile TILEPROP NULL_X109Y105 COLUMN 109 TILEPROP NULL_X109Y105 DEVICE_ID 0 TILEPROP NULL_X109Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X109Y105 GRID_POINT_X 109 TILEPROP NULL_X109Y105 GRID_POINT_Y 51 TILEPROP NULL_X109Y105 INDEX 5974 TILEPROP NULL_X109Y105 INT_TILE_X -1 TILEPROP NULL_X109Y105 INT_TILE_Y -1 TILEPROP NULL_X109Y105 IS_CENTER_TILE 0 TILEPROP NULL_X109Y105 IS_DCM_TILE 0 TILEPROP NULL_X109Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y105 NAME NULL_X109Y105 TILEPROP NULL_X109Y105 NUM_ARCS 0 TILEPROP NULL_X109Y105 NUM_SITES 0 TILEPROP NULL_X109Y105 ROW 51 TILEPROP NULL_X109Y105 SLR_REGION_ID 0 TILEPROP NULL_X109Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y105 TILE_TYPE NULL TILEPROP NULL_X109Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y105 TILE_X 97998 TILEPROP NULL_X109Y105 TILE_Y 81272 TILEPROP NULL_X109Y105 TYPE NULL TILEPROP NULL_X109Y106 CLASS tile TILEPROP NULL_X109Y106 COLUMN 109 TILEPROP NULL_X109Y106 DEVICE_ID 0 TILEPROP NULL_X109Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X109Y106 GRID_POINT_X 109 TILEPROP NULL_X109Y106 GRID_POINT_Y 50 TILEPROP NULL_X109Y106 INDEX 5859 TILEPROP NULL_X109Y106 INT_TILE_X -1 TILEPROP NULL_X109Y106 INT_TILE_Y -1 TILEPROP NULL_X109Y106 IS_CENTER_TILE 0 TILEPROP NULL_X109Y106 IS_DCM_TILE 0 TILEPROP NULL_X109Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y106 NAME NULL_X109Y106 TILEPROP NULL_X109Y106 NUM_ARCS 0 TILEPROP NULL_X109Y106 NUM_SITES 0 TILEPROP NULL_X109Y106 ROW 50 TILEPROP NULL_X109Y106 SLR_REGION_ID 0 TILEPROP NULL_X109Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y106 TILE_TYPE NULL TILEPROP NULL_X109Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y106 TILE_X 97998 TILEPROP NULL_X109Y106 TILE_Y 84472 TILEPROP NULL_X109Y106 TYPE NULL TILEPROP NULL_X109Y107 CLASS tile TILEPROP NULL_X109Y107 COLUMN 109 TILEPROP NULL_X109Y107 DEVICE_ID 0 TILEPROP NULL_X109Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X109Y107 GRID_POINT_X 109 TILEPROP NULL_X109Y107 GRID_POINT_Y 49 TILEPROP NULL_X109Y107 INDEX 5744 TILEPROP NULL_X109Y107 INT_TILE_X -1 TILEPROP NULL_X109Y107 INT_TILE_Y -1 TILEPROP NULL_X109Y107 IS_CENTER_TILE 0 TILEPROP NULL_X109Y107 IS_DCM_TILE 0 TILEPROP NULL_X109Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y107 NAME NULL_X109Y107 TILEPROP NULL_X109Y107 NUM_ARCS 0 TILEPROP NULL_X109Y107 NUM_SITES 0 TILEPROP NULL_X109Y107 ROW 49 TILEPROP NULL_X109Y107 SLR_REGION_ID 0 TILEPROP NULL_X109Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y107 TILE_TYPE NULL TILEPROP NULL_X109Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y107 TILE_X 97998 TILEPROP NULL_X109Y107 TILE_Y 87672 TILEPROP NULL_X109Y107 TYPE NULL TILEPROP NULL_X109Y108 CLASS tile TILEPROP NULL_X109Y108 COLUMN 109 TILEPROP NULL_X109Y108 DEVICE_ID 0 TILEPROP NULL_X109Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X109Y108 GRID_POINT_X 109 TILEPROP NULL_X109Y108 GRID_POINT_Y 48 TILEPROP NULL_X109Y108 INDEX 5629 TILEPROP NULL_X109Y108 INT_TILE_X -1 TILEPROP NULL_X109Y108 INT_TILE_Y -1 TILEPROP NULL_X109Y108 IS_CENTER_TILE 0 TILEPROP NULL_X109Y108 IS_DCM_TILE 0 TILEPROP NULL_X109Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y108 NAME NULL_X109Y108 TILEPROP NULL_X109Y108 NUM_ARCS 0 TILEPROP NULL_X109Y108 NUM_SITES 0 TILEPROP NULL_X109Y108 ROW 48 TILEPROP NULL_X109Y108 SLR_REGION_ID 0 TILEPROP NULL_X109Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y108 TILE_TYPE NULL TILEPROP NULL_X109Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y108 TILE_X 97998 TILEPROP NULL_X109Y108 TILE_Y 90872 TILEPROP NULL_X109Y108 TYPE NULL TILEPROP NULL_X109Y109 CLASS tile TILEPROP NULL_X109Y109 COLUMN 109 TILEPROP NULL_X109Y109 DEVICE_ID 0 TILEPROP NULL_X109Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X109Y109 GRID_POINT_X 109 TILEPROP NULL_X109Y109 GRID_POINT_Y 47 TILEPROP NULL_X109Y109 INDEX 5514 TILEPROP NULL_X109Y109 INT_TILE_X -1 TILEPROP NULL_X109Y109 INT_TILE_Y -1 TILEPROP NULL_X109Y109 IS_CENTER_TILE 0 TILEPROP NULL_X109Y109 IS_DCM_TILE 0 TILEPROP NULL_X109Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y109 NAME NULL_X109Y109 TILEPROP NULL_X109Y109 NUM_ARCS 0 TILEPROP NULL_X109Y109 NUM_SITES 0 TILEPROP NULL_X109Y109 ROW 47 TILEPROP NULL_X109Y109 SLR_REGION_ID 0 TILEPROP NULL_X109Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y109 TILE_TYPE NULL TILEPROP NULL_X109Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y109 TILE_X 97998 TILEPROP NULL_X109Y109 TILE_Y 94072 TILEPROP NULL_X109Y109 TYPE NULL TILEPROP NULL_X109Y110 CLASS tile TILEPROP NULL_X109Y110 COLUMN 109 TILEPROP NULL_X109Y110 DEVICE_ID 0 TILEPROP NULL_X109Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X109Y110 GRID_POINT_X 109 TILEPROP NULL_X109Y110 GRID_POINT_Y 46 TILEPROP NULL_X109Y110 INDEX 5399 TILEPROP NULL_X109Y110 INT_TILE_X -1 TILEPROP NULL_X109Y110 INT_TILE_Y -1 TILEPROP NULL_X109Y110 IS_CENTER_TILE 0 TILEPROP NULL_X109Y110 IS_DCM_TILE 0 TILEPROP NULL_X109Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y110 NAME NULL_X109Y110 TILEPROP NULL_X109Y110 NUM_ARCS 0 TILEPROP NULL_X109Y110 NUM_SITES 0 TILEPROP NULL_X109Y110 ROW 46 TILEPROP NULL_X109Y110 SLR_REGION_ID 0 TILEPROP NULL_X109Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y110 TILE_TYPE NULL TILEPROP NULL_X109Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y110 TILE_X 97998 TILEPROP NULL_X109Y110 TILE_Y 97272 TILEPROP NULL_X109Y110 TYPE NULL TILEPROP NULL_X109Y111 CLASS tile TILEPROP NULL_X109Y111 COLUMN 109 TILEPROP NULL_X109Y111 DEVICE_ID 0 TILEPROP NULL_X109Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X109Y111 GRID_POINT_X 109 TILEPROP NULL_X109Y111 GRID_POINT_Y 45 TILEPROP NULL_X109Y111 INDEX 5284 TILEPROP NULL_X109Y111 INT_TILE_X -1 TILEPROP NULL_X109Y111 INT_TILE_Y -1 TILEPROP NULL_X109Y111 IS_CENTER_TILE 0 TILEPROP NULL_X109Y111 IS_DCM_TILE 0 TILEPROP NULL_X109Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y111 NAME NULL_X109Y111 TILEPROP NULL_X109Y111 NUM_ARCS 0 TILEPROP NULL_X109Y111 NUM_SITES 0 TILEPROP NULL_X109Y111 ROW 45 TILEPROP NULL_X109Y111 SLR_REGION_ID 0 TILEPROP NULL_X109Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y111 TILE_TYPE NULL TILEPROP NULL_X109Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y111 TILE_X 97998 TILEPROP NULL_X109Y111 TILE_Y 100472 TILEPROP NULL_X109Y111 TYPE NULL TILEPROP NULL_X109Y112 CLASS tile TILEPROP NULL_X109Y112 COLUMN 109 TILEPROP NULL_X109Y112 DEVICE_ID 0 TILEPROP NULL_X109Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X109Y112 GRID_POINT_X 109 TILEPROP NULL_X109Y112 GRID_POINT_Y 44 TILEPROP NULL_X109Y112 INDEX 5169 TILEPROP NULL_X109Y112 INT_TILE_X -1 TILEPROP NULL_X109Y112 INT_TILE_Y -1 TILEPROP NULL_X109Y112 IS_CENTER_TILE 0 TILEPROP NULL_X109Y112 IS_DCM_TILE 0 TILEPROP NULL_X109Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y112 NAME NULL_X109Y112 TILEPROP NULL_X109Y112 NUM_ARCS 0 TILEPROP NULL_X109Y112 NUM_SITES 0 TILEPROP NULL_X109Y112 ROW 44 TILEPROP NULL_X109Y112 SLR_REGION_ID 0 TILEPROP NULL_X109Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y112 TILE_TYPE NULL TILEPROP NULL_X109Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y112 TILE_X 97998 TILEPROP NULL_X109Y112 TILE_Y 103672 TILEPROP NULL_X109Y112 TYPE NULL TILEPROP NULL_X109Y113 CLASS tile TILEPROP NULL_X109Y113 COLUMN 109 TILEPROP NULL_X109Y113 DEVICE_ID 0 TILEPROP NULL_X109Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X109Y113 GRID_POINT_X 109 TILEPROP NULL_X109Y113 GRID_POINT_Y 43 TILEPROP NULL_X109Y113 INDEX 5054 TILEPROP NULL_X109Y113 INT_TILE_X -1 TILEPROP NULL_X109Y113 INT_TILE_Y -1 TILEPROP NULL_X109Y113 IS_CENTER_TILE 0 TILEPROP NULL_X109Y113 IS_DCM_TILE 0 TILEPROP NULL_X109Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y113 NAME NULL_X109Y113 TILEPROP NULL_X109Y113 NUM_ARCS 0 TILEPROP NULL_X109Y113 NUM_SITES 0 TILEPROP NULL_X109Y113 ROW 43 TILEPROP NULL_X109Y113 SLR_REGION_ID 0 TILEPROP NULL_X109Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y113 TILE_TYPE NULL TILEPROP NULL_X109Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y113 TILE_X 97998 TILEPROP NULL_X109Y113 TILE_Y 106872 TILEPROP NULL_X109Y113 TYPE NULL TILEPROP NULL_X109Y114 CLASS tile TILEPROP NULL_X109Y114 COLUMN 109 TILEPROP NULL_X109Y114 DEVICE_ID 0 TILEPROP NULL_X109Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X109Y114 GRID_POINT_X 109 TILEPROP NULL_X109Y114 GRID_POINT_Y 42 TILEPROP NULL_X109Y114 INDEX 4939 TILEPROP NULL_X109Y114 INT_TILE_X -1 TILEPROP NULL_X109Y114 INT_TILE_Y -1 TILEPROP NULL_X109Y114 IS_CENTER_TILE 0 TILEPROP NULL_X109Y114 IS_DCM_TILE 0 TILEPROP NULL_X109Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y114 NAME NULL_X109Y114 TILEPROP NULL_X109Y114 NUM_ARCS 0 TILEPROP NULL_X109Y114 NUM_SITES 0 TILEPROP NULL_X109Y114 ROW 42 TILEPROP NULL_X109Y114 SLR_REGION_ID 0 TILEPROP NULL_X109Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y114 TILE_TYPE NULL TILEPROP NULL_X109Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y114 TILE_X 97998 TILEPROP NULL_X109Y114 TILE_Y 110072 TILEPROP NULL_X109Y114 TYPE NULL TILEPROP NULL_X109Y115 CLASS tile TILEPROP NULL_X109Y115 COLUMN 109 TILEPROP NULL_X109Y115 DEVICE_ID 0 TILEPROP NULL_X109Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X109Y115 GRID_POINT_X 109 TILEPROP NULL_X109Y115 GRID_POINT_Y 41 TILEPROP NULL_X109Y115 INDEX 4824 TILEPROP NULL_X109Y115 INT_TILE_X -1 TILEPROP NULL_X109Y115 INT_TILE_Y -1 TILEPROP NULL_X109Y115 IS_CENTER_TILE 0 TILEPROP NULL_X109Y115 IS_DCM_TILE 0 TILEPROP NULL_X109Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y115 NAME NULL_X109Y115 TILEPROP NULL_X109Y115 NUM_ARCS 0 TILEPROP NULL_X109Y115 NUM_SITES 0 TILEPROP NULL_X109Y115 ROW 41 TILEPROP NULL_X109Y115 SLR_REGION_ID 0 TILEPROP NULL_X109Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y115 TILE_TYPE NULL TILEPROP NULL_X109Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y115 TILE_X 97998 TILEPROP NULL_X109Y115 TILE_Y 113272 TILEPROP NULL_X109Y115 TYPE NULL TILEPROP NULL_X109Y116 CLASS tile TILEPROP NULL_X109Y116 COLUMN 109 TILEPROP NULL_X109Y116 DEVICE_ID 0 TILEPROP NULL_X109Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X109Y116 GRID_POINT_X 109 TILEPROP NULL_X109Y116 GRID_POINT_Y 40 TILEPROP NULL_X109Y116 INDEX 4709 TILEPROP NULL_X109Y116 INT_TILE_X -1 TILEPROP NULL_X109Y116 INT_TILE_Y -1 TILEPROP NULL_X109Y116 IS_CENTER_TILE 0 TILEPROP NULL_X109Y116 IS_DCM_TILE 0 TILEPROP NULL_X109Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y116 NAME NULL_X109Y116 TILEPROP NULL_X109Y116 NUM_ARCS 0 TILEPROP NULL_X109Y116 NUM_SITES 0 TILEPROP NULL_X109Y116 ROW 40 TILEPROP NULL_X109Y116 SLR_REGION_ID 0 TILEPROP NULL_X109Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y116 TILE_TYPE NULL TILEPROP NULL_X109Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y116 TILE_X 97998 TILEPROP NULL_X109Y116 TILE_Y 116472 TILEPROP NULL_X109Y116 TYPE NULL TILEPROP NULL_X109Y117 CLASS tile TILEPROP NULL_X109Y117 COLUMN 109 TILEPROP NULL_X109Y117 DEVICE_ID 0 TILEPROP NULL_X109Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X109Y117 GRID_POINT_X 109 TILEPROP NULL_X109Y117 GRID_POINT_Y 39 TILEPROP NULL_X109Y117 INDEX 4594 TILEPROP NULL_X109Y117 INT_TILE_X -1 TILEPROP NULL_X109Y117 INT_TILE_Y -1 TILEPROP NULL_X109Y117 IS_CENTER_TILE 0 TILEPROP NULL_X109Y117 IS_DCM_TILE 0 TILEPROP NULL_X109Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y117 NAME NULL_X109Y117 TILEPROP NULL_X109Y117 NUM_ARCS 0 TILEPROP NULL_X109Y117 NUM_SITES 0 TILEPROP NULL_X109Y117 ROW 39 TILEPROP NULL_X109Y117 SLR_REGION_ID 0 TILEPROP NULL_X109Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y117 TILE_TYPE NULL TILEPROP NULL_X109Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y117 TILE_X 97998 TILEPROP NULL_X109Y117 TILE_Y 119672 TILEPROP NULL_X109Y117 TYPE NULL TILEPROP NULL_X109Y118 CLASS tile TILEPROP NULL_X109Y118 COLUMN 109 TILEPROP NULL_X109Y118 DEVICE_ID 0 TILEPROP NULL_X109Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X109Y118 GRID_POINT_X 109 TILEPROP NULL_X109Y118 GRID_POINT_Y 38 TILEPROP NULL_X109Y118 INDEX 4479 TILEPROP NULL_X109Y118 INT_TILE_X -1 TILEPROP NULL_X109Y118 INT_TILE_Y -1 TILEPROP NULL_X109Y118 IS_CENTER_TILE 0 TILEPROP NULL_X109Y118 IS_DCM_TILE 0 TILEPROP NULL_X109Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y118 NAME NULL_X109Y118 TILEPROP NULL_X109Y118 NUM_ARCS 0 TILEPROP NULL_X109Y118 NUM_SITES 0 TILEPROP NULL_X109Y118 ROW 38 TILEPROP NULL_X109Y118 SLR_REGION_ID 0 TILEPROP NULL_X109Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y118 TILE_TYPE NULL TILEPROP NULL_X109Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y118 TILE_X 97998 TILEPROP NULL_X109Y118 TILE_Y 122872 TILEPROP NULL_X109Y118 TYPE NULL TILEPROP NULL_X109Y119 CLASS tile TILEPROP NULL_X109Y119 COLUMN 109 TILEPROP NULL_X109Y119 DEVICE_ID 0 TILEPROP NULL_X109Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X109Y119 GRID_POINT_X 109 TILEPROP NULL_X109Y119 GRID_POINT_Y 37 TILEPROP NULL_X109Y119 INDEX 4364 TILEPROP NULL_X109Y119 INT_TILE_X -1 TILEPROP NULL_X109Y119 INT_TILE_Y -1 TILEPROP NULL_X109Y119 IS_CENTER_TILE 0 TILEPROP NULL_X109Y119 IS_DCM_TILE 0 TILEPROP NULL_X109Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y119 NAME NULL_X109Y119 TILEPROP NULL_X109Y119 NUM_ARCS 0 TILEPROP NULL_X109Y119 NUM_SITES 0 TILEPROP NULL_X109Y119 ROW 37 TILEPROP NULL_X109Y119 SLR_REGION_ID 0 TILEPROP NULL_X109Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y119 TILE_TYPE NULL TILEPROP NULL_X109Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y119 TILE_X 97998 TILEPROP NULL_X109Y119 TILE_Y 126072 TILEPROP NULL_X109Y119 TYPE NULL TILEPROP NULL_X109Y120 CLASS tile TILEPROP NULL_X109Y120 COLUMN 109 TILEPROP NULL_X109Y120 DEVICE_ID 0 TILEPROP NULL_X109Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X109Y120 GRID_POINT_X 109 TILEPROP NULL_X109Y120 GRID_POINT_Y 36 TILEPROP NULL_X109Y120 INDEX 4249 TILEPROP NULL_X109Y120 INT_TILE_X -1 TILEPROP NULL_X109Y120 INT_TILE_Y -1 TILEPROP NULL_X109Y120 IS_CENTER_TILE 0 TILEPROP NULL_X109Y120 IS_DCM_TILE 0 TILEPROP NULL_X109Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y120 NAME NULL_X109Y120 TILEPROP NULL_X109Y120 NUM_ARCS 0 TILEPROP NULL_X109Y120 NUM_SITES 0 TILEPROP NULL_X109Y120 ROW 36 TILEPROP NULL_X109Y120 SLR_REGION_ID 0 TILEPROP NULL_X109Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y120 TILE_TYPE NULL TILEPROP NULL_X109Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y120 TILE_X 97998 TILEPROP NULL_X109Y120 TILE_Y 129272 TILEPROP NULL_X109Y120 TYPE NULL TILEPROP NULL_X109Y121 CLASS tile TILEPROP NULL_X109Y121 COLUMN 109 TILEPROP NULL_X109Y121 DEVICE_ID 0 TILEPROP NULL_X109Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X109Y121 GRID_POINT_X 109 TILEPROP NULL_X109Y121 GRID_POINT_Y 35 TILEPROP NULL_X109Y121 INDEX 4134 TILEPROP NULL_X109Y121 INT_TILE_X -1 TILEPROP NULL_X109Y121 INT_TILE_Y -1 TILEPROP NULL_X109Y121 IS_CENTER_TILE 0 TILEPROP NULL_X109Y121 IS_DCM_TILE 0 TILEPROP NULL_X109Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y121 NAME NULL_X109Y121 TILEPROP NULL_X109Y121 NUM_ARCS 0 TILEPROP NULL_X109Y121 NUM_SITES 0 TILEPROP NULL_X109Y121 ROW 35 TILEPROP NULL_X109Y121 SLR_REGION_ID 0 TILEPROP NULL_X109Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y121 TILE_TYPE NULL TILEPROP NULL_X109Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y121 TILE_X 97998 TILEPROP NULL_X109Y121 TILE_Y 132472 TILEPROP NULL_X109Y121 TYPE NULL TILEPROP NULL_X109Y122 CLASS tile TILEPROP NULL_X109Y122 COLUMN 109 TILEPROP NULL_X109Y122 DEVICE_ID 0 TILEPROP NULL_X109Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X109Y122 GRID_POINT_X 109 TILEPROP NULL_X109Y122 GRID_POINT_Y 34 TILEPROP NULL_X109Y122 INDEX 4019 TILEPROP NULL_X109Y122 INT_TILE_X -1 TILEPROP NULL_X109Y122 INT_TILE_Y -1 TILEPROP NULL_X109Y122 IS_CENTER_TILE 0 TILEPROP NULL_X109Y122 IS_DCM_TILE 0 TILEPROP NULL_X109Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y122 NAME NULL_X109Y122 TILEPROP NULL_X109Y122 NUM_ARCS 0 TILEPROP NULL_X109Y122 NUM_SITES 0 TILEPROP NULL_X109Y122 ROW 34 TILEPROP NULL_X109Y122 SLR_REGION_ID 0 TILEPROP NULL_X109Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y122 TILE_TYPE NULL TILEPROP NULL_X109Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y122 TILE_X 97998 TILEPROP NULL_X109Y122 TILE_Y 135672 TILEPROP NULL_X109Y122 TYPE NULL TILEPROP NULL_X109Y123 CLASS tile TILEPROP NULL_X109Y123 COLUMN 109 TILEPROP NULL_X109Y123 DEVICE_ID 0 TILEPROP NULL_X109Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X109Y123 GRID_POINT_X 109 TILEPROP NULL_X109Y123 GRID_POINT_Y 33 TILEPROP NULL_X109Y123 INDEX 3904 TILEPROP NULL_X109Y123 INT_TILE_X -1 TILEPROP NULL_X109Y123 INT_TILE_Y -1 TILEPROP NULL_X109Y123 IS_CENTER_TILE 0 TILEPROP NULL_X109Y123 IS_DCM_TILE 0 TILEPROP NULL_X109Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y123 NAME NULL_X109Y123 TILEPROP NULL_X109Y123 NUM_ARCS 0 TILEPROP NULL_X109Y123 NUM_SITES 0 TILEPROP NULL_X109Y123 ROW 33 TILEPROP NULL_X109Y123 SLR_REGION_ID 0 TILEPROP NULL_X109Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y123 TILE_TYPE NULL TILEPROP NULL_X109Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y123 TILE_X 97998 TILEPROP NULL_X109Y123 TILE_Y 138872 TILEPROP NULL_X109Y123 TYPE NULL TILEPROP NULL_X109Y124 CLASS tile TILEPROP NULL_X109Y124 COLUMN 109 TILEPROP NULL_X109Y124 DEVICE_ID 0 TILEPROP NULL_X109Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X109Y124 GRID_POINT_X 109 TILEPROP NULL_X109Y124 GRID_POINT_Y 32 TILEPROP NULL_X109Y124 INDEX 3789 TILEPROP NULL_X109Y124 INT_TILE_X -1 TILEPROP NULL_X109Y124 INT_TILE_Y -1 TILEPROP NULL_X109Y124 IS_CENTER_TILE 0 TILEPROP NULL_X109Y124 IS_DCM_TILE 0 TILEPROP NULL_X109Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y124 NAME NULL_X109Y124 TILEPROP NULL_X109Y124 NUM_ARCS 0 TILEPROP NULL_X109Y124 NUM_SITES 0 TILEPROP NULL_X109Y124 ROW 32 TILEPROP NULL_X109Y124 SLR_REGION_ID 0 TILEPROP NULL_X109Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y124 TILE_TYPE NULL TILEPROP NULL_X109Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y124 TILE_X 97998 TILEPROP NULL_X109Y124 TILE_Y 142072 TILEPROP NULL_X109Y124 TYPE NULL TILEPROP NULL_X109Y125 CLASS tile TILEPROP NULL_X109Y125 COLUMN 109 TILEPROP NULL_X109Y125 DEVICE_ID 0 TILEPROP NULL_X109Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X109Y125 GRID_POINT_X 109 TILEPROP NULL_X109Y125 GRID_POINT_Y 31 TILEPROP NULL_X109Y125 INDEX 3674 TILEPROP NULL_X109Y125 INT_TILE_X -1 TILEPROP NULL_X109Y125 INT_TILE_Y -1 TILEPROP NULL_X109Y125 IS_CENTER_TILE 0 TILEPROP NULL_X109Y125 IS_DCM_TILE 0 TILEPROP NULL_X109Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y125 NAME NULL_X109Y125 TILEPROP NULL_X109Y125 NUM_ARCS 0 TILEPROP NULL_X109Y125 NUM_SITES 0 TILEPROP NULL_X109Y125 ROW 31 TILEPROP NULL_X109Y125 SLR_REGION_ID 0 TILEPROP NULL_X109Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y125 TILE_TYPE NULL TILEPROP NULL_X109Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y125 TILE_X 97998 TILEPROP NULL_X109Y125 TILE_Y 145272 TILEPROP NULL_X109Y125 TYPE NULL TILEPROP NULL_X109Y126 CLASS tile TILEPROP NULL_X109Y126 COLUMN 109 TILEPROP NULL_X109Y126 DEVICE_ID 0 TILEPROP NULL_X109Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X109Y126 GRID_POINT_X 109 TILEPROP NULL_X109Y126 GRID_POINT_Y 30 TILEPROP NULL_X109Y126 INDEX 3559 TILEPROP NULL_X109Y126 INT_TILE_X -1 TILEPROP NULL_X109Y126 INT_TILE_Y -1 TILEPROP NULL_X109Y126 IS_CENTER_TILE 0 TILEPROP NULL_X109Y126 IS_DCM_TILE 0 TILEPROP NULL_X109Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y126 NAME NULL_X109Y126 TILEPROP NULL_X109Y126 NUM_ARCS 0 TILEPROP NULL_X109Y126 NUM_SITES 0 TILEPROP NULL_X109Y126 ROW 30 TILEPROP NULL_X109Y126 SLR_REGION_ID 0 TILEPROP NULL_X109Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y126 TILE_TYPE NULL TILEPROP NULL_X109Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y126 TILE_X 97998 TILEPROP NULL_X109Y126 TILE_Y 148472 TILEPROP NULL_X109Y126 TYPE NULL TILEPROP NULL_X109Y127 CLASS tile TILEPROP NULL_X109Y127 COLUMN 109 TILEPROP NULL_X109Y127 DEVICE_ID 0 TILEPROP NULL_X109Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X109Y127 GRID_POINT_X 109 TILEPROP NULL_X109Y127 GRID_POINT_Y 29 TILEPROP NULL_X109Y127 INDEX 3444 TILEPROP NULL_X109Y127 INT_TILE_X -1 TILEPROP NULL_X109Y127 INT_TILE_Y -1 TILEPROP NULL_X109Y127 IS_CENTER_TILE 0 TILEPROP NULL_X109Y127 IS_DCM_TILE 0 TILEPROP NULL_X109Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y127 NAME NULL_X109Y127 TILEPROP NULL_X109Y127 NUM_ARCS 0 TILEPROP NULL_X109Y127 NUM_SITES 0 TILEPROP NULL_X109Y127 ROW 29 TILEPROP NULL_X109Y127 SLR_REGION_ID 0 TILEPROP NULL_X109Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y127 TILE_TYPE NULL TILEPROP NULL_X109Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y127 TILE_X 97998 TILEPROP NULL_X109Y127 TILE_Y 151672 TILEPROP NULL_X109Y127 TYPE NULL TILEPROP NULL_X109Y128 CLASS tile TILEPROP NULL_X109Y128 COLUMN 109 TILEPROP NULL_X109Y128 DEVICE_ID 0 TILEPROP NULL_X109Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X109Y128 GRID_POINT_X 109 TILEPROP NULL_X109Y128 GRID_POINT_Y 28 TILEPROP NULL_X109Y128 INDEX 3329 TILEPROP NULL_X109Y128 INT_TILE_X -1 TILEPROP NULL_X109Y128 INT_TILE_Y -1 TILEPROP NULL_X109Y128 IS_CENTER_TILE 0 TILEPROP NULL_X109Y128 IS_DCM_TILE 0 TILEPROP NULL_X109Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y128 NAME NULL_X109Y128 TILEPROP NULL_X109Y128 NUM_ARCS 0 TILEPROP NULL_X109Y128 NUM_SITES 0 TILEPROP NULL_X109Y128 ROW 28 TILEPROP NULL_X109Y128 SLR_REGION_ID 0 TILEPROP NULL_X109Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y128 TILE_TYPE NULL TILEPROP NULL_X109Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y128 TILE_X 97998 TILEPROP NULL_X109Y128 TILE_Y 154872 TILEPROP NULL_X109Y128 TYPE NULL TILEPROP NULL_X109Y129 CLASS tile TILEPROP NULL_X109Y129 COLUMN 109 TILEPROP NULL_X109Y129 DEVICE_ID 0 TILEPROP NULL_X109Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X109Y129 GRID_POINT_X 109 TILEPROP NULL_X109Y129 GRID_POINT_Y 27 TILEPROP NULL_X109Y129 INDEX 3214 TILEPROP NULL_X109Y129 INT_TILE_X -1 TILEPROP NULL_X109Y129 INT_TILE_Y -1 TILEPROP NULL_X109Y129 IS_CENTER_TILE 0 TILEPROP NULL_X109Y129 IS_DCM_TILE 0 TILEPROP NULL_X109Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y129 NAME NULL_X109Y129 TILEPROP NULL_X109Y129 NUM_ARCS 0 TILEPROP NULL_X109Y129 NUM_SITES 0 TILEPROP NULL_X109Y129 ROW 27 TILEPROP NULL_X109Y129 SLR_REGION_ID 0 TILEPROP NULL_X109Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y129 TILE_TYPE NULL TILEPROP NULL_X109Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y129 TILE_X 97998 TILEPROP NULL_X109Y129 TILE_Y 158072 TILEPROP NULL_X109Y129 TYPE NULL TILEPROP NULL_X109Y130 CLASS tile TILEPROP NULL_X109Y130 COLUMN 109 TILEPROP NULL_X109Y130 DEVICE_ID 0 TILEPROP NULL_X109Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X109Y130 GRID_POINT_X 109 TILEPROP NULL_X109Y130 GRID_POINT_Y 26 TILEPROP NULL_X109Y130 INDEX 3099 TILEPROP NULL_X109Y130 INT_TILE_X -1 TILEPROP NULL_X109Y130 INT_TILE_Y -1 TILEPROP NULL_X109Y130 IS_CENTER_TILE 0 TILEPROP NULL_X109Y130 IS_DCM_TILE 0 TILEPROP NULL_X109Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y130 NAME NULL_X109Y130 TILEPROP NULL_X109Y130 NUM_ARCS 0 TILEPROP NULL_X109Y130 NUM_SITES 0 TILEPROP NULL_X109Y130 ROW 26 TILEPROP NULL_X109Y130 SLR_REGION_ID 0 TILEPROP NULL_X109Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y130 TILE_TYPE NULL TILEPROP NULL_X109Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y130 TILE_X 97998 TILEPROP NULL_X109Y130 TILE_Y 161272 TILEPROP NULL_X109Y130 TYPE NULL TILEPROP NULL_X109Y131 CLASS tile TILEPROP NULL_X109Y131 COLUMN 109 TILEPROP NULL_X109Y131 DEVICE_ID 0 TILEPROP NULL_X109Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X109Y131 GRID_POINT_X 109 TILEPROP NULL_X109Y131 GRID_POINT_Y 25 TILEPROP NULL_X109Y131 INDEX 2984 TILEPROP NULL_X109Y131 INT_TILE_X -1 TILEPROP NULL_X109Y131 INT_TILE_Y -1 TILEPROP NULL_X109Y131 IS_CENTER_TILE 0 TILEPROP NULL_X109Y131 IS_DCM_TILE 0 TILEPROP NULL_X109Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y131 NAME NULL_X109Y131 TILEPROP NULL_X109Y131 NUM_ARCS 0 TILEPROP NULL_X109Y131 NUM_SITES 0 TILEPROP NULL_X109Y131 ROW 25 TILEPROP NULL_X109Y131 SLR_REGION_ID 0 TILEPROP NULL_X109Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y131 TILE_TYPE NULL TILEPROP NULL_X109Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y131 TILE_X 97998 TILEPROP NULL_X109Y131 TILE_Y 162296 TILEPROP NULL_X109Y131 TYPE NULL TILEPROP NULL_X109Y132 CLASS tile TILEPROP NULL_X109Y132 COLUMN 109 TILEPROP NULL_X109Y132 DEVICE_ID 0 TILEPROP NULL_X109Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X109Y132 GRID_POINT_X 109 TILEPROP NULL_X109Y132 GRID_POINT_Y 24 TILEPROP NULL_X109Y132 INDEX 2869 TILEPROP NULL_X109Y132 INT_TILE_X -1 TILEPROP NULL_X109Y132 INT_TILE_Y -1 TILEPROP NULL_X109Y132 IS_CENTER_TILE 0 TILEPROP NULL_X109Y132 IS_DCM_TILE 0 TILEPROP NULL_X109Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y132 NAME NULL_X109Y132 TILEPROP NULL_X109Y132 NUM_ARCS 0 TILEPROP NULL_X109Y132 NUM_SITES 0 TILEPROP NULL_X109Y132 ROW 24 TILEPROP NULL_X109Y132 SLR_REGION_ID 0 TILEPROP NULL_X109Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y132 TILE_TYPE NULL TILEPROP NULL_X109Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y132 TILE_X 97998 TILEPROP NULL_X109Y132 TILE_Y 165496 TILEPROP NULL_X109Y132 TYPE NULL TILEPROP NULL_X109Y133 CLASS tile TILEPROP NULL_X109Y133 COLUMN 109 TILEPROP NULL_X109Y133 DEVICE_ID 0 TILEPROP NULL_X109Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X109Y133 GRID_POINT_X 109 TILEPROP NULL_X109Y133 GRID_POINT_Y 23 TILEPROP NULL_X109Y133 INDEX 2754 TILEPROP NULL_X109Y133 INT_TILE_X -1 TILEPROP NULL_X109Y133 INT_TILE_Y -1 TILEPROP NULL_X109Y133 IS_CENTER_TILE 0 TILEPROP NULL_X109Y133 IS_DCM_TILE 0 TILEPROP NULL_X109Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y133 NAME NULL_X109Y133 TILEPROP NULL_X109Y133 NUM_ARCS 0 TILEPROP NULL_X109Y133 NUM_SITES 0 TILEPROP NULL_X109Y133 ROW 23 TILEPROP NULL_X109Y133 SLR_REGION_ID 0 TILEPROP NULL_X109Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y133 TILE_TYPE NULL TILEPROP NULL_X109Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y133 TILE_X 97998 TILEPROP NULL_X109Y133 TILE_Y 168696 TILEPROP NULL_X109Y133 TYPE NULL TILEPROP NULL_X109Y134 CLASS tile TILEPROP NULL_X109Y134 COLUMN 109 TILEPROP NULL_X109Y134 DEVICE_ID 0 TILEPROP NULL_X109Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X109Y134 GRID_POINT_X 109 TILEPROP NULL_X109Y134 GRID_POINT_Y 22 TILEPROP NULL_X109Y134 INDEX 2639 TILEPROP NULL_X109Y134 INT_TILE_X -1 TILEPROP NULL_X109Y134 INT_TILE_Y -1 TILEPROP NULL_X109Y134 IS_CENTER_TILE 0 TILEPROP NULL_X109Y134 IS_DCM_TILE 0 TILEPROP NULL_X109Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y134 NAME NULL_X109Y134 TILEPROP NULL_X109Y134 NUM_ARCS 0 TILEPROP NULL_X109Y134 NUM_SITES 0 TILEPROP NULL_X109Y134 ROW 22 TILEPROP NULL_X109Y134 SLR_REGION_ID 0 TILEPROP NULL_X109Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y134 TILE_TYPE NULL TILEPROP NULL_X109Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y134 TILE_X 97998 TILEPROP NULL_X109Y134 TILE_Y 171896 TILEPROP NULL_X109Y134 TYPE NULL TILEPROP NULL_X109Y135 CLASS tile TILEPROP NULL_X109Y135 COLUMN 109 TILEPROP NULL_X109Y135 DEVICE_ID 0 TILEPROP NULL_X109Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X109Y135 GRID_POINT_X 109 TILEPROP NULL_X109Y135 GRID_POINT_Y 21 TILEPROP NULL_X109Y135 INDEX 2524 TILEPROP NULL_X109Y135 INT_TILE_X -1 TILEPROP NULL_X109Y135 INT_TILE_Y -1 TILEPROP NULL_X109Y135 IS_CENTER_TILE 0 TILEPROP NULL_X109Y135 IS_DCM_TILE 0 TILEPROP NULL_X109Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y135 NAME NULL_X109Y135 TILEPROP NULL_X109Y135 NUM_ARCS 0 TILEPROP NULL_X109Y135 NUM_SITES 0 TILEPROP NULL_X109Y135 ROW 21 TILEPROP NULL_X109Y135 SLR_REGION_ID 0 TILEPROP NULL_X109Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y135 TILE_TYPE NULL TILEPROP NULL_X109Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y135 TILE_X 97998 TILEPROP NULL_X109Y135 TILE_Y 175096 TILEPROP NULL_X109Y135 TYPE NULL TILEPROP NULL_X109Y136 CLASS tile TILEPROP NULL_X109Y136 COLUMN 109 TILEPROP NULL_X109Y136 DEVICE_ID 0 TILEPROP NULL_X109Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X109Y136 GRID_POINT_X 109 TILEPROP NULL_X109Y136 GRID_POINT_Y 20 TILEPROP NULL_X109Y136 INDEX 2409 TILEPROP NULL_X109Y136 INT_TILE_X -1 TILEPROP NULL_X109Y136 INT_TILE_Y -1 TILEPROP NULL_X109Y136 IS_CENTER_TILE 0 TILEPROP NULL_X109Y136 IS_DCM_TILE 0 TILEPROP NULL_X109Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y136 NAME NULL_X109Y136 TILEPROP NULL_X109Y136 NUM_ARCS 0 TILEPROP NULL_X109Y136 NUM_SITES 0 TILEPROP NULL_X109Y136 ROW 20 TILEPROP NULL_X109Y136 SLR_REGION_ID 0 TILEPROP NULL_X109Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y136 TILE_TYPE NULL TILEPROP NULL_X109Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y136 TILE_X 97998 TILEPROP NULL_X109Y136 TILE_Y 178296 TILEPROP NULL_X109Y136 TYPE NULL TILEPROP NULL_X109Y137 CLASS tile TILEPROP NULL_X109Y137 COLUMN 109 TILEPROP NULL_X109Y137 DEVICE_ID 0 TILEPROP NULL_X109Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X109Y137 GRID_POINT_X 109 TILEPROP NULL_X109Y137 GRID_POINT_Y 19 TILEPROP NULL_X109Y137 INDEX 2294 TILEPROP NULL_X109Y137 INT_TILE_X -1 TILEPROP NULL_X109Y137 INT_TILE_Y -1 TILEPROP NULL_X109Y137 IS_CENTER_TILE 0 TILEPROP NULL_X109Y137 IS_DCM_TILE 0 TILEPROP NULL_X109Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y137 NAME NULL_X109Y137 TILEPROP NULL_X109Y137 NUM_ARCS 0 TILEPROP NULL_X109Y137 NUM_SITES 0 TILEPROP NULL_X109Y137 ROW 19 TILEPROP NULL_X109Y137 SLR_REGION_ID 0 TILEPROP NULL_X109Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y137 TILE_TYPE NULL TILEPROP NULL_X109Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y137 TILE_X 97998 TILEPROP NULL_X109Y137 TILE_Y 181496 TILEPROP NULL_X109Y137 TYPE NULL TILEPROP NULL_X109Y138 CLASS tile TILEPROP NULL_X109Y138 COLUMN 109 TILEPROP NULL_X109Y138 DEVICE_ID 0 TILEPROP NULL_X109Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X109Y138 GRID_POINT_X 109 TILEPROP NULL_X109Y138 GRID_POINT_Y 18 TILEPROP NULL_X109Y138 INDEX 2179 TILEPROP NULL_X109Y138 INT_TILE_X -1 TILEPROP NULL_X109Y138 INT_TILE_Y -1 TILEPROP NULL_X109Y138 IS_CENTER_TILE 0 TILEPROP NULL_X109Y138 IS_DCM_TILE 0 TILEPROP NULL_X109Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y138 NAME NULL_X109Y138 TILEPROP NULL_X109Y138 NUM_ARCS 0 TILEPROP NULL_X109Y138 NUM_SITES 0 TILEPROP NULL_X109Y138 ROW 18 TILEPROP NULL_X109Y138 SLR_REGION_ID 0 TILEPROP NULL_X109Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y138 TILE_TYPE NULL TILEPROP NULL_X109Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y138 TILE_X 97998 TILEPROP NULL_X109Y138 TILE_Y 184696 TILEPROP NULL_X109Y138 TYPE NULL TILEPROP NULL_X109Y139 CLASS tile TILEPROP NULL_X109Y139 COLUMN 109 TILEPROP NULL_X109Y139 DEVICE_ID 0 TILEPROP NULL_X109Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X109Y139 GRID_POINT_X 109 TILEPROP NULL_X109Y139 GRID_POINT_Y 17 TILEPROP NULL_X109Y139 INDEX 2064 TILEPROP NULL_X109Y139 INT_TILE_X -1 TILEPROP NULL_X109Y139 INT_TILE_Y -1 TILEPROP NULL_X109Y139 IS_CENTER_TILE 0 TILEPROP NULL_X109Y139 IS_DCM_TILE 0 TILEPROP NULL_X109Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y139 NAME NULL_X109Y139 TILEPROP NULL_X109Y139 NUM_ARCS 0 TILEPROP NULL_X109Y139 NUM_SITES 0 TILEPROP NULL_X109Y139 ROW 17 TILEPROP NULL_X109Y139 SLR_REGION_ID 0 TILEPROP NULL_X109Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y139 TILE_TYPE NULL TILEPROP NULL_X109Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y139 TILE_X 97998 TILEPROP NULL_X109Y139 TILE_Y 187896 TILEPROP NULL_X109Y139 TYPE NULL TILEPROP NULL_X109Y140 CLASS tile TILEPROP NULL_X109Y140 COLUMN 109 TILEPROP NULL_X109Y140 DEVICE_ID 0 TILEPROP NULL_X109Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X109Y140 GRID_POINT_X 109 TILEPROP NULL_X109Y140 GRID_POINT_Y 16 TILEPROP NULL_X109Y140 INDEX 1949 TILEPROP NULL_X109Y140 INT_TILE_X -1 TILEPROP NULL_X109Y140 INT_TILE_Y -1 TILEPROP NULL_X109Y140 IS_CENTER_TILE 0 TILEPROP NULL_X109Y140 IS_DCM_TILE 0 TILEPROP NULL_X109Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y140 NAME NULL_X109Y140 TILEPROP NULL_X109Y140 NUM_ARCS 0 TILEPROP NULL_X109Y140 NUM_SITES 0 TILEPROP NULL_X109Y140 ROW 16 TILEPROP NULL_X109Y140 SLR_REGION_ID 0 TILEPROP NULL_X109Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y140 TILE_TYPE NULL TILEPROP NULL_X109Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y140 TILE_X 97998 TILEPROP NULL_X109Y140 TILE_Y 191096 TILEPROP NULL_X109Y140 TYPE NULL TILEPROP NULL_X109Y141 CLASS tile TILEPROP NULL_X109Y141 COLUMN 109 TILEPROP NULL_X109Y141 DEVICE_ID 0 TILEPROP NULL_X109Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X109Y141 GRID_POINT_X 109 TILEPROP NULL_X109Y141 GRID_POINT_Y 15 TILEPROP NULL_X109Y141 INDEX 1834 TILEPROP NULL_X109Y141 INT_TILE_X -1 TILEPROP NULL_X109Y141 INT_TILE_Y -1 TILEPROP NULL_X109Y141 IS_CENTER_TILE 0 TILEPROP NULL_X109Y141 IS_DCM_TILE 0 TILEPROP NULL_X109Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y141 NAME NULL_X109Y141 TILEPROP NULL_X109Y141 NUM_ARCS 0 TILEPROP NULL_X109Y141 NUM_SITES 0 TILEPROP NULL_X109Y141 ROW 15 TILEPROP NULL_X109Y141 SLR_REGION_ID 0 TILEPROP NULL_X109Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y141 TILE_TYPE NULL TILEPROP NULL_X109Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y141 TILE_X 97998 TILEPROP NULL_X109Y141 TILE_Y 194296 TILEPROP NULL_X109Y141 TYPE NULL TILEPROP NULL_X109Y142 CLASS tile TILEPROP NULL_X109Y142 COLUMN 109 TILEPROP NULL_X109Y142 DEVICE_ID 0 TILEPROP NULL_X109Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X109Y142 GRID_POINT_X 109 TILEPROP NULL_X109Y142 GRID_POINT_Y 14 TILEPROP NULL_X109Y142 INDEX 1719 TILEPROP NULL_X109Y142 INT_TILE_X -1 TILEPROP NULL_X109Y142 INT_TILE_Y -1 TILEPROP NULL_X109Y142 IS_CENTER_TILE 0 TILEPROP NULL_X109Y142 IS_DCM_TILE 0 TILEPROP NULL_X109Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y142 NAME NULL_X109Y142 TILEPROP NULL_X109Y142 NUM_ARCS 0 TILEPROP NULL_X109Y142 NUM_SITES 0 TILEPROP NULL_X109Y142 ROW 14 TILEPROP NULL_X109Y142 SLR_REGION_ID 0 TILEPROP NULL_X109Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y142 TILE_TYPE NULL TILEPROP NULL_X109Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y142 TILE_X 97998 TILEPROP NULL_X109Y142 TILE_Y 197496 TILEPROP NULL_X109Y142 TYPE NULL TILEPROP NULL_X109Y143 CLASS tile TILEPROP NULL_X109Y143 COLUMN 109 TILEPROP NULL_X109Y143 DEVICE_ID 0 TILEPROP NULL_X109Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X109Y143 GRID_POINT_X 109 TILEPROP NULL_X109Y143 GRID_POINT_Y 13 TILEPROP NULL_X109Y143 INDEX 1604 TILEPROP NULL_X109Y143 INT_TILE_X -1 TILEPROP NULL_X109Y143 INT_TILE_Y -1 TILEPROP NULL_X109Y143 IS_CENTER_TILE 0 TILEPROP NULL_X109Y143 IS_DCM_TILE 0 TILEPROP NULL_X109Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y143 NAME NULL_X109Y143 TILEPROP NULL_X109Y143 NUM_ARCS 0 TILEPROP NULL_X109Y143 NUM_SITES 0 TILEPROP NULL_X109Y143 ROW 13 TILEPROP NULL_X109Y143 SLR_REGION_ID 0 TILEPROP NULL_X109Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y143 TILE_TYPE NULL TILEPROP NULL_X109Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y143 TILE_X 97998 TILEPROP NULL_X109Y143 TILE_Y 200696 TILEPROP NULL_X109Y143 TYPE NULL TILEPROP NULL_X109Y144 CLASS tile TILEPROP NULL_X109Y144 COLUMN 109 TILEPROP NULL_X109Y144 DEVICE_ID 0 TILEPROP NULL_X109Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X109Y144 GRID_POINT_X 109 TILEPROP NULL_X109Y144 GRID_POINT_Y 12 TILEPROP NULL_X109Y144 INDEX 1489 TILEPROP NULL_X109Y144 INT_TILE_X -1 TILEPROP NULL_X109Y144 INT_TILE_Y -1 TILEPROP NULL_X109Y144 IS_CENTER_TILE 0 TILEPROP NULL_X109Y144 IS_DCM_TILE 0 TILEPROP NULL_X109Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y144 NAME NULL_X109Y144 TILEPROP NULL_X109Y144 NUM_ARCS 0 TILEPROP NULL_X109Y144 NUM_SITES 0 TILEPROP NULL_X109Y144 ROW 12 TILEPROP NULL_X109Y144 SLR_REGION_ID 0 TILEPROP NULL_X109Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y144 TILE_TYPE NULL TILEPROP NULL_X109Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y144 TILE_X 97998 TILEPROP NULL_X109Y144 TILE_Y 203896 TILEPROP NULL_X109Y144 TYPE NULL TILEPROP NULL_X109Y145 CLASS tile TILEPROP NULL_X109Y145 COLUMN 109 TILEPROP NULL_X109Y145 DEVICE_ID 0 TILEPROP NULL_X109Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X109Y145 GRID_POINT_X 109 TILEPROP NULL_X109Y145 GRID_POINT_Y 11 TILEPROP NULL_X109Y145 INDEX 1374 TILEPROP NULL_X109Y145 INT_TILE_X -1 TILEPROP NULL_X109Y145 INT_TILE_Y -1 TILEPROP NULL_X109Y145 IS_CENTER_TILE 0 TILEPROP NULL_X109Y145 IS_DCM_TILE 0 TILEPROP NULL_X109Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y145 NAME NULL_X109Y145 TILEPROP NULL_X109Y145 NUM_ARCS 0 TILEPROP NULL_X109Y145 NUM_SITES 0 TILEPROP NULL_X109Y145 ROW 11 TILEPROP NULL_X109Y145 SLR_REGION_ID 0 TILEPROP NULL_X109Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y145 TILE_TYPE NULL TILEPROP NULL_X109Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y145 TILE_X 97998 TILEPROP NULL_X109Y145 TILE_Y 207096 TILEPROP NULL_X109Y145 TYPE NULL TILEPROP NULL_X109Y146 CLASS tile TILEPROP NULL_X109Y146 COLUMN 109 TILEPROP NULL_X109Y146 DEVICE_ID 0 TILEPROP NULL_X109Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X109Y146 GRID_POINT_X 109 TILEPROP NULL_X109Y146 GRID_POINT_Y 10 TILEPROP NULL_X109Y146 INDEX 1259 TILEPROP NULL_X109Y146 INT_TILE_X -1 TILEPROP NULL_X109Y146 INT_TILE_Y -1 TILEPROP NULL_X109Y146 IS_CENTER_TILE 0 TILEPROP NULL_X109Y146 IS_DCM_TILE 0 TILEPROP NULL_X109Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y146 NAME NULL_X109Y146 TILEPROP NULL_X109Y146 NUM_ARCS 0 TILEPROP NULL_X109Y146 NUM_SITES 0 TILEPROP NULL_X109Y146 ROW 10 TILEPROP NULL_X109Y146 SLR_REGION_ID 0 TILEPROP NULL_X109Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y146 TILE_TYPE NULL TILEPROP NULL_X109Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y146 TILE_X 97998 TILEPROP NULL_X109Y146 TILE_Y 210296 TILEPROP NULL_X109Y146 TYPE NULL TILEPROP NULL_X109Y147 CLASS tile TILEPROP NULL_X109Y147 COLUMN 109 TILEPROP NULL_X109Y147 DEVICE_ID 0 TILEPROP NULL_X109Y147 FIRST_SITE_ID 920 TILEPROP NULL_X109Y147 GRID_POINT_X 109 TILEPROP NULL_X109Y147 GRID_POINT_Y 9 TILEPROP NULL_X109Y147 INDEX 1144 TILEPROP NULL_X109Y147 INT_TILE_X -1 TILEPROP NULL_X109Y147 INT_TILE_Y -1 TILEPROP NULL_X109Y147 IS_CENTER_TILE 0 TILEPROP NULL_X109Y147 IS_DCM_TILE 0 TILEPROP NULL_X109Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y147 NAME NULL_X109Y147 TILEPROP NULL_X109Y147 NUM_ARCS 0 TILEPROP NULL_X109Y147 NUM_SITES 0 TILEPROP NULL_X109Y147 ROW 9 TILEPROP NULL_X109Y147 SLR_REGION_ID 0 TILEPROP NULL_X109Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y147 TILE_TYPE NULL TILEPROP NULL_X109Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y147 TILE_X 97998 TILEPROP NULL_X109Y147 TILE_Y 213496 TILEPROP NULL_X109Y147 TYPE NULL TILEPROP NULL_X109Y148 CLASS tile TILEPROP NULL_X109Y148 COLUMN 109 TILEPROP NULL_X109Y148 DEVICE_ID 0 TILEPROP NULL_X109Y148 FIRST_SITE_ID 816 TILEPROP NULL_X109Y148 GRID_POINT_X 109 TILEPROP NULL_X109Y148 GRID_POINT_Y 8 TILEPROP NULL_X109Y148 INDEX 1029 TILEPROP NULL_X109Y148 INT_TILE_X -1 TILEPROP NULL_X109Y148 INT_TILE_Y -1 TILEPROP NULL_X109Y148 IS_CENTER_TILE 0 TILEPROP NULL_X109Y148 IS_DCM_TILE 0 TILEPROP NULL_X109Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y148 NAME NULL_X109Y148 TILEPROP NULL_X109Y148 NUM_ARCS 0 TILEPROP NULL_X109Y148 NUM_SITES 0 TILEPROP NULL_X109Y148 ROW 8 TILEPROP NULL_X109Y148 SLR_REGION_ID 0 TILEPROP NULL_X109Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y148 TILE_TYPE NULL TILEPROP NULL_X109Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y148 TILE_X 97998 TILEPROP NULL_X109Y148 TILE_Y 216696 TILEPROP NULL_X109Y148 TYPE NULL TILEPROP NULL_X109Y149 CLASS tile TILEPROP NULL_X109Y149 COLUMN 109 TILEPROP NULL_X109Y149 DEVICE_ID 0 TILEPROP NULL_X109Y149 FIRST_SITE_ID 719 TILEPROP NULL_X109Y149 GRID_POINT_X 109 TILEPROP NULL_X109Y149 GRID_POINT_Y 7 TILEPROP NULL_X109Y149 INDEX 914 TILEPROP NULL_X109Y149 INT_TILE_X -1 TILEPROP NULL_X109Y149 INT_TILE_Y -1 TILEPROP NULL_X109Y149 IS_CENTER_TILE 0 TILEPROP NULL_X109Y149 IS_DCM_TILE 0 TILEPROP NULL_X109Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y149 NAME NULL_X109Y149 TILEPROP NULL_X109Y149 NUM_ARCS 0 TILEPROP NULL_X109Y149 NUM_SITES 0 TILEPROP NULL_X109Y149 ROW 7 TILEPROP NULL_X109Y149 SLR_REGION_ID 0 TILEPROP NULL_X109Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y149 TILE_TYPE NULL TILEPROP NULL_X109Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y149 TILE_X 97998 TILEPROP NULL_X109Y149 TILE_Y 219896 TILEPROP NULL_X109Y149 TYPE NULL TILEPROP NULL_X109Y150 CLASS tile TILEPROP NULL_X109Y150 COLUMN 109 TILEPROP NULL_X109Y150 DEVICE_ID 0 TILEPROP NULL_X109Y150 FIRST_SITE_ID 613 TILEPROP NULL_X109Y150 GRID_POINT_X 109 TILEPROP NULL_X109Y150 GRID_POINT_Y 6 TILEPROP NULL_X109Y150 INDEX 799 TILEPROP NULL_X109Y150 INT_TILE_X -1 TILEPROP NULL_X109Y150 INT_TILE_Y -1 TILEPROP NULL_X109Y150 IS_CENTER_TILE 0 TILEPROP NULL_X109Y150 IS_DCM_TILE 0 TILEPROP NULL_X109Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y150 NAME NULL_X109Y150 TILEPROP NULL_X109Y150 NUM_ARCS 0 TILEPROP NULL_X109Y150 NUM_SITES 0 TILEPROP NULL_X109Y150 ROW 6 TILEPROP NULL_X109Y150 SLR_REGION_ID 0 TILEPROP NULL_X109Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y150 TILE_TYPE NULL TILEPROP NULL_X109Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y150 TILE_X 97998 TILEPROP NULL_X109Y150 TILE_Y 223096 TILEPROP NULL_X109Y150 TYPE NULL TILEPROP NULL_X109Y151 CLASS tile TILEPROP NULL_X109Y151 COLUMN 109 TILEPROP NULL_X109Y151 DEVICE_ID 0 TILEPROP NULL_X109Y151 FIRST_SITE_ID 512 TILEPROP NULL_X109Y151 GRID_POINT_X 109 TILEPROP NULL_X109Y151 GRID_POINT_Y 5 TILEPROP NULL_X109Y151 INDEX 684 TILEPROP NULL_X109Y151 INT_TILE_X -1 TILEPROP NULL_X109Y151 INT_TILE_Y -1 TILEPROP NULL_X109Y151 IS_CENTER_TILE 0 TILEPROP NULL_X109Y151 IS_DCM_TILE 0 TILEPROP NULL_X109Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y151 NAME NULL_X109Y151 TILEPROP NULL_X109Y151 NUM_ARCS 0 TILEPROP NULL_X109Y151 NUM_SITES 0 TILEPROP NULL_X109Y151 ROW 5 TILEPROP NULL_X109Y151 SLR_REGION_ID 0 TILEPROP NULL_X109Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y151 TILE_TYPE NULL TILEPROP NULL_X109Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y151 TILE_X 97998 TILEPROP NULL_X109Y151 TILE_Y 226296 TILEPROP NULL_X109Y151 TYPE NULL TILEPROP NULL_X109Y152 CLASS tile TILEPROP NULL_X109Y152 COLUMN 109 TILEPROP NULL_X109Y152 DEVICE_ID 0 TILEPROP NULL_X109Y152 FIRST_SITE_ID 396 TILEPROP NULL_X109Y152 GRID_POINT_X 109 TILEPROP NULL_X109Y152 GRID_POINT_Y 4 TILEPROP NULL_X109Y152 INDEX 569 TILEPROP NULL_X109Y152 INT_TILE_X -1 TILEPROP NULL_X109Y152 INT_TILE_Y -1 TILEPROP NULL_X109Y152 IS_CENTER_TILE 0 TILEPROP NULL_X109Y152 IS_DCM_TILE 0 TILEPROP NULL_X109Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y152 NAME NULL_X109Y152 TILEPROP NULL_X109Y152 NUM_ARCS 0 TILEPROP NULL_X109Y152 NUM_SITES 0 TILEPROP NULL_X109Y152 ROW 4 TILEPROP NULL_X109Y152 SLR_REGION_ID 0 TILEPROP NULL_X109Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y152 TILE_TYPE NULL TILEPROP NULL_X109Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y152 TILE_X 97998 TILEPROP NULL_X109Y152 TILE_Y 229496 TILEPROP NULL_X109Y152 TYPE NULL TILEPROP NULL_X109Y153 CLASS tile TILEPROP NULL_X109Y153 COLUMN 109 TILEPROP NULL_X109Y153 DEVICE_ID 0 TILEPROP NULL_X109Y153 FIRST_SITE_ID 300 TILEPROP NULL_X109Y153 GRID_POINT_X 109 TILEPROP NULL_X109Y153 GRID_POINT_Y 3 TILEPROP NULL_X109Y153 INDEX 454 TILEPROP NULL_X109Y153 INT_TILE_X -1 TILEPROP NULL_X109Y153 INT_TILE_Y -1 TILEPROP NULL_X109Y153 IS_CENTER_TILE 0 TILEPROP NULL_X109Y153 IS_DCM_TILE 0 TILEPROP NULL_X109Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y153 NAME NULL_X109Y153 TILEPROP NULL_X109Y153 NUM_ARCS 0 TILEPROP NULL_X109Y153 NUM_SITES 0 TILEPROP NULL_X109Y153 ROW 3 TILEPROP NULL_X109Y153 SLR_REGION_ID 0 TILEPROP NULL_X109Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y153 TILE_TYPE NULL TILEPROP NULL_X109Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y153 TILE_X 97998 TILEPROP NULL_X109Y153 TILE_Y 232696 TILEPROP NULL_X109Y153 TYPE NULL TILEPROP NULL_X109Y154 CLASS tile TILEPROP NULL_X109Y154 COLUMN 109 TILEPROP NULL_X109Y154 DEVICE_ID 0 TILEPROP NULL_X109Y154 FIRST_SITE_ID 196 TILEPROP NULL_X109Y154 GRID_POINT_X 109 TILEPROP NULL_X109Y154 GRID_POINT_Y 2 TILEPROP NULL_X109Y154 INDEX 339 TILEPROP NULL_X109Y154 INT_TILE_X -1 TILEPROP NULL_X109Y154 INT_TILE_Y -1 TILEPROP NULL_X109Y154 IS_CENTER_TILE 0 TILEPROP NULL_X109Y154 IS_DCM_TILE 0 TILEPROP NULL_X109Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y154 NAME NULL_X109Y154 TILEPROP NULL_X109Y154 NUM_ARCS 0 TILEPROP NULL_X109Y154 NUM_SITES 0 TILEPROP NULL_X109Y154 ROW 2 TILEPROP NULL_X109Y154 SLR_REGION_ID 0 TILEPROP NULL_X109Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y154 TILE_TYPE NULL TILEPROP NULL_X109Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y154 TILE_X 97998 TILEPROP NULL_X109Y154 TILE_Y 235896 TILEPROP NULL_X109Y154 TYPE NULL TILEPROP NULL_X109Y155 CLASS tile TILEPROP NULL_X109Y155 COLUMN 109 TILEPROP NULL_X109Y155 DEVICE_ID 0 TILEPROP NULL_X109Y155 FIRST_SITE_ID 100 TILEPROP NULL_X109Y155 GRID_POINT_X 109 TILEPROP NULL_X109Y155 GRID_POINT_Y 1 TILEPROP NULL_X109Y155 INDEX 224 TILEPROP NULL_X109Y155 INT_TILE_X -1 TILEPROP NULL_X109Y155 INT_TILE_Y -1 TILEPROP NULL_X109Y155 IS_CENTER_TILE 0 TILEPROP NULL_X109Y155 IS_DCM_TILE 0 TILEPROP NULL_X109Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y155 NAME NULL_X109Y155 TILEPROP NULL_X109Y155 NUM_ARCS 0 TILEPROP NULL_X109Y155 NUM_SITES 0 TILEPROP NULL_X109Y155 ROW 1 TILEPROP NULL_X109Y155 SLR_REGION_ID 0 TILEPROP NULL_X109Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y155 TILE_TYPE NULL TILEPROP NULL_X109Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y155 TILE_X 97998 TILEPROP NULL_X109Y155 TILE_Y 239096 TILEPROP NULL_X109Y155 TYPE NULL TILEPROP NULL_X109Y156 CLASS tile TILEPROP NULL_X109Y156 COLUMN 109 TILEPROP NULL_X109Y156 DEVICE_ID 0 TILEPROP NULL_X109Y156 FIRST_SITE_ID 0 TILEPROP NULL_X109Y156 GRID_POINT_X 109 TILEPROP NULL_X109Y156 GRID_POINT_Y 0 TILEPROP NULL_X109Y156 INDEX 109 TILEPROP NULL_X109Y156 INT_TILE_X -1 TILEPROP NULL_X109Y156 INT_TILE_Y -1 TILEPROP NULL_X109Y156 IS_CENTER_TILE 0 TILEPROP NULL_X109Y156 IS_DCM_TILE 0 TILEPROP NULL_X109Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X109Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X109Y156 NAME NULL_X109Y156 TILEPROP NULL_X109Y156 NUM_ARCS 0 TILEPROP NULL_X109Y156 NUM_SITES 0 TILEPROP NULL_X109Y156 ROW 0 TILEPROP NULL_X109Y156 SLR_REGION_ID 0 TILEPROP NULL_X109Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X109Y156 TILE_TYPE NULL TILEPROP NULL_X109Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X109Y156 TILE_X 97998 TILEPROP NULL_X109Y156 TILE_Y 242296 TILEPROP NULL_X109Y156 TYPE NULL TILEPROP NULL_X10Y0 CLASS tile TILEPROP NULL_X10Y0 COLUMN 10 TILEPROP NULL_X10Y0 DEVICE_ID 0 TILEPROP NULL_X10Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X10Y0 GRID_POINT_X 10 TILEPROP NULL_X10Y0 GRID_POINT_Y 156 TILEPROP NULL_X10Y0 INDEX 17950 TILEPROP NULL_X10Y0 INT_TILE_X 1 TILEPROP NULL_X10Y0 INT_TILE_Y 149 TILEPROP NULL_X10Y0 IS_CENTER_TILE 0 TILEPROP NULL_X10Y0 IS_DCM_TILE 0 TILEPROP NULL_X10Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X10Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X10Y0 NAME NULL_X10Y0 TILEPROP NULL_X10Y0 NUM_ARCS 0 TILEPROP NULL_X10Y0 NUM_SITES 0 TILEPROP NULL_X10Y0 ROW 156 TILEPROP NULL_X10Y0 SLR_REGION_ID 0 TILEPROP NULL_X10Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X10Y0 TILE_TYPE NULL TILEPROP NULL_X10Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X10Y0 TILE_X -87558 TILEPROP NULL_X10Y0 TILE_Y -244472 TILEPROP NULL_X10Y0 TYPE NULL TILEPROP NULL_X10Y156 CLASS tile TILEPROP NULL_X10Y156 COLUMN 10 TILEPROP NULL_X10Y156 DEVICE_ID 0 TILEPROP NULL_X10Y156 FIRST_SITE_ID 0 TILEPROP NULL_X10Y156 GRID_POINT_X 10 TILEPROP NULL_X10Y156 GRID_POINT_Y 0 TILEPROP NULL_X10Y156 INDEX 10 TILEPROP NULL_X10Y156 INT_TILE_X -1 TILEPROP NULL_X10Y156 INT_TILE_Y -1 TILEPROP NULL_X10Y156 IS_CENTER_TILE 0 TILEPROP NULL_X10Y156 IS_DCM_TILE 0 TILEPROP NULL_X10Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X10Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X10Y156 NAME NULL_X10Y156 TILEPROP NULL_X10Y156 NUM_ARCS 0 TILEPROP NULL_X10Y156 NUM_SITES 0 TILEPROP NULL_X10Y156 ROW 0 TILEPROP NULL_X10Y156 SLR_REGION_ID 0 TILEPROP NULL_X10Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X10Y156 TILE_TYPE NULL TILEPROP NULL_X10Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X10Y156 TILE_X -87558 TILEPROP NULL_X10Y156 TILE_Y 242296 TILEPROP NULL_X10Y156 TYPE NULL TILEPROP NULL_X110Y105 CLASS tile TILEPROP NULL_X110Y105 COLUMN 110 TILEPROP NULL_X110Y105 DEVICE_ID 0 TILEPROP NULL_X110Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X110Y105 GRID_POINT_X 110 TILEPROP NULL_X110Y105 GRID_POINT_Y 51 TILEPROP NULL_X110Y105 INDEX 5975 TILEPROP NULL_X110Y105 INT_TILE_X -1 TILEPROP NULL_X110Y105 INT_TILE_Y -1 TILEPROP NULL_X110Y105 IS_CENTER_TILE 0 TILEPROP NULL_X110Y105 IS_DCM_TILE 0 TILEPROP NULL_X110Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y105 NAME NULL_X110Y105 TILEPROP NULL_X110Y105 NUM_ARCS 0 TILEPROP NULL_X110Y105 NUM_SITES 0 TILEPROP NULL_X110Y105 ROW 51 TILEPROP NULL_X110Y105 SLR_REGION_ID 0 TILEPROP NULL_X110Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y105 TILE_TYPE NULL TILEPROP NULL_X110Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y105 TILE_X 101454 TILEPROP NULL_X110Y105 TILE_Y 81272 TILEPROP NULL_X110Y105 TYPE NULL TILEPROP NULL_X110Y106 CLASS tile TILEPROP NULL_X110Y106 COLUMN 110 TILEPROP NULL_X110Y106 DEVICE_ID 0 TILEPROP NULL_X110Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X110Y106 GRID_POINT_X 110 TILEPROP NULL_X110Y106 GRID_POINT_Y 50 TILEPROP NULL_X110Y106 INDEX 5860 TILEPROP NULL_X110Y106 INT_TILE_X -1 TILEPROP NULL_X110Y106 INT_TILE_Y -1 TILEPROP NULL_X110Y106 IS_CENTER_TILE 0 TILEPROP NULL_X110Y106 IS_DCM_TILE 0 TILEPROP NULL_X110Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y106 NAME NULL_X110Y106 TILEPROP NULL_X110Y106 NUM_ARCS 0 TILEPROP NULL_X110Y106 NUM_SITES 0 TILEPROP NULL_X110Y106 ROW 50 TILEPROP NULL_X110Y106 SLR_REGION_ID 0 TILEPROP NULL_X110Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y106 TILE_TYPE NULL TILEPROP NULL_X110Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y106 TILE_X 101454 TILEPROP NULL_X110Y106 TILE_Y 84472 TILEPROP NULL_X110Y106 TYPE NULL TILEPROP NULL_X110Y107 CLASS tile TILEPROP NULL_X110Y107 COLUMN 110 TILEPROP NULL_X110Y107 DEVICE_ID 0 TILEPROP NULL_X110Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X110Y107 GRID_POINT_X 110 TILEPROP NULL_X110Y107 GRID_POINT_Y 49 TILEPROP NULL_X110Y107 INDEX 5745 TILEPROP NULL_X110Y107 INT_TILE_X -1 TILEPROP NULL_X110Y107 INT_TILE_Y -1 TILEPROP NULL_X110Y107 IS_CENTER_TILE 0 TILEPROP NULL_X110Y107 IS_DCM_TILE 0 TILEPROP NULL_X110Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y107 NAME NULL_X110Y107 TILEPROP NULL_X110Y107 NUM_ARCS 0 TILEPROP NULL_X110Y107 NUM_SITES 0 TILEPROP NULL_X110Y107 ROW 49 TILEPROP NULL_X110Y107 SLR_REGION_ID 0 TILEPROP NULL_X110Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y107 TILE_TYPE NULL TILEPROP NULL_X110Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y107 TILE_X 101454 TILEPROP NULL_X110Y107 TILE_Y 87672 TILEPROP NULL_X110Y107 TYPE NULL TILEPROP NULL_X110Y108 CLASS tile TILEPROP NULL_X110Y108 COLUMN 110 TILEPROP NULL_X110Y108 DEVICE_ID 0 TILEPROP NULL_X110Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X110Y108 GRID_POINT_X 110 TILEPROP NULL_X110Y108 GRID_POINT_Y 48 TILEPROP NULL_X110Y108 INDEX 5630 TILEPROP NULL_X110Y108 INT_TILE_X -1 TILEPROP NULL_X110Y108 INT_TILE_Y -1 TILEPROP NULL_X110Y108 IS_CENTER_TILE 0 TILEPROP NULL_X110Y108 IS_DCM_TILE 0 TILEPROP NULL_X110Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y108 NAME NULL_X110Y108 TILEPROP NULL_X110Y108 NUM_ARCS 0 TILEPROP NULL_X110Y108 NUM_SITES 0 TILEPROP NULL_X110Y108 ROW 48 TILEPROP NULL_X110Y108 SLR_REGION_ID 0 TILEPROP NULL_X110Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y108 TILE_TYPE NULL TILEPROP NULL_X110Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y108 TILE_X 101454 TILEPROP NULL_X110Y108 TILE_Y 90872 TILEPROP NULL_X110Y108 TYPE NULL TILEPROP NULL_X110Y109 CLASS tile TILEPROP NULL_X110Y109 COLUMN 110 TILEPROP NULL_X110Y109 DEVICE_ID 0 TILEPROP NULL_X110Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X110Y109 GRID_POINT_X 110 TILEPROP NULL_X110Y109 GRID_POINT_Y 47 TILEPROP NULL_X110Y109 INDEX 5515 TILEPROP NULL_X110Y109 INT_TILE_X -1 TILEPROP NULL_X110Y109 INT_TILE_Y -1 TILEPROP NULL_X110Y109 IS_CENTER_TILE 0 TILEPROP NULL_X110Y109 IS_DCM_TILE 0 TILEPROP NULL_X110Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y109 NAME NULL_X110Y109 TILEPROP NULL_X110Y109 NUM_ARCS 0 TILEPROP NULL_X110Y109 NUM_SITES 0 TILEPROP NULL_X110Y109 ROW 47 TILEPROP NULL_X110Y109 SLR_REGION_ID 0 TILEPROP NULL_X110Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y109 TILE_TYPE NULL TILEPROP NULL_X110Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y109 TILE_X 101454 TILEPROP NULL_X110Y109 TILE_Y 94072 TILEPROP NULL_X110Y109 TYPE NULL TILEPROP NULL_X110Y110 CLASS tile TILEPROP NULL_X110Y110 COLUMN 110 TILEPROP NULL_X110Y110 DEVICE_ID 0 TILEPROP NULL_X110Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X110Y110 GRID_POINT_X 110 TILEPROP NULL_X110Y110 GRID_POINT_Y 46 TILEPROP NULL_X110Y110 INDEX 5400 TILEPROP NULL_X110Y110 INT_TILE_X -1 TILEPROP NULL_X110Y110 INT_TILE_Y -1 TILEPROP NULL_X110Y110 IS_CENTER_TILE 0 TILEPROP NULL_X110Y110 IS_DCM_TILE 0 TILEPROP NULL_X110Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y110 NAME NULL_X110Y110 TILEPROP NULL_X110Y110 NUM_ARCS 0 TILEPROP NULL_X110Y110 NUM_SITES 0 TILEPROP NULL_X110Y110 ROW 46 TILEPROP NULL_X110Y110 SLR_REGION_ID 0 TILEPROP NULL_X110Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y110 TILE_TYPE NULL TILEPROP NULL_X110Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y110 TILE_X 101454 TILEPROP NULL_X110Y110 TILE_Y 97272 TILEPROP NULL_X110Y110 TYPE NULL TILEPROP NULL_X110Y111 CLASS tile TILEPROP NULL_X110Y111 COLUMN 110 TILEPROP NULL_X110Y111 DEVICE_ID 0 TILEPROP NULL_X110Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X110Y111 GRID_POINT_X 110 TILEPROP NULL_X110Y111 GRID_POINT_Y 45 TILEPROP NULL_X110Y111 INDEX 5285 TILEPROP NULL_X110Y111 INT_TILE_X -1 TILEPROP NULL_X110Y111 INT_TILE_Y -1 TILEPROP NULL_X110Y111 IS_CENTER_TILE 0 TILEPROP NULL_X110Y111 IS_DCM_TILE 0 TILEPROP NULL_X110Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y111 NAME NULL_X110Y111 TILEPROP NULL_X110Y111 NUM_ARCS 0 TILEPROP NULL_X110Y111 NUM_SITES 0 TILEPROP NULL_X110Y111 ROW 45 TILEPROP NULL_X110Y111 SLR_REGION_ID 0 TILEPROP NULL_X110Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y111 TILE_TYPE NULL TILEPROP NULL_X110Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y111 TILE_X 101454 TILEPROP NULL_X110Y111 TILE_Y 100472 TILEPROP NULL_X110Y111 TYPE NULL TILEPROP NULL_X110Y112 CLASS tile TILEPROP NULL_X110Y112 COLUMN 110 TILEPROP NULL_X110Y112 DEVICE_ID 0 TILEPROP NULL_X110Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X110Y112 GRID_POINT_X 110 TILEPROP NULL_X110Y112 GRID_POINT_Y 44 TILEPROP NULL_X110Y112 INDEX 5170 TILEPROP NULL_X110Y112 INT_TILE_X -1 TILEPROP NULL_X110Y112 INT_TILE_Y -1 TILEPROP NULL_X110Y112 IS_CENTER_TILE 0 TILEPROP NULL_X110Y112 IS_DCM_TILE 0 TILEPROP NULL_X110Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y112 NAME NULL_X110Y112 TILEPROP NULL_X110Y112 NUM_ARCS 0 TILEPROP NULL_X110Y112 NUM_SITES 0 TILEPROP NULL_X110Y112 ROW 44 TILEPROP NULL_X110Y112 SLR_REGION_ID 0 TILEPROP NULL_X110Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y112 TILE_TYPE NULL TILEPROP NULL_X110Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y112 TILE_X 101454 TILEPROP NULL_X110Y112 TILE_Y 103672 TILEPROP NULL_X110Y112 TYPE NULL TILEPROP NULL_X110Y113 CLASS tile TILEPROP NULL_X110Y113 COLUMN 110 TILEPROP NULL_X110Y113 DEVICE_ID 0 TILEPROP NULL_X110Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X110Y113 GRID_POINT_X 110 TILEPROP NULL_X110Y113 GRID_POINT_Y 43 TILEPROP NULL_X110Y113 INDEX 5055 TILEPROP NULL_X110Y113 INT_TILE_X -1 TILEPROP NULL_X110Y113 INT_TILE_Y -1 TILEPROP NULL_X110Y113 IS_CENTER_TILE 0 TILEPROP NULL_X110Y113 IS_DCM_TILE 0 TILEPROP NULL_X110Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y113 NAME NULL_X110Y113 TILEPROP NULL_X110Y113 NUM_ARCS 0 TILEPROP NULL_X110Y113 NUM_SITES 0 TILEPROP NULL_X110Y113 ROW 43 TILEPROP NULL_X110Y113 SLR_REGION_ID 0 TILEPROP NULL_X110Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y113 TILE_TYPE NULL TILEPROP NULL_X110Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y113 TILE_X 101454 TILEPROP NULL_X110Y113 TILE_Y 106872 TILEPROP NULL_X110Y113 TYPE NULL TILEPROP NULL_X110Y114 CLASS tile TILEPROP NULL_X110Y114 COLUMN 110 TILEPROP NULL_X110Y114 DEVICE_ID 0 TILEPROP NULL_X110Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X110Y114 GRID_POINT_X 110 TILEPROP NULL_X110Y114 GRID_POINT_Y 42 TILEPROP NULL_X110Y114 INDEX 4940 TILEPROP NULL_X110Y114 INT_TILE_X -1 TILEPROP NULL_X110Y114 INT_TILE_Y -1 TILEPROP NULL_X110Y114 IS_CENTER_TILE 0 TILEPROP NULL_X110Y114 IS_DCM_TILE 0 TILEPROP NULL_X110Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y114 NAME NULL_X110Y114 TILEPROP NULL_X110Y114 NUM_ARCS 0 TILEPROP NULL_X110Y114 NUM_SITES 0 TILEPROP NULL_X110Y114 ROW 42 TILEPROP NULL_X110Y114 SLR_REGION_ID 0 TILEPROP NULL_X110Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y114 TILE_TYPE NULL TILEPROP NULL_X110Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y114 TILE_X 101454 TILEPROP NULL_X110Y114 TILE_Y 110072 TILEPROP NULL_X110Y114 TYPE NULL TILEPROP NULL_X110Y115 CLASS tile TILEPROP NULL_X110Y115 COLUMN 110 TILEPROP NULL_X110Y115 DEVICE_ID 0 TILEPROP NULL_X110Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X110Y115 GRID_POINT_X 110 TILEPROP NULL_X110Y115 GRID_POINT_Y 41 TILEPROP NULL_X110Y115 INDEX 4825 TILEPROP NULL_X110Y115 INT_TILE_X -1 TILEPROP NULL_X110Y115 INT_TILE_Y -1 TILEPROP NULL_X110Y115 IS_CENTER_TILE 0 TILEPROP NULL_X110Y115 IS_DCM_TILE 0 TILEPROP NULL_X110Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y115 NAME NULL_X110Y115 TILEPROP NULL_X110Y115 NUM_ARCS 0 TILEPROP NULL_X110Y115 NUM_SITES 0 TILEPROP NULL_X110Y115 ROW 41 TILEPROP NULL_X110Y115 SLR_REGION_ID 0 TILEPROP NULL_X110Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y115 TILE_TYPE NULL TILEPROP NULL_X110Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y115 TILE_X 101454 TILEPROP NULL_X110Y115 TILE_Y 113272 TILEPROP NULL_X110Y115 TYPE NULL TILEPROP NULL_X110Y116 CLASS tile TILEPROP NULL_X110Y116 COLUMN 110 TILEPROP NULL_X110Y116 DEVICE_ID 0 TILEPROP NULL_X110Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X110Y116 GRID_POINT_X 110 TILEPROP NULL_X110Y116 GRID_POINT_Y 40 TILEPROP NULL_X110Y116 INDEX 4710 TILEPROP NULL_X110Y116 INT_TILE_X -1 TILEPROP NULL_X110Y116 INT_TILE_Y -1 TILEPROP NULL_X110Y116 IS_CENTER_TILE 0 TILEPROP NULL_X110Y116 IS_DCM_TILE 0 TILEPROP NULL_X110Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y116 NAME NULL_X110Y116 TILEPROP NULL_X110Y116 NUM_ARCS 0 TILEPROP NULL_X110Y116 NUM_SITES 0 TILEPROP NULL_X110Y116 ROW 40 TILEPROP NULL_X110Y116 SLR_REGION_ID 0 TILEPROP NULL_X110Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y116 TILE_TYPE NULL TILEPROP NULL_X110Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y116 TILE_X 101454 TILEPROP NULL_X110Y116 TILE_Y 116472 TILEPROP NULL_X110Y116 TYPE NULL TILEPROP NULL_X110Y117 CLASS tile TILEPROP NULL_X110Y117 COLUMN 110 TILEPROP NULL_X110Y117 DEVICE_ID 0 TILEPROP NULL_X110Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X110Y117 GRID_POINT_X 110 TILEPROP NULL_X110Y117 GRID_POINT_Y 39 TILEPROP NULL_X110Y117 INDEX 4595 TILEPROP NULL_X110Y117 INT_TILE_X -1 TILEPROP NULL_X110Y117 INT_TILE_Y -1 TILEPROP NULL_X110Y117 IS_CENTER_TILE 0 TILEPROP NULL_X110Y117 IS_DCM_TILE 0 TILEPROP NULL_X110Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y117 NAME NULL_X110Y117 TILEPROP NULL_X110Y117 NUM_ARCS 0 TILEPROP NULL_X110Y117 NUM_SITES 0 TILEPROP NULL_X110Y117 ROW 39 TILEPROP NULL_X110Y117 SLR_REGION_ID 0 TILEPROP NULL_X110Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y117 TILE_TYPE NULL TILEPROP NULL_X110Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y117 TILE_X 101454 TILEPROP NULL_X110Y117 TILE_Y 119672 TILEPROP NULL_X110Y117 TYPE NULL TILEPROP NULL_X110Y118 CLASS tile TILEPROP NULL_X110Y118 COLUMN 110 TILEPROP NULL_X110Y118 DEVICE_ID 0 TILEPROP NULL_X110Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X110Y118 GRID_POINT_X 110 TILEPROP NULL_X110Y118 GRID_POINT_Y 38 TILEPROP NULL_X110Y118 INDEX 4480 TILEPROP NULL_X110Y118 INT_TILE_X -1 TILEPROP NULL_X110Y118 INT_TILE_Y -1 TILEPROP NULL_X110Y118 IS_CENTER_TILE 0 TILEPROP NULL_X110Y118 IS_DCM_TILE 0 TILEPROP NULL_X110Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y118 NAME NULL_X110Y118 TILEPROP NULL_X110Y118 NUM_ARCS 0 TILEPROP NULL_X110Y118 NUM_SITES 0 TILEPROP NULL_X110Y118 ROW 38 TILEPROP NULL_X110Y118 SLR_REGION_ID 0 TILEPROP NULL_X110Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y118 TILE_TYPE NULL TILEPROP NULL_X110Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y118 TILE_X 101454 TILEPROP NULL_X110Y118 TILE_Y 122872 TILEPROP NULL_X110Y118 TYPE NULL TILEPROP NULL_X110Y119 CLASS tile TILEPROP NULL_X110Y119 COLUMN 110 TILEPROP NULL_X110Y119 DEVICE_ID 0 TILEPROP NULL_X110Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X110Y119 GRID_POINT_X 110 TILEPROP NULL_X110Y119 GRID_POINT_Y 37 TILEPROP NULL_X110Y119 INDEX 4365 TILEPROP NULL_X110Y119 INT_TILE_X -1 TILEPROP NULL_X110Y119 INT_TILE_Y -1 TILEPROP NULL_X110Y119 IS_CENTER_TILE 0 TILEPROP NULL_X110Y119 IS_DCM_TILE 0 TILEPROP NULL_X110Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y119 NAME NULL_X110Y119 TILEPROP NULL_X110Y119 NUM_ARCS 0 TILEPROP NULL_X110Y119 NUM_SITES 0 TILEPROP NULL_X110Y119 ROW 37 TILEPROP NULL_X110Y119 SLR_REGION_ID 0 TILEPROP NULL_X110Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y119 TILE_TYPE NULL TILEPROP NULL_X110Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y119 TILE_X 101454 TILEPROP NULL_X110Y119 TILE_Y 126072 TILEPROP NULL_X110Y119 TYPE NULL TILEPROP NULL_X110Y120 CLASS tile TILEPROP NULL_X110Y120 COLUMN 110 TILEPROP NULL_X110Y120 DEVICE_ID 0 TILEPROP NULL_X110Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X110Y120 GRID_POINT_X 110 TILEPROP NULL_X110Y120 GRID_POINT_Y 36 TILEPROP NULL_X110Y120 INDEX 4250 TILEPROP NULL_X110Y120 INT_TILE_X -1 TILEPROP NULL_X110Y120 INT_TILE_Y -1 TILEPROP NULL_X110Y120 IS_CENTER_TILE 0 TILEPROP NULL_X110Y120 IS_DCM_TILE 0 TILEPROP NULL_X110Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y120 NAME NULL_X110Y120 TILEPROP NULL_X110Y120 NUM_ARCS 0 TILEPROP NULL_X110Y120 NUM_SITES 0 TILEPROP NULL_X110Y120 ROW 36 TILEPROP NULL_X110Y120 SLR_REGION_ID 0 TILEPROP NULL_X110Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y120 TILE_TYPE NULL TILEPROP NULL_X110Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y120 TILE_X 101454 TILEPROP NULL_X110Y120 TILE_Y 129272 TILEPROP NULL_X110Y120 TYPE NULL TILEPROP NULL_X110Y121 CLASS tile TILEPROP NULL_X110Y121 COLUMN 110 TILEPROP NULL_X110Y121 DEVICE_ID 0 TILEPROP NULL_X110Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X110Y121 GRID_POINT_X 110 TILEPROP NULL_X110Y121 GRID_POINT_Y 35 TILEPROP NULL_X110Y121 INDEX 4135 TILEPROP NULL_X110Y121 INT_TILE_X -1 TILEPROP NULL_X110Y121 INT_TILE_Y -1 TILEPROP NULL_X110Y121 IS_CENTER_TILE 0 TILEPROP NULL_X110Y121 IS_DCM_TILE 0 TILEPROP NULL_X110Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y121 NAME NULL_X110Y121 TILEPROP NULL_X110Y121 NUM_ARCS 0 TILEPROP NULL_X110Y121 NUM_SITES 0 TILEPROP NULL_X110Y121 ROW 35 TILEPROP NULL_X110Y121 SLR_REGION_ID 0 TILEPROP NULL_X110Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y121 TILE_TYPE NULL TILEPROP NULL_X110Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y121 TILE_X 101454 TILEPROP NULL_X110Y121 TILE_Y 132472 TILEPROP NULL_X110Y121 TYPE NULL TILEPROP NULL_X110Y122 CLASS tile TILEPROP NULL_X110Y122 COLUMN 110 TILEPROP NULL_X110Y122 DEVICE_ID 0 TILEPROP NULL_X110Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X110Y122 GRID_POINT_X 110 TILEPROP NULL_X110Y122 GRID_POINT_Y 34 TILEPROP NULL_X110Y122 INDEX 4020 TILEPROP NULL_X110Y122 INT_TILE_X -1 TILEPROP NULL_X110Y122 INT_TILE_Y -1 TILEPROP NULL_X110Y122 IS_CENTER_TILE 0 TILEPROP NULL_X110Y122 IS_DCM_TILE 0 TILEPROP NULL_X110Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y122 NAME NULL_X110Y122 TILEPROP NULL_X110Y122 NUM_ARCS 0 TILEPROP NULL_X110Y122 NUM_SITES 0 TILEPROP NULL_X110Y122 ROW 34 TILEPROP NULL_X110Y122 SLR_REGION_ID 0 TILEPROP NULL_X110Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y122 TILE_TYPE NULL TILEPROP NULL_X110Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y122 TILE_X 101454 TILEPROP NULL_X110Y122 TILE_Y 135672 TILEPROP NULL_X110Y122 TYPE NULL TILEPROP NULL_X110Y123 CLASS tile TILEPROP NULL_X110Y123 COLUMN 110 TILEPROP NULL_X110Y123 DEVICE_ID 0 TILEPROP NULL_X110Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X110Y123 GRID_POINT_X 110 TILEPROP NULL_X110Y123 GRID_POINT_Y 33 TILEPROP NULL_X110Y123 INDEX 3905 TILEPROP NULL_X110Y123 INT_TILE_X -1 TILEPROP NULL_X110Y123 INT_TILE_Y -1 TILEPROP NULL_X110Y123 IS_CENTER_TILE 0 TILEPROP NULL_X110Y123 IS_DCM_TILE 0 TILEPROP NULL_X110Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y123 NAME NULL_X110Y123 TILEPROP NULL_X110Y123 NUM_ARCS 0 TILEPROP NULL_X110Y123 NUM_SITES 0 TILEPROP NULL_X110Y123 ROW 33 TILEPROP NULL_X110Y123 SLR_REGION_ID 0 TILEPROP NULL_X110Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y123 TILE_TYPE NULL TILEPROP NULL_X110Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y123 TILE_X 101454 TILEPROP NULL_X110Y123 TILE_Y 138872 TILEPROP NULL_X110Y123 TYPE NULL TILEPROP NULL_X110Y124 CLASS tile TILEPROP NULL_X110Y124 COLUMN 110 TILEPROP NULL_X110Y124 DEVICE_ID 0 TILEPROP NULL_X110Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X110Y124 GRID_POINT_X 110 TILEPROP NULL_X110Y124 GRID_POINT_Y 32 TILEPROP NULL_X110Y124 INDEX 3790 TILEPROP NULL_X110Y124 INT_TILE_X -1 TILEPROP NULL_X110Y124 INT_TILE_Y -1 TILEPROP NULL_X110Y124 IS_CENTER_TILE 0 TILEPROP NULL_X110Y124 IS_DCM_TILE 0 TILEPROP NULL_X110Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y124 NAME NULL_X110Y124 TILEPROP NULL_X110Y124 NUM_ARCS 0 TILEPROP NULL_X110Y124 NUM_SITES 0 TILEPROP NULL_X110Y124 ROW 32 TILEPROP NULL_X110Y124 SLR_REGION_ID 0 TILEPROP NULL_X110Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y124 TILE_TYPE NULL TILEPROP NULL_X110Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y124 TILE_X 101454 TILEPROP NULL_X110Y124 TILE_Y 142072 TILEPROP NULL_X110Y124 TYPE NULL TILEPROP NULL_X110Y125 CLASS tile TILEPROP NULL_X110Y125 COLUMN 110 TILEPROP NULL_X110Y125 DEVICE_ID 0 TILEPROP NULL_X110Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X110Y125 GRID_POINT_X 110 TILEPROP NULL_X110Y125 GRID_POINT_Y 31 TILEPROP NULL_X110Y125 INDEX 3675 TILEPROP NULL_X110Y125 INT_TILE_X -1 TILEPROP NULL_X110Y125 INT_TILE_Y -1 TILEPROP NULL_X110Y125 IS_CENTER_TILE 0 TILEPROP NULL_X110Y125 IS_DCM_TILE 0 TILEPROP NULL_X110Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y125 NAME NULL_X110Y125 TILEPROP NULL_X110Y125 NUM_ARCS 0 TILEPROP NULL_X110Y125 NUM_SITES 0 TILEPROP NULL_X110Y125 ROW 31 TILEPROP NULL_X110Y125 SLR_REGION_ID 0 TILEPROP NULL_X110Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y125 TILE_TYPE NULL TILEPROP NULL_X110Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y125 TILE_X 101454 TILEPROP NULL_X110Y125 TILE_Y 145272 TILEPROP NULL_X110Y125 TYPE NULL TILEPROP NULL_X110Y126 CLASS tile TILEPROP NULL_X110Y126 COLUMN 110 TILEPROP NULL_X110Y126 DEVICE_ID 0 TILEPROP NULL_X110Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X110Y126 GRID_POINT_X 110 TILEPROP NULL_X110Y126 GRID_POINT_Y 30 TILEPROP NULL_X110Y126 INDEX 3560 TILEPROP NULL_X110Y126 INT_TILE_X -1 TILEPROP NULL_X110Y126 INT_TILE_Y -1 TILEPROP NULL_X110Y126 IS_CENTER_TILE 0 TILEPROP NULL_X110Y126 IS_DCM_TILE 0 TILEPROP NULL_X110Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y126 NAME NULL_X110Y126 TILEPROP NULL_X110Y126 NUM_ARCS 0 TILEPROP NULL_X110Y126 NUM_SITES 0 TILEPROP NULL_X110Y126 ROW 30 TILEPROP NULL_X110Y126 SLR_REGION_ID 0 TILEPROP NULL_X110Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y126 TILE_TYPE NULL TILEPROP NULL_X110Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y126 TILE_X 101454 TILEPROP NULL_X110Y126 TILE_Y 148472 TILEPROP NULL_X110Y126 TYPE NULL TILEPROP NULL_X110Y127 CLASS tile TILEPROP NULL_X110Y127 COLUMN 110 TILEPROP NULL_X110Y127 DEVICE_ID 0 TILEPROP NULL_X110Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X110Y127 GRID_POINT_X 110 TILEPROP NULL_X110Y127 GRID_POINT_Y 29 TILEPROP NULL_X110Y127 INDEX 3445 TILEPROP NULL_X110Y127 INT_TILE_X -1 TILEPROP NULL_X110Y127 INT_TILE_Y -1 TILEPROP NULL_X110Y127 IS_CENTER_TILE 0 TILEPROP NULL_X110Y127 IS_DCM_TILE 0 TILEPROP NULL_X110Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y127 NAME NULL_X110Y127 TILEPROP NULL_X110Y127 NUM_ARCS 0 TILEPROP NULL_X110Y127 NUM_SITES 0 TILEPROP NULL_X110Y127 ROW 29 TILEPROP NULL_X110Y127 SLR_REGION_ID 0 TILEPROP NULL_X110Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y127 TILE_TYPE NULL TILEPROP NULL_X110Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y127 TILE_X 101454 TILEPROP NULL_X110Y127 TILE_Y 151672 TILEPROP NULL_X110Y127 TYPE NULL TILEPROP NULL_X110Y128 CLASS tile TILEPROP NULL_X110Y128 COLUMN 110 TILEPROP NULL_X110Y128 DEVICE_ID 0 TILEPROP NULL_X110Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X110Y128 GRID_POINT_X 110 TILEPROP NULL_X110Y128 GRID_POINT_Y 28 TILEPROP NULL_X110Y128 INDEX 3330 TILEPROP NULL_X110Y128 INT_TILE_X -1 TILEPROP NULL_X110Y128 INT_TILE_Y -1 TILEPROP NULL_X110Y128 IS_CENTER_TILE 0 TILEPROP NULL_X110Y128 IS_DCM_TILE 0 TILEPROP NULL_X110Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y128 NAME NULL_X110Y128 TILEPROP NULL_X110Y128 NUM_ARCS 0 TILEPROP NULL_X110Y128 NUM_SITES 0 TILEPROP NULL_X110Y128 ROW 28 TILEPROP NULL_X110Y128 SLR_REGION_ID 0 TILEPROP NULL_X110Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y128 TILE_TYPE NULL TILEPROP NULL_X110Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y128 TILE_X 101454 TILEPROP NULL_X110Y128 TILE_Y 154872 TILEPROP NULL_X110Y128 TYPE NULL TILEPROP NULL_X110Y129 CLASS tile TILEPROP NULL_X110Y129 COLUMN 110 TILEPROP NULL_X110Y129 DEVICE_ID 0 TILEPROP NULL_X110Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X110Y129 GRID_POINT_X 110 TILEPROP NULL_X110Y129 GRID_POINT_Y 27 TILEPROP NULL_X110Y129 INDEX 3215 TILEPROP NULL_X110Y129 INT_TILE_X -1 TILEPROP NULL_X110Y129 INT_TILE_Y -1 TILEPROP NULL_X110Y129 IS_CENTER_TILE 0 TILEPROP NULL_X110Y129 IS_DCM_TILE 0 TILEPROP NULL_X110Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y129 NAME NULL_X110Y129 TILEPROP NULL_X110Y129 NUM_ARCS 0 TILEPROP NULL_X110Y129 NUM_SITES 0 TILEPROP NULL_X110Y129 ROW 27 TILEPROP NULL_X110Y129 SLR_REGION_ID 0 TILEPROP NULL_X110Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y129 TILE_TYPE NULL TILEPROP NULL_X110Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y129 TILE_X 101454 TILEPROP NULL_X110Y129 TILE_Y 158072 TILEPROP NULL_X110Y129 TYPE NULL TILEPROP NULL_X110Y130 CLASS tile TILEPROP NULL_X110Y130 COLUMN 110 TILEPROP NULL_X110Y130 DEVICE_ID 0 TILEPROP NULL_X110Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X110Y130 GRID_POINT_X 110 TILEPROP NULL_X110Y130 GRID_POINT_Y 26 TILEPROP NULL_X110Y130 INDEX 3100 TILEPROP NULL_X110Y130 INT_TILE_X -1 TILEPROP NULL_X110Y130 INT_TILE_Y -1 TILEPROP NULL_X110Y130 IS_CENTER_TILE 0 TILEPROP NULL_X110Y130 IS_DCM_TILE 0 TILEPROP NULL_X110Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y130 NAME NULL_X110Y130 TILEPROP NULL_X110Y130 NUM_ARCS 0 TILEPROP NULL_X110Y130 NUM_SITES 0 TILEPROP NULL_X110Y130 ROW 26 TILEPROP NULL_X110Y130 SLR_REGION_ID 0 TILEPROP NULL_X110Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y130 TILE_TYPE NULL TILEPROP NULL_X110Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y130 TILE_X 101454 TILEPROP NULL_X110Y130 TILE_Y 161272 TILEPROP NULL_X110Y130 TYPE NULL TILEPROP NULL_X110Y131 CLASS tile TILEPROP NULL_X110Y131 COLUMN 110 TILEPROP NULL_X110Y131 DEVICE_ID 0 TILEPROP NULL_X110Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X110Y131 GRID_POINT_X 110 TILEPROP NULL_X110Y131 GRID_POINT_Y 25 TILEPROP NULL_X110Y131 INDEX 2985 TILEPROP NULL_X110Y131 INT_TILE_X -1 TILEPROP NULL_X110Y131 INT_TILE_Y -1 TILEPROP NULL_X110Y131 IS_CENTER_TILE 0 TILEPROP NULL_X110Y131 IS_DCM_TILE 0 TILEPROP NULL_X110Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y131 NAME NULL_X110Y131 TILEPROP NULL_X110Y131 NUM_ARCS 0 TILEPROP NULL_X110Y131 NUM_SITES 0 TILEPROP NULL_X110Y131 ROW 25 TILEPROP NULL_X110Y131 SLR_REGION_ID 0 TILEPROP NULL_X110Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y131 TILE_TYPE NULL TILEPROP NULL_X110Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y131 TILE_X 101454 TILEPROP NULL_X110Y131 TILE_Y 162296 TILEPROP NULL_X110Y131 TYPE NULL TILEPROP NULL_X110Y132 CLASS tile TILEPROP NULL_X110Y132 COLUMN 110 TILEPROP NULL_X110Y132 DEVICE_ID 0 TILEPROP NULL_X110Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X110Y132 GRID_POINT_X 110 TILEPROP NULL_X110Y132 GRID_POINT_Y 24 TILEPROP NULL_X110Y132 INDEX 2870 TILEPROP NULL_X110Y132 INT_TILE_X -1 TILEPROP NULL_X110Y132 INT_TILE_Y -1 TILEPROP NULL_X110Y132 IS_CENTER_TILE 0 TILEPROP NULL_X110Y132 IS_DCM_TILE 0 TILEPROP NULL_X110Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y132 NAME NULL_X110Y132 TILEPROP NULL_X110Y132 NUM_ARCS 0 TILEPROP NULL_X110Y132 NUM_SITES 0 TILEPROP NULL_X110Y132 ROW 24 TILEPROP NULL_X110Y132 SLR_REGION_ID 0 TILEPROP NULL_X110Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y132 TILE_TYPE NULL TILEPROP NULL_X110Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y132 TILE_X 101454 TILEPROP NULL_X110Y132 TILE_Y 165496 TILEPROP NULL_X110Y132 TYPE NULL TILEPROP NULL_X110Y133 CLASS tile TILEPROP NULL_X110Y133 COLUMN 110 TILEPROP NULL_X110Y133 DEVICE_ID 0 TILEPROP NULL_X110Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X110Y133 GRID_POINT_X 110 TILEPROP NULL_X110Y133 GRID_POINT_Y 23 TILEPROP NULL_X110Y133 INDEX 2755 TILEPROP NULL_X110Y133 INT_TILE_X -1 TILEPROP NULL_X110Y133 INT_TILE_Y -1 TILEPROP NULL_X110Y133 IS_CENTER_TILE 0 TILEPROP NULL_X110Y133 IS_DCM_TILE 0 TILEPROP NULL_X110Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y133 NAME NULL_X110Y133 TILEPROP NULL_X110Y133 NUM_ARCS 0 TILEPROP NULL_X110Y133 NUM_SITES 0 TILEPROP NULL_X110Y133 ROW 23 TILEPROP NULL_X110Y133 SLR_REGION_ID 0 TILEPROP NULL_X110Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y133 TILE_TYPE NULL TILEPROP NULL_X110Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y133 TILE_X 101454 TILEPROP NULL_X110Y133 TILE_Y 168696 TILEPROP NULL_X110Y133 TYPE NULL TILEPROP NULL_X110Y134 CLASS tile TILEPROP NULL_X110Y134 COLUMN 110 TILEPROP NULL_X110Y134 DEVICE_ID 0 TILEPROP NULL_X110Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X110Y134 GRID_POINT_X 110 TILEPROP NULL_X110Y134 GRID_POINT_Y 22 TILEPROP NULL_X110Y134 INDEX 2640 TILEPROP NULL_X110Y134 INT_TILE_X -1 TILEPROP NULL_X110Y134 INT_TILE_Y -1 TILEPROP NULL_X110Y134 IS_CENTER_TILE 0 TILEPROP NULL_X110Y134 IS_DCM_TILE 0 TILEPROP NULL_X110Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y134 NAME NULL_X110Y134 TILEPROP NULL_X110Y134 NUM_ARCS 0 TILEPROP NULL_X110Y134 NUM_SITES 0 TILEPROP NULL_X110Y134 ROW 22 TILEPROP NULL_X110Y134 SLR_REGION_ID 0 TILEPROP NULL_X110Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y134 TILE_TYPE NULL TILEPROP NULL_X110Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y134 TILE_X 101454 TILEPROP NULL_X110Y134 TILE_Y 171896 TILEPROP NULL_X110Y134 TYPE NULL TILEPROP NULL_X110Y135 CLASS tile TILEPROP NULL_X110Y135 COLUMN 110 TILEPROP NULL_X110Y135 DEVICE_ID 0 TILEPROP NULL_X110Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X110Y135 GRID_POINT_X 110 TILEPROP NULL_X110Y135 GRID_POINT_Y 21 TILEPROP NULL_X110Y135 INDEX 2525 TILEPROP NULL_X110Y135 INT_TILE_X -1 TILEPROP NULL_X110Y135 INT_TILE_Y -1 TILEPROP NULL_X110Y135 IS_CENTER_TILE 0 TILEPROP NULL_X110Y135 IS_DCM_TILE 0 TILEPROP NULL_X110Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y135 NAME NULL_X110Y135 TILEPROP NULL_X110Y135 NUM_ARCS 0 TILEPROP NULL_X110Y135 NUM_SITES 0 TILEPROP NULL_X110Y135 ROW 21 TILEPROP NULL_X110Y135 SLR_REGION_ID 0 TILEPROP NULL_X110Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y135 TILE_TYPE NULL TILEPROP NULL_X110Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y135 TILE_X 101454 TILEPROP NULL_X110Y135 TILE_Y 175096 TILEPROP NULL_X110Y135 TYPE NULL TILEPROP NULL_X110Y136 CLASS tile TILEPROP NULL_X110Y136 COLUMN 110 TILEPROP NULL_X110Y136 DEVICE_ID 0 TILEPROP NULL_X110Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X110Y136 GRID_POINT_X 110 TILEPROP NULL_X110Y136 GRID_POINT_Y 20 TILEPROP NULL_X110Y136 INDEX 2410 TILEPROP NULL_X110Y136 INT_TILE_X -1 TILEPROP NULL_X110Y136 INT_TILE_Y -1 TILEPROP NULL_X110Y136 IS_CENTER_TILE 0 TILEPROP NULL_X110Y136 IS_DCM_TILE 0 TILEPROP NULL_X110Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y136 NAME NULL_X110Y136 TILEPROP NULL_X110Y136 NUM_ARCS 0 TILEPROP NULL_X110Y136 NUM_SITES 0 TILEPROP NULL_X110Y136 ROW 20 TILEPROP NULL_X110Y136 SLR_REGION_ID 0 TILEPROP NULL_X110Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y136 TILE_TYPE NULL TILEPROP NULL_X110Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y136 TILE_X 101454 TILEPROP NULL_X110Y136 TILE_Y 178296 TILEPROP NULL_X110Y136 TYPE NULL TILEPROP NULL_X110Y137 CLASS tile TILEPROP NULL_X110Y137 COLUMN 110 TILEPROP NULL_X110Y137 DEVICE_ID 0 TILEPROP NULL_X110Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X110Y137 GRID_POINT_X 110 TILEPROP NULL_X110Y137 GRID_POINT_Y 19 TILEPROP NULL_X110Y137 INDEX 2295 TILEPROP NULL_X110Y137 INT_TILE_X -1 TILEPROP NULL_X110Y137 INT_TILE_Y -1 TILEPROP NULL_X110Y137 IS_CENTER_TILE 0 TILEPROP NULL_X110Y137 IS_DCM_TILE 0 TILEPROP NULL_X110Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y137 NAME NULL_X110Y137 TILEPROP NULL_X110Y137 NUM_ARCS 0 TILEPROP NULL_X110Y137 NUM_SITES 0 TILEPROP NULL_X110Y137 ROW 19 TILEPROP NULL_X110Y137 SLR_REGION_ID 0 TILEPROP NULL_X110Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y137 TILE_TYPE NULL TILEPROP NULL_X110Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y137 TILE_X 101454 TILEPROP NULL_X110Y137 TILE_Y 181496 TILEPROP NULL_X110Y137 TYPE NULL TILEPROP NULL_X110Y138 CLASS tile TILEPROP NULL_X110Y138 COLUMN 110 TILEPROP NULL_X110Y138 DEVICE_ID 0 TILEPROP NULL_X110Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X110Y138 GRID_POINT_X 110 TILEPROP NULL_X110Y138 GRID_POINT_Y 18 TILEPROP NULL_X110Y138 INDEX 2180 TILEPROP NULL_X110Y138 INT_TILE_X -1 TILEPROP NULL_X110Y138 INT_TILE_Y -1 TILEPROP NULL_X110Y138 IS_CENTER_TILE 0 TILEPROP NULL_X110Y138 IS_DCM_TILE 0 TILEPROP NULL_X110Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y138 NAME NULL_X110Y138 TILEPROP NULL_X110Y138 NUM_ARCS 0 TILEPROP NULL_X110Y138 NUM_SITES 0 TILEPROP NULL_X110Y138 ROW 18 TILEPROP NULL_X110Y138 SLR_REGION_ID 0 TILEPROP NULL_X110Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y138 TILE_TYPE NULL TILEPROP NULL_X110Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y138 TILE_X 101454 TILEPROP NULL_X110Y138 TILE_Y 184696 TILEPROP NULL_X110Y138 TYPE NULL TILEPROP NULL_X110Y139 CLASS tile TILEPROP NULL_X110Y139 COLUMN 110 TILEPROP NULL_X110Y139 DEVICE_ID 0 TILEPROP NULL_X110Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X110Y139 GRID_POINT_X 110 TILEPROP NULL_X110Y139 GRID_POINT_Y 17 TILEPROP NULL_X110Y139 INDEX 2065 TILEPROP NULL_X110Y139 INT_TILE_X -1 TILEPROP NULL_X110Y139 INT_TILE_Y -1 TILEPROP NULL_X110Y139 IS_CENTER_TILE 0 TILEPROP NULL_X110Y139 IS_DCM_TILE 0 TILEPROP NULL_X110Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y139 NAME NULL_X110Y139 TILEPROP NULL_X110Y139 NUM_ARCS 0 TILEPROP NULL_X110Y139 NUM_SITES 0 TILEPROP NULL_X110Y139 ROW 17 TILEPROP NULL_X110Y139 SLR_REGION_ID 0 TILEPROP NULL_X110Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y139 TILE_TYPE NULL TILEPROP NULL_X110Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y139 TILE_X 101454 TILEPROP NULL_X110Y139 TILE_Y 187896 TILEPROP NULL_X110Y139 TYPE NULL TILEPROP NULL_X110Y140 CLASS tile TILEPROP NULL_X110Y140 COLUMN 110 TILEPROP NULL_X110Y140 DEVICE_ID 0 TILEPROP NULL_X110Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X110Y140 GRID_POINT_X 110 TILEPROP NULL_X110Y140 GRID_POINT_Y 16 TILEPROP NULL_X110Y140 INDEX 1950 TILEPROP NULL_X110Y140 INT_TILE_X -1 TILEPROP NULL_X110Y140 INT_TILE_Y -1 TILEPROP NULL_X110Y140 IS_CENTER_TILE 0 TILEPROP NULL_X110Y140 IS_DCM_TILE 0 TILEPROP NULL_X110Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y140 NAME NULL_X110Y140 TILEPROP NULL_X110Y140 NUM_ARCS 0 TILEPROP NULL_X110Y140 NUM_SITES 0 TILEPROP NULL_X110Y140 ROW 16 TILEPROP NULL_X110Y140 SLR_REGION_ID 0 TILEPROP NULL_X110Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y140 TILE_TYPE NULL TILEPROP NULL_X110Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y140 TILE_X 101454 TILEPROP NULL_X110Y140 TILE_Y 191096 TILEPROP NULL_X110Y140 TYPE NULL TILEPROP NULL_X110Y141 CLASS tile TILEPROP NULL_X110Y141 COLUMN 110 TILEPROP NULL_X110Y141 DEVICE_ID 0 TILEPROP NULL_X110Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X110Y141 GRID_POINT_X 110 TILEPROP NULL_X110Y141 GRID_POINT_Y 15 TILEPROP NULL_X110Y141 INDEX 1835 TILEPROP NULL_X110Y141 INT_TILE_X -1 TILEPROP NULL_X110Y141 INT_TILE_Y -1 TILEPROP NULL_X110Y141 IS_CENTER_TILE 0 TILEPROP NULL_X110Y141 IS_DCM_TILE 0 TILEPROP NULL_X110Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y141 NAME NULL_X110Y141 TILEPROP NULL_X110Y141 NUM_ARCS 0 TILEPROP NULL_X110Y141 NUM_SITES 0 TILEPROP NULL_X110Y141 ROW 15 TILEPROP NULL_X110Y141 SLR_REGION_ID 0 TILEPROP NULL_X110Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y141 TILE_TYPE NULL TILEPROP NULL_X110Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y141 TILE_X 101454 TILEPROP NULL_X110Y141 TILE_Y 194296 TILEPROP NULL_X110Y141 TYPE NULL TILEPROP NULL_X110Y142 CLASS tile TILEPROP NULL_X110Y142 COLUMN 110 TILEPROP NULL_X110Y142 DEVICE_ID 0 TILEPROP NULL_X110Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X110Y142 GRID_POINT_X 110 TILEPROP NULL_X110Y142 GRID_POINT_Y 14 TILEPROP NULL_X110Y142 INDEX 1720 TILEPROP NULL_X110Y142 INT_TILE_X -1 TILEPROP NULL_X110Y142 INT_TILE_Y -1 TILEPROP NULL_X110Y142 IS_CENTER_TILE 0 TILEPROP NULL_X110Y142 IS_DCM_TILE 0 TILEPROP NULL_X110Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y142 NAME NULL_X110Y142 TILEPROP NULL_X110Y142 NUM_ARCS 0 TILEPROP NULL_X110Y142 NUM_SITES 0 TILEPROP NULL_X110Y142 ROW 14 TILEPROP NULL_X110Y142 SLR_REGION_ID 0 TILEPROP NULL_X110Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y142 TILE_TYPE NULL TILEPROP NULL_X110Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y142 TILE_X 101454 TILEPROP NULL_X110Y142 TILE_Y 197496 TILEPROP NULL_X110Y142 TYPE NULL TILEPROP NULL_X110Y143 CLASS tile TILEPROP NULL_X110Y143 COLUMN 110 TILEPROP NULL_X110Y143 DEVICE_ID 0 TILEPROP NULL_X110Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X110Y143 GRID_POINT_X 110 TILEPROP NULL_X110Y143 GRID_POINT_Y 13 TILEPROP NULL_X110Y143 INDEX 1605 TILEPROP NULL_X110Y143 INT_TILE_X -1 TILEPROP NULL_X110Y143 INT_TILE_Y -1 TILEPROP NULL_X110Y143 IS_CENTER_TILE 0 TILEPROP NULL_X110Y143 IS_DCM_TILE 0 TILEPROP NULL_X110Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y143 NAME NULL_X110Y143 TILEPROP NULL_X110Y143 NUM_ARCS 0 TILEPROP NULL_X110Y143 NUM_SITES 0 TILEPROP NULL_X110Y143 ROW 13 TILEPROP NULL_X110Y143 SLR_REGION_ID 0 TILEPROP NULL_X110Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y143 TILE_TYPE NULL TILEPROP NULL_X110Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y143 TILE_X 101454 TILEPROP NULL_X110Y143 TILE_Y 200696 TILEPROP NULL_X110Y143 TYPE NULL TILEPROP NULL_X110Y144 CLASS tile TILEPROP NULL_X110Y144 COLUMN 110 TILEPROP NULL_X110Y144 DEVICE_ID 0 TILEPROP NULL_X110Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X110Y144 GRID_POINT_X 110 TILEPROP NULL_X110Y144 GRID_POINT_Y 12 TILEPROP NULL_X110Y144 INDEX 1490 TILEPROP NULL_X110Y144 INT_TILE_X -1 TILEPROP NULL_X110Y144 INT_TILE_Y -1 TILEPROP NULL_X110Y144 IS_CENTER_TILE 0 TILEPROP NULL_X110Y144 IS_DCM_TILE 0 TILEPROP NULL_X110Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y144 NAME NULL_X110Y144 TILEPROP NULL_X110Y144 NUM_ARCS 0 TILEPROP NULL_X110Y144 NUM_SITES 0 TILEPROP NULL_X110Y144 ROW 12 TILEPROP NULL_X110Y144 SLR_REGION_ID 0 TILEPROP NULL_X110Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y144 TILE_TYPE NULL TILEPROP NULL_X110Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y144 TILE_X 101454 TILEPROP NULL_X110Y144 TILE_Y 203896 TILEPROP NULL_X110Y144 TYPE NULL TILEPROP NULL_X110Y145 CLASS tile TILEPROP NULL_X110Y145 COLUMN 110 TILEPROP NULL_X110Y145 DEVICE_ID 0 TILEPROP NULL_X110Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X110Y145 GRID_POINT_X 110 TILEPROP NULL_X110Y145 GRID_POINT_Y 11 TILEPROP NULL_X110Y145 INDEX 1375 TILEPROP NULL_X110Y145 INT_TILE_X -1 TILEPROP NULL_X110Y145 INT_TILE_Y -1 TILEPROP NULL_X110Y145 IS_CENTER_TILE 0 TILEPROP NULL_X110Y145 IS_DCM_TILE 0 TILEPROP NULL_X110Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y145 NAME NULL_X110Y145 TILEPROP NULL_X110Y145 NUM_ARCS 0 TILEPROP NULL_X110Y145 NUM_SITES 0 TILEPROP NULL_X110Y145 ROW 11 TILEPROP NULL_X110Y145 SLR_REGION_ID 0 TILEPROP NULL_X110Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y145 TILE_TYPE NULL TILEPROP NULL_X110Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y145 TILE_X 101454 TILEPROP NULL_X110Y145 TILE_Y 207096 TILEPROP NULL_X110Y145 TYPE NULL TILEPROP NULL_X110Y146 CLASS tile TILEPROP NULL_X110Y146 COLUMN 110 TILEPROP NULL_X110Y146 DEVICE_ID 0 TILEPROP NULL_X110Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X110Y146 GRID_POINT_X 110 TILEPROP NULL_X110Y146 GRID_POINT_Y 10 TILEPROP NULL_X110Y146 INDEX 1260 TILEPROP NULL_X110Y146 INT_TILE_X -1 TILEPROP NULL_X110Y146 INT_TILE_Y -1 TILEPROP NULL_X110Y146 IS_CENTER_TILE 0 TILEPROP NULL_X110Y146 IS_DCM_TILE 0 TILEPROP NULL_X110Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y146 NAME NULL_X110Y146 TILEPROP NULL_X110Y146 NUM_ARCS 0 TILEPROP NULL_X110Y146 NUM_SITES 0 TILEPROP NULL_X110Y146 ROW 10 TILEPROP NULL_X110Y146 SLR_REGION_ID 0 TILEPROP NULL_X110Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y146 TILE_TYPE NULL TILEPROP NULL_X110Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y146 TILE_X 101454 TILEPROP NULL_X110Y146 TILE_Y 210296 TILEPROP NULL_X110Y146 TYPE NULL TILEPROP NULL_X110Y147 CLASS tile TILEPROP NULL_X110Y147 COLUMN 110 TILEPROP NULL_X110Y147 DEVICE_ID 0 TILEPROP NULL_X110Y147 FIRST_SITE_ID 920 TILEPROP NULL_X110Y147 GRID_POINT_X 110 TILEPROP NULL_X110Y147 GRID_POINT_Y 9 TILEPROP NULL_X110Y147 INDEX 1145 TILEPROP NULL_X110Y147 INT_TILE_X -1 TILEPROP NULL_X110Y147 INT_TILE_Y -1 TILEPROP NULL_X110Y147 IS_CENTER_TILE 0 TILEPROP NULL_X110Y147 IS_DCM_TILE 0 TILEPROP NULL_X110Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y147 NAME NULL_X110Y147 TILEPROP NULL_X110Y147 NUM_ARCS 0 TILEPROP NULL_X110Y147 NUM_SITES 0 TILEPROP NULL_X110Y147 ROW 9 TILEPROP NULL_X110Y147 SLR_REGION_ID 0 TILEPROP NULL_X110Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y147 TILE_TYPE NULL TILEPROP NULL_X110Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y147 TILE_X 101454 TILEPROP NULL_X110Y147 TILE_Y 213496 TILEPROP NULL_X110Y147 TYPE NULL TILEPROP NULL_X110Y148 CLASS tile TILEPROP NULL_X110Y148 COLUMN 110 TILEPROP NULL_X110Y148 DEVICE_ID 0 TILEPROP NULL_X110Y148 FIRST_SITE_ID 816 TILEPROP NULL_X110Y148 GRID_POINT_X 110 TILEPROP NULL_X110Y148 GRID_POINT_Y 8 TILEPROP NULL_X110Y148 INDEX 1030 TILEPROP NULL_X110Y148 INT_TILE_X -1 TILEPROP NULL_X110Y148 INT_TILE_Y -1 TILEPROP NULL_X110Y148 IS_CENTER_TILE 0 TILEPROP NULL_X110Y148 IS_DCM_TILE 0 TILEPROP NULL_X110Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y148 NAME NULL_X110Y148 TILEPROP NULL_X110Y148 NUM_ARCS 0 TILEPROP NULL_X110Y148 NUM_SITES 0 TILEPROP NULL_X110Y148 ROW 8 TILEPROP NULL_X110Y148 SLR_REGION_ID 0 TILEPROP NULL_X110Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y148 TILE_TYPE NULL TILEPROP NULL_X110Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y148 TILE_X 101454 TILEPROP NULL_X110Y148 TILE_Y 216696 TILEPROP NULL_X110Y148 TYPE NULL TILEPROP NULL_X110Y149 CLASS tile TILEPROP NULL_X110Y149 COLUMN 110 TILEPROP NULL_X110Y149 DEVICE_ID 0 TILEPROP NULL_X110Y149 FIRST_SITE_ID 719 TILEPROP NULL_X110Y149 GRID_POINT_X 110 TILEPROP NULL_X110Y149 GRID_POINT_Y 7 TILEPROP NULL_X110Y149 INDEX 915 TILEPROP NULL_X110Y149 INT_TILE_X -1 TILEPROP NULL_X110Y149 INT_TILE_Y -1 TILEPROP NULL_X110Y149 IS_CENTER_TILE 0 TILEPROP NULL_X110Y149 IS_DCM_TILE 0 TILEPROP NULL_X110Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y149 NAME NULL_X110Y149 TILEPROP NULL_X110Y149 NUM_ARCS 0 TILEPROP NULL_X110Y149 NUM_SITES 0 TILEPROP NULL_X110Y149 ROW 7 TILEPROP NULL_X110Y149 SLR_REGION_ID 0 TILEPROP NULL_X110Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y149 TILE_TYPE NULL TILEPROP NULL_X110Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y149 TILE_X 101454 TILEPROP NULL_X110Y149 TILE_Y 219896 TILEPROP NULL_X110Y149 TYPE NULL TILEPROP NULL_X110Y150 CLASS tile TILEPROP NULL_X110Y150 COLUMN 110 TILEPROP NULL_X110Y150 DEVICE_ID 0 TILEPROP NULL_X110Y150 FIRST_SITE_ID 613 TILEPROP NULL_X110Y150 GRID_POINT_X 110 TILEPROP NULL_X110Y150 GRID_POINT_Y 6 TILEPROP NULL_X110Y150 INDEX 800 TILEPROP NULL_X110Y150 INT_TILE_X -1 TILEPROP NULL_X110Y150 INT_TILE_Y -1 TILEPROP NULL_X110Y150 IS_CENTER_TILE 0 TILEPROP NULL_X110Y150 IS_DCM_TILE 0 TILEPROP NULL_X110Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y150 NAME NULL_X110Y150 TILEPROP NULL_X110Y150 NUM_ARCS 0 TILEPROP NULL_X110Y150 NUM_SITES 0 TILEPROP NULL_X110Y150 ROW 6 TILEPROP NULL_X110Y150 SLR_REGION_ID 0 TILEPROP NULL_X110Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y150 TILE_TYPE NULL TILEPROP NULL_X110Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y150 TILE_X 101454 TILEPROP NULL_X110Y150 TILE_Y 223096 TILEPROP NULL_X110Y150 TYPE NULL TILEPROP NULL_X110Y151 CLASS tile TILEPROP NULL_X110Y151 COLUMN 110 TILEPROP NULL_X110Y151 DEVICE_ID 0 TILEPROP NULL_X110Y151 FIRST_SITE_ID 512 TILEPROP NULL_X110Y151 GRID_POINT_X 110 TILEPROP NULL_X110Y151 GRID_POINT_Y 5 TILEPROP NULL_X110Y151 INDEX 685 TILEPROP NULL_X110Y151 INT_TILE_X -1 TILEPROP NULL_X110Y151 INT_TILE_Y -1 TILEPROP NULL_X110Y151 IS_CENTER_TILE 0 TILEPROP NULL_X110Y151 IS_DCM_TILE 0 TILEPROP NULL_X110Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y151 NAME NULL_X110Y151 TILEPROP NULL_X110Y151 NUM_ARCS 0 TILEPROP NULL_X110Y151 NUM_SITES 0 TILEPROP NULL_X110Y151 ROW 5 TILEPROP NULL_X110Y151 SLR_REGION_ID 0 TILEPROP NULL_X110Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y151 TILE_TYPE NULL TILEPROP NULL_X110Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y151 TILE_X 101454 TILEPROP NULL_X110Y151 TILE_Y 226296 TILEPROP NULL_X110Y151 TYPE NULL TILEPROP NULL_X110Y152 CLASS tile TILEPROP NULL_X110Y152 COLUMN 110 TILEPROP NULL_X110Y152 DEVICE_ID 0 TILEPROP NULL_X110Y152 FIRST_SITE_ID 396 TILEPROP NULL_X110Y152 GRID_POINT_X 110 TILEPROP NULL_X110Y152 GRID_POINT_Y 4 TILEPROP NULL_X110Y152 INDEX 570 TILEPROP NULL_X110Y152 INT_TILE_X -1 TILEPROP NULL_X110Y152 INT_TILE_Y -1 TILEPROP NULL_X110Y152 IS_CENTER_TILE 0 TILEPROP NULL_X110Y152 IS_DCM_TILE 0 TILEPROP NULL_X110Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y152 NAME NULL_X110Y152 TILEPROP NULL_X110Y152 NUM_ARCS 0 TILEPROP NULL_X110Y152 NUM_SITES 0 TILEPROP NULL_X110Y152 ROW 4 TILEPROP NULL_X110Y152 SLR_REGION_ID 0 TILEPROP NULL_X110Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y152 TILE_TYPE NULL TILEPROP NULL_X110Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y152 TILE_X 101454 TILEPROP NULL_X110Y152 TILE_Y 229496 TILEPROP NULL_X110Y152 TYPE NULL TILEPROP NULL_X110Y153 CLASS tile TILEPROP NULL_X110Y153 COLUMN 110 TILEPROP NULL_X110Y153 DEVICE_ID 0 TILEPROP NULL_X110Y153 FIRST_SITE_ID 300 TILEPROP NULL_X110Y153 GRID_POINT_X 110 TILEPROP NULL_X110Y153 GRID_POINT_Y 3 TILEPROP NULL_X110Y153 INDEX 455 TILEPROP NULL_X110Y153 INT_TILE_X -1 TILEPROP NULL_X110Y153 INT_TILE_Y -1 TILEPROP NULL_X110Y153 IS_CENTER_TILE 0 TILEPROP NULL_X110Y153 IS_DCM_TILE 0 TILEPROP NULL_X110Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y153 NAME NULL_X110Y153 TILEPROP NULL_X110Y153 NUM_ARCS 0 TILEPROP NULL_X110Y153 NUM_SITES 0 TILEPROP NULL_X110Y153 ROW 3 TILEPROP NULL_X110Y153 SLR_REGION_ID 0 TILEPROP NULL_X110Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y153 TILE_TYPE NULL TILEPROP NULL_X110Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y153 TILE_X 101454 TILEPROP NULL_X110Y153 TILE_Y 232696 TILEPROP NULL_X110Y153 TYPE NULL TILEPROP NULL_X110Y154 CLASS tile TILEPROP NULL_X110Y154 COLUMN 110 TILEPROP NULL_X110Y154 DEVICE_ID 0 TILEPROP NULL_X110Y154 FIRST_SITE_ID 196 TILEPROP NULL_X110Y154 GRID_POINT_X 110 TILEPROP NULL_X110Y154 GRID_POINT_Y 2 TILEPROP NULL_X110Y154 INDEX 340 TILEPROP NULL_X110Y154 INT_TILE_X -1 TILEPROP NULL_X110Y154 INT_TILE_Y -1 TILEPROP NULL_X110Y154 IS_CENTER_TILE 0 TILEPROP NULL_X110Y154 IS_DCM_TILE 0 TILEPROP NULL_X110Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y154 NAME NULL_X110Y154 TILEPROP NULL_X110Y154 NUM_ARCS 0 TILEPROP NULL_X110Y154 NUM_SITES 0 TILEPROP NULL_X110Y154 ROW 2 TILEPROP NULL_X110Y154 SLR_REGION_ID 0 TILEPROP NULL_X110Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y154 TILE_TYPE NULL TILEPROP NULL_X110Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y154 TILE_X 101454 TILEPROP NULL_X110Y154 TILE_Y 235896 TILEPROP NULL_X110Y154 TYPE NULL TILEPROP NULL_X110Y155 CLASS tile TILEPROP NULL_X110Y155 COLUMN 110 TILEPROP NULL_X110Y155 DEVICE_ID 0 TILEPROP NULL_X110Y155 FIRST_SITE_ID 100 TILEPROP NULL_X110Y155 GRID_POINT_X 110 TILEPROP NULL_X110Y155 GRID_POINT_Y 1 TILEPROP NULL_X110Y155 INDEX 225 TILEPROP NULL_X110Y155 INT_TILE_X -1 TILEPROP NULL_X110Y155 INT_TILE_Y -1 TILEPROP NULL_X110Y155 IS_CENTER_TILE 0 TILEPROP NULL_X110Y155 IS_DCM_TILE 0 TILEPROP NULL_X110Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y155 NAME NULL_X110Y155 TILEPROP NULL_X110Y155 NUM_ARCS 0 TILEPROP NULL_X110Y155 NUM_SITES 0 TILEPROP NULL_X110Y155 ROW 1 TILEPROP NULL_X110Y155 SLR_REGION_ID 0 TILEPROP NULL_X110Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y155 TILE_TYPE NULL TILEPROP NULL_X110Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y155 TILE_X 101454 TILEPROP NULL_X110Y155 TILE_Y 239096 TILEPROP NULL_X110Y155 TYPE NULL TILEPROP NULL_X110Y156 CLASS tile TILEPROP NULL_X110Y156 COLUMN 110 TILEPROP NULL_X110Y156 DEVICE_ID 0 TILEPROP NULL_X110Y156 FIRST_SITE_ID 0 TILEPROP NULL_X110Y156 GRID_POINT_X 110 TILEPROP NULL_X110Y156 GRID_POINT_Y 0 TILEPROP NULL_X110Y156 INDEX 110 TILEPROP NULL_X110Y156 INT_TILE_X -1 TILEPROP NULL_X110Y156 INT_TILE_Y -1 TILEPROP NULL_X110Y156 IS_CENTER_TILE 0 TILEPROP NULL_X110Y156 IS_DCM_TILE 0 TILEPROP NULL_X110Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X110Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X110Y156 NAME NULL_X110Y156 TILEPROP NULL_X110Y156 NUM_ARCS 0 TILEPROP NULL_X110Y156 NUM_SITES 0 TILEPROP NULL_X110Y156 ROW 0 TILEPROP NULL_X110Y156 SLR_REGION_ID 0 TILEPROP NULL_X110Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X110Y156 TILE_TYPE NULL TILEPROP NULL_X110Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X110Y156 TILE_X 101454 TILEPROP NULL_X110Y156 TILE_Y 242296 TILEPROP NULL_X110Y156 TYPE NULL TILEPROP NULL_X111Y0 CLASS tile TILEPROP NULL_X111Y0 COLUMN 111 TILEPROP NULL_X111Y0 DEVICE_ID 0 TILEPROP NULL_X111Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X111Y0 GRID_POINT_X 111 TILEPROP NULL_X111Y0 GRID_POINT_Y 156 TILEPROP NULL_X111Y0 INDEX 18051 TILEPROP NULL_X111Y0 INT_TILE_X 43 TILEPROP NULL_X111Y0 INT_TILE_Y 149 TILEPROP NULL_X111Y0 IS_CENTER_TILE 0 TILEPROP NULL_X111Y0 IS_DCM_TILE 0 TILEPROP NULL_X111Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y0 NAME NULL_X111Y0 TILEPROP NULL_X111Y0 NUM_ARCS 0 TILEPROP NULL_X111Y0 NUM_SITES 0 TILEPROP NULL_X111Y0 ROW 156 TILEPROP NULL_X111Y0 SLR_REGION_ID 0 TILEPROP NULL_X111Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y0 TILE_TYPE NULL TILEPROP NULL_X111Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y0 TILE_X 104910 TILEPROP NULL_X111Y0 TILE_Y -244472 TILEPROP NULL_X111Y0 TYPE NULL TILEPROP NULL_X111Y52 CLASS tile TILEPROP NULL_X111Y52 COLUMN 111 TILEPROP NULL_X111Y52 DEVICE_ID 0 TILEPROP NULL_X111Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X111Y52 GRID_POINT_X 111 TILEPROP NULL_X111Y52 GRID_POINT_Y 104 TILEPROP NULL_X111Y52 INDEX 12071 TILEPROP NULL_X111Y52 INT_TILE_X 43 TILEPROP NULL_X111Y52 INT_TILE_Y 99 TILEPROP NULL_X111Y52 IS_CENTER_TILE 0 TILEPROP NULL_X111Y52 IS_DCM_TILE 0 TILEPROP NULL_X111Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y52 NAME NULL_X111Y52 TILEPROP NULL_X111Y52 NUM_ARCS 0 TILEPROP NULL_X111Y52 NUM_SITES 0 TILEPROP NULL_X111Y52 ROW 104 TILEPROP NULL_X111Y52 SLR_REGION_ID 0 TILEPROP NULL_X111Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y52 TILE_TYPE NULL TILEPROP NULL_X111Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y52 TILE_X 104910 TILEPROP NULL_X111Y52 TILE_Y -80248 TILEPROP NULL_X111Y52 TYPE NULL TILEPROP NULL_X111Y104 CLASS tile TILEPROP NULL_X111Y104 COLUMN 111 TILEPROP NULL_X111Y104 DEVICE_ID 0 TILEPROP NULL_X111Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X111Y104 GRID_POINT_X 111 TILEPROP NULL_X111Y104 GRID_POINT_Y 52 TILEPROP NULL_X111Y104 INDEX 6091 TILEPROP NULL_X111Y104 INT_TILE_X -1 TILEPROP NULL_X111Y104 INT_TILE_Y -1 TILEPROP NULL_X111Y104 IS_CENTER_TILE 0 TILEPROP NULL_X111Y104 IS_DCM_TILE 0 TILEPROP NULL_X111Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y104 NAME NULL_X111Y104 TILEPROP NULL_X111Y104 NUM_ARCS 0 TILEPROP NULL_X111Y104 NUM_SITES 0 TILEPROP NULL_X111Y104 ROW 52 TILEPROP NULL_X111Y104 SLR_REGION_ID 0 TILEPROP NULL_X111Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y104 TILE_TYPE NULL TILEPROP NULL_X111Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y104 TILE_X 104910 TILEPROP NULL_X111Y104 TILE_Y 81024 TILEPROP NULL_X111Y104 TYPE NULL TILEPROP NULL_X111Y105 CLASS tile TILEPROP NULL_X111Y105 COLUMN 111 TILEPROP NULL_X111Y105 DEVICE_ID 0 TILEPROP NULL_X111Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X111Y105 GRID_POINT_X 111 TILEPROP NULL_X111Y105 GRID_POINT_Y 51 TILEPROP NULL_X111Y105 INDEX 5976 TILEPROP NULL_X111Y105 INT_TILE_X -1 TILEPROP NULL_X111Y105 INT_TILE_Y -1 TILEPROP NULL_X111Y105 IS_CENTER_TILE 0 TILEPROP NULL_X111Y105 IS_DCM_TILE 0 TILEPROP NULL_X111Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y105 NAME NULL_X111Y105 TILEPROP NULL_X111Y105 NUM_ARCS 0 TILEPROP NULL_X111Y105 NUM_SITES 0 TILEPROP NULL_X111Y105 ROW 51 TILEPROP NULL_X111Y105 SLR_REGION_ID 0 TILEPROP NULL_X111Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y105 TILE_TYPE NULL TILEPROP NULL_X111Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y105 TILE_X 104910 TILEPROP NULL_X111Y105 TILE_Y 81272 TILEPROP NULL_X111Y105 TYPE NULL TILEPROP NULL_X111Y106 CLASS tile TILEPROP NULL_X111Y106 COLUMN 111 TILEPROP NULL_X111Y106 DEVICE_ID 0 TILEPROP NULL_X111Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X111Y106 GRID_POINT_X 111 TILEPROP NULL_X111Y106 GRID_POINT_Y 50 TILEPROP NULL_X111Y106 INDEX 5861 TILEPROP NULL_X111Y106 INT_TILE_X -1 TILEPROP NULL_X111Y106 INT_TILE_Y -1 TILEPROP NULL_X111Y106 IS_CENTER_TILE 0 TILEPROP NULL_X111Y106 IS_DCM_TILE 0 TILEPROP NULL_X111Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y106 NAME NULL_X111Y106 TILEPROP NULL_X111Y106 NUM_ARCS 0 TILEPROP NULL_X111Y106 NUM_SITES 0 TILEPROP NULL_X111Y106 ROW 50 TILEPROP NULL_X111Y106 SLR_REGION_ID 0 TILEPROP NULL_X111Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y106 TILE_TYPE NULL TILEPROP NULL_X111Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y106 TILE_X 104910 TILEPROP NULL_X111Y106 TILE_Y 84472 TILEPROP NULL_X111Y106 TYPE NULL TILEPROP NULL_X111Y107 CLASS tile TILEPROP NULL_X111Y107 COLUMN 111 TILEPROP NULL_X111Y107 DEVICE_ID 0 TILEPROP NULL_X111Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X111Y107 GRID_POINT_X 111 TILEPROP NULL_X111Y107 GRID_POINT_Y 49 TILEPROP NULL_X111Y107 INDEX 5746 TILEPROP NULL_X111Y107 INT_TILE_X -1 TILEPROP NULL_X111Y107 INT_TILE_Y -1 TILEPROP NULL_X111Y107 IS_CENTER_TILE 0 TILEPROP NULL_X111Y107 IS_DCM_TILE 0 TILEPROP NULL_X111Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y107 NAME NULL_X111Y107 TILEPROP NULL_X111Y107 NUM_ARCS 0 TILEPROP NULL_X111Y107 NUM_SITES 0 TILEPROP NULL_X111Y107 ROW 49 TILEPROP NULL_X111Y107 SLR_REGION_ID 0 TILEPROP NULL_X111Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y107 TILE_TYPE NULL TILEPROP NULL_X111Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y107 TILE_X 104910 TILEPROP NULL_X111Y107 TILE_Y 87672 TILEPROP NULL_X111Y107 TYPE NULL TILEPROP NULL_X111Y108 CLASS tile TILEPROP NULL_X111Y108 COLUMN 111 TILEPROP NULL_X111Y108 DEVICE_ID 0 TILEPROP NULL_X111Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X111Y108 GRID_POINT_X 111 TILEPROP NULL_X111Y108 GRID_POINT_Y 48 TILEPROP NULL_X111Y108 INDEX 5631 TILEPROP NULL_X111Y108 INT_TILE_X -1 TILEPROP NULL_X111Y108 INT_TILE_Y -1 TILEPROP NULL_X111Y108 IS_CENTER_TILE 0 TILEPROP NULL_X111Y108 IS_DCM_TILE 0 TILEPROP NULL_X111Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y108 NAME NULL_X111Y108 TILEPROP NULL_X111Y108 NUM_ARCS 0 TILEPROP NULL_X111Y108 NUM_SITES 0 TILEPROP NULL_X111Y108 ROW 48 TILEPROP NULL_X111Y108 SLR_REGION_ID 0 TILEPROP NULL_X111Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y108 TILE_TYPE NULL TILEPROP NULL_X111Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y108 TILE_X 104910 TILEPROP NULL_X111Y108 TILE_Y 90872 TILEPROP NULL_X111Y108 TYPE NULL TILEPROP NULL_X111Y109 CLASS tile TILEPROP NULL_X111Y109 COLUMN 111 TILEPROP NULL_X111Y109 DEVICE_ID 0 TILEPROP NULL_X111Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X111Y109 GRID_POINT_X 111 TILEPROP NULL_X111Y109 GRID_POINT_Y 47 TILEPROP NULL_X111Y109 INDEX 5516 TILEPROP NULL_X111Y109 INT_TILE_X -1 TILEPROP NULL_X111Y109 INT_TILE_Y -1 TILEPROP NULL_X111Y109 IS_CENTER_TILE 0 TILEPROP NULL_X111Y109 IS_DCM_TILE 0 TILEPROP NULL_X111Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y109 NAME NULL_X111Y109 TILEPROP NULL_X111Y109 NUM_ARCS 0 TILEPROP NULL_X111Y109 NUM_SITES 0 TILEPROP NULL_X111Y109 ROW 47 TILEPROP NULL_X111Y109 SLR_REGION_ID 0 TILEPROP NULL_X111Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y109 TILE_TYPE NULL TILEPROP NULL_X111Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y109 TILE_X 104910 TILEPROP NULL_X111Y109 TILE_Y 94072 TILEPROP NULL_X111Y109 TYPE NULL TILEPROP NULL_X111Y110 CLASS tile TILEPROP NULL_X111Y110 COLUMN 111 TILEPROP NULL_X111Y110 DEVICE_ID 0 TILEPROP NULL_X111Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X111Y110 GRID_POINT_X 111 TILEPROP NULL_X111Y110 GRID_POINT_Y 46 TILEPROP NULL_X111Y110 INDEX 5401 TILEPROP NULL_X111Y110 INT_TILE_X -1 TILEPROP NULL_X111Y110 INT_TILE_Y -1 TILEPROP NULL_X111Y110 IS_CENTER_TILE 0 TILEPROP NULL_X111Y110 IS_DCM_TILE 0 TILEPROP NULL_X111Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y110 NAME NULL_X111Y110 TILEPROP NULL_X111Y110 NUM_ARCS 0 TILEPROP NULL_X111Y110 NUM_SITES 0 TILEPROP NULL_X111Y110 ROW 46 TILEPROP NULL_X111Y110 SLR_REGION_ID 0 TILEPROP NULL_X111Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y110 TILE_TYPE NULL TILEPROP NULL_X111Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y110 TILE_X 104910 TILEPROP NULL_X111Y110 TILE_Y 97272 TILEPROP NULL_X111Y110 TYPE NULL TILEPROP NULL_X111Y111 CLASS tile TILEPROP NULL_X111Y111 COLUMN 111 TILEPROP NULL_X111Y111 DEVICE_ID 0 TILEPROP NULL_X111Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X111Y111 GRID_POINT_X 111 TILEPROP NULL_X111Y111 GRID_POINT_Y 45 TILEPROP NULL_X111Y111 INDEX 5286 TILEPROP NULL_X111Y111 INT_TILE_X -1 TILEPROP NULL_X111Y111 INT_TILE_Y -1 TILEPROP NULL_X111Y111 IS_CENTER_TILE 0 TILEPROP NULL_X111Y111 IS_DCM_TILE 0 TILEPROP NULL_X111Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y111 NAME NULL_X111Y111 TILEPROP NULL_X111Y111 NUM_ARCS 0 TILEPROP NULL_X111Y111 NUM_SITES 0 TILEPROP NULL_X111Y111 ROW 45 TILEPROP NULL_X111Y111 SLR_REGION_ID 0 TILEPROP NULL_X111Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y111 TILE_TYPE NULL TILEPROP NULL_X111Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y111 TILE_X 104910 TILEPROP NULL_X111Y111 TILE_Y 100472 TILEPROP NULL_X111Y111 TYPE NULL TILEPROP NULL_X111Y112 CLASS tile TILEPROP NULL_X111Y112 COLUMN 111 TILEPROP NULL_X111Y112 DEVICE_ID 0 TILEPROP NULL_X111Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X111Y112 GRID_POINT_X 111 TILEPROP NULL_X111Y112 GRID_POINT_Y 44 TILEPROP NULL_X111Y112 INDEX 5171 TILEPROP NULL_X111Y112 INT_TILE_X -1 TILEPROP NULL_X111Y112 INT_TILE_Y -1 TILEPROP NULL_X111Y112 IS_CENTER_TILE 0 TILEPROP NULL_X111Y112 IS_DCM_TILE 0 TILEPROP NULL_X111Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y112 NAME NULL_X111Y112 TILEPROP NULL_X111Y112 NUM_ARCS 0 TILEPROP NULL_X111Y112 NUM_SITES 0 TILEPROP NULL_X111Y112 ROW 44 TILEPROP NULL_X111Y112 SLR_REGION_ID 0 TILEPROP NULL_X111Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y112 TILE_TYPE NULL TILEPROP NULL_X111Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y112 TILE_X 104910 TILEPROP NULL_X111Y112 TILE_Y 103672 TILEPROP NULL_X111Y112 TYPE NULL TILEPROP NULL_X111Y113 CLASS tile TILEPROP NULL_X111Y113 COLUMN 111 TILEPROP NULL_X111Y113 DEVICE_ID 0 TILEPROP NULL_X111Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X111Y113 GRID_POINT_X 111 TILEPROP NULL_X111Y113 GRID_POINT_Y 43 TILEPROP NULL_X111Y113 INDEX 5056 TILEPROP NULL_X111Y113 INT_TILE_X -1 TILEPROP NULL_X111Y113 INT_TILE_Y -1 TILEPROP NULL_X111Y113 IS_CENTER_TILE 0 TILEPROP NULL_X111Y113 IS_DCM_TILE 0 TILEPROP NULL_X111Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y113 NAME NULL_X111Y113 TILEPROP NULL_X111Y113 NUM_ARCS 0 TILEPROP NULL_X111Y113 NUM_SITES 0 TILEPROP NULL_X111Y113 ROW 43 TILEPROP NULL_X111Y113 SLR_REGION_ID 0 TILEPROP NULL_X111Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y113 TILE_TYPE NULL TILEPROP NULL_X111Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y113 TILE_X 104910 TILEPROP NULL_X111Y113 TILE_Y 106872 TILEPROP NULL_X111Y113 TYPE NULL TILEPROP NULL_X111Y114 CLASS tile TILEPROP NULL_X111Y114 COLUMN 111 TILEPROP NULL_X111Y114 DEVICE_ID 0 TILEPROP NULL_X111Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X111Y114 GRID_POINT_X 111 TILEPROP NULL_X111Y114 GRID_POINT_Y 42 TILEPROP NULL_X111Y114 INDEX 4941 TILEPROP NULL_X111Y114 INT_TILE_X -1 TILEPROP NULL_X111Y114 INT_TILE_Y -1 TILEPROP NULL_X111Y114 IS_CENTER_TILE 0 TILEPROP NULL_X111Y114 IS_DCM_TILE 0 TILEPROP NULL_X111Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y114 NAME NULL_X111Y114 TILEPROP NULL_X111Y114 NUM_ARCS 0 TILEPROP NULL_X111Y114 NUM_SITES 0 TILEPROP NULL_X111Y114 ROW 42 TILEPROP NULL_X111Y114 SLR_REGION_ID 0 TILEPROP NULL_X111Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y114 TILE_TYPE NULL TILEPROP NULL_X111Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y114 TILE_X 104910 TILEPROP NULL_X111Y114 TILE_Y 110072 TILEPROP NULL_X111Y114 TYPE NULL TILEPROP NULL_X111Y115 CLASS tile TILEPROP NULL_X111Y115 COLUMN 111 TILEPROP NULL_X111Y115 DEVICE_ID 0 TILEPROP NULL_X111Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X111Y115 GRID_POINT_X 111 TILEPROP NULL_X111Y115 GRID_POINT_Y 41 TILEPROP NULL_X111Y115 INDEX 4826 TILEPROP NULL_X111Y115 INT_TILE_X -1 TILEPROP NULL_X111Y115 INT_TILE_Y -1 TILEPROP NULL_X111Y115 IS_CENTER_TILE 0 TILEPROP NULL_X111Y115 IS_DCM_TILE 0 TILEPROP NULL_X111Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y115 NAME NULL_X111Y115 TILEPROP NULL_X111Y115 NUM_ARCS 0 TILEPROP NULL_X111Y115 NUM_SITES 0 TILEPROP NULL_X111Y115 ROW 41 TILEPROP NULL_X111Y115 SLR_REGION_ID 0 TILEPROP NULL_X111Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y115 TILE_TYPE NULL TILEPROP NULL_X111Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y115 TILE_X 104910 TILEPROP NULL_X111Y115 TILE_Y 113272 TILEPROP NULL_X111Y115 TYPE NULL TILEPROP NULL_X111Y116 CLASS tile TILEPROP NULL_X111Y116 COLUMN 111 TILEPROP NULL_X111Y116 DEVICE_ID 0 TILEPROP NULL_X111Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X111Y116 GRID_POINT_X 111 TILEPROP NULL_X111Y116 GRID_POINT_Y 40 TILEPROP NULL_X111Y116 INDEX 4711 TILEPROP NULL_X111Y116 INT_TILE_X -1 TILEPROP NULL_X111Y116 INT_TILE_Y -1 TILEPROP NULL_X111Y116 IS_CENTER_TILE 0 TILEPROP NULL_X111Y116 IS_DCM_TILE 0 TILEPROP NULL_X111Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y116 NAME NULL_X111Y116 TILEPROP NULL_X111Y116 NUM_ARCS 0 TILEPROP NULL_X111Y116 NUM_SITES 0 TILEPROP NULL_X111Y116 ROW 40 TILEPROP NULL_X111Y116 SLR_REGION_ID 0 TILEPROP NULL_X111Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y116 TILE_TYPE NULL TILEPROP NULL_X111Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y116 TILE_X 104910 TILEPROP NULL_X111Y116 TILE_Y 116472 TILEPROP NULL_X111Y116 TYPE NULL TILEPROP NULL_X111Y117 CLASS tile TILEPROP NULL_X111Y117 COLUMN 111 TILEPROP NULL_X111Y117 DEVICE_ID 0 TILEPROP NULL_X111Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X111Y117 GRID_POINT_X 111 TILEPROP NULL_X111Y117 GRID_POINT_Y 39 TILEPROP NULL_X111Y117 INDEX 4596 TILEPROP NULL_X111Y117 INT_TILE_X -1 TILEPROP NULL_X111Y117 INT_TILE_Y -1 TILEPROP NULL_X111Y117 IS_CENTER_TILE 0 TILEPROP NULL_X111Y117 IS_DCM_TILE 0 TILEPROP NULL_X111Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y117 NAME NULL_X111Y117 TILEPROP NULL_X111Y117 NUM_ARCS 0 TILEPROP NULL_X111Y117 NUM_SITES 0 TILEPROP NULL_X111Y117 ROW 39 TILEPROP NULL_X111Y117 SLR_REGION_ID 0 TILEPROP NULL_X111Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y117 TILE_TYPE NULL TILEPROP NULL_X111Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y117 TILE_X 104910 TILEPROP NULL_X111Y117 TILE_Y 119672 TILEPROP NULL_X111Y117 TYPE NULL TILEPROP NULL_X111Y118 CLASS tile TILEPROP NULL_X111Y118 COLUMN 111 TILEPROP NULL_X111Y118 DEVICE_ID 0 TILEPROP NULL_X111Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X111Y118 GRID_POINT_X 111 TILEPROP NULL_X111Y118 GRID_POINT_Y 38 TILEPROP NULL_X111Y118 INDEX 4481 TILEPROP NULL_X111Y118 INT_TILE_X -1 TILEPROP NULL_X111Y118 INT_TILE_Y -1 TILEPROP NULL_X111Y118 IS_CENTER_TILE 0 TILEPROP NULL_X111Y118 IS_DCM_TILE 0 TILEPROP NULL_X111Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y118 NAME NULL_X111Y118 TILEPROP NULL_X111Y118 NUM_ARCS 0 TILEPROP NULL_X111Y118 NUM_SITES 0 TILEPROP NULL_X111Y118 ROW 38 TILEPROP NULL_X111Y118 SLR_REGION_ID 0 TILEPROP NULL_X111Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y118 TILE_TYPE NULL TILEPROP NULL_X111Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y118 TILE_X 104910 TILEPROP NULL_X111Y118 TILE_Y 122872 TILEPROP NULL_X111Y118 TYPE NULL TILEPROP NULL_X111Y119 CLASS tile TILEPROP NULL_X111Y119 COLUMN 111 TILEPROP NULL_X111Y119 DEVICE_ID 0 TILEPROP NULL_X111Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X111Y119 GRID_POINT_X 111 TILEPROP NULL_X111Y119 GRID_POINT_Y 37 TILEPROP NULL_X111Y119 INDEX 4366 TILEPROP NULL_X111Y119 INT_TILE_X -1 TILEPROP NULL_X111Y119 INT_TILE_Y -1 TILEPROP NULL_X111Y119 IS_CENTER_TILE 0 TILEPROP NULL_X111Y119 IS_DCM_TILE 0 TILEPROP NULL_X111Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y119 NAME NULL_X111Y119 TILEPROP NULL_X111Y119 NUM_ARCS 0 TILEPROP NULL_X111Y119 NUM_SITES 0 TILEPROP NULL_X111Y119 ROW 37 TILEPROP NULL_X111Y119 SLR_REGION_ID 0 TILEPROP NULL_X111Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y119 TILE_TYPE NULL TILEPROP NULL_X111Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y119 TILE_X 104910 TILEPROP NULL_X111Y119 TILE_Y 126072 TILEPROP NULL_X111Y119 TYPE NULL TILEPROP NULL_X111Y120 CLASS tile TILEPROP NULL_X111Y120 COLUMN 111 TILEPROP NULL_X111Y120 DEVICE_ID 0 TILEPROP NULL_X111Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X111Y120 GRID_POINT_X 111 TILEPROP NULL_X111Y120 GRID_POINT_Y 36 TILEPROP NULL_X111Y120 INDEX 4251 TILEPROP NULL_X111Y120 INT_TILE_X -1 TILEPROP NULL_X111Y120 INT_TILE_Y -1 TILEPROP NULL_X111Y120 IS_CENTER_TILE 0 TILEPROP NULL_X111Y120 IS_DCM_TILE 0 TILEPROP NULL_X111Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y120 NAME NULL_X111Y120 TILEPROP NULL_X111Y120 NUM_ARCS 0 TILEPROP NULL_X111Y120 NUM_SITES 0 TILEPROP NULL_X111Y120 ROW 36 TILEPROP NULL_X111Y120 SLR_REGION_ID 0 TILEPROP NULL_X111Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y120 TILE_TYPE NULL TILEPROP NULL_X111Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y120 TILE_X 104910 TILEPROP NULL_X111Y120 TILE_Y 129272 TILEPROP NULL_X111Y120 TYPE NULL TILEPROP NULL_X111Y121 CLASS tile TILEPROP NULL_X111Y121 COLUMN 111 TILEPROP NULL_X111Y121 DEVICE_ID 0 TILEPROP NULL_X111Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X111Y121 GRID_POINT_X 111 TILEPROP NULL_X111Y121 GRID_POINT_Y 35 TILEPROP NULL_X111Y121 INDEX 4136 TILEPROP NULL_X111Y121 INT_TILE_X -1 TILEPROP NULL_X111Y121 INT_TILE_Y -1 TILEPROP NULL_X111Y121 IS_CENTER_TILE 0 TILEPROP NULL_X111Y121 IS_DCM_TILE 0 TILEPROP NULL_X111Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y121 NAME NULL_X111Y121 TILEPROP NULL_X111Y121 NUM_ARCS 0 TILEPROP NULL_X111Y121 NUM_SITES 0 TILEPROP NULL_X111Y121 ROW 35 TILEPROP NULL_X111Y121 SLR_REGION_ID 0 TILEPROP NULL_X111Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y121 TILE_TYPE NULL TILEPROP NULL_X111Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y121 TILE_X 104910 TILEPROP NULL_X111Y121 TILE_Y 132472 TILEPROP NULL_X111Y121 TYPE NULL TILEPROP NULL_X111Y122 CLASS tile TILEPROP NULL_X111Y122 COLUMN 111 TILEPROP NULL_X111Y122 DEVICE_ID 0 TILEPROP NULL_X111Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X111Y122 GRID_POINT_X 111 TILEPROP NULL_X111Y122 GRID_POINT_Y 34 TILEPROP NULL_X111Y122 INDEX 4021 TILEPROP NULL_X111Y122 INT_TILE_X -1 TILEPROP NULL_X111Y122 INT_TILE_Y -1 TILEPROP NULL_X111Y122 IS_CENTER_TILE 0 TILEPROP NULL_X111Y122 IS_DCM_TILE 0 TILEPROP NULL_X111Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y122 NAME NULL_X111Y122 TILEPROP NULL_X111Y122 NUM_ARCS 0 TILEPROP NULL_X111Y122 NUM_SITES 0 TILEPROP NULL_X111Y122 ROW 34 TILEPROP NULL_X111Y122 SLR_REGION_ID 0 TILEPROP NULL_X111Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y122 TILE_TYPE NULL TILEPROP NULL_X111Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y122 TILE_X 104910 TILEPROP NULL_X111Y122 TILE_Y 135672 TILEPROP NULL_X111Y122 TYPE NULL TILEPROP NULL_X111Y123 CLASS tile TILEPROP NULL_X111Y123 COLUMN 111 TILEPROP NULL_X111Y123 DEVICE_ID 0 TILEPROP NULL_X111Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X111Y123 GRID_POINT_X 111 TILEPROP NULL_X111Y123 GRID_POINT_Y 33 TILEPROP NULL_X111Y123 INDEX 3906 TILEPROP NULL_X111Y123 INT_TILE_X -1 TILEPROP NULL_X111Y123 INT_TILE_Y -1 TILEPROP NULL_X111Y123 IS_CENTER_TILE 0 TILEPROP NULL_X111Y123 IS_DCM_TILE 0 TILEPROP NULL_X111Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y123 NAME NULL_X111Y123 TILEPROP NULL_X111Y123 NUM_ARCS 0 TILEPROP NULL_X111Y123 NUM_SITES 0 TILEPROP NULL_X111Y123 ROW 33 TILEPROP NULL_X111Y123 SLR_REGION_ID 0 TILEPROP NULL_X111Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y123 TILE_TYPE NULL TILEPROP NULL_X111Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y123 TILE_X 104910 TILEPROP NULL_X111Y123 TILE_Y 138872 TILEPROP NULL_X111Y123 TYPE NULL TILEPROP NULL_X111Y124 CLASS tile TILEPROP NULL_X111Y124 COLUMN 111 TILEPROP NULL_X111Y124 DEVICE_ID 0 TILEPROP NULL_X111Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X111Y124 GRID_POINT_X 111 TILEPROP NULL_X111Y124 GRID_POINT_Y 32 TILEPROP NULL_X111Y124 INDEX 3791 TILEPROP NULL_X111Y124 INT_TILE_X -1 TILEPROP NULL_X111Y124 INT_TILE_Y -1 TILEPROP NULL_X111Y124 IS_CENTER_TILE 0 TILEPROP NULL_X111Y124 IS_DCM_TILE 0 TILEPROP NULL_X111Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y124 NAME NULL_X111Y124 TILEPROP NULL_X111Y124 NUM_ARCS 0 TILEPROP NULL_X111Y124 NUM_SITES 0 TILEPROP NULL_X111Y124 ROW 32 TILEPROP NULL_X111Y124 SLR_REGION_ID 0 TILEPROP NULL_X111Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y124 TILE_TYPE NULL TILEPROP NULL_X111Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y124 TILE_X 104910 TILEPROP NULL_X111Y124 TILE_Y 142072 TILEPROP NULL_X111Y124 TYPE NULL TILEPROP NULL_X111Y125 CLASS tile TILEPROP NULL_X111Y125 COLUMN 111 TILEPROP NULL_X111Y125 DEVICE_ID 0 TILEPROP NULL_X111Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X111Y125 GRID_POINT_X 111 TILEPROP NULL_X111Y125 GRID_POINT_Y 31 TILEPROP NULL_X111Y125 INDEX 3676 TILEPROP NULL_X111Y125 INT_TILE_X -1 TILEPROP NULL_X111Y125 INT_TILE_Y -1 TILEPROP NULL_X111Y125 IS_CENTER_TILE 0 TILEPROP NULL_X111Y125 IS_DCM_TILE 0 TILEPROP NULL_X111Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y125 NAME NULL_X111Y125 TILEPROP NULL_X111Y125 NUM_ARCS 0 TILEPROP NULL_X111Y125 NUM_SITES 0 TILEPROP NULL_X111Y125 ROW 31 TILEPROP NULL_X111Y125 SLR_REGION_ID 0 TILEPROP NULL_X111Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y125 TILE_TYPE NULL TILEPROP NULL_X111Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y125 TILE_X 104910 TILEPROP NULL_X111Y125 TILE_Y 145272 TILEPROP NULL_X111Y125 TYPE NULL TILEPROP NULL_X111Y126 CLASS tile TILEPROP NULL_X111Y126 COLUMN 111 TILEPROP NULL_X111Y126 DEVICE_ID 0 TILEPROP NULL_X111Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X111Y126 GRID_POINT_X 111 TILEPROP NULL_X111Y126 GRID_POINT_Y 30 TILEPROP NULL_X111Y126 INDEX 3561 TILEPROP NULL_X111Y126 INT_TILE_X -1 TILEPROP NULL_X111Y126 INT_TILE_Y -1 TILEPROP NULL_X111Y126 IS_CENTER_TILE 0 TILEPROP NULL_X111Y126 IS_DCM_TILE 0 TILEPROP NULL_X111Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y126 NAME NULL_X111Y126 TILEPROP NULL_X111Y126 NUM_ARCS 0 TILEPROP NULL_X111Y126 NUM_SITES 0 TILEPROP NULL_X111Y126 ROW 30 TILEPROP NULL_X111Y126 SLR_REGION_ID 0 TILEPROP NULL_X111Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y126 TILE_TYPE NULL TILEPROP NULL_X111Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y126 TILE_X 104910 TILEPROP NULL_X111Y126 TILE_Y 148472 TILEPROP NULL_X111Y126 TYPE NULL TILEPROP NULL_X111Y127 CLASS tile TILEPROP NULL_X111Y127 COLUMN 111 TILEPROP NULL_X111Y127 DEVICE_ID 0 TILEPROP NULL_X111Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X111Y127 GRID_POINT_X 111 TILEPROP NULL_X111Y127 GRID_POINT_Y 29 TILEPROP NULL_X111Y127 INDEX 3446 TILEPROP NULL_X111Y127 INT_TILE_X -1 TILEPROP NULL_X111Y127 INT_TILE_Y -1 TILEPROP NULL_X111Y127 IS_CENTER_TILE 0 TILEPROP NULL_X111Y127 IS_DCM_TILE 0 TILEPROP NULL_X111Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y127 NAME NULL_X111Y127 TILEPROP NULL_X111Y127 NUM_ARCS 0 TILEPROP NULL_X111Y127 NUM_SITES 0 TILEPROP NULL_X111Y127 ROW 29 TILEPROP NULL_X111Y127 SLR_REGION_ID 0 TILEPROP NULL_X111Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y127 TILE_TYPE NULL TILEPROP NULL_X111Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y127 TILE_X 104910 TILEPROP NULL_X111Y127 TILE_Y 151672 TILEPROP NULL_X111Y127 TYPE NULL TILEPROP NULL_X111Y128 CLASS tile TILEPROP NULL_X111Y128 COLUMN 111 TILEPROP NULL_X111Y128 DEVICE_ID 0 TILEPROP NULL_X111Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X111Y128 GRID_POINT_X 111 TILEPROP NULL_X111Y128 GRID_POINT_Y 28 TILEPROP NULL_X111Y128 INDEX 3331 TILEPROP NULL_X111Y128 INT_TILE_X -1 TILEPROP NULL_X111Y128 INT_TILE_Y -1 TILEPROP NULL_X111Y128 IS_CENTER_TILE 0 TILEPROP NULL_X111Y128 IS_DCM_TILE 0 TILEPROP NULL_X111Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y128 NAME NULL_X111Y128 TILEPROP NULL_X111Y128 NUM_ARCS 0 TILEPROP NULL_X111Y128 NUM_SITES 0 TILEPROP NULL_X111Y128 ROW 28 TILEPROP NULL_X111Y128 SLR_REGION_ID 0 TILEPROP NULL_X111Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y128 TILE_TYPE NULL TILEPROP NULL_X111Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y128 TILE_X 104910 TILEPROP NULL_X111Y128 TILE_Y 154872 TILEPROP NULL_X111Y128 TYPE NULL TILEPROP NULL_X111Y129 CLASS tile TILEPROP NULL_X111Y129 COLUMN 111 TILEPROP NULL_X111Y129 DEVICE_ID 0 TILEPROP NULL_X111Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X111Y129 GRID_POINT_X 111 TILEPROP NULL_X111Y129 GRID_POINT_Y 27 TILEPROP NULL_X111Y129 INDEX 3216 TILEPROP NULL_X111Y129 INT_TILE_X -1 TILEPROP NULL_X111Y129 INT_TILE_Y -1 TILEPROP NULL_X111Y129 IS_CENTER_TILE 0 TILEPROP NULL_X111Y129 IS_DCM_TILE 0 TILEPROP NULL_X111Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y129 NAME NULL_X111Y129 TILEPROP NULL_X111Y129 NUM_ARCS 0 TILEPROP NULL_X111Y129 NUM_SITES 0 TILEPROP NULL_X111Y129 ROW 27 TILEPROP NULL_X111Y129 SLR_REGION_ID 0 TILEPROP NULL_X111Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y129 TILE_TYPE NULL TILEPROP NULL_X111Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y129 TILE_X 104910 TILEPROP NULL_X111Y129 TILE_Y 158072 TILEPROP NULL_X111Y129 TYPE NULL TILEPROP NULL_X111Y130 CLASS tile TILEPROP NULL_X111Y130 COLUMN 111 TILEPROP NULL_X111Y130 DEVICE_ID 0 TILEPROP NULL_X111Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X111Y130 GRID_POINT_X 111 TILEPROP NULL_X111Y130 GRID_POINT_Y 26 TILEPROP NULL_X111Y130 INDEX 3101 TILEPROP NULL_X111Y130 INT_TILE_X -1 TILEPROP NULL_X111Y130 INT_TILE_Y -1 TILEPROP NULL_X111Y130 IS_CENTER_TILE 0 TILEPROP NULL_X111Y130 IS_DCM_TILE 0 TILEPROP NULL_X111Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y130 NAME NULL_X111Y130 TILEPROP NULL_X111Y130 NUM_ARCS 0 TILEPROP NULL_X111Y130 NUM_SITES 0 TILEPROP NULL_X111Y130 ROW 26 TILEPROP NULL_X111Y130 SLR_REGION_ID 0 TILEPROP NULL_X111Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y130 TILE_TYPE NULL TILEPROP NULL_X111Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y130 TILE_X 104910 TILEPROP NULL_X111Y130 TILE_Y 161272 TILEPROP NULL_X111Y130 TYPE NULL TILEPROP NULL_X111Y131 CLASS tile TILEPROP NULL_X111Y131 COLUMN 111 TILEPROP NULL_X111Y131 DEVICE_ID 0 TILEPROP NULL_X111Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X111Y131 GRID_POINT_X 111 TILEPROP NULL_X111Y131 GRID_POINT_Y 25 TILEPROP NULL_X111Y131 INDEX 2986 TILEPROP NULL_X111Y131 INT_TILE_X -1 TILEPROP NULL_X111Y131 INT_TILE_Y -1 TILEPROP NULL_X111Y131 IS_CENTER_TILE 0 TILEPROP NULL_X111Y131 IS_DCM_TILE 0 TILEPROP NULL_X111Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y131 NAME NULL_X111Y131 TILEPROP NULL_X111Y131 NUM_ARCS 0 TILEPROP NULL_X111Y131 NUM_SITES 0 TILEPROP NULL_X111Y131 ROW 25 TILEPROP NULL_X111Y131 SLR_REGION_ID 0 TILEPROP NULL_X111Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y131 TILE_TYPE NULL TILEPROP NULL_X111Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y131 TILE_X 104910 TILEPROP NULL_X111Y131 TILE_Y 162296 TILEPROP NULL_X111Y131 TYPE NULL TILEPROP NULL_X111Y132 CLASS tile TILEPROP NULL_X111Y132 COLUMN 111 TILEPROP NULL_X111Y132 DEVICE_ID 0 TILEPROP NULL_X111Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X111Y132 GRID_POINT_X 111 TILEPROP NULL_X111Y132 GRID_POINT_Y 24 TILEPROP NULL_X111Y132 INDEX 2871 TILEPROP NULL_X111Y132 INT_TILE_X -1 TILEPROP NULL_X111Y132 INT_TILE_Y -1 TILEPROP NULL_X111Y132 IS_CENTER_TILE 0 TILEPROP NULL_X111Y132 IS_DCM_TILE 0 TILEPROP NULL_X111Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y132 NAME NULL_X111Y132 TILEPROP NULL_X111Y132 NUM_ARCS 0 TILEPROP NULL_X111Y132 NUM_SITES 0 TILEPROP NULL_X111Y132 ROW 24 TILEPROP NULL_X111Y132 SLR_REGION_ID 0 TILEPROP NULL_X111Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y132 TILE_TYPE NULL TILEPROP NULL_X111Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y132 TILE_X 104910 TILEPROP NULL_X111Y132 TILE_Y 165496 TILEPROP NULL_X111Y132 TYPE NULL TILEPROP NULL_X111Y133 CLASS tile TILEPROP NULL_X111Y133 COLUMN 111 TILEPROP NULL_X111Y133 DEVICE_ID 0 TILEPROP NULL_X111Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X111Y133 GRID_POINT_X 111 TILEPROP NULL_X111Y133 GRID_POINT_Y 23 TILEPROP NULL_X111Y133 INDEX 2756 TILEPROP NULL_X111Y133 INT_TILE_X -1 TILEPROP NULL_X111Y133 INT_TILE_Y -1 TILEPROP NULL_X111Y133 IS_CENTER_TILE 0 TILEPROP NULL_X111Y133 IS_DCM_TILE 0 TILEPROP NULL_X111Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y133 NAME NULL_X111Y133 TILEPROP NULL_X111Y133 NUM_ARCS 0 TILEPROP NULL_X111Y133 NUM_SITES 0 TILEPROP NULL_X111Y133 ROW 23 TILEPROP NULL_X111Y133 SLR_REGION_ID 0 TILEPROP NULL_X111Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y133 TILE_TYPE NULL TILEPROP NULL_X111Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y133 TILE_X 104910 TILEPROP NULL_X111Y133 TILE_Y 168696 TILEPROP NULL_X111Y133 TYPE NULL TILEPROP NULL_X111Y134 CLASS tile TILEPROP NULL_X111Y134 COLUMN 111 TILEPROP NULL_X111Y134 DEVICE_ID 0 TILEPROP NULL_X111Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X111Y134 GRID_POINT_X 111 TILEPROP NULL_X111Y134 GRID_POINT_Y 22 TILEPROP NULL_X111Y134 INDEX 2641 TILEPROP NULL_X111Y134 INT_TILE_X -1 TILEPROP NULL_X111Y134 INT_TILE_Y -1 TILEPROP NULL_X111Y134 IS_CENTER_TILE 0 TILEPROP NULL_X111Y134 IS_DCM_TILE 0 TILEPROP NULL_X111Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y134 NAME NULL_X111Y134 TILEPROP NULL_X111Y134 NUM_ARCS 0 TILEPROP NULL_X111Y134 NUM_SITES 0 TILEPROP NULL_X111Y134 ROW 22 TILEPROP NULL_X111Y134 SLR_REGION_ID 0 TILEPROP NULL_X111Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y134 TILE_TYPE NULL TILEPROP NULL_X111Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y134 TILE_X 104910 TILEPROP NULL_X111Y134 TILE_Y 171896 TILEPROP NULL_X111Y134 TYPE NULL TILEPROP NULL_X111Y135 CLASS tile TILEPROP NULL_X111Y135 COLUMN 111 TILEPROP NULL_X111Y135 DEVICE_ID 0 TILEPROP NULL_X111Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X111Y135 GRID_POINT_X 111 TILEPROP NULL_X111Y135 GRID_POINT_Y 21 TILEPROP NULL_X111Y135 INDEX 2526 TILEPROP NULL_X111Y135 INT_TILE_X -1 TILEPROP NULL_X111Y135 INT_TILE_Y -1 TILEPROP NULL_X111Y135 IS_CENTER_TILE 0 TILEPROP NULL_X111Y135 IS_DCM_TILE 0 TILEPROP NULL_X111Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y135 NAME NULL_X111Y135 TILEPROP NULL_X111Y135 NUM_ARCS 0 TILEPROP NULL_X111Y135 NUM_SITES 0 TILEPROP NULL_X111Y135 ROW 21 TILEPROP NULL_X111Y135 SLR_REGION_ID 0 TILEPROP NULL_X111Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y135 TILE_TYPE NULL TILEPROP NULL_X111Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y135 TILE_X 104910 TILEPROP NULL_X111Y135 TILE_Y 175096 TILEPROP NULL_X111Y135 TYPE NULL TILEPROP NULL_X111Y136 CLASS tile TILEPROP NULL_X111Y136 COLUMN 111 TILEPROP NULL_X111Y136 DEVICE_ID 0 TILEPROP NULL_X111Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X111Y136 GRID_POINT_X 111 TILEPROP NULL_X111Y136 GRID_POINT_Y 20 TILEPROP NULL_X111Y136 INDEX 2411 TILEPROP NULL_X111Y136 INT_TILE_X -1 TILEPROP NULL_X111Y136 INT_TILE_Y -1 TILEPROP NULL_X111Y136 IS_CENTER_TILE 0 TILEPROP NULL_X111Y136 IS_DCM_TILE 0 TILEPROP NULL_X111Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y136 NAME NULL_X111Y136 TILEPROP NULL_X111Y136 NUM_ARCS 0 TILEPROP NULL_X111Y136 NUM_SITES 0 TILEPROP NULL_X111Y136 ROW 20 TILEPROP NULL_X111Y136 SLR_REGION_ID 0 TILEPROP NULL_X111Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y136 TILE_TYPE NULL TILEPROP NULL_X111Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y136 TILE_X 104910 TILEPROP NULL_X111Y136 TILE_Y 178296 TILEPROP NULL_X111Y136 TYPE NULL TILEPROP NULL_X111Y137 CLASS tile TILEPROP NULL_X111Y137 COLUMN 111 TILEPROP NULL_X111Y137 DEVICE_ID 0 TILEPROP NULL_X111Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X111Y137 GRID_POINT_X 111 TILEPROP NULL_X111Y137 GRID_POINT_Y 19 TILEPROP NULL_X111Y137 INDEX 2296 TILEPROP NULL_X111Y137 INT_TILE_X -1 TILEPROP NULL_X111Y137 INT_TILE_Y -1 TILEPROP NULL_X111Y137 IS_CENTER_TILE 0 TILEPROP NULL_X111Y137 IS_DCM_TILE 0 TILEPROP NULL_X111Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y137 NAME NULL_X111Y137 TILEPROP NULL_X111Y137 NUM_ARCS 0 TILEPROP NULL_X111Y137 NUM_SITES 0 TILEPROP NULL_X111Y137 ROW 19 TILEPROP NULL_X111Y137 SLR_REGION_ID 0 TILEPROP NULL_X111Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y137 TILE_TYPE NULL TILEPROP NULL_X111Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y137 TILE_X 104910 TILEPROP NULL_X111Y137 TILE_Y 181496 TILEPROP NULL_X111Y137 TYPE NULL TILEPROP NULL_X111Y138 CLASS tile TILEPROP NULL_X111Y138 COLUMN 111 TILEPROP NULL_X111Y138 DEVICE_ID 0 TILEPROP NULL_X111Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X111Y138 GRID_POINT_X 111 TILEPROP NULL_X111Y138 GRID_POINT_Y 18 TILEPROP NULL_X111Y138 INDEX 2181 TILEPROP NULL_X111Y138 INT_TILE_X -1 TILEPROP NULL_X111Y138 INT_TILE_Y -1 TILEPROP NULL_X111Y138 IS_CENTER_TILE 0 TILEPROP NULL_X111Y138 IS_DCM_TILE 0 TILEPROP NULL_X111Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y138 NAME NULL_X111Y138 TILEPROP NULL_X111Y138 NUM_ARCS 0 TILEPROP NULL_X111Y138 NUM_SITES 0 TILEPROP NULL_X111Y138 ROW 18 TILEPROP NULL_X111Y138 SLR_REGION_ID 0 TILEPROP NULL_X111Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y138 TILE_TYPE NULL TILEPROP NULL_X111Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y138 TILE_X 104910 TILEPROP NULL_X111Y138 TILE_Y 184696 TILEPROP NULL_X111Y138 TYPE NULL TILEPROP NULL_X111Y139 CLASS tile TILEPROP NULL_X111Y139 COLUMN 111 TILEPROP NULL_X111Y139 DEVICE_ID 0 TILEPROP NULL_X111Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X111Y139 GRID_POINT_X 111 TILEPROP NULL_X111Y139 GRID_POINT_Y 17 TILEPROP NULL_X111Y139 INDEX 2066 TILEPROP NULL_X111Y139 INT_TILE_X -1 TILEPROP NULL_X111Y139 INT_TILE_Y -1 TILEPROP NULL_X111Y139 IS_CENTER_TILE 0 TILEPROP NULL_X111Y139 IS_DCM_TILE 0 TILEPROP NULL_X111Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y139 NAME NULL_X111Y139 TILEPROP NULL_X111Y139 NUM_ARCS 0 TILEPROP NULL_X111Y139 NUM_SITES 0 TILEPROP NULL_X111Y139 ROW 17 TILEPROP NULL_X111Y139 SLR_REGION_ID 0 TILEPROP NULL_X111Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y139 TILE_TYPE NULL TILEPROP NULL_X111Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y139 TILE_X 104910 TILEPROP NULL_X111Y139 TILE_Y 187896 TILEPROP NULL_X111Y139 TYPE NULL TILEPROP NULL_X111Y140 CLASS tile TILEPROP NULL_X111Y140 COLUMN 111 TILEPROP NULL_X111Y140 DEVICE_ID 0 TILEPROP NULL_X111Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X111Y140 GRID_POINT_X 111 TILEPROP NULL_X111Y140 GRID_POINT_Y 16 TILEPROP NULL_X111Y140 INDEX 1951 TILEPROP NULL_X111Y140 INT_TILE_X -1 TILEPROP NULL_X111Y140 INT_TILE_Y -1 TILEPROP NULL_X111Y140 IS_CENTER_TILE 0 TILEPROP NULL_X111Y140 IS_DCM_TILE 0 TILEPROP NULL_X111Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y140 NAME NULL_X111Y140 TILEPROP NULL_X111Y140 NUM_ARCS 0 TILEPROP NULL_X111Y140 NUM_SITES 0 TILEPROP NULL_X111Y140 ROW 16 TILEPROP NULL_X111Y140 SLR_REGION_ID 0 TILEPROP NULL_X111Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y140 TILE_TYPE NULL TILEPROP NULL_X111Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y140 TILE_X 104910 TILEPROP NULL_X111Y140 TILE_Y 191096 TILEPROP NULL_X111Y140 TYPE NULL TILEPROP NULL_X111Y141 CLASS tile TILEPROP NULL_X111Y141 COLUMN 111 TILEPROP NULL_X111Y141 DEVICE_ID 0 TILEPROP NULL_X111Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X111Y141 GRID_POINT_X 111 TILEPROP NULL_X111Y141 GRID_POINT_Y 15 TILEPROP NULL_X111Y141 INDEX 1836 TILEPROP NULL_X111Y141 INT_TILE_X -1 TILEPROP NULL_X111Y141 INT_TILE_Y -1 TILEPROP NULL_X111Y141 IS_CENTER_TILE 0 TILEPROP NULL_X111Y141 IS_DCM_TILE 0 TILEPROP NULL_X111Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y141 NAME NULL_X111Y141 TILEPROP NULL_X111Y141 NUM_ARCS 0 TILEPROP NULL_X111Y141 NUM_SITES 0 TILEPROP NULL_X111Y141 ROW 15 TILEPROP NULL_X111Y141 SLR_REGION_ID 0 TILEPROP NULL_X111Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y141 TILE_TYPE NULL TILEPROP NULL_X111Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y141 TILE_X 104910 TILEPROP NULL_X111Y141 TILE_Y 194296 TILEPROP NULL_X111Y141 TYPE NULL TILEPROP NULL_X111Y142 CLASS tile TILEPROP NULL_X111Y142 COLUMN 111 TILEPROP NULL_X111Y142 DEVICE_ID 0 TILEPROP NULL_X111Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X111Y142 GRID_POINT_X 111 TILEPROP NULL_X111Y142 GRID_POINT_Y 14 TILEPROP NULL_X111Y142 INDEX 1721 TILEPROP NULL_X111Y142 INT_TILE_X -1 TILEPROP NULL_X111Y142 INT_TILE_Y -1 TILEPROP NULL_X111Y142 IS_CENTER_TILE 0 TILEPROP NULL_X111Y142 IS_DCM_TILE 0 TILEPROP NULL_X111Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y142 NAME NULL_X111Y142 TILEPROP NULL_X111Y142 NUM_ARCS 0 TILEPROP NULL_X111Y142 NUM_SITES 0 TILEPROP NULL_X111Y142 ROW 14 TILEPROP NULL_X111Y142 SLR_REGION_ID 0 TILEPROP NULL_X111Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y142 TILE_TYPE NULL TILEPROP NULL_X111Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y142 TILE_X 104910 TILEPROP NULL_X111Y142 TILE_Y 197496 TILEPROP NULL_X111Y142 TYPE NULL TILEPROP NULL_X111Y143 CLASS tile TILEPROP NULL_X111Y143 COLUMN 111 TILEPROP NULL_X111Y143 DEVICE_ID 0 TILEPROP NULL_X111Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X111Y143 GRID_POINT_X 111 TILEPROP NULL_X111Y143 GRID_POINT_Y 13 TILEPROP NULL_X111Y143 INDEX 1606 TILEPROP NULL_X111Y143 INT_TILE_X -1 TILEPROP NULL_X111Y143 INT_TILE_Y -1 TILEPROP NULL_X111Y143 IS_CENTER_TILE 0 TILEPROP NULL_X111Y143 IS_DCM_TILE 0 TILEPROP NULL_X111Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y143 NAME NULL_X111Y143 TILEPROP NULL_X111Y143 NUM_ARCS 0 TILEPROP NULL_X111Y143 NUM_SITES 0 TILEPROP NULL_X111Y143 ROW 13 TILEPROP NULL_X111Y143 SLR_REGION_ID 0 TILEPROP NULL_X111Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y143 TILE_TYPE NULL TILEPROP NULL_X111Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y143 TILE_X 104910 TILEPROP NULL_X111Y143 TILE_Y 200696 TILEPROP NULL_X111Y143 TYPE NULL TILEPROP NULL_X111Y144 CLASS tile TILEPROP NULL_X111Y144 COLUMN 111 TILEPROP NULL_X111Y144 DEVICE_ID 0 TILEPROP NULL_X111Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X111Y144 GRID_POINT_X 111 TILEPROP NULL_X111Y144 GRID_POINT_Y 12 TILEPROP NULL_X111Y144 INDEX 1491 TILEPROP NULL_X111Y144 INT_TILE_X -1 TILEPROP NULL_X111Y144 INT_TILE_Y -1 TILEPROP NULL_X111Y144 IS_CENTER_TILE 0 TILEPROP NULL_X111Y144 IS_DCM_TILE 0 TILEPROP NULL_X111Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y144 NAME NULL_X111Y144 TILEPROP NULL_X111Y144 NUM_ARCS 0 TILEPROP NULL_X111Y144 NUM_SITES 0 TILEPROP NULL_X111Y144 ROW 12 TILEPROP NULL_X111Y144 SLR_REGION_ID 0 TILEPROP NULL_X111Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y144 TILE_TYPE NULL TILEPROP NULL_X111Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y144 TILE_X 104910 TILEPROP NULL_X111Y144 TILE_Y 203896 TILEPROP NULL_X111Y144 TYPE NULL TILEPROP NULL_X111Y145 CLASS tile TILEPROP NULL_X111Y145 COLUMN 111 TILEPROP NULL_X111Y145 DEVICE_ID 0 TILEPROP NULL_X111Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X111Y145 GRID_POINT_X 111 TILEPROP NULL_X111Y145 GRID_POINT_Y 11 TILEPROP NULL_X111Y145 INDEX 1376 TILEPROP NULL_X111Y145 INT_TILE_X -1 TILEPROP NULL_X111Y145 INT_TILE_Y -1 TILEPROP NULL_X111Y145 IS_CENTER_TILE 0 TILEPROP NULL_X111Y145 IS_DCM_TILE 0 TILEPROP NULL_X111Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y145 NAME NULL_X111Y145 TILEPROP NULL_X111Y145 NUM_ARCS 0 TILEPROP NULL_X111Y145 NUM_SITES 0 TILEPROP NULL_X111Y145 ROW 11 TILEPROP NULL_X111Y145 SLR_REGION_ID 0 TILEPROP NULL_X111Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y145 TILE_TYPE NULL TILEPROP NULL_X111Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y145 TILE_X 104910 TILEPROP NULL_X111Y145 TILE_Y 207096 TILEPROP NULL_X111Y145 TYPE NULL TILEPROP NULL_X111Y146 CLASS tile TILEPROP NULL_X111Y146 COLUMN 111 TILEPROP NULL_X111Y146 DEVICE_ID 0 TILEPROP NULL_X111Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X111Y146 GRID_POINT_X 111 TILEPROP NULL_X111Y146 GRID_POINT_Y 10 TILEPROP NULL_X111Y146 INDEX 1261 TILEPROP NULL_X111Y146 INT_TILE_X -1 TILEPROP NULL_X111Y146 INT_TILE_Y -1 TILEPROP NULL_X111Y146 IS_CENTER_TILE 0 TILEPROP NULL_X111Y146 IS_DCM_TILE 0 TILEPROP NULL_X111Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y146 NAME NULL_X111Y146 TILEPROP NULL_X111Y146 NUM_ARCS 0 TILEPROP NULL_X111Y146 NUM_SITES 0 TILEPROP NULL_X111Y146 ROW 10 TILEPROP NULL_X111Y146 SLR_REGION_ID 0 TILEPROP NULL_X111Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y146 TILE_TYPE NULL TILEPROP NULL_X111Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y146 TILE_X 104910 TILEPROP NULL_X111Y146 TILE_Y 210296 TILEPROP NULL_X111Y146 TYPE NULL TILEPROP NULL_X111Y147 CLASS tile TILEPROP NULL_X111Y147 COLUMN 111 TILEPROP NULL_X111Y147 DEVICE_ID 0 TILEPROP NULL_X111Y147 FIRST_SITE_ID 920 TILEPROP NULL_X111Y147 GRID_POINT_X 111 TILEPROP NULL_X111Y147 GRID_POINT_Y 9 TILEPROP NULL_X111Y147 INDEX 1146 TILEPROP NULL_X111Y147 INT_TILE_X -1 TILEPROP NULL_X111Y147 INT_TILE_Y -1 TILEPROP NULL_X111Y147 IS_CENTER_TILE 0 TILEPROP NULL_X111Y147 IS_DCM_TILE 0 TILEPROP NULL_X111Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y147 NAME NULL_X111Y147 TILEPROP NULL_X111Y147 NUM_ARCS 0 TILEPROP NULL_X111Y147 NUM_SITES 0 TILEPROP NULL_X111Y147 ROW 9 TILEPROP NULL_X111Y147 SLR_REGION_ID 0 TILEPROP NULL_X111Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y147 TILE_TYPE NULL TILEPROP NULL_X111Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y147 TILE_X 104910 TILEPROP NULL_X111Y147 TILE_Y 213496 TILEPROP NULL_X111Y147 TYPE NULL TILEPROP NULL_X111Y148 CLASS tile TILEPROP NULL_X111Y148 COLUMN 111 TILEPROP NULL_X111Y148 DEVICE_ID 0 TILEPROP NULL_X111Y148 FIRST_SITE_ID 816 TILEPROP NULL_X111Y148 GRID_POINT_X 111 TILEPROP NULL_X111Y148 GRID_POINT_Y 8 TILEPROP NULL_X111Y148 INDEX 1031 TILEPROP NULL_X111Y148 INT_TILE_X -1 TILEPROP NULL_X111Y148 INT_TILE_Y -1 TILEPROP NULL_X111Y148 IS_CENTER_TILE 0 TILEPROP NULL_X111Y148 IS_DCM_TILE 0 TILEPROP NULL_X111Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y148 NAME NULL_X111Y148 TILEPROP NULL_X111Y148 NUM_ARCS 0 TILEPROP NULL_X111Y148 NUM_SITES 0 TILEPROP NULL_X111Y148 ROW 8 TILEPROP NULL_X111Y148 SLR_REGION_ID 0 TILEPROP NULL_X111Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y148 TILE_TYPE NULL TILEPROP NULL_X111Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y148 TILE_X 104910 TILEPROP NULL_X111Y148 TILE_Y 216696 TILEPROP NULL_X111Y148 TYPE NULL TILEPROP NULL_X111Y149 CLASS tile TILEPROP NULL_X111Y149 COLUMN 111 TILEPROP NULL_X111Y149 DEVICE_ID 0 TILEPROP NULL_X111Y149 FIRST_SITE_ID 719 TILEPROP NULL_X111Y149 GRID_POINT_X 111 TILEPROP NULL_X111Y149 GRID_POINT_Y 7 TILEPROP NULL_X111Y149 INDEX 916 TILEPROP NULL_X111Y149 INT_TILE_X -1 TILEPROP NULL_X111Y149 INT_TILE_Y -1 TILEPROP NULL_X111Y149 IS_CENTER_TILE 0 TILEPROP NULL_X111Y149 IS_DCM_TILE 0 TILEPROP NULL_X111Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y149 NAME NULL_X111Y149 TILEPROP NULL_X111Y149 NUM_ARCS 0 TILEPROP NULL_X111Y149 NUM_SITES 0 TILEPROP NULL_X111Y149 ROW 7 TILEPROP NULL_X111Y149 SLR_REGION_ID 0 TILEPROP NULL_X111Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y149 TILE_TYPE NULL TILEPROP NULL_X111Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y149 TILE_X 104910 TILEPROP NULL_X111Y149 TILE_Y 219896 TILEPROP NULL_X111Y149 TYPE NULL TILEPROP NULL_X111Y150 CLASS tile TILEPROP NULL_X111Y150 COLUMN 111 TILEPROP NULL_X111Y150 DEVICE_ID 0 TILEPROP NULL_X111Y150 FIRST_SITE_ID 613 TILEPROP NULL_X111Y150 GRID_POINT_X 111 TILEPROP NULL_X111Y150 GRID_POINT_Y 6 TILEPROP NULL_X111Y150 INDEX 801 TILEPROP NULL_X111Y150 INT_TILE_X -1 TILEPROP NULL_X111Y150 INT_TILE_Y -1 TILEPROP NULL_X111Y150 IS_CENTER_TILE 0 TILEPROP NULL_X111Y150 IS_DCM_TILE 0 TILEPROP NULL_X111Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y150 NAME NULL_X111Y150 TILEPROP NULL_X111Y150 NUM_ARCS 0 TILEPROP NULL_X111Y150 NUM_SITES 0 TILEPROP NULL_X111Y150 ROW 6 TILEPROP NULL_X111Y150 SLR_REGION_ID 0 TILEPROP NULL_X111Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y150 TILE_TYPE NULL TILEPROP NULL_X111Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y150 TILE_X 104910 TILEPROP NULL_X111Y150 TILE_Y 223096 TILEPROP NULL_X111Y150 TYPE NULL TILEPROP NULL_X111Y151 CLASS tile TILEPROP NULL_X111Y151 COLUMN 111 TILEPROP NULL_X111Y151 DEVICE_ID 0 TILEPROP NULL_X111Y151 FIRST_SITE_ID 512 TILEPROP NULL_X111Y151 GRID_POINT_X 111 TILEPROP NULL_X111Y151 GRID_POINT_Y 5 TILEPROP NULL_X111Y151 INDEX 686 TILEPROP NULL_X111Y151 INT_TILE_X -1 TILEPROP NULL_X111Y151 INT_TILE_Y -1 TILEPROP NULL_X111Y151 IS_CENTER_TILE 0 TILEPROP NULL_X111Y151 IS_DCM_TILE 0 TILEPROP NULL_X111Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y151 NAME NULL_X111Y151 TILEPROP NULL_X111Y151 NUM_ARCS 0 TILEPROP NULL_X111Y151 NUM_SITES 0 TILEPROP NULL_X111Y151 ROW 5 TILEPROP NULL_X111Y151 SLR_REGION_ID 0 TILEPROP NULL_X111Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y151 TILE_TYPE NULL TILEPROP NULL_X111Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y151 TILE_X 104910 TILEPROP NULL_X111Y151 TILE_Y 226296 TILEPROP NULL_X111Y151 TYPE NULL TILEPROP NULL_X111Y152 CLASS tile TILEPROP NULL_X111Y152 COLUMN 111 TILEPROP NULL_X111Y152 DEVICE_ID 0 TILEPROP NULL_X111Y152 FIRST_SITE_ID 396 TILEPROP NULL_X111Y152 GRID_POINT_X 111 TILEPROP NULL_X111Y152 GRID_POINT_Y 4 TILEPROP NULL_X111Y152 INDEX 571 TILEPROP NULL_X111Y152 INT_TILE_X -1 TILEPROP NULL_X111Y152 INT_TILE_Y -1 TILEPROP NULL_X111Y152 IS_CENTER_TILE 0 TILEPROP NULL_X111Y152 IS_DCM_TILE 0 TILEPROP NULL_X111Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y152 NAME NULL_X111Y152 TILEPROP NULL_X111Y152 NUM_ARCS 0 TILEPROP NULL_X111Y152 NUM_SITES 0 TILEPROP NULL_X111Y152 ROW 4 TILEPROP NULL_X111Y152 SLR_REGION_ID 0 TILEPROP NULL_X111Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y152 TILE_TYPE NULL TILEPROP NULL_X111Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y152 TILE_X 104910 TILEPROP NULL_X111Y152 TILE_Y 229496 TILEPROP NULL_X111Y152 TYPE NULL TILEPROP NULL_X111Y153 CLASS tile TILEPROP NULL_X111Y153 COLUMN 111 TILEPROP NULL_X111Y153 DEVICE_ID 0 TILEPROP NULL_X111Y153 FIRST_SITE_ID 300 TILEPROP NULL_X111Y153 GRID_POINT_X 111 TILEPROP NULL_X111Y153 GRID_POINT_Y 3 TILEPROP NULL_X111Y153 INDEX 456 TILEPROP NULL_X111Y153 INT_TILE_X -1 TILEPROP NULL_X111Y153 INT_TILE_Y -1 TILEPROP NULL_X111Y153 IS_CENTER_TILE 0 TILEPROP NULL_X111Y153 IS_DCM_TILE 0 TILEPROP NULL_X111Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y153 NAME NULL_X111Y153 TILEPROP NULL_X111Y153 NUM_ARCS 0 TILEPROP NULL_X111Y153 NUM_SITES 0 TILEPROP NULL_X111Y153 ROW 3 TILEPROP NULL_X111Y153 SLR_REGION_ID 0 TILEPROP NULL_X111Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y153 TILE_TYPE NULL TILEPROP NULL_X111Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y153 TILE_X 104910 TILEPROP NULL_X111Y153 TILE_Y 232696 TILEPROP NULL_X111Y153 TYPE NULL TILEPROP NULL_X111Y154 CLASS tile TILEPROP NULL_X111Y154 COLUMN 111 TILEPROP NULL_X111Y154 DEVICE_ID 0 TILEPROP NULL_X111Y154 FIRST_SITE_ID 196 TILEPROP NULL_X111Y154 GRID_POINT_X 111 TILEPROP NULL_X111Y154 GRID_POINT_Y 2 TILEPROP NULL_X111Y154 INDEX 341 TILEPROP NULL_X111Y154 INT_TILE_X -1 TILEPROP NULL_X111Y154 INT_TILE_Y -1 TILEPROP NULL_X111Y154 IS_CENTER_TILE 0 TILEPROP NULL_X111Y154 IS_DCM_TILE 0 TILEPROP NULL_X111Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y154 NAME NULL_X111Y154 TILEPROP NULL_X111Y154 NUM_ARCS 0 TILEPROP NULL_X111Y154 NUM_SITES 0 TILEPROP NULL_X111Y154 ROW 2 TILEPROP NULL_X111Y154 SLR_REGION_ID 0 TILEPROP NULL_X111Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y154 TILE_TYPE NULL TILEPROP NULL_X111Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y154 TILE_X 104910 TILEPROP NULL_X111Y154 TILE_Y 235896 TILEPROP NULL_X111Y154 TYPE NULL TILEPROP NULL_X111Y155 CLASS tile TILEPROP NULL_X111Y155 COLUMN 111 TILEPROP NULL_X111Y155 DEVICE_ID 0 TILEPROP NULL_X111Y155 FIRST_SITE_ID 100 TILEPROP NULL_X111Y155 GRID_POINT_X 111 TILEPROP NULL_X111Y155 GRID_POINT_Y 1 TILEPROP NULL_X111Y155 INDEX 226 TILEPROP NULL_X111Y155 INT_TILE_X -1 TILEPROP NULL_X111Y155 INT_TILE_Y -1 TILEPROP NULL_X111Y155 IS_CENTER_TILE 0 TILEPROP NULL_X111Y155 IS_DCM_TILE 0 TILEPROP NULL_X111Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y155 NAME NULL_X111Y155 TILEPROP NULL_X111Y155 NUM_ARCS 0 TILEPROP NULL_X111Y155 NUM_SITES 0 TILEPROP NULL_X111Y155 ROW 1 TILEPROP NULL_X111Y155 SLR_REGION_ID 0 TILEPROP NULL_X111Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y155 TILE_TYPE NULL TILEPROP NULL_X111Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y155 TILE_X 104910 TILEPROP NULL_X111Y155 TILE_Y 239096 TILEPROP NULL_X111Y155 TYPE NULL TILEPROP NULL_X111Y156 CLASS tile TILEPROP NULL_X111Y156 COLUMN 111 TILEPROP NULL_X111Y156 DEVICE_ID 0 TILEPROP NULL_X111Y156 FIRST_SITE_ID 0 TILEPROP NULL_X111Y156 GRID_POINT_X 111 TILEPROP NULL_X111Y156 GRID_POINT_Y 0 TILEPROP NULL_X111Y156 INDEX 111 TILEPROP NULL_X111Y156 INT_TILE_X -1 TILEPROP NULL_X111Y156 INT_TILE_Y -1 TILEPROP NULL_X111Y156 IS_CENTER_TILE 0 TILEPROP NULL_X111Y156 IS_DCM_TILE 0 TILEPROP NULL_X111Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X111Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X111Y156 NAME NULL_X111Y156 TILEPROP NULL_X111Y156 NUM_ARCS 0 TILEPROP NULL_X111Y156 NUM_SITES 0 TILEPROP NULL_X111Y156 ROW 0 TILEPROP NULL_X111Y156 SLR_REGION_ID 0 TILEPROP NULL_X111Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X111Y156 TILE_TYPE NULL TILEPROP NULL_X111Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X111Y156 TILE_X 104910 TILEPROP NULL_X111Y156 TILE_Y 242296 TILEPROP NULL_X111Y156 TYPE NULL TILEPROP NULL_X112Y0 CLASS tile TILEPROP NULL_X112Y0 COLUMN 112 TILEPROP NULL_X112Y0 DEVICE_ID 0 TILEPROP NULL_X112Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X112Y0 GRID_POINT_X 112 TILEPROP NULL_X112Y0 GRID_POINT_Y 156 TILEPROP NULL_X112Y0 INDEX 18052 TILEPROP NULL_X112Y0 INT_TILE_X 43 TILEPROP NULL_X112Y0 INT_TILE_Y 149 TILEPROP NULL_X112Y0 IS_CENTER_TILE 0 TILEPROP NULL_X112Y0 IS_DCM_TILE 0 TILEPROP NULL_X112Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y0 NAME NULL_X112Y0 TILEPROP NULL_X112Y0 NUM_ARCS 0 TILEPROP NULL_X112Y0 NUM_SITES 0 TILEPROP NULL_X112Y0 ROW 156 TILEPROP NULL_X112Y0 SLR_REGION_ID 0 TILEPROP NULL_X112Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y0 TILE_TYPE NULL TILEPROP NULL_X112Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y0 TILE_X 104974 TILEPROP NULL_X112Y0 TILE_Y -244472 TILEPROP NULL_X112Y0 TYPE NULL TILEPROP NULL_X112Y52 CLASS tile TILEPROP NULL_X112Y52 COLUMN 112 TILEPROP NULL_X112Y52 DEVICE_ID 0 TILEPROP NULL_X112Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X112Y52 GRID_POINT_X 112 TILEPROP NULL_X112Y52 GRID_POINT_Y 104 TILEPROP NULL_X112Y52 INDEX 12072 TILEPROP NULL_X112Y52 INT_TILE_X 43 TILEPROP NULL_X112Y52 INT_TILE_Y 99 TILEPROP NULL_X112Y52 IS_CENTER_TILE 0 TILEPROP NULL_X112Y52 IS_DCM_TILE 0 TILEPROP NULL_X112Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y52 NAME NULL_X112Y52 TILEPROP NULL_X112Y52 NUM_ARCS 0 TILEPROP NULL_X112Y52 NUM_SITES 0 TILEPROP NULL_X112Y52 ROW 104 TILEPROP NULL_X112Y52 SLR_REGION_ID 0 TILEPROP NULL_X112Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y52 TILE_TYPE NULL TILEPROP NULL_X112Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y52 TILE_X 104974 TILEPROP NULL_X112Y52 TILE_Y -80248 TILEPROP NULL_X112Y52 TYPE NULL TILEPROP NULL_X112Y104 CLASS tile TILEPROP NULL_X112Y104 COLUMN 112 TILEPROP NULL_X112Y104 DEVICE_ID 0 TILEPROP NULL_X112Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X112Y104 GRID_POINT_X 112 TILEPROP NULL_X112Y104 GRID_POINT_Y 52 TILEPROP NULL_X112Y104 INDEX 6092 TILEPROP NULL_X112Y104 INT_TILE_X -1 TILEPROP NULL_X112Y104 INT_TILE_Y -1 TILEPROP NULL_X112Y104 IS_CENTER_TILE 0 TILEPROP NULL_X112Y104 IS_DCM_TILE 0 TILEPROP NULL_X112Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y104 NAME NULL_X112Y104 TILEPROP NULL_X112Y104 NUM_ARCS 0 TILEPROP NULL_X112Y104 NUM_SITES 0 TILEPROP NULL_X112Y104 ROW 52 TILEPROP NULL_X112Y104 SLR_REGION_ID 0 TILEPROP NULL_X112Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y104 TILE_TYPE NULL TILEPROP NULL_X112Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y104 TILE_X 104974 TILEPROP NULL_X112Y104 TILE_Y 81024 TILEPROP NULL_X112Y104 TYPE NULL TILEPROP NULL_X112Y105 CLASS tile TILEPROP NULL_X112Y105 COLUMN 112 TILEPROP NULL_X112Y105 DEVICE_ID 0 TILEPROP NULL_X112Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X112Y105 GRID_POINT_X 112 TILEPROP NULL_X112Y105 GRID_POINT_Y 51 TILEPROP NULL_X112Y105 INDEX 5977 TILEPROP NULL_X112Y105 INT_TILE_X -1 TILEPROP NULL_X112Y105 INT_TILE_Y -1 TILEPROP NULL_X112Y105 IS_CENTER_TILE 0 TILEPROP NULL_X112Y105 IS_DCM_TILE 0 TILEPROP NULL_X112Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y105 NAME NULL_X112Y105 TILEPROP NULL_X112Y105 NUM_ARCS 0 TILEPROP NULL_X112Y105 NUM_SITES 0 TILEPROP NULL_X112Y105 ROW 51 TILEPROP NULL_X112Y105 SLR_REGION_ID 0 TILEPROP NULL_X112Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y105 TILE_TYPE NULL TILEPROP NULL_X112Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y105 TILE_X 104974 TILEPROP NULL_X112Y105 TILE_Y 81272 TILEPROP NULL_X112Y105 TYPE NULL TILEPROP NULL_X112Y106 CLASS tile TILEPROP NULL_X112Y106 COLUMN 112 TILEPROP NULL_X112Y106 DEVICE_ID 0 TILEPROP NULL_X112Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X112Y106 GRID_POINT_X 112 TILEPROP NULL_X112Y106 GRID_POINT_Y 50 TILEPROP NULL_X112Y106 INDEX 5862 TILEPROP NULL_X112Y106 INT_TILE_X -1 TILEPROP NULL_X112Y106 INT_TILE_Y -1 TILEPROP NULL_X112Y106 IS_CENTER_TILE 0 TILEPROP NULL_X112Y106 IS_DCM_TILE 0 TILEPROP NULL_X112Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y106 NAME NULL_X112Y106 TILEPROP NULL_X112Y106 NUM_ARCS 0 TILEPROP NULL_X112Y106 NUM_SITES 0 TILEPROP NULL_X112Y106 ROW 50 TILEPROP NULL_X112Y106 SLR_REGION_ID 0 TILEPROP NULL_X112Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y106 TILE_TYPE NULL TILEPROP NULL_X112Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y106 TILE_X 104974 TILEPROP NULL_X112Y106 TILE_Y 84472 TILEPROP NULL_X112Y106 TYPE NULL TILEPROP NULL_X112Y107 CLASS tile TILEPROP NULL_X112Y107 COLUMN 112 TILEPROP NULL_X112Y107 DEVICE_ID 0 TILEPROP NULL_X112Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X112Y107 GRID_POINT_X 112 TILEPROP NULL_X112Y107 GRID_POINT_Y 49 TILEPROP NULL_X112Y107 INDEX 5747 TILEPROP NULL_X112Y107 INT_TILE_X -1 TILEPROP NULL_X112Y107 INT_TILE_Y -1 TILEPROP NULL_X112Y107 IS_CENTER_TILE 0 TILEPROP NULL_X112Y107 IS_DCM_TILE 0 TILEPROP NULL_X112Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y107 NAME NULL_X112Y107 TILEPROP NULL_X112Y107 NUM_ARCS 0 TILEPROP NULL_X112Y107 NUM_SITES 0 TILEPROP NULL_X112Y107 ROW 49 TILEPROP NULL_X112Y107 SLR_REGION_ID 0 TILEPROP NULL_X112Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y107 TILE_TYPE NULL TILEPROP NULL_X112Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y107 TILE_X 104974 TILEPROP NULL_X112Y107 TILE_Y 87672 TILEPROP NULL_X112Y107 TYPE NULL TILEPROP NULL_X112Y108 CLASS tile TILEPROP NULL_X112Y108 COLUMN 112 TILEPROP NULL_X112Y108 DEVICE_ID 0 TILEPROP NULL_X112Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X112Y108 GRID_POINT_X 112 TILEPROP NULL_X112Y108 GRID_POINT_Y 48 TILEPROP NULL_X112Y108 INDEX 5632 TILEPROP NULL_X112Y108 INT_TILE_X -1 TILEPROP NULL_X112Y108 INT_TILE_Y -1 TILEPROP NULL_X112Y108 IS_CENTER_TILE 0 TILEPROP NULL_X112Y108 IS_DCM_TILE 0 TILEPROP NULL_X112Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y108 NAME NULL_X112Y108 TILEPROP NULL_X112Y108 NUM_ARCS 0 TILEPROP NULL_X112Y108 NUM_SITES 0 TILEPROP NULL_X112Y108 ROW 48 TILEPROP NULL_X112Y108 SLR_REGION_ID 0 TILEPROP NULL_X112Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y108 TILE_TYPE NULL TILEPROP NULL_X112Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y108 TILE_X 104974 TILEPROP NULL_X112Y108 TILE_Y 90872 TILEPROP NULL_X112Y108 TYPE NULL TILEPROP NULL_X112Y109 CLASS tile TILEPROP NULL_X112Y109 COLUMN 112 TILEPROP NULL_X112Y109 DEVICE_ID 0 TILEPROP NULL_X112Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X112Y109 GRID_POINT_X 112 TILEPROP NULL_X112Y109 GRID_POINT_Y 47 TILEPROP NULL_X112Y109 INDEX 5517 TILEPROP NULL_X112Y109 INT_TILE_X -1 TILEPROP NULL_X112Y109 INT_TILE_Y -1 TILEPROP NULL_X112Y109 IS_CENTER_TILE 0 TILEPROP NULL_X112Y109 IS_DCM_TILE 0 TILEPROP NULL_X112Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y109 NAME NULL_X112Y109 TILEPROP NULL_X112Y109 NUM_ARCS 0 TILEPROP NULL_X112Y109 NUM_SITES 0 TILEPROP NULL_X112Y109 ROW 47 TILEPROP NULL_X112Y109 SLR_REGION_ID 0 TILEPROP NULL_X112Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y109 TILE_TYPE NULL TILEPROP NULL_X112Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y109 TILE_X 104974 TILEPROP NULL_X112Y109 TILE_Y 94072 TILEPROP NULL_X112Y109 TYPE NULL TILEPROP NULL_X112Y110 CLASS tile TILEPROP NULL_X112Y110 COLUMN 112 TILEPROP NULL_X112Y110 DEVICE_ID 0 TILEPROP NULL_X112Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X112Y110 GRID_POINT_X 112 TILEPROP NULL_X112Y110 GRID_POINT_Y 46 TILEPROP NULL_X112Y110 INDEX 5402 TILEPROP NULL_X112Y110 INT_TILE_X -1 TILEPROP NULL_X112Y110 INT_TILE_Y -1 TILEPROP NULL_X112Y110 IS_CENTER_TILE 0 TILEPROP NULL_X112Y110 IS_DCM_TILE 0 TILEPROP NULL_X112Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y110 NAME NULL_X112Y110 TILEPROP NULL_X112Y110 NUM_ARCS 0 TILEPROP NULL_X112Y110 NUM_SITES 0 TILEPROP NULL_X112Y110 ROW 46 TILEPROP NULL_X112Y110 SLR_REGION_ID 0 TILEPROP NULL_X112Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y110 TILE_TYPE NULL TILEPROP NULL_X112Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y110 TILE_X 104974 TILEPROP NULL_X112Y110 TILE_Y 97272 TILEPROP NULL_X112Y110 TYPE NULL TILEPROP NULL_X112Y111 CLASS tile TILEPROP NULL_X112Y111 COLUMN 112 TILEPROP NULL_X112Y111 DEVICE_ID 0 TILEPROP NULL_X112Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X112Y111 GRID_POINT_X 112 TILEPROP NULL_X112Y111 GRID_POINT_Y 45 TILEPROP NULL_X112Y111 INDEX 5287 TILEPROP NULL_X112Y111 INT_TILE_X -1 TILEPROP NULL_X112Y111 INT_TILE_Y -1 TILEPROP NULL_X112Y111 IS_CENTER_TILE 0 TILEPROP NULL_X112Y111 IS_DCM_TILE 0 TILEPROP NULL_X112Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y111 NAME NULL_X112Y111 TILEPROP NULL_X112Y111 NUM_ARCS 0 TILEPROP NULL_X112Y111 NUM_SITES 0 TILEPROP NULL_X112Y111 ROW 45 TILEPROP NULL_X112Y111 SLR_REGION_ID 0 TILEPROP NULL_X112Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y111 TILE_TYPE NULL TILEPROP NULL_X112Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y111 TILE_X 104974 TILEPROP NULL_X112Y111 TILE_Y 100472 TILEPROP NULL_X112Y111 TYPE NULL TILEPROP NULL_X112Y112 CLASS tile TILEPROP NULL_X112Y112 COLUMN 112 TILEPROP NULL_X112Y112 DEVICE_ID 0 TILEPROP NULL_X112Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X112Y112 GRID_POINT_X 112 TILEPROP NULL_X112Y112 GRID_POINT_Y 44 TILEPROP NULL_X112Y112 INDEX 5172 TILEPROP NULL_X112Y112 INT_TILE_X -1 TILEPROP NULL_X112Y112 INT_TILE_Y -1 TILEPROP NULL_X112Y112 IS_CENTER_TILE 0 TILEPROP NULL_X112Y112 IS_DCM_TILE 0 TILEPROP NULL_X112Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y112 NAME NULL_X112Y112 TILEPROP NULL_X112Y112 NUM_ARCS 0 TILEPROP NULL_X112Y112 NUM_SITES 0 TILEPROP NULL_X112Y112 ROW 44 TILEPROP NULL_X112Y112 SLR_REGION_ID 0 TILEPROP NULL_X112Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y112 TILE_TYPE NULL TILEPROP NULL_X112Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y112 TILE_X 104974 TILEPROP NULL_X112Y112 TILE_Y 103672 TILEPROP NULL_X112Y112 TYPE NULL TILEPROP NULL_X112Y113 CLASS tile TILEPROP NULL_X112Y113 COLUMN 112 TILEPROP NULL_X112Y113 DEVICE_ID 0 TILEPROP NULL_X112Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X112Y113 GRID_POINT_X 112 TILEPROP NULL_X112Y113 GRID_POINT_Y 43 TILEPROP NULL_X112Y113 INDEX 5057 TILEPROP NULL_X112Y113 INT_TILE_X -1 TILEPROP NULL_X112Y113 INT_TILE_Y -1 TILEPROP NULL_X112Y113 IS_CENTER_TILE 0 TILEPROP NULL_X112Y113 IS_DCM_TILE 0 TILEPROP NULL_X112Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y113 NAME NULL_X112Y113 TILEPROP NULL_X112Y113 NUM_ARCS 0 TILEPROP NULL_X112Y113 NUM_SITES 0 TILEPROP NULL_X112Y113 ROW 43 TILEPROP NULL_X112Y113 SLR_REGION_ID 0 TILEPROP NULL_X112Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y113 TILE_TYPE NULL TILEPROP NULL_X112Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y113 TILE_X 104974 TILEPROP NULL_X112Y113 TILE_Y 106872 TILEPROP NULL_X112Y113 TYPE NULL TILEPROP NULL_X112Y114 CLASS tile TILEPROP NULL_X112Y114 COLUMN 112 TILEPROP NULL_X112Y114 DEVICE_ID 0 TILEPROP NULL_X112Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X112Y114 GRID_POINT_X 112 TILEPROP NULL_X112Y114 GRID_POINT_Y 42 TILEPROP NULL_X112Y114 INDEX 4942 TILEPROP NULL_X112Y114 INT_TILE_X -1 TILEPROP NULL_X112Y114 INT_TILE_Y -1 TILEPROP NULL_X112Y114 IS_CENTER_TILE 0 TILEPROP NULL_X112Y114 IS_DCM_TILE 0 TILEPROP NULL_X112Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y114 NAME NULL_X112Y114 TILEPROP NULL_X112Y114 NUM_ARCS 0 TILEPROP NULL_X112Y114 NUM_SITES 0 TILEPROP NULL_X112Y114 ROW 42 TILEPROP NULL_X112Y114 SLR_REGION_ID 0 TILEPROP NULL_X112Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y114 TILE_TYPE NULL TILEPROP NULL_X112Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y114 TILE_X 104974 TILEPROP NULL_X112Y114 TILE_Y 110072 TILEPROP NULL_X112Y114 TYPE NULL TILEPROP NULL_X112Y115 CLASS tile TILEPROP NULL_X112Y115 COLUMN 112 TILEPROP NULL_X112Y115 DEVICE_ID 0 TILEPROP NULL_X112Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X112Y115 GRID_POINT_X 112 TILEPROP NULL_X112Y115 GRID_POINT_Y 41 TILEPROP NULL_X112Y115 INDEX 4827 TILEPROP NULL_X112Y115 INT_TILE_X -1 TILEPROP NULL_X112Y115 INT_TILE_Y -1 TILEPROP NULL_X112Y115 IS_CENTER_TILE 0 TILEPROP NULL_X112Y115 IS_DCM_TILE 0 TILEPROP NULL_X112Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y115 NAME NULL_X112Y115 TILEPROP NULL_X112Y115 NUM_ARCS 0 TILEPROP NULL_X112Y115 NUM_SITES 0 TILEPROP NULL_X112Y115 ROW 41 TILEPROP NULL_X112Y115 SLR_REGION_ID 0 TILEPROP NULL_X112Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y115 TILE_TYPE NULL TILEPROP NULL_X112Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y115 TILE_X 104974 TILEPROP NULL_X112Y115 TILE_Y 113272 TILEPROP NULL_X112Y115 TYPE NULL TILEPROP NULL_X112Y116 CLASS tile TILEPROP NULL_X112Y116 COLUMN 112 TILEPROP NULL_X112Y116 DEVICE_ID 0 TILEPROP NULL_X112Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X112Y116 GRID_POINT_X 112 TILEPROP NULL_X112Y116 GRID_POINT_Y 40 TILEPROP NULL_X112Y116 INDEX 4712 TILEPROP NULL_X112Y116 INT_TILE_X -1 TILEPROP NULL_X112Y116 INT_TILE_Y -1 TILEPROP NULL_X112Y116 IS_CENTER_TILE 0 TILEPROP NULL_X112Y116 IS_DCM_TILE 0 TILEPROP NULL_X112Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y116 NAME NULL_X112Y116 TILEPROP NULL_X112Y116 NUM_ARCS 0 TILEPROP NULL_X112Y116 NUM_SITES 0 TILEPROP NULL_X112Y116 ROW 40 TILEPROP NULL_X112Y116 SLR_REGION_ID 0 TILEPROP NULL_X112Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y116 TILE_TYPE NULL TILEPROP NULL_X112Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y116 TILE_X 104974 TILEPROP NULL_X112Y116 TILE_Y 116472 TILEPROP NULL_X112Y116 TYPE NULL TILEPROP NULL_X112Y117 CLASS tile TILEPROP NULL_X112Y117 COLUMN 112 TILEPROP NULL_X112Y117 DEVICE_ID 0 TILEPROP NULL_X112Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X112Y117 GRID_POINT_X 112 TILEPROP NULL_X112Y117 GRID_POINT_Y 39 TILEPROP NULL_X112Y117 INDEX 4597 TILEPROP NULL_X112Y117 INT_TILE_X -1 TILEPROP NULL_X112Y117 INT_TILE_Y -1 TILEPROP NULL_X112Y117 IS_CENTER_TILE 0 TILEPROP NULL_X112Y117 IS_DCM_TILE 0 TILEPROP NULL_X112Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y117 NAME NULL_X112Y117 TILEPROP NULL_X112Y117 NUM_ARCS 0 TILEPROP NULL_X112Y117 NUM_SITES 0 TILEPROP NULL_X112Y117 ROW 39 TILEPROP NULL_X112Y117 SLR_REGION_ID 0 TILEPROP NULL_X112Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y117 TILE_TYPE NULL TILEPROP NULL_X112Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y117 TILE_X 104974 TILEPROP NULL_X112Y117 TILE_Y 119672 TILEPROP NULL_X112Y117 TYPE NULL TILEPROP NULL_X112Y118 CLASS tile TILEPROP NULL_X112Y118 COLUMN 112 TILEPROP NULL_X112Y118 DEVICE_ID 0 TILEPROP NULL_X112Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X112Y118 GRID_POINT_X 112 TILEPROP NULL_X112Y118 GRID_POINT_Y 38 TILEPROP NULL_X112Y118 INDEX 4482 TILEPROP NULL_X112Y118 INT_TILE_X -1 TILEPROP NULL_X112Y118 INT_TILE_Y -1 TILEPROP NULL_X112Y118 IS_CENTER_TILE 0 TILEPROP NULL_X112Y118 IS_DCM_TILE 0 TILEPROP NULL_X112Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y118 NAME NULL_X112Y118 TILEPROP NULL_X112Y118 NUM_ARCS 0 TILEPROP NULL_X112Y118 NUM_SITES 0 TILEPROP NULL_X112Y118 ROW 38 TILEPROP NULL_X112Y118 SLR_REGION_ID 0 TILEPROP NULL_X112Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y118 TILE_TYPE NULL TILEPROP NULL_X112Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y118 TILE_X 104974 TILEPROP NULL_X112Y118 TILE_Y 122872 TILEPROP NULL_X112Y118 TYPE NULL TILEPROP NULL_X112Y119 CLASS tile TILEPROP NULL_X112Y119 COLUMN 112 TILEPROP NULL_X112Y119 DEVICE_ID 0 TILEPROP NULL_X112Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X112Y119 GRID_POINT_X 112 TILEPROP NULL_X112Y119 GRID_POINT_Y 37 TILEPROP NULL_X112Y119 INDEX 4367 TILEPROP NULL_X112Y119 INT_TILE_X -1 TILEPROP NULL_X112Y119 INT_TILE_Y -1 TILEPROP NULL_X112Y119 IS_CENTER_TILE 0 TILEPROP NULL_X112Y119 IS_DCM_TILE 0 TILEPROP NULL_X112Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y119 NAME NULL_X112Y119 TILEPROP NULL_X112Y119 NUM_ARCS 0 TILEPROP NULL_X112Y119 NUM_SITES 0 TILEPROP NULL_X112Y119 ROW 37 TILEPROP NULL_X112Y119 SLR_REGION_ID 0 TILEPROP NULL_X112Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y119 TILE_TYPE NULL TILEPROP NULL_X112Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y119 TILE_X 104974 TILEPROP NULL_X112Y119 TILE_Y 126072 TILEPROP NULL_X112Y119 TYPE NULL TILEPROP NULL_X112Y120 CLASS tile TILEPROP NULL_X112Y120 COLUMN 112 TILEPROP NULL_X112Y120 DEVICE_ID 0 TILEPROP NULL_X112Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X112Y120 GRID_POINT_X 112 TILEPROP NULL_X112Y120 GRID_POINT_Y 36 TILEPROP NULL_X112Y120 INDEX 4252 TILEPROP NULL_X112Y120 INT_TILE_X -1 TILEPROP NULL_X112Y120 INT_TILE_Y -1 TILEPROP NULL_X112Y120 IS_CENTER_TILE 0 TILEPROP NULL_X112Y120 IS_DCM_TILE 0 TILEPROP NULL_X112Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y120 NAME NULL_X112Y120 TILEPROP NULL_X112Y120 NUM_ARCS 0 TILEPROP NULL_X112Y120 NUM_SITES 0 TILEPROP NULL_X112Y120 ROW 36 TILEPROP NULL_X112Y120 SLR_REGION_ID 0 TILEPROP NULL_X112Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y120 TILE_TYPE NULL TILEPROP NULL_X112Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y120 TILE_X 104974 TILEPROP NULL_X112Y120 TILE_Y 129272 TILEPROP NULL_X112Y120 TYPE NULL TILEPROP NULL_X112Y121 CLASS tile TILEPROP NULL_X112Y121 COLUMN 112 TILEPROP NULL_X112Y121 DEVICE_ID 0 TILEPROP NULL_X112Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X112Y121 GRID_POINT_X 112 TILEPROP NULL_X112Y121 GRID_POINT_Y 35 TILEPROP NULL_X112Y121 INDEX 4137 TILEPROP NULL_X112Y121 INT_TILE_X -1 TILEPROP NULL_X112Y121 INT_TILE_Y -1 TILEPROP NULL_X112Y121 IS_CENTER_TILE 0 TILEPROP NULL_X112Y121 IS_DCM_TILE 0 TILEPROP NULL_X112Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y121 NAME NULL_X112Y121 TILEPROP NULL_X112Y121 NUM_ARCS 0 TILEPROP NULL_X112Y121 NUM_SITES 0 TILEPROP NULL_X112Y121 ROW 35 TILEPROP NULL_X112Y121 SLR_REGION_ID 0 TILEPROP NULL_X112Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y121 TILE_TYPE NULL TILEPROP NULL_X112Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y121 TILE_X 104974 TILEPROP NULL_X112Y121 TILE_Y 132472 TILEPROP NULL_X112Y121 TYPE NULL TILEPROP NULL_X112Y122 CLASS tile TILEPROP NULL_X112Y122 COLUMN 112 TILEPROP NULL_X112Y122 DEVICE_ID 0 TILEPROP NULL_X112Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X112Y122 GRID_POINT_X 112 TILEPROP NULL_X112Y122 GRID_POINT_Y 34 TILEPROP NULL_X112Y122 INDEX 4022 TILEPROP NULL_X112Y122 INT_TILE_X -1 TILEPROP NULL_X112Y122 INT_TILE_Y -1 TILEPROP NULL_X112Y122 IS_CENTER_TILE 0 TILEPROP NULL_X112Y122 IS_DCM_TILE 0 TILEPROP NULL_X112Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y122 NAME NULL_X112Y122 TILEPROP NULL_X112Y122 NUM_ARCS 0 TILEPROP NULL_X112Y122 NUM_SITES 0 TILEPROP NULL_X112Y122 ROW 34 TILEPROP NULL_X112Y122 SLR_REGION_ID 0 TILEPROP NULL_X112Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y122 TILE_TYPE NULL TILEPROP NULL_X112Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y122 TILE_X 104974 TILEPROP NULL_X112Y122 TILE_Y 135672 TILEPROP NULL_X112Y122 TYPE NULL TILEPROP NULL_X112Y123 CLASS tile TILEPROP NULL_X112Y123 COLUMN 112 TILEPROP NULL_X112Y123 DEVICE_ID 0 TILEPROP NULL_X112Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X112Y123 GRID_POINT_X 112 TILEPROP NULL_X112Y123 GRID_POINT_Y 33 TILEPROP NULL_X112Y123 INDEX 3907 TILEPROP NULL_X112Y123 INT_TILE_X -1 TILEPROP NULL_X112Y123 INT_TILE_Y -1 TILEPROP NULL_X112Y123 IS_CENTER_TILE 0 TILEPROP NULL_X112Y123 IS_DCM_TILE 0 TILEPROP NULL_X112Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y123 NAME NULL_X112Y123 TILEPROP NULL_X112Y123 NUM_ARCS 0 TILEPROP NULL_X112Y123 NUM_SITES 0 TILEPROP NULL_X112Y123 ROW 33 TILEPROP NULL_X112Y123 SLR_REGION_ID 0 TILEPROP NULL_X112Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y123 TILE_TYPE NULL TILEPROP NULL_X112Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y123 TILE_X 104974 TILEPROP NULL_X112Y123 TILE_Y 138872 TILEPROP NULL_X112Y123 TYPE NULL TILEPROP NULL_X112Y124 CLASS tile TILEPROP NULL_X112Y124 COLUMN 112 TILEPROP NULL_X112Y124 DEVICE_ID 0 TILEPROP NULL_X112Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X112Y124 GRID_POINT_X 112 TILEPROP NULL_X112Y124 GRID_POINT_Y 32 TILEPROP NULL_X112Y124 INDEX 3792 TILEPROP NULL_X112Y124 INT_TILE_X -1 TILEPROP NULL_X112Y124 INT_TILE_Y -1 TILEPROP NULL_X112Y124 IS_CENTER_TILE 0 TILEPROP NULL_X112Y124 IS_DCM_TILE 0 TILEPROP NULL_X112Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y124 NAME NULL_X112Y124 TILEPROP NULL_X112Y124 NUM_ARCS 0 TILEPROP NULL_X112Y124 NUM_SITES 0 TILEPROP NULL_X112Y124 ROW 32 TILEPROP NULL_X112Y124 SLR_REGION_ID 0 TILEPROP NULL_X112Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y124 TILE_TYPE NULL TILEPROP NULL_X112Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y124 TILE_X 104974 TILEPROP NULL_X112Y124 TILE_Y 142072 TILEPROP NULL_X112Y124 TYPE NULL TILEPROP NULL_X112Y125 CLASS tile TILEPROP NULL_X112Y125 COLUMN 112 TILEPROP NULL_X112Y125 DEVICE_ID 0 TILEPROP NULL_X112Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X112Y125 GRID_POINT_X 112 TILEPROP NULL_X112Y125 GRID_POINT_Y 31 TILEPROP NULL_X112Y125 INDEX 3677 TILEPROP NULL_X112Y125 INT_TILE_X -1 TILEPROP NULL_X112Y125 INT_TILE_Y -1 TILEPROP NULL_X112Y125 IS_CENTER_TILE 0 TILEPROP NULL_X112Y125 IS_DCM_TILE 0 TILEPROP NULL_X112Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y125 NAME NULL_X112Y125 TILEPROP NULL_X112Y125 NUM_ARCS 0 TILEPROP NULL_X112Y125 NUM_SITES 0 TILEPROP NULL_X112Y125 ROW 31 TILEPROP NULL_X112Y125 SLR_REGION_ID 0 TILEPROP NULL_X112Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y125 TILE_TYPE NULL TILEPROP NULL_X112Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y125 TILE_X 104974 TILEPROP NULL_X112Y125 TILE_Y 145272 TILEPROP NULL_X112Y125 TYPE NULL TILEPROP NULL_X112Y126 CLASS tile TILEPROP NULL_X112Y126 COLUMN 112 TILEPROP NULL_X112Y126 DEVICE_ID 0 TILEPROP NULL_X112Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X112Y126 GRID_POINT_X 112 TILEPROP NULL_X112Y126 GRID_POINT_Y 30 TILEPROP NULL_X112Y126 INDEX 3562 TILEPROP NULL_X112Y126 INT_TILE_X -1 TILEPROP NULL_X112Y126 INT_TILE_Y -1 TILEPROP NULL_X112Y126 IS_CENTER_TILE 0 TILEPROP NULL_X112Y126 IS_DCM_TILE 0 TILEPROP NULL_X112Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y126 NAME NULL_X112Y126 TILEPROP NULL_X112Y126 NUM_ARCS 0 TILEPROP NULL_X112Y126 NUM_SITES 0 TILEPROP NULL_X112Y126 ROW 30 TILEPROP NULL_X112Y126 SLR_REGION_ID 0 TILEPROP NULL_X112Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y126 TILE_TYPE NULL TILEPROP NULL_X112Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y126 TILE_X 104974 TILEPROP NULL_X112Y126 TILE_Y 148472 TILEPROP NULL_X112Y126 TYPE NULL TILEPROP NULL_X112Y127 CLASS tile TILEPROP NULL_X112Y127 COLUMN 112 TILEPROP NULL_X112Y127 DEVICE_ID 0 TILEPROP NULL_X112Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X112Y127 GRID_POINT_X 112 TILEPROP NULL_X112Y127 GRID_POINT_Y 29 TILEPROP NULL_X112Y127 INDEX 3447 TILEPROP NULL_X112Y127 INT_TILE_X -1 TILEPROP NULL_X112Y127 INT_TILE_Y -1 TILEPROP NULL_X112Y127 IS_CENTER_TILE 0 TILEPROP NULL_X112Y127 IS_DCM_TILE 0 TILEPROP NULL_X112Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y127 NAME NULL_X112Y127 TILEPROP NULL_X112Y127 NUM_ARCS 0 TILEPROP NULL_X112Y127 NUM_SITES 0 TILEPROP NULL_X112Y127 ROW 29 TILEPROP NULL_X112Y127 SLR_REGION_ID 0 TILEPROP NULL_X112Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y127 TILE_TYPE NULL TILEPROP NULL_X112Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y127 TILE_X 104974 TILEPROP NULL_X112Y127 TILE_Y 151672 TILEPROP NULL_X112Y127 TYPE NULL TILEPROP NULL_X112Y128 CLASS tile TILEPROP NULL_X112Y128 COLUMN 112 TILEPROP NULL_X112Y128 DEVICE_ID 0 TILEPROP NULL_X112Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X112Y128 GRID_POINT_X 112 TILEPROP NULL_X112Y128 GRID_POINT_Y 28 TILEPROP NULL_X112Y128 INDEX 3332 TILEPROP NULL_X112Y128 INT_TILE_X -1 TILEPROP NULL_X112Y128 INT_TILE_Y -1 TILEPROP NULL_X112Y128 IS_CENTER_TILE 0 TILEPROP NULL_X112Y128 IS_DCM_TILE 0 TILEPROP NULL_X112Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y128 NAME NULL_X112Y128 TILEPROP NULL_X112Y128 NUM_ARCS 0 TILEPROP NULL_X112Y128 NUM_SITES 0 TILEPROP NULL_X112Y128 ROW 28 TILEPROP NULL_X112Y128 SLR_REGION_ID 0 TILEPROP NULL_X112Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y128 TILE_TYPE NULL TILEPROP NULL_X112Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y128 TILE_X 104974 TILEPROP NULL_X112Y128 TILE_Y 154872 TILEPROP NULL_X112Y128 TYPE NULL TILEPROP NULL_X112Y129 CLASS tile TILEPROP NULL_X112Y129 COLUMN 112 TILEPROP NULL_X112Y129 DEVICE_ID 0 TILEPROP NULL_X112Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X112Y129 GRID_POINT_X 112 TILEPROP NULL_X112Y129 GRID_POINT_Y 27 TILEPROP NULL_X112Y129 INDEX 3217 TILEPROP NULL_X112Y129 INT_TILE_X -1 TILEPROP NULL_X112Y129 INT_TILE_Y -1 TILEPROP NULL_X112Y129 IS_CENTER_TILE 0 TILEPROP NULL_X112Y129 IS_DCM_TILE 0 TILEPROP NULL_X112Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y129 NAME NULL_X112Y129 TILEPROP NULL_X112Y129 NUM_ARCS 0 TILEPROP NULL_X112Y129 NUM_SITES 0 TILEPROP NULL_X112Y129 ROW 27 TILEPROP NULL_X112Y129 SLR_REGION_ID 0 TILEPROP NULL_X112Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y129 TILE_TYPE NULL TILEPROP NULL_X112Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y129 TILE_X 104974 TILEPROP NULL_X112Y129 TILE_Y 158072 TILEPROP NULL_X112Y129 TYPE NULL TILEPROP NULL_X112Y130 CLASS tile TILEPROP NULL_X112Y130 COLUMN 112 TILEPROP NULL_X112Y130 DEVICE_ID 0 TILEPROP NULL_X112Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X112Y130 GRID_POINT_X 112 TILEPROP NULL_X112Y130 GRID_POINT_Y 26 TILEPROP NULL_X112Y130 INDEX 3102 TILEPROP NULL_X112Y130 INT_TILE_X -1 TILEPROP NULL_X112Y130 INT_TILE_Y -1 TILEPROP NULL_X112Y130 IS_CENTER_TILE 0 TILEPROP NULL_X112Y130 IS_DCM_TILE 0 TILEPROP NULL_X112Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y130 NAME NULL_X112Y130 TILEPROP NULL_X112Y130 NUM_ARCS 0 TILEPROP NULL_X112Y130 NUM_SITES 0 TILEPROP NULL_X112Y130 ROW 26 TILEPROP NULL_X112Y130 SLR_REGION_ID 0 TILEPROP NULL_X112Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y130 TILE_TYPE NULL TILEPROP NULL_X112Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y130 TILE_X 104974 TILEPROP NULL_X112Y130 TILE_Y 161272 TILEPROP NULL_X112Y130 TYPE NULL TILEPROP NULL_X112Y131 CLASS tile TILEPROP NULL_X112Y131 COLUMN 112 TILEPROP NULL_X112Y131 DEVICE_ID 0 TILEPROP NULL_X112Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X112Y131 GRID_POINT_X 112 TILEPROP NULL_X112Y131 GRID_POINT_Y 25 TILEPROP NULL_X112Y131 INDEX 2987 TILEPROP NULL_X112Y131 INT_TILE_X -1 TILEPROP NULL_X112Y131 INT_TILE_Y -1 TILEPROP NULL_X112Y131 IS_CENTER_TILE 0 TILEPROP NULL_X112Y131 IS_DCM_TILE 0 TILEPROP NULL_X112Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y131 NAME NULL_X112Y131 TILEPROP NULL_X112Y131 NUM_ARCS 0 TILEPROP NULL_X112Y131 NUM_SITES 0 TILEPROP NULL_X112Y131 ROW 25 TILEPROP NULL_X112Y131 SLR_REGION_ID 0 TILEPROP NULL_X112Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y131 TILE_TYPE NULL TILEPROP NULL_X112Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y131 TILE_X 104974 TILEPROP NULL_X112Y131 TILE_Y 162296 TILEPROP NULL_X112Y131 TYPE NULL TILEPROP NULL_X112Y132 CLASS tile TILEPROP NULL_X112Y132 COLUMN 112 TILEPROP NULL_X112Y132 DEVICE_ID 0 TILEPROP NULL_X112Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X112Y132 GRID_POINT_X 112 TILEPROP NULL_X112Y132 GRID_POINT_Y 24 TILEPROP NULL_X112Y132 INDEX 2872 TILEPROP NULL_X112Y132 INT_TILE_X -1 TILEPROP NULL_X112Y132 INT_TILE_Y -1 TILEPROP NULL_X112Y132 IS_CENTER_TILE 0 TILEPROP NULL_X112Y132 IS_DCM_TILE 0 TILEPROP NULL_X112Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y132 NAME NULL_X112Y132 TILEPROP NULL_X112Y132 NUM_ARCS 0 TILEPROP NULL_X112Y132 NUM_SITES 0 TILEPROP NULL_X112Y132 ROW 24 TILEPROP NULL_X112Y132 SLR_REGION_ID 0 TILEPROP NULL_X112Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y132 TILE_TYPE NULL TILEPROP NULL_X112Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y132 TILE_X 104974 TILEPROP NULL_X112Y132 TILE_Y 165496 TILEPROP NULL_X112Y132 TYPE NULL TILEPROP NULL_X112Y133 CLASS tile TILEPROP NULL_X112Y133 COLUMN 112 TILEPROP NULL_X112Y133 DEVICE_ID 0 TILEPROP NULL_X112Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X112Y133 GRID_POINT_X 112 TILEPROP NULL_X112Y133 GRID_POINT_Y 23 TILEPROP NULL_X112Y133 INDEX 2757 TILEPROP NULL_X112Y133 INT_TILE_X -1 TILEPROP NULL_X112Y133 INT_TILE_Y -1 TILEPROP NULL_X112Y133 IS_CENTER_TILE 0 TILEPROP NULL_X112Y133 IS_DCM_TILE 0 TILEPROP NULL_X112Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y133 NAME NULL_X112Y133 TILEPROP NULL_X112Y133 NUM_ARCS 0 TILEPROP NULL_X112Y133 NUM_SITES 0 TILEPROP NULL_X112Y133 ROW 23 TILEPROP NULL_X112Y133 SLR_REGION_ID 0 TILEPROP NULL_X112Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y133 TILE_TYPE NULL TILEPROP NULL_X112Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y133 TILE_X 104974 TILEPROP NULL_X112Y133 TILE_Y 168696 TILEPROP NULL_X112Y133 TYPE NULL TILEPROP NULL_X112Y134 CLASS tile TILEPROP NULL_X112Y134 COLUMN 112 TILEPROP NULL_X112Y134 DEVICE_ID 0 TILEPROP NULL_X112Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X112Y134 GRID_POINT_X 112 TILEPROP NULL_X112Y134 GRID_POINT_Y 22 TILEPROP NULL_X112Y134 INDEX 2642 TILEPROP NULL_X112Y134 INT_TILE_X -1 TILEPROP NULL_X112Y134 INT_TILE_Y -1 TILEPROP NULL_X112Y134 IS_CENTER_TILE 0 TILEPROP NULL_X112Y134 IS_DCM_TILE 0 TILEPROP NULL_X112Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y134 NAME NULL_X112Y134 TILEPROP NULL_X112Y134 NUM_ARCS 0 TILEPROP NULL_X112Y134 NUM_SITES 0 TILEPROP NULL_X112Y134 ROW 22 TILEPROP NULL_X112Y134 SLR_REGION_ID 0 TILEPROP NULL_X112Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y134 TILE_TYPE NULL TILEPROP NULL_X112Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y134 TILE_X 104974 TILEPROP NULL_X112Y134 TILE_Y 171896 TILEPROP NULL_X112Y134 TYPE NULL TILEPROP NULL_X112Y135 CLASS tile TILEPROP NULL_X112Y135 COLUMN 112 TILEPROP NULL_X112Y135 DEVICE_ID 0 TILEPROP NULL_X112Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X112Y135 GRID_POINT_X 112 TILEPROP NULL_X112Y135 GRID_POINT_Y 21 TILEPROP NULL_X112Y135 INDEX 2527 TILEPROP NULL_X112Y135 INT_TILE_X -1 TILEPROP NULL_X112Y135 INT_TILE_Y -1 TILEPROP NULL_X112Y135 IS_CENTER_TILE 0 TILEPROP NULL_X112Y135 IS_DCM_TILE 0 TILEPROP NULL_X112Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y135 NAME NULL_X112Y135 TILEPROP NULL_X112Y135 NUM_ARCS 0 TILEPROP NULL_X112Y135 NUM_SITES 0 TILEPROP NULL_X112Y135 ROW 21 TILEPROP NULL_X112Y135 SLR_REGION_ID 0 TILEPROP NULL_X112Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y135 TILE_TYPE NULL TILEPROP NULL_X112Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y135 TILE_X 104974 TILEPROP NULL_X112Y135 TILE_Y 175096 TILEPROP NULL_X112Y135 TYPE NULL TILEPROP NULL_X112Y136 CLASS tile TILEPROP NULL_X112Y136 COLUMN 112 TILEPROP NULL_X112Y136 DEVICE_ID 0 TILEPROP NULL_X112Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X112Y136 GRID_POINT_X 112 TILEPROP NULL_X112Y136 GRID_POINT_Y 20 TILEPROP NULL_X112Y136 INDEX 2412 TILEPROP NULL_X112Y136 INT_TILE_X -1 TILEPROP NULL_X112Y136 INT_TILE_Y -1 TILEPROP NULL_X112Y136 IS_CENTER_TILE 0 TILEPROP NULL_X112Y136 IS_DCM_TILE 0 TILEPROP NULL_X112Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y136 NAME NULL_X112Y136 TILEPROP NULL_X112Y136 NUM_ARCS 0 TILEPROP NULL_X112Y136 NUM_SITES 0 TILEPROP NULL_X112Y136 ROW 20 TILEPROP NULL_X112Y136 SLR_REGION_ID 0 TILEPROP NULL_X112Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y136 TILE_TYPE NULL TILEPROP NULL_X112Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y136 TILE_X 104974 TILEPROP NULL_X112Y136 TILE_Y 178296 TILEPROP NULL_X112Y136 TYPE NULL TILEPROP NULL_X112Y137 CLASS tile TILEPROP NULL_X112Y137 COLUMN 112 TILEPROP NULL_X112Y137 DEVICE_ID 0 TILEPROP NULL_X112Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X112Y137 GRID_POINT_X 112 TILEPROP NULL_X112Y137 GRID_POINT_Y 19 TILEPROP NULL_X112Y137 INDEX 2297 TILEPROP NULL_X112Y137 INT_TILE_X -1 TILEPROP NULL_X112Y137 INT_TILE_Y -1 TILEPROP NULL_X112Y137 IS_CENTER_TILE 0 TILEPROP NULL_X112Y137 IS_DCM_TILE 0 TILEPROP NULL_X112Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y137 NAME NULL_X112Y137 TILEPROP NULL_X112Y137 NUM_ARCS 0 TILEPROP NULL_X112Y137 NUM_SITES 0 TILEPROP NULL_X112Y137 ROW 19 TILEPROP NULL_X112Y137 SLR_REGION_ID 0 TILEPROP NULL_X112Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y137 TILE_TYPE NULL TILEPROP NULL_X112Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y137 TILE_X 104974 TILEPROP NULL_X112Y137 TILE_Y 181496 TILEPROP NULL_X112Y137 TYPE NULL TILEPROP NULL_X112Y138 CLASS tile TILEPROP NULL_X112Y138 COLUMN 112 TILEPROP NULL_X112Y138 DEVICE_ID 0 TILEPROP NULL_X112Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X112Y138 GRID_POINT_X 112 TILEPROP NULL_X112Y138 GRID_POINT_Y 18 TILEPROP NULL_X112Y138 INDEX 2182 TILEPROP NULL_X112Y138 INT_TILE_X -1 TILEPROP NULL_X112Y138 INT_TILE_Y -1 TILEPROP NULL_X112Y138 IS_CENTER_TILE 0 TILEPROP NULL_X112Y138 IS_DCM_TILE 0 TILEPROP NULL_X112Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y138 NAME NULL_X112Y138 TILEPROP NULL_X112Y138 NUM_ARCS 0 TILEPROP NULL_X112Y138 NUM_SITES 0 TILEPROP NULL_X112Y138 ROW 18 TILEPROP NULL_X112Y138 SLR_REGION_ID 0 TILEPROP NULL_X112Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y138 TILE_TYPE NULL TILEPROP NULL_X112Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y138 TILE_X 104974 TILEPROP NULL_X112Y138 TILE_Y 184696 TILEPROP NULL_X112Y138 TYPE NULL TILEPROP NULL_X112Y139 CLASS tile TILEPROP NULL_X112Y139 COLUMN 112 TILEPROP NULL_X112Y139 DEVICE_ID 0 TILEPROP NULL_X112Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X112Y139 GRID_POINT_X 112 TILEPROP NULL_X112Y139 GRID_POINT_Y 17 TILEPROP NULL_X112Y139 INDEX 2067 TILEPROP NULL_X112Y139 INT_TILE_X -1 TILEPROP NULL_X112Y139 INT_TILE_Y -1 TILEPROP NULL_X112Y139 IS_CENTER_TILE 0 TILEPROP NULL_X112Y139 IS_DCM_TILE 0 TILEPROP NULL_X112Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y139 NAME NULL_X112Y139 TILEPROP NULL_X112Y139 NUM_ARCS 0 TILEPROP NULL_X112Y139 NUM_SITES 0 TILEPROP NULL_X112Y139 ROW 17 TILEPROP NULL_X112Y139 SLR_REGION_ID 0 TILEPROP NULL_X112Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y139 TILE_TYPE NULL TILEPROP NULL_X112Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y139 TILE_X 104974 TILEPROP NULL_X112Y139 TILE_Y 187896 TILEPROP NULL_X112Y139 TYPE NULL TILEPROP NULL_X112Y140 CLASS tile TILEPROP NULL_X112Y140 COLUMN 112 TILEPROP NULL_X112Y140 DEVICE_ID 0 TILEPROP NULL_X112Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X112Y140 GRID_POINT_X 112 TILEPROP NULL_X112Y140 GRID_POINT_Y 16 TILEPROP NULL_X112Y140 INDEX 1952 TILEPROP NULL_X112Y140 INT_TILE_X -1 TILEPROP NULL_X112Y140 INT_TILE_Y -1 TILEPROP NULL_X112Y140 IS_CENTER_TILE 0 TILEPROP NULL_X112Y140 IS_DCM_TILE 0 TILEPROP NULL_X112Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y140 NAME NULL_X112Y140 TILEPROP NULL_X112Y140 NUM_ARCS 0 TILEPROP NULL_X112Y140 NUM_SITES 0 TILEPROP NULL_X112Y140 ROW 16 TILEPROP NULL_X112Y140 SLR_REGION_ID 0 TILEPROP NULL_X112Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y140 TILE_TYPE NULL TILEPROP NULL_X112Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y140 TILE_X 104974 TILEPROP NULL_X112Y140 TILE_Y 191096 TILEPROP NULL_X112Y140 TYPE NULL TILEPROP NULL_X112Y141 CLASS tile TILEPROP NULL_X112Y141 COLUMN 112 TILEPROP NULL_X112Y141 DEVICE_ID 0 TILEPROP NULL_X112Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X112Y141 GRID_POINT_X 112 TILEPROP NULL_X112Y141 GRID_POINT_Y 15 TILEPROP NULL_X112Y141 INDEX 1837 TILEPROP NULL_X112Y141 INT_TILE_X -1 TILEPROP NULL_X112Y141 INT_TILE_Y -1 TILEPROP NULL_X112Y141 IS_CENTER_TILE 0 TILEPROP NULL_X112Y141 IS_DCM_TILE 0 TILEPROP NULL_X112Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y141 NAME NULL_X112Y141 TILEPROP NULL_X112Y141 NUM_ARCS 0 TILEPROP NULL_X112Y141 NUM_SITES 0 TILEPROP NULL_X112Y141 ROW 15 TILEPROP NULL_X112Y141 SLR_REGION_ID 0 TILEPROP NULL_X112Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y141 TILE_TYPE NULL TILEPROP NULL_X112Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y141 TILE_X 104974 TILEPROP NULL_X112Y141 TILE_Y 194296 TILEPROP NULL_X112Y141 TYPE NULL TILEPROP NULL_X112Y142 CLASS tile TILEPROP NULL_X112Y142 COLUMN 112 TILEPROP NULL_X112Y142 DEVICE_ID 0 TILEPROP NULL_X112Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X112Y142 GRID_POINT_X 112 TILEPROP NULL_X112Y142 GRID_POINT_Y 14 TILEPROP NULL_X112Y142 INDEX 1722 TILEPROP NULL_X112Y142 INT_TILE_X -1 TILEPROP NULL_X112Y142 INT_TILE_Y -1 TILEPROP NULL_X112Y142 IS_CENTER_TILE 0 TILEPROP NULL_X112Y142 IS_DCM_TILE 0 TILEPROP NULL_X112Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y142 NAME NULL_X112Y142 TILEPROP NULL_X112Y142 NUM_ARCS 0 TILEPROP NULL_X112Y142 NUM_SITES 0 TILEPROP NULL_X112Y142 ROW 14 TILEPROP NULL_X112Y142 SLR_REGION_ID 0 TILEPROP NULL_X112Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y142 TILE_TYPE NULL TILEPROP NULL_X112Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y142 TILE_X 104974 TILEPROP NULL_X112Y142 TILE_Y 197496 TILEPROP NULL_X112Y142 TYPE NULL TILEPROP NULL_X112Y143 CLASS tile TILEPROP NULL_X112Y143 COLUMN 112 TILEPROP NULL_X112Y143 DEVICE_ID 0 TILEPROP NULL_X112Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X112Y143 GRID_POINT_X 112 TILEPROP NULL_X112Y143 GRID_POINT_Y 13 TILEPROP NULL_X112Y143 INDEX 1607 TILEPROP NULL_X112Y143 INT_TILE_X -1 TILEPROP NULL_X112Y143 INT_TILE_Y -1 TILEPROP NULL_X112Y143 IS_CENTER_TILE 0 TILEPROP NULL_X112Y143 IS_DCM_TILE 0 TILEPROP NULL_X112Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y143 NAME NULL_X112Y143 TILEPROP NULL_X112Y143 NUM_ARCS 0 TILEPROP NULL_X112Y143 NUM_SITES 0 TILEPROP NULL_X112Y143 ROW 13 TILEPROP NULL_X112Y143 SLR_REGION_ID 0 TILEPROP NULL_X112Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y143 TILE_TYPE NULL TILEPROP NULL_X112Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y143 TILE_X 104974 TILEPROP NULL_X112Y143 TILE_Y 200696 TILEPROP NULL_X112Y143 TYPE NULL TILEPROP NULL_X112Y144 CLASS tile TILEPROP NULL_X112Y144 COLUMN 112 TILEPROP NULL_X112Y144 DEVICE_ID 0 TILEPROP NULL_X112Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X112Y144 GRID_POINT_X 112 TILEPROP NULL_X112Y144 GRID_POINT_Y 12 TILEPROP NULL_X112Y144 INDEX 1492 TILEPROP NULL_X112Y144 INT_TILE_X -1 TILEPROP NULL_X112Y144 INT_TILE_Y -1 TILEPROP NULL_X112Y144 IS_CENTER_TILE 0 TILEPROP NULL_X112Y144 IS_DCM_TILE 0 TILEPROP NULL_X112Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y144 NAME NULL_X112Y144 TILEPROP NULL_X112Y144 NUM_ARCS 0 TILEPROP NULL_X112Y144 NUM_SITES 0 TILEPROP NULL_X112Y144 ROW 12 TILEPROP NULL_X112Y144 SLR_REGION_ID 0 TILEPROP NULL_X112Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y144 TILE_TYPE NULL TILEPROP NULL_X112Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y144 TILE_X 104974 TILEPROP NULL_X112Y144 TILE_Y 203896 TILEPROP NULL_X112Y144 TYPE NULL TILEPROP NULL_X112Y145 CLASS tile TILEPROP NULL_X112Y145 COLUMN 112 TILEPROP NULL_X112Y145 DEVICE_ID 0 TILEPROP NULL_X112Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X112Y145 GRID_POINT_X 112 TILEPROP NULL_X112Y145 GRID_POINT_Y 11 TILEPROP NULL_X112Y145 INDEX 1377 TILEPROP NULL_X112Y145 INT_TILE_X -1 TILEPROP NULL_X112Y145 INT_TILE_Y -1 TILEPROP NULL_X112Y145 IS_CENTER_TILE 0 TILEPROP NULL_X112Y145 IS_DCM_TILE 0 TILEPROP NULL_X112Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y145 NAME NULL_X112Y145 TILEPROP NULL_X112Y145 NUM_ARCS 0 TILEPROP NULL_X112Y145 NUM_SITES 0 TILEPROP NULL_X112Y145 ROW 11 TILEPROP NULL_X112Y145 SLR_REGION_ID 0 TILEPROP NULL_X112Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y145 TILE_TYPE NULL TILEPROP NULL_X112Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y145 TILE_X 104974 TILEPROP NULL_X112Y145 TILE_Y 207096 TILEPROP NULL_X112Y145 TYPE NULL TILEPROP NULL_X112Y146 CLASS tile TILEPROP NULL_X112Y146 COLUMN 112 TILEPROP NULL_X112Y146 DEVICE_ID 0 TILEPROP NULL_X112Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X112Y146 GRID_POINT_X 112 TILEPROP NULL_X112Y146 GRID_POINT_Y 10 TILEPROP NULL_X112Y146 INDEX 1262 TILEPROP NULL_X112Y146 INT_TILE_X -1 TILEPROP NULL_X112Y146 INT_TILE_Y -1 TILEPROP NULL_X112Y146 IS_CENTER_TILE 0 TILEPROP NULL_X112Y146 IS_DCM_TILE 0 TILEPROP NULL_X112Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y146 NAME NULL_X112Y146 TILEPROP NULL_X112Y146 NUM_ARCS 0 TILEPROP NULL_X112Y146 NUM_SITES 0 TILEPROP NULL_X112Y146 ROW 10 TILEPROP NULL_X112Y146 SLR_REGION_ID 0 TILEPROP NULL_X112Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y146 TILE_TYPE NULL TILEPROP NULL_X112Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y146 TILE_X 104974 TILEPROP NULL_X112Y146 TILE_Y 210296 TILEPROP NULL_X112Y146 TYPE NULL TILEPROP NULL_X112Y147 CLASS tile TILEPROP NULL_X112Y147 COLUMN 112 TILEPROP NULL_X112Y147 DEVICE_ID 0 TILEPROP NULL_X112Y147 FIRST_SITE_ID 920 TILEPROP NULL_X112Y147 GRID_POINT_X 112 TILEPROP NULL_X112Y147 GRID_POINT_Y 9 TILEPROP NULL_X112Y147 INDEX 1147 TILEPROP NULL_X112Y147 INT_TILE_X -1 TILEPROP NULL_X112Y147 INT_TILE_Y -1 TILEPROP NULL_X112Y147 IS_CENTER_TILE 0 TILEPROP NULL_X112Y147 IS_DCM_TILE 0 TILEPROP NULL_X112Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y147 NAME NULL_X112Y147 TILEPROP NULL_X112Y147 NUM_ARCS 0 TILEPROP NULL_X112Y147 NUM_SITES 0 TILEPROP NULL_X112Y147 ROW 9 TILEPROP NULL_X112Y147 SLR_REGION_ID 0 TILEPROP NULL_X112Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y147 TILE_TYPE NULL TILEPROP NULL_X112Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y147 TILE_X 104974 TILEPROP NULL_X112Y147 TILE_Y 213496 TILEPROP NULL_X112Y147 TYPE NULL TILEPROP NULL_X112Y148 CLASS tile TILEPROP NULL_X112Y148 COLUMN 112 TILEPROP NULL_X112Y148 DEVICE_ID 0 TILEPROP NULL_X112Y148 FIRST_SITE_ID 816 TILEPROP NULL_X112Y148 GRID_POINT_X 112 TILEPROP NULL_X112Y148 GRID_POINT_Y 8 TILEPROP NULL_X112Y148 INDEX 1032 TILEPROP NULL_X112Y148 INT_TILE_X -1 TILEPROP NULL_X112Y148 INT_TILE_Y -1 TILEPROP NULL_X112Y148 IS_CENTER_TILE 0 TILEPROP NULL_X112Y148 IS_DCM_TILE 0 TILEPROP NULL_X112Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y148 NAME NULL_X112Y148 TILEPROP NULL_X112Y148 NUM_ARCS 0 TILEPROP NULL_X112Y148 NUM_SITES 0 TILEPROP NULL_X112Y148 ROW 8 TILEPROP NULL_X112Y148 SLR_REGION_ID 0 TILEPROP NULL_X112Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y148 TILE_TYPE NULL TILEPROP NULL_X112Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y148 TILE_X 104974 TILEPROP NULL_X112Y148 TILE_Y 216696 TILEPROP NULL_X112Y148 TYPE NULL TILEPROP NULL_X112Y149 CLASS tile TILEPROP NULL_X112Y149 COLUMN 112 TILEPROP NULL_X112Y149 DEVICE_ID 0 TILEPROP NULL_X112Y149 FIRST_SITE_ID 719 TILEPROP NULL_X112Y149 GRID_POINT_X 112 TILEPROP NULL_X112Y149 GRID_POINT_Y 7 TILEPROP NULL_X112Y149 INDEX 917 TILEPROP NULL_X112Y149 INT_TILE_X -1 TILEPROP NULL_X112Y149 INT_TILE_Y -1 TILEPROP NULL_X112Y149 IS_CENTER_TILE 0 TILEPROP NULL_X112Y149 IS_DCM_TILE 0 TILEPROP NULL_X112Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y149 NAME NULL_X112Y149 TILEPROP NULL_X112Y149 NUM_ARCS 0 TILEPROP NULL_X112Y149 NUM_SITES 0 TILEPROP NULL_X112Y149 ROW 7 TILEPROP NULL_X112Y149 SLR_REGION_ID 0 TILEPROP NULL_X112Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y149 TILE_TYPE NULL TILEPROP NULL_X112Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y149 TILE_X 104974 TILEPROP NULL_X112Y149 TILE_Y 219896 TILEPROP NULL_X112Y149 TYPE NULL TILEPROP NULL_X112Y150 CLASS tile TILEPROP NULL_X112Y150 COLUMN 112 TILEPROP NULL_X112Y150 DEVICE_ID 0 TILEPROP NULL_X112Y150 FIRST_SITE_ID 613 TILEPROP NULL_X112Y150 GRID_POINT_X 112 TILEPROP NULL_X112Y150 GRID_POINT_Y 6 TILEPROP NULL_X112Y150 INDEX 802 TILEPROP NULL_X112Y150 INT_TILE_X -1 TILEPROP NULL_X112Y150 INT_TILE_Y -1 TILEPROP NULL_X112Y150 IS_CENTER_TILE 0 TILEPROP NULL_X112Y150 IS_DCM_TILE 0 TILEPROP NULL_X112Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y150 NAME NULL_X112Y150 TILEPROP NULL_X112Y150 NUM_ARCS 0 TILEPROP NULL_X112Y150 NUM_SITES 0 TILEPROP NULL_X112Y150 ROW 6 TILEPROP NULL_X112Y150 SLR_REGION_ID 0 TILEPROP NULL_X112Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y150 TILE_TYPE NULL TILEPROP NULL_X112Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y150 TILE_X 104974 TILEPROP NULL_X112Y150 TILE_Y 223096 TILEPROP NULL_X112Y150 TYPE NULL TILEPROP NULL_X112Y151 CLASS tile TILEPROP NULL_X112Y151 COLUMN 112 TILEPROP NULL_X112Y151 DEVICE_ID 0 TILEPROP NULL_X112Y151 FIRST_SITE_ID 512 TILEPROP NULL_X112Y151 GRID_POINT_X 112 TILEPROP NULL_X112Y151 GRID_POINT_Y 5 TILEPROP NULL_X112Y151 INDEX 687 TILEPROP NULL_X112Y151 INT_TILE_X -1 TILEPROP NULL_X112Y151 INT_TILE_Y -1 TILEPROP NULL_X112Y151 IS_CENTER_TILE 0 TILEPROP NULL_X112Y151 IS_DCM_TILE 0 TILEPROP NULL_X112Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y151 NAME NULL_X112Y151 TILEPROP NULL_X112Y151 NUM_ARCS 0 TILEPROP NULL_X112Y151 NUM_SITES 0 TILEPROP NULL_X112Y151 ROW 5 TILEPROP NULL_X112Y151 SLR_REGION_ID 0 TILEPROP NULL_X112Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y151 TILE_TYPE NULL TILEPROP NULL_X112Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y151 TILE_X 104974 TILEPROP NULL_X112Y151 TILE_Y 226296 TILEPROP NULL_X112Y151 TYPE NULL TILEPROP NULL_X112Y152 CLASS tile TILEPROP NULL_X112Y152 COLUMN 112 TILEPROP NULL_X112Y152 DEVICE_ID 0 TILEPROP NULL_X112Y152 FIRST_SITE_ID 396 TILEPROP NULL_X112Y152 GRID_POINT_X 112 TILEPROP NULL_X112Y152 GRID_POINT_Y 4 TILEPROP NULL_X112Y152 INDEX 572 TILEPROP NULL_X112Y152 INT_TILE_X -1 TILEPROP NULL_X112Y152 INT_TILE_Y -1 TILEPROP NULL_X112Y152 IS_CENTER_TILE 0 TILEPROP NULL_X112Y152 IS_DCM_TILE 0 TILEPROP NULL_X112Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y152 NAME NULL_X112Y152 TILEPROP NULL_X112Y152 NUM_ARCS 0 TILEPROP NULL_X112Y152 NUM_SITES 0 TILEPROP NULL_X112Y152 ROW 4 TILEPROP NULL_X112Y152 SLR_REGION_ID 0 TILEPROP NULL_X112Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y152 TILE_TYPE NULL TILEPROP NULL_X112Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y152 TILE_X 104974 TILEPROP NULL_X112Y152 TILE_Y 229496 TILEPROP NULL_X112Y152 TYPE NULL TILEPROP NULL_X112Y153 CLASS tile TILEPROP NULL_X112Y153 COLUMN 112 TILEPROP NULL_X112Y153 DEVICE_ID 0 TILEPROP NULL_X112Y153 FIRST_SITE_ID 300 TILEPROP NULL_X112Y153 GRID_POINT_X 112 TILEPROP NULL_X112Y153 GRID_POINT_Y 3 TILEPROP NULL_X112Y153 INDEX 457 TILEPROP NULL_X112Y153 INT_TILE_X -1 TILEPROP NULL_X112Y153 INT_TILE_Y -1 TILEPROP NULL_X112Y153 IS_CENTER_TILE 0 TILEPROP NULL_X112Y153 IS_DCM_TILE 0 TILEPROP NULL_X112Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y153 NAME NULL_X112Y153 TILEPROP NULL_X112Y153 NUM_ARCS 0 TILEPROP NULL_X112Y153 NUM_SITES 0 TILEPROP NULL_X112Y153 ROW 3 TILEPROP NULL_X112Y153 SLR_REGION_ID 0 TILEPROP NULL_X112Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y153 TILE_TYPE NULL TILEPROP NULL_X112Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y153 TILE_X 104974 TILEPROP NULL_X112Y153 TILE_Y 232696 TILEPROP NULL_X112Y153 TYPE NULL TILEPROP NULL_X112Y154 CLASS tile TILEPROP NULL_X112Y154 COLUMN 112 TILEPROP NULL_X112Y154 DEVICE_ID 0 TILEPROP NULL_X112Y154 FIRST_SITE_ID 196 TILEPROP NULL_X112Y154 GRID_POINT_X 112 TILEPROP NULL_X112Y154 GRID_POINT_Y 2 TILEPROP NULL_X112Y154 INDEX 342 TILEPROP NULL_X112Y154 INT_TILE_X -1 TILEPROP NULL_X112Y154 INT_TILE_Y -1 TILEPROP NULL_X112Y154 IS_CENTER_TILE 0 TILEPROP NULL_X112Y154 IS_DCM_TILE 0 TILEPROP NULL_X112Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y154 NAME NULL_X112Y154 TILEPROP NULL_X112Y154 NUM_ARCS 0 TILEPROP NULL_X112Y154 NUM_SITES 0 TILEPROP NULL_X112Y154 ROW 2 TILEPROP NULL_X112Y154 SLR_REGION_ID 0 TILEPROP NULL_X112Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y154 TILE_TYPE NULL TILEPROP NULL_X112Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y154 TILE_X 104974 TILEPROP NULL_X112Y154 TILE_Y 235896 TILEPROP NULL_X112Y154 TYPE NULL TILEPROP NULL_X112Y155 CLASS tile TILEPROP NULL_X112Y155 COLUMN 112 TILEPROP NULL_X112Y155 DEVICE_ID 0 TILEPROP NULL_X112Y155 FIRST_SITE_ID 100 TILEPROP NULL_X112Y155 GRID_POINT_X 112 TILEPROP NULL_X112Y155 GRID_POINT_Y 1 TILEPROP NULL_X112Y155 INDEX 227 TILEPROP NULL_X112Y155 INT_TILE_X -1 TILEPROP NULL_X112Y155 INT_TILE_Y -1 TILEPROP NULL_X112Y155 IS_CENTER_TILE 0 TILEPROP NULL_X112Y155 IS_DCM_TILE 0 TILEPROP NULL_X112Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y155 NAME NULL_X112Y155 TILEPROP NULL_X112Y155 NUM_ARCS 0 TILEPROP NULL_X112Y155 NUM_SITES 0 TILEPROP NULL_X112Y155 ROW 1 TILEPROP NULL_X112Y155 SLR_REGION_ID 0 TILEPROP NULL_X112Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y155 TILE_TYPE NULL TILEPROP NULL_X112Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y155 TILE_X 104974 TILEPROP NULL_X112Y155 TILE_Y 239096 TILEPROP NULL_X112Y155 TYPE NULL TILEPROP NULL_X112Y156 CLASS tile TILEPROP NULL_X112Y156 COLUMN 112 TILEPROP NULL_X112Y156 DEVICE_ID 0 TILEPROP NULL_X112Y156 FIRST_SITE_ID 0 TILEPROP NULL_X112Y156 GRID_POINT_X 112 TILEPROP NULL_X112Y156 GRID_POINT_Y 0 TILEPROP NULL_X112Y156 INDEX 112 TILEPROP NULL_X112Y156 INT_TILE_X -1 TILEPROP NULL_X112Y156 INT_TILE_Y -1 TILEPROP NULL_X112Y156 IS_CENTER_TILE 0 TILEPROP NULL_X112Y156 IS_DCM_TILE 0 TILEPROP NULL_X112Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X112Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X112Y156 NAME NULL_X112Y156 TILEPROP NULL_X112Y156 NUM_ARCS 0 TILEPROP NULL_X112Y156 NUM_SITES 0 TILEPROP NULL_X112Y156 ROW 0 TILEPROP NULL_X112Y156 SLR_REGION_ID 0 TILEPROP NULL_X112Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X112Y156 TILE_TYPE NULL TILEPROP NULL_X112Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X112Y156 TILE_X 104974 TILEPROP NULL_X112Y156 TILE_Y 242296 TILEPROP NULL_X112Y156 TYPE NULL TILEPROP NULL_X113Y0 CLASS tile TILEPROP NULL_X113Y0 COLUMN 113 TILEPROP NULL_X113Y0 DEVICE_ID 0 TILEPROP NULL_X113Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X113Y0 GRID_POINT_X 113 TILEPROP NULL_X113Y0 GRID_POINT_Y 156 TILEPROP NULL_X113Y0 INDEX 18053 TILEPROP NULL_X113Y0 INT_TILE_X 43 TILEPROP NULL_X113Y0 INT_TILE_Y 149 TILEPROP NULL_X113Y0 IS_CENTER_TILE 0 TILEPROP NULL_X113Y0 IS_DCM_TILE 0 TILEPROP NULL_X113Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y0 NAME NULL_X113Y0 TILEPROP NULL_X113Y0 NUM_ARCS 0 TILEPROP NULL_X113Y0 NUM_SITES 0 TILEPROP NULL_X113Y0 ROW 156 TILEPROP NULL_X113Y0 SLR_REGION_ID 0 TILEPROP NULL_X113Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y0 TILE_TYPE NULL TILEPROP NULL_X113Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y0 TILE_X 105670 TILEPROP NULL_X113Y0 TILE_Y -244472 TILEPROP NULL_X113Y0 TYPE NULL TILEPROP NULL_X113Y3 CLASS tile TILEPROP NULL_X113Y3 COLUMN 113 TILEPROP NULL_X113Y3 DEVICE_ID 0 TILEPROP NULL_X113Y3 FIRST_SITE_ID 15593 TILEPROP NULL_X113Y3 GRID_POINT_X 113 TILEPROP NULL_X113Y3 GRID_POINT_Y 153 TILEPROP NULL_X113Y3 INDEX 17708 TILEPROP NULL_X113Y3 INT_TILE_X 43 TILEPROP NULL_X113Y3 INT_TILE_Y 147 TILEPROP NULL_X113Y3 IS_CENTER_TILE 0 TILEPROP NULL_X113Y3 IS_DCM_TILE 0 TILEPROP NULL_X113Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y3 NAME NULL_X113Y3 TILEPROP NULL_X113Y3 NUM_ARCS 0 TILEPROP NULL_X113Y3 NUM_SITES 0 TILEPROP NULL_X113Y3 ROW 153 TILEPROP NULL_X113Y3 SLR_REGION_ID 0 TILEPROP NULL_X113Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y3 TILE_TYPE NULL TILEPROP NULL_X113Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y3 TILE_X 105670 TILEPROP NULL_X113Y3 TILE_Y -234872 TILEPROP NULL_X113Y3 TYPE NULL TILEPROP NULL_X113Y5 CLASS tile TILEPROP NULL_X113Y5 COLUMN 113 TILEPROP NULL_X113Y5 DEVICE_ID 0 TILEPROP NULL_X113Y5 FIRST_SITE_ID 15393 TILEPROP NULL_X113Y5 GRID_POINT_X 113 TILEPROP NULL_X113Y5 GRID_POINT_Y 151 TILEPROP NULL_X113Y5 INDEX 17478 TILEPROP NULL_X113Y5 INT_TILE_X 43 TILEPROP NULL_X113Y5 INT_TILE_Y 145 TILEPROP NULL_X113Y5 IS_CENTER_TILE 0 TILEPROP NULL_X113Y5 IS_DCM_TILE 0 TILEPROP NULL_X113Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y5 NAME NULL_X113Y5 TILEPROP NULL_X113Y5 NUM_ARCS 0 TILEPROP NULL_X113Y5 NUM_SITES 0 TILEPROP NULL_X113Y5 ROW 151 TILEPROP NULL_X113Y5 SLR_REGION_ID 0 TILEPROP NULL_X113Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y5 TILE_TYPE NULL TILEPROP NULL_X113Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y5 TILE_X 105670 TILEPROP NULL_X113Y5 TILE_Y -228472 TILEPROP NULL_X113Y5 TYPE NULL TILEPROP NULL_X113Y7 CLASS tile TILEPROP NULL_X113Y7 COLUMN 113 TILEPROP NULL_X113Y7 DEVICE_ID 0 TILEPROP NULL_X113Y7 FIRST_SITE_ID 15178 TILEPROP NULL_X113Y7 GRID_POINT_X 113 TILEPROP NULL_X113Y7 GRID_POINT_Y 149 TILEPROP NULL_X113Y7 INDEX 17248 TILEPROP NULL_X113Y7 INT_TILE_X 43 TILEPROP NULL_X113Y7 INT_TILE_Y 143 TILEPROP NULL_X113Y7 IS_CENTER_TILE 0 TILEPROP NULL_X113Y7 IS_DCM_TILE 0 TILEPROP NULL_X113Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y7 NAME NULL_X113Y7 TILEPROP NULL_X113Y7 NUM_ARCS 0 TILEPROP NULL_X113Y7 NUM_SITES 0 TILEPROP NULL_X113Y7 ROW 149 TILEPROP NULL_X113Y7 SLR_REGION_ID 0 TILEPROP NULL_X113Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y7 TILE_TYPE NULL TILEPROP NULL_X113Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y7 TILE_X 105670 TILEPROP NULL_X113Y7 TILE_Y -222072 TILEPROP NULL_X113Y7 TYPE NULL TILEPROP NULL_X113Y9 CLASS tile TILEPROP NULL_X113Y9 COLUMN 113 TILEPROP NULL_X113Y9 DEVICE_ID 0 TILEPROP NULL_X113Y9 FIRST_SITE_ID 14974 TILEPROP NULL_X113Y9 GRID_POINT_X 113 TILEPROP NULL_X113Y9 GRID_POINT_Y 147 TILEPROP NULL_X113Y9 INDEX 17018 TILEPROP NULL_X113Y9 INT_TILE_X 43 TILEPROP NULL_X113Y9 INT_TILE_Y 141 TILEPROP NULL_X113Y9 IS_CENTER_TILE 0 TILEPROP NULL_X113Y9 IS_DCM_TILE 0 TILEPROP NULL_X113Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y9 NAME NULL_X113Y9 TILEPROP NULL_X113Y9 NUM_ARCS 0 TILEPROP NULL_X113Y9 NUM_SITES 0 TILEPROP NULL_X113Y9 ROW 147 TILEPROP NULL_X113Y9 SLR_REGION_ID 0 TILEPROP NULL_X113Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y9 TILE_TYPE NULL TILEPROP NULL_X113Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y9 TILE_X 105670 TILEPROP NULL_X113Y9 TILE_Y -215672 TILEPROP NULL_X113Y9 TYPE NULL TILEPROP NULL_X113Y11 CLASS tile TILEPROP NULL_X113Y11 COLUMN 113 TILEPROP NULL_X113Y11 DEVICE_ID 0 TILEPROP NULL_X113Y11 FIRST_SITE_ID 14772 TILEPROP NULL_X113Y11 GRID_POINT_X 113 TILEPROP NULL_X113Y11 GRID_POINT_Y 145 TILEPROP NULL_X113Y11 INDEX 16788 TILEPROP NULL_X113Y11 INT_TILE_X 43 TILEPROP NULL_X113Y11 INT_TILE_Y 139 TILEPROP NULL_X113Y11 IS_CENTER_TILE 0 TILEPROP NULL_X113Y11 IS_DCM_TILE 0 TILEPROP NULL_X113Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y11 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y11 NAME NULL_X113Y11 TILEPROP NULL_X113Y11 NUM_ARCS 0 TILEPROP NULL_X113Y11 NUM_SITES 0 TILEPROP NULL_X113Y11 ROW 145 TILEPROP NULL_X113Y11 SLR_REGION_ID 0 TILEPROP NULL_X113Y11 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y11 TILE_TYPE NULL TILEPROP NULL_X113Y11 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y11 TILE_X 105670 TILEPROP NULL_X113Y11 TILE_Y -209272 TILEPROP NULL_X113Y11 TYPE NULL TILEPROP NULL_X113Y13 CLASS tile TILEPROP NULL_X113Y13 COLUMN 113 TILEPROP NULL_X113Y13 DEVICE_ID 0 TILEPROP NULL_X113Y13 FIRST_SITE_ID 14557 TILEPROP NULL_X113Y13 GRID_POINT_X 113 TILEPROP NULL_X113Y13 GRID_POINT_Y 143 TILEPROP NULL_X113Y13 INDEX 16558 TILEPROP NULL_X113Y13 INT_TILE_X 43 TILEPROP NULL_X113Y13 INT_TILE_Y 137 TILEPROP NULL_X113Y13 IS_CENTER_TILE 0 TILEPROP NULL_X113Y13 IS_DCM_TILE 0 TILEPROP NULL_X113Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y13 NAME NULL_X113Y13 TILEPROP NULL_X113Y13 NUM_ARCS 0 TILEPROP NULL_X113Y13 NUM_SITES 0 TILEPROP NULL_X113Y13 ROW 143 TILEPROP NULL_X113Y13 SLR_REGION_ID 0 TILEPROP NULL_X113Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y13 TILE_TYPE NULL TILEPROP NULL_X113Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y13 TILE_X 105670 TILEPROP NULL_X113Y13 TILE_Y -202872 TILEPROP NULL_X113Y13 TYPE NULL TILEPROP NULL_X113Y15 CLASS tile TILEPROP NULL_X113Y15 COLUMN 113 TILEPROP NULL_X113Y15 DEVICE_ID 0 TILEPROP NULL_X113Y15 FIRST_SITE_ID 14325 TILEPROP NULL_X113Y15 GRID_POINT_X 113 TILEPROP NULL_X113Y15 GRID_POINT_Y 141 TILEPROP NULL_X113Y15 INDEX 16328 TILEPROP NULL_X113Y15 INT_TILE_X 43 TILEPROP NULL_X113Y15 INT_TILE_Y 135 TILEPROP NULL_X113Y15 IS_CENTER_TILE 0 TILEPROP NULL_X113Y15 IS_DCM_TILE 0 TILEPROP NULL_X113Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y15 NAME NULL_X113Y15 TILEPROP NULL_X113Y15 NUM_ARCS 0 TILEPROP NULL_X113Y15 NUM_SITES 0 TILEPROP NULL_X113Y15 ROW 141 TILEPROP NULL_X113Y15 SLR_REGION_ID 0 TILEPROP NULL_X113Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y15 TILE_TYPE NULL TILEPROP NULL_X113Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y15 TILE_X 105670 TILEPROP NULL_X113Y15 TILE_Y -196472 TILEPROP NULL_X113Y15 TYPE NULL TILEPROP NULL_X113Y17 CLASS tile TILEPROP NULL_X113Y17 COLUMN 113 TILEPROP NULL_X113Y17 DEVICE_ID 0 TILEPROP NULL_X113Y17 FIRST_SITE_ID 14110 TILEPROP NULL_X113Y17 GRID_POINT_X 113 TILEPROP NULL_X113Y17 GRID_POINT_Y 139 TILEPROP NULL_X113Y17 INDEX 16098 TILEPROP NULL_X113Y17 INT_TILE_X 43 TILEPROP NULL_X113Y17 INT_TILE_Y 133 TILEPROP NULL_X113Y17 IS_CENTER_TILE 0 TILEPROP NULL_X113Y17 IS_DCM_TILE 0 TILEPROP NULL_X113Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y17 NAME NULL_X113Y17 TILEPROP NULL_X113Y17 NUM_ARCS 0 TILEPROP NULL_X113Y17 NUM_SITES 0 TILEPROP NULL_X113Y17 ROW 139 TILEPROP NULL_X113Y17 SLR_REGION_ID 0 TILEPROP NULL_X113Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y17 TILE_TYPE NULL TILEPROP NULL_X113Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y17 TILE_X 105670 TILEPROP NULL_X113Y17 TILE_Y -190072 TILEPROP NULL_X113Y17 TYPE NULL TILEPROP NULL_X113Y19 CLASS tile TILEPROP NULL_X113Y19 COLUMN 113 TILEPROP NULL_X113Y19 DEVICE_ID 0 TILEPROP NULL_X113Y19 FIRST_SITE_ID 13901 TILEPROP NULL_X113Y19 GRID_POINT_X 113 TILEPROP NULL_X113Y19 GRID_POINT_Y 137 TILEPROP NULL_X113Y19 INDEX 15868 TILEPROP NULL_X113Y19 INT_TILE_X 43 TILEPROP NULL_X113Y19 INT_TILE_Y 131 TILEPROP NULL_X113Y19 IS_CENTER_TILE 0 TILEPROP NULL_X113Y19 IS_DCM_TILE 0 TILEPROP NULL_X113Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y19 NAME NULL_X113Y19 TILEPROP NULL_X113Y19 NUM_ARCS 0 TILEPROP NULL_X113Y19 NUM_SITES 0 TILEPROP NULL_X113Y19 ROW 137 TILEPROP NULL_X113Y19 SLR_REGION_ID 0 TILEPROP NULL_X113Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y19 TILE_TYPE NULL TILEPROP NULL_X113Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y19 TILE_X 105670 TILEPROP NULL_X113Y19 TILE_Y -183672 TILEPROP NULL_X113Y19 TYPE NULL TILEPROP NULL_X113Y21 CLASS tile TILEPROP NULL_X113Y21 COLUMN 113 TILEPROP NULL_X113Y21 DEVICE_ID 0 TILEPROP NULL_X113Y21 FIRST_SITE_ID 13697 TILEPROP NULL_X113Y21 GRID_POINT_X 113 TILEPROP NULL_X113Y21 GRID_POINT_Y 135 TILEPROP NULL_X113Y21 INDEX 15638 TILEPROP NULL_X113Y21 INT_TILE_X 43 TILEPROP NULL_X113Y21 INT_TILE_Y 129 TILEPROP NULL_X113Y21 IS_CENTER_TILE 0 TILEPROP NULL_X113Y21 IS_DCM_TILE 0 TILEPROP NULL_X113Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y21 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y21 NAME NULL_X113Y21 TILEPROP NULL_X113Y21 NUM_ARCS 0 TILEPROP NULL_X113Y21 NUM_SITES 0 TILEPROP NULL_X113Y21 ROW 135 TILEPROP NULL_X113Y21 SLR_REGION_ID 0 TILEPROP NULL_X113Y21 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y21 TILE_TYPE NULL TILEPROP NULL_X113Y21 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y21 TILE_X 105670 TILEPROP NULL_X113Y21 TILE_Y -177272 TILEPROP NULL_X113Y21 TYPE NULL TILEPROP NULL_X113Y23 CLASS tile TILEPROP NULL_X113Y23 COLUMN 113 TILEPROP NULL_X113Y23 DEVICE_ID 0 TILEPROP NULL_X113Y23 FIRST_SITE_ID 13482 TILEPROP NULL_X113Y23 GRID_POINT_X 113 TILEPROP NULL_X113Y23 GRID_POINT_Y 133 TILEPROP NULL_X113Y23 INDEX 15408 TILEPROP NULL_X113Y23 INT_TILE_X 43 TILEPROP NULL_X113Y23 INT_TILE_Y 127 TILEPROP NULL_X113Y23 IS_CENTER_TILE 0 TILEPROP NULL_X113Y23 IS_DCM_TILE 0 TILEPROP NULL_X113Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y23 NAME NULL_X113Y23 TILEPROP NULL_X113Y23 NUM_ARCS 0 TILEPROP NULL_X113Y23 NUM_SITES 0 TILEPROP NULL_X113Y23 ROW 133 TILEPROP NULL_X113Y23 SLR_REGION_ID 0 TILEPROP NULL_X113Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y23 TILE_TYPE NULL TILEPROP NULL_X113Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y23 TILE_X 105670 TILEPROP NULL_X113Y23 TILE_Y -170872 TILEPROP NULL_X113Y23 TYPE NULL TILEPROP NULL_X113Y25 CLASS tile TILEPROP NULL_X113Y25 COLUMN 113 TILEPROP NULL_X113Y25 DEVICE_ID 0 TILEPROP NULL_X113Y25 FIRST_SITE_ID 13282 TILEPROP NULL_X113Y25 GRID_POINT_X 113 TILEPROP NULL_X113Y25 GRID_POINT_Y 131 TILEPROP NULL_X113Y25 INDEX 15178 TILEPROP NULL_X113Y25 INT_TILE_X 43 TILEPROP NULL_X113Y25 INT_TILE_Y 125 TILEPROP NULL_X113Y25 IS_CENTER_TILE 0 TILEPROP NULL_X113Y25 IS_DCM_TILE 0 TILEPROP NULL_X113Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y25 NAME NULL_X113Y25 TILEPROP NULL_X113Y25 NUM_ARCS 0 TILEPROP NULL_X113Y25 NUM_SITES 0 TILEPROP NULL_X113Y25 ROW 131 TILEPROP NULL_X113Y25 SLR_REGION_ID 0 TILEPROP NULL_X113Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y25 TILE_TYPE NULL TILEPROP NULL_X113Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y25 TILE_X 105670 TILEPROP NULL_X113Y25 TILE_Y -164472 TILEPROP NULL_X113Y25 TYPE NULL TILEPROP NULL_X113Y28 CLASS tile TILEPROP NULL_X113Y28 COLUMN 113 TILEPROP NULL_X113Y28 DEVICE_ID 0 TILEPROP NULL_X113Y28 FIRST_SITE_ID 12984 TILEPROP NULL_X113Y28 GRID_POINT_X 113 TILEPROP NULL_X113Y28 GRID_POINT_Y 128 TILEPROP NULL_X113Y28 INDEX 14833 TILEPROP NULL_X113Y28 INT_TILE_X 43 TILEPROP NULL_X113Y28 INT_TILE_Y 123 TILEPROP NULL_X113Y28 IS_CENTER_TILE 0 TILEPROP NULL_X113Y28 IS_DCM_TILE 0 TILEPROP NULL_X113Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y28 NAME NULL_X113Y28 TILEPROP NULL_X113Y28 NUM_ARCS 0 TILEPROP NULL_X113Y28 NUM_SITES 0 TILEPROP NULL_X113Y28 ROW 128 TILEPROP NULL_X113Y28 SLR_REGION_ID 0 TILEPROP NULL_X113Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y28 TILE_TYPE NULL TILEPROP NULL_X113Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y28 TILE_X 105670 TILEPROP NULL_X113Y28 TILE_Y -157048 TILEPROP NULL_X113Y28 TYPE NULL TILEPROP NULL_X113Y30 CLASS tile TILEPROP NULL_X113Y30 COLUMN 113 TILEPROP NULL_X113Y30 DEVICE_ID 0 TILEPROP NULL_X113Y30 FIRST_SITE_ID 12784 TILEPROP NULL_X113Y30 GRID_POINT_X 113 TILEPROP NULL_X113Y30 GRID_POINT_Y 126 TILEPROP NULL_X113Y30 INDEX 14603 TILEPROP NULL_X113Y30 INT_TILE_X 43 TILEPROP NULL_X113Y30 INT_TILE_Y 121 TILEPROP NULL_X113Y30 IS_CENTER_TILE 0 TILEPROP NULL_X113Y30 IS_DCM_TILE 0 TILEPROP NULL_X113Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y30 NAME NULL_X113Y30 TILEPROP NULL_X113Y30 NUM_ARCS 0 TILEPROP NULL_X113Y30 NUM_SITES 0 TILEPROP NULL_X113Y30 ROW 126 TILEPROP NULL_X113Y30 SLR_REGION_ID 0 TILEPROP NULL_X113Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y30 TILE_TYPE NULL TILEPROP NULL_X113Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y30 TILE_X 105670 TILEPROP NULL_X113Y30 TILE_Y -150648 TILEPROP NULL_X113Y30 TYPE NULL TILEPROP NULL_X113Y32 CLASS tile TILEPROP NULL_X113Y32 COLUMN 113 TILEPROP NULL_X113Y32 DEVICE_ID 0 TILEPROP NULL_X113Y32 FIRST_SITE_ID 12572 TILEPROP NULL_X113Y32 GRID_POINT_X 113 TILEPROP NULL_X113Y32 GRID_POINT_Y 124 TILEPROP NULL_X113Y32 INDEX 14373 TILEPROP NULL_X113Y32 INT_TILE_X 43 TILEPROP NULL_X113Y32 INT_TILE_Y 119 TILEPROP NULL_X113Y32 IS_CENTER_TILE 0 TILEPROP NULL_X113Y32 IS_DCM_TILE 0 TILEPROP NULL_X113Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y32 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y32 NAME NULL_X113Y32 TILEPROP NULL_X113Y32 NUM_ARCS 0 TILEPROP NULL_X113Y32 NUM_SITES 0 TILEPROP NULL_X113Y32 ROW 124 TILEPROP NULL_X113Y32 SLR_REGION_ID 0 TILEPROP NULL_X113Y32 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y32 TILE_TYPE NULL TILEPROP NULL_X113Y32 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y32 TILE_X 105670 TILEPROP NULL_X113Y32 TILE_Y -144248 TILEPROP NULL_X113Y32 TYPE NULL TILEPROP NULL_X113Y34 CLASS tile TILEPROP NULL_X113Y34 COLUMN 113 TILEPROP NULL_X113Y34 DEVICE_ID 0 TILEPROP NULL_X113Y34 FIRST_SITE_ID 12340 TILEPROP NULL_X113Y34 GRID_POINT_X 113 TILEPROP NULL_X113Y34 GRID_POINT_Y 122 TILEPROP NULL_X113Y34 INDEX 14143 TILEPROP NULL_X113Y34 INT_TILE_X 43 TILEPROP NULL_X113Y34 INT_TILE_Y 117 TILEPROP NULL_X113Y34 IS_CENTER_TILE 0 TILEPROP NULL_X113Y34 IS_DCM_TILE 0 TILEPROP NULL_X113Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y34 NAME NULL_X113Y34 TILEPROP NULL_X113Y34 NUM_ARCS 0 TILEPROP NULL_X113Y34 NUM_SITES 0 TILEPROP NULL_X113Y34 ROW 122 TILEPROP NULL_X113Y34 SLR_REGION_ID 0 TILEPROP NULL_X113Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y34 TILE_TYPE NULL TILEPROP NULL_X113Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y34 TILE_X 105670 TILEPROP NULL_X113Y34 TILE_Y -137848 TILEPROP NULL_X113Y34 TYPE NULL TILEPROP NULL_X113Y36 CLASS tile TILEPROP NULL_X113Y36 COLUMN 113 TILEPROP NULL_X113Y36 DEVICE_ID 0 TILEPROP NULL_X113Y36 FIRST_SITE_ID 12139 TILEPROP NULL_X113Y36 GRID_POINT_X 113 TILEPROP NULL_X113Y36 GRID_POINT_Y 120 TILEPROP NULL_X113Y36 INDEX 13913 TILEPROP NULL_X113Y36 INT_TILE_X 43 TILEPROP NULL_X113Y36 INT_TILE_Y 115 TILEPROP NULL_X113Y36 IS_CENTER_TILE 0 TILEPROP NULL_X113Y36 IS_DCM_TILE 0 TILEPROP NULL_X113Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y36 NAME NULL_X113Y36 TILEPROP NULL_X113Y36 NUM_ARCS 0 TILEPROP NULL_X113Y36 NUM_SITES 0 TILEPROP NULL_X113Y36 ROW 120 TILEPROP NULL_X113Y36 SLR_REGION_ID 0 TILEPROP NULL_X113Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y36 TILE_TYPE NULL TILEPROP NULL_X113Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y36 TILE_X 105670 TILEPROP NULL_X113Y36 TILE_Y -131448 TILEPROP NULL_X113Y36 TYPE NULL TILEPROP NULL_X113Y38 CLASS tile TILEPROP NULL_X113Y38 COLUMN 113 TILEPROP NULL_X113Y38 DEVICE_ID 0 TILEPROP NULL_X113Y38 FIRST_SITE_ID 11924 TILEPROP NULL_X113Y38 GRID_POINT_X 113 TILEPROP NULL_X113Y38 GRID_POINT_Y 118 TILEPROP NULL_X113Y38 INDEX 13683 TILEPROP NULL_X113Y38 INT_TILE_X 43 TILEPROP NULL_X113Y38 INT_TILE_Y 113 TILEPROP NULL_X113Y38 IS_CENTER_TILE 0 TILEPROP NULL_X113Y38 IS_DCM_TILE 0 TILEPROP NULL_X113Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y38 NAME NULL_X113Y38 TILEPROP NULL_X113Y38 NUM_ARCS 0 TILEPROP NULL_X113Y38 NUM_SITES 0 TILEPROP NULL_X113Y38 ROW 118 TILEPROP NULL_X113Y38 SLR_REGION_ID 0 TILEPROP NULL_X113Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y38 TILE_TYPE NULL TILEPROP NULL_X113Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y38 TILE_X 105670 TILEPROP NULL_X113Y38 TILE_Y -125048 TILEPROP NULL_X113Y38 TYPE NULL TILEPROP NULL_X113Y40 CLASS tile TILEPROP NULL_X113Y40 COLUMN 113 TILEPROP NULL_X113Y40 DEVICE_ID 0 TILEPROP NULL_X113Y40 FIRST_SITE_ID 11692 TILEPROP NULL_X113Y40 GRID_POINT_X 113 TILEPROP NULL_X113Y40 GRID_POINT_Y 116 TILEPROP NULL_X113Y40 INDEX 13453 TILEPROP NULL_X113Y40 INT_TILE_X 43 TILEPROP NULL_X113Y40 INT_TILE_Y 111 TILEPROP NULL_X113Y40 IS_CENTER_TILE 0 TILEPROP NULL_X113Y40 IS_DCM_TILE 0 TILEPROP NULL_X113Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y40 NAME NULL_X113Y40 TILEPROP NULL_X113Y40 NUM_ARCS 0 TILEPROP NULL_X113Y40 NUM_SITES 0 TILEPROP NULL_X113Y40 ROW 116 TILEPROP NULL_X113Y40 SLR_REGION_ID 0 TILEPROP NULL_X113Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y40 TILE_TYPE NULL TILEPROP NULL_X113Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y40 TILE_X 105670 TILEPROP NULL_X113Y40 TILE_Y -118648 TILEPROP NULL_X113Y40 TYPE NULL TILEPROP NULL_X113Y42 CLASS tile TILEPROP NULL_X113Y42 COLUMN 113 TILEPROP NULL_X113Y42 DEVICE_ID 0 TILEPROP NULL_X113Y42 FIRST_SITE_ID 11492 TILEPROP NULL_X113Y42 GRID_POINT_X 113 TILEPROP NULL_X113Y42 GRID_POINT_Y 114 TILEPROP NULL_X113Y42 INDEX 13223 TILEPROP NULL_X113Y42 INT_TILE_X 43 TILEPROP NULL_X113Y42 INT_TILE_Y 109 TILEPROP NULL_X113Y42 IS_CENTER_TILE 0 TILEPROP NULL_X113Y42 IS_DCM_TILE 0 TILEPROP NULL_X113Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y42 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y42 NAME NULL_X113Y42 TILEPROP NULL_X113Y42 NUM_ARCS 0 TILEPROP NULL_X113Y42 NUM_SITES 0 TILEPROP NULL_X113Y42 ROW 114 TILEPROP NULL_X113Y42 SLR_REGION_ID 0 TILEPROP NULL_X113Y42 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y42 TILE_TYPE NULL TILEPROP NULL_X113Y42 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y42 TILE_X 105670 TILEPROP NULL_X113Y42 TILE_Y -112248 TILEPROP NULL_X113Y42 TYPE NULL TILEPROP NULL_X113Y44 CLASS tile TILEPROP NULL_X113Y44 COLUMN 113 TILEPROP NULL_X113Y44 DEVICE_ID 0 TILEPROP NULL_X113Y44 FIRST_SITE_ID 11274 TILEPROP NULL_X113Y44 GRID_POINT_X 113 TILEPROP NULL_X113Y44 GRID_POINT_Y 112 TILEPROP NULL_X113Y44 INDEX 12993 TILEPROP NULL_X113Y44 INT_TILE_X 43 TILEPROP NULL_X113Y44 INT_TILE_Y 107 TILEPROP NULL_X113Y44 IS_CENTER_TILE 0 TILEPROP NULL_X113Y44 IS_DCM_TILE 0 TILEPROP NULL_X113Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y44 NAME NULL_X113Y44 TILEPROP NULL_X113Y44 NUM_ARCS 0 TILEPROP NULL_X113Y44 NUM_SITES 0 TILEPROP NULL_X113Y44 ROW 112 TILEPROP NULL_X113Y44 SLR_REGION_ID 0 TILEPROP NULL_X113Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y44 TILE_TYPE NULL TILEPROP NULL_X113Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y44 TILE_X 105670 TILEPROP NULL_X113Y44 TILE_Y -105848 TILEPROP NULL_X113Y44 TYPE NULL TILEPROP NULL_X113Y46 CLASS tile TILEPROP NULL_X113Y46 COLUMN 113 TILEPROP NULL_X113Y46 DEVICE_ID 0 TILEPROP NULL_X113Y46 FIRST_SITE_ID 11068 TILEPROP NULL_X113Y46 GRID_POINT_X 113 TILEPROP NULL_X113Y46 GRID_POINT_Y 110 TILEPROP NULL_X113Y46 INDEX 12763 TILEPROP NULL_X113Y46 INT_TILE_X 43 TILEPROP NULL_X113Y46 INT_TILE_Y 105 TILEPROP NULL_X113Y46 IS_CENTER_TILE 0 TILEPROP NULL_X113Y46 IS_DCM_TILE 0 TILEPROP NULL_X113Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y46 NAME NULL_X113Y46 TILEPROP NULL_X113Y46 NUM_ARCS 0 TILEPROP NULL_X113Y46 NUM_SITES 0 TILEPROP NULL_X113Y46 ROW 110 TILEPROP NULL_X113Y46 SLR_REGION_ID 0 TILEPROP NULL_X113Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y46 TILE_TYPE NULL TILEPROP NULL_X113Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y46 TILE_X 105670 TILEPROP NULL_X113Y46 TILE_Y -99448 TILEPROP NULL_X113Y46 TYPE NULL TILEPROP NULL_X113Y48 CLASS tile TILEPROP NULL_X113Y48 COLUMN 113 TILEPROP NULL_X113Y48 DEVICE_ID 0 TILEPROP NULL_X113Y48 FIRST_SITE_ID 10852 TILEPROP NULL_X113Y48 GRID_POINT_X 113 TILEPROP NULL_X113Y48 GRID_POINT_Y 108 TILEPROP NULL_X113Y48 INDEX 12533 TILEPROP NULL_X113Y48 INT_TILE_X 43 TILEPROP NULL_X113Y48 INT_TILE_Y 103 TILEPROP NULL_X113Y48 IS_CENTER_TILE 0 TILEPROP NULL_X113Y48 IS_DCM_TILE 0 TILEPROP NULL_X113Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y48 NAME NULL_X113Y48 TILEPROP NULL_X113Y48 NUM_ARCS 0 TILEPROP NULL_X113Y48 NUM_SITES 0 TILEPROP NULL_X113Y48 ROW 108 TILEPROP NULL_X113Y48 SLR_REGION_ID 0 TILEPROP NULL_X113Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y48 TILE_TYPE NULL TILEPROP NULL_X113Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y48 TILE_X 105670 TILEPROP NULL_X113Y48 TILE_Y -93048 TILEPROP NULL_X113Y48 TYPE NULL TILEPROP NULL_X113Y50 CLASS tile TILEPROP NULL_X113Y50 COLUMN 113 TILEPROP NULL_X113Y50 DEVICE_ID 0 TILEPROP NULL_X113Y50 FIRST_SITE_ID 10636 TILEPROP NULL_X113Y50 GRID_POINT_X 113 TILEPROP NULL_X113Y50 GRID_POINT_Y 106 TILEPROP NULL_X113Y50 INDEX 12303 TILEPROP NULL_X113Y50 INT_TILE_X 43 TILEPROP NULL_X113Y50 INT_TILE_Y 101 TILEPROP NULL_X113Y50 IS_CENTER_TILE 0 TILEPROP NULL_X113Y50 IS_DCM_TILE 0 TILEPROP NULL_X113Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y50 NAME NULL_X113Y50 TILEPROP NULL_X113Y50 NUM_ARCS 0 TILEPROP NULL_X113Y50 NUM_SITES 0 TILEPROP NULL_X113Y50 ROW 106 TILEPROP NULL_X113Y50 SLR_REGION_ID 0 TILEPROP NULL_X113Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y50 TILE_TYPE NULL TILEPROP NULL_X113Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y50 TILE_X 105670 TILEPROP NULL_X113Y50 TILE_Y -86648 TILEPROP NULL_X113Y50 TYPE NULL TILEPROP NULL_X113Y52 CLASS tile TILEPROP NULL_X113Y52 COLUMN 113 TILEPROP NULL_X113Y52 DEVICE_ID 0 TILEPROP NULL_X113Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X113Y52 GRID_POINT_X 113 TILEPROP NULL_X113Y52 GRID_POINT_Y 104 TILEPROP NULL_X113Y52 INDEX 12073 TILEPROP NULL_X113Y52 INT_TILE_X 43 TILEPROP NULL_X113Y52 INT_TILE_Y 99 TILEPROP NULL_X113Y52 IS_CENTER_TILE 0 TILEPROP NULL_X113Y52 IS_DCM_TILE 0 TILEPROP NULL_X113Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y52 NAME NULL_X113Y52 TILEPROP NULL_X113Y52 NUM_ARCS 0 TILEPROP NULL_X113Y52 NUM_SITES 0 TILEPROP NULL_X113Y52 ROW 104 TILEPROP NULL_X113Y52 SLR_REGION_ID 0 TILEPROP NULL_X113Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y52 TILE_TYPE NULL TILEPROP NULL_X113Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y52 TILE_X 105670 TILEPROP NULL_X113Y52 TILE_Y -80248 TILEPROP NULL_X113Y52 TYPE NULL TILEPROP NULL_X113Y55 CLASS tile TILEPROP NULL_X113Y55 COLUMN 113 TILEPROP NULL_X113Y55 DEVICE_ID 0 TILEPROP NULL_X113Y55 FIRST_SITE_ID 10205 TILEPROP NULL_X113Y55 GRID_POINT_X 113 TILEPROP NULL_X113Y55 GRID_POINT_Y 101 TILEPROP NULL_X113Y55 INDEX 11728 TILEPROP NULL_X113Y55 INT_TILE_X 43 TILEPROP NULL_X113Y55 INT_TILE_Y 97 TILEPROP NULL_X113Y55 IS_CENTER_TILE 0 TILEPROP NULL_X113Y55 IS_DCM_TILE 0 TILEPROP NULL_X113Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y55 NAME NULL_X113Y55 TILEPROP NULL_X113Y55 NUM_ARCS 0 TILEPROP NULL_X113Y55 NUM_SITES 0 TILEPROP NULL_X113Y55 ROW 101 TILEPROP NULL_X113Y55 SLR_REGION_ID 0 TILEPROP NULL_X113Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y55 TILE_TYPE NULL TILEPROP NULL_X113Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y55 TILE_X 105670 TILEPROP NULL_X113Y55 TILE_Y -73600 TILEPROP NULL_X113Y55 TYPE NULL TILEPROP NULL_X113Y57 CLASS tile TILEPROP NULL_X113Y57 COLUMN 113 TILEPROP NULL_X113Y57 DEVICE_ID 0 TILEPROP NULL_X113Y57 FIRST_SITE_ID 10005 TILEPROP NULL_X113Y57 GRID_POINT_X 113 TILEPROP NULL_X113Y57 GRID_POINT_Y 99 TILEPROP NULL_X113Y57 INDEX 11498 TILEPROP NULL_X113Y57 INT_TILE_X 43 TILEPROP NULL_X113Y57 INT_TILE_Y 95 TILEPROP NULL_X113Y57 IS_CENTER_TILE 0 TILEPROP NULL_X113Y57 IS_DCM_TILE 0 TILEPROP NULL_X113Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y57 NAME NULL_X113Y57 TILEPROP NULL_X113Y57 NUM_ARCS 0 TILEPROP NULL_X113Y57 NUM_SITES 0 TILEPROP NULL_X113Y57 ROW 99 TILEPROP NULL_X113Y57 SLR_REGION_ID 0 TILEPROP NULL_X113Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y57 TILE_TYPE NULL TILEPROP NULL_X113Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y57 TILE_X 105670 TILEPROP NULL_X113Y57 TILE_Y -67200 TILEPROP NULL_X113Y57 TYPE NULL TILEPROP NULL_X113Y59 CLASS tile TILEPROP NULL_X113Y59 COLUMN 113 TILEPROP NULL_X113Y59 DEVICE_ID 0 TILEPROP NULL_X113Y59 FIRST_SITE_ID 9790 TILEPROP NULL_X113Y59 GRID_POINT_X 113 TILEPROP NULL_X113Y59 GRID_POINT_Y 97 TILEPROP NULL_X113Y59 INDEX 11268 TILEPROP NULL_X113Y59 INT_TILE_X 43 TILEPROP NULL_X113Y59 INT_TILE_Y 93 TILEPROP NULL_X113Y59 IS_CENTER_TILE 0 TILEPROP NULL_X113Y59 IS_DCM_TILE 0 TILEPROP NULL_X113Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y59 NAME NULL_X113Y59 TILEPROP NULL_X113Y59 NUM_ARCS 0 TILEPROP NULL_X113Y59 NUM_SITES 0 TILEPROP NULL_X113Y59 ROW 97 TILEPROP NULL_X113Y59 SLR_REGION_ID 0 TILEPROP NULL_X113Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y59 TILE_TYPE NULL TILEPROP NULL_X113Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y59 TILE_X 105670 TILEPROP NULL_X113Y59 TILE_Y -60800 TILEPROP NULL_X113Y59 TYPE NULL TILEPROP NULL_X113Y61 CLASS tile TILEPROP NULL_X113Y61 COLUMN 113 TILEPROP NULL_X113Y61 DEVICE_ID 0 TILEPROP NULL_X113Y61 FIRST_SITE_ID 9586 TILEPROP NULL_X113Y61 GRID_POINT_X 113 TILEPROP NULL_X113Y61 GRID_POINT_Y 95 TILEPROP NULL_X113Y61 INDEX 11038 TILEPROP NULL_X113Y61 INT_TILE_X 43 TILEPROP NULL_X113Y61 INT_TILE_Y 91 TILEPROP NULL_X113Y61 IS_CENTER_TILE 0 TILEPROP NULL_X113Y61 IS_DCM_TILE 0 TILEPROP NULL_X113Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y61 NAME NULL_X113Y61 TILEPROP NULL_X113Y61 NUM_ARCS 0 TILEPROP NULL_X113Y61 NUM_SITES 0 TILEPROP NULL_X113Y61 ROW 95 TILEPROP NULL_X113Y61 SLR_REGION_ID 0 TILEPROP NULL_X113Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y61 TILE_TYPE NULL TILEPROP NULL_X113Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y61 TILE_X 105670 TILEPROP NULL_X113Y61 TILE_Y -54400 TILEPROP NULL_X113Y61 TYPE NULL TILEPROP NULL_X113Y63 CLASS tile TILEPROP NULL_X113Y63 COLUMN 113 TILEPROP NULL_X113Y63 DEVICE_ID 0 TILEPROP NULL_X113Y63 FIRST_SITE_ID 9384 TILEPROP NULL_X113Y63 GRID_POINT_X 113 TILEPROP NULL_X113Y63 GRID_POINT_Y 93 TILEPROP NULL_X113Y63 INDEX 10808 TILEPROP NULL_X113Y63 INT_TILE_X 43 TILEPROP NULL_X113Y63 INT_TILE_Y 89 TILEPROP NULL_X113Y63 IS_CENTER_TILE 0 TILEPROP NULL_X113Y63 IS_DCM_TILE 0 TILEPROP NULL_X113Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y63 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y63 NAME NULL_X113Y63 TILEPROP NULL_X113Y63 NUM_ARCS 0 TILEPROP NULL_X113Y63 NUM_SITES 0 TILEPROP NULL_X113Y63 ROW 93 TILEPROP NULL_X113Y63 SLR_REGION_ID 0 TILEPROP NULL_X113Y63 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y63 TILE_TYPE NULL TILEPROP NULL_X113Y63 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y63 TILE_X 105670 TILEPROP NULL_X113Y63 TILE_Y -48000 TILEPROP NULL_X113Y63 TYPE NULL TILEPROP NULL_X113Y65 CLASS tile TILEPROP NULL_X113Y65 COLUMN 113 TILEPROP NULL_X113Y65 DEVICE_ID 0 TILEPROP NULL_X113Y65 FIRST_SITE_ID 9169 TILEPROP NULL_X113Y65 GRID_POINT_X 113 TILEPROP NULL_X113Y65 GRID_POINT_Y 91 TILEPROP NULL_X113Y65 INDEX 10578 TILEPROP NULL_X113Y65 INT_TILE_X 43 TILEPROP NULL_X113Y65 INT_TILE_Y 87 TILEPROP NULL_X113Y65 IS_CENTER_TILE 0 TILEPROP NULL_X113Y65 IS_DCM_TILE 0 TILEPROP NULL_X113Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y65 NAME NULL_X113Y65 TILEPROP NULL_X113Y65 NUM_ARCS 0 TILEPROP NULL_X113Y65 NUM_SITES 0 TILEPROP NULL_X113Y65 ROW 91 TILEPROP NULL_X113Y65 SLR_REGION_ID 0 TILEPROP NULL_X113Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y65 TILE_TYPE NULL TILEPROP NULL_X113Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y65 TILE_X 105670 TILEPROP NULL_X113Y65 TILE_Y -41600 TILEPROP NULL_X113Y65 TYPE NULL TILEPROP NULL_X113Y67 CLASS tile TILEPROP NULL_X113Y67 COLUMN 113 TILEPROP NULL_X113Y67 DEVICE_ID 0 TILEPROP NULL_X113Y67 FIRST_SITE_ID 8937 TILEPROP NULL_X113Y67 GRID_POINT_X 113 TILEPROP NULL_X113Y67 GRID_POINT_Y 89 TILEPROP NULL_X113Y67 INDEX 10348 TILEPROP NULL_X113Y67 INT_TILE_X 43 TILEPROP NULL_X113Y67 INT_TILE_Y 85 TILEPROP NULL_X113Y67 IS_CENTER_TILE 0 TILEPROP NULL_X113Y67 IS_DCM_TILE 0 TILEPROP NULL_X113Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y67 NAME NULL_X113Y67 TILEPROP NULL_X113Y67 NUM_ARCS 0 TILEPROP NULL_X113Y67 NUM_SITES 0 TILEPROP NULL_X113Y67 ROW 89 TILEPROP NULL_X113Y67 SLR_REGION_ID 0 TILEPROP NULL_X113Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y67 TILE_TYPE NULL TILEPROP NULL_X113Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y67 TILE_X 105670 TILEPROP NULL_X113Y67 TILE_Y -35200 TILEPROP NULL_X113Y67 TYPE NULL TILEPROP NULL_X113Y69 CLASS tile TILEPROP NULL_X113Y69 COLUMN 113 TILEPROP NULL_X113Y69 DEVICE_ID 0 TILEPROP NULL_X113Y69 FIRST_SITE_ID 8722 TILEPROP NULL_X113Y69 GRID_POINT_X 113 TILEPROP NULL_X113Y69 GRID_POINT_Y 87 TILEPROP NULL_X113Y69 INDEX 10118 TILEPROP NULL_X113Y69 INT_TILE_X 43 TILEPROP NULL_X113Y69 INT_TILE_Y 83 TILEPROP NULL_X113Y69 IS_CENTER_TILE 0 TILEPROP NULL_X113Y69 IS_DCM_TILE 0 TILEPROP NULL_X113Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y69 NAME NULL_X113Y69 TILEPROP NULL_X113Y69 NUM_ARCS 0 TILEPROP NULL_X113Y69 NUM_SITES 0 TILEPROP NULL_X113Y69 ROW 87 TILEPROP NULL_X113Y69 SLR_REGION_ID 0 TILEPROP NULL_X113Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y69 TILE_TYPE NULL TILEPROP NULL_X113Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y69 TILE_X 105670 TILEPROP NULL_X113Y69 TILE_Y -28800 TILEPROP NULL_X113Y69 TYPE NULL TILEPROP NULL_X113Y71 CLASS tile TILEPROP NULL_X113Y71 COLUMN 113 TILEPROP NULL_X113Y71 DEVICE_ID 0 TILEPROP NULL_X113Y71 FIRST_SITE_ID 8514 TILEPROP NULL_X113Y71 GRID_POINT_X 113 TILEPROP NULL_X113Y71 GRID_POINT_Y 85 TILEPROP NULL_X113Y71 INDEX 9888 TILEPROP NULL_X113Y71 INT_TILE_X 43 TILEPROP NULL_X113Y71 INT_TILE_Y 81 TILEPROP NULL_X113Y71 IS_CENTER_TILE 0 TILEPROP NULL_X113Y71 IS_DCM_TILE 0 TILEPROP NULL_X113Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y71 NAME NULL_X113Y71 TILEPROP NULL_X113Y71 NUM_ARCS 0 TILEPROP NULL_X113Y71 NUM_SITES 0 TILEPROP NULL_X113Y71 ROW 85 TILEPROP NULL_X113Y71 SLR_REGION_ID 0 TILEPROP NULL_X113Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y71 TILE_TYPE NULL TILEPROP NULL_X113Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y71 TILE_X 105670 TILEPROP NULL_X113Y71 TILE_Y -22400 TILEPROP NULL_X113Y71 TYPE NULL TILEPROP NULL_X113Y73 CLASS tile TILEPROP NULL_X113Y73 COLUMN 113 TILEPROP NULL_X113Y73 DEVICE_ID 0 TILEPROP NULL_X113Y73 FIRST_SITE_ID 8310 TILEPROP NULL_X113Y73 GRID_POINT_X 113 TILEPROP NULL_X113Y73 GRID_POINT_Y 83 TILEPROP NULL_X113Y73 INDEX 9658 TILEPROP NULL_X113Y73 INT_TILE_X 43 TILEPROP NULL_X113Y73 INT_TILE_Y 79 TILEPROP NULL_X113Y73 IS_CENTER_TILE 0 TILEPROP NULL_X113Y73 IS_DCM_TILE 0 TILEPROP NULL_X113Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y73 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y73 NAME NULL_X113Y73 TILEPROP NULL_X113Y73 NUM_ARCS 0 TILEPROP NULL_X113Y73 NUM_SITES 0 TILEPROP NULL_X113Y73 ROW 83 TILEPROP NULL_X113Y73 SLR_REGION_ID 0 TILEPROP NULL_X113Y73 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y73 TILE_TYPE NULL TILEPROP NULL_X113Y73 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y73 TILE_X 105670 TILEPROP NULL_X113Y73 TILE_Y -16000 TILEPROP NULL_X113Y73 TYPE NULL TILEPROP NULL_X113Y75 CLASS tile TILEPROP NULL_X113Y75 COLUMN 113 TILEPROP NULL_X113Y75 DEVICE_ID 0 TILEPROP NULL_X113Y75 FIRST_SITE_ID 8095 TILEPROP NULL_X113Y75 GRID_POINT_X 113 TILEPROP NULL_X113Y75 GRID_POINT_Y 81 TILEPROP NULL_X113Y75 INDEX 9428 TILEPROP NULL_X113Y75 INT_TILE_X 43 TILEPROP NULL_X113Y75 INT_TILE_Y 77 TILEPROP NULL_X113Y75 IS_CENTER_TILE 0 TILEPROP NULL_X113Y75 IS_DCM_TILE 0 TILEPROP NULL_X113Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y75 NAME NULL_X113Y75 TILEPROP NULL_X113Y75 NUM_ARCS 0 TILEPROP NULL_X113Y75 NUM_SITES 0 TILEPROP NULL_X113Y75 ROW 81 TILEPROP NULL_X113Y75 SLR_REGION_ID 0 TILEPROP NULL_X113Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y75 TILE_TYPE NULL TILEPROP NULL_X113Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y75 TILE_X 105670 TILEPROP NULL_X113Y75 TILE_Y -9600 TILEPROP NULL_X113Y75 TYPE NULL TILEPROP NULL_X113Y77 CLASS tile TILEPROP NULL_X113Y77 COLUMN 113 TILEPROP NULL_X113Y77 DEVICE_ID 0 TILEPROP NULL_X113Y77 FIRST_SITE_ID 7895 TILEPROP NULL_X113Y77 GRID_POINT_X 113 TILEPROP NULL_X113Y77 GRID_POINT_Y 79 TILEPROP NULL_X113Y77 INDEX 9198 TILEPROP NULL_X113Y77 INT_TILE_X 43 TILEPROP NULL_X113Y77 INT_TILE_Y 75 TILEPROP NULL_X113Y77 IS_CENTER_TILE 0 TILEPROP NULL_X113Y77 IS_DCM_TILE 0 TILEPROP NULL_X113Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y77 NAME NULL_X113Y77 TILEPROP NULL_X113Y77 NUM_ARCS 0 TILEPROP NULL_X113Y77 NUM_SITES 0 TILEPROP NULL_X113Y77 ROW 79 TILEPROP NULL_X113Y77 SLR_REGION_ID 0 TILEPROP NULL_X113Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y77 TILE_TYPE NULL TILEPROP NULL_X113Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y77 TILE_X 105670 TILEPROP NULL_X113Y77 TILE_Y -3200 TILEPROP NULL_X113Y77 TYPE NULL TILEPROP NULL_X113Y80 CLASS tile TILEPROP NULL_X113Y80 COLUMN 113 TILEPROP NULL_X113Y80 DEVICE_ID 0 TILEPROP NULL_X113Y80 FIRST_SITE_ID 7594 TILEPROP NULL_X113Y80 GRID_POINT_X 113 TILEPROP NULL_X113Y80 GRID_POINT_Y 76 TILEPROP NULL_X113Y80 INDEX 8853 TILEPROP NULL_X113Y80 INT_TILE_X 43 TILEPROP NULL_X113Y80 INT_TILE_Y 73 TILEPROP NULL_X113Y80 IS_CENTER_TILE 0 TILEPROP NULL_X113Y80 IS_DCM_TILE 0 TILEPROP NULL_X113Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y80 NAME NULL_X113Y80 TILEPROP NULL_X113Y80 NUM_ARCS 0 TILEPROP NULL_X113Y80 NUM_SITES 0 TILEPROP NULL_X113Y80 ROW 76 TILEPROP NULL_X113Y80 SLR_REGION_ID 0 TILEPROP NULL_X113Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y80 TILE_TYPE NULL TILEPROP NULL_X113Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y80 TILE_X 105670 TILEPROP NULL_X113Y80 TILE_Y 4224 TILEPROP NULL_X113Y80 TYPE NULL TILEPROP NULL_X113Y82 CLASS tile TILEPROP NULL_X113Y82 COLUMN 113 TILEPROP NULL_X113Y82 DEVICE_ID 0 TILEPROP NULL_X113Y82 FIRST_SITE_ID 7394 TILEPROP NULL_X113Y82 GRID_POINT_X 113 TILEPROP NULL_X113Y82 GRID_POINT_Y 74 TILEPROP NULL_X113Y82 INDEX 8623 TILEPROP NULL_X113Y82 INT_TILE_X 43 TILEPROP NULL_X113Y82 INT_TILE_Y 71 TILEPROP NULL_X113Y82 IS_CENTER_TILE 0 TILEPROP NULL_X113Y82 IS_DCM_TILE 0 TILEPROP NULL_X113Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y82 NAME NULL_X113Y82 TILEPROP NULL_X113Y82 NUM_ARCS 0 TILEPROP NULL_X113Y82 NUM_SITES 0 TILEPROP NULL_X113Y82 ROW 74 TILEPROP NULL_X113Y82 SLR_REGION_ID 0 TILEPROP NULL_X113Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y82 TILE_TYPE NULL TILEPROP NULL_X113Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y82 TILE_X 105670 TILEPROP NULL_X113Y82 TILE_Y 10624 TILEPROP NULL_X113Y82 TYPE NULL TILEPROP NULL_X113Y84 CLASS tile TILEPROP NULL_X113Y84 COLUMN 113 TILEPROP NULL_X113Y84 DEVICE_ID 0 TILEPROP NULL_X113Y84 FIRST_SITE_ID 7182 TILEPROP NULL_X113Y84 GRID_POINT_X 113 TILEPROP NULL_X113Y84 GRID_POINT_Y 72 TILEPROP NULL_X113Y84 INDEX 8393 TILEPROP NULL_X113Y84 INT_TILE_X 43 TILEPROP NULL_X113Y84 INT_TILE_Y 69 TILEPROP NULL_X113Y84 IS_CENTER_TILE 0 TILEPROP NULL_X113Y84 IS_DCM_TILE 0 TILEPROP NULL_X113Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y84 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y84 NAME NULL_X113Y84 TILEPROP NULL_X113Y84 NUM_ARCS 0 TILEPROP NULL_X113Y84 NUM_SITES 0 TILEPROP NULL_X113Y84 ROW 72 TILEPROP NULL_X113Y84 SLR_REGION_ID 0 TILEPROP NULL_X113Y84 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y84 TILE_TYPE NULL TILEPROP NULL_X113Y84 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y84 TILE_X 105670 TILEPROP NULL_X113Y84 TILE_Y 17024 TILEPROP NULL_X113Y84 TYPE NULL TILEPROP NULL_X113Y86 CLASS tile TILEPROP NULL_X113Y86 COLUMN 113 TILEPROP NULL_X113Y86 DEVICE_ID 0 TILEPROP NULL_X113Y86 FIRST_SITE_ID 6963 TILEPROP NULL_X113Y86 GRID_POINT_X 113 TILEPROP NULL_X113Y86 GRID_POINT_Y 70 TILEPROP NULL_X113Y86 INDEX 8163 TILEPROP NULL_X113Y86 INT_TILE_X 43 TILEPROP NULL_X113Y86 INT_TILE_Y 67 TILEPROP NULL_X113Y86 IS_CENTER_TILE 0 TILEPROP NULL_X113Y86 IS_DCM_TILE 0 TILEPROP NULL_X113Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y86 NAME NULL_X113Y86 TILEPROP NULL_X113Y86 NUM_ARCS 0 TILEPROP NULL_X113Y86 NUM_SITES 0 TILEPROP NULL_X113Y86 ROW 70 TILEPROP NULL_X113Y86 SLR_REGION_ID 0 TILEPROP NULL_X113Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y86 TILE_TYPE NULL TILEPROP NULL_X113Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y86 TILE_X 105670 TILEPROP NULL_X113Y86 TILE_Y 23424 TILEPROP NULL_X113Y86 TYPE NULL TILEPROP NULL_X113Y88 CLASS tile TILEPROP NULL_X113Y88 COLUMN 113 TILEPROP NULL_X113Y88 DEVICE_ID 0 TILEPROP NULL_X113Y88 FIRST_SITE_ID 6763 TILEPROP NULL_X113Y88 GRID_POINT_X 113 TILEPROP NULL_X113Y88 GRID_POINT_Y 68 TILEPROP NULL_X113Y88 INDEX 7933 TILEPROP NULL_X113Y88 INT_TILE_X 43 TILEPROP NULL_X113Y88 INT_TILE_Y 65 TILEPROP NULL_X113Y88 IS_CENTER_TILE 0 TILEPROP NULL_X113Y88 IS_DCM_TILE 0 TILEPROP NULL_X113Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y88 NAME NULL_X113Y88 TILEPROP NULL_X113Y88 NUM_ARCS 0 TILEPROP NULL_X113Y88 NUM_SITES 0 TILEPROP NULL_X113Y88 ROW 68 TILEPROP NULL_X113Y88 SLR_REGION_ID 0 TILEPROP NULL_X113Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y88 TILE_TYPE NULL TILEPROP NULL_X113Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y88 TILE_X 105670 TILEPROP NULL_X113Y88 TILE_Y 29824 TILEPROP NULL_X113Y88 TYPE NULL TILEPROP NULL_X113Y90 CLASS tile TILEPROP NULL_X113Y90 COLUMN 113 TILEPROP NULL_X113Y90 DEVICE_ID 0 TILEPROP NULL_X113Y90 FIRST_SITE_ID 6548 TILEPROP NULL_X113Y90 GRID_POINT_X 113 TILEPROP NULL_X113Y90 GRID_POINT_Y 66 TILEPROP NULL_X113Y90 INDEX 7703 TILEPROP NULL_X113Y90 INT_TILE_X 43 TILEPROP NULL_X113Y90 INT_TILE_Y 63 TILEPROP NULL_X113Y90 IS_CENTER_TILE 0 TILEPROP NULL_X113Y90 IS_DCM_TILE 0 TILEPROP NULL_X113Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y90 NAME NULL_X113Y90 TILEPROP NULL_X113Y90 NUM_ARCS 0 TILEPROP NULL_X113Y90 NUM_SITES 0 TILEPROP NULL_X113Y90 ROW 66 TILEPROP NULL_X113Y90 SLR_REGION_ID 0 TILEPROP NULL_X113Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y90 TILE_TYPE NULL TILEPROP NULL_X113Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y90 TILE_X 105670 TILEPROP NULL_X113Y90 TILE_Y 36224 TILEPROP NULL_X113Y90 TYPE NULL TILEPROP NULL_X113Y92 CLASS tile TILEPROP NULL_X113Y92 COLUMN 113 TILEPROP NULL_X113Y92 DEVICE_ID 0 TILEPROP NULL_X113Y92 FIRST_SITE_ID 6316 TILEPROP NULL_X113Y92 GRID_POINT_X 113 TILEPROP NULL_X113Y92 GRID_POINT_Y 64 TILEPROP NULL_X113Y92 INDEX 7473 TILEPROP NULL_X113Y92 INT_TILE_X 43 TILEPROP NULL_X113Y92 INT_TILE_Y 61 TILEPROP NULL_X113Y92 IS_CENTER_TILE 0 TILEPROP NULL_X113Y92 IS_DCM_TILE 0 TILEPROP NULL_X113Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y92 NAME NULL_X113Y92 TILEPROP NULL_X113Y92 NUM_ARCS 0 TILEPROP NULL_X113Y92 NUM_SITES 0 TILEPROP NULL_X113Y92 ROW 64 TILEPROP NULL_X113Y92 SLR_REGION_ID 0 TILEPROP NULL_X113Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y92 TILE_TYPE NULL TILEPROP NULL_X113Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y92 TILE_X 105670 TILEPROP NULL_X113Y92 TILE_Y 42624 TILEPROP NULL_X113Y92 TYPE NULL TILEPROP NULL_X113Y94 CLASS tile TILEPROP NULL_X113Y94 COLUMN 113 TILEPROP NULL_X113Y94 DEVICE_ID 0 TILEPROP NULL_X113Y94 FIRST_SITE_ID 6116 TILEPROP NULL_X113Y94 GRID_POINT_X 113 TILEPROP NULL_X113Y94 GRID_POINT_Y 62 TILEPROP NULL_X113Y94 INDEX 7243 TILEPROP NULL_X113Y94 INT_TILE_X 43 TILEPROP NULL_X113Y94 INT_TILE_Y 59 TILEPROP NULL_X113Y94 IS_CENTER_TILE 0 TILEPROP NULL_X113Y94 IS_DCM_TILE 0 TILEPROP NULL_X113Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y94 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y94 NAME NULL_X113Y94 TILEPROP NULL_X113Y94 NUM_ARCS 0 TILEPROP NULL_X113Y94 NUM_SITES 0 TILEPROP NULL_X113Y94 ROW 62 TILEPROP NULL_X113Y94 SLR_REGION_ID 0 TILEPROP NULL_X113Y94 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y94 TILE_TYPE NULL TILEPROP NULL_X113Y94 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y94 TILE_X 105670 TILEPROP NULL_X113Y94 TILE_Y 49024 TILEPROP NULL_X113Y94 TYPE NULL TILEPROP NULL_X113Y96 CLASS tile TILEPROP NULL_X113Y96 COLUMN 113 TILEPROP NULL_X113Y96 DEVICE_ID 0 TILEPROP NULL_X113Y96 FIRST_SITE_ID 5901 TILEPROP NULL_X113Y96 GRID_POINT_X 113 TILEPROP NULL_X113Y96 GRID_POINT_Y 60 TILEPROP NULL_X113Y96 INDEX 7013 TILEPROP NULL_X113Y96 INT_TILE_X 43 TILEPROP NULL_X113Y96 INT_TILE_Y 57 TILEPROP NULL_X113Y96 IS_CENTER_TILE 0 TILEPROP NULL_X113Y96 IS_DCM_TILE 0 TILEPROP NULL_X113Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y96 NAME NULL_X113Y96 TILEPROP NULL_X113Y96 NUM_ARCS 0 TILEPROP NULL_X113Y96 NUM_SITES 0 TILEPROP NULL_X113Y96 ROW 60 TILEPROP NULL_X113Y96 SLR_REGION_ID 0 TILEPROP NULL_X113Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y96 TILE_TYPE NULL TILEPROP NULL_X113Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y96 TILE_X 105670 TILEPROP NULL_X113Y96 TILE_Y 55424 TILEPROP NULL_X113Y96 TYPE NULL TILEPROP NULL_X113Y98 CLASS tile TILEPROP NULL_X113Y98 COLUMN 113 TILEPROP NULL_X113Y98 DEVICE_ID 0 TILEPROP NULL_X113Y98 FIRST_SITE_ID 5695 TILEPROP NULL_X113Y98 GRID_POINT_X 113 TILEPROP NULL_X113Y98 GRID_POINT_Y 58 TILEPROP NULL_X113Y98 INDEX 6783 TILEPROP NULL_X113Y98 INT_TILE_X 43 TILEPROP NULL_X113Y98 INT_TILE_Y 55 TILEPROP NULL_X113Y98 IS_CENTER_TILE 0 TILEPROP NULL_X113Y98 IS_DCM_TILE 0 TILEPROP NULL_X113Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y98 NAME NULL_X113Y98 TILEPROP NULL_X113Y98 NUM_ARCS 0 TILEPROP NULL_X113Y98 NUM_SITES 0 TILEPROP NULL_X113Y98 ROW 58 TILEPROP NULL_X113Y98 SLR_REGION_ID 0 TILEPROP NULL_X113Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y98 TILE_TYPE NULL TILEPROP NULL_X113Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y98 TILE_X 105670 TILEPROP NULL_X113Y98 TILE_Y 61824 TILEPROP NULL_X113Y98 TYPE NULL TILEPROP NULL_X113Y100 CLASS tile TILEPROP NULL_X113Y100 COLUMN 113 TILEPROP NULL_X113Y100 DEVICE_ID 0 TILEPROP NULL_X113Y100 FIRST_SITE_ID 5480 TILEPROP NULL_X113Y100 GRID_POINT_X 113 TILEPROP NULL_X113Y100 GRID_POINT_Y 56 TILEPROP NULL_X113Y100 INDEX 6553 TILEPROP NULL_X113Y100 INT_TILE_X 43 TILEPROP NULL_X113Y100 INT_TILE_Y 53 TILEPROP NULL_X113Y100 IS_CENTER_TILE 0 TILEPROP NULL_X113Y100 IS_DCM_TILE 0 TILEPROP NULL_X113Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y100 NAME NULL_X113Y100 TILEPROP NULL_X113Y100 NUM_ARCS 0 TILEPROP NULL_X113Y100 NUM_SITES 0 TILEPROP NULL_X113Y100 ROW 56 TILEPROP NULL_X113Y100 SLR_REGION_ID 0 TILEPROP NULL_X113Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y100 TILE_TYPE NULL TILEPROP NULL_X113Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y100 TILE_X 105670 TILEPROP NULL_X113Y100 TILE_Y 68224 TILEPROP NULL_X113Y100 TYPE NULL TILEPROP NULL_X113Y102 CLASS tile TILEPROP NULL_X113Y102 COLUMN 113 TILEPROP NULL_X113Y102 DEVICE_ID 0 TILEPROP NULL_X113Y102 FIRST_SITE_ID 5280 TILEPROP NULL_X113Y102 GRID_POINT_X 113 TILEPROP NULL_X113Y102 GRID_POINT_Y 54 TILEPROP NULL_X113Y102 INDEX 6323 TILEPROP NULL_X113Y102 INT_TILE_X 43 TILEPROP NULL_X113Y102 INT_TILE_Y 51 TILEPROP NULL_X113Y102 IS_CENTER_TILE 0 TILEPROP NULL_X113Y102 IS_DCM_TILE 0 TILEPROP NULL_X113Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y102 NAME NULL_X113Y102 TILEPROP NULL_X113Y102 NUM_ARCS 0 TILEPROP NULL_X113Y102 NUM_SITES 0 TILEPROP NULL_X113Y102 ROW 54 TILEPROP NULL_X113Y102 SLR_REGION_ID 0 TILEPROP NULL_X113Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y102 TILE_TYPE NULL TILEPROP NULL_X113Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y102 TILE_X 105670 TILEPROP NULL_X113Y102 TILE_Y 74624 TILEPROP NULL_X113Y102 TYPE NULL TILEPROP NULL_X113Y104 CLASS tile TILEPROP NULL_X113Y104 COLUMN 113 TILEPROP NULL_X113Y104 DEVICE_ID 0 TILEPROP NULL_X113Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X113Y104 GRID_POINT_X 113 TILEPROP NULL_X113Y104 GRID_POINT_Y 52 TILEPROP NULL_X113Y104 INDEX 6093 TILEPROP NULL_X113Y104 INT_TILE_X -1 TILEPROP NULL_X113Y104 INT_TILE_Y -1 TILEPROP NULL_X113Y104 IS_CENTER_TILE 0 TILEPROP NULL_X113Y104 IS_DCM_TILE 0 TILEPROP NULL_X113Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y104 NAME NULL_X113Y104 TILEPROP NULL_X113Y104 NUM_ARCS 0 TILEPROP NULL_X113Y104 NUM_SITES 0 TILEPROP NULL_X113Y104 ROW 52 TILEPROP NULL_X113Y104 SLR_REGION_ID 0 TILEPROP NULL_X113Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y104 TILE_TYPE NULL TILEPROP NULL_X113Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y104 TILE_X 105670 TILEPROP NULL_X113Y104 TILE_Y 81024 TILEPROP NULL_X113Y104 TYPE NULL TILEPROP NULL_X113Y105 CLASS tile TILEPROP NULL_X113Y105 COLUMN 113 TILEPROP NULL_X113Y105 DEVICE_ID 0 TILEPROP NULL_X113Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X113Y105 GRID_POINT_X 113 TILEPROP NULL_X113Y105 GRID_POINT_Y 51 TILEPROP NULL_X113Y105 INDEX 5978 TILEPROP NULL_X113Y105 INT_TILE_X -1 TILEPROP NULL_X113Y105 INT_TILE_Y -1 TILEPROP NULL_X113Y105 IS_CENTER_TILE 0 TILEPROP NULL_X113Y105 IS_DCM_TILE 0 TILEPROP NULL_X113Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y105 NAME NULL_X113Y105 TILEPROP NULL_X113Y105 NUM_ARCS 0 TILEPROP NULL_X113Y105 NUM_SITES 0 TILEPROP NULL_X113Y105 ROW 51 TILEPROP NULL_X113Y105 SLR_REGION_ID 0 TILEPROP NULL_X113Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y105 TILE_TYPE NULL TILEPROP NULL_X113Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y105 TILE_X 105670 TILEPROP NULL_X113Y105 TILE_Y 81272 TILEPROP NULL_X113Y105 TYPE NULL TILEPROP NULL_X113Y106 CLASS tile TILEPROP NULL_X113Y106 COLUMN 113 TILEPROP NULL_X113Y106 DEVICE_ID 0 TILEPROP NULL_X113Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X113Y106 GRID_POINT_X 113 TILEPROP NULL_X113Y106 GRID_POINT_Y 50 TILEPROP NULL_X113Y106 INDEX 5863 TILEPROP NULL_X113Y106 INT_TILE_X -1 TILEPROP NULL_X113Y106 INT_TILE_Y -1 TILEPROP NULL_X113Y106 IS_CENTER_TILE 0 TILEPROP NULL_X113Y106 IS_DCM_TILE 0 TILEPROP NULL_X113Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y106 NAME NULL_X113Y106 TILEPROP NULL_X113Y106 NUM_ARCS 0 TILEPROP NULL_X113Y106 NUM_SITES 0 TILEPROP NULL_X113Y106 ROW 50 TILEPROP NULL_X113Y106 SLR_REGION_ID 0 TILEPROP NULL_X113Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y106 TILE_TYPE NULL TILEPROP NULL_X113Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y106 TILE_X 105670 TILEPROP NULL_X113Y106 TILE_Y 84472 TILEPROP NULL_X113Y106 TYPE NULL TILEPROP NULL_X113Y107 CLASS tile TILEPROP NULL_X113Y107 COLUMN 113 TILEPROP NULL_X113Y107 DEVICE_ID 0 TILEPROP NULL_X113Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X113Y107 GRID_POINT_X 113 TILEPROP NULL_X113Y107 GRID_POINT_Y 49 TILEPROP NULL_X113Y107 INDEX 5748 TILEPROP NULL_X113Y107 INT_TILE_X -1 TILEPROP NULL_X113Y107 INT_TILE_Y -1 TILEPROP NULL_X113Y107 IS_CENTER_TILE 0 TILEPROP NULL_X113Y107 IS_DCM_TILE 0 TILEPROP NULL_X113Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y107 NAME NULL_X113Y107 TILEPROP NULL_X113Y107 NUM_ARCS 0 TILEPROP NULL_X113Y107 NUM_SITES 0 TILEPROP NULL_X113Y107 ROW 49 TILEPROP NULL_X113Y107 SLR_REGION_ID 0 TILEPROP NULL_X113Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y107 TILE_TYPE NULL TILEPROP NULL_X113Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y107 TILE_X 105670 TILEPROP NULL_X113Y107 TILE_Y 87672 TILEPROP NULL_X113Y107 TYPE NULL TILEPROP NULL_X113Y108 CLASS tile TILEPROP NULL_X113Y108 COLUMN 113 TILEPROP NULL_X113Y108 DEVICE_ID 0 TILEPROP NULL_X113Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X113Y108 GRID_POINT_X 113 TILEPROP NULL_X113Y108 GRID_POINT_Y 48 TILEPROP NULL_X113Y108 INDEX 5633 TILEPROP NULL_X113Y108 INT_TILE_X -1 TILEPROP NULL_X113Y108 INT_TILE_Y -1 TILEPROP NULL_X113Y108 IS_CENTER_TILE 0 TILEPROP NULL_X113Y108 IS_DCM_TILE 0 TILEPROP NULL_X113Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y108 NAME NULL_X113Y108 TILEPROP NULL_X113Y108 NUM_ARCS 0 TILEPROP NULL_X113Y108 NUM_SITES 0 TILEPROP NULL_X113Y108 ROW 48 TILEPROP NULL_X113Y108 SLR_REGION_ID 0 TILEPROP NULL_X113Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y108 TILE_TYPE NULL TILEPROP NULL_X113Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y108 TILE_X 105670 TILEPROP NULL_X113Y108 TILE_Y 90872 TILEPROP NULL_X113Y108 TYPE NULL TILEPROP NULL_X113Y109 CLASS tile TILEPROP NULL_X113Y109 COLUMN 113 TILEPROP NULL_X113Y109 DEVICE_ID 0 TILEPROP NULL_X113Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X113Y109 GRID_POINT_X 113 TILEPROP NULL_X113Y109 GRID_POINT_Y 47 TILEPROP NULL_X113Y109 INDEX 5518 TILEPROP NULL_X113Y109 INT_TILE_X -1 TILEPROP NULL_X113Y109 INT_TILE_Y -1 TILEPROP NULL_X113Y109 IS_CENTER_TILE 0 TILEPROP NULL_X113Y109 IS_DCM_TILE 0 TILEPROP NULL_X113Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y109 NAME NULL_X113Y109 TILEPROP NULL_X113Y109 NUM_ARCS 0 TILEPROP NULL_X113Y109 NUM_SITES 0 TILEPROP NULL_X113Y109 ROW 47 TILEPROP NULL_X113Y109 SLR_REGION_ID 0 TILEPROP NULL_X113Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y109 TILE_TYPE NULL TILEPROP NULL_X113Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y109 TILE_X 105670 TILEPROP NULL_X113Y109 TILE_Y 94072 TILEPROP NULL_X113Y109 TYPE NULL TILEPROP NULL_X113Y110 CLASS tile TILEPROP NULL_X113Y110 COLUMN 113 TILEPROP NULL_X113Y110 DEVICE_ID 0 TILEPROP NULL_X113Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X113Y110 GRID_POINT_X 113 TILEPROP NULL_X113Y110 GRID_POINT_Y 46 TILEPROP NULL_X113Y110 INDEX 5403 TILEPROP NULL_X113Y110 INT_TILE_X -1 TILEPROP NULL_X113Y110 INT_TILE_Y -1 TILEPROP NULL_X113Y110 IS_CENTER_TILE 0 TILEPROP NULL_X113Y110 IS_DCM_TILE 0 TILEPROP NULL_X113Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y110 NAME NULL_X113Y110 TILEPROP NULL_X113Y110 NUM_ARCS 0 TILEPROP NULL_X113Y110 NUM_SITES 0 TILEPROP NULL_X113Y110 ROW 46 TILEPROP NULL_X113Y110 SLR_REGION_ID 0 TILEPROP NULL_X113Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y110 TILE_TYPE NULL TILEPROP NULL_X113Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y110 TILE_X 105670 TILEPROP NULL_X113Y110 TILE_Y 97272 TILEPROP NULL_X113Y110 TYPE NULL TILEPROP NULL_X113Y111 CLASS tile TILEPROP NULL_X113Y111 COLUMN 113 TILEPROP NULL_X113Y111 DEVICE_ID 0 TILEPROP NULL_X113Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X113Y111 GRID_POINT_X 113 TILEPROP NULL_X113Y111 GRID_POINT_Y 45 TILEPROP NULL_X113Y111 INDEX 5288 TILEPROP NULL_X113Y111 INT_TILE_X -1 TILEPROP NULL_X113Y111 INT_TILE_Y -1 TILEPROP NULL_X113Y111 IS_CENTER_TILE 0 TILEPROP NULL_X113Y111 IS_DCM_TILE 0 TILEPROP NULL_X113Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y111 NAME NULL_X113Y111 TILEPROP NULL_X113Y111 NUM_ARCS 0 TILEPROP NULL_X113Y111 NUM_SITES 0 TILEPROP NULL_X113Y111 ROW 45 TILEPROP NULL_X113Y111 SLR_REGION_ID 0 TILEPROP NULL_X113Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y111 TILE_TYPE NULL TILEPROP NULL_X113Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y111 TILE_X 105670 TILEPROP NULL_X113Y111 TILE_Y 100472 TILEPROP NULL_X113Y111 TYPE NULL TILEPROP NULL_X113Y112 CLASS tile TILEPROP NULL_X113Y112 COLUMN 113 TILEPROP NULL_X113Y112 DEVICE_ID 0 TILEPROP NULL_X113Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X113Y112 GRID_POINT_X 113 TILEPROP NULL_X113Y112 GRID_POINT_Y 44 TILEPROP NULL_X113Y112 INDEX 5173 TILEPROP NULL_X113Y112 INT_TILE_X -1 TILEPROP NULL_X113Y112 INT_TILE_Y -1 TILEPROP NULL_X113Y112 IS_CENTER_TILE 0 TILEPROP NULL_X113Y112 IS_DCM_TILE 0 TILEPROP NULL_X113Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y112 NAME NULL_X113Y112 TILEPROP NULL_X113Y112 NUM_ARCS 0 TILEPROP NULL_X113Y112 NUM_SITES 0 TILEPROP NULL_X113Y112 ROW 44 TILEPROP NULL_X113Y112 SLR_REGION_ID 0 TILEPROP NULL_X113Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y112 TILE_TYPE NULL TILEPROP NULL_X113Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y112 TILE_X 105670 TILEPROP NULL_X113Y112 TILE_Y 103672 TILEPROP NULL_X113Y112 TYPE NULL TILEPROP NULL_X113Y113 CLASS tile TILEPROP NULL_X113Y113 COLUMN 113 TILEPROP NULL_X113Y113 DEVICE_ID 0 TILEPROP NULL_X113Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X113Y113 GRID_POINT_X 113 TILEPROP NULL_X113Y113 GRID_POINT_Y 43 TILEPROP NULL_X113Y113 INDEX 5058 TILEPROP NULL_X113Y113 INT_TILE_X -1 TILEPROP NULL_X113Y113 INT_TILE_Y -1 TILEPROP NULL_X113Y113 IS_CENTER_TILE 0 TILEPROP NULL_X113Y113 IS_DCM_TILE 0 TILEPROP NULL_X113Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y113 NAME NULL_X113Y113 TILEPROP NULL_X113Y113 NUM_ARCS 0 TILEPROP NULL_X113Y113 NUM_SITES 0 TILEPROP NULL_X113Y113 ROW 43 TILEPROP NULL_X113Y113 SLR_REGION_ID 0 TILEPROP NULL_X113Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y113 TILE_TYPE NULL TILEPROP NULL_X113Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y113 TILE_X 105670 TILEPROP NULL_X113Y113 TILE_Y 106872 TILEPROP NULL_X113Y113 TYPE NULL TILEPROP NULL_X113Y114 CLASS tile TILEPROP NULL_X113Y114 COLUMN 113 TILEPROP NULL_X113Y114 DEVICE_ID 0 TILEPROP NULL_X113Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X113Y114 GRID_POINT_X 113 TILEPROP NULL_X113Y114 GRID_POINT_Y 42 TILEPROP NULL_X113Y114 INDEX 4943 TILEPROP NULL_X113Y114 INT_TILE_X -1 TILEPROP NULL_X113Y114 INT_TILE_Y -1 TILEPROP NULL_X113Y114 IS_CENTER_TILE 0 TILEPROP NULL_X113Y114 IS_DCM_TILE 0 TILEPROP NULL_X113Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y114 NAME NULL_X113Y114 TILEPROP NULL_X113Y114 NUM_ARCS 0 TILEPROP NULL_X113Y114 NUM_SITES 0 TILEPROP NULL_X113Y114 ROW 42 TILEPROP NULL_X113Y114 SLR_REGION_ID 0 TILEPROP NULL_X113Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y114 TILE_TYPE NULL TILEPROP NULL_X113Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y114 TILE_X 105670 TILEPROP NULL_X113Y114 TILE_Y 110072 TILEPROP NULL_X113Y114 TYPE NULL TILEPROP NULL_X113Y115 CLASS tile TILEPROP NULL_X113Y115 COLUMN 113 TILEPROP NULL_X113Y115 DEVICE_ID 0 TILEPROP NULL_X113Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X113Y115 GRID_POINT_X 113 TILEPROP NULL_X113Y115 GRID_POINT_Y 41 TILEPROP NULL_X113Y115 INDEX 4828 TILEPROP NULL_X113Y115 INT_TILE_X -1 TILEPROP NULL_X113Y115 INT_TILE_Y -1 TILEPROP NULL_X113Y115 IS_CENTER_TILE 0 TILEPROP NULL_X113Y115 IS_DCM_TILE 0 TILEPROP NULL_X113Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y115 NAME NULL_X113Y115 TILEPROP NULL_X113Y115 NUM_ARCS 0 TILEPROP NULL_X113Y115 NUM_SITES 0 TILEPROP NULL_X113Y115 ROW 41 TILEPROP NULL_X113Y115 SLR_REGION_ID 0 TILEPROP NULL_X113Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y115 TILE_TYPE NULL TILEPROP NULL_X113Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y115 TILE_X 105670 TILEPROP NULL_X113Y115 TILE_Y 113272 TILEPROP NULL_X113Y115 TYPE NULL TILEPROP NULL_X113Y116 CLASS tile TILEPROP NULL_X113Y116 COLUMN 113 TILEPROP NULL_X113Y116 DEVICE_ID 0 TILEPROP NULL_X113Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X113Y116 GRID_POINT_X 113 TILEPROP NULL_X113Y116 GRID_POINT_Y 40 TILEPROP NULL_X113Y116 INDEX 4713 TILEPROP NULL_X113Y116 INT_TILE_X -1 TILEPROP NULL_X113Y116 INT_TILE_Y -1 TILEPROP NULL_X113Y116 IS_CENTER_TILE 0 TILEPROP NULL_X113Y116 IS_DCM_TILE 0 TILEPROP NULL_X113Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y116 NAME NULL_X113Y116 TILEPROP NULL_X113Y116 NUM_ARCS 0 TILEPROP NULL_X113Y116 NUM_SITES 0 TILEPROP NULL_X113Y116 ROW 40 TILEPROP NULL_X113Y116 SLR_REGION_ID 0 TILEPROP NULL_X113Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y116 TILE_TYPE NULL TILEPROP NULL_X113Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y116 TILE_X 105670 TILEPROP NULL_X113Y116 TILE_Y 116472 TILEPROP NULL_X113Y116 TYPE NULL TILEPROP NULL_X113Y117 CLASS tile TILEPROP NULL_X113Y117 COLUMN 113 TILEPROP NULL_X113Y117 DEVICE_ID 0 TILEPROP NULL_X113Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X113Y117 GRID_POINT_X 113 TILEPROP NULL_X113Y117 GRID_POINT_Y 39 TILEPROP NULL_X113Y117 INDEX 4598 TILEPROP NULL_X113Y117 INT_TILE_X -1 TILEPROP NULL_X113Y117 INT_TILE_Y -1 TILEPROP NULL_X113Y117 IS_CENTER_TILE 0 TILEPROP NULL_X113Y117 IS_DCM_TILE 0 TILEPROP NULL_X113Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y117 NAME NULL_X113Y117 TILEPROP NULL_X113Y117 NUM_ARCS 0 TILEPROP NULL_X113Y117 NUM_SITES 0 TILEPROP NULL_X113Y117 ROW 39 TILEPROP NULL_X113Y117 SLR_REGION_ID 0 TILEPROP NULL_X113Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y117 TILE_TYPE NULL TILEPROP NULL_X113Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y117 TILE_X 105670 TILEPROP NULL_X113Y117 TILE_Y 119672 TILEPROP NULL_X113Y117 TYPE NULL TILEPROP NULL_X113Y118 CLASS tile TILEPROP NULL_X113Y118 COLUMN 113 TILEPROP NULL_X113Y118 DEVICE_ID 0 TILEPROP NULL_X113Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X113Y118 GRID_POINT_X 113 TILEPROP NULL_X113Y118 GRID_POINT_Y 38 TILEPROP NULL_X113Y118 INDEX 4483 TILEPROP NULL_X113Y118 INT_TILE_X -1 TILEPROP NULL_X113Y118 INT_TILE_Y -1 TILEPROP NULL_X113Y118 IS_CENTER_TILE 0 TILEPROP NULL_X113Y118 IS_DCM_TILE 0 TILEPROP NULL_X113Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y118 NAME NULL_X113Y118 TILEPROP NULL_X113Y118 NUM_ARCS 0 TILEPROP NULL_X113Y118 NUM_SITES 0 TILEPROP NULL_X113Y118 ROW 38 TILEPROP NULL_X113Y118 SLR_REGION_ID 0 TILEPROP NULL_X113Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y118 TILE_TYPE NULL TILEPROP NULL_X113Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y118 TILE_X 105670 TILEPROP NULL_X113Y118 TILE_Y 122872 TILEPROP NULL_X113Y118 TYPE NULL TILEPROP NULL_X113Y119 CLASS tile TILEPROP NULL_X113Y119 COLUMN 113 TILEPROP NULL_X113Y119 DEVICE_ID 0 TILEPROP NULL_X113Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X113Y119 GRID_POINT_X 113 TILEPROP NULL_X113Y119 GRID_POINT_Y 37 TILEPROP NULL_X113Y119 INDEX 4368 TILEPROP NULL_X113Y119 INT_TILE_X -1 TILEPROP NULL_X113Y119 INT_TILE_Y -1 TILEPROP NULL_X113Y119 IS_CENTER_TILE 0 TILEPROP NULL_X113Y119 IS_DCM_TILE 0 TILEPROP NULL_X113Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y119 NAME NULL_X113Y119 TILEPROP NULL_X113Y119 NUM_ARCS 0 TILEPROP NULL_X113Y119 NUM_SITES 0 TILEPROP NULL_X113Y119 ROW 37 TILEPROP NULL_X113Y119 SLR_REGION_ID 0 TILEPROP NULL_X113Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y119 TILE_TYPE NULL TILEPROP NULL_X113Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y119 TILE_X 105670 TILEPROP NULL_X113Y119 TILE_Y 126072 TILEPROP NULL_X113Y119 TYPE NULL TILEPROP NULL_X113Y120 CLASS tile TILEPROP NULL_X113Y120 COLUMN 113 TILEPROP NULL_X113Y120 DEVICE_ID 0 TILEPROP NULL_X113Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X113Y120 GRID_POINT_X 113 TILEPROP NULL_X113Y120 GRID_POINT_Y 36 TILEPROP NULL_X113Y120 INDEX 4253 TILEPROP NULL_X113Y120 INT_TILE_X -1 TILEPROP NULL_X113Y120 INT_TILE_Y -1 TILEPROP NULL_X113Y120 IS_CENTER_TILE 0 TILEPROP NULL_X113Y120 IS_DCM_TILE 0 TILEPROP NULL_X113Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y120 NAME NULL_X113Y120 TILEPROP NULL_X113Y120 NUM_ARCS 0 TILEPROP NULL_X113Y120 NUM_SITES 0 TILEPROP NULL_X113Y120 ROW 36 TILEPROP NULL_X113Y120 SLR_REGION_ID 0 TILEPROP NULL_X113Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y120 TILE_TYPE NULL TILEPROP NULL_X113Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y120 TILE_X 105670 TILEPROP NULL_X113Y120 TILE_Y 129272 TILEPROP NULL_X113Y120 TYPE NULL TILEPROP NULL_X113Y121 CLASS tile TILEPROP NULL_X113Y121 COLUMN 113 TILEPROP NULL_X113Y121 DEVICE_ID 0 TILEPROP NULL_X113Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X113Y121 GRID_POINT_X 113 TILEPROP NULL_X113Y121 GRID_POINT_Y 35 TILEPROP NULL_X113Y121 INDEX 4138 TILEPROP NULL_X113Y121 INT_TILE_X -1 TILEPROP NULL_X113Y121 INT_TILE_Y -1 TILEPROP NULL_X113Y121 IS_CENTER_TILE 0 TILEPROP NULL_X113Y121 IS_DCM_TILE 0 TILEPROP NULL_X113Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y121 NAME NULL_X113Y121 TILEPROP NULL_X113Y121 NUM_ARCS 0 TILEPROP NULL_X113Y121 NUM_SITES 0 TILEPROP NULL_X113Y121 ROW 35 TILEPROP NULL_X113Y121 SLR_REGION_ID 0 TILEPROP NULL_X113Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y121 TILE_TYPE NULL TILEPROP NULL_X113Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y121 TILE_X 105670 TILEPROP NULL_X113Y121 TILE_Y 132472 TILEPROP NULL_X113Y121 TYPE NULL TILEPROP NULL_X113Y122 CLASS tile TILEPROP NULL_X113Y122 COLUMN 113 TILEPROP NULL_X113Y122 DEVICE_ID 0 TILEPROP NULL_X113Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X113Y122 GRID_POINT_X 113 TILEPROP NULL_X113Y122 GRID_POINT_Y 34 TILEPROP NULL_X113Y122 INDEX 4023 TILEPROP NULL_X113Y122 INT_TILE_X -1 TILEPROP NULL_X113Y122 INT_TILE_Y -1 TILEPROP NULL_X113Y122 IS_CENTER_TILE 0 TILEPROP NULL_X113Y122 IS_DCM_TILE 0 TILEPROP NULL_X113Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y122 NAME NULL_X113Y122 TILEPROP NULL_X113Y122 NUM_ARCS 0 TILEPROP NULL_X113Y122 NUM_SITES 0 TILEPROP NULL_X113Y122 ROW 34 TILEPROP NULL_X113Y122 SLR_REGION_ID 0 TILEPROP NULL_X113Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y122 TILE_TYPE NULL TILEPROP NULL_X113Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y122 TILE_X 105670 TILEPROP NULL_X113Y122 TILE_Y 135672 TILEPROP NULL_X113Y122 TYPE NULL TILEPROP NULL_X113Y123 CLASS tile TILEPROP NULL_X113Y123 COLUMN 113 TILEPROP NULL_X113Y123 DEVICE_ID 0 TILEPROP NULL_X113Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X113Y123 GRID_POINT_X 113 TILEPROP NULL_X113Y123 GRID_POINT_Y 33 TILEPROP NULL_X113Y123 INDEX 3908 TILEPROP NULL_X113Y123 INT_TILE_X -1 TILEPROP NULL_X113Y123 INT_TILE_Y -1 TILEPROP NULL_X113Y123 IS_CENTER_TILE 0 TILEPROP NULL_X113Y123 IS_DCM_TILE 0 TILEPROP NULL_X113Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y123 NAME NULL_X113Y123 TILEPROP NULL_X113Y123 NUM_ARCS 0 TILEPROP NULL_X113Y123 NUM_SITES 0 TILEPROP NULL_X113Y123 ROW 33 TILEPROP NULL_X113Y123 SLR_REGION_ID 0 TILEPROP NULL_X113Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y123 TILE_TYPE NULL TILEPROP NULL_X113Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y123 TILE_X 105670 TILEPROP NULL_X113Y123 TILE_Y 138872 TILEPROP NULL_X113Y123 TYPE NULL TILEPROP NULL_X113Y124 CLASS tile TILEPROP NULL_X113Y124 COLUMN 113 TILEPROP NULL_X113Y124 DEVICE_ID 0 TILEPROP NULL_X113Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X113Y124 GRID_POINT_X 113 TILEPROP NULL_X113Y124 GRID_POINT_Y 32 TILEPROP NULL_X113Y124 INDEX 3793 TILEPROP NULL_X113Y124 INT_TILE_X -1 TILEPROP NULL_X113Y124 INT_TILE_Y -1 TILEPROP NULL_X113Y124 IS_CENTER_TILE 0 TILEPROP NULL_X113Y124 IS_DCM_TILE 0 TILEPROP NULL_X113Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y124 NAME NULL_X113Y124 TILEPROP NULL_X113Y124 NUM_ARCS 0 TILEPROP NULL_X113Y124 NUM_SITES 0 TILEPROP NULL_X113Y124 ROW 32 TILEPROP NULL_X113Y124 SLR_REGION_ID 0 TILEPROP NULL_X113Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y124 TILE_TYPE NULL TILEPROP NULL_X113Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y124 TILE_X 105670 TILEPROP NULL_X113Y124 TILE_Y 142072 TILEPROP NULL_X113Y124 TYPE NULL TILEPROP NULL_X113Y125 CLASS tile TILEPROP NULL_X113Y125 COLUMN 113 TILEPROP NULL_X113Y125 DEVICE_ID 0 TILEPROP NULL_X113Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X113Y125 GRID_POINT_X 113 TILEPROP NULL_X113Y125 GRID_POINT_Y 31 TILEPROP NULL_X113Y125 INDEX 3678 TILEPROP NULL_X113Y125 INT_TILE_X -1 TILEPROP NULL_X113Y125 INT_TILE_Y -1 TILEPROP NULL_X113Y125 IS_CENTER_TILE 0 TILEPROP NULL_X113Y125 IS_DCM_TILE 0 TILEPROP NULL_X113Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y125 NAME NULL_X113Y125 TILEPROP NULL_X113Y125 NUM_ARCS 0 TILEPROP NULL_X113Y125 NUM_SITES 0 TILEPROP NULL_X113Y125 ROW 31 TILEPROP NULL_X113Y125 SLR_REGION_ID 0 TILEPROP NULL_X113Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y125 TILE_TYPE NULL TILEPROP NULL_X113Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y125 TILE_X 105670 TILEPROP NULL_X113Y125 TILE_Y 145272 TILEPROP NULL_X113Y125 TYPE NULL TILEPROP NULL_X113Y126 CLASS tile TILEPROP NULL_X113Y126 COLUMN 113 TILEPROP NULL_X113Y126 DEVICE_ID 0 TILEPROP NULL_X113Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X113Y126 GRID_POINT_X 113 TILEPROP NULL_X113Y126 GRID_POINT_Y 30 TILEPROP NULL_X113Y126 INDEX 3563 TILEPROP NULL_X113Y126 INT_TILE_X -1 TILEPROP NULL_X113Y126 INT_TILE_Y -1 TILEPROP NULL_X113Y126 IS_CENTER_TILE 0 TILEPROP NULL_X113Y126 IS_DCM_TILE 0 TILEPROP NULL_X113Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y126 NAME NULL_X113Y126 TILEPROP NULL_X113Y126 NUM_ARCS 0 TILEPROP NULL_X113Y126 NUM_SITES 0 TILEPROP NULL_X113Y126 ROW 30 TILEPROP NULL_X113Y126 SLR_REGION_ID 0 TILEPROP NULL_X113Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y126 TILE_TYPE NULL TILEPROP NULL_X113Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y126 TILE_X 105670 TILEPROP NULL_X113Y126 TILE_Y 148472 TILEPROP NULL_X113Y126 TYPE NULL TILEPROP NULL_X113Y127 CLASS tile TILEPROP NULL_X113Y127 COLUMN 113 TILEPROP NULL_X113Y127 DEVICE_ID 0 TILEPROP NULL_X113Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X113Y127 GRID_POINT_X 113 TILEPROP NULL_X113Y127 GRID_POINT_Y 29 TILEPROP NULL_X113Y127 INDEX 3448 TILEPROP NULL_X113Y127 INT_TILE_X -1 TILEPROP NULL_X113Y127 INT_TILE_Y -1 TILEPROP NULL_X113Y127 IS_CENTER_TILE 0 TILEPROP NULL_X113Y127 IS_DCM_TILE 0 TILEPROP NULL_X113Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y127 NAME NULL_X113Y127 TILEPROP NULL_X113Y127 NUM_ARCS 0 TILEPROP NULL_X113Y127 NUM_SITES 0 TILEPROP NULL_X113Y127 ROW 29 TILEPROP NULL_X113Y127 SLR_REGION_ID 0 TILEPROP NULL_X113Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y127 TILE_TYPE NULL TILEPROP NULL_X113Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y127 TILE_X 105670 TILEPROP NULL_X113Y127 TILE_Y 151672 TILEPROP NULL_X113Y127 TYPE NULL TILEPROP NULL_X113Y128 CLASS tile TILEPROP NULL_X113Y128 COLUMN 113 TILEPROP NULL_X113Y128 DEVICE_ID 0 TILEPROP NULL_X113Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X113Y128 GRID_POINT_X 113 TILEPROP NULL_X113Y128 GRID_POINT_Y 28 TILEPROP NULL_X113Y128 INDEX 3333 TILEPROP NULL_X113Y128 INT_TILE_X -1 TILEPROP NULL_X113Y128 INT_TILE_Y -1 TILEPROP NULL_X113Y128 IS_CENTER_TILE 0 TILEPROP NULL_X113Y128 IS_DCM_TILE 0 TILEPROP NULL_X113Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y128 NAME NULL_X113Y128 TILEPROP NULL_X113Y128 NUM_ARCS 0 TILEPROP NULL_X113Y128 NUM_SITES 0 TILEPROP NULL_X113Y128 ROW 28 TILEPROP NULL_X113Y128 SLR_REGION_ID 0 TILEPROP NULL_X113Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y128 TILE_TYPE NULL TILEPROP NULL_X113Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y128 TILE_X 105670 TILEPROP NULL_X113Y128 TILE_Y 154872 TILEPROP NULL_X113Y128 TYPE NULL TILEPROP NULL_X113Y129 CLASS tile TILEPROP NULL_X113Y129 COLUMN 113 TILEPROP NULL_X113Y129 DEVICE_ID 0 TILEPROP NULL_X113Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X113Y129 GRID_POINT_X 113 TILEPROP NULL_X113Y129 GRID_POINT_Y 27 TILEPROP NULL_X113Y129 INDEX 3218 TILEPROP NULL_X113Y129 INT_TILE_X -1 TILEPROP NULL_X113Y129 INT_TILE_Y -1 TILEPROP NULL_X113Y129 IS_CENTER_TILE 0 TILEPROP NULL_X113Y129 IS_DCM_TILE 0 TILEPROP NULL_X113Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y129 NAME NULL_X113Y129 TILEPROP NULL_X113Y129 NUM_ARCS 0 TILEPROP NULL_X113Y129 NUM_SITES 0 TILEPROP NULL_X113Y129 ROW 27 TILEPROP NULL_X113Y129 SLR_REGION_ID 0 TILEPROP NULL_X113Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y129 TILE_TYPE NULL TILEPROP NULL_X113Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y129 TILE_X 105670 TILEPROP NULL_X113Y129 TILE_Y 158072 TILEPROP NULL_X113Y129 TYPE NULL TILEPROP NULL_X113Y130 CLASS tile TILEPROP NULL_X113Y130 COLUMN 113 TILEPROP NULL_X113Y130 DEVICE_ID 0 TILEPROP NULL_X113Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X113Y130 GRID_POINT_X 113 TILEPROP NULL_X113Y130 GRID_POINT_Y 26 TILEPROP NULL_X113Y130 INDEX 3103 TILEPROP NULL_X113Y130 INT_TILE_X -1 TILEPROP NULL_X113Y130 INT_TILE_Y -1 TILEPROP NULL_X113Y130 IS_CENTER_TILE 0 TILEPROP NULL_X113Y130 IS_DCM_TILE 0 TILEPROP NULL_X113Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y130 NAME NULL_X113Y130 TILEPROP NULL_X113Y130 NUM_ARCS 0 TILEPROP NULL_X113Y130 NUM_SITES 0 TILEPROP NULL_X113Y130 ROW 26 TILEPROP NULL_X113Y130 SLR_REGION_ID 0 TILEPROP NULL_X113Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y130 TILE_TYPE NULL TILEPROP NULL_X113Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y130 TILE_X 105670 TILEPROP NULL_X113Y130 TILE_Y 161272 TILEPROP NULL_X113Y130 TYPE NULL TILEPROP NULL_X113Y131 CLASS tile TILEPROP NULL_X113Y131 COLUMN 113 TILEPROP NULL_X113Y131 DEVICE_ID 0 TILEPROP NULL_X113Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X113Y131 GRID_POINT_X 113 TILEPROP NULL_X113Y131 GRID_POINT_Y 25 TILEPROP NULL_X113Y131 INDEX 2988 TILEPROP NULL_X113Y131 INT_TILE_X -1 TILEPROP NULL_X113Y131 INT_TILE_Y -1 TILEPROP NULL_X113Y131 IS_CENTER_TILE 0 TILEPROP NULL_X113Y131 IS_DCM_TILE 0 TILEPROP NULL_X113Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y131 NAME NULL_X113Y131 TILEPROP NULL_X113Y131 NUM_ARCS 0 TILEPROP NULL_X113Y131 NUM_SITES 0 TILEPROP NULL_X113Y131 ROW 25 TILEPROP NULL_X113Y131 SLR_REGION_ID 0 TILEPROP NULL_X113Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y131 TILE_TYPE NULL TILEPROP NULL_X113Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y131 TILE_X 105670 TILEPROP NULL_X113Y131 TILE_Y 162296 TILEPROP NULL_X113Y131 TYPE NULL TILEPROP NULL_X113Y132 CLASS tile TILEPROP NULL_X113Y132 COLUMN 113 TILEPROP NULL_X113Y132 DEVICE_ID 0 TILEPROP NULL_X113Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X113Y132 GRID_POINT_X 113 TILEPROP NULL_X113Y132 GRID_POINT_Y 24 TILEPROP NULL_X113Y132 INDEX 2873 TILEPROP NULL_X113Y132 INT_TILE_X -1 TILEPROP NULL_X113Y132 INT_TILE_Y -1 TILEPROP NULL_X113Y132 IS_CENTER_TILE 0 TILEPROP NULL_X113Y132 IS_DCM_TILE 0 TILEPROP NULL_X113Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y132 NAME NULL_X113Y132 TILEPROP NULL_X113Y132 NUM_ARCS 0 TILEPROP NULL_X113Y132 NUM_SITES 0 TILEPROP NULL_X113Y132 ROW 24 TILEPROP NULL_X113Y132 SLR_REGION_ID 0 TILEPROP NULL_X113Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y132 TILE_TYPE NULL TILEPROP NULL_X113Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y132 TILE_X 105670 TILEPROP NULL_X113Y132 TILE_Y 165496 TILEPROP NULL_X113Y132 TYPE NULL TILEPROP NULL_X113Y133 CLASS tile TILEPROP NULL_X113Y133 COLUMN 113 TILEPROP NULL_X113Y133 DEVICE_ID 0 TILEPROP NULL_X113Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X113Y133 GRID_POINT_X 113 TILEPROP NULL_X113Y133 GRID_POINT_Y 23 TILEPROP NULL_X113Y133 INDEX 2758 TILEPROP NULL_X113Y133 INT_TILE_X -1 TILEPROP NULL_X113Y133 INT_TILE_Y -1 TILEPROP NULL_X113Y133 IS_CENTER_TILE 0 TILEPROP NULL_X113Y133 IS_DCM_TILE 0 TILEPROP NULL_X113Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y133 NAME NULL_X113Y133 TILEPROP NULL_X113Y133 NUM_ARCS 0 TILEPROP NULL_X113Y133 NUM_SITES 0 TILEPROP NULL_X113Y133 ROW 23 TILEPROP NULL_X113Y133 SLR_REGION_ID 0 TILEPROP NULL_X113Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y133 TILE_TYPE NULL TILEPROP NULL_X113Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y133 TILE_X 105670 TILEPROP NULL_X113Y133 TILE_Y 168696 TILEPROP NULL_X113Y133 TYPE NULL TILEPROP NULL_X113Y134 CLASS tile TILEPROP NULL_X113Y134 COLUMN 113 TILEPROP NULL_X113Y134 DEVICE_ID 0 TILEPROP NULL_X113Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X113Y134 GRID_POINT_X 113 TILEPROP NULL_X113Y134 GRID_POINT_Y 22 TILEPROP NULL_X113Y134 INDEX 2643 TILEPROP NULL_X113Y134 INT_TILE_X -1 TILEPROP NULL_X113Y134 INT_TILE_Y -1 TILEPROP NULL_X113Y134 IS_CENTER_TILE 0 TILEPROP NULL_X113Y134 IS_DCM_TILE 0 TILEPROP NULL_X113Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y134 NAME NULL_X113Y134 TILEPROP NULL_X113Y134 NUM_ARCS 0 TILEPROP NULL_X113Y134 NUM_SITES 0 TILEPROP NULL_X113Y134 ROW 22 TILEPROP NULL_X113Y134 SLR_REGION_ID 0 TILEPROP NULL_X113Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y134 TILE_TYPE NULL TILEPROP NULL_X113Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y134 TILE_X 105670 TILEPROP NULL_X113Y134 TILE_Y 171896 TILEPROP NULL_X113Y134 TYPE NULL TILEPROP NULL_X113Y135 CLASS tile TILEPROP NULL_X113Y135 COLUMN 113 TILEPROP NULL_X113Y135 DEVICE_ID 0 TILEPROP NULL_X113Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X113Y135 GRID_POINT_X 113 TILEPROP NULL_X113Y135 GRID_POINT_Y 21 TILEPROP NULL_X113Y135 INDEX 2528 TILEPROP NULL_X113Y135 INT_TILE_X -1 TILEPROP NULL_X113Y135 INT_TILE_Y -1 TILEPROP NULL_X113Y135 IS_CENTER_TILE 0 TILEPROP NULL_X113Y135 IS_DCM_TILE 0 TILEPROP NULL_X113Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y135 NAME NULL_X113Y135 TILEPROP NULL_X113Y135 NUM_ARCS 0 TILEPROP NULL_X113Y135 NUM_SITES 0 TILEPROP NULL_X113Y135 ROW 21 TILEPROP NULL_X113Y135 SLR_REGION_ID 0 TILEPROP NULL_X113Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y135 TILE_TYPE NULL TILEPROP NULL_X113Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y135 TILE_X 105670 TILEPROP NULL_X113Y135 TILE_Y 175096 TILEPROP NULL_X113Y135 TYPE NULL TILEPROP NULL_X113Y136 CLASS tile TILEPROP NULL_X113Y136 COLUMN 113 TILEPROP NULL_X113Y136 DEVICE_ID 0 TILEPROP NULL_X113Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X113Y136 GRID_POINT_X 113 TILEPROP NULL_X113Y136 GRID_POINT_Y 20 TILEPROP NULL_X113Y136 INDEX 2413 TILEPROP NULL_X113Y136 INT_TILE_X -1 TILEPROP NULL_X113Y136 INT_TILE_Y -1 TILEPROP NULL_X113Y136 IS_CENTER_TILE 0 TILEPROP NULL_X113Y136 IS_DCM_TILE 0 TILEPROP NULL_X113Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y136 NAME NULL_X113Y136 TILEPROP NULL_X113Y136 NUM_ARCS 0 TILEPROP NULL_X113Y136 NUM_SITES 0 TILEPROP NULL_X113Y136 ROW 20 TILEPROP NULL_X113Y136 SLR_REGION_ID 0 TILEPROP NULL_X113Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y136 TILE_TYPE NULL TILEPROP NULL_X113Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y136 TILE_X 105670 TILEPROP NULL_X113Y136 TILE_Y 178296 TILEPROP NULL_X113Y136 TYPE NULL TILEPROP NULL_X113Y137 CLASS tile TILEPROP NULL_X113Y137 COLUMN 113 TILEPROP NULL_X113Y137 DEVICE_ID 0 TILEPROP NULL_X113Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X113Y137 GRID_POINT_X 113 TILEPROP NULL_X113Y137 GRID_POINT_Y 19 TILEPROP NULL_X113Y137 INDEX 2298 TILEPROP NULL_X113Y137 INT_TILE_X -1 TILEPROP NULL_X113Y137 INT_TILE_Y -1 TILEPROP NULL_X113Y137 IS_CENTER_TILE 0 TILEPROP NULL_X113Y137 IS_DCM_TILE 0 TILEPROP NULL_X113Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y137 NAME NULL_X113Y137 TILEPROP NULL_X113Y137 NUM_ARCS 0 TILEPROP NULL_X113Y137 NUM_SITES 0 TILEPROP NULL_X113Y137 ROW 19 TILEPROP NULL_X113Y137 SLR_REGION_ID 0 TILEPROP NULL_X113Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y137 TILE_TYPE NULL TILEPROP NULL_X113Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y137 TILE_X 105670 TILEPROP NULL_X113Y137 TILE_Y 181496 TILEPROP NULL_X113Y137 TYPE NULL TILEPROP NULL_X113Y138 CLASS tile TILEPROP NULL_X113Y138 COLUMN 113 TILEPROP NULL_X113Y138 DEVICE_ID 0 TILEPROP NULL_X113Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X113Y138 GRID_POINT_X 113 TILEPROP NULL_X113Y138 GRID_POINT_Y 18 TILEPROP NULL_X113Y138 INDEX 2183 TILEPROP NULL_X113Y138 INT_TILE_X -1 TILEPROP NULL_X113Y138 INT_TILE_Y -1 TILEPROP NULL_X113Y138 IS_CENTER_TILE 0 TILEPROP NULL_X113Y138 IS_DCM_TILE 0 TILEPROP NULL_X113Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y138 NAME NULL_X113Y138 TILEPROP NULL_X113Y138 NUM_ARCS 0 TILEPROP NULL_X113Y138 NUM_SITES 0 TILEPROP NULL_X113Y138 ROW 18 TILEPROP NULL_X113Y138 SLR_REGION_ID 0 TILEPROP NULL_X113Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y138 TILE_TYPE NULL TILEPROP NULL_X113Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y138 TILE_X 105670 TILEPROP NULL_X113Y138 TILE_Y 184696 TILEPROP NULL_X113Y138 TYPE NULL TILEPROP NULL_X113Y139 CLASS tile TILEPROP NULL_X113Y139 COLUMN 113 TILEPROP NULL_X113Y139 DEVICE_ID 0 TILEPROP NULL_X113Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X113Y139 GRID_POINT_X 113 TILEPROP NULL_X113Y139 GRID_POINT_Y 17 TILEPROP NULL_X113Y139 INDEX 2068 TILEPROP NULL_X113Y139 INT_TILE_X -1 TILEPROP NULL_X113Y139 INT_TILE_Y -1 TILEPROP NULL_X113Y139 IS_CENTER_TILE 0 TILEPROP NULL_X113Y139 IS_DCM_TILE 0 TILEPROP NULL_X113Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y139 NAME NULL_X113Y139 TILEPROP NULL_X113Y139 NUM_ARCS 0 TILEPROP NULL_X113Y139 NUM_SITES 0 TILEPROP NULL_X113Y139 ROW 17 TILEPROP NULL_X113Y139 SLR_REGION_ID 0 TILEPROP NULL_X113Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y139 TILE_TYPE NULL TILEPROP NULL_X113Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y139 TILE_X 105670 TILEPROP NULL_X113Y139 TILE_Y 187896 TILEPROP NULL_X113Y139 TYPE NULL TILEPROP NULL_X113Y140 CLASS tile TILEPROP NULL_X113Y140 COLUMN 113 TILEPROP NULL_X113Y140 DEVICE_ID 0 TILEPROP NULL_X113Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X113Y140 GRID_POINT_X 113 TILEPROP NULL_X113Y140 GRID_POINT_Y 16 TILEPROP NULL_X113Y140 INDEX 1953 TILEPROP NULL_X113Y140 INT_TILE_X -1 TILEPROP NULL_X113Y140 INT_TILE_Y -1 TILEPROP NULL_X113Y140 IS_CENTER_TILE 0 TILEPROP NULL_X113Y140 IS_DCM_TILE 0 TILEPROP NULL_X113Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y140 NAME NULL_X113Y140 TILEPROP NULL_X113Y140 NUM_ARCS 0 TILEPROP NULL_X113Y140 NUM_SITES 0 TILEPROP NULL_X113Y140 ROW 16 TILEPROP NULL_X113Y140 SLR_REGION_ID 0 TILEPROP NULL_X113Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y140 TILE_TYPE NULL TILEPROP NULL_X113Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y140 TILE_X 105670 TILEPROP NULL_X113Y140 TILE_Y 191096 TILEPROP NULL_X113Y140 TYPE NULL TILEPROP NULL_X113Y141 CLASS tile TILEPROP NULL_X113Y141 COLUMN 113 TILEPROP NULL_X113Y141 DEVICE_ID 0 TILEPROP NULL_X113Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X113Y141 GRID_POINT_X 113 TILEPROP NULL_X113Y141 GRID_POINT_Y 15 TILEPROP NULL_X113Y141 INDEX 1838 TILEPROP NULL_X113Y141 INT_TILE_X -1 TILEPROP NULL_X113Y141 INT_TILE_Y -1 TILEPROP NULL_X113Y141 IS_CENTER_TILE 0 TILEPROP NULL_X113Y141 IS_DCM_TILE 0 TILEPROP NULL_X113Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y141 NAME NULL_X113Y141 TILEPROP NULL_X113Y141 NUM_ARCS 0 TILEPROP NULL_X113Y141 NUM_SITES 0 TILEPROP NULL_X113Y141 ROW 15 TILEPROP NULL_X113Y141 SLR_REGION_ID 0 TILEPROP NULL_X113Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y141 TILE_TYPE NULL TILEPROP NULL_X113Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y141 TILE_X 105670 TILEPROP NULL_X113Y141 TILE_Y 194296 TILEPROP NULL_X113Y141 TYPE NULL TILEPROP NULL_X113Y142 CLASS tile TILEPROP NULL_X113Y142 COLUMN 113 TILEPROP NULL_X113Y142 DEVICE_ID 0 TILEPROP NULL_X113Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X113Y142 GRID_POINT_X 113 TILEPROP NULL_X113Y142 GRID_POINT_Y 14 TILEPROP NULL_X113Y142 INDEX 1723 TILEPROP NULL_X113Y142 INT_TILE_X -1 TILEPROP NULL_X113Y142 INT_TILE_Y -1 TILEPROP NULL_X113Y142 IS_CENTER_TILE 0 TILEPROP NULL_X113Y142 IS_DCM_TILE 0 TILEPROP NULL_X113Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y142 NAME NULL_X113Y142 TILEPROP NULL_X113Y142 NUM_ARCS 0 TILEPROP NULL_X113Y142 NUM_SITES 0 TILEPROP NULL_X113Y142 ROW 14 TILEPROP NULL_X113Y142 SLR_REGION_ID 0 TILEPROP NULL_X113Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y142 TILE_TYPE NULL TILEPROP NULL_X113Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y142 TILE_X 105670 TILEPROP NULL_X113Y142 TILE_Y 197496 TILEPROP NULL_X113Y142 TYPE NULL TILEPROP NULL_X113Y143 CLASS tile TILEPROP NULL_X113Y143 COLUMN 113 TILEPROP NULL_X113Y143 DEVICE_ID 0 TILEPROP NULL_X113Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X113Y143 GRID_POINT_X 113 TILEPROP NULL_X113Y143 GRID_POINT_Y 13 TILEPROP NULL_X113Y143 INDEX 1608 TILEPROP NULL_X113Y143 INT_TILE_X -1 TILEPROP NULL_X113Y143 INT_TILE_Y -1 TILEPROP NULL_X113Y143 IS_CENTER_TILE 0 TILEPROP NULL_X113Y143 IS_DCM_TILE 0 TILEPROP NULL_X113Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y143 NAME NULL_X113Y143 TILEPROP NULL_X113Y143 NUM_ARCS 0 TILEPROP NULL_X113Y143 NUM_SITES 0 TILEPROP NULL_X113Y143 ROW 13 TILEPROP NULL_X113Y143 SLR_REGION_ID 0 TILEPROP NULL_X113Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y143 TILE_TYPE NULL TILEPROP NULL_X113Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y143 TILE_X 105670 TILEPROP NULL_X113Y143 TILE_Y 200696 TILEPROP NULL_X113Y143 TYPE NULL TILEPROP NULL_X113Y144 CLASS tile TILEPROP NULL_X113Y144 COLUMN 113 TILEPROP NULL_X113Y144 DEVICE_ID 0 TILEPROP NULL_X113Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X113Y144 GRID_POINT_X 113 TILEPROP NULL_X113Y144 GRID_POINT_Y 12 TILEPROP NULL_X113Y144 INDEX 1493 TILEPROP NULL_X113Y144 INT_TILE_X -1 TILEPROP NULL_X113Y144 INT_TILE_Y -1 TILEPROP NULL_X113Y144 IS_CENTER_TILE 0 TILEPROP NULL_X113Y144 IS_DCM_TILE 0 TILEPROP NULL_X113Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y144 NAME NULL_X113Y144 TILEPROP NULL_X113Y144 NUM_ARCS 0 TILEPROP NULL_X113Y144 NUM_SITES 0 TILEPROP NULL_X113Y144 ROW 12 TILEPROP NULL_X113Y144 SLR_REGION_ID 0 TILEPROP NULL_X113Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y144 TILE_TYPE NULL TILEPROP NULL_X113Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y144 TILE_X 105670 TILEPROP NULL_X113Y144 TILE_Y 203896 TILEPROP NULL_X113Y144 TYPE NULL TILEPROP NULL_X113Y145 CLASS tile TILEPROP NULL_X113Y145 COLUMN 113 TILEPROP NULL_X113Y145 DEVICE_ID 0 TILEPROP NULL_X113Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X113Y145 GRID_POINT_X 113 TILEPROP NULL_X113Y145 GRID_POINT_Y 11 TILEPROP NULL_X113Y145 INDEX 1378 TILEPROP NULL_X113Y145 INT_TILE_X -1 TILEPROP NULL_X113Y145 INT_TILE_Y -1 TILEPROP NULL_X113Y145 IS_CENTER_TILE 0 TILEPROP NULL_X113Y145 IS_DCM_TILE 0 TILEPROP NULL_X113Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y145 NAME NULL_X113Y145 TILEPROP NULL_X113Y145 NUM_ARCS 0 TILEPROP NULL_X113Y145 NUM_SITES 0 TILEPROP NULL_X113Y145 ROW 11 TILEPROP NULL_X113Y145 SLR_REGION_ID 0 TILEPROP NULL_X113Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y145 TILE_TYPE NULL TILEPROP NULL_X113Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y145 TILE_X 105670 TILEPROP NULL_X113Y145 TILE_Y 207096 TILEPROP NULL_X113Y145 TYPE NULL TILEPROP NULL_X113Y146 CLASS tile TILEPROP NULL_X113Y146 COLUMN 113 TILEPROP NULL_X113Y146 DEVICE_ID 0 TILEPROP NULL_X113Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X113Y146 GRID_POINT_X 113 TILEPROP NULL_X113Y146 GRID_POINT_Y 10 TILEPROP NULL_X113Y146 INDEX 1263 TILEPROP NULL_X113Y146 INT_TILE_X -1 TILEPROP NULL_X113Y146 INT_TILE_Y -1 TILEPROP NULL_X113Y146 IS_CENTER_TILE 0 TILEPROP NULL_X113Y146 IS_DCM_TILE 0 TILEPROP NULL_X113Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y146 NAME NULL_X113Y146 TILEPROP NULL_X113Y146 NUM_ARCS 0 TILEPROP NULL_X113Y146 NUM_SITES 0 TILEPROP NULL_X113Y146 ROW 10 TILEPROP NULL_X113Y146 SLR_REGION_ID 0 TILEPROP NULL_X113Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y146 TILE_TYPE NULL TILEPROP NULL_X113Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y146 TILE_X 105670 TILEPROP NULL_X113Y146 TILE_Y 210296 TILEPROP NULL_X113Y146 TYPE NULL TILEPROP NULL_X113Y147 CLASS tile TILEPROP NULL_X113Y147 COLUMN 113 TILEPROP NULL_X113Y147 DEVICE_ID 0 TILEPROP NULL_X113Y147 FIRST_SITE_ID 920 TILEPROP NULL_X113Y147 GRID_POINT_X 113 TILEPROP NULL_X113Y147 GRID_POINT_Y 9 TILEPROP NULL_X113Y147 INDEX 1148 TILEPROP NULL_X113Y147 INT_TILE_X -1 TILEPROP NULL_X113Y147 INT_TILE_Y -1 TILEPROP NULL_X113Y147 IS_CENTER_TILE 0 TILEPROP NULL_X113Y147 IS_DCM_TILE 0 TILEPROP NULL_X113Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y147 NAME NULL_X113Y147 TILEPROP NULL_X113Y147 NUM_ARCS 0 TILEPROP NULL_X113Y147 NUM_SITES 0 TILEPROP NULL_X113Y147 ROW 9 TILEPROP NULL_X113Y147 SLR_REGION_ID 0 TILEPROP NULL_X113Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y147 TILE_TYPE NULL TILEPROP NULL_X113Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y147 TILE_X 105670 TILEPROP NULL_X113Y147 TILE_Y 213496 TILEPROP NULL_X113Y147 TYPE NULL TILEPROP NULL_X113Y148 CLASS tile TILEPROP NULL_X113Y148 COLUMN 113 TILEPROP NULL_X113Y148 DEVICE_ID 0 TILEPROP NULL_X113Y148 FIRST_SITE_ID 816 TILEPROP NULL_X113Y148 GRID_POINT_X 113 TILEPROP NULL_X113Y148 GRID_POINT_Y 8 TILEPROP NULL_X113Y148 INDEX 1033 TILEPROP NULL_X113Y148 INT_TILE_X -1 TILEPROP NULL_X113Y148 INT_TILE_Y -1 TILEPROP NULL_X113Y148 IS_CENTER_TILE 0 TILEPROP NULL_X113Y148 IS_DCM_TILE 0 TILEPROP NULL_X113Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y148 NAME NULL_X113Y148 TILEPROP NULL_X113Y148 NUM_ARCS 0 TILEPROP NULL_X113Y148 NUM_SITES 0 TILEPROP NULL_X113Y148 ROW 8 TILEPROP NULL_X113Y148 SLR_REGION_ID 0 TILEPROP NULL_X113Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y148 TILE_TYPE NULL TILEPROP NULL_X113Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y148 TILE_X 105670 TILEPROP NULL_X113Y148 TILE_Y 216696 TILEPROP NULL_X113Y148 TYPE NULL TILEPROP NULL_X113Y149 CLASS tile TILEPROP NULL_X113Y149 COLUMN 113 TILEPROP NULL_X113Y149 DEVICE_ID 0 TILEPROP NULL_X113Y149 FIRST_SITE_ID 719 TILEPROP NULL_X113Y149 GRID_POINT_X 113 TILEPROP NULL_X113Y149 GRID_POINT_Y 7 TILEPROP NULL_X113Y149 INDEX 918 TILEPROP NULL_X113Y149 INT_TILE_X -1 TILEPROP NULL_X113Y149 INT_TILE_Y -1 TILEPROP NULL_X113Y149 IS_CENTER_TILE 0 TILEPROP NULL_X113Y149 IS_DCM_TILE 0 TILEPROP NULL_X113Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y149 NAME NULL_X113Y149 TILEPROP NULL_X113Y149 NUM_ARCS 0 TILEPROP NULL_X113Y149 NUM_SITES 0 TILEPROP NULL_X113Y149 ROW 7 TILEPROP NULL_X113Y149 SLR_REGION_ID 0 TILEPROP NULL_X113Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y149 TILE_TYPE NULL TILEPROP NULL_X113Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y149 TILE_X 105670 TILEPROP NULL_X113Y149 TILE_Y 219896 TILEPROP NULL_X113Y149 TYPE NULL TILEPROP NULL_X113Y150 CLASS tile TILEPROP NULL_X113Y150 COLUMN 113 TILEPROP NULL_X113Y150 DEVICE_ID 0 TILEPROP NULL_X113Y150 FIRST_SITE_ID 613 TILEPROP NULL_X113Y150 GRID_POINT_X 113 TILEPROP NULL_X113Y150 GRID_POINT_Y 6 TILEPROP NULL_X113Y150 INDEX 803 TILEPROP NULL_X113Y150 INT_TILE_X -1 TILEPROP NULL_X113Y150 INT_TILE_Y -1 TILEPROP NULL_X113Y150 IS_CENTER_TILE 0 TILEPROP NULL_X113Y150 IS_DCM_TILE 0 TILEPROP NULL_X113Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y150 NAME NULL_X113Y150 TILEPROP NULL_X113Y150 NUM_ARCS 0 TILEPROP NULL_X113Y150 NUM_SITES 0 TILEPROP NULL_X113Y150 ROW 6 TILEPROP NULL_X113Y150 SLR_REGION_ID 0 TILEPROP NULL_X113Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y150 TILE_TYPE NULL TILEPROP NULL_X113Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y150 TILE_X 105670 TILEPROP NULL_X113Y150 TILE_Y 223096 TILEPROP NULL_X113Y150 TYPE NULL TILEPROP NULL_X113Y151 CLASS tile TILEPROP NULL_X113Y151 COLUMN 113 TILEPROP NULL_X113Y151 DEVICE_ID 0 TILEPROP NULL_X113Y151 FIRST_SITE_ID 512 TILEPROP NULL_X113Y151 GRID_POINT_X 113 TILEPROP NULL_X113Y151 GRID_POINT_Y 5 TILEPROP NULL_X113Y151 INDEX 688 TILEPROP NULL_X113Y151 INT_TILE_X -1 TILEPROP NULL_X113Y151 INT_TILE_Y -1 TILEPROP NULL_X113Y151 IS_CENTER_TILE 0 TILEPROP NULL_X113Y151 IS_DCM_TILE 0 TILEPROP NULL_X113Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y151 NAME NULL_X113Y151 TILEPROP NULL_X113Y151 NUM_ARCS 0 TILEPROP NULL_X113Y151 NUM_SITES 0 TILEPROP NULL_X113Y151 ROW 5 TILEPROP NULL_X113Y151 SLR_REGION_ID 0 TILEPROP NULL_X113Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y151 TILE_TYPE NULL TILEPROP NULL_X113Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y151 TILE_X 105670 TILEPROP NULL_X113Y151 TILE_Y 226296 TILEPROP NULL_X113Y151 TYPE NULL TILEPROP NULL_X113Y152 CLASS tile TILEPROP NULL_X113Y152 COLUMN 113 TILEPROP NULL_X113Y152 DEVICE_ID 0 TILEPROP NULL_X113Y152 FIRST_SITE_ID 396 TILEPROP NULL_X113Y152 GRID_POINT_X 113 TILEPROP NULL_X113Y152 GRID_POINT_Y 4 TILEPROP NULL_X113Y152 INDEX 573 TILEPROP NULL_X113Y152 INT_TILE_X -1 TILEPROP NULL_X113Y152 INT_TILE_Y -1 TILEPROP NULL_X113Y152 IS_CENTER_TILE 0 TILEPROP NULL_X113Y152 IS_DCM_TILE 0 TILEPROP NULL_X113Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y152 NAME NULL_X113Y152 TILEPROP NULL_X113Y152 NUM_ARCS 0 TILEPROP NULL_X113Y152 NUM_SITES 0 TILEPROP NULL_X113Y152 ROW 4 TILEPROP NULL_X113Y152 SLR_REGION_ID 0 TILEPROP NULL_X113Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y152 TILE_TYPE NULL TILEPROP NULL_X113Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y152 TILE_X 105670 TILEPROP NULL_X113Y152 TILE_Y 229496 TILEPROP NULL_X113Y152 TYPE NULL TILEPROP NULL_X113Y153 CLASS tile TILEPROP NULL_X113Y153 COLUMN 113 TILEPROP NULL_X113Y153 DEVICE_ID 0 TILEPROP NULL_X113Y153 FIRST_SITE_ID 300 TILEPROP NULL_X113Y153 GRID_POINT_X 113 TILEPROP NULL_X113Y153 GRID_POINT_Y 3 TILEPROP NULL_X113Y153 INDEX 458 TILEPROP NULL_X113Y153 INT_TILE_X -1 TILEPROP NULL_X113Y153 INT_TILE_Y -1 TILEPROP NULL_X113Y153 IS_CENTER_TILE 0 TILEPROP NULL_X113Y153 IS_DCM_TILE 0 TILEPROP NULL_X113Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y153 NAME NULL_X113Y153 TILEPROP NULL_X113Y153 NUM_ARCS 0 TILEPROP NULL_X113Y153 NUM_SITES 0 TILEPROP NULL_X113Y153 ROW 3 TILEPROP NULL_X113Y153 SLR_REGION_ID 0 TILEPROP NULL_X113Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y153 TILE_TYPE NULL TILEPROP NULL_X113Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y153 TILE_X 105670 TILEPROP NULL_X113Y153 TILE_Y 232696 TILEPROP NULL_X113Y153 TYPE NULL TILEPROP NULL_X113Y154 CLASS tile TILEPROP NULL_X113Y154 COLUMN 113 TILEPROP NULL_X113Y154 DEVICE_ID 0 TILEPROP NULL_X113Y154 FIRST_SITE_ID 196 TILEPROP NULL_X113Y154 GRID_POINT_X 113 TILEPROP NULL_X113Y154 GRID_POINT_Y 2 TILEPROP NULL_X113Y154 INDEX 343 TILEPROP NULL_X113Y154 INT_TILE_X -1 TILEPROP NULL_X113Y154 INT_TILE_Y -1 TILEPROP NULL_X113Y154 IS_CENTER_TILE 0 TILEPROP NULL_X113Y154 IS_DCM_TILE 0 TILEPROP NULL_X113Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y154 NAME NULL_X113Y154 TILEPROP NULL_X113Y154 NUM_ARCS 0 TILEPROP NULL_X113Y154 NUM_SITES 0 TILEPROP NULL_X113Y154 ROW 2 TILEPROP NULL_X113Y154 SLR_REGION_ID 0 TILEPROP NULL_X113Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y154 TILE_TYPE NULL TILEPROP NULL_X113Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y154 TILE_X 105670 TILEPROP NULL_X113Y154 TILE_Y 235896 TILEPROP NULL_X113Y154 TYPE NULL TILEPROP NULL_X113Y155 CLASS tile TILEPROP NULL_X113Y155 COLUMN 113 TILEPROP NULL_X113Y155 DEVICE_ID 0 TILEPROP NULL_X113Y155 FIRST_SITE_ID 100 TILEPROP NULL_X113Y155 GRID_POINT_X 113 TILEPROP NULL_X113Y155 GRID_POINT_Y 1 TILEPROP NULL_X113Y155 INDEX 228 TILEPROP NULL_X113Y155 INT_TILE_X -1 TILEPROP NULL_X113Y155 INT_TILE_Y -1 TILEPROP NULL_X113Y155 IS_CENTER_TILE 0 TILEPROP NULL_X113Y155 IS_DCM_TILE 0 TILEPROP NULL_X113Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y155 NAME NULL_X113Y155 TILEPROP NULL_X113Y155 NUM_ARCS 0 TILEPROP NULL_X113Y155 NUM_SITES 0 TILEPROP NULL_X113Y155 ROW 1 TILEPROP NULL_X113Y155 SLR_REGION_ID 0 TILEPROP NULL_X113Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y155 TILE_TYPE NULL TILEPROP NULL_X113Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y155 TILE_X 105670 TILEPROP NULL_X113Y155 TILE_Y 239096 TILEPROP NULL_X113Y155 TYPE NULL TILEPROP NULL_X113Y156 CLASS tile TILEPROP NULL_X113Y156 COLUMN 113 TILEPROP NULL_X113Y156 DEVICE_ID 0 TILEPROP NULL_X113Y156 FIRST_SITE_ID 0 TILEPROP NULL_X113Y156 GRID_POINT_X 113 TILEPROP NULL_X113Y156 GRID_POINT_Y 0 TILEPROP NULL_X113Y156 INDEX 113 TILEPROP NULL_X113Y156 INT_TILE_X -1 TILEPROP NULL_X113Y156 INT_TILE_Y -1 TILEPROP NULL_X113Y156 IS_CENTER_TILE 0 TILEPROP NULL_X113Y156 IS_DCM_TILE 0 TILEPROP NULL_X113Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X113Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X113Y156 NAME NULL_X113Y156 TILEPROP NULL_X113Y156 NUM_ARCS 0 TILEPROP NULL_X113Y156 NUM_SITES 0 TILEPROP NULL_X113Y156 ROW 0 TILEPROP NULL_X113Y156 SLR_REGION_ID 0 TILEPROP NULL_X113Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X113Y156 TILE_TYPE NULL TILEPROP NULL_X113Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X113Y156 TILE_X 105670 TILEPROP NULL_X113Y156 TILE_Y 242296 TILEPROP NULL_X113Y156 TYPE NULL TILEPROP NULL_X114Y0 CLASS tile TILEPROP NULL_X114Y0 COLUMN 114 TILEPROP NULL_X114Y0 DEVICE_ID 0 TILEPROP NULL_X114Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X114Y0 GRID_POINT_X 114 TILEPROP NULL_X114Y0 GRID_POINT_Y 156 TILEPROP NULL_X114Y0 INDEX 18054 TILEPROP NULL_X114Y0 INT_TILE_X 43 TILEPROP NULL_X114Y0 INT_TILE_Y 149 TILEPROP NULL_X114Y0 IS_CENTER_TILE 0 TILEPROP NULL_X114Y0 IS_DCM_TILE 0 TILEPROP NULL_X114Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y0 NAME NULL_X114Y0 TILEPROP NULL_X114Y0 NUM_ARCS 0 TILEPROP NULL_X114Y0 NUM_SITES 0 TILEPROP NULL_X114Y0 ROW 156 TILEPROP NULL_X114Y0 SLR_REGION_ID 0 TILEPROP NULL_X114Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y0 TILE_TYPE NULL TILEPROP NULL_X114Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y0 TILE_X 107062 TILEPROP NULL_X114Y0 TILE_Y -244472 TILEPROP NULL_X114Y0 TYPE NULL TILEPROP NULL_X114Y3 CLASS tile TILEPROP NULL_X114Y3 COLUMN 114 TILEPROP NULL_X114Y3 DEVICE_ID 0 TILEPROP NULL_X114Y3 FIRST_SITE_ID 15593 TILEPROP NULL_X114Y3 GRID_POINT_X 114 TILEPROP NULL_X114Y3 GRID_POINT_Y 153 TILEPROP NULL_X114Y3 INDEX 17709 TILEPROP NULL_X114Y3 INT_TILE_X 43 TILEPROP NULL_X114Y3 INT_TILE_Y 147 TILEPROP NULL_X114Y3 IS_CENTER_TILE 0 TILEPROP NULL_X114Y3 IS_DCM_TILE 0 TILEPROP NULL_X114Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y3 NAME NULL_X114Y3 TILEPROP NULL_X114Y3 NUM_ARCS 0 TILEPROP NULL_X114Y3 NUM_SITES 0 TILEPROP NULL_X114Y3 ROW 153 TILEPROP NULL_X114Y3 SLR_REGION_ID 0 TILEPROP NULL_X114Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y3 TILE_TYPE NULL TILEPROP NULL_X114Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y3 TILE_X 107062 TILEPROP NULL_X114Y3 TILE_Y -234872 TILEPROP NULL_X114Y3 TYPE NULL TILEPROP NULL_X114Y5 CLASS tile TILEPROP NULL_X114Y5 COLUMN 114 TILEPROP NULL_X114Y5 DEVICE_ID 0 TILEPROP NULL_X114Y5 FIRST_SITE_ID 15393 TILEPROP NULL_X114Y5 GRID_POINT_X 114 TILEPROP NULL_X114Y5 GRID_POINT_Y 151 TILEPROP NULL_X114Y5 INDEX 17479 TILEPROP NULL_X114Y5 INT_TILE_X 43 TILEPROP NULL_X114Y5 INT_TILE_Y 145 TILEPROP NULL_X114Y5 IS_CENTER_TILE 0 TILEPROP NULL_X114Y5 IS_DCM_TILE 0 TILEPROP NULL_X114Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y5 NAME NULL_X114Y5 TILEPROP NULL_X114Y5 NUM_ARCS 0 TILEPROP NULL_X114Y5 NUM_SITES 0 TILEPROP NULL_X114Y5 ROW 151 TILEPROP NULL_X114Y5 SLR_REGION_ID 0 TILEPROP NULL_X114Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y5 TILE_TYPE NULL TILEPROP NULL_X114Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y5 TILE_X 107062 TILEPROP NULL_X114Y5 TILE_Y -228472 TILEPROP NULL_X114Y5 TYPE NULL TILEPROP NULL_X114Y7 CLASS tile TILEPROP NULL_X114Y7 COLUMN 114 TILEPROP NULL_X114Y7 DEVICE_ID 0 TILEPROP NULL_X114Y7 FIRST_SITE_ID 15178 TILEPROP NULL_X114Y7 GRID_POINT_X 114 TILEPROP NULL_X114Y7 GRID_POINT_Y 149 TILEPROP NULL_X114Y7 INDEX 17249 TILEPROP NULL_X114Y7 INT_TILE_X 43 TILEPROP NULL_X114Y7 INT_TILE_Y 143 TILEPROP NULL_X114Y7 IS_CENTER_TILE 0 TILEPROP NULL_X114Y7 IS_DCM_TILE 0 TILEPROP NULL_X114Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y7 NAME NULL_X114Y7 TILEPROP NULL_X114Y7 NUM_ARCS 0 TILEPROP NULL_X114Y7 NUM_SITES 0 TILEPROP NULL_X114Y7 ROW 149 TILEPROP NULL_X114Y7 SLR_REGION_ID 0 TILEPROP NULL_X114Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y7 TILE_TYPE NULL TILEPROP NULL_X114Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y7 TILE_X 107062 TILEPROP NULL_X114Y7 TILE_Y -222072 TILEPROP NULL_X114Y7 TYPE NULL TILEPROP NULL_X114Y9 CLASS tile TILEPROP NULL_X114Y9 COLUMN 114 TILEPROP NULL_X114Y9 DEVICE_ID 0 TILEPROP NULL_X114Y9 FIRST_SITE_ID 14974 TILEPROP NULL_X114Y9 GRID_POINT_X 114 TILEPROP NULL_X114Y9 GRID_POINT_Y 147 TILEPROP NULL_X114Y9 INDEX 17019 TILEPROP NULL_X114Y9 INT_TILE_X 43 TILEPROP NULL_X114Y9 INT_TILE_Y 141 TILEPROP NULL_X114Y9 IS_CENTER_TILE 0 TILEPROP NULL_X114Y9 IS_DCM_TILE 0 TILEPROP NULL_X114Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y9 NAME NULL_X114Y9 TILEPROP NULL_X114Y9 NUM_ARCS 0 TILEPROP NULL_X114Y9 NUM_SITES 0 TILEPROP NULL_X114Y9 ROW 147 TILEPROP NULL_X114Y9 SLR_REGION_ID 0 TILEPROP NULL_X114Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y9 TILE_TYPE NULL TILEPROP NULL_X114Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y9 TILE_X 107062 TILEPROP NULL_X114Y9 TILE_Y -215672 TILEPROP NULL_X114Y9 TYPE NULL TILEPROP NULL_X114Y11 CLASS tile TILEPROP NULL_X114Y11 COLUMN 114 TILEPROP NULL_X114Y11 DEVICE_ID 0 TILEPROP NULL_X114Y11 FIRST_SITE_ID 14772 TILEPROP NULL_X114Y11 GRID_POINT_X 114 TILEPROP NULL_X114Y11 GRID_POINT_Y 145 TILEPROP NULL_X114Y11 INDEX 16789 TILEPROP NULL_X114Y11 INT_TILE_X 43 TILEPROP NULL_X114Y11 INT_TILE_Y 139 TILEPROP NULL_X114Y11 IS_CENTER_TILE 0 TILEPROP NULL_X114Y11 IS_DCM_TILE 0 TILEPROP NULL_X114Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y11 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y11 NAME NULL_X114Y11 TILEPROP NULL_X114Y11 NUM_ARCS 0 TILEPROP NULL_X114Y11 NUM_SITES 0 TILEPROP NULL_X114Y11 ROW 145 TILEPROP NULL_X114Y11 SLR_REGION_ID 0 TILEPROP NULL_X114Y11 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y11 TILE_TYPE NULL TILEPROP NULL_X114Y11 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y11 TILE_X 107062 TILEPROP NULL_X114Y11 TILE_Y -209272 TILEPROP NULL_X114Y11 TYPE NULL TILEPROP NULL_X114Y13 CLASS tile TILEPROP NULL_X114Y13 COLUMN 114 TILEPROP NULL_X114Y13 DEVICE_ID 0 TILEPROP NULL_X114Y13 FIRST_SITE_ID 14557 TILEPROP NULL_X114Y13 GRID_POINT_X 114 TILEPROP NULL_X114Y13 GRID_POINT_Y 143 TILEPROP NULL_X114Y13 INDEX 16559 TILEPROP NULL_X114Y13 INT_TILE_X 43 TILEPROP NULL_X114Y13 INT_TILE_Y 137 TILEPROP NULL_X114Y13 IS_CENTER_TILE 0 TILEPROP NULL_X114Y13 IS_DCM_TILE 0 TILEPROP NULL_X114Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y13 NAME NULL_X114Y13 TILEPROP NULL_X114Y13 NUM_ARCS 0 TILEPROP NULL_X114Y13 NUM_SITES 0 TILEPROP NULL_X114Y13 ROW 143 TILEPROP NULL_X114Y13 SLR_REGION_ID 0 TILEPROP NULL_X114Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y13 TILE_TYPE NULL TILEPROP NULL_X114Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y13 TILE_X 107062 TILEPROP NULL_X114Y13 TILE_Y -202872 TILEPROP NULL_X114Y13 TYPE NULL TILEPROP NULL_X114Y15 CLASS tile TILEPROP NULL_X114Y15 COLUMN 114 TILEPROP NULL_X114Y15 DEVICE_ID 0 TILEPROP NULL_X114Y15 FIRST_SITE_ID 14325 TILEPROP NULL_X114Y15 GRID_POINT_X 114 TILEPROP NULL_X114Y15 GRID_POINT_Y 141 TILEPROP NULL_X114Y15 INDEX 16329 TILEPROP NULL_X114Y15 INT_TILE_X 43 TILEPROP NULL_X114Y15 INT_TILE_Y 135 TILEPROP NULL_X114Y15 IS_CENTER_TILE 0 TILEPROP NULL_X114Y15 IS_DCM_TILE 0 TILEPROP NULL_X114Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y15 NAME NULL_X114Y15 TILEPROP NULL_X114Y15 NUM_ARCS 0 TILEPROP NULL_X114Y15 NUM_SITES 0 TILEPROP NULL_X114Y15 ROW 141 TILEPROP NULL_X114Y15 SLR_REGION_ID 0 TILEPROP NULL_X114Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y15 TILE_TYPE NULL TILEPROP NULL_X114Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y15 TILE_X 107062 TILEPROP NULL_X114Y15 TILE_Y -196472 TILEPROP NULL_X114Y15 TYPE NULL TILEPROP NULL_X114Y17 CLASS tile TILEPROP NULL_X114Y17 COLUMN 114 TILEPROP NULL_X114Y17 DEVICE_ID 0 TILEPROP NULL_X114Y17 FIRST_SITE_ID 14110 TILEPROP NULL_X114Y17 GRID_POINT_X 114 TILEPROP NULL_X114Y17 GRID_POINT_Y 139 TILEPROP NULL_X114Y17 INDEX 16099 TILEPROP NULL_X114Y17 INT_TILE_X 43 TILEPROP NULL_X114Y17 INT_TILE_Y 133 TILEPROP NULL_X114Y17 IS_CENTER_TILE 0 TILEPROP NULL_X114Y17 IS_DCM_TILE 0 TILEPROP NULL_X114Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y17 NAME NULL_X114Y17 TILEPROP NULL_X114Y17 NUM_ARCS 0 TILEPROP NULL_X114Y17 NUM_SITES 0 TILEPROP NULL_X114Y17 ROW 139 TILEPROP NULL_X114Y17 SLR_REGION_ID 0 TILEPROP NULL_X114Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y17 TILE_TYPE NULL TILEPROP NULL_X114Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y17 TILE_X 107062 TILEPROP NULL_X114Y17 TILE_Y -190072 TILEPROP NULL_X114Y17 TYPE NULL TILEPROP NULL_X114Y19 CLASS tile TILEPROP NULL_X114Y19 COLUMN 114 TILEPROP NULL_X114Y19 DEVICE_ID 0 TILEPROP NULL_X114Y19 FIRST_SITE_ID 13901 TILEPROP NULL_X114Y19 GRID_POINT_X 114 TILEPROP NULL_X114Y19 GRID_POINT_Y 137 TILEPROP NULL_X114Y19 INDEX 15869 TILEPROP NULL_X114Y19 INT_TILE_X 43 TILEPROP NULL_X114Y19 INT_TILE_Y 131 TILEPROP NULL_X114Y19 IS_CENTER_TILE 0 TILEPROP NULL_X114Y19 IS_DCM_TILE 0 TILEPROP NULL_X114Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y19 NAME NULL_X114Y19 TILEPROP NULL_X114Y19 NUM_ARCS 0 TILEPROP NULL_X114Y19 NUM_SITES 0 TILEPROP NULL_X114Y19 ROW 137 TILEPROP NULL_X114Y19 SLR_REGION_ID 0 TILEPROP NULL_X114Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y19 TILE_TYPE NULL TILEPROP NULL_X114Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y19 TILE_X 107062 TILEPROP NULL_X114Y19 TILE_Y -183672 TILEPROP NULL_X114Y19 TYPE NULL TILEPROP NULL_X114Y21 CLASS tile TILEPROP NULL_X114Y21 COLUMN 114 TILEPROP NULL_X114Y21 DEVICE_ID 0 TILEPROP NULL_X114Y21 FIRST_SITE_ID 13697 TILEPROP NULL_X114Y21 GRID_POINT_X 114 TILEPROP NULL_X114Y21 GRID_POINT_Y 135 TILEPROP NULL_X114Y21 INDEX 15639 TILEPROP NULL_X114Y21 INT_TILE_X 43 TILEPROP NULL_X114Y21 INT_TILE_Y 129 TILEPROP NULL_X114Y21 IS_CENTER_TILE 0 TILEPROP NULL_X114Y21 IS_DCM_TILE 0 TILEPROP NULL_X114Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y21 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y21 NAME NULL_X114Y21 TILEPROP NULL_X114Y21 NUM_ARCS 0 TILEPROP NULL_X114Y21 NUM_SITES 0 TILEPROP NULL_X114Y21 ROW 135 TILEPROP NULL_X114Y21 SLR_REGION_ID 0 TILEPROP NULL_X114Y21 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y21 TILE_TYPE NULL TILEPROP NULL_X114Y21 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y21 TILE_X 107062 TILEPROP NULL_X114Y21 TILE_Y -177272 TILEPROP NULL_X114Y21 TYPE NULL TILEPROP NULL_X114Y23 CLASS tile TILEPROP NULL_X114Y23 COLUMN 114 TILEPROP NULL_X114Y23 DEVICE_ID 0 TILEPROP NULL_X114Y23 FIRST_SITE_ID 13482 TILEPROP NULL_X114Y23 GRID_POINT_X 114 TILEPROP NULL_X114Y23 GRID_POINT_Y 133 TILEPROP NULL_X114Y23 INDEX 15409 TILEPROP NULL_X114Y23 INT_TILE_X 43 TILEPROP NULL_X114Y23 INT_TILE_Y 127 TILEPROP NULL_X114Y23 IS_CENTER_TILE 0 TILEPROP NULL_X114Y23 IS_DCM_TILE 0 TILEPROP NULL_X114Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y23 NAME NULL_X114Y23 TILEPROP NULL_X114Y23 NUM_ARCS 0 TILEPROP NULL_X114Y23 NUM_SITES 0 TILEPROP NULL_X114Y23 ROW 133 TILEPROP NULL_X114Y23 SLR_REGION_ID 0 TILEPROP NULL_X114Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y23 TILE_TYPE NULL TILEPROP NULL_X114Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y23 TILE_X 107062 TILEPROP NULL_X114Y23 TILE_Y -170872 TILEPROP NULL_X114Y23 TYPE NULL TILEPROP NULL_X114Y25 CLASS tile TILEPROP NULL_X114Y25 COLUMN 114 TILEPROP NULL_X114Y25 DEVICE_ID 0 TILEPROP NULL_X114Y25 FIRST_SITE_ID 13282 TILEPROP NULL_X114Y25 GRID_POINT_X 114 TILEPROP NULL_X114Y25 GRID_POINT_Y 131 TILEPROP NULL_X114Y25 INDEX 15179 TILEPROP NULL_X114Y25 INT_TILE_X 43 TILEPROP NULL_X114Y25 INT_TILE_Y 125 TILEPROP NULL_X114Y25 IS_CENTER_TILE 0 TILEPROP NULL_X114Y25 IS_DCM_TILE 0 TILEPROP NULL_X114Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y25 NAME NULL_X114Y25 TILEPROP NULL_X114Y25 NUM_ARCS 0 TILEPROP NULL_X114Y25 NUM_SITES 0 TILEPROP NULL_X114Y25 ROW 131 TILEPROP NULL_X114Y25 SLR_REGION_ID 0 TILEPROP NULL_X114Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y25 TILE_TYPE NULL TILEPROP NULL_X114Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y25 TILE_X 107062 TILEPROP NULL_X114Y25 TILE_Y -164472 TILEPROP NULL_X114Y25 TYPE NULL TILEPROP NULL_X114Y28 CLASS tile TILEPROP NULL_X114Y28 COLUMN 114 TILEPROP NULL_X114Y28 DEVICE_ID 0 TILEPROP NULL_X114Y28 FIRST_SITE_ID 12984 TILEPROP NULL_X114Y28 GRID_POINT_X 114 TILEPROP NULL_X114Y28 GRID_POINT_Y 128 TILEPROP NULL_X114Y28 INDEX 14834 TILEPROP NULL_X114Y28 INT_TILE_X 43 TILEPROP NULL_X114Y28 INT_TILE_Y 123 TILEPROP NULL_X114Y28 IS_CENTER_TILE 0 TILEPROP NULL_X114Y28 IS_DCM_TILE 0 TILEPROP NULL_X114Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y28 NAME NULL_X114Y28 TILEPROP NULL_X114Y28 NUM_ARCS 0 TILEPROP NULL_X114Y28 NUM_SITES 0 TILEPROP NULL_X114Y28 ROW 128 TILEPROP NULL_X114Y28 SLR_REGION_ID 0 TILEPROP NULL_X114Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y28 TILE_TYPE NULL TILEPROP NULL_X114Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y28 TILE_X 107062 TILEPROP NULL_X114Y28 TILE_Y -157048 TILEPROP NULL_X114Y28 TYPE NULL TILEPROP NULL_X114Y30 CLASS tile TILEPROP NULL_X114Y30 COLUMN 114 TILEPROP NULL_X114Y30 DEVICE_ID 0 TILEPROP NULL_X114Y30 FIRST_SITE_ID 12784 TILEPROP NULL_X114Y30 GRID_POINT_X 114 TILEPROP NULL_X114Y30 GRID_POINT_Y 126 TILEPROP NULL_X114Y30 INDEX 14604 TILEPROP NULL_X114Y30 INT_TILE_X 43 TILEPROP NULL_X114Y30 INT_TILE_Y 121 TILEPROP NULL_X114Y30 IS_CENTER_TILE 0 TILEPROP NULL_X114Y30 IS_DCM_TILE 0 TILEPROP NULL_X114Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y30 NAME NULL_X114Y30 TILEPROP NULL_X114Y30 NUM_ARCS 0 TILEPROP NULL_X114Y30 NUM_SITES 0 TILEPROP NULL_X114Y30 ROW 126 TILEPROP NULL_X114Y30 SLR_REGION_ID 0 TILEPROP NULL_X114Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y30 TILE_TYPE NULL TILEPROP NULL_X114Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y30 TILE_X 107062 TILEPROP NULL_X114Y30 TILE_Y -150648 TILEPROP NULL_X114Y30 TYPE NULL TILEPROP NULL_X114Y32 CLASS tile TILEPROP NULL_X114Y32 COLUMN 114 TILEPROP NULL_X114Y32 DEVICE_ID 0 TILEPROP NULL_X114Y32 FIRST_SITE_ID 12572 TILEPROP NULL_X114Y32 GRID_POINT_X 114 TILEPROP NULL_X114Y32 GRID_POINT_Y 124 TILEPROP NULL_X114Y32 INDEX 14374 TILEPROP NULL_X114Y32 INT_TILE_X 43 TILEPROP NULL_X114Y32 INT_TILE_Y 119 TILEPROP NULL_X114Y32 IS_CENTER_TILE 0 TILEPROP NULL_X114Y32 IS_DCM_TILE 0 TILEPROP NULL_X114Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y32 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y32 NAME NULL_X114Y32 TILEPROP NULL_X114Y32 NUM_ARCS 0 TILEPROP NULL_X114Y32 NUM_SITES 0 TILEPROP NULL_X114Y32 ROW 124 TILEPROP NULL_X114Y32 SLR_REGION_ID 0 TILEPROP NULL_X114Y32 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y32 TILE_TYPE NULL TILEPROP NULL_X114Y32 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y32 TILE_X 107062 TILEPROP NULL_X114Y32 TILE_Y -144248 TILEPROP NULL_X114Y32 TYPE NULL TILEPROP NULL_X114Y34 CLASS tile TILEPROP NULL_X114Y34 COLUMN 114 TILEPROP NULL_X114Y34 DEVICE_ID 0 TILEPROP NULL_X114Y34 FIRST_SITE_ID 12340 TILEPROP NULL_X114Y34 GRID_POINT_X 114 TILEPROP NULL_X114Y34 GRID_POINT_Y 122 TILEPROP NULL_X114Y34 INDEX 14144 TILEPROP NULL_X114Y34 INT_TILE_X 43 TILEPROP NULL_X114Y34 INT_TILE_Y 117 TILEPROP NULL_X114Y34 IS_CENTER_TILE 0 TILEPROP NULL_X114Y34 IS_DCM_TILE 0 TILEPROP NULL_X114Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y34 NAME NULL_X114Y34 TILEPROP NULL_X114Y34 NUM_ARCS 0 TILEPROP NULL_X114Y34 NUM_SITES 0 TILEPROP NULL_X114Y34 ROW 122 TILEPROP NULL_X114Y34 SLR_REGION_ID 0 TILEPROP NULL_X114Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y34 TILE_TYPE NULL TILEPROP NULL_X114Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y34 TILE_X 107062 TILEPROP NULL_X114Y34 TILE_Y -137848 TILEPROP NULL_X114Y34 TYPE NULL TILEPROP NULL_X114Y36 CLASS tile TILEPROP NULL_X114Y36 COLUMN 114 TILEPROP NULL_X114Y36 DEVICE_ID 0 TILEPROP NULL_X114Y36 FIRST_SITE_ID 12139 TILEPROP NULL_X114Y36 GRID_POINT_X 114 TILEPROP NULL_X114Y36 GRID_POINT_Y 120 TILEPROP NULL_X114Y36 INDEX 13914 TILEPROP NULL_X114Y36 INT_TILE_X 43 TILEPROP NULL_X114Y36 INT_TILE_Y 115 TILEPROP NULL_X114Y36 IS_CENTER_TILE 0 TILEPROP NULL_X114Y36 IS_DCM_TILE 0 TILEPROP NULL_X114Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y36 NAME NULL_X114Y36 TILEPROP NULL_X114Y36 NUM_ARCS 0 TILEPROP NULL_X114Y36 NUM_SITES 0 TILEPROP NULL_X114Y36 ROW 120 TILEPROP NULL_X114Y36 SLR_REGION_ID 0 TILEPROP NULL_X114Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y36 TILE_TYPE NULL TILEPROP NULL_X114Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y36 TILE_X 107062 TILEPROP NULL_X114Y36 TILE_Y -131448 TILEPROP NULL_X114Y36 TYPE NULL TILEPROP NULL_X114Y38 CLASS tile TILEPROP NULL_X114Y38 COLUMN 114 TILEPROP NULL_X114Y38 DEVICE_ID 0 TILEPROP NULL_X114Y38 FIRST_SITE_ID 11924 TILEPROP NULL_X114Y38 GRID_POINT_X 114 TILEPROP NULL_X114Y38 GRID_POINT_Y 118 TILEPROP NULL_X114Y38 INDEX 13684 TILEPROP NULL_X114Y38 INT_TILE_X 43 TILEPROP NULL_X114Y38 INT_TILE_Y 113 TILEPROP NULL_X114Y38 IS_CENTER_TILE 0 TILEPROP NULL_X114Y38 IS_DCM_TILE 0 TILEPROP NULL_X114Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y38 NAME NULL_X114Y38 TILEPROP NULL_X114Y38 NUM_ARCS 0 TILEPROP NULL_X114Y38 NUM_SITES 0 TILEPROP NULL_X114Y38 ROW 118 TILEPROP NULL_X114Y38 SLR_REGION_ID 0 TILEPROP NULL_X114Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y38 TILE_TYPE NULL TILEPROP NULL_X114Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y38 TILE_X 107062 TILEPROP NULL_X114Y38 TILE_Y -125048 TILEPROP NULL_X114Y38 TYPE NULL TILEPROP NULL_X114Y40 CLASS tile TILEPROP NULL_X114Y40 COLUMN 114 TILEPROP NULL_X114Y40 DEVICE_ID 0 TILEPROP NULL_X114Y40 FIRST_SITE_ID 11692 TILEPROP NULL_X114Y40 GRID_POINT_X 114 TILEPROP NULL_X114Y40 GRID_POINT_Y 116 TILEPROP NULL_X114Y40 INDEX 13454 TILEPROP NULL_X114Y40 INT_TILE_X 43 TILEPROP NULL_X114Y40 INT_TILE_Y 111 TILEPROP NULL_X114Y40 IS_CENTER_TILE 0 TILEPROP NULL_X114Y40 IS_DCM_TILE 0 TILEPROP NULL_X114Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y40 NAME NULL_X114Y40 TILEPROP NULL_X114Y40 NUM_ARCS 0 TILEPROP NULL_X114Y40 NUM_SITES 0 TILEPROP NULL_X114Y40 ROW 116 TILEPROP NULL_X114Y40 SLR_REGION_ID 0 TILEPROP NULL_X114Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y40 TILE_TYPE NULL TILEPROP NULL_X114Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y40 TILE_X 107062 TILEPROP NULL_X114Y40 TILE_Y -118648 TILEPROP NULL_X114Y40 TYPE NULL TILEPROP NULL_X114Y42 CLASS tile TILEPROP NULL_X114Y42 COLUMN 114 TILEPROP NULL_X114Y42 DEVICE_ID 0 TILEPROP NULL_X114Y42 FIRST_SITE_ID 11492 TILEPROP NULL_X114Y42 GRID_POINT_X 114 TILEPROP NULL_X114Y42 GRID_POINT_Y 114 TILEPROP NULL_X114Y42 INDEX 13224 TILEPROP NULL_X114Y42 INT_TILE_X 43 TILEPROP NULL_X114Y42 INT_TILE_Y 109 TILEPROP NULL_X114Y42 IS_CENTER_TILE 0 TILEPROP NULL_X114Y42 IS_DCM_TILE 0 TILEPROP NULL_X114Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y42 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y42 NAME NULL_X114Y42 TILEPROP NULL_X114Y42 NUM_ARCS 0 TILEPROP NULL_X114Y42 NUM_SITES 0 TILEPROP NULL_X114Y42 ROW 114 TILEPROP NULL_X114Y42 SLR_REGION_ID 0 TILEPROP NULL_X114Y42 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y42 TILE_TYPE NULL TILEPROP NULL_X114Y42 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y42 TILE_X 107062 TILEPROP NULL_X114Y42 TILE_Y -112248 TILEPROP NULL_X114Y42 TYPE NULL TILEPROP NULL_X114Y44 CLASS tile TILEPROP NULL_X114Y44 COLUMN 114 TILEPROP NULL_X114Y44 DEVICE_ID 0 TILEPROP NULL_X114Y44 FIRST_SITE_ID 11274 TILEPROP NULL_X114Y44 GRID_POINT_X 114 TILEPROP NULL_X114Y44 GRID_POINT_Y 112 TILEPROP NULL_X114Y44 INDEX 12994 TILEPROP NULL_X114Y44 INT_TILE_X 43 TILEPROP NULL_X114Y44 INT_TILE_Y 107 TILEPROP NULL_X114Y44 IS_CENTER_TILE 0 TILEPROP NULL_X114Y44 IS_DCM_TILE 0 TILEPROP NULL_X114Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y44 NAME NULL_X114Y44 TILEPROP NULL_X114Y44 NUM_ARCS 0 TILEPROP NULL_X114Y44 NUM_SITES 0 TILEPROP NULL_X114Y44 ROW 112 TILEPROP NULL_X114Y44 SLR_REGION_ID 0 TILEPROP NULL_X114Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y44 TILE_TYPE NULL TILEPROP NULL_X114Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y44 TILE_X 107062 TILEPROP NULL_X114Y44 TILE_Y -105848 TILEPROP NULL_X114Y44 TYPE NULL TILEPROP NULL_X114Y46 CLASS tile TILEPROP NULL_X114Y46 COLUMN 114 TILEPROP NULL_X114Y46 DEVICE_ID 0 TILEPROP NULL_X114Y46 FIRST_SITE_ID 11068 TILEPROP NULL_X114Y46 GRID_POINT_X 114 TILEPROP NULL_X114Y46 GRID_POINT_Y 110 TILEPROP NULL_X114Y46 INDEX 12764 TILEPROP NULL_X114Y46 INT_TILE_X 43 TILEPROP NULL_X114Y46 INT_TILE_Y 105 TILEPROP NULL_X114Y46 IS_CENTER_TILE 0 TILEPROP NULL_X114Y46 IS_DCM_TILE 0 TILEPROP NULL_X114Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y46 NAME NULL_X114Y46 TILEPROP NULL_X114Y46 NUM_ARCS 0 TILEPROP NULL_X114Y46 NUM_SITES 0 TILEPROP NULL_X114Y46 ROW 110 TILEPROP NULL_X114Y46 SLR_REGION_ID 0 TILEPROP NULL_X114Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y46 TILE_TYPE NULL TILEPROP NULL_X114Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y46 TILE_X 107062 TILEPROP NULL_X114Y46 TILE_Y -99448 TILEPROP NULL_X114Y46 TYPE NULL TILEPROP NULL_X114Y48 CLASS tile TILEPROP NULL_X114Y48 COLUMN 114 TILEPROP NULL_X114Y48 DEVICE_ID 0 TILEPROP NULL_X114Y48 FIRST_SITE_ID 10852 TILEPROP NULL_X114Y48 GRID_POINT_X 114 TILEPROP NULL_X114Y48 GRID_POINT_Y 108 TILEPROP NULL_X114Y48 INDEX 12534 TILEPROP NULL_X114Y48 INT_TILE_X 43 TILEPROP NULL_X114Y48 INT_TILE_Y 103 TILEPROP NULL_X114Y48 IS_CENTER_TILE 0 TILEPROP NULL_X114Y48 IS_DCM_TILE 0 TILEPROP NULL_X114Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y48 NAME NULL_X114Y48 TILEPROP NULL_X114Y48 NUM_ARCS 0 TILEPROP NULL_X114Y48 NUM_SITES 0 TILEPROP NULL_X114Y48 ROW 108 TILEPROP NULL_X114Y48 SLR_REGION_ID 0 TILEPROP NULL_X114Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y48 TILE_TYPE NULL TILEPROP NULL_X114Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y48 TILE_X 107062 TILEPROP NULL_X114Y48 TILE_Y -93048 TILEPROP NULL_X114Y48 TYPE NULL TILEPROP NULL_X114Y50 CLASS tile TILEPROP NULL_X114Y50 COLUMN 114 TILEPROP NULL_X114Y50 DEVICE_ID 0 TILEPROP NULL_X114Y50 FIRST_SITE_ID 10636 TILEPROP NULL_X114Y50 GRID_POINT_X 114 TILEPROP NULL_X114Y50 GRID_POINT_Y 106 TILEPROP NULL_X114Y50 INDEX 12304 TILEPROP NULL_X114Y50 INT_TILE_X 43 TILEPROP NULL_X114Y50 INT_TILE_Y 101 TILEPROP NULL_X114Y50 IS_CENTER_TILE 0 TILEPROP NULL_X114Y50 IS_DCM_TILE 0 TILEPROP NULL_X114Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y50 NAME NULL_X114Y50 TILEPROP NULL_X114Y50 NUM_ARCS 0 TILEPROP NULL_X114Y50 NUM_SITES 0 TILEPROP NULL_X114Y50 ROW 106 TILEPROP NULL_X114Y50 SLR_REGION_ID 0 TILEPROP NULL_X114Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y50 TILE_TYPE NULL TILEPROP NULL_X114Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y50 TILE_X 107062 TILEPROP NULL_X114Y50 TILE_Y -86648 TILEPROP NULL_X114Y50 TYPE NULL TILEPROP NULL_X114Y52 CLASS tile TILEPROP NULL_X114Y52 COLUMN 114 TILEPROP NULL_X114Y52 DEVICE_ID 0 TILEPROP NULL_X114Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X114Y52 GRID_POINT_X 114 TILEPROP NULL_X114Y52 GRID_POINT_Y 104 TILEPROP NULL_X114Y52 INDEX 12074 TILEPROP NULL_X114Y52 INT_TILE_X 43 TILEPROP NULL_X114Y52 INT_TILE_Y 99 TILEPROP NULL_X114Y52 IS_CENTER_TILE 0 TILEPROP NULL_X114Y52 IS_DCM_TILE 0 TILEPROP NULL_X114Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y52 NAME NULL_X114Y52 TILEPROP NULL_X114Y52 NUM_ARCS 0 TILEPROP NULL_X114Y52 NUM_SITES 0 TILEPROP NULL_X114Y52 ROW 104 TILEPROP NULL_X114Y52 SLR_REGION_ID 0 TILEPROP NULL_X114Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y52 TILE_TYPE NULL TILEPROP NULL_X114Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y52 TILE_X 107062 TILEPROP NULL_X114Y52 TILE_Y -80248 TILEPROP NULL_X114Y52 TYPE NULL TILEPROP NULL_X114Y55 CLASS tile TILEPROP NULL_X114Y55 COLUMN 114 TILEPROP NULL_X114Y55 DEVICE_ID 0 TILEPROP NULL_X114Y55 FIRST_SITE_ID 10205 TILEPROP NULL_X114Y55 GRID_POINT_X 114 TILEPROP NULL_X114Y55 GRID_POINT_Y 101 TILEPROP NULL_X114Y55 INDEX 11729 TILEPROP NULL_X114Y55 INT_TILE_X 43 TILEPROP NULL_X114Y55 INT_TILE_Y 97 TILEPROP NULL_X114Y55 IS_CENTER_TILE 0 TILEPROP NULL_X114Y55 IS_DCM_TILE 0 TILEPROP NULL_X114Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y55 NAME NULL_X114Y55 TILEPROP NULL_X114Y55 NUM_ARCS 0 TILEPROP NULL_X114Y55 NUM_SITES 0 TILEPROP NULL_X114Y55 ROW 101 TILEPROP NULL_X114Y55 SLR_REGION_ID 0 TILEPROP NULL_X114Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y55 TILE_TYPE NULL TILEPROP NULL_X114Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y55 TILE_X 107062 TILEPROP NULL_X114Y55 TILE_Y -73600 TILEPROP NULL_X114Y55 TYPE NULL TILEPROP NULL_X114Y57 CLASS tile TILEPROP NULL_X114Y57 COLUMN 114 TILEPROP NULL_X114Y57 DEVICE_ID 0 TILEPROP NULL_X114Y57 FIRST_SITE_ID 10005 TILEPROP NULL_X114Y57 GRID_POINT_X 114 TILEPROP NULL_X114Y57 GRID_POINT_Y 99 TILEPROP NULL_X114Y57 INDEX 11499 TILEPROP NULL_X114Y57 INT_TILE_X 43 TILEPROP NULL_X114Y57 INT_TILE_Y 95 TILEPROP NULL_X114Y57 IS_CENTER_TILE 0 TILEPROP NULL_X114Y57 IS_DCM_TILE 0 TILEPROP NULL_X114Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y57 NAME NULL_X114Y57 TILEPROP NULL_X114Y57 NUM_ARCS 0 TILEPROP NULL_X114Y57 NUM_SITES 0 TILEPROP NULL_X114Y57 ROW 99 TILEPROP NULL_X114Y57 SLR_REGION_ID 0 TILEPROP NULL_X114Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y57 TILE_TYPE NULL TILEPROP NULL_X114Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y57 TILE_X 107062 TILEPROP NULL_X114Y57 TILE_Y -67200 TILEPROP NULL_X114Y57 TYPE NULL TILEPROP NULL_X114Y59 CLASS tile TILEPROP NULL_X114Y59 COLUMN 114 TILEPROP NULL_X114Y59 DEVICE_ID 0 TILEPROP NULL_X114Y59 FIRST_SITE_ID 9790 TILEPROP NULL_X114Y59 GRID_POINT_X 114 TILEPROP NULL_X114Y59 GRID_POINT_Y 97 TILEPROP NULL_X114Y59 INDEX 11269 TILEPROP NULL_X114Y59 INT_TILE_X 43 TILEPROP NULL_X114Y59 INT_TILE_Y 93 TILEPROP NULL_X114Y59 IS_CENTER_TILE 0 TILEPROP NULL_X114Y59 IS_DCM_TILE 0 TILEPROP NULL_X114Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y59 NAME NULL_X114Y59 TILEPROP NULL_X114Y59 NUM_ARCS 0 TILEPROP NULL_X114Y59 NUM_SITES 0 TILEPROP NULL_X114Y59 ROW 97 TILEPROP NULL_X114Y59 SLR_REGION_ID 0 TILEPROP NULL_X114Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y59 TILE_TYPE NULL TILEPROP NULL_X114Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y59 TILE_X 107062 TILEPROP NULL_X114Y59 TILE_Y -60800 TILEPROP NULL_X114Y59 TYPE NULL TILEPROP NULL_X114Y61 CLASS tile TILEPROP NULL_X114Y61 COLUMN 114 TILEPROP NULL_X114Y61 DEVICE_ID 0 TILEPROP NULL_X114Y61 FIRST_SITE_ID 9586 TILEPROP NULL_X114Y61 GRID_POINT_X 114 TILEPROP NULL_X114Y61 GRID_POINT_Y 95 TILEPROP NULL_X114Y61 INDEX 11039 TILEPROP NULL_X114Y61 INT_TILE_X 43 TILEPROP NULL_X114Y61 INT_TILE_Y 91 TILEPROP NULL_X114Y61 IS_CENTER_TILE 0 TILEPROP NULL_X114Y61 IS_DCM_TILE 0 TILEPROP NULL_X114Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y61 NAME NULL_X114Y61 TILEPROP NULL_X114Y61 NUM_ARCS 0 TILEPROP NULL_X114Y61 NUM_SITES 0 TILEPROP NULL_X114Y61 ROW 95 TILEPROP NULL_X114Y61 SLR_REGION_ID 0 TILEPROP NULL_X114Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y61 TILE_TYPE NULL TILEPROP NULL_X114Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y61 TILE_X 107062 TILEPROP NULL_X114Y61 TILE_Y -54400 TILEPROP NULL_X114Y61 TYPE NULL TILEPROP NULL_X114Y63 CLASS tile TILEPROP NULL_X114Y63 COLUMN 114 TILEPROP NULL_X114Y63 DEVICE_ID 0 TILEPROP NULL_X114Y63 FIRST_SITE_ID 9384 TILEPROP NULL_X114Y63 GRID_POINT_X 114 TILEPROP NULL_X114Y63 GRID_POINT_Y 93 TILEPROP NULL_X114Y63 INDEX 10809 TILEPROP NULL_X114Y63 INT_TILE_X 43 TILEPROP NULL_X114Y63 INT_TILE_Y 89 TILEPROP NULL_X114Y63 IS_CENTER_TILE 0 TILEPROP NULL_X114Y63 IS_DCM_TILE 0 TILEPROP NULL_X114Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y63 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y63 NAME NULL_X114Y63 TILEPROP NULL_X114Y63 NUM_ARCS 0 TILEPROP NULL_X114Y63 NUM_SITES 0 TILEPROP NULL_X114Y63 ROW 93 TILEPROP NULL_X114Y63 SLR_REGION_ID 0 TILEPROP NULL_X114Y63 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y63 TILE_TYPE NULL TILEPROP NULL_X114Y63 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y63 TILE_X 107062 TILEPROP NULL_X114Y63 TILE_Y -48000 TILEPROP NULL_X114Y63 TYPE NULL TILEPROP NULL_X114Y65 CLASS tile TILEPROP NULL_X114Y65 COLUMN 114 TILEPROP NULL_X114Y65 DEVICE_ID 0 TILEPROP NULL_X114Y65 FIRST_SITE_ID 9169 TILEPROP NULL_X114Y65 GRID_POINT_X 114 TILEPROP NULL_X114Y65 GRID_POINT_Y 91 TILEPROP NULL_X114Y65 INDEX 10579 TILEPROP NULL_X114Y65 INT_TILE_X 43 TILEPROP NULL_X114Y65 INT_TILE_Y 87 TILEPROP NULL_X114Y65 IS_CENTER_TILE 0 TILEPROP NULL_X114Y65 IS_DCM_TILE 0 TILEPROP NULL_X114Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y65 NAME NULL_X114Y65 TILEPROP NULL_X114Y65 NUM_ARCS 0 TILEPROP NULL_X114Y65 NUM_SITES 0 TILEPROP NULL_X114Y65 ROW 91 TILEPROP NULL_X114Y65 SLR_REGION_ID 0 TILEPROP NULL_X114Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y65 TILE_TYPE NULL TILEPROP NULL_X114Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y65 TILE_X 107062 TILEPROP NULL_X114Y65 TILE_Y -41600 TILEPROP NULL_X114Y65 TYPE NULL TILEPROP NULL_X114Y67 CLASS tile TILEPROP NULL_X114Y67 COLUMN 114 TILEPROP NULL_X114Y67 DEVICE_ID 0 TILEPROP NULL_X114Y67 FIRST_SITE_ID 8937 TILEPROP NULL_X114Y67 GRID_POINT_X 114 TILEPROP NULL_X114Y67 GRID_POINT_Y 89 TILEPROP NULL_X114Y67 INDEX 10349 TILEPROP NULL_X114Y67 INT_TILE_X 43 TILEPROP NULL_X114Y67 INT_TILE_Y 85 TILEPROP NULL_X114Y67 IS_CENTER_TILE 0 TILEPROP NULL_X114Y67 IS_DCM_TILE 0 TILEPROP NULL_X114Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y67 NAME NULL_X114Y67 TILEPROP NULL_X114Y67 NUM_ARCS 0 TILEPROP NULL_X114Y67 NUM_SITES 0 TILEPROP NULL_X114Y67 ROW 89 TILEPROP NULL_X114Y67 SLR_REGION_ID 0 TILEPROP NULL_X114Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y67 TILE_TYPE NULL TILEPROP NULL_X114Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y67 TILE_X 107062 TILEPROP NULL_X114Y67 TILE_Y -35200 TILEPROP NULL_X114Y67 TYPE NULL TILEPROP NULL_X114Y69 CLASS tile TILEPROP NULL_X114Y69 COLUMN 114 TILEPROP NULL_X114Y69 DEVICE_ID 0 TILEPROP NULL_X114Y69 FIRST_SITE_ID 8722 TILEPROP NULL_X114Y69 GRID_POINT_X 114 TILEPROP NULL_X114Y69 GRID_POINT_Y 87 TILEPROP NULL_X114Y69 INDEX 10119 TILEPROP NULL_X114Y69 INT_TILE_X 43 TILEPROP NULL_X114Y69 INT_TILE_Y 83 TILEPROP NULL_X114Y69 IS_CENTER_TILE 0 TILEPROP NULL_X114Y69 IS_DCM_TILE 0 TILEPROP NULL_X114Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y69 NAME NULL_X114Y69 TILEPROP NULL_X114Y69 NUM_ARCS 0 TILEPROP NULL_X114Y69 NUM_SITES 0 TILEPROP NULL_X114Y69 ROW 87 TILEPROP NULL_X114Y69 SLR_REGION_ID 0 TILEPROP NULL_X114Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y69 TILE_TYPE NULL TILEPROP NULL_X114Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y69 TILE_X 107062 TILEPROP NULL_X114Y69 TILE_Y -28800 TILEPROP NULL_X114Y69 TYPE NULL TILEPROP NULL_X114Y71 CLASS tile TILEPROP NULL_X114Y71 COLUMN 114 TILEPROP NULL_X114Y71 DEVICE_ID 0 TILEPROP NULL_X114Y71 FIRST_SITE_ID 8514 TILEPROP NULL_X114Y71 GRID_POINT_X 114 TILEPROP NULL_X114Y71 GRID_POINT_Y 85 TILEPROP NULL_X114Y71 INDEX 9889 TILEPROP NULL_X114Y71 INT_TILE_X 43 TILEPROP NULL_X114Y71 INT_TILE_Y 81 TILEPROP NULL_X114Y71 IS_CENTER_TILE 0 TILEPROP NULL_X114Y71 IS_DCM_TILE 0 TILEPROP NULL_X114Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y71 NAME NULL_X114Y71 TILEPROP NULL_X114Y71 NUM_ARCS 0 TILEPROP NULL_X114Y71 NUM_SITES 0 TILEPROP NULL_X114Y71 ROW 85 TILEPROP NULL_X114Y71 SLR_REGION_ID 0 TILEPROP NULL_X114Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y71 TILE_TYPE NULL TILEPROP NULL_X114Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y71 TILE_X 107062 TILEPROP NULL_X114Y71 TILE_Y -22400 TILEPROP NULL_X114Y71 TYPE NULL TILEPROP NULL_X114Y73 CLASS tile TILEPROP NULL_X114Y73 COLUMN 114 TILEPROP NULL_X114Y73 DEVICE_ID 0 TILEPROP NULL_X114Y73 FIRST_SITE_ID 8310 TILEPROP NULL_X114Y73 GRID_POINT_X 114 TILEPROP NULL_X114Y73 GRID_POINT_Y 83 TILEPROP NULL_X114Y73 INDEX 9659 TILEPROP NULL_X114Y73 INT_TILE_X 43 TILEPROP NULL_X114Y73 INT_TILE_Y 79 TILEPROP NULL_X114Y73 IS_CENTER_TILE 0 TILEPROP NULL_X114Y73 IS_DCM_TILE 0 TILEPROP NULL_X114Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y73 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y73 NAME NULL_X114Y73 TILEPROP NULL_X114Y73 NUM_ARCS 0 TILEPROP NULL_X114Y73 NUM_SITES 0 TILEPROP NULL_X114Y73 ROW 83 TILEPROP NULL_X114Y73 SLR_REGION_ID 0 TILEPROP NULL_X114Y73 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y73 TILE_TYPE NULL TILEPROP NULL_X114Y73 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y73 TILE_X 107062 TILEPROP NULL_X114Y73 TILE_Y -16000 TILEPROP NULL_X114Y73 TYPE NULL TILEPROP NULL_X114Y75 CLASS tile TILEPROP NULL_X114Y75 COLUMN 114 TILEPROP NULL_X114Y75 DEVICE_ID 0 TILEPROP NULL_X114Y75 FIRST_SITE_ID 8095 TILEPROP NULL_X114Y75 GRID_POINT_X 114 TILEPROP NULL_X114Y75 GRID_POINT_Y 81 TILEPROP NULL_X114Y75 INDEX 9429 TILEPROP NULL_X114Y75 INT_TILE_X 43 TILEPROP NULL_X114Y75 INT_TILE_Y 77 TILEPROP NULL_X114Y75 IS_CENTER_TILE 0 TILEPROP NULL_X114Y75 IS_DCM_TILE 0 TILEPROP NULL_X114Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y75 NAME NULL_X114Y75 TILEPROP NULL_X114Y75 NUM_ARCS 0 TILEPROP NULL_X114Y75 NUM_SITES 0 TILEPROP NULL_X114Y75 ROW 81 TILEPROP NULL_X114Y75 SLR_REGION_ID 0 TILEPROP NULL_X114Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y75 TILE_TYPE NULL TILEPROP NULL_X114Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y75 TILE_X 107062 TILEPROP NULL_X114Y75 TILE_Y -9600 TILEPROP NULL_X114Y75 TYPE NULL TILEPROP NULL_X114Y77 CLASS tile TILEPROP NULL_X114Y77 COLUMN 114 TILEPROP NULL_X114Y77 DEVICE_ID 0 TILEPROP NULL_X114Y77 FIRST_SITE_ID 7895 TILEPROP NULL_X114Y77 GRID_POINT_X 114 TILEPROP NULL_X114Y77 GRID_POINT_Y 79 TILEPROP NULL_X114Y77 INDEX 9199 TILEPROP NULL_X114Y77 INT_TILE_X 43 TILEPROP NULL_X114Y77 INT_TILE_Y 75 TILEPROP NULL_X114Y77 IS_CENTER_TILE 0 TILEPROP NULL_X114Y77 IS_DCM_TILE 0 TILEPROP NULL_X114Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y77 NAME NULL_X114Y77 TILEPROP NULL_X114Y77 NUM_ARCS 0 TILEPROP NULL_X114Y77 NUM_SITES 0 TILEPROP NULL_X114Y77 ROW 79 TILEPROP NULL_X114Y77 SLR_REGION_ID 0 TILEPROP NULL_X114Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y77 TILE_TYPE NULL TILEPROP NULL_X114Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y77 TILE_X 107062 TILEPROP NULL_X114Y77 TILE_Y -3200 TILEPROP NULL_X114Y77 TYPE NULL TILEPROP NULL_X114Y80 CLASS tile TILEPROP NULL_X114Y80 COLUMN 114 TILEPROP NULL_X114Y80 DEVICE_ID 0 TILEPROP NULL_X114Y80 FIRST_SITE_ID 7594 TILEPROP NULL_X114Y80 GRID_POINT_X 114 TILEPROP NULL_X114Y80 GRID_POINT_Y 76 TILEPROP NULL_X114Y80 INDEX 8854 TILEPROP NULL_X114Y80 INT_TILE_X 43 TILEPROP NULL_X114Y80 INT_TILE_Y 73 TILEPROP NULL_X114Y80 IS_CENTER_TILE 0 TILEPROP NULL_X114Y80 IS_DCM_TILE 0 TILEPROP NULL_X114Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y80 NAME NULL_X114Y80 TILEPROP NULL_X114Y80 NUM_ARCS 0 TILEPROP NULL_X114Y80 NUM_SITES 0 TILEPROP NULL_X114Y80 ROW 76 TILEPROP NULL_X114Y80 SLR_REGION_ID 0 TILEPROP NULL_X114Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y80 TILE_TYPE NULL TILEPROP NULL_X114Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y80 TILE_X 107062 TILEPROP NULL_X114Y80 TILE_Y 4224 TILEPROP NULL_X114Y80 TYPE NULL TILEPROP NULL_X114Y82 CLASS tile TILEPROP NULL_X114Y82 COLUMN 114 TILEPROP NULL_X114Y82 DEVICE_ID 0 TILEPROP NULL_X114Y82 FIRST_SITE_ID 7394 TILEPROP NULL_X114Y82 GRID_POINT_X 114 TILEPROP NULL_X114Y82 GRID_POINT_Y 74 TILEPROP NULL_X114Y82 INDEX 8624 TILEPROP NULL_X114Y82 INT_TILE_X 43 TILEPROP NULL_X114Y82 INT_TILE_Y 71 TILEPROP NULL_X114Y82 IS_CENTER_TILE 0 TILEPROP NULL_X114Y82 IS_DCM_TILE 0 TILEPROP NULL_X114Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y82 NAME NULL_X114Y82 TILEPROP NULL_X114Y82 NUM_ARCS 0 TILEPROP NULL_X114Y82 NUM_SITES 0 TILEPROP NULL_X114Y82 ROW 74 TILEPROP NULL_X114Y82 SLR_REGION_ID 0 TILEPROP NULL_X114Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y82 TILE_TYPE NULL TILEPROP NULL_X114Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y82 TILE_X 107062 TILEPROP NULL_X114Y82 TILE_Y 10624 TILEPROP NULL_X114Y82 TYPE NULL TILEPROP NULL_X114Y84 CLASS tile TILEPROP NULL_X114Y84 COLUMN 114 TILEPROP NULL_X114Y84 DEVICE_ID 0 TILEPROP NULL_X114Y84 FIRST_SITE_ID 7182 TILEPROP NULL_X114Y84 GRID_POINT_X 114 TILEPROP NULL_X114Y84 GRID_POINT_Y 72 TILEPROP NULL_X114Y84 INDEX 8394 TILEPROP NULL_X114Y84 INT_TILE_X 43 TILEPROP NULL_X114Y84 INT_TILE_Y 69 TILEPROP NULL_X114Y84 IS_CENTER_TILE 0 TILEPROP NULL_X114Y84 IS_DCM_TILE 0 TILEPROP NULL_X114Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y84 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y84 NAME NULL_X114Y84 TILEPROP NULL_X114Y84 NUM_ARCS 0 TILEPROP NULL_X114Y84 NUM_SITES 0 TILEPROP NULL_X114Y84 ROW 72 TILEPROP NULL_X114Y84 SLR_REGION_ID 0 TILEPROP NULL_X114Y84 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y84 TILE_TYPE NULL TILEPROP NULL_X114Y84 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y84 TILE_X 107062 TILEPROP NULL_X114Y84 TILE_Y 17024 TILEPROP NULL_X114Y84 TYPE NULL TILEPROP NULL_X114Y86 CLASS tile TILEPROP NULL_X114Y86 COLUMN 114 TILEPROP NULL_X114Y86 DEVICE_ID 0 TILEPROP NULL_X114Y86 FIRST_SITE_ID 6963 TILEPROP NULL_X114Y86 GRID_POINT_X 114 TILEPROP NULL_X114Y86 GRID_POINT_Y 70 TILEPROP NULL_X114Y86 INDEX 8164 TILEPROP NULL_X114Y86 INT_TILE_X 43 TILEPROP NULL_X114Y86 INT_TILE_Y 67 TILEPROP NULL_X114Y86 IS_CENTER_TILE 0 TILEPROP NULL_X114Y86 IS_DCM_TILE 0 TILEPROP NULL_X114Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y86 NAME NULL_X114Y86 TILEPROP NULL_X114Y86 NUM_ARCS 0 TILEPROP NULL_X114Y86 NUM_SITES 0 TILEPROP NULL_X114Y86 ROW 70 TILEPROP NULL_X114Y86 SLR_REGION_ID 0 TILEPROP NULL_X114Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y86 TILE_TYPE NULL TILEPROP NULL_X114Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y86 TILE_X 107062 TILEPROP NULL_X114Y86 TILE_Y 23424 TILEPROP NULL_X114Y86 TYPE NULL TILEPROP NULL_X114Y88 CLASS tile TILEPROP NULL_X114Y88 COLUMN 114 TILEPROP NULL_X114Y88 DEVICE_ID 0 TILEPROP NULL_X114Y88 FIRST_SITE_ID 6763 TILEPROP NULL_X114Y88 GRID_POINT_X 114 TILEPROP NULL_X114Y88 GRID_POINT_Y 68 TILEPROP NULL_X114Y88 INDEX 7934 TILEPROP NULL_X114Y88 INT_TILE_X 43 TILEPROP NULL_X114Y88 INT_TILE_Y 65 TILEPROP NULL_X114Y88 IS_CENTER_TILE 0 TILEPROP NULL_X114Y88 IS_DCM_TILE 0 TILEPROP NULL_X114Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y88 NAME NULL_X114Y88 TILEPROP NULL_X114Y88 NUM_ARCS 0 TILEPROP NULL_X114Y88 NUM_SITES 0 TILEPROP NULL_X114Y88 ROW 68 TILEPROP NULL_X114Y88 SLR_REGION_ID 0 TILEPROP NULL_X114Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y88 TILE_TYPE NULL TILEPROP NULL_X114Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y88 TILE_X 107062 TILEPROP NULL_X114Y88 TILE_Y 29824 TILEPROP NULL_X114Y88 TYPE NULL TILEPROP NULL_X114Y90 CLASS tile TILEPROP NULL_X114Y90 COLUMN 114 TILEPROP NULL_X114Y90 DEVICE_ID 0 TILEPROP NULL_X114Y90 FIRST_SITE_ID 6548 TILEPROP NULL_X114Y90 GRID_POINT_X 114 TILEPROP NULL_X114Y90 GRID_POINT_Y 66 TILEPROP NULL_X114Y90 INDEX 7704 TILEPROP NULL_X114Y90 INT_TILE_X 43 TILEPROP NULL_X114Y90 INT_TILE_Y 63 TILEPROP NULL_X114Y90 IS_CENTER_TILE 0 TILEPROP NULL_X114Y90 IS_DCM_TILE 0 TILEPROP NULL_X114Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y90 NAME NULL_X114Y90 TILEPROP NULL_X114Y90 NUM_ARCS 0 TILEPROP NULL_X114Y90 NUM_SITES 0 TILEPROP NULL_X114Y90 ROW 66 TILEPROP NULL_X114Y90 SLR_REGION_ID 0 TILEPROP NULL_X114Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y90 TILE_TYPE NULL TILEPROP NULL_X114Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y90 TILE_X 107062 TILEPROP NULL_X114Y90 TILE_Y 36224 TILEPROP NULL_X114Y90 TYPE NULL TILEPROP NULL_X114Y92 CLASS tile TILEPROP NULL_X114Y92 COLUMN 114 TILEPROP NULL_X114Y92 DEVICE_ID 0 TILEPROP NULL_X114Y92 FIRST_SITE_ID 6316 TILEPROP NULL_X114Y92 GRID_POINT_X 114 TILEPROP NULL_X114Y92 GRID_POINT_Y 64 TILEPROP NULL_X114Y92 INDEX 7474 TILEPROP NULL_X114Y92 INT_TILE_X 43 TILEPROP NULL_X114Y92 INT_TILE_Y 61 TILEPROP NULL_X114Y92 IS_CENTER_TILE 0 TILEPROP NULL_X114Y92 IS_DCM_TILE 0 TILEPROP NULL_X114Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y92 NAME NULL_X114Y92 TILEPROP NULL_X114Y92 NUM_ARCS 0 TILEPROP NULL_X114Y92 NUM_SITES 0 TILEPROP NULL_X114Y92 ROW 64 TILEPROP NULL_X114Y92 SLR_REGION_ID 0 TILEPROP NULL_X114Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y92 TILE_TYPE NULL TILEPROP NULL_X114Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y92 TILE_X 107062 TILEPROP NULL_X114Y92 TILE_Y 42624 TILEPROP NULL_X114Y92 TYPE NULL TILEPROP NULL_X114Y94 CLASS tile TILEPROP NULL_X114Y94 COLUMN 114 TILEPROP NULL_X114Y94 DEVICE_ID 0 TILEPROP NULL_X114Y94 FIRST_SITE_ID 6116 TILEPROP NULL_X114Y94 GRID_POINT_X 114 TILEPROP NULL_X114Y94 GRID_POINT_Y 62 TILEPROP NULL_X114Y94 INDEX 7244 TILEPROP NULL_X114Y94 INT_TILE_X 43 TILEPROP NULL_X114Y94 INT_TILE_Y 59 TILEPROP NULL_X114Y94 IS_CENTER_TILE 0 TILEPROP NULL_X114Y94 IS_DCM_TILE 0 TILEPROP NULL_X114Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y94 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y94 NAME NULL_X114Y94 TILEPROP NULL_X114Y94 NUM_ARCS 0 TILEPROP NULL_X114Y94 NUM_SITES 0 TILEPROP NULL_X114Y94 ROW 62 TILEPROP NULL_X114Y94 SLR_REGION_ID 0 TILEPROP NULL_X114Y94 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y94 TILE_TYPE NULL TILEPROP NULL_X114Y94 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y94 TILE_X 107062 TILEPROP NULL_X114Y94 TILE_Y 49024 TILEPROP NULL_X114Y94 TYPE NULL TILEPROP NULL_X114Y96 CLASS tile TILEPROP NULL_X114Y96 COLUMN 114 TILEPROP NULL_X114Y96 DEVICE_ID 0 TILEPROP NULL_X114Y96 FIRST_SITE_ID 5901 TILEPROP NULL_X114Y96 GRID_POINT_X 114 TILEPROP NULL_X114Y96 GRID_POINT_Y 60 TILEPROP NULL_X114Y96 INDEX 7014 TILEPROP NULL_X114Y96 INT_TILE_X 43 TILEPROP NULL_X114Y96 INT_TILE_Y 57 TILEPROP NULL_X114Y96 IS_CENTER_TILE 0 TILEPROP NULL_X114Y96 IS_DCM_TILE 0 TILEPROP NULL_X114Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y96 NAME NULL_X114Y96 TILEPROP NULL_X114Y96 NUM_ARCS 0 TILEPROP NULL_X114Y96 NUM_SITES 0 TILEPROP NULL_X114Y96 ROW 60 TILEPROP NULL_X114Y96 SLR_REGION_ID 0 TILEPROP NULL_X114Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y96 TILE_TYPE NULL TILEPROP NULL_X114Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y96 TILE_X 107062 TILEPROP NULL_X114Y96 TILE_Y 55424 TILEPROP NULL_X114Y96 TYPE NULL TILEPROP NULL_X114Y98 CLASS tile TILEPROP NULL_X114Y98 COLUMN 114 TILEPROP NULL_X114Y98 DEVICE_ID 0 TILEPROP NULL_X114Y98 FIRST_SITE_ID 5695 TILEPROP NULL_X114Y98 GRID_POINT_X 114 TILEPROP NULL_X114Y98 GRID_POINT_Y 58 TILEPROP NULL_X114Y98 INDEX 6784 TILEPROP NULL_X114Y98 INT_TILE_X 43 TILEPROP NULL_X114Y98 INT_TILE_Y 55 TILEPROP NULL_X114Y98 IS_CENTER_TILE 0 TILEPROP NULL_X114Y98 IS_DCM_TILE 0 TILEPROP NULL_X114Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y98 NAME NULL_X114Y98 TILEPROP NULL_X114Y98 NUM_ARCS 0 TILEPROP NULL_X114Y98 NUM_SITES 0 TILEPROP NULL_X114Y98 ROW 58 TILEPROP NULL_X114Y98 SLR_REGION_ID 0 TILEPROP NULL_X114Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y98 TILE_TYPE NULL TILEPROP NULL_X114Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y98 TILE_X 107062 TILEPROP NULL_X114Y98 TILE_Y 61824 TILEPROP NULL_X114Y98 TYPE NULL TILEPROP NULL_X114Y100 CLASS tile TILEPROP NULL_X114Y100 COLUMN 114 TILEPROP NULL_X114Y100 DEVICE_ID 0 TILEPROP NULL_X114Y100 FIRST_SITE_ID 5480 TILEPROP NULL_X114Y100 GRID_POINT_X 114 TILEPROP NULL_X114Y100 GRID_POINT_Y 56 TILEPROP NULL_X114Y100 INDEX 6554 TILEPROP NULL_X114Y100 INT_TILE_X 43 TILEPROP NULL_X114Y100 INT_TILE_Y 53 TILEPROP NULL_X114Y100 IS_CENTER_TILE 0 TILEPROP NULL_X114Y100 IS_DCM_TILE 0 TILEPROP NULL_X114Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y100 NAME NULL_X114Y100 TILEPROP NULL_X114Y100 NUM_ARCS 0 TILEPROP NULL_X114Y100 NUM_SITES 0 TILEPROP NULL_X114Y100 ROW 56 TILEPROP NULL_X114Y100 SLR_REGION_ID 0 TILEPROP NULL_X114Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y100 TILE_TYPE NULL TILEPROP NULL_X114Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y100 TILE_X 107062 TILEPROP NULL_X114Y100 TILE_Y 68224 TILEPROP NULL_X114Y100 TYPE NULL TILEPROP NULL_X114Y102 CLASS tile TILEPROP NULL_X114Y102 COLUMN 114 TILEPROP NULL_X114Y102 DEVICE_ID 0 TILEPROP NULL_X114Y102 FIRST_SITE_ID 5280 TILEPROP NULL_X114Y102 GRID_POINT_X 114 TILEPROP NULL_X114Y102 GRID_POINT_Y 54 TILEPROP NULL_X114Y102 INDEX 6324 TILEPROP NULL_X114Y102 INT_TILE_X 43 TILEPROP NULL_X114Y102 INT_TILE_Y 51 TILEPROP NULL_X114Y102 IS_CENTER_TILE 0 TILEPROP NULL_X114Y102 IS_DCM_TILE 0 TILEPROP NULL_X114Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y102 NAME NULL_X114Y102 TILEPROP NULL_X114Y102 NUM_ARCS 0 TILEPROP NULL_X114Y102 NUM_SITES 0 TILEPROP NULL_X114Y102 ROW 54 TILEPROP NULL_X114Y102 SLR_REGION_ID 0 TILEPROP NULL_X114Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y102 TILE_TYPE NULL TILEPROP NULL_X114Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y102 TILE_X 107062 TILEPROP NULL_X114Y102 TILE_Y 74624 TILEPROP NULL_X114Y102 TYPE NULL TILEPROP NULL_X114Y104 CLASS tile TILEPROP NULL_X114Y104 COLUMN 114 TILEPROP NULL_X114Y104 DEVICE_ID 0 TILEPROP NULL_X114Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X114Y104 GRID_POINT_X 114 TILEPROP NULL_X114Y104 GRID_POINT_Y 52 TILEPROP NULL_X114Y104 INDEX 6094 TILEPROP NULL_X114Y104 INT_TILE_X -1 TILEPROP NULL_X114Y104 INT_TILE_Y -1 TILEPROP NULL_X114Y104 IS_CENTER_TILE 0 TILEPROP NULL_X114Y104 IS_DCM_TILE 0 TILEPROP NULL_X114Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y104 NAME NULL_X114Y104 TILEPROP NULL_X114Y104 NUM_ARCS 0 TILEPROP NULL_X114Y104 NUM_SITES 0 TILEPROP NULL_X114Y104 ROW 52 TILEPROP NULL_X114Y104 SLR_REGION_ID 0 TILEPROP NULL_X114Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y104 TILE_TYPE NULL TILEPROP NULL_X114Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y104 TILE_X 107062 TILEPROP NULL_X114Y104 TILE_Y 81024 TILEPROP NULL_X114Y104 TYPE NULL TILEPROP NULL_X114Y105 CLASS tile TILEPROP NULL_X114Y105 COLUMN 114 TILEPROP NULL_X114Y105 DEVICE_ID 0 TILEPROP NULL_X114Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X114Y105 GRID_POINT_X 114 TILEPROP NULL_X114Y105 GRID_POINT_Y 51 TILEPROP NULL_X114Y105 INDEX 5979 TILEPROP NULL_X114Y105 INT_TILE_X -1 TILEPROP NULL_X114Y105 INT_TILE_Y -1 TILEPROP NULL_X114Y105 IS_CENTER_TILE 0 TILEPROP NULL_X114Y105 IS_DCM_TILE 0 TILEPROP NULL_X114Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y105 NAME NULL_X114Y105 TILEPROP NULL_X114Y105 NUM_ARCS 0 TILEPROP NULL_X114Y105 NUM_SITES 0 TILEPROP NULL_X114Y105 ROW 51 TILEPROP NULL_X114Y105 SLR_REGION_ID 0 TILEPROP NULL_X114Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y105 TILE_TYPE NULL TILEPROP NULL_X114Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y105 TILE_X 107062 TILEPROP NULL_X114Y105 TILE_Y 81272 TILEPROP NULL_X114Y105 TYPE NULL TILEPROP NULL_X114Y106 CLASS tile TILEPROP NULL_X114Y106 COLUMN 114 TILEPROP NULL_X114Y106 DEVICE_ID 0 TILEPROP NULL_X114Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X114Y106 GRID_POINT_X 114 TILEPROP NULL_X114Y106 GRID_POINT_Y 50 TILEPROP NULL_X114Y106 INDEX 5864 TILEPROP NULL_X114Y106 INT_TILE_X -1 TILEPROP NULL_X114Y106 INT_TILE_Y -1 TILEPROP NULL_X114Y106 IS_CENTER_TILE 0 TILEPROP NULL_X114Y106 IS_DCM_TILE 0 TILEPROP NULL_X114Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y106 NAME NULL_X114Y106 TILEPROP NULL_X114Y106 NUM_ARCS 0 TILEPROP NULL_X114Y106 NUM_SITES 0 TILEPROP NULL_X114Y106 ROW 50 TILEPROP NULL_X114Y106 SLR_REGION_ID 0 TILEPROP NULL_X114Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y106 TILE_TYPE NULL TILEPROP NULL_X114Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y106 TILE_X 107062 TILEPROP NULL_X114Y106 TILE_Y 84472 TILEPROP NULL_X114Y106 TYPE NULL TILEPROP NULL_X114Y107 CLASS tile TILEPROP NULL_X114Y107 COLUMN 114 TILEPROP NULL_X114Y107 DEVICE_ID 0 TILEPROP NULL_X114Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X114Y107 GRID_POINT_X 114 TILEPROP NULL_X114Y107 GRID_POINT_Y 49 TILEPROP NULL_X114Y107 INDEX 5749 TILEPROP NULL_X114Y107 INT_TILE_X -1 TILEPROP NULL_X114Y107 INT_TILE_Y -1 TILEPROP NULL_X114Y107 IS_CENTER_TILE 0 TILEPROP NULL_X114Y107 IS_DCM_TILE 0 TILEPROP NULL_X114Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y107 NAME NULL_X114Y107 TILEPROP NULL_X114Y107 NUM_ARCS 0 TILEPROP NULL_X114Y107 NUM_SITES 0 TILEPROP NULL_X114Y107 ROW 49 TILEPROP NULL_X114Y107 SLR_REGION_ID 0 TILEPROP NULL_X114Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y107 TILE_TYPE NULL TILEPROP NULL_X114Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y107 TILE_X 107062 TILEPROP NULL_X114Y107 TILE_Y 87672 TILEPROP NULL_X114Y107 TYPE NULL TILEPROP NULL_X114Y108 CLASS tile TILEPROP NULL_X114Y108 COLUMN 114 TILEPROP NULL_X114Y108 DEVICE_ID 0 TILEPROP NULL_X114Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X114Y108 GRID_POINT_X 114 TILEPROP NULL_X114Y108 GRID_POINT_Y 48 TILEPROP NULL_X114Y108 INDEX 5634 TILEPROP NULL_X114Y108 INT_TILE_X -1 TILEPROP NULL_X114Y108 INT_TILE_Y -1 TILEPROP NULL_X114Y108 IS_CENTER_TILE 0 TILEPROP NULL_X114Y108 IS_DCM_TILE 0 TILEPROP NULL_X114Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y108 NAME NULL_X114Y108 TILEPROP NULL_X114Y108 NUM_ARCS 0 TILEPROP NULL_X114Y108 NUM_SITES 0 TILEPROP NULL_X114Y108 ROW 48 TILEPROP NULL_X114Y108 SLR_REGION_ID 0 TILEPROP NULL_X114Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y108 TILE_TYPE NULL TILEPROP NULL_X114Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y108 TILE_X 107062 TILEPROP NULL_X114Y108 TILE_Y 90872 TILEPROP NULL_X114Y108 TYPE NULL TILEPROP NULL_X114Y109 CLASS tile TILEPROP NULL_X114Y109 COLUMN 114 TILEPROP NULL_X114Y109 DEVICE_ID 0 TILEPROP NULL_X114Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X114Y109 GRID_POINT_X 114 TILEPROP NULL_X114Y109 GRID_POINT_Y 47 TILEPROP NULL_X114Y109 INDEX 5519 TILEPROP NULL_X114Y109 INT_TILE_X -1 TILEPROP NULL_X114Y109 INT_TILE_Y -1 TILEPROP NULL_X114Y109 IS_CENTER_TILE 0 TILEPROP NULL_X114Y109 IS_DCM_TILE 0 TILEPROP NULL_X114Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y109 NAME NULL_X114Y109 TILEPROP NULL_X114Y109 NUM_ARCS 0 TILEPROP NULL_X114Y109 NUM_SITES 0 TILEPROP NULL_X114Y109 ROW 47 TILEPROP NULL_X114Y109 SLR_REGION_ID 0 TILEPROP NULL_X114Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y109 TILE_TYPE NULL TILEPROP NULL_X114Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y109 TILE_X 107062 TILEPROP NULL_X114Y109 TILE_Y 94072 TILEPROP NULL_X114Y109 TYPE NULL TILEPROP NULL_X114Y110 CLASS tile TILEPROP NULL_X114Y110 COLUMN 114 TILEPROP NULL_X114Y110 DEVICE_ID 0 TILEPROP NULL_X114Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X114Y110 GRID_POINT_X 114 TILEPROP NULL_X114Y110 GRID_POINT_Y 46 TILEPROP NULL_X114Y110 INDEX 5404 TILEPROP NULL_X114Y110 INT_TILE_X -1 TILEPROP NULL_X114Y110 INT_TILE_Y -1 TILEPROP NULL_X114Y110 IS_CENTER_TILE 0 TILEPROP NULL_X114Y110 IS_DCM_TILE 0 TILEPROP NULL_X114Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y110 NAME NULL_X114Y110 TILEPROP NULL_X114Y110 NUM_ARCS 0 TILEPROP NULL_X114Y110 NUM_SITES 0 TILEPROP NULL_X114Y110 ROW 46 TILEPROP NULL_X114Y110 SLR_REGION_ID 0 TILEPROP NULL_X114Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y110 TILE_TYPE NULL TILEPROP NULL_X114Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y110 TILE_X 107062 TILEPROP NULL_X114Y110 TILE_Y 97272 TILEPROP NULL_X114Y110 TYPE NULL TILEPROP NULL_X114Y111 CLASS tile TILEPROP NULL_X114Y111 COLUMN 114 TILEPROP NULL_X114Y111 DEVICE_ID 0 TILEPROP NULL_X114Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X114Y111 GRID_POINT_X 114 TILEPROP NULL_X114Y111 GRID_POINT_Y 45 TILEPROP NULL_X114Y111 INDEX 5289 TILEPROP NULL_X114Y111 INT_TILE_X -1 TILEPROP NULL_X114Y111 INT_TILE_Y -1 TILEPROP NULL_X114Y111 IS_CENTER_TILE 0 TILEPROP NULL_X114Y111 IS_DCM_TILE 0 TILEPROP NULL_X114Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y111 NAME NULL_X114Y111 TILEPROP NULL_X114Y111 NUM_ARCS 0 TILEPROP NULL_X114Y111 NUM_SITES 0 TILEPROP NULL_X114Y111 ROW 45 TILEPROP NULL_X114Y111 SLR_REGION_ID 0 TILEPROP NULL_X114Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y111 TILE_TYPE NULL TILEPROP NULL_X114Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y111 TILE_X 107062 TILEPROP NULL_X114Y111 TILE_Y 100472 TILEPROP NULL_X114Y111 TYPE NULL TILEPROP NULL_X114Y112 CLASS tile TILEPROP NULL_X114Y112 COLUMN 114 TILEPROP NULL_X114Y112 DEVICE_ID 0 TILEPROP NULL_X114Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X114Y112 GRID_POINT_X 114 TILEPROP NULL_X114Y112 GRID_POINT_Y 44 TILEPROP NULL_X114Y112 INDEX 5174 TILEPROP NULL_X114Y112 INT_TILE_X -1 TILEPROP NULL_X114Y112 INT_TILE_Y -1 TILEPROP NULL_X114Y112 IS_CENTER_TILE 0 TILEPROP NULL_X114Y112 IS_DCM_TILE 0 TILEPROP NULL_X114Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y112 NAME NULL_X114Y112 TILEPROP NULL_X114Y112 NUM_ARCS 0 TILEPROP NULL_X114Y112 NUM_SITES 0 TILEPROP NULL_X114Y112 ROW 44 TILEPROP NULL_X114Y112 SLR_REGION_ID 0 TILEPROP NULL_X114Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y112 TILE_TYPE NULL TILEPROP NULL_X114Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y112 TILE_X 107062 TILEPROP NULL_X114Y112 TILE_Y 103672 TILEPROP NULL_X114Y112 TYPE NULL TILEPROP NULL_X114Y113 CLASS tile TILEPROP NULL_X114Y113 COLUMN 114 TILEPROP NULL_X114Y113 DEVICE_ID 0 TILEPROP NULL_X114Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X114Y113 GRID_POINT_X 114 TILEPROP NULL_X114Y113 GRID_POINT_Y 43 TILEPROP NULL_X114Y113 INDEX 5059 TILEPROP NULL_X114Y113 INT_TILE_X -1 TILEPROP NULL_X114Y113 INT_TILE_Y -1 TILEPROP NULL_X114Y113 IS_CENTER_TILE 0 TILEPROP NULL_X114Y113 IS_DCM_TILE 0 TILEPROP NULL_X114Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y113 NAME NULL_X114Y113 TILEPROP NULL_X114Y113 NUM_ARCS 0 TILEPROP NULL_X114Y113 NUM_SITES 0 TILEPROP NULL_X114Y113 ROW 43 TILEPROP NULL_X114Y113 SLR_REGION_ID 0 TILEPROP NULL_X114Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y113 TILE_TYPE NULL TILEPROP NULL_X114Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y113 TILE_X 107062 TILEPROP NULL_X114Y113 TILE_Y 106872 TILEPROP NULL_X114Y113 TYPE NULL TILEPROP NULL_X114Y114 CLASS tile TILEPROP NULL_X114Y114 COLUMN 114 TILEPROP NULL_X114Y114 DEVICE_ID 0 TILEPROP NULL_X114Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X114Y114 GRID_POINT_X 114 TILEPROP NULL_X114Y114 GRID_POINT_Y 42 TILEPROP NULL_X114Y114 INDEX 4944 TILEPROP NULL_X114Y114 INT_TILE_X -1 TILEPROP NULL_X114Y114 INT_TILE_Y -1 TILEPROP NULL_X114Y114 IS_CENTER_TILE 0 TILEPROP NULL_X114Y114 IS_DCM_TILE 0 TILEPROP NULL_X114Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y114 NAME NULL_X114Y114 TILEPROP NULL_X114Y114 NUM_ARCS 0 TILEPROP NULL_X114Y114 NUM_SITES 0 TILEPROP NULL_X114Y114 ROW 42 TILEPROP NULL_X114Y114 SLR_REGION_ID 0 TILEPROP NULL_X114Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y114 TILE_TYPE NULL TILEPROP NULL_X114Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y114 TILE_X 107062 TILEPROP NULL_X114Y114 TILE_Y 110072 TILEPROP NULL_X114Y114 TYPE NULL TILEPROP NULL_X114Y115 CLASS tile TILEPROP NULL_X114Y115 COLUMN 114 TILEPROP NULL_X114Y115 DEVICE_ID 0 TILEPROP NULL_X114Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X114Y115 GRID_POINT_X 114 TILEPROP NULL_X114Y115 GRID_POINT_Y 41 TILEPROP NULL_X114Y115 INDEX 4829 TILEPROP NULL_X114Y115 INT_TILE_X -1 TILEPROP NULL_X114Y115 INT_TILE_Y -1 TILEPROP NULL_X114Y115 IS_CENTER_TILE 0 TILEPROP NULL_X114Y115 IS_DCM_TILE 0 TILEPROP NULL_X114Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y115 NAME NULL_X114Y115 TILEPROP NULL_X114Y115 NUM_ARCS 0 TILEPROP NULL_X114Y115 NUM_SITES 0 TILEPROP NULL_X114Y115 ROW 41 TILEPROP NULL_X114Y115 SLR_REGION_ID 0 TILEPROP NULL_X114Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y115 TILE_TYPE NULL TILEPROP NULL_X114Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y115 TILE_X 107062 TILEPROP NULL_X114Y115 TILE_Y 113272 TILEPROP NULL_X114Y115 TYPE NULL TILEPROP NULL_X114Y116 CLASS tile TILEPROP NULL_X114Y116 COLUMN 114 TILEPROP NULL_X114Y116 DEVICE_ID 0 TILEPROP NULL_X114Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X114Y116 GRID_POINT_X 114 TILEPROP NULL_X114Y116 GRID_POINT_Y 40 TILEPROP NULL_X114Y116 INDEX 4714 TILEPROP NULL_X114Y116 INT_TILE_X -1 TILEPROP NULL_X114Y116 INT_TILE_Y -1 TILEPROP NULL_X114Y116 IS_CENTER_TILE 0 TILEPROP NULL_X114Y116 IS_DCM_TILE 0 TILEPROP NULL_X114Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y116 NAME NULL_X114Y116 TILEPROP NULL_X114Y116 NUM_ARCS 0 TILEPROP NULL_X114Y116 NUM_SITES 0 TILEPROP NULL_X114Y116 ROW 40 TILEPROP NULL_X114Y116 SLR_REGION_ID 0 TILEPROP NULL_X114Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y116 TILE_TYPE NULL TILEPROP NULL_X114Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y116 TILE_X 107062 TILEPROP NULL_X114Y116 TILE_Y 116472 TILEPROP NULL_X114Y116 TYPE NULL TILEPROP NULL_X114Y117 CLASS tile TILEPROP NULL_X114Y117 COLUMN 114 TILEPROP NULL_X114Y117 DEVICE_ID 0 TILEPROP NULL_X114Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X114Y117 GRID_POINT_X 114 TILEPROP NULL_X114Y117 GRID_POINT_Y 39 TILEPROP NULL_X114Y117 INDEX 4599 TILEPROP NULL_X114Y117 INT_TILE_X -1 TILEPROP NULL_X114Y117 INT_TILE_Y -1 TILEPROP NULL_X114Y117 IS_CENTER_TILE 0 TILEPROP NULL_X114Y117 IS_DCM_TILE 0 TILEPROP NULL_X114Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y117 NAME NULL_X114Y117 TILEPROP NULL_X114Y117 NUM_ARCS 0 TILEPROP NULL_X114Y117 NUM_SITES 0 TILEPROP NULL_X114Y117 ROW 39 TILEPROP NULL_X114Y117 SLR_REGION_ID 0 TILEPROP NULL_X114Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y117 TILE_TYPE NULL TILEPROP NULL_X114Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y117 TILE_X 107062 TILEPROP NULL_X114Y117 TILE_Y 119672 TILEPROP NULL_X114Y117 TYPE NULL TILEPROP NULL_X114Y118 CLASS tile TILEPROP NULL_X114Y118 COLUMN 114 TILEPROP NULL_X114Y118 DEVICE_ID 0 TILEPROP NULL_X114Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X114Y118 GRID_POINT_X 114 TILEPROP NULL_X114Y118 GRID_POINT_Y 38 TILEPROP NULL_X114Y118 INDEX 4484 TILEPROP NULL_X114Y118 INT_TILE_X -1 TILEPROP NULL_X114Y118 INT_TILE_Y -1 TILEPROP NULL_X114Y118 IS_CENTER_TILE 0 TILEPROP NULL_X114Y118 IS_DCM_TILE 0 TILEPROP NULL_X114Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y118 NAME NULL_X114Y118 TILEPROP NULL_X114Y118 NUM_ARCS 0 TILEPROP NULL_X114Y118 NUM_SITES 0 TILEPROP NULL_X114Y118 ROW 38 TILEPROP NULL_X114Y118 SLR_REGION_ID 0 TILEPROP NULL_X114Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y118 TILE_TYPE NULL TILEPROP NULL_X114Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y118 TILE_X 107062 TILEPROP NULL_X114Y118 TILE_Y 122872 TILEPROP NULL_X114Y118 TYPE NULL TILEPROP NULL_X114Y119 CLASS tile TILEPROP NULL_X114Y119 COLUMN 114 TILEPROP NULL_X114Y119 DEVICE_ID 0 TILEPROP NULL_X114Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X114Y119 GRID_POINT_X 114 TILEPROP NULL_X114Y119 GRID_POINT_Y 37 TILEPROP NULL_X114Y119 INDEX 4369 TILEPROP NULL_X114Y119 INT_TILE_X -1 TILEPROP NULL_X114Y119 INT_TILE_Y -1 TILEPROP NULL_X114Y119 IS_CENTER_TILE 0 TILEPROP NULL_X114Y119 IS_DCM_TILE 0 TILEPROP NULL_X114Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y119 NAME NULL_X114Y119 TILEPROP NULL_X114Y119 NUM_ARCS 0 TILEPROP NULL_X114Y119 NUM_SITES 0 TILEPROP NULL_X114Y119 ROW 37 TILEPROP NULL_X114Y119 SLR_REGION_ID 0 TILEPROP NULL_X114Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y119 TILE_TYPE NULL TILEPROP NULL_X114Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y119 TILE_X 107062 TILEPROP NULL_X114Y119 TILE_Y 126072 TILEPROP NULL_X114Y119 TYPE NULL TILEPROP NULL_X114Y120 CLASS tile TILEPROP NULL_X114Y120 COLUMN 114 TILEPROP NULL_X114Y120 DEVICE_ID 0 TILEPROP NULL_X114Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X114Y120 GRID_POINT_X 114 TILEPROP NULL_X114Y120 GRID_POINT_Y 36 TILEPROP NULL_X114Y120 INDEX 4254 TILEPROP NULL_X114Y120 INT_TILE_X -1 TILEPROP NULL_X114Y120 INT_TILE_Y -1 TILEPROP NULL_X114Y120 IS_CENTER_TILE 0 TILEPROP NULL_X114Y120 IS_DCM_TILE 0 TILEPROP NULL_X114Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y120 NAME NULL_X114Y120 TILEPROP NULL_X114Y120 NUM_ARCS 0 TILEPROP NULL_X114Y120 NUM_SITES 0 TILEPROP NULL_X114Y120 ROW 36 TILEPROP NULL_X114Y120 SLR_REGION_ID 0 TILEPROP NULL_X114Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y120 TILE_TYPE NULL TILEPROP NULL_X114Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y120 TILE_X 107062 TILEPROP NULL_X114Y120 TILE_Y 129272 TILEPROP NULL_X114Y120 TYPE NULL TILEPROP NULL_X114Y121 CLASS tile TILEPROP NULL_X114Y121 COLUMN 114 TILEPROP NULL_X114Y121 DEVICE_ID 0 TILEPROP NULL_X114Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X114Y121 GRID_POINT_X 114 TILEPROP NULL_X114Y121 GRID_POINT_Y 35 TILEPROP NULL_X114Y121 INDEX 4139 TILEPROP NULL_X114Y121 INT_TILE_X -1 TILEPROP NULL_X114Y121 INT_TILE_Y -1 TILEPROP NULL_X114Y121 IS_CENTER_TILE 0 TILEPROP NULL_X114Y121 IS_DCM_TILE 0 TILEPROP NULL_X114Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y121 NAME NULL_X114Y121 TILEPROP NULL_X114Y121 NUM_ARCS 0 TILEPROP NULL_X114Y121 NUM_SITES 0 TILEPROP NULL_X114Y121 ROW 35 TILEPROP NULL_X114Y121 SLR_REGION_ID 0 TILEPROP NULL_X114Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y121 TILE_TYPE NULL TILEPROP NULL_X114Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y121 TILE_X 107062 TILEPROP NULL_X114Y121 TILE_Y 132472 TILEPROP NULL_X114Y121 TYPE NULL TILEPROP NULL_X114Y122 CLASS tile TILEPROP NULL_X114Y122 COLUMN 114 TILEPROP NULL_X114Y122 DEVICE_ID 0 TILEPROP NULL_X114Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X114Y122 GRID_POINT_X 114 TILEPROP NULL_X114Y122 GRID_POINT_Y 34 TILEPROP NULL_X114Y122 INDEX 4024 TILEPROP NULL_X114Y122 INT_TILE_X -1 TILEPROP NULL_X114Y122 INT_TILE_Y -1 TILEPROP NULL_X114Y122 IS_CENTER_TILE 0 TILEPROP NULL_X114Y122 IS_DCM_TILE 0 TILEPROP NULL_X114Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y122 NAME NULL_X114Y122 TILEPROP NULL_X114Y122 NUM_ARCS 0 TILEPROP NULL_X114Y122 NUM_SITES 0 TILEPROP NULL_X114Y122 ROW 34 TILEPROP NULL_X114Y122 SLR_REGION_ID 0 TILEPROP NULL_X114Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y122 TILE_TYPE NULL TILEPROP NULL_X114Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y122 TILE_X 107062 TILEPROP NULL_X114Y122 TILE_Y 135672 TILEPROP NULL_X114Y122 TYPE NULL TILEPROP NULL_X114Y123 CLASS tile TILEPROP NULL_X114Y123 COLUMN 114 TILEPROP NULL_X114Y123 DEVICE_ID 0 TILEPROP NULL_X114Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X114Y123 GRID_POINT_X 114 TILEPROP NULL_X114Y123 GRID_POINT_Y 33 TILEPROP NULL_X114Y123 INDEX 3909 TILEPROP NULL_X114Y123 INT_TILE_X -1 TILEPROP NULL_X114Y123 INT_TILE_Y -1 TILEPROP NULL_X114Y123 IS_CENTER_TILE 0 TILEPROP NULL_X114Y123 IS_DCM_TILE 0 TILEPROP NULL_X114Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y123 NAME NULL_X114Y123 TILEPROP NULL_X114Y123 NUM_ARCS 0 TILEPROP NULL_X114Y123 NUM_SITES 0 TILEPROP NULL_X114Y123 ROW 33 TILEPROP NULL_X114Y123 SLR_REGION_ID 0 TILEPROP NULL_X114Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y123 TILE_TYPE NULL TILEPROP NULL_X114Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y123 TILE_X 107062 TILEPROP NULL_X114Y123 TILE_Y 138872 TILEPROP NULL_X114Y123 TYPE NULL TILEPROP NULL_X114Y124 CLASS tile TILEPROP NULL_X114Y124 COLUMN 114 TILEPROP NULL_X114Y124 DEVICE_ID 0 TILEPROP NULL_X114Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X114Y124 GRID_POINT_X 114 TILEPROP NULL_X114Y124 GRID_POINT_Y 32 TILEPROP NULL_X114Y124 INDEX 3794 TILEPROP NULL_X114Y124 INT_TILE_X -1 TILEPROP NULL_X114Y124 INT_TILE_Y -1 TILEPROP NULL_X114Y124 IS_CENTER_TILE 0 TILEPROP NULL_X114Y124 IS_DCM_TILE 0 TILEPROP NULL_X114Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y124 NAME NULL_X114Y124 TILEPROP NULL_X114Y124 NUM_ARCS 0 TILEPROP NULL_X114Y124 NUM_SITES 0 TILEPROP NULL_X114Y124 ROW 32 TILEPROP NULL_X114Y124 SLR_REGION_ID 0 TILEPROP NULL_X114Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y124 TILE_TYPE NULL TILEPROP NULL_X114Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y124 TILE_X 107062 TILEPROP NULL_X114Y124 TILE_Y 142072 TILEPROP NULL_X114Y124 TYPE NULL TILEPROP NULL_X114Y125 CLASS tile TILEPROP NULL_X114Y125 COLUMN 114 TILEPROP NULL_X114Y125 DEVICE_ID 0 TILEPROP NULL_X114Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X114Y125 GRID_POINT_X 114 TILEPROP NULL_X114Y125 GRID_POINT_Y 31 TILEPROP NULL_X114Y125 INDEX 3679 TILEPROP NULL_X114Y125 INT_TILE_X -1 TILEPROP NULL_X114Y125 INT_TILE_Y -1 TILEPROP NULL_X114Y125 IS_CENTER_TILE 0 TILEPROP NULL_X114Y125 IS_DCM_TILE 0 TILEPROP NULL_X114Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y125 NAME NULL_X114Y125 TILEPROP NULL_X114Y125 NUM_ARCS 0 TILEPROP NULL_X114Y125 NUM_SITES 0 TILEPROP NULL_X114Y125 ROW 31 TILEPROP NULL_X114Y125 SLR_REGION_ID 0 TILEPROP NULL_X114Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y125 TILE_TYPE NULL TILEPROP NULL_X114Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y125 TILE_X 107062 TILEPROP NULL_X114Y125 TILE_Y 145272 TILEPROP NULL_X114Y125 TYPE NULL TILEPROP NULL_X114Y126 CLASS tile TILEPROP NULL_X114Y126 COLUMN 114 TILEPROP NULL_X114Y126 DEVICE_ID 0 TILEPROP NULL_X114Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X114Y126 GRID_POINT_X 114 TILEPROP NULL_X114Y126 GRID_POINT_Y 30 TILEPROP NULL_X114Y126 INDEX 3564 TILEPROP NULL_X114Y126 INT_TILE_X -1 TILEPROP NULL_X114Y126 INT_TILE_Y -1 TILEPROP NULL_X114Y126 IS_CENTER_TILE 0 TILEPROP NULL_X114Y126 IS_DCM_TILE 0 TILEPROP NULL_X114Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y126 NAME NULL_X114Y126 TILEPROP NULL_X114Y126 NUM_ARCS 0 TILEPROP NULL_X114Y126 NUM_SITES 0 TILEPROP NULL_X114Y126 ROW 30 TILEPROP NULL_X114Y126 SLR_REGION_ID 0 TILEPROP NULL_X114Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y126 TILE_TYPE NULL TILEPROP NULL_X114Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y126 TILE_X 107062 TILEPROP NULL_X114Y126 TILE_Y 148472 TILEPROP NULL_X114Y126 TYPE NULL TILEPROP NULL_X114Y127 CLASS tile TILEPROP NULL_X114Y127 COLUMN 114 TILEPROP NULL_X114Y127 DEVICE_ID 0 TILEPROP NULL_X114Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X114Y127 GRID_POINT_X 114 TILEPROP NULL_X114Y127 GRID_POINT_Y 29 TILEPROP NULL_X114Y127 INDEX 3449 TILEPROP NULL_X114Y127 INT_TILE_X -1 TILEPROP NULL_X114Y127 INT_TILE_Y -1 TILEPROP NULL_X114Y127 IS_CENTER_TILE 0 TILEPROP NULL_X114Y127 IS_DCM_TILE 0 TILEPROP NULL_X114Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y127 NAME NULL_X114Y127 TILEPROP NULL_X114Y127 NUM_ARCS 0 TILEPROP NULL_X114Y127 NUM_SITES 0 TILEPROP NULL_X114Y127 ROW 29 TILEPROP NULL_X114Y127 SLR_REGION_ID 0 TILEPROP NULL_X114Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y127 TILE_TYPE NULL TILEPROP NULL_X114Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y127 TILE_X 107062 TILEPROP NULL_X114Y127 TILE_Y 151672 TILEPROP NULL_X114Y127 TYPE NULL TILEPROP NULL_X114Y128 CLASS tile TILEPROP NULL_X114Y128 COLUMN 114 TILEPROP NULL_X114Y128 DEVICE_ID 0 TILEPROP NULL_X114Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X114Y128 GRID_POINT_X 114 TILEPROP NULL_X114Y128 GRID_POINT_Y 28 TILEPROP NULL_X114Y128 INDEX 3334 TILEPROP NULL_X114Y128 INT_TILE_X -1 TILEPROP NULL_X114Y128 INT_TILE_Y -1 TILEPROP NULL_X114Y128 IS_CENTER_TILE 0 TILEPROP NULL_X114Y128 IS_DCM_TILE 0 TILEPROP NULL_X114Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y128 NAME NULL_X114Y128 TILEPROP NULL_X114Y128 NUM_ARCS 0 TILEPROP NULL_X114Y128 NUM_SITES 0 TILEPROP NULL_X114Y128 ROW 28 TILEPROP NULL_X114Y128 SLR_REGION_ID 0 TILEPROP NULL_X114Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y128 TILE_TYPE NULL TILEPROP NULL_X114Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y128 TILE_X 107062 TILEPROP NULL_X114Y128 TILE_Y 154872 TILEPROP NULL_X114Y128 TYPE NULL TILEPROP NULL_X114Y129 CLASS tile TILEPROP NULL_X114Y129 COLUMN 114 TILEPROP NULL_X114Y129 DEVICE_ID 0 TILEPROP NULL_X114Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X114Y129 GRID_POINT_X 114 TILEPROP NULL_X114Y129 GRID_POINT_Y 27 TILEPROP NULL_X114Y129 INDEX 3219 TILEPROP NULL_X114Y129 INT_TILE_X -1 TILEPROP NULL_X114Y129 INT_TILE_Y -1 TILEPROP NULL_X114Y129 IS_CENTER_TILE 0 TILEPROP NULL_X114Y129 IS_DCM_TILE 0 TILEPROP NULL_X114Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y129 NAME NULL_X114Y129 TILEPROP NULL_X114Y129 NUM_ARCS 0 TILEPROP NULL_X114Y129 NUM_SITES 0 TILEPROP NULL_X114Y129 ROW 27 TILEPROP NULL_X114Y129 SLR_REGION_ID 0 TILEPROP NULL_X114Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y129 TILE_TYPE NULL TILEPROP NULL_X114Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y129 TILE_X 107062 TILEPROP NULL_X114Y129 TILE_Y 158072 TILEPROP NULL_X114Y129 TYPE NULL TILEPROP NULL_X114Y130 CLASS tile TILEPROP NULL_X114Y130 COLUMN 114 TILEPROP NULL_X114Y130 DEVICE_ID 0 TILEPROP NULL_X114Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X114Y130 GRID_POINT_X 114 TILEPROP NULL_X114Y130 GRID_POINT_Y 26 TILEPROP NULL_X114Y130 INDEX 3104 TILEPROP NULL_X114Y130 INT_TILE_X -1 TILEPROP NULL_X114Y130 INT_TILE_Y -1 TILEPROP NULL_X114Y130 IS_CENTER_TILE 0 TILEPROP NULL_X114Y130 IS_DCM_TILE 0 TILEPROP NULL_X114Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y130 NAME NULL_X114Y130 TILEPROP NULL_X114Y130 NUM_ARCS 0 TILEPROP NULL_X114Y130 NUM_SITES 0 TILEPROP NULL_X114Y130 ROW 26 TILEPROP NULL_X114Y130 SLR_REGION_ID 0 TILEPROP NULL_X114Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y130 TILE_TYPE NULL TILEPROP NULL_X114Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y130 TILE_X 107062 TILEPROP NULL_X114Y130 TILE_Y 161272 TILEPROP NULL_X114Y130 TYPE NULL TILEPROP NULL_X114Y131 CLASS tile TILEPROP NULL_X114Y131 COLUMN 114 TILEPROP NULL_X114Y131 DEVICE_ID 0 TILEPROP NULL_X114Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X114Y131 GRID_POINT_X 114 TILEPROP NULL_X114Y131 GRID_POINT_Y 25 TILEPROP NULL_X114Y131 INDEX 2989 TILEPROP NULL_X114Y131 INT_TILE_X -1 TILEPROP NULL_X114Y131 INT_TILE_Y -1 TILEPROP NULL_X114Y131 IS_CENTER_TILE 0 TILEPROP NULL_X114Y131 IS_DCM_TILE 0 TILEPROP NULL_X114Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y131 NAME NULL_X114Y131 TILEPROP NULL_X114Y131 NUM_ARCS 0 TILEPROP NULL_X114Y131 NUM_SITES 0 TILEPROP NULL_X114Y131 ROW 25 TILEPROP NULL_X114Y131 SLR_REGION_ID 0 TILEPROP NULL_X114Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y131 TILE_TYPE NULL TILEPROP NULL_X114Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y131 TILE_X 107062 TILEPROP NULL_X114Y131 TILE_Y 162296 TILEPROP NULL_X114Y131 TYPE NULL TILEPROP NULL_X114Y132 CLASS tile TILEPROP NULL_X114Y132 COLUMN 114 TILEPROP NULL_X114Y132 DEVICE_ID 0 TILEPROP NULL_X114Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X114Y132 GRID_POINT_X 114 TILEPROP NULL_X114Y132 GRID_POINT_Y 24 TILEPROP NULL_X114Y132 INDEX 2874 TILEPROP NULL_X114Y132 INT_TILE_X -1 TILEPROP NULL_X114Y132 INT_TILE_Y -1 TILEPROP NULL_X114Y132 IS_CENTER_TILE 0 TILEPROP NULL_X114Y132 IS_DCM_TILE 0 TILEPROP NULL_X114Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y132 NAME NULL_X114Y132 TILEPROP NULL_X114Y132 NUM_ARCS 0 TILEPROP NULL_X114Y132 NUM_SITES 0 TILEPROP NULL_X114Y132 ROW 24 TILEPROP NULL_X114Y132 SLR_REGION_ID 0 TILEPROP NULL_X114Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y132 TILE_TYPE NULL TILEPROP NULL_X114Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y132 TILE_X 107062 TILEPROP NULL_X114Y132 TILE_Y 165496 TILEPROP NULL_X114Y132 TYPE NULL TILEPROP NULL_X114Y133 CLASS tile TILEPROP NULL_X114Y133 COLUMN 114 TILEPROP NULL_X114Y133 DEVICE_ID 0 TILEPROP NULL_X114Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X114Y133 GRID_POINT_X 114 TILEPROP NULL_X114Y133 GRID_POINT_Y 23 TILEPROP NULL_X114Y133 INDEX 2759 TILEPROP NULL_X114Y133 INT_TILE_X -1 TILEPROP NULL_X114Y133 INT_TILE_Y -1 TILEPROP NULL_X114Y133 IS_CENTER_TILE 0 TILEPROP NULL_X114Y133 IS_DCM_TILE 0 TILEPROP NULL_X114Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y133 NAME NULL_X114Y133 TILEPROP NULL_X114Y133 NUM_ARCS 0 TILEPROP NULL_X114Y133 NUM_SITES 0 TILEPROP NULL_X114Y133 ROW 23 TILEPROP NULL_X114Y133 SLR_REGION_ID 0 TILEPROP NULL_X114Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y133 TILE_TYPE NULL TILEPROP NULL_X114Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y133 TILE_X 107062 TILEPROP NULL_X114Y133 TILE_Y 168696 TILEPROP NULL_X114Y133 TYPE NULL TILEPROP NULL_X114Y134 CLASS tile TILEPROP NULL_X114Y134 COLUMN 114 TILEPROP NULL_X114Y134 DEVICE_ID 0 TILEPROP NULL_X114Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X114Y134 GRID_POINT_X 114 TILEPROP NULL_X114Y134 GRID_POINT_Y 22 TILEPROP NULL_X114Y134 INDEX 2644 TILEPROP NULL_X114Y134 INT_TILE_X -1 TILEPROP NULL_X114Y134 INT_TILE_Y -1 TILEPROP NULL_X114Y134 IS_CENTER_TILE 0 TILEPROP NULL_X114Y134 IS_DCM_TILE 0 TILEPROP NULL_X114Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y134 NAME NULL_X114Y134 TILEPROP NULL_X114Y134 NUM_ARCS 0 TILEPROP NULL_X114Y134 NUM_SITES 0 TILEPROP NULL_X114Y134 ROW 22 TILEPROP NULL_X114Y134 SLR_REGION_ID 0 TILEPROP NULL_X114Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y134 TILE_TYPE NULL TILEPROP NULL_X114Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y134 TILE_X 107062 TILEPROP NULL_X114Y134 TILE_Y 171896 TILEPROP NULL_X114Y134 TYPE NULL TILEPROP NULL_X114Y135 CLASS tile TILEPROP NULL_X114Y135 COLUMN 114 TILEPROP NULL_X114Y135 DEVICE_ID 0 TILEPROP NULL_X114Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X114Y135 GRID_POINT_X 114 TILEPROP NULL_X114Y135 GRID_POINT_Y 21 TILEPROP NULL_X114Y135 INDEX 2529 TILEPROP NULL_X114Y135 INT_TILE_X -1 TILEPROP NULL_X114Y135 INT_TILE_Y -1 TILEPROP NULL_X114Y135 IS_CENTER_TILE 0 TILEPROP NULL_X114Y135 IS_DCM_TILE 0 TILEPROP NULL_X114Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y135 NAME NULL_X114Y135 TILEPROP NULL_X114Y135 NUM_ARCS 0 TILEPROP NULL_X114Y135 NUM_SITES 0 TILEPROP NULL_X114Y135 ROW 21 TILEPROP NULL_X114Y135 SLR_REGION_ID 0 TILEPROP NULL_X114Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y135 TILE_TYPE NULL TILEPROP NULL_X114Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y135 TILE_X 107062 TILEPROP NULL_X114Y135 TILE_Y 175096 TILEPROP NULL_X114Y135 TYPE NULL TILEPROP NULL_X114Y136 CLASS tile TILEPROP NULL_X114Y136 COLUMN 114 TILEPROP NULL_X114Y136 DEVICE_ID 0 TILEPROP NULL_X114Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X114Y136 GRID_POINT_X 114 TILEPROP NULL_X114Y136 GRID_POINT_Y 20 TILEPROP NULL_X114Y136 INDEX 2414 TILEPROP NULL_X114Y136 INT_TILE_X -1 TILEPROP NULL_X114Y136 INT_TILE_Y -1 TILEPROP NULL_X114Y136 IS_CENTER_TILE 0 TILEPROP NULL_X114Y136 IS_DCM_TILE 0 TILEPROP NULL_X114Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y136 NAME NULL_X114Y136 TILEPROP NULL_X114Y136 NUM_ARCS 0 TILEPROP NULL_X114Y136 NUM_SITES 0 TILEPROP NULL_X114Y136 ROW 20 TILEPROP NULL_X114Y136 SLR_REGION_ID 0 TILEPROP NULL_X114Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y136 TILE_TYPE NULL TILEPROP NULL_X114Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y136 TILE_X 107062 TILEPROP NULL_X114Y136 TILE_Y 178296 TILEPROP NULL_X114Y136 TYPE NULL TILEPROP NULL_X114Y137 CLASS tile TILEPROP NULL_X114Y137 COLUMN 114 TILEPROP NULL_X114Y137 DEVICE_ID 0 TILEPROP NULL_X114Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X114Y137 GRID_POINT_X 114 TILEPROP NULL_X114Y137 GRID_POINT_Y 19 TILEPROP NULL_X114Y137 INDEX 2299 TILEPROP NULL_X114Y137 INT_TILE_X -1 TILEPROP NULL_X114Y137 INT_TILE_Y -1 TILEPROP NULL_X114Y137 IS_CENTER_TILE 0 TILEPROP NULL_X114Y137 IS_DCM_TILE 0 TILEPROP NULL_X114Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y137 NAME NULL_X114Y137 TILEPROP NULL_X114Y137 NUM_ARCS 0 TILEPROP NULL_X114Y137 NUM_SITES 0 TILEPROP NULL_X114Y137 ROW 19 TILEPROP NULL_X114Y137 SLR_REGION_ID 0 TILEPROP NULL_X114Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y137 TILE_TYPE NULL TILEPROP NULL_X114Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y137 TILE_X 107062 TILEPROP NULL_X114Y137 TILE_Y 181496 TILEPROP NULL_X114Y137 TYPE NULL TILEPROP NULL_X114Y138 CLASS tile TILEPROP NULL_X114Y138 COLUMN 114 TILEPROP NULL_X114Y138 DEVICE_ID 0 TILEPROP NULL_X114Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X114Y138 GRID_POINT_X 114 TILEPROP NULL_X114Y138 GRID_POINT_Y 18 TILEPROP NULL_X114Y138 INDEX 2184 TILEPROP NULL_X114Y138 INT_TILE_X -1 TILEPROP NULL_X114Y138 INT_TILE_Y -1 TILEPROP NULL_X114Y138 IS_CENTER_TILE 0 TILEPROP NULL_X114Y138 IS_DCM_TILE 0 TILEPROP NULL_X114Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y138 NAME NULL_X114Y138 TILEPROP NULL_X114Y138 NUM_ARCS 0 TILEPROP NULL_X114Y138 NUM_SITES 0 TILEPROP NULL_X114Y138 ROW 18 TILEPROP NULL_X114Y138 SLR_REGION_ID 0 TILEPROP NULL_X114Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y138 TILE_TYPE NULL TILEPROP NULL_X114Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y138 TILE_X 107062 TILEPROP NULL_X114Y138 TILE_Y 184696 TILEPROP NULL_X114Y138 TYPE NULL TILEPROP NULL_X114Y139 CLASS tile TILEPROP NULL_X114Y139 COLUMN 114 TILEPROP NULL_X114Y139 DEVICE_ID 0 TILEPROP NULL_X114Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X114Y139 GRID_POINT_X 114 TILEPROP NULL_X114Y139 GRID_POINT_Y 17 TILEPROP NULL_X114Y139 INDEX 2069 TILEPROP NULL_X114Y139 INT_TILE_X -1 TILEPROP NULL_X114Y139 INT_TILE_Y -1 TILEPROP NULL_X114Y139 IS_CENTER_TILE 0 TILEPROP NULL_X114Y139 IS_DCM_TILE 0 TILEPROP NULL_X114Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y139 NAME NULL_X114Y139 TILEPROP NULL_X114Y139 NUM_ARCS 0 TILEPROP NULL_X114Y139 NUM_SITES 0 TILEPROP NULL_X114Y139 ROW 17 TILEPROP NULL_X114Y139 SLR_REGION_ID 0 TILEPROP NULL_X114Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y139 TILE_TYPE NULL TILEPROP NULL_X114Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y139 TILE_X 107062 TILEPROP NULL_X114Y139 TILE_Y 187896 TILEPROP NULL_X114Y139 TYPE NULL TILEPROP NULL_X114Y140 CLASS tile TILEPROP NULL_X114Y140 COLUMN 114 TILEPROP NULL_X114Y140 DEVICE_ID 0 TILEPROP NULL_X114Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X114Y140 GRID_POINT_X 114 TILEPROP NULL_X114Y140 GRID_POINT_Y 16 TILEPROP NULL_X114Y140 INDEX 1954 TILEPROP NULL_X114Y140 INT_TILE_X -1 TILEPROP NULL_X114Y140 INT_TILE_Y -1 TILEPROP NULL_X114Y140 IS_CENTER_TILE 0 TILEPROP NULL_X114Y140 IS_DCM_TILE 0 TILEPROP NULL_X114Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y140 NAME NULL_X114Y140 TILEPROP NULL_X114Y140 NUM_ARCS 0 TILEPROP NULL_X114Y140 NUM_SITES 0 TILEPROP NULL_X114Y140 ROW 16 TILEPROP NULL_X114Y140 SLR_REGION_ID 0 TILEPROP NULL_X114Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y140 TILE_TYPE NULL TILEPROP NULL_X114Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y140 TILE_X 107062 TILEPROP NULL_X114Y140 TILE_Y 191096 TILEPROP NULL_X114Y140 TYPE NULL TILEPROP NULL_X114Y141 CLASS tile TILEPROP NULL_X114Y141 COLUMN 114 TILEPROP NULL_X114Y141 DEVICE_ID 0 TILEPROP NULL_X114Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X114Y141 GRID_POINT_X 114 TILEPROP NULL_X114Y141 GRID_POINT_Y 15 TILEPROP NULL_X114Y141 INDEX 1839 TILEPROP NULL_X114Y141 INT_TILE_X -1 TILEPROP NULL_X114Y141 INT_TILE_Y -1 TILEPROP NULL_X114Y141 IS_CENTER_TILE 0 TILEPROP NULL_X114Y141 IS_DCM_TILE 0 TILEPROP NULL_X114Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y141 NAME NULL_X114Y141 TILEPROP NULL_X114Y141 NUM_ARCS 0 TILEPROP NULL_X114Y141 NUM_SITES 0 TILEPROP NULL_X114Y141 ROW 15 TILEPROP NULL_X114Y141 SLR_REGION_ID 0 TILEPROP NULL_X114Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y141 TILE_TYPE NULL TILEPROP NULL_X114Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y141 TILE_X 107062 TILEPROP NULL_X114Y141 TILE_Y 194296 TILEPROP NULL_X114Y141 TYPE NULL TILEPROP NULL_X114Y142 CLASS tile TILEPROP NULL_X114Y142 COLUMN 114 TILEPROP NULL_X114Y142 DEVICE_ID 0 TILEPROP NULL_X114Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X114Y142 GRID_POINT_X 114 TILEPROP NULL_X114Y142 GRID_POINT_Y 14 TILEPROP NULL_X114Y142 INDEX 1724 TILEPROP NULL_X114Y142 INT_TILE_X -1 TILEPROP NULL_X114Y142 INT_TILE_Y -1 TILEPROP NULL_X114Y142 IS_CENTER_TILE 0 TILEPROP NULL_X114Y142 IS_DCM_TILE 0 TILEPROP NULL_X114Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y142 NAME NULL_X114Y142 TILEPROP NULL_X114Y142 NUM_ARCS 0 TILEPROP NULL_X114Y142 NUM_SITES 0 TILEPROP NULL_X114Y142 ROW 14 TILEPROP NULL_X114Y142 SLR_REGION_ID 0 TILEPROP NULL_X114Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y142 TILE_TYPE NULL TILEPROP NULL_X114Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y142 TILE_X 107062 TILEPROP NULL_X114Y142 TILE_Y 197496 TILEPROP NULL_X114Y142 TYPE NULL TILEPROP NULL_X114Y143 CLASS tile TILEPROP NULL_X114Y143 COLUMN 114 TILEPROP NULL_X114Y143 DEVICE_ID 0 TILEPROP NULL_X114Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X114Y143 GRID_POINT_X 114 TILEPROP NULL_X114Y143 GRID_POINT_Y 13 TILEPROP NULL_X114Y143 INDEX 1609 TILEPROP NULL_X114Y143 INT_TILE_X -1 TILEPROP NULL_X114Y143 INT_TILE_Y -1 TILEPROP NULL_X114Y143 IS_CENTER_TILE 0 TILEPROP NULL_X114Y143 IS_DCM_TILE 0 TILEPROP NULL_X114Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y143 NAME NULL_X114Y143 TILEPROP NULL_X114Y143 NUM_ARCS 0 TILEPROP NULL_X114Y143 NUM_SITES 0 TILEPROP NULL_X114Y143 ROW 13 TILEPROP NULL_X114Y143 SLR_REGION_ID 0 TILEPROP NULL_X114Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y143 TILE_TYPE NULL TILEPROP NULL_X114Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y143 TILE_X 107062 TILEPROP NULL_X114Y143 TILE_Y 200696 TILEPROP NULL_X114Y143 TYPE NULL TILEPROP NULL_X114Y144 CLASS tile TILEPROP NULL_X114Y144 COLUMN 114 TILEPROP NULL_X114Y144 DEVICE_ID 0 TILEPROP NULL_X114Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X114Y144 GRID_POINT_X 114 TILEPROP NULL_X114Y144 GRID_POINT_Y 12 TILEPROP NULL_X114Y144 INDEX 1494 TILEPROP NULL_X114Y144 INT_TILE_X -1 TILEPROP NULL_X114Y144 INT_TILE_Y -1 TILEPROP NULL_X114Y144 IS_CENTER_TILE 0 TILEPROP NULL_X114Y144 IS_DCM_TILE 0 TILEPROP NULL_X114Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y144 NAME NULL_X114Y144 TILEPROP NULL_X114Y144 NUM_ARCS 0 TILEPROP NULL_X114Y144 NUM_SITES 0 TILEPROP NULL_X114Y144 ROW 12 TILEPROP NULL_X114Y144 SLR_REGION_ID 0 TILEPROP NULL_X114Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y144 TILE_TYPE NULL TILEPROP NULL_X114Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y144 TILE_X 107062 TILEPROP NULL_X114Y144 TILE_Y 203896 TILEPROP NULL_X114Y144 TYPE NULL TILEPROP NULL_X114Y145 CLASS tile TILEPROP NULL_X114Y145 COLUMN 114 TILEPROP NULL_X114Y145 DEVICE_ID 0 TILEPROP NULL_X114Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X114Y145 GRID_POINT_X 114 TILEPROP NULL_X114Y145 GRID_POINT_Y 11 TILEPROP NULL_X114Y145 INDEX 1379 TILEPROP NULL_X114Y145 INT_TILE_X -1 TILEPROP NULL_X114Y145 INT_TILE_Y -1 TILEPROP NULL_X114Y145 IS_CENTER_TILE 0 TILEPROP NULL_X114Y145 IS_DCM_TILE 0 TILEPROP NULL_X114Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y145 NAME NULL_X114Y145 TILEPROP NULL_X114Y145 NUM_ARCS 0 TILEPROP NULL_X114Y145 NUM_SITES 0 TILEPROP NULL_X114Y145 ROW 11 TILEPROP NULL_X114Y145 SLR_REGION_ID 0 TILEPROP NULL_X114Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y145 TILE_TYPE NULL TILEPROP NULL_X114Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y145 TILE_X 107062 TILEPROP NULL_X114Y145 TILE_Y 207096 TILEPROP NULL_X114Y145 TYPE NULL TILEPROP NULL_X114Y146 CLASS tile TILEPROP NULL_X114Y146 COLUMN 114 TILEPROP NULL_X114Y146 DEVICE_ID 0 TILEPROP NULL_X114Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X114Y146 GRID_POINT_X 114 TILEPROP NULL_X114Y146 GRID_POINT_Y 10 TILEPROP NULL_X114Y146 INDEX 1264 TILEPROP NULL_X114Y146 INT_TILE_X -1 TILEPROP NULL_X114Y146 INT_TILE_Y -1 TILEPROP NULL_X114Y146 IS_CENTER_TILE 0 TILEPROP NULL_X114Y146 IS_DCM_TILE 0 TILEPROP NULL_X114Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y146 NAME NULL_X114Y146 TILEPROP NULL_X114Y146 NUM_ARCS 0 TILEPROP NULL_X114Y146 NUM_SITES 0 TILEPROP NULL_X114Y146 ROW 10 TILEPROP NULL_X114Y146 SLR_REGION_ID 0 TILEPROP NULL_X114Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y146 TILE_TYPE NULL TILEPROP NULL_X114Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y146 TILE_X 107062 TILEPROP NULL_X114Y146 TILE_Y 210296 TILEPROP NULL_X114Y146 TYPE NULL TILEPROP NULL_X114Y147 CLASS tile TILEPROP NULL_X114Y147 COLUMN 114 TILEPROP NULL_X114Y147 DEVICE_ID 0 TILEPROP NULL_X114Y147 FIRST_SITE_ID 920 TILEPROP NULL_X114Y147 GRID_POINT_X 114 TILEPROP NULL_X114Y147 GRID_POINT_Y 9 TILEPROP NULL_X114Y147 INDEX 1149 TILEPROP NULL_X114Y147 INT_TILE_X -1 TILEPROP NULL_X114Y147 INT_TILE_Y -1 TILEPROP NULL_X114Y147 IS_CENTER_TILE 0 TILEPROP NULL_X114Y147 IS_DCM_TILE 0 TILEPROP NULL_X114Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y147 NAME NULL_X114Y147 TILEPROP NULL_X114Y147 NUM_ARCS 0 TILEPROP NULL_X114Y147 NUM_SITES 0 TILEPROP NULL_X114Y147 ROW 9 TILEPROP NULL_X114Y147 SLR_REGION_ID 0 TILEPROP NULL_X114Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y147 TILE_TYPE NULL TILEPROP NULL_X114Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y147 TILE_X 107062 TILEPROP NULL_X114Y147 TILE_Y 213496 TILEPROP NULL_X114Y147 TYPE NULL TILEPROP NULL_X114Y148 CLASS tile TILEPROP NULL_X114Y148 COLUMN 114 TILEPROP NULL_X114Y148 DEVICE_ID 0 TILEPROP NULL_X114Y148 FIRST_SITE_ID 816 TILEPROP NULL_X114Y148 GRID_POINT_X 114 TILEPROP NULL_X114Y148 GRID_POINT_Y 8 TILEPROP NULL_X114Y148 INDEX 1034 TILEPROP NULL_X114Y148 INT_TILE_X -1 TILEPROP NULL_X114Y148 INT_TILE_Y -1 TILEPROP NULL_X114Y148 IS_CENTER_TILE 0 TILEPROP NULL_X114Y148 IS_DCM_TILE 0 TILEPROP NULL_X114Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y148 NAME NULL_X114Y148 TILEPROP NULL_X114Y148 NUM_ARCS 0 TILEPROP NULL_X114Y148 NUM_SITES 0 TILEPROP NULL_X114Y148 ROW 8 TILEPROP NULL_X114Y148 SLR_REGION_ID 0 TILEPROP NULL_X114Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y148 TILE_TYPE NULL TILEPROP NULL_X114Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y148 TILE_X 107062 TILEPROP NULL_X114Y148 TILE_Y 216696 TILEPROP NULL_X114Y148 TYPE NULL TILEPROP NULL_X114Y149 CLASS tile TILEPROP NULL_X114Y149 COLUMN 114 TILEPROP NULL_X114Y149 DEVICE_ID 0 TILEPROP NULL_X114Y149 FIRST_SITE_ID 719 TILEPROP NULL_X114Y149 GRID_POINT_X 114 TILEPROP NULL_X114Y149 GRID_POINT_Y 7 TILEPROP NULL_X114Y149 INDEX 919 TILEPROP NULL_X114Y149 INT_TILE_X -1 TILEPROP NULL_X114Y149 INT_TILE_Y -1 TILEPROP NULL_X114Y149 IS_CENTER_TILE 0 TILEPROP NULL_X114Y149 IS_DCM_TILE 0 TILEPROP NULL_X114Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y149 NAME NULL_X114Y149 TILEPROP NULL_X114Y149 NUM_ARCS 0 TILEPROP NULL_X114Y149 NUM_SITES 0 TILEPROP NULL_X114Y149 ROW 7 TILEPROP NULL_X114Y149 SLR_REGION_ID 0 TILEPROP NULL_X114Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y149 TILE_TYPE NULL TILEPROP NULL_X114Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y149 TILE_X 107062 TILEPROP NULL_X114Y149 TILE_Y 219896 TILEPROP NULL_X114Y149 TYPE NULL TILEPROP NULL_X114Y150 CLASS tile TILEPROP NULL_X114Y150 COLUMN 114 TILEPROP NULL_X114Y150 DEVICE_ID 0 TILEPROP NULL_X114Y150 FIRST_SITE_ID 613 TILEPROP NULL_X114Y150 GRID_POINT_X 114 TILEPROP NULL_X114Y150 GRID_POINT_Y 6 TILEPROP NULL_X114Y150 INDEX 804 TILEPROP NULL_X114Y150 INT_TILE_X -1 TILEPROP NULL_X114Y150 INT_TILE_Y -1 TILEPROP NULL_X114Y150 IS_CENTER_TILE 0 TILEPROP NULL_X114Y150 IS_DCM_TILE 0 TILEPROP NULL_X114Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y150 NAME NULL_X114Y150 TILEPROP NULL_X114Y150 NUM_ARCS 0 TILEPROP NULL_X114Y150 NUM_SITES 0 TILEPROP NULL_X114Y150 ROW 6 TILEPROP NULL_X114Y150 SLR_REGION_ID 0 TILEPROP NULL_X114Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y150 TILE_TYPE NULL TILEPROP NULL_X114Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y150 TILE_X 107062 TILEPROP NULL_X114Y150 TILE_Y 223096 TILEPROP NULL_X114Y150 TYPE NULL TILEPROP NULL_X114Y151 CLASS tile TILEPROP NULL_X114Y151 COLUMN 114 TILEPROP NULL_X114Y151 DEVICE_ID 0 TILEPROP NULL_X114Y151 FIRST_SITE_ID 512 TILEPROP NULL_X114Y151 GRID_POINT_X 114 TILEPROP NULL_X114Y151 GRID_POINT_Y 5 TILEPROP NULL_X114Y151 INDEX 689 TILEPROP NULL_X114Y151 INT_TILE_X -1 TILEPROP NULL_X114Y151 INT_TILE_Y -1 TILEPROP NULL_X114Y151 IS_CENTER_TILE 0 TILEPROP NULL_X114Y151 IS_DCM_TILE 0 TILEPROP NULL_X114Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y151 NAME NULL_X114Y151 TILEPROP NULL_X114Y151 NUM_ARCS 0 TILEPROP NULL_X114Y151 NUM_SITES 0 TILEPROP NULL_X114Y151 ROW 5 TILEPROP NULL_X114Y151 SLR_REGION_ID 0 TILEPROP NULL_X114Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y151 TILE_TYPE NULL TILEPROP NULL_X114Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y151 TILE_X 107062 TILEPROP NULL_X114Y151 TILE_Y 226296 TILEPROP NULL_X114Y151 TYPE NULL TILEPROP NULL_X114Y152 CLASS tile TILEPROP NULL_X114Y152 COLUMN 114 TILEPROP NULL_X114Y152 DEVICE_ID 0 TILEPROP NULL_X114Y152 FIRST_SITE_ID 396 TILEPROP NULL_X114Y152 GRID_POINT_X 114 TILEPROP NULL_X114Y152 GRID_POINT_Y 4 TILEPROP NULL_X114Y152 INDEX 574 TILEPROP NULL_X114Y152 INT_TILE_X -1 TILEPROP NULL_X114Y152 INT_TILE_Y -1 TILEPROP NULL_X114Y152 IS_CENTER_TILE 0 TILEPROP NULL_X114Y152 IS_DCM_TILE 0 TILEPROP NULL_X114Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y152 NAME NULL_X114Y152 TILEPROP NULL_X114Y152 NUM_ARCS 0 TILEPROP NULL_X114Y152 NUM_SITES 0 TILEPROP NULL_X114Y152 ROW 4 TILEPROP NULL_X114Y152 SLR_REGION_ID 0 TILEPROP NULL_X114Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y152 TILE_TYPE NULL TILEPROP NULL_X114Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y152 TILE_X 107062 TILEPROP NULL_X114Y152 TILE_Y 229496 TILEPROP NULL_X114Y152 TYPE NULL TILEPROP NULL_X114Y153 CLASS tile TILEPROP NULL_X114Y153 COLUMN 114 TILEPROP NULL_X114Y153 DEVICE_ID 0 TILEPROP NULL_X114Y153 FIRST_SITE_ID 300 TILEPROP NULL_X114Y153 GRID_POINT_X 114 TILEPROP NULL_X114Y153 GRID_POINT_Y 3 TILEPROP NULL_X114Y153 INDEX 459 TILEPROP NULL_X114Y153 INT_TILE_X -1 TILEPROP NULL_X114Y153 INT_TILE_Y -1 TILEPROP NULL_X114Y153 IS_CENTER_TILE 0 TILEPROP NULL_X114Y153 IS_DCM_TILE 0 TILEPROP NULL_X114Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y153 NAME NULL_X114Y153 TILEPROP NULL_X114Y153 NUM_ARCS 0 TILEPROP NULL_X114Y153 NUM_SITES 0 TILEPROP NULL_X114Y153 ROW 3 TILEPROP NULL_X114Y153 SLR_REGION_ID 0 TILEPROP NULL_X114Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y153 TILE_TYPE NULL TILEPROP NULL_X114Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y153 TILE_X 107062 TILEPROP NULL_X114Y153 TILE_Y 232696 TILEPROP NULL_X114Y153 TYPE NULL TILEPROP NULL_X114Y154 CLASS tile TILEPROP NULL_X114Y154 COLUMN 114 TILEPROP NULL_X114Y154 DEVICE_ID 0 TILEPROP NULL_X114Y154 FIRST_SITE_ID 196 TILEPROP NULL_X114Y154 GRID_POINT_X 114 TILEPROP NULL_X114Y154 GRID_POINT_Y 2 TILEPROP NULL_X114Y154 INDEX 344 TILEPROP NULL_X114Y154 INT_TILE_X -1 TILEPROP NULL_X114Y154 INT_TILE_Y -1 TILEPROP NULL_X114Y154 IS_CENTER_TILE 0 TILEPROP NULL_X114Y154 IS_DCM_TILE 0 TILEPROP NULL_X114Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y154 NAME NULL_X114Y154 TILEPROP NULL_X114Y154 NUM_ARCS 0 TILEPROP NULL_X114Y154 NUM_SITES 0 TILEPROP NULL_X114Y154 ROW 2 TILEPROP NULL_X114Y154 SLR_REGION_ID 0 TILEPROP NULL_X114Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y154 TILE_TYPE NULL TILEPROP NULL_X114Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y154 TILE_X 107062 TILEPROP NULL_X114Y154 TILE_Y 235896 TILEPROP NULL_X114Y154 TYPE NULL TILEPROP NULL_X114Y155 CLASS tile TILEPROP NULL_X114Y155 COLUMN 114 TILEPROP NULL_X114Y155 DEVICE_ID 0 TILEPROP NULL_X114Y155 FIRST_SITE_ID 100 TILEPROP NULL_X114Y155 GRID_POINT_X 114 TILEPROP NULL_X114Y155 GRID_POINT_Y 1 TILEPROP NULL_X114Y155 INDEX 229 TILEPROP NULL_X114Y155 INT_TILE_X -1 TILEPROP NULL_X114Y155 INT_TILE_Y -1 TILEPROP NULL_X114Y155 IS_CENTER_TILE 0 TILEPROP NULL_X114Y155 IS_DCM_TILE 0 TILEPROP NULL_X114Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y155 NAME NULL_X114Y155 TILEPROP NULL_X114Y155 NUM_ARCS 0 TILEPROP NULL_X114Y155 NUM_SITES 0 TILEPROP NULL_X114Y155 ROW 1 TILEPROP NULL_X114Y155 SLR_REGION_ID 0 TILEPROP NULL_X114Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y155 TILE_TYPE NULL TILEPROP NULL_X114Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y155 TILE_X 107062 TILEPROP NULL_X114Y155 TILE_Y 239096 TILEPROP NULL_X114Y155 TYPE NULL TILEPROP NULL_X114Y156 CLASS tile TILEPROP NULL_X114Y156 COLUMN 114 TILEPROP NULL_X114Y156 DEVICE_ID 0 TILEPROP NULL_X114Y156 FIRST_SITE_ID 0 TILEPROP NULL_X114Y156 GRID_POINT_X 114 TILEPROP NULL_X114Y156 GRID_POINT_Y 0 TILEPROP NULL_X114Y156 INDEX 114 TILEPROP NULL_X114Y156 INT_TILE_X -1 TILEPROP NULL_X114Y156 INT_TILE_Y -1 TILEPROP NULL_X114Y156 IS_CENTER_TILE 0 TILEPROP NULL_X114Y156 IS_DCM_TILE 0 TILEPROP NULL_X114Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X114Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X114Y156 NAME NULL_X114Y156 TILEPROP NULL_X114Y156 NUM_ARCS 0 TILEPROP NULL_X114Y156 NUM_SITES 0 TILEPROP NULL_X114Y156 ROW 0 TILEPROP NULL_X114Y156 SLR_REGION_ID 0 TILEPROP NULL_X114Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X114Y156 TILE_TYPE NULL TILEPROP NULL_X114Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X114Y156 TILE_X 107062 TILEPROP NULL_X114Y156 TILE_Y 242296 TILEPROP NULL_X114Y156 TYPE NULL TILEPROP NULL_X13Y0 CLASS tile TILEPROP NULL_X13Y0 COLUMN 13 TILEPROP NULL_X13Y0 DEVICE_ID 0 TILEPROP NULL_X13Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X13Y0 GRID_POINT_X 13 TILEPROP NULL_X13Y0 GRID_POINT_Y 156 TILEPROP NULL_X13Y0 INDEX 17953 TILEPROP NULL_X13Y0 INT_TILE_X 3 TILEPROP NULL_X13Y0 INT_TILE_Y 149 TILEPROP NULL_X13Y0 IS_CENTER_TILE 0 TILEPROP NULL_X13Y0 IS_DCM_TILE 0 TILEPROP NULL_X13Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X13Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X13Y0 NAME NULL_X13Y0 TILEPROP NULL_X13Y0 NUM_ARCS 0 TILEPROP NULL_X13Y0 NUM_SITES 0 TILEPROP NULL_X13Y0 ROW 156 TILEPROP NULL_X13Y0 SLR_REGION_ID 0 TILEPROP NULL_X13Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X13Y0 TILE_TYPE NULL TILEPROP NULL_X13Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X13Y0 TILE_X -79950 TILEPROP NULL_X13Y0 TILE_Y -244472 TILEPROP NULL_X13Y0 TYPE NULL TILEPROP NULL_X13Y156 CLASS tile TILEPROP NULL_X13Y156 COLUMN 13 TILEPROP NULL_X13Y156 DEVICE_ID 0 TILEPROP NULL_X13Y156 FIRST_SITE_ID 0 TILEPROP NULL_X13Y156 GRID_POINT_X 13 TILEPROP NULL_X13Y156 GRID_POINT_Y 0 TILEPROP NULL_X13Y156 INDEX 13 TILEPROP NULL_X13Y156 INT_TILE_X -1 TILEPROP NULL_X13Y156 INT_TILE_Y -1 TILEPROP NULL_X13Y156 IS_CENTER_TILE 0 TILEPROP NULL_X13Y156 IS_DCM_TILE 0 TILEPROP NULL_X13Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X13Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X13Y156 NAME NULL_X13Y156 TILEPROP NULL_X13Y156 NUM_ARCS 0 TILEPROP NULL_X13Y156 NUM_SITES 0 TILEPROP NULL_X13Y156 ROW 0 TILEPROP NULL_X13Y156 SLR_REGION_ID 0 TILEPROP NULL_X13Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X13Y156 TILE_TYPE NULL TILEPROP NULL_X13Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X13Y156 TILE_X -79950 TILEPROP NULL_X13Y156 TILE_Y 242296 TILEPROP NULL_X13Y156 TYPE NULL TILEPROP NULL_X14Y0 CLASS tile TILEPROP NULL_X14Y0 COLUMN 14 TILEPROP NULL_X14Y0 DEVICE_ID 0 TILEPROP NULL_X14Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X14Y0 GRID_POINT_X 14 TILEPROP NULL_X14Y0 GRID_POINT_Y 156 TILEPROP NULL_X14Y0 INDEX 17954 TILEPROP NULL_X14Y0 INT_TILE_X 3 TILEPROP NULL_X14Y0 INT_TILE_Y 149 TILEPROP NULL_X14Y0 IS_CENTER_TILE 0 TILEPROP NULL_X14Y0 IS_DCM_TILE 0 TILEPROP NULL_X14Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X14Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X14Y0 NAME NULL_X14Y0 TILEPROP NULL_X14Y0 NUM_ARCS 0 TILEPROP NULL_X14Y0 NUM_SITES 0 TILEPROP NULL_X14Y0 ROW 156 TILEPROP NULL_X14Y0 SLR_REGION_ID 0 TILEPROP NULL_X14Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X14Y0 TILE_TYPE NULL TILEPROP NULL_X14Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X14Y0 TILE_X -79254 TILEPROP NULL_X14Y0 TILE_Y -244472 TILEPROP NULL_X14Y0 TYPE NULL TILEPROP NULL_X14Y156 CLASS tile TILEPROP NULL_X14Y156 COLUMN 14 TILEPROP NULL_X14Y156 DEVICE_ID 0 TILEPROP NULL_X14Y156 FIRST_SITE_ID 0 TILEPROP NULL_X14Y156 GRID_POINT_X 14 TILEPROP NULL_X14Y156 GRID_POINT_Y 0 TILEPROP NULL_X14Y156 INDEX 14 TILEPROP NULL_X14Y156 INT_TILE_X -1 TILEPROP NULL_X14Y156 INT_TILE_Y -1 TILEPROP NULL_X14Y156 IS_CENTER_TILE 0 TILEPROP NULL_X14Y156 IS_DCM_TILE 0 TILEPROP NULL_X14Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X14Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X14Y156 NAME NULL_X14Y156 TILEPROP NULL_X14Y156 NUM_ARCS 0 TILEPROP NULL_X14Y156 NUM_SITES 0 TILEPROP NULL_X14Y156 ROW 0 TILEPROP NULL_X14Y156 SLR_REGION_ID 0 TILEPROP NULL_X14Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X14Y156 TILE_TYPE NULL TILEPROP NULL_X14Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X14Y156 TILE_X -79254 TILEPROP NULL_X14Y156 TILE_Y 242296 TILEPROP NULL_X14Y156 TYPE NULL TILEPROP NULL_X17Y0 CLASS tile TILEPROP NULL_X17Y0 COLUMN 17 TILEPROP NULL_X17Y0 DEVICE_ID 0 TILEPROP NULL_X17Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X17Y0 GRID_POINT_X 17 TILEPROP NULL_X17Y0 GRID_POINT_Y 156 TILEPROP NULL_X17Y0 INDEX 17957 TILEPROP NULL_X17Y0 INT_TILE_X 5 TILEPROP NULL_X17Y0 INT_TILE_Y 149 TILEPROP NULL_X17Y0 IS_CENTER_TILE 0 TILEPROP NULL_X17Y0 IS_DCM_TILE 0 TILEPROP NULL_X17Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X17Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X17Y0 NAME NULL_X17Y0 TILEPROP NULL_X17Y0 NUM_ARCS 0 TILEPROP NULL_X17Y0 NUM_SITES 0 TILEPROP NULL_X17Y0 ROW 156 TILEPROP NULL_X17Y0 SLR_REGION_ID 0 TILEPROP NULL_X17Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X17Y0 TILE_TYPE NULL TILEPROP NULL_X17Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X17Y0 TILE_X -71646 TILEPROP NULL_X17Y0 TILE_Y -244472 TILEPROP NULL_X17Y0 TYPE NULL TILEPROP NULL_X17Y156 CLASS tile TILEPROP NULL_X17Y156 COLUMN 17 TILEPROP NULL_X17Y156 DEVICE_ID 0 TILEPROP NULL_X17Y156 FIRST_SITE_ID 0 TILEPROP NULL_X17Y156 GRID_POINT_X 17 TILEPROP NULL_X17Y156 GRID_POINT_Y 0 TILEPROP NULL_X17Y156 INDEX 17 TILEPROP NULL_X17Y156 INT_TILE_X -1 TILEPROP NULL_X17Y156 INT_TILE_Y -1 TILEPROP NULL_X17Y156 IS_CENTER_TILE 0 TILEPROP NULL_X17Y156 IS_DCM_TILE 0 TILEPROP NULL_X17Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X17Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X17Y156 NAME NULL_X17Y156 TILEPROP NULL_X17Y156 NUM_ARCS 0 TILEPROP NULL_X17Y156 NUM_SITES 0 TILEPROP NULL_X17Y156 ROW 0 TILEPROP NULL_X17Y156 SLR_REGION_ID 0 TILEPROP NULL_X17Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X17Y156 TILE_TYPE NULL TILEPROP NULL_X17Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X17Y156 TILE_X -71646 TILEPROP NULL_X17Y156 TILE_Y 242296 TILEPROP NULL_X17Y156 TYPE NULL TILEPROP NULL_X18Y0 CLASS tile TILEPROP NULL_X18Y0 COLUMN 18 TILEPROP NULL_X18Y0 DEVICE_ID 0 TILEPROP NULL_X18Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X18Y0 GRID_POINT_X 18 TILEPROP NULL_X18Y0 GRID_POINT_Y 156 TILEPROP NULL_X18Y0 INDEX 17958 TILEPROP NULL_X18Y0 INT_TILE_X 5 TILEPROP NULL_X18Y0 INT_TILE_Y 149 TILEPROP NULL_X18Y0 IS_CENTER_TILE 0 TILEPROP NULL_X18Y0 IS_DCM_TILE 0 TILEPROP NULL_X18Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X18Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X18Y0 NAME NULL_X18Y0 TILEPROP NULL_X18Y0 NUM_ARCS 0 TILEPROP NULL_X18Y0 NUM_SITES 0 TILEPROP NULL_X18Y0 ROW 156 TILEPROP NULL_X18Y0 SLR_REGION_ID 0 TILEPROP NULL_X18Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X18Y0 TILE_TYPE NULL TILEPROP NULL_X18Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X18Y0 TILE_X -70950 TILEPROP NULL_X18Y0 TILE_Y -244472 TILEPROP NULL_X18Y0 TYPE NULL TILEPROP NULL_X18Y52 CLASS tile TILEPROP NULL_X18Y52 COLUMN 18 TILEPROP NULL_X18Y52 DEVICE_ID 0 TILEPROP NULL_X18Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X18Y52 GRID_POINT_X 18 TILEPROP NULL_X18Y52 GRID_POINT_Y 104 TILEPROP NULL_X18Y52 INDEX 11978 TILEPROP NULL_X18Y52 INT_TILE_X 5 TILEPROP NULL_X18Y52 INT_TILE_Y 99 TILEPROP NULL_X18Y52 IS_CENTER_TILE 0 TILEPROP NULL_X18Y52 IS_DCM_TILE 0 TILEPROP NULL_X18Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X18Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X18Y52 NAME NULL_X18Y52 TILEPROP NULL_X18Y52 NUM_ARCS 0 TILEPROP NULL_X18Y52 NUM_SITES 0 TILEPROP NULL_X18Y52 ROW 104 TILEPROP NULL_X18Y52 SLR_REGION_ID 0 TILEPROP NULL_X18Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X18Y52 TILE_TYPE NULL TILEPROP NULL_X18Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X18Y52 TILE_X -70950 TILEPROP NULL_X18Y52 TILE_Y -80248 TILEPROP NULL_X18Y52 TYPE NULL TILEPROP NULL_X18Y104 CLASS tile TILEPROP NULL_X18Y104 COLUMN 18 TILEPROP NULL_X18Y104 DEVICE_ID 0 TILEPROP NULL_X18Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X18Y104 GRID_POINT_X 18 TILEPROP NULL_X18Y104 GRID_POINT_Y 52 TILEPROP NULL_X18Y104 INDEX 5998 TILEPROP NULL_X18Y104 INT_TILE_X 5 TILEPROP NULL_X18Y104 INT_TILE_Y 49 TILEPROP NULL_X18Y104 IS_CENTER_TILE 0 TILEPROP NULL_X18Y104 IS_DCM_TILE 0 TILEPROP NULL_X18Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X18Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X18Y104 NAME NULL_X18Y104 TILEPROP NULL_X18Y104 NUM_ARCS 0 TILEPROP NULL_X18Y104 NUM_SITES 0 TILEPROP NULL_X18Y104 ROW 52 TILEPROP NULL_X18Y104 SLR_REGION_ID 0 TILEPROP NULL_X18Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X18Y104 TILE_TYPE NULL TILEPROP NULL_X18Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X18Y104 TILE_X -70950 TILEPROP NULL_X18Y104 TILE_Y 81024 TILEPROP NULL_X18Y104 TYPE NULL TILEPROP NULL_X18Y156 CLASS tile TILEPROP NULL_X18Y156 COLUMN 18 TILEPROP NULL_X18Y156 DEVICE_ID 0 TILEPROP NULL_X18Y156 FIRST_SITE_ID 0 TILEPROP NULL_X18Y156 GRID_POINT_X 18 TILEPROP NULL_X18Y156 GRID_POINT_Y 0 TILEPROP NULL_X18Y156 INDEX 18 TILEPROP NULL_X18Y156 INT_TILE_X -1 TILEPROP NULL_X18Y156 INT_TILE_Y -1 TILEPROP NULL_X18Y156 IS_CENTER_TILE 0 TILEPROP NULL_X18Y156 IS_DCM_TILE 0 TILEPROP NULL_X18Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X18Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X18Y156 NAME NULL_X18Y156 TILEPROP NULL_X18Y156 NUM_ARCS 0 TILEPROP NULL_X18Y156 NUM_SITES 0 TILEPROP NULL_X18Y156 ROW 0 TILEPROP NULL_X18Y156 SLR_REGION_ID 0 TILEPROP NULL_X18Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X18Y156 TILE_TYPE NULL TILEPROP NULL_X18Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X18Y156 TILE_X -70950 TILEPROP NULL_X18Y156 TILE_Y 242296 TILEPROP NULL_X18Y156 TYPE NULL TILEPROP NULL_X19Y0 CLASS tile TILEPROP NULL_X19Y0 COLUMN 19 TILEPROP NULL_X19Y0 DEVICE_ID 0 TILEPROP NULL_X19Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X19Y0 GRID_POINT_X 19 TILEPROP NULL_X19Y0 GRID_POINT_Y 156 TILEPROP NULL_X19Y0 INDEX 17959 TILEPROP NULL_X19Y0 INT_TILE_X 5 TILEPROP NULL_X19Y0 INT_TILE_Y 149 TILEPROP NULL_X19Y0 IS_CENTER_TILE 0 TILEPROP NULL_X19Y0 IS_DCM_TILE 0 TILEPROP NULL_X19Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y0 NAME NULL_X19Y0 TILEPROP NULL_X19Y0 NUM_ARCS 0 TILEPROP NULL_X19Y0 NUM_SITES 0 TILEPROP NULL_X19Y0 ROW 156 TILEPROP NULL_X19Y0 SLR_REGION_ID 0 TILEPROP NULL_X19Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y0 TILE_TYPE NULL TILEPROP NULL_X19Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y0 TILE_X -70886 TILEPROP NULL_X19Y0 TILE_Y -244472 TILEPROP NULL_X19Y0 TYPE NULL TILEPROP NULL_X19Y2 CLASS tile TILEPROP NULL_X19Y2 COLUMN 19 TILEPROP NULL_X19Y2 DEVICE_ID 0 TILEPROP NULL_X19Y2 FIRST_SITE_ID 15615 TILEPROP NULL_X19Y2 GRID_POINT_X 19 TILEPROP NULL_X19Y2 GRID_POINT_Y 154 TILEPROP NULL_X19Y2 INDEX 17729 TILEPROP NULL_X19Y2 INT_TILE_X 5 TILEPROP NULL_X19Y2 INT_TILE_Y 148 TILEPROP NULL_X19Y2 IS_CENTER_TILE 0 TILEPROP NULL_X19Y2 IS_DCM_TILE 0 TILEPROP NULL_X19Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y2 NAME NULL_X19Y2 TILEPROP NULL_X19Y2 NUM_ARCS 0 TILEPROP NULL_X19Y2 NUM_SITES 0 TILEPROP NULL_X19Y2 ROW 154 TILEPROP NULL_X19Y2 SLR_REGION_ID 0 TILEPROP NULL_X19Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y2 TILE_TYPE NULL TILEPROP NULL_X19Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y2 TILE_X -70886 TILEPROP NULL_X19Y2 TILE_Y -238072 TILEPROP NULL_X19Y2 TYPE NULL TILEPROP NULL_X19Y3 CLASS tile TILEPROP NULL_X19Y3 COLUMN 19 TILEPROP NULL_X19Y3 DEVICE_ID 0 TILEPROP NULL_X19Y3 FIRST_SITE_ID 15515 TILEPROP NULL_X19Y3 GRID_POINT_X 19 TILEPROP NULL_X19Y3 GRID_POINT_Y 153 TILEPROP NULL_X19Y3 INDEX 17614 TILEPROP NULL_X19Y3 INT_TILE_X 5 TILEPROP NULL_X19Y3 INT_TILE_Y 147 TILEPROP NULL_X19Y3 IS_CENTER_TILE 0 TILEPROP NULL_X19Y3 IS_DCM_TILE 0 TILEPROP NULL_X19Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y3 NAME NULL_X19Y3 TILEPROP NULL_X19Y3 NUM_ARCS 0 TILEPROP NULL_X19Y3 NUM_SITES 0 TILEPROP NULL_X19Y3 ROW 153 TILEPROP NULL_X19Y3 SLR_REGION_ID 0 TILEPROP NULL_X19Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y3 TILE_TYPE NULL TILEPROP NULL_X19Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y3 TILE_X -70886 TILEPROP NULL_X19Y3 TILE_Y -234872 TILEPROP NULL_X19Y3 TYPE NULL TILEPROP NULL_X19Y4 CLASS tile TILEPROP NULL_X19Y4 COLUMN 19 TILEPROP NULL_X19Y4 DEVICE_ID 0 TILEPROP NULL_X19Y4 FIRST_SITE_ID 15415 TILEPROP NULL_X19Y4 GRID_POINT_X 19 TILEPROP NULL_X19Y4 GRID_POINT_Y 152 TILEPROP NULL_X19Y4 INDEX 17499 TILEPROP NULL_X19Y4 INT_TILE_X 5 TILEPROP NULL_X19Y4 INT_TILE_Y 146 TILEPROP NULL_X19Y4 IS_CENTER_TILE 0 TILEPROP NULL_X19Y4 IS_DCM_TILE 0 TILEPROP NULL_X19Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y4 NAME NULL_X19Y4 TILEPROP NULL_X19Y4 NUM_ARCS 0 TILEPROP NULL_X19Y4 NUM_SITES 0 TILEPROP NULL_X19Y4 ROW 152 TILEPROP NULL_X19Y4 SLR_REGION_ID 0 TILEPROP NULL_X19Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y4 TILE_TYPE NULL TILEPROP NULL_X19Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y4 TILE_X -70886 TILEPROP NULL_X19Y4 TILE_Y -231672 TILEPROP NULL_X19Y4 TYPE NULL TILEPROP NULL_X19Y5 CLASS tile TILEPROP NULL_X19Y5 COLUMN 19 TILEPROP NULL_X19Y5 DEVICE_ID 0 TILEPROP NULL_X19Y5 FIRST_SITE_ID 15315 TILEPROP NULL_X19Y5 GRID_POINT_X 19 TILEPROP NULL_X19Y5 GRID_POINT_Y 151 TILEPROP NULL_X19Y5 INDEX 17384 TILEPROP NULL_X19Y5 INT_TILE_X 5 TILEPROP NULL_X19Y5 INT_TILE_Y 145 TILEPROP NULL_X19Y5 IS_CENTER_TILE 0 TILEPROP NULL_X19Y5 IS_DCM_TILE 0 TILEPROP NULL_X19Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y5 NAME NULL_X19Y5 TILEPROP NULL_X19Y5 NUM_ARCS 0 TILEPROP NULL_X19Y5 NUM_SITES 0 TILEPROP NULL_X19Y5 ROW 151 TILEPROP NULL_X19Y5 SLR_REGION_ID 0 TILEPROP NULL_X19Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y5 TILE_TYPE NULL TILEPROP NULL_X19Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y5 TILE_X -70886 TILEPROP NULL_X19Y5 TILE_Y -228472 TILEPROP NULL_X19Y5 TYPE NULL TILEPROP NULL_X19Y7 CLASS tile TILEPROP NULL_X19Y7 COLUMN 19 TILEPROP NULL_X19Y7 DEVICE_ID 0 TILEPROP NULL_X19Y7 FIRST_SITE_ID 15100 TILEPROP NULL_X19Y7 GRID_POINT_X 19 TILEPROP NULL_X19Y7 GRID_POINT_Y 149 TILEPROP NULL_X19Y7 INDEX 17154 TILEPROP NULL_X19Y7 INT_TILE_X 5 TILEPROP NULL_X19Y7 INT_TILE_Y 143 TILEPROP NULL_X19Y7 IS_CENTER_TILE 0 TILEPROP NULL_X19Y7 IS_DCM_TILE 0 TILEPROP NULL_X19Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y7 NAME NULL_X19Y7 TILEPROP NULL_X19Y7 NUM_ARCS 0 TILEPROP NULL_X19Y7 NUM_SITES 0 TILEPROP NULL_X19Y7 ROW 149 TILEPROP NULL_X19Y7 SLR_REGION_ID 0 TILEPROP NULL_X19Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y7 TILE_TYPE NULL TILEPROP NULL_X19Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y7 TILE_X -70886 TILEPROP NULL_X19Y7 TILE_Y -222072 TILEPROP NULL_X19Y7 TYPE NULL TILEPROP NULL_X19Y8 CLASS tile TILEPROP NULL_X19Y8 COLUMN 19 TILEPROP NULL_X19Y8 DEVICE_ID 0 TILEPROP NULL_X19Y8 FIRST_SITE_ID 14998 TILEPROP NULL_X19Y8 GRID_POINT_X 19 TILEPROP NULL_X19Y8 GRID_POINT_Y 148 TILEPROP NULL_X19Y8 INDEX 17039 TILEPROP NULL_X19Y8 INT_TILE_X 5 TILEPROP NULL_X19Y8 INT_TILE_Y 142 TILEPROP NULL_X19Y8 IS_CENTER_TILE 0 TILEPROP NULL_X19Y8 IS_DCM_TILE 0 TILEPROP NULL_X19Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y8 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y8 NAME NULL_X19Y8 TILEPROP NULL_X19Y8 NUM_ARCS 0 TILEPROP NULL_X19Y8 NUM_SITES 0 TILEPROP NULL_X19Y8 ROW 148 TILEPROP NULL_X19Y8 SLR_REGION_ID 0 TILEPROP NULL_X19Y8 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y8 TILE_TYPE NULL TILEPROP NULL_X19Y8 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y8 TILE_X -70886 TILEPROP NULL_X19Y8 TILE_Y -218872 TILEPROP NULL_X19Y8 TYPE NULL TILEPROP NULL_X19Y9 CLASS tile TILEPROP NULL_X19Y9 COLUMN 19 TILEPROP NULL_X19Y9 DEVICE_ID 0 TILEPROP NULL_X19Y9 FIRST_SITE_ID 14895 TILEPROP NULL_X19Y9 GRID_POINT_X 19 TILEPROP NULL_X19Y9 GRID_POINT_Y 147 TILEPROP NULL_X19Y9 INDEX 16924 TILEPROP NULL_X19Y9 INT_TILE_X 5 TILEPROP NULL_X19Y9 INT_TILE_Y 141 TILEPROP NULL_X19Y9 IS_CENTER_TILE 0 TILEPROP NULL_X19Y9 IS_DCM_TILE 0 TILEPROP NULL_X19Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y9 NAME NULL_X19Y9 TILEPROP NULL_X19Y9 NUM_ARCS 0 TILEPROP NULL_X19Y9 NUM_SITES 0 TILEPROP NULL_X19Y9 ROW 147 TILEPROP NULL_X19Y9 SLR_REGION_ID 0 TILEPROP NULL_X19Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y9 TILE_TYPE NULL TILEPROP NULL_X19Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y9 TILE_X -70886 TILEPROP NULL_X19Y9 TILE_Y -215672 TILEPROP NULL_X19Y9 TYPE NULL TILEPROP NULL_X19Y10 CLASS tile TILEPROP NULL_X19Y10 COLUMN 19 TILEPROP NULL_X19Y10 DEVICE_ID 0 TILEPROP NULL_X19Y10 FIRST_SITE_ID 14794 TILEPROP NULL_X19Y10 GRID_POINT_X 19 TILEPROP NULL_X19Y10 GRID_POINT_Y 146 TILEPROP NULL_X19Y10 INDEX 16809 TILEPROP NULL_X19Y10 INT_TILE_X 5 TILEPROP NULL_X19Y10 INT_TILE_Y 140 TILEPROP NULL_X19Y10 IS_CENTER_TILE 0 TILEPROP NULL_X19Y10 IS_DCM_TILE 0 TILEPROP NULL_X19Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y10 NAME NULL_X19Y10 TILEPROP NULL_X19Y10 NUM_ARCS 0 TILEPROP NULL_X19Y10 NUM_SITES 0 TILEPROP NULL_X19Y10 ROW 146 TILEPROP NULL_X19Y10 SLR_REGION_ID 0 TILEPROP NULL_X19Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y10 TILE_TYPE NULL TILEPROP NULL_X19Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y10 TILE_X -70886 TILEPROP NULL_X19Y10 TILE_Y -212472 TILEPROP NULL_X19Y10 TYPE NULL TILEPROP NULL_X19Y12 CLASS tile TILEPROP NULL_X19Y12 COLUMN 19 TILEPROP NULL_X19Y12 DEVICE_ID 0 TILEPROP NULL_X19Y12 FIRST_SITE_ID 14579 TILEPROP NULL_X19Y12 GRID_POINT_X 19 TILEPROP NULL_X19Y12 GRID_POINT_Y 144 TILEPROP NULL_X19Y12 INDEX 16579 TILEPROP NULL_X19Y12 INT_TILE_X 5 TILEPROP NULL_X19Y12 INT_TILE_Y 138 TILEPROP NULL_X19Y12 IS_CENTER_TILE 0 TILEPROP NULL_X19Y12 IS_DCM_TILE 0 TILEPROP NULL_X19Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y12 NAME NULL_X19Y12 TILEPROP NULL_X19Y12 NUM_ARCS 0 TILEPROP NULL_X19Y12 NUM_SITES 0 TILEPROP NULL_X19Y12 ROW 144 TILEPROP NULL_X19Y12 SLR_REGION_ID 0 TILEPROP NULL_X19Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y12 TILE_TYPE NULL TILEPROP NULL_X19Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y12 TILE_X -70886 TILEPROP NULL_X19Y12 TILE_Y -206072 TILEPROP NULL_X19Y12 TYPE NULL TILEPROP NULL_X19Y13 CLASS tile TILEPROP NULL_X19Y13 COLUMN 19 TILEPROP NULL_X19Y13 DEVICE_ID 0 TILEPROP NULL_X19Y13 FIRST_SITE_ID 14447 TILEPROP NULL_X19Y13 GRID_POINT_X 19 TILEPROP NULL_X19Y13 GRID_POINT_Y 143 TILEPROP NULL_X19Y13 INDEX 16464 TILEPROP NULL_X19Y13 INT_TILE_X 5 TILEPROP NULL_X19Y13 INT_TILE_Y 137 TILEPROP NULL_X19Y13 IS_CENTER_TILE 0 TILEPROP NULL_X19Y13 IS_DCM_TILE 0 TILEPROP NULL_X19Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y13 NAME NULL_X19Y13 TILEPROP NULL_X19Y13 NUM_ARCS 0 TILEPROP NULL_X19Y13 NUM_SITES 0 TILEPROP NULL_X19Y13 ROW 143 TILEPROP NULL_X19Y13 SLR_REGION_ID 0 TILEPROP NULL_X19Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y13 TILE_TYPE NULL TILEPROP NULL_X19Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y13 TILE_X -70886 TILEPROP NULL_X19Y13 TILE_Y -202872 TILEPROP NULL_X19Y13 TYPE NULL TILEPROP NULL_X19Y14 CLASS tile TILEPROP NULL_X19Y14 COLUMN 19 TILEPROP NULL_X19Y14 DEVICE_ID 0 TILEPROP NULL_X19Y14 FIRST_SITE_ID 14347 TILEPROP NULL_X19Y14 GRID_POINT_X 19 TILEPROP NULL_X19Y14 GRID_POINT_Y 142 TILEPROP NULL_X19Y14 INDEX 16349 TILEPROP NULL_X19Y14 INT_TILE_X 5 TILEPROP NULL_X19Y14 INT_TILE_Y 136 TILEPROP NULL_X19Y14 IS_CENTER_TILE 0 TILEPROP NULL_X19Y14 IS_DCM_TILE 0 TILEPROP NULL_X19Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y14 NAME NULL_X19Y14 TILEPROP NULL_X19Y14 NUM_ARCS 0 TILEPROP NULL_X19Y14 NUM_SITES 0 TILEPROP NULL_X19Y14 ROW 142 TILEPROP NULL_X19Y14 SLR_REGION_ID 0 TILEPROP NULL_X19Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y14 TILE_TYPE NULL TILEPROP NULL_X19Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y14 TILE_X -70886 TILEPROP NULL_X19Y14 TILE_Y -199672 TILEPROP NULL_X19Y14 TYPE NULL TILEPROP NULL_X19Y15 CLASS tile TILEPROP NULL_X19Y15 COLUMN 19 TILEPROP NULL_X19Y15 DEVICE_ID 0 TILEPROP NULL_X19Y15 FIRST_SITE_ID 14247 TILEPROP NULL_X19Y15 GRID_POINT_X 19 TILEPROP NULL_X19Y15 GRID_POINT_Y 141 TILEPROP NULL_X19Y15 INDEX 16234 TILEPROP NULL_X19Y15 INT_TILE_X 5 TILEPROP NULL_X19Y15 INT_TILE_Y 135 TILEPROP NULL_X19Y15 IS_CENTER_TILE 0 TILEPROP NULL_X19Y15 IS_DCM_TILE 0 TILEPROP NULL_X19Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y15 NAME NULL_X19Y15 TILEPROP NULL_X19Y15 NUM_ARCS 0 TILEPROP NULL_X19Y15 NUM_SITES 0 TILEPROP NULL_X19Y15 ROW 141 TILEPROP NULL_X19Y15 SLR_REGION_ID 0 TILEPROP NULL_X19Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y15 TILE_TYPE NULL TILEPROP NULL_X19Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y15 TILE_X -70886 TILEPROP NULL_X19Y15 TILE_Y -196472 TILEPROP NULL_X19Y15 TYPE NULL TILEPROP NULL_X19Y17 CLASS tile TILEPROP NULL_X19Y17 COLUMN 19 TILEPROP NULL_X19Y17 DEVICE_ID 0 TILEPROP NULL_X19Y17 FIRST_SITE_ID 14032 TILEPROP NULL_X19Y17 GRID_POINT_X 19 TILEPROP NULL_X19Y17 GRID_POINT_Y 139 TILEPROP NULL_X19Y17 INDEX 16004 TILEPROP NULL_X19Y17 INT_TILE_X 5 TILEPROP NULL_X19Y17 INT_TILE_Y 133 TILEPROP NULL_X19Y17 IS_CENTER_TILE 0 TILEPROP NULL_X19Y17 IS_DCM_TILE 0 TILEPROP NULL_X19Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y17 NAME NULL_X19Y17 TILEPROP NULL_X19Y17 NUM_ARCS 0 TILEPROP NULL_X19Y17 NUM_SITES 0 TILEPROP NULL_X19Y17 ROW 139 TILEPROP NULL_X19Y17 SLR_REGION_ID 0 TILEPROP NULL_X19Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y17 TILE_TYPE NULL TILEPROP NULL_X19Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y17 TILE_X -70886 TILEPROP NULL_X19Y17 TILE_Y -190072 TILEPROP NULL_X19Y17 TYPE NULL TILEPROP NULL_X19Y18 CLASS tile TILEPROP NULL_X19Y18 COLUMN 19 TILEPROP NULL_X19Y18 DEVICE_ID 0 TILEPROP NULL_X19Y18 FIRST_SITE_ID 13927 TILEPROP NULL_X19Y18 GRID_POINT_X 19 TILEPROP NULL_X19Y18 GRID_POINT_Y 138 TILEPROP NULL_X19Y18 INDEX 15889 TILEPROP NULL_X19Y18 INT_TILE_X 5 TILEPROP NULL_X19Y18 INT_TILE_Y 132 TILEPROP NULL_X19Y18 IS_CENTER_TILE 0 TILEPROP NULL_X19Y18 IS_DCM_TILE 0 TILEPROP NULL_X19Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y18 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y18 NAME NULL_X19Y18 TILEPROP NULL_X19Y18 NUM_ARCS 0 TILEPROP NULL_X19Y18 NUM_SITES 0 TILEPROP NULL_X19Y18 ROW 138 TILEPROP NULL_X19Y18 SLR_REGION_ID 0 TILEPROP NULL_X19Y18 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y18 TILE_TYPE NULL TILEPROP NULL_X19Y18 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y18 TILE_X -70886 TILEPROP NULL_X19Y18 TILE_Y -186872 TILEPROP NULL_X19Y18 TYPE NULL TILEPROP NULL_X19Y19 CLASS tile TILEPROP NULL_X19Y19 COLUMN 19 TILEPROP NULL_X19Y19 DEVICE_ID 0 TILEPROP NULL_X19Y19 FIRST_SITE_ID 13823 TILEPROP NULL_X19Y19 GRID_POINT_X 19 TILEPROP NULL_X19Y19 GRID_POINT_Y 137 TILEPROP NULL_X19Y19 INDEX 15774 TILEPROP NULL_X19Y19 INT_TILE_X 5 TILEPROP NULL_X19Y19 INT_TILE_Y 131 TILEPROP NULL_X19Y19 IS_CENTER_TILE 0 TILEPROP NULL_X19Y19 IS_DCM_TILE 0 TILEPROP NULL_X19Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y19 NAME NULL_X19Y19 TILEPROP NULL_X19Y19 NUM_ARCS 0 TILEPROP NULL_X19Y19 NUM_SITES 0 TILEPROP NULL_X19Y19 ROW 137 TILEPROP NULL_X19Y19 SLR_REGION_ID 0 TILEPROP NULL_X19Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y19 TILE_TYPE NULL TILEPROP NULL_X19Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y19 TILE_X -70886 TILEPROP NULL_X19Y19 TILE_Y -183672 TILEPROP NULL_X19Y19 TYPE NULL TILEPROP NULL_X19Y20 CLASS tile TILEPROP NULL_X19Y20 COLUMN 19 TILEPROP NULL_X19Y20 DEVICE_ID 0 TILEPROP NULL_X19Y20 FIRST_SITE_ID 13721 TILEPROP NULL_X19Y20 GRID_POINT_X 19 TILEPROP NULL_X19Y20 GRID_POINT_Y 136 TILEPROP NULL_X19Y20 INDEX 15659 TILEPROP NULL_X19Y20 INT_TILE_X 5 TILEPROP NULL_X19Y20 INT_TILE_Y 130 TILEPROP NULL_X19Y20 IS_CENTER_TILE 0 TILEPROP NULL_X19Y20 IS_DCM_TILE 0 TILEPROP NULL_X19Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y20 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y20 NAME NULL_X19Y20 TILEPROP NULL_X19Y20 NUM_ARCS 0 TILEPROP NULL_X19Y20 NUM_SITES 0 TILEPROP NULL_X19Y20 ROW 136 TILEPROP NULL_X19Y20 SLR_REGION_ID 0 TILEPROP NULL_X19Y20 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y20 TILE_TYPE NULL TILEPROP NULL_X19Y20 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y20 TILE_X -70886 TILEPROP NULL_X19Y20 TILE_Y -180472 TILEPROP NULL_X19Y20 TYPE NULL TILEPROP NULL_X19Y22 CLASS tile TILEPROP NULL_X19Y22 COLUMN 19 TILEPROP NULL_X19Y22 DEVICE_ID 0 TILEPROP NULL_X19Y22 FIRST_SITE_ID 13504 TILEPROP NULL_X19Y22 GRID_POINT_X 19 TILEPROP NULL_X19Y22 GRID_POINT_Y 134 TILEPROP NULL_X19Y22 INDEX 15429 TILEPROP NULL_X19Y22 INT_TILE_X 5 TILEPROP NULL_X19Y22 INT_TILE_Y 128 TILEPROP NULL_X19Y22 IS_CENTER_TILE 0 TILEPROP NULL_X19Y22 IS_DCM_TILE 0 TILEPROP NULL_X19Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y22 NAME NULL_X19Y22 TILEPROP NULL_X19Y22 NUM_ARCS 0 TILEPROP NULL_X19Y22 NUM_SITES 0 TILEPROP NULL_X19Y22 ROW 134 TILEPROP NULL_X19Y22 SLR_REGION_ID 0 TILEPROP NULL_X19Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y22 TILE_TYPE NULL TILEPROP NULL_X19Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y22 TILE_X -70886 TILEPROP NULL_X19Y22 TILE_Y -174072 TILEPROP NULL_X19Y22 TYPE NULL TILEPROP NULL_X19Y23 CLASS tile TILEPROP NULL_X19Y23 COLUMN 19 TILEPROP NULL_X19Y23 DEVICE_ID 0 TILEPROP NULL_X19Y23 FIRST_SITE_ID 13404 TILEPROP NULL_X19Y23 GRID_POINT_X 19 TILEPROP NULL_X19Y23 GRID_POINT_Y 133 TILEPROP NULL_X19Y23 INDEX 15314 TILEPROP NULL_X19Y23 INT_TILE_X 5 TILEPROP NULL_X19Y23 INT_TILE_Y 127 TILEPROP NULL_X19Y23 IS_CENTER_TILE 0 TILEPROP NULL_X19Y23 IS_DCM_TILE 0 TILEPROP NULL_X19Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y23 NAME NULL_X19Y23 TILEPROP NULL_X19Y23 NUM_ARCS 0 TILEPROP NULL_X19Y23 NUM_SITES 0 TILEPROP NULL_X19Y23 ROW 133 TILEPROP NULL_X19Y23 SLR_REGION_ID 0 TILEPROP NULL_X19Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y23 TILE_TYPE NULL TILEPROP NULL_X19Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y23 TILE_X -70886 TILEPROP NULL_X19Y23 TILE_Y -170872 TILEPROP NULL_X19Y23 TYPE NULL TILEPROP NULL_X19Y24 CLASS tile TILEPROP NULL_X19Y24 COLUMN 19 TILEPROP NULL_X19Y24 DEVICE_ID 0 TILEPROP NULL_X19Y24 FIRST_SITE_ID 13304 TILEPROP NULL_X19Y24 GRID_POINT_X 19 TILEPROP NULL_X19Y24 GRID_POINT_Y 132 TILEPROP NULL_X19Y24 INDEX 15199 TILEPROP NULL_X19Y24 INT_TILE_X 5 TILEPROP NULL_X19Y24 INT_TILE_Y 126 TILEPROP NULL_X19Y24 IS_CENTER_TILE 0 TILEPROP NULL_X19Y24 IS_DCM_TILE 0 TILEPROP NULL_X19Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y24 NAME NULL_X19Y24 TILEPROP NULL_X19Y24 NUM_ARCS 0 TILEPROP NULL_X19Y24 NUM_SITES 0 TILEPROP NULL_X19Y24 ROW 132 TILEPROP NULL_X19Y24 SLR_REGION_ID 0 TILEPROP NULL_X19Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y24 TILE_TYPE NULL TILEPROP NULL_X19Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y24 TILE_X -70886 TILEPROP NULL_X19Y24 TILE_Y -167672 TILEPROP NULL_X19Y24 TYPE NULL TILEPROP NULL_X19Y25 CLASS tile TILEPROP NULL_X19Y25 COLUMN 19 TILEPROP NULL_X19Y25 DEVICE_ID 0 TILEPROP NULL_X19Y25 FIRST_SITE_ID 13204 TILEPROP NULL_X19Y25 GRID_POINT_X 19 TILEPROP NULL_X19Y25 GRID_POINT_Y 131 TILEPROP NULL_X19Y25 INDEX 15084 TILEPROP NULL_X19Y25 INT_TILE_X 5 TILEPROP NULL_X19Y25 INT_TILE_Y 125 TILEPROP NULL_X19Y25 IS_CENTER_TILE 0 TILEPROP NULL_X19Y25 IS_DCM_TILE 0 TILEPROP NULL_X19Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y25 NAME NULL_X19Y25 TILEPROP NULL_X19Y25 NUM_ARCS 0 TILEPROP NULL_X19Y25 NUM_SITES 0 TILEPROP NULL_X19Y25 ROW 131 TILEPROP NULL_X19Y25 SLR_REGION_ID 0 TILEPROP NULL_X19Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y25 TILE_TYPE NULL TILEPROP NULL_X19Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y25 TILE_X -70886 TILEPROP NULL_X19Y25 TILE_Y -164472 TILEPROP NULL_X19Y25 TYPE NULL TILEPROP NULL_X19Y28 CLASS tile TILEPROP NULL_X19Y28 COLUMN 19 TILEPROP NULL_X19Y28 DEVICE_ID 0 TILEPROP NULL_X19Y28 FIRST_SITE_ID 12906 TILEPROP NULL_X19Y28 GRID_POINT_X 19 TILEPROP NULL_X19Y28 GRID_POINT_Y 128 TILEPROP NULL_X19Y28 INDEX 14739 TILEPROP NULL_X19Y28 INT_TILE_X 5 TILEPROP NULL_X19Y28 INT_TILE_Y 123 TILEPROP NULL_X19Y28 IS_CENTER_TILE 0 TILEPROP NULL_X19Y28 IS_DCM_TILE 0 TILEPROP NULL_X19Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y28 NAME NULL_X19Y28 TILEPROP NULL_X19Y28 NUM_ARCS 0 TILEPROP NULL_X19Y28 NUM_SITES 0 TILEPROP NULL_X19Y28 ROW 128 TILEPROP NULL_X19Y28 SLR_REGION_ID 0 TILEPROP NULL_X19Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y28 TILE_TYPE NULL TILEPROP NULL_X19Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y28 TILE_X -70886 TILEPROP NULL_X19Y28 TILE_Y -157048 TILEPROP NULL_X19Y28 TYPE NULL TILEPROP NULL_X19Y29 CLASS tile TILEPROP NULL_X19Y29 COLUMN 19 TILEPROP NULL_X19Y29 DEVICE_ID 0 TILEPROP NULL_X19Y29 FIRST_SITE_ID 12806 TILEPROP NULL_X19Y29 GRID_POINT_X 19 TILEPROP NULL_X19Y29 GRID_POINT_Y 127 TILEPROP NULL_X19Y29 INDEX 14624 TILEPROP NULL_X19Y29 INT_TILE_X 5 TILEPROP NULL_X19Y29 INT_TILE_Y 122 TILEPROP NULL_X19Y29 IS_CENTER_TILE 0 TILEPROP NULL_X19Y29 IS_DCM_TILE 0 TILEPROP NULL_X19Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y29 NAME NULL_X19Y29 TILEPROP NULL_X19Y29 NUM_ARCS 0 TILEPROP NULL_X19Y29 NUM_SITES 0 TILEPROP NULL_X19Y29 ROW 127 TILEPROP NULL_X19Y29 SLR_REGION_ID 0 TILEPROP NULL_X19Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y29 TILE_TYPE NULL TILEPROP NULL_X19Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y29 TILE_X -70886 TILEPROP NULL_X19Y29 TILE_Y -153848 TILEPROP NULL_X19Y29 TYPE NULL TILEPROP NULL_X19Y30 CLASS tile TILEPROP NULL_X19Y30 COLUMN 19 TILEPROP NULL_X19Y30 DEVICE_ID 0 TILEPROP NULL_X19Y30 FIRST_SITE_ID 12706 TILEPROP NULL_X19Y30 GRID_POINT_X 19 TILEPROP NULL_X19Y30 GRID_POINT_Y 126 TILEPROP NULL_X19Y30 INDEX 14509 TILEPROP NULL_X19Y30 INT_TILE_X 5 TILEPROP NULL_X19Y30 INT_TILE_Y 121 TILEPROP NULL_X19Y30 IS_CENTER_TILE 0 TILEPROP NULL_X19Y30 IS_DCM_TILE 0 TILEPROP NULL_X19Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y30 NAME NULL_X19Y30 TILEPROP NULL_X19Y30 NUM_ARCS 0 TILEPROP NULL_X19Y30 NUM_SITES 0 TILEPROP NULL_X19Y30 ROW 126 TILEPROP NULL_X19Y30 SLR_REGION_ID 0 TILEPROP NULL_X19Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y30 TILE_TYPE NULL TILEPROP NULL_X19Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y30 TILE_X -70886 TILEPROP NULL_X19Y30 TILE_Y -150648 TILEPROP NULL_X19Y30 TYPE NULL TILEPROP NULL_X19Y31 CLASS tile TILEPROP NULL_X19Y31 COLUMN 19 TILEPROP NULL_X19Y31 DEVICE_ID 0 TILEPROP NULL_X19Y31 FIRST_SITE_ID 12600 TILEPROP NULL_X19Y31 GRID_POINT_X 19 TILEPROP NULL_X19Y31 GRID_POINT_Y 125 TILEPROP NULL_X19Y31 INDEX 14394 TILEPROP NULL_X19Y31 INT_TILE_X 5 TILEPROP NULL_X19Y31 INT_TILE_Y 120 TILEPROP NULL_X19Y31 IS_CENTER_TILE 0 TILEPROP NULL_X19Y31 IS_DCM_TILE 0 TILEPROP NULL_X19Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y31 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y31 NAME NULL_X19Y31 TILEPROP NULL_X19Y31 NUM_ARCS 0 TILEPROP NULL_X19Y31 NUM_SITES 0 TILEPROP NULL_X19Y31 ROW 125 TILEPROP NULL_X19Y31 SLR_REGION_ID 0 TILEPROP NULL_X19Y31 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y31 TILE_TYPE NULL TILEPROP NULL_X19Y31 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y31 TILE_X -70886 TILEPROP NULL_X19Y31 TILE_Y -147448 TILEPROP NULL_X19Y31 TYPE NULL TILEPROP NULL_X19Y33 CLASS tile TILEPROP NULL_X19Y33 COLUMN 19 TILEPROP NULL_X19Y33 DEVICE_ID 0 TILEPROP NULL_X19Y33 FIRST_SITE_ID 12364 TILEPROP NULL_X19Y33 GRID_POINT_X 19 TILEPROP NULL_X19Y33 GRID_POINT_Y 123 TILEPROP NULL_X19Y33 INDEX 14164 TILEPROP NULL_X19Y33 INT_TILE_X 5 TILEPROP NULL_X19Y33 INT_TILE_Y 118 TILEPROP NULL_X19Y33 IS_CENTER_TILE 0 TILEPROP NULL_X19Y33 IS_DCM_TILE 0 TILEPROP NULL_X19Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y33 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y33 NAME NULL_X19Y33 TILEPROP NULL_X19Y33 NUM_ARCS 0 TILEPROP NULL_X19Y33 NUM_SITES 0 TILEPROP NULL_X19Y33 ROW 123 TILEPROP NULL_X19Y33 SLR_REGION_ID 0 TILEPROP NULL_X19Y33 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y33 TILE_TYPE NULL TILEPROP NULL_X19Y33 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y33 TILE_X -70886 TILEPROP NULL_X19Y33 TILE_Y -141048 TILEPROP NULL_X19Y33 TYPE NULL TILEPROP NULL_X19Y34 CLASS tile TILEPROP NULL_X19Y34 COLUMN 19 TILEPROP NULL_X19Y34 DEVICE_ID 0 TILEPROP NULL_X19Y34 FIRST_SITE_ID 12261 TILEPROP NULL_X19Y34 GRID_POINT_X 19 TILEPROP NULL_X19Y34 GRID_POINT_Y 122 TILEPROP NULL_X19Y34 INDEX 14049 TILEPROP NULL_X19Y34 INT_TILE_X 5 TILEPROP NULL_X19Y34 INT_TILE_Y 117 TILEPROP NULL_X19Y34 IS_CENTER_TILE 0 TILEPROP NULL_X19Y34 IS_DCM_TILE 0 TILEPROP NULL_X19Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y34 NAME NULL_X19Y34 TILEPROP NULL_X19Y34 NUM_ARCS 0 TILEPROP NULL_X19Y34 NUM_SITES 0 TILEPROP NULL_X19Y34 ROW 122 TILEPROP NULL_X19Y34 SLR_REGION_ID 0 TILEPROP NULL_X19Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y34 TILE_TYPE NULL TILEPROP NULL_X19Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y34 TILE_X -70886 TILEPROP NULL_X19Y34 TILE_Y -137848 TILEPROP NULL_X19Y34 TYPE NULL TILEPROP NULL_X19Y35 CLASS tile TILEPROP NULL_X19Y35 COLUMN 19 TILEPROP NULL_X19Y35 DEVICE_ID 0 TILEPROP NULL_X19Y35 FIRST_SITE_ID 12161 TILEPROP NULL_X19Y35 GRID_POINT_X 19 TILEPROP NULL_X19Y35 GRID_POINT_Y 121 TILEPROP NULL_X19Y35 INDEX 13934 TILEPROP NULL_X19Y35 INT_TILE_X 5 TILEPROP NULL_X19Y35 INT_TILE_Y 116 TILEPROP NULL_X19Y35 IS_CENTER_TILE 0 TILEPROP NULL_X19Y35 IS_DCM_TILE 0 TILEPROP NULL_X19Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y35 NAME NULL_X19Y35 TILEPROP NULL_X19Y35 NUM_ARCS 0 TILEPROP NULL_X19Y35 NUM_SITES 0 TILEPROP NULL_X19Y35 ROW 121 TILEPROP NULL_X19Y35 SLR_REGION_ID 0 TILEPROP NULL_X19Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y35 TILE_TYPE NULL TILEPROP NULL_X19Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y35 TILE_X -70886 TILEPROP NULL_X19Y35 TILE_Y -134648 TILEPROP NULL_X19Y35 TYPE NULL TILEPROP NULL_X19Y36 CLASS tile TILEPROP NULL_X19Y36 COLUMN 19 TILEPROP NULL_X19Y36 DEVICE_ID 0 TILEPROP NULL_X19Y36 FIRST_SITE_ID 12061 TILEPROP NULL_X19Y36 GRID_POINT_X 19 TILEPROP NULL_X19Y36 GRID_POINT_Y 120 TILEPROP NULL_X19Y36 INDEX 13819 TILEPROP NULL_X19Y36 INT_TILE_X 5 TILEPROP NULL_X19Y36 INT_TILE_Y 115 TILEPROP NULL_X19Y36 IS_CENTER_TILE 0 TILEPROP NULL_X19Y36 IS_DCM_TILE 0 TILEPROP NULL_X19Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y36 NAME NULL_X19Y36 TILEPROP NULL_X19Y36 NUM_ARCS 0 TILEPROP NULL_X19Y36 NUM_SITES 0 TILEPROP NULL_X19Y36 ROW 120 TILEPROP NULL_X19Y36 SLR_REGION_ID 0 TILEPROP NULL_X19Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y36 TILE_TYPE NULL TILEPROP NULL_X19Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y36 TILE_X -70886 TILEPROP NULL_X19Y36 TILE_Y -131448 TILEPROP NULL_X19Y36 TYPE NULL TILEPROP NULL_X19Y38 CLASS tile TILEPROP NULL_X19Y38 COLUMN 19 TILEPROP NULL_X19Y38 DEVICE_ID 0 TILEPROP NULL_X19Y38 FIRST_SITE_ID 11814 TILEPROP NULL_X19Y38 GRID_POINT_X 19 TILEPROP NULL_X19Y38 GRID_POINT_Y 118 TILEPROP NULL_X19Y38 INDEX 13589 TILEPROP NULL_X19Y38 INT_TILE_X 5 TILEPROP NULL_X19Y38 INT_TILE_Y 113 TILEPROP NULL_X19Y38 IS_CENTER_TILE 0 TILEPROP NULL_X19Y38 IS_DCM_TILE 0 TILEPROP NULL_X19Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y38 NAME NULL_X19Y38 TILEPROP NULL_X19Y38 NUM_ARCS 0 TILEPROP NULL_X19Y38 NUM_SITES 0 TILEPROP NULL_X19Y38 ROW 118 TILEPROP NULL_X19Y38 SLR_REGION_ID 0 TILEPROP NULL_X19Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y38 TILE_TYPE NULL TILEPROP NULL_X19Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y38 TILE_X -70886 TILEPROP NULL_X19Y38 TILE_Y -125048 TILEPROP NULL_X19Y38 TYPE NULL TILEPROP NULL_X19Y39 CLASS tile TILEPROP NULL_X19Y39 COLUMN 19 TILEPROP NULL_X19Y39 DEVICE_ID 0 TILEPROP NULL_X19Y39 FIRST_SITE_ID 11714 TILEPROP NULL_X19Y39 GRID_POINT_X 19 TILEPROP NULL_X19Y39 GRID_POINT_Y 117 TILEPROP NULL_X19Y39 INDEX 13474 TILEPROP NULL_X19Y39 INT_TILE_X 5 TILEPROP NULL_X19Y39 INT_TILE_Y 112 TILEPROP NULL_X19Y39 IS_CENTER_TILE 0 TILEPROP NULL_X19Y39 IS_DCM_TILE 0 TILEPROP NULL_X19Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y39 NAME NULL_X19Y39 TILEPROP NULL_X19Y39 NUM_ARCS 0 TILEPROP NULL_X19Y39 NUM_SITES 0 TILEPROP NULL_X19Y39 ROW 117 TILEPROP NULL_X19Y39 SLR_REGION_ID 0 TILEPROP NULL_X19Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y39 TILE_TYPE NULL TILEPROP NULL_X19Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y39 TILE_X -70886 TILEPROP NULL_X19Y39 TILE_Y -121848 TILEPROP NULL_X19Y39 TYPE NULL TILEPROP NULL_X19Y40 CLASS tile TILEPROP NULL_X19Y40 COLUMN 19 TILEPROP NULL_X19Y40 DEVICE_ID 0 TILEPROP NULL_X19Y40 FIRST_SITE_ID 11614 TILEPROP NULL_X19Y40 GRID_POINT_X 19 TILEPROP NULL_X19Y40 GRID_POINT_Y 116 TILEPROP NULL_X19Y40 INDEX 13359 TILEPROP NULL_X19Y40 INT_TILE_X 5 TILEPROP NULL_X19Y40 INT_TILE_Y 111 TILEPROP NULL_X19Y40 IS_CENTER_TILE 0 TILEPROP NULL_X19Y40 IS_DCM_TILE 0 TILEPROP NULL_X19Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y40 NAME NULL_X19Y40 TILEPROP NULL_X19Y40 NUM_ARCS 0 TILEPROP NULL_X19Y40 NUM_SITES 0 TILEPROP NULL_X19Y40 ROW 116 TILEPROP NULL_X19Y40 SLR_REGION_ID 0 TILEPROP NULL_X19Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y40 TILE_TYPE NULL TILEPROP NULL_X19Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y40 TILE_X -70886 TILEPROP NULL_X19Y40 TILE_Y -118648 TILEPROP NULL_X19Y40 TYPE NULL TILEPROP NULL_X19Y41 CLASS tile TILEPROP NULL_X19Y41 COLUMN 19 TILEPROP NULL_X19Y41 DEVICE_ID 0 TILEPROP NULL_X19Y41 FIRST_SITE_ID 11514 TILEPROP NULL_X19Y41 GRID_POINT_X 19 TILEPROP NULL_X19Y41 GRID_POINT_Y 115 TILEPROP NULL_X19Y41 INDEX 13244 TILEPROP NULL_X19Y41 INT_TILE_X 5 TILEPROP NULL_X19Y41 INT_TILE_Y 110 TILEPROP NULL_X19Y41 IS_CENTER_TILE 0 TILEPROP NULL_X19Y41 IS_DCM_TILE 0 TILEPROP NULL_X19Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y41 NAME NULL_X19Y41 TILEPROP NULL_X19Y41 NUM_ARCS 0 TILEPROP NULL_X19Y41 NUM_SITES 0 TILEPROP NULL_X19Y41 ROW 115 TILEPROP NULL_X19Y41 SLR_REGION_ID 0 TILEPROP NULL_X19Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y41 TILE_TYPE NULL TILEPROP NULL_X19Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y41 TILE_X -70886 TILEPROP NULL_X19Y41 TILE_Y -115448 TILEPROP NULL_X19Y41 TYPE NULL TILEPROP NULL_X19Y43 CLASS tile TILEPROP NULL_X19Y43 COLUMN 19 TILEPROP NULL_X19Y43 DEVICE_ID 0 TILEPROP NULL_X19Y43 FIRST_SITE_ID 11296 TILEPROP NULL_X19Y43 GRID_POINT_X 19 TILEPROP NULL_X19Y43 GRID_POINT_Y 113 TILEPROP NULL_X19Y43 INDEX 13014 TILEPROP NULL_X19Y43 INT_TILE_X 5 TILEPROP NULL_X19Y43 INT_TILE_Y 108 TILEPROP NULL_X19Y43 IS_CENTER_TILE 0 TILEPROP NULL_X19Y43 IS_DCM_TILE 0 TILEPROP NULL_X19Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y43 NAME NULL_X19Y43 TILEPROP NULL_X19Y43 NUM_ARCS 0 TILEPROP NULL_X19Y43 NUM_SITES 0 TILEPROP NULL_X19Y43 ROW 113 TILEPROP NULL_X19Y43 SLR_REGION_ID 0 TILEPROP NULL_X19Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y43 TILE_TYPE NULL TILEPROP NULL_X19Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y43 TILE_X -70886 TILEPROP NULL_X19Y43 TILE_Y -109048 TILEPROP NULL_X19Y43 TYPE NULL TILEPROP NULL_X19Y44 CLASS tile TILEPROP NULL_X19Y44 COLUMN 19 TILEPROP NULL_X19Y44 DEVICE_ID 0 TILEPROP NULL_X19Y44 FIRST_SITE_ID 11195 TILEPROP NULL_X19Y44 GRID_POINT_X 19 TILEPROP NULL_X19Y44 GRID_POINT_Y 112 TILEPROP NULL_X19Y44 INDEX 12899 TILEPROP NULL_X19Y44 INT_TILE_X 5 TILEPROP NULL_X19Y44 INT_TILE_Y 107 TILEPROP NULL_X19Y44 IS_CENTER_TILE 0 TILEPROP NULL_X19Y44 IS_DCM_TILE 0 TILEPROP NULL_X19Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y44 NAME NULL_X19Y44 TILEPROP NULL_X19Y44 NUM_ARCS 0 TILEPROP NULL_X19Y44 NUM_SITES 0 TILEPROP NULL_X19Y44 ROW 112 TILEPROP NULL_X19Y44 SLR_REGION_ID 0 TILEPROP NULL_X19Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y44 TILE_TYPE NULL TILEPROP NULL_X19Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y44 TILE_X -70886 TILEPROP NULL_X19Y44 TILE_Y -105848 TILEPROP NULL_X19Y44 TYPE NULL TILEPROP NULL_X19Y45 CLASS tile TILEPROP NULL_X19Y45 COLUMN 19 TILEPROP NULL_X19Y45 DEVICE_ID 0 TILEPROP NULL_X19Y45 FIRST_SITE_ID 11092 TILEPROP NULL_X19Y45 GRID_POINT_X 19 TILEPROP NULL_X19Y45 GRID_POINT_Y 111 TILEPROP NULL_X19Y45 INDEX 12784 TILEPROP NULL_X19Y45 INT_TILE_X 5 TILEPROP NULL_X19Y45 INT_TILE_Y 106 TILEPROP NULL_X19Y45 IS_CENTER_TILE 0 TILEPROP NULL_X19Y45 IS_DCM_TILE 0 TILEPROP NULL_X19Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y45 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y45 NAME NULL_X19Y45 TILEPROP NULL_X19Y45 NUM_ARCS 0 TILEPROP NULL_X19Y45 NUM_SITES 0 TILEPROP NULL_X19Y45 ROW 111 TILEPROP NULL_X19Y45 SLR_REGION_ID 0 TILEPROP NULL_X19Y45 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y45 TILE_TYPE NULL TILEPROP NULL_X19Y45 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y45 TILE_X -70886 TILEPROP NULL_X19Y45 TILE_Y -102648 TILEPROP NULL_X19Y45 TYPE NULL TILEPROP NULL_X19Y46 CLASS tile TILEPROP NULL_X19Y46 COLUMN 19 TILEPROP NULL_X19Y46 DEVICE_ID 0 TILEPROP NULL_X19Y46 FIRST_SITE_ID 10990 TILEPROP NULL_X19Y46 GRID_POINT_X 19 TILEPROP NULL_X19Y46 GRID_POINT_Y 110 TILEPROP NULL_X19Y46 INDEX 12669 TILEPROP NULL_X19Y46 INT_TILE_X 5 TILEPROP NULL_X19Y46 INT_TILE_Y 105 TILEPROP NULL_X19Y46 IS_CENTER_TILE 0 TILEPROP NULL_X19Y46 IS_DCM_TILE 0 TILEPROP NULL_X19Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y46 NAME NULL_X19Y46 TILEPROP NULL_X19Y46 NUM_ARCS 0 TILEPROP NULL_X19Y46 NUM_SITES 0 TILEPROP NULL_X19Y46 ROW 110 TILEPROP NULL_X19Y46 SLR_REGION_ID 0 TILEPROP NULL_X19Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y46 TILE_TYPE NULL TILEPROP NULL_X19Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y46 TILE_X -70886 TILEPROP NULL_X19Y46 TILE_Y -99448 TILEPROP NULL_X19Y46 TYPE NULL TILEPROP NULL_X19Y48 CLASS tile TILEPROP NULL_X19Y48 COLUMN 19 TILEPROP NULL_X19Y48 DEVICE_ID 0 TILEPROP NULL_X19Y48 FIRST_SITE_ID 10758 TILEPROP NULL_X19Y48 GRID_POINT_X 19 TILEPROP NULL_X19Y48 GRID_POINT_Y 108 TILEPROP NULL_X19Y48 INDEX 12439 TILEPROP NULL_X19Y48 INT_TILE_X 5 TILEPROP NULL_X19Y48 INT_TILE_Y 103 TILEPROP NULL_X19Y48 IS_CENTER_TILE 0 TILEPROP NULL_X19Y48 IS_DCM_TILE 0 TILEPROP NULL_X19Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y48 NAME NULL_X19Y48 TILEPROP NULL_X19Y48 NUM_ARCS 0 TILEPROP NULL_X19Y48 NUM_SITES 0 TILEPROP NULL_X19Y48 ROW 108 TILEPROP NULL_X19Y48 SLR_REGION_ID 0 TILEPROP NULL_X19Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y48 TILE_TYPE NULL TILEPROP NULL_X19Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y48 TILE_X -70886 TILEPROP NULL_X19Y48 TILE_Y -93048 TILEPROP NULL_X19Y48 TYPE NULL TILEPROP NULL_X19Y49 CLASS tile TILEPROP NULL_X19Y49 COLUMN 19 TILEPROP NULL_X19Y49 DEVICE_ID 0 TILEPROP NULL_X19Y49 FIRST_SITE_ID 10658 TILEPROP NULL_X19Y49 GRID_POINT_X 19 TILEPROP NULL_X19Y49 GRID_POINT_Y 107 TILEPROP NULL_X19Y49 INDEX 12324 TILEPROP NULL_X19Y49 INT_TILE_X 5 TILEPROP NULL_X19Y49 INT_TILE_Y 102 TILEPROP NULL_X19Y49 IS_CENTER_TILE 0 TILEPROP NULL_X19Y49 IS_DCM_TILE 0 TILEPROP NULL_X19Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y49 NAME NULL_X19Y49 TILEPROP NULL_X19Y49 NUM_ARCS 0 TILEPROP NULL_X19Y49 NUM_SITES 0 TILEPROP NULL_X19Y49 ROW 107 TILEPROP NULL_X19Y49 SLR_REGION_ID 0 TILEPROP NULL_X19Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y49 TILE_TYPE NULL TILEPROP NULL_X19Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y49 TILE_X -70886 TILEPROP NULL_X19Y49 TILE_Y -89848 TILEPROP NULL_X19Y49 TYPE NULL TILEPROP NULL_X19Y50 CLASS tile TILEPROP NULL_X19Y50 COLUMN 19 TILEPROP NULL_X19Y50 DEVICE_ID 0 TILEPROP NULL_X19Y50 FIRST_SITE_ID 10558 TILEPROP NULL_X19Y50 GRID_POINT_X 19 TILEPROP NULL_X19Y50 GRID_POINT_Y 106 TILEPROP NULL_X19Y50 INDEX 12209 TILEPROP NULL_X19Y50 INT_TILE_X 5 TILEPROP NULL_X19Y50 INT_TILE_Y 101 TILEPROP NULL_X19Y50 IS_CENTER_TILE 0 TILEPROP NULL_X19Y50 IS_DCM_TILE 0 TILEPROP NULL_X19Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y50 NAME NULL_X19Y50 TILEPROP NULL_X19Y50 NUM_ARCS 0 TILEPROP NULL_X19Y50 NUM_SITES 0 TILEPROP NULL_X19Y50 ROW 106 TILEPROP NULL_X19Y50 SLR_REGION_ID 0 TILEPROP NULL_X19Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y50 TILE_TYPE NULL TILEPROP NULL_X19Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y50 TILE_X -70886 TILEPROP NULL_X19Y50 TILE_Y -86648 TILEPROP NULL_X19Y50 TYPE NULL TILEPROP NULL_X19Y51 CLASS tile TILEPROP NULL_X19Y51 COLUMN 19 TILEPROP NULL_X19Y51 DEVICE_ID 0 TILEPROP NULL_X19Y51 FIRST_SITE_ID 10462 TILEPROP NULL_X19Y51 GRID_POINT_X 19 TILEPROP NULL_X19Y51 GRID_POINT_Y 105 TILEPROP NULL_X19Y51 INDEX 12094 TILEPROP NULL_X19Y51 INT_TILE_X 5 TILEPROP NULL_X19Y51 INT_TILE_Y 100 TILEPROP NULL_X19Y51 IS_CENTER_TILE 0 TILEPROP NULL_X19Y51 IS_DCM_TILE 0 TILEPROP NULL_X19Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y51 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y51 NAME NULL_X19Y51 TILEPROP NULL_X19Y51 NUM_ARCS 0 TILEPROP NULL_X19Y51 NUM_SITES 0 TILEPROP NULL_X19Y51 ROW 105 TILEPROP NULL_X19Y51 SLR_REGION_ID 0 TILEPROP NULL_X19Y51 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y51 TILE_TYPE NULL TILEPROP NULL_X19Y51 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y51 TILE_X -70886 TILEPROP NULL_X19Y51 TILE_Y -83448 TILEPROP NULL_X19Y51 TYPE NULL TILEPROP NULL_X19Y54 CLASS tile TILEPROP NULL_X19Y54 COLUMN 19 TILEPROP NULL_X19Y54 DEVICE_ID 0 TILEPROP NULL_X19Y54 FIRST_SITE_ID 10227 TILEPROP NULL_X19Y54 GRID_POINT_X 19 TILEPROP NULL_X19Y54 GRID_POINT_Y 102 TILEPROP NULL_X19Y54 INDEX 11749 TILEPROP NULL_X19Y54 INT_TILE_X 5 TILEPROP NULL_X19Y54 INT_TILE_Y 98 TILEPROP NULL_X19Y54 IS_CENTER_TILE 0 TILEPROP NULL_X19Y54 IS_DCM_TILE 0 TILEPROP NULL_X19Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y54 NAME NULL_X19Y54 TILEPROP NULL_X19Y54 NUM_ARCS 0 TILEPROP NULL_X19Y54 NUM_SITES 0 TILEPROP NULL_X19Y54 ROW 102 TILEPROP NULL_X19Y54 SLR_REGION_ID 0 TILEPROP NULL_X19Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y54 TILE_TYPE NULL TILEPROP NULL_X19Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y54 TILE_X -70886 TILEPROP NULL_X19Y54 TILE_Y -76800 TILEPROP NULL_X19Y54 TYPE NULL TILEPROP NULL_X19Y55 CLASS tile TILEPROP NULL_X19Y55 COLUMN 19 TILEPROP NULL_X19Y55 DEVICE_ID 0 TILEPROP NULL_X19Y55 FIRST_SITE_ID 10127 TILEPROP NULL_X19Y55 GRID_POINT_X 19 TILEPROP NULL_X19Y55 GRID_POINT_Y 101 TILEPROP NULL_X19Y55 INDEX 11634 TILEPROP NULL_X19Y55 INT_TILE_X 5 TILEPROP NULL_X19Y55 INT_TILE_Y 97 TILEPROP NULL_X19Y55 IS_CENTER_TILE 0 TILEPROP NULL_X19Y55 IS_DCM_TILE 0 TILEPROP NULL_X19Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y55 NAME NULL_X19Y55 TILEPROP NULL_X19Y55 NUM_ARCS 0 TILEPROP NULL_X19Y55 NUM_SITES 0 TILEPROP NULL_X19Y55 ROW 101 TILEPROP NULL_X19Y55 SLR_REGION_ID 0 TILEPROP NULL_X19Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y55 TILE_TYPE NULL TILEPROP NULL_X19Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y55 TILE_X -70886 TILEPROP NULL_X19Y55 TILE_Y -73600 TILEPROP NULL_X19Y55 TYPE NULL TILEPROP NULL_X19Y56 CLASS tile TILEPROP NULL_X19Y56 COLUMN 19 TILEPROP NULL_X19Y56 DEVICE_ID 0 TILEPROP NULL_X19Y56 FIRST_SITE_ID 10027 TILEPROP NULL_X19Y56 GRID_POINT_X 19 TILEPROP NULL_X19Y56 GRID_POINT_Y 100 TILEPROP NULL_X19Y56 INDEX 11519 TILEPROP NULL_X19Y56 INT_TILE_X 5 TILEPROP NULL_X19Y56 INT_TILE_Y 96 TILEPROP NULL_X19Y56 IS_CENTER_TILE 0 TILEPROP NULL_X19Y56 IS_DCM_TILE 0 TILEPROP NULL_X19Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y56 NAME NULL_X19Y56 TILEPROP NULL_X19Y56 NUM_ARCS 0 TILEPROP NULL_X19Y56 NUM_SITES 0 TILEPROP NULL_X19Y56 ROW 100 TILEPROP NULL_X19Y56 SLR_REGION_ID 0 TILEPROP NULL_X19Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y56 TILE_TYPE NULL TILEPROP NULL_X19Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y56 TILE_X -70886 TILEPROP NULL_X19Y56 TILE_Y -70400 TILEPROP NULL_X19Y56 TYPE NULL TILEPROP NULL_X19Y57 CLASS tile TILEPROP NULL_X19Y57 COLUMN 19 TILEPROP NULL_X19Y57 DEVICE_ID 0 TILEPROP NULL_X19Y57 FIRST_SITE_ID 9927 TILEPROP NULL_X19Y57 GRID_POINT_X 19 TILEPROP NULL_X19Y57 GRID_POINT_Y 99 TILEPROP NULL_X19Y57 INDEX 11404 TILEPROP NULL_X19Y57 INT_TILE_X 5 TILEPROP NULL_X19Y57 INT_TILE_Y 95 TILEPROP NULL_X19Y57 IS_CENTER_TILE 0 TILEPROP NULL_X19Y57 IS_DCM_TILE 0 TILEPROP NULL_X19Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y57 NAME NULL_X19Y57 TILEPROP NULL_X19Y57 NUM_ARCS 0 TILEPROP NULL_X19Y57 NUM_SITES 0 TILEPROP NULL_X19Y57 ROW 99 TILEPROP NULL_X19Y57 SLR_REGION_ID 0 TILEPROP NULL_X19Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y57 TILE_TYPE NULL TILEPROP NULL_X19Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y57 TILE_X -70886 TILEPROP NULL_X19Y57 TILE_Y -67200 TILEPROP NULL_X19Y57 TYPE NULL TILEPROP NULL_X19Y59 CLASS tile TILEPROP NULL_X19Y59 COLUMN 19 TILEPROP NULL_X19Y59 DEVICE_ID 0 TILEPROP NULL_X19Y59 FIRST_SITE_ID 9712 TILEPROP NULL_X19Y59 GRID_POINT_X 19 TILEPROP NULL_X19Y59 GRID_POINT_Y 97 TILEPROP NULL_X19Y59 INDEX 11174 TILEPROP NULL_X19Y59 INT_TILE_X 5 TILEPROP NULL_X19Y59 INT_TILE_Y 93 TILEPROP NULL_X19Y59 IS_CENTER_TILE 0 TILEPROP NULL_X19Y59 IS_DCM_TILE 0 TILEPROP NULL_X19Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y59 NAME NULL_X19Y59 TILEPROP NULL_X19Y59 NUM_ARCS 0 TILEPROP NULL_X19Y59 NUM_SITES 0 TILEPROP NULL_X19Y59 ROW 97 TILEPROP NULL_X19Y59 SLR_REGION_ID 0 TILEPROP NULL_X19Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y59 TILE_TYPE NULL TILEPROP NULL_X19Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y59 TILE_X -70886 TILEPROP NULL_X19Y59 TILE_Y -60800 TILEPROP NULL_X19Y59 TYPE NULL TILEPROP NULL_X19Y60 CLASS tile TILEPROP NULL_X19Y60 COLUMN 19 TILEPROP NULL_X19Y60 DEVICE_ID 0 TILEPROP NULL_X19Y60 FIRST_SITE_ID 9610 TILEPROP NULL_X19Y60 GRID_POINT_X 19 TILEPROP NULL_X19Y60 GRID_POINT_Y 96 TILEPROP NULL_X19Y60 INDEX 11059 TILEPROP NULL_X19Y60 INT_TILE_X 5 TILEPROP NULL_X19Y60 INT_TILE_Y 92 TILEPROP NULL_X19Y60 IS_CENTER_TILE 0 TILEPROP NULL_X19Y60 IS_DCM_TILE 0 TILEPROP NULL_X19Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y60 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y60 NAME NULL_X19Y60 TILEPROP NULL_X19Y60 NUM_ARCS 0 TILEPROP NULL_X19Y60 NUM_SITES 0 TILEPROP NULL_X19Y60 ROW 96 TILEPROP NULL_X19Y60 SLR_REGION_ID 0 TILEPROP NULL_X19Y60 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y60 TILE_TYPE NULL TILEPROP NULL_X19Y60 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y60 TILE_X -70886 TILEPROP NULL_X19Y60 TILE_Y -57600 TILEPROP NULL_X19Y60 TYPE NULL TILEPROP NULL_X19Y61 CLASS tile TILEPROP NULL_X19Y61 COLUMN 19 TILEPROP NULL_X19Y61 DEVICE_ID 0 TILEPROP NULL_X19Y61 FIRST_SITE_ID 9507 TILEPROP NULL_X19Y61 GRID_POINT_X 19 TILEPROP NULL_X19Y61 GRID_POINT_Y 95 TILEPROP NULL_X19Y61 INDEX 10944 TILEPROP NULL_X19Y61 INT_TILE_X 5 TILEPROP NULL_X19Y61 INT_TILE_Y 91 TILEPROP NULL_X19Y61 IS_CENTER_TILE 0 TILEPROP NULL_X19Y61 IS_DCM_TILE 0 TILEPROP NULL_X19Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y61 NAME NULL_X19Y61 TILEPROP NULL_X19Y61 NUM_ARCS 0 TILEPROP NULL_X19Y61 NUM_SITES 0 TILEPROP NULL_X19Y61 ROW 95 TILEPROP NULL_X19Y61 SLR_REGION_ID 0 TILEPROP NULL_X19Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y61 TILE_TYPE NULL TILEPROP NULL_X19Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y61 TILE_X -70886 TILEPROP NULL_X19Y61 TILE_Y -54400 TILEPROP NULL_X19Y61 TYPE NULL TILEPROP NULL_X19Y62 CLASS tile TILEPROP NULL_X19Y62 COLUMN 19 TILEPROP NULL_X19Y62 DEVICE_ID 0 TILEPROP NULL_X19Y62 FIRST_SITE_ID 9406 TILEPROP NULL_X19Y62 GRID_POINT_X 19 TILEPROP NULL_X19Y62 GRID_POINT_Y 94 TILEPROP NULL_X19Y62 INDEX 10829 TILEPROP NULL_X19Y62 INT_TILE_X 5 TILEPROP NULL_X19Y62 INT_TILE_Y 90 TILEPROP NULL_X19Y62 IS_CENTER_TILE 0 TILEPROP NULL_X19Y62 IS_DCM_TILE 0 TILEPROP NULL_X19Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y62 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y62 NAME NULL_X19Y62 TILEPROP NULL_X19Y62 NUM_ARCS 0 TILEPROP NULL_X19Y62 NUM_SITES 0 TILEPROP NULL_X19Y62 ROW 94 TILEPROP NULL_X19Y62 SLR_REGION_ID 0 TILEPROP NULL_X19Y62 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y62 TILE_TYPE NULL TILEPROP NULL_X19Y62 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y62 TILE_X -70886 TILEPROP NULL_X19Y62 TILE_Y -51200 TILEPROP NULL_X19Y62 TYPE NULL TILEPROP NULL_X19Y64 CLASS tile TILEPROP NULL_X19Y64 COLUMN 19 TILEPROP NULL_X19Y64 DEVICE_ID 0 TILEPROP NULL_X19Y64 FIRST_SITE_ID 9191 TILEPROP NULL_X19Y64 GRID_POINT_X 19 TILEPROP NULL_X19Y64 GRID_POINT_Y 92 TILEPROP NULL_X19Y64 INDEX 10599 TILEPROP NULL_X19Y64 INT_TILE_X 5 TILEPROP NULL_X19Y64 INT_TILE_Y 88 TILEPROP NULL_X19Y64 IS_CENTER_TILE 0 TILEPROP NULL_X19Y64 IS_DCM_TILE 0 TILEPROP NULL_X19Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y64 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y64 NAME NULL_X19Y64 TILEPROP NULL_X19Y64 NUM_ARCS 0 TILEPROP NULL_X19Y64 NUM_SITES 0 TILEPROP NULL_X19Y64 ROW 92 TILEPROP NULL_X19Y64 SLR_REGION_ID 0 TILEPROP NULL_X19Y64 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y64 TILE_TYPE NULL TILEPROP NULL_X19Y64 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y64 TILE_X -70886 TILEPROP NULL_X19Y64 TILE_Y -44800 TILEPROP NULL_X19Y64 TYPE NULL TILEPROP NULL_X19Y65 CLASS tile TILEPROP NULL_X19Y65 COLUMN 19 TILEPROP NULL_X19Y65 DEVICE_ID 0 TILEPROP NULL_X19Y65 FIRST_SITE_ID 9059 TILEPROP NULL_X19Y65 GRID_POINT_X 19 TILEPROP NULL_X19Y65 GRID_POINT_Y 91 TILEPROP NULL_X19Y65 INDEX 10484 TILEPROP NULL_X19Y65 INT_TILE_X 5 TILEPROP NULL_X19Y65 INT_TILE_Y 87 TILEPROP NULL_X19Y65 IS_CENTER_TILE 0 TILEPROP NULL_X19Y65 IS_DCM_TILE 0 TILEPROP NULL_X19Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y65 NAME NULL_X19Y65 TILEPROP NULL_X19Y65 NUM_ARCS 0 TILEPROP NULL_X19Y65 NUM_SITES 0 TILEPROP NULL_X19Y65 ROW 91 TILEPROP NULL_X19Y65 SLR_REGION_ID 0 TILEPROP NULL_X19Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y65 TILE_TYPE NULL TILEPROP NULL_X19Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y65 TILE_X -70886 TILEPROP NULL_X19Y65 TILE_Y -41600 TILEPROP NULL_X19Y65 TYPE NULL TILEPROP NULL_X19Y66 CLASS tile TILEPROP NULL_X19Y66 COLUMN 19 TILEPROP NULL_X19Y66 DEVICE_ID 0 TILEPROP NULL_X19Y66 FIRST_SITE_ID 8959 TILEPROP NULL_X19Y66 GRID_POINT_X 19 TILEPROP NULL_X19Y66 GRID_POINT_Y 90 TILEPROP NULL_X19Y66 INDEX 10369 TILEPROP NULL_X19Y66 INT_TILE_X 5 TILEPROP NULL_X19Y66 INT_TILE_Y 86 TILEPROP NULL_X19Y66 IS_CENTER_TILE 0 TILEPROP NULL_X19Y66 IS_DCM_TILE 0 TILEPROP NULL_X19Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y66 NAME NULL_X19Y66 TILEPROP NULL_X19Y66 NUM_ARCS 0 TILEPROP NULL_X19Y66 NUM_SITES 0 TILEPROP NULL_X19Y66 ROW 90 TILEPROP NULL_X19Y66 SLR_REGION_ID 0 TILEPROP NULL_X19Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y66 TILE_TYPE NULL TILEPROP NULL_X19Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y66 TILE_X -70886 TILEPROP NULL_X19Y66 TILE_Y -38400 TILEPROP NULL_X19Y66 TYPE NULL TILEPROP NULL_X19Y67 CLASS tile TILEPROP NULL_X19Y67 COLUMN 19 TILEPROP NULL_X19Y67 DEVICE_ID 0 TILEPROP NULL_X19Y67 FIRST_SITE_ID 8859 TILEPROP NULL_X19Y67 GRID_POINT_X 19 TILEPROP NULL_X19Y67 GRID_POINT_Y 89 TILEPROP NULL_X19Y67 INDEX 10254 TILEPROP NULL_X19Y67 INT_TILE_X 5 TILEPROP NULL_X19Y67 INT_TILE_Y 85 TILEPROP NULL_X19Y67 IS_CENTER_TILE 0 TILEPROP NULL_X19Y67 IS_DCM_TILE 0 TILEPROP NULL_X19Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y67 NAME NULL_X19Y67 TILEPROP NULL_X19Y67 NUM_ARCS 0 TILEPROP NULL_X19Y67 NUM_SITES 0 TILEPROP NULL_X19Y67 ROW 89 TILEPROP NULL_X19Y67 SLR_REGION_ID 0 TILEPROP NULL_X19Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y67 TILE_TYPE NULL TILEPROP NULL_X19Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y67 TILE_X -70886 TILEPROP NULL_X19Y67 TILE_Y -35200 TILEPROP NULL_X19Y67 TYPE NULL TILEPROP NULL_X19Y69 CLASS tile TILEPROP NULL_X19Y69 COLUMN 19 TILEPROP NULL_X19Y69 DEVICE_ID 0 TILEPROP NULL_X19Y69 FIRST_SITE_ID 8644 TILEPROP NULL_X19Y69 GRID_POINT_X 19 TILEPROP NULL_X19Y69 GRID_POINT_Y 87 TILEPROP NULL_X19Y69 INDEX 10024 TILEPROP NULL_X19Y69 INT_TILE_X 5 TILEPROP NULL_X19Y69 INT_TILE_Y 83 TILEPROP NULL_X19Y69 IS_CENTER_TILE 0 TILEPROP NULL_X19Y69 IS_DCM_TILE 0 TILEPROP NULL_X19Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y69 NAME NULL_X19Y69 TILEPROP NULL_X19Y69 NUM_ARCS 0 TILEPROP NULL_X19Y69 NUM_SITES 0 TILEPROP NULL_X19Y69 ROW 87 TILEPROP NULL_X19Y69 SLR_REGION_ID 0 TILEPROP NULL_X19Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y69 TILE_TYPE NULL TILEPROP NULL_X19Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y69 TILE_X -70886 TILEPROP NULL_X19Y69 TILE_Y -28800 TILEPROP NULL_X19Y69 TYPE NULL TILEPROP NULL_X19Y70 CLASS tile TILEPROP NULL_X19Y70 COLUMN 19 TILEPROP NULL_X19Y70 DEVICE_ID 0 TILEPROP NULL_X19Y70 FIRST_SITE_ID 8540 TILEPROP NULL_X19Y70 GRID_POINT_X 19 TILEPROP NULL_X19Y70 GRID_POINT_Y 86 TILEPROP NULL_X19Y70 INDEX 9909 TILEPROP NULL_X19Y70 INT_TILE_X 5 TILEPROP NULL_X19Y70 INT_TILE_Y 82 TILEPROP NULL_X19Y70 IS_CENTER_TILE 0 TILEPROP NULL_X19Y70 IS_DCM_TILE 0 TILEPROP NULL_X19Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y70 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y70 NAME NULL_X19Y70 TILEPROP NULL_X19Y70 NUM_ARCS 0 TILEPROP NULL_X19Y70 NUM_SITES 0 TILEPROP NULL_X19Y70 ROW 86 TILEPROP NULL_X19Y70 SLR_REGION_ID 0 TILEPROP NULL_X19Y70 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y70 TILE_TYPE NULL TILEPROP NULL_X19Y70 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y70 TILE_X -70886 TILEPROP NULL_X19Y70 TILE_Y -25600 TILEPROP NULL_X19Y70 TYPE NULL TILEPROP NULL_X19Y71 CLASS tile TILEPROP NULL_X19Y71 COLUMN 19 TILEPROP NULL_X19Y71 DEVICE_ID 0 TILEPROP NULL_X19Y71 FIRST_SITE_ID 8436 TILEPROP NULL_X19Y71 GRID_POINT_X 19 TILEPROP NULL_X19Y71 GRID_POINT_Y 85 TILEPROP NULL_X19Y71 INDEX 9794 TILEPROP NULL_X19Y71 INT_TILE_X 5 TILEPROP NULL_X19Y71 INT_TILE_Y 81 TILEPROP NULL_X19Y71 IS_CENTER_TILE 0 TILEPROP NULL_X19Y71 IS_DCM_TILE 0 TILEPROP NULL_X19Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y71 NAME NULL_X19Y71 TILEPROP NULL_X19Y71 NUM_ARCS 0 TILEPROP NULL_X19Y71 NUM_SITES 0 TILEPROP NULL_X19Y71 ROW 85 TILEPROP NULL_X19Y71 SLR_REGION_ID 0 TILEPROP NULL_X19Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y71 TILE_TYPE NULL TILEPROP NULL_X19Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y71 TILE_X -70886 TILEPROP NULL_X19Y71 TILE_Y -22400 TILEPROP NULL_X19Y71 TYPE NULL TILEPROP NULL_X19Y72 CLASS tile TILEPROP NULL_X19Y72 COLUMN 19 TILEPROP NULL_X19Y72 DEVICE_ID 0 TILEPROP NULL_X19Y72 FIRST_SITE_ID 8334 TILEPROP NULL_X19Y72 GRID_POINT_X 19 TILEPROP NULL_X19Y72 GRID_POINT_Y 84 TILEPROP NULL_X19Y72 INDEX 9679 TILEPROP NULL_X19Y72 INT_TILE_X 5 TILEPROP NULL_X19Y72 INT_TILE_Y 80 TILEPROP NULL_X19Y72 IS_CENTER_TILE 0 TILEPROP NULL_X19Y72 IS_DCM_TILE 0 TILEPROP NULL_X19Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y72 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y72 NAME NULL_X19Y72 TILEPROP NULL_X19Y72 NUM_ARCS 0 TILEPROP NULL_X19Y72 NUM_SITES 0 TILEPROP NULL_X19Y72 ROW 84 TILEPROP NULL_X19Y72 SLR_REGION_ID 0 TILEPROP NULL_X19Y72 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y72 TILE_TYPE NULL TILEPROP NULL_X19Y72 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y72 TILE_X -70886 TILEPROP NULL_X19Y72 TILE_Y -19200 TILEPROP NULL_X19Y72 TYPE NULL TILEPROP NULL_X19Y74 CLASS tile TILEPROP NULL_X19Y74 COLUMN 19 TILEPROP NULL_X19Y74 DEVICE_ID 0 TILEPROP NULL_X19Y74 FIRST_SITE_ID 8117 TILEPROP NULL_X19Y74 GRID_POINT_X 19 TILEPROP NULL_X19Y74 GRID_POINT_Y 82 TILEPROP NULL_X19Y74 INDEX 9449 TILEPROP NULL_X19Y74 INT_TILE_X 5 TILEPROP NULL_X19Y74 INT_TILE_Y 78 TILEPROP NULL_X19Y74 IS_CENTER_TILE 0 TILEPROP NULL_X19Y74 IS_DCM_TILE 0 TILEPROP NULL_X19Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y74 NAME NULL_X19Y74 TILEPROP NULL_X19Y74 NUM_ARCS 0 TILEPROP NULL_X19Y74 NUM_SITES 0 TILEPROP NULL_X19Y74 ROW 82 TILEPROP NULL_X19Y74 SLR_REGION_ID 0 TILEPROP NULL_X19Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y74 TILE_TYPE NULL TILEPROP NULL_X19Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y74 TILE_X -70886 TILEPROP NULL_X19Y74 TILE_Y -12800 TILEPROP NULL_X19Y74 TYPE NULL TILEPROP NULL_X19Y75 CLASS tile TILEPROP NULL_X19Y75 COLUMN 19 TILEPROP NULL_X19Y75 DEVICE_ID 0 TILEPROP NULL_X19Y75 FIRST_SITE_ID 8017 TILEPROP NULL_X19Y75 GRID_POINT_X 19 TILEPROP NULL_X19Y75 GRID_POINT_Y 81 TILEPROP NULL_X19Y75 INDEX 9334 TILEPROP NULL_X19Y75 INT_TILE_X 5 TILEPROP NULL_X19Y75 INT_TILE_Y 77 TILEPROP NULL_X19Y75 IS_CENTER_TILE 0 TILEPROP NULL_X19Y75 IS_DCM_TILE 0 TILEPROP NULL_X19Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y75 NAME NULL_X19Y75 TILEPROP NULL_X19Y75 NUM_ARCS 0 TILEPROP NULL_X19Y75 NUM_SITES 0 TILEPROP NULL_X19Y75 ROW 81 TILEPROP NULL_X19Y75 SLR_REGION_ID 0 TILEPROP NULL_X19Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y75 TILE_TYPE NULL TILEPROP NULL_X19Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y75 TILE_X -70886 TILEPROP NULL_X19Y75 TILE_Y -9600 TILEPROP NULL_X19Y75 TYPE NULL TILEPROP NULL_X19Y76 CLASS tile TILEPROP NULL_X19Y76 COLUMN 19 TILEPROP NULL_X19Y76 DEVICE_ID 0 TILEPROP NULL_X19Y76 FIRST_SITE_ID 7917 TILEPROP NULL_X19Y76 GRID_POINT_X 19 TILEPROP NULL_X19Y76 GRID_POINT_Y 80 TILEPROP NULL_X19Y76 INDEX 9219 TILEPROP NULL_X19Y76 INT_TILE_X 5 TILEPROP NULL_X19Y76 INT_TILE_Y 76 TILEPROP NULL_X19Y76 IS_CENTER_TILE 0 TILEPROP NULL_X19Y76 IS_DCM_TILE 0 TILEPROP NULL_X19Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y76 NAME NULL_X19Y76 TILEPROP NULL_X19Y76 NUM_ARCS 0 TILEPROP NULL_X19Y76 NUM_SITES 0 TILEPROP NULL_X19Y76 ROW 80 TILEPROP NULL_X19Y76 SLR_REGION_ID 0 TILEPROP NULL_X19Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y76 TILE_TYPE NULL TILEPROP NULL_X19Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y76 TILE_X -70886 TILEPROP NULL_X19Y76 TILE_Y -6400 TILEPROP NULL_X19Y76 TYPE NULL TILEPROP NULL_X19Y77 CLASS tile TILEPROP NULL_X19Y77 COLUMN 19 TILEPROP NULL_X19Y77 DEVICE_ID 0 TILEPROP NULL_X19Y77 FIRST_SITE_ID 7817 TILEPROP NULL_X19Y77 GRID_POINT_X 19 TILEPROP NULL_X19Y77 GRID_POINT_Y 79 TILEPROP NULL_X19Y77 INDEX 9104 TILEPROP NULL_X19Y77 INT_TILE_X 5 TILEPROP NULL_X19Y77 INT_TILE_Y 75 TILEPROP NULL_X19Y77 IS_CENTER_TILE 0 TILEPROP NULL_X19Y77 IS_DCM_TILE 0 TILEPROP NULL_X19Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y77 NAME NULL_X19Y77 TILEPROP NULL_X19Y77 NUM_ARCS 0 TILEPROP NULL_X19Y77 NUM_SITES 0 TILEPROP NULL_X19Y77 ROW 79 TILEPROP NULL_X19Y77 SLR_REGION_ID 0 TILEPROP NULL_X19Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y77 TILE_TYPE NULL TILEPROP NULL_X19Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y77 TILE_X -70886 TILEPROP NULL_X19Y77 TILE_Y -3200 TILEPROP NULL_X19Y77 TYPE NULL TILEPROP NULL_X19Y80 CLASS tile TILEPROP NULL_X19Y80 COLUMN 19 TILEPROP NULL_X19Y80 DEVICE_ID 0 TILEPROP NULL_X19Y80 FIRST_SITE_ID 7516 TILEPROP NULL_X19Y80 GRID_POINT_X 19 TILEPROP NULL_X19Y80 GRID_POINT_Y 76 TILEPROP NULL_X19Y80 INDEX 8759 TILEPROP NULL_X19Y80 INT_TILE_X 5 TILEPROP NULL_X19Y80 INT_TILE_Y 73 TILEPROP NULL_X19Y80 IS_CENTER_TILE 0 TILEPROP NULL_X19Y80 IS_DCM_TILE 0 TILEPROP NULL_X19Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y80 NAME NULL_X19Y80 TILEPROP NULL_X19Y80 NUM_ARCS 0 TILEPROP NULL_X19Y80 NUM_SITES 0 TILEPROP NULL_X19Y80 ROW 76 TILEPROP NULL_X19Y80 SLR_REGION_ID 0 TILEPROP NULL_X19Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y80 TILE_TYPE NULL TILEPROP NULL_X19Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y80 TILE_X -70886 TILEPROP NULL_X19Y80 TILE_Y 4224 TILEPROP NULL_X19Y80 TYPE NULL TILEPROP NULL_X19Y81 CLASS tile TILEPROP NULL_X19Y81 COLUMN 19 TILEPROP NULL_X19Y81 DEVICE_ID 0 TILEPROP NULL_X19Y81 FIRST_SITE_ID 7416 TILEPROP NULL_X19Y81 GRID_POINT_X 19 TILEPROP NULL_X19Y81 GRID_POINT_Y 75 TILEPROP NULL_X19Y81 INDEX 8644 TILEPROP NULL_X19Y81 INT_TILE_X 5 TILEPROP NULL_X19Y81 INT_TILE_Y 72 TILEPROP NULL_X19Y81 IS_CENTER_TILE 0 TILEPROP NULL_X19Y81 IS_DCM_TILE 0 TILEPROP NULL_X19Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y81 NAME NULL_X19Y81 TILEPROP NULL_X19Y81 NUM_ARCS 0 TILEPROP NULL_X19Y81 NUM_SITES 0 TILEPROP NULL_X19Y81 ROW 75 TILEPROP NULL_X19Y81 SLR_REGION_ID 0 TILEPROP NULL_X19Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y81 TILE_TYPE NULL TILEPROP NULL_X19Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y81 TILE_X -70886 TILEPROP NULL_X19Y81 TILE_Y 7424 TILEPROP NULL_X19Y81 TYPE NULL TILEPROP NULL_X19Y82 CLASS tile TILEPROP NULL_X19Y82 COLUMN 19 TILEPROP NULL_X19Y82 DEVICE_ID 0 TILEPROP NULL_X19Y82 FIRST_SITE_ID 7316 TILEPROP NULL_X19Y82 GRID_POINT_X 19 TILEPROP NULL_X19Y82 GRID_POINT_Y 74 TILEPROP NULL_X19Y82 INDEX 8529 TILEPROP NULL_X19Y82 INT_TILE_X 5 TILEPROP NULL_X19Y82 INT_TILE_Y 71 TILEPROP NULL_X19Y82 IS_CENTER_TILE 0 TILEPROP NULL_X19Y82 IS_DCM_TILE 0 TILEPROP NULL_X19Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y82 NAME NULL_X19Y82 TILEPROP NULL_X19Y82 NUM_ARCS 0 TILEPROP NULL_X19Y82 NUM_SITES 0 TILEPROP NULL_X19Y82 ROW 74 TILEPROP NULL_X19Y82 SLR_REGION_ID 0 TILEPROP NULL_X19Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y82 TILE_TYPE NULL TILEPROP NULL_X19Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y82 TILE_X -70886 TILEPROP NULL_X19Y82 TILE_Y 10624 TILEPROP NULL_X19Y82 TYPE NULL TILEPROP NULL_X19Y83 CLASS tile TILEPROP NULL_X19Y83 COLUMN 19 TILEPROP NULL_X19Y83 DEVICE_ID 0 TILEPROP NULL_X19Y83 FIRST_SITE_ID 7210 TILEPROP NULL_X19Y83 GRID_POINT_X 19 TILEPROP NULL_X19Y83 GRID_POINT_Y 73 TILEPROP NULL_X19Y83 INDEX 8414 TILEPROP NULL_X19Y83 INT_TILE_X 5 TILEPROP NULL_X19Y83 INT_TILE_Y 70 TILEPROP NULL_X19Y83 IS_CENTER_TILE 0 TILEPROP NULL_X19Y83 IS_DCM_TILE 0 TILEPROP NULL_X19Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y83 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y83 NAME NULL_X19Y83 TILEPROP NULL_X19Y83 NUM_ARCS 0 TILEPROP NULL_X19Y83 NUM_SITES 0 TILEPROP NULL_X19Y83 ROW 73 TILEPROP NULL_X19Y83 SLR_REGION_ID 0 TILEPROP NULL_X19Y83 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y83 TILE_TYPE NULL TILEPROP NULL_X19Y83 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y83 TILE_X -70886 TILEPROP NULL_X19Y83 TILE_Y 13824 TILEPROP NULL_X19Y83 TYPE NULL TILEPROP NULL_X19Y85 CLASS tile TILEPROP NULL_X19Y85 COLUMN 19 TILEPROP NULL_X19Y85 DEVICE_ID 0 TILEPROP NULL_X19Y85 FIRST_SITE_ID 6987 TILEPROP NULL_X19Y85 GRID_POINT_X 19 TILEPROP NULL_X19Y85 GRID_POINT_Y 71 TILEPROP NULL_X19Y85 INDEX 8184 TILEPROP NULL_X19Y85 INT_TILE_X 5 TILEPROP NULL_X19Y85 INT_TILE_Y 68 TILEPROP NULL_X19Y85 IS_CENTER_TILE 0 TILEPROP NULL_X19Y85 IS_DCM_TILE 0 TILEPROP NULL_X19Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y85 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y85 NAME NULL_X19Y85 TILEPROP NULL_X19Y85 NUM_ARCS 0 TILEPROP NULL_X19Y85 NUM_SITES 0 TILEPROP NULL_X19Y85 ROW 71 TILEPROP NULL_X19Y85 SLR_REGION_ID 0 TILEPROP NULL_X19Y85 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y85 TILE_TYPE NULL TILEPROP NULL_X19Y85 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y85 TILE_X -70886 TILEPROP NULL_X19Y85 TILE_Y 20224 TILEPROP NULL_X19Y85 TYPE NULL TILEPROP NULL_X19Y86 CLASS tile TILEPROP NULL_X19Y86 COLUMN 19 TILEPROP NULL_X19Y86 DEVICE_ID 0 TILEPROP NULL_X19Y86 FIRST_SITE_ID 6885 TILEPROP NULL_X19Y86 GRID_POINT_X 19 TILEPROP NULL_X19Y86 GRID_POINT_Y 70 TILEPROP NULL_X19Y86 INDEX 8069 TILEPROP NULL_X19Y86 INT_TILE_X 5 TILEPROP NULL_X19Y86 INT_TILE_Y 67 TILEPROP NULL_X19Y86 IS_CENTER_TILE 0 TILEPROP NULL_X19Y86 IS_DCM_TILE 0 TILEPROP NULL_X19Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y86 NAME NULL_X19Y86 TILEPROP NULL_X19Y86 NUM_ARCS 0 TILEPROP NULL_X19Y86 NUM_SITES 0 TILEPROP NULL_X19Y86 ROW 70 TILEPROP NULL_X19Y86 SLR_REGION_ID 0 TILEPROP NULL_X19Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y86 TILE_TYPE NULL TILEPROP NULL_X19Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y86 TILE_X -70886 TILEPROP NULL_X19Y86 TILE_Y 23424 TILEPROP NULL_X19Y86 TYPE NULL TILEPROP NULL_X19Y87 CLASS tile TILEPROP NULL_X19Y87 COLUMN 19 TILEPROP NULL_X19Y87 DEVICE_ID 0 TILEPROP NULL_X19Y87 FIRST_SITE_ID 6785 TILEPROP NULL_X19Y87 GRID_POINT_X 19 TILEPROP NULL_X19Y87 GRID_POINT_Y 69 TILEPROP NULL_X19Y87 INDEX 7954 TILEPROP NULL_X19Y87 INT_TILE_X 5 TILEPROP NULL_X19Y87 INT_TILE_Y 66 TILEPROP NULL_X19Y87 IS_CENTER_TILE 0 TILEPROP NULL_X19Y87 IS_DCM_TILE 0 TILEPROP NULL_X19Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y87 NAME NULL_X19Y87 TILEPROP NULL_X19Y87 NUM_ARCS 0 TILEPROP NULL_X19Y87 NUM_SITES 0 TILEPROP NULL_X19Y87 ROW 69 TILEPROP NULL_X19Y87 SLR_REGION_ID 0 TILEPROP NULL_X19Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y87 TILE_TYPE NULL TILEPROP NULL_X19Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y87 TILE_X -70886 TILEPROP NULL_X19Y87 TILE_Y 26624 TILEPROP NULL_X19Y87 TYPE NULL TILEPROP NULL_X19Y88 CLASS tile TILEPROP NULL_X19Y88 COLUMN 19 TILEPROP NULL_X19Y88 DEVICE_ID 0 TILEPROP NULL_X19Y88 FIRST_SITE_ID 6685 TILEPROP NULL_X19Y88 GRID_POINT_X 19 TILEPROP NULL_X19Y88 GRID_POINT_Y 68 TILEPROP NULL_X19Y88 INDEX 7839 TILEPROP NULL_X19Y88 INT_TILE_X 5 TILEPROP NULL_X19Y88 INT_TILE_Y 65 TILEPROP NULL_X19Y88 IS_CENTER_TILE 0 TILEPROP NULL_X19Y88 IS_DCM_TILE 0 TILEPROP NULL_X19Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y88 NAME NULL_X19Y88 TILEPROP NULL_X19Y88 NUM_ARCS 0 TILEPROP NULL_X19Y88 NUM_SITES 0 TILEPROP NULL_X19Y88 ROW 68 TILEPROP NULL_X19Y88 SLR_REGION_ID 0 TILEPROP NULL_X19Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y88 TILE_TYPE NULL TILEPROP NULL_X19Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y88 TILE_X -70886 TILEPROP NULL_X19Y88 TILE_Y 29824 TILEPROP NULL_X19Y88 TYPE NULL TILEPROP NULL_X19Y90 CLASS tile TILEPROP NULL_X19Y90 COLUMN 19 TILEPROP NULL_X19Y90 DEVICE_ID 0 TILEPROP NULL_X19Y90 FIRST_SITE_ID 6438 TILEPROP NULL_X19Y90 GRID_POINT_X 19 TILEPROP NULL_X19Y90 GRID_POINT_Y 66 TILEPROP NULL_X19Y90 INDEX 7609 TILEPROP NULL_X19Y90 INT_TILE_X 5 TILEPROP NULL_X19Y90 INT_TILE_Y 63 TILEPROP NULL_X19Y90 IS_CENTER_TILE 0 TILEPROP NULL_X19Y90 IS_DCM_TILE 0 TILEPROP NULL_X19Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y90 NAME NULL_X19Y90 TILEPROP NULL_X19Y90 NUM_ARCS 0 TILEPROP NULL_X19Y90 NUM_SITES 0 TILEPROP NULL_X19Y90 ROW 66 TILEPROP NULL_X19Y90 SLR_REGION_ID 0 TILEPROP NULL_X19Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y90 TILE_TYPE NULL TILEPROP NULL_X19Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y90 TILE_X -70886 TILEPROP NULL_X19Y90 TILE_Y 36224 TILEPROP NULL_X19Y90 TYPE NULL TILEPROP NULL_X19Y91 CLASS tile TILEPROP NULL_X19Y91 COLUMN 19 TILEPROP NULL_X19Y91 DEVICE_ID 0 TILEPROP NULL_X19Y91 FIRST_SITE_ID 6338 TILEPROP NULL_X19Y91 GRID_POINT_X 19 TILEPROP NULL_X19Y91 GRID_POINT_Y 65 TILEPROP NULL_X19Y91 INDEX 7494 TILEPROP NULL_X19Y91 INT_TILE_X 5 TILEPROP NULL_X19Y91 INT_TILE_Y 62 TILEPROP NULL_X19Y91 IS_CENTER_TILE 0 TILEPROP NULL_X19Y91 IS_DCM_TILE 0 TILEPROP NULL_X19Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y91 NAME NULL_X19Y91 TILEPROP NULL_X19Y91 NUM_ARCS 0 TILEPROP NULL_X19Y91 NUM_SITES 0 TILEPROP NULL_X19Y91 ROW 65 TILEPROP NULL_X19Y91 SLR_REGION_ID 0 TILEPROP NULL_X19Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y91 TILE_TYPE NULL TILEPROP NULL_X19Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y91 TILE_X -70886 TILEPROP NULL_X19Y91 TILE_Y 39424 TILEPROP NULL_X19Y91 TYPE NULL TILEPROP NULL_X19Y92 CLASS tile TILEPROP NULL_X19Y92 COLUMN 19 TILEPROP NULL_X19Y92 DEVICE_ID 0 TILEPROP NULL_X19Y92 FIRST_SITE_ID 6238 TILEPROP NULL_X19Y92 GRID_POINT_X 19 TILEPROP NULL_X19Y92 GRID_POINT_Y 64 TILEPROP NULL_X19Y92 INDEX 7379 TILEPROP NULL_X19Y92 INT_TILE_X 5 TILEPROP NULL_X19Y92 INT_TILE_Y 61 TILEPROP NULL_X19Y92 IS_CENTER_TILE 0 TILEPROP NULL_X19Y92 IS_DCM_TILE 0 TILEPROP NULL_X19Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y92 NAME NULL_X19Y92 TILEPROP NULL_X19Y92 NUM_ARCS 0 TILEPROP NULL_X19Y92 NUM_SITES 0 TILEPROP NULL_X19Y92 ROW 64 TILEPROP NULL_X19Y92 SLR_REGION_ID 0 TILEPROP NULL_X19Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y92 TILE_TYPE NULL TILEPROP NULL_X19Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y92 TILE_X -70886 TILEPROP NULL_X19Y92 TILE_Y 42624 TILEPROP NULL_X19Y92 TYPE NULL TILEPROP NULL_X19Y93 CLASS tile TILEPROP NULL_X19Y93 COLUMN 19 TILEPROP NULL_X19Y93 DEVICE_ID 0 TILEPROP NULL_X19Y93 FIRST_SITE_ID 6138 TILEPROP NULL_X19Y93 GRID_POINT_X 19 TILEPROP NULL_X19Y93 GRID_POINT_Y 63 TILEPROP NULL_X19Y93 INDEX 7264 TILEPROP NULL_X19Y93 INT_TILE_X 5 TILEPROP NULL_X19Y93 INT_TILE_Y 60 TILEPROP NULL_X19Y93 IS_CENTER_TILE 0 TILEPROP NULL_X19Y93 IS_DCM_TILE 0 TILEPROP NULL_X19Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y93 NAME NULL_X19Y93 TILEPROP NULL_X19Y93 NUM_ARCS 0 TILEPROP NULL_X19Y93 NUM_SITES 0 TILEPROP NULL_X19Y93 ROW 63 TILEPROP NULL_X19Y93 SLR_REGION_ID 0 TILEPROP NULL_X19Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y93 TILE_TYPE NULL TILEPROP NULL_X19Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y93 TILE_X -70886 TILEPROP NULL_X19Y93 TILE_Y 45824 TILEPROP NULL_X19Y93 TYPE NULL TILEPROP NULL_X19Y95 CLASS tile TILEPROP NULL_X19Y95 COLUMN 19 TILEPROP NULL_X19Y95 DEVICE_ID 0 TILEPROP NULL_X19Y95 FIRST_SITE_ID 5923 TILEPROP NULL_X19Y95 GRID_POINT_X 19 TILEPROP NULL_X19Y95 GRID_POINT_Y 61 TILEPROP NULL_X19Y95 INDEX 7034 TILEPROP NULL_X19Y95 INT_TILE_X 5 TILEPROP NULL_X19Y95 INT_TILE_Y 58 TILEPROP NULL_X19Y95 IS_CENTER_TILE 0 TILEPROP NULL_X19Y95 IS_DCM_TILE 0 TILEPROP NULL_X19Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y95 NAME NULL_X19Y95 TILEPROP NULL_X19Y95 NUM_ARCS 0 TILEPROP NULL_X19Y95 NUM_SITES 0 TILEPROP NULL_X19Y95 ROW 61 TILEPROP NULL_X19Y95 SLR_REGION_ID 0 TILEPROP NULL_X19Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y95 TILE_TYPE NULL TILEPROP NULL_X19Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y95 TILE_X -70886 TILEPROP NULL_X19Y95 TILE_Y 52224 TILEPROP NULL_X19Y95 TYPE NULL TILEPROP NULL_X19Y96 CLASS tile TILEPROP NULL_X19Y96 COLUMN 19 TILEPROP NULL_X19Y96 DEVICE_ID 0 TILEPROP NULL_X19Y96 FIRST_SITE_ID 5822 TILEPROP NULL_X19Y96 GRID_POINT_X 19 TILEPROP NULL_X19Y96 GRID_POINT_Y 60 TILEPROP NULL_X19Y96 INDEX 6919 TILEPROP NULL_X19Y96 INT_TILE_X 5 TILEPROP NULL_X19Y96 INT_TILE_Y 57 TILEPROP NULL_X19Y96 IS_CENTER_TILE 0 TILEPROP NULL_X19Y96 IS_DCM_TILE 0 TILEPROP NULL_X19Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y96 NAME NULL_X19Y96 TILEPROP NULL_X19Y96 NUM_ARCS 0 TILEPROP NULL_X19Y96 NUM_SITES 0 TILEPROP NULL_X19Y96 ROW 60 TILEPROP NULL_X19Y96 SLR_REGION_ID 0 TILEPROP NULL_X19Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y96 TILE_TYPE NULL TILEPROP NULL_X19Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y96 TILE_X -70886 TILEPROP NULL_X19Y96 TILE_Y 55424 TILEPROP NULL_X19Y96 TYPE NULL TILEPROP NULL_X19Y97 CLASS tile TILEPROP NULL_X19Y97 COLUMN 19 TILEPROP NULL_X19Y97 DEVICE_ID 0 TILEPROP NULL_X19Y97 FIRST_SITE_ID 5719 TILEPROP NULL_X19Y97 GRID_POINT_X 19 TILEPROP NULL_X19Y97 GRID_POINT_Y 59 TILEPROP NULL_X19Y97 INDEX 6804 TILEPROP NULL_X19Y97 INT_TILE_X 5 TILEPROP NULL_X19Y97 INT_TILE_Y 56 TILEPROP NULL_X19Y97 IS_CENTER_TILE 0 TILEPROP NULL_X19Y97 IS_DCM_TILE 0 TILEPROP NULL_X19Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y97 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y97 NAME NULL_X19Y97 TILEPROP NULL_X19Y97 NUM_ARCS 0 TILEPROP NULL_X19Y97 NUM_SITES 0 TILEPROP NULL_X19Y97 ROW 59 TILEPROP NULL_X19Y97 SLR_REGION_ID 0 TILEPROP NULL_X19Y97 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y97 TILE_TYPE NULL TILEPROP NULL_X19Y97 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y97 TILE_X -70886 TILEPROP NULL_X19Y97 TILE_Y 58624 TILEPROP NULL_X19Y97 TYPE NULL TILEPROP NULL_X19Y98 CLASS tile TILEPROP NULL_X19Y98 COLUMN 19 TILEPROP NULL_X19Y98 DEVICE_ID 0 TILEPROP NULL_X19Y98 FIRST_SITE_ID 5617 TILEPROP NULL_X19Y98 GRID_POINT_X 19 TILEPROP NULL_X19Y98 GRID_POINT_Y 58 TILEPROP NULL_X19Y98 INDEX 6689 TILEPROP NULL_X19Y98 INT_TILE_X 5 TILEPROP NULL_X19Y98 INT_TILE_Y 55 TILEPROP NULL_X19Y98 IS_CENTER_TILE 0 TILEPROP NULL_X19Y98 IS_DCM_TILE 0 TILEPROP NULL_X19Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y98 NAME NULL_X19Y98 TILEPROP NULL_X19Y98 NUM_ARCS 0 TILEPROP NULL_X19Y98 NUM_SITES 0 TILEPROP NULL_X19Y98 ROW 58 TILEPROP NULL_X19Y98 SLR_REGION_ID 0 TILEPROP NULL_X19Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y98 TILE_TYPE NULL TILEPROP NULL_X19Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y98 TILE_X -70886 TILEPROP NULL_X19Y98 TILE_Y 61824 TILEPROP NULL_X19Y98 TYPE NULL TILEPROP NULL_X19Y100 CLASS tile TILEPROP NULL_X19Y100 COLUMN 19 TILEPROP NULL_X19Y100 DEVICE_ID 0 TILEPROP NULL_X19Y100 FIRST_SITE_ID 5402 TILEPROP NULL_X19Y100 GRID_POINT_X 19 TILEPROP NULL_X19Y100 GRID_POINT_Y 56 TILEPROP NULL_X19Y100 INDEX 6459 TILEPROP NULL_X19Y100 INT_TILE_X 5 TILEPROP NULL_X19Y100 INT_TILE_Y 53 TILEPROP NULL_X19Y100 IS_CENTER_TILE 0 TILEPROP NULL_X19Y100 IS_DCM_TILE 0 TILEPROP NULL_X19Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y100 NAME NULL_X19Y100 TILEPROP NULL_X19Y100 NUM_ARCS 0 TILEPROP NULL_X19Y100 NUM_SITES 0 TILEPROP NULL_X19Y100 ROW 56 TILEPROP NULL_X19Y100 SLR_REGION_ID 0 TILEPROP NULL_X19Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y100 TILE_TYPE NULL TILEPROP NULL_X19Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y100 TILE_X -70886 TILEPROP NULL_X19Y100 TILE_Y 68224 TILEPROP NULL_X19Y100 TYPE NULL TILEPROP NULL_X19Y101 CLASS tile TILEPROP NULL_X19Y101 COLUMN 19 TILEPROP NULL_X19Y101 DEVICE_ID 0 TILEPROP NULL_X19Y101 FIRST_SITE_ID 5302 TILEPROP NULL_X19Y101 GRID_POINT_X 19 TILEPROP NULL_X19Y101 GRID_POINT_Y 55 TILEPROP NULL_X19Y101 INDEX 6344 TILEPROP NULL_X19Y101 INT_TILE_X 5 TILEPROP NULL_X19Y101 INT_TILE_Y 52 TILEPROP NULL_X19Y101 IS_CENTER_TILE 0 TILEPROP NULL_X19Y101 IS_DCM_TILE 0 TILEPROP NULL_X19Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y101 NAME NULL_X19Y101 TILEPROP NULL_X19Y101 NUM_ARCS 0 TILEPROP NULL_X19Y101 NUM_SITES 0 TILEPROP NULL_X19Y101 ROW 55 TILEPROP NULL_X19Y101 SLR_REGION_ID 0 TILEPROP NULL_X19Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y101 TILE_TYPE NULL TILEPROP NULL_X19Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y101 TILE_X -70886 TILEPROP NULL_X19Y101 TILE_Y 71424 TILEPROP NULL_X19Y101 TYPE NULL TILEPROP NULL_X19Y102 CLASS tile TILEPROP NULL_X19Y102 COLUMN 19 TILEPROP NULL_X19Y102 DEVICE_ID 0 TILEPROP NULL_X19Y102 FIRST_SITE_ID 5202 TILEPROP NULL_X19Y102 GRID_POINT_X 19 TILEPROP NULL_X19Y102 GRID_POINT_Y 54 TILEPROP NULL_X19Y102 INDEX 6229 TILEPROP NULL_X19Y102 INT_TILE_X 5 TILEPROP NULL_X19Y102 INT_TILE_Y 51 TILEPROP NULL_X19Y102 IS_CENTER_TILE 0 TILEPROP NULL_X19Y102 IS_DCM_TILE 0 TILEPROP NULL_X19Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y102 NAME NULL_X19Y102 TILEPROP NULL_X19Y102 NUM_ARCS 0 TILEPROP NULL_X19Y102 NUM_SITES 0 TILEPROP NULL_X19Y102 ROW 54 TILEPROP NULL_X19Y102 SLR_REGION_ID 0 TILEPROP NULL_X19Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y102 TILE_TYPE NULL TILEPROP NULL_X19Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y102 TILE_X -70886 TILEPROP NULL_X19Y102 TILE_Y 74624 TILEPROP NULL_X19Y102 TYPE NULL TILEPROP NULL_X19Y103 CLASS tile TILEPROP NULL_X19Y103 COLUMN 19 TILEPROP NULL_X19Y103 DEVICE_ID 0 TILEPROP NULL_X19Y103 FIRST_SITE_ID 5106 TILEPROP NULL_X19Y103 GRID_POINT_X 19 TILEPROP NULL_X19Y103 GRID_POINT_Y 53 TILEPROP NULL_X19Y103 INDEX 6114 TILEPROP NULL_X19Y103 INT_TILE_X 5 TILEPROP NULL_X19Y103 INT_TILE_Y 50 TILEPROP NULL_X19Y103 IS_CENTER_TILE 0 TILEPROP NULL_X19Y103 IS_DCM_TILE 0 TILEPROP NULL_X19Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y103 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y103 NAME NULL_X19Y103 TILEPROP NULL_X19Y103 NUM_ARCS 0 TILEPROP NULL_X19Y103 NUM_SITES 0 TILEPROP NULL_X19Y103 ROW 53 TILEPROP NULL_X19Y103 SLR_REGION_ID 0 TILEPROP NULL_X19Y103 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y103 TILE_TYPE NULL TILEPROP NULL_X19Y103 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y103 TILE_X -70886 TILEPROP NULL_X19Y103 TILE_Y 77824 TILEPROP NULL_X19Y103 TYPE NULL TILEPROP NULL_X19Y106 CLASS tile TILEPROP NULL_X19Y106 COLUMN 19 TILEPROP NULL_X19Y106 DEVICE_ID 0 TILEPROP NULL_X19Y106 FIRST_SITE_ID 4913 TILEPROP NULL_X19Y106 GRID_POINT_X 19 TILEPROP NULL_X19Y106 GRID_POINT_Y 50 TILEPROP NULL_X19Y106 INDEX 5769 TILEPROP NULL_X19Y106 INT_TILE_X 5 TILEPROP NULL_X19Y106 INT_TILE_Y 48 TILEPROP NULL_X19Y106 IS_CENTER_TILE 0 TILEPROP NULL_X19Y106 IS_DCM_TILE 0 TILEPROP NULL_X19Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y106 NAME NULL_X19Y106 TILEPROP NULL_X19Y106 NUM_ARCS 0 TILEPROP NULL_X19Y106 NUM_SITES 0 TILEPROP NULL_X19Y106 ROW 50 TILEPROP NULL_X19Y106 SLR_REGION_ID 0 TILEPROP NULL_X19Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y106 TILE_TYPE NULL TILEPROP NULL_X19Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y106 TILE_X -70886 TILEPROP NULL_X19Y106 TILE_Y 84472 TILEPROP NULL_X19Y106 TYPE NULL TILEPROP NULL_X19Y107 CLASS tile TILEPROP NULL_X19Y107 COLUMN 19 TILEPROP NULL_X19Y107 DEVICE_ID 0 TILEPROP NULL_X19Y107 FIRST_SITE_ID 4817 TILEPROP NULL_X19Y107 GRID_POINT_X 19 TILEPROP NULL_X19Y107 GRID_POINT_Y 49 TILEPROP NULL_X19Y107 INDEX 5654 TILEPROP NULL_X19Y107 INT_TILE_X 5 TILEPROP NULL_X19Y107 INT_TILE_Y 47 TILEPROP NULL_X19Y107 IS_CENTER_TILE 0 TILEPROP NULL_X19Y107 IS_DCM_TILE 0 TILEPROP NULL_X19Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y107 NAME NULL_X19Y107 TILEPROP NULL_X19Y107 NUM_ARCS 0 TILEPROP NULL_X19Y107 NUM_SITES 0 TILEPROP NULL_X19Y107 ROW 49 TILEPROP NULL_X19Y107 SLR_REGION_ID 0 TILEPROP NULL_X19Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y107 TILE_TYPE NULL TILEPROP NULL_X19Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y107 TILE_X -70886 TILEPROP NULL_X19Y107 TILE_Y 87672 TILEPROP NULL_X19Y107 TYPE NULL TILEPROP NULL_X19Y108 CLASS tile TILEPROP NULL_X19Y108 COLUMN 19 TILEPROP NULL_X19Y108 DEVICE_ID 0 TILEPROP NULL_X19Y108 FIRST_SITE_ID 4729 TILEPROP NULL_X19Y108 GRID_POINT_X 19 TILEPROP NULL_X19Y108 GRID_POINT_Y 48 TILEPROP NULL_X19Y108 INDEX 5539 TILEPROP NULL_X19Y108 INT_TILE_X 5 TILEPROP NULL_X19Y108 INT_TILE_Y 46 TILEPROP NULL_X19Y108 IS_CENTER_TILE 0 TILEPROP NULL_X19Y108 IS_DCM_TILE 0 TILEPROP NULL_X19Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y108 NAME NULL_X19Y108 TILEPROP NULL_X19Y108 NUM_ARCS 0 TILEPROP NULL_X19Y108 NUM_SITES 0 TILEPROP NULL_X19Y108 ROW 48 TILEPROP NULL_X19Y108 SLR_REGION_ID 0 TILEPROP NULL_X19Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y108 TILE_TYPE NULL TILEPROP NULL_X19Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y108 TILE_X -70886 TILEPROP NULL_X19Y108 TILE_Y 90872 TILEPROP NULL_X19Y108 TYPE NULL TILEPROP NULL_X19Y109 CLASS tile TILEPROP NULL_X19Y109 COLUMN 19 TILEPROP NULL_X19Y109 DEVICE_ID 0 TILEPROP NULL_X19Y109 FIRST_SITE_ID 4633 TILEPROP NULL_X19Y109 GRID_POINT_X 19 TILEPROP NULL_X19Y109 GRID_POINT_Y 47 TILEPROP NULL_X19Y109 INDEX 5424 TILEPROP NULL_X19Y109 INT_TILE_X 5 TILEPROP NULL_X19Y109 INT_TILE_Y 45 TILEPROP NULL_X19Y109 IS_CENTER_TILE 0 TILEPROP NULL_X19Y109 IS_DCM_TILE 0 TILEPROP NULL_X19Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y109 NAME NULL_X19Y109 TILEPROP NULL_X19Y109 NUM_ARCS 0 TILEPROP NULL_X19Y109 NUM_SITES 0 TILEPROP NULL_X19Y109 ROW 47 TILEPROP NULL_X19Y109 SLR_REGION_ID 0 TILEPROP NULL_X19Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y109 TILE_TYPE NULL TILEPROP NULL_X19Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y109 TILE_X -70886 TILEPROP NULL_X19Y109 TILE_Y 94072 TILEPROP NULL_X19Y109 TYPE NULL TILEPROP NULL_X19Y111 CLASS tile TILEPROP NULL_X19Y111 COLUMN 19 TILEPROP NULL_X19Y111 DEVICE_ID 0 TILEPROP NULL_X19Y111 FIRST_SITE_ID 4435 TILEPROP NULL_X19Y111 GRID_POINT_X 19 TILEPROP NULL_X19Y111 GRID_POINT_Y 45 TILEPROP NULL_X19Y111 INDEX 5194 TILEPROP NULL_X19Y111 INT_TILE_X 5 TILEPROP NULL_X19Y111 INT_TILE_Y 43 TILEPROP NULL_X19Y111 IS_CENTER_TILE 0 TILEPROP NULL_X19Y111 IS_DCM_TILE 0 TILEPROP NULL_X19Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y111 NAME NULL_X19Y111 TILEPROP NULL_X19Y111 NUM_ARCS 0 TILEPROP NULL_X19Y111 NUM_SITES 0 TILEPROP NULL_X19Y111 ROW 45 TILEPROP NULL_X19Y111 SLR_REGION_ID 0 TILEPROP NULL_X19Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y111 TILE_TYPE NULL TILEPROP NULL_X19Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y111 TILE_X -70886 TILEPROP NULL_X19Y111 TILE_Y 100472 TILEPROP NULL_X19Y111 TYPE NULL TILEPROP NULL_X19Y112 CLASS tile TILEPROP NULL_X19Y112 COLUMN 19 TILEPROP NULL_X19Y112 DEVICE_ID 0 TILEPROP NULL_X19Y112 FIRST_SITE_ID 4347 TILEPROP NULL_X19Y112 GRID_POINT_X 19 TILEPROP NULL_X19Y112 GRID_POINT_Y 44 TILEPROP NULL_X19Y112 INDEX 5079 TILEPROP NULL_X19Y112 INT_TILE_X 5 TILEPROP NULL_X19Y112 INT_TILE_Y 42 TILEPROP NULL_X19Y112 IS_CENTER_TILE 0 TILEPROP NULL_X19Y112 IS_DCM_TILE 0 TILEPROP NULL_X19Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y112 NAME NULL_X19Y112 TILEPROP NULL_X19Y112 NUM_ARCS 0 TILEPROP NULL_X19Y112 NUM_SITES 0 TILEPROP NULL_X19Y112 ROW 44 TILEPROP NULL_X19Y112 SLR_REGION_ID 0 TILEPROP NULL_X19Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y112 TILE_TYPE NULL TILEPROP NULL_X19Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y112 TILE_X -70886 TILEPROP NULL_X19Y112 TILE_Y 103672 TILEPROP NULL_X19Y112 TYPE NULL TILEPROP NULL_X19Y113 CLASS tile TILEPROP NULL_X19Y113 COLUMN 19 TILEPROP NULL_X19Y113 DEVICE_ID 0 TILEPROP NULL_X19Y113 FIRST_SITE_ID 4249 TILEPROP NULL_X19Y113 GRID_POINT_X 19 TILEPROP NULL_X19Y113 GRID_POINT_Y 43 TILEPROP NULL_X19Y113 INDEX 4964 TILEPROP NULL_X19Y113 INT_TILE_X 5 TILEPROP NULL_X19Y113 INT_TILE_Y 41 TILEPROP NULL_X19Y113 IS_CENTER_TILE 0 TILEPROP NULL_X19Y113 IS_DCM_TILE 0 TILEPROP NULL_X19Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y113 NAME NULL_X19Y113 TILEPROP NULL_X19Y113 NUM_ARCS 0 TILEPROP NULL_X19Y113 NUM_SITES 0 TILEPROP NULL_X19Y113 ROW 43 TILEPROP NULL_X19Y113 SLR_REGION_ID 0 TILEPROP NULL_X19Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y113 TILE_TYPE NULL TILEPROP NULL_X19Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y113 TILE_X -70886 TILEPROP NULL_X19Y113 TILE_Y 106872 TILEPROP NULL_X19Y113 TYPE NULL TILEPROP NULL_X19Y114 CLASS tile TILEPROP NULL_X19Y114 COLUMN 19 TILEPROP NULL_X19Y114 DEVICE_ID 0 TILEPROP NULL_X19Y114 FIRST_SITE_ID 4160 TILEPROP NULL_X19Y114 GRID_POINT_X 19 TILEPROP NULL_X19Y114 GRID_POINT_Y 42 TILEPROP NULL_X19Y114 INDEX 4849 TILEPROP NULL_X19Y114 INT_TILE_X 5 TILEPROP NULL_X19Y114 INT_TILE_Y 40 TILEPROP NULL_X19Y114 IS_CENTER_TILE 0 TILEPROP NULL_X19Y114 IS_DCM_TILE 0 TILEPROP NULL_X19Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y114 NAME NULL_X19Y114 TILEPROP NULL_X19Y114 NUM_ARCS 0 TILEPROP NULL_X19Y114 NUM_SITES 0 TILEPROP NULL_X19Y114 ROW 42 TILEPROP NULL_X19Y114 SLR_REGION_ID 0 TILEPROP NULL_X19Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y114 TILE_TYPE NULL TILEPROP NULL_X19Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y114 TILE_X -70886 TILEPROP NULL_X19Y114 TILE_Y 110072 TILEPROP NULL_X19Y114 TYPE NULL TILEPROP NULL_X19Y116 CLASS tile TILEPROP NULL_X19Y116 COLUMN 19 TILEPROP NULL_X19Y116 DEVICE_ID 0 TILEPROP NULL_X19Y116 FIRST_SITE_ID 3966 TILEPROP NULL_X19Y116 GRID_POINT_X 19 TILEPROP NULL_X19Y116 GRID_POINT_Y 40 TILEPROP NULL_X19Y116 INDEX 4619 TILEPROP NULL_X19Y116 INT_TILE_X 5 TILEPROP NULL_X19Y116 INT_TILE_Y 38 TILEPROP NULL_X19Y116 IS_CENTER_TILE 0 TILEPROP NULL_X19Y116 IS_DCM_TILE 0 TILEPROP NULL_X19Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y116 NAME NULL_X19Y116 TILEPROP NULL_X19Y116 NUM_ARCS 0 TILEPROP NULL_X19Y116 NUM_SITES 0 TILEPROP NULL_X19Y116 ROW 40 TILEPROP NULL_X19Y116 SLR_REGION_ID 0 TILEPROP NULL_X19Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y116 TILE_TYPE NULL TILEPROP NULL_X19Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y116 TILE_X -70886 TILEPROP NULL_X19Y116 TILE_Y 116472 TILEPROP NULL_X19Y116 TYPE NULL TILEPROP NULL_X19Y117 CLASS tile TILEPROP NULL_X19Y117 COLUMN 19 TILEPROP NULL_X19Y117 DEVICE_ID 0 TILEPROP NULL_X19Y117 FIRST_SITE_ID 3838 TILEPROP NULL_X19Y117 GRID_POINT_X 19 TILEPROP NULL_X19Y117 GRID_POINT_Y 39 TILEPROP NULL_X19Y117 INDEX 4504 TILEPROP NULL_X19Y117 INT_TILE_X 5 TILEPROP NULL_X19Y117 INT_TILE_Y 37 TILEPROP NULL_X19Y117 IS_CENTER_TILE 0 TILEPROP NULL_X19Y117 IS_DCM_TILE 0 TILEPROP NULL_X19Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y117 NAME NULL_X19Y117 TILEPROP NULL_X19Y117 NUM_ARCS 0 TILEPROP NULL_X19Y117 NUM_SITES 0 TILEPROP NULL_X19Y117 ROW 39 TILEPROP NULL_X19Y117 SLR_REGION_ID 0 TILEPROP NULL_X19Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y117 TILE_TYPE NULL TILEPROP NULL_X19Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y117 TILE_X -70886 TILEPROP NULL_X19Y117 TILE_Y 119672 TILEPROP NULL_X19Y117 TYPE NULL TILEPROP NULL_X19Y118 CLASS tile TILEPROP NULL_X19Y118 COLUMN 19 TILEPROP NULL_X19Y118 DEVICE_ID 0 TILEPROP NULL_X19Y118 FIRST_SITE_ID 3750 TILEPROP NULL_X19Y118 GRID_POINT_X 19 TILEPROP NULL_X19Y118 GRID_POINT_Y 38 TILEPROP NULL_X19Y118 INDEX 4389 TILEPROP NULL_X19Y118 INT_TILE_X 5 TILEPROP NULL_X19Y118 INT_TILE_Y 36 TILEPROP NULL_X19Y118 IS_CENTER_TILE 0 TILEPROP NULL_X19Y118 IS_DCM_TILE 0 TILEPROP NULL_X19Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y118 NAME NULL_X19Y118 TILEPROP NULL_X19Y118 NUM_ARCS 0 TILEPROP NULL_X19Y118 NUM_SITES 0 TILEPROP NULL_X19Y118 ROW 38 TILEPROP NULL_X19Y118 SLR_REGION_ID 0 TILEPROP NULL_X19Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y118 TILE_TYPE NULL TILEPROP NULL_X19Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y118 TILE_X -70886 TILEPROP NULL_X19Y118 TILE_Y 122872 TILEPROP NULL_X19Y118 TYPE NULL TILEPROP NULL_X19Y119 CLASS tile TILEPROP NULL_X19Y119 COLUMN 19 TILEPROP NULL_X19Y119 DEVICE_ID 0 TILEPROP NULL_X19Y119 FIRST_SITE_ID 3654 TILEPROP NULL_X19Y119 GRID_POINT_X 19 TILEPROP NULL_X19Y119 GRID_POINT_Y 37 TILEPROP NULL_X19Y119 INDEX 4274 TILEPROP NULL_X19Y119 INT_TILE_X 5 TILEPROP NULL_X19Y119 INT_TILE_Y 35 TILEPROP NULL_X19Y119 IS_CENTER_TILE 0 TILEPROP NULL_X19Y119 IS_DCM_TILE 0 TILEPROP NULL_X19Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y119 NAME NULL_X19Y119 TILEPROP NULL_X19Y119 NUM_ARCS 0 TILEPROP NULL_X19Y119 NUM_SITES 0 TILEPROP NULL_X19Y119 ROW 37 TILEPROP NULL_X19Y119 SLR_REGION_ID 0 TILEPROP NULL_X19Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y119 TILE_TYPE NULL TILEPROP NULL_X19Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y119 TILE_X -70886 TILEPROP NULL_X19Y119 TILE_Y 126072 TILEPROP NULL_X19Y119 TYPE NULL TILEPROP NULL_X19Y121 CLASS tile TILEPROP NULL_X19Y121 COLUMN 19 TILEPROP NULL_X19Y121 DEVICE_ID 0 TILEPROP NULL_X19Y121 FIRST_SITE_ID 3456 TILEPROP NULL_X19Y121 GRID_POINT_X 19 TILEPROP NULL_X19Y121 GRID_POINT_Y 35 TILEPROP NULL_X19Y121 INDEX 4044 TILEPROP NULL_X19Y121 INT_TILE_X 5 TILEPROP NULL_X19Y121 INT_TILE_Y 33 TILEPROP NULL_X19Y121 IS_CENTER_TILE 0 TILEPROP NULL_X19Y121 IS_DCM_TILE 0 TILEPROP NULL_X19Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y121 NAME NULL_X19Y121 TILEPROP NULL_X19Y121 NUM_ARCS 0 TILEPROP NULL_X19Y121 NUM_SITES 0 TILEPROP NULL_X19Y121 ROW 35 TILEPROP NULL_X19Y121 SLR_REGION_ID 0 TILEPROP NULL_X19Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y121 TILE_TYPE NULL TILEPROP NULL_X19Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y121 TILE_X -70886 TILEPROP NULL_X19Y121 TILE_Y 132472 TILEPROP NULL_X19Y121 TYPE NULL TILEPROP NULL_X19Y122 CLASS tile TILEPROP NULL_X19Y122 COLUMN 19 TILEPROP NULL_X19Y122 DEVICE_ID 0 TILEPROP NULL_X19Y122 FIRST_SITE_ID 3368 TILEPROP NULL_X19Y122 GRID_POINT_X 19 TILEPROP NULL_X19Y122 GRID_POINT_Y 34 TILEPROP NULL_X19Y122 INDEX 3929 TILEPROP NULL_X19Y122 INT_TILE_X 5 TILEPROP NULL_X19Y122 INT_TILE_Y 32 TILEPROP NULL_X19Y122 IS_CENTER_TILE 0 TILEPROP NULL_X19Y122 IS_DCM_TILE 0 TILEPROP NULL_X19Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y122 NAME NULL_X19Y122 TILEPROP NULL_X19Y122 NUM_ARCS 0 TILEPROP NULL_X19Y122 NUM_SITES 0 TILEPROP NULL_X19Y122 ROW 34 TILEPROP NULL_X19Y122 SLR_REGION_ID 0 TILEPROP NULL_X19Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y122 TILE_TYPE NULL TILEPROP NULL_X19Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y122 TILE_X -70886 TILEPROP NULL_X19Y122 TILE_Y 135672 TILEPROP NULL_X19Y122 TYPE NULL TILEPROP NULL_X19Y123 CLASS tile TILEPROP NULL_X19Y123 COLUMN 19 TILEPROP NULL_X19Y123 DEVICE_ID 0 TILEPROP NULL_X19Y123 FIRST_SITE_ID 3268 TILEPROP NULL_X19Y123 GRID_POINT_X 19 TILEPROP NULL_X19Y123 GRID_POINT_Y 33 TILEPROP NULL_X19Y123 INDEX 3814 TILEPROP NULL_X19Y123 INT_TILE_X 5 TILEPROP NULL_X19Y123 INT_TILE_Y 31 TILEPROP NULL_X19Y123 IS_CENTER_TILE 0 TILEPROP NULL_X19Y123 IS_DCM_TILE 0 TILEPROP NULL_X19Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y123 NAME NULL_X19Y123 TILEPROP NULL_X19Y123 NUM_ARCS 0 TILEPROP NULL_X19Y123 NUM_SITES 0 TILEPROP NULL_X19Y123 ROW 33 TILEPROP NULL_X19Y123 SLR_REGION_ID 0 TILEPROP NULL_X19Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y123 TILE_TYPE NULL TILEPROP NULL_X19Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y123 TILE_X -70886 TILEPROP NULL_X19Y123 TILE_Y 138872 TILEPROP NULL_X19Y123 TYPE NULL TILEPROP NULL_X19Y124 CLASS tile TILEPROP NULL_X19Y124 COLUMN 19 TILEPROP NULL_X19Y124 DEVICE_ID 0 TILEPROP NULL_X19Y124 FIRST_SITE_ID 3180 TILEPROP NULL_X19Y124 GRID_POINT_X 19 TILEPROP NULL_X19Y124 GRID_POINT_Y 32 TILEPROP NULL_X19Y124 INDEX 3699 TILEPROP NULL_X19Y124 INT_TILE_X 5 TILEPROP NULL_X19Y124 INT_TILE_Y 30 TILEPROP NULL_X19Y124 IS_CENTER_TILE 0 TILEPROP NULL_X19Y124 IS_DCM_TILE 0 TILEPROP NULL_X19Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y124 NAME NULL_X19Y124 TILEPROP NULL_X19Y124 NUM_ARCS 0 TILEPROP NULL_X19Y124 NUM_SITES 0 TILEPROP NULL_X19Y124 ROW 32 TILEPROP NULL_X19Y124 SLR_REGION_ID 0 TILEPROP NULL_X19Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y124 TILE_TYPE NULL TILEPROP NULL_X19Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y124 TILE_X -70886 TILEPROP NULL_X19Y124 TILE_Y 142072 TILEPROP NULL_X19Y124 TYPE NULL TILEPROP NULL_X19Y126 CLASS tile TILEPROP NULL_X19Y126 COLUMN 19 TILEPROP NULL_X19Y126 DEVICE_ID 0 TILEPROP NULL_X19Y126 FIRST_SITE_ID 2985 TILEPROP NULL_X19Y126 GRID_POINT_X 19 TILEPROP NULL_X19Y126 GRID_POINT_Y 30 TILEPROP NULL_X19Y126 INDEX 3469 TILEPROP NULL_X19Y126 INT_TILE_X 5 TILEPROP NULL_X19Y126 INT_TILE_Y 28 TILEPROP NULL_X19Y126 IS_CENTER_TILE 0 TILEPROP NULL_X19Y126 IS_DCM_TILE 0 TILEPROP NULL_X19Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y126 NAME NULL_X19Y126 TILEPROP NULL_X19Y126 NUM_ARCS 0 TILEPROP NULL_X19Y126 NUM_SITES 0 TILEPROP NULL_X19Y126 ROW 30 TILEPROP NULL_X19Y126 SLR_REGION_ID 0 TILEPROP NULL_X19Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y126 TILE_TYPE NULL TILEPROP NULL_X19Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y126 TILE_X -70886 TILEPROP NULL_X19Y126 TILE_Y 148472 TILEPROP NULL_X19Y126 TYPE NULL TILEPROP NULL_X19Y127 CLASS tile TILEPROP NULL_X19Y127 COLUMN 19 TILEPROP NULL_X19Y127 DEVICE_ID 0 TILEPROP NULL_X19Y127 FIRST_SITE_ID 2882 TILEPROP NULL_X19Y127 GRID_POINT_X 19 TILEPROP NULL_X19Y127 GRID_POINT_Y 29 TILEPROP NULL_X19Y127 INDEX 3354 TILEPROP NULL_X19Y127 INT_TILE_X 5 TILEPROP NULL_X19Y127 INT_TILE_Y 27 TILEPROP NULL_X19Y127 IS_CENTER_TILE 0 TILEPROP NULL_X19Y127 IS_DCM_TILE 0 TILEPROP NULL_X19Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y127 NAME NULL_X19Y127 TILEPROP NULL_X19Y127 NUM_ARCS 0 TILEPROP NULL_X19Y127 NUM_SITES 0 TILEPROP NULL_X19Y127 ROW 29 TILEPROP NULL_X19Y127 SLR_REGION_ID 0 TILEPROP NULL_X19Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y127 TILE_TYPE NULL TILEPROP NULL_X19Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y127 TILE_X -70886 TILEPROP NULL_X19Y127 TILE_Y 151672 TILEPROP NULL_X19Y127 TYPE NULL TILEPROP NULL_X19Y128 CLASS tile TILEPROP NULL_X19Y128 COLUMN 19 TILEPROP NULL_X19Y128 DEVICE_ID 0 TILEPROP NULL_X19Y128 FIRST_SITE_ID 2794 TILEPROP NULL_X19Y128 GRID_POINT_X 19 TILEPROP NULL_X19Y128 GRID_POINT_Y 28 TILEPROP NULL_X19Y128 INDEX 3239 TILEPROP NULL_X19Y128 INT_TILE_X 5 TILEPROP NULL_X19Y128 INT_TILE_Y 26 TILEPROP NULL_X19Y128 IS_CENTER_TILE 0 TILEPROP NULL_X19Y128 IS_DCM_TILE 0 TILEPROP NULL_X19Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y128 NAME NULL_X19Y128 TILEPROP NULL_X19Y128 NUM_ARCS 0 TILEPROP NULL_X19Y128 NUM_SITES 0 TILEPROP NULL_X19Y128 ROW 28 TILEPROP NULL_X19Y128 SLR_REGION_ID 0 TILEPROP NULL_X19Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y128 TILE_TYPE NULL TILEPROP NULL_X19Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y128 TILE_X -70886 TILEPROP NULL_X19Y128 TILE_Y 154872 TILEPROP NULL_X19Y128 TYPE NULL TILEPROP NULL_X19Y129 CLASS tile TILEPROP NULL_X19Y129 COLUMN 19 TILEPROP NULL_X19Y129 DEVICE_ID 0 TILEPROP NULL_X19Y129 FIRST_SITE_ID 2698 TILEPROP NULL_X19Y129 GRID_POINT_X 19 TILEPROP NULL_X19Y129 GRID_POINT_Y 27 TILEPROP NULL_X19Y129 INDEX 3124 TILEPROP NULL_X19Y129 INT_TILE_X 5 TILEPROP NULL_X19Y129 INT_TILE_Y 25 TILEPROP NULL_X19Y129 IS_CENTER_TILE 0 TILEPROP NULL_X19Y129 IS_DCM_TILE 0 TILEPROP NULL_X19Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y129 NAME NULL_X19Y129 TILEPROP NULL_X19Y129 NUM_ARCS 0 TILEPROP NULL_X19Y129 NUM_SITES 0 TILEPROP NULL_X19Y129 ROW 27 TILEPROP NULL_X19Y129 SLR_REGION_ID 0 TILEPROP NULL_X19Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y129 TILE_TYPE NULL TILEPROP NULL_X19Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y129 TILE_X -70886 TILEPROP NULL_X19Y129 TILE_Y 158072 TILEPROP NULL_X19Y129 TYPE NULL TILEPROP NULL_X19Y132 CLASS tile TILEPROP NULL_X19Y132 COLUMN 19 TILEPROP NULL_X19Y132 DEVICE_ID 0 TILEPROP NULL_X19Y132 FIRST_SITE_ID 2415 TILEPROP NULL_X19Y132 GRID_POINT_X 19 TILEPROP NULL_X19Y132 GRID_POINT_Y 24 TILEPROP NULL_X19Y132 INDEX 2779 TILEPROP NULL_X19Y132 INT_TILE_X 5 TILEPROP NULL_X19Y132 INT_TILE_Y 23 TILEPROP NULL_X19Y132 IS_CENTER_TILE 0 TILEPROP NULL_X19Y132 IS_DCM_TILE 0 TILEPROP NULL_X19Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y132 NAME NULL_X19Y132 TILEPROP NULL_X19Y132 NUM_ARCS 0 TILEPROP NULL_X19Y132 NUM_SITES 0 TILEPROP NULL_X19Y132 ROW 24 TILEPROP NULL_X19Y132 SLR_REGION_ID 0 TILEPROP NULL_X19Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y132 TILE_TYPE NULL TILEPROP NULL_X19Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y132 TILE_X -70886 TILEPROP NULL_X19Y132 TILE_Y 165496 TILEPROP NULL_X19Y132 TYPE NULL TILEPROP NULL_X19Y133 CLASS tile TILEPROP NULL_X19Y133 COLUMN 19 TILEPROP NULL_X19Y133 DEVICE_ID 0 TILEPROP NULL_X19Y133 FIRST_SITE_ID 2319 TILEPROP NULL_X19Y133 GRID_POINT_X 19 TILEPROP NULL_X19Y133 GRID_POINT_Y 23 TILEPROP NULL_X19Y133 INDEX 2664 TILEPROP NULL_X19Y133 INT_TILE_X 5 TILEPROP NULL_X19Y133 INT_TILE_Y 22 TILEPROP NULL_X19Y133 IS_CENTER_TILE 0 TILEPROP NULL_X19Y133 IS_DCM_TILE 0 TILEPROP NULL_X19Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y133 NAME NULL_X19Y133 TILEPROP NULL_X19Y133 NUM_ARCS 0 TILEPROP NULL_X19Y133 NUM_SITES 0 TILEPROP NULL_X19Y133 ROW 23 TILEPROP NULL_X19Y133 SLR_REGION_ID 0 TILEPROP NULL_X19Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y133 TILE_TYPE NULL TILEPROP NULL_X19Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y133 TILE_X -70886 TILEPROP NULL_X19Y133 TILE_Y 168696 TILEPROP NULL_X19Y133 TYPE NULL TILEPROP NULL_X19Y134 CLASS tile TILEPROP NULL_X19Y134 COLUMN 19 TILEPROP NULL_X19Y134 DEVICE_ID 0 TILEPROP NULL_X19Y134 FIRST_SITE_ID 2215 TILEPROP NULL_X19Y134 GRID_POINT_X 19 TILEPROP NULL_X19Y134 GRID_POINT_Y 22 TILEPROP NULL_X19Y134 INDEX 2549 TILEPROP NULL_X19Y134 INT_TILE_X 5 TILEPROP NULL_X19Y134 INT_TILE_Y 21 TILEPROP NULL_X19Y134 IS_CENTER_TILE 0 TILEPROP NULL_X19Y134 IS_DCM_TILE 0 TILEPROP NULL_X19Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y134 NAME NULL_X19Y134 TILEPROP NULL_X19Y134 NUM_ARCS 0 TILEPROP NULL_X19Y134 NUM_SITES 0 TILEPROP NULL_X19Y134 ROW 22 TILEPROP NULL_X19Y134 SLR_REGION_ID 0 TILEPROP NULL_X19Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y134 TILE_TYPE NULL TILEPROP NULL_X19Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y134 TILE_X -70886 TILEPROP NULL_X19Y134 TILE_Y 171896 TILEPROP NULL_X19Y134 TYPE NULL TILEPROP NULL_X19Y135 CLASS tile TILEPROP NULL_X19Y135 COLUMN 19 TILEPROP NULL_X19Y135 DEVICE_ID 0 TILEPROP NULL_X19Y135 FIRST_SITE_ID 2119 TILEPROP NULL_X19Y135 GRID_POINT_X 19 TILEPROP NULL_X19Y135 GRID_POINT_Y 21 TILEPROP NULL_X19Y135 INDEX 2434 TILEPROP NULL_X19Y135 INT_TILE_X 5 TILEPROP NULL_X19Y135 INT_TILE_Y 20 TILEPROP NULL_X19Y135 IS_CENTER_TILE 0 TILEPROP NULL_X19Y135 IS_DCM_TILE 0 TILEPROP NULL_X19Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y135 NAME NULL_X19Y135 TILEPROP NULL_X19Y135 NUM_ARCS 0 TILEPROP NULL_X19Y135 NUM_SITES 0 TILEPROP NULL_X19Y135 ROW 21 TILEPROP NULL_X19Y135 SLR_REGION_ID 0 TILEPROP NULL_X19Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y135 TILE_TYPE NULL TILEPROP NULL_X19Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y135 TILE_X -70886 TILEPROP NULL_X19Y135 TILE_Y 175096 TILEPROP NULL_X19Y135 TYPE NULL TILEPROP NULL_X19Y137 CLASS tile TILEPROP NULL_X19Y137 COLUMN 19 TILEPROP NULL_X19Y137 DEVICE_ID 0 TILEPROP NULL_X19Y137 FIRST_SITE_ID 1901 TILEPROP NULL_X19Y137 GRID_POINT_X 19 TILEPROP NULL_X19Y137 GRID_POINT_Y 19 TILEPROP NULL_X19Y137 INDEX 2204 TILEPROP NULL_X19Y137 INT_TILE_X 5 TILEPROP NULL_X19Y137 INT_TILE_Y 18 TILEPROP NULL_X19Y137 IS_CENTER_TILE 0 TILEPROP NULL_X19Y137 IS_DCM_TILE 0 TILEPROP NULL_X19Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y137 NAME NULL_X19Y137 TILEPROP NULL_X19Y137 NUM_ARCS 0 TILEPROP NULL_X19Y137 NUM_SITES 0 TILEPROP NULL_X19Y137 ROW 19 TILEPROP NULL_X19Y137 SLR_REGION_ID 0 TILEPROP NULL_X19Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y137 TILE_TYPE NULL TILEPROP NULL_X19Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y137 TILE_X -70886 TILEPROP NULL_X19Y137 TILE_Y 181496 TILEPROP NULL_X19Y137 TYPE NULL TILEPROP NULL_X19Y138 CLASS tile TILEPROP NULL_X19Y138 COLUMN 19 TILEPROP NULL_X19Y138 DEVICE_ID 0 TILEPROP NULL_X19Y138 FIRST_SITE_ID 1795 TILEPROP NULL_X19Y138 GRID_POINT_X 19 TILEPROP NULL_X19Y138 GRID_POINT_Y 18 TILEPROP NULL_X19Y138 INDEX 2089 TILEPROP NULL_X19Y138 INT_TILE_X 5 TILEPROP NULL_X19Y138 INT_TILE_Y 17 TILEPROP NULL_X19Y138 IS_CENTER_TILE 0 TILEPROP NULL_X19Y138 IS_DCM_TILE 0 TILEPROP NULL_X19Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y138 NAME NULL_X19Y138 TILEPROP NULL_X19Y138 NUM_ARCS 0 TILEPROP NULL_X19Y138 NUM_SITES 0 TILEPROP NULL_X19Y138 ROW 18 TILEPROP NULL_X19Y138 SLR_REGION_ID 0 TILEPROP NULL_X19Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y138 TILE_TYPE NULL TILEPROP NULL_X19Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y138 TILE_X -70886 TILEPROP NULL_X19Y138 TILE_Y 184696 TILEPROP NULL_X19Y138 TYPE NULL TILEPROP NULL_X19Y139 CLASS tile TILEPROP NULL_X19Y139 COLUMN 19 TILEPROP NULL_X19Y139 DEVICE_ID 0 TILEPROP NULL_X19Y139 FIRST_SITE_ID 1694 TILEPROP NULL_X19Y139 GRID_POINT_X 19 TILEPROP NULL_X19Y139 GRID_POINT_Y 17 TILEPROP NULL_X19Y139 INDEX 1974 TILEPROP NULL_X19Y139 INT_TILE_X 5 TILEPROP NULL_X19Y139 INT_TILE_Y 16 TILEPROP NULL_X19Y139 IS_CENTER_TILE 0 TILEPROP NULL_X19Y139 IS_DCM_TILE 0 TILEPROP NULL_X19Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y139 NAME NULL_X19Y139 TILEPROP NULL_X19Y139 NUM_ARCS 0 TILEPROP NULL_X19Y139 NUM_SITES 0 TILEPROP NULL_X19Y139 ROW 17 TILEPROP NULL_X19Y139 SLR_REGION_ID 0 TILEPROP NULL_X19Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y139 TILE_TYPE NULL TILEPROP NULL_X19Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y139 TILE_X -70886 TILEPROP NULL_X19Y139 TILE_Y 187896 TILEPROP NULL_X19Y139 TYPE NULL TILEPROP NULL_X19Y140 CLASS tile TILEPROP NULL_X19Y140 COLUMN 19 TILEPROP NULL_X19Y140 DEVICE_ID 0 TILEPROP NULL_X19Y140 FIRST_SITE_ID 1590 TILEPROP NULL_X19Y140 GRID_POINT_X 19 TILEPROP NULL_X19Y140 GRID_POINT_Y 16 TILEPROP NULL_X19Y140 INDEX 1859 TILEPROP NULL_X19Y140 INT_TILE_X 5 TILEPROP NULL_X19Y140 INT_TILE_Y 15 TILEPROP NULL_X19Y140 IS_CENTER_TILE 0 TILEPROP NULL_X19Y140 IS_DCM_TILE 0 TILEPROP NULL_X19Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y140 NAME NULL_X19Y140 TILEPROP NULL_X19Y140 NUM_ARCS 0 TILEPROP NULL_X19Y140 NUM_SITES 0 TILEPROP NULL_X19Y140 ROW 16 TILEPROP NULL_X19Y140 SLR_REGION_ID 0 TILEPROP NULL_X19Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y140 TILE_TYPE NULL TILEPROP NULL_X19Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y140 TILE_X -70886 TILEPROP NULL_X19Y140 TILE_Y 191096 TILEPROP NULL_X19Y140 TYPE NULL TILEPROP NULL_X19Y142 CLASS tile TILEPROP NULL_X19Y142 COLUMN 19 TILEPROP NULL_X19Y142 DEVICE_ID 0 TILEPROP NULL_X19Y142 FIRST_SITE_ID 1346 TILEPROP NULL_X19Y142 GRID_POINT_X 19 TILEPROP NULL_X19Y142 GRID_POINT_Y 14 TILEPROP NULL_X19Y142 INDEX 1629 TILEPROP NULL_X19Y142 INT_TILE_X 5 TILEPROP NULL_X19Y142 INT_TILE_Y 13 TILEPROP NULL_X19Y142 IS_CENTER_TILE 0 TILEPROP NULL_X19Y142 IS_DCM_TILE 0 TILEPROP NULL_X19Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y142 NAME NULL_X19Y142 TILEPROP NULL_X19Y142 NUM_ARCS 0 TILEPROP NULL_X19Y142 NUM_SITES 0 TILEPROP NULL_X19Y142 ROW 14 TILEPROP NULL_X19Y142 SLR_REGION_ID 0 TILEPROP NULL_X19Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y142 TILE_TYPE NULL TILEPROP NULL_X19Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y142 TILE_X -70886 TILEPROP NULL_X19Y142 TILE_Y 197496 TILEPROP NULL_X19Y142 TYPE NULL TILEPROP NULL_X19Y143 CLASS tile TILEPROP NULL_X19Y143 COLUMN 19 TILEPROP NULL_X19Y143 DEVICE_ID 0 TILEPROP NULL_X19Y143 FIRST_SITE_ID 1250 TILEPROP NULL_X19Y143 GRID_POINT_X 19 TILEPROP NULL_X19Y143 GRID_POINT_Y 13 TILEPROP NULL_X19Y143 INDEX 1514 TILEPROP NULL_X19Y143 INT_TILE_X 5 TILEPROP NULL_X19Y143 INT_TILE_Y 12 TILEPROP NULL_X19Y143 IS_CENTER_TILE 0 TILEPROP NULL_X19Y143 IS_DCM_TILE 0 TILEPROP NULL_X19Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y143 NAME NULL_X19Y143 TILEPROP NULL_X19Y143 NUM_ARCS 0 TILEPROP NULL_X19Y143 NUM_SITES 0 TILEPROP NULL_X19Y143 ROW 13 TILEPROP NULL_X19Y143 SLR_REGION_ID 0 TILEPROP NULL_X19Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y143 TILE_TYPE NULL TILEPROP NULL_X19Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y143 TILE_X -70886 TILEPROP NULL_X19Y143 TILE_Y 200696 TILEPROP NULL_X19Y143 TYPE NULL TILEPROP NULL_X19Y144 CLASS tile TILEPROP NULL_X19Y144 COLUMN 19 TILEPROP NULL_X19Y144 DEVICE_ID 0 TILEPROP NULL_X19Y144 FIRST_SITE_ID 1146 TILEPROP NULL_X19Y144 GRID_POINT_X 19 TILEPROP NULL_X19Y144 GRID_POINT_Y 12 TILEPROP NULL_X19Y144 INDEX 1399 TILEPROP NULL_X19Y144 INT_TILE_X 5 TILEPROP NULL_X19Y144 INT_TILE_Y 11 TILEPROP NULL_X19Y144 IS_CENTER_TILE 0 TILEPROP NULL_X19Y144 IS_DCM_TILE 0 TILEPROP NULL_X19Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y144 NAME NULL_X19Y144 TILEPROP NULL_X19Y144 NUM_ARCS 0 TILEPROP NULL_X19Y144 NUM_SITES 0 TILEPROP NULL_X19Y144 ROW 12 TILEPROP NULL_X19Y144 SLR_REGION_ID 0 TILEPROP NULL_X19Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y144 TILE_TYPE NULL TILEPROP NULL_X19Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y144 TILE_X -70886 TILEPROP NULL_X19Y144 TILE_Y 203896 TILEPROP NULL_X19Y144 TYPE NULL TILEPROP NULL_X19Y145 CLASS tile TILEPROP NULL_X19Y145 COLUMN 19 TILEPROP NULL_X19Y145 DEVICE_ID 0 TILEPROP NULL_X19Y145 FIRST_SITE_ID 1050 TILEPROP NULL_X19Y145 GRID_POINT_X 19 TILEPROP NULL_X19Y145 GRID_POINT_Y 11 TILEPROP NULL_X19Y145 INDEX 1284 TILEPROP NULL_X19Y145 INT_TILE_X 5 TILEPROP NULL_X19Y145 INT_TILE_Y 10 TILEPROP NULL_X19Y145 IS_CENTER_TILE 0 TILEPROP NULL_X19Y145 IS_DCM_TILE 0 TILEPROP NULL_X19Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y145 NAME NULL_X19Y145 TILEPROP NULL_X19Y145 NUM_ARCS 0 TILEPROP NULL_X19Y145 NUM_SITES 0 TILEPROP NULL_X19Y145 ROW 11 TILEPROP NULL_X19Y145 SLR_REGION_ID 0 TILEPROP NULL_X19Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y145 TILE_TYPE NULL TILEPROP NULL_X19Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y145 TILE_X -70886 TILEPROP NULL_X19Y145 TILE_Y 207096 TILEPROP NULL_X19Y145 TYPE NULL TILEPROP NULL_X19Y147 CLASS tile TILEPROP NULL_X19Y147 COLUMN 19 TILEPROP NULL_X19Y147 DEVICE_ID 0 TILEPROP NULL_X19Y147 FIRST_SITE_ID 838 TILEPROP NULL_X19Y147 GRID_POINT_X 19 TILEPROP NULL_X19Y147 GRID_POINT_Y 9 TILEPROP NULL_X19Y147 INDEX 1054 TILEPROP NULL_X19Y147 INT_TILE_X 5 TILEPROP NULL_X19Y147 INT_TILE_Y 8 TILEPROP NULL_X19Y147 IS_CENTER_TILE 0 TILEPROP NULL_X19Y147 IS_DCM_TILE 0 TILEPROP NULL_X19Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y147 NAME NULL_X19Y147 TILEPROP NULL_X19Y147 NUM_ARCS 0 TILEPROP NULL_X19Y147 NUM_SITES 0 TILEPROP NULL_X19Y147 ROW 9 TILEPROP NULL_X19Y147 SLR_REGION_ID 0 TILEPROP NULL_X19Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y147 TILE_TYPE NULL TILEPROP NULL_X19Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y147 TILE_X -70886 TILEPROP NULL_X19Y147 TILE_Y 213496 TILEPROP NULL_X19Y147 TYPE NULL TILEPROP NULL_X19Y148 CLASS tile TILEPROP NULL_X19Y148 COLUMN 19 TILEPROP NULL_X19Y148 DEVICE_ID 0 TILEPROP NULL_X19Y148 FIRST_SITE_ID 734 TILEPROP NULL_X19Y148 GRID_POINT_X 19 TILEPROP NULL_X19Y148 GRID_POINT_Y 8 TILEPROP NULL_X19Y148 INDEX 939 TILEPROP NULL_X19Y148 INT_TILE_X 5 TILEPROP NULL_X19Y148 INT_TILE_Y 7 TILEPROP NULL_X19Y148 IS_CENTER_TILE 0 TILEPROP NULL_X19Y148 IS_DCM_TILE 0 TILEPROP NULL_X19Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y148 NAME NULL_X19Y148 TILEPROP NULL_X19Y148 NUM_ARCS 0 TILEPROP NULL_X19Y148 NUM_SITES 0 TILEPROP NULL_X19Y148 ROW 8 TILEPROP NULL_X19Y148 SLR_REGION_ID 0 TILEPROP NULL_X19Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y148 TILE_TYPE NULL TILEPROP NULL_X19Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y148 TILE_X -70886 TILEPROP NULL_X19Y148 TILE_Y 216696 TILEPROP NULL_X19Y148 TYPE NULL TILEPROP NULL_X19Y149 CLASS tile TILEPROP NULL_X19Y149 COLUMN 19 TILEPROP NULL_X19Y149 DEVICE_ID 0 TILEPROP NULL_X19Y149 FIRST_SITE_ID 637 TILEPROP NULL_X19Y149 GRID_POINT_X 19 TILEPROP NULL_X19Y149 GRID_POINT_Y 7 TILEPROP NULL_X19Y149 INDEX 824 TILEPROP NULL_X19Y149 INT_TILE_X 5 TILEPROP NULL_X19Y149 INT_TILE_Y 6 TILEPROP NULL_X19Y149 IS_CENTER_TILE 0 TILEPROP NULL_X19Y149 IS_DCM_TILE 0 TILEPROP NULL_X19Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y149 NAME NULL_X19Y149 TILEPROP NULL_X19Y149 NUM_ARCS 0 TILEPROP NULL_X19Y149 NUM_SITES 0 TILEPROP NULL_X19Y149 ROW 7 TILEPROP NULL_X19Y149 SLR_REGION_ID 0 TILEPROP NULL_X19Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y149 TILE_TYPE NULL TILEPROP NULL_X19Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y149 TILE_X -70886 TILEPROP NULL_X19Y149 TILE_Y 219896 TILEPROP NULL_X19Y149 TYPE NULL TILEPROP NULL_X19Y150 CLASS tile TILEPROP NULL_X19Y150 COLUMN 19 TILEPROP NULL_X19Y150 DEVICE_ID 0 TILEPROP NULL_X19Y150 FIRST_SITE_ID 526 TILEPROP NULL_X19Y150 GRID_POINT_X 19 TILEPROP NULL_X19Y150 GRID_POINT_Y 6 TILEPROP NULL_X19Y150 INDEX 709 TILEPROP NULL_X19Y150 INT_TILE_X 5 TILEPROP NULL_X19Y150 INT_TILE_Y 5 TILEPROP NULL_X19Y150 IS_CENTER_TILE 0 TILEPROP NULL_X19Y150 IS_DCM_TILE 0 TILEPROP NULL_X19Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y150 NAME NULL_X19Y150 TILEPROP NULL_X19Y150 NUM_ARCS 0 TILEPROP NULL_X19Y150 NUM_SITES 0 TILEPROP NULL_X19Y150 ROW 6 TILEPROP NULL_X19Y150 SLR_REGION_ID 0 TILEPROP NULL_X19Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y150 TILE_TYPE NULL TILEPROP NULL_X19Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y150 TILE_X -70886 TILEPROP NULL_X19Y150 TILE_Y 223096 TILEPROP NULL_X19Y150 TYPE NULL TILEPROP NULL_X19Y152 CLASS tile TILEPROP NULL_X19Y152 COLUMN 19 TILEPROP NULL_X19Y152 DEVICE_ID 0 TILEPROP NULL_X19Y152 FIRST_SITE_ID 314 TILEPROP NULL_X19Y152 GRID_POINT_X 19 TILEPROP NULL_X19Y152 GRID_POINT_Y 4 TILEPROP NULL_X19Y152 INDEX 479 TILEPROP NULL_X19Y152 INT_TILE_X 5 TILEPROP NULL_X19Y152 INT_TILE_Y 3 TILEPROP NULL_X19Y152 IS_CENTER_TILE 0 TILEPROP NULL_X19Y152 IS_DCM_TILE 0 TILEPROP NULL_X19Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y152 NAME NULL_X19Y152 TILEPROP NULL_X19Y152 NUM_ARCS 0 TILEPROP NULL_X19Y152 NUM_SITES 0 TILEPROP NULL_X19Y152 ROW 4 TILEPROP NULL_X19Y152 SLR_REGION_ID 0 TILEPROP NULL_X19Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y152 TILE_TYPE NULL TILEPROP NULL_X19Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y152 TILE_X -70886 TILEPROP NULL_X19Y152 TILE_Y 229496 TILEPROP NULL_X19Y152 TYPE NULL TILEPROP NULL_X19Y153 CLASS tile TILEPROP NULL_X19Y153 COLUMN 19 TILEPROP NULL_X19Y153 DEVICE_ID 0 TILEPROP NULL_X19Y153 FIRST_SITE_ID 218 TILEPROP NULL_X19Y153 GRID_POINT_X 19 TILEPROP NULL_X19Y153 GRID_POINT_Y 3 TILEPROP NULL_X19Y153 INDEX 364 TILEPROP NULL_X19Y153 INT_TILE_X 5 TILEPROP NULL_X19Y153 INT_TILE_Y 2 TILEPROP NULL_X19Y153 IS_CENTER_TILE 0 TILEPROP NULL_X19Y153 IS_DCM_TILE 0 TILEPROP NULL_X19Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y153 NAME NULL_X19Y153 TILEPROP NULL_X19Y153 NUM_ARCS 0 TILEPROP NULL_X19Y153 NUM_SITES 0 TILEPROP NULL_X19Y153 ROW 3 TILEPROP NULL_X19Y153 SLR_REGION_ID 0 TILEPROP NULL_X19Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y153 TILE_TYPE NULL TILEPROP NULL_X19Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y153 TILE_X -70886 TILEPROP NULL_X19Y153 TILE_Y 232696 TILEPROP NULL_X19Y153 TYPE NULL TILEPROP NULL_X19Y154 CLASS tile TILEPROP NULL_X19Y154 COLUMN 19 TILEPROP NULL_X19Y154 DEVICE_ID 0 TILEPROP NULL_X19Y154 FIRST_SITE_ID 114 TILEPROP NULL_X19Y154 GRID_POINT_X 19 TILEPROP NULL_X19Y154 GRID_POINT_Y 2 TILEPROP NULL_X19Y154 INDEX 249 TILEPROP NULL_X19Y154 INT_TILE_X 5 TILEPROP NULL_X19Y154 INT_TILE_Y 1 TILEPROP NULL_X19Y154 IS_CENTER_TILE 0 TILEPROP NULL_X19Y154 IS_DCM_TILE 0 TILEPROP NULL_X19Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y154 NAME NULL_X19Y154 TILEPROP NULL_X19Y154 NUM_ARCS 0 TILEPROP NULL_X19Y154 NUM_SITES 0 TILEPROP NULL_X19Y154 ROW 2 TILEPROP NULL_X19Y154 SLR_REGION_ID 0 TILEPROP NULL_X19Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y154 TILE_TYPE NULL TILEPROP NULL_X19Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y154 TILE_X -70886 TILEPROP NULL_X19Y154 TILE_Y 235896 TILEPROP NULL_X19Y154 TYPE NULL TILEPROP NULL_X19Y155 CLASS tile TILEPROP NULL_X19Y155 COLUMN 19 TILEPROP NULL_X19Y155 DEVICE_ID 0 TILEPROP NULL_X19Y155 FIRST_SITE_ID 18 TILEPROP NULL_X19Y155 GRID_POINT_X 19 TILEPROP NULL_X19Y155 GRID_POINT_Y 1 TILEPROP NULL_X19Y155 INDEX 134 TILEPROP NULL_X19Y155 INT_TILE_X 5 TILEPROP NULL_X19Y155 INT_TILE_Y 0 TILEPROP NULL_X19Y155 IS_CENTER_TILE 0 TILEPROP NULL_X19Y155 IS_DCM_TILE 0 TILEPROP NULL_X19Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y155 NAME NULL_X19Y155 TILEPROP NULL_X19Y155 NUM_ARCS 0 TILEPROP NULL_X19Y155 NUM_SITES 0 TILEPROP NULL_X19Y155 ROW 1 TILEPROP NULL_X19Y155 SLR_REGION_ID 0 TILEPROP NULL_X19Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y155 TILE_TYPE NULL TILEPROP NULL_X19Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y155 TILE_X -70886 TILEPROP NULL_X19Y155 TILE_Y 239096 TILEPROP NULL_X19Y155 TYPE NULL TILEPROP NULL_X19Y156 CLASS tile TILEPROP NULL_X19Y156 COLUMN 19 TILEPROP NULL_X19Y156 DEVICE_ID 0 TILEPROP NULL_X19Y156 FIRST_SITE_ID 0 TILEPROP NULL_X19Y156 GRID_POINT_X 19 TILEPROP NULL_X19Y156 GRID_POINT_Y 0 TILEPROP NULL_X19Y156 INDEX 19 TILEPROP NULL_X19Y156 INT_TILE_X -1 TILEPROP NULL_X19Y156 INT_TILE_Y -1 TILEPROP NULL_X19Y156 IS_CENTER_TILE 0 TILEPROP NULL_X19Y156 IS_DCM_TILE 0 TILEPROP NULL_X19Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X19Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X19Y156 NAME NULL_X19Y156 TILEPROP NULL_X19Y156 NUM_ARCS 0 TILEPROP NULL_X19Y156 NUM_SITES 0 TILEPROP NULL_X19Y156 ROW 0 TILEPROP NULL_X19Y156 SLR_REGION_ID 0 TILEPROP NULL_X19Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X19Y156 TILE_TYPE NULL TILEPROP NULL_X19Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X19Y156 TILE_X -70886 TILEPROP NULL_X19Y156 TILE_Y 242296 TILEPROP NULL_X19Y156 TYPE NULL TILEPROP NULL_X1Y0 CLASS tile TILEPROP NULL_X1Y0 COLUMN 1 TILEPROP NULL_X1Y0 DEVICE_ID 0 TILEPROP NULL_X1Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X1Y0 GRID_POINT_X 1 TILEPROP NULL_X1Y0 GRID_POINT_Y 156 TILEPROP NULL_X1Y0 INDEX 17941 TILEPROP NULL_X1Y0 INT_TILE_X -1 TILEPROP NULL_X1Y0 INT_TILE_Y -1 TILEPROP NULL_X1Y0 IS_CENTER_TILE 0 TILEPROP NULL_X1Y0 IS_DCM_TILE 0 TILEPROP NULL_X1Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y0 NAME NULL_X1Y0 TILEPROP NULL_X1Y0 NUM_ARCS 0 TILEPROP NULL_X1Y0 NUM_SITES 0 TILEPROP NULL_X1Y0 ROW 156 TILEPROP NULL_X1Y0 SLR_REGION_ID 0 TILEPROP NULL_X1Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y0 TILE_TYPE NULL TILEPROP NULL_X1Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y0 TILE_X -101046 TILEPROP NULL_X1Y0 TILE_Y -244472 TILEPROP NULL_X1Y0 TYPE NULL TILEPROP NULL_X1Y3 CLASS tile TILEPROP NULL_X1Y3 COLUMN 1 TILEPROP NULL_X1Y3 DEVICE_ID 0 TILEPROP NULL_X1Y3 FIRST_SITE_ID 15501 TILEPROP NULL_X1Y3 GRID_POINT_X 1 TILEPROP NULL_X1Y3 GRID_POINT_Y 153 TILEPROP NULL_X1Y3 INDEX 17596 TILEPROP NULL_X1Y3 INT_TILE_X -1 TILEPROP NULL_X1Y3 INT_TILE_Y -1 TILEPROP NULL_X1Y3 IS_CENTER_TILE 0 TILEPROP NULL_X1Y3 IS_DCM_TILE 0 TILEPROP NULL_X1Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y3 NAME NULL_X1Y3 TILEPROP NULL_X1Y3 NUM_ARCS 0 TILEPROP NULL_X1Y3 NUM_SITES 0 TILEPROP NULL_X1Y3 ROW 153 TILEPROP NULL_X1Y3 SLR_REGION_ID 0 TILEPROP NULL_X1Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y3 TILE_TYPE NULL TILEPROP NULL_X1Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y3 TILE_X -101046 TILEPROP NULL_X1Y3 TILE_Y -234872 TILEPROP NULL_X1Y3 TYPE NULL TILEPROP NULL_X1Y5 CLASS tile TILEPROP NULL_X1Y5 COLUMN 1 TILEPROP NULL_X1Y5 DEVICE_ID 0 TILEPROP NULL_X1Y5 FIRST_SITE_ID 15301 TILEPROP NULL_X1Y5 GRID_POINT_X 1 TILEPROP NULL_X1Y5 GRID_POINT_Y 151 TILEPROP NULL_X1Y5 INDEX 17366 TILEPROP NULL_X1Y5 INT_TILE_X -1 TILEPROP NULL_X1Y5 INT_TILE_Y -1 TILEPROP NULL_X1Y5 IS_CENTER_TILE 0 TILEPROP NULL_X1Y5 IS_DCM_TILE 0 TILEPROP NULL_X1Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y5 NAME NULL_X1Y5 TILEPROP NULL_X1Y5 NUM_ARCS 0 TILEPROP NULL_X1Y5 NUM_SITES 0 TILEPROP NULL_X1Y5 ROW 151 TILEPROP NULL_X1Y5 SLR_REGION_ID 0 TILEPROP NULL_X1Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y5 TILE_TYPE NULL TILEPROP NULL_X1Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y5 TILE_X -101046 TILEPROP NULL_X1Y5 TILE_Y -228472 TILEPROP NULL_X1Y5 TYPE NULL TILEPROP NULL_X1Y7 CLASS tile TILEPROP NULL_X1Y7 COLUMN 1 TILEPROP NULL_X1Y7 DEVICE_ID 0 TILEPROP NULL_X1Y7 FIRST_SITE_ID 15086 TILEPROP NULL_X1Y7 GRID_POINT_X 1 TILEPROP NULL_X1Y7 GRID_POINT_Y 149 TILEPROP NULL_X1Y7 INDEX 17136 TILEPROP NULL_X1Y7 INT_TILE_X -1 TILEPROP NULL_X1Y7 INT_TILE_Y -1 TILEPROP NULL_X1Y7 IS_CENTER_TILE 0 TILEPROP NULL_X1Y7 IS_DCM_TILE 0 TILEPROP NULL_X1Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y7 NAME NULL_X1Y7 TILEPROP NULL_X1Y7 NUM_ARCS 0 TILEPROP NULL_X1Y7 NUM_SITES 0 TILEPROP NULL_X1Y7 ROW 149 TILEPROP NULL_X1Y7 SLR_REGION_ID 0 TILEPROP NULL_X1Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y7 TILE_TYPE NULL TILEPROP NULL_X1Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y7 TILE_X -101046 TILEPROP NULL_X1Y7 TILE_Y -222072 TILEPROP NULL_X1Y7 TYPE NULL TILEPROP NULL_X1Y9 CLASS tile TILEPROP NULL_X1Y9 COLUMN 1 TILEPROP NULL_X1Y9 DEVICE_ID 0 TILEPROP NULL_X1Y9 FIRST_SITE_ID 14880 TILEPROP NULL_X1Y9 GRID_POINT_X 1 TILEPROP NULL_X1Y9 GRID_POINT_Y 147 TILEPROP NULL_X1Y9 INDEX 16906 TILEPROP NULL_X1Y9 INT_TILE_X -1 TILEPROP NULL_X1Y9 INT_TILE_Y -1 TILEPROP NULL_X1Y9 IS_CENTER_TILE 0 TILEPROP NULL_X1Y9 IS_DCM_TILE 0 TILEPROP NULL_X1Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y9 NAME NULL_X1Y9 TILEPROP NULL_X1Y9 NUM_ARCS 0 TILEPROP NULL_X1Y9 NUM_SITES 0 TILEPROP NULL_X1Y9 ROW 147 TILEPROP NULL_X1Y9 SLR_REGION_ID 0 TILEPROP NULL_X1Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y9 TILE_TYPE NULL TILEPROP NULL_X1Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y9 TILE_X -101046 TILEPROP NULL_X1Y9 TILE_Y -215672 TILEPROP NULL_X1Y9 TYPE NULL TILEPROP NULL_X1Y11 CLASS tile TILEPROP NULL_X1Y11 COLUMN 1 TILEPROP NULL_X1Y11 DEVICE_ID 0 TILEPROP NULL_X1Y11 FIRST_SITE_ID 14665 TILEPROP NULL_X1Y11 GRID_POINT_X 1 TILEPROP NULL_X1Y11 GRID_POINT_Y 145 TILEPROP NULL_X1Y11 INDEX 16676 TILEPROP NULL_X1Y11 INT_TILE_X -1 TILEPROP NULL_X1Y11 INT_TILE_Y -1 TILEPROP NULL_X1Y11 IS_CENTER_TILE 0 TILEPROP NULL_X1Y11 IS_DCM_TILE 0 TILEPROP NULL_X1Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y11 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y11 NAME NULL_X1Y11 TILEPROP NULL_X1Y11 NUM_ARCS 0 TILEPROP NULL_X1Y11 NUM_SITES 0 TILEPROP NULL_X1Y11 ROW 145 TILEPROP NULL_X1Y11 SLR_REGION_ID 0 TILEPROP NULL_X1Y11 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y11 TILE_TYPE NULL TILEPROP NULL_X1Y11 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y11 TILE_X -101046 TILEPROP NULL_X1Y11 TILE_Y -209272 TILEPROP NULL_X1Y11 TYPE NULL TILEPROP NULL_X1Y13 CLASS tile TILEPROP NULL_X1Y13 COLUMN 1 TILEPROP NULL_X1Y13 DEVICE_ID 0 TILEPROP NULL_X1Y13 FIRST_SITE_ID 14433 TILEPROP NULL_X1Y13 GRID_POINT_X 1 TILEPROP NULL_X1Y13 GRID_POINT_Y 143 TILEPROP NULL_X1Y13 INDEX 16446 TILEPROP NULL_X1Y13 INT_TILE_X -1 TILEPROP NULL_X1Y13 INT_TILE_Y -1 TILEPROP NULL_X1Y13 IS_CENTER_TILE 0 TILEPROP NULL_X1Y13 IS_DCM_TILE 0 TILEPROP NULL_X1Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y13 NAME NULL_X1Y13 TILEPROP NULL_X1Y13 NUM_ARCS 0 TILEPROP NULL_X1Y13 NUM_SITES 0 TILEPROP NULL_X1Y13 ROW 143 TILEPROP NULL_X1Y13 SLR_REGION_ID 0 TILEPROP NULL_X1Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y13 TILE_TYPE NULL TILEPROP NULL_X1Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y13 TILE_X -101046 TILEPROP NULL_X1Y13 TILE_Y -202872 TILEPROP NULL_X1Y13 TYPE NULL TILEPROP NULL_X1Y15 CLASS tile TILEPROP NULL_X1Y15 COLUMN 1 TILEPROP NULL_X1Y15 DEVICE_ID 0 TILEPROP NULL_X1Y15 FIRST_SITE_ID 14233 TILEPROP NULL_X1Y15 GRID_POINT_X 1 TILEPROP NULL_X1Y15 GRID_POINT_Y 141 TILEPROP NULL_X1Y15 INDEX 16216 TILEPROP NULL_X1Y15 INT_TILE_X -1 TILEPROP NULL_X1Y15 INT_TILE_Y -1 TILEPROP NULL_X1Y15 IS_CENTER_TILE 0 TILEPROP NULL_X1Y15 IS_DCM_TILE 0 TILEPROP NULL_X1Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y15 NAME NULL_X1Y15 TILEPROP NULL_X1Y15 NUM_ARCS 0 TILEPROP NULL_X1Y15 NUM_SITES 0 TILEPROP NULL_X1Y15 ROW 141 TILEPROP NULL_X1Y15 SLR_REGION_ID 0 TILEPROP NULL_X1Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y15 TILE_TYPE NULL TILEPROP NULL_X1Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y15 TILE_X -101046 TILEPROP NULL_X1Y15 TILE_Y -196472 TILEPROP NULL_X1Y15 TYPE NULL TILEPROP NULL_X1Y17 CLASS tile TILEPROP NULL_X1Y17 COLUMN 1 TILEPROP NULL_X1Y17 DEVICE_ID 0 TILEPROP NULL_X1Y17 FIRST_SITE_ID 14018 TILEPROP NULL_X1Y17 GRID_POINT_X 1 TILEPROP NULL_X1Y17 GRID_POINT_Y 139 TILEPROP NULL_X1Y17 INDEX 15986 TILEPROP NULL_X1Y17 INT_TILE_X -1 TILEPROP NULL_X1Y17 INT_TILE_Y -1 TILEPROP NULL_X1Y17 IS_CENTER_TILE 0 TILEPROP NULL_X1Y17 IS_DCM_TILE 0 TILEPROP NULL_X1Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y17 NAME NULL_X1Y17 TILEPROP NULL_X1Y17 NUM_ARCS 0 TILEPROP NULL_X1Y17 NUM_SITES 0 TILEPROP NULL_X1Y17 ROW 139 TILEPROP NULL_X1Y17 SLR_REGION_ID 0 TILEPROP NULL_X1Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y17 TILE_TYPE NULL TILEPROP NULL_X1Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y17 TILE_X -101046 TILEPROP NULL_X1Y17 TILE_Y -190072 TILEPROP NULL_X1Y17 TYPE NULL TILEPROP NULL_X1Y19 CLASS tile TILEPROP NULL_X1Y19 COLUMN 1 TILEPROP NULL_X1Y19 DEVICE_ID 0 TILEPROP NULL_X1Y19 FIRST_SITE_ID 13809 TILEPROP NULL_X1Y19 GRID_POINT_X 1 TILEPROP NULL_X1Y19 GRID_POINT_Y 137 TILEPROP NULL_X1Y19 INDEX 15756 TILEPROP NULL_X1Y19 INT_TILE_X -1 TILEPROP NULL_X1Y19 INT_TILE_Y -1 TILEPROP NULL_X1Y19 IS_CENTER_TILE 0 TILEPROP NULL_X1Y19 IS_DCM_TILE 0 TILEPROP NULL_X1Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y19 NAME NULL_X1Y19 TILEPROP NULL_X1Y19 NUM_ARCS 0 TILEPROP NULL_X1Y19 NUM_SITES 0 TILEPROP NULL_X1Y19 ROW 137 TILEPROP NULL_X1Y19 SLR_REGION_ID 0 TILEPROP NULL_X1Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y19 TILE_TYPE NULL TILEPROP NULL_X1Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y19 TILE_X -101046 TILEPROP NULL_X1Y19 TILE_Y -183672 TILEPROP NULL_X1Y19 TYPE NULL TILEPROP NULL_X1Y21 CLASS tile TILEPROP NULL_X1Y21 COLUMN 1 TILEPROP NULL_X1Y21 DEVICE_ID 0 TILEPROP NULL_X1Y21 FIRST_SITE_ID 13590 TILEPROP NULL_X1Y21 GRID_POINT_X 1 TILEPROP NULL_X1Y21 GRID_POINT_Y 135 TILEPROP NULL_X1Y21 INDEX 15526 TILEPROP NULL_X1Y21 INT_TILE_X -1 TILEPROP NULL_X1Y21 INT_TILE_Y -1 TILEPROP NULL_X1Y21 IS_CENTER_TILE 0 TILEPROP NULL_X1Y21 IS_DCM_TILE 0 TILEPROP NULL_X1Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y21 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y21 NAME NULL_X1Y21 TILEPROP NULL_X1Y21 NUM_ARCS 0 TILEPROP NULL_X1Y21 NUM_SITES 0 TILEPROP NULL_X1Y21 ROW 135 TILEPROP NULL_X1Y21 SLR_REGION_ID 0 TILEPROP NULL_X1Y21 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y21 TILE_TYPE NULL TILEPROP NULL_X1Y21 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y21 TILE_X -101046 TILEPROP NULL_X1Y21 TILE_Y -177272 TILEPROP NULL_X1Y21 TYPE NULL TILEPROP NULL_X1Y23 CLASS tile TILEPROP NULL_X1Y23 COLUMN 1 TILEPROP NULL_X1Y23 DEVICE_ID 0 TILEPROP NULL_X1Y23 FIRST_SITE_ID 13390 TILEPROP NULL_X1Y23 GRID_POINT_X 1 TILEPROP NULL_X1Y23 GRID_POINT_Y 133 TILEPROP NULL_X1Y23 INDEX 15296 TILEPROP NULL_X1Y23 INT_TILE_X -1 TILEPROP NULL_X1Y23 INT_TILE_Y -1 TILEPROP NULL_X1Y23 IS_CENTER_TILE 0 TILEPROP NULL_X1Y23 IS_DCM_TILE 0 TILEPROP NULL_X1Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y23 NAME NULL_X1Y23 TILEPROP NULL_X1Y23 NUM_ARCS 0 TILEPROP NULL_X1Y23 NUM_SITES 0 TILEPROP NULL_X1Y23 ROW 133 TILEPROP NULL_X1Y23 SLR_REGION_ID 0 TILEPROP NULL_X1Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y23 TILE_TYPE NULL TILEPROP NULL_X1Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y23 TILE_X -101046 TILEPROP NULL_X1Y23 TILE_Y -170872 TILEPROP NULL_X1Y23 TYPE NULL TILEPROP NULL_X1Y25 CLASS tile TILEPROP NULL_X1Y25 COLUMN 1 TILEPROP NULL_X1Y25 DEVICE_ID 0 TILEPROP NULL_X1Y25 FIRST_SITE_ID 13190 TILEPROP NULL_X1Y25 GRID_POINT_X 1 TILEPROP NULL_X1Y25 GRID_POINT_Y 131 TILEPROP NULL_X1Y25 INDEX 15066 TILEPROP NULL_X1Y25 INT_TILE_X -1 TILEPROP NULL_X1Y25 INT_TILE_Y -1 TILEPROP NULL_X1Y25 IS_CENTER_TILE 0 TILEPROP NULL_X1Y25 IS_DCM_TILE 0 TILEPROP NULL_X1Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y25 NAME NULL_X1Y25 TILEPROP NULL_X1Y25 NUM_ARCS 0 TILEPROP NULL_X1Y25 NUM_SITES 0 TILEPROP NULL_X1Y25 ROW 131 TILEPROP NULL_X1Y25 SLR_REGION_ID 0 TILEPROP NULL_X1Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y25 TILE_TYPE NULL TILEPROP NULL_X1Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y25 TILE_X -101046 TILEPROP NULL_X1Y25 TILE_Y -164472 TILEPROP NULL_X1Y25 TYPE NULL TILEPROP NULL_X1Y28 CLASS tile TILEPROP NULL_X1Y28 COLUMN 1 TILEPROP NULL_X1Y28 DEVICE_ID 0 TILEPROP NULL_X1Y28 FIRST_SITE_ID 12892 TILEPROP NULL_X1Y28 GRID_POINT_X 1 TILEPROP NULL_X1Y28 GRID_POINT_Y 128 TILEPROP NULL_X1Y28 INDEX 14721 TILEPROP NULL_X1Y28 INT_TILE_X -1 TILEPROP NULL_X1Y28 INT_TILE_Y -1 TILEPROP NULL_X1Y28 IS_CENTER_TILE 0 TILEPROP NULL_X1Y28 IS_DCM_TILE 0 TILEPROP NULL_X1Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y28 NAME NULL_X1Y28 TILEPROP NULL_X1Y28 NUM_ARCS 0 TILEPROP NULL_X1Y28 NUM_SITES 0 TILEPROP NULL_X1Y28 ROW 128 TILEPROP NULL_X1Y28 SLR_REGION_ID 0 TILEPROP NULL_X1Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y28 TILE_TYPE NULL TILEPROP NULL_X1Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y28 TILE_X -101046 TILEPROP NULL_X1Y28 TILE_Y -157048 TILEPROP NULL_X1Y28 TYPE NULL TILEPROP NULL_X1Y30 CLASS tile TILEPROP NULL_X1Y30 COLUMN 1 TILEPROP NULL_X1Y30 DEVICE_ID 0 TILEPROP NULL_X1Y30 FIRST_SITE_ID 12692 TILEPROP NULL_X1Y30 GRID_POINT_X 1 TILEPROP NULL_X1Y30 GRID_POINT_Y 126 TILEPROP NULL_X1Y30 INDEX 14491 TILEPROP NULL_X1Y30 INT_TILE_X -1 TILEPROP NULL_X1Y30 INT_TILE_Y -1 TILEPROP NULL_X1Y30 IS_CENTER_TILE 0 TILEPROP NULL_X1Y30 IS_DCM_TILE 0 TILEPROP NULL_X1Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y30 NAME NULL_X1Y30 TILEPROP NULL_X1Y30 NUM_ARCS 0 TILEPROP NULL_X1Y30 NUM_SITES 0 TILEPROP NULL_X1Y30 ROW 126 TILEPROP NULL_X1Y30 SLR_REGION_ID 0 TILEPROP NULL_X1Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y30 TILE_TYPE NULL TILEPROP NULL_X1Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y30 TILE_X -101046 TILEPROP NULL_X1Y30 TILE_Y -150648 TILEPROP NULL_X1Y30 TYPE NULL TILEPROP NULL_X1Y32 CLASS tile TILEPROP NULL_X1Y32 COLUMN 1 TILEPROP NULL_X1Y32 DEVICE_ID 0 TILEPROP NULL_X1Y32 FIRST_SITE_ID 12452 TILEPROP NULL_X1Y32 GRID_POINT_X 1 TILEPROP NULL_X1Y32 GRID_POINT_Y 124 TILEPROP NULL_X1Y32 INDEX 14261 TILEPROP NULL_X1Y32 INT_TILE_X -1 TILEPROP NULL_X1Y32 INT_TILE_Y -1 TILEPROP NULL_X1Y32 IS_CENTER_TILE 0 TILEPROP NULL_X1Y32 IS_DCM_TILE 0 TILEPROP NULL_X1Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y32 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y32 NAME NULL_X1Y32 TILEPROP NULL_X1Y32 NUM_ARCS 0 TILEPROP NULL_X1Y32 NUM_SITES 0 TILEPROP NULL_X1Y32 ROW 124 TILEPROP NULL_X1Y32 SLR_REGION_ID 0 TILEPROP NULL_X1Y32 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y32 TILE_TYPE NULL TILEPROP NULL_X1Y32 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y32 TILE_X -101046 TILEPROP NULL_X1Y32 TILE_Y -144248 TILEPROP NULL_X1Y32 TYPE NULL TILEPROP NULL_X1Y34 CLASS tile TILEPROP NULL_X1Y34 COLUMN 1 TILEPROP NULL_X1Y34 DEVICE_ID 0 TILEPROP NULL_X1Y34 FIRST_SITE_ID 12247 TILEPROP NULL_X1Y34 GRID_POINT_X 1 TILEPROP NULL_X1Y34 GRID_POINT_Y 122 TILEPROP NULL_X1Y34 INDEX 14031 TILEPROP NULL_X1Y34 INT_TILE_X -1 TILEPROP NULL_X1Y34 INT_TILE_Y -1 TILEPROP NULL_X1Y34 IS_CENTER_TILE 0 TILEPROP NULL_X1Y34 IS_DCM_TILE 0 TILEPROP NULL_X1Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y34 NAME NULL_X1Y34 TILEPROP NULL_X1Y34 NUM_ARCS 0 TILEPROP NULL_X1Y34 NUM_SITES 0 TILEPROP NULL_X1Y34 ROW 122 TILEPROP NULL_X1Y34 SLR_REGION_ID 0 TILEPROP NULL_X1Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y34 TILE_TYPE NULL TILEPROP NULL_X1Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y34 TILE_X -101046 TILEPROP NULL_X1Y34 TILE_Y -137848 TILEPROP NULL_X1Y34 TYPE NULL TILEPROP NULL_X1Y36 CLASS tile TILEPROP NULL_X1Y36 COLUMN 1 TILEPROP NULL_X1Y36 DEVICE_ID 0 TILEPROP NULL_X1Y36 FIRST_SITE_ID 12047 TILEPROP NULL_X1Y36 GRID_POINT_X 1 TILEPROP NULL_X1Y36 GRID_POINT_Y 120 TILEPROP NULL_X1Y36 INDEX 13801 TILEPROP NULL_X1Y36 INT_TILE_X -1 TILEPROP NULL_X1Y36 INT_TILE_Y -1 TILEPROP NULL_X1Y36 IS_CENTER_TILE 0 TILEPROP NULL_X1Y36 IS_DCM_TILE 0 TILEPROP NULL_X1Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y36 NAME NULL_X1Y36 TILEPROP NULL_X1Y36 NUM_ARCS 0 TILEPROP NULL_X1Y36 NUM_SITES 0 TILEPROP NULL_X1Y36 ROW 120 TILEPROP NULL_X1Y36 SLR_REGION_ID 0 TILEPROP NULL_X1Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y36 TILE_TYPE NULL TILEPROP NULL_X1Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y36 TILE_X -101046 TILEPROP NULL_X1Y36 TILE_Y -131448 TILEPROP NULL_X1Y36 TYPE NULL TILEPROP NULL_X1Y38 CLASS tile TILEPROP NULL_X1Y38 COLUMN 1 TILEPROP NULL_X1Y38 DEVICE_ID 0 TILEPROP NULL_X1Y38 FIRST_SITE_ID 11800 TILEPROP NULL_X1Y38 GRID_POINT_X 1 TILEPROP NULL_X1Y38 GRID_POINT_Y 118 TILEPROP NULL_X1Y38 INDEX 13571 TILEPROP NULL_X1Y38 INT_TILE_X -1 TILEPROP NULL_X1Y38 INT_TILE_Y -1 TILEPROP NULL_X1Y38 IS_CENTER_TILE 0 TILEPROP NULL_X1Y38 IS_DCM_TILE 0 TILEPROP NULL_X1Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y38 NAME NULL_X1Y38 TILEPROP NULL_X1Y38 NUM_ARCS 0 TILEPROP NULL_X1Y38 NUM_SITES 0 TILEPROP NULL_X1Y38 ROW 118 TILEPROP NULL_X1Y38 SLR_REGION_ID 0 TILEPROP NULL_X1Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y38 TILE_TYPE NULL TILEPROP NULL_X1Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y38 TILE_X -101046 TILEPROP NULL_X1Y38 TILE_Y -125048 TILEPROP NULL_X1Y38 TYPE NULL TILEPROP NULL_X1Y40 CLASS tile TILEPROP NULL_X1Y40 COLUMN 1 TILEPROP NULL_X1Y40 DEVICE_ID 0 TILEPROP NULL_X1Y40 FIRST_SITE_ID 11600 TILEPROP NULL_X1Y40 GRID_POINT_X 1 TILEPROP NULL_X1Y40 GRID_POINT_Y 116 TILEPROP NULL_X1Y40 INDEX 13341 TILEPROP NULL_X1Y40 INT_TILE_X -1 TILEPROP NULL_X1Y40 INT_TILE_Y -1 TILEPROP NULL_X1Y40 IS_CENTER_TILE 0 TILEPROP NULL_X1Y40 IS_DCM_TILE 0 TILEPROP NULL_X1Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y40 NAME NULL_X1Y40 TILEPROP NULL_X1Y40 NUM_ARCS 0 TILEPROP NULL_X1Y40 NUM_SITES 0 TILEPROP NULL_X1Y40 ROW 116 TILEPROP NULL_X1Y40 SLR_REGION_ID 0 TILEPROP NULL_X1Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y40 TILE_TYPE NULL TILEPROP NULL_X1Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y40 TILE_X -101046 TILEPROP NULL_X1Y40 TILE_Y -118648 TILEPROP NULL_X1Y40 TYPE NULL TILEPROP NULL_X1Y42 CLASS tile TILEPROP NULL_X1Y42 COLUMN 1 TILEPROP NULL_X1Y42 DEVICE_ID 0 TILEPROP NULL_X1Y42 FIRST_SITE_ID 11383 TILEPROP NULL_X1Y42 GRID_POINT_X 1 TILEPROP NULL_X1Y42 GRID_POINT_Y 114 TILEPROP NULL_X1Y42 INDEX 13111 TILEPROP NULL_X1Y42 INT_TILE_X -1 TILEPROP NULL_X1Y42 INT_TILE_Y -1 TILEPROP NULL_X1Y42 IS_CENTER_TILE 0 TILEPROP NULL_X1Y42 IS_DCM_TILE 0 TILEPROP NULL_X1Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y42 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y42 NAME NULL_X1Y42 TILEPROP NULL_X1Y42 NUM_ARCS 0 TILEPROP NULL_X1Y42 NUM_SITES 0 TILEPROP NULL_X1Y42 ROW 114 TILEPROP NULL_X1Y42 SLR_REGION_ID 0 TILEPROP NULL_X1Y42 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y42 TILE_TYPE NULL TILEPROP NULL_X1Y42 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y42 TILE_X -101046 TILEPROP NULL_X1Y42 TILE_Y -112248 TILEPROP NULL_X1Y42 TYPE NULL TILEPROP NULL_X1Y44 CLASS tile TILEPROP NULL_X1Y44 COLUMN 1 TILEPROP NULL_X1Y44 DEVICE_ID 0 TILEPROP NULL_X1Y44 FIRST_SITE_ID 11180 TILEPROP NULL_X1Y44 GRID_POINT_X 1 TILEPROP NULL_X1Y44 GRID_POINT_Y 112 TILEPROP NULL_X1Y44 INDEX 12881 TILEPROP NULL_X1Y44 INT_TILE_X -1 TILEPROP NULL_X1Y44 INT_TILE_Y -1 TILEPROP NULL_X1Y44 IS_CENTER_TILE 0 TILEPROP NULL_X1Y44 IS_DCM_TILE 0 TILEPROP NULL_X1Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y44 NAME NULL_X1Y44 TILEPROP NULL_X1Y44 NUM_ARCS 0 TILEPROP NULL_X1Y44 NUM_SITES 0 TILEPROP NULL_X1Y44 ROW 112 TILEPROP NULL_X1Y44 SLR_REGION_ID 0 TILEPROP NULL_X1Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y44 TILE_TYPE NULL TILEPROP NULL_X1Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y44 TILE_X -101046 TILEPROP NULL_X1Y44 TILE_Y -105848 TILEPROP NULL_X1Y44 TYPE NULL TILEPROP NULL_X1Y46 CLASS tile TILEPROP NULL_X1Y46 COLUMN 1 TILEPROP NULL_X1Y46 DEVICE_ID 0 TILEPROP NULL_X1Y46 FIRST_SITE_ID 10976 TILEPROP NULL_X1Y46 GRID_POINT_X 1 TILEPROP NULL_X1Y46 GRID_POINT_Y 110 TILEPROP NULL_X1Y46 INDEX 12651 TILEPROP NULL_X1Y46 INT_TILE_X -1 TILEPROP NULL_X1Y46 INT_TILE_Y -1 TILEPROP NULL_X1Y46 IS_CENTER_TILE 0 TILEPROP NULL_X1Y46 IS_DCM_TILE 0 TILEPROP NULL_X1Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y46 NAME NULL_X1Y46 TILEPROP NULL_X1Y46 NUM_ARCS 0 TILEPROP NULL_X1Y46 NUM_SITES 0 TILEPROP NULL_X1Y46 ROW 110 TILEPROP NULL_X1Y46 SLR_REGION_ID 0 TILEPROP NULL_X1Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y46 TILE_TYPE NULL TILEPROP NULL_X1Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y46 TILE_X -101046 TILEPROP NULL_X1Y46 TILE_Y -99448 TILEPROP NULL_X1Y46 TYPE NULL TILEPROP NULL_X1Y48 CLASS tile TILEPROP NULL_X1Y48 COLUMN 1 TILEPROP NULL_X1Y48 DEVICE_ID 0 TILEPROP NULL_X1Y48 FIRST_SITE_ID 10744 TILEPROP NULL_X1Y48 GRID_POINT_X 1 TILEPROP NULL_X1Y48 GRID_POINT_Y 108 TILEPROP NULL_X1Y48 INDEX 12421 TILEPROP NULL_X1Y48 INT_TILE_X -1 TILEPROP NULL_X1Y48 INT_TILE_Y -1 TILEPROP NULL_X1Y48 IS_CENTER_TILE 0 TILEPROP NULL_X1Y48 IS_DCM_TILE 0 TILEPROP NULL_X1Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y48 NAME NULL_X1Y48 TILEPROP NULL_X1Y48 NUM_ARCS 0 TILEPROP NULL_X1Y48 NUM_SITES 0 TILEPROP NULL_X1Y48 ROW 108 TILEPROP NULL_X1Y48 SLR_REGION_ID 0 TILEPROP NULL_X1Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y48 TILE_TYPE NULL TILEPROP NULL_X1Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y48 TILE_X -101046 TILEPROP NULL_X1Y48 TILE_Y -93048 TILEPROP NULL_X1Y48 TYPE NULL TILEPROP NULL_X1Y50 CLASS tile TILEPROP NULL_X1Y50 COLUMN 1 TILEPROP NULL_X1Y50 DEVICE_ID 0 TILEPROP NULL_X1Y50 FIRST_SITE_ID 10544 TILEPROP NULL_X1Y50 GRID_POINT_X 1 TILEPROP NULL_X1Y50 GRID_POINT_Y 106 TILEPROP NULL_X1Y50 INDEX 12191 TILEPROP NULL_X1Y50 INT_TILE_X -1 TILEPROP NULL_X1Y50 INT_TILE_Y -1 TILEPROP NULL_X1Y50 IS_CENTER_TILE 0 TILEPROP NULL_X1Y50 IS_DCM_TILE 0 TILEPROP NULL_X1Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y50 NAME NULL_X1Y50 TILEPROP NULL_X1Y50 NUM_ARCS 0 TILEPROP NULL_X1Y50 NUM_SITES 0 TILEPROP NULL_X1Y50 ROW 106 TILEPROP NULL_X1Y50 SLR_REGION_ID 0 TILEPROP NULL_X1Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y50 TILE_TYPE NULL TILEPROP NULL_X1Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y50 TILE_X -101046 TILEPROP NULL_X1Y50 TILE_Y -86648 TILEPROP NULL_X1Y50 TYPE NULL TILEPROP NULL_X1Y52 CLASS tile TILEPROP NULL_X1Y52 COLUMN 1 TILEPROP NULL_X1Y52 DEVICE_ID 0 TILEPROP NULL_X1Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X1Y52 GRID_POINT_X 1 TILEPROP NULL_X1Y52 GRID_POINT_Y 104 TILEPROP NULL_X1Y52 INDEX 11961 TILEPROP NULL_X1Y52 INT_TILE_X -1 TILEPROP NULL_X1Y52 INT_TILE_Y -1 TILEPROP NULL_X1Y52 IS_CENTER_TILE 0 TILEPROP NULL_X1Y52 IS_DCM_TILE 0 TILEPROP NULL_X1Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y52 NAME NULL_X1Y52 TILEPROP NULL_X1Y52 NUM_ARCS 0 TILEPROP NULL_X1Y52 NUM_SITES 0 TILEPROP NULL_X1Y52 ROW 104 TILEPROP NULL_X1Y52 SLR_REGION_ID 0 TILEPROP NULL_X1Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y52 TILE_TYPE NULL TILEPROP NULL_X1Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y52 TILE_X -101046 TILEPROP NULL_X1Y52 TILE_Y -80248 TILEPROP NULL_X1Y52 TYPE NULL TILEPROP NULL_X1Y55 CLASS tile TILEPROP NULL_X1Y55 COLUMN 1 TILEPROP NULL_X1Y55 DEVICE_ID 0 TILEPROP NULL_X1Y55 FIRST_SITE_ID 10113 TILEPROP NULL_X1Y55 GRID_POINT_X 1 TILEPROP NULL_X1Y55 GRID_POINT_Y 101 TILEPROP NULL_X1Y55 INDEX 11616 TILEPROP NULL_X1Y55 INT_TILE_X -1 TILEPROP NULL_X1Y55 INT_TILE_Y -1 TILEPROP NULL_X1Y55 IS_CENTER_TILE 0 TILEPROP NULL_X1Y55 IS_DCM_TILE 0 TILEPROP NULL_X1Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y55 NAME NULL_X1Y55 TILEPROP NULL_X1Y55 NUM_ARCS 0 TILEPROP NULL_X1Y55 NUM_SITES 0 TILEPROP NULL_X1Y55 ROW 101 TILEPROP NULL_X1Y55 SLR_REGION_ID 0 TILEPROP NULL_X1Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y55 TILE_TYPE NULL TILEPROP NULL_X1Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y55 TILE_X -101046 TILEPROP NULL_X1Y55 TILE_Y -73600 TILEPROP NULL_X1Y55 TYPE NULL TILEPROP NULL_X1Y57 CLASS tile TILEPROP NULL_X1Y57 COLUMN 1 TILEPROP NULL_X1Y57 DEVICE_ID 0 TILEPROP NULL_X1Y57 FIRST_SITE_ID 9913 TILEPROP NULL_X1Y57 GRID_POINT_X 1 TILEPROP NULL_X1Y57 GRID_POINT_Y 99 TILEPROP NULL_X1Y57 INDEX 11386 TILEPROP NULL_X1Y57 INT_TILE_X -1 TILEPROP NULL_X1Y57 INT_TILE_Y -1 TILEPROP NULL_X1Y57 IS_CENTER_TILE 0 TILEPROP NULL_X1Y57 IS_DCM_TILE 0 TILEPROP NULL_X1Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y57 NAME NULL_X1Y57 TILEPROP NULL_X1Y57 NUM_ARCS 0 TILEPROP NULL_X1Y57 NUM_SITES 0 TILEPROP NULL_X1Y57 ROW 99 TILEPROP NULL_X1Y57 SLR_REGION_ID 0 TILEPROP NULL_X1Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y57 TILE_TYPE NULL TILEPROP NULL_X1Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y57 TILE_X -101046 TILEPROP NULL_X1Y57 TILE_Y -67200 TILEPROP NULL_X1Y57 TYPE NULL TILEPROP NULL_X1Y59 CLASS tile TILEPROP NULL_X1Y59 COLUMN 1 TILEPROP NULL_X1Y59 DEVICE_ID 0 TILEPROP NULL_X1Y59 FIRST_SITE_ID 9698 TILEPROP NULL_X1Y59 GRID_POINT_X 1 TILEPROP NULL_X1Y59 GRID_POINT_Y 97 TILEPROP NULL_X1Y59 INDEX 11156 TILEPROP NULL_X1Y59 INT_TILE_X -1 TILEPROP NULL_X1Y59 INT_TILE_Y -1 TILEPROP NULL_X1Y59 IS_CENTER_TILE 0 TILEPROP NULL_X1Y59 IS_DCM_TILE 0 TILEPROP NULL_X1Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y59 NAME NULL_X1Y59 TILEPROP NULL_X1Y59 NUM_ARCS 0 TILEPROP NULL_X1Y59 NUM_SITES 0 TILEPROP NULL_X1Y59 ROW 97 TILEPROP NULL_X1Y59 SLR_REGION_ID 0 TILEPROP NULL_X1Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y59 TILE_TYPE NULL TILEPROP NULL_X1Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y59 TILE_X -101046 TILEPROP NULL_X1Y59 TILE_Y -60800 TILEPROP NULL_X1Y59 TYPE NULL TILEPROP NULL_X1Y61 CLASS tile TILEPROP NULL_X1Y61 COLUMN 1 TILEPROP NULL_X1Y61 DEVICE_ID 0 TILEPROP NULL_X1Y61 FIRST_SITE_ID 9492 TILEPROP NULL_X1Y61 GRID_POINT_X 1 TILEPROP NULL_X1Y61 GRID_POINT_Y 95 TILEPROP NULL_X1Y61 INDEX 10926 TILEPROP NULL_X1Y61 INT_TILE_X -1 TILEPROP NULL_X1Y61 INT_TILE_Y -1 TILEPROP NULL_X1Y61 IS_CENTER_TILE 0 TILEPROP NULL_X1Y61 IS_DCM_TILE 0 TILEPROP NULL_X1Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y61 NAME NULL_X1Y61 TILEPROP NULL_X1Y61 NUM_ARCS 0 TILEPROP NULL_X1Y61 NUM_SITES 0 TILEPROP NULL_X1Y61 ROW 95 TILEPROP NULL_X1Y61 SLR_REGION_ID 0 TILEPROP NULL_X1Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y61 TILE_TYPE NULL TILEPROP NULL_X1Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y61 TILE_X -101046 TILEPROP NULL_X1Y61 TILE_Y -54400 TILEPROP NULL_X1Y61 TYPE NULL TILEPROP NULL_X1Y63 CLASS tile TILEPROP NULL_X1Y63 COLUMN 1 TILEPROP NULL_X1Y63 DEVICE_ID 0 TILEPROP NULL_X1Y63 FIRST_SITE_ID 9277 TILEPROP NULL_X1Y63 GRID_POINT_X 1 TILEPROP NULL_X1Y63 GRID_POINT_Y 93 TILEPROP NULL_X1Y63 INDEX 10696 TILEPROP NULL_X1Y63 INT_TILE_X -1 TILEPROP NULL_X1Y63 INT_TILE_Y -1 TILEPROP NULL_X1Y63 IS_CENTER_TILE 0 TILEPROP NULL_X1Y63 IS_DCM_TILE 0 TILEPROP NULL_X1Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y63 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y63 NAME NULL_X1Y63 TILEPROP NULL_X1Y63 NUM_ARCS 0 TILEPROP NULL_X1Y63 NUM_SITES 0 TILEPROP NULL_X1Y63 ROW 93 TILEPROP NULL_X1Y63 SLR_REGION_ID 0 TILEPROP NULL_X1Y63 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y63 TILE_TYPE NULL TILEPROP NULL_X1Y63 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y63 TILE_X -101046 TILEPROP NULL_X1Y63 TILE_Y -48000 TILEPROP NULL_X1Y63 TYPE NULL TILEPROP NULL_X1Y65 CLASS tile TILEPROP NULL_X1Y65 COLUMN 1 TILEPROP NULL_X1Y65 DEVICE_ID 0 TILEPROP NULL_X1Y65 FIRST_SITE_ID 9045 TILEPROP NULL_X1Y65 GRID_POINT_X 1 TILEPROP NULL_X1Y65 GRID_POINT_Y 91 TILEPROP NULL_X1Y65 INDEX 10466 TILEPROP NULL_X1Y65 INT_TILE_X -1 TILEPROP NULL_X1Y65 INT_TILE_Y -1 TILEPROP NULL_X1Y65 IS_CENTER_TILE 0 TILEPROP NULL_X1Y65 IS_DCM_TILE 0 TILEPROP NULL_X1Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y65 NAME NULL_X1Y65 TILEPROP NULL_X1Y65 NUM_ARCS 0 TILEPROP NULL_X1Y65 NUM_SITES 0 TILEPROP NULL_X1Y65 ROW 91 TILEPROP NULL_X1Y65 SLR_REGION_ID 0 TILEPROP NULL_X1Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y65 TILE_TYPE NULL TILEPROP NULL_X1Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y65 TILE_X -101046 TILEPROP NULL_X1Y65 TILE_Y -41600 TILEPROP NULL_X1Y65 TYPE NULL TILEPROP NULL_X1Y67 CLASS tile TILEPROP NULL_X1Y67 COLUMN 1 TILEPROP NULL_X1Y67 DEVICE_ID 0 TILEPROP NULL_X1Y67 FIRST_SITE_ID 8845 TILEPROP NULL_X1Y67 GRID_POINT_X 1 TILEPROP NULL_X1Y67 GRID_POINT_Y 89 TILEPROP NULL_X1Y67 INDEX 10236 TILEPROP NULL_X1Y67 INT_TILE_X -1 TILEPROP NULL_X1Y67 INT_TILE_Y -1 TILEPROP NULL_X1Y67 IS_CENTER_TILE 0 TILEPROP NULL_X1Y67 IS_DCM_TILE 0 TILEPROP NULL_X1Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y67 NAME NULL_X1Y67 TILEPROP NULL_X1Y67 NUM_ARCS 0 TILEPROP NULL_X1Y67 NUM_SITES 0 TILEPROP NULL_X1Y67 ROW 89 TILEPROP NULL_X1Y67 SLR_REGION_ID 0 TILEPROP NULL_X1Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y67 TILE_TYPE NULL TILEPROP NULL_X1Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y67 TILE_X -101046 TILEPROP NULL_X1Y67 TILE_Y -35200 TILEPROP NULL_X1Y67 TYPE NULL TILEPROP NULL_X1Y69 CLASS tile TILEPROP NULL_X1Y69 COLUMN 1 TILEPROP NULL_X1Y69 DEVICE_ID 0 TILEPROP NULL_X1Y69 FIRST_SITE_ID 8630 TILEPROP NULL_X1Y69 GRID_POINT_X 1 TILEPROP NULL_X1Y69 GRID_POINT_Y 87 TILEPROP NULL_X1Y69 INDEX 10006 TILEPROP NULL_X1Y69 INT_TILE_X -1 TILEPROP NULL_X1Y69 INT_TILE_Y -1 TILEPROP NULL_X1Y69 IS_CENTER_TILE 0 TILEPROP NULL_X1Y69 IS_DCM_TILE 0 TILEPROP NULL_X1Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y69 NAME NULL_X1Y69 TILEPROP NULL_X1Y69 NUM_ARCS 0 TILEPROP NULL_X1Y69 NUM_SITES 0 TILEPROP NULL_X1Y69 ROW 87 TILEPROP NULL_X1Y69 SLR_REGION_ID 0 TILEPROP NULL_X1Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y69 TILE_TYPE NULL TILEPROP NULL_X1Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y69 TILE_X -101046 TILEPROP NULL_X1Y69 TILE_Y -28800 TILEPROP NULL_X1Y69 TYPE NULL TILEPROP NULL_X1Y71 CLASS tile TILEPROP NULL_X1Y71 COLUMN 1 TILEPROP NULL_X1Y71 DEVICE_ID 0 TILEPROP NULL_X1Y71 FIRST_SITE_ID 8422 TILEPROP NULL_X1Y71 GRID_POINT_X 1 TILEPROP NULL_X1Y71 GRID_POINT_Y 85 TILEPROP NULL_X1Y71 INDEX 9776 TILEPROP NULL_X1Y71 INT_TILE_X -1 TILEPROP NULL_X1Y71 INT_TILE_Y -1 TILEPROP NULL_X1Y71 IS_CENTER_TILE 0 TILEPROP NULL_X1Y71 IS_DCM_TILE 0 TILEPROP NULL_X1Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y71 NAME NULL_X1Y71 TILEPROP NULL_X1Y71 NUM_ARCS 0 TILEPROP NULL_X1Y71 NUM_SITES 0 TILEPROP NULL_X1Y71 ROW 85 TILEPROP NULL_X1Y71 SLR_REGION_ID 0 TILEPROP NULL_X1Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y71 TILE_TYPE NULL TILEPROP NULL_X1Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y71 TILE_X -101046 TILEPROP NULL_X1Y71 TILE_Y -22400 TILEPROP NULL_X1Y71 TYPE NULL TILEPROP NULL_X1Y73 CLASS tile TILEPROP NULL_X1Y73 COLUMN 1 TILEPROP NULL_X1Y73 DEVICE_ID 0 TILEPROP NULL_X1Y73 FIRST_SITE_ID 8203 TILEPROP NULL_X1Y73 GRID_POINT_X 1 TILEPROP NULL_X1Y73 GRID_POINT_Y 83 TILEPROP NULL_X1Y73 INDEX 9546 TILEPROP NULL_X1Y73 INT_TILE_X -1 TILEPROP NULL_X1Y73 INT_TILE_Y -1 TILEPROP NULL_X1Y73 IS_CENTER_TILE 0 TILEPROP NULL_X1Y73 IS_DCM_TILE 0 TILEPROP NULL_X1Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y73 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y73 NAME NULL_X1Y73 TILEPROP NULL_X1Y73 NUM_ARCS 0 TILEPROP NULL_X1Y73 NUM_SITES 0 TILEPROP NULL_X1Y73 ROW 83 TILEPROP NULL_X1Y73 SLR_REGION_ID 0 TILEPROP NULL_X1Y73 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y73 TILE_TYPE NULL TILEPROP NULL_X1Y73 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y73 TILE_X -101046 TILEPROP NULL_X1Y73 TILE_Y -16000 TILEPROP NULL_X1Y73 TYPE NULL TILEPROP NULL_X1Y75 CLASS tile TILEPROP NULL_X1Y75 COLUMN 1 TILEPROP NULL_X1Y75 DEVICE_ID 0 TILEPROP NULL_X1Y75 FIRST_SITE_ID 8003 TILEPROP NULL_X1Y75 GRID_POINT_X 1 TILEPROP NULL_X1Y75 GRID_POINT_Y 81 TILEPROP NULL_X1Y75 INDEX 9316 TILEPROP NULL_X1Y75 INT_TILE_X -1 TILEPROP NULL_X1Y75 INT_TILE_Y -1 TILEPROP NULL_X1Y75 IS_CENTER_TILE 0 TILEPROP NULL_X1Y75 IS_DCM_TILE 0 TILEPROP NULL_X1Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y75 NAME NULL_X1Y75 TILEPROP NULL_X1Y75 NUM_ARCS 0 TILEPROP NULL_X1Y75 NUM_SITES 0 TILEPROP NULL_X1Y75 ROW 81 TILEPROP NULL_X1Y75 SLR_REGION_ID 0 TILEPROP NULL_X1Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y75 TILE_TYPE NULL TILEPROP NULL_X1Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y75 TILE_X -101046 TILEPROP NULL_X1Y75 TILE_Y -9600 TILEPROP NULL_X1Y75 TYPE NULL TILEPROP NULL_X1Y77 CLASS tile TILEPROP NULL_X1Y77 COLUMN 1 TILEPROP NULL_X1Y77 DEVICE_ID 0 TILEPROP NULL_X1Y77 FIRST_SITE_ID 7803 TILEPROP NULL_X1Y77 GRID_POINT_X 1 TILEPROP NULL_X1Y77 GRID_POINT_Y 79 TILEPROP NULL_X1Y77 INDEX 9086 TILEPROP NULL_X1Y77 INT_TILE_X -1 TILEPROP NULL_X1Y77 INT_TILE_Y -1 TILEPROP NULL_X1Y77 IS_CENTER_TILE 0 TILEPROP NULL_X1Y77 IS_DCM_TILE 0 TILEPROP NULL_X1Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y77 NAME NULL_X1Y77 TILEPROP NULL_X1Y77 NUM_ARCS 0 TILEPROP NULL_X1Y77 NUM_SITES 0 TILEPROP NULL_X1Y77 ROW 79 TILEPROP NULL_X1Y77 SLR_REGION_ID 0 TILEPROP NULL_X1Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y77 TILE_TYPE NULL TILEPROP NULL_X1Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y77 TILE_X -101046 TILEPROP NULL_X1Y77 TILE_Y -3200 TILEPROP NULL_X1Y77 TYPE NULL TILEPROP NULL_X1Y80 CLASS tile TILEPROP NULL_X1Y80 COLUMN 1 TILEPROP NULL_X1Y80 DEVICE_ID 0 TILEPROP NULL_X1Y80 FIRST_SITE_ID 7502 TILEPROP NULL_X1Y80 GRID_POINT_X 1 TILEPROP NULL_X1Y80 GRID_POINT_Y 76 TILEPROP NULL_X1Y80 INDEX 8741 TILEPROP NULL_X1Y80 INT_TILE_X -1 TILEPROP NULL_X1Y80 INT_TILE_Y -1 TILEPROP NULL_X1Y80 IS_CENTER_TILE 0 TILEPROP NULL_X1Y80 IS_DCM_TILE 0 TILEPROP NULL_X1Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y80 NAME NULL_X1Y80 TILEPROP NULL_X1Y80 NUM_ARCS 0 TILEPROP NULL_X1Y80 NUM_SITES 0 TILEPROP NULL_X1Y80 ROW 76 TILEPROP NULL_X1Y80 SLR_REGION_ID 0 TILEPROP NULL_X1Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y80 TILE_TYPE NULL TILEPROP NULL_X1Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y80 TILE_X -101046 TILEPROP NULL_X1Y80 TILE_Y 4224 TILEPROP NULL_X1Y80 TYPE NULL TILEPROP NULL_X1Y82 CLASS tile TILEPROP NULL_X1Y82 COLUMN 1 TILEPROP NULL_X1Y82 DEVICE_ID 0 TILEPROP NULL_X1Y82 FIRST_SITE_ID 7302 TILEPROP NULL_X1Y82 GRID_POINT_X 1 TILEPROP NULL_X1Y82 GRID_POINT_Y 74 TILEPROP NULL_X1Y82 INDEX 8511 TILEPROP NULL_X1Y82 INT_TILE_X -1 TILEPROP NULL_X1Y82 INT_TILE_Y -1 TILEPROP NULL_X1Y82 IS_CENTER_TILE 0 TILEPROP NULL_X1Y82 IS_DCM_TILE 0 TILEPROP NULL_X1Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y82 NAME NULL_X1Y82 TILEPROP NULL_X1Y82 NUM_ARCS 0 TILEPROP NULL_X1Y82 NUM_SITES 0 TILEPROP NULL_X1Y82 ROW 74 TILEPROP NULL_X1Y82 SLR_REGION_ID 0 TILEPROP NULL_X1Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y82 TILE_TYPE NULL TILEPROP NULL_X1Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y82 TILE_X -101046 TILEPROP NULL_X1Y82 TILE_Y 10624 TILEPROP NULL_X1Y82 TYPE NULL TILEPROP NULL_X1Y84 CLASS tile TILEPROP NULL_X1Y84 COLUMN 1 TILEPROP NULL_X1Y84 DEVICE_ID 0 TILEPROP NULL_X1Y84 FIRST_SITE_ID 7075 TILEPROP NULL_X1Y84 GRID_POINT_X 1 TILEPROP NULL_X1Y84 GRID_POINT_Y 72 TILEPROP NULL_X1Y84 INDEX 8281 TILEPROP NULL_X1Y84 INT_TILE_X -1 TILEPROP NULL_X1Y84 INT_TILE_Y -1 TILEPROP NULL_X1Y84 IS_CENTER_TILE 0 TILEPROP NULL_X1Y84 IS_DCM_TILE 0 TILEPROP NULL_X1Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y84 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y84 NAME NULL_X1Y84 TILEPROP NULL_X1Y84 NUM_ARCS 0 TILEPROP NULL_X1Y84 NUM_SITES 0 TILEPROP NULL_X1Y84 ROW 72 TILEPROP NULL_X1Y84 SLR_REGION_ID 0 TILEPROP NULL_X1Y84 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y84 TILE_TYPE NULL TILEPROP NULL_X1Y84 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y84 TILE_X -101046 TILEPROP NULL_X1Y84 TILE_Y 17024 TILEPROP NULL_X1Y84 TYPE NULL TILEPROP NULL_X1Y86 CLASS tile TILEPROP NULL_X1Y86 COLUMN 1 TILEPROP NULL_X1Y86 DEVICE_ID 0 TILEPROP NULL_X1Y86 FIRST_SITE_ID 6871 TILEPROP NULL_X1Y86 GRID_POINT_X 1 TILEPROP NULL_X1Y86 GRID_POINT_Y 70 TILEPROP NULL_X1Y86 INDEX 8051 TILEPROP NULL_X1Y86 INT_TILE_X -1 TILEPROP NULL_X1Y86 INT_TILE_Y -1 TILEPROP NULL_X1Y86 IS_CENTER_TILE 0 TILEPROP NULL_X1Y86 IS_DCM_TILE 0 TILEPROP NULL_X1Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y86 NAME NULL_X1Y86 TILEPROP NULL_X1Y86 NUM_ARCS 0 TILEPROP NULL_X1Y86 NUM_SITES 0 TILEPROP NULL_X1Y86 ROW 70 TILEPROP NULL_X1Y86 SLR_REGION_ID 0 TILEPROP NULL_X1Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y86 TILE_TYPE NULL TILEPROP NULL_X1Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y86 TILE_X -101046 TILEPROP NULL_X1Y86 TILE_Y 23424 TILEPROP NULL_X1Y86 TYPE NULL TILEPROP NULL_X1Y88 CLASS tile TILEPROP NULL_X1Y88 COLUMN 1 TILEPROP NULL_X1Y88 DEVICE_ID 0 TILEPROP NULL_X1Y88 FIRST_SITE_ID 6671 TILEPROP NULL_X1Y88 GRID_POINT_X 1 TILEPROP NULL_X1Y88 GRID_POINT_Y 68 TILEPROP NULL_X1Y88 INDEX 7821 TILEPROP NULL_X1Y88 INT_TILE_X -1 TILEPROP NULL_X1Y88 INT_TILE_Y -1 TILEPROP NULL_X1Y88 IS_CENTER_TILE 0 TILEPROP NULL_X1Y88 IS_DCM_TILE 0 TILEPROP NULL_X1Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y88 NAME NULL_X1Y88 TILEPROP NULL_X1Y88 NUM_ARCS 0 TILEPROP NULL_X1Y88 NUM_SITES 0 TILEPROP NULL_X1Y88 ROW 68 TILEPROP NULL_X1Y88 SLR_REGION_ID 0 TILEPROP NULL_X1Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y88 TILE_TYPE NULL TILEPROP NULL_X1Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y88 TILE_X -101046 TILEPROP NULL_X1Y88 TILE_Y 29824 TILEPROP NULL_X1Y88 TYPE NULL TILEPROP NULL_X1Y90 CLASS tile TILEPROP NULL_X1Y90 COLUMN 1 TILEPROP NULL_X1Y90 DEVICE_ID 0 TILEPROP NULL_X1Y90 FIRST_SITE_ID 6424 TILEPROP NULL_X1Y90 GRID_POINT_X 1 TILEPROP NULL_X1Y90 GRID_POINT_Y 66 TILEPROP NULL_X1Y90 INDEX 7591 TILEPROP NULL_X1Y90 INT_TILE_X -1 TILEPROP NULL_X1Y90 INT_TILE_Y -1 TILEPROP NULL_X1Y90 IS_CENTER_TILE 0 TILEPROP NULL_X1Y90 IS_DCM_TILE 0 TILEPROP NULL_X1Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y90 NAME NULL_X1Y90 TILEPROP NULL_X1Y90 NUM_ARCS 0 TILEPROP NULL_X1Y90 NUM_SITES 0 TILEPROP NULL_X1Y90 ROW 66 TILEPROP NULL_X1Y90 SLR_REGION_ID 0 TILEPROP NULL_X1Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y90 TILE_TYPE NULL TILEPROP NULL_X1Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y90 TILE_X -101046 TILEPROP NULL_X1Y90 TILE_Y 36224 TILEPROP NULL_X1Y90 TYPE NULL TILEPROP NULL_X1Y92 CLASS tile TILEPROP NULL_X1Y92 COLUMN 1 TILEPROP NULL_X1Y92 DEVICE_ID 0 TILEPROP NULL_X1Y92 FIRST_SITE_ID 6224 TILEPROP NULL_X1Y92 GRID_POINT_X 1 TILEPROP NULL_X1Y92 GRID_POINT_Y 64 TILEPROP NULL_X1Y92 INDEX 7361 TILEPROP NULL_X1Y92 INT_TILE_X -1 TILEPROP NULL_X1Y92 INT_TILE_Y -1 TILEPROP NULL_X1Y92 IS_CENTER_TILE 0 TILEPROP NULL_X1Y92 IS_DCM_TILE 0 TILEPROP NULL_X1Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y92 NAME NULL_X1Y92 TILEPROP NULL_X1Y92 NUM_ARCS 0 TILEPROP NULL_X1Y92 NUM_SITES 0 TILEPROP NULL_X1Y92 ROW 64 TILEPROP NULL_X1Y92 SLR_REGION_ID 0 TILEPROP NULL_X1Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y92 TILE_TYPE NULL TILEPROP NULL_X1Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y92 TILE_X -101046 TILEPROP NULL_X1Y92 TILE_Y 42624 TILEPROP NULL_X1Y92 TYPE NULL TILEPROP NULL_X1Y94 CLASS tile TILEPROP NULL_X1Y94 COLUMN 1 TILEPROP NULL_X1Y94 DEVICE_ID 0 TILEPROP NULL_X1Y94 FIRST_SITE_ID 6009 TILEPROP NULL_X1Y94 GRID_POINT_X 1 TILEPROP NULL_X1Y94 GRID_POINT_Y 62 TILEPROP NULL_X1Y94 INDEX 7131 TILEPROP NULL_X1Y94 INT_TILE_X -1 TILEPROP NULL_X1Y94 INT_TILE_Y -1 TILEPROP NULL_X1Y94 IS_CENTER_TILE 0 TILEPROP NULL_X1Y94 IS_DCM_TILE 0 TILEPROP NULL_X1Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y94 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y94 NAME NULL_X1Y94 TILEPROP NULL_X1Y94 NUM_ARCS 0 TILEPROP NULL_X1Y94 NUM_SITES 0 TILEPROP NULL_X1Y94 ROW 62 TILEPROP NULL_X1Y94 SLR_REGION_ID 0 TILEPROP NULL_X1Y94 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y94 TILE_TYPE NULL TILEPROP NULL_X1Y94 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y94 TILE_X -101046 TILEPROP NULL_X1Y94 TILE_Y 49024 TILEPROP NULL_X1Y94 TYPE NULL TILEPROP NULL_X1Y96 CLASS tile TILEPROP NULL_X1Y96 COLUMN 1 TILEPROP NULL_X1Y96 DEVICE_ID 0 TILEPROP NULL_X1Y96 FIRST_SITE_ID 5807 TILEPROP NULL_X1Y96 GRID_POINT_X 1 TILEPROP NULL_X1Y96 GRID_POINT_Y 60 TILEPROP NULL_X1Y96 INDEX 6901 TILEPROP NULL_X1Y96 INT_TILE_X -1 TILEPROP NULL_X1Y96 INT_TILE_Y -1 TILEPROP NULL_X1Y96 IS_CENTER_TILE 0 TILEPROP NULL_X1Y96 IS_DCM_TILE 0 TILEPROP NULL_X1Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y96 NAME NULL_X1Y96 TILEPROP NULL_X1Y96 NUM_ARCS 0 TILEPROP NULL_X1Y96 NUM_SITES 0 TILEPROP NULL_X1Y96 ROW 60 TILEPROP NULL_X1Y96 SLR_REGION_ID 0 TILEPROP NULL_X1Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y96 TILE_TYPE NULL TILEPROP NULL_X1Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y96 TILE_X -101046 TILEPROP NULL_X1Y96 TILE_Y 55424 TILEPROP NULL_X1Y96 TYPE NULL TILEPROP NULL_X1Y98 CLASS tile TILEPROP NULL_X1Y98 COLUMN 1 TILEPROP NULL_X1Y98 DEVICE_ID 0 TILEPROP NULL_X1Y98 FIRST_SITE_ID 5603 TILEPROP NULL_X1Y98 GRID_POINT_X 1 TILEPROP NULL_X1Y98 GRID_POINT_Y 58 TILEPROP NULL_X1Y98 INDEX 6671 TILEPROP NULL_X1Y98 INT_TILE_X -1 TILEPROP NULL_X1Y98 INT_TILE_Y -1 TILEPROP NULL_X1Y98 IS_CENTER_TILE 0 TILEPROP NULL_X1Y98 IS_DCM_TILE 0 TILEPROP NULL_X1Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y98 NAME NULL_X1Y98 TILEPROP NULL_X1Y98 NUM_ARCS 0 TILEPROP NULL_X1Y98 NUM_SITES 0 TILEPROP NULL_X1Y98 ROW 58 TILEPROP NULL_X1Y98 SLR_REGION_ID 0 TILEPROP NULL_X1Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y98 TILE_TYPE NULL TILEPROP NULL_X1Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y98 TILE_X -101046 TILEPROP NULL_X1Y98 TILE_Y 61824 TILEPROP NULL_X1Y98 TYPE NULL TILEPROP NULL_X1Y100 CLASS tile TILEPROP NULL_X1Y100 COLUMN 1 TILEPROP NULL_X1Y100 DEVICE_ID 0 TILEPROP NULL_X1Y100 FIRST_SITE_ID 5388 TILEPROP NULL_X1Y100 GRID_POINT_X 1 TILEPROP NULL_X1Y100 GRID_POINT_Y 56 TILEPROP NULL_X1Y100 INDEX 6441 TILEPROP NULL_X1Y100 INT_TILE_X -1 TILEPROP NULL_X1Y100 INT_TILE_Y -1 TILEPROP NULL_X1Y100 IS_CENTER_TILE 0 TILEPROP NULL_X1Y100 IS_DCM_TILE 0 TILEPROP NULL_X1Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y100 NAME NULL_X1Y100 TILEPROP NULL_X1Y100 NUM_ARCS 0 TILEPROP NULL_X1Y100 NUM_SITES 0 TILEPROP NULL_X1Y100 ROW 56 TILEPROP NULL_X1Y100 SLR_REGION_ID 0 TILEPROP NULL_X1Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y100 TILE_TYPE NULL TILEPROP NULL_X1Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y100 TILE_X -101046 TILEPROP NULL_X1Y100 TILE_Y 68224 TILEPROP NULL_X1Y100 TYPE NULL TILEPROP NULL_X1Y102 CLASS tile TILEPROP NULL_X1Y102 COLUMN 1 TILEPROP NULL_X1Y102 DEVICE_ID 0 TILEPROP NULL_X1Y102 FIRST_SITE_ID 5188 TILEPROP NULL_X1Y102 GRID_POINT_X 1 TILEPROP NULL_X1Y102 GRID_POINT_Y 54 TILEPROP NULL_X1Y102 INDEX 6211 TILEPROP NULL_X1Y102 INT_TILE_X -1 TILEPROP NULL_X1Y102 INT_TILE_Y -1 TILEPROP NULL_X1Y102 IS_CENTER_TILE 0 TILEPROP NULL_X1Y102 IS_DCM_TILE 0 TILEPROP NULL_X1Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y102 NAME NULL_X1Y102 TILEPROP NULL_X1Y102 NUM_ARCS 0 TILEPROP NULL_X1Y102 NUM_SITES 0 TILEPROP NULL_X1Y102 ROW 54 TILEPROP NULL_X1Y102 SLR_REGION_ID 0 TILEPROP NULL_X1Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y102 TILE_TYPE NULL TILEPROP NULL_X1Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y102 TILE_X -101046 TILEPROP NULL_X1Y102 TILE_Y 74624 TILEPROP NULL_X1Y102 TYPE NULL TILEPROP NULL_X1Y104 CLASS tile TILEPROP NULL_X1Y104 COLUMN 1 TILEPROP NULL_X1Y104 DEVICE_ID 0 TILEPROP NULL_X1Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X1Y104 GRID_POINT_X 1 TILEPROP NULL_X1Y104 GRID_POINT_Y 52 TILEPROP NULL_X1Y104 INDEX 5981 TILEPROP NULL_X1Y104 INT_TILE_X -1 TILEPROP NULL_X1Y104 INT_TILE_Y -1 TILEPROP NULL_X1Y104 IS_CENTER_TILE 0 TILEPROP NULL_X1Y104 IS_DCM_TILE 0 TILEPROP NULL_X1Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y104 NAME NULL_X1Y104 TILEPROP NULL_X1Y104 NUM_ARCS 0 TILEPROP NULL_X1Y104 NUM_SITES 0 TILEPROP NULL_X1Y104 ROW 52 TILEPROP NULL_X1Y104 SLR_REGION_ID 0 TILEPROP NULL_X1Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y104 TILE_TYPE NULL TILEPROP NULL_X1Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y104 TILE_X -101046 TILEPROP NULL_X1Y104 TILE_Y 81024 TILEPROP NULL_X1Y104 TYPE NULL TILEPROP NULL_X1Y107 CLASS tile TILEPROP NULL_X1Y107 COLUMN 1 TILEPROP NULL_X1Y107 DEVICE_ID 0 TILEPROP NULL_X1Y107 FIRST_SITE_ID 4803 TILEPROP NULL_X1Y107 GRID_POINT_X 1 TILEPROP NULL_X1Y107 GRID_POINT_Y 49 TILEPROP NULL_X1Y107 INDEX 5636 TILEPROP NULL_X1Y107 INT_TILE_X -1 TILEPROP NULL_X1Y107 INT_TILE_Y -1 TILEPROP NULL_X1Y107 IS_CENTER_TILE 0 TILEPROP NULL_X1Y107 IS_DCM_TILE 0 TILEPROP NULL_X1Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y107 NAME NULL_X1Y107 TILEPROP NULL_X1Y107 NUM_ARCS 0 TILEPROP NULL_X1Y107 NUM_SITES 0 TILEPROP NULL_X1Y107 ROW 49 TILEPROP NULL_X1Y107 SLR_REGION_ID 0 TILEPROP NULL_X1Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y107 TILE_TYPE NULL TILEPROP NULL_X1Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y107 TILE_X -101046 TILEPROP NULL_X1Y107 TILE_Y 87672 TILEPROP NULL_X1Y107 TYPE NULL TILEPROP NULL_X1Y109 CLASS tile TILEPROP NULL_X1Y109 COLUMN 1 TILEPROP NULL_X1Y109 DEVICE_ID 0 TILEPROP NULL_X1Y109 FIRST_SITE_ID 4619 TILEPROP NULL_X1Y109 GRID_POINT_X 1 TILEPROP NULL_X1Y109 GRID_POINT_Y 47 TILEPROP NULL_X1Y109 INDEX 5406 TILEPROP NULL_X1Y109 INT_TILE_X -1 TILEPROP NULL_X1Y109 INT_TILE_Y -1 TILEPROP NULL_X1Y109 IS_CENTER_TILE 0 TILEPROP NULL_X1Y109 IS_DCM_TILE 0 TILEPROP NULL_X1Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y109 NAME NULL_X1Y109 TILEPROP NULL_X1Y109 NUM_ARCS 0 TILEPROP NULL_X1Y109 NUM_SITES 0 TILEPROP NULL_X1Y109 ROW 47 TILEPROP NULL_X1Y109 SLR_REGION_ID 0 TILEPROP NULL_X1Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y109 TILE_TYPE NULL TILEPROP NULL_X1Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y109 TILE_X -101046 TILEPROP NULL_X1Y109 TILE_Y 94072 TILEPROP NULL_X1Y109 TYPE NULL TILEPROP NULL_X1Y111 CLASS tile TILEPROP NULL_X1Y111 COLUMN 1 TILEPROP NULL_X1Y111 DEVICE_ID 0 TILEPROP NULL_X1Y111 FIRST_SITE_ID 4421 TILEPROP NULL_X1Y111 GRID_POINT_X 1 TILEPROP NULL_X1Y111 GRID_POINT_Y 45 TILEPROP NULL_X1Y111 INDEX 5176 TILEPROP NULL_X1Y111 INT_TILE_X -1 TILEPROP NULL_X1Y111 INT_TILE_Y -1 TILEPROP NULL_X1Y111 IS_CENTER_TILE 0 TILEPROP NULL_X1Y111 IS_DCM_TILE 0 TILEPROP NULL_X1Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y111 NAME NULL_X1Y111 TILEPROP NULL_X1Y111 NUM_ARCS 0 TILEPROP NULL_X1Y111 NUM_SITES 0 TILEPROP NULL_X1Y111 ROW 45 TILEPROP NULL_X1Y111 SLR_REGION_ID 0 TILEPROP NULL_X1Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y111 TILE_TYPE NULL TILEPROP NULL_X1Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y111 TILE_X -101046 TILEPROP NULL_X1Y111 TILE_Y 100472 TILEPROP NULL_X1Y111 TYPE NULL TILEPROP NULL_X1Y113 CLASS tile TILEPROP NULL_X1Y113 COLUMN 1 TILEPROP NULL_X1Y113 DEVICE_ID 0 TILEPROP NULL_X1Y113 FIRST_SITE_ID 4234 TILEPROP NULL_X1Y113 GRID_POINT_X 1 TILEPROP NULL_X1Y113 GRID_POINT_Y 43 TILEPROP NULL_X1Y113 INDEX 4946 TILEPROP NULL_X1Y113 INT_TILE_X -1 TILEPROP NULL_X1Y113 INT_TILE_Y -1 TILEPROP NULL_X1Y113 IS_CENTER_TILE 0 TILEPROP NULL_X1Y113 IS_DCM_TILE 0 TILEPROP NULL_X1Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y113 NAME NULL_X1Y113 TILEPROP NULL_X1Y113 NUM_ARCS 0 TILEPROP NULL_X1Y113 NUM_SITES 0 TILEPROP NULL_X1Y113 ROW 43 TILEPROP NULL_X1Y113 SLR_REGION_ID 0 TILEPROP NULL_X1Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y113 TILE_TYPE NULL TILEPROP NULL_X1Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y113 TILE_X -101046 TILEPROP NULL_X1Y113 TILE_Y 106872 TILEPROP NULL_X1Y113 TYPE NULL TILEPROP NULL_X1Y115 CLASS tile TILEPROP NULL_X1Y115 COLUMN 1 TILEPROP NULL_X1Y115 DEVICE_ID 0 TILEPROP NULL_X1Y115 FIRST_SITE_ID 4040 TILEPROP NULL_X1Y115 GRID_POINT_X 1 TILEPROP NULL_X1Y115 GRID_POINT_Y 41 TILEPROP NULL_X1Y115 INDEX 4716 TILEPROP NULL_X1Y115 INT_TILE_X -1 TILEPROP NULL_X1Y115 INT_TILE_Y -1 TILEPROP NULL_X1Y115 IS_CENTER_TILE 0 TILEPROP NULL_X1Y115 IS_DCM_TILE 0 TILEPROP NULL_X1Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y115 NAME NULL_X1Y115 TILEPROP NULL_X1Y115 NUM_ARCS 0 TILEPROP NULL_X1Y115 NUM_SITES 0 TILEPROP NULL_X1Y115 ROW 41 TILEPROP NULL_X1Y115 SLR_REGION_ID 0 TILEPROP NULL_X1Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y115 TILE_TYPE NULL TILEPROP NULL_X1Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y115 TILE_X -101046 TILEPROP NULL_X1Y115 TILE_Y 113272 TILEPROP NULL_X1Y115 TYPE NULL TILEPROP NULL_X1Y117 CLASS tile TILEPROP NULL_X1Y117 COLUMN 1 TILEPROP NULL_X1Y117 DEVICE_ID 0 TILEPROP NULL_X1Y117 FIRST_SITE_ID 3824 TILEPROP NULL_X1Y117 GRID_POINT_X 1 TILEPROP NULL_X1Y117 GRID_POINT_Y 39 TILEPROP NULL_X1Y117 INDEX 4486 TILEPROP NULL_X1Y117 INT_TILE_X -1 TILEPROP NULL_X1Y117 INT_TILE_Y -1 TILEPROP NULL_X1Y117 IS_CENTER_TILE 0 TILEPROP NULL_X1Y117 IS_DCM_TILE 0 TILEPROP NULL_X1Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y117 NAME NULL_X1Y117 TILEPROP NULL_X1Y117 NUM_ARCS 0 TILEPROP NULL_X1Y117 NUM_SITES 0 TILEPROP NULL_X1Y117 ROW 39 TILEPROP NULL_X1Y117 SLR_REGION_ID 0 TILEPROP NULL_X1Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y117 TILE_TYPE NULL TILEPROP NULL_X1Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y117 TILE_X -101046 TILEPROP NULL_X1Y117 TILE_Y 119672 TILEPROP NULL_X1Y117 TYPE NULL TILEPROP NULL_X1Y119 CLASS tile TILEPROP NULL_X1Y119 COLUMN 1 TILEPROP NULL_X1Y119 DEVICE_ID 0 TILEPROP NULL_X1Y119 FIRST_SITE_ID 3640 TILEPROP NULL_X1Y119 GRID_POINT_X 1 TILEPROP NULL_X1Y119 GRID_POINT_Y 37 TILEPROP NULL_X1Y119 INDEX 4256 TILEPROP NULL_X1Y119 INT_TILE_X -1 TILEPROP NULL_X1Y119 INT_TILE_Y -1 TILEPROP NULL_X1Y119 IS_CENTER_TILE 0 TILEPROP NULL_X1Y119 IS_DCM_TILE 0 TILEPROP NULL_X1Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y119 NAME NULL_X1Y119 TILEPROP NULL_X1Y119 NUM_ARCS 0 TILEPROP NULL_X1Y119 NUM_SITES 0 TILEPROP NULL_X1Y119 ROW 37 TILEPROP NULL_X1Y119 SLR_REGION_ID 0 TILEPROP NULL_X1Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y119 TILE_TYPE NULL TILEPROP NULL_X1Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y119 TILE_X -101046 TILEPROP NULL_X1Y119 TILE_Y 126072 TILEPROP NULL_X1Y119 TYPE NULL TILEPROP NULL_X1Y121 CLASS tile TILEPROP NULL_X1Y121 COLUMN 1 TILEPROP NULL_X1Y121 DEVICE_ID 0 TILEPROP NULL_X1Y121 FIRST_SITE_ID 3442 TILEPROP NULL_X1Y121 GRID_POINT_X 1 TILEPROP NULL_X1Y121 GRID_POINT_Y 35 TILEPROP NULL_X1Y121 INDEX 4026 TILEPROP NULL_X1Y121 INT_TILE_X -1 TILEPROP NULL_X1Y121 INT_TILE_Y -1 TILEPROP NULL_X1Y121 IS_CENTER_TILE 0 TILEPROP NULL_X1Y121 IS_DCM_TILE 0 TILEPROP NULL_X1Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y121 NAME NULL_X1Y121 TILEPROP NULL_X1Y121 NUM_ARCS 0 TILEPROP NULL_X1Y121 NUM_SITES 0 TILEPROP NULL_X1Y121 ROW 35 TILEPROP NULL_X1Y121 SLR_REGION_ID 0 TILEPROP NULL_X1Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y121 TILE_TYPE NULL TILEPROP NULL_X1Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y121 TILE_X -101046 TILEPROP NULL_X1Y121 TILE_Y 132472 TILEPROP NULL_X1Y121 TYPE NULL TILEPROP NULL_X1Y123 CLASS tile TILEPROP NULL_X1Y123 COLUMN 1 TILEPROP NULL_X1Y123 DEVICE_ID 0 TILEPROP NULL_X1Y123 FIRST_SITE_ID 3254 TILEPROP NULL_X1Y123 GRID_POINT_X 1 TILEPROP NULL_X1Y123 GRID_POINT_Y 33 TILEPROP NULL_X1Y123 INDEX 3796 TILEPROP NULL_X1Y123 INT_TILE_X -1 TILEPROP NULL_X1Y123 INT_TILE_Y -1 TILEPROP NULL_X1Y123 IS_CENTER_TILE 0 TILEPROP NULL_X1Y123 IS_DCM_TILE 0 TILEPROP NULL_X1Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y123 NAME NULL_X1Y123 TILEPROP NULL_X1Y123 NUM_ARCS 0 TILEPROP NULL_X1Y123 NUM_SITES 0 TILEPROP NULL_X1Y123 ROW 33 TILEPROP NULL_X1Y123 SLR_REGION_ID 0 TILEPROP NULL_X1Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y123 TILE_TYPE NULL TILEPROP NULL_X1Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y123 TILE_X -101046 TILEPROP NULL_X1Y123 TILE_Y 138872 TILEPROP NULL_X1Y123 TYPE NULL TILEPROP NULL_X1Y125 CLASS tile TILEPROP NULL_X1Y125 COLUMN 1 TILEPROP NULL_X1Y125 DEVICE_ID 0 TILEPROP NULL_X1Y125 FIRST_SITE_ID 3059 TILEPROP NULL_X1Y125 GRID_POINT_X 1 TILEPROP NULL_X1Y125 GRID_POINT_Y 31 TILEPROP NULL_X1Y125 INDEX 3566 TILEPROP NULL_X1Y125 INT_TILE_X -1 TILEPROP NULL_X1Y125 INT_TILE_Y -1 TILEPROP NULL_X1Y125 IS_CENTER_TILE 0 TILEPROP NULL_X1Y125 IS_DCM_TILE 0 TILEPROP NULL_X1Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y125 NAME NULL_X1Y125 TILEPROP NULL_X1Y125 NUM_ARCS 0 TILEPROP NULL_X1Y125 NUM_SITES 0 TILEPROP NULL_X1Y125 ROW 31 TILEPROP NULL_X1Y125 SLR_REGION_ID 0 TILEPROP NULL_X1Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y125 TILE_TYPE NULL TILEPROP NULL_X1Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y125 TILE_X -101046 TILEPROP NULL_X1Y125 TILE_Y 145272 TILEPROP NULL_X1Y125 TYPE NULL TILEPROP NULL_X1Y127 CLASS tile TILEPROP NULL_X1Y127 COLUMN 1 TILEPROP NULL_X1Y127 DEVICE_ID 0 TILEPROP NULL_X1Y127 FIRST_SITE_ID 2868 TILEPROP NULL_X1Y127 GRID_POINT_X 1 TILEPROP NULL_X1Y127 GRID_POINT_Y 29 TILEPROP NULL_X1Y127 INDEX 3336 TILEPROP NULL_X1Y127 INT_TILE_X -1 TILEPROP NULL_X1Y127 INT_TILE_Y -1 TILEPROP NULL_X1Y127 IS_CENTER_TILE 0 TILEPROP NULL_X1Y127 IS_DCM_TILE 0 TILEPROP NULL_X1Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y127 NAME NULL_X1Y127 TILEPROP NULL_X1Y127 NUM_ARCS 0 TILEPROP NULL_X1Y127 NUM_SITES 0 TILEPROP NULL_X1Y127 ROW 29 TILEPROP NULL_X1Y127 SLR_REGION_ID 0 TILEPROP NULL_X1Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y127 TILE_TYPE NULL TILEPROP NULL_X1Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y127 TILE_X -101046 TILEPROP NULL_X1Y127 TILE_Y 151672 TILEPROP NULL_X1Y127 TYPE NULL TILEPROP NULL_X1Y129 CLASS tile TILEPROP NULL_X1Y129 COLUMN 1 TILEPROP NULL_X1Y129 DEVICE_ID 0 TILEPROP NULL_X1Y129 FIRST_SITE_ID 2684 TILEPROP NULL_X1Y129 GRID_POINT_X 1 TILEPROP NULL_X1Y129 GRID_POINT_Y 27 TILEPROP NULL_X1Y129 INDEX 3106 TILEPROP NULL_X1Y129 INT_TILE_X -1 TILEPROP NULL_X1Y129 INT_TILE_Y -1 TILEPROP NULL_X1Y129 IS_CENTER_TILE 0 TILEPROP NULL_X1Y129 IS_DCM_TILE 0 TILEPROP NULL_X1Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y129 NAME NULL_X1Y129 TILEPROP NULL_X1Y129 NUM_ARCS 0 TILEPROP NULL_X1Y129 NUM_SITES 0 TILEPROP NULL_X1Y129 ROW 27 TILEPROP NULL_X1Y129 SLR_REGION_ID 0 TILEPROP NULL_X1Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y129 TILE_TYPE NULL TILEPROP NULL_X1Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y129 TILE_X -101046 TILEPROP NULL_X1Y129 TILE_Y 158072 TILEPROP NULL_X1Y129 TYPE NULL TILEPROP NULL_X1Y132 CLASS tile TILEPROP NULL_X1Y132 COLUMN 1 TILEPROP NULL_X1Y132 DEVICE_ID 0 TILEPROP NULL_X1Y132 FIRST_SITE_ID 2401 TILEPROP NULL_X1Y132 GRID_POINT_X 1 TILEPROP NULL_X1Y132 GRID_POINT_Y 24 TILEPROP NULL_X1Y132 INDEX 2761 TILEPROP NULL_X1Y132 INT_TILE_X -1 TILEPROP NULL_X1Y132 INT_TILE_Y -1 TILEPROP NULL_X1Y132 IS_CENTER_TILE 0 TILEPROP NULL_X1Y132 IS_DCM_TILE 0 TILEPROP NULL_X1Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y132 NAME NULL_X1Y132 TILEPROP NULL_X1Y132 NUM_ARCS 0 TILEPROP NULL_X1Y132 NUM_SITES 0 TILEPROP NULL_X1Y132 ROW 24 TILEPROP NULL_X1Y132 SLR_REGION_ID 0 TILEPROP NULL_X1Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y132 TILE_TYPE NULL TILEPROP NULL_X1Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y132 TILE_X -101046 TILEPROP NULL_X1Y132 TILE_Y 165496 TILEPROP NULL_X1Y132 TYPE NULL TILEPROP NULL_X1Y134 CLASS tile TILEPROP NULL_X1Y134 COLUMN 1 TILEPROP NULL_X1Y134 DEVICE_ID 0 TILEPROP NULL_X1Y134 FIRST_SITE_ID 2201 TILEPROP NULL_X1Y134 GRID_POINT_X 1 TILEPROP NULL_X1Y134 GRID_POINT_Y 22 TILEPROP NULL_X1Y134 INDEX 2531 TILEPROP NULL_X1Y134 INT_TILE_X -1 TILEPROP NULL_X1Y134 INT_TILE_Y -1 TILEPROP NULL_X1Y134 IS_CENTER_TILE 0 TILEPROP NULL_X1Y134 IS_DCM_TILE 0 TILEPROP NULL_X1Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y134 NAME NULL_X1Y134 TILEPROP NULL_X1Y134 NUM_ARCS 0 TILEPROP NULL_X1Y134 NUM_SITES 0 TILEPROP NULL_X1Y134 ROW 22 TILEPROP NULL_X1Y134 SLR_REGION_ID 0 TILEPROP NULL_X1Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y134 TILE_TYPE NULL TILEPROP NULL_X1Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y134 TILE_X -101046 TILEPROP NULL_X1Y134 TILE_Y 171896 TILEPROP NULL_X1Y134 TYPE NULL TILEPROP NULL_X1Y136 CLASS tile TILEPROP NULL_X1Y136 COLUMN 1 TILEPROP NULL_X1Y136 DEVICE_ID 0 TILEPROP NULL_X1Y136 FIRST_SITE_ID 1983 TILEPROP NULL_X1Y136 GRID_POINT_X 1 TILEPROP NULL_X1Y136 GRID_POINT_Y 20 TILEPROP NULL_X1Y136 INDEX 2301 TILEPROP NULL_X1Y136 INT_TILE_X -1 TILEPROP NULL_X1Y136 INT_TILE_Y -1 TILEPROP NULL_X1Y136 IS_CENTER_TILE 0 TILEPROP NULL_X1Y136 IS_DCM_TILE 0 TILEPROP NULL_X1Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y136 NAME NULL_X1Y136 TILEPROP NULL_X1Y136 NUM_ARCS 0 TILEPROP NULL_X1Y136 NUM_SITES 0 TILEPROP NULL_X1Y136 ROW 20 TILEPROP NULL_X1Y136 SLR_REGION_ID 0 TILEPROP NULL_X1Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y136 TILE_TYPE NULL TILEPROP NULL_X1Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y136 TILE_X -101046 TILEPROP NULL_X1Y136 TILE_Y 178296 TILEPROP NULL_X1Y136 TYPE NULL TILEPROP NULL_X1Y138 CLASS tile TILEPROP NULL_X1Y138 COLUMN 1 TILEPROP NULL_X1Y138 DEVICE_ID 0 TILEPROP NULL_X1Y138 FIRST_SITE_ID 1781 TILEPROP NULL_X1Y138 GRID_POINT_X 1 TILEPROP NULL_X1Y138 GRID_POINT_Y 18 TILEPROP NULL_X1Y138 INDEX 2071 TILEPROP NULL_X1Y138 INT_TILE_X -1 TILEPROP NULL_X1Y138 INT_TILE_Y -1 TILEPROP NULL_X1Y138 IS_CENTER_TILE 0 TILEPROP NULL_X1Y138 IS_DCM_TILE 0 TILEPROP NULL_X1Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y138 NAME NULL_X1Y138 TILEPROP NULL_X1Y138 NUM_ARCS 0 TILEPROP NULL_X1Y138 NUM_SITES 0 TILEPROP NULL_X1Y138 ROW 18 TILEPROP NULL_X1Y138 SLR_REGION_ID 0 TILEPROP NULL_X1Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y138 TILE_TYPE NULL TILEPROP NULL_X1Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y138 TILE_X -101046 TILEPROP NULL_X1Y138 TILE_Y 184696 TILEPROP NULL_X1Y138 TYPE NULL TILEPROP NULL_X1Y140 CLASS tile TILEPROP NULL_X1Y140 COLUMN 1 TILEPROP NULL_X1Y140 DEVICE_ID 0 TILEPROP NULL_X1Y140 FIRST_SITE_ID 1576 TILEPROP NULL_X1Y140 GRID_POINT_X 1 TILEPROP NULL_X1Y140 GRID_POINT_Y 16 TILEPROP NULL_X1Y140 INDEX 1841 TILEPROP NULL_X1Y140 INT_TILE_X -1 TILEPROP NULL_X1Y140 INT_TILE_Y -1 TILEPROP NULL_X1Y140 IS_CENTER_TILE 0 TILEPROP NULL_X1Y140 IS_DCM_TILE 0 TILEPROP NULL_X1Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y140 NAME NULL_X1Y140 TILEPROP NULL_X1Y140 NUM_ARCS 0 TILEPROP NULL_X1Y140 NUM_SITES 0 TILEPROP NULL_X1Y140 ROW 16 TILEPROP NULL_X1Y140 SLR_REGION_ID 0 TILEPROP NULL_X1Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y140 TILE_TYPE NULL TILEPROP NULL_X1Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y140 TILE_X -101046 TILEPROP NULL_X1Y140 TILE_Y 191096 TILEPROP NULL_X1Y140 TYPE NULL TILEPROP NULL_X1Y142 CLASS tile TILEPROP NULL_X1Y142 COLUMN 1 TILEPROP NULL_X1Y142 DEVICE_ID 0 TILEPROP NULL_X1Y142 FIRST_SITE_ID 1332 TILEPROP NULL_X1Y142 GRID_POINT_X 1 TILEPROP NULL_X1Y142 GRID_POINT_Y 14 TILEPROP NULL_X1Y142 INDEX 1611 TILEPROP NULL_X1Y142 INT_TILE_X -1 TILEPROP NULL_X1Y142 INT_TILE_Y -1 TILEPROP NULL_X1Y142 IS_CENTER_TILE 0 TILEPROP NULL_X1Y142 IS_DCM_TILE 0 TILEPROP NULL_X1Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y142 NAME NULL_X1Y142 TILEPROP NULL_X1Y142 NUM_ARCS 0 TILEPROP NULL_X1Y142 NUM_SITES 0 TILEPROP NULL_X1Y142 ROW 14 TILEPROP NULL_X1Y142 SLR_REGION_ID 0 TILEPROP NULL_X1Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y142 TILE_TYPE NULL TILEPROP NULL_X1Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y142 TILE_X -101046 TILEPROP NULL_X1Y142 TILE_Y 197496 TILEPROP NULL_X1Y142 TYPE NULL TILEPROP NULL_X1Y144 CLASS tile TILEPROP NULL_X1Y144 COLUMN 1 TILEPROP NULL_X1Y144 DEVICE_ID 0 TILEPROP NULL_X1Y144 FIRST_SITE_ID 1132 TILEPROP NULL_X1Y144 GRID_POINT_X 1 TILEPROP NULL_X1Y144 GRID_POINT_Y 12 TILEPROP NULL_X1Y144 INDEX 1381 TILEPROP NULL_X1Y144 INT_TILE_X -1 TILEPROP NULL_X1Y144 INT_TILE_Y -1 TILEPROP NULL_X1Y144 IS_CENTER_TILE 0 TILEPROP NULL_X1Y144 IS_DCM_TILE 0 TILEPROP NULL_X1Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y144 NAME NULL_X1Y144 TILEPROP NULL_X1Y144 NUM_ARCS 0 TILEPROP NULL_X1Y144 NUM_SITES 0 TILEPROP NULL_X1Y144 ROW 12 TILEPROP NULL_X1Y144 SLR_REGION_ID 0 TILEPROP NULL_X1Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y144 TILE_TYPE NULL TILEPROP NULL_X1Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y144 TILE_X -101046 TILEPROP NULL_X1Y144 TILE_Y 203896 TILEPROP NULL_X1Y144 TYPE NULL TILEPROP NULL_X1Y146 CLASS tile TILEPROP NULL_X1Y146 COLUMN 1 TILEPROP NULL_X1Y146 DEVICE_ID 0 TILEPROP NULL_X1Y146 FIRST_SITE_ID 920 TILEPROP NULL_X1Y146 GRID_POINT_X 1 TILEPROP NULL_X1Y146 GRID_POINT_Y 10 TILEPROP NULL_X1Y146 INDEX 1151 TILEPROP NULL_X1Y146 INT_TILE_X -1 TILEPROP NULL_X1Y146 INT_TILE_Y -1 TILEPROP NULL_X1Y146 IS_CENTER_TILE 0 TILEPROP NULL_X1Y146 IS_DCM_TILE 0 TILEPROP NULL_X1Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y146 NAME NULL_X1Y146 TILEPROP NULL_X1Y146 NUM_ARCS 0 TILEPROP NULL_X1Y146 NUM_SITES 0 TILEPROP NULL_X1Y146 ROW 10 TILEPROP NULL_X1Y146 SLR_REGION_ID 0 TILEPROP NULL_X1Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y146 TILE_TYPE NULL TILEPROP NULL_X1Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y146 TILE_X -101046 TILEPROP NULL_X1Y146 TILE_Y 210296 TILEPROP NULL_X1Y146 TYPE NULL TILEPROP NULL_X1Y148 CLASS tile TILEPROP NULL_X1Y148 COLUMN 1 TILEPROP NULL_X1Y148 DEVICE_ID 0 TILEPROP NULL_X1Y148 FIRST_SITE_ID 719 TILEPROP NULL_X1Y148 GRID_POINT_X 1 TILEPROP NULL_X1Y148 GRID_POINT_Y 8 TILEPROP NULL_X1Y148 INDEX 921 TILEPROP NULL_X1Y148 INT_TILE_X -1 TILEPROP NULL_X1Y148 INT_TILE_Y -1 TILEPROP NULL_X1Y148 IS_CENTER_TILE 0 TILEPROP NULL_X1Y148 IS_DCM_TILE 0 TILEPROP NULL_X1Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y148 NAME NULL_X1Y148 TILEPROP NULL_X1Y148 NUM_ARCS 0 TILEPROP NULL_X1Y148 NUM_SITES 0 TILEPROP NULL_X1Y148 ROW 8 TILEPROP NULL_X1Y148 SLR_REGION_ID 0 TILEPROP NULL_X1Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y148 TILE_TYPE NULL TILEPROP NULL_X1Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y148 TILE_X -101046 TILEPROP NULL_X1Y148 TILE_Y 216696 TILEPROP NULL_X1Y148 TYPE NULL TILEPROP NULL_X1Y150 CLASS tile TILEPROP NULL_X1Y150 COLUMN 1 TILEPROP NULL_X1Y150 DEVICE_ID 0 TILEPROP NULL_X1Y150 FIRST_SITE_ID 512 TILEPROP NULL_X1Y150 GRID_POINT_X 1 TILEPROP NULL_X1Y150 GRID_POINT_Y 6 TILEPROP NULL_X1Y150 INDEX 691 TILEPROP NULL_X1Y150 INT_TILE_X -1 TILEPROP NULL_X1Y150 INT_TILE_Y -1 TILEPROP NULL_X1Y150 IS_CENTER_TILE 0 TILEPROP NULL_X1Y150 IS_DCM_TILE 0 TILEPROP NULL_X1Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y150 NAME NULL_X1Y150 TILEPROP NULL_X1Y150 NUM_ARCS 0 TILEPROP NULL_X1Y150 NUM_SITES 0 TILEPROP NULL_X1Y150 ROW 6 TILEPROP NULL_X1Y150 SLR_REGION_ID 0 TILEPROP NULL_X1Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y150 TILE_TYPE NULL TILEPROP NULL_X1Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y150 TILE_X -101046 TILEPROP NULL_X1Y150 TILE_Y 223096 TILEPROP NULL_X1Y150 TYPE NULL TILEPROP NULL_X1Y152 CLASS tile TILEPROP NULL_X1Y152 COLUMN 1 TILEPROP NULL_X1Y152 DEVICE_ID 0 TILEPROP NULL_X1Y152 FIRST_SITE_ID 300 TILEPROP NULL_X1Y152 GRID_POINT_X 1 TILEPROP NULL_X1Y152 GRID_POINT_Y 4 TILEPROP NULL_X1Y152 INDEX 461 TILEPROP NULL_X1Y152 INT_TILE_X -1 TILEPROP NULL_X1Y152 INT_TILE_Y -1 TILEPROP NULL_X1Y152 IS_CENTER_TILE 0 TILEPROP NULL_X1Y152 IS_DCM_TILE 0 TILEPROP NULL_X1Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y152 NAME NULL_X1Y152 TILEPROP NULL_X1Y152 NUM_ARCS 0 TILEPROP NULL_X1Y152 NUM_SITES 0 TILEPROP NULL_X1Y152 ROW 4 TILEPROP NULL_X1Y152 SLR_REGION_ID 0 TILEPROP NULL_X1Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y152 TILE_TYPE NULL TILEPROP NULL_X1Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y152 TILE_X -101046 TILEPROP NULL_X1Y152 TILE_Y 229496 TILEPROP NULL_X1Y152 TYPE NULL TILEPROP NULL_X1Y154 CLASS tile TILEPROP NULL_X1Y154 COLUMN 1 TILEPROP NULL_X1Y154 DEVICE_ID 0 TILEPROP NULL_X1Y154 FIRST_SITE_ID 100 TILEPROP NULL_X1Y154 GRID_POINT_X 1 TILEPROP NULL_X1Y154 GRID_POINT_Y 2 TILEPROP NULL_X1Y154 INDEX 231 TILEPROP NULL_X1Y154 INT_TILE_X -1 TILEPROP NULL_X1Y154 INT_TILE_Y -1 TILEPROP NULL_X1Y154 IS_CENTER_TILE 0 TILEPROP NULL_X1Y154 IS_DCM_TILE 0 TILEPROP NULL_X1Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y154 NAME NULL_X1Y154 TILEPROP NULL_X1Y154 NUM_ARCS 0 TILEPROP NULL_X1Y154 NUM_SITES 0 TILEPROP NULL_X1Y154 ROW 2 TILEPROP NULL_X1Y154 SLR_REGION_ID 0 TILEPROP NULL_X1Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y154 TILE_TYPE NULL TILEPROP NULL_X1Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y154 TILE_X -101046 TILEPROP NULL_X1Y154 TILE_Y 235896 TILEPROP NULL_X1Y154 TYPE NULL TILEPROP NULL_X1Y156 CLASS tile TILEPROP NULL_X1Y156 COLUMN 1 TILEPROP NULL_X1Y156 DEVICE_ID 0 TILEPROP NULL_X1Y156 FIRST_SITE_ID 0 TILEPROP NULL_X1Y156 GRID_POINT_X 1 TILEPROP NULL_X1Y156 GRID_POINT_Y 0 TILEPROP NULL_X1Y156 INDEX 1 TILEPROP NULL_X1Y156 INT_TILE_X -1 TILEPROP NULL_X1Y156 INT_TILE_Y -1 TILEPROP NULL_X1Y156 IS_CENTER_TILE 0 TILEPROP NULL_X1Y156 IS_DCM_TILE 0 TILEPROP NULL_X1Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X1Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X1Y156 NAME NULL_X1Y156 TILEPROP NULL_X1Y156 NUM_ARCS 0 TILEPROP NULL_X1Y156 NUM_SITES 0 TILEPROP NULL_X1Y156 ROW 0 TILEPROP NULL_X1Y156 SLR_REGION_ID 0 TILEPROP NULL_X1Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X1Y156 TILE_TYPE NULL TILEPROP NULL_X1Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X1Y156 TILE_X -101046 TILEPROP NULL_X1Y156 TILE_Y 242296 TILEPROP NULL_X1Y156 TYPE NULL TILEPROP NULL_X20Y0 CLASS tile TILEPROP NULL_X20Y0 COLUMN 20 TILEPROP NULL_X20Y0 DEVICE_ID 0 TILEPROP NULL_X20Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X20Y0 GRID_POINT_X 20 TILEPROP NULL_X20Y0 GRID_POINT_Y 156 TILEPROP NULL_X20Y0 INDEX 17960 TILEPROP NULL_X20Y0 INT_TILE_X 5 TILEPROP NULL_X20Y0 INT_TILE_Y 149 TILEPROP NULL_X20Y0 IS_CENTER_TILE 0 TILEPROP NULL_X20Y0 IS_DCM_TILE 0 TILEPROP NULL_X20Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X20Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X20Y0 NAME NULL_X20Y0 TILEPROP NULL_X20Y0 NUM_ARCS 0 TILEPROP NULL_X20Y0 NUM_SITES 0 TILEPROP NULL_X20Y0 ROW 156 TILEPROP NULL_X20Y0 SLR_REGION_ID 0 TILEPROP NULL_X20Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X20Y0 TILE_TYPE NULL TILEPROP NULL_X20Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X20Y0 TILE_X -66510 TILEPROP NULL_X20Y0 TILE_Y -244472 TILEPROP NULL_X20Y0 TYPE NULL TILEPROP NULL_X20Y52 CLASS tile TILEPROP NULL_X20Y52 COLUMN 20 TILEPROP NULL_X20Y52 DEVICE_ID 0 TILEPROP NULL_X20Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X20Y52 GRID_POINT_X 20 TILEPROP NULL_X20Y52 GRID_POINT_Y 104 TILEPROP NULL_X20Y52 INDEX 11980 TILEPROP NULL_X20Y52 INT_TILE_X 5 TILEPROP NULL_X20Y52 INT_TILE_Y 99 TILEPROP NULL_X20Y52 IS_CENTER_TILE 0 TILEPROP NULL_X20Y52 IS_DCM_TILE 0 TILEPROP NULL_X20Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X20Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X20Y52 NAME NULL_X20Y52 TILEPROP NULL_X20Y52 NUM_ARCS 0 TILEPROP NULL_X20Y52 NUM_SITES 0 TILEPROP NULL_X20Y52 ROW 104 TILEPROP NULL_X20Y52 SLR_REGION_ID 0 TILEPROP NULL_X20Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X20Y52 TILE_TYPE NULL TILEPROP NULL_X20Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X20Y52 TILE_X -66510 TILEPROP NULL_X20Y52 TILE_Y -80248 TILEPROP NULL_X20Y52 TYPE NULL TILEPROP NULL_X20Y104 CLASS tile TILEPROP NULL_X20Y104 COLUMN 20 TILEPROP NULL_X20Y104 DEVICE_ID 0 TILEPROP NULL_X20Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X20Y104 GRID_POINT_X 20 TILEPROP NULL_X20Y104 GRID_POINT_Y 52 TILEPROP NULL_X20Y104 INDEX 6000 TILEPROP NULL_X20Y104 INT_TILE_X 5 TILEPROP NULL_X20Y104 INT_TILE_Y 49 TILEPROP NULL_X20Y104 IS_CENTER_TILE 0 TILEPROP NULL_X20Y104 IS_DCM_TILE 0 TILEPROP NULL_X20Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X20Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X20Y104 NAME NULL_X20Y104 TILEPROP NULL_X20Y104 NUM_ARCS 0 TILEPROP NULL_X20Y104 NUM_SITES 0 TILEPROP NULL_X20Y104 ROW 52 TILEPROP NULL_X20Y104 SLR_REGION_ID 0 TILEPROP NULL_X20Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X20Y104 TILE_TYPE NULL TILEPROP NULL_X20Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X20Y104 TILE_X -66510 TILEPROP NULL_X20Y104 TILE_Y 81024 TILEPROP NULL_X20Y104 TYPE NULL TILEPROP NULL_X20Y156 CLASS tile TILEPROP NULL_X20Y156 COLUMN 20 TILEPROP NULL_X20Y156 DEVICE_ID 0 TILEPROP NULL_X20Y156 FIRST_SITE_ID 0 TILEPROP NULL_X20Y156 GRID_POINT_X 20 TILEPROP NULL_X20Y156 GRID_POINT_Y 0 TILEPROP NULL_X20Y156 INDEX 20 TILEPROP NULL_X20Y156 INT_TILE_X -1 TILEPROP NULL_X20Y156 INT_TILE_Y -1 TILEPROP NULL_X20Y156 IS_CENTER_TILE 0 TILEPROP NULL_X20Y156 IS_DCM_TILE 0 TILEPROP NULL_X20Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X20Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X20Y156 NAME NULL_X20Y156 TILEPROP NULL_X20Y156 NUM_ARCS 0 TILEPROP NULL_X20Y156 NUM_SITES 0 TILEPROP NULL_X20Y156 ROW 0 TILEPROP NULL_X20Y156 SLR_REGION_ID 0 TILEPROP NULL_X20Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X20Y156 TILE_TYPE NULL TILEPROP NULL_X20Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X20Y156 TILE_X -66510 TILEPROP NULL_X20Y156 TILE_Y 242296 TILEPROP NULL_X20Y156 TYPE NULL TILEPROP NULL_X23Y0 CLASS tile TILEPROP NULL_X23Y0 COLUMN 23 TILEPROP NULL_X23Y0 DEVICE_ID 0 TILEPROP NULL_X23Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X23Y0 GRID_POINT_X 23 TILEPROP NULL_X23Y0 GRID_POINT_Y 156 TILEPROP NULL_X23Y0 INDEX 17963 TILEPROP NULL_X23Y0 INT_TILE_X 7 TILEPROP NULL_X23Y0 INT_TILE_Y 149 TILEPROP NULL_X23Y0 IS_CENTER_TILE 0 TILEPROP NULL_X23Y0 IS_DCM_TILE 0 TILEPROP NULL_X23Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X23Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X23Y0 NAME NULL_X23Y0 TILEPROP NULL_X23Y0 NUM_ARCS 0 TILEPROP NULL_X23Y0 NUM_SITES 0 TILEPROP NULL_X23Y0 ROW 156 TILEPROP NULL_X23Y0 SLR_REGION_ID 0 TILEPROP NULL_X23Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X23Y0 TILE_TYPE NULL TILEPROP NULL_X23Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X23Y0 TILE_X -59534 TILEPROP NULL_X23Y0 TILE_Y -244472 TILEPROP NULL_X23Y0 TYPE NULL TILEPROP NULL_X23Y156 CLASS tile TILEPROP NULL_X23Y156 COLUMN 23 TILEPROP NULL_X23Y156 DEVICE_ID 0 TILEPROP NULL_X23Y156 FIRST_SITE_ID 0 TILEPROP NULL_X23Y156 GRID_POINT_X 23 TILEPROP NULL_X23Y156 GRID_POINT_Y 0 TILEPROP NULL_X23Y156 INDEX 23 TILEPROP NULL_X23Y156 INT_TILE_X -1 TILEPROP NULL_X23Y156 INT_TILE_Y -1 TILEPROP NULL_X23Y156 IS_CENTER_TILE 0 TILEPROP NULL_X23Y156 IS_DCM_TILE 0 TILEPROP NULL_X23Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X23Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X23Y156 NAME NULL_X23Y156 TILEPROP NULL_X23Y156 NUM_ARCS 0 TILEPROP NULL_X23Y156 NUM_SITES 0 TILEPROP NULL_X23Y156 ROW 0 TILEPROP NULL_X23Y156 SLR_REGION_ID 0 TILEPROP NULL_X23Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X23Y156 TILE_TYPE NULL TILEPROP NULL_X23Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X23Y156 TILE_X -59534 TILEPROP NULL_X23Y156 TILE_Y 242296 TILEPROP NULL_X23Y156 TYPE NULL TILEPROP NULL_X24Y0 CLASS tile TILEPROP NULL_X24Y0 COLUMN 24 TILEPROP NULL_X24Y0 DEVICE_ID 0 TILEPROP NULL_X24Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X24Y0 GRID_POINT_X 24 TILEPROP NULL_X24Y0 GRID_POINT_Y 156 TILEPROP NULL_X24Y0 INDEX 17964 TILEPROP NULL_X24Y0 INT_TILE_X 7 TILEPROP NULL_X24Y0 INT_TILE_Y 149 TILEPROP NULL_X24Y0 IS_CENTER_TILE 0 TILEPROP NULL_X24Y0 IS_DCM_TILE 0 TILEPROP NULL_X24Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X24Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X24Y0 NAME NULL_X24Y0 TILEPROP NULL_X24Y0 NUM_ARCS 0 TILEPROP NULL_X24Y0 NUM_SITES 0 TILEPROP NULL_X24Y0 ROW 156 TILEPROP NULL_X24Y0 SLR_REGION_ID 0 TILEPROP NULL_X24Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X24Y0 TILE_TYPE NULL TILEPROP NULL_X24Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X24Y0 TILE_X -58838 TILEPROP NULL_X24Y0 TILE_Y -244472 TILEPROP NULL_X24Y0 TYPE NULL TILEPROP NULL_X24Y156 CLASS tile TILEPROP NULL_X24Y156 COLUMN 24 TILEPROP NULL_X24Y156 DEVICE_ID 0 TILEPROP NULL_X24Y156 FIRST_SITE_ID 0 TILEPROP NULL_X24Y156 GRID_POINT_X 24 TILEPROP NULL_X24Y156 GRID_POINT_Y 0 TILEPROP NULL_X24Y156 INDEX 24 TILEPROP NULL_X24Y156 INT_TILE_X -1 TILEPROP NULL_X24Y156 INT_TILE_Y -1 TILEPROP NULL_X24Y156 IS_CENTER_TILE 0 TILEPROP NULL_X24Y156 IS_DCM_TILE 0 TILEPROP NULL_X24Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X24Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X24Y156 NAME NULL_X24Y156 TILEPROP NULL_X24Y156 NUM_ARCS 0 TILEPROP NULL_X24Y156 NUM_SITES 0 TILEPROP NULL_X24Y156 ROW 0 TILEPROP NULL_X24Y156 SLR_REGION_ID 0 TILEPROP NULL_X24Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X24Y156 TILE_TYPE NULL TILEPROP NULL_X24Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X24Y156 TILE_X -58838 TILEPROP NULL_X24Y156 TILE_Y 242296 TILEPROP NULL_X24Y156 TYPE NULL TILEPROP NULL_X27Y0 CLASS tile TILEPROP NULL_X27Y0 COLUMN 27 TILEPROP NULL_X27Y0 DEVICE_ID 0 TILEPROP NULL_X27Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X27Y0 GRID_POINT_X 27 TILEPROP NULL_X27Y0 GRID_POINT_Y 156 TILEPROP NULL_X27Y0 INDEX 17967 TILEPROP NULL_X27Y0 INT_TILE_X 9 TILEPROP NULL_X27Y0 INT_TILE_Y 149 TILEPROP NULL_X27Y0 IS_CENTER_TILE 0 TILEPROP NULL_X27Y0 IS_DCM_TILE 0 TILEPROP NULL_X27Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X27Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X27Y0 NAME NULL_X27Y0 TILEPROP NULL_X27Y0 NUM_ARCS 0 TILEPROP NULL_X27Y0 NUM_SITES 0 TILEPROP NULL_X27Y0 ROW 156 TILEPROP NULL_X27Y0 SLR_REGION_ID 0 TILEPROP NULL_X27Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X27Y0 TILE_TYPE NULL TILEPROP NULL_X27Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X27Y0 TILE_X -51230 TILEPROP NULL_X27Y0 TILE_Y -244472 TILEPROP NULL_X27Y0 TYPE NULL TILEPROP NULL_X27Y52 CLASS tile TILEPROP NULL_X27Y52 COLUMN 27 TILEPROP NULL_X27Y52 DEVICE_ID 0 TILEPROP NULL_X27Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X27Y52 GRID_POINT_X 27 TILEPROP NULL_X27Y52 GRID_POINT_Y 104 TILEPROP NULL_X27Y52 INDEX 11987 TILEPROP NULL_X27Y52 INT_TILE_X 9 TILEPROP NULL_X27Y52 INT_TILE_Y 99 TILEPROP NULL_X27Y52 IS_CENTER_TILE 0 TILEPROP NULL_X27Y52 IS_DCM_TILE 0 TILEPROP NULL_X27Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X27Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X27Y52 NAME NULL_X27Y52 TILEPROP NULL_X27Y52 NUM_ARCS 0 TILEPROP NULL_X27Y52 NUM_SITES 0 TILEPROP NULL_X27Y52 ROW 104 TILEPROP NULL_X27Y52 SLR_REGION_ID 0 TILEPROP NULL_X27Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X27Y52 TILE_TYPE NULL TILEPROP NULL_X27Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X27Y52 TILE_X -51230 TILEPROP NULL_X27Y52 TILE_Y -80248 TILEPROP NULL_X27Y52 TYPE NULL TILEPROP NULL_X27Y104 CLASS tile TILEPROP NULL_X27Y104 COLUMN 27 TILEPROP NULL_X27Y104 DEVICE_ID 0 TILEPROP NULL_X27Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X27Y104 GRID_POINT_X 27 TILEPROP NULL_X27Y104 GRID_POINT_Y 52 TILEPROP NULL_X27Y104 INDEX 6007 TILEPROP NULL_X27Y104 INT_TILE_X 9 TILEPROP NULL_X27Y104 INT_TILE_Y 49 TILEPROP NULL_X27Y104 IS_CENTER_TILE 0 TILEPROP NULL_X27Y104 IS_DCM_TILE 0 TILEPROP NULL_X27Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X27Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X27Y104 NAME NULL_X27Y104 TILEPROP NULL_X27Y104 NUM_ARCS 0 TILEPROP NULL_X27Y104 NUM_SITES 0 TILEPROP NULL_X27Y104 ROW 52 TILEPROP NULL_X27Y104 SLR_REGION_ID 0 TILEPROP NULL_X27Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X27Y104 TILE_TYPE NULL TILEPROP NULL_X27Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X27Y104 TILE_X -51230 TILEPROP NULL_X27Y104 TILE_Y 81024 TILEPROP NULL_X27Y104 TYPE NULL TILEPROP NULL_X27Y156 CLASS tile TILEPROP NULL_X27Y156 COLUMN 27 TILEPROP NULL_X27Y156 DEVICE_ID 0 TILEPROP NULL_X27Y156 FIRST_SITE_ID 0 TILEPROP NULL_X27Y156 GRID_POINT_X 27 TILEPROP NULL_X27Y156 GRID_POINT_Y 0 TILEPROP NULL_X27Y156 INDEX 27 TILEPROP NULL_X27Y156 INT_TILE_X -1 TILEPROP NULL_X27Y156 INT_TILE_Y -1 TILEPROP NULL_X27Y156 IS_CENTER_TILE 0 TILEPROP NULL_X27Y156 IS_DCM_TILE 0 TILEPROP NULL_X27Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X27Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X27Y156 NAME NULL_X27Y156 TILEPROP NULL_X27Y156 NUM_ARCS 0 TILEPROP NULL_X27Y156 NUM_SITES 0 TILEPROP NULL_X27Y156 ROW 0 TILEPROP NULL_X27Y156 SLR_REGION_ID 0 TILEPROP NULL_X27Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X27Y156 TILE_TYPE NULL TILEPROP NULL_X27Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X27Y156 TILE_X -51230 TILEPROP NULL_X27Y156 TILE_Y 242296 TILEPROP NULL_X27Y156 TYPE NULL TILEPROP NULL_X28Y0 CLASS tile TILEPROP NULL_X28Y0 COLUMN 28 TILEPROP NULL_X28Y0 DEVICE_ID 0 TILEPROP NULL_X28Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X28Y0 GRID_POINT_X 28 TILEPROP NULL_X28Y0 GRID_POINT_Y 156 TILEPROP NULL_X28Y0 INDEX 17968 TILEPROP NULL_X28Y0 INT_TILE_X 9 TILEPROP NULL_X28Y0 INT_TILE_Y 149 TILEPROP NULL_X28Y0 IS_CENTER_TILE 0 TILEPROP NULL_X28Y0 IS_DCM_TILE 0 TILEPROP NULL_X28Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y0 NAME NULL_X28Y0 TILEPROP NULL_X28Y0 NUM_ARCS 0 TILEPROP NULL_X28Y0 NUM_SITES 0 TILEPROP NULL_X28Y0 ROW 156 TILEPROP NULL_X28Y0 SLR_REGION_ID 0 TILEPROP NULL_X28Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y0 TILE_TYPE NULL TILEPROP NULL_X28Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y0 TILE_X -51166 TILEPROP NULL_X28Y0 TILE_Y -244472 TILEPROP NULL_X28Y0 TYPE NULL TILEPROP NULL_X28Y2 CLASS tile TILEPROP NULL_X28Y2 COLUMN 28 TILEPROP NULL_X28Y2 DEVICE_ID 0 TILEPROP NULL_X28Y2 FIRST_SITE_ID 15623 TILEPROP NULL_X28Y2 GRID_POINT_X 28 TILEPROP NULL_X28Y2 GRID_POINT_Y 154 TILEPROP NULL_X28Y2 INDEX 17738 TILEPROP NULL_X28Y2 INT_TILE_X 9 TILEPROP NULL_X28Y2 INT_TILE_Y 148 TILEPROP NULL_X28Y2 IS_CENTER_TILE 0 TILEPROP NULL_X28Y2 IS_DCM_TILE 0 TILEPROP NULL_X28Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y2 NAME NULL_X28Y2 TILEPROP NULL_X28Y2 NUM_ARCS 0 TILEPROP NULL_X28Y2 NUM_SITES 0 TILEPROP NULL_X28Y2 ROW 154 TILEPROP NULL_X28Y2 SLR_REGION_ID 0 TILEPROP NULL_X28Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y2 TILE_TYPE NULL TILEPROP NULL_X28Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y2 TILE_X -51166 TILEPROP NULL_X28Y2 TILE_Y -238072 TILEPROP NULL_X28Y2 TYPE NULL TILEPROP NULL_X28Y3 CLASS tile TILEPROP NULL_X28Y3 COLUMN 28 TILEPROP NULL_X28Y3 DEVICE_ID 0 TILEPROP NULL_X28Y3 FIRST_SITE_ID 15523 TILEPROP NULL_X28Y3 GRID_POINT_X 28 TILEPROP NULL_X28Y3 GRID_POINT_Y 153 TILEPROP NULL_X28Y3 INDEX 17623 TILEPROP NULL_X28Y3 INT_TILE_X 9 TILEPROP NULL_X28Y3 INT_TILE_Y 147 TILEPROP NULL_X28Y3 IS_CENTER_TILE 0 TILEPROP NULL_X28Y3 IS_DCM_TILE 0 TILEPROP NULL_X28Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y3 NAME NULL_X28Y3 TILEPROP NULL_X28Y3 NUM_ARCS 0 TILEPROP NULL_X28Y3 NUM_SITES 0 TILEPROP NULL_X28Y3 ROW 153 TILEPROP NULL_X28Y3 SLR_REGION_ID 0 TILEPROP NULL_X28Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y3 TILE_TYPE NULL TILEPROP NULL_X28Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y3 TILE_X -51166 TILEPROP NULL_X28Y3 TILE_Y -234872 TILEPROP NULL_X28Y3 TYPE NULL TILEPROP NULL_X28Y4 CLASS tile TILEPROP NULL_X28Y4 COLUMN 28 TILEPROP NULL_X28Y4 DEVICE_ID 0 TILEPROP NULL_X28Y4 FIRST_SITE_ID 15423 TILEPROP NULL_X28Y4 GRID_POINT_X 28 TILEPROP NULL_X28Y4 GRID_POINT_Y 152 TILEPROP NULL_X28Y4 INDEX 17508 TILEPROP NULL_X28Y4 INT_TILE_X 9 TILEPROP NULL_X28Y4 INT_TILE_Y 146 TILEPROP NULL_X28Y4 IS_CENTER_TILE 0 TILEPROP NULL_X28Y4 IS_DCM_TILE 0 TILEPROP NULL_X28Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y4 NAME NULL_X28Y4 TILEPROP NULL_X28Y4 NUM_ARCS 0 TILEPROP NULL_X28Y4 NUM_SITES 0 TILEPROP NULL_X28Y4 ROW 152 TILEPROP NULL_X28Y4 SLR_REGION_ID 0 TILEPROP NULL_X28Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y4 TILE_TYPE NULL TILEPROP NULL_X28Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y4 TILE_X -51166 TILEPROP NULL_X28Y4 TILE_Y -231672 TILEPROP NULL_X28Y4 TYPE NULL TILEPROP NULL_X28Y5 CLASS tile TILEPROP NULL_X28Y5 COLUMN 28 TILEPROP NULL_X28Y5 DEVICE_ID 0 TILEPROP NULL_X28Y5 FIRST_SITE_ID 15323 TILEPROP NULL_X28Y5 GRID_POINT_X 28 TILEPROP NULL_X28Y5 GRID_POINT_Y 151 TILEPROP NULL_X28Y5 INDEX 17393 TILEPROP NULL_X28Y5 INT_TILE_X 9 TILEPROP NULL_X28Y5 INT_TILE_Y 145 TILEPROP NULL_X28Y5 IS_CENTER_TILE 0 TILEPROP NULL_X28Y5 IS_DCM_TILE 0 TILEPROP NULL_X28Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y5 NAME NULL_X28Y5 TILEPROP NULL_X28Y5 NUM_ARCS 0 TILEPROP NULL_X28Y5 NUM_SITES 0 TILEPROP NULL_X28Y5 ROW 151 TILEPROP NULL_X28Y5 SLR_REGION_ID 0 TILEPROP NULL_X28Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y5 TILE_TYPE NULL TILEPROP NULL_X28Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y5 TILE_X -51166 TILEPROP NULL_X28Y5 TILE_Y -228472 TILEPROP NULL_X28Y5 TYPE NULL TILEPROP NULL_X28Y7 CLASS tile TILEPROP NULL_X28Y7 COLUMN 28 TILEPROP NULL_X28Y7 DEVICE_ID 0 TILEPROP NULL_X28Y7 FIRST_SITE_ID 15108 TILEPROP NULL_X28Y7 GRID_POINT_X 28 TILEPROP NULL_X28Y7 GRID_POINT_Y 149 TILEPROP NULL_X28Y7 INDEX 17163 TILEPROP NULL_X28Y7 INT_TILE_X 9 TILEPROP NULL_X28Y7 INT_TILE_Y 143 TILEPROP NULL_X28Y7 IS_CENTER_TILE 0 TILEPROP NULL_X28Y7 IS_DCM_TILE 0 TILEPROP NULL_X28Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y7 NAME NULL_X28Y7 TILEPROP NULL_X28Y7 NUM_ARCS 0 TILEPROP NULL_X28Y7 NUM_SITES 0 TILEPROP NULL_X28Y7 ROW 149 TILEPROP NULL_X28Y7 SLR_REGION_ID 0 TILEPROP NULL_X28Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y7 TILE_TYPE NULL TILEPROP NULL_X28Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y7 TILE_X -51166 TILEPROP NULL_X28Y7 TILE_Y -222072 TILEPROP NULL_X28Y7 TYPE NULL TILEPROP NULL_X28Y8 CLASS tile TILEPROP NULL_X28Y8 COLUMN 28 TILEPROP NULL_X28Y8 DEVICE_ID 0 TILEPROP NULL_X28Y8 FIRST_SITE_ID 15006 TILEPROP NULL_X28Y8 GRID_POINT_X 28 TILEPROP NULL_X28Y8 GRID_POINT_Y 148 TILEPROP NULL_X28Y8 INDEX 17048 TILEPROP NULL_X28Y8 INT_TILE_X 9 TILEPROP NULL_X28Y8 INT_TILE_Y 142 TILEPROP NULL_X28Y8 IS_CENTER_TILE 0 TILEPROP NULL_X28Y8 IS_DCM_TILE 0 TILEPROP NULL_X28Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y8 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y8 NAME NULL_X28Y8 TILEPROP NULL_X28Y8 NUM_ARCS 0 TILEPROP NULL_X28Y8 NUM_SITES 0 TILEPROP NULL_X28Y8 ROW 148 TILEPROP NULL_X28Y8 SLR_REGION_ID 0 TILEPROP NULL_X28Y8 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y8 TILE_TYPE NULL TILEPROP NULL_X28Y8 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y8 TILE_X -51166 TILEPROP NULL_X28Y8 TILE_Y -218872 TILEPROP NULL_X28Y8 TYPE NULL TILEPROP NULL_X28Y9 CLASS tile TILEPROP NULL_X28Y9 COLUMN 28 TILEPROP NULL_X28Y9 DEVICE_ID 0 TILEPROP NULL_X28Y9 FIRST_SITE_ID 14903 TILEPROP NULL_X28Y9 GRID_POINT_X 28 TILEPROP NULL_X28Y9 GRID_POINT_Y 147 TILEPROP NULL_X28Y9 INDEX 16933 TILEPROP NULL_X28Y9 INT_TILE_X 9 TILEPROP NULL_X28Y9 INT_TILE_Y 141 TILEPROP NULL_X28Y9 IS_CENTER_TILE 0 TILEPROP NULL_X28Y9 IS_DCM_TILE 0 TILEPROP NULL_X28Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y9 NAME NULL_X28Y9 TILEPROP NULL_X28Y9 NUM_ARCS 0 TILEPROP NULL_X28Y9 NUM_SITES 0 TILEPROP NULL_X28Y9 ROW 147 TILEPROP NULL_X28Y9 SLR_REGION_ID 0 TILEPROP NULL_X28Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y9 TILE_TYPE NULL TILEPROP NULL_X28Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y9 TILE_X -51166 TILEPROP NULL_X28Y9 TILE_Y -215672 TILEPROP NULL_X28Y9 TYPE NULL TILEPROP NULL_X28Y10 CLASS tile TILEPROP NULL_X28Y10 COLUMN 28 TILEPROP NULL_X28Y10 DEVICE_ID 0 TILEPROP NULL_X28Y10 FIRST_SITE_ID 14802 TILEPROP NULL_X28Y10 GRID_POINT_X 28 TILEPROP NULL_X28Y10 GRID_POINT_Y 146 TILEPROP NULL_X28Y10 INDEX 16818 TILEPROP NULL_X28Y10 INT_TILE_X 9 TILEPROP NULL_X28Y10 INT_TILE_Y 140 TILEPROP NULL_X28Y10 IS_CENTER_TILE 0 TILEPROP NULL_X28Y10 IS_DCM_TILE 0 TILEPROP NULL_X28Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y10 NAME NULL_X28Y10 TILEPROP NULL_X28Y10 NUM_ARCS 0 TILEPROP NULL_X28Y10 NUM_SITES 0 TILEPROP NULL_X28Y10 ROW 146 TILEPROP NULL_X28Y10 SLR_REGION_ID 0 TILEPROP NULL_X28Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y10 TILE_TYPE NULL TILEPROP NULL_X28Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y10 TILE_X -51166 TILEPROP NULL_X28Y10 TILE_Y -212472 TILEPROP NULL_X28Y10 TYPE NULL TILEPROP NULL_X28Y12 CLASS tile TILEPROP NULL_X28Y12 COLUMN 28 TILEPROP NULL_X28Y12 DEVICE_ID 0 TILEPROP NULL_X28Y12 FIRST_SITE_ID 14587 TILEPROP NULL_X28Y12 GRID_POINT_X 28 TILEPROP NULL_X28Y12 GRID_POINT_Y 144 TILEPROP NULL_X28Y12 INDEX 16588 TILEPROP NULL_X28Y12 INT_TILE_X 9 TILEPROP NULL_X28Y12 INT_TILE_Y 138 TILEPROP NULL_X28Y12 IS_CENTER_TILE 0 TILEPROP NULL_X28Y12 IS_DCM_TILE 0 TILEPROP NULL_X28Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y12 NAME NULL_X28Y12 TILEPROP NULL_X28Y12 NUM_ARCS 0 TILEPROP NULL_X28Y12 NUM_SITES 0 TILEPROP NULL_X28Y12 ROW 144 TILEPROP NULL_X28Y12 SLR_REGION_ID 0 TILEPROP NULL_X28Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y12 TILE_TYPE NULL TILEPROP NULL_X28Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y12 TILE_X -51166 TILEPROP NULL_X28Y12 TILE_Y -206072 TILEPROP NULL_X28Y12 TYPE NULL TILEPROP NULL_X28Y13 CLASS tile TILEPROP NULL_X28Y13 COLUMN 28 TILEPROP NULL_X28Y13 DEVICE_ID 0 TILEPROP NULL_X28Y13 FIRST_SITE_ID 14455 TILEPROP NULL_X28Y13 GRID_POINT_X 28 TILEPROP NULL_X28Y13 GRID_POINT_Y 143 TILEPROP NULL_X28Y13 INDEX 16473 TILEPROP NULL_X28Y13 INT_TILE_X 9 TILEPROP NULL_X28Y13 INT_TILE_Y 137 TILEPROP NULL_X28Y13 IS_CENTER_TILE 0 TILEPROP NULL_X28Y13 IS_DCM_TILE 0 TILEPROP NULL_X28Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y13 NAME NULL_X28Y13 TILEPROP NULL_X28Y13 NUM_ARCS 0 TILEPROP NULL_X28Y13 NUM_SITES 0 TILEPROP NULL_X28Y13 ROW 143 TILEPROP NULL_X28Y13 SLR_REGION_ID 0 TILEPROP NULL_X28Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y13 TILE_TYPE NULL TILEPROP NULL_X28Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y13 TILE_X -51166 TILEPROP NULL_X28Y13 TILE_Y -202872 TILEPROP NULL_X28Y13 TYPE NULL TILEPROP NULL_X28Y14 CLASS tile TILEPROP NULL_X28Y14 COLUMN 28 TILEPROP NULL_X28Y14 DEVICE_ID 0 TILEPROP NULL_X28Y14 FIRST_SITE_ID 14355 TILEPROP NULL_X28Y14 GRID_POINT_X 28 TILEPROP NULL_X28Y14 GRID_POINT_Y 142 TILEPROP NULL_X28Y14 INDEX 16358 TILEPROP NULL_X28Y14 INT_TILE_X 9 TILEPROP NULL_X28Y14 INT_TILE_Y 136 TILEPROP NULL_X28Y14 IS_CENTER_TILE 0 TILEPROP NULL_X28Y14 IS_DCM_TILE 0 TILEPROP NULL_X28Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y14 NAME NULL_X28Y14 TILEPROP NULL_X28Y14 NUM_ARCS 0 TILEPROP NULL_X28Y14 NUM_SITES 0 TILEPROP NULL_X28Y14 ROW 142 TILEPROP NULL_X28Y14 SLR_REGION_ID 0 TILEPROP NULL_X28Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y14 TILE_TYPE NULL TILEPROP NULL_X28Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y14 TILE_X -51166 TILEPROP NULL_X28Y14 TILE_Y -199672 TILEPROP NULL_X28Y14 TYPE NULL TILEPROP NULL_X28Y15 CLASS tile TILEPROP NULL_X28Y15 COLUMN 28 TILEPROP NULL_X28Y15 DEVICE_ID 0 TILEPROP NULL_X28Y15 FIRST_SITE_ID 14255 TILEPROP NULL_X28Y15 GRID_POINT_X 28 TILEPROP NULL_X28Y15 GRID_POINT_Y 141 TILEPROP NULL_X28Y15 INDEX 16243 TILEPROP NULL_X28Y15 INT_TILE_X 9 TILEPROP NULL_X28Y15 INT_TILE_Y 135 TILEPROP NULL_X28Y15 IS_CENTER_TILE 0 TILEPROP NULL_X28Y15 IS_DCM_TILE 0 TILEPROP NULL_X28Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y15 NAME NULL_X28Y15 TILEPROP NULL_X28Y15 NUM_ARCS 0 TILEPROP NULL_X28Y15 NUM_SITES 0 TILEPROP NULL_X28Y15 ROW 141 TILEPROP NULL_X28Y15 SLR_REGION_ID 0 TILEPROP NULL_X28Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y15 TILE_TYPE NULL TILEPROP NULL_X28Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y15 TILE_X -51166 TILEPROP NULL_X28Y15 TILE_Y -196472 TILEPROP NULL_X28Y15 TYPE NULL TILEPROP NULL_X28Y17 CLASS tile TILEPROP NULL_X28Y17 COLUMN 28 TILEPROP NULL_X28Y17 DEVICE_ID 0 TILEPROP NULL_X28Y17 FIRST_SITE_ID 14040 TILEPROP NULL_X28Y17 GRID_POINT_X 28 TILEPROP NULL_X28Y17 GRID_POINT_Y 139 TILEPROP NULL_X28Y17 INDEX 16013 TILEPROP NULL_X28Y17 INT_TILE_X 9 TILEPROP NULL_X28Y17 INT_TILE_Y 133 TILEPROP NULL_X28Y17 IS_CENTER_TILE 0 TILEPROP NULL_X28Y17 IS_DCM_TILE 0 TILEPROP NULL_X28Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y17 NAME NULL_X28Y17 TILEPROP NULL_X28Y17 NUM_ARCS 0 TILEPROP NULL_X28Y17 NUM_SITES 0 TILEPROP NULL_X28Y17 ROW 139 TILEPROP NULL_X28Y17 SLR_REGION_ID 0 TILEPROP NULL_X28Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y17 TILE_TYPE NULL TILEPROP NULL_X28Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y17 TILE_X -51166 TILEPROP NULL_X28Y17 TILE_Y -190072 TILEPROP NULL_X28Y17 TYPE NULL TILEPROP NULL_X28Y18 CLASS tile TILEPROP NULL_X28Y18 COLUMN 28 TILEPROP NULL_X28Y18 DEVICE_ID 0 TILEPROP NULL_X28Y18 FIRST_SITE_ID 13935 TILEPROP NULL_X28Y18 GRID_POINT_X 28 TILEPROP NULL_X28Y18 GRID_POINT_Y 138 TILEPROP NULL_X28Y18 INDEX 15898 TILEPROP NULL_X28Y18 INT_TILE_X 9 TILEPROP NULL_X28Y18 INT_TILE_Y 132 TILEPROP NULL_X28Y18 IS_CENTER_TILE 0 TILEPROP NULL_X28Y18 IS_DCM_TILE 0 TILEPROP NULL_X28Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y18 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y18 NAME NULL_X28Y18 TILEPROP NULL_X28Y18 NUM_ARCS 0 TILEPROP NULL_X28Y18 NUM_SITES 0 TILEPROP NULL_X28Y18 ROW 138 TILEPROP NULL_X28Y18 SLR_REGION_ID 0 TILEPROP NULL_X28Y18 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y18 TILE_TYPE NULL TILEPROP NULL_X28Y18 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y18 TILE_X -51166 TILEPROP NULL_X28Y18 TILE_Y -186872 TILEPROP NULL_X28Y18 TYPE NULL TILEPROP NULL_X28Y19 CLASS tile TILEPROP NULL_X28Y19 COLUMN 28 TILEPROP NULL_X28Y19 DEVICE_ID 0 TILEPROP NULL_X28Y19 FIRST_SITE_ID 13831 TILEPROP NULL_X28Y19 GRID_POINT_X 28 TILEPROP NULL_X28Y19 GRID_POINT_Y 137 TILEPROP NULL_X28Y19 INDEX 15783 TILEPROP NULL_X28Y19 INT_TILE_X 9 TILEPROP NULL_X28Y19 INT_TILE_Y 131 TILEPROP NULL_X28Y19 IS_CENTER_TILE 0 TILEPROP NULL_X28Y19 IS_DCM_TILE 0 TILEPROP NULL_X28Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y19 NAME NULL_X28Y19 TILEPROP NULL_X28Y19 NUM_ARCS 0 TILEPROP NULL_X28Y19 NUM_SITES 0 TILEPROP NULL_X28Y19 ROW 137 TILEPROP NULL_X28Y19 SLR_REGION_ID 0 TILEPROP NULL_X28Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y19 TILE_TYPE NULL TILEPROP NULL_X28Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y19 TILE_X -51166 TILEPROP NULL_X28Y19 TILE_Y -183672 TILEPROP NULL_X28Y19 TYPE NULL TILEPROP NULL_X28Y20 CLASS tile TILEPROP NULL_X28Y20 COLUMN 28 TILEPROP NULL_X28Y20 DEVICE_ID 0 TILEPROP NULL_X28Y20 FIRST_SITE_ID 13729 TILEPROP NULL_X28Y20 GRID_POINT_X 28 TILEPROP NULL_X28Y20 GRID_POINT_Y 136 TILEPROP NULL_X28Y20 INDEX 15668 TILEPROP NULL_X28Y20 INT_TILE_X 9 TILEPROP NULL_X28Y20 INT_TILE_Y 130 TILEPROP NULL_X28Y20 IS_CENTER_TILE 0 TILEPROP NULL_X28Y20 IS_DCM_TILE 0 TILEPROP NULL_X28Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y20 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y20 NAME NULL_X28Y20 TILEPROP NULL_X28Y20 NUM_ARCS 0 TILEPROP NULL_X28Y20 NUM_SITES 0 TILEPROP NULL_X28Y20 ROW 136 TILEPROP NULL_X28Y20 SLR_REGION_ID 0 TILEPROP NULL_X28Y20 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y20 TILE_TYPE NULL TILEPROP NULL_X28Y20 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y20 TILE_X -51166 TILEPROP NULL_X28Y20 TILE_Y -180472 TILEPROP NULL_X28Y20 TYPE NULL TILEPROP NULL_X28Y22 CLASS tile TILEPROP NULL_X28Y22 COLUMN 28 TILEPROP NULL_X28Y22 DEVICE_ID 0 TILEPROP NULL_X28Y22 FIRST_SITE_ID 13512 TILEPROP NULL_X28Y22 GRID_POINT_X 28 TILEPROP NULL_X28Y22 GRID_POINT_Y 134 TILEPROP NULL_X28Y22 INDEX 15438 TILEPROP NULL_X28Y22 INT_TILE_X 9 TILEPROP NULL_X28Y22 INT_TILE_Y 128 TILEPROP NULL_X28Y22 IS_CENTER_TILE 0 TILEPROP NULL_X28Y22 IS_DCM_TILE 0 TILEPROP NULL_X28Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y22 NAME NULL_X28Y22 TILEPROP NULL_X28Y22 NUM_ARCS 0 TILEPROP NULL_X28Y22 NUM_SITES 0 TILEPROP NULL_X28Y22 ROW 134 TILEPROP NULL_X28Y22 SLR_REGION_ID 0 TILEPROP NULL_X28Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y22 TILE_TYPE NULL TILEPROP NULL_X28Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y22 TILE_X -51166 TILEPROP NULL_X28Y22 TILE_Y -174072 TILEPROP NULL_X28Y22 TYPE NULL TILEPROP NULL_X28Y23 CLASS tile TILEPROP NULL_X28Y23 COLUMN 28 TILEPROP NULL_X28Y23 DEVICE_ID 0 TILEPROP NULL_X28Y23 FIRST_SITE_ID 13412 TILEPROP NULL_X28Y23 GRID_POINT_X 28 TILEPROP NULL_X28Y23 GRID_POINT_Y 133 TILEPROP NULL_X28Y23 INDEX 15323 TILEPROP NULL_X28Y23 INT_TILE_X 9 TILEPROP NULL_X28Y23 INT_TILE_Y 127 TILEPROP NULL_X28Y23 IS_CENTER_TILE 0 TILEPROP NULL_X28Y23 IS_DCM_TILE 0 TILEPROP NULL_X28Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y23 NAME NULL_X28Y23 TILEPROP NULL_X28Y23 NUM_ARCS 0 TILEPROP NULL_X28Y23 NUM_SITES 0 TILEPROP NULL_X28Y23 ROW 133 TILEPROP NULL_X28Y23 SLR_REGION_ID 0 TILEPROP NULL_X28Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y23 TILE_TYPE NULL TILEPROP NULL_X28Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y23 TILE_X -51166 TILEPROP NULL_X28Y23 TILE_Y -170872 TILEPROP NULL_X28Y23 TYPE NULL TILEPROP NULL_X28Y24 CLASS tile TILEPROP NULL_X28Y24 COLUMN 28 TILEPROP NULL_X28Y24 DEVICE_ID 0 TILEPROP NULL_X28Y24 FIRST_SITE_ID 13312 TILEPROP NULL_X28Y24 GRID_POINT_X 28 TILEPROP NULL_X28Y24 GRID_POINT_Y 132 TILEPROP NULL_X28Y24 INDEX 15208 TILEPROP NULL_X28Y24 INT_TILE_X 9 TILEPROP NULL_X28Y24 INT_TILE_Y 126 TILEPROP NULL_X28Y24 IS_CENTER_TILE 0 TILEPROP NULL_X28Y24 IS_DCM_TILE 0 TILEPROP NULL_X28Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y24 NAME NULL_X28Y24 TILEPROP NULL_X28Y24 NUM_ARCS 0 TILEPROP NULL_X28Y24 NUM_SITES 0 TILEPROP NULL_X28Y24 ROW 132 TILEPROP NULL_X28Y24 SLR_REGION_ID 0 TILEPROP NULL_X28Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y24 TILE_TYPE NULL TILEPROP NULL_X28Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y24 TILE_X -51166 TILEPROP NULL_X28Y24 TILE_Y -167672 TILEPROP NULL_X28Y24 TYPE NULL TILEPROP NULL_X28Y25 CLASS tile TILEPROP NULL_X28Y25 COLUMN 28 TILEPROP NULL_X28Y25 DEVICE_ID 0 TILEPROP NULL_X28Y25 FIRST_SITE_ID 13212 TILEPROP NULL_X28Y25 GRID_POINT_X 28 TILEPROP NULL_X28Y25 GRID_POINT_Y 131 TILEPROP NULL_X28Y25 INDEX 15093 TILEPROP NULL_X28Y25 INT_TILE_X 9 TILEPROP NULL_X28Y25 INT_TILE_Y 125 TILEPROP NULL_X28Y25 IS_CENTER_TILE 0 TILEPROP NULL_X28Y25 IS_DCM_TILE 0 TILEPROP NULL_X28Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y25 NAME NULL_X28Y25 TILEPROP NULL_X28Y25 NUM_ARCS 0 TILEPROP NULL_X28Y25 NUM_SITES 0 TILEPROP NULL_X28Y25 ROW 131 TILEPROP NULL_X28Y25 SLR_REGION_ID 0 TILEPROP NULL_X28Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y25 TILE_TYPE NULL TILEPROP NULL_X28Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y25 TILE_X -51166 TILEPROP NULL_X28Y25 TILE_Y -164472 TILEPROP NULL_X28Y25 TYPE NULL TILEPROP NULL_X28Y28 CLASS tile TILEPROP NULL_X28Y28 COLUMN 28 TILEPROP NULL_X28Y28 DEVICE_ID 0 TILEPROP NULL_X28Y28 FIRST_SITE_ID 12914 TILEPROP NULL_X28Y28 GRID_POINT_X 28 TILEPROP NULL_X28Y28 GRID_POINT_Y 128 TILEPROP NULL_X28Y28 INDEX 14748 TILEPROP NULL_X28Y28 INT_TILE_X 9 TILEPROP NULL_X28Y28 INT_TILE_Y 123 TILEPROP NULL_X28Y28 IS_CENTER_TILE 0 TILEPROP NULL_X28Y28 IS_DCM_TILE 0 TILEPROP NULL_X28Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y28 NAME NULL_X28Y28 TILEPROP NULL_X28Y28 NUM_ARCS 0 TILEPROP NULL_X28Y28 NUM_SITES 0 TILEPROP NULL_X28Y28 ROW 128 TILEPROP NULL_X28Y28 SLR_REGION_ID 0 TILEPROP NULL_X28Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y28 TILE_TYPE NULL TILEPROP NULL_X28Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y28 TILE_X -51166 TILEPROP NULL_X28Y28 TILE_Y -157048 TILEPROP NULL_X28Y28 TYPE NULL TILEPROP NULL_X28Y29 CLASS tile TILEPROP NULL_X28Y29 COLUMN 28 TILEPROP NULL_X28Y29 DEVICE_ID 0 TILEPROP NULL_X28Y29 FIRST_SITE_ID 12814 TILEPROP NULL_X28Y29 GRID_POINT_X 28 TILEPROP NULL_X28Y29 GRID_POINT_Y 127 TILEPROP NULL_X28Y29 INDEX 14633 TILEPROP NULL_X28Y29 INT_TILE_X 9 TILEPROP NULL_X28Y29 INT_TILE_Y 122 TILEPROP NULL_X28Y29 IS_CENTER_TILE 0 TILEPROP NULL_X28Y29 IS_DCM_TILE 0 TILEPROP NULL_X28Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y29 NAME NULL_X28Y29 TILEPROP NULL_X28Y29 NUM_ARCS 0 TILEPROP NULL_X28Y29 NUM_SITES 0 TILEPROP NULL_X28Y29 ROW 127 TILEPROP NULL_X28Y29 SLR_REGION_ID 0 TILEPROP NULL_X28Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y29 TILE_TYPE NULL TILEPROP NULL_X28Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y29 TILE_X -51166 TILEPROP NULL_X28Y29 TILE_Y -153848 TILEPROP NULL_X28Y29 TYPE NULL TILEPROP NULL_X28Y30 CLASS tile TILEPROP NULL_X28Y30 COLUMN 28 TILEPROP NULL_X28Y30 DEVICE_ID 0 TILEPROP NULL_X28Y30 FIRST_SITE_ID 12714 TILEPROP NULL_X28Y30 GRID_POINT_X 28 TILEPROP NULL_X28Y30 GRID_POINT_Y 126 TILEPROP NULL_X28Y30 INDEX 14518 TILEPROP NULL_X28Y30 INT_TILE_X 9 TILEPROP NULL_X28Y30 INT_TILE_Y 121 TILEPROP NULL_X28Y30 IS_CENTER_TILE 0 TILEPROP NULL_X28Y30 IS_DCM_TILE 0 TILEPROP NULL_X28Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y30 NAME NULL_X28Y30 TILEPROP NULL_X28Y30 NUM_ARCS 0 TILEPROP NULL_X28Y30 NUM_SITES 0 TILEPROP NULL_X28Y30 ROW 126 TILEPROP NULL_X28Y30 SLR_REGION_ID 0 TILEPROP NULL_X28Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y30 TILE_TYPE NULL TILEPROP NULL_X28Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y30 TILE_X -51166 TILEPROP NULL_X28Y30 TILE_Y -150648 TILEPROP NULL_X28Y30 TYPE NULL TILEPROP NULL_X28Y31 CLASS tile TILEPROP NULL_X28Y31 COLUMN 28 TILEPROP NULL_X28Y31 DEVICE_ID 0 TILEPROP NULL_X28Y31 FIRST_SITE_ID 12608 TILEPROP NULL_X28Y31 GRID_POINT_X 28 TILEPROP NULL_X28Y31 GRID_POINT_Y 125 TILEPROP NULL_X28Y31 INDEX 14403 TILEPROP NULL_X28Y31 INT_TILE_X 9 TILEPROP NULL_X28Y31 INT_TILE_Y 120 TILEPROP NULL_X28Y31 IS_CENTER_TILE 0 TILEPROP NULL_X28Y31 IS_DCM_TILE 0 TILEPROP NULL_X28Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y31 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y31 NAME NULL_X28Y31 TILEPROP NULL_X28Y31 NUM_ARCS 0 TILEPROP NULL_X28Y31 NUM_SITES 0 TILEPROP NULL_X28Y31 ROW 125 TILEPROP NULL_X28Y31 SLR_REGION_ID 0 TILEPROP NULL_X28Y31 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y31 TILE_TYPE NULL TILEPROP NULL_X28Y31 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y31 TILE_X -51166 TILEPROP NULL_X28Y31 TILE_Y -147448 TILEPROP NULL_X28Y31 TYPE NULL TILEPROP NULL_X28Y33 CLASS tile TILEPROP NULL_X28Y33 COLUMN 28 TILEPROP NULL_X28Y33 DEVICE_ID 0 TILEPROP NULL_X28Y33 FIRST_SITE_ID 12372 TILEPROP NULL_X28Y33 GRID_POINT_X 28 TILEPROP NULL_X28Y33 GRID_POINT_Y 123 TILEPROP NULL_X28Y33 INDEX 14173 TILEPROP NULL_X28Y33 INT_TILE_X 9 TILEPROP NULL_X28Y33 INT_TILE_Y 118 TILEPROP NULL_X28Y33 IS_CENTER_TILE 0 TILEPROP NULL_X28Y33 IS_DCM_TILE 0 TILEPROP NULL_X28Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y33 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y33 NAME NULL_X28Y33 TILEPROP NULL_X28Y33 NUM_ARCS 0 TILEPROP NULL_X28Y33 NUM_SITES 0 TILEPROP NULL_X28Y33 ROW 123 TILEPROP NULL_X28Y33 SLR_REGION_ID 0 TILEPROP NULL_X28Y33 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y33 TILE_TYPE NULL TILEPROP NULL_X28Y33 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y33 TILE_X -51166 TILEPROP NULL_X28Y33 TILE_Y -141048 TILEPROP NULL_X28Y33 TYPE NULL TILEPROP NULL_X28Y34 CLASS tile TILEPROP NULL_X28Y34 COLUMN 28 TILEPROP NULL_X28Y34 DEVICE_ID 0 TILEPROP NULL_X28Y34 FIRST_SITE_ID 12269 TILEPROP NULL_X28Y34 GRID_POINT_X 28 TILEPROP NULL_X28Y34 GRID_POINT_Y 122 TILEPROP NULL_X28Y34 INDEX 14058 TILEPROP NULL_X28Y34 INT_TILE_X 9 TILEPROP NULL_X28Y34 INT_TILE_Y 117 TILEPROP NULL_X28Y34 IS_CENTER_TILE 0 TILEPROP NULL_X28Y34 IS_DCM_TILE 0 TILEPROP NULL_X28Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y34 NAME NULL_X28Y34 TILEPROP NULL_X28Y34 NUM_ARCS 0 TILEPROP NULL_X28Y34 NUM_SITES 0 TILEPROP NULL_X28Y34 ROW 122 TILEPROP NULL_X28Y34 SLR_REGION_ID 0 TILEPROP NULL_X28Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y34 TILE_TYPE NULL TILEPROP NULL_X28Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y34 TILE_X -51166 TILEPROP NULL_X28Y34 TILE_Y -137848 TILEPROP NULL_X28Y34 TYPE NULL TILEPROP NULL_X28Y35 CLASS tile TILEPROP NULL_X28Y35 COLUMN 28 TILEPROP NULL_X28Y35 DEVICE_ID 0 TILEPROP NULL_X28Y35 FIRST_SITE_ID 12169 TILEPROP NULL_X28Y35 GRID_POINT_X 28 TILEPROP NULL_X28Y35 GRID_POINT_Y 121 TILEPROP NULL_X28Y35 INDEX 13943 TILEPROP NULL_X28Y35 INT_TILE_X 9 TILEPROP NULL_X28Y35 INT_TILE_Y 116 TILEPROP NULL_X28Y35 IS_CENTER_TILE 0 TILEPROP NULL_X28Y35 IS_DCM_TILE 0 TILEPROP NULL_X28Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y35 NAME NULL_X28Y35 TILEPROP NULL_X28Y35 NUM_ARCS 0 TILEPROP NULL_X28Y35 NUM_SITES 0 TILEPROP NULL_X28Y35 ROW 121 TILEPROP NULL_X28Y35 SLR_REGION_ID 0 TILEPROP NULL_X28Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y35 TILE_TYPE NULL TILEPROP NULL_X28Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y35 TILE_X -51166 TILEPROP NULL_X28Y35 TILE_Y -134648 TILEPROP NULL_X28Y35 TYPE NULL TILEPROP NULL_X28Y36 CLASS tile TILEPROP NULL_X28Y36 COLUMN 28 TILEPROP NULL_X28Y36 DEVICE_ID 0 TILEPROP NULL_X28Y36 FIRST_SITE_ID 12069 TILEPROP NULL_X28Y36 GRID_POINT_X 28 TILEPROP NULL_X28Y36 GRID_POINT_Y 120 TILEPROP NULL_X28Y36 INDEX 13828 TILEPROP NULL_X28Y36 INT_TILE_X 9 TILEPROP NULL_X28Y36 INT_TILE_Y 115 TILEPROP NULL_X28Y36 IS_CENTER_TILE 0 TILEPROP NULL_X28Y36 IS_DCM_TILE 0 TILEPROP NULL_X28Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y36 NAME NULL_X28Y36 TILEPROP NULL_X28Y36 NUM_ARCS 0 TILEPROP NULL_X28Y36 NUM_SITES 0 TILEPROP NULL_X28Y36 ROW 120 TILEPROP NULL_X28Y36 SLR_REGION_ID 0 TILEPROP NULL_X28Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y36 TILE_TYPE NULL TILEPROP NULL_X28Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y36 TILE_X -51166 TILEPROP NULL_X28Y36 TILE_Y -131448 TILEPROP NULL_X28Y36 TYPE NULL TILEPROP NULL_X28Y38 CLASS tile TILEPROP NULL_X28Y38 COLUMN 28 TILEPROP NULL_X28Y38 DEVICE_ID 0 TILEPROP NULL_X28Y38 FIRST_SITE_ID 11822 TILEPROP NULL_X28Y38 GRID_POINT_X 28 TILEPROP NULL_X28Y38 GRID_POINT_Y 118 TILEPROP NULL_X28Y38 INDEX 13598 TILEPROP NULL_X28Y38 INT_TILE_X 9 TILEPROP NULL_X28Y38 INT_TILE_Y 113 TILEPROP NULL_X28Y38 IS_CENTER_TILE 0 TILEPROP NULL_X28Y38 IS_DCM_TILE 0 TILEPROP NULL_X28Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y38 NAME NULL_X28Y38 TILEPROP NULL_X28Y38 NUM_ARCS 0 TILEPROP NULL_X28Y38 NUM_SITES 0 TILEPROP NULL_X28Y38 ROW 118 TILEPROP NULL_X28Y38 SLR_REGION_ID 0 TILEPROP NULL_X28Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y38 TILE_TYPE NULL TILEPROP NULL_X28Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y38 TILE_X -51166 TILEPROP NULL_X28Y38 TILE_Y -125048 TILEPROP NULL_X28Y38 TYPE NULL TILEPROP NULL_X28Y39 CLASS tile TILEPROP NULL_X28Y39 COLUMN 28 TILEPROP NULL_X28Y39 DEVICE_ID 0 TILEPROP NULL_X28Y39 FIRST_SITE_ID 11722 TILEPROP NULL_X28Y39 GRID_POINT_X 28 TILEPROP NULL_X28Y39 GRID_POINT_Y 117 TILEPROP NULL_X28Y39 INDEX 13483 TILEPROP NULL_X28Y39 INT_TILE_X 9 TILEPROP NULL_X28Y39 INT_TILE_Y 112 TILEPROP NULL_X28Y39 IS_CENTER_TILE 0 TILEPROP NULL_X28Y39 IS_DCM_TILE 0 TILEPROP NULL_X28Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y39 NAME NULL_X28Y39 TILEPROP NULL_X28Y39 NUM_ARCS 0 TILEPROP NULL_X28Y39 NUM_SITES 0 TILEPROP NULL_X28Y39 ROW 117 TILEPROP NULL_X28Y39 SLR_REGION_ID 0 TILEPROP NULL_X28Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y39 TILE_TYPE NULL TILEPROP NULL_X28Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y39 TILE_X -51166 TILEPROP NULL_X28Y39 TILE_Y -121848 TILEPROP NULL_X28Y39 TYPE NULL TILEPROP NULL_X28Y40 CLASS tile TILEPROP NULL_X28Y40 COLUMN 28 TILEPROP NULL_X28Y40 DEVICE_ID 0 TILEPROP NULL_X28Y40 FIRST_SITE_ID 11622 TILEPROP NULL_X28Y40 GRID_POINT_X 28 TILEPROP NULL_X28Y40 GRID_POINT_Y 116 TILEPROP NULL_X28Y40 INDEX 13368 TILEPROP NULL_X28Y40 INT_TILE_X 9 TILEPROP NULL_X28Y40 INT_TILE_Y 111 TILEPROP NULL_X28Y40 IS_CENTER_TILE 0 TILEPROP NULL_X28Y40 IS_DCM_TILE 0 TILEPROP NULL_X28Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y40 NAME NULL_X28Y40 TILEPROP NULL_X28Y40 NUM_ARCS 0 TILEPROP NULL_X28Y40 NUM_SITES 0 TILEPROP NULL_X28Y40 ROW 116 TILEPROP NULL_X28Y40 SLR_REGION_ID 0 TILEPROP NULL_X28Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y40 TILE_TYPE NULL TILEPROP NULL_X28Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y40 TILE_X -51166 TILEPROP NULL_X28Y40 TILE_Y -118648 TILEPROP NULL_X28Y40 TYPE NULL TILEPROP NULL_X28Y41 CLASS tile TILEPROP NULL_X28Y41 COLUMN 28 TILEPROP NULL_X28Y41 DEVICE_ID 0 TILEPROP NULL_X28Y41 FIRST_SITE_ID 11522 TILEPROP NULL_X28Y41 GRID_POINT_X 28 TILEPROP NULL_X28Y41 GRID_POINT_Y 115 TILEPROP NULL_X28Y41 INDEX 13253 TILEPROP NULL_X28Y41 INT_TILE_X 9 TILEPROP NULL_X28Y41 INT_TILE_Y 110 TILEPROP NULL_X28Y41 IS_CENTER_TILE 0 TILEPROP NULL_X28Y41 IS_DCM_TILE 0 TILEPROP NULL_X28Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y41 NAME NULL_X28Y41 TILEPROP NULL_X28Y41 NUM_ARCS 0 TILEPROP NULL_X28Y41 NUM_SITES 0 TILEPROP NULL_X28Y41 ROW 115 TILEPROP NULL_X28Y41 SLR_REGION_ID 0 TILEPROP NULL_X28Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y41 TILE_TYPE NULL TILEPROP NULL_X28Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y41 TILE_X -51166 TILEPROP NULL_X28Y41 TILE_Y -115448 TILEPROP NULL_X28Y41 TYPE NULL TILEPROP NULL_X28Y43 CLASS tile TILEPROP NULL_X28Y43 COLUMN 28 TILEPROP NULL_X28Y43 DEVICE_ID 0 TILEPROP NULL_X28Y43 FIRST_SITE_ID 11304 TILEPROP NULL_X28Y43 GRID_POINT_X 28 TILEPROP NULL_X28Y43 GRID_POINT_Y 113 TILEPROP NULL_X28Y43 INDEX 13023 TILEPROP NULL_X28Y43 INT_TILE_X 9 TILEPROP NULL_X28Y43 INT_TILE_Y 108 TILEPROP NULL_X28Y43 IS_CENTER_TILE 0 TILEPROP NULL_X28Y43 IS_DCM_TILE 0 TILEPROP NULL_X28Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y43 NAME NULL_X28Y43 TILEPROP NULL_X28Y43 NUM_ARCS 0 TILEPROP NULL_X28Y43 NUM_SITES 0 TILEPROP NULL_X28Y43 ROW 113 TILEPROP NULL_X28Y43 SLR_REGION_ID 0 TILEPROP NULL_X28Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y43 TILE_TYPE NULL TILEPROP NULL_X28Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y43 TILE_X -51166 TILEPROP NULL_X28Y43 TILE_Y -109048 TILEPROP NULL_X28Y43 TYPE NULL TILEPROP NULL_X28Y44 CLASS tile TILEPROP NULL_X28Y44 COLUMN 28 TILEPROP NULL_X28Y44 DEVICE_ID 0 TILEPROP NULL_X28Y44 FIRST_SITE_ID 11203 TILEPROP NULL_X28Y44 GRID_POINT_X 28 TILEPROP NULL_X28Y44 GRID_POINT_Y 112 TILEPROP NULL_X28Y44 INDEX 12908 TILEPROP NULL_X28Y44 INT_TILE_X 9 TILEPROP NULL_X28Y44 INT_TILE_Y 107 TILEPROP NULL_X28Y44 IS_CENTER_TILE 0 TILEPROP NULL_X28Y44 IS_DCM_TILE 0 TILEPROP NULL_X28Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y44 NAME NULL_X28Y44 TILEPROP NULL_X28Y44 NUM_ARCS 0 TILEPROP NULL_X28Y44 NUM_SITES 0 TILEPROP NULL_X28Y44 ROW 112 TILEPROP NULL_X28Y44 SLR_REGION_ID 0 TILEPROP NULL_X28Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y44 TILE_TYPE NULL TILEPROP NULL_X28Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y44 TILE_X -51166 TILEPROP NULL_X28Y44 TILE_Y -105848 TILEPROP NULL_X28Y44 TYPE NULL TILEPROP NULL_X28Y45 CLASS tile TILEPROP NULL_X28Y45 COLUMN 28 TILEPROP NULL_X28Y45 DEVICE_ID 0 TILEPROP NULL_X28Y45 FIRST_SITE_ID 11100 TILEPROP NULL_X28Y45 GRID_POINT_X 28 TILEPROP NULL_X28Y45 GRID_POINT_Y 111 TILEPROP NULL_X28Y45 INDEX 12793 TILEPROP NULL_X28Y45 INT_TILE_X 9 TILEPROP NULL_X28Y45 INT_TILE_Y 106 TILEPROP NULL_X28Y45 IS_CENTER_TILE 0 TILEPROP NULL_X28Y45 IS_DCM_TILE 0 TILEPROP NULL_X28Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y45 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y45 NAME NULL_X28Y45 TILEPROP NULL_X28Y45 NUM_ARCS 0 TILEPROP NULL_X28Y45 NUM_SITES 0 TILEPROP NULL_X28Y45 ROW 111 TILEPROP NULL_X28Y45 SLR_REGION_ID 0 TILEPROP NULL_X28Y45 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y45 TILE_TYPE NULL TILEPROP NULL_X28Y45 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y45 TILE_X -51166 TILEPROP NULL_X28Y45 TILE_Y -102648 TILEPROP NULL_X28Y45 TYPE NULL TILEPROP NULL_X28Y46 CLASS tile TILEPROP NULL_X28Y46 COLUMN 28 TILEPROP NULL_X28Y46 DEVICE_ID 0 TILEPROP NULL_X28Y46 FIRST_SITE_ID 10998 TILEPROP NULL_X28Y46 GRID_POINT_X 28 TILEPROP NULL_X28Y46 GRID_POINT_Y 110 TILEPROP NULL_X28Y46 INDEX 12678 TILEPROP NULL_X28Y46 INT_TILE_X 9 TILEPROP NULL_X28Y46 INT_TILE_Y 105 TILEPROP NULL_X28Y46 IS_CENTER_TILE 0 TILEPROP NULL_X28Y46 IS_DCM_TILE 0 TILEPROP NULL_X28Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y46 NAME NULL_X28Y46 TILEPROP NULL_X28Y46 NUM_ARCS 0 TILEPROP NULL_X28Y46 NUM_SITES 0 TILEPROP NULL_X28Y46 ROW 110 TILEPROP NULL_X28Y46 SLR_REGION_ID 0 TILEPROP NULL_X28Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y46 TILE_TYPE NULL TILEPROP NULL_X28Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y46 TILE_X -51166 TILEPROP NULL_X28Y46 TILE_Y -99448 TILEPROP NULL_X28Y46 TYPE NULL TILEPROP NULL_X28Y48 CLASS tile TILEPROP NULL_X28Y48 COLUMN 28 TILEPROP NULL_X28Y48 DEVICE_ID 0 TILEPROP NULL_X28Y48 FIRST_SITE_ID 10766 TILEPROP NULL_X28Y48 GRID_POINT_X 28 TILEPROP NULL_X28Y48 GRID_POINT_Y 108 TILEPROP NULL_X28Y48 INDEX 12448 TILEPROP NULL_X28Y48 INT_TILE_X 9 TILEPROP NULL_X28Y48 INT_TILE_Y 103 TILEPROP NULL_X28Y48 IS_CENTER_TILE 0 TILEPROP NULL_X28Y48 IS_DCM_TILE 0 TILEPROP NULL_X28Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y48 NAME NULL_X28Y48 TILEPROP NULL_X28Y48 NUM_ARCS 0 TILEPROP NULL_X28Y48 NUM_SITES 0 TILEPROP NULL_X28Y48 ROW 108 TILEPROP NULL_X28Y48 SLR_REGION_ID 0 TILEPROP NULL_X28Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y48 TILE_TYPE NULL TILEPROP NULL_X28Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y48 TILE_X -51166 TILEPROP NULL_X28Y48 TILE_Y -93048 TILEPROP NULL_X28Y48 TYPE NULL TILEPROP NULL_X28Y49 CLASS tile TILEPROP NULL_X28Y49 COLUMN 28 TILEPROP NULL_X28Y49 DEVICE_ID 0 TILEPROP NULL_X28Y49 FIRST_SITE_ID 10666 TILEPROP NULL_X28Y49 GRID_POINT_X 28 TILEPROP NULL_X28Y49 GRID_POINT_Y 107 TILEPROP NULL_X28Y49 INDEX 12333 TILEPROP NULL_X28Y49 INT_TILE_X 9 TILEPROP NULL_X28Y49 INT_TILE_Y 102 TILEPROP NULL_X28Y49 IS_CENTER_TILE 0 TILEPROP NULL_X28Y49 IS_DCM_TILE 0 TILEPROP NULL_X28Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y49 NAME NULL_X28Y49 TILEPROP NULL_X28Y49 NUM_ARCS 0 TILEPROP NULL_X28Y49 NUM_SITES 0 TILEPROP NULL_X28Y49 ROW 107 TILEPROP NULL_X28Y49 SLR_REGION_ID 0 TILEPROP NULL_X28Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y49 TILE_TYPE NULL TILEPROP NULL_X28Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y49 TILE_X -51166 TILEPROP NULL_X28Y49 TILE_Y -89848 TILEPROP NULL_X28Y49 TYPE NULL TILEPROP NULL_X28Y50 CLASS tile TILEPROP NULL_X28Y50 COLUMN 28 TILEPROP NULL_X28Y50 DEVICE_ID 0 TILEPROP NULL_X28Y50 FIRST_SITE_ID 10566 TILEPROP NULL_X28Y50 GRID_POINT_X 28 TILEPROP NULL_X28Y50 GRID_POINT_Y 106 TILEPROP NULL_X28Y50 INDEX 12218 TILEPROP NULL_X28Y50 INT_TILE_X 9 TILEPROP NULL_X28Y50 INT_TILE_Y 101 TILEPROP NULL_X28Y50 IS_CENTER_TILE 0 TILEPROP NULL_X28Y50 IS_DCM_TILE 0 TILEPROP NULL_X28Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y50 NAME NULL_X28Y50 TILEPROP NULL_X28Y50 NUM_ARCS 0 TILEPROP NULL_X28Y50 NUM_SITES 0 TILEPROP NULL_X28Y50 ROW 106 TILEPROP NULL_X28Y50 SLR_REGION_ID 0 TILEPROP NULL_X28Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y50 TILE_TYPE NULL TILEPROP NULL_X28Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y50 TILE_X -51166 TILEPROP NULL_X28Y50 TILE_Y -86648 TILEPROP NULL_X28Y50 TYPE NULL TILEPROP NULL_X28Y51 CLASS tile TILEPROP NULL_X28Y51 COLUMN 28 TILEPROP NULL_X28Y51 DEVICE_ID 0 TILEPROP NULL_X28Y51 FIRST_SITE_ID 10470 TILEPROP NULL_X28Y51 GRID_POINT_X 28 TILEPROP NULL_X28Y51 GRID_POINT_Y 105 TILEPROP NULL_X28Y51 INDEX 12103 TILEPROP NULL_X28Y51 INT_TILE_X 9 TILEPROP NULL_X28Y51 INT_TILE_Y 100 TILEPROP NULL_X28Y51 IS_CENTER_TILE 0 TILEPROP NULL_X28Y51 IS_DCM_TILE 0 TILEPROP NULL_X28Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y51 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y51 NAME NULL_X28Y51 TILEPROP NULL_X28Y51 NUM_ARCS 0 TILEPROP NULL_X28Y51 NUM_SITES 0 TILEPROP NULL_X28Y51 ROW 105 TILEPROP NULL_X28Y51 SLR_REGION_ID 0 TILEPROP NULL_X28Y51 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y51 TILE_TYPE NULL TILEPROP NULL_X28Y51 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y51 TILE_X -51166 TILEPROP NULL_X28Y51 TILE_Y -83448 TILEPROP NULL_X28Y51 TYPE NULL TILEPROP NULL_X28Y54 CLASS tile TILEPROP NULL_X28Y54 COLUMN 28 TILEPROP NULL_X28Y54 DEVICE_ID 0 TILEPROP NULL_X28Y54 FIRST_SITE_ID 10235 TILEPROP NULL_X28Y54 GRID_POINT_X 28 TILEPROP NULL_X28Y54 GRID_POINT_Y 102 TILEPROP NULL_X28Y54 INDEX 11758 TILEPROP NULL_X28Y54 INT_TILE_X 9 TILEPROP NULL_X28Y54 INT_TILE_Y 98 TILEPROP NULL_X28Y54 IS_CENTER_TILE 0 TILEPROP NULL_X28Y54 IS_DCM_TILE 0 TILEPROP NULL_X28Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y54 NAME NULL_X28Y54 TILEPROP NULL_X28Y54 NUM_ARCS 0 TILEPROP NULL_X28Y54 NUM_SITES 0 TILEPROP NULL_X28Y54 ROW 102 TILEPROP NULL_X28Y54 SLR_REGION_ID 0 TILEPROP NULL_X28Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y54 TILE_TYPE NULL TILEPROP NULL_X28Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y54 TILE_X -51166 TILEPROP NULL_X28Y54 TILE_Y -76800 TILEPROP NULL_X28Y54 TYPE NULL TILEPROP NULL_X28Y55 CLASS tile TILEPROP NULL_X28Y55 COLUMN 28 TILEPROP NULL_X28Y55 DEVICE_ID 0 TILEPROP NULL_X28Y55 FIRST_SITE_ID 10135 TILEPROP NULL_X28Y55 GRID_POINT_X 28 TILEPROP NULL_X28Y55 GRID_POINT_Y 101 TILEPROP NULL_X28Y55 INDEX 11643 TILEPROP NULL_X28Y55 INT_TILE_X 9 TILEPROP NULL_X28Y55 INT_TILE_Y 97 TILEPROP NULL_X28Y55 IS_CENTER_TILE 0 TILEPROP NULL_X28Y55 IS_DCM_TILE 0 TILEPROP NULL_X28Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y55 NAME NULL_X28Y55 TILEPROP NULL_X28Y55 NUM_ARCS 0 TILEPROP NULL_X28Y55 NUM_SITES 0 TILEPROP NULL_X28Y55 ROW 101 TILEPROP NULL_X28Y55 SLR_REGION_ID 0 TILEPROP NULL_X28Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y55 TILE_TYPE NULL TILEPROP NULL_X28Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y55 TILE_X -51166 TILEPROP NULL_X28Y55 TILE_Y -73600 TILEPROP NULL_X28Y55 TYPE NULL TILEPROP NULL_X28Y56 CLASS tile TILEPROP NULL_X28Y56 COLUMN 28 TILEPROP NULL_X28Y56 DEVICE_ID 0 TILEPROP NULL_X28Y56 FIRST_SITE_ID 10035 TILEPROP NULL_X28Y56 GRID_POINT_X 28 TILEPROP NULL_X28Y56 GRID_POINT_Y 100 TILEPROP NULL_X28Y56 INDEX 11528 TILEPROP NULL_X28Y56 INT_TILE_X 9 TILEPROP NULL_X28Y56 INT_TILE_Y 96 TILEPROP NULL_X28Y56 IS_CENTER_TILE 0 TILEPROP NULL_X28Y56 IS_DCM_TILE 0 TILEPROP NULL_X28Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y56 NAME NULL_X28Y56 TILEPROP NULL_X28Y56 NUM_ARCS 0 TILEPROP NULL_X28Y56 NUM_SITES 0 TILEPROP NULL_X28Y56 ROW 100 TILEPROP NULL_X28Y56 SLR_REGION_ID 0 TILEPROP NULL_X28Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y56 TILE_TYPE NULL TILEPROP NULL_X28Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y56 TILE_X -51166 TILEPROP NULL_X28Y56 TILE_Y -70400 TILEPROP NULL_X28Y56 TYPE NULL TILEPROP NULL_X28Y57 CLASS tile TILEPROP NULL_X28Y57 COLUMN 28 TILEPROP NULL_X28Y57 DEVICE_ID 0 TILEPROP NULL_X28Y57 FIRST_SITE_ID 9935 TILEPROP NULL_X28Y57 GRID_POINT_X 28 TILEPROP NULL_X28Y57 GRID_POINT_Y 99 TILEPROP NULL_X28Y57 INDEX 11413 TILEPROP NULL_X28Y57 INT_TILE_X 9 TILEPROP NULL_X28Y57 INT_TILE_Y 95 TILEPROP NULL_X28Y57 IS_CENTER_TILE 0 TILEPROP NULL_X28Y57 IS_DCM_TILE 0 TILEPROP NULL_X28Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y57 NAME NULL_X28Y57 TILEPROP NULL_X28Y57 NUM_ARCS 0 TILEPROP NULL_X28Y57 NUM_SITES 0 TILEPROP NULL_X28Y57 ROW 99 TILEPROP NULL_X28Y57 SLR_REGION_ID 0 TILEPROP NULL_X28Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y57 TILE_TYPE NULL TILEPROP NULL_X28Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y57 TILE_X -51166 TILEPROP NULL_X28Y57 TILE_Y -67200 TILEPROP NULL_X28Y57 TYPE NULL TILEPROP NULL_X28Y59 CLASS tile TILEPROP NULL_X28Y59 COLUMN 28 TILEPROP NULL_X28Y59 DEVICE_ID 0 TILEPROP NULL_X28Y59 FIRST_SITE_ID 9720 TILEPROP NULL_X28Y59 GRID_POINT_X 28 TILEPROP NULL_X28Y59 GRID_POINT_Y 97 TILEPROP NULL_X28Y59 INDEX 11183 TILEPROP NULL_X28Y59 INT_TILE_X 9 TILEPROP NULL_X28Y59 INT_TILE_Y 93 TILEPROP NULL_X28Y59 IS_CENTER_TILE 0 TILEPROP NULL_X28Y59 IS_DCM_TILE 0 TILEPROP NULL_X28Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y59 NAME NULL_X28Y59 TILEPROP NULL_X28Y59 NUM_ARCS 0 TILEPROP NULL_X28Y59 NUM_SITES 0 TILEPROP NULL_X28Y59 ROW 97 TILEPROP NULL_X28Y59 SLR_REGION_ID 0 TILEPROP NULL_X28Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y59 TILE_TYPE NULL TILEPROP NULL_X28Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y59 TILE_X -51166 TILEPROP NULL_X28Y59 TILE_Y -60800 TILEPROP NULL_X28Y59 TYPE NULL TILEPROP NULL_X28Y60 CLASS tile TILEPROP NULL_X28Y60 COLUMN 28 TILEPROP NULL_X28Y60 DEVICE_ID 0 TILEPROP NULL_X28Y60 FIRST_SITE_ID 9618 TILEPROP NULL_X28Y60 GRID_POINT_X 28 TILEPROP NULL_X28Y60 GRID_POINT_Y 96 TILEPROP NULL_X28Y60 INDEX 11068 TILEPROP NULL_X28Y60 INT_TILE_X 9 TILEPROP NULL_X28Y60 INT_TILE_Y 92 TILEPROP NULL_X28Y60 IS_CENTER_TILE 0 TILEPROP NULL_X28Y60 IS_DCM_TILE 0 TILEPROP NULL_X28Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y60 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y60 NAME NULL_X28Y60 TILEPROP NULL_X28Y60 NUM_ARCS 0 TILEPROP NULL_X28Y60 NUM_SITES 0 TILEPROP NULL_X28Y60 ROW 96 TILEPROP NULL_X28Y60 SLR_REGION_ID 0 TILEPROP NULL_X28Y60 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y60 TILE_TYPE NULL TILEPROP NULL_X28Y60 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y60 TILE_X -51166 TILEPROP NULL_X28Y60 TILE_Y -57600 TILEPROP NULL_X28Y60 TYPE NULL TILEPROP NULL_X28Y61 CLASS tile TILEPROP NULL_X28Y61 COLUMN 28 TILEPROP NULL_X28Y61 DEVICE_ID 0 TILEPROP NULL_X28Y61 FIRST_SITE_ID 9515 TILEPROP NULL_X28Y61 GRID_POINT_X 28 TILEPROP NULL_X28Y61 GRID_POINT_Y 95 TILEPROP NULL_X28Y61 INDEX 10953 TILEPROP NULL_X28Y61 INT_TILE_X 9 TILEPROP NULL_X28Y61 INT_TILE_Y 91 TILEPROP NULL_X28Y61 IS_CENTER_TILE 0 TILEPROP NULL_X28Y61 IS_DCM_TILE 0 TILEPROP NULL_X28Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y61 NAME NULL_X28Y61 TILEPROP NULL_X28Y61 NUM_ARCS 0 TILEPROP NULL_X28Y61 NUM_SITES 0 TILEPROP NULL_X28Y61 ROW 95 TILEPROP NULL_X28Y61 SLR_REGION_ID 0 TILEPROP NULL_X28Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y61 TILE_TYPE NULL TILEPROP NULL_X28Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y61 TILE_X -51166 TILEPROP NULL_X28Y61 TILE_Y -54400 TILEPROP NULL_X28Y61 TYPE NULL TILEPROP NULL_X28Y62 CLASS tile TILEPROP NULL_X28Y62 COLUMN 28 TILEPROP NULL_X28Y62 DEVICE_ID 0 TILEPROP NULL_X28Y62 FIRST_SITE_ID 9414 TILEPROP NULL_X28Y62 GRID_POINT_X 28 TILEPROP NULL_X28Y62 GRID_POINT_Y 94 TILEPROP NULL_X28Y62 INDEX 10838 TILEPROP NULL_X28Y62 INT_TILE_X 9 TILEPROP NULL_X28Y62 INT_TILE_Y 90 TILEPROP NULL_X28Y62 IS_CENTER_TILE 0 TILEPROP NULL_X28Y62 IS_DCM_TILE 0 TILEPROP NULL_X28Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y62 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y62 NAME NULL_X28Y62 TILEPROP NULL_X28Y62 NUM_ARCS 0 TILEPROP NULL_X28Y62 NUM_SITES 0 TILEPROP NULL_X28Y62 ROW 94 TILEPROP NULL_X28Y62 SLR_REGION_ID 0 TILEPROP NULL_X28Y62 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y62 TILE_TYPE NULL TILEPROP NULL_X28Y62 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y62 TILE_X -51166 TILEPROP NULL_X28Y62 TILE_Y -51200 TILEPROP NULL_X28Y62 TYPE NULL TILEPROP NULL_X28Y64 CLASS tile TILEPROP NULL_X28Y64 COLUMN 28 TILEPROP NULL_X28Y64 DEVICE_ID 0 TILEPROP NULL_X28Y64 FIRST_SITE_ID 9199 TILEPROP NULL_X28Y64 GRID_POINT_X 28 TILEPROP NULL_X28Y64 GRID_POINT_Y 92 TILEPROP NULL_X28Y64 INDEX 10608 TILEPROP NULL_X28Y64 INT_TILE_X 9 TILEPROP NULL_X28Y64 INT_TILE_Y 88 TILEPROP NULL_X28Y64 IS_CENTER_TILE 0 TILEPROP NULL_X28Y64 IS_DCM_TILE 0 TILEPROP NULL_X28Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y64 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y64 NAME NULL_X28Y64 TILEPROP NULL_X28Y64 NUM_ARCS 0 TILEPROP NULL_X28Y64 NUM_SITES 0 TILEPROP NULL_X28Y64 ROW 92 TILEPROP NULL_X28Y64 SLR_REGION_ID 0 TILEPROP NULL_X28Y64 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y64 TILE_TYPE NULL TILEPROP NULL_X28Y64 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y64 TILE_X -51166 TILEPROP NULL_X28Y64 TILE_Y -44800 TILEPROP NULL_X28Y64 TYPE NULL TILEPROP NULL_X28Y65 CLASS tile TILEPROP NULL_X28Y65 COLUMN 28 TILEPROP NULL_X28Y65 DEVICE_ID 0 TILEPROP NULL_X28Y65 FIRST_SITE_ID 9067 TILEPROP NULL_X28Y65 GRID_POINT_X 28 TILEPROP NULL_X28Y65 GRID_POINT_Y 91 TILEPROP NULL_X28Y65 INDEX 10493 TILEPROP NULL_X28Y65 INT_TILE_X 9 TILEPROP NULL_X28Y65 INT_TILE_Y 87 TILEPROP NULL_X28Y65 IS_CENTER_TILE 0 TILEPROP NULL_X28Y65 IS_DCM_TILE 0 TILEPROP NULL_X28Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y65 NAME NULL_X28Y65 TILEPROP NULL_X28Y65 NUM_ARCS 0 TILEPROP NULL_X28Y65 NUM_SITES 0 TILEPROP NULL_X28Y65 ROW 91 TILEPROP NULL_X28Y65 SLR_REGION_ID 0 TILEPROP NULL_X28Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y65 TILE_TYPE NULL TILEPROP NULL_X28Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y65 TILE_X -51166 TILEPROP NULL_X28Y65 TILE_Y -41600 TILEPROP NULL_X28Y65 TYPE NULL TILEPROP NULL_X28Y66 CLASS tile TILEPROP NULL_X28Y66 COLUMN 28 TILEPROP NULL_X28Y66 DEVICE_ID 0 TILEPROP NULL_X28Y66 FIRST_SITE_ID 8967 TILEPROP NULL_X28Y66 GRID_POINT_X 28 TILEPROP NULL_X28Y66 GRID_POINT_Y 90 TILEPROP NULL_X28Y66 INDEX 10378 TILEPROP NULL_X28Y66 INT_TILE_X 9 TILEPROP NULL_X28Y66 INT_TILE_Y 86 TILEPROP NULL_X28Y66 IS_CENTER_TILE 0 TILEPROP NULL_X28Y66 IS_DCM_TILE 0 TILEPROP NULL_X28Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y66 NAME NULL_X28Y66 TILEPROP NULL_X28Y66 NUM_ARCS 0 TILEPROP NULL_X28Y66 NUM_SITES 0 TILEPROP NULL_X28Y66 ROW 90 TILEPROP NULL_X28Y66 SLR_REGION_ID 0 TILEPROP NULL_X28Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y66 TILE_TYPE NULL TILEPROP NULL_X28Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y66 TILE_X -51166 TILEPROP NULL_X28Y66 TILE_Y -38400 TILEPROP NULL_X28Y66 TYPE NULL TILEPROP NULL_X28Y67 CLASS tile TILEPROP NULL_X28Y67 COLUMN 28 TILEPROP NULL_X28Y67 DEVICE_ID 0 TILEPROP NULL_X28Y67 FIRST_SITE_ID 8867 TILEPROP NULL_X28Y67 GRID_POINT_X 28 TILEPROP NULL_X28Y67 GRID_POINT_Y 89 TILEPROP NULL_X28Y67 INDEX 10263 TILEPROP NULL_X28Y67 INT_TILE_X 9 TILEPROP NULL_X28Y67 INT_TILE_Y 85 TILEPROP NULL_X28Y67 IS_CENTER_TILE 0 TILEPROP NULL_X28Y67 IS_DCM_TILE 0 TILEPROP NULL_X28Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y67 NAME NULL_X28Y67 TILEPROP NULL_X28Y67 NUM_ARCS 0 TILEPROP NULL_X28Y67 NUM_SITES 0 TILEPROP NULL_X28Y67 ROW 89 TILEPROP NULL_X28Y67 SLR_REGION_ID 0 TILEPROP NULL_X28Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y67 TILE_TYPE NULL TILEPROP NULL_X28Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y67 TILE_X -51166 TILEPROP NULL_X28Y67 TILE_Y -35200 TILEPROP NULL_X28Y67 TYPE NULL TILEPROP NULL_X28Y69 CLASS tile TILEPROP NULL_X28Y69 COLUMN 28 TILEPROP NULL_X28Y69 DEVICE_ID 0 TILEPROP NULL_X28Y69 FIRST_SITE_ID 8652 TILEPROP NULL_X28Y69 GRID_POINT_X 28 TILEPROP NULL_X28Y69 GRID_POINT_Y 87 TILEPROP NULL_X28Y69 INDEX 10033 TILEPROP NULL_X28Y69 INT_TILE_X 9 TILEPROP NULL_X28Y69 INT_TILE_Y 83 TILEPROP NULL_X28Y69 IS_CENTER_TILE 0 TILEPROP NULL_X28Y69 IS_DCM_TILE 0 TILEPROP NULL_X28Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y69 NAME NULL_X28Y69 TILEPROP NULL_X28Y69 NUM_ARCS 0 TILEPROP NULL_X28Y69 NUM_SITES 0 TILEPROP NULL_X28Y69 ROW 87 TILEPROP NULL_X28Y69 SLR_REGION_ID 0 TILEPROP NULL_X28Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y69 TILE_TYPE NULL TILEPROP NULL_X28Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y69 TILE_X -51166 TILEPROP NULL_X28Y69 TILE_Y -28800 TILEPROP NULL_X28Y69 TYPE NULL TILEPROP NULL_X28Y70 CLASS tile TILEPROP NULL_X28Y70 COLUMN 28 TILEPROP NULL_X28Y70 DEVICE_ID 0 TILEPROP NULL_X28Y70 FIRST_SITE_ID 8548 TILEPROP NULL_X28Y70 GRID_POINT_X 28 TILEPROP NULL_X28Y70 GRID_POINT_Y 86 TILEPROP NULL_X28Y70 INDEX 9918 TILEPROP NULL_X28Y70 INT_TILE_X 9 TILEPROP NULL_X28Y70 INT_TILE_Y 82 TILEPROP NULL_X28Y70 IS_CENTER_TILE 0 TILEPROP NULL_X28Y70 IS_DCM_TILE 0 TILEPROP NULL_X28Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y70 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y70 NAME NULL_X28Y70 TILEPROP NULL_X28Y70 NUM_ARCS 0 TILEPROP NULL_X28Y70 NUM_SITES 0 TILEPROP NULL_X28Y70 ROW 86 TILEPROP NULL_X28Y70 SLR_REGION_ID 0 TILEPROP NULL_X28Y70 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y70 TILE_TYPE NULL TILEPROP NULL_X28Y70 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y70 TILE_X -51166 TILEPROP NULL_X28Y70 TILE_Y -25600 TILEPROP NULL_X28Y70 TYPE NULL TILEPROP NULL_X28Y71 CLASS tile TILEPROP NULL_X28Y71 COLUMN 28 TILEPROP NULL_X28Y71 DEVICE_ID 0 TILEPROP NULL_X28Y71 FIRST_SITE_ID 8444 TILEPROP NULL_X28Y71 GRID_POINT_X 28 TILEPROP NULL_X28Y71 GRID_POINT_Y 85 TILEPROP NULL_X28Y71 INDEX 9803 TILEPROP NULL_X28Y71 INT_TILE_X 9 TILEPROP NULL_X28Y71 INT_TILE_Y 81 TILEPROP NULL_X28Y71 IS_CENTER_TILE 0 TILEPROP NULL_X28Y71 IS_DCM_TILE 0 TILEPROP NULL_X28Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y71 NAME NULL_X28Y71 TILEPROP NULL_X28Y71 NUM_ARCS 0 TILEPROP NULL_X28Y71 NUM_SITES 0 TILEPROP NULL_X28Y71 ROW 85 TILEPROP NULL_X28Y71 SLR_REGION_ID 0 TILEPROP NULL_X28Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y71 TILE_TYPE NULL TILEPROP NULL_X28Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y71 TILE_X -51166 TILEPROP NULL_X28Y71 TILE_Y -22400 TILEPROP NULL_X28Y71 TYPE NULL TILEPROP NULL_X28Y72 CLASS tile TILEPROP NULL_X28Y72 COLUMN 28 TILEPROP NULL_X28Y72 DEVICE_ID 0 TILEPROP NULL_X28Y72 FIRST_SITE_ID 8342 TILEPROP NULL_X28Y72 GRID_POINT_X 28 TILEPROP NULL_X28Y72 GRID_POINT_Y 84 TILEPROP NULL_X28Y72 INDEX 9688 TILEPROP NULL_X28Y72 INT_TILE_X 9 TILEPROP NULL_X28Y72 INT_TILE_Y 80 TILEPROP NULL_X28Y72 IS_CENTER_TILE 0 TILEPROP NULL_X28Y72 IS_DCM_TILE 0 TILEPROP NULL_X28Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y72 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y72 NAME NULL_X28Y72 TILEPROP NULL_X28Y72 NUM_ARCS 0 TILEPROP NULL_X28Y72 NUM_SITES 0 TILEPROP NULL_X28Y72 ROW 84 TILEPROP NULL_X28Y72 SLR_REGION_ID 0 TILEPROP NULL_X28Y72 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y72 TILE_TYPE NULL TILEPROP NULL_X28Y72 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y72 TILE_X -51166 TILEPROP NULL_X28Y72 TILE_Y -19200 TILEPROP NULL_X28Y72 TYPE NULL TILEPROP NULL_X28Y74 CLASS tile TILEPROP NULL_X28Y74 COLUMN 28 TILEPROP NULL_X28Y74 DEVICE_ID 0 TILEPROP NULL_X28Y74 FIRST_SITE_ID 8125 TILEPROP NULL_X28Y74 GRID_POINT_X 28 TILEPROP NULL_X28Y74 GRID_POINT_Y 82 TILEPROP NULL_X28Y74 INDEX 9458 TILEPROP NULL_X28Y74 INT_TILE_X 9 TILEPROP NULL_X28Y74 INT_TILE_Y 78 TILEPROP NULL_X28Y74 IS_CENTER_TILE 0 TILEPROP NULL_X28Y74 IS_DCM_TILE 0 TILEPROP NULL_X28Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y74 NAME NULL_X28Y74 TILEPROP NULL_X28Y74 NUM_ARCS 0 TILEPROP NULL_X28Y74 NUM_SITES 0 TILEPROP NULL_X28Y74 ROW 82 TILEPROP NULL_X28Y74 SLR_REGION_ID 0 TILEPROP NULL_X28Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y74 TILE_TYPE NULL TILEPROP NULL_X28Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y74 TILE_X -51166 TILEPROP NULL_X28Y74 TILE_Y -12800 TILEPROP NULL_X28Y74 TYPE NULL TILEPROP NULL_X28Y75 CLASS tile TILEPROP NULL_X28Y75 COLUMN 28 TILEPROP NULL_X28Y75 DEVICE_ID 0 TILEPROP NULL_X28Y75 FIRST_SITE_ID 8025 TILEPROP NULL_X28Y75 GRID_POINT_X 28 TILEPROP NULL_X28Y75 GRID_POINT_Y 81 TILEPROP NULL_X28Y75 INDEX 9343 TILEPROP NULL_X28Y75 INT_TILE_X 9 TILEPROP NULL_X28Y75 INT_TILE_Y 77 TILEPROP NULL_X28Y75 IS_CENTER_TILE 0 TILEPROP NULL_X28Y75 IS_DCM_TILE 0 TILEPROP NULL_X28Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y75 NAME NULL_X28Y75 TILEPROP NULL_X28Y75 NUM_ARCS 0 TILEPROP NULL_X28Y75 NUM_SITES 0 TILEPROP NULL_X28Y75 ROW 81 TILEPROP NULL_X28Y75 SLR_REGION_ID 0 TILEPROP NULL_X28Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y75 TILE_TYPE NULL TILEPROP NULL_X28Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y75 TILE_X -51166 TILEPROP NULL_X28Y75 TILE_Y -9600 TILEPROP NULL_X28Y75 TYPE NULL TILEPROP NULL_X28Y76 CLASS tile TILEPROP NULL_X28Y76 COLUMN 28 TILEPROP NULL_X28Y76 DEVICE_ID 0 TILEPROP NULL_X28Y76 FIRST_SITE_ID 7925 TILEPROP NULL_X28Y76 GRID_POINT_X 28 TILEPROP NULL_X28Y76 GRID_POINT_Y 80 TILEPROP NULL_X28Y76 INDEX 9228 TILEPROP NULL_X28Y76 INT_TILE_X 9 TILEPROP NULL_X28Y76 INT_TILE_Y 76 TILEPROP NULL_X28Y76 IS_CENTER_TILE 0 TILEPROP NULL_X28Y76 IS_DCM_TILE 0 TILEPROP NULL_X28Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y76 NAME NULL_X28Y76 TILEPROP NULL_X28Y76 NUM_ARCS 0 TILEPROP NULL_X28Y76 NUM_SITES 0 TILEPROP NULL_X28Y76 ROW 80 TILEPROP NULL_X28Y76 SLR_REGION_ID 0 TILEPROP NULL_X28Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y76 TILE_TYPE NULL TILEPROP NULL_X28Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y76 TILE_X -51166 TILEPROP NULL_X28Y76 TILE_Y -6400 TILEPROP NULL_X28Y76 TYPE NULL TILEPROP NULL_X28Y77 CLASS tile TILEPROP NULL_X28Y77 COLUMN 28 TILEPROP NULL_X28Y77 DEVICE_ID 0 TILEPROP NULL_X28Y77 FIRST_SITE_ID 7825 TILEPROP NULL_X28Y77 GRID_POINT_X 28 TILEPROP NULL_X28Y77 GRID_POINT_Y 79 TILEPROP NULL_X28Y77 INDEX 9113 TILEPROP NULL_X28Y77 INT_TILE_X 9 TILEPROP NULL_X28Y77 INT_TILE_Y 75 TILEPROP NULL_X28Y77 IS_CENTER_TILE 0 TILEPROP NULL_X28Y77 IS_DCM_TILE 0 TILEPROP NULL_X28Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y77 NAME NULL_X28Y77 TILEPROP NULL_X28Y77 NUM_ARCS 0 TILEPROP NULL_X28Y77 NUM_SITES 0 TILEPROP NULL_X28Y77 ROW 79 TILEPROP NULL_X28Y77 SLR_REGION_ID 0 TILEPROP NULL_X28Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y77 TILE_TYPE NULL TILEPROP NULL_X28Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y77 TILE_X -51166 TILEPROP NULL_X28Y77 TILE_Y -3200 TILEPROP NULL_X28Y77 TYPE NULL TILEPROP NULL_X28Y80 CLASS tile TILEPROP NULL_X28Y80 COLUMN 28 TILEPROP NULL_X28Y80 DEVICE_ID 0 TILEPROP NULL_X28Y80 FIRST_SITE_ID 7524 TILEPROP NULL_X28Y80 GRID_POINT_X 28 TILEPROP NULL_X28Y80 GRID_POINT_Y 76 TILEPROP NULL_X28Y80 INDEX 8768 TILEPROP NULL_X28Y80 INT_TILE_X 9 TILEPROP NULL_X28Y80 INT_TILE_Y 73 TILEPROP NULL_X28Y80 IS_CENTER_TILE 0 TILEPROP NULL_X28Y80 IS_DCM_TILE 0 TILEPROP NULL_X28Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y80 NAME NULL_X28Y80 TILEPROP NULL_X28Y80 NUM_ARCS 0 TILEPROP NULL_X28Y80 NUM_SITES 0 TILEPROP NULL_X28Y80 ROW 76 TILEPROP NULL_X28Y80 SLR_REGION_ID 0 TILEPROP NULL_X28Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y80 TILE_TYPE NULL TILEPROP NULL_X28Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y80 TILE_X -51166 TILEPROP NULL_X28Y80 TILE_Y 4224 TILEPROP NULL_X28Y80 TYPE NULL TILEPROP NULL_X28Y81 CLASS tile TILEPROP NULL_X28Y81 COLUMN 28 TILEPROP NULL_X28Y81 DEVICE_ID 0 TILEPROP NULL_X28Y81 FIRST_SITE_ID 7424 TILEPROP NULL_X28Y81 GRID_POINT_X 28 TILEPROP NULL_X28Y81 GRID_POINT_Y 75 TILEPROP NULL_X28Y81 INDEX 8653 TILEPROP NULL_X28Y81 INT_TILE_X 9 TILEPROP NULL_X28Y81 INT_TILE_Y 72 TILEPROP NULL_X28Y81 IS_CENTER_TILE 0 TILEPROP NULL_X28Y81 IS_DCM_TILE 0 TILEPROP NULL_X28Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y81 NAME NULL_X28Y81 TILEPROP NULL_X28Y81 NUM_ARCS 0 TILEPROP NULL_X28Y81 NUM_SITES 0 TILEPROP NULL_X28Y81 ROW 75 TILEPROP NULL_X28Y81 SLR_REGION_ID 0 TILEPROP NULL_X28Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y81 TILE_TYPE NULL TILEPROP NULL_X28Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y81 TILE_X -51166 TILEPROP NULL_X28Y81 TILE_Y 7424 TILEPROP NULL_X28Y81 TYPE NULL TILEPROP NULL_X28Y82 CLASS tile TILEPROP NULL_X28Y82 COLUMN 28 TILEPROP NULL_X28Y82 DEVICE_ID 0 TILEPROP NULL_X28Y82 FIRST_SITE_ID 7324 TILEPROP NULL_X28Y82 GRID_POINT_X 28 TILEPROP NULL_X28Y82 GRID_POINT_Y 74 TILEPROP NULL_X28Y82 INDEX 8538 TILEPROP NULL_X28Y82 INT_TILE_X 9 TILEPROP NULL_X28Y82 INT_TILE_Y 71 TILEPROP NULL_X28Y82 IS_CENTER_TILE 0 TILEPROP NULL_X28Y82 IS_DCM_TILE 0 TILEPROP NULL_X28Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y82 NAME NULL_X28Y82 TILEPROP NULL_X28Y82 NUM_ARCS 0 TILEPROP NULL_X28Y82 NUM_SITES 0 TILEPROP NULL_X28Y82 ROW 74 TILEPROP NULL_X28Y82 SLR_REGION_ID 0 TILEPROP NULL_X28Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y82 TILE_TYPE NULL TILEPROP NULL_X28Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y82 TILE_X -51166 TILEPROP NULL_X28Y82 TILE_Y 10624 TILEPROP NULL_X28Y82 TYPE NULL TILEPROP NULL_X28Y83 CLASS tile TILEPROP NULL_X28Y83 COLUMN 28 TILEPROP NULL_X28Y83 DEVICE_ID 0 TILEPROP NULL_X28Y83 FIRST_SITE_ID 7218 TILEPROP NULL_X28Y83 GRID_POINT_X 28 TILEPROP NULL_X28Y83 GRID_POINT_Y 73 TILEPROP NULL_X28Y83 INDEX 8423 TILEPROP NULL_X28Y83 INT_TILE_X 9 TILEPROP NULL_X28Y83 INT_TILE_Y 70 TILEPROP NULL_X28Y83 IS_CENTER_TILE 0 TILEPROP NULL_X28Y83 IS_DCM_TILE 0 TILEPROP NULL_X28Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y83 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y83 NAME NULL_X28Y83 TILEPROP NULL_X28Y83 NUM_ARCS 0 TILEPROP NULL_X28Y83 NUM_SITES 0 TILEPROP NULL_X28Y83 ROW 73 TILEPROP NULL_X28Y83 SLR_REGION_ID 0 TILEPROP NULL_X28Y83 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y83 TILE_TYPE NULL TILEPROP NULL_X28Y83 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y83 TILE_X -51166 TILEPROP NULL_X28Y83 TILE_Y 13824 TILEPROP NULL_X28Y83 TYPE NULL TILEPROP NULL_X28Y85 CLASS tile TILEPROP NULL_X28Y85 COLUMN 28 TILEPROP NULL_X28Y85 DEVICE_ID 0 TILEPROP NULL_X28Y85 FIRST_SITE_ID 6995 TILEPROP NULL_X28Y85 GRID_POINT_X 28 TILEPROP NULL_X28Y85 GRID_POINT_Y 71 TILEPROP NULL_X28Y85 INDEX 8193 TILEPROP NULL_X28Y85 INT_TILE_X 9 TILEPROP NULL_X28Y85 INT_TILE_Y 68 TILEPROP NULL_X28Y85 IS_CENTER_TILE 0 TILEPROP NULL_X28Y85 IS_DCM_TILE 0 TILEPROP NULL_X28Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y85 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y85 NAME NULL_X28Y85 TILEPROP NULL_X28Y85 NUM_ARCS 0 TILEPROP NULL_X28Y85 NUM_SITES 0 TILEPROP NULL_X28Y85 ROW 71 TILEPROP NULL_X28Y85 SLR_REGION_ID 0 TILEPROP NULL_X28Y85 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y85 TILE_TYPE NULL TILEPROP NULL_X28Y85 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y85 TILE_X -51166 TILEPROP NULL_X28Y85 TILE_Y 20224 TILEPROP NULL_X28Y85 TYPE NULL TILEPROP NULL_X28Y86 CLASS tile TILEPROP NULL_X28Y86 COLUMN 28 TILEPROP NULL_X28Y86 DEVICE_ID 0 TILEPROP NULL_X28Y86 FIRST_SITE_ID 6893 TILEPROP NULL_X28Y86 GRID_POINT_X 28 TILEPROP NULL_X28Y86 GRID_POINT_Y 70 TILEPROP NULL_X28Y86 INDEX 8078 TILEPROP NULL_X28Y86 INT_TILE_X 9 TILEPROP NULL_X28Y86 INT_TILE_Y 67 TILEPROP NULL_X28Y86 IS_CENTER_TILE 0 TILEPROP NULL_X28Y86 IS_DCM_TILE 0 TILEPROP NULL_X28Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y86 NAME NULL_X28Y86 TILEPROP NULL_X28Y86 NUM_ARCS 0 TILEPROP NULL_X28Y86 NUM_SITES 0 TILEPROP NULL_X28Y86 ROW 70 TILEPROP NULL_X28Y86 SLR_REGION_ID 0 TILEPROP NULL_X28Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y86 TILE_TYPE NULL TILEPROP NULL_X28Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y86 TILE_X -51166 TILEPROP NULL_X28Y86 TILE_Y 23424 TILEPROP NULL_X28Y86 TYPE NULL TILEPROP NULL_X28Y87 CLASS tile TILEPROP NULL_X28Y87 COLUMN 28 TILEPROP NULL_X28Y87 DEVICE_ID 0 TILEPROP NULL_X28Y87 FIRST_SITE_ID 6793 TILEPROP NULL_X28Y87 GRID_POINT_X 28 TILEPROP NULL_X28Y87 GRID_POINT_Y 69 TILEPROP NULL_X28Y87 INDEX 7963 TILEPROP NULL_X28Y87 INT_TILE_X 9 TILEPROP NULL_X28Y87 INT_TILE_Y 66 TILEPROP NULL_X28Y87 IS_CENTER_TILE 0 TILEPROP NULL_X28Y87 IS_DCM_TILE 0 TILEPROP NULL_X28Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y87 NAME NULL_X28Y87 TILEPROP NULL_X28Y87 NUM_ARCS 0 TILEPROP NULL_X28Y87 NUM_SITES 0 TILEPROP NULL_X28Y87 ROW 69 TILEPROP NULL_X28Y87 SLR_REGION_ID 0 TILEPROP NULL_X28Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y87 TILE_TYPE NULL TILEPROP NULL_X28Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y87 TILE_X -51166 TILEPROP NULL_X28Y87 TILE_Y 26624 TILEPROP NULL_X28Y87 TYPE NULL TILEPROP NULL_X28Y88 CLASS tile TILEPROP NULL_X28Y88 COLUMN 28 TILEPROP NULL_X28Y88 DEVICE_ID 0 TILEPROP NULL_X28Y88 FIRST_SITE_ID 6693 TILEPROP NULL_X28Y88 GRID_POINT_X 28 TILEPROP NULL_X28Y88 GRID_POINT_Y 68 TILEPROP NULL_X28Y88 INDEX 7848 TILEPROP NULL_X28Y88 INT_TILE_X 9 TILEPROP NULL_X28Y88 INT_TILE_Y 65 TILEPROP NULL_X28Y88 IS_CENTER_TILE 0 TILEPROP NULL_X28Y88 IS_DCM_TILE 0 TILEPROP NULL_X28Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y88 NAME NULL_X28Y88 TILEPROP NULL_X28Y88 NUM_ARCS 0 TILEPROP NULL_X28Y88 NUM_SITES 0 TILEPROP NULL_X28Y88 ROW 68 TILEPROP NULL_X28Y88 SLR_REGION_ID 0 TILEPROP NULL_X28Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y88 TILE_TYPE NULL TILEPROP NULL_X28Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y88 TILE_X -51166 TILEPROP NULL_X28Y88 TILE_Y 29824 TILEPROP NULL_X28Y88 TYPE NULL TILEPROP NULL_X28Y90 CLASS tile TILEPROP NULL_X28Y90 COLUMN 28 TILEPROP NULL_X28Y90 DEVICE_ID 0 TILEPROP NULL_X28Y90 FIRST_SITE_ID 6446 TILEPROP NULL_X28Y90 GRID_POINT_X 28 TILEPROP NULL_X28Y90 GRID_POINT_Y 66 TILEPROP NULL_X28Y90 INDEX 7618 TILEPROP NULL_X28Y90 INT_TILE_X 9 TILEPROP NULL_X28Y90 INT_TILE_Y 63 TILEPROP NULL_X28Y90 IS_CENTER_TILE 0 TILEPROP NULL_X28Y90 IS_DCM_TILE 0 TILEPROP NULL_X28Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y90 NAME NULL_X28Y90 TILEPROP NULL_X28Y90 NUM_ARCS 0 TILEPROP NULL_X28Y90 NUM_SITES 0 TILEPROP NULL_X28Y90 ROW 66 TILEPROP NULL_X28Y90 SLR_REGION_ID 0 TILEPROP NULL_X28Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y90 TILE_TYPE NULL TILEPROP NULL_X28Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y90 TILE_X -51166 TILEPROP NULL_X28Y90 TILE_Y 36224 TILEPROP NULL_X28Y90 TYPE NULL TILEPROP NULL_X28Y91 CLASS tile TILEPROP NULL_X28Y91 COLUMN 28 TILEPROP NULL_X28Y91 DEVICE_ID 0 TILEPROP NULL_X28Y91 FIRST_SITE_ID 6346 TILEPROP NULL_X28Y91 GRID_POINT_X 28 TILEPROP NULL_X28Y91 GRID_POINT_Y 65 TILEPROP NULL_X28Y91 INDEX 7503 TILEPROP NULL_X28Y91 INT_TILE_X 9 TILEPROP NULL_X28Y91 INT_TILE_Y 62 TILEPROP NULL_X28Y91 IS_CENTER_TILE 0 TILEPROP NULL_X28Y91 IS_DCM_TILE 0 TILEPROP NULL_X28Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y91 NAME NULL_X28Y91 TILEPROP NULL_X28Y91 NUM_ARCS 0 TILEPROP NULL_X28Y91 NUM_SITES 0 TILEPROP NULL_X28Y91 ROW 65 TILEPROP NULL_X28Y91 SLR_REGION_ID 0 TILEPROP NULL_X28Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y91 TILE_TYPE NULL TILEPROP NULL_X28Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y91 TILE_X -51166 TILEPROP NULL_X28Y91 TILE_Y 39424 TILEPROP NULL_X28Y91 TYPE NULL TILEPROP NULL_X28Y92 CLASS tile TILEPROP NULL_X28Y92 COLUMN 28 TILEPROP NULL_X28Y92 DEVICE_ID 0 TILEPROP NULL_X28Y92 FIRST_SITE_ID 6246 TILEPROP NULL_X28Y92 GRID_POINT_X 28 TILEPROP NULL_X28Y92 GRID_POINT_Y 64 TILEPROP NULL_X28Y92 INDEX 7388 TILEPROP NULL_X28Y92 INT_TILE_X 9 TILEPROP NULL_X28Y92 INT_TILE_Y 61 TILEPROP NULL_X28Y92 IS_CENTER_TILE 0 TILEPROP NULL_X28Y92 IS_DCM_TILE 0 TILEPROP NULL_X28Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y92 NAME NULL_X28Y92 TILEPROP NULL_X28Y92 NUM_ARCS 0 TILEPROP NULL_X28Y92 NUM_SITES 0 TILEPROP NULL_X28Y92 ROW 64 TILEPROP NULL_X28Y92 SLR_REGION_ID 0 TILEPROP NULL_X28Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y92 TILE_TYPE NULL TILEPROP NULL_X28Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y92 TILE_X -51166 TILEPROP NULL_X28Y92 TILE_Y 42624 TILEPROP NULL_X28Y92 TYPE NULL TILEPROP NULL_X28Y93 CLASS tile TILEPROP NULL_X28Y93 COLUMN 28 TILEPROP NULL_X28Y93 DEVICE_ID 0 TILEPROP NULL_X28Y93 FIRST_SITE_ID 6146 TILEPROP NULL_X28Y93 GRID_POINT_X 28 TILEPROP NULL_X28Y93 GRID_POINT_Y 63 TILEPROP NULL_X28Y93 INDEX 7273 TILEPROP NULL_X28Y93 INT_TILE_X 9 TILEPROP NULL_X28Y93 INT_TILE_Y 60 TILEPROP NULL_X28Y93 IS_CENTER_TILE 0 TILEPROP NULL_X28Y93 IS_DCM_TILE 0 TILEPROP NULL_X28Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y93 NAME NULL_X28Y93 TILEPROP NULL_X28Y93 NUM_ARCS 0 TILEPROP NULL_X28Y93 NUM_SITES 0 TILEPROP NULL_X28Y93 ROW 63 TILEPROP NULL_X28Y93 SLR_REGION_ID 0 TILEPROP NULL_X28Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y93 TILE_TYPE NULL TILEPROP NULL_X28Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y93 TILE_X -51166 TILEPROP NULL_X28Y93 TILE_Y 45824 TILEPROP NULL_X28Y93 TYPE NULL TILEPROP NULL_X28Y95 CLASS tile TILEPROP NULL_X28Y95 COLUMN 28 TILEPROP NULL_X28Y95 DEVICE_ID 0 TILEPROP NULL_X28Y95 FIRST_SITE_ID 5931 TILEPROP NULL_X28Y95 GRID_POINT_X 28 TILEPROP NULL_X28Y95 GRID_POINT_Y 61 TILEPROP NULL_X28Y95 INDEX 7043 TILEPROP NULL_X28Y95 INT_TILE_X 9 TILEPROP NULL_X28Y95 INT_TILE_Y 58 TILEPROP NULL_X28Y95 IS_CENTER_TILE 0 TILEPROP NULL_X28Y95 IS_DCM_TILE 0 TILEPROP NULL_X28Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y95 NAME NULL_X28Y95 TILEPROP NULL_X28Y95 NUM_ARCS 0 TILEPROP NULL_X28Y95 NUM_SITES 0 TILEPROP NULL_X28Y95 ROW 61 TILEPROP NULL_X28Y95 SLR_REGION_ID 0 TILEPROP NULL_X28Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y95 TILE_TYPE NULL TILEPROP NULL_X28Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y95 TILE_X -51166 TILEPROP NULL_X28Y95 TILE_Y 52224 TILEPROP NULL_X28Y95 TYPE NULL TILEPROP NULL_X28Y96 CLASS tile TILEPROP NULL_X28Y96 COLUMN 28 TILEPROP NULL_X28Y96 DEVICE_ID 0 TILEPROP NULL_X28Y96 FIRST_SITE_ID 5830 TILEPROP NULL_X28Y96 GRID_POINT_X 28 TILEPROP NULL_X28Y96 GRID_POINT_Y 60 TILEPROP NULL_X28Y96 INDEX 6928 TILEPROP NULL_X28Y96 INT_TILE_X 9 TILEPROP NULL_X28Y96 INT_TILE_Y 57 TILEPROP NULL_X28Y96 IS_CENTER_TILE 0 TILEPROP NULL_X28Y96 IS_DCM_TILE 0 TILEPROP NULL_X28Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y96 NAME NULL_X28Y96 TILEPROP NULL_X28Y96 NUM_ARCS 0 TILEPROP NULL_X28Y96 NUM_SITES 0 TILEPROP NULL_X28Y96 ROW 60 TILEPROP NULL_X28Y96 SLR_REGION_ID 0 TILEPROP NULL_X28Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y96 TILE_TYPE NULL TILEPROP NULL_X28Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y96 TILE_X -51166 TILEPROP NULL_X28Y96 TILE_Y 55424 TILEPROP NULL_X28Y96 TYPE NULL TILEPROP NULL_X28Y97 CLASS tile TILEPROP NULL_X28Y97 COLUMN 28 TILEPROP NULL_X28Y97 DEVICE_ID 0 TILEPROP NULL_X28Y97 FIRST_SITE_ID 5727 TILEPROP NULL_X28Y97 GRID_POINT_X 28 TILEPROP NULL_X28Y97 GRID_POINT_Y 59 TILEPROP NULL_X28Y97 INDEX 6813 TILEPROP NULL_X28Y97 INT_TILE_X 9 TILEPROP NULL_X28Y97 INT_TILE_Y 56 TILEPROP NULL_X28Y97 IS_CENTER_TILE 0 TILEPROP NULL_X28Y97 IS_DCM_TILE 0 TILEPROP NULL_X28Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y97 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y97 NAME NULL_X28Y97 TILEPROP NULL_X28Y97 NUM_ARCS 0 TILEPROP NULL_X28Y97 NUM_SITES 0 TILEPROP NULL_X28Y97 ROW 59 TILEPROP NULL_X28Y97 SLR_REGION_ID 0 TILEPROP NULL_X28Y97 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y97 TILE_TYPE NULL TILEPROP NULL_X28Y97 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y97 TILE_X -51166 TILEPROP NULL_X28Y97 TILE_Y 58624 TILEPROP NULL_X28Y97 TYPE NULL TILEPROP NULL_X28Y98 CLASS tile TILEPROP NULL_X28Y98 COLUMN 28 TILEPROP NULL_X28Y98 DEVICE_ID 0 TILEPROP NULL_X28Y98 FIRST_SITE_ID 5625 TILEPROP NULL_X28Y98 GRID_POINT_X 28 TILEPROP NULL_X28Y98 GRID_POINT_Y 58 TILEPROP NULL_X28Y98 INDEX 6698 TILEPROP NULL_X28Y98 INT_TILE_X 9 TILEPROP NULL_X28Y98 INT_TILE_Y 55 TILEPROP NULL_X28Y98 IS_CENTER_TILE 0 TILEPROP NULL_X28Y98 IS_DCM_TILE 0 TILEPROP NULL_X28Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y98 NAME NULL_X28Y98 TILEPROP NULL_X28Y98 NUM_ARCS 0 TILEPROP NULL_X28Y98 NUM_SITES 0 TILEPROP NULL_X28Y98 ROW 58 TILEPROP NULL_X28Y98 SLR_REGION_ID 0 TILEPROP NULL_X28Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y98 TILE_TYPE NULL TILEPROP NULL_X28Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y98 TILE_X -51166 TILEPROP NULL_X28Y98 TILE_Y 61824 TILEPROP NULL_X28Y98 TYPE NULL TILEPROP NULL_X28Y100 CLASS tile TILEPROP NULL_X28Y100 COLUMN 28 TILEPROP NULL_X28Y100 DEVICE_ID 0 TILEPROP NULL_X28Y100 FIRST_SITE_ID 5410 TILEPROP NULL_X28Y100 GRID_POINT_X 28 TILEPROP NULL_X28Y100 GRID_POINT_Y 56 TILEPROP NULL_X28Y100 INDEX 6468 TILEPROP NULL_X28Y100 INT_TILE_X 9 TILEPROP NULL_X28Y100 INT_TILE_Y 53 TILEPROP NULL_X28Y100 IS_CENTER_TILE 0 TILEPROP NULL_X28Y100 IS_DCM_TILE 0 TILEPROP NULL_X28Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y100 NAME NULL_X28Y100 TILEPROP NULL_X28Y100 NUM_ARCS 0 TILEPROP NULL_X28Y100 NUM_SITES 0 TILEPROP NULL_X28Y100 ROW 56 TILEPROP NULL_X28Y100 SLR_REGION_ID 0 TILEPROP NULL_X28Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y100 TILE_TYPE NULL TILEPROP NULL_X28Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y100 TILE_X -51166 TILEPROP NULL_X28Y100 TILE_Y 68224 TILEPROP NULL_X28Y100 TYPE NULL TILEPROP NULL_X28Y101 CLASS tile TILEPROP NULL_X28Y101 COLUMN 28 TILEPROP NULL_X28Y101 DEVICE_ID 0 TILEPROP NULL_X28Y101 FIRST_SITE_ID 5310 TILEPROP NULL_X28Y101 GRID_POINT_X 28 TILEPROP NULL_X28Y101 GRID_POINT_Y 55 TILEPROP NULL_X28Y101 INDEX 6353 TILEPROP NULL_X28Y101 INT_TILE_X 9 TILEPROP NULL_X28Y101 INT_TILE_Y 52 TILEPROP NULL_X28Y101 IS_CENTER_TILE 0 TILEPROP NULL_X28Y101 IS_DCM_TILE 0 TILEPROP NULL_X28Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y101 NAME NULL_X28Y101 TILEPROP NULL_X28Y101 NUM_ARCS 0 TILEPROP NULL_X28Y101 NUM_SITES 0 TILEPROP NULL_X28Y101 ROW 55 TILEPROP NULL_X28Y101 SLR_REGION_ID 0 TILEPROP NULL_X28Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y101 TILE_TYPE NULL TILEPROP NULL_X28Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y101 TILE_X -51166 TILEPROP NULL_X28Y101 TILE_Y 71424 TILEPROP NULL_X28Y101 TYPE NULL TILEPROP NULL_X28Y102 CLASS tile TILEPROP NULL_X28Y102 COLUMN 28 TILEPROP NULL_X28Y102 DEVICE_ID 0 TILEPROP NULL_X28Y102 FIRST_SITE_ID 5210 TILEPROP NULL_X28Y102 GRID_POINT_X 28 TILEPROP NULL_X28Y102 GRID_POINT_Y 54 TILEPROP NULL_X28Y102 INDEX 6238 TILEPROP NULL_X28Y102 INT_TILE_X 9 TILEPROP NULL_X28Y102 INT_TILE_Y 51 TILEPROP NULL_X28Y102 IS_CENTER_TILE 0 TILEPROP NULL_X28Y102 IS_DCM_TILE 0 TILEPROP NULL_X28Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y102 NAME NULL_X28Y102 TILEPROP NULL_X28Y102 NUM_ARCS 0 TILEPROP NULL_X28Y102 NUM_SITES 0 TILEPROP NULL_X28Y102 ROW 54 TILEPROP NULL_X28Y102 SLR_REGION_ID 0 TILEPROP NULL_X28Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y102 TILE_TYPE NULL TILEPROP NULL_X28Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y102 TILE_X -51166 TILEPROP NULL_X28Y102 TILE_Y 74624 TILEPROP NULL_X28Y102 TYPE NULL TILEPROP NULL_X28Y103 CLASS tile TILEPROP NULL_X28Y103 COLUMN 28 TILEPROP NULL_X28Y103 DEVICE_ID 0 TILEPROP NULL_X28Y103 FIRST_SITE_ID 5114 TILEPROP NULL_X28Y103 GRID_POINT_X 28 TILEPROP NULL_X28Y103 GRID_POINT_Y 53 TILEPROP NULL_X28Y103 INDEX 6123 TILEPROP NULL_X28Y103 INT_TILE_X 9 TILEPROP NULL_X28Y103 INT_TILE_Y 50 TILEPROP NULL_X28Y103 IS_CENTER_TILE 0 TILEPROP NULL_X28Y103 IS_DCM_TILE 0 TILEPROP NULL_X28Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y103 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y103 NAME NULL_X28Y103 TILEPROP NULL_X28Y103 NUM_ARCS 0 TILEPROP NULL_X28Y103 NUM_SITES 0 TILEPROP NULL_X28Y103 ROW 53 TILEPROP NULL_X28Y103 SLR_REGION_ID 0 TILEPROP NULL_X28Y103 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y103 TILE_TYPE NULL TILEPROP NULL_X28Y103 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y103 TILE_X -51166 TILEPROP NULL_X28Y103 TILE_Y 77824 TILEPROP NULL_X28Y103 TYPE NULL TILEPROP NULL_X28Y106 CLASS tile TILEPROP NULL_X28Y106 COLUMN 28 TILEPROP NULL_X28Y106 DEVICE_ID 0 TILEPROP NULL_X28Y106 FIRST_SITE_ID 4921 TILEPROP NULL_X28Y106 GRID_POINT_X 28 TILEPROP NULL_X28Y106 GRID_POINT_Y 50 TILEPROP NULL_X28Y106 INDEX 5778 TILEPROP NULL_X28Y106 INT_TILE_X 9 TILEPROP NULL_X28Y106 INT_TILE_Y 48 TILEPROP NULL_X28Y106 IS_CENTER_TILE 0 TILEPROP NULL_X28Y106 IS_DCM_TILE 0 TILEPROP NULL_X28Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y106 NAME NULL_X28Y106 TILEPROP NULL_X28Y106 NUM_ARCS 0 TILEPROP NULL_X28Y106 NUM_SITES 0 TILEPROP NULL_X28Y106 ROW 50 TILEPROP NULL_X28Y106 SLR_REGION_ID 0 TILEPROP NULL_X28Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y106 TILE_TYPE NULL TILEPROP NULL_X28Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y106 TILE_X -51166 TILEPROP NULL_X28Y106 TILE_Y 84472 TILEPROP NULL_X28Y106 TYPE NULL TILEPROP NULL_X28Y107 CLASS tile TILEPROP NULL_X28Y107 COLUMN 28 TILEPROP NULL_X28Y107 DEVICE_ID 0 TILEPROP NULL_X28Y107 FIRST_SITE_ID 4825 TILEPROP NULL_X28Y107 GRID_POINT_X 28 TILEPROP NULL_X28Y107 GRID_POINT_Y 49 TILEPROP NULL_X28Y107 INDEX 5663 TILEPROP NULL_X28Y107 INT_TILE_X 9 TILEPROP NULL_X28Y107 INT_TILE_Y 47 TILEPROP NULL_X28Y107 IS_CENTER_TILE 0 TILEPROP NULL_X28Y107 IS_DCM_TILE 0 TILEPROP NULL_X28Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y107 NAME NULL_X28Y107 TILEPROP NULL_X28Y107 NUM_ARCS 0 TILEPROP NULL_X28Y107 NUM_SITES 0 TILEPROP NULL_X28Y107 ROW 49 TILEPROP NULL_X28Y107 SLR_REGION_ID 0 TILEPROP NULL_X28Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y107 TILE_TYPE NULL TILEPROP NULL_X28Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y107 TILE_X -51166 TILEPROP NULL_X28Y107 TILE_Y 87672 TILEPROP NULL_X28Y107 TYPE NULL TILEPROP NULL_X28Y108 CLASS tile TILEPROP NULL_X28Y108 COLUMN 28 TILEPROP NULL_X28Y108 DEVICE_ID 0 TILEPROP NULL_X28Y108 FIRST_SITE_ID 4737 TILEPROP NULL_X28Y108 GRID_POINT_X 28 TILEPROP NULL_X28Y108 GRID_POINT_Y 48 TILEPROP NULL_X28Y108 INDEX 5548 TILEPROP NULL_X28Y108 INT_TILE_X 9 TILEPROP NULL_X28Y108 INT_TILE_Y 46 TILEPROP NULL_X28Y108 IS_CENTER_TILE 0 TILEPROP NULL_X28Y108 IS_DCM_TILE 0 TILEPROP NULL_X28Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y108 NAME NULL_X28Y108 TILEPROP NULL_X28Y108 NUM_ARCS 0 TILEPROP NULL_X28Y108 NUM_SITES 0 TILEPROP NULL_X28Y108 ROW 48 TILEPROP NULL_X28Y108 SLR_REGION_ID 0 TILEPROP NULL_X28Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y108 TILE_TYPE NULL TILEPROP NULL_X28Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y108 TILE_X -51166 TILEPROP NULL_X28Y108 TILE_Y 90872 TILEPROP NULL_X28Y108 TYPE NULL TILEPROP NULL_X28Y109 CLASS tile TILEPROP NULL_X28Y109 COLUMN 28 TILEPROP NULL_X28Y109 DEVICE_ID 0 TILEPROP NULL_X28Y109 FIRST_SITE_ID 4641 TILEPROP NULL_X28Y109 GRID_POINT_X 28 TILEPROP NULL_X28Y109 GRID_POINT_Y 47 TILEPROP NULL_X28Y109 INDEX 5433 TILEPROP NULL_X28Y109 INT_TILE_X 9 TILEPROP NULL_X28Y109 INT_TILE_Y 45 TILEPROP NULL_X28Y109 IS_CENTER_TILE 0 TILEPROP NULL_X28Y109 IS_DCM_TILE 0 TILEPROP NULL_X28Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y109 NAME NULL_X28Y109 TILEPROP NULL_X28Y109 NUM_ARCS 0 TILEPROP NULL_X28Y109 NUM_SITES 0 TILEPROP NULL_X28Y109 ROW 47 TILEPROP NULL_X28Y109 SLR_REGION_ID 0 TILEPROP NULL_X28Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y109 TILE_TYPE NULL TILEPROP NULL_X28Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y109 TILE_X -51166 TILEPROP NULL_X28Y109 TILE_Y 94072 TILEPROP NULL_X28Y109 TYPE NULL TILEPROP NULL_X28Y111 CLASS tile TILEPROP NULL_X28Y111 COLUMN 28 TILEPROP NULL_X28Y111 DEVICE_ID 0 TILEPROP NULL_X28Y111 FIRST_SITE_ID 4443 TILEPROP NULL_X28Y111 GRID_POINT_X 28 TILEPROP NULL_X28Y111 GRID_POINT_Y 45 TILEPROP NULL_X28Y111 INDEX 5203 TILEPROP NULL_X28Y111 INT_TILE_X 9 TILEPROP NULL_X28Y111 INT_TILE_Y 43 TILEPROP NULL_X28Y111 IS_CENTER_TILE 0 TILEPROP NULL_X28Y111 IS_DCM_TILE 0 TILEPROP NULL_X28Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y111 NAME NULL_X28Y111 TILEPROP NULL_X28Y111 NUM_ARCS 0 TILEPROP NULL_X28Y111 NUM_SITES 0 TILEPROP NULL_X28Y111 ROW 45 TILEPROP NULL_X28Y111 SLR_REGION_ID 0 TILEPROP NULL_X28Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y111 TILE_TYPE NULL TILEPROP NULL_X28Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y111 TILE_X -51166 TILEPROP NULL_X28Y111 TILE_Y 100472 TILEPROP NULL_X28Y111 TYPE NULL TILEPROP NULL_X28Y112 CLASS tile TILEPROP NULL_X28Y112 COLUMN 28 TILEPROP NULL_X28Y112 DEVICE_ID 0 TILEPROP NULL_X28Y112 FIRST_SITE_ID 4355 TILEPROP NULL_X28Y112 GRID_POINT_X 28 TILEPROP NULL_X28Y112 GRID_POINT_Y 44 TILEPROP NULL_X28Y112 INDEX 5088 TILEPROP NULL_X28Y112 INT_TILE_X 9 TILEPROP NULL_X28Y112 INT_TILE_Y 42 TILEPROP NULL_X28Y112 IS_CENTER_TILE 0 TILEPROP NULL_X28Y112 IS_DCM_TILE 0 TILEPROP NULL_X28Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y112 NAME NULL_X28Y112 TILEPROP NULL_X28Y112 NUM_ARCS 0 TILEPROP NULL_X28Y112 NUM_SITES 0 TILEPROP NULL_X28Y112 ROW 44 TILEPROP NULL_X28Y112 SLR_REGION_ID 0 TILEPROP NULL_X28Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y112 TILE_TYPE NULL TILEPROP NULL_X28Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y112 TILE_X -51166 TILEPROP NULL_X28Y112 TILE_Y 103672 TILEPROP NULL_X28Y112 TYPE NULL TILEPROP NULL_X28Y113 CLASS tile TILEPROP NULL_X28Y113 COLUMN 28 TILEPROP NULL_X28Y113 DEVICE_ID 0 TILEPROP NULL_X28Y113 FIRST_SITE_ID 4257 TILEPROP NULL_X28Y113 GRID_POINT_X 28 TILEPROP NULL_X28Y113 GRID_POINT_Y 43 TILEPROP NULL_X28Y113 INDEX 4973 TILEPROP NULL_X28Y113 INT_TILE_X 9 TILEPROP NULL_X28Y113 INT_TILE_Y 41 TILEPROP NULL_X28Y113 IS_CENTER_TILE 0 TILEPROP NULL_X28Y113 IS_DCM_TILE 0 TILEPROP NULL_X28Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y113 NAME NULL_X28Y113 TILEPROP NULL_X28Y113 NUM_ARCS 0 TILEPROP NULL_X28Y113 NUM_SITES 0 TILEPROP NULL_X28Y113 ROW 43 TILEPROP NULL_X28Y113 SLR_REGION_ID 0 TILEPROP NULL_X28Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y113 TILE_TYPE NULL TILEPROP NULL_X28Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y113 TILE_X -51166 TILEPROP NULL_X28Y113 TILE_Y 106872 TILEPROP NULL_X28Y113 TYPE NULL TILEPROP NULL_X28Y114 CLASS tile TILEPROP NULL_X28Y114 COLUMN 28 TILEPROP NULL_X28Y114 DEVICE_ID 0 TILEPROP NULL_X28Y114 FIRST_SITE_ID 4168 TILEPROP NULL_X28Y114 GRID_POINT_X 28 TILEPROP NULL_X28Y114 GRID_POINT_Y 42 TILEPROP NULL_X28Y114 INDEX 4858 TILEPROP NULL_X28Y114 INT_TILE_X 9 TILEPROP NULL_X28Y114 INT_TILE_Y 40 TILEPROP NULL_X28Y114 IS_CENTER_TILE 0 TILEPROP NULL_X28Y114 IS_DCM_TILE 0 TILEPROP NULL_X28Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y114 NAME NULL_X28Y114 TILEPROP NULL_X28Y114 NUM_ARCS 0 TILEPROP NULL_X28Y114 NUM_SITES 0 TILEPROP NULL_X28Y114 ROW 42 TILEPROP NULL_X28Y114 SLR_REGION_ID 0 TILEPROP NULL_X28Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y114 TILE_TYPE NULL TILEPROP NULL_X28Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y114 TILE_X -51166 TILEPROP NULL_X28Y114 TILE_Y 110072 TILEPROP NULL_X28Y114 TYPE NULL TILEPROP NULL_X28Y116 CLASS tile TILEPROP NULL_X28Y116 COLUMN 28 TILEPROP NULL_X28Y116 DEVICE_ID 0 TILEPROP NULL_X28Y116 FIRST_SITE_ID 3974 TILEPROP NULL_X28Y116 GRID_POINT_X 28 TILEPROP NULL_X28Y116 GRID_POINT_Y 40 TILEPROP NULL_X28Y116 INDEX 4628 TILEPROP NULL_X28Y116 INT_TILE_X 9 TILEPROP NULL_X28Y116 INT_TILE_Y 38 TILEPROP NULL_X28Y116 IS_CENTER_TILE 0 TILEPROP NULL_X28Y116 IS_DCM_TILE 0 TILEPROP NULL_X28Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y116 NAME NULL_X28Y116 TILEPROP NULL_X28Y116 NUM_ARCS 0 TILEPROP NULL_X28Y116 NUM_SITES 0 TILEPROP NULL_X28Y116 ROW 40 TILEPROP NULL_X28Y116 SLR_REGION_ID 0 TILEPROP NULL_X28Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y116 TILE_TYPE NULL TILEPROP NULL_X28Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y116 TILE_X -51166 TILEPROP NULL_X28Y116 TILE_Y 116472 TILEPROP NULL_X28Y116 TYPE NULL TILEPROP NULL_X28Y117 CLASS tile TILEPROP NULL_X28Y117 COLUMN 28 TILEPROP NULL_X28Y117 DEVICE_ID 0 TILEPROP NULL_X28Y117 FIRST_SITE_ID 3846 TILEPROP NULL_X28Y117 GRID_POINT_X 28 TILEPROP NULL_X28Y117 GRID_POINT_Y 39 TILEPROP NULL_X28Y117 INDEX 4513 TILEPROP NULL_X28Y117 INT_TILE_X 9 TILEPROP NULL_X28Y117 INT_TILE_Y 37 TILEPROP NULL_X28Y117 IS_CENTER_TILE 0 TILEPROP NULL_X28Y117 IS_DCM_TILE 0 TILEPROP NULL_X28Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y117 NAME NULL_X28Y117 TILEPROP NULL_X28Y117 NUM_ARCS 0 TILEPROP NULL_X28Y117 NUM_SITES 0 TILEPROP NULL_X28Y117 ROW 39 TILEPROP NULL_X28Y117 SLR_REGION_ID 0 TILEPROP NULL_X28Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y117 TILE_TYPE NULL TILEPROP NULL_X28Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y117 TILE_X -51166 TILEPROP NULL_X28Y117 TILE_Y 119672 TILEPROP NULL_X28Y117 TYPE NULL TILEPROP NULL_X28Y118 CLASS tile TILEPROP NULL_X28Y118 COLUMN 28 TILEPROP NULL_X28Y118 DEVICE_ID 0 TILEPROP NULL_X28Y118 FIRST_SITE_ID 3758 TILEPROP NULL_X28Y118 GRID_POINT_X 28 TILEPROP NULL_X28Y118 GRID_POINT_Y 38 TILEPROP NULL_X28Y118 INDEX 4398 TILEPROP NULL_X28Y118 INT_TILE_X 9 TILEPROP NULL_X28Y118 INT_TILE_Y 36 TILEPROP NULL_X28Y118 IS_CENTER_TILE 0 TILEPROP NULL_X28Y118 IS_DCM_TILE 0 TILEPROP NULL_X28Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y118 NAME NULL_X28Y118 TILEPROP NULL_X28Y118 NUM_ARCS 0 TILEPROP NULL_X28Y118 NUM_SITES 0 TILEPROP NULL_X28Y118 ROW 38 TILEPROP NULL_X28Y118 SLR_REGION_ID 0 TILEPROP NULL_X28Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y118 TILE_TYPE NULL TILEPROP NULL_X28Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y118 TILE_X -51166 TILEPROP NULL_X28Y118 TILE_Y 122872 TILEPROP NULL_X28Y118 TYPE NULL TILEPROP NULL_X28Y119 CLASS tile TILEPROP NULL_X28Y119 COLUMN 28 TILEPROP NULL_X28Y119 DEVICE_ID 0 TILEPROP NULL_X28Y119 FIRST_SITE_ID 3662 TILEPROP NULL_X28Y119 GRID_POINT_X 28 TILEPROP NULL_X28Y119 GRID_POINT_Y 37 TILEPROP NULL_X28Y119 INDEX 4283 TILEPROP NULL_X28Y119 INT_TILE_X 9 TILEPROP NULL_X28Y119 INT_TILE_Y 35 TILEPROP NULL_X28Y119 IS_CENTER_TILE 0 TILEPROP NULL_X28Y119 IS_DCM_TILE 0 TILEPROP NULL_X28Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y119 NAME NULL_X28Y119 TILEPROP NULL_X28Y119 NUM_ARCS 0 TILEPROP NULL_X28Y119 NUM_SITES 0 TILEPROP NULL_X28Y119 ROW 37 TILEPROP NULL_X28Y119 SLR_REGION_ID 0 TILEPROP NULL_X28Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y119 TILE_TYPE NULL TILEPROP NULL_X28Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y119 TILE_X -51166 TILEPROP NULL_X28Y119 TILE_Y 126072 TILEPROP NULL_X28Y119 TYPE NULL TILEPROP NULL_X28Y121 CLASS tile TILEPROP NULL_X28Y121 COLUMN 28 TILEPROP NULL_X28Y121 DEVICE_ID 0 TILEPROP NULL_X28Y121 FIRST_SITE_ID 3464 TILEPROP NULL_X28Y121 GRID_POINT_X 28 TILEPROP NULL_X28Y121 GRID_POINT_Y 35 TILEPROP NULL_X28Y121 INDEX 4053 TILEPROP NULL_X28Y121 INT_TILE_X 9 TILEPROP NULL_X28Y121 INT_TILE_Y 33 TILEPROP NULL_X28Y121 IS_CENTER_TILE 0 TILEPROP NULL_X28Y121 IS_DCM_TILE 0 TILEPROP NULL_X28Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y121 NAME NULL_X28Y121 TILEPROP NULL_X28Y121 NUM_ARCS 0 TILEPROP NULL_X28Y121 NUM_SITES 0 TILEPROP NULL_X28Y121 ROW 35 TILEPROP NULL_X28Y121 SLR_REGION_ID 0 TILEPROP NULL_X28Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y121 TILE_TYPE NULL TILEPROP NULL_X28Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y121 TILE_X -51166 TILEPROP NULL_X28Y121 TILE_Y 132472 TILEPROP NULL_X28Y121 TYPE NULL TILEPROP NULL_X28Y122 CLASS tile TILEPROP NULL_X28Y122 COLUMN 28 TILEPROP NULL_X28Y122 DEVICE_ID 0 TILEPROP NULL_X28Y122 FIRST_SITE_ID 3376 TILEPROP NULL_X28Y122 GRID_POINT_X 28 TILEPROP NULL_X28Y122 GRID_POINT_Y 34 TILEPROP NULL_X28Y122 INDEX 3938 TILEPROP NULL_X28Y122 INT_TILE_X 9 TILEPROP NULL_X28Y122 INT_TILE_Y 32 TILEPROP NULL_X28Y122 IS_CENTER_TILE 0 TILEPROP NULL_X28Y122 IS_DCM_TILE 0 TILEPROP NULL_X28Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y122 NAME NULL_X28Y122 TILEPROP NULL_X28Y122 NUM_ARCS 0 TILEPROP NULL_X28Y122 NUM_SITES 0 TILEPROP NULL_X28Y122 ROW 34 TILEPROP NULL_X28Y122 SLR_REGION_ID 0 TILEPROP NULL_X28Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y122 TILE_TYPE NULL TILEPROP NULL_X28Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y122 TILE_X -51166 TILEPROP NULL_X28Y122 TILE_Y 135672 TILEPROP NULL_X28Y122 TYPE NULL TILEPROP NULL_X28Y123 CLASS tile TILEPROP NULL_X28Y123 COLUMN 28 TILEPROP NULL_X28Y123 DEVICE_ID 0 TILEPROP NULL_X28Y123 FIRST_SITE_ID 3276 TILEPROP NULL_X28Y123 GRID_POINT_X 28 TILEPROP NULL_X28Y123 GRID_POINT_Y 33 TILEPROP NULL_X28Y123 INDEX 3823 TILEPROP NULL_X28Y123 INT_TILE_X 9 TILEPROP NULL_X28Y123 INT_TILE_Y 31 TILEPROP NULL_X28Y123 IS_CENTER_TILE 0 TILEPROP NULL_X28Y123 IS_DCM_TILE 0 TILEPROP NULL_X28Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y123 NAME NULL_X28Y123 TILEPROP NULL_X28Y123 NUM_ARCS 0 TILEPROP NULL_X28Y123 NUM_SITES 0 TILEPROP NULL_X28Y123 ROW 33 TILEPROP NULL_X28Y123 SLR_REGION_ID 0 TILEPROP NULL_X28Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y123 TILE_TYPE NULL TILEPROP NULL_X28Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y123 TILE_X -51166 TILEPROP NULL_X28Y123 TILE_Y 138872 TILEPROP NULL_X28Y123 TYPE NULL TILEPROP NULL_X28Y124 CLASS tile TILEPROP NULL_X28Y124 COLUMN 28 TILEPROP NULL_X28Y124 DEVICE_ID 0 TILEPROP NULL_X28Y124 FIRST_SITE_ID 3188 TILEPROP NULL_X28Y124 GRID_POINT_X 28 TILEPROP NULL_X28Y124 GRID_POINT_Y 32 TILEPROP NULL_X28Y124 INDEX 3708 TILEPROP NULL_X28Y124 INT_TILE_X 9 TILEPROP NULL_X28Y124 INT_TILE_Y 30 TILEPROP NULL_X28Y124 IS_CENTER_TILE 0 TILEPROP NULL_X28Y124 IS_DCM_TILE 0 TILEPROP NULL_X28Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y124 NAME NULL_X28Y124 TILEPROP NULL_X28Y124 NUM_ARCS 0 TILEPROP NULL_X28Y124 NUM_SITES 0 TILEPROP NULL_X28Y124 ROW 32 TILEPROP NULL_X28Y124 SLR_REGION_ID 0 TILEPROP NULL_X28Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y124 TILE_TYPE NULL TILEPROP NULL_X28Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y124 TILE_X -51166 TILEPROP NULL_X28Y124 TILE_Y 142072 TILEPROP NULL_X28Y124 TYPE NULL TILEPROP NULL_X28Y126 CLASS tile TILEPROP NULL_X28Y126 COLUMN 28 TILEPROP NULL_X28Y126 DEVICE_ID 0 TILEPROP NULL_X28Y126 FIRST_SITE_ID 2993 TILEPROP NULL_X28Y126 GRID_POINT_X 28 TILEPROP NULL_X28Y126 GRID_POINT_Y 30 TILEPROP NULL_X28Y126 INDEX 3478 TILEPROP NULL_X28Y126 INT_TILE_X 9 TILEPROP NULL_X28Y126 INT_TILE_Y 28 TILEPROP NULL_X28Y126 IS_CENTER_TILE 0 TILEPROP NULL_X28Y126 IS_DCM_TILE 0 TILEPROP NULL_X28Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y126 NAME NULL_X28Y126 TILEPROP NULL_X28Y126 NUM_ARCS 0 TILEPROP NULL_X28Y126 NUM_SITES 0 TILEPROP NULL_X28Y126 ROW 30 TILEPROP NULL_X28Y126 SLR_REGION_ID 0 TILEPROP NULL_X28Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y126 TILE_TYPE NULL TILEPROP NULL_X28Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y126 TILE_X -51166 TILEPROP NULL_X28Y126 TILE_Y 148472 TILEPROP NULL_X28Y126 TYPE NULL TILEPROP NULL_X28Y127 CLASS tile TILEPROP NULL_X28Y127 COLUMN 28 TILEPROP NULL_X28Y127 DEVICE_ID 0 TILEPROP NULL_X28Y127 FIRST_SITE_ID 2890 TILEPROP NULL_X28Y127 GRID_POINT_X 28 TILEPROP NULL_X28Y127 GRID_POINT_Y 29 TILEPROP NULL_X28Y127 INDEX 3363 TILEPROP NULL_X28Y127 INT_TILE_X 9 TILEPROP NULL_X28Y127 INT_TILE_Y 27 TILEPROP NULL_X28Y127 IS_CENTER_TILE 0 TILEPROP NULL_X28Y127 IS_DCM_TILE 0 TILEPROP NULL_X28Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y127 NAME NULL_X28Y127 TILEPROP NULL_X28Y127 NUM_ARCS 0 TILEPROP NULL_X28Y127 NUM_SITES 0 TILEPROP NULL_X28Y127 ROW 29 TILEPROP NULL_X28Y127 SLR_REGION_ID 0 TILEPROP NULL_X28Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y127 TILE_TYPE NULL TILEPROP NULL_X28Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y127 TILE_X -51166 TILEPROP NULL_X28Y127 TILE_Y 151672 TILEPROP NULL_X28Y127 TYPE NULL TILEPROP NULL_X28Y128 CLASS tile TILEPROP NULL_X28Y128 COLUMN 28 TILEPROP NULL_X28Y128 DEVICE_ID 0 TILEPROP NULL_X28Y128 FIRST_SITE_ID 2802 TILEPROP NULL_X28Y128 GRID_POINT_X 28 TILEPROP NULL_X28Y128 GRID_POINT_Y 28 TILEPROP NULL_X28Y128 INDEX 3248 TILEPROP NULL_X28Y128 INT_TILE_X 9 TILEPROP NULL_X28Y128 INT_TILE_Y 26 TILEPROP NULL_X28Y128 IS_CENTER_TILE 0 TILEPROP NULL_X28Y128 IS_DCM_TILE 0 TILEPROP NULL_X28Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y128 NAME NULL_X28Y128 TILEPROP NULL_X28Y128 NUM_ARCS 0 TILEPROP NULL_X28Y128 NUM_SITES 0 TILEPROP NULL_X28Y128 ROW 28 TILEPROP NULL_X28Y128 SLR_REGION_ID 0 TILEPROP NULL_X28Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y128 TILE_TYPE NULL TILEPROP NULL_X28Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y128 TILE_X -51166 TILEPROP NULL_X28Y128 TILE_Y 154872 TILEPROP NULL_X28Y128 TYPE NULL TILEPROP NULL_X28Y129 CLASS tile TILEPROP NULL_X28Y129 COLUMN 28 TILEPROP NULL_X28Y129 DEVICE_ID 0 TILEPROP NULL_X28Y129 FIRST_SITE_ID 2706 TILEPROP NULL_X28Y129 GRID_POINT_X 28 TILEPROP NULL_X28Y129 GRID_POINT_Y 27 TILEPROP NULL_X28Y129 INDEX 3133 TILEPROP NULL_X28Y129 INT_TILE_X 9 TILEPROP NULL_X28Y129 INT_TILE_Y 25 TILEPROP NULL_X28Y129 IS_CENTER_TILE 0 TILEPROP NULL_X28Y129 IS_DCM_TILE 0 TILEPROP NULL_X28Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y129 NAME NULL_X28Y129 TILEPROP NULL_X28Y129 NUM_ARCS 0 TILEPROP NULL_X28Y129 NUM_SITES 0 TILEPROP NULL_X28Y129 ROW 27 TILEPROP NULL_X28Y129 SLR_REGION_ID 0 TILEPROP NULL_X28Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y129 TILE_TYPE NULL TILEPROP NULL_X28Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y129 TILE_X -51166 TILEPROP NULL_X28Y129 TILE_Y 158072 TILEPROP NULL_X28Y129 TYPE NULL TILEPROP NULL_X28Y132 CLASS tile TILEPROP NULL_X28Y132 COLUMN 28 TILEPROP NULL_X28Y132 DEVICE_ID 0 TILEPROP NULL_X28Y132 FIRST_SITE_ID 2423 TILEPROP NULL_X28Y132 GRID_POINT_X 28 TILEPROP NULL_X28Y132 GRID_POINT_Y 24 TILEPROP NULL_X28Y132 INDEX 2788 TILEPROP NULL_X28Y132 INT_TILE_X 9 TILEPROP NULL_X28Y132 INT_TILE_Y 23 TILEPROP NULL_X28Y132 IS_CENTER_TILE 0 TILEPROP NULL_X28Y132 IS_DCM_TILE 0 TILEPROP NULL_X28Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y132 NAME NULL_X28Y132 TILEPROP NULL_X28Y132 NUM_ARCS 0 TILEPROP NULL_X28Y132 NUM_SITES 0 TILEPROP NULL_X28Y132 ROW 24 TILEPROP NULL_X28Y132 SLR_REGION_ID 0 TILEPROP NULL_X28Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y132 TILE_TYPE NULL TILEPROP NULL_X28Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y132 TILE_X -51166 TILEPROP NULL_X28Y132 TILE_Y 165496 TILEPROP NULL_X28Y132 TYPE NULL TILEPROP NULL_X28Y133 CLASS tile TILEPROP NULL_X28Y133 COLUMN 28 TILEPROP NULL_X28Y133 DEVICE_ID 0 TILEPROP NULL_X28Y133 FIRST_SITE_ID 2327 TILEPROP NULL_X28Y133 GRID_POINT_X 28 TILEPROP NULL_X28Y133 GRID_POINT_Y 23 TILEPROP NULL_X28Y133 INDEX 2673 TILEPROP NULL_X28Y133 INT_TILE_X 9 TILEPROP NULL_X28Y133 INT_TILE_Y 22 TILEPROP NULL_X28Y133 IS_CENTER_TILE 0 TILEPROP NULL_X28Y133 IS_DCM_TILE 0 TILEPROP NULL_X28Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y133 NAME NULL_X28Y133 TILEPROP NULL_X28Y133 NUM_ARCS 0 TILEPROP NULL_X28Y133 NUM_SITES 0 TILEPROP NULL_X28Y133 ROW 23 TILEPROP NULL_X28Y133 SLR_REGION_ID 0 TILEPROP NULL_X28Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y133 TILE_TYPE NULL TILEPROP NULL_X28Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y133 TILE_X -51166 TILEPROP NULL_X28Y133 TILE_Y 168696 TILEPROP NULL_X28Y133 TYPE NULL TILEPROP NULL_X28Y134 CLASS tile TILEPROP NULL_X28Y134 COLUMN 28 TILEPROP NULL_X28Y134 DEVICE_ID 0 TILEPROP NULL_X28Y134 FIRST_SITE_ID 2223 TILEPROP NULL_X28Y134 GRID_POINT_X 28 TILEPROP NULL_X28Y134 GRID_POINT_Y 22 TILEPROP NULL_X28Y134 INDEX 2558 TILEPROP NULL_X28Y134 INT_TILE_X 9 TILEPROP NULL_X28Y134 INT_TILE_Y 21 TILEPROP NULL_X28Y134 IS_CENTER_TILE 0 TILEPROP NULL_X28Y134 IS_DCM_TILE 0 TILEPROP NULL_X28Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y134 NAME NULL_X28Y134 TILEPROP NULL_X28Y134 NUM_ARCS 0 TILEPROP NULL_X28Y134 NUM_SITES 0 TILEPROP NULL_X28Y134 ROW 22 TILEPROP NULL_X28Y134 SLR_REGION_ID 0 TILEPROP NULL_X28Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y134 TILE_TYPE NULL TILEPROP NULL_X28Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y134 TILE_X -51166 TILEPROP NULL_X28Y134 TILE_Y 171896 TILEPROP NULL_X28Y134 TYPE NULL TILEPROP NULL_X28Y135 CLASS tile TILEPROP NULL_X28Y135 COLUMN 28 TILEPROP NULL_X28Y135 DEVICE_ID 0 TILEPROP NULL_X28Y135 FIRST_SITE_ID 2127 TILEPROP NULL_X28Y135 GRID_POINT_X 28 TILEPROP NULL_X28Y135 GRID_POINT_Y 21 TILEPROP NULL_X28Y135 INDEX 2443 TILEPROP NULL_X28Y135 INT_TILE_X 9 TILEPROP NULL_X28Y135 INT_TILE_Y 20 TILEPROP NULL_X28Y135 IS_CENTER_TILE 0 TILEPROP NULL_X28Y135 IS_DCM_TILE 0 TILEPROP NULL_X28Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y135 NAME NULL_X28Y135 TILEPROP NULL_X28Y135 NUM_ARCS 0 TILEPROP NULL_X28Y135 NUM_SITES 0 TILEPROP NULL_X28Y135 ROW 21 TILEPROP NULL_X28Y135 SLR_REGION_ID 0 TILEPROP NULL_X28Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y135 TILE_TYPE NULL TILEPROP NULL_X28Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y135 TILE_X -51166 TILEPROP NULL_X28Y135 TILE_Y 175096 TILEPROP NULL_X28Y135 TYPE NULL TILEPROP NULL_X28Y137 CLASS tile TILEPROP NULL_X28Y137 COLUMN 28 TILEPROP NULL_X28Y137 DEVICE_ID 0 TILEPROP NULL_X28Y137 FIRST_SITE_ID 1909 TILEPROP NULL_X28Y137 GRID_POINT_X 28 TILEPROP NULL_X28Y137 GRID_POINT_Y 19 TILEPROP NULL_X28Y137 INDEX 2213 TILEPROP NULL_X28Y137 INT_TILE_X 9 TILEPROP NULL_X28Y137 INT_TILE_Y 18 TILEPROP NULL_X28Y137 IS_CENTER_TILE 0 TILEPROP NULL_X28Y137 IS_DCM_TILE 0 TILEPROP NULL_X28Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y137 NAME NULL_X28Y137 TILEPROP NULL_X28Y137 NUM_ARCS 0 TILEPROP NULL_X28Y137 NUM_SITES 0 TILEPROP NULL_X28Y137 ROW 19 TILEPROP NULL_X28Y137 SLR_REGION_ID 0 TILEPROP NULL_X28Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y137 TILE_TYPE NULL TILEPROP NULL_X28Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y137 TILE_X -51166 TILEPROP NULL_X28Y137 TILE_Y 181496 TILEPROP NULL_X28Y137 TYPE NULL TILEPROP NULL_X28Y138 CLASS tile TILEPROP NULL_X28Y138 COLUMN 28 TILEPROP NULL_X28Y138 DEVICE_ID 0 TILEPROP NULL_X28Y138 FIRST_SITE_ID 1803 TILEPROP NULL_X28Y138 GRID_POINT_X 28 TILEPROP NULL_X28Y138 GRID_POINT_Y 18 TILEPROP NULL_X28Y138 INDEX 2098 TILEPROP NULL_X28Y138 INT_TILE_X 9 TILEPROP NULL_X28Y138 INT_TILE_Y 17 TILEPROP NULL_X28Y138 IS_CENTER_TILE 0 TILEPROP NULL_X28Y138 IS_DCM_TILE 0 TILEPROP NULL_X28Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y138 NAME NULL_X28Y138 TILEPROP NULL_X28Y138 NUM_ARCS 0 TILEPROP NULL_X28Y138 NUM_SITES 0 TILEPROP NULL_X28Y138 ROW 18 TILEPROP NULL_X28Y138 SLR_REGION_ID 0 TILEPROP NULL_X28Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y138 TILE_TYPE NULL TILEPROP NULL_X28Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y138 TILE_X -51166 TILEPROP NULL_X28Y138 TILE_Y 184696 TILEPROP NULL_X28Y138 TYPE NULL TILEPROP NULL_X28Y139 CLASS tile TILEPROP NULL_X28Y139 COLUMN 28 TILEPROP NULL_X28Y139 DEVICE_ID 0 TILEPROP NULL_X28Y139 FIRST_SITE_ID 1702 TILEPROP NULL_X28Y139 GRID_POINT_X 28 TILEPROP NULL_X28Y139 GRID_POINT_Y 17 TILEPROP NULL_X28Y139 INDEX 1983 TILEPROP NULL_X28Y139 INT_TILE_X 9 TILEPROP NULL_X28Y139 INT_TILE_Y 16 TILEPROP NULL_X28Y139 IS_CENTER_TILE 0 TILEPROP NULL_X28Y139 IS_DCM_TILE 0 TILEPROP NULL_X28Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y139 NAME NULL_X28Y139 TILEPROP NULL_X28Y139 NUM_ARCS 0 TILEPROP NULL_X28Y139 NUM_SITES 0 TILEPROP NULL_X28Y139 ROW 17 TILEPROP NULL_X28Y139 SLR_REGION_ID 0 TILEPROP NULL_X28Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y139 TILE_TYPE NULL TILEPROP NULL_X28Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y139 TILE_X -51166 TILEPROP NULL_X28Y139 TILE_Y 187896 TILEPROP NULL_X28Y139 TYPE NULL TILEPROP NULL_X28Y140 CLASS tile TILEPROP NULL_X28Y140 COLUMN 28 TILEPROP NULL_X28Y140 DEVICE_ID 0 TILEPROP NULL_X28Y140 FIRST_SITE_ID 1598 TILEPROP NULL_X28Y140 GRID_POINT_X 28 TILEPROP NULL_X28Y140 GRID_POINT_Y 16 TILEPROP NULL_X28Y140 INDEX 1868 TILEPROP NULL_X28Y140 INT_TILE_X 9 TILEPROP NULL_X28Y140 INT_TILE_Y 15 TILEPROP NULL_X28Y140 IS_CENTER_TILE 0 TILEPROP NULL_X28Y140 IS_DCM_TILE 0 TILEPROP NULL_X28Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y140 NAME NULL_X28Y140 TILEPROP NULL_X28Y140 NUM_ARCS 0 TILEPROP NULL_X28Y140 NUM_SITES 0 TILEPROP NULL_X28Y140 ROW 16 TILEPROP NULL_X28Y140 SLR_REGION_ID 0 TILEPROP NULL_X28Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y140 TILE_TYPE NULL TILEPROP NULL_X28Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y140 TILE_X -51166 TILEPROP NULL_X28Y140 TILE_Y 191096 TILEPROP NULL_X28Y140 TYPE NULL TILEPROP NULL_X28Y142 CLASS tile TILEPROP NULL_X28Y142 COLUMN 28 TILEPROP NULL_X28Y142 DEVICE_ID 0 TILEPROP NULL_X28Y142 FIRST_SITE_ID 1354 TILEPROP NULL_X28Y142 GRID_POINT_X 28 TILEPROP NULL_X28Y142 GRID_POINT_Y 14 TILEPROP NULL_X28Y142 INDEX 1638 TILEPROP NULL_X28Y142 INT_TILE_X 9 TILEPROP NULL_X28Y142 INT_TILE_Y 13 TILEPROP NULL_X28Y142 IS_CENTER_TILE 0 TILEPROP NULL_X28Y142 IS_DCM_TILE 0 TILEPROP NULL_X28Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y142 NAME NULL_X28Y142 TILEPROP NULL_X28Y142 NUM_ARCS 0 TILEPROP NULL_X28Y142 NUM_SITES 0 TILEPROP NULL_X28Y142 ROW 14 TILEPROP NULL_X28Y142 SLR_REGION_ID 0 TILEPROP NULL_X28Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y142 TILE_TYPE NULL TILEPROP NULL_X28Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y142 TILE_X -51166 TILEPROP NULL_X28Y142 TILE_Y 197496 TILEPROP NULL_X28Y142 TYPE NULL TILEPROP NULL_X28Y143 CLASS tile TILEPROP NULL_X28Y143 COLUMN 28 TILEPROP NULL_X28Y143 DEVICE_ID 0 TILEPROP NULL_X28Y143 FIRST_SITE_ID 1258 TILEPROP NULL_X28Y143 GRID_POINT_X 28 TILEPROP NULL_X28Y143 GRID_POINT_Y 13 TILEPROP NULL_X28Y143 INDEX 1523 TILEPROP NULL_X28Y143 INT_TILE_X 9 TILEPROP NULL_X28Y143 INT_TILE_Y 12 TILEPROP NULL_X28Y143 IS_CENTER_TILE 0 TILEPROP NULL_X28Y143 IS_DCM_TILE 0 TILEPROP NULL_X28Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y143 NAME NULL_X28Y143 TILEPROP NULL_X28Y143 NUM_ARCS 0 TILEPROP NULL_X28Y143 NUM_SITES 0 TILEPROP NULL_X28Y143 ROW 13 TILEPROP NULL_X28Y143 SLR_REGION_ID 0 TILEPROP NULL_X28Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y143 TILE_TYPE NULL TILEPROP NULL_X28Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y143 TILE_X -51166 TILEPROP NULL_X28Y143 TILE_Y 200696 TILEPROP NULL_X28Y143 TYPE NULL TILEPROP NULL_X28Y144 CLASS tile TILEPROP NULL_X28Y144 COLUMN 28 TILEPROP NULL_X28Y144 DEVICE_ID 0 TILEPROP NULL_X28Y144 FIRST_SITE_ID 1154 TILEPROP NULL_X28Y144 GRID_POINT_X 28 TILEPROP NULL_X28Y144 GRID_POINT_Y 12 TILEPROP NULL_X28Y144 INDEX 1408 TILEPROP NULL_X28Y144 INT_TILE_X 9 TILEPROP NULL_X28Y144 INT_TILE_Y 11 TILEPROP NULL_X28Y144 IS_CENTER_TILE 0 TILEPROP NULL_X28Y144 IS_DCM_TILE 0 TILEPROP NULL_X28Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y144 NAME NULL_X28Y144 TILEPROP NULL_X28Y144 NUM_ARCS 0 TILEPROP NULL_X28Y144 NUM_SITES 0 TILEPROP NULL_X28Y144 ROW 12 TILEPROP NULL_X28Y144 SLR_REGION_ID 0 TILEPROP NULL_X28Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y144 TILE_TYPE NULL TILEPROP NULL_X28Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y144 TILE_X -51166 TILEPROP NULL_X28Y144 TILE_Y 203896 TILEPROP NULL_X28Y144 TYPE NULL TILEPROP NULL_X28Y145 CLASS tile TILEPROP NULL_X28Y145 COLUMN 28 TILEPROP NULL_X28Y145 DEVICE_ID 0 TILEPROP NULL_X28Y145 FIRST_SITE_ID 1058 TILEPROP NULL_X28Y145 GRID_POINT_X 28 TILEPROP NULL_X28Y145 GRID_POINT_Y 11 TILEPROP NULL_X28Y145 INDEX 1293 TILEPROP NULL_X28Y145 INT_TILE_X 9 TILEPROP NULL_X28Y145 INT_TILE_Y 10 TILEPROP NULL_X28Y145 IS_CENTER_TILE 0 TILEPROP NULL_X28Y145 IS_DCM_TILE 0 TILEPROP NULL_X28Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y145 NAME NULL_X28Y145 TILEPROP NULL_X28Y145 NUM_ARCS 0 TILEPROP NULL_X28Y145 NUM_SITES 0 TILEPROP NULL_X28Y145 ROW 11 TILEPROP NULL_X28Y145 SLR_REGION_ID 0 TILEPROP NULL_X28Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y145 TILE_TYPE NULL TILEPROP NULL_X28Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y145 TILE_X -51166 TILEPROP NULL_X28Y145 TILE_Y 207096 TILEPROP NULL_X28Y145 TYPE NULL TILEPROP NULL_X28Y147 CLASS tile TILEPROP NULL_X28Y147 COLUMN 28 TILEPROP NULL_X28Y147 DEVICE_ID 0 TILEPROP NULL_X28Y147 FIRST_SITE_ID 846 TILEPROP NULL_X28Y147 GRID_POINT_X 28 TILEPROP NULL_X28Y147 GRID_POINT_Y 9 TILEPROP NULL_X28Y147 INDEX 1063 TILEPROP NULL_X28Y147 INT_TILE_X 9 TILEPROP NULL_X28Y147 INT_TILE_Y 8 TILEPROP NULL_X28Y147 IS_CENTER_TILE 0 TILEPROP NULL_X28Y147 IS_DCM_TILE 0 TILEPROP NULL_X28Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y147 NAME NULL_X28Y147 TILEPROP NULL_X28Y147 NUM_ARCS 0 TILEPROP NULL_X28Y147 NUM_SITES 0 TILEPROP NULL_X28Y147 ROW 9 TILEPROP NULL_X28Y147 SLR_REGION_ID 0 TILEPROP NULL_X28Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y147 TILE_TYPE NULL TILEPROP NULL_X28Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y147 TILE_X -51166 TILEPROP NULL_X28Y147 TILE_Y 213496 TILEPROP NULL_X28Y147 TYPE NULL TILEPROP NULL_X28Y148 CLASS tile TILEPROP NULL_X28Y148 COLUMN 28 TILEPROP NULL_X28Y148 DEVICE_ID 0 TILEPROP NULL_X28Y148 FIRST_SITE_ID 742 TILEPROP NULL_X28Y148 GRID_POINT_X 28 TILEPROP NULL_X28Y148 GRID_POINT_Y 8 TILEPROP NULL_X28Y148 INDEX 948 TILEPROP NULL_X28Y148 INT_TILE_X 9 TILEPROP NULL_X28Y148 INT_TILE_Y 7 TILEPROP NULL_X28Y148 IS_CENTER_TILE 0 TILEPROP NULL_X28Y148 IS_DCM_TILE 0 TILEPROP NULL_X28Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y148 NAME NULL_X28Y148 TILEPROP NULL_X28Y148 NUM_ARCS 0 TILEPROP NULL_X28Y148 NUM_SITES 0 TILEPROP NULL_X28Y148 ROW 8 TILEPROP NULL_X28Y148 SLR_REGION_ID 0 TILEPROP NULL_X28Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y148 TILE_TYPE NULL TILEPROP NULL_X28Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y148 TILE_X -51166 TILEPROP NULL_X28Y148 TILE_Y 216696 TILEPROP NULL_X28Y148 TYPE NULL TILEPROP NULL_X28Y149 CLASS tile TILEPROP NULL_X28Y149 COLUMN 28 TILEPROP NULL_X28Y149 DEVICE_ID 0 TILEPROP NULL_X28Y149 FIRST_SITE_ID 645 TILEPROP NULL_X28Y149 GRID_POINT_X 28 TILEPROP NULL_X28Y149 GRID_POINT_Y 7 TILEPROP NULL_X28Y149 INDEX 833 TILEPROP NULL_X28Y149 INT_TILE_X 9 TILEPROP NULL_X28Y149 INT_TILE_Y 6 TILEPROP NULL_X28Y149 IS_CENTER_TILE 0 TILEPROP NULL_X28Y149 IS_DCM_TILE 0 TILEPROP NULL_X28Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y149 NAME NULL_X28Y149 TILEPROP NULL_X28Y149 NUM_ARCS 0 TILEPROP NULL_X28Y149 NUM_SITES 0 TILEPROP NULL_X28Y149 ROW 7 TILEPROP NULL_X28Y149 SLR_REGION_ID 0 TILEPROP NULL_X28Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y149 TILE_TYPE NULL TILEPROP NULL_X28Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y149 TILE_X -51166 TILEPROP NULL_X28Y149 TILE_Y 219896 TILEPROP NULL_X28Y149 TYPE NULL TILEPROP NULL_X28Y150 CLASS tile TILEPROP NULL_X28Y150 COLUMN 28 TILEPROP NULL_X28Y150 DEVICE_ID 0 TILEPROP NULL_X28Y150 FIRST_SITE_ID 534 TILEPROP NULL_X28Y150 GRID_POINT_X 28 TILEPROP NULL_X28Y150 GRID_POINT_Y 6 TILEPROP NULL_X28Y150 INDEX 718 TILEPROP NULL_X28Y150 INT_TILE_X 9 TILEPROP NULL_X28Y150 INT_TILE_Y 5 TILEPROP NULL_X28Y150 IS_CENTER_TILE 0 TILEPROP NULL_X28Y150 IS_DCM_TILE 0 TILEPROP NULL_X28Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y150 NAME NULL_X28Y150 TILEPROP NULL_X28Y150 NUM_ARCS 0 TILEPROP NULL_X28Y150 NUM_SITES 0 TILEPROP NULL_X28Y150 ROW 6 TILEPROP NULL_X28Y150 SLR_REGION_ID 0 TILEPROP NULL_X28Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y150 TILE_TYPE NULL TILEPROP NULL_X28Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y150 TILE_X -51166 TILEPROP NULL_X28Y150 TILE_Y 223096 TILEPROP NULL_X28Y150 TYPE NULL TILEPROP NULL_X28Y152 CLASS tile TILEPROP NULL_X28Y152 COLUMN 28 TILEPROP NULL_X28Y152 DEVICE_ID 0 TILEPROP NULL_X28Y152 FIRST_SITE_ID 322 TILEPROP NULL_X28Y152 GRID_POINT_X 28 TILEPROP NULL_X28Y152 GRID_POINT_Y 4 TILEPROP NULL_X28Y152 INDEX 488 TILEPROP NULL_X28Y152 INT_TILE_X 9 TILEPROP NULL_X28Y152 INT_TILE_Y 3 TILEPROP NULL_X28Y152 IS_CENTER_TILE 0 TILEPROP NULL_X28Y152 IS_DCM_TILE 0 TILEPROP NULL_X28Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y152 NAME NULL_X28Y152 TILEPROP NULL_X28Y152 NUM_ARCS 0 TILEPROP NULL_X28Y152 NUM_SITES 0 TILEPROP NULL_X28Y152 ROW 4 TILEPROP NULL_X28Y152 SLR_REGION_ID 0 TILEPROP NULL_X28Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y152 TILE_TYPE NULL TILEPROP NULL_X28Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y152 TILE_X -51166 TILEPROP NULL_X28Y152 TILE_Y 229496 TILEPROP NULL_X28Y152 TYPE NULL TILEPROP NULL_X28Y153 CLASS tile TILEPROP NULL_X28Y153 COLUMN 28 TILEPROP NULL_X28Y153 DEVICE_ID 0 TILEPROP NULL_X28Y153 FIRST_SITE_ID 226 TILEPROP NULL_X28Y153 GRID_POINT_X 28 TILEPROP NULL_X28Y153 GRID_POINT_Y 3 TILEPROP NULL_X28Y153 INDEX 373 TILEPROP NULL_X28Y153 INT_TILE_X 9 TILEPROP NULL_X28Y153 INT_TILE_Y 2 TILEPROP NULL_X28Y153 IS_CENTER_TILE 0 TILEPROP NULL_X28Y153 IS_DCM_TILE 0 TILEPROP NULL_X28Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y153 NAME NULL_X28Y153 TILEPROP NULL_X28Y153 NUM_ARCS 0 TILEPROP NULL_X28Y153 NUM_SITES 0 TILEPROP NULL_X28Y153 ROW 3 TILEPROP NULL_X28Y153 SLR_REGION_ID 0 TILEPROP NULL_X28Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y153 TILE_TYPE NULL TILEPROP NULL_X28Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y153 TILE_X -51166 TILEPROP NULL_X28Y153 TILE_Y 232696 TILEPROP NULL_X28Y153 TYPE NULL TILEPROP NULL_X28Y154 CLASS tile TILEPROP NULL_X28Y154 COLUMN 28 TILEPROP NULL_X28Y154 DEVICE_ID 0 TILEPROP NULL_X28Y154 FIRST_SITE_ID 122 TILEPROP NULL_X28Y154 GRID_POINT_X 28 TILEPROP NULL_X28Y154 GRID_POINT_Y 2 TILEPROP NULL_X28Y154 INDEX 258 TILEPROP NULL_X28Y154 INT_TILE_X 9 TILEPROP NULL_X28Y154 INT_TILE_Y 1 TILEPROP NULL_X28Y154 IS_CENTER_TILE 0 TILEPROP NULL_X28Y154 IS_DCM_TILE 0 TILEPROP NULL_X28Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y154 NAME NULL_X28Y154 TILEPROP NULL_X28Y154 NUM_ARCS 0 TILEPROP NULL_X28Y154 NUM_SITES 0 TILEPROP NULL_X28Y154 ROW 2 TILEPROP NULL_X28Y154 SLR_REGION_ID 0 TILEPROP NULL_X28Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y154 TILE_TYPE NULL TILEPROP NULL_X28Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y154 TILE_X -51166 TILEPROP NULL_X28Y154 TILE_Y 235896 TILEPROP NULL_X28Y154 TYPE NULL TILEPROP NULL_X28Y155 CLASS tile TILEPROP NULL_X28Y155 COLUMN 28 TILEPROP NULL_X28Y155 DEVICE_ID 0 TILEPROP NULL_X28Y155 FIRST_SITE_ID 26 TILEPROP NULL_X28Y155 GRID_POINT_X 28 TILEPROP NULL_X28Y155 GRID_POINT_Y 1 TILEPROP NULL_X28Y155 INDEX 143 TILEPROP NULL_X28Y155 INT_TILE_X 9 TILEPROP NULL_X28Y155 INT_TILE_Y 0 TILEPROP NULL_X28Y155 IS_CENTER_TILE 0 TILEPROP NULL_X28Y155 IS_DCM_TILE 0 TILEPROP NULL_X28Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y155 NAME NULL_X28Y155 TILEPROP NULL_X28Y155 NUM_ARCS 0 TILEPROP NULL_X28Y155 NUM_SITES 0 TILEPROP NULL_X28Y155 ROW 1 TILEPROP NULL_X28Y155 SLR_REGION_ID 0 TILEPROP NULL_X28Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y155 TILE_TYPE NULL TILEPROP NULL_X28Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y155 TILE_X -51166 TILEPROP NULL_X28Y155 TILE_Y 239096 TILEPROP NULL_X28Y155 TYPE NULL TILEPROP NULL_X28Y156 CLASS tile TILEPROP NULL_X28Y156 COLUMN 28 TILEPROP NULL_X28Y156 DEVICE_ID 0 TILEPROP NULL_X28Y156 FIRST_SITE_ID 0 TILEPROP NULL_X28Y156 GRID_POINT_X 28 TILEPROP NULL_X28Y156 GRID_POINT_Y 0 TILEPROP NULL_X28Y156 INDEX 28 TILEPROP NULL_X28Y156 INT_TILE_X -1 TILEPROP NULL_X28Y156 INT_TILE_Y -1 TILEPROP NULL_X28Y156 IS_CENTER_TILE 0 TILEPROP NULL_X28Y156 IS_DCM_TILE 0 TILEPROP NULL_X28Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X28Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X28Y156 NAME NULL_X28Y156 TILEPROP NULL_X28Y156 NUM_ARCS 0 TILEPROP NULL_X28Y156 NUM_SITES 0 TILEPROP NULL_X28Y156 ROW 0 TILEPROP NULL_X28Y156 SLR_REGION_ID 0 TILEPROP NULL_X28Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X28Y156 TILE_TYPE NULL TILEPROP NULL_X28Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X28Y156 TILE_X -51166 TILEPROP NULL_X28Y156 TILE_Y 242296 TILEPROP NULL_X28Y156 TYPE NULL TILEPROP NULL_X29Y0 CLASS tile TILEPROP NULL_X29Y0 COLUMN 29 TILEPROP NULL_X29Y0 DEVICE_ID 0 TILEPROP NULL_X29Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X29Y0 GRID_POINT_X 29 TILEPROP NULL_X29Y0 GRID_POINT_Y 156 TILEPROP NULL_X29Y0 INDEX 17969 TILEPROP NULL_X29Y0 INT_TILE_X 9 TILEPROP NULL_X29Y0 INT_TILE_Y 149 TILEPROP NULL_X29Y0 IS_CENTER_TILE 0 TILEPROP NULL_X29Y0 IS_DCM_TILE 0 TILEPROP NULL_X29Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X29Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X29Y0 NAME NULL_X29Y0 TILEPROP NULL_X29Y0 NUM_ARCS 0 TILEPROP NULL_X29Y0 NUM_SITES 0 TILEPROP NULL_X29Y0 ROW 156 TILEPROP NULL_X29Y0 SLR_REGION_ID 0 TILEPROP NULL_X29Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X29Y0 TILE_TYPE NULL TILEPROP NULL_X29Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X29Y0 TILE_X -50080 TILEPROP NULL_X29Y0 TILE_Y -244472 TILEPROP NULL_X29Y0 TYPE NULL TILEPROP NULL_X29Y52 CLASS tile TILEPROP NULL_X29Y52 COLUMN 29 TILEPROP NULL_X29Y52 DEVICE_ID 0 TILEPROP NULL_X29Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X29Y52 GRID_POINT_X 29 TILEPROP NULL_X29Y52 GRID_POINT_Y 104 TILEPROP NULL_X29Y52 INDEX 11989 TILEPROP NULL_X29Y52 INT_TILE_X 9 TILEPROP NULL_X29Y52 INT_TILE_Y 99 TILEPROP NULL_X29Y52 IS_CENTER_TILE 0 TILEPROP NULL_X29Y52 IS_DCM_TILE 0 TILEPROP NULL_X29Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X29Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X29Y52 NAME NULL_X29Y52 TILEPROP NULL_X29Y52 NUM_ARCS 0 TILEPROP NULL_X29Y52 NUM_SITES 0 TILEPROP NULL_X29Y52 ROW 104 TILEPROP NULL_X29Y52 SLR_REGION_ID 0 TILEPROP NULL_X29Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X29Y52 TILE_TYPE NULL TILEPROP NULL_X29Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X29Y52 TILE_X -50080 TILEPROP NULL_X29Y52 TILE_Y -80248 TILEPROP NULL_X29Y52 TYPE NULL TILEPROP NULL_X29Y104 CLASS tile TILEPROP NULL_X29Y104 COLUMN 29 TILEPROP NULL_X29Y104 DEVICE_ID 0 TILEPROP NULL_X29Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X29Y104 GRID_POINT_X 29 TILEPROP NULL_X29Y104 GRID_POINT_Y 52 TILEPROP NULL_X29Y104 INDEX 6009 TILEPROP NULL_X29Y104 INT_TILE_X 9 TILEPROP NULL_X29Y104 INT_TILE_Y 49 TILEPROP NULL_X29Y104 IS_CENTER_TILE 0 TILEPROP NULL_X29Y104 IS_DCM_TILE 0 TILEPROP NULL_X29Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X29Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X29Y104 NAME NULL_X29Y104 TILEPROP NULL_X29Y104 NUM_ARCS 0 TILEPROP NULL_X29Y104 NUM_SITES 0 TILEPROP NULL_X29Y104 ROW 52 TILEPROP NULL_X29Y104 SLR_REGION_ID 0 TILEPROP NULL_X29Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X29Y104 TILE_TYPE NULL TILEPROP NULL_X29Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X29Y104 TILE_X -50080 TILEPROP NULL_X29Y104 TILE_Y 81024 TILEPROP NULL_X29Y104 TYPE NULL TILEPROP NULL_X29Y156 CLASS tile TILEPROP NULL_X29Y156 COLUMN 29 TILEPROP NULL_X29Y156 DEVICE_ID 0 TILEPROP NULL_X29Y156 FIRST_SITE_ID 0 TILEPROP NULL_X29Y156 GRID_POINT_X 29 TILEPROP NULL_X29Y156 GRID_POINT_Y 0 TILEPROP NULL_X29Y156 INDEX 29 TILEPROP NULL_X29Y156 INT_TILE_X -1 TILEPROP NULL_X29Y156 INT_TILE_Y -1 TILEPROP NULL_X29Y156 IS_CENTER_TILE 0 TILEPROP NULL_X29Y156 IS_DCM_TILE 0 TILEPROP NULL_X29Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X29Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X29Y156 NAME NULL_X29Y156 TILEPROP NULL_X29Y156 NUM_ARCS 0 TILEPROP NULL_X29Y156 NUM_SITES 0 TILEPROP NULL_X29Y156 ROW 0 TILEPROP NULL_X29Y156 SLR_REGION_ID 0 TILEPROP NULL_X29Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X29Y156 TILE_TYPE NULL TILEPROP NULL_X29Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X29Y156 TILE_X -50080 TILEPROP NULL_X29Y156 TILE_Y 242296 TILEPROP NULL_X29Y156 TYPE NULL TILEPROP NULL_X2Y0 CLASS tile TILEPROP NULL_X2Y0 COLUMN 2 TILEPROP NULL_X2Y0 DEVICE_ID 0 TILEPROP NULL_X2Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X2Y0 GRID_POINT_X 2 TILEPROP NULL_X2Y0 GRID_POINT_Y 156 TILEPROP NULL_X2Y0 INDEX 17942 TILEPROP NULL_X2Y0 INT_TILE_X -1 TILEPROP NULL_X2Y0 INT_TILE_Y -1 TILEPROP NULL_X2Y0 IS_CENTER_TILE 0 TILEPROP NULL_X2Y0 IS_DCM_TILE 0 TILEPROP NULL_X2Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X2Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X2Y0 NAME NULL_X2Y0 TILEPROP NULL_X2Y0 NUM_ARCS 0 TILEPROP NULL_X2Y0 NUM_SITES 0 TILEPROP NULL_X2Y0 ROW 156 TILEPROP NULL_X2Y0 SLR_REGION_ID 0 TILEPROP NULL_X2Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X2Y0 TILE_TYPE NULL TILEPROP NULL_X2Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X2Y0 TILE_X -99654 TILEPROP NULL_X2Y0 TILE_Y -244472 TILEPROP NULL_X2Y0 TYPE NULL TILEPROP NULL_X2Y52 CLASS tile TILEPROP NULL_X2Y52 COLUMN 2 TILEPROP NULL_X2Y52 DEVICE_ID 0 TILEPROP NULL_X2Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X2Y52 GRID_POINT_X 2 TILEPROP NULL_X2Y52 GRID_POINT_Y 104 TILEPROP NULL_X2Y52 INDEX 11962 TILEPROP NULL_X2Y52 INT_TILE_X -1 TILEPROP NULL_X2Y52 INT_TILE_Y -1 TILEPROP NULL_X2Y52 IS_CENTER_TILE 0 TILEPROP NULL_X2Y52 IS_DCM_TILE 0 TILEPROP NULL_X2Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X2Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X2Y52 NAME NULL_X2Y52 TILEPROP NULL_X2Y52 NUM_ARCS 0 TILEPROP NULL_X2Y52 NUM_SITES 0 TILEPROP NULL_X2Y52 ROW 104 TILEPROP NULL_X2Y52 SLR_REGION_ID 0 TILEPROP NULL_X2Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X2Y52 TILE_TYPE NULL TILEPROP NULL_X2Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X2Y52 TILE_X -99654 TILEPROP NULL_X2Y52 TILE_Y -80248 TILEPROP NULL_X2Y52 TYPE NULL TILEPROP NULL_X2Y104 CLASS tile TILEPROP NULL_X2Y104 COLUMN 2 TILEPROP NULL_X2Y104 DEVICE_ID 0 TILEPROP NULL_X2Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X2Y104 GRID_POINT_X 2 TILEPROP NULL_X2Y104 GRID_POINT_Y 52 TILEPROP NULL_X2Y104 INDEX 5982 TILEPROP NULL_X2Y104 INT_TILE_X -1 TILEPROP NULL_X2Y104 INT_TILE_Y -1 TILEPROP NULL_X2Y104 IS_CENTER_TILE 0 TILEPROP NULL_X2Y104 IS_DCM_TILE 0 TILEPROP NULL_X2Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X2Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X2Y104 NAME NULL_X2Y104 TILEPROP NULL_X2Y104 NUM_ARCS 0 TILEPROP NULL_X2Y104 NUM_SITES 0 TILEPROP NULL_X2Y104 ROW 52 TILEPROP NULL_X2Y104 SLR_REGION_ID 0 TILEPROP NULL_X2Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X2Y104 TILE_TYPE NULL TILEPROP NULL_X2Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X2Y104 TILE_X -99654 TILEPROP NULL_X2Y104 TILE_Y 81024 TILEPROP NULL_X2Y104 TYPE NULL TILEPROP NULL_X2Y156 CLASS tile TILEPROP NULL_X2Y156 COLUMN 2 TILEPROP NULL_X2Y156 DEVICE_ID 0 TILEPROP NULL_X2Y156 FIRST_SITE_ID 0 TILEPROP NULL_X2Y156 GRID_POINT_X 2 TILEPROP NULL_X2Y156 GRID_POINT_Y 0 TILEPROP NULL_X2Y156 INDEX 2 TILEPROP NULL_X2Y156 INT_TILE_X -1 TILEPROP NULL_X2Y156 INT_TILE_Y -1 TILEPROP NULL_X2Y156 IS_CENTER_TILE 0 TILEPROP NULL_X2Y156 IS_DCM_TILE 0 TILEPROP NULL_X2Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X2Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X2Y156 NAME NULL_X2Y156 TILEPROP NULL_X2Y156 NUM_ARCS 0 TILEPROP NULL_X2Y156 NUM_SITES 0 TILEPROP NULL_X2Y156 ROW 0 TILEPROP NULL_X2Y156 SLR_REGION_ID 0 TILEPROP NULL_X2Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X2Y156 TILE_TYPE NULL TILEPROP NULL_X2Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X2Y156 TILE_X -99654 TILEPROP NULL_X2Y156 TILE_Y 242296 TILEPROP NULL_X2Y156 TYPE NULL TILEPROP NULL_X30Y0 CLASS tile TILEPROP NULL_X30Y0 COLUMN 30 TILEPROP NULL_X30Y0 DEVICE_ID 0 TILEPROP NULL_X30Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X30Y0 GRID_POINT_X 30 TILEPROP NULL_X30Y0 GRID_POINT_Y 156 TILEPROP NULL_X30Y0 INDEX 17970 TILEPROP NULL_X30Y0 INT_TILE_X 9 TILEPROP NULL_X30Y0 INT_TILE_Y 149 TILEPROP NULL_X30Y0 IS_CENTER_TILE 0 TILEPROP NULL_X30Y0 IS_DCM_TILE 0 TILEPROP NULL_X30Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X30Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X30Y0 NAME NULL_X30Y0 TILEPROP NULL_X30Y0 NUM_ARCS 0 TILEPROP NULL_X30Y0 NUM_SITES 0 TILEPROP NULL_X30Y0 ROW 156 TILEPROP NULL_X30Y0 SLR_REGION_ID 0 TILEPROP NULL_X30Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X30Y0 TILE_TYPE NULL TILEPROP NULL_X30Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X30Y0 TILE_X -50016 TILEPROP NULL_X30Y0 TILE_Y -244472 TILEPROP NULL_X30Y0 TYPE NULL TILEPROP NULL_X30Y156 CLASS tile TILEPROP NULL_X30Y156 COLUMN 30 TILEPROP NULL_X30Y156 DEVICE_ID 0 TILEPROP NULL_X30Y156 FIRST_SITE_ID 0 TILEPROP NULL_X30Y156 GRID_POINT_X 30 TILEPROP NULL_X30Y156 GRID_POINT_Y 0 TILEPROP NULL_X30Y156 INDEX 30 TILEPROP NULL_X30Y156 INT_TILE_X -1 TILEPROP NULL_X30Y156 INT_TILE_Y -1 TILEPROP NULL_X30Y156 IS_CENTER_TILE 0 TILEPROP NULL_X30Y156 IS_DCM_TILE 0 TILEPROP NULL_X30Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X30Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X30Y156 NAME NULL_X30Y156 TILEPROP NULL_X30Y156 NUM_ARCS 0 TILEPROP NULL_X30Y156 NUM_SITES 0 TILEPROP NULL_X30Y156 ROW 0 TILEPROP NULL_X30Y156 SLR_REGION_ID 0 TILEPROP NULL_X30Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X30Y156 TILE_TYPE NULL TILEPROP NULL_X30Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X30Y156 TILE_X -50016 TILEPROP NULL_X30Y156 TILE_Y 242296 TILEPROP NULL_X30Y156 TYPE NULL TILEPROP NULL_X33Y0 CLASS tile TILEPROP NULL_X33Y0 COLUMN 33 TILEPROP NULL_X33Y0 DEVICE_ID 0 TILEPROP NULL_X33Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X33Y0 GRID_POINT_X 33 TILEPROP NULL_X33Y0 GRID_POINT_Y 156 TILEPROP NULL_X33Y0 INDEX 17973 TILEPROP NULL_X33Y0 INT_TILE_X 11 TILEPROP NULL_X33Y0 INT_TILE_Y 149 TILEPROP NULL_X33Y0 IS_CENTER_TILE 0 TILEPROP NULL_X33Y0 IS_DCM_TILE 0 TILEPROP NULL_X33Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X33Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X33Y0 NAME NULL_X33Y0 TILEPROP NULL_X33Y0 NUM_ARCS 0 TILEPROP NULL_X33Y0 NUM_SITES 0 TILEPROP NULL_X33Y0 ROW 156 TILEPROP NULL_X33Y0 SLR_REGION_ID 0 TILEPROP NULL_X33Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X33Y0 TILE_TYPE NULL TILEPROP NULL_X33Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X33Y0 TILE_X -42408 TILEPROP NULL_X33Y0 TILE_Y -244472 TILEPROP NULL_X33Y0 TYPE NULL TILEPROP NULL_X33Y156 CLASS tile TILEPROP NULL_X33Y156 COLUMN 33 TILEPROP NULL_X33Y156 DEVICE_ID 0 TILEPROP NULL_X33Y156 FIRST_SITE_ID 0 TILEPROP NULL_X33Y156 GRID_POINT_X 33 TILEPROP NULL_X33Y156 GRID_POINT_Y 0 TILEPROP NULL_X33Y156 INDEX 33 TILEPROP NULL_X33Y156 INT_TILE_X -1 TILEPROP NULL_X33Y156 INT_TILE_Y -1 TILEPROP NULL_X33Y156 IS_CENTER_TILE 0 TILEPROP NULL_X33Y156 IS_DCM_TILE 0 TILEPROP NULL_X33Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X33Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X33Y156 NAME NULL_X33Y156 TILEPROP NULL_X33Y156 NUM_ARCS 0 TILEPROP NULL_X33Y156 NUM_SITES 0 TILEPROP NULL_X33Y156 ROW 0 TILEPROP NULL_X33Y156 SLR_REGION_ID 0 TILEPROP NULL_X33Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X33Y156 TILE_TYPE NULL TILEPROP NULL_X33Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X33Y156 TILE_X -42408 TILEPROP NULL_X33Y156 TILE_Y 242296 TILEPROP NULL_X33Y156 TYPE NULL TILEPROP NULL_X34Y0 CLASS tile TILEPROP NULL_X34Y0 COLUMN 34 TILEPROP NULL_X34Y0 DEVICE_ID 0 TILEPROP NULL_X34Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X34Y0 GRID_POINT_X 34 TILEPROP NULL_X34Y0 GRID_POINT_Y 156 TILEPROP NULL_X34Y0 INDEX 17974 TILEPROP NULL_X34Y0 INT_TILE_X 11 TILEPROP NULL_X34Y0 INT_TILE_Y 149 TILEPROP NULL_X34Y0 IS_CENTER_TILE 0 TILEPROP NULL_X34Y0 IS_DCM_TILE 0 TILEPROP NULL_X34Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X34Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X34Y0 NAME NULL_X34Y0 TILEPROP NULL_X34Y0 NUM_ARCS 0 TILEPROP NULL_X34Y0 NUM_SITES 0 TILEPROP NULL_X34Y0 ROW 156 TILEPROP NULL_X34Y0 SLR_REGION_ID 0 TILEPROP NULL_X34Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X34Y0 TILE_TYPE NULL TILEPROP NULL_X34Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X34Y0 TILE_X -41712 TILEPROP NULL_X34Y0 TILE_Y -244472 TILEPROP NULL_X34Y0 TYPE NULL TILEPROP NULL_X34Y52 CLASS tile TILEPROP NULL_X34Y52 COLUMN 34 TILEPROP NULL_X34Y52 DEVICE_ID 0 TILEPROP NULL_X34Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X34Y52 GRID_POINT_X 34 TILEPROP NULL_X34Y52 GRID_POINT_Y 104 TILEPROP NULL_X34Y52 INDEX 11994 TILEPROP NULL_X34Y52 INT_TILE_X 11 TILEPROP NULL_X34Y52 INT_TILE_Y 99 TILEPROP NULL_X34Y52 IS_CENTER_TILE 0 TILEPROP NULL_X34Y52 IS_DCM_TILE 0 TILEPROP NULL_X34Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X34Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X34Y52 NAME NULL_X34Y52 TILEPROP NULL_X34Y52 NUM_ARCS 0 TILEPROP NULL_X34Y52 NUM_SITES 0 TILEPROP NULL_X34Y52 ROW 104 TILEPROP NULL_X34Y52 SLR_REGION_ID 0 TILEPROP NULL_X34Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X34Y52 TILE_TYPE NULL TILEPROP NULL_X34Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X34Y52 TILE_X -41712 TILEPROP NULL_X34Y52 TILE_Y -80248 TILEPROP NULL_X34Y52 TYPE NULL TILEPROP NULL_X34Y104 CLASS tile TILEPROP NULL_X34Y104 COLUMN 34 TILEPROP NULL_X34Y104 DEVICE_ID 0 TILEPROP NULL_X34Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X34Y104 GRID_POINT_X 34 TILEPROP NULL_X34Y104 GRID_POINT_Y 52 TILEPROP NULL_X34Y104 INDEX 6014 TILEPROP NULL_X34Y104 INT_TILE_X 11 TILEPROP NULL_X34Y104 INT_TILE_Y 49 TILEPROP NULL_X34Y104 IS_CENTER_TILE 0 TILEPROP NULL_X34Y104 IS_DCM_TILE 0 TILEPROP NULL_X34Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X34Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X34Y104 NAME NULL_X34Y104 TILEPROP NULL_X34Y104 NUM_ARCS 0 TILEPROP NULL_X34Y104 NUM_SITES 0 TILEPROP NULL_X34Y104 ROW 52 TILEPROP NULL_X34Y104 SLR_REGION_ID 0 TILEPROP NULL_X34Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X34Y104 TILE_TYPE NULL TILEPROP NULL_X34Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X34Y104 TILE_X -41712 TILEPROP NULL_X34Y104 TILE_Y 81024 TILEPROP NULL_X34Y104 TYPE NULL TILEPROP NULL_X34Y156 CLASS tile TILEPROP NULL_X34Y156 COLUMN 34 TILEPROP NULL_X34Y156 DEVICE_ID 0 TILEPROP NULL_X34Y156 FIRST_SITE_ID 0 TILEPROP NULL_X34Y156 GRID_POINT_X 34 TILEPROP NULL_X34Y156 GRID_POINT_Y 0 TILEPROP NULL_X34Y156 INDEX 34 TILEPROP NULL_X34Y156 INT_TILE_X -1 TILEPROP NULL_X34Y156 INT_TILE_Y -1 TILEPROP NULL_X34Y156 IS_CENTER_TILE 0 TILEPROP NULL_X34Y156 IS_DCM_TILE 0 TILEPROP NULL_X34Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X34Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X34Y156 NAME NULL_X34Y156 TILEPROP NULL_X34Y156 NUM_ARCS 0 TILEPROP NULL_X34Y156 NUM_SITES 0 TILEPROP NULL_X34Y156 ROW 0 TILEPROP NULL_X34Y156 SLR_REGION_ID 0 TILEPROP NULL_X34Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X34Y156 TILE_TYPE NULL TILEPROP NULL_X34Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X34Y156 TILE_X -41712 TILEPROP NULL_X34Y156 TILE_Y 242296 TILEPROP NULL_X34Y156 TYPE NULL TILEPROP NULL_X35Y0 CLASS tile TILEPROP NULL_X35Y0 COLUMN 35 TILEPROP NULL_X35Y0 DEVICE_ID 0 TILEPROP NULL_X35Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X35Y0 GRID_POINT_X 35 TILEPROP NULL_X35Y0 GRID_POINT_Y 156 TILEPROP NULL_X35Y0 INDEX 17975 TILEPROP NULL_X35Y0 INT_TILE_X 11 TILEPROP NULL_X35Y0 INT_TILE_Y 149 TILEPROP NULL_X35Y0 IS_CENTER_TILE 0 TILEPROP NULL_X35Y0 IS_DCM_TILE 0 TILEPROP NULL_X35Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X35Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X35Y0 NAME NULL_X35Y0 TILEPROP NULL_X35Y0 NUM_ARCS 0 TILEPROP NULL_X35Y0 NUM_SITES 0 TILEPROP NULL_X35Y0 ROW 156 TILEPROP NULL_X35Y0 SLR_REGION_ID 0 TILEPROP NULL_X35Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X35Y0 TILE_TYPE NULL TILEPROP NULL_X35Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X35Y0 TILE_X -41648 TILEPROP NULL_X35Y0 TILE_Y -244472 TILEPROP NULL_X35Y0 TYPE NULL TILEPROP NULL_X35Y52 CLASS tile TILEPROP NULL_X35Y52 COLUMN 35 TILEPROP NULL_X35Y52 DEVICE_ID 0 TILEPROP NULL_X35Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X35Y52 GRID_POINT_X 35 TILEPROP NULL_X35Y52 GRID_POINT_Y 104 TILEPROP NULL_X35Y52 INDEX 11995 TILEPROP NULL_X35Y52 INT_TILE_X 11 TILEPROP NULL_X35Y52 INT_TILE_Y 99 TILEPROP NULL_X35Y52 IS_CENTER_TILE 0 TILEPROP NULL_X35Y52 IS_DCM_TILE 0 TILEPROP NULL_X35Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X35Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X35Y52 NAME NULL_X35Y52 TILEPROP NULL_X35Y52 NUM_ARCS 0 TILEPROP NULL_X35Y52 NUM_SITES 0 TILEPROP NULL_X35Y52 ROW 104 TILEPROP NULL_X35Y52 SLR_REGION_ID 0 TILEPROP NULL_X35Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X35Y52 TILE_TYPE NULL TILEPROP NULL_X35Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X35Y52 TILE_X -41648 TILEPROP NULL_X35Y52 TILE_Y -80248 TILEPROP NULL_X35Y52 TYPE NULL TILEPROP NULL_X35Y104 CLASS tile TILEPROP NULL_X35Y104 COLUMN 35 TILEPROP NULL_X35Y104 DEVICE_ID 0 TILEPROP NULL_X35Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X35Y104 GRID_POINT_X 35 TILEPROP NULL_X35Y104 GRID_POINT_Y 52 TILEPROP NULL_X35Y104 INDEX 6015 TILEPROP NULL_X35Y104 INT_TILE_X 11 TILEPROP NULL_X35Y104 INT_TILE_Y 49 TILEPROP NULL_X35Y104 IS_CENTER_TILE 0 TILEPROP NULL_X35Y104 IS_DCM_TILE 0 TILEPROP NULL_X35Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X35Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X35Y104 NAME NULL_X35Y104 TILEPROP NULL_X35Y104 NUM_ARCS 0 TILEPROP NULL_X35Y104 NUM_SITES 0 TILEPROP NULL_X35Y104 ROW 52 TILEPROP NULL_X35Y104 SLR_REGION_ID 0 TILEPROP NULL_X35Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X35Y104 TILE_TYPE NULL TILEPROP NULL_X35Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X35Y104 TILE_X -41648 TILEPROP NULL_X35Y104 TILE_Y 81024 TILEPROP NULL_X35Y104 TYPE NULL TILEPROP NULL_X35Y156 CLASS tile TILEPROP NULL_X35Y156 COLUMN 35 TILEPROP NULL_X35Y156 DEVICE_ID 0 TILEPROP NULL_X35Y156 FIRST_SITE_ID 0 TILEPROP NULL_X35Y156 GRID_POINT_X 35 TILEPROP NULL_X35Y156 GRID_POINT_Y 0 TILEPROP NULL_X35Y156 INDEX 35 TILEPROP NULL_X35Y156 INT_TILE_X -1 TILEPROP NULL_X35Y156 INT_TILE_Y -1 TILEPROP NULL_X35Y156 IS_CENTER_TILE 0 TILEPROP NULL_X35Y156 IS_DCM_TILE 0 TILEPROP NULL_X35Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X35Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X35Y156 NAME NULL_X35Y156 TILEPROP NULL_X35Y156 NUM_ARCS 0 TILEPROP NULL_X35Y156 NUM_SITES 0 TILEPROP NULL_X35Y156 ROW 0 TILEPROP NULL_X35Y156 SLR_REGION_ID 0 TILEPROP NULL_X35Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X35Y156 TILE_TYPE NULL TILEPROP NULL_X35Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X35Y156 TILE_X -41648 TILEPROP NULL_X35Y156 TILE_Y 242296 TILEPROP NULL_X35Y156 TYPE NULL TILEPROP NULL_X36Y0 CLASS tile TILEPROP NULL_X36Y0 COLUMN 36 TILEPROP NULL_X36Y0 DEVICE_ID 0 TILEPROP NULL_X36Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X36Y0 GRID_POINT_X 36 TILEPROP NULL_X36Y0 GRID_POINT_Y 156 TILEPROP NULL_X36Y0 INDEX 17976 TILEPROP NULL_X36Y0 INT_TILE_X -1 TILEPROP NULL_X36Y0 INT_TILE_Y -1 TILEPROP NULL_X36Y0 IS_CENTER_TILE 0 TILEPROP NULL_X36Y0 IS_DCM_TILE 0 TILEPROP NULL_X36Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X36Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X36Y0 NAME NULL_X36Y0 TILEPROP NULL_X36Y0 NUM_ARCS 0 TILEPROP NULL_X36Y0 NUM_SITES 0 TILEPROP NULL_X36Y0 ROW 156 TILEPROP NULL_X36Y0 SLR_REGION_ID 0 TILEPROP NULL_X36Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X36Y0 TILE_TYPE NULL TILEPROP NULL_X36Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X36Y0 TILE_X -40952 TILEPROP NULL_X36Y0 TILE_Y -244472 TILEPROP NULL_X36Y0 TYPE NULL TILEPROP NULL_X36Y52 CLASS tile TILEPROP NULL_X36Y52 COLUMN 36 TILEPROP NULL_X36Y52 DEVICE_ID 0 TILEPROP NULL_X36Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X36Y52 GRID_POINT_X 36 TILEPROP NULL_X36Y52 GRID_POINT_Y 104 TILEPROP NULL_X36Y52 INDEX 11996 TILEPROP NULL_X36Y52 INT_TILE_X -1 TILEPROP NULL_X36Y52 INT_TILE_Y -1 TILEPROP NULL_X36Y52 IS_CENTER_TILE 0 TILEPROP NULL_X36Y52 IS_DCM_TILE 0 TILEPROP NULL_X36Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X36Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X36Y52 NAME NULL_X36Y52 TILEPROP NULL_X36Y52 NUM_ARCS 0 TILEPROP NULL_X36Y52 NUM_SITES 0 TILEPROP NULL_X36Y52 ROW 104 TILEPROP NULL_X36Y52 SLR_REGION_ID 0 TILEPROP NULL_X36Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X36Y52 TILE_TYPE NULL TILEPROP NULL_X36Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X36Y52 TILE_X -40952 TILEPROP NULL_X36Y52 TILE_Y -80248 TILEPROP NULL_X36Y52 TYPE NULL TILEPROP NULL_X37Y0 CLASS tile TILEPROP NULL_X37Y0 COLUMN 37 TILEPROP NULL_X37Y0 DEVICE_ID 0 TILEPROP NULL_X37Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X37Y0 GRID_POINT_X 37 TILEPROP NULL_X37Y0 GRID_POINT_Y 156 TILEPROP NULL_X37Y0 INDEX 17977 TILEPROP NULL_X37Y0 INT_TILE_X -1 TILEPROP NULL_X37Y0 INT_TILE_Y -1 TILEPROP NULL_X37Y0 IS_CENTER_TILE 0 TILEPROP NULL_X37Y0 IS_DCM_TILE 0 TILEPROP NULL_X37Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X37Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X37Y0 NAME NULL_X37Y0 TILEPROP NULL_X37Y0 NUM_ARCS 0 TILEPROP NULL_X37Y0 NUM_SITES 0 TILEPROP NULL_X37Y0 ROW 156 TILEPROP NULL_X37Y0 SLR_REGION_ID 0 TILEPROP NULL_X37Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X37Y0 TILE_TYPE NULL TILEPROP NULL_X37Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X37Y0 TILE_X -37496 TILEPROP NULL_X37Y0 TILE_Y -244472 TILEPROP NULL_X37Y0 TYPE NULL TILEPROP NULL_X37Y52 CLASS tile TILEPROP NULL_X37Y52 COLUMN 37 TILEPROP NULL_X37Y52 DEVICE_ID 0 TILEPROP NULL_X37Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X37Y52 GRID_POINT_X 37 TILEPROP NULL_X37Y52 GRID_POINT_Y 104 TILEPROP NULL_X37Y52 INDEX 11997 TILEPROP NULL_X37Y52 INT_TILE_X -1 TILEPROP NULL_X37Y52 INT_TILE_Y -1 TILEPROP NULL_X37Y52 IS_CENTER_TILE 0 TILEPROP NULL_X37Y52 IS_DCM_TILE 0 TILEPROP NULL_X37Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X37Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X37Y52 NAME NULL_X37Y52 TILEPROP NULL_X37Y52 NUM_ARCS 0 TILEPROP NULL_X37Y52 NUM_SITES 0 TILEPROP NULL_X37Y52 ROW 104 TILEPROP NULL_X37Y52 SLR_REGION_ID 0 TILEPROP NULL_X37Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X37Y52 TILE_TYPE NULL TILEPROP NULL_X37Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X37Y52 TILE_X -37496 TILEPROP NULL_X37Y52 TILE_Y -80248 TILEPROP NULL_X37Y52 TYPE NULL TILEPROP NULL_X38Y0 CLASS tile TILEPROP NULL_X38Y0 COLUMN 38 TILEPROP NULL_X38Y0 DEVICE_ID 0 TILEPROP NULL_X38Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X38Y0 GRID_POINT_X 38 TILEPROP NULL_X38Y0 GRID_POINT_Y 156 TILEPROP NULL_X38Y0 INDEX 17978 TILEPROP NULL_X38Y0 INT_TILE_X -1 TILEPROP NULL_X38Y0 INT_TILE_Y -1 TILEPROP NULL_X38Y0 IS_CENTER_TILE 0 TILEPROP NULL_X38Y0 IS_DCM_TILE 0 TILEPROP NULL_X38Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X38Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X38Y0 NAME NULL_X38Y0 TILEPROP NULL_X38Y0 NUM_ARCS 0 TILEPROP NULL_X38Y0 NUM_SITES 0 TILEPROP NULL_X38Y0 ROW 156 TILEPROP NULL_X38Y0 SLR_REGION_ID 0 TILEPROP NULL_X38Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X38Y0 TILE_TYPE NULL TILEPROP NULL_X38Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X38Y0 TILE_X -34040 TILEPROP NULL_X38Y0 TILE_Y -244472 TILEPROP NULL_X38Y0 TYPE NULL TILEPROP NULL_X38Y52 CLASS tile TILEPROP NULL_X38Y52 COLUMN 38 TILEPROP NULL_X38Y52 DEVICE_ID 0 TILEPROP NULL_X38Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X38Y52 GRID_POINT_X 38 TILEPROP NULL_X38Y52 GRID_POINT_Y 104 TILEPROP NULL_X38Y52 INDEX 11998 TILEPROP NULL_X38Y52 INT_TILE_X -1 TILEPROP NULL_X38Y52 INT_TILE_Y -1 TILEPROP NULL_X38Y52 IS_CENTER_TILE 0 TILEPROP NULL_X38Y52 IS_DCM_TILE 0 TILEPROP NULL_X38Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X38Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X38Y52 NAME NULL_X38Y52 TILEPROP NULL_X38Y52 NUM_ARCS 0 TILEPROP NULL_X38Y52 NUM_SITES 0 TILEPROP NULL_X38Y52 ROW 104 TILEPROP NULL_X38Y52 SLR_REGION_ID 0 TILEPROP NULL_X38Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X38Y52 TILE_TYPE NULL TILEPROP NULL_X38Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X38Y52 TILE_X -34040 TILEPROP NULL_X38Y52 TILE_Y -80248 TILEPROP NULL_X38Y52 TYPE NULL TILEPROP NULL_X38Y104 CLASS tile TILEPROP NULL_X38Y104 COLUMN 38 TILEPROP NULL_X38Y104 DEVICE_ID 0 TILEPROP NULL_X38Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X38Y104 GRID_POINT_X 38 TILEPROP NULL_X38Y104 GRID_POINT_Y 52 TILEPROP NULL_X38Y104 INDEX 6018 TILEPROP NULL_X38Y104 INT_TILE_X 13 TILEPROP NULL_X38Y104 INT_TILE_Y 49 TILEPROP NULL_X38Y104 IS_CENTER_TILE 0 TILEPROP NULL_X38Y104 IS_DCM_TILE 0 TILEPROP NULL_X38Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X38Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X38Y104 NAME NULL_X38Y104 TILEPROP NULL_X38Y104 NUM_ARCS 0 TILEPROP NULL_X38Y104 NUM_SITES 0 TILEPROP NULL_X38Y104 ROW 52 TILEPROP NULL_X38Y104 SLR_REGION_ID 0 TILEPROP NULL_X38Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X38Y104 TILE_TYPE NULL TILEPROP NULL_X38Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X38Y104 TILE_X -34040 TILEPROP NULL_X38Y104 TILE_Y 81024 TILEPROP NULL_X38Y104 TYPE NULL TILEPROP NULL_X38Y156 CLASS tile TILEPROP NULL_X38Y156 COLUMN 38 TILEPROP NULL_X38Y156 DEVICE_ID 0 TILEPROP NULL_X38Y156 FIRST_SITE_ID 0 TILEPROP NULL_X38Y156 GRID_POINT_X 38 TILEPROP NULL_X38Y156 GRID_POINT_Y 0 TILEPROP NULL_X38Y156 INDEX 38 TILEPROP NULL_X38Y156 INT_TILE_X -1 TILEPROP NULL_X38Y156 INT_TILE_Y -1 TILEPROP NULL_X38Y156 IS_CENTER_TILE 0 TILEPROP NULL_X38Y156 IS_DCM_TILE 0 TILEPROP NULL_X38Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X38Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X38Y156 NAME NULL_X38Y156 TILEPROP NULL_X38Y156 NUM_ARCS 0 TILEPROP NULL_X38Y156 NUM_SITES 0 TILEPROP NULL_X38Y156 ROW 0 TILEPROP NULL_X38Y156 SLR_REGION_ID 0 TILEPROP NULL_X38Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X38Y156 TILE_TYPE NULL TILEPROP NULL_X38Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X38Y156 TILE_X -34040 TILEPROP NULL_X38Y156 TILE_Y 242296 TILEPROP NULL_X38Y156 TYPE NULL TILEPROP NULL_X39Y0 CLASS tile TILEPROP NULL_X39Y0 COLUMN 39 TILEPROP NULL_X39Y0 DEVICE_ID 0 TILEPROP NULL_X39Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X39Y0 GRID_POINT_X 39 TILEPROP NULL_X39Y0 GRID_POINT_Y 156 TILEPROP NULL_X39Y0 INDEX 17979 TILEPROP NULL_X39Y0 INT_TILE_X -1 TILEPROP NULL_X39Y0 INT_TILE_Y -1 TILEPROP NULL_X39Y0 IS_CENTER_TILE 0 TILEPROP NULL_X39Y0 IS_DCM_TILE 0 TILEPROP NULL_X39Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X39Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X39Y0 NAME NULL_X39Y0 TILEPROP NULL_X39Y0 NUM_ARCS 0 TILEPROP NULL_X39Y0 NUM_SITES 0 TILEPROP NULL_X39Y0 ROW 156 TILEPROP NULL_X39Y0 SLR_REGION_ID 0 TILEPROP NULL_X39Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X39Y0 TILE_TYPE NULL TILEPROP NULL_X39Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X39Y0 TILE_X -33344 TILEPROP NULL_X39Y0 TILE_Y -244472 TILEPROP NULL_X39Y0 TYPE NULL TILEPROP NULL_X39Y52 CLASS tile TILEPROP NULL_X39Y52 COLUMN 39 TILEPROP NULL_X39Y52 DEVICE_ID 0 TILEPROP NULL_X39Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X39Y52 GRID_POINT_X 39 TILEPROP NULL_X39Y52 GRID_POINT_Y 104 TILEPROP NULL_X39Y52 INDEX 11999 TILEPROP NULL_X39Y52 INT_TILE_X -1 TILEPROP NULL_X39Y52 INT_TILE_Y -1 TILEPROP NULL_X39Y52 IS_CENTER_TILE 0 TILEPROP NULL_X39Y52 IS_DCM_TILE 0 TILEPROP NULL_X39Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X39Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X39Y52 NAME NULL_X39Y52 TILEPROP NULL_X39Y52 NUM_ARCS 0 TILEPROP NULL_X39Y52 NUM_SITES 0 TILEPROP NULL_X39Y52 ROW 104 TILEPROP NULL_X39Y52 SLR_REGION_ID 0 TILEPROP NULL_X39Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X39Y52 TILE_TYPE NULL TILEPROP NULL_X39Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X39Y52 TILE_X -33344 TILEPROP NULL_X39Y52 TILE_Y -80248 TILEPROP NULL_X39Y52 TYPE NULL TILEPROP NULL_X39Y104 CLASS tile TILEPROP NULL_X39Y104 COLUMN 39 TILEPROP NULL_X39Y104 DEVICE_ID 0 TILEPROP NULL_X39Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X39Y104 GRID_POINT_X 39 TILEPROP NULL_X39Y104 GRID_POINT_Y 52 TILEPROP NULL_X39Y104 INDEX 6019 TILEPROP NULL_X39Y104 INT_TILE_X 13 TILEPROP NULL_X39Y104 INT_TILE_Y 49 TILEPROP NULL_X39Y104 IS_CENTER_TILE 0 TILEPROP NULL_X39Y104 IS_DCM_TILE 0 TILEPROP NULL_X39Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X39Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X39Y104 NAME NULL_X39Y104 TILEPROP NULL_X39Y104 NUM_ARCS 0 TILEPROP NULL_X39Y104 NUM_SITES 0 TILEPROP NULL_X39Y104 ROW 52 TILEPROP NULL_X39Y104 SLR_REGION_ID 0 TILEPROP NULL_X39Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X39Y104 TILE_TYPE NULL TILEPROP NULL_X39Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X39Y104 TILE_X -33344 TILEPROP NULL_X39Y104 TILE_Y 81024 TILEPROP NULL_X39Y104 TYPE NULL TILEPROP NULL_X39Y156 CLASS tile TILEPROP NULL_X39Y156 COLUMN 39 TILEPROP NULL_X39Y156 DEVICE_ID 0 TILEPROP NULL_X39Y156 FIRST_SITE_ID 0 TILEPROP NULL_X39Y156 GRID_POINT_X 39 TILEPROP NULL_X39Y156 GRID_POINT_Y 0 TILEPROP NULL_X39Y156 INDEX 39 TILEPROP NULL_X39Y156 INT_TILE_X -1 TILEPROP NULL_X39Y156 INT_TILE_Y -1 TILEPROP NULL_X39Y156 IS_CENTER_TILE 0 TILEPROP NULL_X39Y156 IS_DCM_TILE 0 TILEPROP NULL_X39Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X39Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X39Y156 NAME NULL_X39Y156 TILEPROP NULL_X39Y156 NUM_ARCS 0 TILEPROP NULL_X39Y156 NUM_SITES 0 TILEPROP NULL_X39Y156 ROW 0 TILEPROP NULL_X39Y156 SLR_REGION_ID 0 TILEPROP NULL_X39Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X39Y156 TILE_TYPE NULL TILEPROP NULL_X39Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X39Y156 TILE_X -33344 TILEPROP NULL_X39Y156 TILE_Y 242296 TILEPROP NULL_X39Y156 TYPE NULL TILEPROP NULL_X3Y0 CLASS tile TILEPROP NULL_X3Y0 COLUMN 3 TILEPROP NULL_X3Y0 DEVICE_ID 0 TILEPROP NULL_X3Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X3Y0 GRID_POINT_X 3 TILEPROP NULL_X3Y0 GRID_POINT_Y 156 TILEPROP NULL_X3Y0 INDEX 17943 TILEPROP NULL_X3Y0 INT_TILE_X -1 TILEPROP NULL_X3Y0 INT_TILE_Y -1 TILEPROP NULL_X3Y0 IS_CENTER_TILE 0 TILEPROP NULL_X3Y0 IS_DCM_TILE 0 TILEPROP NULL_X3Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X3Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X3Y0 NAME NULL_X3Y0 TILEPROP NULL_X3Y0 NUM_ARCS 0 TILEPROP NULL_X3Y0 NUM_SITES 0 TILEPROP NULL_X3Y0 ROW 156 TILEPROP NULL_X3Y0 SLR_REGION_ID 0 TILEPROP NULL_X3Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X3Y0 TILE_TYPE NULL TILEPROP NULL_X3Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X3Y0 TILE_X -98958 TILEPROP NULL_X3Y0 TILE_Y -244472 TILEPROP NULL_X3Y0 TYPE NULL TILEPROP NULL_X3Y52 CLASS tile TILEPROP NULL_X3Y52 COLUMN 3 TILEPROP NULL_X3Y52 DEVICE_ID 0 TILEPROP NULL_X3Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X3Y52 GRID_POINT_X 3 TILEPROP NULL_X3Y52 GRID_POINT_Y 104 TILEPROP NULL_X3Y52 INDEX 11963 TILEPROP NULL_X3Y52 INT_TILE_X -1 TILEPROP NULL_X3Y52 INT_TILE_Y -1 TILEPROP NULL_X3Y52 IS_CENTER_TILE 0 TILEPROP NULL_X3Y52 IS_DCM_TILE 0 TILEPROP NULL_X3Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X3Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X3Y52 NAME NULL_X3Y52 TILEPROP NULL_X3Y52 NUM_ARCS 0 TILEPROP NULL_X3Y52 NUM_SITES 0 TILEPROP NULL_X3Y52 ROW 104 TILEPROP NULL_X3Y52 SLR_REGION_ID 0 TILEPROP NULL_X3Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X3Y52 TILE_TYPE NULL TILEPROP NULL_X3Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X3Y52 TILE_X -98958 TILEPROP NULL_X3Y52 TILE_Y -80248 TILEPROP NULL_X3Y52 TYPE NULL TILEPROP NULL_X3Y104 CLASS tile TILEPROP NULL_X3Y104 COLUMN 3 TILEPROP NULL_X3Y104 DEVICE_ID 0 TILEPROP NULL_X3Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X3Y104 GRID_POINT_X 3 TILEPROP NULL_X3Y104 GRID_POINT_Y 52 TILEPROP NULL_X3Y104 INDEX 5983 TILEPROP NULL_X3Y104 INT_TILE_X -1 TILEPROP NULL_X3Y104 INT_TILE_Y -1 TILEPROP NULL_X3Y104 IS_CENTER_TILE 0 TILEPROP NULL_X3Y104 IS_DCM_TILE 0 TILEPROP NULL_X3Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X3Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X3Y104 NAME NULL_X3Y104 TILEPROP NULL_X3Y104 NUM_ARCS 0 TILEPROP NULL_X3Y104 NUM_SITES 0 TILEPROP NULL_X3Y104 ROW 52 TILEPROP NULL_X3Y104 SLR_REGION_ID 0 TILEPROP NULL_X3Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X3Y104 TILE_TYPE NULL TILEPROP NULL_X3Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X3Y104 TILE_X -98958 TILEPROP NULL_X3Y104 TILE_Y 81024 TILEPROP NULL_X3Y104 TYPE NULL TILEPROP NULL_X3Y156 CLASS tile TILEPROP NULL_X3Y156 COLUMN 3 TILEPROP NULL_X3Y156 DEVICE_ID 0 TILEPROP NULL_X3Y156 FIRST_SITE_ID 0 TILEPROP NULL_X3Y156 GRID_POINT_X 3 TILEPROP NULL_X3Y156 GRID_POINT_Y 0 TILEPROP NULL_X3Y156 INDEX 3 TILEPROP NULL_X3Y156 INT_TILE_X -1 TILEPROP NULL_X3Y156 INT_TILE_Y -1 TILEPROP NULL_X3Y156 IS_CENTER_TILE 0 TILEPROP NULL_X3Y156 IS_DCM_TILE 0 TILEPROP NULL_X3Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X3Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X3Y156 NAME NULL_X3Y156 TILEPROP NULL_X3Y156 NUM_ARCS 0 TILEPROP NULL_X3Y156 NUM_SITES 0 TILEPROP NULL_X3Y156 ROW 0 TILEPROP NULL_X3Y156 SLR_REGION_ID 0 TILEPROP NULL_X3Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X3Y156 TILE_TYPE NULL TILEPROP NULL_X3Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X3Y156 TILE_X -98958 TILEPROP NULL_X3Y156 TILE_Y 242296 TILEPROP NULL_X3Y156 TYPE NULL TILEPROP NULL_X40Y0 CLASS tile TILEPROP NULL_X40Y0 COLUMN 40 TILEPROP NULL_X40Y0 DEVICE_ID 0 TILEPROP NULL_X40Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X40Y0 GRID_POINT_X 40 TILEPROP NULL_X40Y0 GRID_POINT_Y 156 TILEPROP NULL_X40Y0 INDEX 17980 TILEPROP NULL_X40Y0 INT_TILE_X -1 TILEPROP NULL_X40Y0 INT_TILE_Y -1 TILEPROP NULL_X40Y0 IS_CENTER_TILE 0 TILEPROP NULL_X40Y0 IS_DCM_TILE 0 TILEPROP NULL_X40Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X40Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X40Y0 NAME NULL_X40Y0 TILEPROP NULL_X40Y0 NUM_ARCS 0 TILEPROP NULL_X40Y0 NUM_SITES 0 TILEPROP NULL_X40Y0 ROW 156 TILEPROP NULL_X40Y0 SLR_REGION_ID 0 TILEPROP NULL_X40Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X40Y0 TILE_TYPE NULL TILEPROP NULL_X40Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X40Y0 TILE_X -32648 TILEPROP NULL_X40Y0 TILE_Y -244472 TILEPROP NULL_X40Y0 TYPE NULL TILEPROP NULL_X40Y52 CLASS tile TILEPROP NULL_X40Y52 COLUMN 40 TILEPROP NULL_X40Y52 DEVICE_ID 0 TILEPROP NULL_X40Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X40Y52 GRID_POINT_X 40 TILEPROP NULL_X40Y52 GRID_POINT_Y 104 TILEPROP NULL_X40Y52 INDEX 12000 TILEPROP NULL_X40Y52 INT_TILE_X -1 TILEPROP NULL_X40Y52 INT_TILE_Y -1 TILEPROP NULL_X40Y52 IS_CENTER_TILE 0 TILEPROP NULL_X40Y52 IS_DCM_TILE 0 TILEPROP NULL_X40Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X40Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X40Y52 NAME NULL_X40Y52 TILEPROP NULL_X40Y52 NUM_ARCS 0 TILEPROP NULL_X40Y52 NUM_SITES 0 TILEPROP NULL_X40Y52 ROW 104 TILEPROP NULL_X40Y52 SLR_REGION_ID 0 TILEPROP NULL_X40Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X40Y52 TILE_TYPE NULL TILEPROP NULL_X40Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X40Y52 TILE_X -32648 TILEPROP NULL_X40Y52 TILE_Y -80248 TILEPROP NULL_X40Y52 TYPE NULL TILEPROP NULL_X41Y0 CLASS tile TILEPROP NULL_X41Y0 COLUMN 41 TILEPROP NULL_X41Y0 DEVICE_ID 0 TILEPROP NULL_X41Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X41Y0 GRID_POINT_X 41 TILEPROP NULL_X41Y0 GRID_POINT_Y 156 TILEPROP NULL_X41Y0 INDEX 17981 TILEPROP NULL_X41Y0 INT_TILE_X -1 TILEPROP NULL_X41Y0 INT_TILE_Y -1 TILEPROP NULL_X41Y0 IS_CENTER_TILE 0 TILEPROP NULL_X41Y0 IS_DCM_TILE 0 TILEPROP NULL_X41Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X41Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X41Y0 NAME NULL_X41Y0 TILEPROP NULL_X41Y0 NUM_ARCS 0 TILEPROP NULL_X41Y0 NUM_SITES 0 TILEPROP NULL_X41Y0 ROW 156 TILEPROP NULL_X41Y0 SLR_REGION_ID 0 TILEPROP NULL_X41Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X41Y0 TILE_TYPE NULL TILEPROP NULL_X41Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X41Y0 TILE_X -29192 TILEPROP NULL_X41Y0 TILE_Y -244472 TILEPROP NULL_X41Y0 TYPE NULL TILEPROP NULL_X41Y52 CLASS tile TILEPROP NULL_X41Y52 COLUMN 41 TILEPROP NULL_X41Y52 DEVICE_ID 0 TILEPROP NULL_X41Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X41Y52 GRID_POINT_X 41 TILEPROP NULL_X41Y52 GRID_POINT_Y 104 TILEPROP NULL_X41Y52 INDEX 12001 TILEPROP NULL_X41Y52 INT_TILE_X -1 TILEPROP NULL_X41Y52 INT_TILE_Y -1 TILEPROP NULL_X41Y52 IS_CENTER_TILE 0 TILEPROP NULL_X41Y52 IS_DCM_TILE 0 TILEPROP NULL_X41Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X41Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X41Y52 NAME NULL_X41Y52 TILEPROP NULL_X41Y52 NUM_ARCS 0 TILEPROP NULL_X41Y52 NUM_SITES 0 TILEPROP NULL_X41Y52 ROW 104 TILEPROP NULL_X41Y52 SLR_REGION_ID 0 TILEPROP NULL_X41Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X41Y52 TILE_TYPE NULL TILEPROP NULL_X41Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X41Y52 TILE_X -29192 TILEPROP NULL_X41Y52 TILE_Y -80248 TILEPROP NULL_X41Y52 TYPE NULL TILEPROP NULL_X42Y0 CLASS tile TILEPROP NULL_X42Y0 COLUMN 42 TILEPROP NULL_X42Y0 DEVICE_ID 0 TILEPROP NULL_X42Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X42Y0 GRID_POINT_X 42 TILEPROP NULL_X42Y0 GRID_POINT_Y 156 TILEPROP NULL_X42Y0 INDEX 17982 TILEPROP NULL_X42Y0 INT_TILE_X -1 TILEPROP NULL_X42Y0 INT_TILE_Y -1 TILEPROP NULL_X42Y0 IS_CENTER_TILE 0 TILEPROP NULL_X42Y0 IS_DCM_TILE 0 TILEPROP NULL_X42Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X42Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X42Y0 NAME NULL_X42Y0 TILEPROP NULL_X42Y0 NUM_ARCS 0 TILEPROP NULL_X42Y0 NUM_SITES 0 TILEPROP NULL_X42Y0 ROW 156 TILEPROP NULL_X42Y0 SLR_REGION_ID 0 TILEPROP NULL_X42Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X42Y0 TILE_TYPE NULL TILEPROP NULL_X42Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X42Y0 TILE_X -25736 TILEPROP NULL_X42Y0 TILE_Y -244472 TILEPROP NULL_X42Y0 TYPE NULL TILEPROP NULL_X42Y52 CLASS tile TILEPROP NULL_X42Y52 COLUMN 42 TILEPROP NULL_X42Y52 DEVICE_ID 0 TILEPROP NULL_X42Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X42Y52 GRID_POINT_X 42 TILEPROP NULL_X42Y52 GRID_POINT_Y 104 TILEPROP NULL_X42Y52 INDEX 12002 TILEPROP NULL_X42Y52 INT_TILE_X -1 TILEPROP NULL_X42Y52 INT_TILE_Y -1 TILEPROP NULL_X42Y52 IS_CENTER_TILE 0 TILEPROP NULL_X42Y52 IS_DCM_TILE 0 TILEPROP NULL_X42Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X42Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X42Y52 NAME NULL_X42Y52 TILEPROP NULL_X42Y52 NUM_ARCS 0 TILEPROP NULL_X42Y52 NUM_SITES 0 TILEPROP NULL_X42Y52 ROW 104 TILEPROP NULL_X42Y52 SLR_REGION_ID 0 TILEPROP NULL_X42Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X42Y52 TILE_TYPE NULL TILEPROP NULL_X42Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X42Y52 TILE_X -25736 TILEPROP NULL_X42Y52 TILE_Y -80248 TILEPROP NULL_X42Y52 TYPE NULL TILEPROP NULL_X42Y104 CLASS tile TILEPROP NULL_X42Y104 COLUMN 42 TILEPROP NULL_X42Y104 DEVICE_ID 0 TILEPROP NULL_X42Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X42Y104 GRID_POINT_X 42 TILEPROP NULL_X42Y104 GRID_POINT_Y 52 TILEPROP NULL_X42Y104 INDEX 6022 TILEPROP NULL_X42Y104 INT_TILE_X 15 TILEPROP NULL_X42Y104 INT_TILE_Y 49 TILEPROP NULL_X42Y104 IS_CENTER_TILE 0 TILEPROP NULL_X42Y104 IS_DCM_TILE 0 TILEPROP NULL_X42Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X42Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X42Y104 NAME NULL_X42Y104 TILEPROP NULL_X42Y104 NUM_ARCS 0 TILEPROP NULL_X42Y104 NUM_SITES 0 TILEPROP NULL_X42Y104 ROW 52 TILEPROP NULL_X42Y104 SLR_REGION_ID 0 TILEPROP NULL_X42Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X42Y104 TILE_TYPE NULL TILEPROP NULL_X42Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X42Y104 TILE_X -25736 TILEPROP NULL_X42Y104 TILE_Y 81024 TILEPROP NULL_X42Y104 TYPE NULL TILEPROP NULL_X42Y156 CLASS tile TILEPROP NULL_X42Y156 COLUMN 42 TILEPROP NULL_X42Y156 DEVICE_ID 0 TILEPROP NULL_X42Y156 FIRST_SITE_ID 0 TILEPROP NULL_X42Y156 GRID_POINT_X 42 TILEPROP NULL_X42Y156 GRID_POINT_Y 0 TILEPROP NULL_X42Y156 INDEX 42 TILEPROP NULL_X42Y156 INT_TILE_X -1 TILEPROP NULL_X42Y156 INT_TILE_Y -1 TILEPROP NULL_X42Y156 IS_CENTER_TILE 0 TILEPROP NULL_X42Y156 IS_DCM_TILE 0 TILEPROP NULL_X42Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X42Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X42Y156 NAME NULL_X42Y156 TILEPROP NULL_X42Y156 NUM_ARCS 0 TILEPROP NULL_X42Y156 NUM_SITES 0 TILEPROP NULL_X42Y156 ROW 0 TILEPROP NULL_X42Y156 SLR_REGION_ID 0 TILEPROP NULL_X42Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X42Y156 TILE_TYPE NULL TILEPROP NULL_X42Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X42Y156 TILE_X -25736 TILEPROP NULL_X42Y156 TILE_Y 242296 TILEPROP NULL_X42Y156 TYPE NULL TILEPROP NULL_X43Y0 CLASS tile TILEPROP NULL_X43Y0 COLUMN 43 TILEPROP NULL_X43Y0 DEVICE_ID 0 TILEPROP NULL_X43Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X43Y0 GRID_POINT_X 43 TILEPROP NULL_X43Y0 GRID_POINT_Y 156 TILEPROP NULL_X43Y0 INDEX 17983 TILEPROP NULL_X43Y0 INT_TILE_X -1 TILEPROP NULL_X43Y0 INT_TILE_Y -1 TILEPROP NULL_X43Y0 IS_CENTER_TILE 0 TILEPROP NULL_X43Y0 IS_DCM_TILE 0 TILEPROP NULL_X43Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X43Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X43Y0 NAME NULL_X43Y0 TILEPROP NULL_X43Y0 NUM_ARCS 0 TILEPROP NULL_X43Y0 NUM_SITES 0 TILEPROP NULL_X43Y0 ROW 156 TILEPROP NULL_X43Y0 SLR_REGION_ID 0 TILEPROP NULL_X43Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X43Y0 TILE_TYPE NULL TILEPROP NULL_X43Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X43Y0 TILE_X -25040 TILEPROP NULL_X43Y0 TILE_Y -244472 TILEPROP NULL_X43Y0 TYPE NULL TILEPROP NULL_X43Y52 CLASS tile TILEPROP NULL_X43Y52 COLUMN 43 TILEPROP NULL_X43Y52 DEVICE_ID 0 TILEPROP NULL_X43Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X43Y52 GRID_POINT_X 43 TILEPROP NULL_X43Y52 GRID_POINT_Y 104 TILEPROP NULL_X43Y52 INDEX 12003 TILEPROP NULL_X43Y52 INT_TILE_X -1 TILEPROP NULL_X43Y52 INT_TILE_Y -1 TILEPROP NULL_X43Y52 IS_CENTER_TILE 0 TILEPROP NULL_X43Y52 IS_DCM_TILE 0 TILEPROP NULL_X43Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X43Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X43Y52 NAME NULL_X43Y52 TILEPROP NULL_X43Y52 NUM_ARCS 0 TILEPROP NULL_X43Y52 NUM_SITES 0 TILEPROP NULL_X43Y52 ROW 104 TILEPROP NULL_X43Y52 SLR_REGION_ID 0 TILEPROP NULL_X43Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X43Y52 TILE_TYPE NULL TILEPROP NULL_X43Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X43Y52 TILE_X -25040 TILEPROP NULL_X43Y52 TILE_Y -80248 TILEPROP NULL_X43Y52 TYPE NULL TILEPROP NULL_X43Y104 CLASS tile TILEPROP NULL_X43Y104 COLUMN 43 TILEPROP NULL_X43Y104 DEVICE_ID 0 TILEPROP NULL_X43Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X43Y104 GRID_POINT_X 43 TILEPROP NULL_X43Y104 GRID_POINT_Y 52 TILEPROP NULL_X43Y104 INDEX 6023 TILEPROP NULL_X43Y104 INT_TILE_X 15 TILEPROP NULL_X43Y104 INT_TILE_Y 49 TILEPROP NULL_X43Y104 IS_CENTER_TILE 0 TILEPROP NULL_X43Y104 IS_DCM_TILE 0 TILEPROP NULL_X43Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X43Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X43Y104 NAME NULL_X43Y104 TILEPROP NULL_X43Y104 NUM_ARCS 0 TILEPROP NULL_X43Y104 NUM_SITES 0 TILEPROP NULL_X43Y104 ROW 52 TILEPROP NULL_X43Y104 SLR_REGION_ID 0 TILEPROP NULL_X43Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X43Y104 TILE_TYPE NULL TILEPROP NULL_X43Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X43Y104 TILE_X -25040 TILEPROP NULL_X43Y104 TILE_Y 81024 TILEPROP NULL_X43Y104 TYPE NULL TILEPROP NULL_X43Y156 CLASS tile TILEPROP NULL_X43Y156 COLUMN 43 TILEPROP NULL_X43Y156 DEVICE_ID 0 TILEPROP NULL_X43Y156 FIRST_SITE_ID 0 TILEPROP NULL_X43Y156 GRID_POINT_X 43 TILEPROP NULL_X43Y156 GRID_POINT_Y 0 TILEPROP NULL_X43Y156 INDEX 43 TILEPROP NULL_X43Y156 INT_TILE_X -1 TILEPROP NULL_X43Y156 INT_TILE_Y -1 TILEPROP NULL_X43Y156 IS_CENTER_TILE 0 TILEPROP NULL_X43Y156 IS_DCM_TILE 0 TILEPROP NULL_X43Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X43Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X43Y156 NAME NULL_X43Y156 TILEPROP NULL_X43Y156 NUM_ARCS 0 TILEPROP NULL_X43Y156 NUM_SITES 0 TILEPROP NULL_X43Y156 ROW 0 TILEPROP NULL_X43Y156 SLR_REGION_ID 0 TILEPROP NULL_X43Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X43Y156 TILE_TYPE NULL TILEPROP NULL_X43Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X43Y156 TILE_X -25040 TILEPROP NULL_X43Y156 TILE_Y 242296 TILEPROP NULL_X43Y156 TYPE NULL TILEPROP NULL_X44Y0 CLASS tile TILEPROP NULL_X44Y0 COLUMN 44 TILEPROP NULL_X44Y0 DEVICE_ID 0 TILEPROP NULL_X44Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X44Y0 GRID_POINT_X 44 TILEPROP NULL_X44Y0 GRID_POINT_Y 156 TILEPROP NULL_X44Y0 INDEX 17984 TILEPROP NULL_X44Y0 INT_TILE_X -1 TILEPROP NULL_X44Y0 INT_TILE_Y -1 TILEPROP NULL_X44Y0 IS_CENTER_TILE 0 TILEPROP NULL_X44Y0 IS_DCM_TILE 0 TILEPROP NULL_X44Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X44Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X44Y0 NAME NULL_X44Y0 TILEPROP NULL_X44Y0 NUM_ARCS 0 TILEPROP NULL_X44Y0 NUM_SITES 0 TILEPROP NULL_X44Y0 ROW 156 TILEPROP NULL_X44Y0 SLR_REGION_ID 0 TILEPROP NULL_X44Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X44Y0 TILE_TYPE NULL TILEPROP NULL_X44Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X44Y0 TILE_X -24344 TILEPROP NULL_X44Y0 TILE_Y -244472 TILEPROP NULL_X44Y0 TYPE NULL TILEPROP NULL_X44Y52 CLASS tile TILEPROP NULL_X44Y52 COLUMN 44 TILEPROP NULL_X44Y52 DEVICE_ID 0 TILEPROP NULL_X44Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X44Y52 GRID_POINT_X 44 TILEPROP NULL_X44Y52 GRID_POINT_Y 104 TILEPROP NULL_X44Y52 INDEX 12004 TILEPROP NULL_X44Y52 INT_TILE_X -1 TILEPROP NULL_X44Y52 INT_TILE_Y -1 TILEPROP NULL_X44Y52 IS_CENTER_TILE 0 TILEPROP NULL_X44Y52 IS_DCM_TILE 0 TILEPROP NULL_X44Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X44Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X44Y52 NAME NULL_X44Y52 TILEPROP NULL_X44Y52 NUM_ARCS 0 TILEPROP NULL_X44Y52 NUM_SITES 0 TILEPROP NULL_X44Y52 ROW 104 TILEPROP NULL_X44Y52 SLR_REGION_ID 0 TILEPROP NULL_X44Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X44Y52 TILE_TYPE NULL TILEPROP NULL_X44Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X44Y52 TILE_X -24344 TILEPROP NULL_X44Y52 TILE_Y -80248 TILEPROP NULL_X44Y52 TYPE NULL TILEPROP NULL_X45Y0 CLASS tile TILEPROP NULL_X45Y0 COLUMN 45 TILEPROP NULL_X45Y0 DEVICE_ID 0 TILEPROP NULL_X45Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X45Y0 GRID_POINT_X 45 TILEPROP NULL_X45Y0 GRID_POINT_Y 156 TILEPROP NULL_X45Y0 INDEX 17985 TILEPROP NULL_X45Y0 INT_TILE_X -1 TILEPROP NULL_X45Y0 INT_TILE_Y -1 TILEPROP NULL_X45Y0 IS_CENTER_TILE 0 TILEPROP NULL_X45Y0 IS_DCM_TILE 0 TILEPROP NULL_X45Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X45Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X45Y0 NAME NULL_X45Y0 TILEPROP NULL_X45Y0 NUM_ARCS 0 TILEPROP NULL_X45Y0 NUM_SITES 0 TILEPROP NULL_X45Y0 ROW 156 TILEPROP NULL_X45Y0 SLR_REGION_ID 0 TILEPROP NULL_X45Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X45Y0 TILE_TYPE NULL TILEPROP NULL_X45Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X45Y0 TILE_X -20888 TILEPROP NULL_X45Y0 TILE_Y -244472 TILEPROP NULL_X45Y0 TYPE NULL TILEPROP NULL_X45Y52 CLASS tile TILEPROP NULL_X45Y52 COLUMN 45 TILEPROP NULL_X45Y52 DEVICE_ID 0 TILEPROP NULL_X45Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X45Y52 GRID_POINT_X 45 TILEPROP NULL_X45Y52 GRID_POINT_Y 104 TILEPROP NULL_X45Y52 INDEX 12005 TILEPROP NULL_X45Y52 INT_TILE_X -1 TILEPROP NULL_X45Y52 INT_TILE_Y -1 TILEPROP NULL_X45Y52 IS_CENTER_TILE 0 TILEPROP NULL_X45Y52 IS_DCM_TILE 0 TILEPROP NULL_X45Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X45Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X45Y52 NAME NULL_X45Y52 TILEPROP NULL_X45Y52 NUM_ARCS 0 TILEPROP NULL_X45Y52 NUM_SITES 0 TILEPROP NULL_X45Y52 ROW 104 TILEPROP NULL_X45Y52 SLR_REGION_ID 0 TILEPROP NULL_X45Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X45Y52 TILE_TYPE NULL TILEPROP NULL_X45Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X45Y52 TILE_X -20888 TILEPROP NULL_X45Y52 TILE_Y -80248 TILEPROP NULL_X45Y52 TYPE NULL TILEPROP NULL_X46Y0 CLASS tile TILEPROP NULL_X46Y0 COLUMN 46 TILEPROP NULL_X46Y0 DEVICE_ID 0 TILEPROP NULL_X46Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X46Y0 GRID_POINT_X 46 TILEPROP NULL_X46Y0 GRID_POINT_Y 156 TILEPROP NULL_X46Y0 INDEX 17986 TILEPROP NULL_X46Y0 INT_TILE_X -1 TILEPROP NULL_X46Y0 INT_TILE_Y -1 TILEPROP NULL_X46Y0 IS_CENTER_TILE 0 TILEPROP NULL_X46Y0 IS_DCM_TILE 0 TILEPROP NULL_X46Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y0 NAME NULL_X46Y0 TILEPROP NULL_X46Y0 NUM_ARCS 0 TILEPROP NULL_X46Y0 NUM_SITES 0 TILEPROP NULL_X46Y0 ROW 156 TILEPROP NULL_X46Y0 SLR_REGION_ID 0 TILEPROP NULL_X46Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y0 TILE_TYPE NULL TILEPROP NULL_X46Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y0 TILE_X -17432 TILEPROP NULL_X46Y0 TILE_Y -244472 TILEPROP NULL_X46Y0 TYPE NULL TILEPROP NULL_X46Y1 CLASS tile TILEPROP NULL_X46Y1 COLUMN 46 TILEPROP NULL_X46Y1 DEVICE_ID 0 TILEPROP NULL_X46Y1 FIRST_SITE_ID 15740 TILEPROP NULL_X46Y1 GRID_POINT_X 46 TILEPROP NULL_X46Y1 GRID_POINT_Y 155 TILEPROP NULL_X46Y1 INDEX 17871 TILEPROP NULL_X46Y1 INT_TILE_X -1 TILEPROP NULL_X46Y1 INT_TILE_Y -1 TILEPROP NULL_X46Y1 IS_CENTER_TILE 0 TILEPROP NULL_X46Y1 IS_DCM_TILE 0 TILEPROP NULL_X46Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y1 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y1 NAME NULL_X46Y1 TILEPROP NULL_X46Y1 NUM_ARCS 0 TILEPROP NULL_X46Y1 NUM_SITES 0 TILEPROP NULL_X46Y1 ROW 155 TILEPROP NULL_X46Y1 SLR_REGION_ID 0 TILEPROP NULL_X46Y1 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y1 TILE_TYPE NULL TILEPROP NULL_X46Y1 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y1 TILE_X -17432 TILEPROP NULL_X46Y1 TILE_Y -241272 TILEPROP NULL_X46Y1 TYPE NULL TILEPROP NULL_X46Y2 CLASS tile TILEPROP NULL_X46Y2 COLUMN 46 TILEPROP NULL_X46Y2 DEVICE_ID 0 TILEPROP NULL_X46Y2 FIRST_SITE_ID 15629 TILEPROP NULL_X46Y2 GRID_POINT_X 46 TILEPROP NULL_X46Y2 GRID_POINT_Y 154 TILEPROP NULL_X46Y2 INDEX 17756 TILEPROP NULL_X46Y2 INT_TILE_X -1 TILEPROP NULL_X46Y2 INT_TILE_Y -1 TILEPROP NULL_X46Y2 IS_CENTER_TILE 0 TILEPROP NULL_X46Y2 IS_DCM_TILE 0 TILEPROP NULL_X46Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y2 NAME NULL_X46Y2 TILEPROP NULL_X46Y2 NUM_ARCS 0 TILEPROP NULL_X46Y2 NUM_SITES 0 TILEPROP NULL_X46Y2 ROW 154 TILEPROP NULL_X46Y2 SLR_REGION_ID 0 TILEPROP NULL_X46Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y2 TILE_TYPE NULL TILEPROP NULL_X46Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y2 TILE_X -17432 TILEPROP NULL_X46Y2 TILE_Y -238072 TILEPROP NULL_X46Y2 TYPE NULL TILEPROP NULL_X46Y3 CLASS tile TILEPROP NULL_X46Y3 COLUMN 46 TILEPROP NULL_X46Y3 DEVICE_ID 0 TILEPROP NULL_X46Y3 FIRST_SITE_ID 15529 TILEPROP NULL_X46Y3 GRID_POINT_X 46 TILEPROP NULL_X46Y3 GRID_POINT_Y 153 TILEPROP NULL_X46Y3 INDEX 17641 TILEPROP NULL_X46Y3 INT_TILE_X -1 TILEPROP NULL_X46Y3 INT_TILE_Y -1 TILEPROP NULL_X46Y3 IS_CENTER_TILE 0 TILEPROP NULL_X46Y3 IS_DCM_TILE 0 TILEPROP NULL_X46Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y3 NAME NULL_X46Y3 TILEPROP NULL_X46Y3 NUM_ARCS 0 TILEPROP NULL_X46Y3 NUM_SITES 0 TILEPROP NULL_X46Y3 ROW 153 TILEPROP NULL_X46Y3 SLR_REGION_ID 0 TILEPROP NULL_X46Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y3 TILE_TYPE NULL TILEPROP NULL_X46Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y3 TILE_X -17432 TILEPROP NULL_X46Y3 TILE_Y -234872 TILEPROP NULL_X46Y3 TYPE NULL TILEPROP NULL_X46Y4 CLASS tile TILEPROP NULL_X46Y4 COLUMN 46 TILEPROP NULL_X46Y4 DEVICE_ID 0 TILEPROP NULL_X46Y4 FIRST_SITE_ID 15429 TILEPROP NULL_X46Y4 GRID_POINT_X 46 TILEPROP NULL_X46Y4 GRID_POINT_Y 152 TILEPROP NULL_X46Y4 INDEX 17526 TILEPROP NULL_X46Y4 INT_TILE_X -1 TILEPROP NULL_X46Y4 INT_TILE_Y -1 TILEPROP NULL_X46Y4 IS_CENTER_TILE 0 TILEPROP NULL_X46Y4 IS_DCM_TILE 0 TILEPROP NULL_X46Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y4 NAME NULL_X46Y4 TILEPROP NULL_X46Y4 NUM_ARCS 0 TILEPROP NULL_X46Y4 NUM_SITES 0 TILEPROP NULL_X46Y4 ROW 152 TILEPROP NULL_X46Y4 SLR_REGION_ID 0 TILEPROP NULL_X46Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y4 TILE_TYPE NULL TILEPROP NULL_X46Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y4 TILE_X -17432 TILEPROP NULL_X46Y4 TILE_Y -231672 TILEPROP NULL_X46Y4 TYPE NULL TILEPROP NULL_X46Y5 CLASS tile TILEPROP NULL_X46Y5 COLUMN 46 TILEPROP NULL_X46Y5 DEVICE_ID 0 TILEPROP NULL_X46Y5 FIRST_SITE_ID 15329 TILEPROP NULL_X46Y5 GRID_POINT_X 46 TILEPROP NULL_X46Y5 GRID_POINT_Y 151 TILEPROP NULL_X46Y5 INDEX 17411 TILEPROP NULL_X46Y5 INT_TILE_X -1 TILEPROP NULL_X46Y5 INT_TILE_Y -1 TILEPROP NULL_X46Y5 IS_CENTER_TILE 0 TILEPROP NULL_X46Y5 IS_DCM_TILE 0 TILEPROP NULL_X46Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y5 NAME NULL_X46Y5 TILEPROP NULL_X46Y5 NUM_ARCS 0 TILEPROP NULL_X46Y5 NUM_SITES 0 TILEPROP NULL_X46Y5 ROW 151 TILEPROP NULL_X46Y5 SLR_REGION_ID 0 TILEPROP NULL_X46Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y5 TILE_TYPE NULL TILEPROP NULL_X46Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y5 TILE_X -17432 TILEPROP NULL_X46Y5 TILE_Y -228472 TILEPROP NULL_X46Y5 TYPE NULL TILEPROP NULL_X46Y6 CLASS tile TILEPROP NULL_X46Y6 COLUMN 46 TILEPROP NULL_X46Y6 DEVICE_ID 0 TILEPROP NULL_X46Y6 FIRST_SITE_ID 15220 TILEPROP NULL_X46Y6 GRID_POINT_X 46 TILEPROP NULL_X46Y6 GRID_POINT_Y 150 TILEPROP NULL_X46Y6 INDEX 17296 TILEPROP NULL_X46Y6 INT_TILE_X -1 TILEPROP NULL_X46Y6 INT_TILE_Y -1 TILEPROP NULL_X46Y6 IS_CENTER_TILE 0 TILEPROP NULL_X46Y6 IS_DCM_TILE 0 TILEPROP NULL_X46Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y6 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y6 NAME NULL_X46Y6 TILEPROP NULL_X46Y6 NUM_ARCS 0 TILEPROP NULL_X46Y6 NUM_SITES 0 TILEPROP NULL_X46Y6 ROW 150 TILEPROP NULL_X46Y6 SLR_REGION_ID 0 TILEPROP NULL_X46Y6 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y6 TILE_TYPE NULL TILEPROP NULL_X46Y6 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y6 TILE_X -17432 TILEPROP NULL_X46Y6 TILE_Y -225272 TILEPROP NULL_X46Y6 TYPE NULL TILEPROP NULL_X46Y7 CLASS tile TILEPROP NULL_X46Y7 COLUMN 46 TILEPROP NULL_X46Y7 DEVICE_ID 0 TILEPROP NULL_X46Y7 FIRST_SITE_ID 15114 TILEPROP NULL_X46Y7 GRID_POINT_X 46 TILEPROP NULL_X46Y7 GRID_POINT_Y 149 TILEPROP NULL_X46Y7 INDEX 17181 TILEPROP NULL_X46Y7 INT_TILE_X -1 TILEPROP NULL_X46Y7 INT_TILE_Y -1 TILEPROP NULL_X46Y7 IS_CENTER_TILE 0 TILEPROP NULL_X46Y7 IS_DCM_TILE 0 TILEPROP NULL_X46Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y7 NAME NULL_X46Y7 TILEPROP NULL_X46Y7 NUM_ARCS 0 TILEPROP NULL_X46Y7 NUM_SITES 0 TILEPROP NULL_X46Y7 ROW 149 TILEPROP NULL_X46Y7 SLR_REGION_ID 0 TILEPROP NULL_X46Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y7 TILE_TYPE NULL TILEPROP NULL_X46Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y7 TILE_X -17432 TILEPROP NULL_X46Y7 TILE_Y -222072 TILEPROP NULL_X46Y7 TYPE NULL TILEPROP NULL_X46Y8 CLASS tile TILEPROP NULL_X46Y8 COLUMN 46 TILEPROP NULL_X46Y8 DEVICE_ID 0 TILEPROP NULL_X46Y8 FIRST_SITE_ID 15012 TILEPROP NULL_X46Y8 GRID_POINT_X 46 TILEPROP NULL_X46Y8 GRID_POINT_Y 148 TILEPROP NULL_X46Y8 INDEX 17066 TILEPROP NULL_X46Y8 INT_TILE_X -1 TILEPROP NULL_X46Y8 INT_TILE_Y -1 TILEPROP NULL_X46Y8 IS_CENTER_TILE 0 TILEPROP NULL_X46Y8 IS_DCM_TILE 0 TILEPROP NULL_X46Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y8 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y8 NAME NULL_X46Y8 TILEPROP NULL_X46Y8 NUM_ARCS 0 TILEPROP NULL_X46Y8 NUM_SITES 0 TILEPROP NULL_X46Y8 ROW 148 TILEPROP NULL_X46Y8 SLR_REGION_ID 0 TILEPROP NULL_X46Y8 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y8 TILE_TYPE NULL TILEPROP NULL_X46Y8 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y8 TILE_X -17432 TILEPROP NULL_X46Y8 TILE_Y -218872 TILEPROP NULL_X46Y8 TYPE NULL TILEPROP NULL_X46Y9 CLASS tile TILEPROP NULL_X46Y9 COLUMN 46 TILEPROP NULL_X46Y9 DEVICE_ID 0 TILEPROP NULL_X46Y9 FIRST_SITE_ID 14909 TILEPROP NULL_X46Y9 GRID_POINT_X 46 TILEPROP NULL_X46Y9 GRID_POINT_Y 147 TILEPROP NULL_X46Y9 INDEX 16951 TILEPROP NULL_X46Y9 INT_TILE_X -1 TILEPROP NULL_X46Y9 INT_TILE_Y -1 TILEPROP NULL_X46Y9 IS_CENTER_TILE 0 TILEPROP NULL_X46Y9 IS_DCM_TILE 0 TILEPROP NULL_X46Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y9 NAME NULL_X46Y9 TILEPROP NULL_X46Y9 NUM_ARCS 0 TILEPROP NULL_X46Y9 NUM_SITES 0 TILEPROP NULL_X46Y9 ROW 147 TILEPROP NULL_X46Y9 SLR_REGION_ID 0 TILEPROP NULL_X46Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y9 TILE_TYPE NULL TILEPROP NULL_X46Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y9 TILE_X -17432 TILEPROP NULL_X46Y9 TILE_Y -215672 TILEPROP NULL_X46Y9 TYPE NULL TILEPROP NULL_X46Y10 CLASS tile TILEPROP NULL_X46Y10 COLUMN 46 TILEPROP NULL_X46Y10 DEVICE_ID 0 TILEPROP NULL_X46Y10 FIRST_SITE_ID 14808 TILEPROP NULL_X46Y10 GRID_POINT_X 46 TILEPROP NULL_X46Y10 GRID_POINT_Y 146 TILEPROP NULL_X46Y10 INDEX 16836 TILEPROP NULL_X46Y10 INT_TILE_X -1 TILEPROP NULL_X46Y10 INT_TILE_Y -1 TILEPROP NULL_X46Y10 IS_CENTER_TILE 0 TILEPROP NULL_X46Y10 IS_DCM_TILE 0 TILEPROP NULL_X46Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y10 NAME NULL_X46Y10 TILEPROP NULL_X46Y10 NUM_ARCS 0 TILEPROP NULL_X46Y10 NUM_SITES 0 TILEPROP NULL_X46Y10 ROW 146 TILEPROP NULL_X46Y10 SLR_REGION_ID 0 TILEPROP NULL_X46Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y10 TILE_TYPE NULL TILEPROP NULL_X46Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y10 TILE_X -17432 TILEPROP NULL_X46Y10 TILE_Y -212472 TILEPROP NULL_X46Y10 TYPE NULL TILEPROP NULL_X46Y12 CLASS tile TILEPROP NULL_X46Y12 COLUMN 46 TILEPROP NULL_X46Y12 DEVICE_ID 0 TILEPROP NULL_X46Y12 FIRST_SITE_ID 14593 TILEPROP NULL_X46Y12 GRID_POINT_X 46 TILEPROP NULL_X46Y12 GRID_POINT_Y 144 TILEPROP NULL_X46Y12 INDEX 16606 TILEPROP NULL_X46Y12 INT_TILE_X -1 TILEPROP NULL_X46Y12 INT_TILE_Y -1 TILEPROP NULL_X46Y12 IS_CENTER_TILE 0 TILEPROP NULL_X46Y12 IS_DCM_TILE 0 TILEPROP NULL_X46Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y12 NAME NULL_X46Y12 TILEPROP NULL_X46Y12 NUM_ARCS 0 TILEPROP NULL_X46Y12 NUM_SITES 0 TILEPROP NULL_X46Y12 ROW 144 TILEPROP NULL_X46Y12 SLR_REGION_ID 0 TILEPROP NULL_X46Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y12 TILE_TYPE NULL TILEPROP NULL_X46Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y12 TILE_X -17432 TILEPROP NULL_X46Y12 TILE_Y -206072 TILEPROP NULL_X46Y12 TYPE NULL TILEPROP NULL_X46Y13 CLASS tile TILEPROP NULL_X46Y13 COLUMN 46 TILEPROP NULL_X46Y13 DEVICE_ID 0 TILEPROP NULL_X46Y13 FIRST_SITE_ID 14461 TILEPROP NULL_X46Y13 GRID_POINT_X 46 TILEPROP NULL_X46Y13 GRID_POINT_Y 143 TILEPROP NULL_X46Y13 INDEX 16491 TILEPROP NULL_X46Y13 INT_TILE_X -1 TILEPROP NULL_X46Y13 INT_TILE_Y -1 TILEPROP NULL_X46Y13 IS_CENTER_TILE 0 TILEPROP NULL_X46Y13 IS_DCM_TILE 0 TILEPROP NULL_X46Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y13 NAME NULL_X46Y13 TILEPROP NULL_X46Y13 NUM_ARCS 0 TILEPROP NULL_X46Y13 NUM_SITES 0 TILEPROP NULL_X46Y13 ROW 143 TILEPROP NULL_X46Y13 SLR_REGION_ID 0 TILEPROP NULL_X46Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y13 TILE_TYPE NULL TILEPROP NULL_X46Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y13 TILE_X -17432 TILEPROP NULL_X46Y13 TILE_Y -202872 TILEPROP NULL_X46Y13 TYPE NULL TILEPROP NULL_X46Y14 CLASS tile TILEPROP NULL_X46Y14 COLUMN 46 TILEPROP NULL_X46Y14 DEVICE_ID 0 TILEPROP NULL_X46Y14 FIRST_SITE_ID 14361 TILEPROP NULL_X46Y14 GRID_POINT_X 46 TILEPROP NULL_X46Y14 GRID_POINT_Y 142 TILEPROP NULL_X46Y14 INDEX 16376 TILEPROP NULL_X46Y14 INT_TILE_X -1 TILEPROP NULL_X46Y14 INT_TILE_Y -1 TILEPROP NULL_X46Y14 IS_CENTER_TILE 0 TILEPROP NULL_X46Y14 IS_DCM_TILE 0 TILEPROP NULL_X46Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y14 NAME NULL_X46Y14 TILEPROP NULL_X46Y14 NUM_ARCS 0 TILEPROP NULL_X46Y14 NUM_SITES 0 TILEPROP NULL_X46Y14 ROW 142 TILEPROP NULL_X46Y14 SLR_REGION_ID 0 TILEPROP NULL_X46Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y14 TILE_TYPE NULL TILEPROP NULL_X46Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y14 TILE_X -17432 TILEPROP NULL_X46Y14 TILE_Y -199672 TILEPROP NULL_X46Y14 TYPE NULL TILEPROP NULL_X46Y15 CLASS tile TILEPROP NULL_X46Y15 COLUMN 46 TILEPROP NULL_X46Y15 DEVICE_ID 0 TILEPROP NULL_X46Y15 FIRST_SITE_ID 14261 TILEPROP NULL_X46Y15 GRID_POINT_X 46 TILEPROP NULL_X46Y15 GRID_POINT_Y 141 TILEPROP NULL_X46Y15 INDEX 16261 TILEPROP NULL_X46Y15 INT_TILE_X -1 TILEPROP NULL_X46Y15 INT_TILE_Y -1 TILEPROP NULL_X46Y15 IS_CENTER_TILE 0 TILEPROP NULL_X46Y15 IS_DCM_TILE 0 TILEPROP NULL_X46Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y15 NAME NULL_X46Y15 TILEPROP NULL_X46Y15 NUM_ARCS 0 TILEPROP NULL_X46Y15 NUM_SITES 0 TILEPROP NULL_X46Y15 ROW 141 TILEPROP NULL_X46Y15 SLR_REGION_ID 0 TILEPROP NULL_X46Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y15 TILE_TYPE NULL TILEPROP NULL_X46Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y15 TILE_X -17432 TILEPROP NULL_X46Y15 TILE_Y -196472 TILEPROP NULL_X46Y15 TYPE NULL TILEPROP NULL_X46Y16 CLASS tile TILEPROP NULL_X46Y16 COLUMN 46 TILEPROP NULL_X46Y16 DEVICE_ID 0 TILEPROP NULL_X46Y16 FIRST_SITE_ID 14152 TILEPROP NULL_X46Y16 GRID_POINT_X 46 TILEPROP NULL_X46Y16 GRID_POINT_Y 140 TILEPROP NULL_X46Y16 INDEX 16146 TILEPROP NULL_X46Y16 INT_TILE_X -1 TILEPROP NULL_X46Y16 INT_TILE_Y -1 TILEPROP NULL_X46Y16 IS_CENTER_TILE 0 TILEPROP NULL_X46Y16 IS_DCM_TILE 0 TILEPROP NULL_X46Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y16 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y16 NAME NULL_X46Y16 TILEPROP NULL_X46Y16 NUM_ARCS 0 TILEPROP NULL_X46Y16 NUM_SITES 0 TILEPROP NULL_X46Y16 ROW 140 TILEPROP NULL_X46Y16 SLR_REGION_ID 0 TILEPROP NULL_X46Y16 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y16 TILE_TYPE NULL TILEPROP NULL_X46Y16 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y16 TILE_X -17432 TILEPROP NULL_X46Y16 TILE_Y -193272 TILEPROP NULL_X46Y16 TYPE NULL TILEPROP NULL_X46Y17 CLASS tile TILEPROP NULL_X46Y17 COLUMN 46 TILEPROP NULL_X46Y17 DEVICE_ID 0 TILEPROP NULL_X46Y17 FIRST_SITE_ID 14046 TILEPROP NULL_X46Y17 GRID_POINT_X 46 TILEPROP NULL_X46Y17 GRID_POINT_Y 139 TILEPROP NULL_X46Y17 INDEX 16031 TILEPROP NULL_X46Y17 INT_TILE_X -1 TILEPROP NULL_X46Y17 INT_TILE_Y -1 TILEPROP NULL_X46Y17 IS_CENTER_TILE 0 TILEPROP NULL_X46Y17 IS_DCM_TILE 0 TILEPROP NULL_X46Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y17 NAME NULL_X46Y17 TILEPROP NULL_X46Y17 NUM_ARCS 0 TILEPROP NULL_X46Y17 NUM_SITES 0 TILEPROP NULL_X46Y17 ROW 139 TILEPROP NULL_X46Y17 SLR_REGION_ID 0 TILEPROP NULL_X46Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y17 TILE_TYPE NULL TILEPROP NULL_X46Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y17 TILE_X -17432 TILEPROP NULL_X46Y17 TILE_Y -190072 TILEPROP NULL_X46Y17 TYPE NULL TILEPROP NULL_X46Y18 CLASS tile TILEPROP NULL_X46Y18 COLUMN 46 TILEPROP NULL_X46Y18 DEVICE_ID 0 TILEPROP NULL_X46Y18 FIRST_SITE_ID 13941 TILEPROP NULL_X46Y18 GRID_POINT_X 46 TILEPROP NULL_X46Y18 GRID_POINT_Y 138 TILEPROP NULL_X46Y18 INDEX 15916 TILEPROP NULL_X46Y18 INT_TILE_X -1 TILEPROP NULL_X46Y18 INT_TILE_Y -1 TILEPROP NULL_X46Y18 IS_CENTER_TILE 0 TILEPROP NULL_X46Y18 IS_DCM_TILE 0 TILEPROP NULL_X46Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y18 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y18 NAME NULL_X46Y18 TILEPROP NULL_X46Y18 NUM_ARCS 0 TILEPROP NULL_X46Y18 NUM_SITES 0 TILEPROP NULL_X46Y18 ROW 138 TILEPROP NULL_X46Y18 SLR_REGION_ID 0 TILEPROP NULL_X46Y18 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y18 TILE_TYPE NULL TILEPROP NULL_X46Y18 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y18 TILE_X -17432 TILEPROP NULL_X46Y18 TILE_Y -186872 TILEPROP NULL_X46Y18 TYPE NULL TILEPROP NULL_X46Y19 CLASS tile TILEPROP NULL_X46Y19 COLUMN 46 TILEPROP NULL_X46Y19 DEVICE_ID 0 TILEPROP NULL_X46Y19 FIRST_SITE_ID 13837 TILEPROP NULL_X46Y19 GRID_POINT_X 46 TILEPROP NULL_X46Y19 GRID_POINT_Y 137 TILEPROP NULL_X46Y19 INDEX 15801 TILEPROP NULL_X46Y19 INT_TILE_X -1 TILEPROP NULL_X46Y19 INT_TILE_Y -1 TILEPROP NULL_X46Y19 IS_CENTER_TILE 0 TILEPROP NULL_X46Y19 IS_DCM_TILE 0 TILEPROP NULL_X46Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y19 NAME NULL_X46Y19 TILEPROP NULL_X46Y19 NUM_ARCS 0 TILEPROP NULL_X46Y19 NUM_SITES 0 TILEPROP NULL_X46Y19 ROW 137 TILEPROP NULL_X46Y19 SLR_REGION_ID 0 TILEPROP NULL_X46Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y19 TILE_TYPE NULL TILEPROP NULL_X46Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y19 TILE_X -17432 TILEPROP NULL_X46Y19 TILE_Y -183672 TILEPROP NULL_X46Y19 TYPE NULL TILEPROP NULL_X46Y20 CLASS tile TILEPROP NULL_X46Y20 COLUMN 46 TILEPROP NULL_X46Y20 DEVICE_ID 0 TILEPROP NULL_X46Y20 FIRST_SITE_ID 13735 TILEPROP NULL_X46Y20 GRID_POINT_X 46 TILEPROP NULL_X46Y20 GRID_POINT_Y 136 TILEPROP NULL_X46Y20 INDEX 15686 TILEPROP NULL_X46Y20 INT_TILE_X -1 TILEPROP NULL_X46Y20 INT_TILE_Y -1 TILEPROP NULL_X46Y20 IS_CENTER_TILE 0 TILEPROP NULL_X46Y20 IS_DCM_TILE 0 TILEPROP NULL_X46Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y20 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y20 NAME NULL_X46Y20 TILEPROP NULL_X46Y20 NUM_ARCS 0 TILEPROP NULL_X46Y20 NUM_SITES 0 TILEPROP NULL_X46Y20 ROW 136 TILEPROP NULL_X46Y20 SLR_REGION_ID 0 TILEPROP NULL_X46Y20 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y20 TILE_TYPE NULL TILEPROP NULL_X46Y20 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y20 TILE_X -17432 TILEPROP NULL_X46Y20 TILE_Y -180472 TILEPROP NULL_X46Y20 TYPE NULL TILEPROP NULL_X46Y21 CLASS tile TILEPROP NULL_X46Y21 COLUMN 46 TILEPROP NULL_X46Y21 DEVICE_ID 0 TILEPROP NULL_X46Y21 FIRST_SITE_ID 13624 TILEPROP NULL_X46Y21 GRID_POINT_X 46 TILEPROP NULL_X46Y21 GRID_POINT_Y 135 TILEPROP NULL_X46Y21 INDEX 15571 TILEPROP NULL_X46Y21 INT_TILE_X -1 TILEPROP NULL_X46Y21 INT_TILE_Y -1 TILEPROP NULL_X46Y21 IS_CENTER_TILE 0 TILEPROP NULL_X46Y21 IS_DCM_TILE 0 TILEPROP NULL_X46Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y21 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y21 NAME NULL_X46Y21 TILEPROP NULL_X46Y21 NUM_ARCS 0 TILEPROP NULL_X46Y21 NUM_SITES 0 TILEPROP NULL_X46Y21 ROW 135 TILEPROP NULL_X46Y21 SLR_REGION_ID 0 TILEPROP NULL_X46Y21 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y21 TILE_TYPE NULL TILEPROP NULL_X46Y21 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y21 TILE_X -17432 TILEPROP NULL_X46Y21 TILE_Y -177272 TILEPROP NULL_X46Y21 TYPE NULL TILEPROP NULL_X46Y22 CLASS tile TILEPROP NULL_X46Y22 COLUMN 46 TILEPROP NULL_X46Y22 DEVICE_ID 0 TILEPROP NULL_X46Y22 FIRST_SITE_ID 13518 TILEPROP NULL_X46Y22 GRID_POINT_X 46 TILEPROP NULL_X46Y22 GRID_POINT_Y 134 TILEPROP NULL_X46Y22 INDEX 15456 TILEPROP NULL_X46Y22 INT_TILE_X -1 TILEPROP NULL_X46Y22 INT_TILE_Y -1 TILEPROP NULL_X46Y22 IS_CENTER_TILE 0 TILEPROP NULL_X46Y22 IS_DCM_TILE 0 TILEPROP NULL_X46Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y22 NAME NULL_X46Y22 TILEPROP NULL_X46Y22 NUM_ARCS 0 TILEPROP NULL_X46Y22 NUM_SITES 0 TILEPROP NULL_X46Y22 ROW 134 TILEPROP NULL_X46Y22 SLR_REGION_ID 0 TILEPROP NULL_X46Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y22 TILE_TYPE NULL TILEPROP NULL_X46Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y22 TILE_X -17432 TILEPROP NULL_X46Y22 TILE_Y -174072 TILEPROP NULL_X46Y22 TYPE NULL TILEPROP NULL_X46Y23 CLASS tile TILEPROP NULL_X46Y23 COLUMN 46 TILEPROP NULL_X46Y23 DEVICE_ID 0 TILEPROP NULL_X46Y23 FIRST_SITE_ID 13418 TILEPROP NULL_X46Y23 GRID_POINT_X 46 TILEPROP NULL_X46Y23 GRID_POINT_Y 133 TILEPROP NULL_X46Y23 INDEX 15341 TILEPROP NULL_X46Y23 INT_TILE_X -1 TILEPROP NULL_X46Y23 INT_TILE_Y -1 TILEPROP NULL_X46Y23 IS_CENTER_TILE 0 TILEPROP NULL_X46Y23 IS_DCM_TILE 0 TILEPROP NULL_X46Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y23 NAME NULL_X46Y23 TILEPROP NULL_X46Y23 NUM_ARCS 0 TILEPROP NULL_X46Y23 NUM_SITES 0 TILEPROP NULL_X46Y23 ROW 133 TILEPROP NULL_X46Y23 SLR_REGION_ID 0 TILEPROP NULL_X46Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y23 TILE_TYPE NULL TILEPROP NULL_X46Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y23 TILE_X -17432 TILEPROP NULL_X46Y23 TILE_Y -170872 TILEPROP NULL_X46Y23 TYPE NULL TILEPROP NULL_X46Y24 CLASS tile TILEPROP NULL_X46Y24 COLUMN 46 TILEPROP NULL_X46Y24 DEVICE_ID 0 TILEPROP NULL_X46Y24 FIRST_SITE_ID 13318 TILEPROP NULL_X46Y24 GRID_POINT_X 46 TILEPROP NULL_X46Y24 GRID_POINT_Y 132 TILEPROP NULL_X46Y24 INDEX 15226 TILEPROP NULL_X46Y24 INT_TILE_X -1 TILEPROP NULL_X46Y24 INT_TILE_Y -1 TILEPROP NULL_X46Y24 IS_CENTER_TILE 0 TILEPROP NULL_X46Y24 IS_DCM_TILE 0 TILEPROP NULL_X46Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y24 NAME NULL_X46Y24 TILEPROP NULL_X46Y24 NUM_ARCS 0 TILEPROP NULL_X46Y24 NUM_SITES 0 TILEPROP NULL_X46Y24 ROW 132 TILEPROP NULL_X46Y24 SLR_REGION_ID 0 TILEPROP NULL_X46Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y24 TILE_TYPE NULL TILEPROP NULL_X46Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y24 TILE_X -17432 TILEPROP NULL_X46Y24 TILE_Y -167672 TILEPROP NULL_X46Y24 TYPE NULL TILEPROP NULL_X46Y25 CLASS tile TILEPROP NULL_X46Y25 COLUMN 46 TILEPROP NULL_X46Y25 DEVICE_ID 0 TILEPROP NULL_X46Y25 FIRST_SITE_ID 13218 TILEPROP NULL_X46Y25 GRID_POINT_X 46 TILEPROP NULL_X46Y25 GRID_POINT_Y 131 TILEPROP NULL_X46Y25 INDEX 15111 TILEPROP NULL_X46Y25 INT_TILE_X -1 TILEPROP NULL_X46Y25 INT_TILE_Y -1 TILEPROP NULL_X46Y25 IS_CENTER_TILE 0 TILEPROP NULL_X46Y25 IS_DCM_TILE 0 TILEPROP NULL_X46Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y25 NAME NULL_X46Y25 TILEPROP NULL_X46Y25 NUM_ARCS 0 TILEPROP NULL_X46Y25 NUM_SITES 0 TILEPROP NULL_X46Y25 ROW 131 TILEPROP NULL_X46Y25 SLR_REGION_ID 0 TILEPROP NULL_X46Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y25 TILE_TYPE NULL TILEPROP NULL_X46Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y25 TILE_X -17432 TILEPROP NULL_X46Y25 TILE_Y -164472 TILEPROP NULL_X46Y25 TYPE NULL TILEPROP NULL_X46Y26 CLASS tile TILEPROP NULL_X46Y26 COLUMN 46 TILEPROP NULL_X46Y26 DEVICE_ID 0 TILEPROP NULL_X46Y26 FIRST_SITE_ID 13119 TILEPROP NULL_X46Y26 GRID_POINT_X 46 TILEPROP NULL_X46Y26 GRID_POINT_Y 130 TILEPROP NULL_X46Y26 INDEX 14996 TILEPROP NULL_X46Y26 INT_TILE_X -1 TILEPROP NULL_X46Y26 INT_TILE_Y -1 TILEPROP NULL_X46Y26 IS_CENTER_TILE 0 TILEPROP NULL_X46Y26 IS_DCM_TILE 0 TILEPROP NULL_X46Y26 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y26 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y26 NAME NULL_X46Y26 TILEPROP NULL_X46Y26 NUM_ARCS 0 TILEPROP NULL_X46Y26 NUM_SITES 0 TILEPROP NULL_X46Y26 ROW 130 TILEPROP NULL_X46Y26 SLR_REGION_ID 0 TILEPROP NULL_X46Y26 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y26 TILE_TYPE NULL TILEPROP NULL_X46Y26 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y26 TILE_X -17432 TILEPROP NULL_X46Y26 TILE_Y -161272 TILEPROP NULL_X46Y26 TYPE NULL TILEPROP NULL_X46Y27 CLASS tile TILEPROP NULL_X46Y27 COLUMN 46 TILEPROP NULL_X46Y27 DEVICE_ID 0 TILEPROP NULL_X46Y27 FIRST_SITE_ID 13026 TILEPROP NULL_X46Y27 GRID_POINT_X 46 TILEPROP NULL_X46Y27 GRID_POINT_Y 129 TILEPROP NULL_X46Y27 INDEX 14881 TILEPROP NULL_X46Y27 INT_TILE_X -1 TILEPROP NULL_X46Y27 INT_TILE_Y -1 TILEPROP NULL_X46Y27 IS_CENTER_TILE 0 TILEPROP NULL_X46Y27 IS_DCM_TILE 0 TILEPROP NULL_X46Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y27 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y27 NAME NULL_X46Y27 TILEPROP NULL_X46Y27 NUM_ARCS 0 TILEPROP NULL_X46Y27 NUM_SITES 0 TILEPROP NULL_X46Y27 ROW 129 TILEPROP NULL_X46Y27 SLR_REGION_ID 0 TILEPROP NULL_X46Y27 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y27 TILE_TYPE NULL TILEPROP NULL_X46Y27 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y27 TILE_X -17432 TILEPROP NULL_X46Y27 TILE_Y -160248 TILEPROP NULL_X46Y27 TYPE NULL TILEPROP NULL_X46Y28 CLASS tile TILEPROP NULL_X46Y28 COLUMN 46 TILEPROP NULL_X46Y28 DEVICE_ID 0 TILEPROP NULL_X46Y28 FIRST_SITE_ID 12920 TILEPROP NULL_X46Y28 GRID_POINT_X 46 TILEPROP NULL_X46Y28 GRID_POINT_Y 128 TILEPROP NULL_X46Y28 INDEX 14766 TILEPROP NULL_X46Y28 INT_TILE_X -1 TILEPROP NULL_X46Y28 INT_TILE_Y -1 TILEPROP NULL_X46Y28 IS_CENTER_TILE 0 TILEPROP NULL_X46Y28 IS_DCM_TILE 0 TILEPROP NULL_X46Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y28 NAME NULL_X46Y28 TILEPROP NULL_X46Y28 NUM_ARCS 0 TILEPROP NULL_X46Y28 NUM_SITES 0 TILEPROP NULL_X46Y28 ROW 128 TILEPROP NULL_X46Y28 SLR_REGION_ID 0 TILEPROP NULL_X46Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y28 TILE_TYPE NULL TILEPROP NULL_X46Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y28 TILE_X -17432 TILEPROP NULL_X46Y28 TILE_Y -157048 TILEPROP NULL_X46Y28 TYPE NULL TILEPROP NULL_X46Y29 CLASS tile TILEPROP NULL_X46Y29 COLUMN 46 TILEPROP NULL_X46Y29 DEVICE_ID 0 TILEPROP NULL_X46Y29 FIRST_SITE_ID 12820 TILEPROP NULL_X46Y29 GRID_POINT_X 46 TILEPROP NULL_X46Y29 GRID_POINT_Y 127 TILEPROP NULL_X46Y29 INDEX 14651 TILEPROP NULL_X46Y29 INT_TILE_X -1 TILEPROP NULL_X46Y29 INT_TILE_Y -1 TILEPROP NULL_X46Y29 IS_CENTER_TILE 0 TILEPROP NULL_X46Y29 IS_DCM_TILE 0 TILEPROP NULL_X46Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y29 NAME NULL_X46Y29 TILEPROP NULL_X46Y29 NUM_ARCS 0 TILEPROP NULL_X46Y29 NUM_SITES 0 TILEPROP NULL_X46Y29 ROW 127 TILEPROP NULL_X46Y29 SLR_REGION_ID 0 TILEPROP NULL_X46Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y29 TILE_TYPE NULL TILEPROP NULL_X46Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y29 TILE_X -17432 TILEPROP NULL_X46Y29 TILE_Y -153848 TILEPROP NULL_X46Y29 TYPE NULL TILEPROP NULL_X46Y30 CLASS tile TILEPROP NULL_X46Y30 COLUMN 46 TILEPROP NULL_X46Y30 DEVICE_ID 0 TILEPROP NULL_X46Y30 FIRST_SITE_ID 12720 TILEPROP NULL_X46Y30 GRID_POINT_X 46 TILEPROP NULL_X46Y30 GRID_POINT_Y 126 TILEPROP NULL_X46Y30 INDEX 14536 TILEPROP NULL_X46Y30 INT_TILE_X -1 TILEPROP NULL_X46Y30 INT_TILE_Y -1 TILEPROP NULL_X46Y30 IS_CENTER_TILE 0 TILEPROP NULL_X46Y30 IS_DCM_TILE 0 TILEPROP NULL_X46Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y30 NAME NULL_X46Y30 TILEPROP NULL_X46Y30 NUM_ARCS 0 TILEPROP NULL_X46Y30 NUM_SITES 0 TILEPROP NULL_X46Y30 ROW 126 TILEPROP NULL_X46Y30 SLR_REGION_ID 0 TILEPROP NULL_X46Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y30 TILE_TYPE NULL TILEPROP NULL_X46Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y30 TILE_X -17432 TILEPROP NULL_X46Y30 TILE_Y -150648 TILEPROP NULL_X46Y30 TYPE NULL TILEPROP NULL_X46Y31 CLASS tile TILEPROP NULL_X46Y31 COLUMN 46 TILEPROP NULL_X46Y31 DEVICE_ID 0 TILEPROP NULL_X46Y31 FIRST_SITE_ID 12614 TILEPROP NULL_X46Y31 GRID_POINT_X 46 TILEPROP NULL_X46Y31 GRID_POINT_Y 125 TILEPROP NULL_X46Y31 INDEX 14421 TILEPROP NULL_X46Y31 INT_TILE_X -1 TILEPROP NULL_X46Y31 INT_TILE_Y -1 TILEPROP NULL_X46Y31 IS_CENTER_TILE 0 TILEPROP NULL_X46Y31 IS_DCM_TILE 0 TILEPROP NULL_X46Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y31 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y31 NAME NULL_X46Y31 TILEPROP NULL_X46Y31 NUM_ARCS 0 TILEPROP NULL_X46Y31 NUM_SITES 0 TILEPROP NULL_X46Y31 ROW 125 TILEPROP NULL_X46Y31 SLR_REGION_ID 0 TILEPROP NULL_X46Y31 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y31 TILE_TYPE NULL TILEPROP NULL_X46Y31 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y31 TILE_X -17432 TILEPROP NULL_X46Y31 TILE_Y -147448 TILEPROP NULL_X46Y31 TYPE NULL TILEPROP NULL_X46Y33 CLASS tile TILEPROP NULL_X46Y33 COLUMN 46 TILEPROP NULL_X46Y33 DEVICE_ID 0 TILEPROP NULL_X46Y33 FIRST_SITE_ID 12378 TILEPROP NULL_X46Y33 GRID_POINT_X 46 TILEPROP NULL_X46Y33 GRID_POINT_Y 123 TILEPROP NULL_X46Y33 INDEX 14191 TILEPROP NULL_X46Y33 INT_TILE_X -1 TILEPROP NULL_X46Y33 INT_TILE_Y -1 TILEPROP NULL_X46Y33 IS_CENTER_TILE 0 TILEPROP NULL_X46Y33 IS_DCM_TILE 0 TILEPROP NULL_X46Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y33 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y33 NAME NULL_X46Y33 TILEPROP NULL_X46Y33 NUM_ARCS 0 TILEPROP NULL_X46Y33 NUM_SITES 0 TILEPROP NULL_X46Y33 ROW 123 TILEPROP NULL_X46Y33 SLR_REGION_ID 0 TILEPROP NULL_X46Y33 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y33 TILE_TYPE NULL TILEPROP NULL_X46Y33 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y33 TILE_X -17432 TILEPROP NULL_X46Y33 TILE_Y -141048 TILEPROP NULL_X46Y33 TYPE NULL TILEPROP NULL_X46Y34 CLASS tile TILEPROP NULL_X46Y34 COLUMN 46 TILEPROP NULL_X46Y34 DEVICE_ID 0 TILEPROP NULL_X46Y34 FIRST_SITE_ID 12275 TILEPROP NULL_X46Y34 GRID_POINT_X 46 TILEPROP NULL_X46Y34 GRID_POINT_Y 122 TILEPROP NULL_X46Y34 INDEX 14076 TILEPROP NULL_X46Y34 INT_TILE_X -1 TILEPROP NULL_X46Y34 INT_TILE_Y -1 TILEPROP NULL_X46Y34 IS_CENTER_TILE 0 TILEPROP NULL_X46Y34 IS_DCM_TILE 0 TILEPROP NULL_X46Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y34 NAME NULL_X46Y34 TILEPROP NULL_X46Y34 NUM_ARCS 0 TILEPROP NULL_X46Y34 NUM_SITES 0 TILEPROP NULL_X46Y34 ROW 122 TILEPROP NULL_X46Y34 SLR_REGION_ID 0 TILEPROP NULL_X46Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y34 TILE_TYPE NULL TILEPROP NULL_X46Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y34 TILE_X -17432 TILEPROP NULL_X46Y34 TILE_Y -137848 TILEPROP NULL_X46Y34 TYPE NULL TILEPROP NULL_X46Y35 CLASS tile TILEPROP NULL_X46Y35 COLUMN 46 TILEPROP NULL_X46Y35 DEVICE_ID 0 TILEPROP NULL_X46Y35 FIRST_SITE_ID 12175 TILEPROP NULL_X46Y35 GRID_POINT_X 46 TILEPROP NULL_X46Y35 GRID_POINT_Y 121 TILEPROP NULL_X46Y35 INDEX 13961 TILEPROP NULL_X46Y35 INT_TILE_X -1 TILEPROP NULL_X46Y35 INT_TILE_Y -1 TILEPROP NULL_X46Y35 IS_CENTER_TILE 0 TILEPROP NULL_X46Y35 IS_DCM_TILE 0 TILEPROP NULL_X46Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y35 NAME NULL_X46Y35 TILEPROP NULL_X46Y35 NUM_ARCS 0 TILEPROP NULL_X46Y35 NUM_SITES 0 TILEPROP NULL_X46Y35 ROW 121 TILEPROP NULL_X46Y35 SLR_REGION_ID 0 TILEPROP NULL_X46Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y35 TILE_TYPE NULL TILEPROP NULL_X46Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y35 TILE_X -17432 TILEPROP NULL_X46Y35 TILE_Y -134648 TILEPROP NULL_X46Y35 TYPE NULL TILEPROP NULL_X46Y36 CLASS tile TILEPROP NULL_X46Y36 COLUMN 46 TILEPROP NULL_X46Y36 DEVICE_ID 0 TILEPROP NULL_X46Y36 FIRST_SITE_ID 12075 TILEPROP NULL_X46Y36 GRID_POINT_X 46 TILEPROP NULL_X46Y36 GRID_POINT_Y 120 TILEPROP NULL_X46Y36 INDEX 13846 TILEPROP NULL_X46Y36 INT_TILE_X -1 TILEPROP NULL_X46Y36 INT_TILE_Y -1 TILEPROP NULL_X46Y36 IS_CENTER_TILE 0 TILEPROP NULL_X46Y36 IS_DCM_TILE 0 TILEPROP NULL_X46Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y36 NAME NULL_X46Y36 TILEPROP NULL_X46Y36 NUM_ARCS 0 TILEPROP NULL_X46Y36 NUM_SITES 0 TILEPROP NULL_X46Y36 ROW 120 TILEPROP NULL_X46Y36 SLR_REGION_ID 0 TILEPROP NULL_X46Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y36 TILE_TYPE NULL TILEPROP NULL_X46Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y36 TILE_X -17432 TILEPROP NULL_X46Y36 TILE_Y -131448 TILEPROP NULL_X46Y36 TYPE NULL TILEPROP NULL_X46Y37 CLASS tile TILEPROP NULL_X46Y37 COLUMN 46 TILEPROP NULL_X46Y37 DEVICE_ID 0 TILEPROP NULL_X46Y37 FIRST_SITE_ID 11966 TILEPROP NULL_X46Y37 GRID_POINT_X 46 TILEPROP NULL_X46Y37 GRID_POINT_Y 119 TILEPROP NULL_X46Y37 INDEX 13731 TILEPROP NULL_X46Y37 INT_TILE_X -1 TILEPROP NULL_X46Y37 INT_TILE_Y -1 TILEPROP NULL_X46Y37 IS_CENTER_TILE 0 TILEPROP NULL_X46Y37 IS_DCM_TILE 0 TILEPROP NULL_X46Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y37 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y37 NAME NULL_X46Y37 TILEPROP NULL_X46Y37 NUM_ARCS 0 TILEPROP NULL_X46Y37 NUM_SITES 0 TILEPROP NULL_X46Y37 ROW 119 TILEPROP NULL_X46Y37 SLR_REGION_ID 0 TILEPROP NULL_X46Y37 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y37 TILE_TYPE NULL TILEPROP NULL_X46Y37 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y37 TILE_X -17432 TILEPROP NULL_X46Y37 TILE_Y -128248 TILEPROP NULL_X46Y37 TYPE NULL TILEPROP NULL_X46Y38 CLASS tile TILEPROP NULL_X46Y38 COLUMN 46 TILEPROP NULL_X46Y38 DEVICE_ID 0 TILEPROP NULL_X46Y38 FIRST_SITE_ID 11828 TILEPROP NULL_X46Y38 GRID_POINT_X 46 TILEPROP NULL_X46Y38 GRID_POINT_Y 118 TILEPROP NULL_X46Y38 INDEX 13616 TILEPROP NULL_X46Y38 INT_TILE_X -1 TILEPROP NULL_X46Y38 INT_TILE_Y -1 TILEPROP NULL_X46Y38 IS_CENTER_TILE 0 TILEPROP NULL_X46Y38 IS_DCM_TILE 0 TILEPROP NULL_X46Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y38 NAME NULL_X46Y38 TILEPROP NULL_X46Y38 NUM_ARCS 0 TILEPROP NULL_X46Y38 NUM_SITES 0 TILEPROP NULL_X46Y38 ROW 118 TILEPROP NULL_X46Y38 SLR_REGION_ID 0 TILEPROP NULL_X46Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y38 TILE_TYPE NULL TILEPROP NULL_X46Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y38 TILE_X -17432 TILEPROP NULL_X46Y38 TILE_Y -125048 TILEPROP NULL_X46Y38 TYPE NULL TILEPROP NULL_X46Y39 CLASS tile TILEPROP NULL_X46Y39 COLUMN 46 TILEPROP NULL_X46Y39 DEVICE_ID 0 TILEPROP NULL_X46Y39 FIRST_SITE_ID 11728 TILEPROP NULL_X46Y39 GRID_POINT_X 46 TILEPROP NULL_X46Y39 GRID_POINT_Y 117 TILEPROP NULL_X46Y39 INDEX 13501 TILEPROP NULL_X46Y39 INT_TILE_X -1 TILEPROP NULL_X46Y39 INT_TILE_Y -1 TILEPROP NULL_X46Y39 IS_CENTER_TILE 0 TILEPROP NULL_X46Y39 IS_DCM_TILE 0 TILEPROP NULL_X46Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y39 NAME NULL_X46Y39 TILEPROP NULL_X46Y39 NUM_ARCS 0 TILEPROP NULL_X46Y39 NUM_SITES 0 TILEPROP NULL_X46Y39 ROW 117 TILEPROP NULL_X46Y39 SLR_REGION_ID 0 TILEPROP NULL_X46Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y39 TILE_TYPE NULL TILEPROP NULL_X46Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y39 TILE_X -17432 TILEPROP NULL_X46Y39 TILE_Y -121848 TILEPROP NULL_X46Y39 TYPE NULL TILEPROP NULL_X46Y40 CLASS tile TILEPROP NULL_X46Y40 COLUMN 46 TILEPROP NULL_X46Y40 DEVICE_ID 0 TILEPROP NULL_X46Y40 FIRST_SITE_ID 11628 TILEPROP NULL_X46Y40 GRID_POINT_X 46 TILEPROP NULL_X46Y40 GRID_POINT_Y 116 TILEPROP NULL_X46Y40 INDEX 13386 TILEPROP NULL_X46Y40 INT_TILE_X -1 TILEPROP NULL_X46Y40 INT_TILE_Y -1 TILEPROP NULL_X46Y40 IS_CENTER_TILE 0 TILEPROP NULL_X46Y40 IS_DCM_TILE 0 TILEPROP NULL_X46Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y40 NAME NULL_X46Y40 TILEPROP NULL_X46Y40 NUM_ARCS 0 TILEPROP NULL_X46Y40 NUM_SITES 0 TILEPROP NULL_X46Y40 ROW 116 TILEPROP NULL_X46Y40 SLR_REGION_ID 0 TILEPROP NULL_X46Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y40 TILE_TYPE NULL TILEPROP NULL_X46Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y40 TILE_X -17432 TILEPROP NULL_X46Y40 TILE_Y -118648 TILEPROP NULL_X46Y40 TYPE NULL TILEPROP NULL_X46Y41 CLASS tile TILEPROP NULL_X46Y41 COLUMN 46 TILEPROP NULL_X46Y41 DEVICE_ID 0 TILEPROP NULL_X46Y41 FIRST_SITE_ID 11528 TILEPROP NULL_X46Y41 GRID_POINT_X 46 TILEPROP NULL_X46Y41 GRID_POINT_Y 115 TILEPROP NULL_X46Y41 INDEX 13271 TILEPROP NULL_X46Y41 INT_TILE_X -1 TILEPROP NULL_X46Y41 INT_TILE_Y -1 TILEPROP NULL_X46Y41 IS_CENTER_TILE 0 TILEPROP NULL_X46Y41 IS_DCM_TILE 0 TILEPROP NULL_X46Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y41 NAME NULL_X46Y41 TILEPROP NULL_X46Y41 NUM_ARCS 0 TILEPROP NULL_X46Y41 NUM_SITES 0 TILEPROP NULL_X46Y41 ROW 115 TILEPROP NULL_X46Y41 SLR_REGION_ID 0 TILEPROP NULL_X46Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y41 TILE_TYPE NULL TILEPROP NULL_X46Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y41 TILE_X -17432 TILEPROP NULL_X46Y41 TILE_Y -115448 TILEPROP NULL_X46Y41 TYPE NULL TILEPROP NULL_X46Y43 CLASS tile TILEPROP NULL_X46Y43 COLUMN 46 TILEPROP NULL_X46Y43 DEVICE_ID 0 TILEPROP NULL_X46Y43 FIRST_SITE_ID 11310 TILEPROP NULL_X46Y43 GRID_POINT_X 46 TILEPROP NULL_X46Y43 GRID_POINT_Y 113 TILEPROP NULL_X46Y43 INDEX 13041 TILEPROP NULL_X46Y43 INT_TILE_X -1 TILEPROP NULL_X46Y43 INT_TILE_Y -1 TILEPROP NULL_X46Y43 IS_CENTER_TILE 0 TILEPROP NULL_X46Y43 IS_DCM_TILE 0 TILEPROP NULL_X46Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y43 NAME NULL_X46Y43 TILEPROP NULL_X46Y43 NUM_ARCS 0 TILEPROP NULL_X46Y43 NUM_SITES 0 TILEPROP NULL_X46Y43 ROW 113 TILEPROP NULL_X46Y43 SLR_REGION_ID 0 TILEPROP NULL_X46Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y43 TILE_TYPE NULL TILEPROP NULL_X46Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y43 TILE_X -17432 TILEPROP NULL_X46Y43 TILE_Y -109048 TILEPROP NULL_X46Y43 TYPE NULL TILEPROP NULL_X46Y44 CLASS tile TILEPROP NULL_X46Y44 COLUMN 46 TILEPROP NULL_X46Y44 DEVICE_ID 0 TILEPROP NULL_X46Y44 FIRST_SITE_ID 11209 TILEPROP NULL_X46Y44 GRID_POINT_X 46 TILEPROP NULL_X46Y44 GRID_POINT_Y 112 TILEPROP NULL_X46Y44 INDEX 12926 TILEPROP NULL_X46Y44 INT_TILE_X -1 TILEPROP NULL_X46Y44 INT_TILE_Y -1 TILEPROP NULL_X46Y44 IS_CENTER_TILE 0 TILEPROP NULL_X46Y44 IS_DCM_TILE 0 TILEPROP NULL_X46Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y44 NAME NULL_X46Y44 TILEPROP NULL_X46Y44 NUM_ARCS 0 TILEPROP NULL_X46Y44 NUM_SITES 0 TILEPROP NULL_X46Y44 ROW 112 TILEPROP NULL_X46Y44 SLR_REGION_ID 0 TILEPROP NULL_X46Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y44 TILE_TYPE NULL TILEPROP NULL_X46Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y44 TILE_X -17432 TILEPROP NULL_X46Y44 TILE_Y -105848 TILEPROP NULL_X46Y44 TYPE NULL TILEPROP NULL_X46Y45 CLASS tile TILEPROP NULL_X46Y45 COLUMN 46 TILEPROP NULL_X46Y45 DEVICE_ID 0 TILEPROP NULL_X46Y45 FIRST_SITE_ID 11106 TILEPROP NULL_X46Y45 GRID_POINT_X 46 TILEPROP NULL_X46Y45 GRID_POINT_Y 111 TILEPROP NULL_X46Y45 INDEX 12811 TILEPROP NULL_X46Y45 INT_TILE_X -1 TILEPROP NULL_X46Y45 INT_TILE_Y -1 TILEPROP NULL_X46Y45 IS_CENTER_TILE 0 TILEPROP NULL_X46Y45 IS_DCM_TILE 0 TILEPROP NULL_X46Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y45 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y45 NAME NULL_X46Y45 TILEPROP NULL_X46Y45 NUM_ARCS 0 TILEPROP NULL_X46Y45 NUM_SITES 0 TILEPROP NULL_X46Y45 ROW 111 TILEPROP NULL_X46Y45 SLR_REGION_ID 0 TILEPROP NULL_X46Y45 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y45 TILE_TYPE NULL TILEPROP NULL_X46Y45 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y45 TILE_X -17432 TILEPROP NULL_X46Y45 TILE_Y -102648 TILEPROP NULL_X46Y45 TYPE NULL TILEPROP NULL_X46Y46 CLASS tile TILEPROP NULL_X46Y46 COLUMN 46 TILEPROP NULL_X46Y46 DEVICE_ID 0 TILEPROP NULL_X46Y46 FIRST_SITE_ID 11004 TILEPROP NULL_X46Y46 GRID_POINT_X 46 TILEPROP NULL_X46Y46 GRID_POINT_Y 110 TILEPROP NULL_X46Y46 INDEX 12696 TILEPROP NULL_X46Y46 INT_TILE_X -1 TILEPROP NULL_X46Y46 INT_TILE_Y -1 TILEPROP NULL_X46Y46 IS_CENTER_TILE 0 TILEPROP NULL_X46Y46 IS_DCM_TILE 0 TILEPROP NULL_X46Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y46 NAME NULL_X46Y46 TILEPROP NULL_X46Y46 NUM_ARCS 0 TILEPROP NULL_X46Y46 NUM_SITES 0 TILEPROP NULL_X46Y46 ROW 110 TILEPROP NULL_X46Y46 SLR_REGION_ID 0 TILEPROP NULL_X46Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y46 TILE_TYPE NULL TILEPROP NULL_X46Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y46 TILE_X -17432 TILEPROP NULL_X46Y46 TILE_Y -99448 TILEPROP NULL_X46Y46 TYPE NULL TILEPROP NULL_X46Y47 CLASS tile TILEPROP NULL_X46Y47 COLUMN 46 TILEPROP NULL_X46Y47 DEVICE_ID 0 TILEPROP NULL_X46Y47 FIRST_SITE_ID 10894 TILEPROP NULL_X46Y47 GRID_POINT_X 46 TILEPROP NULL_X46Y47 GRID_POINT_Y 109 TILEPROP NULL_X46Y47 INDEX 12581 TILEPROP NULL_X46Y47 INT_TILE_X -1 TILEPROP NULL_X46Y47 INT_TILE_Y -1 TILEPROP NULL_X46Y47 IS_CENTER_TILE 0 TILEPROP NULL_X46Y47 IS_DCM_TILE 0 TILEPROP NULL_X46Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y47 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y47 NAME NULL_X46Y47 TILEPROP NULL_X46Y47 NUM_ARCS 0 TILEPROP NULL_X46Y47 NUM_SITES 0 TILEPROP NULL_X46Y47 ROW 109 TILEPROP NULL_X46Y47 SLR_REGION_ID 0 TILEPROP NULL_X46Y47 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y47 TILE_TYPE NULL TILEPROP NULL_X46Y47 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y47 TILE_X -17432 TILEPROP NULL_X46Y47 TILE_Y -96248 TILEPROP NULL_X46Y47 TYPE NULL TILEPROP NULL_X46Y48 CLASS tile TILEPROP NULL_X46Y48 COLUMN 46 TILEPROP NULL_X46Y48 DEVICE_ID 0 TILEPROP NULL_X46Y48 FIRST_SITE_ID 10772 TILEPROP NULL_X46Y48 GRID_POINT_X 46 TILEPROP NULL_X46Y48 GRID_POINT_Y 108 TILEPROP NULL_X46Y48 INDEX 12466 TILEPROP NULL_X46Y48 INT_TILE_X -1 TILEPROP NULL_X46Y48 INT_TILE_Y -1 TILEPROP NULL_X46Y48 IS_CENTER_TILE 0 TILEPROP NULL_X46Y48 IS_DCM_TILE 0 TILEPROP NULL_X46Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y48 NAME NULL_X46Y48 TILEPROP NULL_X46Y48 NUM_ARCS 0 TILEPROP NULL_X46Y48 NUM_SITES 0 TILEPROP NULL_X46Y48 ROW 108 TILEPROP NULL_X46Y48 SLR_REGION_ID 0 TILEPROP NULL_X46Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y48 TILE_TYPE NULL TILEPROP NULL_X46Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y48 TILE_X -17432 TILEPROP NULL_X46Y48 TILE_Y -93048 TILEPROP NULL_X46Y48 TYPE NULL TILEPROP NULL_X46Y49 CLASS tile TILEPROP NULL_X46Y49 COLUMN 46 TILEPROP NULL_X46Y49 DEVICE_ID 0 TILEPROP NULL_X46Y49 FIRST_SITE_ID 10672 TILEPROP NULL_X46Y49 GRID_POINT_X 46 TILEPROP NULL_X46Y49 GRID_POINT_Y 107 TILEPROP NULL_X46Y49 INDEX 12351 TILEPROP NULL_X46Y49 INT_TILE_X -1 TILEPROP NULL_X46Y49 INT_TILE_Y -1 TILEPROP NULL_X46Y49 IS_CENTER_TILE 0 TILEPROP NULL_X46Y49 IS_DCM_TILE 0 TILEPROP NULL_X46Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y49 NAME NULL_X46Y49 TILEPROP NULL_X46Y49 NUM_ARCS 0 TILEPROP NULL_X46Y49 NUM_SITES 0 TILEPROP NULL_X46Y49 ROW 107 TILEPROP NULL_X46Y49 SLR_REGION_ID 0 TILEPROP NULL_X46Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y49 TILE_TYPE NULL TILEPROP NULL_X46Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y49 TILE_X -17432 TILEPROP NULL_X46Y49 TILE_Y -89848 TILEPROP NULL_X46Y49 TYPE NULL TILEPROP NULL_X46Y50 CLASS tile TILEPROP NULL_X46Y50 COLUMN 46 TILEPROP NULL_X46Y50 DEVICE_ID 0 TILEPROP NULL_X46Y50 FIRST_SITE_ID 10572 TILEPROP NULL_X46Y50 GRID_POINT_X 46 TILEPROP NULL_X46Y50 GRID_POINT_Y 106 TILEPROP NULL_X46Y50 INDEX 12236 TILEPROP NULL_X46Y50 INT_TILE_X -1 TILEPROP NULL_X46Y50 INT_TILE_Y -1 TILEPROP NULL_X46Y50 IS_CENTER_TILE 0 TILEPROP NULL_X46Y50 IS_DCM_TILE 0 TILEPROP NULL_X46Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y50 NAME NULL_X46Y50 TILEPROP NULL_X46Y50 NUM_ARCS 0 TILEPROP NULL_X46Y50 NUM_SITES 0 TILEPROP NULL_X46Y50 ROW 106 TILEPROP NULL_X46Y50 SLR_REGION_ID 0 TILEPROP NULL_X46Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y50 TILE_TYPE NULL TILEPROP NULL_X46Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y50 TILE_X -17432 TILEPROP NULL_X46Y50 TILE_Y -86648 TILEPROP NULL_X46Y50 TYPE NULL TILEPROP NULL_X46Y51 CLASS tile TILEPROP NULL_X46Y51 COLUMN 46 TILEPROP NULL_X46Y51 DEVICE_ID 0 TILEPROP NULL_X46Y51 FIRST_SITE_ID 10476 TILEPROP NULL_X46Y51 GRID_POINT_X 46 TILEPROP NULL_X46Y51 GRID_POINT_Y 105 TILEPROP NULL_X46Y51 INDEX 12121 TILEPROP NULL_X46Y51 INT_TILE_X -1 TILEPROP NULL_X46Y51 INT_TILE_Y -1 TILEPROP NULL_X46Y51 IS_CENTER_TILE 0 TILEPROP NULL_X46Y51 IS_DCM_TILE 0 TILEPROP NULL_X46Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y51 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y51 NAME NULL_X46Y51 TILEPROP NULL_X46Y51 NUM_ARCS 0 TILEPROP NULL_X46Y51 NUM_SITES 0 TILEPROP NULL_X46Y51 ROW 105 TILEPROP NULL_X46Y51 SLR_REGION_ID 0 TILEPROP NULL_X46Y51 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y51 TILE_TYPE NULL TILEPROP NULL_X46Y51 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y51 TILE_X -17432 TILEPROP NULL_X46Y51 TILE_Y -83448 TILEPROP NULL_X46Y51 TYPE NULL TILEPROP NULL_X46Y52 CLASS tile TILEPROP NULL_X46Y52 COLUMN 46 TILEPROP NULL_X46Y52 DEVICE_ID 0 TILEPROP NULL_X46Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X46Y52 GRID_POINT_X 46 TILEPROP NULL_X46Y52 GRID_POINT_Y 104 TILEPROP NULL_X46Y52 INDEX 12006 TILEPROP NULL_X46Y52 INT_TILE_X -1 TILEPROP NULL_X46Y52 INT_TILE_Y -1 TILEPROP NULL_X46Y52 IS_CENTER_TILE 0 TILEPROP NULL_X46Y52 IS_DCM_TILE 0 TILEPROP NULL_X46Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y52 NAME NULL_X46Y52 TILEPROP NULL_X46Y52 NUM_ARCS 0 TILEPROP NULL_X46Y52 NUM_SITES 0 TILEPROP NULL_X46Y52 ROW 104 TILEPROP NULL_X46Y52 SLR_REGION_ID 0 TILEPROP NULL_X46Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y52 TILE_TYPE NULL TILEPROP NULL_X46Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y52 TILE_X -17432 TILEPROP NULL_X46Y52 TILE_Y -80248 TILEPROP NULL_X46Y52 TYPE NULL TILEPROP NULL_X46Y80 CLASS tile TILEPROP NULL_X46Y80 COLUMN 46 TILEPROP NULL_X46Y80 DEVICE_ID 0 TILEPROP NULL_X46Y80 FIRST_SITE_ID 7530 TILEPROP NULL_X46Y80 GRID_POINT_X 46 TILEPROP NULL_X46Y80 GRID_POINT_Y 76 TILEPROP NULL_X46Y80 INDEX 8786 TILEPROP NULL_X46Y80 INT_TILE_X -1 TILEPROP NULL_X46Y80 INT_TILE_Y -1 TILEPROP NULL_X46Y80 IS_CENTER_TILE 0 TILEPROP NULL_X46Y80 IS_DCM_TILE 0 TILEPROP NULL_X46Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y80 NAME NULL_X46Y80 TILEPROP NULL_X46Y80 NUM_ARCS 0 TILEPROP NULL_X46Y80 NUM_SITES 0 TILEPROP NULL_X46Y80 ROW 76 TILEPROP NULL_X46Y80 SLR_REGION_ID 0 TILEPROP NULL_X46Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y80 TILE_TYPE NULL TILEPROP NULL_X46Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y80 TILE_X -17432 TILEPROP NULL_X46Y80 TILE_Y 4224 TILEPROP NULL_X46Y80 TYPE NULL TILEPROP NULL_X46Y81 CLASS tile TILEPROP NULL_X46Y81 COLUMN 46 TILEPROP NULL_X46Y81 DEVICE_ID 0 TILEPROP NULL_X46Y81 FIRST_SITE_ID 7430 TILEPROP NULL_X46Y81 GRID_POINT_X 46 TILEPROP NULL_X46Y81 GRID_POINT_Y 75 TILEPROP NULL_X46Y81 INDEX 8671 TILEPROP NULL_X46Y81 INT_TILE_X -1 TILEPROP NULL_X46Y81 INT_TILE_Y -1 TILEPROP NULL_X46Y81 IS_CENTER_TILE 0 TILEPROP NULL_X46Y81 IS_DCM_TILE 0 TILEPROP NULL_X46Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y81 NAME NULL_X46Y81 TILEPROP NULL_X46Y81 NUM_ARCS 0 TILEPROP NULL_X46Y81 NUM_SITES 0 TILEPROP NULL_X46Y81 ROW 75 TILEPROP NULL_X46Y81 SLR_REGION_ID 0 TILEPROP NULL_X46Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y81 TILE_TYPE NULL TILEPROP NULL_X46Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y81 TILE_X -17432 TILEPROP NULL_X46Y81 TILE_Y 7424 TILEPROP NULL_X46Y81 TYPE NULL TILEPROP NULL_X46Y82 CLASS tile TILEPROP NULL_X46Y82 COLUMN 46 TILEPROP NULL_X46Y82 DEVICE_ID 0 TILEPROP NULL_X46Y82 FIRST_SITE_ID 7330 TILEPROP NULL_X46Y82 GRID_POINT_X 46 TILEPROP NULL_X46Y82 GRID_POINT_Y 74 TILEPROP NULL_X46Y82 INDEX 8556 TILEPROP NULL_X46Y82 INT_TILE_X -1 TILEPROP NULL_X46Y82 INT_TILE_Y -1 TILEPROP NULL_X46Y82 IS_CENTER_TILE 0 TILEPROP NULL_X46Y82 IS_DCM_TILE 0 TILEPROP NULL_X46Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y82 NAME NULL_X46Y82 TILEPROP NULL_X46Y82 NUM_ARCS 0 TILEPROP NULL_X46Y82 NUM_SITES 0 TILEPROP NULL_X46Y82 ROW 74 TILEPROP NULL_X46Y82 SLR_REGION_ID 0 TILEPROP NULL_X46Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y82 TILE_TYPE NULL TILEPROP NULL_X46Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y82 TILE_X -17432 TILEPROP NULL_X46Y82 TILE_Y 10624 TILEPROP NULL_X46Y82 TYPE NULL TILEPROP NULL_X46Y83 CLASS tile TILEPROP NULL_X46Y83 COLUMN 46 TILEPROP NULL_X46Y83 DEVICE_ID 0 TILEPROP NULL_X46Y83 FIRST_SITE_ID 7224 TILEPROP NULL_X46Y83 GRID_POINT_X 46 TILEPROP NULL_X46Y83 GRID_POINT_Y 73 TILEPROP NULL_X46Y83 INDEX 8441 TILEPROP NULL_X46Y83 INT_TILE_X -1 TILEPROP NULL_X46Y83 INT_TILE_Y -1 TILEPROP NULL_X46Y83 IS_CENTER_TILE 0 TILEPROP NULL_X46Y83 IS_DCM_TILE 0 TILEPROP NULL_X46Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y83 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y83 NAME NULL_X46Y83 TILEPROP NULL_X46Y83 NUM_ARCS 0 TILEPROP NULL_X46Y83 NUM_SITES 0 TILEPROP NULL_X46Y83 ROW 73 TILEPROP NULL_X46Y83 SLR_REGION_ID 0 TILEPROP NULL_X46Y83 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y83 TILE_TYPE NULL TILEPROP NULL_X46Y83 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y83 TILE_X -17432 TILEPROP NULL_X46Y83 TILE_Y 13824 TILEPROP NULL_X46Y83 TYPE NULL TILEPROP NULL_X46Y84 CLASS tile TILEPROP NULL_X46Y84 COLUMN 46 TILEPROP NULL_X46Y84 DEVICE_ID 0 TILEPROP NULL_X46Y84 FIRST_SITE_ID 7109 TILEPROP NULL_X46Y84 GRID_POINT_X 46 TILEPROP NULL_X46Y84 GRID_POINT_Y 72 TILEPROP NULL_X46Y84 INDEX 8326 TILEPROP NULL_X46Y84 INT_TILE_X -1 TILEPROP NULL_X46Y84 INT_TILE_Y -1 TILEPROP NULL_X46Y84 IS_CENTER_TILE 0 TILEPROP NULL_X46Y84 IS_DCM_TILE 0 TILEPROP NULL_X46Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y84 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y84 NAME NULL_X46Y84 TILEPROP NULL_X46Y84 NUM_ARCS 0 TILEPROP NULL_X46Y84 NUM_SITES 0 TILEPROP NULL_X46Y84 ROW 72 TILEPROP NULL_X46Y84 SLR_REGION_ID 0 TILEPROP NULL_X46Y84 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y84 TILE_TYPE NULL TILEPROP NULL_X46Y84 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y84 TILE_X -17432 TILEPROP NULL_X46Y84 TILE_Y 17024 TILEPROP NULL_X46Y84 TYPE NULL TILEPROP NULL_X46Y85 CLASS tile TILEPROP NULL_X46Y85 COLUMN 46 TILEPROP NULL_X46Y85 DEVICE_ID 0 TILEPROP NULL_X46Y85 FIRST_SITE_ID 7001 TILEPROP NULL_X46Y85 GRID_POINT_X 46 TILEPROP NULL_X46Y85 GRID_POINT_Y 71 TILEPROP NULL_X46Y85 INDEX 8211 TILEPROP NULL_X46Y85 INT_TILE_X -1 TILEPROP NULL_X46Y85 INT_TILE_Y -1 TILEPROP NULL_X46Y85 IS_CENTER_TILE 0 TILEPROP NULL_X46Y85 IS_DCM_TILE 0 TILEPROP NULL_X46Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y85 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y85 NAME NULL_X46Y85 TILEPROP NULL_X46Y85 NUM_ARCS 0 TILEPROP NULL_X46Y85 NUM_SITES 0 TILEPROP NULL_X46Y85 ROW 71 TILEPROP NULL_X46Y85 SLR_REGION_ID 0 TILEPROP NULL_X46Y85 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y85 TILE_TYPE NULL TILEPROP NULL_X46Y85 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y85 TILE_X -17432 TILEPROP NULL_X46Y85 TILE_Y 20224 TILEPROP NULL_X46Y85 TYPE NULL TILEPROP NULL_X46Y86 CLASS tile TILEPROP NULL_X46Y86 COLUMN 46 TILEPROP NULL_X46Y86 DEVICE_ID 0 TILEPROP NULL_X46Y86 FIRST_SITE_ID 6899 TILEPROP NULL_X46Y86 GRID_POINT_X 46 TILEPROP NULL_X46Y86 GRID_POINT_Y 70 TILEPROP NULL_X46Y86 INDEX 8096 TILEPROP NULL_X46Y86 INT_TILE_X -1 TILEPROP NULL_X46Y86 INT_TILE_Y -1 TILEPROP NULL_X46Y86 IS_CENTER_TILE 0 TILEPROP NULL_X46Y86 IS_DCM_TILE 0 TILEPROP NULL_X46Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y86 NAME NULL_X46Y86 TILEPROP NULL_X46Y86 NUM_ARCS 0 TILEPROP NULL_X46Y86 NUM_SITES 0 TILEPROP NULL_X46Y86 ROW 70 TILEPROP NULL_X46Y86 SLR_REGION_ID 0 TILEPROP NULL_X46Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y86 TILE_TYPE NULL TILEPROP NULL_X46Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y86 TILE_X -17432 TILEPROP NULL_X46Y86 TILE_Y 23424 TILEPROP NULL_X46Y86 TYPE NULL TILEPROP NULL_X46Y87 CLASS tile TILEPROP NULL_X46Y87 COLUMN 46 TILEPROP NULL_X46Y87 DEVICE_ID 0 TILEPROP NULL_X46Y87 FIRST_SITE_ID 6799 TILEPROP NULL_X46Y87 GRID_POINT_X 46 TILEPROP NULL_X46Y87 GRID_POINT_Y 69 TILEPROP NULL_X46Y87 INDEX 7981 TILEPROP NULL_X46Y87 INT_TILE_X -1 TILEPROP NULL_X46Y87 INT_TILE_Y -1 TILEPROP NULL_X46Y87 IS_CENTER_TILE 0 TILEPROP NULL_X46Y87 IS_DCM_TILE 0 TILEPROP NULL_X46Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y87 NAME NULL_X46Y87 TILEPROP NULL_X46Y87 NUM_ARCS 0 TILEPROP NULL_X46Y87 NUM_SITES 0 TILEPROP NULL_X46Y87 ROW 69 TILEPROP NULL_X46Y87 SLR_REGION_ID 0 TILEPROP NULL_X46Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y87 TILE_TYPE NULL TILEPROP NULL_X46Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y87 TILE_X -17432 TILEPROP NULL_X46Y87 TILE_Y 26624 TILEPROP NULL_X46Y87 TYPE NULL TILEPROP NULL_X46Y88 CLASS tile TILEPROP NULL_X46Y88 COLUMN 46 TILEPROP NULL_X46Y88 DEVICE_ID 0 TILEPROP NULL_X46Y88 FIRST_SITE_ID 6699 TILEPROP NULL_X46Y88 GRID_POINT_X 46 TILEPROP NULL_X46Y88 GRID_POINT_Y 68 TILEPROP NULL_X46Y88 INDEX 7866 TILEPROP NULL_X46Y88 INT_TILE_X -1 TILEPROP NULL_X46Y88 INT_TILE_Y -1 TILEPROP NULL_X46Y88 IS_CENTER_TILE 0 TILEPROP NULL_X46Y88 IS_DCM_TILE 0 TILEPROP NULL_X46Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y88 NAME NULL_X46Y88 TILEPROP NULL_X46Y88 NUM_ARCS 0 TILEPROP NULL_X46Y88 NUM_SITES 0 TILEPROP NULL_X46Y88 ROW 68 TILEPROP NULL_X46Y88 SLR_REGION_ID 0 TILEPROP NULL_X46Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y88 TILE_TYPE NULL TILEPROP NULL_X46Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y88 TILE_X -17432 TILEPROP NULL_X46Y88 TILE_Y 29824 TILEPROP NULL_X46Y88 TYPE NULL TILEPROP NULL_X46Y90 CLASS tile TILEPROP NULL_X46Y90 COLUMN 46 TILEPROP NULL_X46Y90 DEVICE_ID 0 TILEPROP NULL_X46Y90 FIRST_SITE_ID 6452 TILEPROP NULL_X46Y90 GRID_POINT_X 46 TILEPROP NULL_X46Y90 GRID_POINT_Y 66 TILEPROP NULL_X46Y90 INDEX 7636 TILEPROP NULL_X46Y90 INT_TILE_X -1 TILEPROP NULL_X46Y90 INT_TILE_Y -1 TILEPROP NULL_X46Y90 IS_CENTER_TILE 0 TILEPROP NULL_X46Y90 IS_DCM_TILE 0 TILEPROP NULL_X46Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y90 NAME NULL_X46Y90 TILEPROP NULL_X46Y90 NUM_ARCS 0 TILEPROP NULL_X46Y90 NUM_SITES 0 TILEPROP NULL_X46Y90 ROW 66 TILEPROP NULL_X46Y90 SLR_REGION_ID 0 TILEPROP NULL_X46Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y90 TILE_TYPE NULL TILEPROP NULL_X46Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y90 TILE_X -17432 TILEPROP NULL_X46Y90 TILE_Y 36224 TILEPROP NULL_X46Y90 TYPE NULL TILEPROP NULL_X46Y91 CLASS tile TILEPROP NULL_X46Y91 COLUMN 46 TILEPROP NULL_X46Y91 DEVICE_ID 0 TILEPROP NULL_X46Y91 FIRST_SITE_ID 6352 TILEPROP NULL_X46Y91 GRID_POINT_X 46 TILEPROP NULL_X46Y91 GRID_POINT_Y 65 TILEPROP NULL_X46Y91 INDEX 7521 TILEPROP NULL_X46Y91 INT_TILE_X -1 TILEPROP NULL_X46Y91 INT_TILE_Y -1 TILEPROP NULL_X46Y91 IS_CENTER_TILE 0 TILEPROP NULL_X46Y91 IS_DCM_TILE 0 TILEPROP NULL_X46Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y91 NAME NULL_X46Y91 TILEPROP NULL_X46Y91 NUM_ARCS 0 TILEPROP NULL_X46Y91 NUM_SITES 0 TILEPROP NULL_X46Y91 ROW 65 TILEPROP NULL_X46Y91 SLR_REGION_ID 0 TILEPROP NULL_X46Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y91 TILE_TYPE NULL TILEPROP NULL_X46Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y91 TILE_X -17432 TILEPROP NULL_X46Y91 TILE_Y 39424 TILEPROP NULL_X46Y91 TYPE NULL TILEPROP NULL_X46Y92 CLASS tile TILEPROP NULL_X46Y92 COLUMN 46 TILEPROP NULL_X46Y92 DEVICE_ID 0 TILEPROP NULL_X46Y92 FIRST_SITE_ID 6252 TILEPROP NULL_X46Y92 GRID_POINT_X 46 TILEPROP NULL_X46Y92 GRID_POINT_Y 64 TILEPROP NULL_X46Y92 INDEX 7406 TILEPROP NULL_X46Y92 INT_TILE_X -1 TILEPROP NULL_X46Y92 INT_TILE_Y -1 TILEPROP NULL_X46Y92 IS_CENTER_TILE 0 TILEPROP NULL_X46Y92 IS_DCM_TILE 0 TILEPROP NULL_X46Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y92 NAME NULL_X46Y92 TILEPROP NULL_X46Y92 NUM_ARCS 0 TILEPROP NULL_X46Y92 NUM_SITES 0 TILEPROP NULL_X46Y92 ROW 64 TILEPROP NULL_X46Y92 SLR_REGION_ID 0 TILEPROP NULL_X46Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y92 TILE_TYPE NULL TILEPROP NULL_X46Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y92 TILE_X -17432 TILEPROP NULL_X46Y92 TILE_Y 42624 TILEPROP NULL_X46Y92 TYPE NULL TILEPROP NULL_X46Y93 CLASS tile TILEPROP NULL_X46Y93 COLUMN 46 TILEPROP NULL_X46Y93 DEVICE_ID 0 TILEPROP NULL_X46Y93 FIRST_SITE_ID 6152 TILEPROP NULL_X46Y93 GRID_POINT_X 46 TILEPROP NULL_X46Y93 GRID_POINT_Y 63 TILEPROP NULL_X46Y93 INDEX 7291 TILEPROP NULL_X46Y93 INT_TILE_X -1 TILEPROP NULL_X46Y93 INT_TILE_Y -1 TILEPROP NULL_X46Y93 IS_CENTER_TILE 0 TILEPROP NULL_X46Y93 IS_DCM_TILE 0 TILEPROP NULL_X46Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y93 NAME NULL_X46Y93 TILEPROP NULL_X46Y93 NUM_ARCS 0 TILEPROP NULL_X46Y93 NUM_SITES 0 TILEPROP NULL_X46Y93 ROW 63 TILEPROP NULL_X46Y93 SLR_REGION_ID 0 TILEPROP NULL_X46Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y93 TILE_TYPE NULL TILEPROP NULL_X46Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y93 TILE_X -17432 TILEPROP NULL_X46Y93 TILE_Y 45824 TILEPROP NULL_X46Y93 TYPE NULL TILEPROP NULL_X46Y94 CLASS tile TILEPROP NULL_X46Y94 COLUMN 46 TILEPROP NULL_X46Y94 DEVICE_ID 0 TILEPROP NULL_X46Y94 FIRST_SITE_ID 6043 TILEPROP NULL_X46Y94 GRID_POINT_X 46 TILEPROP NULL_X46Y94 GRID_POINT_Y 62 TILEPROP NULL_X46Y94 INDEX 7176 TILEPROP NULL_X46Y94 INT_TILE_X -1 TILEPROP NULL_X46Y94 INT_TILE_Y -1 TILEPROP NULL_X46Y94 IS_CENTER_TILE 0 TILEPROP NULL_X46Y94 IS_DCM_TILE 0 TILEPROP NULL_X46Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y94 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y94 NAME NULL_X46Y94 TILEPROP NULL_X46Y94 NUM_ARCS 0 TILEPROP NULL_X46Y94 NUM_SITES 0 TILEPROP NULL_X46Y94 ROW 62 TILEPROP NULL_X46Y94 SLR_REGION_ID 0 TILEPROP NULL_X46Y94 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y94 TILE_TYPE NULL TILEPROP NULL_X46Y94 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y94 TILE_X -17432 TILEPROP NULL_X46Y94 TILE_Y 49024 TILEPROP NULL_X46Y94 TYPE NULL TILEPROP NULL_X46Y95 CLASS tile TILEPROP NULL_X46Y95 COLUMN 46 TILEPROP NULL_X46Y95 DEVICE_ID 0 TILEPROP NULL_X46Y95 FIRST_SITE_ID 5937 TILEPROP NULL_X46Y95 GRID_POINT_X 46 TILEPROP NULL_X46Y95 GRID_POINT_Y 61 TILEPROP NULL_X46Y95 INDEX 7061 TILEPROP NULL_X46Y95 INT_TILE_X -1 TILEPROP NULL_X46Y95 INT_TILE_Y -1 TILEPROP NULL_X46Y95 IS_CENTER_TILE 0 TILEPROP NULL_X46Y95 IS_DCM_TILE 0 TILEPROP NULL_X46Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y95 NAME NULL_X46Y95 TILEPROP NULL_X46Y95 NUM_ARCS 0 TILEPROP NULL_X46Y95 NUM_SITES 0 TILEPROP NULL_X46Y95 ROW 61 TILEPROP NULL_X46Y95 SLR_REGION_ID 0 TILEPROP NULL_X46Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y95 TILE_TYPE NULL TILEPROP NULL_X46Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y95 TILE_X -17432 TILEPROP NULL_X46Y95 TILE_Y 52224 TILEPROP NULL_X46Y95 TYPE NULL TILEPROP NULL_X46Y96 CLASS tile TILEPROP NULL_X46Y96 COLUMN 46 TILEPROP NULL_X46Y96 DEVICE_ID 0 TILEPROP NULL_X46Y96 FIRST_SITE_ID 5836 TILEPROP NULL_X46Y96 GRID_POINT_X 46 TILEPROP NULL_X46Y96 GRID_POINT_Y 60 TILEPROP NULL_X46Y96 INDEX 6946 TILEPROP NULL_X46Y96 INT_TILE_X -1 TILEPROP NULL_X46Y96 INT_TILE_Y -1 TILEPROP NULL_X46Y96 IS_CENTER_TILE 0 TILEPROP NULL_X46Y96 IS_DCM_TILE 0 TILEPROP NULL_X46Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y96 NAME NULL_X46Y96 TILEPROP NULL_X46Y96 NUM_ARCS 0 TILEPROP NULL_X46Y96 NUM_SITES 0 TILEPROP NULL_X46Y96 ROW 60 TILEPROP NULL_X46Y96 SLR_REGION_ID 0 TILEPROP NULL_X46Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y96 TILE_TYPE NULL TILEPROP NULL_X46Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y96 TILE_X -17432 TILEPROP NULL_X46Y96 TILE_Y 55424 TILEPROP NULL_X46Y96 TYPE NULL TILEPROP NULL_X46Y97 CLASS tile TILEPROP NULL_X46Y97 COLUMN 46 TILEPROP NULL_X46Y97 DEVICE_ID 0 TILEPROP NULL_X46Y97 FIRST_SITE_ID 5733 TILEPROP NULL_X46Y97 GRID_POINT_X 46 TILEPROP NULL_X46Y97 GRID_POINT_Y 59 TILEPROP NULL_X46Y97 INDEX 6831 TILEPROP NULL_X46Y97 INT_TILE_X -1 TILEPROP NULL_X46Y97 INT_TILE_Y -1 TILEPROP NULL_X46Y97 IS_CENTER_TILE 0 TILEPROP NULL_X46Y97 IS_DCM_TILE 0 TILEPROP NULL_X46Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y97 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y97 NAME NULL_X46Y97 TILEPROP NULL_X46Y97 NUM_ARCS 0 TILEPROP NULL_X46Y97 NUM_SITES 0 TILEPROP NULL_X46Y97 ROW 59 TILEPROP NULL_X46Y97 SLR_REGION_ID 0 TILEPROP NULL_X46Y97 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y97 TILE_TYPE NULL TILEPROP NULL_X46Y97 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y97 TILE_X -17432 TILEPROP NULL_X46Y97 TILE_Y 58624 TILEPROP NULL_X46Y97 TYPE NULL TILEPROP NULL_X46Y98 CLASS tile TILEPROP NULL_X46Y98 COLUMN 46 TILEPROP NULL_X46Y98 DEVICE_ID 0 TILEPROP NULL_X46Y98 FIRST_SITE_ID 5631 TILEPROP NULL_X46Y98 GRID_POINT_X 46 TILEPROP NULL_X46Y98 GRID_POINT_Y 58 TILEPROP NULL_X46Y98 INDEX 6716 TILEPROP NULL_X46Y98 INT_TILE_X -1 TILEPROP NULL_X46Y98 INT_TILE_Y -1 TILEPROP NULL_X46Y98 IS_CENTER_TILE 0 TILEPROP NULL_X46Y98 IS_DCM_TILE 0 TILEPROP NULL_X46Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y98 NAME NULL_X46Y98 TILEPROP NULL_X46Y98 NUM_ARCS 0 TILEPROP NULL_X46Y98 NUM_SITES 0 TILEPROP NULL_X46Y98 ROW 58 TILEPROP NULL_X46Y98 SLR_REGION_ID 0 TILEPROP NULL_X46Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y98 TILE_TYPE NULL TILEPROP NULL_X46Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y98 TILE_X -17432 TILEPROP NULL_X46Y98 TILE_Y 61824 TILEPROP NULL_X46Y98 TYPE NULL TILEPROP NULL_X46Y100 CLASS tile TILEPROP NULL_X46Y100 COLUMN 46 TILEPROP NULL_X46Y100 DEVICE_ID 0 TILEPROP NULL_X46Y100 FIRST_SITE_ID 5416 TILEPROP NULL_X46Y100 GRID_POINT_X 46 TILEPROP NULL_X46Y100 GRID_POINT_Y 56 TILEPROP NULL_X46Y100 INDEX 6486 TILEPROP NULL_X46Y100 INT_TILE_X -1 TILEPROP NULL_X46Y100 INT_TILE_Y -1 TILEPROP NULL_X46Y100 IS_CENTER_TILE 0 TILEPROP NULL_X46Y100 IS_DCM_TILE 0 TILEPROP NULL_X46Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y100 NAME NULL_X46Y100 TILEPROP NULL_X46Y100 NUM_ARCS 0 TILEPROP NULL_X46Y100 NUM_SITES 0 TILEPROP NULL_X46Y100 ROW 56 TILEPROP NULL_X46Y100 SLR_REGION_ID 0 TILEPROP NULL_X46Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y100 TILE_TYPE NULL TILEPROP NULL_X46Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y100 TILE_X -17432 TILEPROP NULL_X46Y100 TILE_Y 68224 TILEPROP NULL_X46Y100 TYPE NULL TILEPROP NULL_X46Y101 CLASS tile TILEPROP NULL_X46Y101 COLUMN 46 TILEPROP NULL_X46Y101 DEVICE_ID 0 TILEPROP NULL_X46Y101 FIRST_SITE_ID 5316 TILEPROP NULL_X46Y101 GRID_POINT_X 46 TILEPROP NULL_X46Y101 GRID_POINT_Y 55 TILEPROP NULL_X46Y101 INDEX 6371 TILEPROP NULL_X46Y101 INT_TILE_X -1 TILEPROP NULL_X46Y101 INT_TILE_Y -1 TILEPROP NULL_X46Y101 IS_CENTER_TILE 0 TILEPROP NULL_X46Y101 IS_DCM_TILE 0 TILEPROP NULL_X46Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y101 NAME NULL_X46Y101 TILEPROP NULL_X46Y101 NUM_ARCS 0 TILEPROP NULL_X46Y101 NUM_SITES 0 TILEPROP NULL_X46Y101 ROW 55 TILEPROP NULL_X46Y101 SLR_REGION_ID 0 TILEPROP NULL_X46Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y101 TILE_TYPE NULL TILEPROP NULL_X46Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y101 TILE_X -17432 TILEPROP NULL_X46Y101 TILE_Y 71424 TILEPROP NULL_X46Y101 TYPE NULL TILEPROP NULL_X46Y102 CLASS tile TILEPROP NULL_X46Y102 COLUMN 46 TILEPROP NULL_X46Y102 DEVICE_ID 0 TILEPROP NULL_X46Y102 FIRST_SITE_ID 5216 TILEPROP NULL_X46Y102 GRID_POINT_X 46 TILEPROP NULL_X46Y102 GRID_POINT_Y 54 TILEPROP NULL_X46Y102 INDEX 6256 TILEPROP NULL_X46Y102 INT_TILE_X -1 TILEPROP NULL_X46Y102 INT_TILE_Y -1 TILEPROP NULL_X46Y102 IS_CENTER_TILE 0 TILEPROP NULL_X46Y102 IS_DCM_TILE 0 TILEPROP NULL_X46Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y102 NAME NULL_X46Y102 TILEPROP NULL_X46Y102 NUM_ARCS 0 TILEPROP NULL_X46Y102 NUM_SITES 0 TILEPROP NULL_X46Y102 ROW 54 TILEPROP NULL_X46Y102 SLR_REGION_ID 0 TILEPROP NULL_X46Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y102 TILE_TYPE NULL TILEPROP NULL_X46Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y102 TILE_X -17432 TILEPROP NULL_X46Y102 TILE_Y 74624 TILEPROP NULL_X46Y102 TYPE NULL TILEPROP NULL_X46Y103 CLASS tile TILEPROP NULL_X46Y103 COLUMN 46 TILEPROP NULL_X46Y103 DEVICE_ID 0 TILEPROP NULL_X46Y103 FIRST_SITE_ID 5120 TILEPROP NULL_X46Y103 GRID_POINT_X 46 TILEPROP NULL_X46Y103 GRID_POINT_Y 53 TILEPROP NULL_X46Y103 INDEX 6141 TILEPROP NULL_X46Y103 INT_TILE_X -1 TILEPROP NULL_X46Y103 INT_TILE_Y -1 TILEPROP NULL_X46Y103 IS_CENTER_TILE 0 TILEPROP NULL_X46Y103 IS_DCM_TILE 0 TILEPROP NULL_X46Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y103 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y103 NAME NULL_X46Y103 TILEPROP NULL_X46Y103 NUM_ARCS 0 TILEPROP NULL_X46Y103 NUM_SITES 0 TILEPROP NULL_X46Y103 ROW 53 TILEPROP NULL_X46Y103 SLR_REGION_ID 0 TILEPROP NULL_X46Y103 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y103 TILE_TYPE NULL TILEPROP NULL_X46Y103 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y103 TILE_X -17432 TILEPROP NULL_X46Y103 TILE_Y 77824 TILEPROP NULL_X46Y103 TYPE NULL TILEPROP NULL_X46Y104 CLASS tile TILEPROP NULL_X46Y104 COLUMN 46 TILEPROP NULL_X46Y104 DEVICE_ID 0 TILEPROP NULL_X46Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X46Y104 GRID_POINT_X 46 TILEPROP NULL_X46Y104 GRID_POINT_Y 52 TILEPROP NULL_X46Y104 INDEX 6026 TILEPROP NULL_X46Y104 INT_TILE_X 17 TILEPROP NULL_X46Y104 INT_TILE_Y 49 TILEPROP NULL_X46Y104 IS_CENTER_TILE 0 TILEPROP NULL_X46Y104 IS_DCM_TILE 0 TILEPROP NULL_X46Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y104 NAME NULL_X46Y104 TILEPROP NULL_X46Y104 NUM_ARCS 0 TILEPROP NULL_X46Y104 NUM_SITES 0 TILEPROP NULL_X46Y104 ROW 52 TILEPROP NULL_X46Y104 SLR_REGION_ID 0 TILEPROP NULL_X46Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y104 TILE_TYPE NULL TILEPROP NULL_X46Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y104 TILE_X -17432 TILEPROP NULL_X46Y104 TILE_Y 81024 TILEPROP NULL_X46Y104 TYPE NULL TILEPROP NULL_X46Y156 CLASS tile TILEPROP NULL_X46Y156 COLUMN 46 TILEPROP NULL_X46Y156 DEVICE_ID 0 TILEPROP NULL_X46Y156 FIRST_SITE_ID 0 TILEPROP NULL_X46Y156 GRID_POINT_X 46 TILEPROP NULL_X46Y156 GRID_POINT_Y 0 TILEPROP NULL_X46Y156 INDEX 46 TILEPROP NULL_X46Y156 INT_TILE_X -1 TILEPROP NULL_X46Y156 INT_TILE_Y -1 TILEPROP NULL_X46Y156 IS_CENTER_TILE 0 TILEPROP NULL_X46Y156 IS_DCM_TILE 0 TILEPROP NULL_X46Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X46Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X46Y156 NAME NULL_X46Y156 TILEPROP NULL_X46Y156 NUM_ARCS 0 TILEPROP NULL_X46Y156 NUM_SITES 0 TILEPROP NULL_X46Y156 ROW 0 TILEPROP NULL_X46Y156 SLR_REGION_ID 0 TILEPROP NULL_X46Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X46Y156 TILE_TYPE NULL TILEPROP NULL_X46Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X46Y156 TILE_X -17432 TILEPROP NULL_X46Y156 TILE_Y 242296 TILEPROP NULL_X46Y156 TYPE NULL TILEPROP NULL_X47Y0 CLASS tile TILEPROP NULL_X47Y0 COLUMN 47 TILEPROP NULL_X47Y0 DEVICE_ID 0 TILEPROP NULL_X47Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X47Y0 GRID_POINT_X 47 TILEPROP NULL_X47Y0 GRID_POINT_Y 156 TILEPROP NULL_X47Y0 INDEX 17987 TILEPROP NULL_X47Y0 INT_TILE_X -1 TILEPROP NULL_X47Y0 INT_TILE_Y -1 TILEPROP NULL_X47Y0 IS_CENTER_TILE 0 TILEPROP NULL_X47Y0 IS_DCM_TILE 0 TILEPROP NULL_X47Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X47Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X47Y0 NAME NULL_X47Y0 TILEPROP NULL_X47Y0 NUM_ARCS 0 TILEPROP NULL_X47Y0 NUM_SITES 0 TILEPROP NULL_X47Y0 ROW 156 TILEPROP NULL_X47Y0 SLR_REGION_ID 0 TILEPROP NULL_X47Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X47Y0 TILE_TYPE NULL TILEPROP NULL_X47Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X47Y0 TILE_X -16736 TILEPROP NULL_X47Y0 TILE_Y -244472 TILEPROP NULL_X47Y0 TYPE NULL TILEPROP NULL_X47Y52 CLASS tile TILEPROP NULL_X47Y52 COLUMN 47 TILEPROP NULL_X47Y52 DEVICE_ID 0 TILEPROP NULL_X47Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X47Y52 GRID_POINT_X 47 TILEPROP NULL_X47Y52 GRID_POINT_Y 104 TILEPROP NULL_X47Y52 INDEX 12007 TILEPROP NULL_X47Y52 INT_TILE_X -1 TILEPROP NULL_X47Y52 INT_TILE_Y -1 TILEPROP NULL_X47Y52 IS_CENTER_TILE 0 TILEPROP NULL_X47Y52 IS_DCM_TILE 0 TILEPROP NULL_X47Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X47Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X47Y52 NAME NULL_X47Y52 TILEPROP NULL_X47Y52 NUM_ARCS 0 TILEPROP NULL_X47Y52 NUM_SITES 0 TILEPROP NULL_X47Y52 ROW 104 TILEPROP NULL_X47Y52 SLR_REGION_ID 0 TILEPROP NULL_X47Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X47Y52 TILE_TYPE NULL TILEPROP NULL_X47Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X47Y52 TILE_X -16736 TILEPROP NULL_X47Y52 TILE_Y -80248 TILEPROP NULL_X47Y52 TYPE NULL TILEPROP NULL_X47Y104 CLASS tile TILEPROP NULL_X47Y104 COLUMN 47 TILEPROP NULL_X47Y104 DEVICE_ID 0 TILEPROP NULL_X47Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X47Y104 GRID_POINT_X 47 TILEPROP NULL_X47Y104 GRID_POINT_Y 52 TILEPROP NULL_X47Y104 INDEX 6027 TILEPROP NULL_X47Y104 INT_TILE_X 17 TILEPROP NULL_X47Y104 INT_TILE_Y 49 TILEPROP NULL_X47Y104 IS_CENTER_TILE 0 TILEPROP NULL_X47Y104 IS_DCM_TILE 0 TILEPROP NULL_X47Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X47Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X47Y104 NAME NULL_X47Y104 TILEPROP NULL_X47Y104 NUM_ARCS 0 TILEPROP NULL_X47Y104 NUM_SITES 0 TILEPROP NULL_X47Y104 ROW 52 TILEPROP NULL_X47Y104 SLR_REGION_ID 0 TILEPROP NULL_X47Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X47Y104 TILE_TYPE NULL TILEPROP NULL_X47Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X47Y104 TILE_X -16736 TILEPROP NULL_X47Y104 TILE_Y 81024 TILEPROP NULL_X47Y104 TYPE NULL TILEPROP NULL_X47Y156 CLASS tile TILEPROP NULL_X47Y156 COLUMN 47 TILEPROP NULL_X47Y156 DEVICE_ID 0 TILEPROP NULL_X47Y156 FIRST_SITE_ID 0 TILEPROP NULL_X47Y156 GRID_POINT_X 47 TILEPROP NULL_X47Y156 GRID_POINT_Y 0 TILEPROP NULL_X47Y156 INDEX 47 TILEPROP NULL_X47Y156 INT_TILE_X -1 TILEPROP NULL_X47Y156 INT_TILE_Y -1 TILEPROP NULL_X47Y156 IS_CENTER_TILE 0 TILEPROP NULL_X47Y156 IS_DCM_TILE 0 TILEPROP NULL_X47Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X47Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X47Y156 NAME NULL_X47Y156 TILEPROP NULL_X47Y156 NUM_ARCS 0 TILEPROP NULL_X47Y156 NUM_SITES 0 TILEPROP NULL_X47Y156 ROW 0 TILEPROP NULL_X47Y156 SLR_REGION_ID 0 TILEPROP NULL_X47Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X47Y156 TILE_TYPE NULL TILEPROP NULL_X47Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X47Y156 TILE_X -16736 TILEPROP NULL_X47Y156 TILE_Y 242296 TILEPROP NULL_X47Y156 TYPE NULL TILEPROP NULL_X48Y0 CLASS tile TILEPROP NULL_X48Y0 COLUMN 48 TILEPROP NULL_X48Y0 DEVICE_ID 0 TILEPROP NULL_X48Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X48Y0 GRID_POINT_X 48 TILEPROP NULL_X48Y0 GRID_POINT_Y 156 TILEPROP NULL_X48Y0 INDEX 17988 TILEPROP NULL_X48Y0 INT_TILE_X -1 TILEPROP NULL_X48Y0 INT_TILE_Y -1 TILEPROP NULL_X48Y0 IS_CENTER_TILE 0 TILEPROP NULL_X48Y0 IS_DCM_TILE 0 TILEPROP NULL_X48Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X48Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X48Y0 NAME NULL_X48Y0 TILEPROP NULL_X48Y0 NUM_ARCS 0 TILEPROP NULL_X48Y0 NUM_SITES 0 TILEPROP NULL_X48Y0 ROW 156 TILEPROP NULL_X48Y0 SLR_REGION_ID 0 TILEPROP NULL_X48Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X48Y0 TILE_TYPE NULL TILEPROP NULL_X48Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X48Y0 TILE_X -16672 TILEPROP NULL_X48Y0 TILE_Y -244472 TILEPROP NULL_X48Y0 TYPE NULL TILEPROP NULL_X48Y52 CLASS tile TILEPROP NULL_X48Y52 COLUMN 48 TILEPROP NULL_X48Y52 DEVICE_ID 0 TILEPROP NULL_X48Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X48Y52 GRID_POINT_X 48 TILEPROP NULL_X48Y52 GRID_POINT_Y 104 TILEPROP NULL_X48Y52 INDEX 12008 TILEPROP NULL_X48Y52 INT_TILE_X -1 TILEPROP NULL_X48Y52 INT_TILE_Y -1 TILEPROP NULL_X48Y52 IS_CENTER_TILE 0 TILEPROP NULL_X48Y52 IS_DCM_TILE 0 TILEPROP NULL_X48Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X48Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X48Y52 NAME NULL_X48Y52 TILEPROP NULL_X48Y52 NUM_ARCS 0 TILEPROP NULL_X48Y52 NUM_SITES 0 TILEPROP NULL_X48Y52 ROW 104 TILEPROP NULL_X48Y52 SLR_REGION_ID 0 TILEPROP NULL_X48Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X48Y52 TILE_TYPE NULL TILEPROP NULL_X48Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X48Y52 TILE_X -16672 TILEPROP NULL_X48Y52 TILE_Y -80248 TILEPROP NULL_X48Y52 TYPE NULL TILEPROP NULL_X48Y104 CLASS tile TILEPROP NULL_X48Y104 COLUMN 48 TILEPROP NULL_X48Y104 DEVICE_ID 0 TILEPROP NULL_X48Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X48Y104 GRID_POINT_X 48 TILEPROP NULL_X48Y104 GRID_POINT_Y 52 TILEPROP NULL_X48Y104 INDEX 6028 TILEPROP NULL_X48Y104 INT_TILE_X 17 TILEPROP NULL_X48Y104 INT_TILE_Y 49 TILEPROP NULL_X48Y104 IS_CENTER_TILE 0 TILEPROP NULL_X48Y104 IS_DCM_TILE 0 TILEPROP NULL_X48Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X48Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X48Y104 NAME NULL_X48Y104 TILEPROP NULL_X48Y104 NUM_ARCS 0 TILEPROP NULL_X48Y104 NUM_SITES 0 TILEPROP NULL_X48Y104 ROW 52 TILEPROP NULL_X48Y104 SLR_REGION_ID 0 TILEPROP NULL_X48Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X48Y104 TILE_TYPE NULL TILEPROP NULL_X48Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X48Y104 TILE_X -16672 TILEPROP NULL_X48Y104 TILE_Y 81024 TILEPROP NULL_X48Y104 TYPE NULL TILEPROP NULL_X48Y156 CLASS tile TILEPROP NULL_X48Y156 COLUMN 48 TILEPROP NULL_X48Y156 DEVICE_ID 0 TILEPROP NULL_X48Y156 FIRST_SITE_ID 0 TILEPROP NULL_X48Y156 GRID_POINT_X 48 TILEPROP NULL_X48Y156 GRID_POINT_Y 0 TILEPROP NULL_X48Y156 INDEX 48 TILEPROP NULL_X48Y156 INT_TILE_X -1 TILEPROP NULL_X48Y156 INT_TILE_Y -1 TILEPROP NULL_X48Y156 IS_CENTER_TILE 0 TILEPROP NULL_X48Y156 IS_DCM_TILE 0 TILEPROP NULL_X48Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X48Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X48Y156 NAME NULL_X48Y156 TILEPROP NULL_X48Y156 NUM_ARCS 0 TILEPROP NULL_X48Y156 NUM_SITES 0 TILEPROP NULL_X48Y156 ROW 0 TILEPROP NULL_X48Y156 SLR_REGION_ID 0 TILEPROP NULL_X48Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X48Y156 TILE_TYPE NULL TILEPROP NULL_X48Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X48Y156 TILE_X -16672 TILEPROP NULL_X48Y156 TILE_Y 242296 TILEPROP NULL_X48Y156 TYPE NULL TILEPROP NULL_X51Y0 CLASS tile TILEPROP NULL_X51Y0 COLUMN 51 TILEPROP NULL_X51Y0 DEVICE_ID 0 TILEPROP NULL_X51Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X51Y0 GRID_POINT_X 51 TILEPROP NULL_X51Y0 GRID_POINT_Y 156 TILEPROP NULL_X51Y0 INDEX 17991 TILEPROP NULL_X51Y0 INT_TILE_X 19 TILEPROP NULL_X51Y0 INT_TILE_Y 149 TILEPROP NULL_X51Y0 IS_CENTER_TILE 0 TILEPROP NULL_X51Y0 IS_DCM_TILE 0 TILEPROP NULL_X51Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X51Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X51Y0 NAME NULL_X51Y0 TILEPROP NULL_X51Y0 NUM_ARCS 0 TILEPROP NULL_X51Y0 NUM_SITES 0 TILEPROP NULL_X51Y0 ROW 156 TILEPROP NULL_X51Y0 SLR_REGION_ID 0 TILEPROP NULL_X51Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X51Y0 TILE_TYPE NULL TILEPROP NULL_X51Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X51Y0 TILE_X -9696 TILEPROP NULL_X51Y0 TILE_Y -244472 TILEPROP NULL_X51Y0 TYPE NULL TILEPROP NULL_X51Y156 CLASS tile TILEPROP NULL_X51Y156 COLUMN 51 TILEPROP NULL_X51Y156 DEVICE_ID 0 TILEPROP NULL_X51Y156 FIRST_SITE_ID 0 TILEPROP NULL_X51Y156 GRID_POINT_X 51 TILEPROP NULL_X51Y156 GRID_POINT_Y 0 TILEPROP NULL_X51Y156 INDEX 51 TILEPROP NULL_X51Y156 INT_TILE_X -1 TILEPROP NULL_X51Y156 INT_TILE_Y -1 TILEPROP NULL_X51Y156 IS_CENTER_TILE 0 TILEPROP NULL_X51Y156 IS_DCM_TILE 0 TILEPROP NULL_X51Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X51Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X51Y156 NAME NULL_X51Y156 TILEPROP NULL_X51Y156 NUM_ARCS 0 TILEPROP NULL_X51Y156 NUM_SITES 0 TILEPROP NULL_X51Y156 ROW 0 TILEPROP NULL_X51Y156 SLR_REGION_ID 0 TILEPROP NULL_X51Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X51Y156 TILE_TYPE NULL TILEPROP NULL_X51Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X51Y156 TILE_X -9696 TILEPROP NULL_X51Y156 TILE_Y 242296 TILEPROP NULL_X51Y156 TYPE NULL TILEPROP NULL_X52Y0 CLASS tile TILEPROP NULL_X52Y0 COLUMN 52 TILEPROP NULL_X52Y0 DEVICE_ID 0 TILEPROP NULL_X52Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X52Y0 GRID_POINT_X 52 TILEPROP NULL_X52Y0 GRID_POINT_Y 156 TILEPROP NULL_X52Y0 INDEX 17992 TILEPROP NULL_X52Y0 INT_TILE_X 19 TILEPROP NULL_X52Y0 INT_TILE_Y 149 TILEPROP NULL_X52Y0 IS_CENTER_TILE 0 TILEPROP NULL_X52Y0 IS_DCM_TILE 0 TILEPROP NULL_X52Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X52Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X52Y0 NAME NULL_X52Y0 TILEPROP NULL_X52Y0 NUM_ARCS 0 TILEPROP NULL_X52Y0 NUM_SITES 0 TILEPROP NULL_X52Y0 ROW 156 TILEPROP NULL_X52Y0 SLR_REGION_ID 0 TILEPROP NULL_X52Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X52Y0 TILE_TYPE NULL TILEPROP NULL_X52Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X52Y0 TILE_X -9000 TILEPROP NULL_X52Y0 TILE_Y -244472 TILEPROP NULL_X52Y0 TYPE NULL TILEPROP NULL_X52Y156 CLASS tile TILEPROP NULL_X52Y156 COLUMN 52 TILEPROP NULL_X52Y156 DEVICE_ID 0 TILEPROP NULL_X52Y156 FIRST_SITE_ID 0 TILEPROP NULL_X52Y156 GRID_POINT_X 52 TILEPROP NULL_X52Y156 GRID_POINT_Y 0 TILEPROP NULL_X52Y156 INDEX 52 TILEPROP NULL_X52Y156 INT_TILE_X -1 TILEPROP NULL_X52Y156 INT_TILE_Y -1 TILEPROP NULL_X52Y156 IS_CENTER_TILE 0 TILEPROP NULL_X52Y156 IS_DCM_TILE 0 TILEPROP NULL_X52Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X52Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X52Y156 NAME NULL_X52Y156 TILEPROP NULL_X52Y156 NUM_ARCS 0 TILEPROP NULL_X52Y156 NUM_SITES 0 TILEPROP NULL_X52Y156 ROW 0 TILEPROP NULL_X52Y156 SLR_REGION_ID 0 TILEPROP NULL_X52Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X52Y156 TILE_TYPE NULL TILEPROP NULL_X52Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X52Y156 TILE_X -9000 TILEPROP NULL_X52Y156 TILE_Y 242296 TILEPROP NULL_X52Y156 TYPE NULL TILEPROP NULL_X55Y0 CLASS tile TILEPROP NULL_X55Y0 COLUMN 55 TILEPROP NULL_X55Y0 DEVICE_ID 0 TILEPROP NULL_X55Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X55Y0 GRID_POINT_X 55 TILEPROP NULL_X55Y0 GRID_POINT_Y 156 TILEPROP NULL_X55Y0 INDEX 17995 TILEPROP NULL_X55Y0 INT_TILE_X 21 TILEPROP NULL_X55Y0 INT_TILE_Y 149 TILEPROP NULL_X55Y0 IS_CENTER_TILE 0 TILEPROP NULL_X55Y0 IS_DCM_TILE 0 TILEPROP NULL_X55Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X55Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X55Y0 NAME NULL_X55Y0 TILEPROP NULL_X55Y0 NUM_ARCS 0 TILEPROP NULL_X55Y0 NUM_SITES 0 TILEPROP NULL_X55Y0 ROW 156 TILEPROP NULL_X55Y0 SLR_REGION_ID 0 TILEPROP NULL_X55Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X55Y0 TILE_TYPE NULL TILEPROP NULL_X55Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X55Y0 TILE_X -1392 TILEPROP NULL_X55Y0 TILE_Y -244472 TILEPROP NULL_X55Y0 TYPE NULL TILEPROP NULL_X55Y156 CLASS tile TILEPROP NULL_X55Y156 COLUMN 55 TILEPROP NULL_X55Y156 DEVICE_ID 0 TILEPROP NULL_X55Y156 FIRST_SITE_ID 0 TILEPROP NULL_X55Y156 GRID_POINT_X 55 TILEPROP NULL_X55Y156 GRID_POINT_Y 0 TILEPROP NULL_X55Y156 INDEX 55 TILEPROP NULL_X55Y156 INT_TILE_X -1 TILEPROP NULL_X55Y156 INT_TILE_Y -1 TILEPROP NULL_X55Y156 IS_CENTER_TILE 0 TILEPROP NULL_X55Y156 IS_DCM_TILE 0 TILEPROP NULL_X55Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X55Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X55Y156 NAME NULL_X55Y156 TILEPROP NULL_X55Y156 NUM_ARCS 0 TILEPROP NULL_X55Y156 NUM_SITES 0 TILEPROP NULL_X55Y156 ROW 0 TILEPROP NULL_X55Y156 SLR_REGION_ID 0 TILEPROP NULL_X55Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X55Y156 TILE_TYPE NULL TILEPROP NULL_X55Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X55Y156 TILE_X -1392 TILEPROP NULL_X55Y156 TILE_Y 242296 TILEPROP NULL_X55Y156 TYPE NULL TILEPROP NULL_X56Y0 CLASS tile TILEPROP NULL_X56Y0 COLUMN 56 TILEPROP NULL_X56Y0 DEVICE_ID 0 TILEPROP NULL_X56Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X56Y0 GRID_POINT_X 56 TILEPROP NULL_X56Y0 GRID_POINT_Y 156 TILEPROP NULL_X56Y0 INDEX 17996 TILEPROP NULL_X56Y0 INT_TILE_X 21 TILEPROP NULL_X56Y0 INT_TILE_Y 149 TILEPROP NULL_X56Y0 IS_CENTER_TILE 0 TILEPROP NULL_X56Y0 IS_DCM_TILE 0 TILEPROP NULL_X56Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X56Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X56Y0 NAME NULL_X56Y0 TILEPROP NULL_X56Y0 NUM_ARCS 0 TILEPROP NULL_X56Y0 NUM_SITES 0 TILEPROP NULL_X56Y0 ROW 156 TILEPROP NULL_X56Y0 SLR_REGION_ID 0 TILEPROP NULL_X56Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X56Y0 TILE_TYPE NULL TILEPROP NULL_X56Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X56Y0 TILE_X -696 TILEPROP NULL_X56Y0 TILE_Y -244472 TILEPROP NULL_X56Y0 TYPE NULL TILEPROP NULL_X56Y156 CLASS tile TILEPROP NULL_X56Y156 COLUMN 56 TILEPROP NULL_X56Y156 DEVICE_ID 0 TILEPROP NULL_X56Y156 FIRST_SITE_ID 0 TILEPROP NULL_X56Y156 GRID_POINT_X 56 TILEPROP NULL_X56Y156 GRID_POINT_Y 0 TILEPROP NULL_X56Y156 INDEX 56 TILEPROP NULL_X56Y156 INT_TILE_X -1 TILEPROP NULL_X56Y156 INT_TILE_Y -1 TILEPROP NULL_X56Y156 IS_CENTER_TILE 0 TILEPROP NULL_X56Y156 IS_DCM_TILE 0 TILEPROP NULL_X56Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X56Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X56Y156 NAME NULL_X56Y156 TILEPROP NULL_X56Y156 NUM_ARCS 0 TILEPROP NULL_X56Y156 NUM_SITES 0 TILEPROP NULL_X56Y156 ROW 0 TILEPROP NULL_X56Y156 SLR_REGION_ID 0 TILEPROP NULL_X56Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X56Y156 TILE_TYPE NULL TILEPROP NULL_X56Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X56Y156 TILE_X -696 TILEPROP NULL_X56Y156 TILE_Y 242296 TILEPROP NULL_X56Y156 TYPE NULL TILEPROP NULL_X59Y0 CLASS tile TILEPROP NULL_X59Y0 COLUMN 59 TILEPROP NULL_X59Y0 DEVICE_ID 0 TILEPROP NULL_X59Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X59Y0 GRID_POINT_X 59 TILEPROP NULL_X59Y0 GRID_POINT_Y 156 TILEPROP NULL_X59Y0 INDEX 17999 TILEPROP NULL_X59Y0 INT_TILE_X 23 TILEPROP NULL_X59Y0 INT_TILE_Y 149 TILEPROP NULL_X59Y0 IS_CENTER_TILE 0 TILEPROP NULL_X59Y0 IS_DCM_TILE 0 TILEPROP NULL_X59Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X59Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X59Y0 NAME NULL_X59Y0 TILEPROP NULL_X59Y0 NUM_ARCS 0 TILEPROP NULL_X59Y0 NUM_SITES 0 TILEPROP NULL_X59Y0 ROW 156 TILEPROP NULL_X59Y0 SLR_REGION_ID 0 TILEPROP NULL_X59Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X59Y0 TILE_TYPE NULL TILEPROP NULL_X59Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X59Y0 TILE_X 6912 TILEPROP NULL_X59Y0 TILE_Y -244472 TILEPROP NULL_X59Y0 TYPE NULL TILEPROP NULL_X59Y52 CLASS tile TILEPROP NULL_X59Y52 COLUMN 59 TILEPROP NULL_X59Y52 DEVICE_ID 0 TILEPROP NULL_X59Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X59Y52 GRID_POINT_X 59 TILEPROP NULL_X59Y52 GRID_POINT_Y 104 TILEPROP NULL_X59Y52 INDEX 12019 TILEPROP NULL_X59Y52 INT_TILE_X 23 TILEPROP NULL_X59Y52 INT_TILE_Y 99 TILEPROP NULL_X59Y52 IS_CENTER_TILE 0 TILEPROP NULL_X59Y52 IS_DCM_TILE 0 TILEPROP NULL_X59Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X59Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X59Y52 NAME NULL_X59Y52 TILEPROP NULL_X59Y52 NUM_ARCS 0 TILEPROP NULL_X59Y52 NUM_SITES 0 TILEPROP NULL_X59Y52 ROW 104 TILEPROP NULL_X59Y52 SLR_REGION_ID 0 TILEPROP NULL_X59Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X59Y52 TILE_TYPE NULL TILEPROP NULL_X59Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X59Y52 TILE_X 6912 TILEPROP NULL_X59Y52 TILE_Y -80248 TILEPROP NULL_X59Y52 TYPE NULL TILEPROP NULL_X59Y104 CLASS tile TILEPROP NULL_X59Y104 COLUMN 59 TILEPROP NULL_X59Y104 DEVICE_ID 0 TILEPROP NULL_X59Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X59Y104 GRID_POINT_X 59 TILEPROP NULL_X59Y104 GRID_POINT_Y 52 TILEPROP NULL_X59Y104 INDEX 6039 TILEPROP NULL_X59Y104 INT_TILE_X 23 TILEPROP NULL_X59Y104 INT_TILE_Y 49 TILEPROP NULL_X59Y104 IS_CENTER_TILE 0 TILEPROP NULL_X59Y104 IS_DCM_TILE 0 TILEPROP NULL_X59Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X59Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X59Y104 NAME NULL_X59Y104 TILEPROP NULL_X59Y104 NUM_ARCS 0 TILEPROP NULL_X59Y104 NUM_SITES 0 TILEPROP NULL_X59Y104 ROW 52 TILEPROP NULL_X59Y104 SLR_REGION_ID 0 TILEPROP NULL_X59Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X59Y104 TILE_TYPE NULL TILEPROP NULL_X59Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X59Y104 TILE_X 6912 TILEPROP NULL_X59Y104 TILE_Y 81024 TILEPROP NULL_X59Y104 TYPE NULL TILEPROP NULL_X59Y156 CLASS tile TILEPROP NULL_X59Y156 COLUMN 59 TILEPROP NULL_X59Y156 DEVICE_ID 0 TILEPROP NULL_X59Y156 FIRST_SITE_ID 0 TILEPROP NULL_X59Y156 GRID_POINT_X 59 TILEPROP NULL_X59Y156 GRID_POINT_Y 0 TILEPROP NULL_X59Y156 INDEX 59 TILEPROP NULL_X59Y156 INT_TILE_X -1 TILEPROP NULL_X59Y156 INT_TILE_Y -1 TILEPROP NULL_X59Y156 IS_CENTER_TILE 0 TILEPROP NULL_X59Y156 IS_DCM_TILE 0 TILEPROP NULL_X59Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X59Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X59Y156 NAME NULL_X59Y156 TILEPROP NULL_X59Y156 NUM_ARCS 0 TILEPROP NULL_X59Y156 NUM_SITES 0 TILEPROP NULL_X59Y156 ROW 0 TILEPROP NULL_X59Y156 SLR_REGION_ID 0 TILEPROP NULL_X59Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X59Y156 TILE_TYPE NULL TILEPROP NULL_X59Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X59Y156 TILE_X 6912 TILEPROP NULL_X59Y156 TILE_Y 242296 TILEPROP NULL_X59Y156 TYPE NULL TILEPROP NULL_X60Y3 CLASS tile TILEPROP NULL_X60Y3 COLUMN 60 TILEPROP NULL_X60Y3 DEVICE_ID 0 TILEPROP NULL_X60Y3 FIRST_SITE_ID 15543 TILEPROP NULL_X60Y3 GRID_POINT_X 60 TILEPROP NULL_X60Y3 GRID_POINT_Y 153 TILEPROP NULL_X60Y3 INDEX 17655 TILEPROP NULL_X60Y3 INT_TILE_X 23 TILEPROP NULL_X60Y3 INT_TILE_Y 147 TILEPROP NULL_X60Y3 IS_CENTER_TILE 0 TILEPROP NULL_X60Y3 IS_DCM_TILE 0 TILEPROP NULL_X60Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y3 NAME NULL_X60Y3 TILEPROP NULL_X60Y3 NUM_ARCS 0 TILEPROP NULL_X60Y3 NUM_SITES 0 TILEPROP NULL_X60Y3 ROW 153 TILEPROP NULL_X60Y3 SLR_REGION_ID 0 TILEPROP NULL_X60Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y3 TILE_TYPE NULL TILEPROP NULL_X60Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y3 TILE_X 6976 TILEPROP NULL_X60Y3 TILE_Y -234872 TILEPROP NULL_X60Y3 TYPE NULL TILEPROP NULL_X60Y4 CLASS tile TILEPROP NULL_X60Y4 COLUMN 60 TILEPROP NULL_X60Y4 DEVICE_ID 0 TILEPROP NULL_X60Y4 FIRST_SITE_ID 15443 TILEPROP NULL_X60Y4 GRID_POINT_X 60 TILEPROP NULL_X60Y4 GRID_POINT_Y 152 TILEPROP NULL_X60Y4 INDEX 17540 TILEPROP NULL_X60Y4 INT_TILE_X 23 TILEPROP NULL_X60Y4 INT_TILE_Y 146 TILEPROP NULL_X60Y4 IS_CENTER_TILE 0 TILEPROP NULL_X60Y4 IS_DCM_TILE 0 TILEPROP NULL_X60Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y4 NAME NULL_X60Y4 TILEPROP NULL_X60Y4 NUM_ARCS 0 TILEPROP NULL_X60Y4 NUM_SITES 0 TILEPROP NULL_X60Y4 ROW 152 TILEPROP NULL_X60Y4 SLR_REGION_ID 0 TILEPROP NULL_X60Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y4 TILE_TYPE NULL TILEPROP NULL_X60Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y4 TILE_X 6976 TILEPROP NULL_X60Y4 TILE_Y -231672 TILEPROP NULL_X60Y4 TYPE NULL TILEPROP NULL_X60Y5 CLASS tile TILEPROP NULL_X60Y5 COLUMN 60 TILEPROP NULL_X60Y5 DEVICE_ID 0 TILEPROP NULL_X60Y5 FIRST_SITE_ID 15343 TILEPROP NULL_X60Y5 GRID_POINT_X 60 TILEPROP NULL_X60Y5 GRID_POINT_Y 151 TILEPROP NULL_X60Y5 INDEX 17425 TILEPROP NULL_X60Y5 INT_TILE_X 23 TILEPROP NULL_X60Y5 INT_TILE_Y 145 TILEPROP NULL_X60Y5 IS_CENTER_TILE 0 TILEPROP NULL_X60Y5 IS_DCM_TILE 0 TILEPROP NULL_X60Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y5 NAME NULL_X60Y5 TILEPROP NULL_X60Y5 NUM_ARCS 0 TILEPROP NULL_X60Y5 NUM_SITES 0 TILEPROP NULL_X60Y5 ROW 151 TILEPROP NULL_X60Y5 SLR_REGION_ID 0 TILEPROP NULL_X60Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y5 TILE_TYPE NULL TILEPROP NULL_X60Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y5 TILE_X 6976 TILEPROP NULL_X60Y5 TILE_Y -228472 TILEPROP NULL_X60Y5 TYPE NULL TILEPROP NULL_X60Y6 CLASS tile TILEPROP NULL_X60Y6 COLUMN 60 TILEPROP NULL_X60Y6 DEVICE_ID 0 TILEPROP NULL_X60Y6 FIRST_SITE_ID 15234 TILEPROP NULL_X60Y6 GRID_POINT_X 60 TILEPROP NULL_X60Y6 GRID_POINT_Y 150 TILEPROP NULL_X60Y6 INDEX 17310 TILEPROP NULL_X60Y6 INT_TILE_X 23 TILEPROP NULL_X60Y6 INT_TILE_Y 144 TILEPROP NULL_X60Y6 IS_CENTER_TILE 0 TILEPROP NULL_X60Y6 IS_DCM_TILE 0 TILEPROP NULL_X60Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y6 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y6 NAME NULL_X60Y6 TILEPROP NULL_X60Y6 NUM_ARCS 0 TILEPROP NULL_X60Y6 NUM_SITES 0 TILEPROP NULL_X60Y6 ROW 150 TILEPROP NULL_X60Y6 SLR_REGION_ID 0 TILEPROP NULL_X60Y6 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y6 TILE_TYPE NULL TILEPROP NULL_X60Y6 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y6 TILE_X 6976 TILEPROP NULL_X60Y6 TILE_Y -225272 TILEPROP NULL_X60Y6 TYPE NULL TILEPROP NULL_X60Y7 CLASS tile TILEPROP NULL_X60Y7 COLUMN 60 TILEPROP NULL_X60Y7 DEVICE_ID 0 TILEPROP NULL_X60Y7 FIRST_SITE_ID 15128 TILEPROP NULL_X60Y7 GRID_POINT_X 60 TILEPROP NULL_X60Y7 GRID_POINT_Y 149 TILEPROP NULL_X60Y7 INDEX 17195 TILEPROP NULL_X60Y7 INT_TILE_X 23 TILEPROP NULL_X60Y7 INT_TILE_Y 143 TILEPROP NULL_X60Y7 IS_CENTER_TILE 0 TILEPROP NULL_X60Y7 IS_DCM_TILE 0 TILEPROP NULL_X60Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y7 NAME NULL_X60Y7 TILEPROP NULL_X60Y7 NUM_ARCS 0 TILEPROP NULL_X60Y7 NUM_SITES 0 TILEPROP NULL_X60Y7 ROW 149 TILEPROP NULL_X60Y7 SLR_REGION_ID 0 TILEPROP NULL_X60Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y7 TILE_TYPE NULL TILEPROP NULL_X60Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y7 TILE_X 6976 TILEPROP NULL_X60Y7 TILE_Y -222072 TILEPROP NULL_X60Y7 TYPE NULL TILEPROP NULL_X60Y8 CLASS tile TILEPROP NULL_X60Y8 COLUMN 60 TILEPROP NULL_X60Y8 DEVICE_ID 0 TILEPROP NULL_X60Y8 FIRST_SITE_ID 15026 TILEPROP NULL_X60Y8 GRID_POINT_X 60 TILEPROP NULL_X60Y8 GRID_POINT_Y 148 TILEPROP NULL_X60Y8 INDEX 17080 TILEPROP NULL_X60Y8 INT_TILE_X 23 TILEPROP NULL_X60Y8 INT_TILE_Y 142 TILEPROP NULL_X60Y8 IS_CENTER_TILE 0 TILEPROP NULL_X60Y8 IS_DCM_TILE 0 TILEPROP NULL_X60Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y8 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y8 NAME NULL_X60Y8 TILEPROP NULL_X60Y8 NUM_ARCS 0 TILEPROP NULL_X60Y8 NUM_SITES 0 TILEPROP NULL_X60Y8 ROW 148 TILEPROP NULL_X60Y8 SLR_REGION_ID 0 TILEPROP NULL_X60Y8 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y8 TILE_TYPE NULL TILEPROP NULL_X60Y8 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y8 TILE_X 6976 TILEPROP NULL_X60Y8 TILE_Y -218872 TILEPROP NULL_X60Y8 TYPE NULL TILEPROP NULL_X60Y14 CLASS tile TILEPROP NULL_X60Y14 COLUMN 60 TILEPROP NULL_X60Y14 DEVICE_ID 0 TILEPROP NULL_X60Y14 FIRST_SITE_ID 14375 TILEPROP NULL_X60Y14 GRID_POINT_X 60 TILEPROP NULL_X60Y14 GRID_POINT_Y 142 TILEPROP NULL_X60Y14 INDEX 16390 TILEPROP NULL_X60Y14 INT_TILE_X 23 TILEPROP NULL_X60Y14 INT_TILE_Y 136 TILEPROP NULL_X60Y14 IS_CENTER_TILE 0 TILEPROP NULL_X60Y14 IS_DCM_TILE 0 TILEPROP NULL_X60Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y14 NAME NULL_X60Y14 TILEPROP NULL_X60Y14 NUM_ARCS 0 TILEPROP NULL_X60Y14 NUM_SITES 0 TILEPROP NULL_X60Y14 ROW 142 TILEPROP NULL_X60Y14 SLR_REGION_ID 0 TILEPROP NULL_X60Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y14 TILE_TYPE NULL TILEPROP NULL_X60Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y14 TILE_X 6976 TILEPROP NULL_X60Y14 TILE_Y -199672 TILEPROP NULL_X60Y14 TYPE NULL TILEPROP NULL_X60Y22 CLASS tile TILEPROP NULL_X60Y22 COLUMN 60 TILEPROP NULL_X60Y22 DEVICE_ID 0 TILEPROP NULL_X60Y22 FIRST_SITE_ID 13532 TILEPROP NULL_X60Y22 GRID_POINT_X 60 TILEPROP NULL_X60Y22 GRID_POINT_Y 134 TILEPROP NULL_X60Y22 INDEX 15470 TILEPROP NULL_X60Y22 INT_TILE_X 23 TILEPROP NULL_X60Y22 INT_TILE_Y 128 TILEPROP NULL_X60Y22 IS_CENTER_TILE 0 TILEPROP NULL_X60Y22 IS_DCM_TILE 0 TILEPROP NULL_X60Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y22 NAME NULL_X60Y22 TILEPROP NULL_X60Y22 NUM_ARCS 0 TILEPROP NULL_X60Y22 NUM_SITES 0 TILEPROP NULL_X60Y22 ROW 134 TILEPROP NULL_X60Y22 SLR_REGION_ID 0 TILEPROP NULL_X60Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y22 TILE_TYPE NULL TILEPROP NULL_X60Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y22 TILE_X 6976 TILEPROP NULL_X60Y22 TILE_Y -174072 TILEPROP NULL_X60Y22 TYPE NULL TILEPROP NULL_X60Y23 CLASS tile TILEPROP NULL_X60Y23 COLUMN 60 TILEPROP NULL_X60Y23 DEVICE_ID 0 TILEPROP NULL_X60Y23 FIRST_SITE_ID 13432 TILEPROP NULL_X60Y23 GRID_POINT_X 60 TILEPROP NULL_X60Y23 GRID_POINT_Y 133 TILEPROP NULL_X60Y23 INDEX 15355 TILEPROP NULL_X60Y23 INT_TILE_X 23 TILEPROP NULL_X60Y23 INT_TILE_Y 127 TILEPROP NULL_X60Y23 IS_CENTER_TILE 0 TILEPROP NULL_X60Y23 IS_DCM_TILE 0 TILEPROP NULL_X60Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y23 NAME NULL_X60Y23 TILEPROP NULL_X60Y23 NUM_ARCS 0 TILEPROP NULL_X60Y23 NUM_SITES 0 TILEPROP NULL_X60Y23 ROW 133 TILEPROP NULL_X60Y23 SLR_REGION_ID 0 TILEPROP NULL_X60Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y23 TILE_TYPE NULL TILEPROP NULL_X60Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y23 TILE_X 6976 TILEPROP NULL_X60Y23 TILE_Y -170872 TILEPROP NULL_X60Y23 TYPE NULL TILEPROP NULL_X60Y24 CLASS tile TILEPROP NULL_X60Y24 COLUMN 60 TILEPROP NULL_X60Y24 DEVICE_ID 0 TILEPROP NULL_X60Y24 FIRST_SITE_ID 13332 TILEPROP NULL_X60Y24 GRID_POINT_X 60 TILEPROP NULL_X60Y24 GRID_POINT_Y 132 TILEPROP NULL_X60Y24 INDEX 15240 TILEPROP NULL_X60Y24 INT_TILE_X 23 TILEPROP NULL_X60Y24 INT_TILE_Y 126 TILEPROP NULL_X60Y24 IS_CENTER_TILE 0 TILEPROP NULL_X60Y24 IS_DCM_TILE 0 TILEPROP NULL_X60Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y24 NAME NULL_X60Y24 TILEPROP NULL_X60Y24 NUM_ARCS 0 TILEPROP NULL_X60Y24 NUM_SITES 0 TILEPROP NULL_X60Y24 ROW 132 TILEPROP NULL_X60Y24 SLR_REGION_ID 0 TILEPROP NULL_X60Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y24 TILE_TYPE NULL TILEPROP NULL_X60Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y24 TILE_X 6976 TILEPROP NULL_X60Y24 TILE_Y -167672 TILEPROP NULL_X60Y24 TYPE NULL TILEPROP NULL_X60Y25 CLASS tile TILEPROP NULL_X60Y25 COLUMN 60 TILEPROP NULL_X60Y25 DEVICE_ID 0 TILEPROP NULL_X60Y25 FIRST_SITE_ID 13232 TILEPROP NULL_X60Y25 GRID_POINT_X 60 TILEPROP NULL_X60Y25 GRID_POINT_Y 131 TILEPROP NULL_X60Y25 INDEX 15125 TILEPROP NULL_X60Y25 INT_TILE_X 23 TILEPROP NULL_X60Y25 INT_TILE_Y 125 TILEPROP NULL_X60Y25 IS_CENTER_TILE 0 TILEPROP NULL_X60Y25 IS_DCM_TILE 0 TILEPROP NULL_X60Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y25 NAME NULL_X60Y25 TILEPROP NULL_X60Y25 NUM_ARCS 0 TILEPROP NULL_X60Y25 NUM_SITES 0 TILEPROP NULL_X60Y25 ROW 131 TILEPROP NULL_X60Y25 SLR_REGION_ID 0 TILEPROP NULL_X60Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y25 TILE_TYPE NULL TILEPROP NULL_X60Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y25 TILE_X 6976 TILEPROP NULL_X60Y25 TILE_Y -164472 TILEPROP NULL_X60Y25 TYPE NULL TILEPROP NULL_X60Y27 CLASS tile TILEPROP NULL_X60Y27 COLUMN 60 TILEPROP NULL_X60Y27 DEVICE_ID 0 TILEPROP NULL_X60Y27 FIRST_SITE_ID 13040 TILEPROP NULL_X60Y27 GRID_POINT_X 60 TILEPROP NULL_X60Y27 GRID_POINT_Y 129 TILEPROP NULL_X60Y27 INDEX 14895 TILEPROP NULL_X60Y27 INT_TILE_X 23 TILEPROP NULL_X60Y27 INT_TILE_Y 124 TILEPROP NULL_X60Y27 IS_CENTER_TILE 0 TILEPROP NULL_X60Y27 IS_DCM_TILE 0 TILEPROP NULL_X60Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y27 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y27 NAME NULL_X60Y27 TILEPROP NULL_X60Y27 NUM_ARCS 0 TILEPROP NULL_X60Y27 NUM_SITES 0 TILEPROP NULL_X60Y27 ROW 129 TILEPROP NULL_X60Y27 SLR_REGION_ID 0 TILEPROP NULL_X60Y27 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y27 TILE_TYPE NULL TILEPROP NULL_X60Y27 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y27 TILE_X 6976 TILEPROP NULL_X60Y27 TILE_Y -160248 TILEPROP NULL_X60Y27 TYPE NULL TILEPROP NULL_X60Y28 CLASS tile TILEPROP NULL_X60Y28 COLUMN 60 TILEPROP NULL_X60Y28 DEVICE_ID 0 TILEPROP NULL_X60Y28 FIRST_SITE_ID 12934 TILEPROP NULL_X60Y28 GRID_POINT_X 60 TILEPROP NULL_X60Y28 GRID_POINT_Y 128 TILEPROP NULL_X60Y28 INDEX 14780 TILEPROP NULL_X60Y28 INT_TILE_X 23 TILEPROP NULL_X60Y28 INT_TILE_Y 123 TILEPROP NULL_X60Y28 IS_CENTER_TILE 0 TILEPROP NULL_X60Y28 IS_DCM_TILE 0 TILEPROP NULL_X60Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y28 NAME NULL_X60Y28 TILEPROP NULL_X60Y28 NUM_ARCS 0 TILEPROP NULL_X60Y28 NUM_SITES 0 TILEPROP NULL_X60Y28 ROW 128 TILEPROP NULL_X60Y28 SLR_REGION_ID 0 TILEPROP NULL_X60Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y28 TILE_TYPE NULL TILEPROP NULL_X60Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y28 TILE_X 6976 TILEPROP NULL_X60Y28 TILE_Y -157048 TILEPROP NULL_X60Y28 TYPE NULL TILEPROP NULL_X60Y29 CLASS tile TILEPROP NULL_X60Y29 COLUMN 60 TILEPROP NULL_X60Y29 DEVICE_ID 0 TILEPROP NULL_X60Y29 FIRST_SITE_ID 12834 TILEPROP NULL_X60Y29 GRID_POINT_X 60 TILEPROP NULL_X60Y29 GRID_POINT_Y 127 TILEPROP NULL_X60Y29 INDEX 14665 TILEPROP NULL_X60Y29 INT_TILE_X 23 TILEPROP NULL_X60Y29 INT_TILE_Y 122 TILEPROP NULL_X60Y29 IS_CENTER_TILE 0 TILEPROP NULL_X60Y29 IS_DCM_TILE 0 TILEPROP NULL_X60Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y29 NAME NULL_X60Y29 TILEPROP NULL_X60Y29 NUM_ARCS 0 TILEPROP NULL_X60Y29 NUM_SITES 0 TILEPROP NULL_X60Y29 ROW 127 TILEPROP NULL_X60Y29 SLR_REGION_ID 0 TILEPROP NULL_X60Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y29 TILE_TYPE NULL TILEPROP NULL_X60Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y29 TILE_X 6976 TILEPROP NULL_X60Y29 TILE_Y -153848 TILEPROP NULL_X60Y29 TYPE NULL TILEPROP NULL_X60Y30 CLASS tile TILEPROP NULL_X60Y30 COLUMN 60 TILEPROP NULL_X60Y30 DEVICE_ID 0 TILEPROP NULL_X60Y30 FIRST_SITE_ID 12734 TILEPROP NULL_X60Y30 GRID_POINT_X 60 TILEPROP NULL_X60Y30 GRID_POINT_Y 126 TILEPROP NULL_X60Y30 INDEX 14550 TILEPROP NULL_X60Y30 INT_TILE_X 23 TILEPROP NULL_X60Y30 INT_TILE_Y 121 TILEPROP NULL_X60Y30 IS_CENTER_TILE 0 TILEPROP NULL_X60Y30 IS_DCM_TILE 0 TILEPROP NULL_X60Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y30 NAME NULL_X60Y30 TILEPROP NULL_X60Y30 NUM_ARCS 0 TILEPROP NULL_X60Y30 NUM_SITES 0 TILEPROP NULL_X60Y30 ROW 126 TILEPROP NULL_X60Y30 SLR_REGION_ID 0 TILEPROP NULL_X60Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y30 TILE_TYPE NULL TILEPROP NULL_X60Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y30 TILE_X 6976 TILEPROP NULL_X60Y30 TILE_Y -150648 TILEPROP NULL_X60Y30 TYPE NULL TILEPROP NULL_X60Y39 CLASS tile TILEPROP NULL_X60Y39 COLUMN 60 TILEPROP NULL_X60Y39 DEVICE_ID 0 TILEPROP NULL_X60Y39 FIRST_SITE_ID 11742 TILEPROP NULL_X60Y39 GRID_POINT_X 60 TILEPROP NULL_X60Y39 GRID_POINT_Y 117 TILEPROP NULL_X60Y39 INDEX 13515 TILEPROP NULL_X60Y39 INT_TILE_X 23 TILEPROP NULL_X60Y39 INT_TILE_Y 112 TILEPROP NULL_X60Y39 IS_CENTER_TILE 0 TILEPROP NULL_X60Y39 IS_DCM_TILE 0 TILEPROP NULL_X60Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y39 NAME NULL_X60Y39 TILEPROP NULL_X60Y39 NUM_ARCS 0 TILEPROP NULL_X60Y39 NUM_SITES 0 TILEPROP NULL_X60Y39 ROW 117 TILEPROP NULL_X60Y39 SLR_REGION_ID 0 TILEPROP NULL_X60Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y39 TILE_TYPE NULL TILEPROP NULL_X60Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y39 TILE_X 6976 TILEPROP NULL_X60Y39 TILE_Y -121848 TILEPROP NULL_X60Y39 TYPE NULL TILEPROP NULL_X60Y49 CLASS tile TILEPROP NULL_X60Y49 COLUMN 60 TILEPROP NULL_X60Y49 DEVICE_ID 0 TILEPROP NULL_X60Y49 FIRST_SITE_ID 10686 TILEPROP NULL_X60Y49 GRID_POINT_X 60 TILEPROP NULL_X60Y49 GRID_POINT_Y 107 TILEPROP NULL_X60Y49 INDEX 12365 TILEPROP NULL_X60Y49 INT_TILE_X 23 TILEPROP NULL_X60Y49 INT_TILE_Y 102 TILEPROP NULL_X60Y49 IS_CENTER_TILE 0 TILEPROP NULL_X60Y49 IS_DCM_TILE 0 TILEPROP NULL_X60Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y49 NAME NULL_X60Y49 TILEPROP NULL_X60Y49 NUM_ARCS 0 TILEPROP NULL_X60Y49 NUM_SITES 0 TILEPROP NULL_X60Y49 ROW 107 TILEPROP NULL_X60Y49 SLR_REGION_ID 0 TILEPROP NULL_X60Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y49 TILE_TYPE NULL TILEPROP NULL_X60Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y49 TILE_X 6976 TILEPROP NULL_X60Y49 TILE_Y -89848 TILEPROP NULL_X60Y49 TYPE NULL TILEPROP NULL_X60Y50 CLASS tile TILEPROP NULL_X60Y50 COLUMN 60 TILEPROP NULL_X60Y50 DEVICE_ID 0 TILEPROP NULL_X60Y50 FIRST_SITE_ID 10586 TILEPROP NULL_X60Y50 GRID_POINT_X 60 TILEPROP NULL_X60Y50 GRID_POINT_Y 106 TILEPROP NULL_X60Y50 INDEX 12250 TILEPROP NULL_X60Y50 INT_TILE_X 23 TILEPROP NULL_X60Y50 INT_TILE_Y 101 TILEPROP NULL_X60Y50 IS_CENTER_TILE 0 TILEPROP NULL_X60Y50 IS_DCM_TILE 0 TILEPROP NULL_X60Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y50 NAME NULL_X60Y50 TILEPROP NULL_X60Y50 NUM_ARCS 0 TILEPROP NULL_X60Y50 NUM_SITES 0 TILEPROP NULL_X60Y50 ROW 106 TILEPROP NULL_X60Y50 SLR_REGION_ID 0 TILEPROP NULL_X60Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y50 TILE_TYPE NULL TILEPROP NULL_X60Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y50 TILE_X 6976 TILEPROP NULL_X60Y50 TILE_Y -86648 TILEPROP NULL_X60Y50 TYPE NULL TILEPROP NULL_X60Y51 CLASS tile TILEPROP NULL_X60Y51 COLUMN 60 TILEPROP NULL_X60Y51 DEVICE_ID 0 TILEPROP NULL_X60Y51 FIRST_SITE_ID 10490 TILEPROP NULL_X60Y51 GRID_POINT_X 60 TILEPROP NULL_X60Y51 GRID_POINT_Y 105 TILEPROP NULL_X60Y51 INDEX 12135 TILEPROP NULL_X60Y51 INT_TILE_X 23 TILEPROP NULL_X60Y51 INT_TILE_Y 100 TILEPROP NULL_X60Y51 IS_CENTER_TILE 0 TILEPROP NULL_X60Y51 IS_DCM_TILE 0 TILEPROP NULL_X60Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y51 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y51 NAME NULL_X60Y51 TILEPROP NULL_X60Y51 NUM_ARCS 0 TILEPROP NULL_X60Y51 NUM_SITES 0 TILEPROP NULL_X60Y51 ROW 105 TILEPROP NULL_X60Y51 SLR_REGION_ID 0 TILEPROP NULL_X60Y51 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y51 TILE_TYPE NULL TILEPROP NULL_X60Y51 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y51 TILE_X 6976 TILEPROP NULL_X60Y51 TILE_Y -83448 TILEPROP NULL_X60Y51 TYPE NULL TILEPROP NULL_X60Y54 CLASS tile TILEPROP NULL_X60Y54 COLUMN 60 TILEPROP NULL_X60Y54 DEVICE_ID 0 TILEPROP NULL_X60Y54 FIRST_SITE_ID 10255 TILEPROP NULL_X60Y54 GRID_POINT_X 60 TILEPROP NULL_X60Y54 GRID_POINT_Y 102 TILEPROP NULL_X60Y54 INDEX 11790 TILEPROP NULL_X60Y54 INT_TILE_X 23 TILEPROP NULL_X60Y54 INT_TILE_Y 98 TILEPROP NULL_X60Y54 IS_CENTER_TILE 0 TILEPROP NULL_X60Y54 IS_DCM_TILE 0 TILEPROP NULL_X60Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y54 NAME NULL_X60Y54 TILEPROP NULL_X60Y54 NUM_ARCS 0 TILEPROP NULL_X60Y54 NUM_SITES 0 TILEPROP NULL_X60Y54 ROW 102 TILEPROP NULL_X60Y54 SLR_REGION_ID 0 TILEPROP NULL_X60Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y54 TILE_TYPE NULL TILEPROP NULL_X60Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y54 TILE_X 6976 TILEPROP NULL_X60Y54 TILE_Y -76800 TILEPROP NULL_X60Y54 TYPE NULL TILEPROP NULL_X60Y55 CLASS tile TILEPROP NULL_X60Y55 COLUMN 60 TILEPROP NULL_X60Y55 DEVICE_ID 0 TILEPROP NULL_X60Y55 FIRST_SITE_ID 10155 TILEPROP NULL_X60Y55 GRID_POINT_X 60 TILEPROP NULL_X60Y55 GRID_POINT_Y 101 TILEPROP NULL_X60Y55 INDEX 11675 TILEPROP NULL_X60Y55 INT_TILE_X 23 TILEPROP NULL_X60Y55 INT_TILE_Y 97 TILEPROP NULL_X60Y55 IS_CENTER_TILE 0 TILEPROP NULL_X60Y55 IS_DCM_TILE 0 TILEPROP NULL_X60Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y55 NAME NULL_X60Y55 TILEPROP NULL_X60Y55 NUM_ARCS 0 TILEPROP NULL_X60Y55 NUM_SITES 0 TILEPROP NULL_X60Y55 ROW 101 TILEPROP NULL_X60Y55 SLR_REGION_ID 0 TILEPROP NULL_X60Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y55 TILE_TYPE NULL TILEPROP NULL_X60Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y55 TILE_X 6976 TILEPROP NULL_X60Y55 TILE_Y -73600 TILEPROP NULL_X60Y55 TYPE NULL TILEPROP NULL_X60Y56 CLASS tile TILEPROP NULL_X60Y56 COLUMN 60 TILEPROP NULL_X60Y56 DEVICE_ID 0 TILEPROP NULL_X60Y56 FIRST_SITE_ID 10055 TILEPROP NULL_X60Y56 GRID_POINT_X 60 TILEPROP NULL_X60Y56 GRID_POINT_Y 100 TILEPROP NULL_X60Y56 INDEX 11560 TILEPROP NULL_X60Y56 INT_TILE_X 23 TILEPROP NULL_X60Y56 INT_TILE_Y 96 TILEPROP NULL_X60Y56 IS_CENTER_TILE 0 TILEPROP NULL_X60Y56 IS_DCM_TILE 0 TILEPROP NULL_X60Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y56 NAME NULL_X60Y56 TILEPROP NULL_X60Y56 NUM_ARCS 0 TILEPROP NULL_X60Y56 NUM_SITES 0 TILEPROP NULL_X60Y56 ROW 100 TILEPROP NULL_X60Y56 SLR_REGION_ID 0 TILEPROP NULL_X60Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y56 TILE_TYPE NULL TILEPROP NULL_X60Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y56 TILE_X 6976 TILEPROP NULL_X60Y56 TILE_Y -70400 TILEPROP NULL_X60Y56 TYPE NULL TILEPROP NULL_X60Y66 CLASS tile TILEPROP NULL_X60Y66 COLUMN 60 TILEPROP NULL_X60Y66 DEVICE_ID 0 TILEPROP NULL_X60Y66 FIRST_SITE_ID 8987 TILEPROP NULL_X60Y66 GRID_POINT_X 60 TILEPROP NULL_X60Y66 GRID_POINT_Y 90 TILEPROP NULL_X60Y66 INDEX 10410 TILEPROP NULL_X60Y66 INT_TILE_X 23 TILEPROP NULL_X60Y66 INT_TILE_Y 86 TILEPROP NULL_X60Y66 IS_CENTER_TILE 0 TILEPROP NULL_X60Y66 IS_DCM_TILE 0 TILEPROP NULL_X60Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y66 NAME NULL_X60Y66 TILEPROP NULL_X60Y66 NUM_ARCS 0 TILEPROP NULL_X60Y66 NUM_SITES 0 TILEPROP NULL_X60Y66 ROW 90 TILEPROP NULL_X60Y66 SLR_REGION_ID 0 TILEPROP NULL_X60Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y66 TILE_TYPE NULL TILEPROP NULL_X60Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y66 TILE_X 6976 TILEPROP NULL_X60Y66 TILE_Y -38400 TILEPROP NULL_X60Y66 TYPE NULL TILEPROP NULL_X60Y74 CLASS tile TILEPROP NULL_X60Y74 COLUMN 60 TILEPROP NULL_X60Y74 DEVICE_ID 0 TILEPROP NULL_X60Y74 FIRST_SITE_ID 8145 TILEPROP NULL_X60Y74 GRID_POINT_X 60 TILEPROP NULL_X60Y74 GRID_POINT_Y 82 TILEPROP NULL_X60Y74 INDEX 9490 TILEPROP NULL_X60Y74 INT_TILE_X 23 TILEPROP NULL_X60Y74 INT_TILE_Y 78 TILEPROP NULL_X60Y74 IS_CENTER_TILE 0 TILEPROP NULL_X60Y74 IS_DCM_TILE 0 TILEPROP NULL_X60Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y74 NAME NULL_X60Y74 TILEPROP NULL_X60Y74 NUM_ARCS 0 TILEPROP NULL_X60Y74 NUM_SITES 0 TILEPROP NULL_X60Y74 ROW 82 TILEPROP NULL_X60Y74 SLR_REGION_ID 0 TILEPROP NULL_X60Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y74 TILE_TYPE NULL TILEPROP NULL_X60Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y74 TILE_X 6976 TILEPROP NULL_X60Y74 TILE_Y -12800 TILEPROP NULL_X60Y74 TYPE NULL TILEPROP NULL_X60Y75 CLASS tile TILEPROP NULL_X60Y75 COLUMN 60 TILEPROP NULL_X60Y75 DEVICE_ID 0 TILEPROP NULL_X60Y75 FIRST_SITE_ID 8045 TILEPROP NULL_X60Y75 GRID_POINT_X 60 TILEPROP NULL_X60Y75 GRID_POINT_Y 81 TILEPROP NULL_X60Y75 INDEX 9375 TILEPROP NULL_X60Y75 INT_TILE_X 23 TILEPROP NULL_X60Y75 INT_TILE_Y 77 TILEPROP NULL_X60Y75 IS_CENTER_TILE 0 TILEPROP NULL_X60Y75 IS_DCM_TILE 0 TILEPROP NULL_X60Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y75 NAME NULL_X60Y75 TILEPROP NULL_X60Y75 NUM_ARCS 0 TILEPROP NULL_X60Y75 NUM_SITES 0 TILEPROP NULL_X60Y75 ROW 81 TILEPROP NULL_X60Y75 SLR_REGION_ID 0 TILEPROP NULL_X60Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y75 TILE_TYPE NULL TILEPROP NULL_X60Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y75 TILE_X 6976 TILEPROP NULL_X60Y75 TILE_Y -9600 TILEPROP NULL_X60Y75 TYPE NULL TILEPROP NULL_X60Y76 CLASS tile TILEPROP NULL_X60Y76 COLUMN 60 TILEPROP NULL_X60Y76 DEVICE_ID 0 TILEPROP NULL_X60Y76 FIRST_SITE_ID 7945 TILEPROP NULL_X60Y76 GRID_POINT_X 60 TILEPROP NULL_X60Y76 GRID_POINT_Y 80 TILEPROP NULL_X60Y76 INDEX 9260 TILEPROP NULL_X60Y76 INT_TILE_X 23 TILEPROP NULL_X60Y76 INT_TILE_Y 76 TILEPROP NULL_X60Y76 IS_CENTER_TILE 0 TILEPROP NULL_X60Y76 IS_DCM_TILE 0 TILEPROP NULL_X60Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y76 NAME NULL_X60Y76 TILEPROP NULL_X60Y76 NUM_ARCS 0 TILEPROP NULL_X60Y76 NUM_SITES 0 TILEPROP NULL_X60Y76 ROW 80 TILEPROP NULL_X60Y76 SLR_REGION_ID 0 TILEPROP NULL_X60Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y76 TILE_TYPE NULL TILEPROP NULL_X60Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y76 TILE_X 6976 TILEPROP NULL_X60Y76 TILE_Y -6400 TILEPROP NULL_X60Y76 TYPE NULL TILEPROP NULL_X60Y77 CLASS tile TILEPROP NULL_X60Y77 COLUMN 60 TILEPROP NULL_X60Y77 DEVICE_ID 0 TILEPROP NULL_X60Y77 FIRST_SITE_ID 7845 TILEPROP NULL_X60Y77 GRID_POINT_X 60 TILEPROP NULL_X60Y77 GRID_POINT_Y 79 TILEPROP NULL_X60Y77 INDEX 9145 TILEPROP NULL_X60Y77 INT_TILE_X 23 TILEPROP NULL_X60Y77 INT_TILE_Y 75 TILEPROP NULL_X60Y77 IS_CENTER_TILE 0 TILEPROP NULL_X60Y77 IS_DCM_TILE 0 TILEPROP NULL_X60Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y77 NAME NULL_X60Y77 TILEPROP NULL_X60Y77 NUM_ARCS 0 TILEPROP NULL_X60Y77 NUM_SITES 0 TILEPROP NULL_X60Y77 ROW 79 TILEPROP NULL_X60Y77 SLR_REGION_ID 0 TILEPROP NULL_X60Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y77 TILE_TYPE NULL TILEPROP NULL_X60Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y77 TILE_X 6976 TILEPROP NULL_X60Y77 TILE_Y -3200 TILEPROP NULL_X60Y77 TYPE NULL TILEPROP NULL_X60Y79 CLASS tile TILEPROP NULL_X60Y79 COLUMN 60 TILEPROP NULL_X60Y79 DEVICE_ID 0 TILEPROP NULL_X60Y79 FIRST_SITE_ID 7653 TILEPROP NULL_X60Y79 GRID_POINT_X 60 TILEPROP NULL_X60Y79 GRID_POINT_Y 77 TILEPROP NULL_X60Y79 INDEX 8915 TILEPROP NULL_X60Y79 INT_TILE_X 23 TILEPROP NULL_X60Y79 INT_TILE_Y 74 TILEPROP NULL_X60Y79 IS_CENTER_TILE 0 TILEPROP NULL_X60Y79 IS_DCM_TILE 0 TILEPROP NULL_X60Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y79 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y79 NAME NULL_X60Y79 TILEPROP NULL_X60Y79 NUM_ARCS 0 TILEPROP NULL_X60Y79 NUM_SITES 0 TILEPROP NULL_X60Y79 ROW 77 TILEPROP NULL_X60Y79 SLR_REGION_ID 0 TILEPROP NULL_X60Y79 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y79 TILE_TYPE NULL TILEPROP NULL_X60Y79 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y79 TILE_X 6976 TILEPROP NULL_X60Y79 TILE_Y 1024 TILEPROP NULL_X60Y79 TYPE NULL TILEPROP NULL_X60Y80 CLASS tile TILEPROP NULL_X60Y80 COLUMN 60 TILEPROP NULL_X60Y80 DEVICE_ID 0 TILEPROP NULL_X60Y80 FIRST_SITE_ID 7544 TILEPROP NULL_X60Y80 GRID_POINT_X 60 TILEPROP NULL_X60Y80 GRID_POINT_Y 76 TILEPROP NULL_X60Y80 INDEX 8800 TILEPROP NULL_X60Y80 INT_TILE_X 23 TILEPROP NULL_X60Y80 INT_TILE_Y 73 TILEPROP NULL_X60Y80 IS_CENTER_TILE 0 TILEPROP NULL_X60Y80 IS_DCM_TILE 0 TILEPROP NULL_X60Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y80 NAME NULL_X60Y80 TILEPROP NULL_X60Y80 NUM_ARCS 0 TILEPROP NULL_X60Y80 NUM_SITES 0 TILEPROP NULL_X60Y80 ROW 76 TILEPROP NULL_X60Y80 SLR_REGION_ID 0 TILEPROP NULL_X60Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y80 TILE_TYPE NULL TILEPROP NULL_X60Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y80 TILE_X 6976 TILEPROP NULL_X60Y80 TILE_Y 4224 TILEPROP NULL_X60Y80 TYPE NULL TILEPROP NULL_X60Y81 CLASS tile TILEPROP NULL_X60Y81 COLUMN 60 TILEPROP NULL_X60Y81 DEVICE_ID 0 TILEPROP NULL_X60Y81 FIRST_SITE_ID 7444 TILEPROP NULL_X60Y81 GRID_POINT_X 60 TILEPROP NULL_X60Y81 GRID_POINT_Y 75 TILEPROP NULL_X60Y81 INDEX 8685 TILEPROP NULL_X60Y81 INT_TILE_X 23 TILEPROP NULL_X60Y81 INT_TILE_Y 72 TILEPROP NULL_X60Y81 IS_CENTER_TILE 0 TILEPROP NULL_X60Y81 IS_DCM_TILE 0 TILEPROP NULL_X60Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y81 NAME NULL_X60Y81 TILEPROP NULL_X60Y81 NUM_ARCS 0 TILEPROP NULL_X60Y81 NUM_SITES 0 TILEPROP NULL_X60Y81 ROW 75 TILEPROP NULL_X60Y81 SLR_REGION_ID 0 TILEPROP NULL_X60Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y81 TILE_TYPE NULL TILEPROP NULL_X60Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y81 TILE_X 6976 TILEPROP NULL_X60Y81 TILE_Y 7424 TILEPROP NULL_X60Y81 TYPE NULL TILEPROP NULL_X60Y82 CLASS tile TILEPROP NULL_X60Y82 COLUMN 60 TILEPROP NULL_X60Y82 DEVICE_ID 0 TILEPROP NULL_X60Y82 FIRST_SITE_ID 7344 TILEPROP NULL_X60Y82 GRID_POINT_X 60 TILEPROP NULL_X60Y82 GRID_POINT_Y 74 TILEPROP NULL_X60Y82 INDEX 8570 TILEPROP NULL_X60Y82 INT_TILE_X 23 TILEPROP NULL_X60Y82 INT_TILE_Y 71 TILEPROP NULL_X60Y82 IS_CENTER_TILE 0 TILEPROP NULL_X60Y82 IS_DCM_TILE 0 TILEPROP NULL_X60Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y82 NAME NULL_X60Y82 TILEPROP NULL_X60Y82 NUM_ARCS 0 TILEPROP NULL_X60Y82 NUM_SITES 0 TILEPROP NULL_X60Y82 ROW 74 TILEPROP NULL_X60Y82 SLR_REGION_ID 0 TILEPROP NULL_X60Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y82 TILE_TYPE NULL TILEPROP NULL_X60Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y82 TILE_X 6976 TILEPROP NULL_X60Y82 TILE_Y 10624 TILEPROP NULL_X60Y82 TYPE NULL TILEPROP NULL_X60Y91 CLASS tile TILEPROP NULL_X60Y91 COLUMN 60 TILEPROP NULL_X60Y91 DEVICE_ID 0 TILEPROP NULL_X60Y91 FIRST_SITE_ID 6366 TILEPROP NULL_X60Y91 GRID_POINT_X 60 TILEPROP NULL_X60Y91 GRID_POINT_Y 65 TILEPROP NULL_X60Y91 INDEX 7535 TILEPROP NULL_X60Y91 INT_TILE_X 23 TILEPROP NULL_X60Y91 INT_TILE_Y 62 TILEPROP NULL_X60Y91 IS_CENTER_TILE 0 TILEPROP NULL_X60Y91 IS_DCM_TILE 0 TILEPROP NULL_X60Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y91 NAME NULL_X60Y91 TILEPROP NULL_X60Y91 NUM_ARCS 0 TILEPROP NULL_X60Y91 NUM_SITES 0 TILEPROP NULL_X60Y91 ROW 65 TILEPROP NULL_X60Y91 SLR_REGION_ID 0 TILEPROP NULL_X60Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y91 TILE_TYPE NULL TILEPROP NULL_X60Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y91 TILE_X 6976 TILEPROP NULL_X60Y91 TILE_Y 39424 TILEPROP NULL_X60Y91 TYPE NULL TILEPROP NULL_X60Y118 CLASS tile TILEPROP NULL_X60Y118 COLUMN 60 TILEPROP NULL_X60Y118 DEVICE_ID 0 TILEPROP NULL_X60Y118 FIRST_SITE_ID 3796 TILEPROP NULL_X60Y118 GRID_POINT_X 60 TILEPROP NULL_X60Y118 GRID_POINT_Y 38 TILEPROP NULL_X60Y118 INDEX 4430 TILEPROP NULL_X60Y118 INT_TILE_X 23 TILEPROP NULL_X60Y118 INT_TILE_Y 36 TILEPROP NULL_X60Y118 IS_CENTER_TILE 0 TILEPROP NULL_X60Y118 IS_DCM_TILE 0 TILEPROP NULL_X60Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y118 NAME NULL_X60Y118 TILEPROP NULL_X60Y118 NUM_ARCS 0 TILEPROP NULL_X60Y118 NUM_SITES 0 TILEPROP NULL_X60Y118 ROW 38 TILEPROP NULL_X60Y118 SLR_REGION_ID 0 TILEPROP NULL_X60Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y118 TILE_TYPE NULL TILEPROP NULL_X60Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y118 TILE_X 6976 TILEPROP NULL_X60Y118 TILE_Y 122872 TILEPROP NULL_X60Y118 TYPE NULL TILEPROP NULL_X60Y126 CLASS tile TILEPROP NULL_X60Y126 COLUMN 60 TILEPROP NULL_X60Y126 DEVICE_ID 0 TILEPROP NULL_X60Y126 FIRST_SITE_ID 3031 TILEPROP NULL_X60Y126 GRID_POINT_X 60 TILEPROP NULL_X60Y126 GRID_POINT_Y 30 TILEPROP NULL_X60Y126 INDEX 3510 TILEPROP NULL_X60Y126 INT_TILE_X 23 TILEPROP NULL_X60Y126 INT_TILE_Y 28 TILEPROP NULL_X60Y126 IS_CENTER_TILE 0 TILEPROP NULL_X60Y126 IS_DCM_TILE 0 TILEPROP NULL_X60Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y126 NAME NULL_X60Y126 TILEPROP NULL_X60Y126 NUM_ARCS 0 TILEPROP NULL_X60Y126 NUM_SITES 0 TILEPROP NULL_X60Y126 ROW 30 TILEPROP NULL_X60Y126 SLR_REGION_ID 0 TILEPROP NULL_X60Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y126 TILE_TYPE NULL TILEPROP NULL_X60Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y126 TILE_X 6976 TILEPROP NULL_X60Y126 TILE_Y 148472 TILEPROP NULL_X60Y126 TYPE NULL TILEPROP NULL_X60Y127 CLASS tile TILEPROP NULL_X60Y127 COLUMN 60 TILEPROP NULL_X60Y127 DEVICE_ID 0 TILEPROP NULL_X60Y127 FIRST_SITE_ID 2928 TILEPROP NULL_X60Y127 GRID_POINT_X 60 TILEPROP NULL_X60Y127 GRID_POINT_Y 29 TILEPROP NULL_X60Y127 INDEX 3395 TILEPROP NULL_X60Y127 INT_TILE_X 23 TILEPROP NULL_X60Y127 INT_TILE_Y 27 TILEPROP NULL_X60Y127 IS_CENTER_TILE 0 TILEPROP NULL_X60Y127 IS_DCM_TILE 0 TILEPROP NULL_X60Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y127 NAME NULL_X60Y127 TILEPROP NULL_X60Y127 NUM_ARCS 0 TILEPROP NULL_X60Y127 NUM_SITES 0 TILEPROP NULL_X60Y127 ROW 29 TILEPROP NULL_X60Y127 SLR_REGION_ID 0 TILEPROP NULL_X60Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y127 TILE_TYPE NULL TILEPROP NULL_X60Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y127 TILE_X 6976 TILEPROP NULL_X60Y127 TILE_Y 151672 TILEPROP NULL_X60Y127 TYPE NULL TILEPROP NULL_X60Y128 CLASS tile TILEPROP NULL_X60Y128 COLUMN 60 TILEPROP NULL_X60Y128 DEVICE_ID 0 TILEPROP NULL_X60Y128 FIRST_SITE_ID 2840 TILEPROP NULL_X60Y128 GRID_POINT_X 60 TILEPROP NULL_X60Y128 GRID_POINT_Y 28 TILEPROP NULL_X60Y128 INDEX 3280 TILEPROP NULL_X60Y128 INT_TILE_X 23 TILEPROP NULL_X60Y128 INT_TILE_Y 26 TILEPROP NULL_X60Y128 IS_CENTER_TILE 0 TILEPROP NULL_X60Y128 IS_DCM_TILE 0 TILEPROP NULL_X60Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y128 NAME NULL_X60Y128 TILEPROP NULL_X60Y128 NUM_ARCS 0 TILEPROP NULL_X60Y128 NUM_SITES 0 TILEPROP NULL_X60Y128 ROW 28 TILEPROP NULL_X60Y128 SLR_REGION_ID 0 TILEPROP NULL_X60Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y128 TILE_TYPE NULL TILEPROP NULL_X60Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y128 TILE_X 6976 TILEPROP NULL_X60Y128 TILE_Y 154872 TILEPROP NULL_X60Y128 TYPE NULL TILEPROP NULL_X60Y129 CLASS tile TILEPROP NULL_X60Y129 COLUMN 60 TILEPROP NULL_X60Y129 DEVICE_ID 0 TILEPROP NULL_X60Y129 FIRST_SITE_ID 2744 TILEPROP NULL_X60Y129 GRID_POINT_X 60 TILEPROP NULL_X60Y129 GRID_POINT_Y 27 TILEPROP NULL_X60Y129 INDEX 3165 TILEPROP NULL_X60Y129 INT_TILE_X 23 TILEPROP NULL_X60Y129 INT_TILE_Y 25 TILEPROP NULL_X60Y129 IS_CENTER_TILE 0 TILEPROP NULL_X60Y129 IS_DCM_TILE 0 TILEPROP NULL_X60Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y129 NAME NULL_X60Y129 TILEPROP NULL_X60Y129 NUM_ARCS 0 TILEPROP NULL_X60Y129 NUM_SITES 0 TILEPROP NULL_X60Y129 ROW 27 TILEPROP NULL_X60Y129 SLR_REGION_ID 0 TILEPROP NULL_X60Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y129 TILE_TYPE NULL TILEPROP NULL_X60Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y129 TILE_X 6976 TILEPROP NULL_X60Y129 TILE_Y 158072 TILEPROP NULL_X60Y129 TYPE NULL TILEPROP NULL_X60Y131 CLASS tile TILEPROP NULL_X60Y131 COLUMN 60 TILEPROP NULL_X60Y131 DEVICE_ID 0 TILEPROP NULL_X60Y131 FIRST_SITE_ID 2571 TILEPROP NULL_X60Y131 GRID_POINT_X 60 TILEPROP NULL_X60Y131 GRID_POINT_Y 25 TILEPROP NULL_X60Y131 INDEX 2935 TILEPROP NULL_X60Y131 INT_TILE_X 23 TILEPROP NULL_X60Y131 INT_TILE_Y 24 TILEPROP NULL_X60Y131 IS_CENTER_TILE 0 TILEPROP NULL_X60Y131 IS_DCM_TILE 0 TILEPROP NULL_X60Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y131 NAME NULL_X60Y131 TILEPROP NULL_X60Y131 NUM_ARCS 0 TILEPROP NULL_X60Y131 NUM_SITES 0 TILEPROP NULL_X60Y131 ROW 25 TILEPROP NULL_X60Y131 SLR_REGION_ID 0 TILEPROP NULL_X60Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y131 TILE_TYPE NULL TILEPROP NULL_X60Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y131 TILE_X 6976 TILEPROP NULL_X60Y131 TILE_Y 162296 TILEPROP NULL_X60Y131 TYPE NULL TILEPROP NULL_X60Y132 CLASS tile TILEPROP NULL_X60Y132 COLUMN 60 TILEPROP NULL_X60Y132 DEVICE_ID 0 TILEPROP NULL_X60Y132 FIRST_SITE_ID 2461 TILEPROP NULL_X60Y132 GRID_POINT_X 60 TILEPROP NULL_X60Y132 GRID_POINT_Y 24 TILEPROP NULL_X60Y132 INDEX 2820 TILEPROP NULL_X60Y132 INT_TILE_X 23 TILEPROP NULL_X60Y132 INT_TILE_Y 23 TILEPROP NULL_X60Y132 IS_CENTER_TILE 0 TILEPROP NULL_X60Y132 IS_DCM_TILE 0 TILEPROP NULL_X60Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y132 NAME NULL_X60Y132 TILEPROP NULL_X60Y132 NUM_ARCS 0 TILEPROP NULL_X60Y132 NUM_SITES 0 TILEPROP NULL_X60Y132 ROW 24 TILEPROP NULL_X60Y132 SLR_REGION_ID 0 TILEPROP NULL_X60Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y132 TILE_TYPE NULL TILEPROP NULL_X60Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y132 TILE_X 6976 TILEPROP NULL_X60Y132 TILE_Y 165496 TILEPROP NULL_X60Y132 TYPE NULL TILEPROP NULL_X60Y133 CLASS tile TILEPROP NULL_X60Y133 COLUMN 60 TILEPROP NULL_X60Y133 DEVICE_ID 0 TILEPROP NULL_X60Y133 FIRST_SITE_ID 2365 TILEPROP NULL_X60Y133 GRID_POINT_X 60 TILEPROP NULL_X60Y133 GRID_POINT_Y 23 TILEPROP NULL_X60Y133 INDEX 2705 TILEPROP NULL_X60Y133 INT_TILE_X 23 TILEPROP NULL_X60Y133 INT_TILE_Y 22 TILEPROP NULL_X60Y133 IS_CENTER_TILE 0 TILEPROP NULL_X60Y133 IS_DCM_TILE 0 TILEPROP NULL_X60Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y133 NAME NULL_X60Y133 TILEPROP NULL_X60Y133 NUM_ARCS 0 TILEPROP NULL_X60Y133 NUM_SITES 0 TILEPROP NULL_X60Y133 ROW 23 TILEPROP NULL_X60Y133 SLR_REGION_ID 0 TILEPROP NULL_X60Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y133 TILE_TYPE NULL TILEPROP NULL_X60Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y133 TILE_X 6976 TILEPROP NULL_X60Y133 TILE_Y 168696 TILEPROP NULL_X60Y133 TYPE NULL TILEPROP NULL_X60Y134 CLASS tile TILEPROP NULL_X60Y134 COLUMN 60 TILEPROP NULL_X60Y134 DEVICE_ID 0 TILEPROP NULL_X60Y134 FIRST_SITE_ID 2261 TILEPROP NULL_X60Y134 GRID_POINT_X 60 TILEPROP NULL_X60Y134 GRID_POINT_Y 22 TILEPROP NULL_X60Y134 INDEX 2590 TILEPROP NULL_X60Y134 INT_TILE_X 23 TILEPROP NULL_X60Y134 INT_TILE_Y 21 TILEPROP NULL_X60Y134 IS_CENTER_TILE 0 TILEPROP NULL_X60Y134 IS_DCM_TILE 0 TILEPROP NULL_X60Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y134 NAME NULL_X60Y134 TILEPROP NULL_X60Y134 NUM_ARCS 0 TILEPROP NULL_X60Y134 NUM_SITES 0 TILEPROP NULL_X60Y134 ROW 22 TILEPROP NULL_X60Y134 SLR_REGION_ID 0 TILEPROP NULL_X60Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y134 TILE_TYPE NULL TILEPROP NULL_X60Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y134 TILE_X 6976 TILEPROP NULL_X60Y134 TILE_Y 171896 TILEPROP NULL_X60Y134 TYPE NULL TILEPROP NULL_X60Y143 CLASS tile TILEPROP NULL_X60Y143 COLUMN 60 TILEPROP NULL_X60Y143 DEVICE_ID 0 TILEPROP NULL_X60Y143 FIRST_SITE_ID 1296 TILEPROP NULL_X60Y143 GRID_POINT_X 60 TILEPROP NULL_X60Y143 GRID_POINT_Y 13 TILEPROP NULL_X60Y143 INDEX 1555 TILEPROP NULL_X60Y143 INT_TILE_X 23 TILEPROP NULL_X60Y143 INT_TILE_Y 12 TILEPROP NULL_X60Y143 IS_CENTER_TILE 0 TILEPROP NULL_X60Y143 IS_DCM_TILE 0 TILEPROP NULL_X60Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X60Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X60Y143 NAME NULL_X60Y143 TILEPROP NULL_X60Y143 NUM_ARCS 0 TILEPROP NULL_X60Y143 NUM_SITES 0 TILEPROP NULL_X60Y143 ROW 13 TILEPROP NULL_X60Y143 SLR_REGION_ID 0 TILEPROP NULL_X60Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X60Y143 TILE_TYPE NULL TILEPROP NULL_X60Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X60Y143 TILE_X 6976 TILEPROP NULL_X60Y143 TILE_Y 200696 TILEPROP NULL_X60Y143 TYPE NULL TILEPROP NULL_X61Y0 CLASS tile TILEPROP NULL_X61Y0 COLUMN 61 TILEPROP NULL_X61Y0 DEVICE_ID 0 TILEPROP NULL_X61Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X61Y0 GRID_POINT_X 61 TILEPROP NULL_X61Y0 GRID_POINT_Y 156 TILEPROP NULL_X61Y0 INDEX 18001 TILEPROP NULL_X61Y0 INT_TILE_X 23 TILEPROP NULL_X61Y0 INT_TILE_Y 149 TILEPROP NULL_X61Y0 IS_CENTER_TILE 0 TILEPROP NULL_X61Y0 IS_DCM_TILE 0 TILEPROP NULL_X61Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X61Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X61Y0 NAME NULL_X61Y0 TILEPROP NULL_X61Y0 NUM_ARCS 0 TILEPROP NULL_X61Y0 NUM_SITES 0 TILEPROP NULL_X61Y0 ROW 156 TILEPROP NULL_X61Y0 SLR_REGION_ID 0 TILEPROP NULL_X61Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X61Y0 TILE_TYPE NULL TILEPROP NULL_X61Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X61Y0 TILE_X 10576 TILEPROP NULL_X61Y0 TILE_Y -244472 TILEPROP NULL_X61Y0 TYPE NULL TILEPROP NULL_X61Y52 CLASS tile TILEPROP NULL_X61Y52 COLUMN 61 TILEPROP NULL_X61Y52 DEVICE_ID 0 TILEPROP NULL_X61Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X61Y52 GRID_POINT_X 61 TILEPROP NULL_X61Y52 GRID_POINT_Y 104 TILEPROP NULL_X61Y52 INDEX 12021 TILEPROP NULL_X61Y52 INT_TILE_X 23 TILEPROP NULL_X61Y52 INT_TILE_Y 99 TILEPROP NULL_X61Y52 IS_CENTER_TILE 0 TILEPROP NULL_X61Y52 IS_DCM_TILE 0 TILEPROP NULL_X61Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X61Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X61Y52 NAME NULL_X61Y52 TILEPROP NULL_X61Y52 NUM_ARCS 0 TILEPROP NULL_X61Y52 NUM_SITES 0 TILEPROP NULL_X61Y52 ROW 104 TILEPROP NULL_X61Y52 SLR_REGION_ID 0 TILEPROP NULL_X61Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X61Y52 TILE_TYPE NULL TILEPROP NULL_X61Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X61Y52 TILE_X 10576 TILEPROP NULL_X61Y52 TILE_Y -80248 TILEPROP NULL_X61Y52 TYPE NULL TILEPROP NULL_X61Y104 CLASS tile TILEPROP NULL_X61Y104 COLUMN 61 TILEPROP NULL_X61Y104 DEVICE_ID 0 TILEPROP NULL_X61Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X61Y104 GRID_POINT_X 61 TILEPROP NULL_X61Y104 GRID_POINT_Y 52 TILEPROP NULL_X61Y104 INDEX 6041 TILEPROP NULL_X61Y104 INT_TILE_X 23 TILEPROP NULL_X61Y104 INT_TILE_Y 49 TILEPROP NULL_X61Y104 IS_CENTER_TILE 0 TILEPROP NULL_X61Y104 IS_DCM_TILE 0 TILEPROP NULL_X61Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X61Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X61Y104 NAME NULL_X61Y104 TILEPROP NULL_X61Y104 NUM_ARCS 0 TILEPROP NULL_X61Y104 NUM_SITES 0 TILEPROP NULL_X61Y104 ROW 52 TILEPROP NULL_X61Y104 SLR_REGION_ID 0 TILEPROP NULL_X61Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X61Y104 TILE_TYPE NULL TILEPROP NULL_X61Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X61Y104 TILE_X 10576 TILEPROP NULL_X61Y104 TILE_Y 81024 TILEPROP NULL_X61Y104 TYPE NULL TILEPROP NULL_X61Y156 CLASS tile TILEPROP NULL_X61Y156 COLUMN 61 TILEPROP NULL_X61Y156 DEVICE_ID 0 TILEPROP NULL_X61Y156 FIRST_SITE_ID 0 TILEPROP NULL_X61Y156 GRID_POINT_X 61 TILEPROP NULL_X61Y156 GRID_POINT_Y 0 TILEPROP NULL_X61Y156 INDEX 61 TILEPROP NULL_X61Y156 INT_TILE_X -1 TILEPROP NULL_X61Y156 INT_TILE_Y -1 TILEPROP NULL_X61Y156 IS_CENTER_TILE 0 TILEPROP NULL_X61Y156 IS_DCM_TILE 0 TILEPROP NULL_X61Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X61Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X61Y156 NAME NULL_X61Y156 TILEPROP NULL_X61Y156 NUM_ARCS 0 TILEPROP NULL_X61Y156 NUM_SITES 0 TILEPROP NULL_X61Y156 ROW 0 TILEPROP NULL_X61Y156 SLR_REGION_ID 0 TILEPROP NULL_X61Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X61Y156 TILE_TYPE NULL TILEPROP NULL_X61Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X61Y156 TILE_X 10576 TILEPROP NULL_X61Y156 TILE_Y 242296 TILEPROP NULL_X61Y156 TYPE NULL TILEPROP NULL_X62Y0 CLASS tile TILEPROP NULL_X62Y0 COLUMN 62 TILEPROP NULL_X62Y0 DEVICE_ID 0 TILEPROP NULL_X62Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X62Y0 GRID_POINT_X 62 TILEPROP NULL_X62Y0 GRID_POINT_Y 156 TILEPROP NULL_X62Y0 INDEX 18002 TILEPROP NULL_X62Y0 INT_TILE_X 23 TILEPROP NULL_X62Y0 INT_TILE_Y 149 TILEPROP NULL_X62Y0 IS_CENTER_TILE 0 TILEPROP NULL_X62Y0 IS_DCM_TILE 0 TILEPROP NULL_X62Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X62Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X62Y0 NAME NULL_X62Y0 TILEPROP NULL_X62Y0 NUM_ARCS 0 TILEPROP NULL_X62Y0 NUM_SITES 0 TILEPROP NULL_X62Y0 ROW 156 TILEPROP NULL_X62Y0 SLR_REGION_ID 0 TILEPROP NULL_X62Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X62Y0 TILE_TYPE NULL TILEPROP NULL_X62Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X62Y0 TILE_X 10640 TILEPROP NULL_X62Y0 TILE_Y -244472 TILEPROP NULL_X62Y0 TYPE NULL TILEPROP NULL_X62Y156 CLASS tile TILEPROP NULL_X62Y156 COLUMN 62 TILEPROP NULL_X62Y156 DEVICE_ID 0 TILEPROP NULL_X62Y156 FIRST_SITE_ID 0 TILEPROP NULL_X62Y156 GRID_POINT_X 62 TILEPROP NULL_X62Y156 GRID_POINT_Y 0 TILEPROP NULL_X62Y156 INDEX 62 TILEPROP NULL_X62Y156 INT_TILE_X -1 TILEPROP NULL_X62Y156 INT_TILE_Y -1 TILEPROP NULL_X62Y156 IS_CENTER_TILE 0 TILEPROP NULL_X62Y156 IS_DCM_TILE 0 TILEPROP NULL_X62Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X62Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X62Y156 NAME NULL_X62Y156 TILEPROP NULL_X62Y156 NUM_ARCS 0 TILEPROP NULL_X62Y156 NUM_SITES 0 TILEPROP NULL_X62Y156 ROW 0 TILEPROP NULL_X62Y156 SLR_REGION_ID 0 TILEPROP NULL_X62Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X62Y156 TILE_TYPE NULL TILEPROP NULL_X62Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X62Y156 TILE_X 10640 TILEPROP NULL_X62Y156 TILE_Y 242296 TILEPROP NULL_X62Y156 TYPE NULL TILEPROP NULL_X65Y0 CLASS tile TILEPROP NULL_X65Y0 COLUMN 65 TILEPROP NULL_X65Y0 DEVICE_ID 0 TILEPROP NULL_X65Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X65Y0 GRID_POINT_X 65 TILEPROP NULL_X65Y0 GRID_POINT_Y 156 TILEPROP NULL_X65Y0 INDEX 18005 TILEPROP NULL_X65Y0 INT_TILE_X 25 TILEPROP NULL_X65Y0 INT_TILE_Y 149 TILEPROP NULL_X65Y0 IS_CENTER_TILE 0 TILEPROP NULL_X65Y0 IS_DCM_TILE 0 TILEPROP NULL_X65Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X65Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X65Y0 NAME NULL_X65Y0 TILEPROP NULL_X65Y0 NUM_ARCS 0 TILEPROP NULL_X65Y0 NUM_SITES 0 TILEPROP NULL_X65Y0 ROW 156 TILEPROP NULL_X65Y0 SLR_REGION_ID 0 TILEPROP NULL_X65Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X65Y0 TILE_TYPE NULL TILEPROP NULL_X65Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X65Y0 TILE_X 18248 TILEPROP NULL_X65Y0 TILE_Y -244472 TILEPROP NULL_X65Y0 TYPE NULL TILEPROP NULL_X65Y156 CLASS tile TILEPROP NULL_X65Y156 COLUMN 65 TILEPROP NULL_X65Y156 DEVICE_ID 0 TILEPROP NULL_X65Y156 FIRST_SITE_ID 0 TILEPROP NULL_X65Y156 GRID_POINT_X 65 TILEPROP NULL_X65Y156 GRID_POINT_Y 0 TILEPROP NULL_X65Y156 INDEX 65 TILEPROP NULL_X65Y156 INT_TILE_X -1 TILEPROP NULL_X65Y156 INT_TILE_Y -1 TILEPROP NULL_X65Y156 IS_CENTER_TILE 0 TILEPROP NULL_X65Y156 IS_DCM_TILE 0 TILEPROP NULL_X65Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X65Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X65Y156 NAME NULL_X65Y156 TILEPROP NULL_X65Y156 NUM_ARCS 0 TILEPROP NULL_X65Y156 NUM_SITES 0 TILEPROP NULL_X65Y156 ROW 0 TILEPROP NULL_X65Y156 SLR_REGION_ID 0 TILEPROP NULL_X65Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X65Y156 TILE_TYPE NULL TILEPROP NULL_X65Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X65Y156 TILE_X 18248 TILEPROP NULL_X65Y156 TILE_Y 242296 TILEPROP NULL_X65Y156 TYPE NULL TILEPROP NULL_X66Y0 CLASS tile TILEPROP NULL_X66Y0 COLUMN 66 TILEPROP NULL_X66Y0 DEVICE_ID 0 TILEPROP NULL_X66Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X66Y0 GRID_POINT_X 66 TILEPROP NULL_X66Y0 GRID_POINT_Y 156 TILEPROP NULL_X66Y0 INDEX 18006 TILEPROP NULL_X66Y0 INT_TILE_X 25 TILEPROP NULL_X66Y0 INT_TILE_Y 149 TILEPROP NULL_X66Y0 IS_CENTER_TILE 0 TILEPROP NULL_X66Y0 IS_DCM_TILE 0 TILEPROP NULL_X66Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X66Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X66Y0 NAME NULL_X66Y0 TILEPROP NULL_X66Y0 NUM_ARCS 0 TILEPROP NULL_X66Y0 NUM_SITES 0 TILEPROP NULL_X66Y0 ROW 156 TILEPROP NULL_X66Y0 SLR_REGION_ID 0 TILEPROP NULL_X66Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X66Y0 TILE_TYPE NULL TILEPROP NULL_X66Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X66Y0 TILE_X 18944 TILEPROP NULL_X66Y0 TILE_Y -244472 TILEPROP NULL_X66Y0 TYPE NULL TILEPROP NULL_X66Y52 CLASS tile TILEPROP NULL_X66Y52 COLUMN 66 TILEPROP NULL_X66Y52 DEVICE_ID 0 TILEPROP NULL_X66Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X66Y52 GRID_POINT_X 66 TILEPROP NULL_X66Y52 GRID_POINT_Y 104 TILEPROP NULL_X66Y52 INDEX 12026 TILEPROP NULL_X66Y52 INT_TILE_X 25 TILEPROP NULL_X66Y52 INT_TILE_Y 99 TILEPROP NULL_X66Y52 IS_CENTER_TILE 0 TILEPROP NULL_X66Y52 IS_DCM_TILE 0 TILEPROP NULL_X66Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X66Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X66Y52 NAME NULL_X66Y52 TILEPROP NULL_X66Y52 NUM_ARCS 0 TILEPROP NULL_X66Y52 NUM_SITES 0 TILEPROP NULL_X66Y52 ROW 104 TILEPROP NULL_X66Y52 SLR_REGION_ID 0 TILEPROP NULL_X66Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X66Y52 TILE_TYPE NULL TILEPROP NULL_X66Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X66Y52 TILE_X 18944 TILEPROP NULL_X66Y52 TILE_Y -80248 TILEPROP NULL_X66Y52 TYPE NULL TILEPROP NULL_X66Y104 CLASS tile TILEPROP NULL_X66Y104 COLUMN 66 TILEPROP NULL_X66Y104 DEVICE_ID 0 TILEPROP NULL_X66Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X66Y104 GRID_POINT_X 66 TILEPROP NULL_X66Y104 GRID_POINT_Y 52 TILEPROP NULL_X66Y104 INDEX 6046 TILEPROP NULL_X66Y104 INT_TILE_X 25 TILEPROP NULL_X66Y104 INT_TILE_Y 49 TILEPROP NULL_X66Y104 IS_CENTER_TILE 0 TILEPROP NULL_X66Y104 IS_DCM_TILE 0 TILEPROP NULL_X66Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X66Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X66Y104 NAME NULL_X66Y104 TILEPROP NULL_X66Y104 NUM_ARCS 0 TILEPROP NULL_X66Y104 NUM_SITES 0 TILEPROP NULL_X66Y104 ROW 52 TILEPROP NULL_X66Y104 SLR_REGION_ID 0 TILEPROP NULL_X66Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X66Y104 TILE_TYPE NULL TILEPROP NULL_X66Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X66Y104 TILE_X 18944 TILEPROP NULL_X66Y104 TILE_Y 81024 TILEPROP NULL_X66Y104 TYPE NULL TILEPROP NULL_X66Y156 CLASS tile TILEPROP NULL_X66Y156 COLUMN 66 TILEPROP NULL_X66Y156 DEVICE_ID 0 TILEPROP NULL_X66Y156 FIRST_SITE_ID 0 TILEPROP NULL_X66Y156 GRID_POINT_X 66 TILEPROP NULL_X66Y156 GRID_POINT_Y 0 TILEPROP NULL_X66Y156 INDEX 66 TILEPROP NULL_X66Y156 INT_TILE_X -1 TILEPROP NULL_X66Y156 INT_TILE_Y -1 TILEPROP NULL_X66Y156 IS_CENTER_TILE 0 TILEPROP NULL_X66Y156 IS_DCM_TILE 0 TILEPROP NULL_X66Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X66Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X66Y156 NAME NULL_X66Y156 TILEPROP NULL_X66Y156 NUM_ARCS 0 TILEPROP NULL_X66Y156 NUM_SITES 0 TILEPROP NULL_X66Y156 ROW 0 TILEPROP NULL_X66Y156 SLR_REGION_ID 0 TILEPROP NULL_X66Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X66Y156 TILE_TYPE NULL TILEPROP NULL_X66Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X66Y156 TILE_X 18944 TILEPROP NULL_X66Y156 TILE_Y 242296 TILEPROP NULL_X66Y156 TYPE NULL TILEPROP NULL_X67Y0 CLASS tile TILEPROP NULL_X67Y0 COLUMN 67 TILEPROP NULL_X67Y0 DEVICE_ID 0 TILEPROP NULL_X67Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X67Y0 GRID_POINT_X 67 TILEPROP NULL_X67Y0 GRID_POINT_Y 156 TILEPROP NULL_X67Y0 INDEX 18007 TILEPROP NULL_X67Y0 INT_TILE_X 25 TILEPROP NULL_X67Y0 INT_TILE_Y 149 TILEPROP NULL_X67Y0 IS_CENTER_TILE 0 TILEPROP NULL_X67Y0 IS_DCM_TILE 0 TILEPROP NULL_X67Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X67Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X67Y0 NAME NULL_X67Y0 TILEPROP NULL_X67Y0 NUM_ARCS 0 TILEPROP NULL_X67Y0 NUM_SITES 0 TILEPROP NULL_X67Y0 ROW 156 TILEPROP NULL_X67Y0 SLR_REGION_ID 0 TILEPROP NULL_X67Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X67Y0 TILE_TYPE NULL TILEPROP NULL_X67Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X67Y0 TILE_X 19008 TILEPROP NULL_X67Y0 TILE_Y -244472 TILEPROP NULL_X67Y0 TYPE NULL TILEPROP NULL_X67Y156 CLASS tile TILEPROP NULL_X67Y156 COLUMN 67 TILEPROP NULL_X67Y156 DEVICE_ID 0 TILEPROP NULL_X67Y156 FIRST_SITE_ID 0 TILEPROP NULL_X67Y156 GRID_POINT_X 67 TILEPROP NULL_X67Y156 GRID_POINT_Y 0 TILEPROP NULL_X67Y156 INDEX 67 TILEPROP NULL_X67Y156 INT_TILE_X -1 TILEPROP NULL_X67Y156 INT_TILE_Y -1 TILEPROP NULL_X67Y156 IS_CENTER_TILE 0 TILEPROP NULL_X67Y156 IS_DCM_TILE 0 TILEPROP NULL_X67Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X67Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X67Y156 NAME NULL_X67Y156 TILEPROP NULL_X67Y156 NUM_ARCS 0 TILEPROP NULL_X67Y156 NUM_SITES 0 TILEPROP NULL_X67Y156 ROW 0 TILEPROP NULL_X67Y156 SLR_REGION_ID 0 TILEPROP NULL_X67Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X67Y156 TILE_TYPE NULL TILEPROP NULL_X67Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X67Y156 TILE_X 19008 TILEPROP NULL_X67Y156 TILE_Y 242296 TILEPROP NULL_X67Y156 TYPE NULL TILEPROP NULL_X6Y0 CLASS tile TILEPROP NULL_X6Y0 COLUMN 6 TILEPROP NULL_X6Y0 DEVICE_ID 0 TILEPROP NULL_X6Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X6Y0 GRID_POINT_X 6 TILEPROP NULL_X6Y0 GRID_POINT_Y 156 TILEPROP NULL_X6Y0 INDEX 17946 TILEPROP NULL_X6Y0 INT_TILE_X 1 TILEPROP NULL_X6Y0 INT_TILE_Y 149 TILEPROP NULL_X6Y0 IS_CENTER_TILE 0 TILEPROP NULL_X6Y0 IS_DCM_TILE 0 TILEPROP NULL_X6Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X6Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X6Y0 NAME NULL_X6Y0 TILEPROP NULL_X6Y0 NUM_ARCS 0 TILEPROP NULL_X6Y0 NUM_SITES 0 TILEPROP NULL_X6Y0 ROW 156 TILEPROP NULL_X6Y0 SLR_REGION_ID 0 TILEPROP NULL_X6Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X6Y0 TILE_TYPE NULL TILEPROP NULL_X6Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X6Y0 TILE_X -91982 TILEPROP NULL_X6Y0 TILE_Y -244472 TILEPROP NULL_X6Y0 TYPE NULL TILEPROP NULL_X6Y52 CLASS tile TILEPROP NULL_X6Y52 COLUMN 6 TILEPROP NULL_X6Y52 DEVICE_ID 0 TILEPROP NULL_X6Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X6Y52 GRID_POINT_X 6 TILEPROP NULL_X6Y52 GRID_POINT_Y 104 TILEPROP NULL_X6Y52 INDEX 11966 TILEPROP NULL_X6Y52 INT_TILE_X 1 TILEPROP NULL_X6Y52 INT_TILE_Y 99 TILEPROP NULL_X6Y52 IS_CENTER_TILE 0 TILEPROP NULL_X6Y52 IS_DCM_TILE 0 TILEPROP NULL_X6Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X6Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X6Y52 NAME NULL_X6Y52 TILEPROP NULL_X6Y52 NUM_ARCS 0 TILEPROP NULL_X6Y52 NUM_SITES 0 TILEPROP NULL_X6Y52 ROW 104 TILEPROP NULL_X6Y52 SLR_REGION_ID 0 TILEPROP NULL_X6Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X6Y52 TILE_TYPE NULL TILEPROP NULL_X6Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X6Y52 TILE_X -91982 TILEPROP NULL_X6Y52 TILE_Y -80248 TILEPROP NULL_X6Y52 TYPE NULL TILEPROP NULL_X6Y104 CLASS tile TILEPROP NULL_X6Y104 COLUMN 6 TILEPROP NULL_X6Y104 DEVICE_ID 0 TILEPROP NULL_X6Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X6Y104 GRID_POINT_X 6 TILEPROP NULL_X6Y104 GRID_POINT_Y 52 TILEPROP NULL_X6Y104 INDEX 5986 TILEPROP NULL_X6Y104 INT_TILE_X 1 TILEPROP NULL_X6Y104 INT_TILE_Y 49 TILEPROP NULL_X6Y104 IS_CENTER_TILE 0 TILEPROP NULL_X6Y104 IS_DCM_TILE 0 TILEPROP NULL_X6Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X6Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X6Y104 NAME NULL_X6Y104 TILEPROP NULL_X6Y104 NUM_ARCS 0 TILEPROP NULL_X6Y104 NUM_SITES 0 TILEPROP NULL_X6Y104 ROW 52 TILEPROP NULL_X6Y104 SLR_REGION_ID 0 TILEPROP NULL_X6Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X6Y104 TILE_TYPE NULL TILEPROP NULL_X6Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X6Y104 TILE_X -91982 TILEPROP NULL_X6Y104 TILE_Y 81024 TILEPROP NULL_X6Y104 TYPE NULL TILEPROP NULL_X6Y156 CLASS tile TILEPROP NULL_X6Y156 COLUMN 6 TILEPROP NULL_X6Y156 DEVICE_ID 0 TILEPROP NULL_X6Y156 FIRST_SITE_ID 0 TILEPROP NULL_X6Y156 GRID_POINT_X 6 TILEPROP NULL_X6Y156 GRID_POINT_Y 0 TILEPROP NULL_X6Y156 INDEX 6 TILEPROP NULL_X6Y156 INT_TILE_X -1 TILEPROP NULL_X6Y156 INT_TILE_Y -1 TILEPROP NULL_X6Y156 IS_CENTER_TILE 0 TILEPROP NULL_X6Y156 IS_DCM_TILE 0 TILEPROP NULL_X6Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X6Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X6Y156 NAME NULL_X6Y156 TILEPROP NULL_X6Y156 NUM_ARCS 0 TILEPROP NULL_X6Y156 NUM_SITES 0 TILEPROP NULL_X6Y156 ROW 0 TILEPROP NULL_X6Y156 SLR_REGION_ID 0 TILEPROP NULL_X6Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X6Y156 TILE_TYPE NULL TILEPROP NULL_X6Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X6Y156 TILE_X -91982 TILEPROP NULL_X6Y156 TILE_Y 242296 TILEPROP NULL_X6Y156 TYPE NULL TILEPROP NULL_X70Y0 CLASS tile TILEPROP NULL_X70Y0 COLUMN 70 TILEPROP NULL_X70Y0 DEVICE_ID 0 TILEPROP NULL_X70Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X70Y0 GRID_POINT_X 70 TILEPROP NULL_X70Y0 GRID_POINT_Y 156 TILEPROP NULL_X70Y0 INDEX 18010 TILEPROP NULL_X70Y0 INT_TILE_X 27 TILEPROP NULL_X70Y0 INT_TILE_Y 149 TILEPROP NULL_X70Y0 IS_CENTER_TILE 0 TILEPROP NULL_X70Y0 IS_DCM_TILE 0 TILEPROP NULL_X70Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X70Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X70Y0 NAME NULL_X70Y0 TILEPROP NULL_X70Y0 NUM_ARCS 0 TILEPROP NULL_X70Y0 NUM_SITES 0 TILEPROP NULL_X70Y0 ROW 156 TILEPROP NULL_X70Y0 SLR_REGION_ID 0 TILEPROP NULL_X70Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X70Y0 TILE_TYPE NULL TILEPROP NULL_X70Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X70Y0 TILE_X 26616 TILEPROP NULL_X70Y0 TILE_Y -244472 TILEPROP NULL_X70Y0 TYPE NULL TILEPROP NULL_X70Y104 CLASS tile TILEPROP NULL_X70Y104 COLUMN 70 TILEPROP NULL_X70Y104 DEVICE_ID 0 TILEPROP NULL_X70Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X70Y104 GRID_POINT_X 70 TILEPROP NULL_X70Y104 GRID_POINT_Y 52 TILEPROP NULL_X70Y104 INDEX 6050 TILEPROP NULL_X70Y104 INT_TILE_X 27 TILEPROP NULL_X70Y104 INT_TILE_Y 49 TILEPROP NULL_X70Y104 IS_CENTER_TILE 0 TILEPROP NULL_X70Y104 IS_DCM_TILE 0 TILEPROP NULL_X70Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X70Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X70Y104 NAME NULL_X70Y104 TILEPROP NULL_X70Y104 NUM_ARCS 0 TILEPROP NULL_X70Y104 NUM_SITES 0 TILEPROP NULL_X70Y104 ROW 52 TILEPROP NULL_X70Y104 SLR_REGION_ID 0 TILEPROP NULL_X70Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X70Y104 TILE_TYPE NULL TILEPROP NULL_X70Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X70Y104 TILE_X 26616 TILEPROP NULL_X70Y104 TILE_Y 81024 TILEPROP NULL_X70Y104 TYPE NULL TILEPROP NULL_X70Y156 CLASS tile TILEPROP NULL_X70Y156 COLUMN 70 TILEPROP NULL_X70Y156 DEVICE_ID 0 TILEPROP NULL_X70Y156 FIRST_SITE_ID 0 TILEPROP NULL_X70Y156 GRID_POINT_X 70 TILEPROP NULL_X70Y156 GRID_POINT_Y 0 TILEPROP NULL_X70Y156 INDEX 70 TILEPROP NULL_X70Y156 INT_TILE_X -1 TILEPROP NULL_X70Y156 INT_TILE_Y -1 TILEPROP NULL_X70Y156 IS_CENTER_TILE 0 TILEPROP NULL_X70Y156 IS_DCM_TILE 0 TILEPROP NULL_X70Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X70Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X70Y156 NAME NULL_X70Y156 TILEPROP NULL_X70Y156 NUM_ARCS 0 TILEPROP NULL_X70Y156 NUM_SITES 0 TILEPROP NULL_X70Y156 ROW 0 TILEPROP NULL_X70Y156 SLR_REGION_ID 0 TILEPROP NULL_X70Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X70Y156 TILE_TYPE NULL TILEPROP NULL_X70Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X70Y156 TILE_X 26616 TILEPROP NULL_X70Y156 TILE_Y 242296 TILEPROP NULL_X70Y156 TYPE NULL TILEPROP NULL_X71Y0 CLASS tile TILEPROP NULL_X71Y0 COLUMN 71 TILEPROP NULL_X71Y0 DEVICE_ID 0 TILEPROP NULL_X71Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X71Y0 GRID_POINT_X 71 TILEPROP NULL_X71Y0 GRID_POINT_Y 156 TILEPROP NULL_X71Y0 INDEX 18011 TILEPROP NULL_X71Y0 INT_TILE_X 27 TILEPROP NULL_X71Y0 INT_TILE_Y 149 TILEPROP NULL_X71Y0 IS_CENTER_TILE 0 TILEPROP NULL_X71Y0 IS_DCM_TILE 0 TILEPROP NULL_X71Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X71Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X71Y0 NAME NULL_X71Y0 TILEPROP NULL_X71Y0 NUM_ARCS 0 TILEPROP NULL_X71Y0 NUM_SITES 0 TILEPROP NULL_X71Y0 ROW 156 TILEPROP NULL_X71Y0 SLR_REGION_ID 0 TILEPROP NULL_X71Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X71Y0 TILE_TYPE NULL TILEPROP NULL_X71Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X71Y0 TILE_X 27312 TILEPROP NULL_X71Y0 TILE_Y -244472 TILEPROP NULL_X71Y0 TYPE NULL TILEPROP NULL_X71Y104 CLASS tile TILEPROP NULL_X71Y104 COLUMN 71 TILEPROP NULL_X71Y104 DEVICE_ID 0 TILEPROP NULL_X71Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X71Y104 GRID_POINT_X 71 TILEPROP NULL_X71Y104 GRID_POINT_Y 52 TILEPROP NULL_X71Y104 INDEX 6051 TILEPROP NULL_X71Y104 INT_TILE_X 27 TILEPROP NULL_X71Y104 INT_TILE_Y 49 TILEPROP NULL_X71Y104 IS_CENTER_TILE 0 TILEPROP NULL_X71Y104 IS_DCM_TILE 0 TILEPROP NULL_X71Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X71Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X71Y104 NAME NULL_X71Y104 TILEPROP NULL_X71Y104 NUM_ARCS 0 TILEPROP NULL_X71Y104 NUM_SITES 0 TILEPROP NULL_X71Y104 ROW 52 TILEPROP NULL_X71Y104 SLR_REGION_ID 0 TILEPROP NULL_X71Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X71Y104 TILE_TYPE NULL TILEPROP NULL_X71Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X71Y104 TILE_X 27312 TILEPROP NULL_X71Y104 TILE_Y 81024 TILEPROP NULL_X71Y104 TYPE NULL TILEPROP NULL_X71Y156 CLASS tile TILEPROP NULL_X71Y156 COLUMN 71 TILEPROP NULL_X71Y156 DEVICE_ID 0 TILEPROP NULL_X71Y156 FIRST_SITE_ID 0 TILEPROP NULL_X71Y156 GRID_POINT_X 71 TILEPROP NULL_X71Y156 GRID_POINT_Y 0 TILEPROP NULL_X71Y156 INDEX 71 TILEPROP NULL_X71Y156 INT_TILE_X -1 TILEPROP NULL_X71Y156 INT_TILE_Y -1 TILEPROP NULL_X71Y156 IS_CENTER_TILE 0 TILEPROP NULL_X71Y156 IS_DCM_TILE 0 TILEPROP NULL_X71Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X71Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X71Y156 NAME NULL_X71Y156 TILEPROP NULL_X71Y156 NUM_ARCS 0 TILEPROP NULL_X71Y156 NUM_SITES 0 TILEPROP NULL_X71Y156 ROW 0 TILEPROP NULL_X71Y156 SLR_REGION_ID 0 TILEPROP NULL_X71Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X71Y156 TILE_TYPE NULL TILEPROP NULL_X71Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X71Y156 TILE_X 27312 TILEPROP NULL_X71Y156 TILE_Y 242296 TILEPROP NULL_X71Y156 TYPE NULL TILEPROP NULL_X74Y0 CLASS tile TILEPROP NULL_X74Y0 COLUMN 74 TILEPROP NULL_X74Y0 DEVICE_ID 0 TILEPROP NULL_X74Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X74Y0 GRID_POINT_X 74 TILEPROP NULL_X74Y0 GRID_POINT_Y 156 TILEPROP NULL_X74Y0 INDEX 18014 TILEPROP NULL_X74Y0 INT_TILE_X 29 TILEPROP NULL_X74Y0 INT_TILE_Y 149 TILEPROP NULL_X74Y0 IS_CENTER_TILE 0 TILEPROP NULL_X74Y0 IS_DCM_TILE 0 TILEPROP NULL_X74Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X74Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X74Y0 NAME NULL_X74Y0 TILEPROP NULL_X74Y0 NUM_ARCS 0 TILEPROP NULL_X74Y0 NUM_SITES 0 TILEPROP NULL_X74Y0 ROW 156 TILEPROP NULL_X74Y0 SLR_REGION_ID 0 TILEPROP NULL_X74Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X74Y0 TILE_TYPE NULL TILEPROP NULL_X74Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X74Y0 TILE_X 34920 TILEPROP NULL_X74Y0 TILE_Y -244472 TILEPROP NULL_X74Y0 TYPE NULL TILEPROP NULL_X74Y104 CLASS tile TILEPROP NULL_X74Y104 COLUMN 74 TILEPROP NULL_X74Y104 DEVICE_ID 0 TILEPROP NULL_X74Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X74Y104 GRID_POINT_X 74 TILEPROP NULL_X74Y104 GRID_POINT_Y 52 TILEPROP NULL_X74Y104 INDEX 6054 TILEPROP NULL_X74Y104 INT_TILE_X -1 TILEPROP NULL_X74Y104 INT_TILE_Y -1 TILEPROP NULL_X74Y104 IS_CENTER_TILE 0 TILEPROP NULL_X74Y104 IS_DCM_TILE 0 TILEPROP NULL_X74Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X74Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X74Y104 NAME NULL_X74Y104 TILEPROP NULL_X74Y104 NUM_ARCS 0 TILEPROP NULL_X74Y104 NUM_SITES 0 TILEPROP NULL_X74Y104 ROW 52 TILEPROP NULL_X74Y104 SLR_REGION_ID 0 TILEPROP NULL_X74Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X74Y104 TILE_TYPE NULL TILEPROP NULL_X74Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X74Y104 TILE_X 34920 TILEPROP NULL_X74Y104 TILE_Y 81024 TILEPROP NULL_X74Y104 TYPE NULL TILEPROP NULL_X74Y156 CLASS tile TILEPROP NULL_X74Y156 COLUMN 74 TILEPROP NULL_X74Y156 DEVICE_ID 0 TILEPROP NULL_X74Y156 FIRST_SITE_ID 0 TILEPROP NULL_X74Y156 GRID_POINT_X 74 TILEPROP NULL_X74Y156 GRID_POINT_Y 0 TILEPROP NULL_X74Y156 INDEX 74 TILEPROP NULL_X74Y156 INT_TILE_X -1 TILEPROP NULL_X74Y156 INT_TILE_Y -1 TILEPROP NULL_X74Y156 IS_CENTER_TILE 0 TILEPROP NULL_X74Y156 IS_DCM_TILE 0 TILEPROP NULL_X74Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X74Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X74Y156 NAME NULL_X74Y156 TILEPROP NULL_X74Y156 NUM_ARCS 0 TILEPROP NULL_X74Y156 NUM_SITES 0 TILEPROP NULL_X74Y156 ROW 0 TILEPROP NULL_X74Y156 SLR_REGION_ID 0 TILEPROP NULL_X74Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X74Y156 TILE_TYPE NULL TILEPROP NULL_X74Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X74Y156 TILE_X 34920 TILEPROP NULL_X74Y156 TILE_Y 242296 TILEPROP NULL_X74Y156 TYPE NULL TILEPROP NULL_X75Y0 CLASS tile TILEPROP NULL_X75Y0 COLUMN 75 TILEPROP NULL_X75Y0 DEVICE_ID 0 TILEPROP NULL_X75Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X75Y0 GRID_POINT_X 75 TILEPROP NULL_X75Y0 GRID_POINT_Y 156 TILEPROP NULL_X75Y0 INDEX 18015 TILEPROP NULL_X75Y0 INT_TILE_X 29 TILEPROP NULL_X75Y0 INT_TILE_Y 149 TILEPROP NULL_X75Y0 IS_CENTER_TILE 0 TILEPROP NULL_X75Y0 IS_DCM_TILE 0 TILEPROP NULL_X75Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y0 NAME NULL_X75Y0 TILEPROP NULL_X75Y0 NUM_ARCS 0 TILEPROP NULL_X75Y0 NUM_SITES 0 TILEPROP NULL_X75Y0 ROW 156 TILEPROP NULL_X75Y0 SLR_REGION_ID 0 TILEPROP NULL_X75Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y0 TILE_TYPE NULL TILEPROP NULL_X75Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y0 TILE_X 35616 TILEPROP NULL_X75Y0 TILE_Y -244472 TILEPROP NULL_X75Y0 TYPE NULL TILEPROP NULL_X75Y2 CLASS tile TILEPROP NULL_X75Y2 COLUMN 75 TILEPROP NULL_X75Y2 DEVICE_ID 0 TILEPROP NULL_X75Y2 FIRST_SITE_ID 15662 TILEPROP NULL_X75Y2 GRID_POINT_X 75 TILEPROP NULL_X75Y2 GRID_POINT_Y 154 TILEPROP NULL_X75Y2 INDEX 17785 TILEPROP NULL_X75Y2 INT_TILE_X 29 TILEPROP NULL_X75Y2 INT_TILE_Y 148 TILEPROP NULL_X75Y2 IS_CENTER_TILE 0 TILEPROP NULL_X75Y2 IS_DCM_TILE 0 TILEPROP NULL_X75Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y2 NAME NULL_X75Y2 TILEPROP NULL_X75Y2 NUM_ARCS 0 TILEPROP NULL_X75Y2 NUM_SITES 0 TILEPROP NULL_X75Y2 ROW 154 TILEPROP NULL_X75Y2 SLR_REGION_ID 0 TILEPROP NULL_X75Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y2 TILE_TYPE NULL TILEPROP NULL_X75Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y2 TILE_X 35616 TILEPROP NULL_X75Y2 TILE_Y -238072 TILEPROP NULL_X75Y2 TYPE NULL TILEPROP NULL_X75Y3 CLASS tile TILEPROP NULL_X75Y3 COLUMN 75 TILEPROP NULL_X75Y3 DEVICE_ID 0 TILEPROP NULL_X75Y3 FIRST_SITE_ID 15561 TILEPROP NULL_X75Y3 GRID_POINT_X 75 TILEPROP NULL_X75Y3 GRID_POINT_Y 153 TILEPROP NULL_X75Y3 INDEX 17670 TILEPROP NULL_X75Y3 INT_TILE_X 29 TILEPROP NULL_X75Y3 INT_TILE_Y 147 TILEPROP NULL_X75Y3 IS_CENTER_TILE 0 TILEPROP NULL_X75Y3 IS_DCM_TILE 0 TILEPROP NULL_X75Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y3 NAME NULL_X75Y3 TILEPROP NULL_X75Y3 NUM_ARCS 0 TILEPROP NULL_X75Y3 NUM_SITES 0 TILEPROP NULL_X75Y3 ROW 153 TILEPROP NULL_X75Y3 SLR_REGION_ID 0 TILEPROP NULL_X75Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y3 TILE_TYPE NULL TILEPROP NULL_X75Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y3 TILE_X 35616 TILEPROP NULL_X75Y3 TILE_Y -234872 TILEPROP NULL_X75Y3 TYPE NULL TILEPROP NULL_X75Y4 CLASS tile TILEPROP NULL_X75Y4 COLUMN 75 TILEPROP NULL_X75Y4 DEVICE_ID 0 TILEPROP NULL_X75Y4 FIRST_SITE_ID 15461 TILEPROP NULL_X75Y4 GRID_POINT_X 75 TILEPROP NULL_X75Y4 GRID_POINT_Y 152 TILEPROP NULL_X75Y4 INDEX 17555 TILEPROP NULL_X75Y4 INT_TILE_X 29 TILEPROP NULL_X75Y4 INT_TILE_Y 146 TILEPROP NULL_X75Y4 IS_CENTER_TILE 0 TILEPROP NULL_X75Y4 IS_DCM_TILE 0 TILEPROP NULL_X75Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y4 NAME NULL_X75Y4 TILEPROP NULL_X75Y4 NUM_ARCS 0 TILEPROP NULL_X75Y4 NUM_SITES 0 TILEPROP NULL_X75Y4 ROW 152 TILEPROP NULL_X75Y4 SLR_REGION_ID 0 TILEPROP NULL_X75Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y4 TILE_TYPE NULL TILEPROP NULL_X75Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y4 TILE_X 35616 TILEPROP NULL_X75Y4 TILE_Y -231672 TILEPROP NULL_X75Y4 TYPE NULL TILEPROP NULL_X75Y5 CLASS tile TILEPROP NULL_X75Y5 COLUMN 75 TILEPROP NULL_X75Y5 DEVICE_ID 0 TILEPROP NULL_X75Y5 FIRST_SITE_ID 15361 TILEPROP NULL_X75Y5 GRID_POINT_X 75 TILEPROP NULL_X75Y5 GRID_POINT_Y 151 TILEPROP NULL_X75Y5 INDEX 17440 TILEPROP NULL_X75Y5 INT_TILE_X 29 TILEPROP NULL_X75Y5 INT_TILE_Y 145 TILEPROP NULL_X75Y5 IS_CENTER_TILE 0 TILEPROP NULL_X75Y5 IS_DCM_TILE 0 TILEPROP NULL_X75Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y5 NAME NULL_X75Y5 TILEPROP NULL_X75Y5 NUM_ARCS 0 TILEPROP NULL_X75Y5 NUM_SITES 0 TILEPROP NULL_X75Y5 ROW 151 TILEPROP NULL_X75Y5 SLR_REGION_ID 0 TILEPROP NULL_X75Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y5 TILE_TYPE NULL TILEPROP NULL_X75Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y5 TILE_X 35616 TILEPROP NULL_X75Y5 TILE_Y -228472 TILEPROP NULL_X75Y5 TYPE NULL TILEPROP NULL_X75Y7 CLASS tile TILEPROP NULL_X75Y7 COLUMN 75 TILEPROP NULL_X75Y7 DEVICE_ID 0 TILEPROP NULL_X75Y7 FIRST_SITE_ID 15146 TILEPROP NULL_X75Y7 GRID_POINT_X 75 TILEPROP NULL_X75Y7 GRID_POINT_Y 149 TILEPROP NULL_X75Y7 INDEX 17210 TILEPROP NULL_X75Y7 INT_TILE_X 29 TILEPROP NULL_X75Y7 INT_TILE_Y 143 TILEPROP NULL_X75Y7 IS_CENTER_TILE 0 TILEPROP NULL_X75Y7 IS_DCM_TILE 0 TILEPROP NULL_X75Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y7 NAME NULL_X75Y7 TILEPROP NULL_X75Y7 NUM_ARCS 0 TILEPROP NULL_X75Y7 NUM_SITES 0 TILEPROP NULL_X75Y7 ROW 149 TILEPROP NULL_X75Y7 SLR_REGION_ID 0 TILEPROP NULL_X75Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y7 TILE_TYPE NULL TILEPROP NULL_X75Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y7 TILE_X 35616 TILEPROP NULL_X75Y7 TILE_Y -222072 TILEPROP NULL_X75Y7 TYPE NULL TILEPROP NULL_X75Y8 CLASS tile TILEPROP NULL_X75Y8 COLUMN 75 TILEPROP NULL_X75Y8 DEVICE_ID 0 TILEPROP NULL_X75Y8 FIRST_SITE_ID 15044 TILEPROP NULL_X75Y8 GRID_POINT_X 75 TILEPROP NULL_X75Y8 GRID_POINT_Y 148 TILEPROP NULL_X75Y8 INDEX 17095 TILEPROP NULL_X75Y8 INT_TILE_X 29 TILEPROP NULL_X75Y8 INT_TILE_Y 142 TILEPROP NULL_X75Y8 IS_CENTER_TILE 0 TILEPROP NULL_X75Y8 IS_DCM_TILE 0 TILEPROP NULL_X75Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y8 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y8 NAME NULL_X75Y8 TILEPROP NULL_X75Y8 NUM_ARCS 0 TILEPROP NULL_X75Y8 NUM_SITES 0 TILEPROP NULL_X75Y8 ROW 148 TILEPROP NULL_X75Y8 SLR_REGION_ID 0 TILEPROP NULL_X75Y8 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y8 TILE_TYPE NULL TILEPROP NULL_X75Y8 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y8 TILE_X 35616 TILEPROP NULL_X75Y8 TILE_Y -218872 TILEPROP NULL_X75Y8 TYPE NULL TILEPROP NULL_X75Y9 CLASS tile TILEPROP NULL_X75Y9 COLUMN 75 TILEPROP NULL_X75Y9 DEVICE_ID 0 TILEPROP NULL_X75Y9 FIRST_SITE_ID 14941 TILEPROP NULL_X75Y9 GRID_POINT_X 75 TILEPROP NULL_X75Y9 GRID_POINT_Y 147 TILEPROP NULL_X75Y9 INDEX 16980 TILEPROP NULL_X75Y9 INT_TILE_X 29 TILEPROP NULL_X75Y9 INT_TILE_Y 141 TILEPROP NULL_X75Y9 IS_CENTER_TILE 0 TILEPROP NULL_X75Y9 IS_DCM_TILE 0 TILEPROP NULL_X75Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y9 NAME NULL_X75Y9 TILEPROP NULL_X75Y9 NUM_ARCS 0 TILEPROP NULL_X75Y9 NUM_SITES 0 TILEPROP NULL_X75Y9 ROW 147 TILEPROP NULL_X75Y9 SLR_REGION_ID 0 TILEPROP NULL_X75Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y9 TILE_TYPE NULL TILEPROP NULL_X75Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y9 TILE_X 35616 TILEPROP NULL_X75Y9 TILE_Y -215672 TILEPROP NULL_X75Y9 TYPE NULL TILEPROP NULL_X75Y10 CLASS tile TILEPROP NULL_X75Y10 COLUMN 75 TILEPROP NULL_X75Y10 DEVICE_ID 0 TILEPROP NULL_X75Y10 FIRST_SITE_ID 14840 TILEPROP NULL_X75Y10 GRID_POINT_X 75 TILEPROP NULL_X75Y10 GRID_POINT_Y 146 TILEPROP NULL_X75Y10 INDEX 16865 TILEPROP NULL_X75Y10 INT_TILE_X 29 TILEPROP NULL_X75Y10 INT_TILE_Y 140 TILEPROP NULL_X75Y10 IS_CENTER_TILE 0 TILEPROP NULL_X75Y10 IS_DCM_TILE 0 TILEPROP NULL_X75Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y10 NAME NULL_X75Y10 TILEPROP NULL_X75Y10 NUM_ARCS 0 TILEPROP NULL_X75Y10 NUM_SITES 0 TILEPROP NULL_X75Y10 ROW 146 TILEPROP NULL_X75Y10 SLR_REGION_ID 0 TILEPROP NULL_X75Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y10 TILE_TYPE NULL TILEPROP NULL_X75Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y10 TILE_X 35616 TILEPROP NULL_X75Y10 TILE_Y -212472 TILEPROP NULL_X75Y10 TYPE NULL TILEPROP NULL_X75Y12 CLASS tile TILEPROP NULL_X75Y12 COLUMN 75 TILEPROP NULL_X75Y12 DEVICE_ID 0 TILEPROP NULL_X75Y12 FIRST_SITE_ID 14625 TILEPROP NULL_X75Y12 GRID_POINT_X 75 TILEPROP NULL_X75Y12 GRID_POINT_Y 144 TILEPROP NULL_X75Y12 INDEX 16635 TILEPROP NULL_X75Y12 INT_TILE_X 29 TILEPROP NULL_X75Y12 INT_TILE_Y 138 TILEPROP NULL_X75Y12 IS_CENTER_TILE 0 TILEPROP NULL_X75Y12 IS_DCM_TILE 0 TILEPROP NULL_X75Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y12 NAME NULL_X75Y12 TILEPROP NULL_X75Y12 NUM_ARCS 0 TILEPROP NULL_X75Y12 NUM_SITES 0 TILEPROP NULL_X75Y12 ROW 144 TILEPROP NULL_X75Y12 SLR_REGION_ID 0 TILEPROP NULL_X75Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y12 TILE_TYPE NULL TILEPROP NULL_X75Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y12 TILE_X 35616 TILEPROP NULL_X75Y12 TILE_Y -206072 TILEPROP NULL_X75Y12 TYPE NULL TILEPROP NULL_X75Y13 CLASS tile TILEPROP NULL_X75Y13 COLUMN 75 TILEPROP NULL_X75Y13 DEVICE_ID 0 TILEPROP NULL_X75Y13 FIRST_SITE_ID 14525 TILEPROP NULL_X75Y13 GRID_POINT_X 75 TILEPROP NULL_X75Y13 GRID_POINT_Y 143 TILEPROP NULL_X75Y13 INDEX 16520 TILEPROP NULL_X75Y13 INT_TILE_X 29 TILEPROP NULL_X75Y13 INT_TILE_Y 137 TILEPROP NULL_X75Y13 IS_CENTER_TILE 0 TILEPROP NULL_X75Y13 IS_DCM_TILE 0 TILEPROP NULL_X75Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y13 NAME NULL_X75Y13 TILEPROP NULL_X75Y13 NUM_ARCS 0 TILEPROP NULL_X75Y13 NUM_SITES 0 TILEPROP NULL_X75Y13 ROW 143 TILEPROP NULL_X75Y13 SLR_REGION_ID 0 TILEPROP NULL_X75Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y13 TILE_TYPE NULL TILEPROP NULL_X75Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y13 TILE_X 35616 TILEPROP NULL_X75Y13 TILE_Y -202872 TILEPROP NULL_X75Y13 TYPE NULL TILEPROP NULL_X75Y14 CLASS tile TILEPROP NULL_X75Y14 COLUMN 75 TILEPROP NULL_X75Y14 DEVICE_ID 0 TILEPROP NULL_X75Y14 FIRST_SITE_ID 14393 TILEPROP NULL_X75Y14 GRID_POINT_X 75 TILEPROP NULL_X75Y14 GRID_POINT_Y 142 TILEPROP NULL_X75Y14 INDEX 16405 TILEPROP NULL_X75Y14 INT_TILE_X 29 TILEPROP NULL_X75Y14 INT_TILE_Y 136 TILEPROP NULL_X75Y14 IS_CENTER_TILE 0 TILEPROP NULL_X75Y14 IS_DCM_TILE 0 TILEPROP NULL_X75Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y14 NAME NULL_X75Y14 TILEPROP NULL_X75Y14 NUM_ARCS 0 TILEPROP NULL_X75Y14 NUM_SITES 0 TILEPROP NULL_X75Y14 ROW 142 TILEPROP NULL_X75Y14 SLR_REGION_ID 0 TILEPROP NULL_X75Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y14 TILE_TYPE NULL TILEPROP NULL_X75Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y14 TILE_X 35616 TILEPROP NULL_X75Y14 TILE_Y -199672 TILEPROP NULL_X75Y14 TYPE NULL TILEPROP NULL_X75Y15 CLASS tile TILEPROP NULL_X75Y15 COLUMN 75 TILEPROP NULL_X75Y15 DEVICE_ID 0 TILEPROP NULL_X75Y15 FIRST_SITE_ID 14293 TILEPROP NULL_X75Y15 GRID_POINT_X 75 TILEPROP NULL_X75Y15 GRID_POINT_Y 141 TILEPROP NULL_X75Y15 INDEX 16290 TILEPROP NULL_X75Y15 INT_TILE_X 29 TILEPROP NULL_X75Y15 INT_TILE_Y 135 TILEPROP NULL_X75Y15 IS_CENTER_TILE 0 TILEPROP NULL_X75Y15 IS_DCM_TILE 0 TILEPROP NULL_X75Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y15 NAME NULL_X75Y15 TILEPROP NULL_X75Y15 NUM_ARCS 0 TILEPROP NULL_X75Y15 NUM_SITES 0 TILEPROP NULL_X75Y15 ROW 141 TILEPROP NULL_X75Y15 SLR_REGION_ID 0 TILEPROP NULL_X75Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y15 TILE_TYPE NULL TILEPROP NULL_X75Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y15 TILE_X 35616 TILEPROP NULL_X75Y15 TILE_Y -196472 TILEPROP NULL_X75Y15 TYPE NULL TILEPROP NULL_X75Y17 CLASS tile TILEPROP NULL_X75Y17 COLUMN 75 TILEPROP NULL_X75Y17 DEVICE_ID 0 TILEPROP NULL_X75Y17 FIRST_SITE_ID 14078 TILEPROP NULL_X75Y17 GRID_POINT_X 75 TILEPROP NULL_X75Y17 GRID_POINT_Y 139 TILEPROP NULL_X75Y17 INDEX 16060 TILEPROP NULL_X75Y17 INT_TILE_X 29 TILEPROP NULL_X75Y17 INT_TILE_Y 133 TILEPROP NULL_X75Y17 IS_CENTER_TILE 0 TILEPROP NULL_X75Y17 IS_DCM_TILE 0 TILEPROP NULL_X75Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y17 NAME NULL_X75Y17 TILEPROP NULL_X75Y17 NUM_ARCS 0 TILEPROP NULL_X75Y17 NUM_SITES 0 TILEPROP NULL_X75Y17 ROW 139 TILEPROP NULL_X75Y17 SLR_REGION_ID 0 TILEPROP NULL_X75Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y17 TILE_TYPE NULL TILEPROP NULL_X75Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y17 TILE_X 35616 TILEPROP NULL_X75Y17 TILE_Y -190072 TILEPROP NULL_X75Y17 TYPE NULL TILEPROP NULL_X75Y18 CLASS tile TILEPROP NULL_X75Y18 COLUMN 75 TILEPROP NULL_X75Y18 DEVICE_ID 0 TILEPROP NULL_X75Y18 FIRST_SITE_ID 13974 TILEPROP NULL_X75Y18 GRID_POINT_X 75 TILEPROP NULL_X75Y18 GRID_POINT_Y 138 TILEPROP NULL_X75Y18 INDEX 15945 TILEPROP NULL_X75Y18 INT_TILE_X 29 TILEPROP NULL_X75Y18 INT_TILE_Y 132 TILEPROP NULL_X75Y18 IS_CENTER_TILE 0 TILEPROP NULL_X75Y18 IS_DCM_TILE 0 TILEPROP NULL_X75Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y18 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y18 NAME NULL_X75Y18 TILEPROP NULL_X75Y18 NUM_ARCS 0 TILEPROP NULL_X75Y18 NUM_SITES 0 TILEPROP NULL_X75Y18 ROW 138 TILEPROP NULL_X75Y18 SLR_REGION_ID 0 TILEPROP NULL_X75Y18 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y18 TILE_TYPE NULL TILEPROP NULL_X75Y18 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y18 TILE_X 35616 TILEPROP NULL_X75Y18 TILE_Y -186872 TILEPROP NULL_X75Y18 TYPE NULL TILEPROP NULL_X75Y19 CLASS tile TILEPROP NULL_X75Y19 COLUMN 75 TILEPROP NULL_X75Y19 DEVICE_ID 0 TILEPROP NULL_X75Y19 FIRST_SITE_ID 13869 TILEPROP NULL_X75Y19 GRID_POINT_X 75 TILEPROP NULL_X75Y19 GRID_POINT_Y 137 TILEPROP NULL_X75Y19 INDEX 15830 TILEPROP NULL_X75Y19 INT_TILE_X 29 TILEPROP NULL_X75Y19 INT_TILE_Y 131 TILEPROP NULL_X75Y19 IS_CENTER_TILE 0 TILEPROP NULL_X75Y19 IS_DCM_TILE 0 TILEPROP NULL_X75Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y19 NAME NULL_X75Y19 TILEPROP NULL_X75Y19 NUM_ARCS 0 TILEPROP NULL_X75Y19 NUM_SITES 0 TILEPROP NULL_X75Y19 ROW 137 TILEPROP NULL_X75Y19 SLR_REGION_ID 0 TILEPROP NULL_X75Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y19 TILE_TYPE NULL TILEPROP NULL_X75Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y19 TILE_X 35616 TILEPROP NULL_X75Y19 TILE_Y -183672 TILEPROP NULL_X75Y19 TYPE NULL TILEPROP NULL_X75Y20 CLASS tile TILEPROP NULL_X75Y20 COLUMN 75 TILEPROP NULL_X75Y20 DEVICE_ID 0 TILEPROP NULL_X75Y20 FIRST_SITE_ID 13767 TILEPROP NULL_X75Y20 GRID_POINT_X 75 TILEPROP NULL_X75Y20 GRID_POINT_Y 136 TILEPROP NULL_X75Y20 INDEX 15715 TILEPROP NULL_X75Y20 INT_TILE_X 29 TILEPROP NULL_X75Y20 INT_TILE_Y 130 TILEPROP NULL_X75Y20 IS_CENTER_TILE 0 TILEPROP NULL_X75Y20 IS_DCM_TILE 0 TILEPROP NULL_X75Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y20 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y20 NAME NULL_X75Y20 TILEPROP NULL_X75Y20 NUM_ARCS 0 TILEPROP NULL_X75Y20 NUM_SITES 0 TILEPROP NULL_X75Y20 ROW 136 TILEPROP NULL_X75Y20 SLR_REGION_ID 0 TILEPROP NULL_X75Y20 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y20 TILE_TYPE NULL TILEPROP NULL_X75Y20 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y20 TILE_X 35616 TILEPROP NULL_X75Y20 TILE_Y -180472 TILEPROP NULL_X75Y20 TYPE NULL TILEPROP NULL_X75Y22 CLASS tile TILEPROP NULL_X75Y22 COLUMN 75 TILEPROP NULL_X75Y22 DEVICE_ID 0 TILEPROP NULL_X75Y22 FIRST_SITE_ID 13550 TILEPROP NULL_X75Y22 GRID_POINT_X 75 TILEPROP NULL_X75Y22 GRID_POINT_Y 134 TILEPROP NULL_X75Y22 INDEX 15485 TILEPROP NULL_X75Y22 INT_TILE_X 29 TILEPROP NULL_X75Y22 INT_TILE_Y 128 TILEPROP NULL_X75Y22 IS_CENTER_TILE 0 TILEPROP NULL_X75Y22 IS_DCM_TILE 0 TILEPROP NULL_X75Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y22 NAME NULL_X75Y22 TILEPROP NULL_X75Y22 NUM_ARCS 0 TILEPROP NULL_X75Y22 NUM_SITES 0 TILEPROP NULL_X75Y22 ROW 134 TILEPROP NULL_X75Y22 SLR_REGION_ID 0 TILEPROP NULL_X75Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y22 TILE_TYPE NULL TILEPROP NULL_X75Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y22 TILE_X 35616 TILEPROP NULL_X75Y22 TILE_Y -174072 TILEPROP NULL_X75Y22 TYPE NULL TILEPROP NULL_X75Y23 CLASS tile TILEPROP NULL_X75Y23 COLUMN 75 TILEPROP NULL_X75Y23 DEVICE_ID 0 TILEPROP NULL_X75Y23 FIRST_SITE_ID 13450 TILEPROP NULL_X75Y23 GRID_POINT_X 75 TILEPROP NULL_X75Y23 GRID_POINT_Y 133 TILEPROP NULL_X75Y23 INDEX 15370 TILEPROP NULL_X75Y23 INT_TILE_X 29 TILEPROP NULL_X75Y23 INT_TILE_Y 127 TILEPROP NULL_X75Y23 IS_CENTER_TILE 0 TILEPROP NULL_X75Y23 IS_DCM_TILE 0 TILEPROP NULL_X75Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y23 NAME NULL_X75Y23 TILEPROP NULL_X75Y23 NUM_ARCS 0 TILEPROP NULL_X75Y23 NUM_SITES 0 TILEPROP NULL_X75Y23 ROW 133 TILEPROP NULL_X75Y23 SLR_REGION_ID 0 TILEPROP NULL_X75Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y23 TILE_TYPE NULL TILEPROP NULL_X75Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y23 TILE_X 35616 TILEPROP NULL_X75Y23 TILE_Y -170872 TILEPROP NULL_X75Y23 TYPE NULL TILEPROP NULL_X75Y24 CLASS tile TILEPROP NULL_X75Y24 COLUMN 75 TILEPROP NULL_X75Y24 DEVICE_ID 0 TILEPROP NULL_X75Y24 FIRST_SITE_ID 13350 TILEPROP NULL_X75Y24 GRID_POINT_X 75 TILEPROP NULL_X75Y24 GRID_POINT_Y 132 TILEPROP NULL_X75Y24 INDEX 15255 TILEPROP NULL_X75Y24 INT_TILE_X 29 TILEPROP NULL_X75Y24 INT_TILE_Y 126 TILEPROP NULL_X75Y24 IS_CENTER_TILE 0 TILEPROP NULL_X75Y24 IS_DCM_TILE 0 TILEPROP NULL_X75Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y24 NAME NULL_X75Y24 TILEPROP NULL_X75Y24 NUM_ARCS 0 TILEPROP NULL_X75Y24 NUM_SITES 0 TILEPROP NULL_X75Y24 ROW 132 TILEPROP NULL_X75Y24 SLR_REGION_ID 0 TILEPROP NULL_X75Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y24 TILE_TYPE NULL TILEPROP NULL_X75Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y24 TILE_X 35616 TILEPROP NULL_X75Y24 TILE_Y -167672 TILEPROP NULL_X75Y24 TYPE NULL TILEPROP NULL_X75Y25 CLASS tile TILEPROP NULL_X75Y25 COLUMN 75 TILEPROP NULL_X75Y25 DEVICE_ID 0 TILEPROP NULL_X75Y25 FIRST_SITE_ID 13250 TILEPROP NULL_X75Y25 GRID_POINT_X 75 TILEPROP NULL_X75Y25 GRID_POINT_Y 131 TILEPROP NULL_X75Y25 INDEX 15140 TILEPROP NULL_X75Y25 INT_TILE_X 29 TILEPROP NULL_X75Y25 INT_TILE_Y 125 TILEPROP NULL_X75Y25 IS_CENTER_TILE 0 TILEPROP NULL_X75Y25 IS_DCM_TILE 0 TILEPROP NULL_X75Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y25 NAME NULL_X75Y25 TILEPROP NULL_X75Y25 NUM_ARCS 0 TILEPROP NULL_X75Y25 NUM_SITES 0 TILEPROP NULL_X75Y25 ROW 131 TILEPROP NULL_X75Y25 SLR_REGION_ID 0 TILEPROP NULL_X75Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y25 TILE_TYPE NULL TILEPROP NULL_X75Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y25 TILE_X 35616 TILEPROP NULL_X75Y25 TILE_Y -164472 TILEPROP NULL_X75Y25 TYPE NULL TILEPROP NULL_X75Y28 CLASS tile TILEPROP NULL_X75Y28 COLUMN 75 TILEPROP NULL_X75Y28 DEVICE_ID 0 TILEPROP NULL_X75Y28 FIRST_SITE_ID 12952 TILEPROP NULL_X75Y28 GRID_POINT_X 75 TILEPROP NULL_X75Y28 GRID_POINT_Y 128 TILEPROP NULL_X75Y28 INDEX 14795 TILEPROP NULL_X75Y28 INT_TILE_X 29 TILEPROP NULL_X75Y28 INT_TILE_Y 123 TILEPROP NULL_X75Y28 IS_CENTER_TILE 0 TILEPROP NULL_X75Y28 IS_DCM_TILE 0 TILEPROP NULL_X75Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y28 NAME NULL_X75Y28 TILEPROP NULL_X75Y28 NUM_ARCS 0 TILEPROP NULL_X75Y28 NUM_SITES 0 TILEPROP NULL_X75Y28 ROW 128 TILEPROP NULL_X75Y28 SLR_REGION_ID 0 TILEPROP NULL_X75Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y28 TILE_TYPE NULL TILEPROP NULL_X75Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y28 TILE_X 35616 TILEPROP NULL_X75Y28 TILE_Y -157048 TILEPROP NULL_X75Y28 TYPE NULL TILEPROP NULL_X75Y29 CLASS tile TILEPROP NULL_X75Y29 COLUMN 75 TILEPROP NULL_X75Y29 DEVICE_ID 0 TILEPROP NULL_X75Y29 FIRST_SITE_ID 12852 TILEPROP NULL_X75Y29 GRID_POINT_X 75 TILEPROP NULL_X75Y29 GRID_POINT_Y 127 TILEPROP NULL_X75Y29 INDEX 14680 TILEPROP NULL_X75Y29 INT_TILE_X 29 TILEPROP NULL_X75Y29 INT_TILE_Y 122 TILEPROP NULL_X75Y29 IS_CENTER_TILE 0 TILEPROP NULL_X75Y29 IS_DCM_TILE 0 TILEPROP NULL_X75Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y29 NAME NULL_X75Y29 TILEPROP NULL_X75Y29 NUM_ARCS 0 TILEPROP NULL_X75Y29 NUM_SITES 0 TILEPROP NULL_X75Y29 ROW 127 TILEPROP NULL_X75Y29 SLR_REGION_ID 0 TILEPROP NULL_X75Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y29 TILE_TYPE NULL TILEPROP NULL_X75Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y29 TILE_X 35616 TILEPROP NULL_X75Y29 TILE_Y -153848 TILEPROP NULL_X75Y29 TYPE NULL TILEPROP NULL_X75Y30 CLASS tile TILEPROP NULL_X75Y30 COLUMN 75 TILEPROP NULL_X75Y30 DEVICE_ID 0 TILEPROP NULL_X75Y30 FIRST_SITE_ID 12752 TILEPROP NULL_X75Y30 GRID_POINT_X 75 TILEPROP NULL_X75Y30 GRID_POINT_Y 126 TILEPROP NULL_X75Y30 INDEX 14565 TILEPROP NULL_X75Y30 INT_TILE_X 29 TILEPROP NULL_X75Y30 INT_TILE_Y 121 TILEPROP NULL_X75Y30 IS_CENTER_TILE 0 TILEPROP NULL_X75Y30 IS_DCM_TILE 0 TILEPROP NULL_X75Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y30 NAME NULL_X75Y30 TILEPROP NULL_X75Y30 NUM_ARCS 0 TILEPROP NULL_X75Y30 NUM_SITES 0 TILEPROP NULL_X75Y30 ROW 126 TILEPROP NULL_X75Y30 SLR_REGION_ID 0 TILEPROP NULL_X75Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y30 TILE_TYPE NULL TILEPROP NULL_X75Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y30 TILE_X 35616 TILEPROP NULL_X75Y30 TILE_Y -150648 TILEPROP NULL_X75Y30 TYPE NULL TILEPROP NULL_X75Y31 CLASS tile TILEPROP NULL_X75Y31 COLUMN 75 TILEPROP NULL_X75Y31 DEVICE_ID 0 TILEPROP NULL_X75Y31 FIRST_SITE_ID 12646 TILEPROP NULL_X75Y31 GRID_POINT_X 75 TILEPROP NULL_X75Y31 GRID_POINT_Y 125 TILEPROP NULL_X75Y31 INDEX 14450 TILEPROP NULL_X75Y31 INT_TILE_X 29 TILEPROP NULL_X75Y31 INT_TILE_Y 120 TILEPROP NULL_X75Y31 IS_CENTER_TILE 0 TILEPROP NULL_X75Y31 IS_DCM_TILE 0 TILEPROP NULL_X75Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y31 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y31 NAME NULL_X75Y31 TILEPROP NULL_X75Y31 NUM_ARCS 0 TILEPROP NULL_X75Y31 NUM_SITES 0 TILEPROP NULL_X75Y31 ROW 125 TILEPROP NULL_X75Y31 SLR_REGION_ID 0 TILEPROP NULL_X75Y31 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y31 TILE_TYPE NULL TILEPROP NULL_X75Y31 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y31 TILE_X 35616 TILEPROP NULL_X75Y31 TILE_Y -147448 TILEPROP NULL_X75Y31 TYPE NULL TILEPROP NULL_X75Y33 CLASS tile TILEPROP NULL_X75Y33 COLUMN 75 TILEPROP NULL_X75Y33 DEVICE_ID 0 TILEPROP NULL_X75Y33 FIRST_SITE_ID 12410 TILEPROP NULL_X75Y33 GRID_POINT_X 75 TILEPROP NULL_X75Y33 GRID_POINT_Y 123 TILEPROP NULL_X75Y33 INDEX 14220 TILEPROP NULL_X75Y33 INT_TILE_X 29 TILEPROP NULL_X75Y33 INT_TILE_Y 118 TILEPROP NULL_X75Y33 IS_CENTER_TILE 0 TILEPROP NULL_X75Y33 IS_DCM_TILE 0 TILEPROP NULL_X75Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y33 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y33 NAME NULL_X75Y33 TILEPROP NULL_X75Y33 NUM_ARCS 0 TILEPROP NULL_X75Y33 NUM_SITES 0 TILEPROP NULL_X75Y33 ROW 123 TILEPROP NULL_X75Y33 SLR_REGION_ID 0 TILEPROP NULL_X75Y33 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y33 TILE_TYPE NULL TILEPROP NULL_X75Y33 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y33 TILE_X 35616 TILEPROP NULL_X75Y33 TILE_Y -141048 TILEPROP NULL_X75Y33 TYPE NULL TILEPROP NULL_X75Y34 CLASS tile TILEPROP NULL_X75Y34 COLUMN 75 TILEPROP NULL_X75Y34 DEVICE_ID 0 TILEPROP NULL_X75Y34 FIRST_SITE_ID 12308 TILEPROP NULL_X75Y34 GRID_POINT_X 75 TILEPROP NULL_X75Y34 GRID_POINT_Y 122 TILEPROP NULL_X75Y34 INDEX 14105 TILEPROP NULL_X75Y34 INT_TILE_X 29 TILEPROP NULL_X75Y34 INT_TILE_Y 117 TILEPROP NULL_X75Y34 IS_CENTER_TILE 0 TILEPROP NULL_X75Y34 IS_DCM_TILE 0 TILEPROP NULL_X75Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y34 NAME NULL_X75Y34 TILEPROP NULL_X75Y34 NUM_ARCS 0 TILEPROP NULL_X75Y34 NUM_SITES 0 TILEPROP NULL_X75Y34 ROW 122 TILEPROP NULL_X75Y34 SLR_REGION_ID 0 TILEPROP NULL_X75Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y34 TILE_TYPE NULL TILEPROP NULL_X75Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y34 TILE_X 35616 TILEPROP NULL_X75Y34 TILE_Y -137848 TILEPROP NULL_X75Y34 TYPE NULL TILEPROP NULL_X75Y35 CLASS tile TILEPROP NULL_X75Y35 COLUMN 75 TILEPROP NULL_X75Y35 DEVICE_ID 0 TILEPROP NULL_X75Y35 FIRST_SITE_ID 12207 TILEPROP NULL_X75Y35 GRID_POINT_X 75 TILEPROP NULL_X75Y35 GRID_POINT_Y 121 TILEPROP NULL_X75Y35 INDEX 13990 TILEPROP NULL_X75Y35 INT_TILE_X 29 TILEPROP NULL_X75Y35 INT_TILE_Y 116 TILEPROP NULL_X75Y35 IS_CENTER_TILE 0 TILEPROP NULL_X75Y35 IS_DCM_TILE 0 TILEPROP NULL_X75Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y35 NAME NULL_X75Y35 TILEPROP NULL_X75Y35 NUM_ARCS 0 TILEPROP NULL_X75Y35 NUM_SITES 0 TILEPROP NULL_X75Y35 ROW 121 TILEPROP NULL_X75Y35 SLR_REGION_ID 0 TILEPROP NULL_X75Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y35 TILE_TYPE NULL TILEPROP NULL_X75Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y35 TILE_X 35616 TILEPROP NULL_X75Y35 TILE_Y -134648 TILEPROP NULL_X75Y35 TYPE NULL TILEPROP NULL_X75Y36 CLASS tile TILEPROP NULL_X75Y36 COLUMN 75 TILEPROP NULL_X75Y36 DEVICE_ID 0 TILEPROP NULL_X75Y36 FIRST_SITE_ID 12107 TILEPROP NULL_X75Y36 GRID_POINT_X 75 TILEPROP NULL_X75Y36 GRID_POINT_Y 120 TILEPROP NULL_X75Y36 INDEX 13875 TILEPROP NULL_X75Y36 INT_TILE_X 29 TILEPROP NULL_X75Y36 INT_TILE_Y 115 TILEPROP NULL_X75Y36 IS_CENTER_TILE 0 TILEPROP NULL_X75Y36 IS_DCM_TILE 0 TILEPROP NULL_X75Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y36 NAME NULL_X75Y36 TILEPROP NULL_X75Y36 NUM_ARCS 0 TILEPROP NULL_X75Y36 NUM_SITES 0 TILEPROP NULL_X75Y36 ROW 120 TILEPROP NULL_X75Y36 SLR_REGION_ID 0 TILEPROP NULL_X75Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y36 TILE_TYPE NULL TILEPROP NULL_X75Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y36 TILE_X 35616 TILEPROP NULL_X75Y36 TILE_Y -131448 TILEPROP NULL_X75Y36 TYPE NULL TILEPROP NULL_X75Y38 CLASS tile TILEPROP NULL_X75Y38 COLUMN 75 TILEPROP NULL_X75Y38 DEVICE_ID 0 TILEPROP NULL_X75Y38 FIRST_SITE_ID 11892 TILEPROP NULL_X75Y38 GRID_POINT_X 75 TILEPROP NULL_X75Y38 GRID_POINT_Y 118 TILEPROP NULL_X75Y38 INDEX 13645 TILEPROP NULL_X75Y38 INT_TILE_X 29 TILEPROP NULL_X75Y38 INT_TILE_Y 113 TILEPROP NULL_X75Y38 IS_CENTER_TILE 0 TILEPROP NULL_X75Y38 IS_DCM_TILE 0 TILEPROP NULL_X75Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y38 NAME NULL_X75Y38 TILEPROP NULL_X75Y38 NUM_ARCS 0 TILEPROP NULL_X75Y38 NUM_SITES 0 TILEPROP NULL_X75Y38 ROW 118 TILEPROP NULL_X75Y38 SLR_REGION_ID 0 TILEPROP NULL_X75Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y38 TILE_TYPE NULL TILEPROP NULL_X75Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y38 TILE_X 35616 TILEPROP NULL_X75Y38 TILE_Y -125048 TILEPROP NULL_X75Y38 TYPE NULL TILEPROP NULL_X75Y39 CLASS tile TILEPROP NULL_X75Y39 COLUMN 75 TILEPROP NULL_X75Y39 DEVICE_ID 0 TILEPROP NULL_X75Y39 FIRST_SITE_ID 11760 TILEPROP NULL_X75Y39 GRID_POINT_X 75 TILEPROP NULL_X75Y39 GRID_POINT_Y 117 TILEPROP NULL_X75Y39 INDEX 13530 TILEPROP NULL_X75Y39 INT_TILE_X 29 TILEPROP NULL_X75Y39 INT_TILE_Y 112 TILEPROP NULL_X75Y39 IS_CENTER_TILE 0 TILEPROP NULL_X75Y39 IS_DCM_TILE 0 TILEPROP NULL_X75Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y39 NAME NULL_X75Y39 TILEPROP NULL_X75Y39 NUM_ARCS 0 TILEPROP NULL_X75Y39 NUM_SITES 0 TILEPROP NULL_X75Y39 ROW 117 TILEPROP NULL_X75Y39 SLR_REGION_ID 0 TILEPROP NULL_X75Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y39 TILE_TYPE NULL TILEPROP NULL_X75Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y39 TILE_X 35616 TILEPROP NULL_X75Y39 TILE_Y -121848 TILEPROP NULL_X75Y39 TYPE NULL TILEPROP NULL_X75Y40 CLASS tile TILEPROP NULL_X75Y40 COLUMN 75 TILEPROP NULL_X75Y40 DEVICE_ID 0 TILEPROP NULL_X75Y40 FIRST_SITE_ID 11660 TILEPROP NULL_X75Y40 GRID_POINT_X 75 TILEPROP NULL_X75Y40 GRID_POINT_Y 116 TILEPROP NULL_X75Y40 INDEX 13415 TILEPROP NULL_X75Y40 INT_TILE_X 29 TILEPROP NULL_X75Y40 INT_TILE_Y 111 TILEPROP NULL_X75Y40 IS_CENTER_TILE 0 TILEPROP NULL_X75Y40 IS_DCM_TILE 0 TILEPROP NULL_X75Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y40 NAME NULL_X75Y40 TILEPROP NULL_X75Y40 NUM_ARCS 0 TILEPROP NULL_X75Y40 NUM_SITES 0 TILEPROP NULL_X75Y40 ROW 116 TILEPROP NULL_X75Y40 SLR_REGION_ID 0 TILEPROP NULL_X75Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y40 TILE_TYPE NULL TILEPROP NULL_X75Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y40 TILE_X 35616 TILEPROP NULL_X75Y40 TILE_Y -118648 TILEPROP NULL_X75Y40 TYPE NULL TILEPROP NULL_X75Y41 CLASS tile TILEPROP NULL_X75Y41 COLUMN 75 TILEPROP NULL_X75Y41 DEVICE_ID 0 TILEPROP NULL_X75Y41 FIRST_SITE_ID 11560 TILEPROP NULL_X75Y41 GRID_POINT_X 75 TILEPROP NULL_X75Y41 GRID_POINT_Y 115 TILEPROP NULL_X75Y41 INDEX 13300 TILEPROP NULL_X75Y41 INT_TILE_X 29 TILEPROP NULL_X75Y41 INT_TILE_Y 110 TILEPROP NULL_X75Y41 IS_CENTER_TILE 0 TILEPROP NULL_X75Y41 IS_DCM_TILE 0 TILEPROP NULL_X75Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y41 NAME NULL_X75Y41 TILEPROP NULL_X75Y41 NUM_ARCS 0 TILEPROP NULL_X75Y41 NUM_SITES 0 TILEPROP NULL_X75Y41 ROW 115 TILEPROP NULL_X75Y41 SLR_REGION_ID 0 TILEPROP NULL_X75Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y41 TILE_TYPE NULL TILEPROP NULL_X75Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y41 TILE_X 35616 TILEPROP NULL_X75Y41 TILE_Y -115448 TILEPROP NULL_X75Y41 TYPE NULL TILEPROP NULL_X75Y43 CLASS tile TILEPROP NULL_X75Y43 COLUMN 75 TILEPROP NULL_X75Y43 DEVICE_ID 0 TILEPROP NULL_X75Y43 FIRST_SITE_ID 11343 TILEPROP NULL_X75Y43 GRID_POINT_X 75 TILEPROP NULL_X75Y43 GRID_POINT_Y 113 TILEPROP NULL_X75Y43 INDEX 13070 TILEPROP NULL_X75Y43 INT_TILE_X 29 TILEPROP NULL_X75Y43 INT_TILE_Y 108 TILEPROP NULL_X75Y43 IS_CENTER_TILE 0 TILEPROP NULL_X75Y43 IS_DCM_TILE 0 TILEPROP NULL_X75Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y43 NAME NULL_X75Y43 TILEPROP NULL_X75Y43 NUM_ARCS 0 TILEPROP NULL_X75Y43 NUM_SITES 0 TILEPROP NULL_X75Y43 ROW 113 TILEPROP NULL_X75Y43 SLR_REGION_ID 0 TILEPROP NULL_X75Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y43 TILE_TYPE NULL TILEPROP NULL_X75Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y43 TILE_X 35616 TILEPROP NULL_X75Y43 TILE_Y -109048 TILEPROP NULL_X75Y43 TYPE NULL TILEPROP NULL_X75Y44 CLASS tile TILEPROP NULL_X75Y44 COLUMN 75 TILEPROP NULL_X75Y44 DEVICE_ID 0 TILEPROP NULL_X75Y44 FIRST_SITE_ID 11241 TILEPROP NULL_X75Y44 GRID_POINT_X 75 TILEPROP NULL_X75Y44 GRID_POINT_Y 112 TILEPROP NULL_X75Y44 INDEX 12955 TILEPROP NULL_X75Y44 INT_TILE_X 29 TILEPROP NULL_X75Y44 INT_TILE_Y 107 TILEPROP NULL_X75Y44 IS_CENTER_TILE 0 TILEPROP NULL_X75Y44 IS_DCM_TILE 0 TILEPROP NULL_X75Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y44 NAME NULL_X75Y44 TILEPROP NULL_X75Y44 NUM_ARCS 0 TILEPROP NULL_X75Y44 NUM_SITES 0 TILEPROP NULL_X75Y44 ROW 112 TILEPROP NULL_X75Y44 SLR_REGION_ID 0 TILEPROP NULL_X75Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y44 TILE_TYPE NULL TILEPROP NULL_X75Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y44 TILE_X 35616 TILEPROP NULL_X75Y44 TILE_Y -105848 TILEPROP NULL_X75Y44 TYPE NULL TILEPROP NULL_X75Y45 CLASS tile TILEPROP NULL_X75Y45 COLUMN 75 TILEPROP NULL_X75Y45 DEVICE_ID 0 TILEPROP NULL_X75Y45 FIRST_SITE_ID 11138 TILEPROP NULL_X75Y45 GRID_POINT_X 75 TILEPROP NULL_X75Y45 GRID_POINT_Y 111 TILEPROP NULL_X75Y45 INDEX 12840 TILEPROP NULL_X75Y45 INT_TILE_X 29 TILEPROP NULL_X75Y45 INT_TILE_Y 106 TILEPROP NULL_X75Y45 IS_CENTER_TILE 0 TILEPROP NULL_X75Y45 IS_DCM_TILE 0 TILEPROP NULL_X75Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y45 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y45 NAME NULL_X75Y45 TILEPROP NULL_X75Y45 NUM_ARCS 0 TILEPROP NULL_X75Y45 NUM_SITES 0 TILEPROP NULL_X75Y45 ROW 111 TILEPROP NULL_X75Y45 SLR_REGION_ID 0 TILEPROP NULL_X75Y45 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y45 TILE_TYPE NULL TILEPROP NULL_X75Y45 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y45 TILE_X 35616 TILEPROP NULL_X75Y45 TILE_Y -102648 TILEPROP NULL_X75Y45 TYPE NULL TILEPROP NULL_X75Y46 CLASS tile TILEPROP NULL_X75Y46 COLUMN 75 TILEPROP NULL_X75Y46 DEVICE_ID 0 TILEPROP NULL_X75Y46 FIRST_SITE_ID 11036 TILEPROP NULL_X75Y46 GRID_POINT_X 75 TILEPROP NULL_X75Y46 GRID_POINT_Y 110 TILEPROP NULL_X75Y46 INDEX 12725 TILEPROP NULL_X75Y46 INT_TILE_X 29 TILEPROP NULL_X75Y46 INT_TILE_Y 105 TILEPROP NULL_X75Y46 IS_CENTER_TILE 0 TILEPROP NULL_X75Y46 IS_DCM_TILE 0 TILEPROP NULL_X75Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y46 NAME NULL_X75Y46 TILEPROP NULL_X75Y46 NUM_ARCS 0 TILEPROP NULL_X75Y46 NUM_SITES 0 TILEPROP NULL_X75Y46 ROW 110 TILEPROP NULL_X75Y46 SLR_REGION_ID 0 TILEPROP NULL_X75Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y46 TILE_TYPE NULL TILEPROP NULL_X75Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y46 TILE_X 35616 TILEPROP NULL_X75Y46 TILE_Y -99448 TILEPROP NULL_X75Y46 TYPE NULL TILEPROP NULL_X75Y48 CLASS tile TILEPROP NULL_X75Y48 COLUMN 75 TILEPROP NULL_X75Y48 DEVICE_ID 0 TILEPROP NULL_X75Y48 FIRST_SITE_ID 10820 TILEPROP NULL_X75Y48 GRID_POINT_X 75 TILEPROP NULL_X75Y48 GRID_POINT_Y 108 TILEPROP NULL_X75Y48 INDEX 12495 TILEPROP NULL_X75Y48 INT_TILE_X 29 TILEPROP NULL_X75Y48 INT_TILE_Y 103 TILEPROP NULL_X75Y48 IS_CENTER_TILE 0 TILEPROP NULL_X75Y48 IS_DCM_TILE 0 TILEPROP NULL_X75Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y48 NAME NULL_X75Y48 TILEPROP NULL_X75Y48 NUM_ARCS 0 TILEPROP NULL_X75Y48 NUM_SITES 0 TILEPROP NULL_X75Y48 ROW 108 TILEPROP NULL_X75Y48 SLR_REGION_ID 0 TILEPROP NULL_X75Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y48 TILE_TYPE NULL TILEPROP NULL_X75Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y48 TILE_X 35616 TILEPROP NULL_X75Y48 TILE_Y -93048 TILEPROP NULL_X75Y48 TYPE NULL TILEPROP NULL_X75Y49 CLASS tile TILEPROP NULL_X75Y49 COLUMN 75 TILEPROP NULL_X75Y49 DEVICE_ID 0 TILEPROP NULL_X75Y49 FIRST_SITE_ID 10704 TILEPROP NULL_X75Y49 GRID_POINT_X 75 TILEPROP NULL_X75Y49 GRID_POINT_Y 107 TILEPROP NULL_X75Y49 INDEX 12380 TILEPROP NULL_X75Y49 INT_TILE_X 29 TILEPROP NULL_X75Y49 INT_TILE_Y 102 TILEPROP NULL_X75Y49 IS_CENTER_TILE 0 TILEPROP NULL_X75Y49 IS_DCM_TILE 0 TILEPROP NULL_X75Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y49 NAME NULL_X75Y49 TILEPROP NULL_X75Y49 NUM_ARCS 0 TILEPROP NULL_X75Y49 NUM_SITES 0 TILEPROP NULL_X75Y49 ROW 107 TILEPROP NULL_X75Y49 SLR_REGION_ID 0 TILEPROP NULL_X75Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y49 TILE_TYPE NULL TILEPROP NULL_X75Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y49 TILE_X 35616 TILEPROP NULL_X75Y49 TILE_Y -89848 TILEPROP NULL_X75Y49 TYPE NULL TILEPROP NULL_X75Y50 CLASS tile TILEPROP NULL_X75Y50 COLUMN 75 TILEPROP NULL_X75Y50 DEVICE_ID 0 TILEPROP NULL_X75Y50 FIRST_SITE_ID 10604 TILEPROP NULL_X75Y50 GRID_POINT_X 75 TILEPROP NULL_X75Y50 GRID_POINT_Y 106 TILEPROP NULL_X75Y50 INDEX 12265 TILEPROP NULL_X75Y50 INT_TILE_X 29 TILEPROP NULL_X75Y50 INT_TILE_Y 101 TILEPROP NULL_X75Y50 IS_CENTER_TILE 0 TILEPROP NULL_X75Y50 IS_DCM_TILE 0 TILEPROP NULL_X75Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y50 NAME NULL_X75Y50 TILEPROP NULL_X75Y50 NUM_ARCS 0 TILEPROP NULL_X75Y50 NUM_SITES 0 TILEPROP NULL_X75Y50 ROW 106 TILEPROP NULL_X75Y50 SLR_REGION_ID 0 TILEPROP NULL_X75Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y50 TILE_TYPE NULL TILEPROP NULL_X75Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y50 TILE_X 35616 TILEPROP NULL_X75Y50 TILE_Y -86648 TILEPROP NULL_X75Y50 TYPE NULL TILEPROP NULL_X75Y51 CLASS tile TILEPROP NULL_X75Y51 COLUMN 75 TILEPROP NULL_X75Y51 DEVICE_ID 0 TILEPROP NULL_X75Y51 FIRST_SITE_ID 10508 TILEPROP NULL_X75Y51 GRID_POINT_X 75 TILEPROP NULL_X75Y51 GRID_POINT_Y 105 TILEPROP NULL_X75Y51 INDEX 12150 TILEPROP NULL_X75Y51 INT_TILE_X 29 TILEPROP NULL_X75Y51 INT_TILE_Y 100 TILEPROP NULL_X75Y51 IS_CENTER_TILE 0 TILEPROP NULL_X75Y51 IS_DCM_TILE 0 TILEPROP NULL_X75Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y51 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y51 NAME NULL_X75Y51 TILEPROP NULL_X75Y51 NUM_ARCS 0 TILEPROP NULL_X75Y51 NUM_SITES 0 TILEPROP NULL_X75Y51 ROW 105 TILEPROP NULL_X75Y51 SLR_REGION_ID 0 TILEPROP NULL_X75Y51 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y51 TILE_TYPE NULL TILEPROP NULL_X75Y51 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y51 TILE_X 35616 TILEPROP NULL_X75Y51 TILE_Y -83448 TILEPROP NULL_X75Y51 TYPE NULL TILEPROP NULL_X75Y54 CLASS tile TILEPROP NULL_X75Y54 COLUMN 75 TILEPROP NULL_X75Y54 DEVICE_ID 0 TILEPROP NULL_X75Y54 FIRST_SITE_ID 10273 TILEPROP NULL_X75Y54 GRID_POINT_X 75 TILEPROP NULL_X75Y54 GRID_POINT_Y 102 TILEPROP NULL_X75Y54 INDEX 11805 TILEPROP NULL_X75Y54 INT_TILE_X 29 TILEPROP NULL_X75Y54 INT_TILE_Y 98 TILEPROP NULL_X75Y54 IS_CENTER_TILE 0 TILEPROP NULL_X75Y54 IS_DCM_TILE 0 TILEPROP NULL_X75Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y54 NAME NULL_X75Y54 TILEPROP NULL_X75Y54 NUM_ARCS 0 TILEPROP NULL_X75Y54 NUM_SITES 0 TILEPROP NULL_X75Y54 ROW 102 TILEPROP NULL_X75Y54 SLR_REGION_ID 0 TILEPROP NULL_X75Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y54 TILE_TYPE NULL TILEPROP NULL_X75Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y54 TILE_X 35616 TILEPROP NULL_X75Y54 TILE_Y -76800 TILEPROP NULL_X75Y54 TYPE NULL TILEPROP NULL_X75Y55 CLASS tile TILEPROP NULL_X75Y55 COLUMN 75 TILEPROP NULL_X75Y55 DEVICE_ID 0 TILEPROP NULL_X75Y55 FIRST_SITE_ID 10173 TILEPROP NULL_X75Y55 GRID_POINT_X 75 TILEPROP NULL_X75Y55 GRID_POINT_Y 101 TILEPROP NULL_X75Y55 INDEX 11690 TILEPROP NULL_X75Y55 INT_TILE_X 29 TILEPROP NULL_X75Y55 INT_TILE_Y 97 TILEPROP NULL_X75Y55 IS_CENTER_TILE 0 TILEPROP NULL_X75Y55 IS_DCM_TILE 0 TILEPROP NULL_X75Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y55 NAME NULL_X75Y55 TILEPROP NULL_X75Y55 NUM_ARCS 0 TILEPROP NULL_X75Y55 NUM_SITES 0 TILEPROP NULL_X75Y55 ROW 101 TILEPROP NULL_X75Y55 SLR_REGION_ID 0 TILEPROP NULL_X75Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y55 TILE_TYPE NULL TILEPROP NULL_X75Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y55 TILE_X 35616 TILEPROP NULL_X75Y55 TILE_Y -73600 TILEPROP NULL_X75Y55 TYPE NULL TILEPROP NULL_X75Y56 CLASS tile TILEPROP NULL_X75Y56 COLUMN 75 TILEPROP NULL_X75Y56 DEVICE_ID 0 TILEPROP NULL_X75Y56 FIRST_SITE_ID 10073 TILEPROP NULL_X75Y56 GRID_POINT_X 75 TILEPROP NULL_X75Y56 GRID_POINT_Y 100 TILEPROP NULL_X75Y56 INDEX 11575 TILEPROP NULL_X75Y56 INT_TILE_X 29 TILEPROP NULL_X75Y56 INT_TILE_Y 96 TILEPROP NULL_X75Y56 IS_CENTER_TILE 0 TILEPROP NULL_X75Y56 IS_DCM_TILE 0 TILEPROP NULL_X75Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y56 NAME NULL_X75Y56 TILEPROP NULL_X75Y56 NUM_ARCS 0 TILEPROP NULL_X75Y56 NUM_SITES 0 TILEPROP NULL_X75Y56 ROW 100 TILEPROP NULL_X75Y56 SLR_REGION_ID 0 TILEPROP NULL_X75Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y56 TILE_TYPE NULL TILEPROP NULL_X75Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y56 TILE_X 35616 TILEPROP NULL_X75Y56 TILE_Y -70400 TILEPROP NULL_X75Y56 TYPE NULL TILEPROP NULL_X75Y57 CLASS tile TILEPROP NULL_X75Y57 COLUMN 75 TILEPROP NULL_X75Y57 DEVICE_ID 0 TILEPROP NULL_X75Y57 FIRST_SITE_ID 9973 TILEPROP NULL_X75Y57 GRID_POINT_X 75 TILEPROP NULL_X75Y57 GRID_POINT_Y 99 TILEPROP NULL_X75Y57 INDEX 11460 TILEPROP NULL_X75Y57 INT_TILE_X 29 TILEPROP NULL_X75Y57 INT_TILE_Y 95 TILEPROP NULL_X75Y57 IS_CENTER_TILE 0 TILEPROP NULL_X75Y57 IS_DCM_TILE 0 TILEPROP NULL_X75Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y57 NAME NULL_X75Y57 TILEPROP NULL_X75Y57 NUM_ARCS 0 TILEPROP NULL_X75Y57 NUM_SITES 0 TILEPROP NULL_X75Y57 ROW 99 TILEPROP NULL_X75Y57 SLR_REGION_ID 0 TILEPROP NULL_X75Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y57 TILE_TYPE NULL TILEPROP NULL_X75Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y57 TILE_X 35616 TILEPROP NULL_X75Y57 TILE_Y -67200 TILEPROP NULL_X75Y57 TYPE NULL TILEPROP NULL_X75Y59 CLASS tile TILEPROP NULL_X75Y59 COLUMN 75 TILEPROP NULL_X75Y59 DEVICE_ID 0 TILEPROP NULL_X75Y59 FIRST_SITE_ID 9758 TILEPROP NULL_X75Y59 GRID_POINT_X 75 TILEPROP NULL_X75Y59 GRID_POINT_Y 97 TILEPROP NULL_X75Y59 INDEX 11230 TILEPROP NULL_X75Y59 INT_TILE_X 29 TILEPROP NULL_X75Y59 INT_TILE_Y 93 TILEPROP NULL_X75Y59 IS_CENTER_TILE 0 TILEPROP NULL_X75Y59 IS_DCM_TILE 0 TILEPROP NULL_X75Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y59 NAME NULL_X75Y59 TILEPROP NULL_X75Y59 NUM_ARCS 0 TILEPROP NULL_X75Y59 NUM_SITES 0 TILEPROP NULL_X75Y59 ROW 97 TILEPROP NULL_X75Y59 SLR_REGION_ID 0 TILEPROP NULL_X75Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y59 TILE_TYPE NULL TILEPROP NULL_X75Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y59 TILE_X 35616 TILEPROP NULL_X75Y59 TILE_Y -60800 TILEPROP NULL_X75Y59 TYPE NULL TILEPROP NULL_X75Y60 CLASS tile TILEPROP NULL_X75Y60 COLUMN 75 TILEPROP NULL_X75Y60 DEVICE_ID 0 TILEPROP NULL_X75Y60 FIRST_SITE_ID 9656 TILEPROP NULL_X75Y60 GRID_POINT_X 75 TILEPROP NULL_X75Y60 GRID_POINT_Y 96 TILEPROP NULL_X75Y60 INDEX 11115 TILEPROP NULL_X75Y60 INT_TILE_X 29 TILEPROP NULL_X75Y60 INT_TILE_Y 92 TILEPROP NULL_X75Y60 IS_CENTER_TILE 0 TILEPROP NULL_X75Y60 IS_DCM_TILE 0 TILEPROP NULL_X75Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y60 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y60 NAME NULL_X75Y60 TILEPROP NULL_X75Y60 NUM_ARCS 0 TILEPROP NULL_X75Y60 NUM_SITES 0 TILEPROP NULL_X75Y60 ROW 96 TILEPROP NULL_X75Y60 SLR_REGION_ID 0 TILEPROP NULL_X75Y60 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y60 TILE_TYPE NULL TILEPROP NULL_X75Y60 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y60 TILE_X 35616 TILEPROP NULL_X75Y60 TILE_Y -57600 TILEPROP NULL_X75Y60 TYPE NULL TILEPROP NULL_X75Y61 CLASS tile TILEPROP NULL_X75Y61 COLUMN 75 TILEPROP NULL_X75Y61 DEVICE_ID 0 TILEPROP NULL_X75Y61 FIRST_SITE_ID 9553 TILEPROP NULL_X75Y61 GRID_POINT_X 75 TILEPROP NULL_X75Y61 GRID_POINT_Y 95 TILEPROP NULL_X75Y61 INDEX 11000 TILEPROP NULL_X75Y61 INT_TILE_X 29 TILEPROP NULL_X75Y61 INT_TILE_Y 91 TILEPROP NULL_X75Y61 IS_CENTER_TILE 0 TILEPROP NULL_X75Y61 IS_DCM_TILE 0 TILEPROP NULL_X75Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y61 NAME NULL_X75Y61 TILEPROP NULL_X75Y61 NUM_ARCS 0 TILEPROP NULL_X75Y61 NUM_SITES 0 TILEPROP NULL_X75Y61 ROW 95 TILEPROP NULL_X75Y61 SLR_REGION_ID 0 TILEPROP NULL_X75Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y61 TILE_TYPE NULL TILEPROP NULL_X75Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y61 TILE_X 35616 TILEPROP NULL_X75Y61 TILE_Y -54400 TILEPROP NULL_X75Y61 TYPE NULL TILEPROP NULL_X75Y62 CLASS tile TILEPROP NULL_X75Y62 COLUMN 75 TILEPROP NULL_X75Y62 DEVICE_ID 0 TILEPROP NULL_X75Y62 FIRST_SITE_ID 9452 TILEPROP NULL_X75Y62 GRID_POINT_X 75 TILEPROP NULL_X75Y62 GRID_POINT_Y 94 TILEPROP NULL_X75Y62 INDEX 10885 TILEPROP NULL_X75Y62 INT_TILE_X 29 TILEPROP NULL_X75Y62 INT_TILE_Y 90 TILEPROP NULL_X75Y62 IS_CENTER_TILE 0 TILEPROP NULL_X75Y62 IS_DCM_TILE 0 TILEPROP NULL_X75Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y62 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y62 NAME NULL_X75Y62 TILEPROP NULL_X75Y62 NUM_ARCS 0 TILEPROP NULL_X75Y62 NUM_SITES 0 TILEPROP NULL_X75Y62 ROW 94 TILEPROP NULL_X75Y62 SLR_REGION_ID 0 TILEPROP NULL_X75Y62 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y62 TILE_TYPE NULL TILEPROP NULL_X75Y62 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y62 TILE_X 35616 TILEPROP NULL_X75Y62 TILE_Y -51200 TILEPROP NULL_X75Y62 TYPE NULL TILEPROP NULL_X75Y64 CLASS tile TILEPROP NULL_X75Y64 COLUMN 75 TILEPROP NULL_X75Y64 DEVICE_ID 0 TILEPROP NULL_X75Y64 FIRST_SITE_ID 9237 TILEPROP NULL_X75Y64 GRID_POINT_X 75 TILEPROP NULL_X75Y64 GRID_POINT_Y 92 TILEPROP NULL_X75Y64 INDEX 10655 TILEPROP NULL_X75Y64 INT_TILE_X 29 TILEPROP NULL_X75Y64 INT_TILE_Y 88 TILEPROP NULL_X75Y64 IS_CENTER_TILE 0 TILEPROP NULL_X75Y64 IS_DCM_TILE 0 TILEPROP NULL_X75Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y64 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y64 NAME NULL_X75Y64 TILEPROP NULL_X75Y64 NUM_ARCS 0 TILEPROP NULL_X75Y64 NUM_SITES 0 TILEPROP NULL_X75Y64 ROW 92 TILEPROP NULL_X75Y64 SLR_REGION_ID 0 TILEPROP NULL_X75Y64 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y64 TILE_TYPE NULL TILEPROP NULL_X75Y64 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y64 TILE_X 35616 TILEPROP NULL_X75Y64 TILE_Y -44800 TILEPROP NULL_X75Y64 TYPE NULL TILEPROP NULL_X75Y65 CLASS tile TILEPROP NULL_X75Y65 COLUMN 75 TILEPROP NULL_X75Y65 DEVICE_ID 0 TILEPROP NULL_X75Y65 FIRST_SITE_ID 9137 TILEPROP NULL_X75Y65 GRID_POINT_X 75 TILEPROP NULL_X75Y65 GRID_POINT_Y 91 TILEPROP NULL_X75Y65 INDEX 10540 TILEPROP NULL_X75Y65 INT_TILE_X 29 TILEPROP NULL_X75Y65 INT_TILE_Y 87 TILEPROP NULL_X75Y65 IS_CENTER_TILE 0 TILEPROP NULL_X75Y65 IS_DCM_TILE 0 TILEPROP NULL_X75Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y65 NAME NULL_X75Y65 TILEPROP NULL_X75Y65 NUM_ARCS 0 TILEPROP NULL_X75Y65 NUM_SITES 0 TILEPROP NULL_X75Y65 ROW 91 TILEPROP NULL_X75Y65 SLR_REGION_ID 0 TILEPROP NULL_X75Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y65 TILE_TYPE NULL TILEPROP NULL_X75Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y65 TILE_X 35616 TILEPROP NULL_X75Y65 TILE_Y -41600 TILEPROP NULL_X75Y65 TYPE NULL TILEPROP NULL_X75Y66 CLASS tile TILEPROP NULL_X75Y66 COLUMN 75 TILEPROP NULL_X75Y66 DEVICE_ID 0 TILEPROP NULL_X75Y66 FIRST_SITE_ID 9005 TILEPROP NULL_X75Y66 GRID_POINT_X 75 TILEPROP NULL_X75Y66 GRID_POINT_Y 90 TILEPROP NULL_X75Y66 INDEX 10425 TILEPROP NULL_X75Y66 INT_TILE_X 29 TILEPROP NULL_X75Y66 INT_TILE_Y 86 TILEPROP NULL_X75Y66 IS_CENTER_TILE 0 TILEPROP NULL_X75Y66 IS_DCM_TILE 0 TILEPROP NULL_X75Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y66 NAME NULL_X75Y66 TILEPROP NULL_X75Y66 NUM_ARCS 0 TILEPROP NULL_X75Y66 NUM_SITES 0 TILEPROP NULL_X75Y66 ROW 90 TILEPROP NULL_X75Y66 SLR_REGION_ID 0 TILEPROP NULL_X75Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y66 TILE_TYPE NULL TILEPROP NULL_X75Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y66 TILE_X 35616 TILEPROP NULL_X75Y66 TILE_Y -38400 TILEPROP NULL_X75Y66 TYPE NULL TILEPROP NULL_X75Y67 CLASS tile TILEPROP NULL_X75Y67 COLUMN 75 TILEPROP NULL_X75Y67 DEVICE_ID 0 TILEPROP NULL_X75Y67 FIRST_SITE_ID 8905 TILEPROP NULL_X75Y67 GRID_POINT_X 75 TILEPROP NULL_X75Y67 GRID_POINT_Y 89 TILEPROP NULL_X75Y67 INDEX 10310 TILEPROP NULL_X75Y67 INT_TILE_X 29 TILEPROP NULL_X75Y67 INT_TILE_Y 85 TILEPROP NULL_X75Y67 IS_CENTER_TILE 0 TILEPROP NULL_X75Y67 IS_DCM_TILE 0 TILEPROP NULL_X75Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y67 NAME NULL_X75Y67 TILEPROP NULL_X75Y67 NUM_ARCS 0 TILEPROP NULL_X75Y67 NUM_SITES 0 TILEPROP NULL_X75Y67 ROW 89 TILEPROP NULL_X75Y67 SLR_REGION_ID 0 TILEPROP NULL_X75Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y67 TILE_TYPE NULL TILEPROP NULL_X75Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y67 TILE_X 35616 TILEPROP NULL_X75Y67 TILE_Y -35200 TILEPROP NULL_X75Y67 TYPE NULL TILEPROP NULL_X75Y69 CLASS tile TILEPROP NULL_X75Y69 COLUMN 75 TILEPROP NULL_X75Y69 DEVICE_ID 0 TILEPROP NULL_X75Y69 FIRST_SITE_ID 8690 TILEPROP NULL_X75Y69 GRID_POINT_X 75 TILEPROP NULL_X75Y69 GRID_POINT_Y 87 TILEPROP NULL_X75Y69 INDEX 10080 TILEPROP NULL_X75Y69 INT_TILE_X 29 TILEPROP NULL_X75Y69 INT_TILE_Y 83 TILEPROP NULL_X75Y69 IS_CENTER_TILE 0 TILEPROP NULL_X75Y69 IS_DCM_TILE 0 TILEPROP NULL_X75Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y69 NAME NULL_X75Y69 TILEPROP NULL_X75Y69 NUM_ARCS 0 TILEPROP NULL_X75Y69 NUM_SITES 0 TILEPROP NULL_X75Y69 ROW 87 TILEPROP NULL_X75Y69 SLR_REGION_ID 0 TILEPROP NULL_X75Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y69 TILE_TYPE NULL TILEPROP NULL_X75Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y69 TILE_X 35616 TILEPROP NULL_X75Y69 TILE_Y -28800 TILEPROP NULL_X75Y69 TYPE NULL TILEPROP NULL_X75Y70 CLASS tile TILEPROP NULL_X75Y70 COLUMN 75 TILEPROP NULL_X75Y70 DEVICE_ID 0 TILEPROP NULL_X75Y70 FIRST_SITE_ID 8586 TILEPROP NULL_X75Y70 GRID_POINT_X 75 TILEPROP NULL_X75Y70 GRID_POINT_Y 86 TILEPROP NULL_X75Y70 INDEX 9965 TILEPROP NULL_X75Y70 INT_TILE_X 29 TILEPROP NULL_X75Y70 INT_TILE_Y 82 TILEPROP NULL_X75Y70 IS_CENTER_TILE 0 TILEPROP NULL_X75Y70 IS_DCM_TILE 0 TILEPROP NULL_X75Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y70 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y70 NAME NULL_X75Y70 TILEPROP NULL_X75Y70 NUM_ARCS 0 TILEPROP NULL_X75Y70 NUM_SITES 0 TILEPROP NULL_X75Y70 ROW 86 TILEPROP NULL_X75Y70 SLR_REGION_ID 0 TILEPROP NULL_X75Y70 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y70 TILE_TYPE NULL TILEPROP NULL_X75Y70 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y70 TILE_X 35616 TILEPROP NULL_X75Y70 TILE_Y -25600 TILEPROP NULL_X75Y70 TYPE NULL TILEPROP NULL_X75Y71 CLASS tile TILEPROP NULL_X75Y71 COLUMN 75 TILEPROP NULL_X75Y71 DEVICE_ID 0 TILEPROP NULL_X75Y71 FIRST_SITE_ID 8482 TILEPROP NULL_X75Y71 GRID_POINT_X 75 TILEPROP NULL_X75Y71 GRID_POINT_Y 85 TILEPROP NULL_X75Y71 INDEX 9850 TILEPROP NULL_X75Y71 INT_TILE_X 29 TILEPROP NULL_X75Y71 INT_TILE_Y 81 TILEPROP NULL_X75Y71 IS_CENTER_TILE 0 TILEPROP NULL_X75Y71 IS_DCM_TILE 0 TILEPROP NULL_X75Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y71 NAME NULL_X75Y71 TILEPROP NULL_X75Y71 NUM_ARCS 0 TILEPROP NULL_X75Y71 NUM_SITES 0 TILEPROP NULL_X75Y71 ROW 85 TILEPROP NULL_X75Y71 SLR_REGION_ID 0 TILEPROP NULL_X75Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y71 TILE_TYPE NULL TILEPROP NULL_X75Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y71 TILE_X 35616 TILEPROP NULL_X75Y71 TILE_Y -22400 TILEPROP NULL_X75Y71 TYPE NULL TILEPROP NULL_X75Y72 CLASS tile TILEPROP NULL_X75Y72 COLUMN 75 TILEPROP NULL_X75Y72 DEVICE_ID 0 TILEPROP NULL_X75Y72 FIRST_SITE_ID 8380 TILEPROP NULL_X75Y72 GRID_POINT_X 75 TILEPROP NULL_X75Y72 GRID_POINT_Y 84 TILEPROP NULL_X75Y72 INDEX 9735 TILEPROP NULL_X75Y72 INT_TILE_X 29 TILEPROP NULL_X75Y72 INT_TILE_Y 80 TILEPROP NULL_X75Y72 IS_CENTER_TILE 0 TILEPROP NULL_X75Y72 IS_DCM_TILE 0 TILEPROP NULL_X75Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y72 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y72 NAME NULL_X75Y72 TILEPROP NULL_X75Y72 NUM_ARCS 0 TILEPROP NULL_X75Y72 NUM_SITES 0 TILEPROP NULL_X75Y72 ROW 84 TILEPROP NULL_X75Y72 SLR_REGION_ID 0 TILEPROP NULL_X75Y72 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y72 TILE_TYPE NULL TILEPROP NULL_X75Y72 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y72 TILE_X 35616 TILEPROP NULL_X75Y72 TILE_Y -19200 TILEPROP NULL_X75Y72 TYPE NULL TILEPROP NULL_X75Y74 CLASS tile TILEPROP NULL_X75Y74 COLUMN 75 TILEPROP NULL_X75Y74 DEVICE_ID 0 TILEPROP NULL_X75Y74 FIRST_SITE_ID 8163 TILEPROP NULL_X75Y74 GRID_POINT_X 75 TILEPROP NULL_X75Y74 GRID_POINT_Y 82 TILEPROP NULL_X75Y74 INDEX 9505 TILEPROP NULL_X75Y74 INT_TILE_X 29 TILEPROP NULL_X75Y74 INT_TILE_Y 78 TILEPROP NULL_X75Y74 IS_CENTER_TILE 0 TILEPROP NULL_X75Y74 IS_DCM_TILE 0 TILEPROP NULL_X75Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y74 NAME NULL_X75Y74 TILEPROP NULL_X75Y74 NUM_ARCS 0 TILEPROP NULL_X75Y74 NUM_SITES 0 TILEPROP NULL_X75Y74 ROW 82 TILEPROP NULL_X75Y74 SLR_REGION_ID 0 TILEPROP NULL_X75Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y74 TILE_TYPE NULL TILEPROP NULL_X75Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y74 TILE_X 35616 TILEPROP NULL_X75Y74 TILE_Y -12800 TILEPROP NULL_X75Y74 TYPE NULL TILEPROP NULL_X75Y75 CLASS tile TILEPROP NULL_X75Y75 COLUMN 75 TILEPROP NULL_X75Y75 DEVICE_ID 0 TILEPROP NULL_X75Y75 FIRST_SITE_ID 8063 TILEPROP NULL_X75Y75 GRID_POINT_X 75 TILEPROP NULL_X75Y75 GRID_POINT_Y 81 TILEPROP NULL_X75Y75 INDEX 9390 TILEPROP NULL_X75Y75 INT_TILE_X 29 TILEPROP NULL_X75Y75 INT_TILE_Y 77 TILEPROP NULL_X75Y75 IS_CENTER_TILE 0 TILEPROP NULL_X75Y75 IS_DCM_TILE 0 TILEPROP NULL_X75Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y75 NAME NULL_X75Y75 TILEPROP NULL_X75Y75 NUM_ARCS 0 TILEPROP NULL_X75Y75 NUM_SITES 0 TILEPROP NULL_X75Y75 ROW 81 TILEPROP NULL_X75Y75 SLR_REGION_ID 0 TILEPROP NULL_X75Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y75 TILE_TYPE NULL TILEPROP NULL_X75Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y75 TILE_X 35616 TILEPROP NULL_X75Y75 TILE_Y -9600 TILEPROP NULL_X75Y75 TYPE NULL TILEPROP NULL_X75Y76 CLASS tile TILEPROP NULL_X75Y76 COLUMN 75 TILEPROP NULL_X75Y76 DEVICE_ID 0 TILEPROP NULL_X75Y76 FIRST_SITE_ID 7963 TILEPROP NULL_X75Y76 GRID_POINT_X 75 TILEPROP NULL_X75Y76 GRID_POINT_Y 80 TILEPROP NULL_X75Y76 INDEX 9275 TILEPROP NULL_X75Y76 INT_TILE_X 29 TILEPROP NULL_X75Y76 INT_TILE_Y 76 TILEPROP NULL_X75Y76 IS_CENTER_TILE 0 TILEPROP NULL_X75Y76 IS_DCM_TILE 0 TILEPROP NULL_X75Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y76 NAME NULL_X75Y76 TILEPROP NULL_X75Y76 NUM_ARCS 0 TILEPROP NULL_X75Y76 NUM_SITES 0 TILEPROP NULL_X75Y76 ROW 80 TILEPROP NULL_X75Y76 SLR_REGION_ID 0 TILEPROP NULL_X75Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y76 TILE_TYPE NULL TILEPROP NULL_X75Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y76 TILE_X 35616 TILEPROP NULL_X75Y76 TILE_Y -6400 TILEPROP NULL_X75Y76 TYPE NULL TILEPROP NULL_X75Y77 CLASS tile TILEPROP NULL_X75Y77 COLUMN 75 TILEPROP NULL_X75Y77 DEVICE_ID 0 TILEPROP NULL_X75Y77 FIRST_SITE_ID 7863 TILEPROP NULL_X75Y77 GRID_POINT_X 75 TILEPROP NULL_X75Y77 GRID_POINT_Y 79 TILEPROP NULL_X75Y77 INDEX 9160 TILEPROP NULL_X75Y77 INT_TILE_X 29 TILEPROP NULL_X75Y77 INT_TILE_Y 75 TILEPROP NULL_X75Y77 IS_CENTER_TILE 0 TILEPROP NULL_X75Y77 IS_DCM_TILE 0 TILEPROP NULL_X75Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y77 NAME NULL_X75Y77 TILEPROP NULL_X75Y77 NUM_ARCS 0 TILEPROP NULL_X75Y77 NUM_SITES 0 TILEPROP NULL_X75Y77 ROW 79 TILEPROP NULL_X75Y77 SLR_REGION_ID 0 TILEPROP NULL_X75Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y77 TILE_TYPE NULL TILEPROP NULL_X75Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y77 TILE_X 35616 TILEPROP NULL_X75Y77 TILE_Y -3200 TILEPROP NULL_X75Y77 TYPE NULL TILEPROP NULL_X75Y80 CLASS tile TILEPROP NULL_X75Y80 COLUMN 75 TILEPROP NULL_X75Y80 DEVICE_ID 0 TILEPROP NULL_X75Y80 FIRST_SITE_ID 7562 TILEPROP NULL_X75Y80 GRID_POINT_X 75 TILEPROP NULL_X75Y80 GRID_POINT_Y 76 TILEPROP NULL_X75Y80 INDEX 8815 TILEPROP NULL_X75Y80 INT_TILE_X 29 TILEPROP NULL_X75Y80 INT_TILE_Y 73 TILEPROP NULL_X75Y80 IS_CENTER_TILE 0 TILEPROP NULL_X75Y80 IS_DCM_TILE 0 TILEPROP NULL_X75Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y80 NAME NULL_X75Y80 TILEPROP NULL_X75Y80 NUM_ARCS 0 TILEPROP NULL_X75Y80 NUM_SITES 0 TILEPROP NULL_X75Y80 ROW 76 TILEPROP NULL_X75Y80 SLR_REGION_ID 0 TILEPROP NULL_X75Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y80 TILE_TYPE NULL TILEPROP NULL_X75Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y80 TILE_X 35616 TILEPROP NULL_X75Y80 TILE_Y 4224 TILEPROP NULL_X75Y80 TYPE NULL TILEPROP NULL_X75Y81 CLASS tile TILEPROP NULL_X75Y81 COLUMN 75 TILEPROP NULL_X75Y81 DEVICE_ID 0 TILEPROP NULL_X75Y81 FIRST_SITE_ID 7462 TILEPROP NULL_X75Y81 GRID_POINT_X 75 TILEPROP NULL_X75Y81 GRID_POINT_Y 75 TILEPROP NULL_X75Y81 INDEX 8700 TILEPROP NULL_X75Y81 INT_TILE_X 29 TILEPROP NULL_X75Y81 INT_TILE_Y 72 TILEPROP NULL_X75Y81 IS_CENTER_TILE 0 TILEPROP NULL_X75Y81 IS_DCM_TILE 0 TILEPROP NULL_X75Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y81 NAME NULL_X75Y81 TILEPROP NULL_X75Y81 NUM_ARCS 0 TILEPROP NULL_X75Y81 NUM_SITES 0 TILEPROP NULL_X75Y81 ROW 75 TILEPROP NULL_X75Y81 SLR_REGION_ID 0 TILEPROP NULL_X75Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y81 TILE_TYPE NULL TILEPROP NULL_X75Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y81 TILE_X 35616 TILEPROP NULL_X75Y81 TILE_Y 7424 TILEPROP NULL_X75Y81 TYPE NULL TILEPROP NULL_X75Y82 CLASS tile TILEPROP NULL_X75Y82 COLUMN 75 TILEPROP NULL_X75Y82 DEVICE_ID 0 TILEPROP NULL_X75Y82 FIRST_SITE_ID 7362 TILEPROP NULL_X75Y82 GRID_POINT_X 75 TILEPROP NULL_X75Y82 GRID_POINT_Y 74 TILEPROP NULL_X75Y82 INDEX 8585 TILEPROP NULL_X75Y82 INT_TILE_X 29 TILEPROP NULL_X75Y82 INT_TILE_Y 71 TILEPROP NULL_X75Y82 IS_CENTER_TILE 0 TILEPROP NULL_X75Y82 IS_DCM_TILE 0 TILEPROP NULL_X75Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y82 NAME NULL_X75Y82 TILEPROP NULL_X75Y82 NUM_ARCS 0 TILEPROP NULL_X75Y82 NUM_SITES 0 TILEPROP NULL_X75Y82 ROW 74 TILEPROP NULL_X75Y82 SLR_REGION_ID 0 TILEPROP NULL_X75Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y82 TILE_TYPE NULL TILEPROP NULL_X75Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y82 TILE_X 35616 TILEPROP NULL_X75Y82 TILE_Y 10624 TILEPROP NULL_X75Y82 TYPE NULL TILEPROP NULL_X75Y83 CLASS tile TILEPROP NULL_X75Y83 COLUMN 75 TILEPROP NULL_X75Y83 DEVICE_ID 0 TILEPROP NULL_X75Y83 FIRST_SITE_ID 7256 TILEPROP NULL_X75Y83 GRID_POINT_X 75 TILEPROP NULL_X75Y83 GRID_POINT_Y 73 TILEPROP NULL_X75Y83 INDEX 8470 TILEPROP NULL_X75Y83 INT_TILE_X 29 TILEPROP NULL_X75Y83 INT_TILE_Y 70 TILEPROP NULL_X75Y83 IS_CENTER_TILE 0 TILEPROP NULL_X75Y83 IS_DCM_TILE 0 TILEPROP NULL_X75Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y83 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y83 NAME NULL_X75Y83 TILEPROP NULL_X75Y83 NUM_ARCS 0 TILEPROP NULL_X75Y83 NUM_SITES 0 TILEPROP NULL_X75Y83 ROW 73 TILEPROP NULL_X75Y83 SLR_REGION_ID 0 TILEPROP NULL_X75Y83 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y83 TILE_TYPE NULL TILEPROP NULL_X75Y83 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y83 TILE_X 35616 TILEPROP NULL_X75Y83 TILE_Y 13824 TILEPROP NULL_X75Y83 TYPE NULL TILEPROP NULL_X75Y85 CLASS tile TILEPROP NULL_X75Y85 COLUMN 75 TILEPROP NULL_X75Y85 DEVICE_ID 0 TILEPROP NULL_X75Y85 FIRST_SITE_ID 7033 TILEPROP NULL_X75Y85 GRID_POINT_X 75 TILEPROP NULL_X75Y85 GRID_POINT_Y 71 TILEPROP NULL_X75Y85 INDEX 8240 TILEPROP NULL_X75Y85 INT_TILE_X 29 TILEPROP NULL_X75Y85 INT_TILE_Y 68 TILEPROP NULL_X75Y85 IS_CENTER_TILE 0 TILEPROP NULL_X75Y85 IS_DCM_TILE 0 TILEPROP NULL_X75Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y85 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y85 NAME NULL_X75Y85 TILEPROP NULL_X75Y85 NUM_ARCS 0 TILEPROP NULL_X75Y85 NUM_SITES 0 TILEPROP NULL_X75Y85 ROW 71 TILEPROP NULL_X75Y85 SLR_REGION_ID 0 TILEPROP NULL_X75Y85 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y85 TILE_TYPE NULL TILEPROP NULL_X75Y85 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y85 TILE_X 35616 TILEPROP NULL_X75Y85 TILE_Y 20224 TILEPROP NULL_X75Y85 TYPE NULL TILEPROP NULL_X75Y86 CLASS tile TILEPROP NULL_X75Y86 COLUMN 75 TILEPROP NULL_X75Y86 DEVICE_ID 0 TILEPROP NULL_X75Y86 FIRST_SITE_ID 6931 TILEPROP NULL_X75Y86 GRID_POINT_X 75 TILEPROP NULL_X75Y86 GRID_POINT_Y 70 TILEPROP NULL_X75Y86 INDEX 8125 TILEPROP NULL_X75Y86 INT_TILE_X 29 TILEPROP NULL_X75Y86 INT_TILE_Y 67 TILEPROP NULL_X75Y86 IS_CENTER_TILE 0 TILEPROP NULL_X75Y86 IS_DCM_TILE 0 TILEPROP NULL_X75Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y86 NAME NULL_X75Y86 TILEPROP NULL_X75Y86 NUM_ARCS 0 TILEPROP NULL_X75Y86 NUM_SITES 0 TILEPROP NULL_X75Y86 ROW 70 TILEPROP NULL_X75Y86 SLR_REGION_ID 0 TILEPROP NULL_X75Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y86 TILE_TYPE NULL TILEPROP NULL_X75Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y86 TILE_X 35616 TILEPROP NULL_X75Y86 TILE_Y 23424 TILEPROP NULL_X75Y86 TYPE NULL TILEPROP NULL_X75Y87 CLASS tile TILEPROP NULL_X75Y87 COLUMN 75 TILEPROP NULL_X75Y87 DEVICE_ID 0 TILEPROP NULL_X75Y87 FIRST_SITE_ID 6831 TILEPROP NULL_X75Y87 GRID_POINT_X 75 TILEPROP NULL_X75Y87 GRID_POINT_Y 69 TILEPROP NULL_X75Y87 INDEX 8010 TILEPROP NULL_X75Y87 INT_TILE_X 29 TILEPROP NULL_X75Y87 INT_TILE_Y 66 TILEPROP NULL_X75Y87 IS_CENTER_TILE 0 TILEPROP NULL_X75Y87 IS_DCM_TILE 0 TILEPROP NULL_X75Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y87 NAME NULL_X75Y87 TILEPROP NULL_X75Y87 NUM_ARCS 0 TILEPROP NULL_X75Y87 NUM_SITES 0 TILEPROP NULL_X75Y87 ROW 69 TILEPROP NULL_X75Y87 SLR_REGION_ID 0 TILEPROP NULL_X75Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y87 TILE_TYPE NULL TILEPROP NULL_X75Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y87 TILE_X 35616 TILEPROP NULL_X75Y87 TILE_Y 26624 TILEPROP NULL_X75Y87 TYPE NULL TILEPROP NULL_X75Y88 CLASS tile TILEPROP NULL_X75Y88 COLUMN 75 TILEPROP NULL_X75Y88 DEVICE_ID 0 TILEPROP NULL_X75Y88 FIRST_SITE_ID 6731 TILEPROP NULL_X75Y88 GRID_POINT_X 75 TILEPROP NULL_X75Y88 GRID_POINT_Y 68 TILEPROP NULL_X75Y88 INDEX 7895 TILEPROP NULL_X75Y88 INT_TILE_X 29 TILEPROP NULL_X75Y88 INT_TILE_Y 65 TILEPROP NULL_X75Y88 IS_CENTER_TILE 0 TILEPROP NULL_X75Y88 IS_DCM_TILE 0 TILEPROP NULL_X75Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y88 NAME NULL_X75Y88 TILEPROP NULL_X75Y88 NUM_ARCS 0 TILEPROP NULL_X75Y88 NUM_SITES 0 TILEPROP NULL_X75Y88 ROW 68 TILEPROP NULL_X75Y88 SLR_REGION_ID 0 TILEPROP NULL_X75Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y88 TILE_TYPE NULL TILEPROP NULL_X75Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y88 TILE_X 35616 TILEPROP NULL_X75Y88 TILE_Y 29824 TILEPROP NULL_X75Y88 TYPE NULL TILEPROP NULL_X75Y90 CLASS tile TILEPROP NULL_X75Y90 COLUMN 75 TILEPROP NULL_X75Y90 DEVICE_ID 0 TILEPROP NULL_X75Y90 FIRST_SITE_ID 6516 TILEPROP NULL_X75Y90 GRID_POINT_X 75 TILEPROP NULL_X75Y90 GRID_POINT_Y 66 TILEPROP NULL_X75Y90 INDEX 7665 TILEPROP NULL_X75Y90 INT_TILE_X 29 TILEPROP NULL_X75Y90 INT_TILE_Y 63 TILEPROP NULL_X75Y90 IS_CENTER_TILE 0 TILEPROP NULL_X75Y90 IS_DCM_TILE 0 TILEPROP NULL_X75Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y90 NAME NULL_X75Y90 TILEPROP NULL_X75Y90 NUM_ARCS 0 TILEPROP NULL_X75Y90 NUM_SITES 0 TILEPROP NULL_X75Y90 ROW 66 TILEPROP NULL_X75Y90 SLR_REGION_ID 0 TILEPROP NULL_X75Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y90 TILE_TYPE NULL TILEPROP NULL_X75Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y90 TILE_X 35616 TILEPROP NULL_X75Y90 TILE_Y 36224 TILEPROP NULL_X75Y90 TYPE NULL TILEPROP NULL_X75Y91 CLASS tile TILEPROP NULL_X75Y91 COLUMN 75 TILEPROP NULL_X75Y91 DEVICE_ID 0 TILEPROP NULL_X75Y91 FIRST_SITE_ID 6384 TILEPROP NULL_X75Y91 GRID_POINT_X 75 TILEPROP NULL_X75Y91 GRID_POINT_Y 65 TILEPROP NULL_X75Y91 INDEX 7550 TILEPROP NULL_X75Y91 INT_TILE_X 29 TILEPROP NULL_X75Y91 INT_TILE_Y 62 TILEPROP NULL_X75Y91 IS_CENTER_TILE 0 TILEPROP NULL_X75Y91 IS_DCM_TILE 0 TILEPROP NULL_X75Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y91 NAME NULL_X75Y91 TILEPROP NULL_X75Y91 NUM_ARCS 0 TILEPROP NULL_X75Y91 NUM_SITES 0 TILEPROP NULL_X75Y91 ROW 65 TILEPROP NULL_X75Y91 SLR_REGION_ID 0 TILEPROP NULL_X75Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y91 TILE_TYPE NULL TILEPROP NULL_X75Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y91 TILE_X 35616 TILEPROP NULL_X75Y91 TILE_Y 39424 TILEPROP NULL_X75Y91 TYPE NULL TILEPROP NULL_X75Y92 CLASS tile TILEPROP NULL_X75Y92 COLUMN 75 TILEPROP NULL_X75Y92 DEVICE_ID 0 TILEPROP NULL_X75Y92 FIRST_SITE_ID 6284 TILEPROP NULL_X75Y92 GRID_POINT_X 75 TILEPROP NULL_X75Y92 GRID_POINT_Y 64 TILEPROP NULL_X75Y92 INDEX 7435 TILEPROP NULL_X75Y92 INT_TILE_X 29 TILEPROP NULL_X75Y92 INT_TILE_Y 61 TILEPROP NULL_X75Y92 IS_CENTER_TILE 0 TILEPROP NULL_X75Y92 IS_DCM_TILE 0 TILEPROP NULL_X75Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y92 NAME NULL_X75Y92 TILEPROP NULL_X75Y92 NUM_ARCS 0 TILEPROP NULL_X75Y92 NUM_SITES 0 TILEPROP NULL_X75Y92 ROW 64 TILEPROP NULL_X75Y92 SLR_REGION_ID 0 TILEPROP NULL_X75Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y92 TILE_TYPE NULL TILEPROP NULL_X75Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y92 TILE_X 35616 TILEPROP NULL_X75Y92 TILE_Y 42624 TILEPROP NULL_X75Y92 TYPE NULL TILEPROP NULL_X75Y93 CLASS tile TILEPROP NULL_X75Y93 COLUMN 75 TILEPROP NULL_X75Y93 DEVICE_ID 0 TILEPROP NULL_X75Y93 FIRST_SITE_ID 6184 TILEPROP NULL_X75Y93 GRID_POINT_X 75 TILEPROP NULL_X75Y93 GRID_POINT_Y 63 TILEPROP NULL_X75Y93 INDEX 7320 TILEPROP NULL_X75Y93 INT_TILE_X 29 TILEPROP NULL_X75Y93 INT_TILE_Y 60 TILEPROP NULL_X75Y93 IS_CENTER_TILE 0 TILEPROP NULL_X75Y93 IS_DCM_TILE 0 TILEPROP NULL_X75Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y93 NAME NULL_X75Y93 TILEPROP NULL_X75Y93 NUM_ARCS 0 TILEPROP NULL_X75Y93 NUM_SITES 0 TILEPROP NULL_X75Y93 ROW 63 TILEPROP NULL_X75Y93 SLR_REGION_ID 0 TILEPROP NULL_X75Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y93 TILE_TYPE NULL TILEPROP NULL_X75Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y93 TILE_X 35616 TILEPROP NULL_X75Y93 TILE_Y 45824 TILEPROP NULL_X75Y93 TYPE NULL TILEPROP NULL_X75Y95 CLASS tile TILEPROP NULL_X75Y95 COLUMN 75 TILEPROP NULL_X75Y95 DEVICE_ID 0 TILEPROP NULL_X75Y95 FIRST_SITE_ID 5969 TILEPROP NULL_X75Y95 GRID_POINT_X 75 TILEPROP NULL_X75Y95 GRID_POINT_Y 61 TILEPROP NULL_X75Y95 INDEX 7090 TILEPROP NULL_X75Y95 INT_TILE_X 29 TILEPROP NULL_X75Y95 INT_TILE_Y 58 TILEPROP NULL_X75Y95 IS_CENTER_TILE 0 TILEPROP NULL_X75Y95 IS_DCM_TILE 0 TILEPROP NULL_X75Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y95 NAME NULL_X75Y95 TILEPROP NULL_X75Y95 NUM_ARCS 0 TILEPROP NULL_X75Y95 NUM_SITES 0 TILEPROP NULL_X75Y95 ROW 61 TILEPROP NULL_X75Y95 SLR_REGION_ID 0 TILEPROP NULL_X75Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y95 TILE_TYPE NULL TILEPROP NULL_X75Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y95 TILE_X 35616 TILEPROP NULL_X75Y95 TILE_Y 52224 TILEPROP NULL_X75Y95 TYPE NULL TILEPROP NULL_X75Y96 CLASS tile TILEPROP NULL_X75Y96 COLUMN 75 TILEPROP NULL_X75Y96 DEVICE_ID 0 TILEPROP NULL_X75Y96 FIRST_SITE_ID 5868 TILEPROP NULL_X75Y96 GRID_POINT_X 75 TILEPROP NULL_X75Y96 GRID_POINT_Y 60 TILEPROP NULL_X75Y96 INDEX 6975 TILEPROP NULL_X75Y96 INT_TILE_X 29 TILEPROP NULL_X75Y96 INT_TILE_Y 57 TILEPROP NULL_X75Y96 IS_CENTER_TILE 0 TILEPROP NULL_X75Y96 IS_DCM_TILE 0 TILEPROP NULL_X75Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y96 NAME NULL_X75Y96 TILEPROP NULL_X75Y96 NUM_ARCS 0 TILEPROP NULL_X75Y96 NUM_SITES 0 TILEPROP NULL_X75Y96 ROW 60 TILEPROP NULL_X75Y96 SLR_REGION_ID 0 TILEPROP NULL_X75Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y96 TILE_TYPE NULL TILEPROP NULL_X75Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y96 TILE_X 35616 TILEPROP NULL_X75Y96 TILE_Y 55424 TILEPROP NULL_X75Y96 TYPE NULL TILEPROP NULL_X75Y97 CLASS tile TILEPROP NULL_X75Y97 COLUMN 75 TILEPROP NULL_X75Y97 DEVICE_ID 0 TILEPROP NULL_X75Y97 FIRST_SITE_ID 5765 TILEPROP NULL_X75Y97 GRID_POINT_X 75 TILEPROP NULL_X75Y97 GRID_POINT_Y 59 TILEPROP NULL_X75Y97 INDEX 6860 TILEPROP NULL_X75Y97 INT_TILE_X 29 TILEPROP NULL_X75Y97 INT_TILE_Y 56 TILEPROP NULL_X75Y97 IS_CENTER_TILE 0 TILEPROP NULL_X75Y97 IS_DCM_TILE 0 TILEPROP NULL_X75Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y97 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y97 NAME NULL_X75Y97 TILEPROP NULL_X75Y97 NUM_ARCS 0 TILEPROP NULL_X75Y97 NUM_SITES 0 TILEPROP NULL_X75Y97 ROW 59 TILEPROP NULL_X75Y97 SLR_REGION_ID 0 TILEPROP NULL_X75Y97 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y97 TILE_TYPE NULL TILEPROP NULL_X75Y97 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y97 TILE_X 35616 TILEPROP NULL_X75Y97 TILE_Y 58624 TILEPROP NULL_X75Y97 TYPE NULL TILEPROP NULL_X75Y98 CLASS tile TILEPROP NULL_X75Y98 COLUMN 75 TILEPROP NULL_X75Y98 DEVICE_ID 0 TILEPROP NULL_X75Y98 FIRST_SITE_ID 5663 TILEPROP NULL_X75Y98 GRID_POINT_X 75 TILEPROP NULL_X75Y98 GRID_POINT_Y 58 TILEPROP NULL_X75Y98 INDEX 6745 TILEPROP NULL_X75Y98 INT_TILE_X 29 TILEPROP NULL_X75Y98 INT_TILE_Y 55 TILEPROP NULL_X75Y98 IS_CENTER_TILE 0 TILEPROP NULL_X75Y98 IS_DCM_TILE 0 TILEPROP NULL_X75Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y98 NAME NULL_X75Y98 TILEPROP NULL_X75Y98 NUM_ARCS 0 TILEPROP NULL_X75Y98 NUM_SITES 0 TILEPROP NULL_X75Y98 ROW 58 TILEPROP NULL_X75Y98 SLR_REGION_ID 0 TILEPROP NULL_X75Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y98 TILE_TYPE NULL TILEPROP NULL_X75Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y98 TILE_X 35616 TILEPROP NULL_X75Y98 TILE_Y 61824 TILEPROP NULL_X75Y98 TYPE NULL TILEPROP NULL_X75Y100 CLASS tile TILEPROP NULL_X75Y100 COLUMN 75 TILEPROP NULL_X75Y100 DEVICE_ID 0 TILEPROP NULL_X75Y100 FIRST_SITE_ID 5448 TILEPROP NULL_X75Y100 GRID_POINT_X 75 TILEPROP NULL_X75Y100 GRID_POINT_Y 56 TILEPROP NULL_X75Y100 INDEX 6515 TILEPROP NULL_X75Y100 INT_TILE_X 29 TILEPROP NULL_X75Y100 INT_TILE_Y 53 TILEPROP NULL_X75Y100 IS_CENTER_TILE 0 TILEPROP NULL_X75Y100 IS_DCM_TILE 0 TILEPROP NULL_X75Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y100 NAME NULL_X75Y100 TILEPROP NULL_X75Y100 NUM_ARCS 0 TILEPROP NULL_X75Y100 NUM_SITES 0 TILEPROP NULL_X75Y100 ROW 56 TILEPROP NULL_X75Y100 SLR_REGION_ID 0 TILEPROP NULL_X75Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y100 TILE_TYPE NULL TILEPROP NULL_X75Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y100 TILE_X 35616 TILEPROP NULL_X75Y100 TILE_Y 68224 TILEPROP NULL_X75Y100 TYPE NULL TILEPROP NULL_X75Y101 CLASS tile TILEPROP NULL_X75Y101 COLUMN 75 TILEPROP NULL_X75Y101 DEVICE_ID 0 TILEPROP NULL_X75Y101 FIRST_SITE_ID 5348 TILEPROP NULL_X75Y101 GRID_POINT_X 75 TILEPROP NULL_X75Y101 GRID_POINT_Y 55 TILEPROP NULL_X75Y101 INDEX 6400 TILEPROP NULL_X75Y101 INT_TILE_X 29 TILEPROP NULL_X75Y101 INT_TILE_Y 52 TILEPROP NULL_X75Y101 IS_CENTER_TILE 0 TILEPROP NULL_X75Y101 IS_DCM_TILE 0 TILEPROP NULL_X75Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y101 NAME NULL_X75Y101 TILEPROP NULL_X75Y101 NUM_ARCS 0 TILEPROP NULL_X75Y101 NUM_SITES 0 TILEPROP NULL_X75Y101 ROW 55 TILEPROP NULL_X75Y101 SLR_REGION_ID 0 TILEPROP NULL_X75Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y101 TILE_TYPE NULL TILEPROP NULL_X75Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y101 TILE_X 35616 TILEPROP NULL_X75Y101 TILE_Y 71424 TILEPROP NULL_X75Y101 TYPE NULL TILEPROP NULL_X75Y102 CLASS tile TILEPROP NULL_X75Y102 COLUMN 75 TILEPROP NULL_X75Y102 DEVICE_ID 0 TILEPROP NULL_X75Y102 FIRST_SITE_ID 5248 TILEPROP NULL_X75Y102 GRID_POINT_X 75 TILEPROP NULL_X75Y102 GRID_POINT_Y 54 TILEPROP NULL_X75Y102 INDEX 6285 TILEPROP NULL_X75Y102 INT_TILE_X 29 TILEPROP NULL_X75Y102 INT_TILE_Y 51 TILEPROP NULL_X75Y102 IS_CENTER_TILE 0 TILEPROP NULL_X75Y102 IS_DCM_TILE 0 TILEPROP NULL_X75Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y102 NAME NULL_X75Y102 TILEPROP NULL_X75Y102 NUM_ARCS 0 TILEPROP NULL_X75Y102 NUM_SITES 0 TILEPROP NULL_X75Y102 ROW 54 TILEPROP NULL_X75Y102 SLR_REGION_ID 0 TILEPROP NULL_X75Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y102 TILE_TYPE NULL TILEPROP NULL_X75Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y102 TILE_X 35616 TILEPROP NULL_X75Y102 TILE_Y 74624 TILEPROP NULL_X75Y102 TYPE NULL TILEPROP NULL_X75Y103 CLASS tile TILEPROP NULL_X75Y103 COLUMN 75 TILEPROP NULL_X75Y103 DEVICE_ID 0 TILEPROP NULL_X75Y103 FIRST_SITE_ID 5152 TILEPROP NULL_X75Y103 GRID_POINT_X 75 TILEPROP NULL_X75Y103 GRID_POINT_Y 53 TILEPROP NULL_X75Y103 INDEX 6170 TILEPROP NULL_X75Y103 INT_TILE_X 29 TILEPROP NULL_X75Y103 INT_TILE_Y 50 TILEPROP NULL_X75Y103 IS_CENTER_TILE 0 TILEPROP NULL_X75Y103 IS_DCM_TILE 0 TILEPROP NULL_X75Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y103 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y103 NAME NULL_X75Y103 TILEPROP NULL_X75Y103 NUM_ARCS 0 TILEPROP NULL_X75Y103 NUM_SITES 0 TILEPROP NULL_X75Y103 ROW 53 TILEPROP NULL_X75Y103 SLR_REGION_ID 0 TILEPROP NULL_X75Y103 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y103 TILE_TYPE NULL TILEPROP NULL_X75Y103 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y103 TILE_X 35616 TILEPROP NULL_X75Y103 TILE_Y 77824 TILEPROP NULL_X75Y103 TYPE NULL TILEPROP NULL_X75Y104 CLASS tile TILEPROP NULL_X75Y104 COLUMN 75 TILEPROP NULL_X75Y104 DEVICE_ID 0 TILEPROP NULL_X75Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X75Y104 GRID_POINT_X 75 TILEPROP NULL_X75Y104 GRID_POINT_Y 52 TILEPROP NULL_X75Y104 INDEX 6055 TILEPROP NULL_X75Y104 INT_TILE_X -1 TILEPROP NULL_X75Y104 INT_TILE_Y -1 TILEPROP NULL_X75Y104 IS_CENTER_TILE 0 TILEPROP NULL_X75Y104 IS_DCM_TILE 0 TILEPROP NULL_X75Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y104 NAME NULL_X75Y104 TILEPROP NULL_X75Y104 NUM_ARCS 0 TILEPROP NULL_X75Y104 NUM_SITES 0 TILEPROP NULL_X75Y104 ROW 52 TILEPROP NULL_X75Y104 SLR_REGION_ID 0 TILEPROP NULL_X75Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y104 TILE_TYPE NULL TILEPROP NULL_X75Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y104 TILE_X 35616 TILEPROP NULL_X75Y104 TILE_Y 81024 TILEPROP NULL_X75Y104 TYPE NULL TILEPROP NULL_X75Y132 CLASS tile TILEPROP NULL_X75Y132 COLUMN 75 TILEPROP NULL_X75Y132 DEVICE_ID 0 TILEPROP NULL_X75Y132 FIRST_SITE_ID 2479 TILEPROP NULL_X75Y132 GRID_POINT_X 75 TILEPROP NULL_X75Y132 GRID_POINT_Y 24 TILEPROP NULL_X75Y132 INDEX 2835 TILEPROP NULL_X75Y132 INT_TILE_X 29 TILEPROP NULL_X75Y132 INT_TILE_Y 23 TILEPROP NULL_X75Y132 IS_CENTER_TILE 0 TILEPROP NULL_X75Y132 IS_DCM_TILE 0 TILEPROP NULL_X75Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y132 NAME NULL_X75Y132 TILEPROP NULL_X75Y132 NUM_ARCS 0 TILEPROP NULL_X75Y132 NUM_SITES 0 TILEPROP NULL_X75Y132 ROW 24 TILEPROP NULL_X75Y132 SLR_REGION_ID 0 TILEPROP NULL_X75Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y132 TILE_TYPE NULL TILEPROP NULL_X75Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y132 TILE_X 35616 TILEPROP NULL_X75Y132 TILE_Y 165496 TILEPROP NULL_X75Y132 TYPE NULL TILEPROP NULL_X75Y133 CLASS tile TILEPROP NULL_X75Y133 COLUMN 75 TILEPROP NULL_X75Y133 DEVICE_ID 0 TILEPROP NULL_X75Y133 FIRST_SITE_ID 2383 TILEPROP NULL_X75Y133 GRID_POINT_X 75 TILEPROP NULL_X75Y133 GRID_POINT_Y 23 TILEPROP NULL_X75Y133 INDEX 2720 TILEPROP NULL_X75Y133 INT_TILE_X 29 TILEPROP NULL_X75Y133 INT_TILE_Y 22 TILEPROP NULL_X75Y133 IS_CENTER_TILE 0 TILEPROP NULL_X75Y133 IS_DCM_TILE 0 TILEPROP NULL_X75Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y133 NAME NULL_X75Y133 TILEPROP NULL_X75Y133 NUM_ARCS 0 TILEPROP NULL_X75Y133 NUM_SITES 0 TILEPROP NULL_X75Y133 ROW 23 TILEPROP NULL_X75Y133 SLR_REGION_ID 0 TILEPROP NULL_X75Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y133 TILE_TYPE NULL TILEPROP NULL_X75Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y133 TILE_X 35616 TILEPROP NULL_X75Y133 TILE_Y 168696 TILEPROP NULL_X75Y133 TYPE NULL TILEPROP NULL_X75Y134 CLASS tile TILEPROP NULL_X75Y134 COLUMN 75 TILEPROP NULL_X75Y134 DEVICE_ID 0 TILEPROP NULL_X75Y134 FIRST_SITE_ID 2279 TILEPROP NULL_X75Y134 GRID_POINT_X 75 TILEPROP NULL_X75Y134 GRID_POINT_Y 22 TILEPROP NULL_X75Y134 INDEX 2605 TILEPROP NULL_X75Y134 INT_TILE_X 29 TILEPROP NULL_X75Y134 INT_TILE_Y 21 TILEPROP NULL_X75Y134 IS_CENTER_TILE 0 TILEPROP NULL_X75Y134 IS_DCM_TILE 0 TILEPROP NULL_X75Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y134 NAME NULL_X75Y134 TILEPROP NULL_X75Y134 NUM_ARCS 0 TILEPROP NULL_X75Y134 NUM_SITES 0 TILEPROP NULL_X75Y134 ROW 22 TILEPROP NULL_X75Y134 SLR_REGION_ID 0 TILEPROP NULL_X75Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y134 TILE_TYPE NULL TILEPROP NULL_X75Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y134 TILE_X 35616 TILEPROP NULL_X75Y134 TILE_Y 171896 TILEPROP NULL_X75Y134 TYPE NULL TILEPROP NULL_X75Y135 CLASS tile TILEPROP NULL_X75Y135 COLUMN 75 TILEPROP NULL_X75Y135 DEVICE_ID 0 TILEPROP NULL_X75Y135 FIRST_SITE_ID 2183 TILEPROP NULL_X75Y135 GRID_POINT_X 75 TILEPROP NULL_X75Y135 GRID_POINT_Y 21 TILEPROP NULL_X75Y135 INDEX 2490 TILEPROP NULL_X75Y135 INT_TILE_X 29 TILEPROP NULL_X75Y135 INT_TILE_Y 20 TILEPROP NULL_X75Y135 IS_CENTER_TILE 0 TILEPROP NULL_X75Y135 IS_DCM_TILE 0 TILEPROP NULL_X75Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y135 NAME NULL_X75Y135 TILEPROP NULL_X75Y135 NUM_ARCS 0 TILEPROP NULL_X75Y135 NUM_SITES 0 TILEPROP NULL_X75Y135 ROW 21 TILEPROP NULL_X75Y135 SLR_REGION_ID 0 TILEPROP NULL_X75Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y135 TILE_TYPE NULL TILEPROP NULL_X75Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y135 TILE_X 35616 TILEPROP NULL_X75Y135 TILE_Y 175096 TILEPROP NULL_X75Y135 TYPE NULL TILEPROP NULL_X75Y137 CLASS tile TILEPROP NULL_X75Y137 COLUMN 75 TILEPROP NULL_X75Y137 DEVICE_ID 0 TILEPROP NULL_X75Y137 FIRST_SITE_ID 1965 TILEPROP NULL_X75Y137 GRID_POINT_X 75 TILEPROP NULL_X75Y137 GRID_POINT_Y 19 TILEPROP NULL_X75Y137 INDEX 2260 TILEPROP NULL_X75Y137 INT_TILE_X 29 TILEPROP NULL_X75Y137 INT_TILE_Y 18 TILEPROP NULL_X75Y137 IS_CENTER_TILE 0 TILEPROP NULL_X75Y137 IS_DCM_TILE 0 TILEPROP NULL_X75Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y137 NAME NULL_X75Y137 TILEPROP NULL_X75Y137 NUM_ARCS 0 TILEPROP NULL_X75Y137 NUM_SITES 0 TILEPROP NULL_X75Y137 ROW 19 TILEPROP NULL_X75Y137 SLR_REGION_ID 0 TILEPROP NULL_X75Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y137 TILE_TYPE NULL TILEPROP NULL_X75Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y137 TILE_X 35616 TILEPROP NULL_X75Y137 TILE_Y 181496 TILEPROP NULL_X75Y137 TYPE NULL TILEPROP NULL_X75Y138 CLASS tile TILEPROP NULL_X75Y138 COLUMN 75 TILEPROP NULL_X75Y138 DEVICE_ID 0 TILEPROP NULL_X75Y138 FIRST_SITE_ID 1859 TILEPROP NULL_X75Y138 GRID_POINT_X 75 TILEPROP NULL_X75Y138 GRID_POINT_Y 18 TILEPROP NULL_X75Y138 INDEX 2145 TILEPROP NULL_X75Y138 INT_TILE_X 29 TILEPROP NULL_X75Y138 INT_TILE_Y 17 TILEPROP NULL_X75Y138 IS_CENTER_TILE 0 TILEPROP NULL_X75Y138 IS_DCM_TILE 0 TILEPROP NULL_X75Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y138 NAME NULL_X75Y138 TILEPROP NULL_X75Y138 NUM_ARCS 0 TILEPROP NULL_X75Y138 NUM_SITES 0 TILEPROP NULL_X75Y138 ROW 18 TILEPROP NULL_X75Y138 SLR_REGION_ID 0 TILEPROP NULL_X75Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y138 TILE_TYPE NULL TILEPROP NULL_X75Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y138 TILE_X 35616 TILEPROP NULL_X75Y138 TILE_Y 184696 TILEPROP NULL_X75Y138 TYPE NULL TILEPROP NULL_X75Y139 CLASS tile TILEPROP NULL_X75Y139 COLUMN 75 TILEPROP NULL_X75Y139 DEVICE_ID 0 TILEPROP NULL_X75Y139 FIRST_SITE_ID 1758 TILEPROP NULL_X75Y139 GRID_POINT_X 75 TILEPROP NULL_X75Y139 GRID_POINT_Y 17 TILEPROP NULL_X75Y139 INDEX 2030 TILEPROP NULL_X75Y139 INT_TILE_X 29 TILEPROP NULL_X75Y139 INT_TILE_Y 16 TILEPROP NULL_X75Y139 IS_CENTER_TILE 0 TILEPROP NULL_X75Y139 IS_DCM_TILE 0 TILEPROP NULL_X75Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y139 NAME NULL_X75Y139 TILEPROP NULL_X75Y139 NUM_ARCS 0 TILEPROP NULL_X75Y139 NUM_SITES 0 TILEPROP NULL_X75Y139 ROW 17 TILEPROP NULL_X75Y139 SLR_REGION_ID 0 TILEPROP NULL_X75Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y139 TILE_TYPE NULL TILEPROP NULL_X75Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y139 TILE_X 35616 TILEPROP NULL_X75Y139 TILE_Y 187896 TILEPROP NULL_X75Y139 TYPE NULL TILEPROP NULL_X75Y140 CLASS tile TILEPROP NULL_X75Y140 COLUMN 75 TILEPROP NULL_X75Y140 DEVICE_ID 0 TILEPROP NULL_X75Y140 FIRST_SITE_ID 1654 TILEPROP NULL_X75Y140 GRID_POINT_X 75 TILEPROP NULL_X75Y140 GRID_POINT_Y 16 TILEPROP NULL_X75Y140 INDEX 1915 TILEPROP NULL_X75Y140 INT_TILE_X 29 TILEPROP NULL_X75Y140 INT_TILE_Y 15 TILEPROP NULL_X75Y140 IS_CENTER_TILE 0 TILEPROP NULL_X75Y140 IS_DCM_TILE 0 TILEPROP NULL_X75Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y140 NAME NULL_X75Y140 TILEPROP NULL_X75Y140 NUM_ARCS 0 TILEPROP NULL_X75Y140 NUM_SITES 0 TILEPROP NULL_X75Y140 ROW 16 TILEPROP NULL_X75Y140 SLR_REGION_ID 0 TILEPROP NULL_X75Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y140 TILE_TYPE NULL TILEPROP NULL_X75Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y140 TILE_X 35616 TILEPROP NULL_X75Y140 TILE_Y 191096 TILEPROP NULL_X75Y140 TYPE NULL TILEPROP NULL_X75Y142 CLASS tile TILEPROP NULL_X75Y142 COLUMN 75 TILEPROP NULL_X75Y142 DEVICE_ID 0 TILEPROP NULL_X75Y142 FIRST_SITE_ID 1442 TILEPROP NULL_X75Y142 GRID_POINT_X 75 TILEPROP NULL_X75Y142 GRID_POINT_Y 14 TILEPROP NULL_X75Y142 INDEX 1685 TILEPROP NULL_X75Y142 INT_TILE_X 29 TILEPROP NULL_X75Y142 INT_TILE_Y 13 TILEPROP NULL_X75Y142 IS_CENTER_TILE 0 TILEPROP NULL_X75Y142 IS_DCM_TILE 0 TILEPROP NULL_X75Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y142 NAME NULL_X75Y142 TILEPROP NULL_X75Y142 NUM_ARCS 0 TILEPROP NULL_X75Y142 NUM_SITES 0 TILEPROP NULL_X75Y142 ROW 14 TILEPROP NULL_X75Y142 SLR_REGION_ID 0 TILEPROP NULL_X75Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y142 TILE_TYPE NULL TILEPROP NULL_X75Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y142 TILE_X 35616 TILEPROP NULL_X75Y142 TILE_Y 197496 TILEPROP NULL_X75Y142 TYPE NULL TILEPROP NULL_X75Y143 CLASS tile TILEPROP NULL_X75Y143 COLUMN 75 TILEPROP NULL_X75Y143 DEVICE_ID 0 TILEPROP NULL_X75Y143 FIRST_SITE_ID 1314 TILEPROP NULL_X75Y143 GRID_POINT_X 75 TILEPROP NULL_X75Y143 GRID_POINT_Y 13 TILEPROP NULL_X75Y143 INDEX 1570 TILEPROP NULL_X75Y143 INT_TILE_X 29 TILEPROP NULL_X75Y143 INT_TILE_Y 12 TILEPROP NULL_X75Y143 IS_CENTER_TILE 0 TILEPROP NULL_X75Y143 IS_DCM_TILE 0 TILEPROP NULL_X75Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y143 NAME NULL_X75Y143 TILEPROP NULL_X75Y143 NUM_ARCS 0 TILEPROP NULL_X75Y143 NUM_SITES 0 TILEPROP NULL_X75Y143 ROW 13 TILEPROP NULL_X75Y143 SLR_REGION_ID 0 TILEPROP NULL_X75Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y143 TILE_TYPE NULL TILEPROP NULL_X75Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y143 TILE_X 35616 TILEPROP NULL_X75Y143 TILE_Y 200696 TILEPROP NULL_X75Y143 TYPE NULL TILEPROP NULL_X75Y144 CLASS tile TILEPROP NULL_X75Y144 COLUMN 75 TILEPROP NULL_X75Y144 DEVICE_ID 0 TILEPROP NULL_X75Y144 FIRST_SITE_ID 1210 TILEPROP NULL_X75Y144 GRID_POINT_X 75 TILEPROP NULL_X75Y144 GRID_POINT_Y 12 TILEPROP NULL_X75Y144 INDEX 1455 TILEPROP NULL_X75Y144 INT_TILE_X 29 TILEPROP NULL_X75Y144 INT_TILE_Y 11 TILEPROP NULL_X75Y144 IS_CENTER_TILE 0 TILEPROP NULL_X75Y144 IS_DCM_TILE 0 TILEPROP NULL_X75Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y144 NAME NULL_X75Y144 TILEPROP NULL_X75Y144 NUM_ARCS 0 TILEPROP NULL_X75Y144 NUM_SITES 0 TILEPROP NULL_X75Y144 ROW 12 TILEPROP NULL_X75Y144 SLR_REGION_ID 0 TILEPROP NULL_X75Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y144 TILE_TYPE NULL TILEPROP NULL_X75Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y144 TILE_X 35616 TILEPROP NULL_X75Y144 TILE_Y 203896 TILEPROP NULL_X75Y144 TYPE NULL TILEPROP NULL_X75Y145 CLASS tile TILEPROP NULL_X75Y145 COLUMN 75 TILEPROP NULL_X75Y145 DEVICE_ID 0 TILEPROP NULL_X75Y145 FIRST_SITE_ID 1114 TILEPROP NULL_X75Y145 GRID_POINT_X 75 TILEPROP NULL_X75Y145 GRID_POINT_Y 11 TILEPROP NULL_X75Y145 INDEX 1340 TILEPROP NULL_X75Y145 INT_TILE_X 29 TILEPROP NULL_X75Y145 INT_TILE_Y 10 TILEPROP NULL_X75Y145 IS_CENTER_TILE 0 TILEPROP NULL_X75Y145 IS_DCM_TILE 0 TILEPROP NULL_X75Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y145 NAME NULL_X75Y145 TILEPROP NULL_X75Y145 NUM_ARCS 0 TILEPROP NULL_X75Y145 NUM_SITES 0 TILEPROP NULL_X75Y145 ROW 11 TILEPROP NULL_X75Y145 SLR_REGION_ID 0 TILEPROP NULL_X75Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y145 TILE_TYPE NULL TILEPROP NULL_X75Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y145 TILE_X 35616 TILEPROP NULL_X75Y145 TILE_Y 207096 TILEPROP NULL_X75Y145 TYPE NULL TILEPROP NULL_X75Y147 CLASS tile TILEPROP NULL_X75Y147 COLUMN 75 TILEPROP NULL_X75Y147 DEVICE_ID 0 TILEPROP NULL_X75Y147 FIRST_SITE_ID 902 TILEPROP NULL_X75Y147 GRID_POINT_X 75 TILEPROP NULL_X75Y147 GRID_POINT_Y 9 TILEPROP NULL_X75Y147 INDEX 1110 TILEPROP NULL_X75Y147 INT_TILE_X 29 TILEPROP NULL_X75Y147 INT_TILE_Y 8 TILEPROP NULL_X75Y147 IS_CENTER_TILE 0 TILEPROP NULL_X75Y147 IS_DCM_TILE 0 TILEPROP NULL_X75Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y147 NAME NULL_X75Y147 TILEPROP NULL_X75Y147 NUM_ARCS 0 TILEPROP NULL_X75Y147 NUM_SITES 0 TILEPROP NULL_X75Y147 ROW 9 TILEPROP NULL_X75Y147 SLR_REGION_ID 0 TILEPROP NULL_X75Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y147 TILE_TYPE NULL TILEPROP NULL_X75Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y147 TILE_X 35616 TILEPROP NULL_X75Y147 TILE_Y 213496 TILEPROP NULL_X75Y147 TYPE NULL TILEPROP NULL_X75Y148 CLASS tile TILEPROP NULL_X75Y148 COLUMN 75 TILEPROP NULL_X75Y148 DEVICE_ID 0 TILEPROP NULL_X75Y148 FIRST_SITE_ID 798 TILEPROP NULL_X75Y148 GRID_POINT_X 75 TILEPROP NULL_X75Y148 GRID_POINT_Y 8 TILEPROP NULL_X75Y148 INDEX 995 TILEPROP NULL_X75Y148 INT_TILE_X 29 TILEPROP NULL_X75Y148 INT_TILE_Y 7 TILEPROP NULL_X75Y148 IS_CENTER_TILE 0 TILEPROP NULL_X75Y148 IS_DCM_TILE 0 TILEPROP NULL_X75Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y148 NAME NULL_X75Y148 TILEPROP NULL_X75Y148 NUM_ARCS 0 TILEPROP NULL_X75Y148 NUM_SITES 0 TILEPROP NULL_X75Y148 ROW 8 TILEPROP NULL_X75Y148 SLR_REGION_ID 0 TILEPROP NULL_X75Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y148 TILE_TYPE NULL TILEPROP NULL_X75Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y148 TILE_X 35616 TILEPROP NULL_X75Y148 TILE_Y 216696 TILEPROP NULL_X75Y148 TYPE NULL TILEPROP NULL_X75Y149 CLASS tile TILEPROP NULL_X75Y149 COLUMN 75 TILEPROP NULL_X75Y149 DEVICE_ID 0 TILEPROP NULL_X75Y149 FIRST_SITE_ID 701 TILEPROP NULL_X75Y149 GRID_POINT_X 75 TILEPROP NULL_X75Y149 GRID_POINT_Y 7 TILEPROP NULL_X75Y149 INDEX 880 TILEPROP NULL_X75Y149 INT_TILE_X 29 TILEPROP NULL_X75Y149 INT_TILE_Y 6 TILEPROP NULL_X75Y149 IS_CENTER_TILE 0 TILEPROP NULL_X75Y149 IS_DCM_TILE 0 TILEPROP NULL_X75Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y149 NAME NULL_X75Y149 TILEPROP NULL_X75Y149 NUM_ARCS 0 TILEPROP NULL_X75Y149 NUM_SITES 0 TILEPROP NULL_X75Y149 ROW 7 TILEPROP NULL_X75Y149 SLR_REGION_ID 0 TILEPROP NULL_X75Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y149 TILE_TYPE NULL TILEPROP NULL_X75Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y149 TILE_X 35616 TILEPROP NULL_X75Y149 TILE_Y 219896 TILEPROP NULL_X75Y149 TYPE NULL TILEPROP NULL_X75Y150 CLASS tile TILEPROP NULL_X75Y150 COLUMN 75 TILEPROP NULL_X75Y150 DEVICE_ID 0 TILEPROP NULL_X75Y150 FIRST_SITE_ID 590 TILEPROP NULL_X75Y150 GRID_POINT_X 75 TILEPROP NULL_X75Y150 GRID_POINT_Y 6 TILEPROP NULL_X75Y150 INDEX 765 TILEPROP NULL_X75Y150 INT_TILE_X 29 TILEPROP NULL_X75Y150 INT_TILE_Y 5 TILEPROP NULL_X75Y150 IS_CENTER_TILE 0 TILEPROP NULL_X75Y150 IS_DCM_TILE 0 TILEPROP NULL_X75Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y150 NAME NULL_X75Y150 TILEPROP NULL_X75Y150 NUM_ARCS 0 TILEPROP NULL_X75Y150 NUM_SITES 0 TILEPROP NULL_X75Y150 ROW 6 TILEPROP NULL_X75Y150 SLR_REGION_ID 0 TILEPROP NULL_X75Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y150 TILE_TYPE NULL TILEPROP NULL_X75Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y150 TILE_X 35616 TILEPROP NULL_X75Y150 TILE_Y 223096 TILEPROP NULL_X75Y150 TYPE NULL TILEPROP NULL_X75Y152 CLASS tile TILEPROP NULL_X75Y152 COLUMN 75 TILEPROP NULL_X75Y152 DEVICE_ID 0 TILEPROP NULL_X75Y152 FIRST_SITE_ID 378 TILEPROP NULL_X75Y152 GRID_POINT_X 75 TILEPROP NULL_X75Y152 GRID_POINT_Y 4 TILEPROP NULL_X75Y152 INDEX 535 TILEPROP NULL_X75Y152 INT_TILE_X 29 TILEPROP NULL_X75Y152 INT_TILE_Y 3 TILEPROP NULL_X75Y152 IS_CENTER_TILE 0 TILEPROP NULL_X75Y152 IS_DCM_TILE 0 TILEPROP NULL_X75Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y152 NAME NULL_X75Y152 TILEPROP NULL_X75Y152 NUM_ARCS 0 TILEPROP NULL_X75Y152 NUM_SITES 0 TILEPROP NULL_X75Y152 ROW 4 TILEPROP NULL_X75Y152 SLR_REGION_ID 0 TILEPROP NULL_X75Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y152 TILE_TYPE NULL TILEPROP NULL_X75Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y152 TILE_X 35616 TILEPROP NULL_X75Y152 TILE_Y 229496 TILEPROP NULL_X75Y152 TYPE NULL TILEPROP NULL_X75Y153 CLASS tile TILEPROP NULL_X75Y153 COLUMN 75 TILEPROP NULL_X75Y153 DEVICE_ID 0 TILEPROP NULL_X75Y153 FIRST_SITE_ID 282 TILEPROP NULL_X75Y153 GRID_POINT_X 75 TILEPROP NULL_X75Y153 GRID_POINT_Y 3 TILEPROP NULL_X75Y153 INDEX 420 TILEPROP NULL_X75Y153 INT_TILE_X 29 TILEPROP NULL_X75Y153 INT_TILE_Y 2 TILEPROP NULL_X75Y153 IS_CENTER_TILE 0 TILEPROP NULL_X75Y153 IS_DCM_TILE 0 TILEPROP NULL_X75Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y153 NAME NULL_X75Y153 TILEPROP NULL_X75Y153 NUM_ARCS 0 TILEPROP NULL_X75Y153 NUM_SITES 0 TILEPROP NULL_X75Y153 ROW 3 TILEPROP NULL_X75Y153 SLR_REGION_ID 0 TILEPROP NULL_X75Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y153 TILE_TYPE NULL TILEPROP NULL_X75Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y153 TILE_X 35616 TILEPROP NULL_X75Y153 TILE_Y 232696 TILEPROP NULL_X75Y153 TYPE NULL TILEPROP NULL_X75Y154 CLASS tile TILEPROP NULL_X75Y154 COLUMN 75 TILEPROP NULL_X75Y154 DEVICE_ID 0 TILEPROP NULL_X75Y154 FIRST_SITE_ID 178 TILEPROP NULL_X75Y154 GRID_POINT_X 75 TILEPROP NULL_X75Y154 GRID_POINT_Y 2 TILEPROP NULL_X75Y154 INDEX 305 TILEPROP NULL_X75Y154 INT_TILE_X 29 TILEPROP NULL_X75Y154 INT_TILE_Y 1 TILEPROP NULL_X75Y154 IS_CENTER_TILE 0 TILEPROP NULL_X75Y154 IS_DCM_TILE 0 TILEPROP NULL_X75Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y154 NAME NULL_X75Y154 TILEPROP NULL_X75Y154 NUM_ARCS 0 TILEPROP NULL_X75Y154 NUM_SITES 0 TILEPROP NULL_X75Y154 ROW 2 TILEPROP NULL_X75Y154 SLR_REGION_ID 0 TILEPROP NULL_X75Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y154 TILE_TYPE NULL TILEPROP NULL_X75Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y154 TILE_X 35616 TILEPROP NULL_X75Y154 TILE_Y 235896 TILEPROP NULL_X75Y154 TYPE NULL TILEPROP NULL_X75Y155 CLASS tile TILEPROP NULL_X75Y155 COLUMN 75 TILEPROP NULL_X75Y155 DEVICE_ID 0 TILEPROP NULL_X75Y155 FIRST_SITE_ID 82 TILEPROP NULL_X75Y155 GRID_POINT_X 75 TILEPROP NULL_X75Y155 GRID_POINT_Y 1 TILEPROP NULL_X75Y155 INDEX 190 TILEPROP NULL_X75Y155 INT_TILE_X 29 TILEPROP NULL_X75Y155 INT_TILE_Y 0 TILEPROP NULL_X75Y155 IS_CENTER_TILE 0 TILEPROP NULL_X75Y155 IS_DCM_TILE 0 TILEPROP NULL_X75Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y155 NAME NULL_X75Y155 TILEPROP NULL_X75Y155 NUM_ARCS 0 TILEPROP NULL_X75Y155 NUM_SITES 0 TILEPROP NULL_X75Y155 ROW 1 TILEPROP NULL_X75Y155 SLR_REGION_ID 0 TILEPROP NULL_X75Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y155 TILE_TYPE NULL TILEPROP NULL_X75Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y155 TILE_X 35616 TILEPROP NULL_X75Y155 TILE_Y 239096 TILEPROP NULL_X75Y155 TYPE NULL TILEPROP NULL_X75Y156 CLASS tile TILEPROP NULL_X75Y156 COLUMN 75 TILEPROP NULL_X75Y156 DEVICE_ID 0 TILEPROP NULL_X75Y156 FIRST_SITE_ID 0 TILEPROP NULL_X75Y156 GRID_POINT_X 75 TILEPROP NULL_X75Y156 GRID_POINT_Y 0 TILEPROP NULL_X75Y156 INDEX 75 TILEPROP NULL_X75Y156 INT_TILE_X -1 TILEPROP NULL_X75Y156 INT_TILE_Y -1 TILEPROP NULL_X75Y156 IS_CENTER_TILE 0 TILEPROP NULL_X75Y156 IS_DCM_TILE 0 TILEPROP NULL_X75Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X75Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X75Y156 NAME NULL_X75Y156 TILEPROP NULL_X75Y156 NUM_ARCS 0 TILEPROP NULL_X75Y156 NUM_SITES 0 TILEPROP NULL_X75Y156 ROW 0 TILEPROP NULL_X75Y156 SLR_REGION_ID 0 TILEPROP NULL_X75Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X75Y156 TILE_TYPE NULL TILEPROP NULL_X75Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X75Y156 TILE_X 35616 TILEPROP NULL_X75Y156 TILE_Y 242296 TILEPROP NULL_X75Y156 TYPE NULL TILEPROP NULL_X76Y0 CLASS tile TILEPROP NULL_X76Y0 COLUMN 76 TILEPROP NULL_X76Y0 DEVICE_ID 0 TILEPROP NULL_X76Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X76Y0 GRID_POINT_X 76 TILEPROP NULL_X76Y0 GRID_POINT_Y 156 TILEPROP NULL_X76Y0 INDEX 18016 TILEPROP NULL_X76Y0 INT_TILE_X 29 TILEPROP NULL_X76Y0 INT_TILE_Y 149 TILEPROP NULL_X76Y0 IS_CENTER_TILE 0 TILEPROP NULL_X76Y0 IS_DCM_TILE 0 TILEPROP NULL_X76Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X76Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X76Y0 NAME NULL_X76Y0 TILEPROP NULL_X76Y0 NUM_ARCS 0 TILEPROP NULL_X76Y0 NUM_SITES 0 TILEPROP NULL_X76Y0 ROW 156 TILEPROP NULL_X76Y0 SLR_REGION_ID 0 TILEPROP NULL_X76Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X76Y0 TILE_TYPE NULL TILEPROP NULL_X76Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X76Y0 TILE_X 39992 TILEPROP NULL_X76Y0 TILE_Y -244472 TILEPROP NULL_X76Y0 TYPE NULL TILEPROP NULL_X76Y52 CLASS tile TILEPROP NULL_X76Y52 COLUMN 76 TILEPROP NULL_X76Y52 DEVICE_ID 0 TILEPROP NULL_X76Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X76Y52 GRID_POINT_X 76 TILEPROP NULL_X76Y52 GRID_POINT_Y 104 TILEPROP NULL_X76Y52 INDEX 12036 TILEPROP NULL_X76Y52 INT_TILE_X 29 TILEPROP NULL_X76Y52 INT_TILE_Y 99 TILEPROP NULL_X76Y52 IS_CENTER_TILE 0 TILEPROP NULL_X76Y52 IS_DCM_TILE 0 TILEPROP NULL_X76Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X76Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X76Y52 NAME NULL_X76Y52 TILEPROP NULL_X76Y52 NUM_ARCS 0 TILEPROP NULL_X76Y52 NUM_SITES 0 TILEPROP NULL_X76Y52 ROW 104 TILEPROP NULL_X76Y52 SLR_REGION_ID 0 TILEPROP NULL_X76Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X76Y52 TILE_TYPE NULL TILEPROP NULL_X76Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X76Y52 TILE_X 39992 TILEPROP NULL_X76Y52 TILE_Y -80248 TILEPROP NULL_X76Y52 TYPE NULL TILEPROP NULL_X76Y104 CLASS tile TILEPROP NULL_X76Y104 COLUMN 76 TILEPROP NULL_X76Y104 DEVICE_ID 0 TILEPROP NULL_X76Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X76Y104 GRID_POINT_X 76 TILEPROP NULL_X76Y104 GRID_POINT_Y 52 TILEPROP NULL_X76Y104 INDEX 6056 TILEPROP NULL_X76Y104 INT_TILE_X -1 TILEPROP NULL_X76Y104 INT_TILE_Y -1 TILEPROP NULL_X76Y104 IS_CENTER_TILE 0 TILEPROP NULL_X76Y104 IS_DCM_TILE 0 TILEPROP NULL_X76Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X76Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X76Y104 NAME NULL_X76Y104 TILEPROP NULL_X76Y104 NUM_ARCS 0 TILEPROP NULL_X76Y104 NUM_SITES 0 TILEPROP NULL_X76Y104 ROW 52 TILEPROP NULL_X76Y104 SLR_REGION_ID 0 TILEPROP NULL_X76Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X76Y104 TILE_TYPE NULL TILEPROP NULL_X76Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X76Y104 TILE_X 39992 TILEPROP NULL_X76Y104 TILE_Y 81024 TILEPROP NULL_X76Y104 TYPE NULL TILEPROP NULL_X76Y156 CLASS tile TILEPROP NULL_X76Y156 COLUMN 76 TILEPROP NULL_X76Y156 DEVICE_ID 0 TILEPROP NULL_X76Y156 FIRST_SITE_ID 0 TILEPROP NULL_X76Y156 GRID_POINT_X 76 TILEPROP NULL_X76Y156 GRID_POINT_Y 0 TILEPROP NULL_X76Y156 INDEX 76 TILEPROP NULL_X76Y156 INT_TILE_X -1 TILEPROP NULL_X76Y156 INT_TILE_Y -1 TILEPROP NULL_X76Y156 IS_CENTER_TILE 0 TILEPROP NULL_X76Y156 IS_DCM_TILE 0 TILEPROP NULL_X76Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X76Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X76Y156 NAME NULL_X76Y156 TILEPROP NULL_X76Y156 NUM_ARCS 0 TILEPROP NULL_X76Y156 NUM_SITES 0 TILEPROP NULL_X76Y156 ROW 0 TILEPROP NULL_X76Y156 SLR_REGION_ID 0 TILEPROP NULL_X76Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X76Y156 TILE_TYPE NULL TILEPROP NULL_X76Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X76Y156 TILE_X 39992 TILEPROP NULL_X76Y156 TILE_Y 242296 TILEPROP NULL_X76Y156 TYPE NULL TILEPROP NULL_X79Y0 CLASS tile TILEPROP NULL_X79Y0 COLUMN 79 TILEPROP NULL_X79Y0 DEVICE_ID 0 TILEPROP NULL_X79Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X79Y0 GRID_POINT_X 79 TILEPROP NULL_X79Y0 GRID_POINT_Y 156 TILEPROP NULL_X79Y0 INDEX 18019 TILEPROP NULL_X79Y0 INT_TILE_X 31 TILEPROP NULL_X79Y0 INT_TILE_Y 149 TILEPROP NULL_X79Y0 IS_CENTER_TILE 0 TILEPROP NULL_X79Y0 IS_DCM_TILE 0 TILEPROP NULL_X79Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X79Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X79Y0 NAME NULL_X79Y0 TILEPROP NULL_X79Y0 NUM_ARCS 0 TILEPROP NULL_X79Y0 NUM_SITES 0 TILEPROP NULL_X79Y0 ROW 156 TILEPROP NULL_X79Y0 SLR_REGION_ID 0 TILEPROP NULL_X79Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X79Y0 TILE_TYPE NULL TILEPROP NULL_X79Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X79Y0 TILE_X 46968 TILEPROP NULL_X79Y0 TILE_Y -244472 TILEPROP NULL_X79Y0 TYPE NULL TILEPROP NULL_X79Y156 CLASS tile TILEPROP NULL_X79Y156 COLUMN 79 TILEPROP NULL_X79Y156 DEVICE_ID 0 TILEPROP NULL_X79Y156 FIRST_SITE_ID 0 TILEPROP NULL_X79Y156 GRID_POINT_X 79 TILEPROP NULL_X79Y156 GRID_POINT_Y 0 TILEPROP NULL_X79Y156 INDEX 79 TILEPROP NULL_X79Y156 INT_TILE_X -1 TILEPROP NULL_X79Y156 INT_TILE_Y -1 TILEPROP NULL_X79Y156 IS_CENTER_TILE 0 TILEPROP NULL_X79Y156 IS_DCM_TILE 0 TILEPROP NULL_X79Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X79Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X79Y156 NAME NULL_X79Y156 TILEPROP NULL_X79Y156 NUM_ARCS 0 TILEPROP NULL_X79Y156 NUM_SITES 0 TILEPROP NULL_X79Y156 ROW 0 TILEPROP NULL_X79Y156 SLR_REGION_ID 0 TILEPROP NULL_X79Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X79Y156 TILE_TYPE NULL TILEPROP NULL_X79Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X79Y156 TILE_X 46968 TILEPROP NULL_X79Y156 TILE_Y 242296 TILEPROP NULL_X79Y156 TYPE NULL TILEPROP NULL_X7Y0 CLASS tile TILEPROP NULL_X7Y0 COLUMN 7 TILEPROP NULL_X7Y0 DEVICE_ID 0 TILEPROP NULL_X7Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X7Y0 GRID_POINT_X 7 TILEPROP NULL_X7Y0 GRID_POINT_Y 156 TILEPROP NULL_X7Y0 INDEX 17947 TILEPROP NULL_X7Y0 INT_TILE_X 1 TILEPROP NULL_X7Y0 INT_TILE_Y 149 TILEPROP NULL_X7Y0 IS_CENTER_TILE 0 TILEPROP NULL_X7Y0 IS_DCM_TILE 0 TILEPROP NULL_X7Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y0 NAME NULL_X7Y0 TILEPROP NULL_X7Y0 NUM_ARCS 0 TILEPROP NULL_X7Y0 NUM_SITES 0 TILEPROP NULL_X7Y0 ROW 156 TILEPROP NULL_X7Y0 SLR_REGION_ID 0 TILEPROP NULL_X7Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y0 TILE_TYPE NULL TILEPROP NULL_X7Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y0 TILE_X -91918 TILEPROP NULL_X7Y0 TILE_Y -244472 TILEPROP NULL_X7Y0 TYPE NULL TILEPROP NULL_X7Y2 CLASS tile TILEPROP NULL_X7Y2 COLUMN 7 TILEPROP NULL_X7Y2 DEVICE_ID 0 TILEPROP NULL_X7Y2 FIRST_SITE_ID 15603 TILEPROP NULL_X7Y2 GRID_POINT_X 7 TILEPROP NULL_X7Y2 GRID_POINT_Y 154 TILEPROP NULL_X7Y2 INDEX 17717 TILEPROP NULL_X7Y2 INT_TILE_X 1 TILEPROP NULL_X7Y2 INT_TILE_Y 148 TILEPROP NULL_X7Y2 IS_CENTER_TILE 0 TILEPROP NULL_X7Y2 IS_DCM_TILE 0 TILEPROP NULL_X7Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y2 NAME NULL_X7Y2 TILEPROP NULL_X7Y2 NUM_ARCS 0 TILEPROP NULL_X7Y2 NUM_SITES 0 TILEPROP NULL_X7Y2 ROW 154 TILEPROP NULL_X7Y2 SLR_REGION_ID 0 TILEPROP NULL_X7Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y2 TILE_TYPE NULL TILEPROP NULL_X7Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y2 TILE_X -91918 TILEPROP NULL_X7Y2 TILE_Y -238072 TILEPROP NULL_X7Y2 TYPE NULL TILEPROP NULL_X7Y3 CLASS tile TILEPROP NULL_X7Y3 COLUMN 7 TILEPROP NULL_X7Y3 DEVICE_ID 0 TILEPROP NULL_X7Y3 FIRST_SITE_ID 15503 TILEPROP NULL_X7Y3 GRID_POINT_X 7 TILEPROP NULL_X7Y3 GRID_POINT_Y 153 TILEPROP NULL_X7Y3 INDEX 17602 TILEPROP NULL_X7Y3 INT_TILE_X 1 TILEPROP NULL_X7Y3 INT_TILE_Y 147 TILEPROP NULL_X7Y3 IS_CENTER_TILE 0 TILEPROP NULL_X7Y3 IS_DCM_TILE 0 TILEPROP NULL_X7Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y3 NAME NULL_X7Y3 TILEPROP NULL_X7Y3 NUM_ARCS 0 TILEPROP NULL_X7Y3 NUM_SITES 0 TILEPROP NULL_X7Y3 ROW 153 TILEPROP NULL_X7Y3 SLR_REGION_ID 0 TILEPROP NULL_X7Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y3 TILE_TYPE NULL TILEPROP NULL_X7Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y3 TILE_X -91918 TILEPROP NULL_X7Y3 TILE_Y -234872 TILEPROP NULL_X7Y3 TYPE NULL TILEPROP NULL_X7Y4 CLASS tile TILEPROP NULL_X7Y4 COLUMN 7 TILEPROP NULL_X7Y4 DEVICE_ID 0 TILEPROP NULL_X7Y4 FIRST_SITE_ID 15403 TILEPROP NULL_X7Y4 GRID_POINT_X 7 TILEPROP NULL_X7Y4 GRID_POINT_Y 152 TILEPROP NULL_X7Y4 INDEX 17487 TILEPROP NULL_X7Y4 INT_TILE_X 1 TILEPROP NULL_X7Y4 INT_TILE_Y 146 TILEPROP NULL_X7Y4 IS_CENTER_TILE 0 TILEPROP NULL_X7Y4 IS_DCM_TILE 0 TILEPROP NULL_X7Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y4 NAME NULL_X7Y4 TILEPROP NULL_X7Y4 NUM_ARCS 0 TILEPROP NULL_X7Y4 NUM_SITES 0 TILEPROP NULL_X7Y4 ROW 152 TILEPROP NULL_X7Y4 SLR_REGION_ID 0 TILEPROP NULL_X7Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y4 TILE_TYPE NULL TILEPROP NULL_X7Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y4 TILE_X -91918 TILEPROP NULL_X7Y4 TILE_Y -231672 TILEPROP NULL_X7Y4 TYPE NULL TILEPROP NULL_X7Y5 CLASS tile TILEPROP NULL_X7Y5 COLUMN 7 TILEPROP NULL_X7Y5 DEVICE_ID 0 TILEPROP NULL_X7Y5 FIRST_SITE_ID 15303 TILEPROP NULL_X7Y5 GRID_POINT_X 7 TILEPROP NULL_X7Y5 GRID_POINT_Y 151 TILEPROP NULL_X7Y5 INDEX 17372 TILEPROP NULL_X7Y5 INT_TILE_X 1 TILEPROP NULL_X7Y5 INT_TILE_Y 145 TILEPROP NULL_X7Y5 IS_CENTER_TILE 0 TILEPROP NULL_X7Y5 IS_DCM_TILE 0 TILEPROP NULL_X7Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y5 NAME NULL_X7Y5 TILEPROP NULL_X7Y5 NUM_ARCS 0 TILEPROP NULL_X7Y5 NUM_SITES 0 TILEPROP NULL_X7Y5 ROW 151 TILEPROP NULL_X7Y5 SLR_REGION_ID 0 TILEPROP NULL_X7Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y5 TILE_TYPE NULL TILEPROP NULL_X7Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y5 TILE_X -91918 TILEPROP NULL_X7Y5 TILE_Y -228472 TILEPROP NULL_X7Y5 TYPE NULL TILEPROP NULL_X7Y6 CLASS tile TILEPROP NULL_X7Y6 COLUMN 7 TILEPROP NULL_X7Y6 DEVICE_ID 0 TILEPROP NULL_X7Y6 FIRST_SITE_ID 15188 TILEPROP NULL_X7Y6 GRID_POINT_X 7 TILEPROP NULL_X7Y6 GRID_POINT_Y 150 TILEPROP NULL_X7Y6 INDEX 17257 TILEPROP NULL_X7Y6 INT_TILE_X 1 TILEPROP NULL_X7Y6 INT_TILE_Y 144 TILEPROP NULL_X7Y6 IS_CENTER_TILE 0 TILEPROP NULL_X7Y6 IS_DCM_TILE 0 TILEPROP NULL_X7Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y6 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y6 NAME NULL_X7Y6 TILEPROP NULL_X7Y6 NUM_ARCS 0 TILEPROP NULL_X7Y6 NUM_SITES 0 TILEPROP NULL_X7Y6 ROW 150 TILEPROP NULL_X7Y6 SLR_REGION_ID 0 TILEPROP NULL_X7Y6 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y6 TILE_TYPE NULL TILEPROP NULL_X7Y6 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y6 TILE_X -91918 TILEPROP NULL_X7Y6 TILE_Y -225272 TILEPROP NULL_X7Y6 TYPE NULL TILEPROP NULL_X7Y7 CLASS tile TILEPROP NULL_X7Y7 COLUMN 7 TILEPROP NULL_X7Y7 DEVICE_ID 0 TILEPROP NULL_X7Y7 FIRST_SITE_ID 15088 TILEPROP NULL_X7Y7 GRID_POINT_X 7 TILEPROP NULL_X7Y7 GRID_POINT_Y 149 TILEPROP NULL_X7Y7 INDEX 17142 TILEPROP NULL_X7Y7 INT_TILE_X 1 TILEPROP NULL_X7Y7 INT_TILE_Y 143 TILEPROP NULL_X7Y7 IS_CENTER_TILE 0 TILEPROP NULL_X7Y7 IS_DCM_TILE 0 TILEPROP NULL_X7Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y7 NAME NULL_X7Y7 TILEPROP NULL_X7Y7 NUM_ARCS 0 TILEPROP NULL_X7Y7 NUM_SITES 0 TILEPROP NULL_X7Y7 ROW 149 TILEPROP NULL_X7Y7 SLR_REGION_ID 0 TILEPROP NULL_X7Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y7 TILE_TYPE NULL TILEPROP NULL_X7Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y7 TILE_X -91918 TILEPROP NULL_X7Y7 TILE_Y -222072 TILEPROP NULL_X7Y7 TYPE NULL TILEPROP NULL_X7Y9 CLASS tile TILEPROP NULL_X7Y9 COLUMN 7 TILEPROP NULL_X7Y9 DEVICE_ID 0 TILEPROP NULL_X7Y9 FIRST_SITE_ID 14882 TILEPROP NULL_X7Y9 GRID_POINT_X 7 TILEPROP NULL_X7Y9 GRID_POINT_Y 147 TILEPROP NULL_X7Y9 INDEX 16912 TILEPROP NULL_X7Y9 INT_TILE_X 1 TILEPROP NULL_X7Y9 INT_TILE_Y 141 TILEPROP NULL_X7Y9 IS_CENTER_TILE 0 TILEPROP NULL_X7Y9 IS_DCM_TILE 0 TILEPROP NULL_X7Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y9 NAME NULL_X7Y9 TILEPROP NULL_X7Y9 NUM_ARCS 0 TILEPROP NULL_X7Y9 NUM_SITES 0 TILEPROP NULL_X7Y9 ROW 147 TILEPROP NULL_X7Y9 SLR_REGION_ID 0 TILEPROP NULL_X7Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y9 TILE_TYPE NULL TILEPROP NULL_X7Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y9 TILE_X -91918 TILEPROP NULL_X7Y9 TILE_Y -215672 TILEPROP NULL_X7Y9 TYPE NULL TILEPROP NULL_X7Y10 CLASS tile TILEPROP NULL_X7Y10 COLUMN 7 TILEPROP NULL_X7Y10 DEVICE_ID 0 TILEPROP NULL_X7Y10 FIRST_SITE_ID 14782 TILEPROP NULL_X7Y10 GRID_POINT_X 7 TILEPROP NULL_X7Y10 GRID_POINT_Y 146 TILEPROP NULL_X7Y10 INDEX 16797 TILEPROP NULL_X7Y10 INT_TILE_X 1 TILEPROP NULL_X7Y10 INT_TILE_Y 140 TILEPROP NULL_X7Y10 IS_CENTER_TILE 0 TILEPROP NULL_X7Y10 IS_DCM_TILE 0 TILEPROP NULL_X7Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y10 NAME NULL_X7Y10 TILEPROP NULL_X7Y10 NUM_ARCS 0 TILEPROP NULL_X7Y10 NUM_SITES 0 TILEPROP NULL_X7Y10 ROW 146 TILEPROP NULL_X7Y10 SLR_REGION_ID 0 TILEPROP NULL_X7Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y10 TILE_TYPE NULL TILEPROP NULL_X7Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y10 TILE_X -91918 TILEPROP NULL_X7Y10 TILE_Y -212472 TILEPROP NULL_X7Y10 TYPE NULL TILEPROP NULL_X7Y11 CLASS tile TILEPROP NULL_X7Y11 COLUMN 7 TILEPROP NULL_X7Y11 DEVICE_ID 0 TILEPROP NULL_X7Y11 FIRST_SITE_ID 14667 TILEPROP NULL_X7Y11 GRID_POINT_X 7 TILEPROP NULL_X7Y11 GRID_POINT_Y 145 TILEPROP NULL_X7Y11 INDEX 16682 TILEPROP NULL_X7Y11 INT_TILE_X 1 TILEPROP NULL_X7Y11 INT_TILE_Y 139 TILEPROP NULL_X7Y11 IS_CENTER_TILE 0 TILEPROP NULL_X7Y11 IS_DCM_TILE 0 TILEPROP NULL_X7Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y11 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y11 NAME NULL_X7Y11 TILEPROP NULL_X7Y11 NUM_ARCS 0 TILEPROP NULL_X7Y11 NUM_SITES 0 TILEPROP NULL_X7Y11 ROW 145 TILEPROP NULL_X7Y11 SLR_REGION_ID 0 TILEPROP NULL_X7Y11 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y11 TILE_TYPE NULL TILEPROP NULL_X7Y11 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y11 TILE_X -91918 TILEPROP NULL_X7Y11 TILE_Y -209272 TILEPROP NULL_X7Y11 TYPE NULL TILEPROP NULL_X7Y12 CLASS tile TILEPROP NULL_X7Y12 COLUMN 7 TILEPROP NULL_X7Y12 DEVICE_ID 0 TILEPROP NULL_X7Y12 FIRST_SITE_ID 14567 TILEPROP NULL_X7Y12 GRID_POINT_X 7 TILEPROP NULL_X7Y12 GRID_POINT_Y 144 TILEPROP NULL_X7Y12 INDEX 16567 TILEPROP NULL_X7Y12 INT_TILE_X 1 TILEPROP NULL_X7Y12 INT_TILE_Y 138 TILEPROP NULL_X7Y12 IS_CENTER_TILE 0 TILEPROP NULL_X7Y12 IS_DCM_TILE 0 TILEPROP NULL_X7Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y12 NAME NULL_X7Y12 TILEPROP NULL_X7Y12 NUM_ARCS 0 TILEPROP NULL_X7Y12 NUM_SITES 0 TILEPROP NULL_X7Y12 ROW 144 TILEPROP NULL_X7Y12 SLR_REGION_ID 0 TILEPROP NULL_X7Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y12 TILE_TYPE NULL TILEPROP NULL_X7Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y12 TILE_X -91918 TILEPROP NULL_X7Y12 TILE_Y -206072 TILEPROP NULL_X7Y12 TYPE NULL TILEPROP NULL_X7Y13 CLASS tile TILEPROP NULL_X7Y13 COLUMN 7 TILEPROP NULL_X7Y13 DEVICE_ID 0 TILEPROP NULL_X7Y13 FIRST_SITE_ID 14435 TILEPROP NULL_X7Y13 GRID_POINT_X 7 TILEPROP NULL_X7Y13 GRID_POINT_Y 143 TILEPROP NULL_X7Y13 INDEX 16452 TILEPROP NULL_X7Y13 INT_TILE_X 1 TILEPROP NULL_X7Y13 INT_TILE_Y 137 TILEPROP NULL_X7Y13 IS_CENTER_TILE 0 TILEPROP NULL_X7Y13 IS_DCM_TILE 0 TILEPROP NULL_X7Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y13 NAME NULL_X7Y13 TILEPROP NULL_X7Y13 NUM_ARCS 0 TILEPROP NULL_X7Y13 NUM_SITES 0 TILEPROP NULL_X7Y13 ROW 143 TILEPROP NULL_X7Y13 SLR_REGION_ID 0 TILEPROP NULL_X7Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y13 TILE_TYPE NULL TILEPROP NULL_X7Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y13 TILE_X -91918 TILEPROP NULL_X7Y13 TILE_Y -202872 TILEPROP NULL_X7Y13 TYPE NULL TILEPROP NULL_X7Y14 CLASS tile TILEPROP NULL_X7Y14 COLUMN 7 TILEPROP NULL_X7Y14 DEVICE_ID 0 TILEPROP NULL_X7Y14 FIRST_SITE_ID 14335 TILEPROP NULL_X7Y14 GRID_POINT_X 7 TILEPROP NULL_X7Y14 GRID_POINT_Y 142 TILEPROP NULL_X7Y14 INDEX 16337 TILEPROP NULL_X7Y14 INT_TILE_X 1 TILEPROP NULL_X7Y14 INT_TILE_Y 136 TILEPROP NULL_X7Y14 IS_CENTER_TILE 0 TILEPROP NULL_X7Y14 IS_DCM_TILE 0 TILEPROP NULL_X7Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y14 NAME NULL_X7Y14 TILEPROP NULL_X7Y14 NUM_ARCS 0 TILEPROP NULL_X7Y14 NUM_SITES 0 TILEPROP NULL_X7Y14 ROW 142 TILEPROP NULL_X7Y14 SLR_REGION_ID 0 TILEPROP NULL_X7Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y14 TILE_TYPE NULL TILEPROP NULL_X7Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y14 TILE_X -91918 TILEPROP NULL_X7Y14 TILE_Y -199672 TILEPROP NULL_X7Y14 TYPE NULL TILEPROP NULL_X7Y15 CLASS tile TILEPROP NULL_X7Y15 COLUMN 7 TILEPROP NULL_X7Y15 DEVICE_ID 0 TILEPROP NULL_X7Y15 FIRST_SITE_ID 14235 TILEPROP NULL_X7Y15 GRID_POINT_X 7 TILEPROP NULL_X7Y15 GRID_POINT_Y 141 TILEPROP NULL_X7Y15 INDEX 16222 TILEPROP NULL_X7Y15 INT_TILE_X 1 TILEPROP NULL_X7Y15 INT_TILE_Y 135 TILEPROP NULL_X7Y15 IS_CENTER_TILE 0 TILEPROP NULL_X7Y15 IS_DCM_TILE 0 TILEPROP NULL_X7Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y15 NAME NULL_X7Y15 TILEPROP NULL_X7Y15 NUM_ARCS 0 TILEPROP NULL_X7Y15 NUM_SITES 0 TILEPROP NULL_X7Y15 ROW 141 TILEPROP NULL_X7Y15 SLR_REGION_ID 0 TILEPROP NULL_X7Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y15 TILE_TYPE NULL TILEPROP NULL_X7Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y15 TILE_X -91918 TILEPROP NULL_X7Y15 TILE_Y -196472 TILEPROP NULL_X7Y15 TYPE NULL TILEPROP NULL_X7Y16 CLASS tile TILEPROP NULL_X7Y16 COLUMN 7 TILEPROP NULL_X7Y16 DEVICE_ID 0 TILEPROP NULL_X7Y16 FIRST_SITE_ID 14120 TILEPROP NULL_X7Y16 GRID_POINT_X 7 TILEPROP NULL_X7Y16 GRID_POINT_Y 140 TILEPROP NULL_X7Y16 INDEX 16107 TILEPROP NULL_X7Y16 INT_TILE_X 1 TILEPROP NULL_X7Y16 INT_TILE_Y 134 TILEPROP NULL_X7Y16 IS_CENTER_TILE 0 TILEPROP NULL_X7Y16 IS_DCM_TILE 0 TILEPROP NULL_X7Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y16 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y16 NAME NULL_X7Y16 TILEPROP NULL_X7Y16 NUM_ARCS 0 TILEPROP NULL_X7Y16 NUM_SITES 0 TILEPROP NULL_X7Y16 ROW 140 TILEPROP NULL_X7Y16 SLR_REGION_ID 0 TILEPROP NULL_X7Y16 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y16 TILE_TYPE NULL TILEPROP NULL_X7Y16 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y16 TILE_X -91918 TILEPROP NULL_X7Y16 TILE_Y -193272 TILEPROP NULL_X7Y16 TYPE NULL TILEPROP NULL_X7Y17 CLASS tile TILEPROP NULL_X7Y17 COLUMN 7 TILEPROP NULL_X7Y17 DEVICE_ID 0 TILEPROP NULL_X7Y17 FIRST_SITE_ID 14020 TILEPROP NULL_X7Y17 GRID_POINT_X 7 TILEPROP NULL_X7Y17 GRID_POINT_Y 139 TILEPROP NULL_X7Y17 INDEX 15992 TILEPROP NULL_X7Y17 INT_TILE_X 1 TILEPROP NULL_X7Y17 INT_TILE_Y 133 TILEPROP NULL_X7Y17 IS_CENTER_TILE 0 TILEPROP NULL_X7Y17 IS_DCM_TILE 0 TILEPROP NULL_X7Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y17 NAME NULL_X7Y17 TILEPROP NULL_X7Y17 NUM_ARCS 0 TILEPROP NULL_X7Y17 NUM_SITES 0 TILEPROP NULL_X7Y17 ROW 139 TILEPROP NULL_X7Y17 SLR_REGION_ID 0 TILEPROP NULL_X7Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y17 TILE_TYPE NULL TILEPROP NULL_X7Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y17 TILE_X -91918 TILEPROP NULL_X7Y17 TILE_Y -190072 TILEPROP NULL_X7Y17 TYPE NULL TILEPROP NULL_X7Y18 CLASS tile TILEPROP NULL_X7Y18 COLUMN 7 TILEPROP NULL_X7Y18 DEVICE_ID 0 TILEPROP NULL_X7Y18 FIRST_SITE_ID 13911 TILEPROP NULL_X7Y18 GRID_POINT_X 7 TILEPROP NULL_X7Y18 GRID_POINT_Y 138 TILEPROP NULL_X7Y18 INDEX 15877 TILEPROP NULL_X7Y18 INT_TILE_X 1 TILEPROP NULL_X7Y18 INT_TILE_Y 132 TILEPROP NULL_X7Y18 IS_CENTER_TILE 0 TILEPROP NULL_X7Y18 IS_DCM_TILE 0 TILEPROP NULL_X7Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y18 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y18 NAME NULL_X7Y18 TILEPROP NULL_X7Y18 NUM_ARCS 0 TILEPROP NULL_X7Y18 NUM_SITES 0 TILEPROP NULL_X7Y18 ROW 138 TILEPROP NULL_X7Y18 SLR_REGION_ID 0 TILEPROP NULL_X7Y18 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y18 TILE_TYPE NULL TILEPROP NULL_X7Y18 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y18 TILE_X -91918 TILEPROP NULL_X7Y18 TILE_Y -186872 TILEPROP NULL_X7Y18 TYPE NULL TILEPROP NULL_X7Y19 CLASS tile TILEPROP NULL_X7Y19 COLUMN 7 TILEPROP NULL_X7Y19 DEVICE_ID 0 TILEPROP NULL_X7Y19 FIRST_SITE_ID 13811 TILEPROP NULL_X7Y19 GRID_POINT_X 7 TILEPROP NULL_X7Y19 GRID_POINT_Y 137 TILEPROP NULL_X7Y19 INDEX 15762 TILEPROP NULL_X7Y19 INT_TILE_X 1 TILEPROP NULL_X7Y19 INT_TILE_Y 131 TILEPROP NULL_X7Y19 IS_CENTER_TILE 0 TILEPROP NULL_X7Y19 IS_DCM_TILE 0 TILEPROP NULL_X7Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y19 NAME NULL_X7Y19 TILEPROP NULL_X7Y19 NUM_ARCS 0 TILEPROP NULL_X7Y19 NUM_SITES 0 TILEPROP NULL_X7Y19 ROW 137 TILEPROP NULL_X7Y19 SLR_REGION_ID 0 TILEPROP NULL_X7Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y19 TILE_TYPE NULL TILEPROP NULL_X7Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y19 TILE_X -91918 TILEPROP NULL_X7Y19 TILE_Y -183672 TILEPROP NULL_X7Y19 TYPE NULL TILEPROP NULL_X7Y21 CLASS tile TILEPROP NULL_X7Y21 COLUMN 7 TILEPROP NULL_X7Y21 DEVICE_ID 0 TILEPROP NULL_X7Y21 FIRST_SITE_ID 13592 TILEPROP NULL_X7Y21 GRID_POINT_X 7 TILEPROP NULL_X7Y21 GRID_POINT_Y 135 TILEPROP NULL_X7Y21 INDEX 15532 TILEPROP NULL_X7Y21 INT_TILE_X 1 TILEPROP NULL_X7Y21 INT_TILE_Y 129 TILEPROP NULL_X7Y21 IS_CENTER_TILE 0 TILEPROP NULL_X7Y21 IS_DCM_TILE 0 TILEPROP NULL_X7Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y21 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y21 NAME NULL_X7Y21 TILEPROP NULL_X7Y21 NUM_ARCS 0 TILEPROP NULL_X7Y21 NUM_SITES 0 TILEPROP NULL_X7Y21 ROW 135 TILEPROP NULL_X7Y21 SLR_REGION_ID 0 TILEPROP NULL_X7Y21 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y21 TILE_TYPE NULL TILEPROP NULL_X7Y21 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y21 TILE_X -91918 TILEPROP NULL_X7Y21 TILE_Y -177272 TILEPROP NULL_X7Y21 TYPE NULL TILEPROP NULL_X7Y22 CLASS tile TILEPROP NULL_X7Y22 COLUMN 7 TILEPROP NULL_X7Y22 DEVICE_ID 0 TILEPROP NULL_X7Y22 FIRST_SITE_ID 13492 TILEPROP NULL_X7Y22 GRID_POINT_X 7 TILEPROP NULL_X7Y22 GRID_POINT_Y 134 TILEPROP NULL_X7Y22 INDEX 15417 TILEPROP NULL_X7Y22 INT_TILE_X 1 TILEPROP NULL_X7Y22 INT_TILE_Y 128 TILEPROP NULL_X7Y22 IS_CENTER_TILE 0 TILEPROP NULL_X7Y22 IS_DCM_TILE 0 TILEPROP NULL_X7Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y22 NAME NULL_X7Y22 TILEPROP NULL_X7Y22 NUM_ARCS 0 TILEPROP NULL_X7Y22 NUM_SITES 0 TILEPROP NULL_X7Y22 ROW 134 TILEPROP NULL_X7Y22 SLR_REGION_ID 0 TILEPROP NULL_X7Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y22 TILE_TYPE NULL TILEPROP NULL_X7Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y22 TILE_X -91918 TILEPROP NULL_X7Y22 TILE_Y -174072 TILEPROP NULL_X7Y22 TYPE NULL TILEPROP NULL_X7Y23 CLASS tile TILEPROP NULL_X7Y23 COLUMN 7 TILEPROP NULL_X7Y23 DEVICE_ID 0 TILEPROP NULL_X7Y23 FIRST_SITE_ID 13392 TILEPROP NULL_X7Y23 GRID_POINT_X 7 TILEPROP NULL_X7Y23 GRID_POINT_Y 133 TILEPROP NULL_X7Y23 INDEX 15302 TILEPROP NULL_X7Y23 INT_TILE_X 1 TILEPROP NULL_X7Y23 INT_TILE_Y 127 TILEPROP NULL_X7Y23 IS_CENTER_TILE 0 TILEPROP NULL_X7Y23 IS_DCM_TILE 0 TILEPROP NULL_X7Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y23 NAME NULL_X7Y23 TILEPROP NULL_X7Y23 NUM_ARCS 0 TILEPROP NULL_X7Y23 NUM_SITES 0 TILEPROP NULL_X7Y23 ROW 133 TILEPROP NULL_X7Y23 SLR_REGION_ID 0 TILEPROP NULL_X7Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y23 TILE_TYPE NULL TILEPROP NULL_X7Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y23 TILE_X -91918 TILEPROP NULL_X7Y23 TILE_Y -170872 TILEPROP NULL_X7Y23 TYPE NULL TILEPROP NULL_X7Y24 CLASS tile TILEPROP NULL_X7Y24 COLUMN 7 TILEPROP NULL_X7Y24 DEVICE_ID 0 TILEPROP NULL_X7Y24 FIRST_SITE_ID 13292 TILEPROP NULL_X7Y24 GRID_POINT_X 7 TILEPROP NULL_X7Y24 GRID_POINT_Y 132 TILEPROP NULL_X7Y24 INDEX 15187 TILEPROP NULL_X7Y24 INT_TILE_X 1 TILEPROP NULL_X7Y24 INT_TILE_Y 126 TILEPROP NULL_X7Y24 IS_CENTER_TILE 0 TILEPROP NULL_X7Y24 IS_DCM_TILE 0 TILEPROP NULL_X7Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y24 NAME NULL_X7Y24 TILEPROP NULL_X7Y24 NUM_ARCS 0 TILEPROP NULL_X7Y24 NUM_SITES 0 TILEPROP NULL_X7Y24 ROW 132 TILEPROP NULL_X7Y24 SLR_REGION_ID 0 TILEPROP NULL_X7Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y24 TILE_TYPE NULL TILEPROP NULL_X7Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y24 TILE_X -91918 TILEPROP NULL_X7Y24 TILE_Y -167672 TILEPROP NULL_X7Y24 TYPE NULL TILEPROP NULL_X7Y25 CLASS tile TILEPROP NULL_X7Y25 COLUMN 7 TILEPROP NULL_X7Y25 DEVICE_ID 0 TILEPROP NULL_X7Y25 FIRST_SITE_ID 13192 TILEPROP NULL_X7Y25 GRID_POINT_X 7 TILEPROP NULL_X7Y25 GRID_POINT_Y 131 TILEPROP NULL_X7Y25 INDEX 15072 TILEPROP NULL_X7Y25 INT_TILE_X 1 TILEPROP NULL_X7Y25 INT_TILE_Y 125 TILEPROP NULL_X7Y25 IS_CENTER_TILE 0 TILEPROP NULL_X7Y25 IS_DCM_TILE 0 TILEPROP NULL_X7Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y25 NAME NULL_X7Y25 TILEPROP NULL_X7Y25 NUM_ARCS 0 TILEPROP NULL_X7Y25 NUM_SITES 0 TILEPROP NULL_X7Y25 ROW 131 TILEPROP NULL_X7Y25 SLR_REGION_ID 0 TILEPROP NULL_X7Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y25 TILE_TYPE NULL TILEPROP NULL_X7Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y25 TILE_X -91918 TILEPROP NULL_X7Y25 TILE_Y -164472 TILEPROP NULL_X7Y25 TYPE NULL TILEPROP NULL_X7Y27 CLASS tile TILEPROP NULL_X7Y27 COLUMN 7 TILEPROP NULL_X7Y27 DEVICE_ID 0 TILEPROP NULL_X7Y27 FIRST_SITE_ID 12994 TILEPROP NULL_X7Y27 GRID_POINT_X 7 TILEPROP NULL_X7Y27 GRID_POINT_Y 129 TILEPROP NULL_X7Y27 INDEX 14842 TILEPROP NULL_X7Y27 INT_TILE_X 1 TILEPROP NULL_X7Y27 INT_TILE_Y 124 TILEPROP NULL_X7Y27 IS_CENTER_TILE 0 TILEPROP NULL_X7Y27 IS_DCM_TILE 0 TILEPROP NULL_X7Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y27 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y27 NAME NULL_X7Y27 TILEPROP NULL_X7Y27 NUM_ARCS 0 TILEPROP NULL_X7Y27 NUM_SITES 0 TILEPROP NULL_X7Y27 ROW 129 TILEPROP NULL_X7Y27 SLR_REGION_ID 0 TILEPROP NULL_X7Y27 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y27 TILE_TYPE NULL TILEPROP NULL_X7Y27 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y27 TILE_X -91918 TILEPROP NULL_X7Y27 TILE_Y -160248 TILEPROP NULL_X7Y27 TYPE NULL TILEPROP NULL_X7Y28 CLASS tile TILEPROP NULL_X7Y28 COLUMN 7 TILEPROP NULL_X7Y28 DEVICE_ID 0 TILEPROP NULL_X7Y28 FIRST_SITE_ID 12894 TILEPROP NULL_X7Y28 GRID_POINT_X 7 TILEPROP NULL_X7Y28 GRID_POINT_Y 128 TILEPROP NULL_X7Y28 INDEX 14727 TILEPROP NULL_X7Y28 INT_TILE_X 1 TILEPROP NULL_X7Y28 INT_TILE_Y 123 TILEPROP NULL_X7Y28 IS_CENTER_TILE 0 TILEPROP NULL_X7Y28 IS_DCM_TILE 0 TILEPROP NULL_X7Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y28 NAME NULL_X7Y28 TILEPROP NULL_X7Y28 NUM_ARCS 0 TILEPROP NULL_X7Y28 NUM_SITES 0 TILEPROP NULL_X7Y28 ROW 128 TILEPROP NULL_X7Y28 SLR_REGION_ID 0 TILEPROP NULL_X7Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y28 TILE_TYPE NULL TILEPROP NULL_X7Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y28 TILE_X -91918 TILEPROP NULL_X7Y28 TILE_Y -157048 TILEPROP NULL_X7Y28 TYPE NULL TILEPROP NULL_X7Y29 CLASS tile TILEPROP NULL_X7Y29 COLUMN 7 TILEPROP NULL_X7Y29 DEVICE_ID 0 TILEPROP NULL_X7Y29 FIRST_SITE_ID 12794 TILEPROP NULL_X7Y29 GRID_POINT_X 7 TILEPROP NULL_X7Y29 GRID_POINT_Y 127 TILEPROP NULL_X7Y29 INDEX 14612 TILEPROP NULL_X7Y29 INT_TILE_X 1 TILEPROP NULL_X7Y29 INT_TILE_Y 122 TILEPROP NULL_X7Y29 IS_CENTER_TILE 0 TILEPROP NULL_X7Y29 IS_DCM_TILE 0 TILEPROP NULL_X7Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y29 NAME NULL_X7Y29 TILEPROP NULL_X7Y29 NUM_ARCS 0 TILEPROP NULL_X7Y29 NUM_SITES 0 TILEPROP NULL_X7Y29 ROW 127 TILEPROP NULL_X7Y29 SLR_REGION_ID 0 TILEPROP NULL_X7Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y29 TILE_TYPE NULL TILEPROP NULL_X7Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y29 TILE_X -91918 TILEPROP NULL_X7Y29 TILE_Y -153848 TILEPROP NULL_X7Y29 TYPE NULL TILEPROP NULL_X7Y30 CLASS tile TILEPROP NULL_X7Y30 COLUMN 7 TILEPROP NULL_X7Y30 DEVICE_ID 0 TILEPROP NULL_X7Y30 FIRST_SITE_ID 12694 TILEPROP NULL_X7Y30 GRID_POINT_X 7 TILEPROP NULL_X7Y30 GRID_POINT_Y 126 TILEPROP NULL_X7Y30 INDEX 14497 TILEPROP NULL_X7Y30 INT_TILE_X 1 TILEPROP NULL_X7Y30 INT_TILE_Y 121 TILEPROP NULL_X7Y30 IS_CENTER_TILE 0 TILEPROP NULL_X7Y30 IS_DCM_TILE 0 TILEPROP NULL_X7Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y30 NAME NULL_X7Y30 TILEPROP NULL_X7Y30 NUM_ARCS 0 TILEPROP NULL_X7Y30 NUM_SITES 0 TILEPROP NULL_X7Y30 ROW 126 TILEPROP NULL_X7Y30 SLR_REGION_ID 0 TILEPROP NULL_X7Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y30 TILE_TYPE NULL TILEPROP NULL_X7Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y30 TILE_X -91918 TILEPROP NULL_X7Y30 TILE_Y -150648 TILEPROP NULL_X7Y30 TYPE NULL TILEPROP NULL_X7Y31 CLASS tile TILEPROP NULL_X7Y31 COLUMN 7 TILEPROP NULL_X7Y31 DEVICE_ID 0 TILEPROP NULL_X7Y31 FIRST_SITE_ID 12582 TILEPROP NULL_X7Y31 GRID_POINT_X 7 TILEPROP NULL_X7Y31 GRID_POINT_Y 125 TILEPROP NULL_X7Y31 INDEX 14382 TILEPROP NULL_X7Y31 INT_TILE_X 1 TILEPROP NULL_X7Y31 INT_TILE_Y 120 TILEPROP NULL_X7Y31 IS_CENTER_TILE 0 TILEPROP NULL_X7Y31 IS_DCM_TILE 0 TILEPROP NULL_X7Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y31 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y31 NAME NULL_X7Y31 TILEPROP NULL_X7Y31 NUM_ARCS 0 TILEPROP NULL_X7Y31 NUM_SITES 0 TILEPROP NULL_X7Y31 ROW 125 TILEPROP NULL_X7Y31 SLR_REGION_ID 0 TILEPROP NULL_X7Y31 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y31 TILE_TYPE NULL TILEPROP NULL_X7Y31 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y31 TILE_X -91918 TILEPROP NULL_X7Y31 TILE_Y -147448 TILEPROP NULL_X7Y31 TYPE NULL TILEPROP NULL_X7Y32 CLASS tile TILEPROP NULL_X7Y32 COLUMN 7 TILEPROP NULL_X7Y32 DEVICE_ID 0 TILEPROP NULL_X7Y32 FIRST_SITE_ID 12454 TILEPROP NULL_X7Y32 GRID_POINT_X 7 TILEPROP NULL_X7Y32 GRID_POINT_Y 124 TILEPROP NULL_X7Y32 INDEX 14267 TILEPROP NULL_X7Y32 INT_TILE_X 1 TILEPROP NULL_X7Y32 INT_TILE_Y 119 TILEPROP NULL_X7Y32 IS_CENTER_TILE 0 TILEPROP NULL_X7Y32 IS_DCM_TILE 0 TILEPROP NULL_X7Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y32 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y32 NAME NULL_X7Y32 TILEPROP NULL_X7Y32 NUM_ARCS 0 TILEPROP NULL_X7Y32 NUM_SITES 0 TILEPROP NULL_X7Y32 ROW 124 TILEPROP NULL_X7Y32 SLR_REGION_ID 0 TILEPROP NULL_X7Y32 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y32 TILE_TYPE NULL TILEPROP NULL_X7Y32 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y32 TILE_X -91918 TILEPROP NULL_X7Y32 TILE_Y -144248 TILEPROP NULL_X7Y32 TYPE NULL TILEPROP NULL_X7Y34 CLASS tile TILEPROP NULL_X7Y34 COLUMN 7 TILEPROP NULL_X7Y34 DEVICE_ID 0 TILEPROP NULL_X7Y34 FIRST_SITE_ID 12249 TILEPROP NULL_X7Y34 GRID_POINT_X 7 TILEPROP NULL_X7Y34 GRID_POINT_Y 122 TILEPROP NULL_X7Y34 INDEX 14037 TILEPROP NULL_X7Y34 INT_TILE_X 1 TILEPROP NULL_X7Y34 INT_TILE_Y 117 TILEPROP NULL_X7Y34 IS_CENTER_TILE 0 TILEPROP NULL_X7Y34 IS_DCM_TILE 0 TILEPROP NULL_X7Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y34 NAME NULL_X7Y34 TILEPROP NULL_X7Y34 NUM_ARCS 0 TILEPROP NULL_X7Y34 NUM_SITES 0 TILEPROP NULL_X7Y34 ROW 122 TILEPROP NULL_X7Y34 SLR_REGION_ID 0 TILEPROP NULL_X7Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y34 TILE_TYPE NULL TILEPROP NULL_X7Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y34 TILE_X -91918 TILEPROP NULL_X7Y34 TILE_Y -137848 TILEPROP NULL_X7Y34 TYPE NULL TILEPROP NULL_X7Y35 CLASS tile TILEPROP NULL_X7Y35 COLUMN 7 TILEPROP NULL_X7Y35 DEVICE_ID 0 TILEPROP NULL_X7Y35 FIRST_SITE_ID 12149 TILEPROP NULL_X7Y35 GRID_POINT_X 7 TILEPROP NULL_X7Y35 GRID_POINT_Y 121 TILEPROP NULL_X7Y35 INDEX 13922 TILEPROP NULL_X7Y35 INT_TILE_X 1 TILEPROP NULL_X7Y35 INT_TILE_Y 116 TILEPROP NULL_X7Y35 IS_CENTER_TILE 0 TILEPROP NULL_X7Y35 IS_DCM_TILE 0 TILEPROP NULL_X7Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y35 NAME NULL_X7Y35 TILEPROP NULL_X7Y35 NUM_ARCS 0 TILEPROP NULL_X7Y35 NUM_SITES 0 TILEPROP NULL_X7Y35 ROW 121 TILEPROP NULL_X7Y35 SLR_REGION_ID 0 TILEPROP NULL_X7Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y35 TILE_TYPE NULL TILEPROP NULL_X7Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y35 TILE_X -91918 TILEPROP NULL_X7Y35 TILE_Y -134648 TILEPROP NULL_X7Y35 TYPE NULL TILEPROP NULL_X7Y36 CLASS tile TILEPROP NULL_X7Y36 COLUMN 7 TILEPROP NULL_X7Y36 DEVICE_ID 0 TILEPROP NULL_X7Y36 FIRST_SITE_ID 12049 TILEPROP NULL_X7Y36 GRID_POINT_X 7 TILEPROP NULL_X7Y36 GRID_POINT_Y 120 TILEPROP NULL_X7Y36 INDEX 13807 TILEPROP NULL_X7Y36 INT_TILE_X 1 TILEPROP NULL_X7Y36 INT_TILE_Y 115 TILEPROP NULL_X7Y36 IS_CENTER_TILE 0 TILEPROP NULL_X7Y36 IS_DCM_TILE 0 TILEPROP NULL_X7Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y36 NAME NULL_X7Y36 TILEPROP NULL_X7Y36 NUM_ARCS 0 TILEPROP NULL_X7Y36 NUM_SITES 0 TILEPROP NULL_X7Y36 ROW 120 TILEPROP NULL_X7Y36 SLR_REGION_ID 0 TILEPROP NULL_X7Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y36 TILE_TYPE NULL TILEPROP NULL_X7Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y36 TILE_X -91918 TILEPROP NULL_X7Y36 TILE_Y -131448 TILEPROP NULL_X7Y36 TYPE NULL TILEPROP NULL_X7Y37 CLASS tile TILEPROP NULL_X7Y37 COLUMN 7 TILEPROP NULL_X7Y37 DEVICE_ID 0 TILEPROP NULL_X7Y37 FIRST_SITE_ID 11934 TILEPROP NULL_X7Y37 GRID_POINT_X 7 TILEPROP NULL_X7Y37 GRID_POINT_Y 119 TILEPROP NULL_X7Y37 INDEX 13692 TILEPROP NULL_X7Y37 INT_TILE_X 1 TILEPROP NULL_X7Y37 INT_TILE_Y 114 TILEPROP NULL_X7Y37 IS_CENTER_TILE 0 TILEPROP NULL_X7Y37 IS_DCM_TILE 0 TILEPROP NULL_X7Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y37 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y37 NAME NULL_X7Y37 TILEPROP NULL_X7Y37 NUM_ARCS 0 TILEPROP NULL_X7Y37 NUM_SITES 0 TILEPROP NULL_X7Y37 ROW 119 TILEPROP NULL_X7Y37 SLR_REGION_ID 0 TILEPROP NULL_X7Y37 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y37 TILE_TYPE NULL TILEPROP NULL_X7Y37 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y37 TILE_X -91918 TILEPROP NULL_X7Y37 TILE_Y -128248 TILEPROP NULL_X7Y37 TYPE NULL TILEPROP NULL_X7Y38 CLASS tile TILEPROP NULL_X7Y38 COLUMN 7 TILEPROP NULL_X7Y38 DEVICE_ID 0 TILEPROP NULL_X7Y38 FIRST_SITE_ID 11802 TILEPROP NULL_X7Y38 GRID_POINT_X 7 TILEPROP NULL_X7Y38 GRID_POINT_Y 118 TILEPROP NULL_X7Y38 INDEX 13577 TILEPROP NULL_X7Y38 INT_TILE_X 1 TILEPROP NULL_X7Y38 INT_TILE_Y 113 TILEPROP NULL_X7Y38 IS_CENTER_TILE 0 TILEPROP NULL_X7Y38 IS_DCM_TILE 0 TILEPROP NULL_X7Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y38 NAME NULL_X7Y38 TILEPROP NULL_X7Y38 NUM_ARCS 0 TILEPROP NULL_X7Y38 NUM_SITES 0 TILEPROP NULL_X7Y38 ROW 118 TILEPROP NULL_X7Y38 SLR_REGION_ID 0 TILEPROP NULL_X7Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y38 TILE_TYPE NULL TILEPROP NULL_X7Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y38 TILE_X -91918 TILEPROP NULL_X7Y38 TILE_Y -125048 TILEPROP NULL_X7Y38 TYPE NULL TILEPROP NULL_X7Y39 CLASS tile TILEPROP NULL_X7Y39 COLUMN 7 TILEPROP NULL_X7Y39 DEVICE_ID 0 TILEPROP NULL_X7Y39 FIRST_SITE_ID 11702 TILEPROP NULL_X7Y39 GRID_POINT_X 7 TILEPROP NULL_X7Y39 GRID_POINT_Y 117 TILEPROP NULL_X7Y39 INDEX 13462 TILEPROP NULL_X7Y39 INT_TILE_X 1 TILEPROP NULL_X7Y39 INT_TILE_Y 112 TILEPROP NULL_X7Y39 IS_CENTER_TILE 0 TILEPROP NULL_X7Y39 IS_DCM_TILE 0 TILEPROP NULL_X7Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y39 NAME NULL_X7Y39 TILEPROP NULL_X7Y39 NUM_ARCS 0 TILEPROP NULL_X7Y39 NUM_SITES 0 TILEPROP NULL_X7Y39 ROW 117 TILEPROP NULL_X7Y39 SLR_REGION_ID 0 TILEPROP NULL_X7Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y39 TILE_TYPE NULL TILEPROP NULL_X7Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y39 TILE_X -91918 TILEPROP NULL_X7Y39 TILE_Y -121848 TILEPROP NULL_X7Y39 TYPE NULL TILEPROP NULL_X7Y40 CLASS tile TILEPROP NULL_X7Y40 COLUMN 7 TILEPROP NULL_X7Y40 DEVICE_ID 0 TILEPROP NULL_X7Y40 FIRST_SITE_ID 11602 TILEPROP NULL_X7Y40 GRID_POINT_X 7 TILEPROP NULL_X7Y40 GRID_POINT_Y 116 TILEPROP NULL_X7Y40 INDEX 13347 TILEPROP NULL_X7Y40 INT_TILE_X 1 TILEPROP NULL_X7Y40 INT_TILE_Y 111 TILEPROP NULL_X7Y40 IS_CENTER_TILE 0 TILEPROP NULL_X7Y40 IS_DCM_TILE 0 TILEPROP NULL_X7Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y40 NAME NULL_X7Y40 TILEPROP NULL_X7Y40 NUM_ARCS 0 TILEPROP NULL_X7Y40 NUM_SITES 0 TILEPROP NULL_X7Y40 ROW 116 TILEPROP NULL_X7Y40 SLR_REGION_ID 0 TILEPROP NULL_X7Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y40 TILE_TYPE NULL TILEPROP NULL_X7Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y40 TILE_X -91918 TILEPROP NULL_X7Y40 TILE_Y -118648 TILEPROP NULL_X7Y40 TYPE NULL TILEPROP NULL_X7Y41 CLASS tile TILEPROP NULL_X7Y41 COLUMN 7 TILEPROP NULL_X7Y41 DEVICE_ID 0 TILEPROP NULL_X7Y41 FIRST_SITE_ID 11502 TILEPROP NULL_X7Y41 GRID_POINT_X 7 TILEPROP NULL_X7Y41 GRID_POINT_Y 115 TILEPROP NULL_X7Y41 INDEX 13232 TILEPROP NULL_X7Y41 INT_TILE_X 1 TILEPROP NULL_X7Y41 INT_TILE_Y 110 TILEPROP NULL_X7Y41 IS_CENTER_TILE 0 TILEPROP NULL_X7Y41 IS_DCM_TILE 0 TILEPROP NULL_X7Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y41 NAME NULL_X7Y41 TILEPROP NULL_X7Y41 NUM_ARCS 0 TILEPROP NULL_X7Y41 NUM_SITES 0 TILEPROP NULL_X7Y41 ROW 115 TILEPROP NULL_X7Y41 SLR_REGION_ID 0 TILEPROP NULL_X7Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y41 TILE_TYPE NULL TILEPROP NULL_X7Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y41 TILE_X -91918 TILEPROP NULL_X7Y41 TILE_Y -115448 TILEPROP NULL_X7Y41 TYPE NULL TILEPROP NULL_X7Y42 CLASS tile TILEPROP NULL_X7Y42 COLUMN 7 TILEPROP NULL_X7Y42 DEVICE_ID 0 TILEPROP NULL_X7Y42 FIRST_SITE_ID 11385 TILEPROP NULL_X7Y42 GRID_POINT_X 7 TILEPROP NULL_X7Y42 GRID_POINT_Y 114 TILEPROP NULL_X7Y42 INDEX 13117 TILEPROP NULL_X7Y42 INT_TILE_X 1 TILEPROP NULL_X7Y42 INT_TILE_Y 109 TILEPROP NULL_X7Y42 IS_CENTER_TILE 0 TILEPROP NULL_X7Y42 IS_DCM_TILE 0 TILEPROP NULL_X7Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y42 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y42 NAME NULL_X7Y42 TILEPROP NULL_X7Y42 NUM_ARCS 0 TILEPROP NULL_X7Y42 NUM_SITES 0 TILEPROP NULL_X7Y42 ROW 114 TILEPROP NULL_X7Y42 SLR_REGION_ID 0 TILEPROP NULL_X7Y42 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y42 TILE_TYPE NULL TILEPROP NULL_X7Y42 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y42 TILE_X -91918 TILEPROP NULL_X7Y42 TILE_Y -112248 TILEPROP NULL_X7Y42 TYPE NULL TILEPROP NULL_X7Y43 CLASS tile TILEPROP NULL_X7Y43 COLUMN 7 TILEPROP NULL_X7Y43 DEVICE_ID 0 TILEPROP NULL_X7Y43 FIRST_SITE_ID 11284 TILEPROP NULL_X7Y43 GRID_POINT_X 7 TILEPROP NULL_X7Y43 GRID_POINT_Y 113 TILEPROP NULL_X7Y43 INDEX 13002 TILEPROP NULL_X7Y43 INT_TILE_X 1 TILEPROP NULL_X7Y43 INT_TILE_Y 108 TILEPROP NULL_X7Y43 IS_CENTER_TILE 0 TILEPROP NULL_X7Y43 IS_DCM_TILE 0 TILEPROP NULL_X7Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y43 NAME NULL_X7Y43 TILEPROP NULL_X7Y43 NUM_ARCS 0 TILEPROP NULL_X7Y43 NUM_SITES 0 TILEPROP NULL_X7Y43 ROW 113 TILEPROP NULL_X7Y43 SLR_REGION_ID 0 TILEPROP NULL_X7Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y43 TILE_TYPE NULL TILEPROP NULL_X7Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y43 TILE_X -91918 TILEPROP NULL_X7Y43 TILE_Y -109048 TILEPROP NULL_X7Y43 TYPE NULL TILEPROP NULL_X7Y44 CLASS tile TILEPROP NULL_X7Y44 COLUMN 7 TILEPROP NULL_X7Y44 DEVICE_ID 0 TILEPROP NULL_X7Y44 FIRST_SITE_ID 11182 TILEPROP NULL_X7Y44 GRID_POINT_X 7 TILEPROP NULL_X7Y44 GRID_POINT_Y 112 TILEPROP NULL_X7Y44 INDEX 12887 TILEPROP NULL_X7Y44 INT_TILE_X 1 TILEPROP NULL_X7Y44 INT_TILE_Y 107 TILEPROP NULL_X7Y44 IS_CENTER_TILE 0 TILEPROP NULL_X7Y44 IS_DCM_TILE 0 TILEPROP NULL_X7Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y44 NAME NULL_X7Y44 TILEPROP NULL_X7Y44 NUM_ARCS 0 TILEPROP NULL_X7Y44 NUM_SITES 0 TILEPROP NULL_X7Y44 ROW 112 TILEPROP NULL_X7Y44 SLR_REGION_ID 0 TILEPROP NULL_X7Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y44 TILE_TYPE NULL TILEPROP NULL_X7Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y44 TILE_X -91918 TILEPROP NULL_X7Y44 TILE_Y -105848 TILEPROP NULL_X7Y44 TYPE NULL TILEPROP NULL_X7Y46 CLASS tile TILEPROP NULL_X7Y46 COLUMN 7 TILEPROP NULL_X7Y46 DEVICE_ID 0 TILEPROP NULL_X7Y46 FIRST_SITE_ID 10978 TILEPROP NULL_X7Y46 GRID_POINT_X 7 TILEPROP NULL_X7Y46 GRID_POINT_Y 110 TILEPROP NULL_X7Y46 INDEX 12657 TILEPROP NULL_X7Y46 INT_TILE_X 1 TILEPROP NULL_X7Y46 INT_TILE_Y 105 TILEPROP NULL_X7Y46 IS_CENTER_TILE 0 TILEPROP NULL_X7Y46 IS_DCM_TILE 0 TILEPROP NULL_X7Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y46 NAME NULL_X7Y46 TILEPROP NULL_X7Y46 NUM_ARCS 0 TILEPROP NULL_X7Y46 NUM_SITES 0 TILEPROP NULL_X7Y46 ROW 110 TILEPROP NULL_X7Y46 SLR_REGION_ID 0 TILEPROP NULL_X7Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y46 TILE_TYPE NULL TILEPROP NULL_X7Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y46 TILE_X -91918 TILEPROP NULL_X7Y46 TILE_Y -99448 TILEPROP NULL_X7Y46 TYPE NULL TILEPROP NULL_X7Y47 CLASS tile TILEPROP NULL_X7Y47 COLUMN 7 TILEPROP NULL_X7Y47 DEVICE_ID 0 TILEPROP NULL_X7Y47 FIRST_SITE_ID 10862 TILEPROP NULL_X7Y47 GRID_POINT_X 7 TILEPROP NULL_X7Y47 GRID_POINT_Y 109 TILEPROP NULL_X7Y47 INDEX 12542 TILEPROP NULL_X7Y47 INT_TILE_X 1 TILEPROP NULL_X7Y47 INT_TILE_Y 104 TILEPROP NULL_X7Y47 IS_CENTER_TILE 0 TILEPROP NULL_X7Y47 IS_DCM_TILE 0 TILEPROP NULL_X7Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y47 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y47 NAME NULL_X7Y47 TILEPROP NULL_X7Y47 NUM_ARCS 0 TILEPROP NULL_X7Y47 NUM_SITES 0 TILEPROP NULL_X7Y47 ROW 109 TILEPROP NULL_X7Y47 SLR_REGION_ID 0 TILEPROP NULL_X7Y47 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y47 TILE_TYPE NULL TILEPROP NULL_X7Y47 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y47 TILE_X -91918 TILEPROP NULL_X7Y47 TILE_Y -96248 TILEPROP NULL_X7Y47 TYPE NULL TILEPROP NULL_X7Y48 CLASS tile TILEPROP NULL_X7Y48 COLUMN 7 TILEPROP NULL_X7Y48 DEVICE_ID 0 TILEPROP NULL_X7Y48 FIRST_SITE_ID 10746 TILEPROP NULL_X7Y48 GRID_POINT_X 7 TILEPROP NULL_X7Y48 GRID_POINT_Y 108 TILEPROP NULL_X7Y48 INDEX 12427 TILEPROP NULL_X7Y48 INT_TILE_X 1 TILEPROP NULL_X7Y48 INT_TILE_Y 103 TILEPROP NULL_X7Y48 IS_CENTER_TILE 0 TILEPROP NULL_X7Y48 IS_DCM_TILE 0 TILEPROP NULL_X7Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y48 NAME NULL_X7Y48 TILEPROP NULL_X7Y48 NUM_ARCS 0 TILEPROP NULL_X7Y48 NUM_SITES 0 TILEPROP NULL_X7Y48 ROW 108 TILEPROP NULL_X7Y48 SLR_REGION_ID 0 TILEPROP NULL_X7Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y48 TILE_TYPE NULL TILEPROP NULL_X7Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y48 TILE_X -91918 TILEPROP NULL_X7Y48 TILE_Y -93048 TILEPROP NULL_X7Y48 TYPE NULL TILEPROP NULL_X7Y49 CLASS tile TILEPROP NULL_X7Y49 COLUMN 7 TILEPROP NULL_X7Y49 DEVICE_ID 0 TILEPROP NULL_X7Y49 FIRST_SITE_ID 10646 TILEPROP NULL_X7Y49 GRID_POINT_X 7 TILEPROP NULL_X7Y49 GRID_POINT_Y 107 TILEPROP NULL_X7Y49 INDEX 12312 TILEPROP NULL_X7Y49 INT_TILE_X 1 TILEPROP NULL_X7Y49 INT_TILE_Y 102 TILEPROP NULL_X7Y49 IS_CENTER_TILE 0 TILEPROP NULL_X7Y49 IS_DCM_TILE 0 TILEPROP NULL_X7Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y49 NAME NULL_X7Y49 TILEPROP NULL_X7Y49 NUM_ARCS 0 TILEPROP NULL_X7Y49 NUM_SITES 0 TILEPROP NULL_X7Y49 ROW 107 TILEPROP NULL_X7Y49 SLR_REGION_ID 0 TILEPROP NULL_X7Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y49 TILE_TYPE NULL TILEPROP NULL_X7Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y49 TILE_X -91918 TILEPROP NULL_X7Y49 TILE_Y -89848 TILEPROP NULL_X7Y49 TYPE NULL TILEPROP NULL_X7Y50 CLASS tile TILEPROP NULL_X7Y50 COLUMN 7 TILEPROP NULL_X7Y50 DEVICE_ID 0 TILEPROP NULL_X7Y50 FIRST_SITE_ID 10546 TILEPROP NULL_X7Y50 GRID_POINT_X 7 TILEPROP NULL_X7Y50 GRID_POINT_Y 106 TILEPROP NULL_X7Y50 INDEX 12197 TILEPROP NULL_X7Y50 INT_TILE_X 1 TILEPROP NULL_X7Y50 INT_TILE_Y 101 TILEPROP NULL_X7Y50 IS_CENTER_TILE 0 TILEPROP NULL_X7Y50 IS_DCM_TILE 0 TILEPROP NULL_X7Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y50 NAME NULL_X7Y50 TILEPROP NULL_X7Y50 NUM_ARCS 0 TILEPROP NULL_X7Y50 NUM_SITES 0 TILEPROP NULL_X7Y50 ROW 106 TILEPROP NULL_X7Y50 SLR_REGION_ID 0 TILEPROP NULL_X7Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y50 TILE_TYPE NULL TILEPROP NULL_X7Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y50 TILE_X -91918 TILEPROP NULL_X7Y50 TILE_Y -86648 TILEPROP NULL_X7Y50 TYPE NULL TILEPROP NULL_X7Y52 CLASS tile TILEPROP NULL_X7Y52 COLUMN 7 TILEPROP NULL_X7Y52 DEVICE_ID 0 TILEPROP NULL_X7Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X7Y52 GRID_POINT_X 7 TILEPROP NULL_X7Y52 GRID_POINT_Y 104 TILEPROP NULL_X7Y52 INDEX 11967 TILEPROP NULL_X7Y52 INT_TILE_X 1 TILEPROP NULL_X7Y52 INT_TILE_Y 99 TILEPROP NULL_X7Y52 IS_CENTER_TILE 0 TILEPROP NULL_X7Y52 IS_DCM_TILE 0 TILEPROP NULL_X7Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y52 NAME NULL_X7Y52 TILEPROP NULL_X7Y52 NUM_ARCS 0 TILEPROP NULL_X7Y52 NUM_SITES 0 TILEPROP NULL_X7Y52 ROW 104 TILEPROP NULL_X7Y52 SLR_REGION_ID 0 TILEPROP NULL_X7Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y52 TILE_TYPE NULL TILEPROP NULL_X7Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y52 TILE_X -91918 TILEPROP NULL_X7Y52 TILE_Y -80248 TILEPROP NULL_X7Y52 TYPE NULL TILEPROP NULL_X7Y54 CLASS tile TILEPROP NULL_X7Y54 COLUMN 7 TILEPROP NULL_X7Y54 DEVICE_ID 0 TILEPROP NULL_X7Y54 FIRST_SITE_ID 10215 TILEPROP NULL_X7Y54 GRID_POINT_X 7 TILEPROP NULL_X7Y54 GRID_POINT_Y 102 TILEPROP NULL_X7Y54 INDEX 11737 TILEPROP NULL_X7Y54 INT_TILE_X 1 TILEPROP NULL_X7Y54 INT_TILE_Y 98 TILEPROP NULL_X7Y54 IS_CENTER_TILE 0 TILEPROP NULL_X7Y54 IS_DCM_TILE 0 TILEPROP NULL_X7Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y54 NAME NULL_X7Y54 TILEPROP NULL_X7Y54 NUM_ARCS 0 TILEPROP NULL_X7Y54 NUM_SITES 0 TILEPROP NULL_X7Y54 ROW 102 TILEPROP NULL_X7Y54 SLR_REGION_ID 0 TILEPROP NULL_X7Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y54 TILE_TYPE NULL TILEPROP NULL_X7Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y54 TILE_X -91918 TILEPROP NULL_X7Y54 TILE_Y -76800 TILEPROP NULL_X7Y54 TYPE NULL TILEPROP NULL_X7Y55 CLASS tile TILEPROP NULL_X7Y55 COLUMN 7 TILEPROP NULL_X7Y55 DEVICE_ID 0 TILEPROP NULL_X7Y55 FIRST_SITE_ID 10115 TILEPROP NULL_X7Y55 GRID_POINT_X 7 TILEPROP NULL_X7Y55 GRID_POINT_Y 101 TILEPROP NULL_X7Y55 INDEX 11622 TILEPROP NULL_X7Y55 INT_TILE_X 1 TILEPROP NULL_X7Y55 INT_TILE_Y 97 TILEPROP NULL_X7Y55 IS_CENTER_TILE 0 TILEPROP NULL_X7Y55 IS_DCM_TILE 0 TILEPROP NULL_X7Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y55 NAME NULL_X7Y55 TILEPROP NULL_X7Y55 NUM_ARCS 0 TILEPROP NULL_X7Y55 NUM_SITES 0 TILEPROP NULL_X7Y55 ROW 101 TILEPROP NULL_X7Y55 SLR_REGION_ID 0 TILEPROP NULL_X7Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y55 TILE_TYPE NULL TILEPROP NULL_X7Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y55 TILE_X -91918 TILEPROP NULL_X7Y55 TILE_Y -73600 TILEPROP NULL_X7Y55 TYPE NULL TILEPROP NULL_X7Y56 CLASS tile TILEPROP NULL_X7Y56 COLUMN 7 TILEPROP NULL_X7Y56 DEVICE_ID 0 TILEPROP NULL_X7Y56 FIRST_SITE_ID 10015 TILEPROP NULL_X7Y56 GRID_POINT_X 7 TILEPROP NULL_X7Y56 GRID_POINT_Y 100 TILEPROP NULL_X7Y56 INDEX 11507 TILEPROP NULL_X7Y56 INT_TILE_X 1 TILEPROP NULL_X7Y56 INT_TILE_Y 96 TILEPROP NULL_X7Y56 IS_CENTER_TILE 0 TILEPROP NULL_X7Y56 IS_DCM_TILE 0 TILEPROP NULL_X7Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y56 NAME NULL_X7Y56 TILEPROP NULL_X7Y56 NUM_ARCS 0 TILEPROP NULL_X7Y56 NUM_SITES 0 TILEPROP NULL_X7Y56 ROW 100 TILEPROP NULL_X7Y56 SLR_REGION_ID 0 TILEPROP NULL_X7Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y56 TILE_TYPE NULL TILEPROP NULL_X7Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y56 TILE_X -91918 TILEPROP NULL_X7Y56 TILE_Y -70400 TILEPROP NULL_X7Y56 TYPE NULL TILEPROP NULL_X7Y57 CLASS tile TILEPROP NULL_X7Y57 COLUMN 7 TILEPROP NULL_X7Y57 DEVICE_ID 0 TILEPROP NULL_X7Y57 FIRST_SITE_ID 9915 TILEPROP NULL_X7Y57 GRID_POINT_X 7 TILEPROP NULL_X7Y57 GRID_POINT_Y 99 TILEPROP NULL_X7Y57 INDEX 11392 TILEPROP NULL_X7Y57 INT_TILE_X 1 TILEPROP NULL_X7Y57 INT_TILE_Y 95 TILEPROP NULL_X7Y57 IS_CENTER_TILE 0 TILEPROP NULL_X7Y57 IS_DCM_TILE 0 TILEPROP NULL_X7Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y57 NAME NULL_X7Y57 TILEPROP NULL_X7Y57 NUM_ARCS 0 TILEPROP NULL_X7Y57 NUM_SITES 0 TILEPROP NULL_X7Y57 ROW 99 TILEPROP NULL_X7Y57 SLR_REGION_ID 0 TILEPROP NULL_X7Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y57 TILE_TYPE NULL TILEPROP NULL_X7Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y57 TILE_X -91918 TILEPROP NULL_X7Y57 TILE_Y -67200 TILEPROP NULL_X7Y57 TYPE NULL TILEPROP NULL_X7Y58 CLASS tile TILEPROP NULL_X7Y58 COLUMN 7 TILEPROP NULL_X7Y58 DEVICE_ID 0 TILEPROP NULL_X7Y58 FIRST_SITE_ID 9800 TILEPROP NULL_X7Y58 GRID_POINT_X 7 TILEPROP NULL_X7Y58 GRID_POINT_Y 98 TILEPROP NULL_X7Y58 INDEX 11277 TILEPROP NULL_X7Y58 INT_TILE_X 1 TILEPROP NULL_X7Y58 INT_TILE_Y 94 TILEPROP NULL_X7Y58 IS_CENTER_TILE 0 TILEPROP NULL_X7Y58 IS_DCM_TILE 0 TILEPROP NULL_X7Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y58 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y58 NAME NULL_X7Y58 TILEPROP NULL_X7Y58 NUM_ARCS 0 TILEPROP NULL_X7Y58 NUM_SITES 0 TILEPROP NULL_X7Y58 ROW 98 TILEPROP NULL_X7Y58 SLR_REGION_ID 0 TILEPROP NULL_X7Y58 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y58 TILE_TYPE NULL TILEPROP NULL_X7Y58 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y58 TILE_X -91918 TILEPROP NULL_X7Y58 TILE_Y -64000 TILEPROP NULL_X7Y58 TYPE NULL TILEPROP NULL_X7Y59 CLASS tile TILEPROP NULL_X7Y59 COLUMN 7 TILEPROP NULL_X7Y59 DEVICE_ID 0 TILEPROP NULL_X7Y59 FIRST_SITE_ID 9700 TILEPROP NULL_X7Y59 GRID_POINT_X 7 TILEPROP NULL_X7Y59 GRID_POINT_Y 97 TILEPROP NULL_X7Y59 INDEX 11162 TILEPROP NULL_X7Y59 INT_TILE_X 1 TILEPROP NULL_X7Y59 INT_TILE_Y 93 TILEPROP NULL_X7Y59 IS_CENTER_TILE 0 TILEPROP NULL_X7Y59 IS_DCM_TILE 0 TILEPROP NULL_X7Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y59 NAME NULL_X7Y59 TILEPROP NULL_X7Y59 NUM_ARCS 0 TILEPROP NULL_X7Y59 NUM_SITES 0 TILEPROP NULL_X7Y59 ROW 97 TILEPROP NULL_X7Y59 SLR_REGION_ID 0 TILEPROP NULL_X7Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y59 TILE_TYPE NULL TILEPROP NULL_X7Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y59 TILE_X -91918 TILEPROP NULL_X7Y59 TILE_Y -60800 TILEPROP NULL_X7Y59 TYPE NULL TILEPROP NULL_X7Y61 CLASS tile TILEPROP NULL_X7Y61 COLUMN 7 TILEPROP NULL_X7Y61 DEVICE_ID 0 TILEPROP NULL_X7Y61 FIRST_SITE_ID 9494 TILEPROP NULL_X7Y61 GRID_POINT_X 7 TILEPROP NULL_X7Y61 GRID_POINT_Y 95 TILEPROP NULL_X7Y61 INDEX 10932 TILEPROP NULL_X7Y61 INT_TILE_X 1 TILEPROP NULL_X7Y61 INT_TILE_Y 91 TILEPROP NULL_X7Y61 IS_CENTER_TILE 0 TILEPROP NULL_X7Y61 IS_DCM_TILE 0 TILEPROP NULL_X7Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y61 NAME NULL_X7Y61 TILEPROP NULL_X7Y61 NUM_ARCS 0 TILEPROP NULL_X7Y61 NUM_SITES 0 TILEPROP NULL_X7Y61 ROW 95 TILEPROP NULL_X7Y61 SLR_REGION_ID 0 TILEPROP NULL_X7Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y61 TILE_TYPE NULL TILEPROP NULL_X7Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y61 TILE_X -91918 TILEPROP NULL_X7Y61 TILE_Y -54400 TILEPROP NULL_X7Y61 TYPE NULL TILEPROP NULL_X7Y62 CLASS tile TILEPROP NULL_X7Y62 COLUMN 7 TILEPROP NULL_X7Y62 DEVICE_ID 0 TILEPROP NULL_X7Y62 FIRST_SITE_ID 9394 TILEPROP NULL_X7Y62 GRID_POINT_X 7 TILEPROP NULL_X7Y62 GRID_POINT_Y 94 TILEPROP NULL_X7Y62 INDEX 10817 TILEPROP NULL_X7Y62 INT_TILE_X 1 TILEPROP NULL_X7Y62 INT_TILE_Y 90 TILEPROP NULL_X7Y62 IS_CENTER_TILE 0 TILEPROP NULL_X7Y62 IS_DCM_TILE 0 TILEPROP NULL_X7Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y62 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y62 NAME NULL_X7Y62 TILEPROP NULL_X7Y62 NUM_ARCS 0 TILEPROP NULL_X7Y62 NUM_SITES 0 TILEPROP NULL_X7Y62 ROW 94 TILEPROP NULL_X7Y62 SLR_REGION_ID 0 TILEPROP NULL_X7Y62 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y62 TILE_TYPE NULL TILEPROP NULL_X7Y62 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y62 TILE_X -91918 TILEPROP NULL_X7Y62 TILE_Y -51200 TILEPROP NULL_X7Y62 TYPE NULL TILEPROP NULL_X7Y63 CLASS tile TILEPROP NULL_X7Y63 COLUMN 7 TILEPROP NULL_X7Y63 DEVICE_ID 0 TILEPROP NULL_X7Y63 FIRST_SITE_ID 9279 TILEPROP NULL_X7Y63 GRID_POINT_X 7 TILEPROP NULL_X7Y63 GRID_POINT_Y 93 TILEPROP NULL_X7Y63 INDEX 10702 TILEPROP NULL_X7Y63 INT_TILE_X 1 TILEPROP NULL_X7Y63 INT_TILE_Y 89 TILEPROP NULL_X7Y63 IS_CENTER_TILE 0 TILEPROP NULL_X7Y63 IS_DCM_TILE 0 TILEPROP NULL_X7Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y63 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y63 NAME NULL_X7Y63 TILEPROP NULL_X7Y63 NUM_ARCS 0 TILEPROP NULL_X7Y63 NUM_SITES 0 TILEPROP NULL_X7Y63 ROW 93 TILEPROP NULL_X7Y63 SLR_REGION_ID 0 TILEPROP NULL_X7Y63 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y63 TILE_TYPE NULL TILEPROP NULL_X7Y63 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y63 TILE_X -91918 TILEPROP NULL_X7Y63 TILE_Y -48000 TILEPROP NULL_X7Y63 TYPE NULL TILEPROP NULL_X7Y64 CLASS tile TILEPROP NULL_X7Y64 COLUMN 7 TILEPROP NULL_X7Y64 DEVICE_ID 0 TILEPROP NULL_X7Y64 FIRST_SITE_ID 9179 TILEPROP NULL_X7Y64 GRID_POINT_X 7 TILEPROP NULL_X7Y64 GRID_POINT_Y 92 TILEPROP NULL_X7Y64 INDEX 10587 TILEPROP NULL_X7Y64 INT_TILE_X 1 TILEPROP NULL_X7Y64 INT_TILE_Y 88 TILEPROP NULL_X7Y64 IS_CENTER_TILE 0 TILEPROP NULL_X7Y64 IS_DCM_TILE 0 TILEPROP NULL_X7Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y64 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y64 NAME NULL_X7Y64 TILEPROP NULL_X7Y64 NUM_ARCS 0 TILEPROP NULL_X7Y64 NUM_SITES 0 TILEPROP NULL_X7Y64 ROW 92 TILEPROP NULL_X7Y64 SLR_REGION_ID 0 TILEPROP NULL_X7Y64 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y64 TILE_TYPE NULL TILEPROP NULL_X7Y64 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y64 TILE_X -91918 TILEPROP NULL_X7Y64 TILE_Y -44800 TILEPROP NULL_X7Y64 TYPE NULL TILEPROP NULL_X7Y65 CLASS tile TILEPROP NULL_X7Y65 COLUMN 7 TILEPROP NULL_X7Y65 DEVICE_ID 0 TILEPROP NULL_X7Y65 FIRST_SITE_ID 9047 TILEPROP NULL_X7Y65 GRID_POINT_X 7 TILEPROP NULL_X7Y65 GRID_POINT_Y 91 TILEPROP NULL_X7Y65 INDEX 10472 TILEPROP NULL_X7Y65 INT_TILE_X 1 TILEPROP NULL_X7Y65 INT_TILE_Y 87 TILEPROP NULL_X7Y65 IS_CENTER_TILE 0 TILEPROP NULL_X7Y65 IS_DCM_TILE 0 TILEPROP NULL_X7Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y65 NAME NULL_X7Y65 TILEPROP NULL_X7Y65 NUM_ARCS 0 TILEPROP NULL_X7Y65 NUM_SITES 0 TILEPROP NULL_X7Y65 ROW 91 TILEPROP NULL_X7Y65 SLR_REGION_ID 0 TILEPROP NULL_X7Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y65 TILE_TYPE NULL TILEPROP NULL_X7Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y65 TILE_X -91918 TILEPROP NULL_X7Y65 TILE_Y -41600 TILEPROP NULL_X7Y65 TYPE NULL TILEPROP NULL_X7Y66 CLASS tile TILEPROP NULL_X7Y66 COLUMN 7 TILEPROP NULL_X7Y66 DEVICE_ID 0 TILEPROP NULL_X7Y66 FIRST_SITE_ID 8947 TILEPROP NULL_X7Y66 GRID_POINT_X 7 TILEPROP NULL_X7Y66 GRID_POINT_Y 90 TILEPROP NULL_X7Y66 INDEX 10357 TILEPROP NULL_X7Y66 INT_TILE_X 1 TILEPROP NULL_X7Y66 INT_TILE_Y 86 TILEPROP NULL_X7Y66 IS_CENTER_TILE 0 TILEPROP NULL_X7Y66 IS_DCM_TILE 0 TILEPROP NULL_X7Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y66 NAME NULL_X7Y66 TILEPROP NULL_X7Y66 NUM_ARCS 0 TILEPROP NULL_X7Y66 NUM_SITES 0 TILEPROP NULL_X7Y66 ROW 90 TILEPROP NULL_X7Y66 SLR_REGION_ID 0 TILEPROP NULL_X7Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y66 TILE_TYPE NULL TILEPROP NULL_X7Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y66 TILE_X -91918 TILEPROP NULL_X7Y66 TILE_Y -38400 TILEPROP NULL_X7Y66 TYPE NULL TILEPROP NULL_X7Y67 CLASS tile TILEPROP NULL_X7Y67 COLUMN 7 TILEPROP NULL_X7Y67 DEVICE_ID 0 TILEPROP NULL_X7Y67 FIRST_SITE_ID 8847 TILEPROP NULL_X7Y67 GRID_POINT_X 7 TILEPROP NULL_X7Y67 GRID_POINT_Y 89 TILEPROP NULL_X7Y67 INDEX 10242 TILEPROP NULL_X7Y67 INT_TILE_X 1 TILEPROP NULL_X7Y67 INT_TILE_Y 85 TILEPROP NULL_X7Y67 IS_CENTER_TILE 0 TILEPROP NULL_X7Y67 IS_DCM_TILE 0 TILEPROP NULL_X7Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y67 NAME NULL_X7Y67 TILEPROP NULL_X7Y67 NUM_ARCS 0 TILEPROP NULL_X7Y67 NUM_SITES 0 TILEPROP NULL_X7Y67 ROW 89 TILEPROP NULL_X7Y67 SLR_REGION_ID 0 TILEPROP NULL_X7Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y67 TILE_TYPE NULL TILEPROP NULL_X7Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y67 TILE_X -91918 TILEPROP NULL_X7Y67 TILE_Y -35200 TILEPROP NULL_X7Y67 TYPE NULL TILEPROP NULL_X7Y68 CLASS tile TILEPROP NULL_X7Y68 COLUMN 7 TILEPROP NULL_X7Y68 DEVICE_ID 0 TILEPROP NULL_X7Y68 FIRST_SITE_ID 8732 TILEPROP NULL_X7Y68 GRID_POINT_X 7 TILEPROP NULL_X7Y68 GRID_POINT_Y 88 TILEPROP NULL_X7Y68 INDEX 10127 TILEPROP NULL_X7Y68 INT_TILE_X 1 TILEPROP NULL_X7Y68 INT_TILE_Y 84 TILEPROP NULL_X7Y68 IS_CENTER_TILE 0 TILEPROP NULL_X7Y68 IS_DCM_TILE 0 TILEPROP NULL_X7Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y68 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y68 NAME NULL_X7Y68 TILEPROP NULL_X7Y68 NUM_ARCS 0 TILEPROP NULL_X7Y68 NUM_SITES 0 TILEPROP NULL_X7Y68 ROW 88 TILEPROP NULL_X7Y68 SLR_REGION_ID 0 TILEPROP NULL_X7Y68 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y68 TILE_TYPE NULL TILEPROP NULL_X7Y68 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y68 TILE_X -91918 TILEPROP NULL_X7Y68 TILE_Y -32000 TILEPROP NULL_X7Y68 TYPE NULL TILEPROP NULL_X7Y69 CLASS tile TILEPROP NULL_X7Y69 COLUMN 7 TILEPROP NULL_X7Y69 DEVICE_ID 0 TILEPROP NULL_X7Y69 FIRST_SITE_ID 8632 TILEPROP NULL_X7Y69 GRID_POINT_X 7 TILEPROP NULL_X7Y69 GRID_POINT_Y 87 TILEPROP NULL_X7Y69 INDEX 10012 TILEPROP NULL_X7Y69 INT_TILE_X 1 TILEPROP NULL_X7Y69 INT_TILE_Y 83 TILEPROP NULL_X7Y69 IS_CENTER_TILE 0 TILEPROP NULL_X7Y69 IS_DCM_TILE 0 TILEPROP NULL_X7Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y69 NAME NULL_X7Y69 TILEPROP NULL_X7Y69 NUM_ARCS 0 TILEPROP NULL_X7Y69 NUM_SITES 0 TILEPROP NULL_X7Y69 ROW 87 TILEPROP NULL_X7Y69 SLR_REGION_ID 0 TILEPROP NULL_X7Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y69 TILE_TYPE NULL TILEPROP NULL_X7Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y69 TILE_X -91918 TILEPROP NULL_X7Y69 TILE_Y -28800 TILEPROP NULL_X7Y69 TYPE NULL TILEPROP NULL_X7Y70 CLASS tile TILEPROP NULL_X7Y70 COLUMN 7 TILEPROP NULL_X7Y70 DEVICE_ID 0 TILEPROP NULL_X7Y70 FIRST_SITE_ID 8524 TILEPROP NULL_X7Y70 GRID_POINT_X 7 TILEPROP NULL_X7Y70 GRID_POINT_Y 86 TILEPROP NULL_X7Y70 INDEX 9897 TILEPROP NULL_X7Y70 INT_TILE_X 1 TILEPROP NULL_X7Y70 INT_TILE_Y 82 TILEPROP NULL_X7Y70 IS_CENTER_TILE 0 TILEPROP NULL_X7Y70 IS_DCM_TILE 0 TILEPROP NULL_X7Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y70 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y70 NAME NULL_X7Y70 TILEPROP NULL_X7Y70 NUM_ARCS 0 TILEPROP NULL_X7Y70 NUM_SITES 0 TILEPROP NULL_X7Y70 ROW 86 TILEPROP NULL_X7Y70 SLR_REGION_ID 0 TILEPROP NULL_X7Y70 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y70 TILE_TYPE NULL TILEPROP NULL_X7Y70 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y70 TILE_X -91918 TILEPROP NULL_X7Y70 TILE_Y -25600 TILEPROP NULL_X7Y70 TYPE NULL TILEPROP NULL_X7Y71 CLASS tile TILEPROP NULL_X7Y71 COLUMN 7 TILEPROP NULL_X7Y71 DEVICE_ID 0 TILEPROP NULL_X7Y71 FIRST_SITE_ID 8424 TILEPROP NULL_X7Y71 GRID_POINT_X 7 TILEPROP NULL_X7Y71 GRID_POINT_Y 85 TILEPROP NULL_X7Y71 INDEX 9782 TILEPROP NULL_X7Y71 INT_TILE_X 1 TILEPROP NULL_X7Y71 INT_TILE_Y 81 TILEPROP NULL_X7Y71 IS_CENTER_TILE 0 TILEPROP NULL_X7Y71 IS_DCM_TILE 0 TILEPROP NULL_X7Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y71 NAME NULL_X7Y71 TILEPROP NULL_X7Y71 NUM_ARCS 0 TILEPROP NULL_X7Y71 NUM_SITES 0 TILEPROP NULL_X7Y71 ROW 85 TILEPROP NULL_X7Y71 SLR_REGION_ID 0 TILEPROP NULL_X7Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y71 TILE_TYPE NULL TILEPROP NULL_X7Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y71 TILE_X -91918 TILEPROP NULL_X7Y71 TILE_Y -22400 TILEPROP NULL_X7Y71 TYPE NULL TILEPROP NULL_X7Y73 CLASS tile TILEPROP NULL_X7Y73 COLUMN 7 TILEPROP NULL_X7Y73 DEVICE_ID 0 TILEPROP NULL_X7Y73 FIRST_SITE_ID 8205 TILEPROP NULL_X7Y73 GRID_POINT_X 7 TILEPROP NULL_X7Y73 GRID_POINT_Y 83 TILEPROP NULL_X7Y73 INDEX 9552 TILEPROP NULL_X7Y73 INT_TILE_X 1 TILEPROP NULL_X7Y73 INT_TILE_Y 79 TILEPROP NULL_X7Y73 IS_CENTER_TILE 0 TILEPROP NULL_X7Y73 IS_DCM_TILE 0 TILEPROP NULL_X7Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y73 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y73 NAME NULL_X7Y73 TILEPROP NULL_X7Y73 NUM_ARCS 0 TILEPROP NULL_X7Y73 NUM_SITES 0 TILEPROP NULL_X7Y73 ROW 83 TILEPROP NULL_X7Y73 SLR_REGION_ID 0 TILEPROP NULL_X7Y73 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y73 TILE_TYPE NULL TILEPROP NULL_X7Y73 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y73 TILE_X -91918 TILEPROP NULL_X7Y73 TILE_Y -16000 TILEPROP NULL_X7Y73 TYPE NULL TILEPROP NULL_X7Y74 CLASS tile TILEPROP NULL_X7Y74 COLUMN 7 TILEPROP NULL_X7Y74 DEVICE_ID 0 TILEPROP NULL_X7Y74 FIRST_SITE_ID 8105 TILEPROP NULL_X7Y74 GRID_POINT_X 7 TILEPROP NULL_X7Y74 GRID_POINT_Y 82 TILEPROP NULL_X7Y74 INDEX 9437 TILEPROP NULL_X7Y74 INT_TILE_X 1 TILEPROP NULL_X7Y74 INT_TILE_Y 78 TILEPROP NULL_X7Y74 IS_CENTER_TILE 0 TILEPROP NULL_X7Y74 IS_DCM_TILE 0 TILEPROP NULL_X7Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y74 NAME NULL_X7Y74 TILEPROP NULL_X7Y74 NUM_ARCS 0 TILEPROP NULL_X7Y74 NUM_SITES 0 TILEPROP NULL_X7Y74 ROW 82 TILEPROP NULL_X7Y74 SLR_REGION_ID 0 TILEPROP NULL_X7Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y74 TILE_TYPE NULL TILEPROP NULL_X7Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y74 TILE_X -91918 TILEPROP NULL_X7Y74 TILE_Y -12800 TILEPROP NULL_X7Y74 TYPE NULL TILEPROP NULL_X7Y75 CLASS tile TILEPROP NULL_X7Y75 COLUMN 7 TILEPROP NULL_X7Y75 DEVICE_ID 0 TILEPROP NULL_X7Y75 FIRST_SITE_ID 8005 TILEPROP NULL_X7Y75 GRID_POINT_X 7 TILEPROP NULL_X7Y75 GRID_POINT_Y 81 TILEPROP NULL_X7Y75 INDEX 9322 TILEPROP NULL_X7Y75 INT_TILE_X 1 TILEPROP NULL_X7Y75 INT_TILE_Y 77 TILEPROP NULL_X7Y75 IS_CENTER_TILE 0 TILEPROP NULL_X7Y75 IS_DCM_TILE 0 TILEPROP NULL_X7Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y75 NAME NULL_X7Y75 TILEPROP NULL_X7Y75 NUM_ARCS 0 TILEPROP NULL_X7Y75 NUM_SITES 0 TILEPROP NULL_X7Y75 ROW 81 TILEPROP NULL_X7Y75 SLR_REGION_ID 0 TILEPROP NULL_X7Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y75 TILE_TYPE NULL TILEPROP NULL_X7Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y75 TILE_X -91918 TILEPROP NULL_X7Y75 TILE_Y -9600 TILEPROP NULL_X7Y75 TYPE NULL TILEPROP NULL_X7Y76 CLASS tile TILEPROP NULL_X7Y76 COLUMN 7 TILEPROP NULL_X7Y76 DEVICE_ID 0 TILEPROP NULL_X7Y76 FIRST_SITE_ID 7905 TILEPROP NULL_X7Y76 GRID_POINT_X 7 TILEPROP NULL_X7Y76 GRID_POINT_Y 80 TILEPROP NULL_X7Y76 INDEX 9207 TILEPROP NULL_X7Y76 INT_TILE_X 1 TILEPROP NULL_X7Y76 INT_TILE_Y 76 TILEPROP NULL_X7Y76 IS_CENTER_TILE 0 TILEPROP NULL_X7Y76 IS_DCM_TILE 0 TILEPROP NULL_X7Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y76 NAME NULL_X7Y76 TILEPROP NULL_X7Y76 NUM_ARCS 0 TILEPROP NULL_X7Y76 NUM_SITES 0 TILEPROP NULL_X7Y76 ROW 80 TILEPROP NULL_X7Y76 SLR_REGION_ID 0 TILEPROP NULL_X7Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y76 TILE_TYPE NULL TILEPROP NULL_X7Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y76 TILE_X -91918 TILEPROP NULL_X7Y76 TILE_Y -6400 TILEPROP NULL_X7Y76 TYPE NULL TILEPROP NULL_X7Y77 CLASS tile TILEPROP NULL_X7Y77 COLUMN 7 TILEPROP NULL_X7Y77 DEVICE_ID 0 TILEPROP NULL_X7Y77 FIRST_SITE_ID 7805 TILEPROP NULL_X7Y77 GRID_POINT_X 7 TILEPROP NULL_X7Y77 GRID_POINT_Y 79 TILEPROP NULL_X7Y77 INDEX 9092 TILEPROP NULL_X7Y77 INT_TILE_X 1 TILEPROP NULL_X7Y77 INT_TILE_Y 75 TILEPROP NULL_X7Y77 IS_CENTER_TILE 0 TILEPROP NULL_X7Y77 IS_DCM_TILE 0 TILEPROP NULL_X7Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y77 NAME NULL_X7Y77 TILEPROP NULL_X7Y77 NUM_ARCS 0 TILEPROP NULL_X7Y77 NUM_SITES 0 TILEPROP NULL_X7Y77 ROW 79 TILEPROP NULL_X7Y77 SLR_REGION_ID 0 TILEPROP NULL_X7Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y77 TILE_TYPE NULL TILEPROP NULL_X7Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y77 TILE_X -91918 TILEPROP NULL_X7Y77 TILE_Y -3200 TILEPROP NULL_X7Y77 TYPE NULL TILEPROP NULL_X7Y79 CLASS tile TILEPROP NULL_X7Y79 COLUMN 7 TILEPROP NULL_X7Y79 DEVICE_ID 0 TILEPROP NULL_X7Y79 FIRST_SITE_ID 7604 TILEPROP NULL_X7Y79 GRID_POINT_X 7 TILEPROP NULL_X7Y79 GRID_POINT_Y 77 TILEPROP NULL_X7Y79 INDEX 8862 TILEPROP NULL_X7Y79 INT_TILE_X 1 TILEPROP NULL_X7Y79 INT_TILE_Y 74 TILEPROP NULL_X7Y79 IS_CENTER_TILE 0 TILEPROP NULL_X7Y79 IS_DCM_TILE 0 TILEPROP NULL_X7Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y79 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y79 NAME NULL_X7Y79 TILEPROP NULL_X7Y79 NUM_ARCS 0 TILEPROP NULL_X7Y79 NUM_SITES 0 TILEPROP NULL_X7Y79 ROW 77 TILEPROP NULL_X7Y79 SLR_REGION_ID 0 TILEPROP NULL_X7Y79 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y79 TILE_TYPE NULL TILEPROP NULL_X7Y79 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y79 TILE_X -91918 TILEPROP NULL_X7Y79 TILE_Y 1024 TILEPROP NULL_X7Y79 TYPE NULL TILEPROP NULL_X7Y80 CLASS tile TILEPROP NULL_X7Y80 COLUMN 7 TILEPROP NULL_X7Y80 DEVICE_ID 0 TILEPROP NULL_X7Y80 FIRST_SITE_ID 7504 TILEPROP NULL_X7Y80 GRID_POINT_X 7 TILEPROP NULL_X7Y80 GRID_POINT_Y 76 TILEPROP NULL_X7Y80 INDEX 8747 TILEPROP NULL_X7Y80 INT_TILE_X 1 TILEPROP NULL_X7Y80 INT_TILE_Y 73 TILEPROP NULL_X7Y80 IS_CENTER_TILE 0 TILEPROP NULL_X7Y80 IS_DCM_TILE 0 TILEPROP NULL_X7Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y80 NAME NULL_X7Y80 TILEPROP NULL_X7Y80 NUM_ARCS 0 TILEPROP NULL_X7Y80 NUM_SITES 0 TILEPROP NULL_X7Y80 ROW 76 TILEPROP NULL_X7Y80 SLR_REGION_ID 0 TILEPROP NULL_X7Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y80 TILE_TYPE NULL TILEPROP NULL_X7Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y80 TILE_X -91918 TILEPROP NULL_X7Y80 TILE_Y 4224 TILEPROP NULL_X7Y80 TYPE NULL TILEPROP NULL_X7Y81 CLASS tile TILEPROP NULL_X7Y81 COLUMN 7 TILEPROP NULL_X7Y81 DEVICE_ID 0 TILEPROP NULL_X7Y81 FIRST_SITE_ID 7404 TILEPROP NULL_X7Y81 GRID_POINT_X 7 TILEPROP NULL_X7Y81 GRID_POINT_Y 75 TILEPROP NULL_X7Y81 INDEX 8632 TILEPROP NULL_X7Y81 INT_TILE_X 1 TILEPROP NULL_X7Y81 INT_TILE_Y 72 TILEPROP NULL_X7Y81 IS_CENTER_TILE 0 TILEPROP NULL_X7Y81 IS_DCM_TILE 0 TILEPROP NULL_X7Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y81 NAME NULL_X7Y81 TILEPROP NULL_X7Y81 NUM_ARCS 0 TILEPROP NULL_X7Y81 NUM_SITES 0 TILEPROP NULL_X7Y81 ROW 75 TILEPROP NULL_X7Y81 SLR_REGION_ID 0 TILEPROP NULL_X7Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y81 TILE_TYPE NULL TILEPROP NULL_X7Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y81 TILE_X -91918 TILEPROP NULL_X7Y81 TILE_Y 7424 TILEPROP NULL_X7Y81 TYPE NULL TILEPROP NULL_X7Y82 CLASS tile TILEPROP NULL_X7Y82 COLUMN 7 TILEPROP NULL_X7Y82 DEVICE_ID 0 TILEPROP NULL_X7Y82 FIRST_SITE_ID 7304 TILEPROP NULL_X7Y82 GRID_POINT_X 7 TILEPROP NULL_X7Y82 GRID_POINT_Y 74 TILEPROP NULL_X7Y82 INDEX 8517 TILEPROP NULL_X7Y82 INT_TILE_X 1 TILEPROP NULL_X7Y82 INT_TILE_Y 71 TILEPROP NULL_X7Y82 IS_CENTER_TILE 0 TILEPROP NULL_X7Y82 IS_DCM_TILE 0 TILEPROP NULL_X7Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y82 NAME NULL_X7Y82 TILEPROP NULL_X7Y82 NUM_ARCS 0 TILEPROP NULL_X7Y82 NUM_SITES 0 TILEPROP NULL_X7Y82 ROW 74 TILEPROP NULL_X7Y82 SLR_REGION_ID 0 TILEPROP NULL_X7Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y82 TILE_TYPE NULL TILEPROP NULL_X7Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y82 TILE_X -91918 TILEPROP NULL_X7Y82 TILE_Y 10624 TILEPROP NULL_X7Y82 TYPE NULL TILEPROP NULL_X7Y83 CLASS tile TILEPROP NULL_X7Y83 COLUMN 7 TILEPROP NULL_X7Y83 DEVICE_ID 0 TILEPROP NULL_X7Y83 FIRST_SITE_ID 7192 TILEPROP NULL_X7Y83 GRID_POINT_X 7 TILEPROP NULL_X7Y83 GRID_POINT_Y 73 TILEPROP NULL_X7Y83 INDEX 8402 TILEPROP NULL_X7Y83 INT_TILE_X 1 TILEPROP NULL_X7Y83 INT_TILE_Y 70 TILEPROP NULL_X7Y83 IS_CENTER_TILE 0 TILEPROP NULL_X7Y83 IS_DCM_TILE 0 TILEPROP NULL_X7Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y83 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y83 NAME NULL_X7Y83 TILEPROP NULL_X7Y83 NUM_ARCS 0 TILEPROP NULL_X7Y83 NUM_SITES 0 TILEPROP NULL_X7Y83 ROW 73 TILEPROP NULL_X7Y83 SLR_REGION_ID 0 TILEPROP NULL_X7Y83 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y83 TILE_TYPE NULL TILEPROP NULL_X7Y83 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y83 TILE_X -91918 TILEPROP NULL_X7Y83 TILE_Y 13824 TILEPROP NULL_X7Y83 TYPE NULL TILEPROP NULL_X7Y84 CLASS tile TILEPROP NULL_X7Y84 COLUMN 7 TILEPROP NULL_X7Y84 DEVICE_ID 0 TILEPROP NULL_X7Y84 FIRST_SITE_ID 7077 TILEPROP NULL_X7Y84 GRID_POINT_X 7 TILEPROP NULL_X7Y84 GRID_POINT_Y 72 TILEPROP NULL_X7Y84 INDEX 8287 TILEPROP NULL_X7Y84 INT_TILE_X 1 TILEPROP NULL_X7Y84 INT_TILE_Y 69 TILEPROP NULL_X7Y84 IS_CENTER_TILE 0 TILEPROP NULL_X7Y84 IS_DCM_TILE 0 TILEPROP NULL_X7Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y84 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y84 NAME NULL_X7Y84 TILEPROP NULL_X7Y84 NUM_ARCS 0 TILEPROP NULL_X7Y84 NUM_SITES 0 TILEPROP NULL_X7Y84 ROW 72 TILEPROP NULL_X7Y84 SLR_REGION_ID 0 TILEPROP NULL_X7Y84 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y84 TILE_TYPE NULL TILEPROP NULL_X7Y84 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y84 TILE_X -91918 TILEPROP NULL_X7Y84 TILE_Y 17024 TILEPROP NULL_X7Y84 TYPE NULL TILEPROP NULL_X7Y86 CLASS tile TILEPROP NULL_X7Y86 COLUMN 7 TILEPROP NULL_X7Y86 DEVICE_ID 0 TILEPROP NULL_X7Y86 FIRST_SITE_ID 6873 TILEPROP NULL_X7Y86 GRID_POINT_X 7 TILEPROP NULL_X7Y86 GRID_POINT_Y 70 TILEPROP NULL_X7Y86 INDEX 8057 TILEPROP NULL_X7Y86 INT_TILE_X 1 TILEPROP NULL_X7Y86 INT_TILE_Y 67 TILEPROP NULL_X7Y86 IS_CENTER_TILE 0 TILEPROP NULL_X7Y86 IS_DCM_TILE 0 TILEPROP NULL_X7Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y86 NAME NULL_X7Y86 TILEPROP NULL_X7Y86 NUM_ARCS 0 TILEPROP NULL_X7Y86 NUM_SITES 0 TILEPROP NULL_X7Y86 ROW 70 TILEPROP NULL_X7Y86 SLR_REGION_ID 0 TILEPROP NULL_X7Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y86 TILE_TYPE NULL TILEPROP NULL_X7Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y86 TILE_X -91918 TILEPROP NULL_X7Y86 TILE_Y 23424 TILEPROP NULL_X7Y86 TYPE NULL TILEPROP NULL_X7Y87 CLASS tile TILEPROP NULL_X7Y87 COLUMN 7 TILEPROP NULL_X7Y87 DEVICE_ID 0 TILEPROP NULL_X7Y87 FIRST_SITE_ID 6773 TILEPROP NULL_X7Y87 GRID_POINT_X 7 TILEPROP NULL_X7Y87 GRID_POINT_Y 69 TILEPROP NULL_X7Y87 INDEX 7942 TILEPROP NULL_X7Y87 INT_TILE_X 1 TILEPROP NULL_X7Y87 INT_TILE_Y 66 TILEPROP NULL_X7Y87 IS_CENTER_TILE 0 TILEPROP NULL_X7Y87 IS_DCM_TILE 0 TILEPROP NULL_X7Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y87 NAME NULL_X7Y87 TILEPROP NULL_X7Y87 NUM_ARCS 0 TILEPROP NULL_X7Y87 NUM_SITES 0 TILEPROP NULL_X7Y87 ROW 69 TILEPROP NULL_X7Y87 SLR_REGION_ID 0 TILEPROP NULL_X7Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y87 TILE_TYPE NULL TILEPROP NULL_X7Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y87 TILE_X -91918 TILEPROP NULL_X7Y87 TILE_Y 26624 TILEPROP NULL_X7Y87 TYPE NULL TILEPROP NULL_X7Y88 CLASS tile TILEPROP NULL_X7Y88 COLUMN 7 TILEPROP NULL_X7Y88 DEVICE_ID 0 TILEPROP NULL_X7Y88 FIRST_SITE_ID 6673 TILEPROP NULL_X7Y88 GRID_POINT_X 7 TILEPROP NULL_X7Y88 GRID_POINT_Y 68 TILEPROP NULL_X7Y88 INDEX 7827 TILEPROP NULL_X7Y88 INT_TILE_X 1 TILEPROP NULL_X7Y88 INT_TILE_Y 65 TILEPROP NULL_X7Y88 IS_CENTER_TILE 0 TILEPROP NULL_X7Y88 IS_DCM_TILE 0 TILEPROP NULL_X7Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y88 NAME NULL_X7Y88 TILEPROP NULL_X7Y88 NUM_ARCS 0 TILEPROP NULL_X7Y88 NUM_SITES 0 TILEPROP NULL_X7Y88 ROW 68 TILEPROP NULL_X7Y88 SLR_REGION_ID 0 TILEPROP NULL_X7Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y88 TILE_TYPE NULL TILEPROP NULL_X7Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y88 TILE_X -91918 TILEPROP NULL_X7Y88 TILE_Y 29824 TILEPROP NULL_X7Y88 TYPE NULL TILEPROP NULL_X7Y89 CLASS tile TILEPROP NULL_X7Y89 COLUMN 7 TILEPROP NULL_X7Y89 DEVICE_ID 0 TILEPROP NULL_X7Y89 FIRST_SITE_ID 6558 TILEPROP NULL_X7Y89 GRID_POINT_X 7 TILEPROP NULL_X7Y89 GRID_POINT_Y 67 TILEPROP NULL_X7Y89 INDEX 7712 TILEPROP NULL_X7Y89 INT_TILE_X 1 TILEPROP NULL_X7Y89 INT_TILE_Y 64 TILEPROP NULL_X7Y89 IS_CENTER_TILE 0 TILEPROP NULL_X7Y89 IS_DCM_TILE 0 TILEPROP NULL_X7Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y89 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y89 NAME NULL_X7Y89 TILEPROP NULL_X7Y89 NUM_ARCS 0 TILEPROP NULL_X7Y89 NUM_SITES 0 TILEPROP NULL_X7Y89 ROW 67 TILEPROP NULL_X7Y89 SLR_REGION_ID 0 TILEPROP NULL_X7Y89 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y89 TILE_TYPE NULL TILEPROP NULL_X7Y89 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y89 TILE_X -91918 TILEPROP NULL_X7Y89 TILE_Y 33024 TILEPROP NULL_X7Y89 TYPE NULL TILEPROP NULL_X7Y90 CLASS tile TILEPROP NULL_X7Y90 COLUMN 7 TILEPROP NULL_X7Y90 DEVICE_ID 0 TILEPROP NULL_X7Y90 FIRST_SITE_ID 6426 TILEPROP NULL_X7Y90 GRID_POINT_X 7 TILEPROP NULL_X7Y90 GRID_POINT_Y 66 TILEPROP NULL_X7Y90 INDEX 7597 TILEPROP NULL_X7Y90 INT_TILE_X 1 TILEPROP NULL_X7Y90 INT_TILE_Y 63 TILEPROP NULL_X7Y90 IS_CENTER_TILE 0 TILEPROP NULL_X7Y90 IS_DCM_TILE 0 TILEPROP NULL_X7Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y90 NAME NULL_X7Y90 TILEPROP NULL_X7Y90 NUM_ARCS 0 TILEPROP NULL_X7Y90 NUM_SITES 0 TILEPROP NULL_X7Y90 ROW 66 TILEPROP NULL_X7Y90 SLR_REGION_ID 0 TILEPROP NULL_X7Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y90 TILE_TYPE NULL TILEPROP NULL_X7Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y90 TILE_X -91918 TILEPROP NULL_X7Y90 TILE_Y 36224 TILEPROP NULL_X7Y90 TYPE NULL TILEPROP NULL_X7Y91 CLASS tile TILEPROP NULL_X7Y91 COLUMN 7 TILEPROP NULL_X7Y91 DEVICE_ID 0 TILEPROP NULL_X7Y91 FIRST_SITE_ID 6326 TILEPROP NULL_X7Y91 GRID_POINT_X 7 TILEPROP NULL_X7Y91 GRID_POINT_Y 65 TILEPROP NULL_X7Y91 INDEX 7482 TILEPROP NULL_X7Y91 INT_TILE_X 1 TILEPROP NULL_X7Y91 INT_TILE_Y 62 TILEPROP NULL_X7Y91 IS_CENTER_TILE 0 TILEPROP NULL_X7Y91 IS_DCM_TILE 0 TILEPROP NULL_X7Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y91 NAME NULL_X7Y91 TILEPROP NULL_X7Y91 NUM_ARCS 0 TILEPROP NULL_X7Y91 NUM_SITES 0 TILEPROP NULL_X7Y91 ROW 65 TILEPROP NULL_X7Y91 SLR_REGION_ID 0 TILEPROP NULL_X7Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y91 TILE_TYPE NULL TILEPROP NULL_X7Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y91 TILE_X -91918 TILEPROP NULL_X7Y91 TILE_Y 39424 TILEPROP NULL_X7Y91 TYPE NULL TILEPROP NULL_X7Y92 CLASS tile TILEPROP NULL_X7Y92 COLUMN 7 TILEPROP NULL_X7Y92 DEVICE_ID 0 TILEPROP NULL_X7Y92 FIRST_SITE_ID 6226 TILEPROP NULL_X7Y92 GRID_POINT_X 7 TILEPROP NULL_X7Y92 GRID_POINT_Y 64 TILEPROP NULL_X7Y92 INDEX 7367 TILEPROP NULL_X7Y92 INT_TILE_X 1 TILEPROP NULL_X7Y92 INT_TILE_Y 61 TILEPROP NULL_X7Y92 IS_CENTER_TILE 0 TILEPROP NULL_X7Y92 IS_DCM_TILE 0 TILEPROP NULL_X7Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y92 NAME NULL_X7Y92 TILEPROP NULL_X7Y92 NUM_ARCS 0 TILEPROP NULL_X7Y92 NUM_SITES 0 TILEPROP NULL_X7Y92 ROW 64 TILEPROP NULL_X7Y92 SLR_REGION_ID 0 TILEPROP NULL_X7Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y92 TILE_TYPE NULL TILEPROP NULL_X7Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y92 TILE_X -91918 TILEPROP NULL_X7Y92 TILE_Y 42624 TILEPROP NULL_X7Y92 TYPE NULL TILEPROP NULL_X7Y93 CLASS tile TILEPROP NULL_X7Y93 COLUMN 7 TILEPROP NULL_X7Y93 DEVICE_ID 0 TILEPROP NULL_X7Y93 FIRST_SITE_ID 6126 TILEPROP NULL_X7Y93 GRID_POINT_X 7 TILEPROP NULL_X7Y93 GRID_POINT_Y 63 TILEPROP NULL_X7Y93 INDEX 7252 TILEPROP NULL_X7Y93 INT_TILE_X 1 TILEPROP NULL_X7Y93 INT_TILE_Y 60 TILEPROP NULL_X7Y93 IS_CENTER_TILE 0 TILEPROP NULL_X7Y93 IS_DCM_TILE 0 TILEPROP NULL_X7Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y93 NAME NULL_X7Y93 TILEPROP NULL_X7Y93 NUM_ARCS 0 TILEPROP NULL_X7Y93 NUM_SITES 0 TILEPROP NULL_X7Y93 ROW 63 TILEPROP NULL_X7Y93 SLR_REGION_ID 0 TILEPROP NULL_X7Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y93 TILE_TYPE NULL TILEPROP NULL_X7Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y93 TILE_X -91918 TILEPROP NULL_X7Y93 TILE_Y 45824 TILEPROP NULL_X7Y93 TYPE NULL TILEPROP NULL_X7Y94 CLASS tile TILEPROP NULL_X7Y94 COLUMN 7 TILEPROP NULL_X7Y94 DEVICE_ID 0 TILEPROP NULL_X7Y94 FIRST_SITE_ID 6011 TILEPROP NULL_X7Y94 GRID_POINT_X 7 TILEPROP NULL_X7Y94 GRID_POINT_Y 62 TILEPROP NULL_X7Y94 INDEX 7137 TILEPROP NULL_X7Y94 INT_TILE_X 1 TILEPROP NULL_X7Y94 INT_TILE_Y 59 TILEPROP NULL_X7Y94 IS_CENTER_TILE 0 TILEPROP NULL_X7Y94 IS_DCM_TILE 0 TILEPROP NULL_X7Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y94 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y94 NAME NULL_X7Y94 TILEPROP NULL_X7Y94 NUM_ARCS 0 TILEPROP NULL_X7Y94 NUM_SITES 0 TILEPROP NULL_X7Y94 ROW 62 TILEPROP NULL_X7Y94 SLR_REGION_ID 0 TILEPROP NULL_X7Y94 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y94 TILE_TYPE NULL TILEPROP NULL_X7Y94 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y94 TILE_X -91918 TILEPROP NULL_X7Y94 TILE_Y 49024 TILEPROP NULL_X7Y94 TYPE NULL TILEPROP NULL_X7Y95 CLASS tile TILEPROP NULL_X7Y95 COLUMN 7 TILEPROP NULL_X7Y95 DEVICE_ID 0 TILEPROP NULL_X7Y95 FIRST_SITE_ID 5911 TILEPROP NULL_X7Y95 GRID_POINT_X 7 TILEPROP NULL_X7Y95 GRID_POINT_Y 61 TILEPROP NULL_X7Y95 INDEX 7022 TILEPROP NULL_X7Y95 INT_TILE_X 1 TILEPROP NULL_X7Y95 INT_TILE_Y 58 TILEPROP NULL_X7Y95 IS_CENTER_TILE 0 TILEPROP NULL_X7Y95 IS_DCM_TILE 0 TILEPROP NULL_X7Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y95 NAME NULL_X7Y95 TILEPROP NULL_X7Y95 NUM_ARCS 0 TILEPROP NULL_X7Y95 NUM_SITES 0 TILEPROP NULL_X7Y95 ROW 61 TILEPROP NULL_X7Y95 SLR_REGION_ID 0 TILEPROP NULL_X7Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y95 TILE_TYPE NULL TILEPROP NULL_X7Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y95 TILE_X -91918 TILEPROP NULL_X7Y95 TILE_Y 52224 TILEPROP NULL_X7Y95 TYPE NULL TILEPROP NULL_X7Y96 CLASS tile TILEPROP NULL_X7Y96 COLUMN 7 TILEPROP NULL_X7Y96 DEVICE_ID 0 TILEPROP NULL_X7Y96 FIRST_SITE_ID 5809 TILEPROP NULL_X7Y96 GRID_POINT_X 7 TILEPROP NULL_X7Y96 GRID_POINT_Y 60 TILEPROP NULL_X7Y96 INDEX 6907 TILEPROP NULL_X7Y96 INT_TILE_X 1 TILEPROP NULL_X7Y96 INT_TILE_Y 57 TILEPROP NULL_X7Y96 IS_CENTER_TILE 0 TILEPROP NULL_X7Y96 IS_DCM_TILE 0 TILEPROP NULL_X7Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y96 NAME NULL_X7Y96 TILEPROP NULL_X7Y96 NUM_ARCS 0 TILEPROP NULL_X7Y96 NUM_SITES 0 TILEPROP NULL_X7Y96 ROW 60 TILEPROP NULL_X7Y96 SLR_REGION_ID 0 TILEPROP NULL_X7Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y96 TILE_TYPE NULL TILEPROP NULL_X7Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y96 TILE_X -91918 TILEPROP NULL_X7Y96 TILE_Y 55424 TILEPROP NULL_X7Y96 TYPE NULL TILEPROP NULL_X7Y98 CLASS tile TILEPROP NULL_X7Y98 COLUMN 7 TILEPROP NULL_X7Y98 DEVICE_ID 0 TILEPROP NULL_X7Y98 FIRST_SITE_ID 5605 TILEPROP NULL_X7Y98 GRID_POINT_X 7 TILEPROP NULL_X7Y98 GRID_POINT_Y 58 TILEPROP NULL_X7Y98 INDEX 6677 TILEPROP NULL_X7Y98 INT_TILE_X 1 TILEPROP NULL_X7Y98 INT_TILE_Y 55 TILEPROP NULL_X7Y98 IS_CENTER_TILE 0 TILEPROP NULL_X7Y98 IS_DCM_TILE 0 TILEPROP NULL_X7Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y98 NAME NULL_X7Y98 TILEPROP NULL_X7Y98 NUM_ARCS 0 TILEPROP NULL_X7Y98 NUM_SITES 0 TILEPROP NULL_X7Y98 ROW 58 TILEPROP NULL_X7Y98 SLR_REGION_ID 0 TILEPROP NULL_X7Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y98 TILE_TYPE NULL TILEPROP NULL_X7Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y98 TILE_X -91918 TILEPROP NULL_X7Y98 TILE_Y 61824 TILEPROP NULL_X7Y98 TYPE NULL TILEPROP NULL_X7Y99 CLASS tile TILEPROP NULL_X7Y99 COLUMN 7 TILEPROP NULL_X7Y99 DEVICE_ID 0 TILEPROP NULL_X7Y99 FIRST_SITE_ID 5490 TILEPROP NULL_X7Y99 GRID_POINT_X 7 TILEPROP NULL_X7Y99 GRID_POINT_Y 57 TILEPROP NULL_X7Y99 INDEX 6562 TILEPROP NULL_X7Y99 INT_TILE_X 1 TILEPROP NULL_X7Y99 INT_TILE_Y 54 TILEPROP NULL_X7Y99 IS_CENTER_TILE 0 TILEPROP NULL_X7Y99 IS_DCM_TILE 0 TILEPROP NULL_X7Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y99 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y99 NAME NULL_X7Y99 TILEPROP NULL_X7Y99 NUM_ARCS 0 TILEPROP NULL_X7Y99 NUM_SITES 0 TILEPROP NULL_X7Y99 ROW 57 TILEPROP NULL_X7Y99 SLR_REGION_ID 0 TILEPROP NULL_X7Y99 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y99 TILE_TYPE NULL TILEPROP NULL_X7Y99 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y99 TILE_X -91918 TILEPROP NULL_X7Y99 TILE_Y 65024 TILEPROP NULL_X7Y99 TYPE NULL TILEPROP NULL_X7Y100 CLASS tile TILEPROP NULL_X7Y100 COLUMN 7 TILEPROP NULL_X7Y100 DEVICE_ID 0 TILEPROP NULL_X7Y100 FIRST_SITE_ID 5390 TILEPROP NULL_X7Y100 GRID_POINT_X 7 TILEPROP NULL_X7Y100 GRID_POINT_Y 56 TILEPROP NULL_X7Y100 INDEX 6447 TILEPROP NULL_X7Y100 INT_TILE_X 1 TILEPROP NULL_X7Y100 INT_TILE_Y 53 TILEPROP NULL_X7Y100 IS_CENTER_TILE 0 TILEPROP NULL_X7Y100 IS_DCM_TILE 0 TILEPROP NULL_X7Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y100 NAME NULL_X7Y100 TILEPROP NULL_X7Y100 NUM_ARCS 0 TILEPROP NULL_X7Y100 NUM_SITES 0 TILEPROP NULL_X7Y100 ROW 56 TILEPROP NULL_X7Y100 SLR_REGION_ID 0 TILEPROP NULL_X7Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y100 TILE_TYPE NULL TILEPROP NULL_X7Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y100 TILE_X -91918 TILEPROP NULL_X7Y100 TILE_Y 68224 TILEPROP NULL_X7Y100 TYPE NULL TILEPROP NULL_X7Y101 CLASS tile TILEPROP NULL_X7Y101 COLUMN 7 TILEPROP NULL_X7Y101 DEVICE_ID 0 TILEPROP NULL_X7Y101 FIRST_SITE_ID 5290 TILEPROP NULL_X7Y101 GRID_POINT_X 7 TILEPROP NULL_X7Y101 GRID_POINT_Y 55 TILEPROP NULL_X7Y101 INDEX 6332 TILEPROP NULL_X7Y101 INT_TILE_X 1 TILEPROP NULL_X7Y101 INT_TILE_Y 52 TILEPROP NULL_X7Y101 IS_CENTER_TILE 0 TILEPROP NULL_X7Y101 IS_DCM_TILE 0 TILEPROP NULL_X7Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y101 NAME NULL_X7Y101 TILEPROP NULL_X7Y101 NUM_ARCS 0 TILEPROP NULL_X7Y101 NUM_SITES 0 TILEPROP NULL_X7Y101 ROW 55 TILEPROP NULL_X7Y101 SLR_REGION_ID 0 TILEPROP NULL_X7Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y101 TILE_TYPE NULL TILEPROP NULL_X7Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y101 TILE_X -91918 TILEPROP NULL_X7Y101 TILE_Y 71424 TILEPROP NULL_X7Y101 TYPE NULL TILEPROP NULL_X7Y102 CLASS tile TILEPROP NULL_X7Y102 COLUMN 7 TILEPROP NULL_X7Y102 DEVICE_ID 0 TILEPROP NULL_X7Y102 FIRST_SITE_ID 5190 TILEPROP NULL_X7Y102 GRID_POINT_X 7 TILEPROP NULL_X7Y102 GRID_POINT_Y 54 TILEPROP NULL_X7Y102 INDEX 6217 TILEPROP NULL_X7Y102 INT_TILE_X 1 TILEPROP NULL_X7Y102 INT_TILE_Y 51 TILEPROP NULL_X7Y102 IS_CENTER_TILE 0 TILEPROP NULL_X7Y102 IS_DCM_TILE 0 TILEPROP NULL_X7Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y102 NAME NULL_X7Y102 TILEPROP NULL_X7Y102 NUM_ARCS 0 TILEPROP NULL_X7Y102 NUM_SITES 0 TILEPROP NULL_X7Y102 ROW 54 TILEPROP NULL_X7Y102 SLR_REGION_ID 0 TILEPROP NULL_X7Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y102 TILE_TYPE NULL TILEPROP NULL_X7Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y102 TILE_X -91918 TILEPROP NULL_X7Y102 TILE_Y 74624 TILEPROP NULL_X7Y102 TYPE NULL TILEPROP NULL_X7Y104 CLASS tile TILEPROP NULL_X7Y104 COLUMN 7 TILEPROP NULL_X7Y104 DEVICE_ID 0 TILEPROP NULL_X7Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X7Y104 GRID_POINT_X 7 TILEPROP NULL_X7Y104 GRID_POINT_Y 52 TILEPROP NULL_X7Y104 INDEX 5987 TILEPROP NULL_X7Y104 INT_TILE_X 1 TILEPROP NULL_X7Y104 INT_TILE_Y 49 TILEPROP NULL_X7Y104 IS_CENTER_TILE 0 TILEPROP NULL_X7Y104 IS_DCM_TILE 0 TILEPROP NULL_X7Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y104 NAME NULL_X7Y104 TILEPROP NULL_X7Y104 NUM_ARCS 0 TILEPROP NULL_X7Y104 NUM_SITES 0 TILEPROP NULL_X7Y104 ROW 52 TILEPROP NULL_X7Y104 SLR_REGION_ID 0 TILEPROP NULL_X7Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y104 TILE_TYPE NULL TILEPROP NULL_X7Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y104 TILE_X -91918 TILEPROP NULL_X7Y104 TILE_Y 81024 TILEPROP NULL_X7Y104 TYPE NULL TILEPROP NULL_X7Y106 CLASS tile TILEPROP NULL_X7Y106 COLUMN 7 TILEPROP NULL_X7Y106 DEVICE_ID 0 TILEPROP NULL_X7Y106 FIRST_SITE_ID 4901 TILEPROP NULL_X7Y106 GRID_POINT_X 7 TILEPROP NULL_X7Y106 GRID_POINT_Y 50 TILEPROP NULL_X7Y106 INDEX 5757 TILEPROP NULL_X7Y106 INT_TILE_X 1 TILEPROP NULL_X7Y106 INT_TILE_Y 48 TILEPROP NULL_X7Y106 IS_CENTER_TILE 0 TILEPROP NULL_X7Y106 IS_DCM_TILE 0 TILEPROP NULL_X7Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y106 NAME NULL_X7Y106 TILEPROP NULL_X7Y106 NUM_ARCS 0 TILEPROP NULL_X7Y106 NUM_SITES 0 TILEPROP NULL_X7Y106 ROW 50 TILEPROP NULL_X7Y106 SLR_REGION_ID 0 TILEPROP NULL_X7Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y106 TILE_TYPE NULL TILEPROP NULL_X7Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y106 TILE_X -91918 TILEPROP NULL_X7Y106 TILE_Y 84472 TILEPROP NULL_X7Y106 TYPE NULL TILEPROP NULL_X7Y107 CLASS tile TILEPROP NULL_X7Y107 COLUMN 7 TILEPROP NULL_X7Y107 DEVICE_ID 0 TILEPROP NULL_X7Y107 FIRST_SITE_ID 4805 TILEPROP NULL_X7Y107 GRID_POINT_X 7 TILEPROP NULL_X7Y107 GRID_POINT_Y 49 TILEPROP NULL_X7Y107 INDEX 5642 TILEPROP NULL_X7Y107 INT_TILE_X 1 TILEPROP NULL_X7Y107 INT_TILE_Y 47 TILEPROP NULL_X7Y107 IS_CENTER_TILE 0 TILEPROP NULL_X7Y107 IS_DCM_TILE 0 TILEPROP NULL_X7Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y107 NAME NULL_X7Y107 TILEPROP NULL_X7Y107 NUM_ARCS 0 TILEPROP NULL_X7Y107 NUM_SITES 0 TILEPROP NULL_X7Y107 ROW 49 TILEPROP NULL_X7Y107 SLR_REGION_ID 0 TILEPROP NULL_X7Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y107 TILE_TYPE NULL TILEPROP NULL_X7Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y107 TILE_X -91918 TILEPROP NULL_X7Y107 TILE_Y 87672 TILEPROP NULL_X7Y107 TYPE NULL TILEPROP NULL_X7Y108 CLASS tile TILEPROP NULL_X7Y108 COLUMN 7 TILEPROP NULL_X7Y108 DEVICE_ID 0 TILEPROP NULL_X7Y108 FIRST_SITE_ID 4717 TILEPROP NULL_X7Y108 GRID_POINT_X 7 TILEPROP NULL_X7Y108 GRID_POINT_Y 48 TILEPROP NULL_X7Y108 INDEX 5527 TILEPROP NULL_X7Y108 INT_TILE_X 1 TILEPROP NULL_X7Y108 INT_TILE_Y 46 TILEPROP NULL_X7Y108 IS_CENTER_TILE 0 TILEPROP NULL_X7Y108 IS_DCM_TILE 0 TILEPROP NULL_X7Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y108 NAME NULL_X7Y108 TILEPROP NULL_X7Y108 NUM_ARCS 0 TILEPROP NULL_X7Y108 NUM_SITES 0 TILEPROP NULL_X7Y108 ROW 48 TILEPROP NULL_X7Y108 SLR_REGION_ID 0 TILEPROP NULL_X7Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y108 TILE_TYPE NULL TILEPROP NULL_X7Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y108 TILE_X -91918 TILEPROP NULL_X7Y108 TILE_Y 90872 TILEPROP NULL_X7Y108 TYPE NULL TILEPROP NULL_X7Y109 CLASS tile TILEPROP NULL_X7Y109 COLUMN 7 TILEPROP NULL_X7Y109 DEVICE_ID 0 TILEPROP NULL_X7Y109 FIRST_SITE_ID 4621 TILEPROP NULL_X7Y109 GRID_POINT_X 7 TILEPROP NULL_X7Y109 GRID_POINT_Y 47 TILEPROP NULL_X7Y109 INDEX 5412 TILEPROP NULL_X7Y109 INT_TILE_X 1 TILEPROP NULL_X7Y109 INT_TILE_Y 45 TILEPROP NULL_X7Y109 IS_CENTER_TILE 0 TILEPROP NULL_X7Y109 IS_DCM_TILE 0 TILEPROP NULL_X7Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y109 NAME NULL_X7Y109 TILEPROP NULL_X7Y109 NUM_ARCS 0 TILEPROP NULL_X7Y109 NUM_SITES 0 TILEPROP NULL_X7Y109 ROW 47 TILEPROP NULL_X7Y109 SLR_REGION_ID 0 TILEPROP NULL_X7Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y109 TILE_TYPE NULL TILEPROP NULL_X7Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y109 TILE_X -91918 TILEPROP NULL_X7Y109 TILE_Y 94072 TILEPROP NULL_X7Y109 TYPE NULL TILEPROP NULL_X7Y110 CLASS tile TILEPROP NULL_X7Y110 COLUMN 7 TILEPROP NULL_X7Y110 DEVICE_ID 0 TILEPROP NULL_X7Y110 FIRST_SITE_ID 4519 TILEPROP NULL_X7Y110 GRID_POINT_X 7 TILEPROP NULL_X7Y110 GRID_POINT_Y 46 TILEPROP NULL_X7Y110 INDEX 5297 TILEPROP NULL_X7Y110 INT_TILE_X 1 TILEPROP NULL_X7Y110 INT_TILE_Y 44 TILEPROP NULL_X7Y110 IS_CENTER_TILE 0 TILEPROP NULL_X7Y110 IS_DCM_TILE 0 TILEPROP NULL_X7Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y110 NAME NULL_X7Y110 TILEPROP NULL_X7Y110 NUM_ARCS 0 TILEPROP NULL_X7Y110 NUM_SITES 0 TILEPROP NULL_X7Y110 ROW 46 TILEPROP NULL_X7Y110 SLR_REGION_ID 0 TILEPROP NULL_X7Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y110 TILE_TYPE NULL TILEPROP NULL_X7Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y110 TILE_X -91918 TILEPROP NULL_X7Y110 TILE_Y 97272 TILEPROP NULL_X7Y110 TYPE NULL TILEPROP NULL_X7Y111 CLASS tile TILEPROP NULL_X7Y111 COLUMN 7 TILEPROP NULL_X7Y111 DEVICE_ID 0 TILEPROP NULL_X7Y111 FIRST_SITE_ID 4423 TILEPROP NULL_X7Y111 GRID_POINT_X 7 TILEPROP NULL_X7Y111 GRID_POINT_Y 45 TILEPROP NULL_X7Y111 INDEX 5182 TILEPROP NULL_X7Y111 INT_TILE_X 1 TILEPROP NULL_X7Y111 INT_TILE_Y 43 TILEPROP NULL_X7Y111 IS_CENTER_TILE 0 TILEPROP NULL_X7Y111 IS_DCM_TILE 0 TILEPROP NULL_X7Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y111 NAME NULL_X7Y111 TILEPROP NULL_X7Y111 NUM_ARCS 0 TILEPROP NULL_X7Y111 NUM_SITES 0 TILEPROP NULL_X7Y111 ROW 45 TILEPROP NULL_X7Y111 SLR_REGION_ID 0 TILEPROP NULL_X7Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y111 TILE_TYPE NULL TILEPROP NULL_X7Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y111 TILE_X -91918 TILEPROP NULL_X7Y111 TILE_Y 100472 TILEPROP NULL_X7Y111 TYPE NULL TILEPROP NULL_X7Y113 CLASS tile TILEPROP NULL_X7Y113 COLUMN 7 TILEPROP NULL_X7Y113 DEVICE_ID 0 TILEPROP NULL_X7Y113 FIRST_SITE_ID 4236 TILEPROP NULL_X7Y113 GRID_POINT_X 7 TILEPROP NULL_X7Y113 GRID_POINT_Y 43 TILEPROP NULL_X7Y113 INDEX 4952 TILEPROP NULL_X7Y113 INT_TILE_X 1 TILEPROP NULL_X7Y113 INT_TILE_Y 41 TILEPROP NULL_X7Y113 IS_CENTER_TILE 0 TILEPROP NULL_X7Y113 IS_DCM_TILE 0 TILEPROP NULL_X7Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y113 NAME NULL_X7Y113 TILEPROP NULL_X7Y113 NUM_ARCS 0 TILEPROP NULL_X7Y113 NUM_SITES 0 TILEPROP NULL_X7Y113 ROW 43 TILEPROP NULL_X7Y113 SLR_REGION_ID 0 TILEPROP NULL_X7Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y113 TILE_TYPE NULL TILEPROP NULL_X7Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y113 TILE_X -91918 TILEPROP NULL_X7Y113 TILE_Y 106872 TILEPROP NULL_X7Y113 TYPE NULL TILEPROP NULL_X7Y114 CLASS tile TILEPROP NULL_X7Y114 COLUMN 7 TILEPROP NULL_X7Y114 DEVICE_ID 0 TILEPROP NULL_X7Y114 FIRST_SITE_ID 4148 TILEPROP NULL_X7Y114 GRID_POINT_X 7 TILEPROP NULL_X7Y114 GRID_POINT_Y 42 TILEPROP NULL_X7Y114 INDEX 4837 TILEPROP NULL_X7Y114 INT_TILE_X 1 TILEPROP NULL_X7Y114 INT_TILE_Y 40 TILEPROP NULL_X7Y114 IS_CENTER_TILE 0 TILEPROP NULL_X7Y114 IS_DCM_TILE 0 TILEPROP NULL_X7Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y114 NAME NULL_X7Y114 TILEPROP NULL_X7Y114 NUM_ARCS 0 TILEPROP NULL_X7Y114 NUM_SITES 0 TILEPROP NULL_X7Y114 ROW 42 TILEPROP NULL_X7Y114 SLR_REGION_ID 0 TILEPROP NULL_X7Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y114 TILE_TYPE NULL TILEPROP NULL_X7Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y114 TILE_X -91918 TILEPROP NULL_X7Y114 TILE_Y 110072 TILEPROP NULL_X7Y114 TYPE NULL TILEPROP NULL_X7Y115 CLASS tile TILEPROP NULL_X7Y115 COLUMN 7 TILEPROP NULL_X7Y115 DEVICE_ID 0 TILEPROP NULL_X7Y115 FIRST_SITE_ID 4042 TILEPROP NULL_X7Y115 GRID_POINT_X 7 TILEPROP NULL_X7Y115 GRID_POINT_Y 41 TILEPROP NULL_X7Y115 INDEX 4722 TILEPROP NULL_X7Y115 INT_TILE_X 1 TILEPROP NULL_X7Y115 INT_TILE_Y 39 TILEPROP NULL_X7Y115 IS_CENTER_TILE 0 TILEPROP NULL_X7Y115 IS_DCM_TILE 0 TILEPROP NULL_X7Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y115 NAME NULL_X7Y115 TILEPROP NULL_X7Y115 NUM_ARCS 0 TILEPROP NULL_X7Y115 NUM_SITES 0 TILEPROP NULL_X7Y115 ROW 41 TILEPROP NULL_X7Y115 SLR_REGION_ID 0 TILEPROP NULL_X7Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y115 TILE_TYPE NULL TILEPROP NULL_X7Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y115 TILE_X -91918 TILEPROP NULL_X7Y115 TILE_Y 113272 TILEPROP NULL_X7Y115 TYPE NULL TILEPROP NULL_X7Y116 CLASS tile TILEPROP NULL_X7Y116 COLUMN 7 TILEPROP NULL_X7Y116 DEVICE_ID 0 TILEPROP NULL_X7Y116 FIRST_SITE_ID 3954 TILEPROP NULL_X7Y116 GRID_POINT_X 7 TILEPROP NULL_X7Y116 GRID_POINT_Y 40 TILEPROP NULL_X7Y116 INDEX 4607 TILEPROP NULL_X7Y116 INT_TILE_X 1 TILEPROP NULL_X7Y116 INT_TILE_Y 38 TILEPROP NULL_X7Y116 IS_CENTER_TILE 0 TILEPROP NULL_X7Y116 IS_DCM_TILE 0 TILEPROP NULL_X7Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y116 NAME NULL_X7Y116 TILEPROP NULL_X7Y116 NUM_ARCS 0 TILEPROP NULL_X7Y116 NUM_SITES 0 TILEPROP NULL_X7Y116 ROW 40 TILEPROP NULL_X7Y116 SLR_REGION_ID 0 TILEPROP NULL_X7Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y116 TILE_TYPE NULL TILEPROP NULL_X7Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y116 TILE_X -91918 TILEPROP NULL_X7Y116 TILE_Y 116472 TILEPROP NULL_X7Y116 TYPE NULL TILEPROP NULL_X7Y117 CLASS tile TILEPROP NULL_X7Y117 COLUMN 7 TILEPROP NULL_X7Y117 DEVICE_ID 0 TILEPROP NULL_X7Y117 FIRST_SITE_ID 3826 TILEPROP NULL_X7Y117 GRID_POINT_X 7 TILEPROP NULL_X7Y117 GRID_POINT_Y 39 TILEPROP NULL_X7Y117 INDEX 4492 TILEPROP NULL_X7Y117 INT_TILE_X 1 TILEPROP NULL_X7Y117 INT_TILE_Y 37 TILEPROP NULL_X7Y117 IS_CENTER_TILE 0 TILEPROP NULL_X7Y117 IS_DCM_TILE 0 TILEPROP NULL_X7Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y117 NAME NULL_X7Y117 TILEPROP NULL_X7Y117 NUM_ARCS 0 TILEPROP NULL_X7Y117 NUM_SITES 0 TILEPROP NULL_X7Y117 ROW 39 TILEPROP NULL_X7Y117 SLR_REGION_ID 0 TILEPROP NULL_X7Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y117 TILE_TYPE NULL TILEPROP NULL_X7Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y117 TILE_X -91918 TILEPROP NULL_X7Y117 TILE_Y 119672 TILEPROP NULL_X7Y117 TYPE NULL TILEPROP NULL_X7Y118 CLASS tile TILEPROP NULL_X7Y118 COLUMN 7 TILEPROP NULL_X7Y118 DEVICE_ID 0 TILEPROP NULL_X7Y118 FIRST_SITE_ID 3738 TILEPROP NULL_X7Y118 GRID_POINT_X 7 TILEPROP NULL_X7Y118 GRID_POINT_Y 38 TILEPROP NULL_X7Y118 INDEX 4377 TILEPROP NULL_X7Y118 INT_TILE_X 1 TILEPROP NULL_X7Y118 INT_TILE_Y 36 TILEPROP NULL_X7Y118 IS_CENTER_TILE 0 TILEPROP NULL_X7Y118 IS_DCM_TILE 0 TILEPROP NULL_X7Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y118 NAME NULL_X7Y118 TILEPROP NULL_X7Y118 NUM_ARCS 0 TILEPROP NULL_X7Y118 NUM_SITES 0 TILEPROP NULL_X7Y118 ROW 38 TILEPROP NULL_X7Y118 SLR_REGION_ID 0 TILEPROP NULL_X7Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y118 TILE_TYPE NULL TILEPROP NULL_X7Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y118 TILE_X -91918 TILEPROP NULL_X7Y118 TILE_Y 122872 TILEPROP NULL_X7Y118 TYPE NULL TILEPROP NULL_X7Y119 CLASS tile TILEPROP NULL_X7Y119 COLUMN 7 TILEPROP NULL_X7Y119 DEVICE_ID 0 TILEPROP NULL_X7Y119 FIRST_SITE_ID 3642 TILEPROP NULL_X7Y119 GRID_POINT_X 7 TILEPROP NULL_X7Y119 GRID_POINT_Y 37 TILEPROP NULL_X7Y119 INDEX 4262 TILEPROP NULL_X7Y119 INT_TILE_X 1 TILEPROP NULL_X7Y119 INT_TILE_Y 35 TILEPROP NULL_X7Y119 IS_CENTER_TILE 0 TILEPROP NULL_X7Y119 IS_DCM_TILE 0 TILEPROP NULL_X7Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y119 NAME NULL_X7Y119 TILEPROP NULL_X7Y119 NUM_ARCS 0 TILEPROP NULL_X7Y119 NUM_SITES 0 TILEPROP NULL_X7Y119 ROW 37 TILEPROP NULL_X7Y119 SLR_REGION_ID 0 TILEPROP NULL_X7Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y119 TILE_TYPE NULL TILEPROP NULL_X7Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y119 TILE_X -91918 TILEPROP NULL_X7Y119 TILE_Y 126072 TILEPROP NULL_X7Y119 TYPE NULL TILEPROP NULL_X7Y120 CLASS tile TILEPROP NULL_X7Y120 COLUMN 7 TILEPROP NULL_X7Y120 DEVICE_ID 0 TILEPROP NULL_X7Y120 FIRST_SITE_ID 3545 TILEPROP NULL_X7Y120 GRID_POINT_X 7 TILEPROP NULL_X7Y120 GRID_POINT_Y 36 TILEPROP NULL_X7Y120 INDEX 4147 TILEPROP NULL_X7Y120 INT_TILE_X 1 TILEPROP NULL_X7Y120 INT_TILE_Y 34 TILEPROP NULL_X7Y120 IS_CENTER_TILE 0 TILEPROP NULL_X7Y120 IS_DCM_TILE 0 TILEPROP NULL_X7Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y120 NAME NULL_X7Y120 TILEPROP NULL_X7Y120 NUM_ARCS 0 TILEPROP NULL_X7Y120 NUM_SITES 0 TILEPROP NULL_X7Y120 ROW 36 TILEPROP NULL_X7Y120 SLR_REGION_ID 0 TILEPROP NULL_X7Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y120 TILE_TYPE NULL TILEPROP NULL_X7Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y120 TILE_X -91918 TILEPROP NULL_X7Y120 TILE_Y 129272 TILEPROP NULL_X7Y120 TYPE NULL TILEPROP NULL_X7Y121 CLASS tile TILEPROP NULL_X7Y121 COLUMN 7 TILEPROP NULL_X7Y121 DEVICE_ID 0 TILEPROP NULL_X7Y121 FIRST_SITE_ID 3444 TILEPROP NULL_X7Y121 GRID_POINT_X 7 TILEPROP NULL_X7Y121 GRID_POINT_Y 35 TILEPROP NULL_X7Y121 INDEX 4032 TILEPROP NULL_X7Y121 INT_TILE_X 1 TILEPROP NULL_X7Y121 INT_TILE_Y 33 TILEPROP NULL_X7Y121 IS_CENTER_TILE 0 TILEPROP NULL_X7Y121 IS_DCM_TILE 0 TILEPROP NULL_X7Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y121 NAME NULL_X7Y121 TILEPROP NULL_X7Y121 NUM_ARCS 0 TILEPROP NULL_X7Y121 NUM_SITES 0 TILEPROP NULL_X7Y121 ROW 35 TILEPROP NULL_X7Y121 SLR_REGION_ID 0 TILEPROP NULL_X7Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y121 TILE_TYPE NULL TILEPROP NULL_X7Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y121 TILE_X -91918 TILEPROP NULL_X7Y121 TILE_Y 132472 TILEPROP NULL_X7Y121 TYPE NULL TILEPROP NULL_X7Y122 CLASS tile TILEPROP NULL_X7Y122 COLUMN 7 TILEPROP NULL_X7Y122 DEVICE_ID 0 TILEPROP NULL_X7Y122 FIRST_SITE_ID 3352 TILEPROP NULL_X7Y122 GRID_POINT_X 7 TILEPROP NULL_X7Y122 GRID_POINT_Y 34 TILEPROP NULL_X7Y122 INDEX 3917 TILEPROP NULL_X7Y122 INT_TILE_X 1 TILEPROP NULL_X7Y122 INT_TILE_Y 32 TILEPROP NULL_X7Y122 IS_CENTER_TILE 0 TILEPROP NULL_X7Y122 IS_DCM_TILE 0 TILEPROP NULL_X7Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y122 NAME NULL_X7Y122 TILEPROP NULL_X7Y122 NUM_ARCS 0 TILEPROP NULL_X7Y122 NUM_SITES 0 TILEPROP NULL_X7Y122 ROW 34 TILEPROP NULL_X7Y122 SLR_REGION_ID 0 TILEPROP NULL_X7Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y122 TILE_TYPE NULL TILEPROP NULL_X7Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y122 TILE_X -91918 TILEPROP NULL_X7Y122 TILE_Y 135672 TILEPROP NULL_X7Y122 TYPE NULL TILEPROP NULL_X7Y123 CLASS tile TILEPROP NULL_X7Y123 COLUMN 7 TILEPROP NULL_X7Y123 DEVICE_ID 0 TILEPROP NULL_X7Y123 FIRST_SITE_ID 3256 TILEPROP NULL_X7Y123 GRID_POINT_X 7 TILEPROP NULL_X7Y123 GRID_POINT_Y 33 TILEPROP NULL_X7Y123 INDEX 3802 TILEPROP NULL_X7Y123 INT_TILE_X 1 TILEPROP NULL_X7Y123 INT_TILE_Y 31 TILEPROP NULL_X7Y123 IS_CENTER_TILE 0 TILEPROP NULL_X7Y123 IS_DCM_TILE 0 TILEPROP NULL_X7Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y123 NAME NULL_X7Y123 TILEPROP NULL_X7Y123 NUM_ARCS 0 TILEPROP NULL_X7Y123 NUM_SITES 0 TILEPROP NULL_X7Y123 ROW 33 TILEPROP NULL_X7Y123 SLR_REGION_ID 0 TILEPROP NULL_X7Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y123 TILE_TYPE NULL TILEPROP NULL_X7Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y123 TILE_X -91918 TILEPROP NULL_X7Y123 TILE_Y 138872 TILEPROP NULL_X7Y123 TYPE NULL TILEPROP NULL_X7Y125 CLASS tile TILEPROP NULL_X7Y125 COLUMN 7 TILEPROP NULL_X7Y125 DEVICE_ID 0 TILEPROP NULL_X7Y125 FIRST_SITE_ID 3061 TILEPROP NULL_X7Y125 GRID_POINT_X 7 TILEPROP NULL_X7Y125 GRID_POINT_Y 31 TILEPROP NULL_X7Y125 INDEX 3572 TILEPROP NULL_X7Y125 INT_TILE_X 1 TILEPROP NULL_X7Y125 INT_TILE_Y 29 TILEPROP NULL_X7Y125 IS_CENTER_TILE 0 TILEPROP NULL_X7Y125 IS_DCM_TILE 0 TILEPROP NULL_X7Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y125 NAME NULL_X7Y125 TILEPROP NULL_X7Y125 NUM_ARCS 0 TILEPROP NULL_X7Y125 NUM_SITES 0 TILEPROP NULL_X7Y125 ROW 31 TILEPROP NULL_X7Y125 SLR_REGION_ID 0 TILEPROP NULL_X7Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y125 TILE_TYPE NULL TILEPROP NULL_X7Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y125 TILE_X -91918 TILEPROP NULL_X7Y125 TILE_Y 145272 TILEPROP NULL_X7Y125 TYPE NULL TILEPROP NULL_X7Y126 CLASS tile TILEPROP NULL_X7Y126 COLUMN 7 TILEPROP NULL_X7Y126 DEVICE_ID 0 TILEPROP NULL_X7Y126 FIRST_SITE_ID 2973 TILEPROP NULL_X7Y126 GRID_POINT_X 7 TILEPROP NULL_X7Y126 GRID_POINT_Y 30 TILEPROP NULL_X7Y126 INDEX 3457 TILEPROP NULL_X7Y126 INT_TILE_X 1 TILEPROP NULL_X7Y126 INT_TILE_Y 28 TILEPROP NULL_X7Y126 IS_CENTER_TILE 0 TILEPROP NULL_X7Y126 IS_DCM_TILE 0 TILEPROP NULL_X7Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y126 NAME NULL_X7Y126 TILEPROP NULL_X7Y126 NUM_ARCS 0 TILEPROP NULL_X7Y126 NUM_SITES 0 TILEPROP NULL_X7Y126 ROW 30 TILEPROP NULL_X7Y126 SLR_REGION_ID 0 TILEPROP NULL_X7Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y126 TILE_TYPE NULL TILEPROP NULL_X7Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y126 TILE_X -91918 TILEPROP NULL_X7Y126 TILE_Y 148472 TILEPROP NULL_X7Y126 TYPE NULL TILEPROP NULL_X7Y127 CLASS tile TILEPROP NULL_X7Y127 COLUMN 7 TILEPROP NULL_X7Y127 DEVICE_ID 0 TILEPROP NULL_X7Y127 FIRST_SITE_ID 2870 TILEPROP NULL_X7Y127 GRID_POINT_X 7 TILEPROP NULL_X7Y127 GRID_POINT_Y 29 TILEPROP NULL_X7Y127 INDEX 3342 TILEPROP NULL_X7Y127 INT_TILE_X 1 TILEPROP NULL_X7Y127 INT_TILE_Y 27 TILEPROP NULL_X7Y127 IS_CENTER_TILE 0 TILEPROP NULL_X7Y127 IS_DCM_TILE 0 TILEPROP NULL_X7Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y127 NAME NULL_X7Y127 TILEPROP NULL_X7Y127 NUM_ARCS 0 TILEPROP NULL_X7Y127 NUM_SITES 0 TILEPROP NULL_X7Y127 ROW 29 TILEPROP NULL_X7Y127 SLR_REGION_ID 0 TILEPROP NULL_X7Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y127 TILE_TYPE NULL TILEPROP NULL_X7Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y127 TILE_X -91918 TILEPROP NULL_X7Y127 TILE_Y 151672 TILEPROP NULL_X7Y127 TYPE NULL TILEPROP NULL_X7Y128 CLASS tile TILEPROP NULL_X7Y128 COLUMN 7 TILEPROP NULL_X7Y128 DEVICE_ID 0 TILEPROP NULL_X7Y128 FIRST_SITE_ID 2782 TILEPROP NULL_X7Y128 GRID_POINT_X 7 TILEPROP NULL_X7Y128 GRID_POINT_Y 28 TILEPROP NULL_X7Y128 INDEX 3227 TILEPROP NULL_X7Y128 INT_TILE_X 1 TILEPROP NULL_X7Y128 INT_TILE_Y 26 TILEPROP NULL_X7Y128 IS_CENTER_TILE 0 TILEPROP NULL_X7Y128 IS_DCM_TILE 0 TILEPROP NULL_X7Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y128 NAME NULL_X7Y128 TILEPROP NULL_X7Y128 NUM_ARCS 0 TILEPROP NULL_X7Y128 NUM_SITES 0 TILEPROP NULL_X7Y128 ROW 28 TILEPROP NULL_X7Y128 SLR_REGION_ID 0 TILEPROP NULL_X7Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y128 TILE_TYPE NULL TILEPROP NULL_X7Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y128 TILE_X -91918 TILEPROP NULL_X7Y128 TILE_Y 154872 TILEPROP NULL_X7Y128 TYPE NULL TILEPROP NULL_X7Y129 CLASS tile TILEPROP NULL_X7Y129 COLUMN 7 TILEPROP NULL_X7Y129 DEVICE_ID 0 TILEPROP NULL_X7Y129 FIRST_SITE_ID 2686 TILEPROP NULL_X7Y129 GRID_POINT_X 7 TILEPROP NULL_X7Y129 GRID_POINT_Y 27 TILEPROP NULL_X7Y129 INDEX 3112 TILEPROP NULL_X7Y129 INT_TILE_X 1 TILEPROP NULL_X7Y129 INT_TILE_Y 25 TILEPROP NULL_X7Y129 IS_CENTER_TILE 0 TILEPROP NULL_X7Y129 IS_DCM_TILE 0 TILEPROP NULL_X7Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y129 NAME NULL_X7Y129 TILEPROP NULL_X7Y129 NUM_ARCS 0 TILEPROP NULL_X7Y129 NUM_SITES 0 TILEPROP NULL_X7Y129 ROW 27 TILEPROP NULL_X7Y129 SLR_REGION_ID 0 TILEPROP NULL_X7Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y129 TILE_TYPE NULL TILEPROP NULL_X7Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y129 TILE_X -91918 TILEPROP NULL_X7Y129 TILE_Y 158072 TILEPROP NULL_X7Y129 TYPE NULL TILEPROP NULL_X7Y131 CLASS tile TILEPROP NULL_X7Y131 COLUMN 7 TILEPROP NULL_X7Y131 DEVICE_ID 0 TILEPROP NULL_X7Y131 FIRST_SITE_ID 2507 TILEPROP NULL_X7Y131 GRID_POINT_X 7 TILEPROP NULL_X7Y131 GRID_POINT_Y 25 TILEPROP NULL_X7Y131 INDEX 2882 TILEPROP NULL_X7Y131 INT_TILE_X 1 TILEPROP NULL_X7Y131 INT_TILE_Y 24 TILEPROP NULL_X7Y131 IS_CENTER_TILE 0 TILEPROP NULL_X7Y131 IS_DCM_TILE 0 TILEPROP NULL_X7Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y131 NAME NULL_X7Y131 TILEPROP NULL_X7Y131 NUM_ARCS 0 TILEPROP NULL_X7Y131 NUM_SITES 0 TILEPROP NULL_X7Y131 ROW 25 TILEPROP NULL_X7Y131 SLR_REGION_ID 0 TILEPROP NULL_X7Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y131 TILE_TYPE NULL TILEPROP NULL_X7Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y131 TILE_X -91918 TILEPROP NULL_X7Y131 TILE_Y 162296 TILEPROP NULL_X7Y131 TYPE NULL TILEPROP NULL_X7Y132 CLASS tile TILEPROP NULL_X7Y132 COLUMN 7 TILEPROP NULL_X7Y132 DEVICE_ID 0 TILEPROP NULL_X7Y132 FIRST_SITE_ID 2403 TILEPROP NULL_X7Y132 GRID_POINT_X 7 TILEPROP NULL_X7Y132 GRID_POINT_Y 24 TILEPROP NULL_X7Y132 INDEX 2767 TILEPROP NULL_X7Y132 INT_TILE_X 1 TILEPROP NULL_X7Y132 INT_TILE_Y 23 TILEPROP NULL_X7Y132 IS_CENTER_TILE 0 TILEPROP NULL_X7Y132 IS_DCM_TILE 0 TILEPROP NULL_X7Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y132 NAME NULL_X7Y132 TILEPROP NULL_X7Y132 NUM_ARCS 0 TILEPROP NULL_X7Y132 NUM_SITES 0 TILEPROP NULL_X7Y132 ROW 24 TILEPROP NULL_X7Y132 SLR_REGION_ID 0 TILEPROP NULL_X7Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y132 TILE_TYPE NULL TILEPROP NULL_X7Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y132 TILE_X -91918 TILEPROP NULL_X7Y132 TILE_Y 165496 TILEPROP NULL_X7Y132 TYPE NULL TILEPROP NULL_X7Y133 CLASS tile TILEPROP NULL_X7Y133 COLUMN 7 TILEPROP NULL_X7Y133 DEVICE_ID 0 TILEPROP NULL_X7Y133 FIRST_SITE_ID 2307 TILEPROP NULL_X7Y133 GRID_POINT_X 7 TILEPROP NULL_X7Y133 GRID_POINT_Y 23 TILEPROP NULL_X7Y133 INDEX 2652 TILEPROP NULL_X7Y133 INT_TILE_X 1 TILEPROP NULL_X7Y133 INT_TILE_Y 22 TILEPROP NULL_X7Y133 IS_CENTER_TILE 0 TILEPROP NULL_X7Y133 IS_DCM_TILE 0 TILEPROP NULL_X7Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y133 NAME NULL_X7Y133 TILEPROP NULL_X7Y133 NUM_ARCS 0 TILEPROP NULL_X7Y133 NUM_SITES 0 TILEPROP NULL_X7Y133 ROW 23 TILEPROP NULL_X7Y133 SLR_REGION_ID 0 TILEPROP NULL_X7Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y133 TILE_TYPE NULL TILEPROP NULL_X7Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y133 TILE_X -91918 TILEPROP NULL_X7Y133 TILE_Y 168696 TILEPROP NULL_X7Y133 TYPE NULL TILEPROP NULL_X7Y134 CLASS tile TILEPROP NULL_X7Y134 COLUMN 7 TILEPROP NULL_X7Y134 DEVICE_ID 0 TILEPROP NULL_X7Y134 FIRST_SITE_ID 2203 TILEPROP NULL_X7Y134 GRID_POINT_X 7 TILEPROP NULL_X7Y134 GRID_POINT_Y 22 TILEPROP NULL_X7Y134 INDEX 2537 TILEPROP NULL_X7Y134 INT_TILE_X 1 TILEPROP NULL_X7Y134 INT_TILE_Y 21 TILEPROP NULL_X7Y134 IS_CENTER_TILE 0 TILEPROP NULL_X7Y134 IS_DCM_TILE 0 TILEPROP NULL_X7Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y134 NAME NULL_X7Y134 TILEPROP NULL_X7Y134 NUM_ARCS 0 TILEPROP NULL_X7Y134 NUM_SITES 0 TILEPROP NULL_X7Y134 ROW 22 TILEPROP NULL_X7Y134 SLR_REGION_ID 0 TILEPROP NULL_X7Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y134 TILE_TYPE NULL TILEPROP NULL_X7Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y134 TILE_X -91918 TILEPROP NULL_X7Y134 TILE_Y 171896 TILEPROP NULL_X7Y134 TYPE NULL TILEPROP NULL_X7Y135 CLASS tile TILEPROP NULL_X7Y135 COLUMN 7 TILEPROP NULL_X7Y135 DEVICE_ID 0 TILEPROP NULL_X7Y135 FIRST_SITE_ID 2101 TILEPROP NULL_X7Y135 GRID_POINT_X 7 TILEPROP NULL_X7Y135 GRID_POINT_Y 21 TILEPROP NULL_X7Y135 INDEX 2422 TILEPROP NULL_X7Y135 INT_TILE_X 1 TILEPROP NULL_X7Y135 INT_TILE_Y 20 TILEPROP NULL_X7Y135 IS_CENTER_TILE 0 TILEPROP NULL_X7Y135 IS_DCM_TILE 0 TILEPROP NULL_X7Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y135 NAME NULL_X7Y135 TILEPROP NULL_X7Y135 NUM_ARCS 0 TILEPROP NULL_X7Y135 NUM_SITES 0 TILEPROP NULL_X7Y135 ROW 21 TILEPROP NULL_X7Y135 SLR_REGION_ID 0 TILEPROP NULL_X7Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y135 TILE_TYPE NULL TILEPROP NULL_X7Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y135 TILE_X -91918 TILEPROP NULL_X7Y135 TILE_Y 175096 TILEPROP NULL_X7Y135 TYPE NULL TILEPROP NULL_X7Y136 CLASS tile TILEPROP NULL_X7Y136 COLUMN 7 TILEPROP NULL_X7Y136 DEVICE_ID 0 TILEPROP NULL_X7Y136 FIRST_SITE_ID 1985 TILEPROP NULL_X7Y136 GRID_POINT_X 7 TILEPROP NULL_X7Y136 GRID_POINT_Y 20 TILEPROP NULL_X7Y136 INDEX 2307 TILEPROP NULL_X7Y136 INT_TILE_X 1 TILEPROP NULL_X7Y136 INT_TILE_Y 19 TILEPROP NULL_X7Y136 IS_CENTER_TILE 0 TILEPROP NULL_X7Y136 IS_DCM_TILE 0 TILEPROP NULL_X7Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y136 NAME NULL_X7Y136 TILEPROP NULL_X7Y136 NUM_ARCS 0 TILEPROP NULL_X7Y136 NUM_SITES 0 TILEPROP NULL_X7Y136 ROW 20 TILEPROP NULL_X7Y136 SLR_REGION_ID 0 TILEPROP NULL_X7Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y136 TILE_TYPE NULL TILEPROP NULL_X7Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y136 TILE_X -91918 TILEPROP NULL_X7Y136 TILE_Y 178296 TILEPROP NULL_X7Y136 TYPE NULL TILEPROP NULL_X7Y138 CLASS tile TILEPROP NULL_X7Y138 COLUMN 7 TILEPROP NULL_X7Y138 DEVICE_ID 0 TILEPROP NULL_X7Y138 FIRST_SITE_ID 1783 TILEPROP NULL_X7Y138 GRID_POINT_X 7 TILEPROP NULL_X7Y138 GRID_POINT_Y 18 TILEPROP NULL_X7Y138 INDEX 2077 TILEPROP NULL_X7Y138 INT_TILE_X 1 TILEPROP NULL_X7Y138 INT_TILE_Y 17 TILEPROP NULL_X7Y138 IS_CENTER_TILE 0 TILEPROP NULL_X7Y138 IS_DCM_TILE 0 TILEPROP NULL_X7Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y138 NAME NULL_X7Y138 TILEPROP NULL_X7Y138 NUM_ARCS 0 TILEPROP NULL_X7Y138 NUM_SITES 0 TILEPROP NULL_X7Y138 ROW 18 TILEPROP NULL_X7Y138 SLR_REGION_ID 0 TILEPROP NULL_X7Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y138 TILE_TYPE NULL TILEPROP NULL_X7Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y138 TILE_X -91918 TILEPROP NULL_X7Y138 TILE_Y 184696 TILEPROP NULL_X7Y138 TYPE NULL TILEPROP NULL_X7Y139 CLASS tile TILEPROP NULL_X7Y139 COLUMN 7 TILEPROP NULL_X7Y139 DEVICE_ID 0 TILEPROP NULL_X7Y139 FIRST_SITE_ID 1682 TILEPROP NULL_X7Y139 GRID_POINT_X 7 TILEPROP NULL_X7Y139 GRID_POINT_Y 17 TILEPROP NULL_X7Y139 INDEX 1962 TILEPROP NULL_X7Y139 INT_TILE_X 1 TILEPROP NULL_X7Y139 INT_TILE_Y 16 TILEPROP NULL_X7Y139 IS_CENTER_TILE 0 TILEPROP NULL_X7Y139 IS_DCM_TILE 0 TILEPROP NULL_X7Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y139 NAME NULL_X7Y139 TILEPROP NULL_X7Y139 NUM_ARCS 0 TILEPROP NULL_X7Y139 NUM_SITES 0 TILEPROP NULL_X7Y139 ROW 17 TILEPROP NULL_X7Y139 SLR_REGION_ID 0 TILEPROP NULL_X7Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y139 TILE_TYPE NULL TILEPROP NULL_X7Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y139 TILE_X -91918 TILEPROP NULL_X7Y139 TILE_Y 187896 TILEPROP NULL_X7Y139 TYPE NULL TILEPROP NULL_X7Y140 CLASS tile TILEPROP NULL_X7Y140 COLUMN 7 TILEPROP NULL_X7Y140 DEVICE_ID 0 TILEPROP NULL_X7Y140 FIRST_SITE_ID 1578 TILEPROP NULL_X7Y140 GRID_POINT_X 7 TILEPROP NULL_X7Y140 GRID_POINT_Y 16 TILEPROP NULL_X7Y140 INDEX 1847 TILEPROP NULL_X7Y140 INT_TILE_X 1 TILEPROP NULL_X7Y140 INT_TILE_Y 15 TILEPROP NULL_X7Y140 IS_CENTER_TILE 0 TILEPROP NULL_X7Y140 IS_DCM_TILE 0 TILEPROP NULL_X7Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y140 NAME NULL_X7Y140 TILEPROP NULL_X7Y140 NUM_ARCS 0 TILEPROP NULL_X7Y140 NUM_SITES 0 TILEPROP NULL_X7Y140 ROW 16 TILEPROP NULL_X7Y140 SLR_REGION_ID 0 TILEPROP NULL_X7Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y140 TILE_TYPE NULL TILEPROP NULL_X7Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y140 TILE_X -91918 TILEPROP NULL_X7Y140 TILE_Y 191096 TILEPROP NULL_X7Y140 TYPE NULL TILEPROP NULL_X7Y141 CLASS tile TILEPROP NULL_X7Y141 COLUMN 7 TILEPROP NULL_X7Y141 DEVICE_ID 0 TILEPROP NULL_X7Y141 FIRST_SITE_ID 1470 TILEPROP NULL_X7Y141 GRID_POINT_X 7 TILEPROP NULL_X7Y141 GRID_POINT_Y 15 TILEPROP NULL_X7Y141 INDEX 1732 TILEPROP NULL_X7Y141 INT_TILE_X 1 TILEPROP NULL_X7Y141 INT_TILE_Y 14 TILEPROP NULL_X7Y141 IS_CENTER_TILE 0 TILEPROP NULL_X7Y141 IS_DCM_TILE 0 TILEPROP NULL_X7Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y141 NAME NULL_X7Y141 TILEPROP NULL_X7Y141 NUM_ARCS 0 TILEPROP NULL_X7Y141 NUM_SITES 0 TILEPROP NULL_X7Y141 ROW 15 TILEPROP NULL_X7Y141 SLR_REGION_ID 0 TILEPROP NULL_X7Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y141 TILE_TYPE NULL TILEPROP NULL_X7Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y141 TILE_X -91918 TILEPROP NULL_X7Y141 TILE_Y 194296 TILEPROP NULL_X7Y141 TYPE NULL TILEPROP NULL_X7Y142 CLASS tile TILEPROP NULL_X7Y142 COLUMN 7 TILEPROP NULL_X7Y142 DEVICE_ID 0 TILEPROP NULL_X7Y142 FIRST_SITE_ID 1334 TILEPROP NULL_X7Y142 GRID_POINT_X 7 TILEPROP NULL_X7Y142 GRID_POINT_Y 14 TILEPROP NULL_X7Y142 INDEX 1617 TILEPROP NULL_X7Y142 INT_TILE_X 1 TILEPROP NULL_X7Y142 INT_TILE_Y 13 TILEPROP NULL_X7Y142 IS_CENTER_TILE 0 TILEPROP NULL_X7Y142 IS_DCM_TILE 0 TILEPROP NULL_X7Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y142 NAME NULL_X7Y142 TILEPROP NULL_X7Y142 NUM_ARCS 0 TILEPROP NULL_X7Y142 NUM_SITES 0 TILEPROP NULL_X7Y142 ROW 14 TILEPROP NULL_X7Y142 SLR_REGION_ID 0 TILEPROP NULL_X7Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y142 TILE_TYPE NULL TILEPROP NULL_X7Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y142 TILE_X -91918 TILEPROP NULL_X7Y142 TILE_Y 197496 TILEPROP NULL_X7Y142 TYPE NULL TILEPROP NULL_X7Y143 CLASS tile TILEPROP NULL_X7Y143 COLUMN 7 TILEPROP NULL_X7Y143 DEVICE_ID 0 TILEPROP NULL_X7Y143 FIRST_SITE_ID 1238 TILEPROP NULL_X7Y143 GRID_POINT_X 7 TILEPROP NULL_X7Y143 GRID_POINT_Y 13 TILEPROP NULL_X7Y143 INDEX 1502 TILEPROP NULL_X7Y143 INT_TILE_X 1 TILEPROP NULL_X7Y143 INT_TILE_Y 12 TILEPROP NULL_X7Y143 IS_CENTER_TILE 0 TILEPROP NULL_X7Y143 IS_DCM_TILE 0 TILEPROP NULL_X7Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y143 NAME NULL_X7Y143 TILEPROP NULL_X7Y143 NUM_ARCS 0 TILEPROP NULL_X7Y143 NUM_SITES 0 TILEPROP NULL_X7Y143 ROW 13 TILEPROP NULL_X7Y143 SLR_REGION_ID 0 TILEPROP NULL_X7Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y143 TILE_TYPE NULL TILEPROP NULL_X7Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y143 TILE_X -91918 TILEPROP NULL_X7Y143 TILE_Y 200696 TILEPROP NULL_X7Y143 TYPE NULL TILEPROP NULL_X7Y144 CLASS tile TILEPROP NULL_X7Y144 COLUMN 7 TILEPROP NULL_X7Y144 DEVICE_ID 0 TILEPROP NULL_X7Y144 FIRST_SITE_ID 1134 TILEPROP NULL_X7Y144 GRID_POINT_X 7 TILEPROP NULL_X7Y144 GRID_POINT_Y 12 TILEPROP NULL_X7Y144 INDEX 1387 TILEPROP NULL_X7Y144 INT_TILE_X 1 TILEPROP NULL_X7Y144 INT_TILE_Y 11 TILEPROP NULL_X7Y144 IS_CENTER_TILE 0 TILEPROP NULL_X7Y144 IS_DCM_TILE 0 TILEPROP NULL_X7Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y144 NAME NULL_X7Y144 TILEPROP NULL_X7Y144 NUM_ARCS 0 TILEPROP NULL_X7Y144 NUM_SITES 0 TILEPROP NULL_X7Y144 ROW 12 TILEPROP NULL_X7Y144 SLR_REGION_ID 0 TILEPROP NULL_X7Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y144 TILE_TYPE NULL TILEPROP NULL_X7Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y144 TILE_X -91918 TILEPROP NULL_X7Y144 TILE_Y 203896 TILEPROP NULL_X7Y144 TYPE NULL TILEPROP NULL_X7Y145 CLASS tile TILEPROP NULL_X7Y145 COLUMN 7 TILEPROP NULL_X7Y145 DEVICE_ID 0 TILEPROP NULL_X7Y145 FIRST_SITE_ID 1038 TILEPROP NULL_X7Y145 GRID_POINT_X 7 TILEPROP NULL_X7Y145 GRID_POINT_Y 11 TILEPROP NULL_X7Y145 INDEX 1272 TILEPROP NULL_X7Y145 INT_TILE_X 1 TILEPROP NULL_X7Y145 INT_TILE_Y 10 TILEPROP NULL_X7Y145 IS_CENTER_TILE 0 TILEPROP NULL_X7Y145 IS_DCM_TILE 0 TILEPROP NULL_X7Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y145 NAME NULL_X7Y145 TILEPROP NULL_X7Y145 NUM_ARCS 0 TILEPROP NULL_X7Y145 NUM_SITES 0 TILEPROP NULL_X7Y145 ROW 11 TILEPROP NULL_X7Y145 SLR_REGION_ID 0 TILEPROP NULL_X7Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y145 TILE_TYPE NULL TILEPROP NULL_X7Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y145 TILE_X -91918 TILEPROP NULL_X7Y145 TILE_Y 207096 TILEPROP NULL_X7Y145 TYPE NULL TILEPROP NULL_X7Y146 CLASS tile TILEPROP NULL_X7Y146 COLUMN 7 TILEPROP NULL_X7Y146 DEVICE_ID 0 TILEPROP NULL_X7Y146 FIRST_SITE_ID 922 TILEPROP NULL_X7Y146 GRID_POINT_X 7 TILEPROP NULL_X7Y146 GRID_POINT_Y 10 TILEPROP NULL_X7Y146 INDEX 1157 TILEPROP NULL_X7Y146 INT_TILE_X 1 TILEPROP NULL_X7Y146 INT_TILE_Y 9 TILEPROP NULL_X7Y146 IS_CENTER_TILE 0 TILEPROP NULL_X7Y146 IS_DCM_TILE 0 TILEPROP NULL_X7Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y146 NAME NULL_X7Y146 TILEPROP NULL_X7Y146 NUM_ARCS 0 TILEPROP NULL_X7Y146 NUM_SITES 0 TILEPROP NULL_X7Y146 ROW 10 TILEPROP NULL_X7Y146 SLR_REGION_ID 0 TILEPROP NULL_X7Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y146 TILE_TYPE NULL TILEPROP NULL_X7Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y146 TILE_X -91918 TILEPROP NULL_X7Y146 TILE_Y 210296 TILEPROP NULL_X7Y146 TYPE NULL TILEPROP NULL_X7Y147 CLASS tile TILEPROP NULL_X7Y147 COLUMN 7 TILEPROP NULL_X7Y147 DEVICE_ID 0 TILEPROP NULL_X7Y147 FIRST_SITE_ID 826 TILEPROP NULL_X7Y147 GRID_POINT_X 7 TILEPROP NULL_X7Y147 GRID_POINT_Y 9 TILEPROP NULL_X7Y147 INDEX 1042 TILEPROP NULL_X7Y147 INT_TILE_X 1 TILEPROP NULL_X7Y147 INT_TILE_Y 8 TILEPROP NULL_X7Y147 IS_CENTER_TILE 0 TILEPROP NULL_X7Y147 IS_DCM_TILE 0 TILEPROP NULL_X7Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y147 NAME NULL_X7Y147 TILEPROP NULL_X7Y147 NUM_ARCS 0 TILEPROP NULL_X7Y147 NUM_SITES 0 TILEPROP NULL_X7Y147 ROW 9 TILEPROP NULL_X7Y147 SLR_REGION_ID 0 TILEPROP NULL_X7Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y147 TILE_TYPE NULL TILEPROP NULL_X7Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y147 TILE_X -91918 TILEPROP NULL_X7Y147 TILE_Y 213496 TILEPROP NULL_X7Y147 TYPE NULL TILEPROP NULL_X7Y148 CLASS tile TILEPROP NULL_X7Y148 COLUMN 7 TILEPROP NULL_X7Y148 DEVICE_ID 0 TILEPROP NULL_X7Y148 FIRST_SITE_ID 721 TILEPROP NULL_X7Y148 GRID_POINT_X 7 TILEPROP NULL_X7Y148 GRID_POINT_Y 8 TILEPROP NULL_X7Y148 INDEX 927 TILEPROP NULL_X7Y148 INT_TILE_X 1 TILEPROP NULL_X7Y148 INT_TILE_Y 7 TILEPROP NULL_X7Y148 IS_CENTER_TILE 0 TILEPROP NULL_X7Y148 IS_DCM_TILE 0 TILEPROP NULL_X7Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y148 NAME NULL_X7Y148 TILEPROP NULL_X7Y148 NUM_ARCS 0 TILEPROP NULL_X7Y148 NUM_SITES 0 TILEPROP NULL_X7Y148 ROW 8 TILEPROP NULL_X7Y148 SLR_REGION_ID 0 TILEPROP NULL_X7Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y148 TILE_TYPE NULL TILEPROP NULL_X7Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y148 TILE_X -91918 TILEPROP NULL_X7Y148 TILE_Y 216696 TILEPROP NULL_X7Y148 TYPE NULL TILEPROP NULL_X7Y150 CLASS tile TILEPROP NULL_X7Y150 COLUMN 7 TILEPROP NULL_X7Y150 DEVICE_ID 0 TILEPROP NULL_X7Y150 FIRST_SITE_ID 514 TILEPROP NULL_X7Y150 GRID_POINT_X 7 TILEPROP NULL_X7Y150 GRID_POINT_Y 6 TILEPROP NULL_X7Y150 INDEX 697 TILEPROP NULL_X7Y150 INT_TILE_X 1 TILEPROP NULL_X7Y150 INT_TILE_Y 5 TILEPROP NULL_X7Y150 IS_CENTER_TILE 0 TILEPROP NULL_X7Y150 IS_DCM_TILE 0 TILEPROP NULL_X7Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y150 NAME NULL_X7Y150 TILEPROP NULL_X7Y150 NUM_ARCS 0 TILEPROP NULL_X7Y150 NUM_SITES 0 TILEPROP NULL_X7Y150 ROW 6 TILEPROP NULL_X7Y150 SLR_REGION_ID 0 TILEPROP NULL_X7Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y150 TILE_TYPE NULL TILEPROP NULL_X7Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y150 TILE_X -91918 TILEPROP NULL_X7Y150 TILE_Y 223096 TILEPROP NULL_X7Y150 TYPE NULL TILEPROP NULL_X7Y151 CLASS tile TILEPROP NULL_X7Y151 COLUMN 7 TILEPROP NULL_X7Y151 DEVICE_ID 0 TILEPROP NULL_X7Y151 FIRST_SITE_ID 406 TILEPROP NULL_X7Y151 GRID_POINT_X 7 TILEPROP NULL_X7Y151 GRID_POINT_Y 5 TILEPROP NULL_X7Y151 INDEX 582 TILEPROP NULL_X7Y151 INT_TILE_X 1 TILEPROP NULL_X7Y151 INT_TILE_Y 4 TILEPROP NULL_X7Y151 IS_CENTER_TILE 0 TILEPROP NULL_X7Y151 IS_DCM_TILE 0 TILEPROP NULL_X7Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y151 NAME NULL_X7Y151 TILEPROP NULL_X7Y151 NUM_ARCS 0 TILEPROP NULL_X7Y151 NUM_SITES 0 TILEPROP NULL_X7Y151 ROW 5 TILEPROP NULL_X7Y151 SLR_REGION_ID 0 TILEPROP NULL_X7Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y151 TILE_TYPE NULL TILEPROP NULL_X7Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y151 TILE_X -91918 TILEPROP NULL_X7Y151 TILE_Y 226296 TILEPROP NULL_X7Y151 TYPE NULL TILEPROP NULL_X7Y152 CLASS tile TILEPROP NULL_X7Y152 COLUMN 7 TILEPROP NULL_X7Y152 DEVICE_ID 0 TILEPROP NULL_X7Y152 FIRST_SITE_ID 302 TILEPROP NULL_X7Y152 GRID_POINT_X 7 TILEPROP NULL_X7Y152 GRID_POINT_Y 4 TILEPROP NULL_X7Y152 INDEX 467 TILEPROP NULL_X7Y152 INT_TILE_X 1 TILEPROP NULL_X7Y152 INT_TILE_Y 3 TILEPROP NULL_X7Y152 IS_CENTER_TILE 0 TILEPROP NULL_X7Y152 IS_DCM_TILE 0 TILEPROP NULL_X7Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y152 NAME NULL_X7Y152 TILEPROP NULL_X7Y152 NUM_ARCS 0 TILEPROP NULL_X7Y152 NUM_SITES 0 TILEPROP NULL_X7Y152 ROW 4 TILEPROP NULL_X7Y152 SLR_REGION_ID 0 TILEPROP NULL_X7Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y152 TILE_TYPE NULL TILEPROP NULL_X7Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y152 TILE_X -91918 TILEPROP NULL_X7Y152 TILE_Y 229496 TILEPROP NULL_X7Y152 TYPE NULL TILEPROP NULL_X7Y153 CLASS tile TILEPROP NULL_X7Y153 COLUMN 7 TILEPROP NULL_X7Y153 DEVICE_ID 0 TILEPROP NULL_X7Y153 FIRST_SITE_ID 206 TILEPROP NULL_X7Y153 GRID_POINT_X 7 TILEPROP NULL_X7Y153 GRID_POINT_Y 3 TILEPROP NULL_X7Y153 INDEX 352 TILEPROP NULL_X7Y153 INT_TILE_X 1 TILEPROP NULL_X7Y153 INT_TILE_Y 2 TILEPROP NULL_X7Y153 IS_CENTER_TILE 0 TILEPROP NULL_X7Y153 IS_DCM_TILE 0 TILEPROP NULL_X7Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y153 NAME NULL_X7Y153 TILEPROP NULL_X7Y153 NUM_ARCS 0 TILEPROP NULL_X7Y153 NUM_SITES 0 TILEPROP NULL_X7Y153 ROW 3 TILEPROP NULL_X7Y153 SLR_REGION_ID 0 TILEPROP NULL_X7Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y153 TILE_TYPE NULL TILEPROP NULL_X7Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y153 TILE_X -91918 TILEPROP NULL_X7Y153 TILE_Y 232696 TILEPROP NULL_X7Y153 TYPE NULL TILEPROP NULL_X7Y154 CLASS tile TILEPROP NULL_X7Y154 COLUMN 7 TILEPROP NULL_X7Y154 DEVICE_ID 0 TILEPROP NULL_X7Y154 FIRST_SITE_ID 102 TILEPROP NULL_X7Y154 GRID_POINT_X 7 TILEPROP NULL_X7Y154 GRID_POINT_Y 2 TILEPROP NULL_X7Y154 INDEX 237 TILEPROP NULL_X7Y154 INT_TILE_X 1 TILEPROP NULL_X7Y154 INT_TILE_Y 1 TILEPROP NULL_X7Y154 IS_CENTER_TILE 0 TILEPROP NULL_X7Y154 IS_DCM_TILE 0 TILEPROP NULL_X7Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y154 NAME NULL_X7Y154 TILEPROP NULL_X7Y154 NUM_ARCS 0 TILEPROP NULL_X7Y154 NUM_SITES 0 TILEPROP NULL_X7Y154 ROW 2 TILEPROP NULL_X7Y154 SLR_REGION_ID 0 TILEPROP NULL_X7Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y154 TILE_TYPE NULL TILEPROP NULL_X7Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y154 TILE_X -91918 TILEPROP NULL_X7Y154 TILE_Y 235896 TILEPROP NULL_X7Y154 TYPE NULL TILEPROP NULL_X7Y156 CLASS tile TILEPROP NULL_X7Y156 COLUMN 7 TILEPROP NULL_X7Y156 DEVICE_ID 0 TILEPROP NULL_X7Y156 FIRST_SITE_ID 0 TILEPROP NULL_X7Y156 GRID_POINT_X 7 TILEPROP NULL_X7Y156 GRID_POINT_Y 0 TILEPROP NULL_X7Y156 INDEX 7 TILEPROP NULL_X7Y156 INT_TILE_X -1 TILEPROP NULL_X7Y156 INT_TILE_Y -1 TILEPROP NULL_X7Y156 IS_CENTER_TILE 0 TILEPROP NULL_X7Y156 IS_DCM_TILE 0 TILEPROP NULL_X7Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X7Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X7Y156 NAME NULL_X7Y156 TILEPROP NULL_X7Y156 NUM_ARCS 0 TILEPROP NULL_X7Y156 NUM_SITES 0 TILEPROP NULL_X7Y156 ROW 0 TILEPROP NULL_X7Y156 SLR_REGION_ID 0 TILEPROP NULL_X7Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X7Y156 TILE_TYPE NULL TILEPROP NULL_X7Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X7Y156 TILE_X -91918 TILEPROP NULL_X7Y156 TILE_Y 242296 TILEPROP NULL_X7Y156 TYPE NULL TILEPROP NULL_X80Y0 CLASS tile TILEPROP NULL_X80Y0 COLUMN 80 TILEPROP NULL_X80Y0 DEVICE_ID 0 TILEPROP NULL_X80Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X80Y0 GRID_POINT_X 80 TILEPROP NULL_X80Y0 GRID_POINT_Y 156 TILEPROP NULL_X80Y0 INDEX 18020 TILEPROP NULL_X80Y0 INT_TILE_X 31 TILEPROP NULL_X80Y0 INT_TILE_Y 149 TILEPROP NULL_X80Y0 IS_CENTER_TILE 0 TILEPROP NULL_X80Y0 IS_DCM_TILE 0 TILEPROP NULL_X80Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X80Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X80Y0 NAME NULL_X80Y0 TILEPROP NULL_X80Y0 NUM_ARCS 0 TILEPROP NULL_X80Y0 NUM_SITES 0 TILEPROP NULL_X80Y0 ROW 156 TILEPROP NULL_X80Y0 SLR_REGION_ID 0 TILEPROP NULL_X80Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X80Y0 TILE_TYPE NULL TILEPROP NULL_X80Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X80Y0 TILE_X 47664 TILEPROP NULL_X80Y0 TILE_Y -244472 TILEPROP NULL_X80Y0 TYPE NULL TILEPROP NULL_X80Y52 CLASS tile TILEPROP NULL_X80Y52 COLUMN 80 TILEPROP NULL_X80Y52 DEVICE_ID 0 TILEPROP NULL_X80Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X80Y52 GRID_POINT_X 80 TILEPROP NULL_X80Y52 GRID_POINT_Y 104 TILEPROP NULL_X80Y52 INDEX 12040 TILEPROP NULL_X80Y52 INT_TILE_X 31 TILEPROP NULL_X80Y52 INT_TILE_Y 99 TILEPROP NULL_X80Y52 IS_CENTER_TILE 0 TILEPROP NULL_X80Y52 IS_DCM_TILE 0 TILEPROP NULL_X80Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X80Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X80Y52 NAME NULL_X80Y52 TILEPROP NULL_X80Y52 NUM_ARCS 0 TILEPROP NULL_X80Y52 NUM_SITES 0 TILEPROP NULL_X80Y52 ROW 104 TILEPROP NULL_X80Y52 SLR_REGION_ID 0 TILEPROP NULL_X80Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X80Y52 TILE_TYPE NULL TILEPROP NULL_X80Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X80Y52 TILE_X 47664 TILEPROP NULL_X80Y52 TILE_Y -80248 TILEPROP NULL_X80Y52 TYPE NULL TILEPROP NULL_X80Y104 CLASS tile TILEPROP NULL_X80Y104 COLUMN 80 TILEPROP NULL_X80Y104 DEVICE_ID 0 TILEPROP NULL_X80Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X80Y104 GRID_POINT_X 80 TILEPROP NULL_X80Y104 GRID_POINT_Y 52 TILEPROP NULL_X80Y104 INDEX 6060 TILEPROP NULL_X80Y104 INT_TILE_X 31 TILEPROP NULL_X80Y104 INT_TILE_Y 49 TILEPROP NULL_X80Y104 IS_CENTER_TILE 0 TILEPROP NULL_X80Y104 IS_DCM_TILE 0 TILEPROP NULL_X80Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X80Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X80Y104 NAME NULL_X80Y104 TILEPROP NULL_X80Y104 NUM_ARCS 0 TILEPROP NULL_X80Y104 NUM_SITES 0 TILEPROP NULL_X80Y104 ROW 52 TILEPROP NULL_X80Y104 SLR_REGION_ID 0 TILEPROP NULL_X80Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X80Y104 TILE_TYPE NULL TILEPROP NULL_X80Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X80Y104 TILE_X 47664 TILEPROP NULL_X80Y104 TILE_Y 81024 TILEPROP NULL_X80Y104 TYPE NULL TILEPROP NULL_X80Y156 CLASS tile TILEPROP NULL_X80Y156 COLUMN 80 TILEPROP NULL_X80Y156 DEVICE_ID 0 TILEPROP NULL_X80Y156 FIRST_SITE_ID 0 TILEPROP NULL_X80Y156 GRID_POINT_X 80 TILEPROP NULL_X80Y156 GRID_POINT_Y 0 TILEPROP NULL_X80Y156 INDEX 80 TILEPROP NULL_X80Y156 INT_TILE_X -1 TILEPROP NULL_X80Y156 INT_TILE_Y -1 TILEPROP NULL_X80Y156 IS_CENTER_TILE 0 TILEPROP NULL_X80Y156 IS_DCM_TILE 0 TILEPROP NULL_X80Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X80Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X80Y156 NAME NULL_X80Y156 TILEPROP NULL_X80Y156 NUM_ARCS 0 TILEPROP NULL_X80Y156 NUM_SITES 0 TILEPROP NULL_X80Y156 ROW 0 TILEPROP NULL_X80Y156 SLR_REGION_ID 0 TILEPROP NULL_X80Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X80Y156 TILE_TYPE NULL TILEPROP NULL_X80Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X80Y156 TILE_X 47664 TILEPROP NULL_X80Y156 TILE_Y 242296 TILEPROP NULL_X80Y156 TYPE NULL TILEPROP NULL_X81Y0 CLASS tile TILEPROP NULL_X81Y0 COLUMN 81 TILEPROP NULL_X81Y0 DEVICE_ID 0 TILEPROP NULL_X81Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X81Y0 GRID_POINT_X 81 TILEPROP NULL_X81Y0 GRID_POINT_Y 156 TILEPROP NULL_X81Y0 INDEX 18021 TILEPROP NULL_X81Y0 INT_TILE_X 31 TILEPROP NULL_X81Y0 INT_TILE_Y 149 TILEPROP NULL_X81Y0 IS_CENTER_TILE 0 TILEPROP NULL_X81Y0 IS_DCM_TILE 0 TILEPROP NULL_X81Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X81Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X81Y0 NAME NULL_X81Y0 TILEPROP NULL_X81Y0 NUM_ARCS 0 TILEPROP NULL_X81Y0 NUM_SITES 0 TILEPROP NULL_X81Y0 ROW 156 TILEPROP NULL_X81Y0 SLR_REGION_ID 0 TILEPROP NULL_X81Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X81Y0 TILE_TYPE NULL TILEPROP NULL_X81Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X81Y0 TILE_X 47728 TILEPROP NULL_X81Y0 TILE_Y -244472 TILEPROP NULL_X81Y0 TYPE NULL TILEPROP NULL_X81Y156 CLASS tile TILEPROP NULL_X81Y156 COLUMN 81 TILEPROP NULL_X81Y156 DEVICE_ID 0 TILEPROP NULL_X81Y156 FIRST_SITE_ID 0 TILEPROP NULL_X81Y156 GRID_POINT_X 81 TILEPROP NULL_X81Y156 GRID_POINT_Y 0 TILEPROP NULL_X81Y156 INDEX 81 TILEPROP NULL_X81Y156 INT_TILE_X -1 TILEPROP NULL_X81Y156 INT_TILE_Y -1 TILEPROP NULL_X81Y156 IS_CENTER_TILE 0 TILEPROP NULL_X81Y156 IS_DCM_TILE 0 TILEPROP NULL_X81Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X81Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X81Y156 NAME NULL_X81Y156 TILEPROP NULL_X81Y156 NUM_ARCS 0 TILEPROP NULL_X81Y156 NUM_SITES 0 TILEPROP NULL_X81Y156 ROW 0 TILEPROP NULL_X81Y156 SLR_REGION_ID 0 TILEPROP NULL_X81Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X81Y156 TILE_TYPE NULL TILEPROP NULL_X81Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X81Y156 TILE_X 47728 TILEPROP NULL_X81Y156 TILE_Y 242296 TILEPROP NULL_X81Y156 TYPE NULL TILEPROP NULL_X84Y0 CLASS tile TILEPROP NULL_X84Y0 COLUMN 84 TILEPROP NULL_X84Y0 DEVICE_ID 0 TILEPROP NULL_X84Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X84Y0 GRID_POINT_X 84 TILEPROP NULL_X84Y0 GRID_POINT_Y 156 TILEPROP NULL_X84Y0 INDEX 18024 TILEPROP NULL_X84Y0 INT_TILE_X 33 TILEPROP NULL_X84Y0 INT_TILE_Y 149 TILEPROP NULL_X84Y0 IS_CENTER_TILE 0 TILEPROP NULL_X84Y0 IS_DCM_TILE 0 TILEPROP NULL_X84Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X84Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X84Y0 NAME NULL_X84Y0 TILEPROP NULL_X84Y0 NUM_ARCS 0 TILEPROP NULL_X84Y0 NUM_SITES 0 TILEPROP NULL_X84Y0 ROW 156 TILEPROP NULL_X84Y0 SLR_REGION_ID 0 TILEPROP NULL_X84Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X84Y0 TILE_TYPE NULL TILEPROP NULL_X84Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X84Y0 TILE_X 55336 TILEPROP NULL_X84Y0 TILE_Y -244472 TILEPROP NULL_X84Y0 TYPE NULL TILEPROP NULL_X84Y156 CLASS tile TILEPROP NULL_X84Y156 COLUMN 84 TILEPROP NULL_X84Y156 DEVICE_ID 0 TILEPROP NULL_X84Y156 FIRST_SITE_ID 0 TILEPROP NULL_X84Y156 GRID_POINT_X 84 TILEPROP NULL_X84Y156 GRID_POINT_Y 0 TILEPROP NULL_X84Y156 INDEX 84 TILEPROP NULL_X84Y156 INT_TILE_X -1 TILEPROP NULL_X84Y156 INT_TILE_Y -1 TILEPROP NULL_X84Y156 IS_CENTER_TILE 0 TILEPROP NULL_X84Y156 IS_DCM_TILE 0 TILEPROP NULL_X84Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X84Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X84Y156 NAME NULL_X84Y156 TILEPROP NULL_X84Y156 NUM_ARCS 0 TILEPROP NULL_X84Y156 NUM_SITES 0 TILEPROP NULL_X84Y156 ROW 0 TILEPROP NULL_X84Y156 SLR_REGION_ID 0 TILEPROP NULL_X84Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X84Y156 TILE_TYPE NULL TILEPROP NULL_X84Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X84Y156 TILE_X 55336 TILEPROP NULL_X84Y156 TILE_Y 242296 TILEPROP NULL_X84Y156 TYPE NULL TILEPROP NULL_X85Y0 CLASS tile TILEPROP NULL_X85Y0 COLUMN 85 TILEPROP NULL_X85Y0 DEVICE_ID 0 TILEPROP NULL_X85Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X85Y0 GRID_POINT_X 85 TILEPROP NULL_X85Y0 GRID_POINT_Y 156 TILEPROP NULL_X85Y0 INDEX 18025 TILEPROP NULL_X85Y0 INT_TILE_X 33 TILEPROP NULL_X85Y0 INT_TILE_Y 149 TILEPROP NULL_X85Y0 IS_CENTER_TILE 0 TILEPROP NULL_X85Y0 IS_DCM_TILE 0 TILEPROP NULL_X85Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X85Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X85Y0 NAME NULL_X85Y0 TILEPROP NULL_X85Y0 NUM_ARCS 0 TILEPROP NULL_X85Y0 NUM_SITES 0 TILEPROP NULL_X85Y0 ROW 156 TILEPROP NULL_X85Y0 SLR_REGION_ID 0 TILEPROP NULL_X85Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X85Y0 TILE_TYPE NULL TILEPROP NULL_X85Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X85Y0 TILE_X 56032 TILEPROP NULL_X85Y0 TILE_Y -244472 TILEPROP NULL_X85Y0 TYPE NULL TILEPROP NULL_X85Y52 CLASS tile TILEPROP NULL_X85Y52 COLUMN 85 TILEPROP NULL_X85Y52 DEVICE_ID 0 TILEPROP NULL_X85Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X85Y52 GRID_POINT_X 85 TILEPROP NULL_X85Y52 GRID_POINT_Y 104 TILEPROP NULL_X85Y52 INDEX 12045 TILEPROP NULL_X85Y52 INT_TILE_X 33 TILEPROP NULL_X85Y52 INT_TILE_Y 99 TILEPROP NULL_X85Y52 IS_CENTER_TILE 0 TILEPROP NULL_X85Y52 IS_DCM_TILE 0 TILEPROP NULL_X85Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X85Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X85Y52 NAME NULL_X85Y52 TILEPROP NULL_X85Y52 NUM_ARCS 0 TILEPROP NULL_X85Y52 NUM_SITES 0 TILEPROP NULL_X85Y52 ROW 104 TILEPROP NULL_X85Y52 SLR_REGION_ID 0 TILEPROP NULL_X85Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X85Y52 TILE_TYPE NULL TILEPROP NULL_X85Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X85Y52 TILE_X 56032 TILEPROP NULL_X85Y52 TILE_Y -80248 TILEPROP NULL_X85Y52 TYPE NULL TILEPROP NULL_X85Y104 CLASS tile TILEPROP NULL_X85Y104 COLUMN 85 TILEPROP NULL_X85Y104 DEVICE_ID 0 TILEPROP NULL_X85Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X85Y104 GRID_POINT_X 85 TILEPROP NULL_X85Y104 GRID_POINT_Y 52 TILEPROP NULL_X85Y104 INDEX 6065 TILEPROP NULL_X85Y104 INT_TILE_X 33 TILEPROP NULL_X85Y104 INT_TILE_Y 49 TILEPROP NULL_X85Y104 IS_CENTER_TILE 0 TILEPROP NULL_X85Y104 IS_DCM_TILE 0 TILEPROP NULL_X85Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X85Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X85Y104 NAME NULL_X85Y104 TILEPROP NULL_X85Y104 NUM_ARCS 0 TILEPROP NULL_X85Y104 NUM_SITES 0 TILEPROP NULL_X85Y104 ROW 52 TILEPROP NULL_X85Y104 SLR_REGION_ID 0 TILEPROP NULL_X85Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X85Y104 TILE_TYPE NULL TILEPROP NULL_X85Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X85Y104 TILE_X 56032 TILEPROP NULL_X85Y104 TILE_Y 81024 TILEPROP NULL_X85Y104 TYPE NULL TILEPROP NULL_X85Y156 CLASS tile TILEPROP NULL_X85Y156 COLUMN 85 TILEPROP NULL_X85Y156 DEVICE_ID 0 TILEPROP NULL_X85Y156 FIRST_SITE_ID 0 TILEPROP NULL_X85Y156 GRID_POINT_X 85 TILEPROP NULL_X85Y156 GRID_POINT_Y 0 TILEPROP NULL_X85Y156 INDEX 85 TILEPROP NULL_X85Y156 INT_TILE_X -1 TILEPROP NULL_X85Y156 INT_TILE_Y -1 TILEPROP NULL_X85Y156 IS_CENTER_TILE 0 TILEPROP NULL_X85Y156 IS_DCM_TILE 0 TILEPROP NULL_X85Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X85Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X85Y156 NAME NULL_X85Y156 TILEPROP NULL_X85Y156 NUM_ARCS 0 TILEPROP NULL_X85Y156 NUM_SITES 0 TILEPROP NULL_X85Y156 ROW 0 TILEPROP NULL_X85Y156 SLR_REGION_ID 0 TILEPROP NULL_X85Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X85Y156 TILE_TYPE NULL TILEPROP NULL_X85Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X85Y156 TILE_X 56032 TILEPROP NULL_X85Y156 TILE_Y 242296 TILEPROP NULL_X85Y156 TYPE NULL TILEPROP NULL_X86Y0 CLASS tile TILEPROP NULL_X86Y0 COLUMN 86 TILEPROP NULL_X86Y0 DEVICE_ID 0 TILEPROP NULL_X86Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X86Y0 GRID_POINT_X 86 TILEPROP NULL_X86Y0 GRID_POINT_Y 156 TILEPROP NULL_X86Y0 INDEX 18026 TILEPROP NULL_X86Y0 INT_TILE_X 33 TILEPROP NULL_X86Y0 INT_TILE_Y 149 TILEPROP NULL_X86Y0 IS_CENTER_TILE 0 TILEPROP NULL_X86Y0 IS_DCM_TILE 0 TILEPROP NULL_X86Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y0 NAME NULL_X86Y0 TILEPROP NULL_X86Y0 NUM_ARCS 0 TILEPROP NULL_X86Y0 NUM_SITES 0 TILEPROP NULL_X86Y0 ROW 156 TILEPROP NULL_X86Y0 SLR_REGION_ID 0 TILEPROP NULL_X86Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y0 TILE_TYPE NULL TILEPROP NULL_X86Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y0 TILE_X 56096 TILEPROP NULL_X86Y0 TILE_Y -244472 TILEPROP NULL_X86Y0 TYPE NULL TILEPROP NULL_X86Y2 CLASS tile TILEPROP NULL_X86Y2 COLUMN 86 TILEPROP NULL_X86Y2 DEVICE_ID 0 TILEPROP NULL_X86Y2 FIRST_SITE_ID 15672 TILEPROP NULL_X86Y2 GRID_POINT_X 86 TILEPROP NULL_X86Y2 GRID_POINT_Y 154 TILEPROP NULL_X86Y2 INDEX 17796 TILEPROP NULL_X86Y2 INT_TILE_X 33 TILEPROP NULL_X86Y2 INT_TILE_Y 148 TILEPROP NULL_X86Y2 IS_CENTER_TILE 0 TILEPROP NULL_X86Y2 IS_DCM_TILE 0 TILEPROP NULL_X86Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y2 NAME NULL_X86Y2 TILEPROP NULL_X86Y2 NUM_ARCS 0 TILEPROP NULL_X86Y2 NUM_SITES 0 TILEPROP NULL_X86Y2 ROW 154 TILEPROP NULL_X86Y2 SLR_REGION_ID 0 TILEPROP NULL_X86Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y2 TILE_TYPE NULL TILEPROP NULL_X86Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y2 TILE_X 56096 TILEPROP NULL_X86Y2 TILE_Y -238072 TILEPROP NULL_X86Y2 TYPE NULL TILEPROP NULL_X86Y3 CLASS tile TILEPROP NULL_X86Y3 COLUMN 86 TILEPROP NULL_X86Y3 DEVICE_ID 0 TILEPROP NULL_X86Y3 FIRST_SITE_ID 15571 TILEPROP NULL_X86Y3 GRID_POINT_X 86 TILEPROP NULL_X86Y3 GRID_POINT_Y 153 TILEPROP NULL_X86Y3 INDEX 17681 TILEPROP NULL_X86Y3 INT_TILE_X 33 TILEPROP NULL_X86Y3 INT_TILE_Y 147 TILEPROP NULL_X86Y3 IS_CENTER_TILE 0 TILEPROP NULL_X86Y3 IS_DCM_TILE 0 TILEPROP NULL_X86Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y3 NAME NULL_X86Y3 TILEPROP NULL_X86Y3 NUM_ARCS 0 TILEPROP NULL_X86Y3 NUM_SITES 0 TILEPROP NULL_X86Y3 ROW 153 TILEPROP NULL_X86Y3 SLR_REGION_ID 0 TILEPROP NULL_X86Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y3 TILE_TYPE NULL TILEPROP NULL_X86Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y3 TILE_X 56096 TILEPROP NULL_X86Y3 TILE_Y -234872 TILEPROP NULL_X86Y3 TYPE NULL TILEPROP NULL_X86Y4 CLASS tile TILEPROP NULL_X86Y4 COLUMN 86 TILEPROP NULL_X86Y4 DEVICE_ID 0 TILEPROP NULL_X86Y4 FIRST_SITE_ID 15471 TILEPROP NULL_X86Y4 GRID_POINT_X 86 TILEPROP NULL_X86Y4 GRID_POINT_Y 152 TILEPROP NULL_X86Y4 INDEX 17566 TILEPROP NULL_X86Y4 INT_TILE_X 33 TILEPROP NULL_X86Y4 INT_TILE_Y 146 TILEPROP NULL_X86Y4 IS_CENTER_TILE 0 TILEPROP NULL_X86Y4 IS_DCM_TILE 0 TILEPROP NULL_X86Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y4 NAME NULL_X86Y4 TILEPROP NULL_X86Y4 NUM_ARCS 0 TILEPROP NULL_X86Y4 NUM_SITES 0 TILEPROP NULL_X86Y4 ROW 152 TILEPROP NULL_X86Y4 SLR_REGION_ID 0 TILEPROP NULL_X86Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y4 TILE_TYPE NULL TILEPROP NULL_X86Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y4 TILE_X 56096 TILEPROP NULL_X86Y4 TILE_Y -231672 TILEPROP NULL_X86Y4 TYPE NULL TILEPROP NULL_X86Y5 CLASS tile TILEPROP NULL_X86Y5 COLUMN 86 TILEPROP NULL_X86Y5 DEVICE_ID 0 TILEPROP NULL_X86Y5 FIRST_SITE_ID 15371 TILEPROP NULL_X86Y5 GRID_POINT_X 86 TILEPROP NULL_X86Y5 GRID_POINT_Y 151 TILEPROP NULL_X86Y5 INDEX 17451 TILEPROP NULL_X86Y5 INT_TILE_X 33 TILEPROP NULL_X86Y5 INT_TILE_Y 145 TILEPROP NULL_X86Y5 IS_CENTER_TILE 0 TILEPROP NULL_X86Y5 IS_DCM_TILE 0 TILEPROP NULL_X86Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y5 NAME NULL_X86Y5 TILEPROP NULL_X86Y5 NUM_ARCS 0 TILEPROP NULL_X86Y5 NUM_SITES 0 TILEPROP NULL_X86Y5 ROW 151 TILEPROP NULL_X86Y5 SLR_REGION_ID 0 TILEPROP NULL_X86Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y5 TILE_TYPE NULL TILEPROP NULL_X86Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y5 TILE_X 56096 TILEPROP NULL_X86Y5 TILE_Y -228472 TILEPROP NULL_X86Y5 TYPE NULL TILEPROP NULL_X86Y7 CLASS tile TILEPROP NULL_X86Y7 COLUMN 86 TILEPROP NULL_X86Y7 DEVICE_ID 0 TILEPROP NULL_X86Y7 FIRST_SITE_ID 15156 TILEPROP NULL_X86Y7 GRID_POINT_X 86 TILEPROP NULL_X86Y7 GRID_POINT_Y 149 TILEPROP NULL_X86Y7 INDEX 17221 TILEPROP NULL_X86Y7 INT_TILE_X 33 TILEPROP NULL_X86Y7 INT_TILE_Y 143 TILEPROP NULL_X86Y7 IS_CENTER_TILE 0 TILEPROP NULL_X86Y7 IS_DCM_TILE 0 TILEPROP NULL_X86Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y7 NAME NULL_X86Y7 TILEPROP NULL_X86Y7 NUM_ARCS 0 TILEPROP NULL_X86Y7 NUM_SITES 0 TILEPROP NULL_X86Y7 ROW 149 TILEPROP NULL_X86Y7 SLR_REGION_ID 0 TILEPROP NULL_X86Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y7 TILE_TYPE NULL TILEPROP NULL_X86Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y7 TILE_X 56096 TILEPROP NULL_X86Y7 TILE_Y -222072 TILEPROP NULL_X86Y7 TYPE NULL TILEPROP NULL_X86Y8 CLASS tile TILEPROP NULL_X86Y8 COLUMN 86 TILEPROP NULL_X86Y8 DEVICE_ID 0 TILEPROP NULL_X86Y8 FIRST_SITE_ID 15054 TILEPROP NULL_X86Y8 GRID_POINT_X 86 TILEPROP NULL_X86Y8 GRID_POINT_Y 148 TILEPROP NULL_X86Y8 INDEX 17106 TILEPROP NULL_X86Y8 INT_TILE_X 33 TILEPROP NULL_X86Y8 INT_TILE_Y 142 TILEPROP NULL_X86Y8 IS_CENTER_TILE 0 TILEPROP NULL_X86Y8 IS_DCM_TILE 0 TILEPROP NULL_X86Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y8 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y8 NAME NULL_X86Y8 TILEPROP NULL_X86Y8 NUM_ARCS 0 TILEPROP NULL_X86Y8 NUM_SITES 0 TILEPROP NULL_X86Y8 ROW 148 TILEPROP NULL_X86Y8 SLR_REGION_ID 0 TILEPROP NULL_X86Y8 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y8 TILE_TYPE NULL TILEPROP NULL_X86Y8 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y8 TILE_X 56096 TILEPROP NULL_X86Y8 TILE_Y -218872 TILEPROP NULL_X86Y8 TYPE NULL TILEPROP NULL_X86Y9 CLASS tile TILEPROP NULL_X86Y9 COLUMN 86 TILEPROP NULL_X86Y9 DEVICE_ID 0 TILEPROP NULL_X86Y9 FIRST_SITE_ID 14951 TILEPROP NULL_X86Y9 GRID_POINT_X 86 TILEPROP NULL_X86Y9 GRID_POINT_Y 147 TILEPROP NULL_X86Y9 INDEX 16991 TILEPROP NULL_X86Y9 INT_TILE_X 33 TILEPROP NULL_X86Y9 INT_TILE_Y 141 TILEPROP NULL_X86Y9 IS_CENTER_TILE 0 TILEPROP NULL_X86Y9 IS_DCM_TILE 0 TILEPROP NULL_X86Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y9 NAME NULL_X86Y9 TILEPROP NULL_X86Y9 NUM_ARCS 0 TILEPROP NULL_X86Y9 NUM_SITES 0 TILEPROP NULL_X86Y9 ROW 147 TILEPROP NULL_X86Y9 SLR_REGION_ID 0 TILEPROP NULL_X86Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y9 TILE_TYPE NULL TILEPROP NULL_X86Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y9 TILE_X 56096 TILEPROP NULL_X86Y9 TILE_Y -215672 TILEPROP NULL_X86Y9 TYPE NULL TILEPROP NULL_X86Y10 CLASS tile TILEPROP NULL_X86Y10 COLUMN 86 TILEPROP NULL_X86Y10 DEVICE_ID 0 TILEPROP NULL_X86Y10 FIRST_SITE_ID 14850 TILEPROP NULL_X86Y10 GRID_POINT_X 86 TILEPROP NULL_X86Y10 GRID_POINT_Y 146 TILEPROP NULL_X86Y10 INDEX 16876 TILEPROP NULL_X86Y10 INT_TILE_X 33 TILEPROP NULL_X86Y10 INT_TILE_Y 140 TILEPROP NULL_X86Y10 IS_CENTER_TILE 0 TILEPROP NULL_X86Y10 IS_DCM_TILE 0 TILEPROP NULL_X86Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y10 NAME NULL_X86Y10 TILEPROP NULL_X86Y10 NUM_ARCS 0 TILEPROP NULL_X86Y10 NUM_SITES 0 TILEPROP NULL_X86Y10 ROW 146 TILEPROP NULL_X86Y10 SLR_REGION_ID 0 TILEPROP NULL_X86Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y10 TILE_TYPE NULL TILEPROP NULL_X86Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y10 TILE_X 56096 TILEPROP NULL_X86Y10 TILE_Y -212472 TILEPROP NULL_X86Y10 TYPE NULL TILEPROP NULL_X86Y12 CLASS tile TILEPROP NULL_X86Y12 COLUMN 86 TILEPROP NULL_X86Y12 DEVICE_ID 0 TILEPROP NULL_X86Y12 FIRST_SITE_ID 14635 TILEPROP NULL_X86Y12 GRID_POINT_X 86 TILEPROP NULL_X86Y12 GRID_POINT_Y 144 TILEPROP NULL_X86Y12 INDEX 16646 TILEPROP NULL_X86Y12 INT_TILE_X 33 TILEPROP NULL_X86Y12 INT_TILE_Y 138 TILEPROP NULL_X86Y12 IS_CENTER_TILE 0 TILEPROP NULL_X86Y12 IS_DCM_TILE 0 TILEPROP NULL_X86Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y12 NAME NULL_X86Y12 TILEPROP NULL_X86Y12 NUM_ARCS 0 TILEPROP NULL_X86Y12 NUM_SITES 0 TILEPROP NULL_X86Y12 ROW 144 TILEPROP NULL_X86Y12 SLR_REGION_ID 0 TILEPROP NULL_X86Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y12 TILE_TYPE NULL TILEPROP NULL_X86Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y12 TILE_X 56096 TILEPROP NULL_X86Y12 TILE_Y -206072 TILEPROP NULL_X86Y12 TYPE NULL TILEPROP NULL_X86Y13 CLASS tile TILEPROP NULL_X86Y13 COLUMN 86 TILEPROP NULL_X86Y13 DEVICE_ID 0 TILEPROP NULL_X86Y13 FIRST_SITE_ID 14535 TILEPROP NULL_X86Y13 GRID_POINT_X 86 TILEPROP NULL_X86Y13 GRID_POINT_Y 143 TILEPROP NULL_X86Y13 INDEX 16531 TILEPROP NULL_X86Y13 INT_TILE_X 33 TILEPROP NULL_X86Y13 INT_TILE_Y 137 TILEPROP NULL_X86Y13 IS_CENTER_TILE 0 TILEPROP NULL_X86Y13 IS_DCM_TILE 0 TILEPROP NULL_X86Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y13 NAME NULL_X86Y13 TILEPROP NULL_X86Y13 NUM_ARCS 0 TILEPROP NULL_X86Y13 NUM_SITES 0 TILEPROP NULL_X86Y13 ROW 143 TILEPROP NULL_X86Y13 SLR_REGION_ID 0 TILEPROP NULL_X86Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y13 TILE_TYPE NULL TILEPROP NULL_X86Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y13 TILE_X 56096 TILEPROP NULL_X86Y13 TILE_Y -202872 TILEPROP NULL_X86Y13 TYPE NULL TILEPROP NULL_X86Y14 CLASS tile TILEPROP NULL_X86Y14 COLUMN 86 TILEPROP NULL_X86Y14 DEVICE_ID 0 TILEPROP NULL_X86Y14 FIRST_SITE_ID 14403 TILEPROP NULL_X86Y14 GRID_POINT_X 86 TILEPROP NULL_X86Y14 GRID_POINT_Y 142 TILEPROP NULL_X86Y14 INDEX 16416 TILEPROP NULL_X86Y14 INT_TILE_X 33 TILEPROP NULL_X86Y14 INT_TILE_Y 136 TILEPROP NULL_X86Y14 IS_CENTER_TILE 0 TILEPROP NULL_X86Y14 IS_DCM_TILE 0 TILEPROP NULL_X86Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y14 NAME NULL_X86Y14 TILEPROP NULL_X86Y14 NUM_ARCS 0 TILEPROP NULL_X86Y14 NUM_SITES 0 TILEPROP NULL_X86Y14 ROW 142 TILEPROP NULL_X86Y14 SLR_REGION_ID 0 TILEPROP NULL_X86Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y14 TILE_TYPE NULL TILEPROP NULL_X86Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y14 TILE_X 56096 TILEPROP NULL_X86Y14 TILE_Y -199672 TILEPROP NULL_X86Y14 TYPE NULL TILEPROP NULL_X86Y15 CLASS tile TILEPROP NULL_X86Y15 COLUMN 86 TILEPROP NULL_X86Y15 DEVICE_ID 0 TILEPROP NULL_X86Y15 FIRST_SITE_ID 14303 TILEPROP NULL_X86Y15 GRID_POINT_X 86 TILEPROP NULL_X86Y15 GRID_POINT_Y 141 TILEPROP NULL_X86Y15 INDEX 16301 TILEPROP NULL_X86Y15 INT_TILE_X 33 TILEPROP NULL_X86Y15 INT_TILE_Y 135 TILEPROP NULL_X86Y15 IS_CENTER_TILE 0 TILEPROP NULL_X86Y15 IS_DCM_TILE 0 TILEPROP NULL_X86Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y15 NAME NULL_X86Y15 TILEPROP NULL_X86Y15 NUM_ARCS 0 TILEPROP NULL_X86Y15 NUM_SITES 0 TILEPROP NULL_X86Y15 ROW 141 TILEPROP NULL_X86Y15 SLR_REGION_ID 0 TILEPROP NULL_X86Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y15 TILE_TYPE NULL TILEPROP NULL_X86Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y15 TILE_X 56096 TILEPROP NULL_X86Y15 TILE_Y -196472 TILEPROP NULL_X86Y15 TYPE NULL TILEPROP NULL_X86Y17 CLASS tile TILEPROP NULL_X86Y17 COLUMN 86 TILEPROP NULL_X86Y17 DEVICE_ID 0 TILEPROP NULL_X86Y17 FIRST_SITE_ID 14088 TILEPROP NULL_X86Y17 GRID_POINT_X 86 TILEPROP NULL_X86Y17 GRID_POINT_Y 139 TILEPROP NULL_X86Y17 INDEX 16071 TILEPROP NULL_X86Y17 INT_TILE_X 33 TILEPROP NULL_X86Y17 INT_TILE_Y 133 TILEPROP NULL_X86Y17 IS_CENTER_TILE 0 TILEPROP NULL_X86Y17 IS_DCM_TILE 0 TILEPROP NULL_X86Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y17 NAME NULL_X86Y17 TILEPROP NULL_X86Y17 NUM_ARCS 0 TILEPROP NULL_X86Y17 NUM_SITES 0 TILEPROP NULL_X86Y17 ROW 139 TILEPROP NULL_X86Y17 SLR_REGION_ID 0 TILEPROP NULL_X86Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y17 TILE_TYPE NULL TILEPROP NULL_X86Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y17 TILE_X 56096 TILEPROP NULL_X86Y17 TILE_Y -190072 TILEPROP NULL_X86Y17 TYPE NULL TILEPROP NULL_X86Y18 CLASS tile TILEPROP NULL_X86Y18 COLUMN 86 TILEPROP NULL_X86Y18 DEVICE_ID 0 TILEPROP NULL_X86Y18 FIRST_SITE_ID 13984 TILEPROP NULL_X86Y18 GRID_POINT_X 86 TILEPROP NULL_X86Y18 GRID_POINT_Y 138 TILEPROP NULL_X86Y18 INDEX 15956 TILEPROP NULL_X86Y18 INT_TILE_X 33 TILEPROP NULL_X86Y18 INT_TILE_Y 132 TILEPROP NULL_X86Y18 IS_CENTER_TILE 0 TILEPROP NULL_X86Y18 IS_DCM_TILE 0 TILEPROP NULL_X86Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y18 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y18 NAME NULL_X86Y18 TILEPROP NULL_X86Y18 NUM_ARCS 0 TILEPROP NULL_X86Y18 NUM_SITES 0 TILEPROP NULL_X86Y18 ROW 138 TILEPROP NULL_X86Y18 SLR_REGION_ID 0 TILEPROP NULL_X86Y18 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y18 TILE_TYPE NULL TILEPROP NULL_X86Y18 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y18 TILE_X 56096 TILEPROP NULL_X86Y18 TILE_Y -186872 TILEPROP NULL_X86Y18 TYPE NULL TILEPROP NULL_X86Y19 CLASS tile TILEPROP NULL_X86Y19 COLUMN 86 TILEPROP NULL_X86Y19 DEVICE_ID 0 TILEPROP NULL_X86Y19 FIRST_SITE_ID 13879 TILEPROP NULL_X86Y19 GRID_POINT_X 86 TILEPROP NULL_X86Y19 GRID_POINT_Y 137 TILEPROP NULL_X86Y19 INDEX 15841 TILEPROP NULL_X86Y19 INT_TILE_X 33 TILEPROP NULL_X86Y19 INT_TILE_Y 131 TILEPROP NULL_X86Y19 IS_CENTER_TILE 0 TILEPROP NULL_X86Y19 IS_DCM_TILE 0 TILEPROP NULL_X86Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y19 NAME NULL_X86Y19 TILEPROP NULL_X86Y19 NUM_ARCS 0 TILEPROP NULL_X86Y19 NUM_SITES 0 TILEPROP NULL_X86Y19 ROW 137 TILEPROP NULL_X86Y19 SLR_REGION_ID 0 TILEPROP NULL_X86Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y19 TILE_TYPE NULL TILEPROP NULL_X86Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y19 TILE_X 56096 TILEPROP NULL_X86Y19 TILE_Y -183672 TILEPROP NULL_X86Y19 TYPE NULL TILEPROP NULL_X86Y20 CLASS tile TILEPROP NULL_X86Y20 COLUMN 86 TILEPROP NULL_X86Y20 DEVICE_ID 0 TILEPROP NULL_X86Y20 FIRST_SITE_ID 13777 TILEPROP NULL_X86Y20 GRID_POINT_X 86 TILEPROP NULL_X86Y20 GRID_POINT_Y 136 TILEPROP NULL_X86Y20 INDEX 15726 TILEPROP NULL_X86Y20 INT_TILE_X 33 TILEPROP NULL_X86Y20 INT_TILE_Y 130 TILEPROP NULL_X86Y20 IS_CENTER_TILE 0 TILEPROP NULL_X86Y20 IS_DCM_TILE 0 TILEPROP NULL_X86Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y20 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y20 NAME NULL_X86Y20 TILEPROP NULL_X86Y20 NUM_ARCS 0 TILEPROP NULL_X86Y20 NUM_SITES 0 TILEPROP NULL_X86Y20 ROW 136 TILEPROP NULL_X86Y20 SLR_REGION_ID 0 TILEPROP NULL_X86Y20 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y20 TILE_TYPE NULL TILEPROP NULL_X86Y20 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y20 TILE_X 56096 TILEPROP NULL_X86Y20 TILE_Y -180472 TILEPROP NULL_X86Y20 TYPE NULL TILEPROP NULL_X86Y22 CLASS tile TILEPROP NULL_X86Y22 COLUMN 86 TILEPROP NULL_X86Y22 DEVICE_ID 0 TILEPROP NULL_X86Y22 FIRST_SITE_ID 13560 TILEPROP NULL_X86Y22 GRID_POINT_X 86 TILEPROP NULL_X86Y22 GRID_POINT_Y 134 TILEPROP NULL_X86Y22 INDEX 15496 TILEPROP NULL_X86Y22 INT_TILE_X 33 TILEPROP NULL_X86Y22 INT_TILE_Y 128 TILEPROP NULL_X86Y22 IS_CENTER_TILE 0 TILEPROP NULL_X86Y22 IS_DCM_TILE 0 TILEPROP NULL_X86Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y22 NAME NULL_X86Y22 TILEPROP NULL_X86Y22 NUM_ARCS 0 TILEPROP NULL_X86Y22 NUM_SITES 0 TILEPROP NULL_X86Y22 ROW 134 TILEPROP NULL_X86Y22 SLR_REGION_ID 0 TILEPROP NULL_X86Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y22 TILE_TYPE NULL TILEPROP NULL_X86Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y22 TILE_X 56096 TILEPROP NULL_X86Y22 TILE_Y -174072 TILEPROP NULL_X86Y22 TYPE NULL TILEPROP NULL_X86Y23 CLASS tile TILEPROP NULL_X86Y23 COLUMN 86 TILEPROP NULL_X86Y23 DEVICE_ID 0 TILEPROP NULL_X86Y23 FIRST_SITE_ID 13460 TILEPROP NULL_X86Y23 GRID_POINT_X 86 TILEPROP NULL_X86Y23 GRID_POINT_Y 133 TILEPROP NULL_X86Y23 INDEX 15381 TILEPROP NULL_X86Y23 INT_TILE_X 33 TILEPROP NULL_X86Y23 INT_TILE_Y 127 TILEPROP NULL_X86Y23 IS_CENTER_TILE 0 TILEPROP NULL_X86Y23 IS_DCM_TILE 0 TILEPROP NULL_X86Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y23 NAME NULL_X86Y23 TILEPROP NULL_X86Y23 NUM_ARCS 0 TILEPROP NULL_X86Y23 NUM_SITES 0 TILEPROP NULL_X86Y23 ROW 133 TILEPROP NULL_X86Y23 SLR_REGION_ID 0 TILEPROP NULL_X86Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y23 TILE_TYPE NULL TILEPROP NULL_X86Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y23 TILE_X 56096 TILEPROP NULL_X86Y23 TILE_Y -170872 TILEPROP NULL_X86Y23 TYPE NULL TILEPROP NULL_X86Y24 CLASS tile TILEPROP NULL_X86Y24 COLUMN 86 TILEPROP NULL_X86Y24 DEVICE_ID 0 TILEPROP NULL_X86Y24 FIRST_SITE_ID 13360 TILEPROP NULL_X86Y24 GRID_POINT_X 86 TILEPROP NULL_X86Y24 GRID_POINT_Y 132 TILEPROP NULL_X86Y24 INDEX 15266 TILEPROP NULL_X86Y24 INT_TILE_X 33 TILEPROP NULL_X86Y24 INT_TILE_Y 126 TILEPROP NULL_X86Y24 IS_CENTER_TILE 0 TILEPROP NULL_X86Y24 IS_DCM_TILE 0 TILEPROP NULL_X86Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y24 NAME NULL_X86Y24 TILEPROP NULL_X86Y24 NUM_ARCS 0 TILEPROP NULL_X86Y24 NUM_SITES 0 TILEPROP NULL_X86Y24 ROW 132 TILEPROP NULL_X86Y24 SLR_REGION_ID 0 TILEPROP NULL_X86Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y24 TILE_TYPE NULL TILEPROP NULL_X86Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y24 TILE_X 56096 TILEPROP NULL_X86Y24 TILE_Y -167672 TILEPROP NULL_X86Y24 TYPE NULL TILEPROP NULL_X86Y25 CLASS tile TILEPROP NULL_X86Y25 COLUMN 86 TILEPROP NULL_X86Y25 DEVICE_ID 0 TILEPROP NULL_X86Y25 FIRST_SITE_ID 13260 TILEPROP NULL_X86Y25 GRID_POINT_X 86 TILEPROP NULL_X86Y25 GRID_POINT_Y 131 TILEPROP NULL_X86Y25 INDEX 15151 TILEPROP NULL_X86Y25 INT_TILE_X 33 TILEPROP NULL_X86Y25 INT_TILE_Y 125 TILEPROP NULL_X86Y25 IS_CENTER_TILE 0 TILEPROP NULL_X86Y25 IS_DCM_TILE 0 TILEPROP NULL_X86Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y25 NAME NULL_X86Y25 TILEPROP NULL_X86Y25 NUM_ARCS 0 TILEPROP NULL_X86Y25 NUM_SITES 0 TILEPROP NULL_X86Y25 ROW 131 TILEPROP NULL_X86Y25 SLR_REGION_ID 0 TILEPROP NULL_X86Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y25 TILE_TYPE NULL TILEPROP NULL_X86Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y25 TILE_X 56096 TILEPROP NULL_X86Y25 TILE_Y -164472 TILEPROP NULL_X86Y25 TYPE NULL TILEPROP NULL_X86Y28 CLASS tile TILEPROP NULL_X86Y28 COLUMN 86 TILEPROP NULL_X86Y28 DEVICE_ID 0 TILEPROP NULL_X86Y28 FIRST_SITE_ID 12962 TILEPROP NULL_X86Y28 GRID_POINT_X 86 TILEPROP NULL_X86Y28 GRID_POINT_Y 128 TILEPROP NULL_X86Y28 INDEX 14806 TILEPROP NULL_X86Y28 INT_TILE_X 33 TILEPROP NULL_X86Y28 INT_TILE_Y 123 TILEPROP NULL_X86Y28 IS_CENTER_TILE 0 TILEPROP NULL_X86Y28 IS_DCM_TILE 0 TILEPROP NULL_X86Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y28 NAME NULL_X86Y28 TILEPROP NULL_X86Y28 NUM_ARCS 0 TILEPROP NULL_X86Y28 NUM_SITES 0 TILEPROP NULL_X86Y28 ROW 128 TILEPROP NULL_X86Y28 SLR_REGION_ID 0 TILEPROP NULL_X86Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y28 TILE_TYPE NULL TILEPROP NULL_X86Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y28 TILE_X 56096 TILEPROP NULL_X86Y28 TILE_Y -157048 TILEPROP NULL_X86Y28 TYPE NULL TILEPROP NULL_X86Y29 CLASS tile TILEPROP NULL_X86Y29 COLUMN 86 TILEPROP NULL_X86Y29 DEVICE_ID 0 TILEPROP NULL_X86Y29 FIRST_SITE_ID 12862 TILEPROP NULL_X86Y29 GRID_POINT_X 86 TILEPROP NULL_X86Y29 GRID_POINT_Y 127 TILEPROP NULL_X86Y29 INDEX 14691 TILEPROP NULL_X86Y29 INT_TILE_X 33 TILEPROP NULL_X86Y29 INT_TILE_Y 122 TILEPROP NULL_X86Y29 IS_CENTER_TILE 0 TILEPROP NULL_X86Y29 IS_DCM_TILE 0 TILEPROP NULL_X86Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y29 NAME NULL_X86Y29 TILEPROP NULL_X86Y29 NUM_ARCS 0 TILEPROP NULL_X86Y29 NUM_SITES 0 TILEPROP NULL_X86Y29 ROW 127 TILEPROP NULL_X86Y29 SLR_REGION_ID 0 TILEPROP NULL_X86Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y29 TILE_TYPE NULL TILEPROP NULL_X86Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y29 TILE_X 56096 TILEPROP NULL_X86Y29 TILE_Y -153848 TILEPROP NULL_X86Y29 TYPE NULL TILEPROP NULL_X86Y30 CLASS tile TILEPROP NULL_X86Y30 COLUMN 86 TILEPROP NULL_X86Y30 DEVICE_ID 0 TILEPROP NULL_X86Y30 FIRST_SITE_ID 12762 TILEPROP NULL_X86Y30 GRID_POINT_X 86 TILEPROP NULL_X86Y30 GRID_POINT_Y 126 TILEPROP NULL_X86Y30 INDEX 14576 TILEPROP NULL_X86Y30 INT_TILE_X 33 TILEPROP NULL_X86Y30 INT_TILE_Y 121 TILEPROP NULL_X86Y30 IS_CENTER_TILE 0 TILEPROP NULL_X86Y30 IS_DCM_TILE 0 TILEPROP NULL_X86Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y30 NAME NULL_X86Y30 TILEPROP NULL_X86Y30 NUM_ARCS 0 TILEPROP NULL_X86Y30 NUM_SITES 0 TILEPROP NULL_X86Y30 ROW 126 TILEPROP NULL_X86Y30 SLR_REGION_ID 0 TILEPROP NULL_X86Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y30 TILE_TYPE NULL TILEPROP NULL_X86Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y30 TILE_X 56096 TILEPROP NULL_X86Y30 TILE_Y -150648 TILEPROP NULL_X86Y30 TYPE NULL TILEPROP NULL_X86Y31 CLASS tile TILEPROP NULL_X86Y31 COLUMN 86 TILEPROP NULL_X86Y31 DEVICE_ID 0 TILEPROP NULL_X86Y31 FIRST_SITE_ID 12656 TILEPROP NULL_X86Y31 GRID_POINT_X 86 TILEPROP NULL_X86Y31 GRID_POINT_Y 125 TILEPROP NULL_X86Y31 INDEX 14461 TILEPROP NULL_X86Y31 INT_TILE_X 33 TILEPROP NULL_X86Y31 INT_TILE_Y 120 TILEPROP NULL_X86Y31 IS_CENTER_TILE 0 TILEPROP NULL_X86Y31 IS_DCM_TILE 0 TILEPROP NULL_X86Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y31 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y31 NAME NULL_X86Y31 TILEPROP NULL_X86Y31 NUM_ARCS 0 TILEPROP NULL_X86Y31 NUM_SITES 0 TILEPROP NULL_X86Y31 ROW 125 TILEPROP NULL_X86Y31 SLR_REGION_ID 0 TILEPROP NULL_X86Y31 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y31 TILE_TYPE NULL TILEPROP NULL_X86Y31 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y31 TILE_X 56096 TILEPROP NULL_X86Y31 TILE_Y -147448 TILEPROP NULL_X86Y31 TYPE NULL TILEPROP NULL_X86Y33 CLASS tile TILEPROP NULL_X86Y33 COLUMN 86 TILEPROP NULL_X86Y33 DEVICE_ID 0 TILEPROP NULL_X86Y33 FIRST_SITE_ID 12420 TILEPROP NULL_X86Y33 GRID_POINT_X 86 TILEPROP NULL_X86Y33 GRID_POINT_Y 123 TILEPROP NULL_X86Y33 INDEX 14231 TILEPROP NULL_X86Y33 INT_TILE_X 33 TILEPROP NULL_X86Y33 INT_TILE_Y 118 TILEPROP NULL_X86Y33 IS_CENTER_TILE 0 TILEPROP NULL_X86Y33 IS_DCM_TILE 0 TILEPROP NULL_X86Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y33 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y33 NAME NULL_X86Y33 TILEPROP NULL_X86Y33 NUM_ARCS 0 TILEPROP NULL_X86Y33 NUM_SITES 0 TILEPROP NULL_X86Y33 ROW 123 TILEPROP NULL_X86Y33 SLR_REGION_ID 0 TILEPROP NULL_X86Y33 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y33 TILE_TYPE NULL TILEPROP NULL_X86Y33 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y33 TILE_X 56096 TILEPROP NULL_X86Y33 TILE_Y -141048 TILEPROP NULL_X86Y33 TYPE NULL TILEPROP NULL_X86Y34 CLASS tile TILEPROP NULL_X86Y34 COLUMN 86 TILEPROP NULL_X86Y34 DEVICE_ID 0 TILEPROP NULL_X86Y34 FIRST_SITE_ID 12318 TILEPROP NULL_X86Y34 GRID_POINT_X 86 TILEPROP NULL_X86Y34 GRID_POINT_Y 122 TILEPROP NULL_X86Y34 INDEX 14116 TILEPROP NULL_X86Y34 INT_TILE_X 33 TILEPROP NULL_X86Y34 INT_TILE_Y 117 TILEPROP NULL_X86Y34 IS_CENTER_TILE 0 TILEPROP NULL_X86Y34 IS_DCM_TILE 0 TILEPROP NULL_X86Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y34 NAME NULL_X86Y34 TILEPROP NULL_X86Y34 NUM_ARCS 0 TILEPROP NULL_X86Y34 NUM_SITES 0 TILEPROP NULL_X86Y34 ROW 122 TILEPROP NULL_X86Y34 SLR_REGION_ID 0 TILEPROP NULL_X86Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y34 TILE_TYPE NULL TILEPROP NULL_X86Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y34 TILE_X 56096 TILEPROP NULL_X86Y34 TILE_Y -137848 TILEPROP NULL_X86Y34 TYPE NULL TILEPROP NULL_X86Y35 CLASS tile TILEPROP NULL_X86Y35 COLUMN 86 TILEPROP NULL_X86Y35 DEVICE_ID 0 TILEPROP NULL_X86Y35 FIRST_SITE_ID 12217 TILEPROP NULL_X86Y35 GRID_POINT_X 86 TILEPROP NULL_X86Y35 GRID_POINT_Y 121 TILEPROP NULL_X86Y35 INDEX 14001 TILEPROP NULL_X86Y35 INT_TILE_X 33 TILEPROP NULL_X86Y35 INT_TILE_Y 116 TILEPROP NULL_X86Y35 IS_CENTER_TILE 0 TILEPROP NULL_X86Y35 IS_DCM_TILE 0 TILEPROP NULL_X86Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y35 NAME NULL_X86Y35 TILEPROP NULL_X86Y35 NUM_ARCS 0 TILEPROP NULL_X86Y35 NUM_SITES 0 TILEPROP NULL_X86Y35 ROW 121 TILEPROP NULL_X86Y35 SLR_REGION_ID 0 TILEPROP NULL_X86Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y35 TILE_TYPE NULL TILEPROP NULL_X86Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y35 TILE_X 56096 TILEPROP NULL_X86Y35 TILE_Y -134648 TILEPROP NULL_X86Y35 TYPE NULL TILEPROP NULL_X86Y36 CLASS tile TILEPROP NULL_X86Y36 COLUMN 86 TILEPROP NULL_X86Y36 DEVICE_ID 0 TILEPROP NULL_X86Y36 FIRST_SITE_ID 12117 TILEPROP NULL_X86Y36 GRID_POINT_X 86 TILEPROP NULL_X86Y36 GRID_POINT_Y 120 TILEPROP NULL_X86Y36 INDEX 13886 TILEPROP NULL_X86Y36 INT_TILE_X 33 TILEPROP NULL_X86Y36 INT_TILE_Y 115 TILEPROP NULL_X86Y36 IS_CENTER_TILE 0 TILEPROP NULL_X86Y36 IS_DCM_TILE 0 TILEPROP NULL_X86Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y36 NAME NULL_X86Y36 TILEPROP NULL_X86Y36 NUM_ARCS 0 TILEPROP NULL_X86Y36 NUM_SITES 0 TILEPROP NULL_X86Y36 ROW 120 TILEPROP NULL_X86Y36 SLR_REGION_ID 0 TILEPROP NULL_X86Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y36 TILE_TYPE NULL TILEPROP NULL_X86Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y36 TILE_X 56096 TILEPROP NULL_X86Y36 TILE_Y -131448 TILEPROP NULL_X86Y36 TYPE NULL TILEPROP NULL_X86Y38 CLASS tile TILEPROP NULL_X86Y38 COLUMN 86 TILEPROP NULL_X86Y38 DEVICE_ID 0 TILEPROP NULL_X86Y38 FIRST_SITE_ID 11902 TILEPROP NULL_X86Y38 GRID_POINT_X 86 TILEPROP NULL_X86Y38 GRID_POINT_Y 118 TILEPROP NULL_X86Y38 INDEX 13656 TILEPROP NULL_X86Y38 INT_TILE_X 33 TILEPROP NULL_X86Y38 INT_TILE_Y 113 TILEPROP NULL_X86Y38 IS_CENTER_TILE 0 TILEPROP NULL_X86Y38 IS_DCM_TILE 0 TILEPROP NULL_X86Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y38 NAME NULL_X86Y38 TILEPROP NULL_X86Y38 NUM_ARCS 0 TILEPROP NULL_X86Y38 NUM_SITES 0 TILEPROP NULL_X86Y38 ROW 118 TILEPROP NULL_X86Y38 SLR_REGION_ID 0 TILEPROP NULL_X86Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y38 TILE_TYPE NULL TILEPROP NULL_X86Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y38 TILE_X 56096 TILEPROP NULL_X86Y38 TILE_Y -125048 TILEPROP NULL_X86Y38 TYPE NULL TILEPROP NULL_X86Y39 CLASS tile TILEPROP NULL_X86Y39 COLUMN 86 TILEPROP NULL_X86Y39 DEVICE_ID 0 TILEPROP NULL_X86Y39 FIRST_SITE_ID 11770 TILEPROP NULL_X86Y39 GRID_POINT_X 86 TILEPROP NULL_X86Y39 GRID_POINT_Y 117 TILEPROP NULL_X86Y39 INDEX 13541 TILEPROP NULL_X86Y39 INT_TILE_X 33 TILEPROP NULL_X86Y39 INT_TILE_Y 112 TILEPROP NULL_X86Y39 IS_CENTER_TILE 0 TILEPROP NULL_X86Y39 IS_DCM_TILE 0 TILEPROP NULL_X86Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y39 NAME NULL_X86Y39 TILEPROP NULL_X86Y39 NUM_ARCS 0 TILEPROP NULL_X86Y39 NUM_SITES 0 TILEPROP NULL_X86Y39 ROW 117 TILEPROP NULL_X86Y39 SLR_REGION_ID 0 TILEPROP NULL_X86Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y39 TILE_TYPE NULL TILEPROP NULL_X86Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y39 TILE_X 56096 TILEPROP NULL_X86Y39 TILE_Y -121848 TILEPROP NULL_X86Y39 TYPE NULL TILEPROP NULL_X86Y40 CLASS tile TILEPROP NULL_X86Y40 COLUMN 86 TILEPROP NULL_X86Y40 DEVICE_ID 0 TILEPROP NULL_X86Y40 FIRST_SITE_ID 11670 TILEPROP NULL_X86Y40 GRID_POINT_X 86 TILEPROP NULL_X86Y40 GRID_POINT_Y 116 TILEPROP NULL_X86Y40 INDEX 13426 TILEPROP NULL_X86Y40 INT_TILE_X 33 TILEPROP NULL_X86Y40 INT_TILE_Y 111 TILEPROP NULL_X86Y40 IS_CENTER_TILE 0 TILEPROP NULL_X86Y40 IS_DCM_TILE 0 TILEPROP NULL_X86Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y40 NAME NULL_X86Y40 TILEPROP NULL_X86Y40 NUM_ARCS 0 TILEPROP NULL_X86Y40 NUM_SITES 0 TILEPROP NULL_X86Y40 ROW 116 TILEPROP NULL_X86Y40 SLR_REGION_ID 0 TILEPROP NULL_X86Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y40 TILE_TYPE NULL TILEPROP NULL_X86Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y40 TILE_X 56096 TILEPROP NULL_X86Y40 TILE_Y -118648 TILEPROP NULL_X86Y40 TYPE NULL TILEPROP NULL_X86Y41 CLASS tile TILEPROP NULL_X86Y41 COLUMN 86 TILEPROP NULL_X86Y41 DEVICE_ID 0 TILEPROP NULL_X86Y41 FIRST_SITE_ID 11570 TILEPROP NULL_X86Y41 GRID_POINT_X 86 TILEPROP NULL_X86Y41 GRID_POINT_Y 115 TILEPROP NULL_X86Y41 INDEX 13311 TILEPROP NULL_X86Y41 INT_TILE_X 33 TILEPROP NULL_X86Y41 INT_TILE_Y 110 TILEPROP NULL_X86Y41 IS_CENTER_TILE 0 TILEPROP NULL_X86Y41 IS_DCM_TILE 0 TILEPROP NULL_X86Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y41 NAME NULL_X86Y41 TILEPROP NULL_X86Y41 NUM_ARCS 0 TILEPROP NULL_X86Y41 NUM_SITES 0 TILEPROP NULL_X86Y41 ROW 115 TILEPROP NULL_X86Y41 SLR_REGION_ID 0 TILEPROP NULL_X86Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y41 TILE_TYPE NULL TILEPROP NULL_X86Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y41 TILE_X 56096 TILEPROP NULL_X86Y41 TILE_Y -115448 TILEPROP NULL_X86Y41 TYPE NULL TILEPROP NULL_X86Y43 CLASS tile TILEPROP NULL_X86Y43 COLUMN 86 TILEPROP NULL_X86Y43 DEVICE_ID 0 TILEPROP NULL_X86Y43 FIRST_SITE_ID 11353 TILEPROP NULL_X86Y43 GRID_POINT_X 86 TILEPROP NULL_X86Y43 GRID_POINT_Y 113 TILEPROP NULL_X86Y43 INDEX 13081 TILEPROP NULL_X86Y43 INT_TILE_X 33 TILEPROP NULL_X86Y43 INT_TILE_Y 108 TILEPROP NULL_X86Y43 IS_CENTER_TILE 0 TILEPROP NULL_X86Y43 IS_DCM_TILE 0 TILEPROP NULL_X86Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y43 NAME NULL_X86Y43 TILEPROP NULL_X86Y43 NUM_ARCS 0 TILEPROP NULL_X86Y43 NUM_SITES 0 TILEPROP NULL_X86Y43 ROW 113 TILEPROP NULL_X86Y43 SLR_REGION_ID 0 TILEPROP NULL_X86Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y43 TILE_TYPE NULL TILEPROP NULL_X86Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y43 TILE_X 56096 TILEPROP NULL_X86Y43 TILE_Y -109048 TILEPROP NULL_X86Y43 TYPE NULL TILEPROP NULL_X86Y44 CLASS tile TILEPROP NULL_X86Y44 COLUMN 86 TILEPROP NULL_X86Y44 DEVICE_ID 0 TILEPROP NULL_X86Y44 FIRST_SITE_ID 11251 TILEPROP NULL_X86Y44 GRID_POINT_X 86 TILEPROP NULL_X86Y44 GRID_POINT_Y 112 TILEPROP NULL_X86Y44 INDEX 12966 TILEPROP NULL_X86Y44 INT_TILE_X 33 TILEPROP NULL_X86Y44 INT_TILE_Y 107 TILEPROP NULL_X86Y44 IS_CENTER_TILE 0 TILEPROP NULL_X86Y44 IS_DCM_TILE 0 TILEPROP NULL_X86Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y44 NAME NULL_X86Y44 TILEPROP NULL_X86Y44 NUM_ARCS 0 TILEPROP NULL_X86Y44 NUM_SITES 0 TILEPROP NULL_X86Y44 ROW 112 TILEPROP NULL_X86Y44 SLR_REGION_ID 0 TILEPROP NULL_X86Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y44 TILE_TYPE NULL TILEPROP NULL_X86Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y44 TILE_X 56096 TILEPROP NULL_X86Y44 TILE_Y -105848 TILEPROP NULL_X86Y44 TYPE NULL TILEPROP NULL_X86Y45 CLASS tile TILEPROP NULL_X86Y45 COLUMN 86 TILEPROP NULL_X86Y45 DEVICE_ID 0 TILEPROP NULL_X86Y45 FIRST_SITE_ID 11148 TILEPROP NULL_X86Y45 GRID_POINT_X 86 TILEPROP NULL_X86Y45 GRID_POINT_Y 111 TILEPROP NULL_X86Y45 INDEX 12851 TILEPROP NULL_X86Y45 INT_TILE_X 33 TILEPROP NULL_X86Y45 INT_TILE_Y 106 TILEPROP NULL_X86Y45 IS_CENTER_TILE 0 TILEPROP NULL_X86Y45 IS_DCM_TILE 0 TILEPROP NULL_X86Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y45 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y45 NAME NULL_X86Y45 TILEPROP NULL_X86Y45 NUM_ARCS 0 TILEPROP NULL_X86Y45 NUM_SITES 0 TILEPROP NULL_X86Y45 ROW 111 TILEPROP NULL_X86Y45 SLR_REGION_ID 0 TILEPROP NULL_X86Y45 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y45 TILE_TYPE NULL TILEPROP NULL_X86Y45 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y45 TILE_X 56096 TILEPROP NULL_X86Y45 TILE_Y -102648 TILEPROP NULL_X86Y45 TYPE NULL TILEPROP NULL_X86Y46 CLASS tile TILEPROP NULL_X86Y46 COLUMN 86 TILEPROP NULL_X86Y46 DEVICE_ID 0 TILEPROP NULL_X86Y46 FIRST_SITE_ID 11046 TILEPROP NULL_X86Y46 GRID_POINT_X 86 TILEPROP NULL_X86Y46 GRID_POINT_Y 110 TILEPROP NULL_X86Y46 INDEX 12736 TILEPROP NULL_X86Y46 INT_TILE_X 33 TILEPROP NULL_X86Y46 INT_TILE_Y 105 TILEPROP NULL_X86Y46 IS_CENTER_TILE 0 TILEPROP NULL_X86Y46 IS_DCM_TILE 0 TILEPROP NULL_X86Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y46 NAME NULL_X86Y46 TILEPROP NULL_X86Y46 NUM_ARCS 0 TILEPROP NULL_X86Y46 NUM_SITES 0 TILEPROP NULL_X86Y46 ROW 110 TILEPROP NULL_X86Y46 SLR_REGION_ID 0 TILEPROP NULL_X86Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y46 TILE_TYPE NULL TILEPROP NULL_X86Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y46 TILE_X 56096 TILEPROP NULL_X86Y46 TILE_Y -99448 TILEPROP NULL_X86Y46 TYPE NULL TILEPROP NULL_X86Y48 CLASS tile TILEPROP NULL_X86Y48 COLUMN 86 TILEPROP NULL_X86Y48 DEVICE_ID 0 TILEPROP NULL_X86Y48 FIRST_SITE_ID 10830 TILEPROP NULL_X86Y48 GRID_POINT_X 86 TILEPROP NULL_X86Y48 GRID_POINT_Y 108 TILEPROP NULL_X86Y48 INDEX 12506 TILEPROP NULL_X86Y48 INT_TILE_X 33 TILEPROP NULL_X86Y48 INT_TILE_Y 103 TILEPROP NULL_X86Y48 IS_CENTER_TILE 0 TILEPROP NULL_X86Y48 IS_DCM_TILE 0 TILEPROP NULL_X86Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y48 NAME NULL_X86Y48 TILEPROP NULL_X86Y48 NUM_ARCS 0 TILEPROP NULL_X86Y48 NUM_SITES 0 TILEPROP NULL_X86Y48 ROW 108 TILEPROP NULL_X86Y48 SLR_REGION_ID 0 TILEPROP NULL_X86Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y48 TILE_TYPE NULL TILEPROP NULL_X86Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y48 TILE_X 56096 TILEPROP NULL_X86Y48 TILE_Y -93048 TILEPROP NULL_X86Y48 TYPE NULL TILEPROP NULL_X86Y49 CLASS tile TILEPROP NULL_X86Y49 COLUMN 86 TILEPROP NULL_X86Y49 DEVICE_ID 0 TILEPROP NULL_X86Y49 FIRST_SITE_ID 10714 TILEPROP NULL_X86Y49 GRID_POINT_X 86 TILEPROP NULL_X86Y49 GRID_POINT_Y 107 TILEPROP NULL_X86Y49 INDEX 12391 TILEPROP NULL_X86Y49 INT_TILE_X 33 TILEPROP NULL_X86Y49 INT_TILE_Y 102 TILEPROP NULL_X86Y49 IS_CENTER_TILE 0 TILEPROP NULL_X86Y49 IS_DCM_TILE 0 TILEPROP NULL_X86Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y49 NAME NULL_X86Y49 TILEPROP NULL_X86Y49 NUM_ARCS 0 TILEPROP NULL_X86Y49 NUM_SITES 0 TILEPROP NULL_X86Y49 ROW 107 TILEPROP NULL_X86Y49 SLR_REGION_ID 0 TILEPROP NULL_X86Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y49 TILE_TYPE NULL TILEPROP NULL_X86Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y49 TILE_X 56096 TILEPROP NULL_X86Y49 TILE_Y -89848 TILEPROP NULL_X86Y49 TYPE NULL TILEPROP NULL_X86Y50 CLASS tile TILEPROP NULL_X86Y50 COLUMN 86 TILEPROP NULL_X86Y50 DEVICE_ID 0 TILEPROP NULL_X86Y50 FIRST_SITE_ID 10614 TILEPROP NULL_X86Y50 GRID_POINT_X 86 TILEPROP NULL_X86Y50 GRID_POINT_Y 106 TILEPROP NULL_X86Y50 INDEX 12276 TILEPROP NULL_X86Y50 INT_TILE_X 33 TILEPROP NULL_X86Y50 INT_TILE_Y 101 TILEPROP NULL_X86Y50 IS_CENTER_TILE 0 TILEPROP NULL_X86Y50 IS_DCM_TILE 0 TILEPROP NULL_X86Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y50 NAME NULL_X86Y50 TILEPROP NULL_X86Y50 NUM_ARCS 0 TILEPROP NULL_X86Y50 NUM_SITES 0 TILEPROP NULL_X86Y50 ROW 106 TILEPROP NULL_X86Y50 SLR_REGION_ID 0 TILEPROP NULL_X86Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y50 TILE_TYPE NULL TILEPROP NULL_X86Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y50 TILE_X 56096 TILEPROP NULL_X86Y50 TILE_Y -86648 TILEPROP NULL_X86Y50 TYPE NULL TILEPROP NULL_X86Y51 CLASS tile TILEPROP NULL_X86Y51 COLUMN 86 TILEPROP NULL_X86Y51 DEVICE_ID 0 TILEPROP NULL_X86Y51 FIRST_SITE_ID 10518 TILEPROP NULL_X86Y51 GRID_POINT_X 86 TILEPROP NULL_X86Y51 GRID_POINT_Y 105 TILEPROP NULL_X86Y51 INDEX 12161 TILEPROP NULL_X86Y51 INT_TILE_X 33 TILEPROP NULL_X86Y51 INT_TILE_Y 100 TILEPROP NULL_X86Y51 IS_CENTER_TILE 0 TILEPROP NULL_X86Y51 IS_DCM_TILE 0 TILEPROP NULL_X86Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y51 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y51 NAME NULL_X86Y51 TILEPROP NULL_X86Y51 NUM_ARCS 0 TILEPROP NULL_X86Y51 NUM_SITES 0 TILEPROP NULL_X86Y51 ROW 105 TILEPROP NULL_X86Y51 SLR_REGION_ID 0 TILEPROP NULL_X86Y51 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y51 TILE_TYPE NULL TILEPROP NULL_X86Y51 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y51 TILE_X 56096 TILEPROP NULL_X86Y51 TILE_Y -83448 TILEPROP NULL_X86Y51 TYPE NULL TILEPROP NULL_X86Y54 CLASS tile TILEPROP NULL_X86Y54 COLUMN 86 TILEPROP NULL_X86Y54 DEVICE_ID 0 TILEPROP NULL_X86Y54 FIRST_SITE_ID 10283 TILEPROP NULL_X86Y54 GRID_POINT_X 86 TILEPROP NULL_X86Y54 GRID_POINT_Y 102 TILEPROP NULL_X86Y54 INDEX 11816 TILEPROP NULL_X86Y54 INT_TILE_X 33 TILEPROP NULL_X86Y54 INT_TILE_Y 98 TILEPROP NULL_X86Y54 IS_CENTER_TILE 0 TILEPROP NULL_X86Y54 IS_DCM_TILE 0 TILEPROP NULL_X86Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y54 NAME NULL_X86Y54 TILEPROP NULL_X86Y54 NUM_ARCS 0 TILEPROP NULL_X86Y54 NUM_SITES 0 TILEPROP NULL_X86Y54 ROW 102 TILEPROP NULL_X86Y54 SLR_REGION_ID 0 TILEPROP NULL_X86Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y54 TILE_TYPE NULL TILEPROP NULL_X86Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y54 TILE_X 56096 TILEPROP NULL_X86Y54 TILE_Y -76800 TILEPROP NULL_X86Y54 TYPE NULL TILEPROP NULL_X86Y55 CLASS tile TILEPROP NULL_X86Y55 COLUMN 86 TILEPROP NULL_X86Y55 DEVICE_ID 0 TILEPROP NULL_X86Y55 FIRST_SITE_ID 10183 TILEPROP NULL_X86Y55 GRID_POINT_X 86 TILEPROP NULL_X86Y55 GRID_POINT_Y 101 TILEPROP NULL_X86Y55 INDEX 11701 TILEPROP NULL_X86Y55 INT_TILE_X 33 TILEPROP NULL_X86Y55 INT_TILE_Y 97 TILEPROP NULL_X86Y55 IS_CENTER_TILE 0 TILEPROP NULL_X86Y55 IS_DCM_TILE 0 TILEPROP NULL_X86Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y55 NAME NULL_X86Y55 TILEPROP NULL_X86Y55 NUM_ARCS 0 TILEPROP NULL_X86Y55 NUM_SITES 0 TILEPROP NULL_X86Y55 ROW 101 TILEPROP NULL_X86Y55 SLR_REGION_ID 0 TILEPROP NULL_X86Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y55 TILE_TYPE NULL TILEPROP NULL_X86Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y55 TILE_X 56096 TILEPROP NULL_X86Y55 TILE_Y -73600 TILEPROP NULL_X86Y55 TYPE NULL TILEPROP NULL_X86Y56 CLASS tile TILEPROP NULL_X86Y56 COLUMN 86 TILEPROP NULL_X86Y56 DEVICE_ID 0 TILEPROP NULL_X86Y56 FIRST_SITE_ID 10083 TILEPROP NULL_X86Y56 GRID_POINT_X 86 TILEPROP NULL_X86Y56 GRID_POINT_Y 100 TILEPROP NULL_X86Y56 INDEX 11586 TILEPROP NULL_X86Y56 INT_TILE_X 33 TILEPROP NULL_X86Y56 INT_TILE_Y 96 TILEPROP NULL_X86Y56 IS_CENTER_TILE 0 TILEPROP NULL_X86Y56 IS_DCM_TILE 0 TILEPROP NULL_X86Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y56 NAME NULL_X86Y56 TILEPROP NULL_X86Y56 NUM_ARCS 0 TILEPROP NULL_X86Y56 NUM_SITES 0 TILEPROP NULL_X86Y56 ROW 100 TILEPROP NULL_X86Y56 SLR_REGION_ID 0 TILEPROP NULL_X86Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y56 TILE_TYPE NULL TILEPROP NULL_X86Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y56 TILE_X 56096 TILEPROP NULL_X86Y56 TILE_Y -70400 TILEPROP NULL_X86Y56 TYPE NULL TILEPROP NULL_X86Y57 CLASS tile TILEPROP NULL_X86Y57 COLUMN 86 TILEPROP NULL_X86Y57 DEVICE_ID 0 TILEPROP NULL_X86Y57 FIRST_SITE_ID 9983 TILEPROP NULL_X86Y57 GRID_POINT_X 86 TILEPROP NULL_X86Y57 GRID_POINT_Y 99 TILEPROP NULL_X86Y57 INDEX 11471 TILEPROP NULL_X86Y57 INT_TILE_X 33 TILEPROP NULL_X86Y57 INT_TILE_Y 95 TILEPROP NULL_X86Y57 IS_CENTER_TILE 0 TILEPROP NULL_X86Y57 IS_DCM_TILE 0 TILEPROP NULL_X86Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y57 NAME NULL_X86Y57 TILEPROP NULL_X86Y57 NUM_ARCS 0 TILEPROP NULL_X86Y57 NUM_SITES 0 TILEPROP NULL_X86Y57 ROW 99 TILEPROP NULL_X86Y57 SLR_REGION_ID 0 TILEPROP NULL_X86Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y57 TILE_TYPE NULL TILEPROP NULL_X86Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y57 TILE_X 56096 TILEPROP NULL_X86Y57 TILE_Y -67200 TILEPROP NULL_X86Y57 TYPE NULL TILEPROP NULL_X86Y59 CLASS tile TILEPROP NULL_X86Y59 COLUMN 86 TILEPROP NULL_X86Y59 DEVICE_ID 0 TILEPROP NULL_X86Y59 FIRST_SITE_ID 9768 TILEPROP NULL_X86Y59 GRID_POINT_X 86 TILEPROP NULL_X86Y59 GRID_POINT_Y 97 TILEPROP NULL_X86Y59 INDEX 11241 TILEPROP NULL_X86Y59 INT_TILE_X 33 TILEPROP NULL_X86Y59 INT_TILE_Y 93 TILEPROP NULL_X86Y59 IS_CENTER_TILE 0 TILEPROP NULL_X86Y59 IS_DCM_TILE 0 TILEPROP NULL_X86Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y59 NAME NULL_X86Y59 TILEPROP NULL_X86Y59 NUM_ARCS 0 TILEPROP NULL_X86Y59 NUM_SITES 0 TILEPROP NULL_X86Y59 ROW 97 TILEPROP NULL_X86Y59 SLR_REGION_ID 0 TILEPROP NULL_X86Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y59 TILE_TYPE NULL TILEPROP NULL_X86Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y59 TILE_X 56096 TILEPROP NULL_X86Y59 TILE_Y -60800 TILEPROP NULL_X86Y59 TYPE NULL TILEPROP NULL_X86Y60 CLASS tile TILEPROP NULL_X86Y60 COLUMN 86 TILEPROP NULL_X86Y60 DEVICE_ID 0 TILEPROP NULL_X86Y60 FIRST_SITE_ID 9666 TILEPROP NULL_X86Y60 GRID_POINT_X 86 TILEPROP NULL_X86Y60 GRID_POINT_Y 96 TILEPROP NULL_X86Y60 INDEX 11126 TILEPROP NULL_X86Y60 INT_TILE_X 33 TILEPROP NULL_X86Y60 INT_TILE_Y 92 TILEPROP NULL_X86Y60 IS_CENTER_TILE 0 TILEPROP NULL_X86Y60 IS_DCM_TILE 0 TILEPROP NULL_X86Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y60 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y60 NAME NULL_X86Y60 TILEPROP NULL_X86Y60 NUM_ARCS 0 TILEPROP NULL_X86Y60 NUM_SITES 0 TILEPROP NULL_X86Y60 ROW 96 TILEPROP NULL_X86Y60 SLR_REGION_ID 0 TILEPROP NULL_X86Y60 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y60 TILE_TYPE NULL TILEPROP NULL_X86Y60 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y60 TILE_X 56096 TILEPROP NULL_X86Y60 TILE_Y -57600 TILEPROP NULL_X86Y60 TYPE NULL TILEPROP NULL_X86Y61 CLASS tile TILEPROP NULL_X86Y61 COLUMN 86 TILEPROP NULL_X86Y61 DEVICE_ID 0 TILEPROP NULL_X86Y61 FIRST_SITE_ID 9563 TILEPROP NULL_X86Y61 GRID_POINT_X 86 TILEPROP NULL_X86Y61 GRID_POINT_Y 95 TILEPROP NULL_X86Y61 INDEX 11011 TILEPROP NULL_X86Y61 INT_TILE_X 33 TILEPROP NULL_X86Y61 INT_TILE_Y 91 TILEPROP NULL_X86Y61 IS_CENTER_TILE 0 TILEPROP NULL_X86Y61 IS_DCM_TILE 0 TILEPROP NULL_X86Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y61 NAME NULL_X86Y61 TILEPROP NULL_X86Y61 NUM_ARCS 0 TILEPROP NULL_X86Y61 NUM_SITES 0 TILEPROP NULL_X86Y61 ROW 95 TILEPROP NULL_X86Y61 SLR_REGION_ID 0 TILEPROP NULL_X86Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y61 TILE_TYPE NULL TILEPROP NULL_X86Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y61 TILE_X 56096 TILEPROP NULL_X86Y61 TILE_Y -54400 TILEPROP NULL_X86Y61 TYPE NULL TILEPROP NULL_X86Y62 CLASS tile TILEPROP NULL_X86Y62 COLUMN 86 TILEPROP NULL_X86Y62 DEVICE_ID 0 TILEPROP NULL_X86Y62 FIRST_SITE_ID 9462 TILEPROP NULL_X86Y62 GRID_POINT_X 86 TILEPROP NULL_X86Y62 GRID_POINT_Y 94 TILEPROP NULL_X86Y62 INDEX 10896 TILEPROP NULL_X86Y62 INT_TILE_X 33 TILEPROP NULL_X86Y62 INT_TILE_Y 90 TILEPROP NULL_X86Y62 IS_CENTER_TILE 0 TILEPROP NULL_X86Y62 IS_DCM_TILE 0 TILEPROP NULL_X86Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y62 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y62 NAME NULL_X86Y62 TILEPROP NULL_X86Y62 NUM_ARCS 0 TILEPROP NULL_X86Y62 NUM_SITES 0 TILEPROP NULL_X86Y62 ROW 94 TILEPROP NULL_X86Y62 SLR_REGION_ID 0 TILEPROP NULL_X86Y62 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y62 TILE_TYPE NULL TILEPROP NULL_X86Y62 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y62 TILE_X 56096 TILEPROP NULL_X86Y62 TILE_Y -51200 TILEPROP NULL_X86Y62 TYPE NULL TILEPROP NULL_X86Y64 CLASS tile TILEPROP NULL_X86Y64 COLUMN 86 TILEPROP NULL_X86Y64 DEVICE_ID 0 TILEPROP NULL_X86Y64 FIRST_SITE_ID 9247 TILEPROP NULL_X86Y64 GRID_POINT_X 86 TILEPROP NULL_X86Y64 GRID_POINT_Y 92 TILEPROP NULL_X86Y64 INDEX 10666 TILEPROP NULL_X86Y64 INT_TILE_X 33 TILEPROP NULL_X86Y64 INT_TILE_Y 88 TILEPROP NULL_X86Y64 IS_CENTER_TILE 0 TILEPROP NULL_X86Y64 IS_DCM_TILE 0 TILEPROP NULL_X86Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y64 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y64 NAME NULL_X86Y64 TILEPROP NULL_X86Y64 NUM_ARCS 0 TILEPROP NULL_X86Y64 NUM_SITES 0 TILEPROP NULL_X86Y64 ROW 92 TILEPROP NULL_X86Y64 SLR_REGION_ID 0 TILEPROP NULL_X86Y64 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y64 TILE_TYPE NULL TILEPROP NULL_X86Y64 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y64 TILE_X 56096 TILEPROP NULL_X86Y64 TILE_Y -44800 TILEPROP NULL_X86Y64 TYPE NULL TILEPROP NULL_X86Y65 CLASS tile TILEPROP NULL_X86Y65 COLUMN 86 TILEPROP NULL_X86Y65 DEVICE_ID 0 TILEPROP NULL_X86Y65 FIRST_SITE_ID 9147 TILEPROP NULL_X86Y65 GRID_POINT_X 86 TILEPROP NULL_X86Y65 GRID_POINT_Y 91 TILEPROP NULL_X86Y65 INDEX 10551 TILEPROP NULL_X86Y65 INT_TILE_X 33 TILEPROP NULL_X86Y65 INT_TILE_Y 87 TILEPROP NULL_X86Y65 IS_CENTER_TILE 0 TILEPROP NULL_X86Y65 IS_DCM_TILE 0 TILEPROP NULL_X86Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y65 NAME NULL_X86Y65 TILEPROP NULL_X86Y65 NUM_ARCS 0 TILEPROP NULL_X86Y65 NUM_SITES 0 TILEPROP NULL_X86Y65 ROW 91 TILEPROP NULL_X86Y65 SLR_REGION_ID 0 TILEPROP NULL_X86Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y65 TILE_TYPE NULL TILEPROP NULL_X86Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y65 TILE_X 56096 TILEPROP NULL_X86Y65 TILE_Y -41600 TILEPROP NULL_X86Y65 TYPE NULL TILEPROP NULL_X86Y66 CLASS tile TILEPROP NULL_X86Y66 COLUMN 86 TILEPROP NULL_X86Y66 DEVICE_ID 0 TILEPROP NULL_X86Y66 FIRST_SITE_ID 9015 TILEPROP NULL_X86Y66 GRID_POINT_X 86 TILEPROP NULL_X86Y66 GRID_POINT_Y 90 TILEPROP NULL_X86Y66 INDEX 10436 TILEPROP NULL_X86Y66 INT_TILE_X 33 TILEPROP NULL_X86Y66 INT_TILE_Y 86 TILEPROP NULL_X86Y66 IS_CENTER_TILE 0 TILEPROP NULL_X86Y66 IS_DCM_TILE 0 TILEPROP NULL_X86Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y66 NAME NULL_X86Y66 TILEPROP NULL_X86Y66 NUM_ARCS 0 TILEPROP NULL_X86Y66 NUM_SITES 0 TILEPROP NULL_X86Y66 ROW 90 TILEPROP NULL_X86Y66 SLR_REGION_ID 0 TILEPROP NULL_X86Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y66 TILE_TYPE NULL TILEPROP NULL_X86Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y66 TILE_X 56096 TILEPROP NULL_X86Y66 TILE_Y -38400 TILEPROP NULL_X86Y66 TYPE NULL TILEPROP NULL_X86Y67 CLASS tile TILEPROP NULL_X86Y67 COLUMN 86 TILEPROP NULL_X86Y67 DEVICE_ID 0 TILEPROP NULL_X86Y67 FIRST_SITE_ID 8915 TILEPROP NULL_X86Y67 GRID_POINT_X 86 TILEPROP NULL_X86Y67 GRID_POINT_Y 89 TILEPROP NULL_X86Y67 INDEX 10321 TILEPROP NULL_X86Y67 INT_TILE_X 33 TILEPROP NULL_X86Y67 INT_TILE_Y 85 TILEPROP NULL_X86Y67 IS_CENTER_TILE 0 TILEPROP NULL_X86Y67 IS_DCM_TILE 0 TILEPROP NULL_X86Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y67 NAME NULL_X86Y67 TILEPROP NULL_X86Y67 NUM_ARCS 0 TILEPROP NULL_X86Y67 NUM_SITES 0 TILEPROP NULL_X86Y67 ROW 89 TILEPROP NULL_X86Y67 SLR_REGION_ID 0 TILEPROP NULL_X86Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y67 TILE_TYPE NULL TILEPROP NULL_X86Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y67 TILE_X 56096 TILEPROP NULL_X86Y67 TILE_Y -35200 TILEPROP NULL_X86Y67 TYPE NULL TILEPROP NULL_X86Y69 CLASS tile TILEPROP NULL_X86Y69 COLUMN 86 TILEPROP NULL_X86Y69 DEVICE_ID 0 TILEPROP NULL_X86Y69 FIRST_SITE_ID 8700 TILEPROP NULL_X86Y69 GRID_POINT_X 86 TILEPROP NULL_X86Y69 GRID_POINT_Y 87 TILEPROP NULL_X86Y69 INDEX 10091 TILEPROP NULL_X86Y69 INT_TILE_X 33 TILEPROP NULL_X86Y69 INT_TILE_Y 83 TILEPROP NULL_X86Y69 IS_CENTER_TILE 0 TILEPROP NULL_X86Y69 IS_DCM_TILE 0 TILEPROP NULL_X86Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y69 NAME NULL_X86Y69 TILEPROP NULL_X86Y69 NUM_ARCS 0 TILEPROP NULL_X86Y69 NUM_SITES 0 TILEPROP NULL_X86Y69 ROW 87 TILEPROP NULL_X86Y69 SLR_REGION_ID 0 TILEPROP NULL_X86Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y69 TILE_TYPE NULL TILEPROP NULL_X86Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y69 TILE_X 56096 TILEPROP NULL_X86Y69 TILE_Y -28800 TILEPROP NULL_X86Y69 TYPE NULL TILEPROP NULL_X86Y70 CLASS tile TILEPROP NULL_X86Y70 COLUMN 86 TILEPROP NULL_X86Y70 DEVICE_ID 0 TILEPROP NULL_X86Y70 FIRST_SITE_ID 8596 TILEPROP NULL_X86Y70 GRID_POINT_X 86 TILEPROP NULL_X86Y70 GRID_POINT_Y 86 TILEPROP NULL_X86Y70 INDEX 9976 TILEPROP NULL_X86Y70 INT_TILE_X 33 TILEPROP NULL_X86Y70 INT_TILE_Y 82 TILEPROP NULL_X86Y70 IS_CENTER_TILE 0 TILEPROP NULL_X86Y70 IS_DCM_TILE 0 TILEPROP NULL_X86Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y70 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y70 NAME NULL_X86Y70 TILEPROP NULL_X86Y70 NUM_ARCS 0 TILEPROP NULL_X86Y70 NUM_SITES 0 TILEPROP NULL_X86Y70 ROW 86 TILEPROP NULL_X86Y70 SLR_REGION_ID 0 TILEPROP NULL_X86Y70 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y70 TILE_TYPE NULL TILEPROP NULL_X86Y70 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y70 TILE_X 56096 TILEPROP NULL_X86Y70 TILE_Y -25600 TILEPROP NULL_X86Y70 TYPE NULL TILEPROP NULL_X86Y71 CLASS tile TILEPROP NULL_X86Y71 COLUMN 86 TILEPROP NULL_X86Y71 DEVICE_ID 0 TILEPROP NULL_X86Y71 FIRST_SITE_ID 8492 TILEPROP NULL_X86Y71 GRID_POINT_X 86 TILEPROP NULL_X86Y71 GRID_POINT_Y 85 TILEPROP NULL_X86Y71 INDEX 9861 TILEPROP NULL_X86Y71 INT_TILE_X 33 TILEPROP NULL_X86Y71 INT_TILE_Y 81 TILEPROP NULL_X86Y71 IS_CENTER_TILE 0 TILEPROP NULL_X86Y71 IS_DCM_TILE 0 TILEPROP NULL_X86Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y71 NAME NULL_X86Y71 TILEPROP NULL_X86Y71 NUM_ARCS 0 TILEPROP NULL_X86Y71 NUM_SITES 0 TILEPROP NULL_X86Y71 ROW 85 TILEPROP NULL_X86Y71 SLR_REGION_ID 0 TILEPROP NULL_X86Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y71 TILE_TYPE NULL TILEPROP NULL_X86Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y71 TILE_X 56096 TILEPROP NULL_X86Y71 TILE_Y -22400 TILEPROP NULL_X86Y71 TYPE NULL TILEPROP NULL_X86Y72 CLASS tile TILEPROP NULL_X86Y72 COLUMN 86 TILEPROP NULL_X86Y72 DEVICE_ID 0 TILEPROP NULL_X86Y72 FIRST_SITE_ID 8390 TILEPROP NULL_X86Y72 GRID_POINT_X 86 TILEPROP NULL_X86Y72 GRID_POINT_Y 84 TILEPROP NULL_X86Y72 INDEX 9746 TILEPROP NULL_X86Y72 INT_TILE_X 33 TILEPROP NULL_X86Y72 INT_TILE_Y 80 TILEPROP NULL_X86Y72 IS_CENTER_TILE 0 TILEPROP NULL_X86Y72 IS_DCM_TILE 0 TILEPROP NULL_X86Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y72 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y72 NAME NULL_X86Y72 TILEPROP NULL_X86Y72 NUM_ARCS 0 TILEPROP NULL_X86Y72 NUM_SITES 0 TILEPROP NULL_X86Y72 ROW 84 TILEPROP NULL_X86Y72 SLR_REGION_ID 0 TILEPROP NULL_X86Y72 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y72 TILE_TYPE NULL TILEPROP NULL_X86Y72 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y72 TILE_X 56096 TILEPROP NULL_X86Y72 TILE_Y -19200 TILEPROP NULL_X86Y72 TYPE NULL TILEPROP NULL_X86Y74 CLASS tile TILEPROP NULL_X86Y74 COLUMN 86 TILEPROP NULL_X86Y74 DEVICE_ID 0 TILEPROP NULL_X86Y74 FIRST_SITE_ID 8173 TILEPROP NULL_X86Y74 GRID_POINT_X 86 TILEPROP NULL_X86Y74 GRID_POINT_Y 82 TILEPROP NULL_X86Y74 INDEX 9516 TILEPROP NULL_X86Y74 INT_TILE_X 33 TILEPROP NULL_X86Y74 INT_TILE_Y 78 TILEPROP NULL_X86Y74 IS_CENTER_TILE 0 TILEPROP NULL_X86Y74 IS_DCM_TILE 0 TILEPROP NULL_X86Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y74 NAME NULL_X86Y74 TILEPROP NULL_X86Y74 NUM_ARCS 0 TILEPROP NULL_X86Y74 NUM_SITES 0 TILEPROP NULL_X86Y74 ROW 82 TILEPROP NULL_X86Y74 SLR_REGION_ID 0 TILEPROP NULL_X86Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y74 TILE_TYPE NULL TILEPROP NULL_X86Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y74 TILE_X 56096 TILEPROP NULL_X86Y74 TILE_Y -12800 TILEPROP NULL_X86Y74 TYPE NULL TILEPROP NULL_X86Y75 CLASS tile TILEPROP NULL_X86Y75 COLUMN 86 TILEPROP NULL_X86Y75 DEVICE_ID 0 TILEPROP NULL_X86Y75 FIRST_SITE_ID 8073 TILEPROP NULL_X86Y75 GRID_POINT_X 86 TILEPROP NULL_X86Y75 GRID_POINT_Y 81 TILEPROP NULL_X86Y75 INDEX 9401 TILEPROP NULL_X86Y75 INT_TILE_X 33 TILEPROP NULL_X86Y75 INT_TILE_Y 77 TILEPROP NULL_X86Y75 IS_CENTER_TILE 0 TILEPROP NULL_X86Y75 IS_DCM_TILE 0 TILEPROP NULL_X86Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y75 NAME NULL_X86Y75 TILEPROP NULL_X86Y75 NUM_ARCS 0 TILEPROP NULL_X86Y75 NUM_SITES 0 TILEPROP NULL_X86Y75 ROW 81 TILEPROP NULL_X86Y75 SLR_REGION_ID 0 TILEPROP NULL_X86Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y75 TILE_TYPE NULL TILEPROP NULL_X86Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y75 TILE_X 56096 TILEPROP NULL_X86Y75 TILE_Y -9600 TILEPROP NULL_X86Y75 TYPE NULL TILEPROP NULL_X86Y76 CLASS tile TILEPROP NULL_X86Y76 COLUMN 86 TILEPROP NULL_X86Y76 DEVICE_ID 0 TILEPROP NULL_X86Y76 FIRST_SITE_ID 7973 TILEPROP NULL_X86Y76 GRID_POINT_X 86 TILEPROP NULL_X86Y76 GRID_POINT_Y 80 TILEPROP NULL_X86Y76 INDEX 9286 TILEPROP NULL_X86Y76 INT_TILE_X 33 TILEPROP NULL_X86Y76 INT_TILE_Y 76 TILEPROP NULL_X86Y76 IS_CENTER_TILE 0 TILEPROP NULL_X86Y76 IS_DCM_TILE 0 TILEPROP NULL_X86Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y76 NAME NULL_X86Y76 TILEPROP NULL_X86Y76 NUM_ARCS 0 TILEPROP NULL_X86Y76 NUM_SITES 0 TILEPROP NULL_X86Y76 ROW 80 TILEPROP NULL_X86Y76 SLR_REGION_ID 0 TILEPROP NULL_X86Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y76 TILE_TYPE NULL TILEPROP NULL_X86Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y76 TILE_X 56096 TILEPROP NULL_X86Y76 TILE_Y -6400 TILEPROP NULL_X86Y76 TYPE NULL TILEPROP NULL_X86Y77 CLASS tile TILEPROP NULL_X86Y77 COLUMN 86 TILEPROP NULL_X86Y77 DEVICE_ID 0 TILEPROP NULL_X86Y77 FIRST_SITE_ID 7873 TILEPROP NULL_X86Y77 GRID_POINT_X 86 TILEPROP NULL_X86Y77 GRID_POINT_Y 79 TILEPROP NULL_X86Y77 INDEX 9171 TILEPROP NULL_X86Y77 INT_TILE_X 33 TILEPROP NULL_X86Y77 INT_TILE_Y 75 TILEPROP NULL_X86Y77 IS_CENTER_TILE 0 TILEPROP NULL_X86Y77 IS_DCM_TILE 0 TILEPROP NULL_X86Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y77 NAME NULL_X86Y77 TILEPROP NULL_X86Y77 NUM_ARCS 0 TILEPROP NULL_X86Y77 NUM_SITES 0 TILEPROP NULL_X86Y77 ROW 79 TILEPROP NULL_X86Y77 SLR_REGION_ID 0 TILEPROP NULL_X86Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y77 TILE_TYPE NULL TILEPROP NULL_X86Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y77 TILE_X 56096 TILEPROP NULL_X86Y77 TILE_Y -3200 TILEPROP NULL_X86Y77 TYPE NULL TILEPROP NULL_X86Y80 CLASS tile TILEPROP NULL_X86Y80 COLUMN 86 TILEPROP NULL_X86Y80 DEVICE_ID 0 TILEPROP NULL_X86Y80 FIRST_SITE_ID 7572 TILEPROP NULL_X86Y80 GRID_POINT_X 86 TILEPROP NULL_X86Y80 GRID_POINT_Y 76 TILEPROP NULL_X86Y80 INDEX 8826 TILEPROP NULL_X86Y80 INT_TILE_X 33 TILEPROP NULL_X86Y80 INT_TILE_Y 73 TILEPROP NULL_X86Y80 IS_CENTER_TILE 0 TILEPROP NULL_X86Y80 IS_DCM_TILE 0 TILEPROP NULL_X86Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y80 NAME NULL_X86Y80 TILEPROP NULL_X86Y80 NUM_ARCS 0 TILEPROP NULL_X86Y80 NUM_SITES 0 TILEPROP NULL_X86Y80 ROW 76 TILEPROP NULL_X86Y80 SLR_REGION_ID 0 TILEPROP NULL_X86Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y80 TILE_TYPE NULL TILEPROP NULL_X86Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y80 TILE_X 56096 TILEPROP NULL_X86Y80 TILE_Y 4224 TILEPROP NULL_X86Y80 TYPE NULL TILEPROP NULL_X86Y81 CLASS tile TILEPROP NULL_X86Y81 COLUMN 86 TILEPROP NULL_X86Y81 DEVICE_ID 0 TILEPROP NULL_X86Y81 FIRST_SITE_ID 7472 TILEPROP NULL_X86Y81 GRID_POINT_X 86 TILEPROP NULL_X86Y81 GRID_POINT_Y 75 TILEPROP NULL_X86Y81 INDEX 8711 TILEPROP NULL_X86Y81 INT_TILE_X 33 TILEPROP NULL_X86Y81 INT_TILE_Y 72 TILEPROP NULL_X86Y81 IS_CENTER_TILE 0 TILEPROP NULL_X86Y81 IS_DCM_TILE 0 TILEPROP NULL_X86Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y81 NAME NULL_X86Y81 TILEPROP NULL_X86Y81 NUM_ARCS 0 TILEPROP NULL_X86Y81 NUM_SITES 0 TILEPROP NULL_X86Y81 ROW 75 TILEPROP NULL_X86Y81 SLR_REGION_ID 0 TILEPROP NULL_X86Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y81 TILE_TYPE NULL TILEPROP NULL_X86Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y81 TILE_X 56096 TILEPROP NULL_X86Y81 TILE_Y 7424 TILEPROP NULL_X86Y81 TYPE NULL TILEPROP NULL_X86Y82 CLASS tile TILEPROP NULL_X86Y82 COLUMN 86 TILEPROP NULL_X86Y82 DEVICE_ID 0 TILEPROP NULL_X86Y82 FIRST_SITE_ID 7372 TILEPROP NULL_X86Y82 GRID_POINT_X 86 TILEPROP NULL_X86Y82 GRID_POINT_Y 74 TILEPROP NULL_X86Y82 INDEX 8596 TILEPROP NULL_X86Y82 INT_TILE_X 33 TILEPROP NULL_X86Y82 INT_TILE_Y 71 TILEPROP NULL_X86Y82 IS_CENTER_TILE 0 TILEPROP NULL_X86Y82 IS_DCM_TILE 0 TILEPROP NULL_X86Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y82 NAME NULL_X86Y82 TILEPROP NULL_X86Y82 NUM_ARCS 0 TILEPROP NULL_X86Y82 NUM_SITES 0 TILEPROP NULL_X86Y82 ROW 74 TILEPROP NULL_X86Y82 SLR_REGION_ID 0 TILEPROP NULL_X86Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y82 TILE_TYPE NULL TILEPROP NULL_X86Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y82 TILE_X 56096 TILEPROP NULL_X86Y82 TILE_Y 10624 TILEPROP NULL_X86Y82 TYPE NULL TILEPROP NULL_X86Y83 CLASS tile TILEPROP NULL_X86Y83 COLUMN 86 TILEPROP NULL_X86Y83 DEVICE_ID 0 TILEPROP NULL_X86Y83 FIRST_SITE_ID 7266 TILEPROP NULL_X86Y83 GRID_POINT_X 86 TILEPROP NULL_X86Y83 GRID_POINT_Y 73 TILEPROP NULL_X86Y83 INDEX 8481 TILEPROP NULL_X86Y83 INT_TILE_X 33 TILEPROP NULL_X86Y83 INT_TILE_Y 70 TILEPROP NULL_X86Y83 IS_CENTER_TILE 0 TILEPROP NULL_X86Y83 IS_DCM_TILE 0 TILEPROP NULL_X86Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y83 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y83 NAME NULL_X86Y83 TILEPROP NULL_X86Y83 NUM_ARCS 0 TILEPROP NULL_X86Y83 NUM_SITES 0 TILEPROP NULL_X86Y83 ROW 73 TILEPROP NULL_X86Y83 SLR_REGION_ID 0 TILEPROP NULL_X86Y83 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y83 TILE_TYPE NULL TILEPROP NULL_X86Y83 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y83 TILE_X 56096 TILEPROP NULL_X86Y83 TILE_Y 13824 TILEPROP NULL_X86Y83 TYPE NULL TILEPROP NULL_X86Y85 CLASS tile TILEPROP NULL_X86Y85 COLUMN 86 TILEPROP NULL_X86Y85 DEVICE_ID 0 TILEPROP NULL_X86Y85 FIRST_SITE_ID 7043 TILEPROP NULL_X86Y85 GRID_POINT_X 86 TILEPROP NULL_X86Y85 GRID_POINT_Y 71 TILEPROP NULL_X86Y85 INDEX 8251 TILEPROP NULL_X86Y85 INT_TILE_X 33 TILEPROP NULL_X86Y85 INT_TILE_Y 68 TILEPROP NULL_X86Y85 IS_CENTER_TILE 0 TILEPROP NULL_X86Y85 IS_DCM_TILE 0 TILEPROP NULL_X86Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y85 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y85 NAME NULL_X86Y85 TILEPROP NULL_X86Y85 NUM_ARCS 0 TILEPROP NULL_X86Y85 NUM_SITES 0 TILEPROP NULL_X86Y85 ROW 71 TILEPROP NULL_X86Y85 SLR_REGION_ID 0 TILEPROP NULL_X86Y85 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y85 TILE_TYPE NULL TILEPROP NULL_X86Y85 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y85 TILE_X 56096 TILEPROP NULL_X86Y85 TILE_Y 20224 TILEPROP NULL_X86Y85 TYPE NULL TILEPROP NULL_X86Y86 CLASS tile TILEPROP NULL_X86Y86 COLUMN 86 TILEPROP NULL_X86Y86 DEVICE_ID 0 TILEPROP NULL_X86Y86 FIRST_SITE_ID 6941 TILEPROP NULL_X86Y86 GRID_POINT_X 86 TILEPROP NULL_X86Y86 GRID_POINT_Y 70 TILEPROP NULL_X86Y86 INDEX 8136 TILEPROP NULL_X86Y86 INT_TILE_X 33 TILEPROP NULL_X86Y86 INT_TILE_Y 67 TILEPROP NULL_X86Y86 IS_CENTER_TILE 0 TILEPROP NULL_X86Y86 IS_DCM_TILE 0 TILEPROP NULL_X86Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y86 NAME NULL_X86Y86 TILEPROP NULL_X86Y86 NUM_ARCS 0 TILEPROP NULL_X86Y86 NUM_SITES 0 TILEPROP NULL_X86Y86 ROW 70 TILEPROP NULL_X86Y86 SLR_REGION_ID 0 TILEPROP NULL_X86Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y86 TILE_TYPE NULL TILEPROP NULL_X86Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y86 TILE_X 56096 TILEPROP NULL_X86Y86 TILE_Y 23424 TILEPROP NULL_X86Y86 TYPE NULL TILEPROP NULL_X86Y87 CLASS tile TILEPROP NULL_X86Y87 COLUMN 86 TILEPROP NULL_X86Y87 DEVICE_ID 0 TILEPROP NULL_X86Y87 FIRST_SITE_ID 6841 TILEPROP NULL_X86Y87 GRID_POINT_X 86 TILEPROP NULL_X86Y87 GRID_POINT_Y 69 TILEPROP NULL_X86Y87 INDEX 8021 TILEPROP NULL_X86Y87 INT_TILE_X 33 TILEPROP NULL_X86Y87 INT_TILE_Y 66 TILEPROP NULL_X86Y87 IS_CENTER_TILE 0 TILEPROP NULL_X86Y87 IS_DCM_TILE 0 TILEPROP NULL_X86Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y87 NAME NULL_X86Y87 TILEPROP NULL_X86Y87 NUM_ARCS 0 TILEPROP NULL_X86Y87 NUM_SITES 0 TILEPROP NULL_X86Y87 ROW 69 TILEPROP NULL_X86Y87 SLR_REGION_ID 0 TILEPROP NULL_X86Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y87 TILE_TYPE NULL TILEPROP NULL_X86Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y87 TILE_X 56096 TILEPROP NULL_X86Y87 TILE_Y 26624 TILEPROP NULL_X86Y87 TYPE NULL TILEPROP NULL_X86Y88 CLASS tile TILEPROP NULL_X86Y88 COLUMN 86 TILEPROP NULL_X86Y88 DEVICE_ID 0 TILEPROP NULL_X86Y88 FIRST_SITE_ID 6741 TILEPROP NULL_X86Y88 GRID_POINT_X 86 TILEPROP NULL_X86Y88 GRID_POINT_Y 68 TILEPROP NULL_X86Y88 INDEX 7906 TILEPROP NULL_X86Y88 INT_TILE_X 33 TILEPROP NULL_X86Y88 INT_TILE_Y 65 TILEPROP NULL_X86Y88 IS_CENTER_TILE 0 TILEPROP NULL_X86Y88 IS_DCM_TILE 0 TILEPROP NULL_X86Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y88 NAME NULL_X86Y88 TILEPROP NULL_X86Y88 NUM_ARCS 0 TILEPROP NULL_X86Y88 NUM_SITES 0 TILEPROP NULL_X86Y88 ROW 68 TILEPROP NULL_X86Y88 SLR_REGION_ID 0 TILEPROP NULL_X86Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y88 TILE_TYPE NULL TILEPROP NULL_X86Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y88 TILE_X 56096 TILEPROP NULL_X86Y88 TILE_Y 29824 TILEPROP NULL_X86Y88 TYPE NULL TILEPROP NULL_X86Y90 CLASS tile TILEPROP NULL_X86Y90 COLUMN 86 TILEPROP NULL_X86Y90 DEVICE_ID 0 TILEPROP NULL_X86Y90 FIRST_SITE_ID 6526 TILEPROP NULL_X86Y90 GRID_POINT_X 86 TILEPROP NULL_X86Y90 GRID_POINT_Y 66 TILEPROP NULL_X86Y90 INDEX 7676 TILEPROP NULL_X86Y90 INT_TILE_X 33 TILEPROP NULL_X86Y90 INT_TILE_Y 63 TILEPROP NULL_X86Y90 IS_CENTER_TILE 0 TILEPROP NULL_X86Y90 IS_DCM_TILE 0 TILEPROP NULL_X86Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y90 NAME NULL_X86Y90 TILEPROP NULL_X86Y90 NUM_ARCS 0 TILEPROP NULL_X86Y90 NUM_SITES 0 TILEPROP NULL_X86Y90 ROW 66 TILEPROP NULL_X86Y90 SLR_REGION_ID 0 TILEPROP NULL_X86Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y90 TILE_TYPE NULL TILEPROP NULL_X86Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y90 TILE_X 56096 TILEPROP NULL_X86Y90 TILE_Y 36224 TILEPROP NULL_X86Y90 TYPE NULL TILEPROP NULL_X86Y91 CLASS tile TILEPROP NULL_X86Y91 COLUMN 86 TILEPROP NULL_X86Y91 DEVICE_ID 0 TILEPROP NULL_X86Y91 FIRST_SITE_ID 6394 TILEPROP NULL_X86Y91 GRID_POINT_X 86 TILEPROP NULL_X86Y91 GRID_POINT_Y 65 TILEPROP NULL_X86Y91 INDEX 7561 TILEPROP NULL_X86Y91 INT_TILE_X 33 TILEPROP NULL_X86Y91 INT_TILE_Y 62 TILEPROP NULL_X86Y91 IS_CENTER_TILE 0 TILEPROP NULL_X86Y91 IS_DCM_TILE 0 TILEPROP NULL_X86Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y91 NAME NULL_X86Y91 TILEPROP NULL_X86Y91 NUM_ARCS 0 TILEPROP NULL_X86Y91 NUM_SITES 0 TILEPROP NULL_X86Y91 ROW 65 TILEPROP NULL_X86Y91 SLR_REGION_ID 0 TILEPROP NULL_X86Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y91 TILE_TYPE NULL TILEPROP NULL_X86Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y91 TILE_X 56096 TILEPROP NULL_X86Y91 TILE_Y 39424 TILEPROP NULL_X86Y91 TYPE NULL TILEPROP NULL_X86Y92 CLASS tile TILEPROP NULL_X86Y92 COLUMN 86 TILEPROP NULL_X86Y92 DEVICE_ID 0 TILEPROP NULL_X86Y92 FIRST_SITE_ID 6294 TILEPROP NULL_X86Y92 GRID_POINT_X 86 TILEPROP NULL_X86Y92 GRID_POINT_Y 64 TILEPROP NULL_X86Y92 INDEX 7446 TILEPROP NULL_X86Y92 INT_TILE_X 33 TILEPROP NULL_X86Y92 INT_TILE_Y 61 TILEPROP NULL_X86Y92 IS_CENTER_TILE 0 TILEPROP NULL_X86Y92 IS_DCM_TILE 0 TILEPROP NULL_X86Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y92 NAME NULL_X86Y92 TILEPROP NULL_X86Y92 NUM_ARCS 0 TILEPROP NULL_X86Y92 NUM_SITES 0 TILEPROP NULL_X86Y92 ROW 64 TILEPROP NULL_X86Y92 SLR_REGION_ID 0 TILEPROP NULL_X86Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y92 TILE_TYPE NULL TILEPROP NULL_X86Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y92 TILE_X 56096 TILEPROP NULL_X86Y92 TILE_Y 42624 TILEPROP NULL_X86Y92 TYPE NULL TILEPROP NULL_X86Y93 CLASS tile TILEPROP NULL_X86Y93 COLUMN 86 TILEPROP NULL_X86Y93 DEVICE_ID 0 TILEPROP NULL_X86Y93 FIRST_SITE_ID 6194 TILEPROP NULL_X86Y93 GRID_POINT_X 86 TILEPROP NULL_X86Y93 GRID_POINT_Y 63 TILEPROP NULL_X86Y93 INDEX 7331 TILEPROP NULL_X86Y93 INT_TILE_X 33 TILEPROP NULL_X86Y93 INT_TILE_Y 60 TILEPROP NULL_X86Y93 IS_CENTER_TILE 0 TILEPROP NULL_X86Y93 IS_DCM_TILE 0 TILEPROP NULL_X86Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y93 NAME NULL_X86Y93 TILEPROP NULL_X86Y93 NUM_ARCS 0 TILEPROP NULL_X86Y93 NUM_SITES 0 TILEPROP NULL_X86Y93 ROW 63 TILEPROP NULL_X86Y93 SLR_REGION_ID 0 TILEPROP NULL_X86Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y93 TILE_TYPE NULL TILEPROP NULL_X86Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y93 TILE_X 56096 TILEPROP NULL_X86Y93 TILE_Y 45824 TILEPROP NULL_X86Y93 TYPE NULL TILEPROP NULL_X86Y95 CLASS tile TILEPROP NULL_X86Y95 COLUMN 86 TILEPROP NULL_X86Y95 DEVICE_ID 0 TILEPROP NULL_X86Y95 FIRST_SITE_ID 5979 TILEPROP NULL_X86Y95 GRID_POINT_X 86 TILEPROP NULL_X86Y95 GRID_POINT_Y 61 TILEPROP NULL_X86Y95 INDEX 7101 TILEPROP NULL_X86Y95 INT_TILE_X 33 TILEPROP NULL_X86Y95 INT_TILE_Y 58 TILEPROP NULL_X86Y95 IS_CENTER_TILE 0 TILEPROP NULL_X86Y95 IS_DCM_TILE 0 TILEPROP NULL_X86Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y95 NAME NULL_X86Y95 TILEPROP NULL_X86Y95 NUM_ARCS 0 TILEPROP NULL_X86Y95 NUM_SITES 0 TILEPROP NULL_X86Y95 ROW 61 TILEPROP NULL_X86Y95 SLR_REGION_ID 0 TILEPROP NULL_X86Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y95 TILE_TYPE NULL TILEPROP NULL_X86Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y95 TILE_X 56096 TILEPROP NULL_X86Y95 TILE_Y 52224 TILEPROP NULL_X86Y95 TYPE NULL TILEPROP NULL_X86Y96 CLASS tile TILEPROP NULL_X86Y96 COLUMN 86 TILEPROP NULL_X86Y96 DEVICE_ID 0 TILEPROP NULL_X86Y96 FIRST_SITE_ID 5878 TILEPROP NULL_X86Y96 GRID_POINT_X 86 TILEPROP NULL_X86Y96 GRID_POINT_Y 60 TILEPROP NULL_X86Y96 INDEX 6986 TILEPROP NULL_X86Y96 INT_TILE_X 33 TILEPROP NULL_X86Y96 INT_TILE_Y 57 TILEPROP NULL_X86Y96 IS_CENTER_TILE 0 TILEPROP NULL_X86Y96 IS_DCM_TILE 0 TILEPROP NULL_X86Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y96 NAME NULL_X86Y96 TILEPROP NULL_X86Y96 NUM_ARCS 0 TILEPROP NULL_X86Y96 NUM_SITES 0 TILEPROP NULL_X86Y96 ROW 60 TILEPROP NULL_X86Y96 SLR_REGION_ID 0 TILEPROP NULL_X86Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y96 TILE_TYPE NULL TILEPROP NULL_X86Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y96 TILE_X 56096 TILEPROP NULL_X86Y96 TILE_Y 55424 TILEPROP NULL_X86Y96 TYPE NULL TILEPROP NULL_X86Y97 CLASS tile TILEPROP NULL_X86Y97 COLUMN 86 TILEPROP NULL_X86Y97 DEVICE_ID 0 TILEPROP NULL_X86Y97 FIRST_SITE_ID 5775 TILEPROP NULL_X86Y97 GRID_POINT_X 86 TILEPROP NULL_X86Y97 GRID_POINT_Y 59 TILEPROP NULL_X86Y97 INDEX 6871 TILEPROP NULL_X86Y97 INT_TILE_X 33 TILEPROP NULL_X86Y97 INT_TILE_Y 56 TILEPROP NULL_X86Y97 IS_CENTER_TILE 0 TILEPROP NULL_X86Y97 IS_DCM_TILE 0 TILEPROP NULL_X86Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y97 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y97 NAME NULL_X86Y97 TILEPROP NULL_X86Y97 NUM_ARCS 0 TILEPROP NULL_X86Y97 NUM_SITES 0 TILEPROP NULL_X86Y97 ROW 59 TILEPROP NULL_X86Y97 SLR_REGION_ID 0 TILEPROP NULL_X86Y97 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y97 TILE_TYPE NULL TILEPROP NULL_X86Y97 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y97 TILE_X 56096 TILEPROP NULL_X86Y97 TILE_Y 58624 TILEPROP NULL_X86Y97 TYPE NULL TILEPROP NULL_X86Y98 CLASS tile TILEPROP NULL_X86Y98 COLUMN 86 TILEPROP NULL_X86Y98 DEVICE_ID 0 TILEPROP NULL_X86Y98 FIRST_SITE_ID 5673 TILEPROP NULL_X86Y98 GRID_POINT_X 86 TILEPROP NULL_X86Y98 GRID_POINT_Y 58 TILEPROP NULL_X86Y98 INDEX 6756 TILEPROP NULL_X86Y98 INT_TILE_X 33 TILEPROP NULL_X86Y98 INT_TILE_Y 55 TILEPROP NULL_X86Y98 IS_CENTER_TILE 0 TILEPROP NULL_X86Y98 IS_DCM_TILE 0 TILEPROP NULL_X86Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y98 NAME NULL_X86Y98 TILEPROP NULL_X86Y98 NUM_ARCS 0 TILEPROP NULL_X86Y98 NUM_SITES 0 TILEPROP NULL_X86Y98 ROW 58 TILEPROP NULL_X86Y98 SLR_REGION_ID 0 TILEPROP NULL_X86Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y98 TILE_TYPE NULL TILEPROP NULL_X86Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y98 TILE_X 56096 TILEPROP NULL_X86Y98 TILE_Y 61824 TILEPROP NULL_X86Y98 TYPE NULL TILEPROP NULL_X86Y100 CLASS tile TILEPROP NULL_X86Y100 COLUMN 86 TILEPROP NULL_X86Y100 DEVICE_ID 0 TILEPROP NULL_X86Y100 FIRST_SITE_ID 5458 TILEPROP NULL_X86Y100 GRID_POINT_X 86 TILEPROP NULL_X86Y100 GRID_POINT_Y 56 TILEPROP NULL_X86Y100 INDEX 6526 TILEPROP NULL_X86Y100 INT_TILE_X 33 TILEPROP NULL_X86Y100 INT_TILE_Y 53 TILEPROP NULL_X86Y100 IS_CENTER_TILE 0 TILEPROP NULL_X86Y100 IS_DCM_TILE 0 TILEPROP NULL_X86Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y100 NAME NULL_X86Y100 TILEPROP NULL_X86Y100 NUM_ARCS 0 TILEPROP NULL_X86Y100 NUM_SITES 0 TILEPROP NULL_X86Y100 ROW 56 TILEPROP NULL_X86Y100 SLR_REGION_ID 0 TILEPROP NULL_X86Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y100 TILE_TYPE NULL TILEPROP NULL_X86Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y100 TILE_X 56096 TILEPROP NULL_X86Y100 TILE_Y 68224 TILEPROP NULL_X86Y100 TYPE NULL TILEPROP NULL_X86Y101 CLASS tile TILEPROP NULL_X86Y101 COLUMN 86 TILEPROP NULL_X86Y101 DEVICE_ID 0 TILEPROP NULL_X86Y101 FIRST_SITE_ID 5358 TILEPROP NULL_X86Y101 GRID_POINT_X 86 TILEPROP NULL_X86Y101 GRID_POINT_Y 55 TILEPROP NULL_X86Y101 INDEX 6411 TILEPROP NULL_X86Y101 INT_TILE_X 33 TILEPROP NULL_X86Y101 INT_TILE_Y 52 TILEPROP NULL_X86Y101 IS_CENTER_TILE 0 TILEPROP NULL_X86Y101 IS_DCM_TILE 0 TILEPROP NULL_X86Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y101 NAME NULL_X86Y101 TILEPROP NULL_X86Y101 NUM_ARCS 0 TILEPROP NULL_X86Y101 NUM_SITES 0 TILEPROP NULL_X86Y101 ROW 55 TILEPROP NULL_X86Y101 SLR_REGION_ID 0 TILEPROP NULL_X86Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y101 TILE_TYPE NULL TILEPROP NULL_X86Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y101 TILE_X 56096 TILEPROP NULL_X86Y101 TILE_Y 71424 TILEPROP NULL_X86Y101 TYPE NULL TILEPROP NULL_X86Y102 CLASS tile TILEPROP NULL_X86Y102 COLUMN 86 TILEPROP NULL_X86Y102 DEVICE_ID 0 TILEPROP NULL_X86Y102 FIRST_SITE_ID 5258 TILEPROP NULL_X86Y102 GRID_POINT_X 86 TILEPROP NULL_X86Y102 GRID_POINT_Y 54 TILEPROP NULL_X86Y102 INDEX 6296 TILEPROP NULL_X86Y102 INT_TILE_X 33 TILEPROP NULL_X86Y102 INT_TILE_Y 51 TILEPROP NULL_X86Y102 IS_CENTER_TILE 0 TILEPROP NULL_X86Y102 IS_DCM_TILE 0 TILEPROP NULL_X86Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y102 NAME NULL_X86Y102 TILEPROP NULL_X86Y102 NUM_ARCS 0 TILEPROP NULL_X86Y102 NUM_SITES 0 TILEPROP NULL_X86Y102 ROW 54 TILEPROP NULL_X86Y102 SLR_REGION_ID 0 TILEPROP NULL_X86Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y102 TILE_TYPE NULL TILEPROP NULL_X86Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y102 TILE_X 56096 TILEPROP NULL_X86Y102 TILE_Y 74624 TILEPROP NULL_X86Y102 TYPE NULL TILEPROP NULL_X86Y103 CLASS tile TILEPROP NULL_X86Y103 COLUMN 86 TILEPROP NULL_X86Y103 DEVICE_ID 0 TILEPROP NULL_X86Y103 FIRST_SITE_ID 5162 TILEPROP NULL_X86Y103 GRID_POINT_X 86 TILEPROP NULL_X86Y103 GRID_POINT_Y 53 TILEPROP NULL_X86Y103 INDEX 6181 TILEPROP NULL_X86Y103 INT_TILE_X 33 TILEPROP NULL_X86Y103 INT_TILE_Y 50 TILEPROP NULL_X86Y103 IS_CENTER_TILE 0 TILEPROP NULL_X86Y103 IS_DCM_TILE 0 TILEPROP NULL_X86Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y103 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y103 NAME NULL_X86Y103 TILEPROP NULL_X86Y103 NUM_ARCS 0 TILEPROP NULL_X86Y103 NUM_SITES 0 TILEPROP NULL_X86Y103 ROW 53 TILEPROP NULL_X86Y103 SLR_REGION_ID 0 TILEPROP NULL_X86Y103 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y103 TILE_TYPE NULL TILEPROP NULL_X86Y103 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y103 TILE_X 56096 TILEPROP NULL_X86Y103 TILE_Y 77824 TILEPROP NULL_X86Y103 TYPE NULL TILEPROP NULL_X86Y106 CLASS tile TILEPROP NULL_X86Y106 COLUMN 86 TILEPROP NULL_X86Y106 DEVICE_ID 0 TILEPROP NULL_X86Y106 FIRST_SITE_ID 4979 TILEPROP NULL_X86Y106 GRID_POINT_X 86 TILEPROP NULL_X86Y106 GRID_POINT_Y 50 TILEPROP NULL_X86Y106 INDEX 5836 TILEPROP NULL_X86Y106 INT_TILE_X 33 TILEPROP NULL_X86Y106 INT_TILE_Y 48 TILEPROP NULL_X86Y106 IS_CENTER_TILE 0 TILEPROP NULL_X86Y106 IS_DCM_TILE 0 TILEPROP NULL_X86Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y106 NAME NULL_X86Y106 TILEPROP NULL_X86Y106 NUM_ARCS 0 TILEPROP NULL_X86Y106 NUM_SITES 0 TILEPROP NULL_X86Y106 ROW 50 TILEPROP NULL_X86Y106 SLR_REGION_ID 0 TILEPROP NULL_X86Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y106 TILE_TYPE NULL TILEPROP NULL_X86Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y106 TILE_X 56096 TILEPROP NULL_X86Y106 TILE_Y 84472 TILEPROP NULL_X86Y106 TYPE NULL TILEPROP NULL_X86Y107 CLASS tile TILEPROP NULL_X86Y107 COLUMN 86 TILEPROP NULL_X86Y107 DEVICE_ID 0 TILEPROP NULL_X86Y107 FIRST_SITE_ID 4883 TILEPROP NULL_X86Y107 GRID_POINT_X 86 TILEPROP NULL_X86Y107 GRID_POINT_Y 49 TILEPROP NULL_X86Y107 INDEX 5721 TILEPROP NULL_X86Y107 INT_TILE_X 33 TILEPROP NULL_X86Y107 INT_TILE_Y 47 TILEPROP NULL_X86Y107 IS_CENTER_TILE 0 TILEPROP NULL_X86Y107 IS_DCM_TILE 0 TILEPROP NULL_X86Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y107 NAME NULL_X86Y107 TILEPROP NULL_X86Y107 NUM_ARCS 0 TILEPROP NULL_X86Y107 NUM_SITES 0 TILEPROP NULL_X86Y107 ROW 49 TILEPROP NULL_X86Y107 SLR_REGION_ID 0 TILEPROP NULL_X86Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y107 TILE_TYPE NULL TILEPROP NULL_X86Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y107 TILE_X 56096 TILEPROP NULL_X86Y107 TILE_Y 87672 TILEPROP NULL_X86Y107 TYPE NULL TILEPROP NULL_X86Y108 CLASS tile TILEPROP NULL_X86Y108 COLUMN 86 TILEPROP NULL_X86Y108 DEVICE_ID 0 TILEPROP NULL_X86Y108 FIRST_SITE_ID 4795 TILEPROP NULL_X86Y108 GRID_POINT_X 86 TILEPROP NULL_X86Y108 GRID_POINT_Y 48 TILEPROP NULL_X86Y108 INDEX 5606 TILEPROP NULL_X86Y108 INT_TILE_X 33 TILEPROP NULL_X86Y108 INT_TILE_Y 46 TILEPROP NULL_X86Y108 IS_CENTER_TILE 0 TILEPROP NULL_X86Y108 IS_DCM_TILE 0 TILEPROP NULL_X86Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y108 NAME NULL_X86Y108 TILEPROP NULL_X86Y108 NUM_ARCS 0 TILEPROP NULL_X86Y108 NUM_SITES 0 TILEPROP NULL_X86Y108 ROW 48 TILEPROP NULL_X86Y108 SLR_REGION_ID 0 TILEPROP NULL_X86Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y108 TILE_TYPE NULL TILEPROP NULL_X86Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y108 TILE_X 56096 TILEPROP NULL_X86Y108 TILE_Y 90872 TILEPROP NULL_X86Y108 TYPE NULL TILEPROP NULL_X86Y109 CLASS tile TILEPROP NULL_X86Y109 COLUMN 86 TILEPROP NULL_X86Y109 DEVICE_ID 0 TILEPROP NULL_X86Y109 FIRST_SITE_ID 4699 TILEPROP NULL_X86Y109 GRID_POINT_X 86 TILEPROP NULL_X86Y109 GRID_POINT_Y 47 TILEPROP NULL_X86Y109 INDEX 5491 TILEPROP NULL_X86Y109 INT_TILE_X 33 TILEPROP NULL_X86Y109 INT_TILE_Y 45 TILEPROP NULL_X86Y109 IS_CENTER_TILE 0 TILEPROP NULL_X86Y109 IS_DCM_TILE 0 TILEPROP NULL_X86Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y109 NAME NULL_X86Y109 TILEPROP NULL_X86Y109 NUM_ARCS 0 TILEPROP NULL_X86Y109 NUM_SITES 0 TILEPROP NULL_X86Y109 ROW 47 TILEPROP NULL_X86Y109 SLR_REGION_ID 0 TILEPROP NULL_X86Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y109 TILE_TYPE NULL TILEPROP NULL_X86Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y109 TILE_X 56096 TILEPROP NULL_X86Y109 TILE_Y 94072 TILEPROP NULL_X86Y109 TYPE NULL TILEPROP NULL_X86Y111 CLASS tile TILEPROP NULL_X86Y111 COLUMN 86 TILEPROP NULL_X86Y111 DEVICE_ID 0 TILEPROP NULL_X86Y111 FIRST_SITE_ID 4501 TILEPROP NULL_X86Y111 GRID_POINT_X 86 TILEPROP NULL_X86Y111 GRID_POINT_Y 45 TILEPROP NULL_X86Y111 INDEX 5261 TILEPROP NULL_X86Y111 INT_TILE_X 33 TILEPROP NULL_X86Y111 INT_TILE_Y 43 TILEPROP NULL_X86Y111 IS_CENTER_TILE 0 TILEPROP NULL_X86Y111 IS_DCM_TILE 0 TILEPROP NULL_X86Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y111 NAME NULL_X86Y111 TILEPROP NULL_X86Y111 NUM_ARCS 0 TILEPROP NULL_X86Y111 NUM_SITES 0 TILEPROP NULL_X86Y111 ROW 45 TILEPROP NULL_X86Y111 SLR_REGION_ID 0 TILEPROP NULL_X86Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y111 TILE_TYPE NULL TILEPROP NULL_X86Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y111 TILE_X 56096 TILEPROP NULL_X86Y111 TILE_Y 100472 TILEPROP NULL_X86Y111 TYPE NULL TILEPROP NULL_X86Y112 CLASS tile TILEPROP NULL_X86Y112 COLUMN 86 TILEPROP NULL_X86Y112 DEVICE_ID 0 TILEPROP NULL_X86Y112 FIRST_SITE_ID 4413 TILEPROP NULL_X86Y112 GRID_POINT_X 86 TILEPROP NULL_X86Y112 GRID_POINT_Y 44 TILEPROP NULL_X86Y112 INDEX 5146 TILEPROP NULL_X86Y112 INT_TILE_X 33 TILEPROP NULL_X86Y112 INT_TILE_Y 42 TILEPROP NULL_X86Y112 IS_CENTER_TILE 0 TILEPROP NULL_X86Y112 IS_DCM_TILE 0 TILEPROP NULL_X86Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y112 NAME NULL_X86Y112 TILEPROP NULL_X86Y112 NUM_ARCS 0 TILEPROP NULL_X86Y112 NUM_SITES 0 TILEPROP NULL_X86Y112 ROW 44 TILEPROP NULL_X86Y112 SLR_REGION_ID 0 TILEPROP NULL_X86Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y112 TILE_TYPE NULL TILEPROP NULL_X86Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y112 TILE_X 56096 TILEPROP NULL_X86Y112 TILE_Y 103672 TILEPROP NULL_X86Y112 TYPE NULL TILEPROP NULL_X86Y113 CLASS tile TILEPROP NULL_X86Y113 COLUMN 86 TILEPROP NULL_X86Y113 DEVICE_ID 0 TILEPROP NULL_X86Y113 FIRST_SITE_ID 4315 TILEPROP NULL_X86Y113 GRID_POINT_X 86 TILEPROP NULL_X86Y113 GRID_POINT_Y 43 TILEPROP NULL_X86Y113 INDEX 5031 TILEPROP NULL_X86Y113 INT_TILE_X 33 TILEPROP NULL_X86Y113 INT_TILE_Y 41 TILEPROP NULL_X86Y113 IS_CENTER_TILE 0 TILEPROP NULL_X86Y113 IS_DCM_TILE 0 TILEPROP NULL_X86Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y113 NAME NULL_X86Y113 TILEPROP NULL_X86Y113 NUM_ARCS 0 TILEPROP NULL_X86Y113 NUM_SITES 0 TILEPROP NULL_X86Y113 ROW 43 TILEPROP NULL_X86Y113 SLR_REGION_ID 0 TILEPROP NULL_X86Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y113 TILE_TYPE NULL TILEPROP NULL_X86Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y113 TILE_X 56096 TILEPROP NULL_X86Y113 TILE_Y 106872 TILEPROP NULL_X86Y113 TYPE NULL TILEPROP NULL_X86Y114 CLASS tile TILEPROP NULL_X86Y114 COLUMN 86 TILEPROP NULL_X86Y114 DEVICE_ID 0 TILEPROP NULL_X86Y114 FIRST_SITE_ID 4226 TILEPROP NULL_X86Y114 GRID_POINT_X 86 TILEPROP NULL_X86Y114 GRID_POINT_Y 42 TILEPROP NULL_X86Y114 INDEX 4916 TILEPROP NULL_X86Y114 INT_TILE_X 33 TILEPROP NULL_X86Y114 INT_TILE_Y 40 TILEPROP NULL_X86Y114 IS_CENTER_TILE 0 TILEPROP NULL_X86Y114 IS_DCM_TILE 0 TILEPROP NULL_X86Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y114 NAME NULL_X86Y114 TILEPROP NULL_X86Y114 NUM_ARCS 0 TILEPROP NULL_X86Y114 NUM_SITES 0 TILEPROP NULL_X86Y114 ROW 42 TILEPROP NULL_X86Y114 SLR_REGION_ID 0 TILEPROP NULL_X86Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y114 TILE_TYPE NULL TILEPROP NULL_X86Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y114 TILE_X 56096 TILEPROP NULL_X86Y114 TILE_Y 110072 TILEPROP NULL_X86Y114 TYPE NULL TILEPROP NULL_X86Y116 CLASS tile TILEPROP NULL_X86Y116 COLUMN 86 TILEPROP NULL_X86Y116 DEVICE_ID 0 TILEPROP NULL_X86Y116 FIRST_SITE_ID 4032 TILEPROP NULL_X86Y116 GRID_POINT_X 86 TILEPROP NULL_X86Y116 GRID_POINT_Y 40 TILEPROP NULL_X86Y116 INDEX 4686 TILEPROP NULL_X86Y116 INT_TILE_X 33 TILEPROP NULL_X86Y116 INT_TILE_Y 38 TILEPROP NULL_X86Y116 IS_CENTER_TILE 0 TILEPROP NULL_X86Y116 IS_DCM_TILE 0 TILEPROP NULL_X86Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y116 NAME NULL_X86Y116 TILEPROP NULL_X86Y116 NUM_ARCS 0 TILEPROP NULL_X86Y116 NUM_SITES 0 TILEPROP NULL_X86Y116 ROW 40 TILEPROP NULL_X86Y116 SLR_REGION_ID 0 TILEPROP NULL_X86Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y116 TILE_TYPE NULL TILEPROP NULL_X86Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y116 TILE_X 56096 TILEPROP NULL_X86Y116 TILE_Y 116472 TILEPROP NULL_X86Y116 TYPE NULL TILEPROP NULL_X86Y117 CLASS tile TILEPROP NULL_X86Y117 COLUMN 86 TILEPROP NULL_X86Y117 DEVICE_ID 0 TILEPROP NULL_X86Y117 FIRST_SITE_ID 3936 TILEPROP NULL_X86Y117 GRID_POINT_X 86 TILEPROP NULL_X86Y117 GRID_POINT_Y 39 TILEPROP NULL_X86Y117 INDEX 4571 TILEPROP NULL_X86Y117 INT_TILE_X 33 TILEPROP NULL_X86Y117 INT_TILE_Y 37 TILEPROP NULL_X86Y117 IS_CENTER_TILE 0 TILEPROP NULL_X86Y117 IS_DCM_TILE 0 TILEPROP NULL_X86Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y117 NAME NULL_X86Y117 TILEPROP NULL_X86Y117 NUM_ARCS 0 TILEPROP NULL_X86Y117 NUM_SITES 0 TILEPROP NULL_X86Y117 ROW 39 TILEPROP NULL_X86Y117 SLR_REGION_ID 0 TILEPROP NULL_X86Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y117 TILE_TYPE NULL TILEPROP NULL_X86Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y117 TILE_X 56096 TILEPROP NULL_X86Y117 TILE_Y 119672 TILEPROP NULL_X86Y117 TYPE NULL TILEPROP NULL_X86Y118 CLASS tile TILEPROP NULL_X86Y118 COLUMN 86 TILEPROP NULL_X86Y118 DEVICE_ID 0 TILEPROP NULL_X86Y118 FIRST_SITE_ID 3816 TILEPROP NULL_X86Y118 GRID_POINT_X 86 TILEPROP NULL_X86Y118 GRID_POINT_Y 38 TILEPROP NULL_X86Y118 INDEX 4456 TILEPROP NULL_X86Y118 INT_TILE_X 33 TILEPROP NULL_X86Y118 INT_TILE_Y 36 TILEPROP NULL_X86Y118 IS_CENTER_TILE 0 TILEPROP NULL_X86Y118 IS_DCM_TILE 0 TILEPROP NULL_X86Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y118 NAME NULL_X86Y118 TILEPROP NULL_X86Y118 NUM_ARCS 0 TILEPROP NULL_X86Y118 NUM_SITES 0 TILEPROP NULL_X86Y118 ROW 38 TILEPROP NULL_X86Y118 SLR_REGION_ID 0 TILEPROP NULL_X86Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y118 TILE_TYPE NULL TILEPROP NULL_X86Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y118 TILE_X 56096 TILEPROP NULL_X86Y118 TILE_Y 122872 TILEPROP NULL_X86Y118 TYPE NULL TILEPROP NULL_X86Y119 CLASS tile TILEPROP NULL_X86Y119 COLUMN 86 TILEPROP NULL_X86Y119 DEVICE_ID 0 TILEPROP NULL_X86Y119 FIRST_SITE_ID 3720 TILEPROP NULL_X86Y119 GRID_POINT_X 86 TILEPROP NULL_X86Y119 GRID_POINT_Y 37 TILEPROP NULL_X86Y119 INDEX 4341 TILEPROP NULL_X86Y119 INT_TILE_X 33 TILEPROP NULL_X86Y119 INT_TILE_Y 35 TILEPROP NULL_X86Y119 IS_CENTER_TILE 0 TILEPROP NULL_X86Y119 IS_DCM_TILE 0 TILEPROP NULL_X86Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y119 NAME NULL_X86Y119 TILEPROP NULL_X86Y119 NUM_ARCS 0 TILEPROP NULL_X86Y119 NUM_SITES 0 TILEPROP NULL_X86Y119 ROW 37 TILEPROP NULL_X86Y119 SLR_REGION_ID 0 TILEPROP NULL_X86Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y119 TILE_TYPE NULL TILEPROP NULL_X86Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y119 TILE_X 56096 TILEPROP NULL_X86Y119 TILE_Y 126072 TILEPROP NULL_X86Y119 TYPE NULL TILEPROP NULL_X86Y121 CLASS tile TILEPROP NULL_X86Y121 COLUMN 86 TILEPROP NULL_X86Y121 DEVICE_ID 0 TILEPROP NULL_X86Y121 FIRST_SITE_ID 3522 TILEPROP NULL_X86Y121 GRID_POINT_X 86 TILEPROP NULL_X86Y121 GRID_POINT_Y 35 TILEPROP NULL_X86Y121 INDEX 4111 TILEPROP NULL_X86Y121 INT_TILE_X 33 TILEPROP NULL_X86Y121 INT_TILE_Y 33 TILEPROP NULL_X86Y121 IS_CENTER_TILE 0 TILEPROP NULL_X86Y121 IS_DCM_TILE 0 TILEPROP NULL_X86Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y121 NAME NULL_X86Y121 TILEPROP NULL_X86Y121 NUM_ARCS 0 TILEPROP NULL_X86Y121 NUM_SITES 0 TILEPROP NULL_X86Y121 ROW 35 TILEPROP NULL_X86Y121 SLR_REGION_ID 0 TILEPROP NULL_X86Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y121 TILE_TYPE NULL TILEPROP NULL_X86Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y121 TILE_X 56096 TILEPROP NULL_X86Y121 TILE_Y 132472 TILEPROP NULL_X86Y121 TYPE NULL TILEPROP NULL_X86Y122 CLASS tile TILEPROP NULL_X86Y122 COLUMN 86 TILEPROP NULL_X86Y122 DEVICE_ID 0 TILEPROP NULL_X86Y122 FIRST_SITE_ID 3434 TILEPROP NULL_X86Y122 GRID_POINT_X 86 TILEPROP NULL_X86Y122 GRID_POINT_Y 34 TILEPROP NULL_X86Y122 INDEX 3996 TILEPROP NULL_X86Y122 INT_TILE_X 33 TILEPROP NULL_X86Y122 INT_TILE_Y 32 TILEPROP NULL_X86Y122 IS_CENTER_TILE 0 TILEPROP NULL_X86Y122 IS_DCM_TILE 0 TILEPROP NULL_X86Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y122 NAME NULL_X86Y122 TILEPROP NULL_X86Y122 NUM_ARCS 0 TILEPROP NULL_X86Y122 NUM_SITES 0 TILEPROP NULL_X86Y122 ROW 34 TILEPROP NULL_X86Y122 SLR_REGION_ID 0 TILEPROP NULL_X86Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y122 TILE_TYPE NULL TILEPROP NULL_X86Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y122 TILE_X 56096 TILEPROP NULL_X86Y122 TILE_Y 135672 TILEPROP NULL_X86Y122 TYPE NULL TILEPROP NULL_X86Y123 CLASS tile TILEPROP NULL_X86Y123 COLUMN 86 TILEPROP NULL_X86Y123 DEVICE_ID 0 TILEPROP NULL_X86Y123 FIRST_SITE_ID 3334 TILEPROP NULL_X86Y123 GRID_POINT_X 86 TILEPROP NULL_X86Y123 GRID_POINT_Y 33 TILEPROP NULL_X86Y123 INDEX 3881 TILEPROP NULL_X86Y123 INT_TILE_X 33 TILEPROP NULL_X86Y123 INT_TILE_Y 31 TILEPROP NULL_X86Y123 IS_CENTER_TILE 0 TILEPROP NULL_X86Y123 IS_DCM_TILE 0 TILEPROP NULL_X86Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y123 NAME NULL_X86Y123 TILEPROP NULL_X86Y123 NUM_ARCS 0 TILEPROP NULL_X86Y123 NUM_SITES 0 TILEPROP NULL_X86Y123 ROW 33 TILEPROP NULL_X86Y123 SLR_REGION_ID 0 TILEPROP NULL_X86Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y123 TILE_TYPE NULL TILEPROP NULL_X86Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y123 TILE_X 56096 TILEPROP NULL_X86Y123 TILE_Y 138872 TILEPROP NULL_X86Y123 TYPE NULL TILEPROP NULL_X86Y124 CLASS tile TILEPROP NULL_X86Y124 COLUMN 86 TILEPROP NULL_X86Y124 DEVICE_ID 0 TILEPROP NULL_X86Y124 FIRST_SITE_ID 3246 TILEPROP NULL_X86Y124 GRID_POINT_X 86 TILEPROP NULL_X86Y124 GRID_POINT_Y 32 TILEPROP NULL_X86Y124 INDEX 3766 TILEPROP NULL_X86Y124 INT_TILE_X 33 TILEPROP NULL_X86Y124 INT_TILE_Y 30 TILEPROP NULL_X86Y124 IS_CENTER_TILE 0 TILEPROP NULL_X86Y124 IS_DCM_TILE 0 TILEPROP NULL_X86Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y124 NAME NULL_X86Y124 TILEPROP NULL_X86Y124 NUM_ARCS 0 TILEPROP NULL_X86Y124 NUM_SITES 0 TILEPROP NULL_X86Y124 ROW 32 TILEPROP NULL_X86Y124 SLR_REGION_ID 0 TILEPROP NULL_X86Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y124 TILE_TYPE NULL TILEPROP NULL_X86Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y124 TILE_X 56096 TILEPROP NULL_X86Y124 TILE_Y 142072 TILEPROP NULL_X86Y124 TYPE NULL TILEPROP NULL_X86Y126 CLASS tile TILEPROP NULL_X86Y126 COLUMN 86 TILEPROP NULL_X86Y126 DEVICE_ID 0 TILEPROP NULL_X86Y126 FIRST_SITE_ID 3051 TILEPROP NULL_X86Y126 GRID_POINT_X 86 TILEPROP NULL_X86Y126 GRID_POINT_Y 30 TILEPROP NULL_X86Y126 INDEX 3536 TILEPROP NULL_X86Y126 INT_TILE_X 33 TILEPROP NULL_X86Y126 INT_TILE_Y 28 TILEPROP NULL_X86Y126 IS_CENTER_TILE 0 TILEPROP NULL_X86Y126 IS_DCM_TILE 0 TILEPROP NULL_X86Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y126 NAME NULL_X86Y126 TILEPROP NULL_X86Y126 NUM_ARCS 0 TILEPROP NULL_X86Y126 NUM_SITES 0 TILEPROP NULL_X86Y126 ROW 30 TILEPROP NULL_X86Y126 SLR_REGION_ID 0 TILEPROP NULL_X86Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y126 TILE_TYPE NULL TILEPROP NULL_X86Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y126 TILE_X 56096 TILEPROP NULL_X86Y126 TILE_Y 148472 TILEPROP NULL_X86Y126 TYPE NULL TILEPROP NULL_X86Y127 CLASS tile TILEPROP NULL_X86Y127 COLUMN 86 TILEPROP NULL_X86Y127 DEVICE_ID 0 TILEPROP NULL_X86Y127 FIRST_SITE_ID 2948 TILEPROP NULL_X86Y127 GRID_POINT_X 86 TILEPROP NULL_X86Y127 GRID_POINT_Y 29 TILEPROP NULL_X86Y127 INDEX 3421 TILEPROP NULL_X86Y127 INT_TILE_X 33 TILEPROP NULL_X86Y127 INT_TILE_Y 27 TILEPROP NULL_X86Y127 IS_CENTER_TILE 0 TILEPROP NULL_X86Y127 IS_DCM_TILE 0 TILEPROP NULL_X86Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y127 NAME NULL_X86Y127 TILEPROP NULL_X86Y127 NUM_ARCS 0 TILEPROP NULL_X86Y127 NUM_SITES 0 TILEPROP NULL_X86Y127 ROW 29 TILEPROP NULL_X86Y127 SLR_REGION_ID 0 TILEPROP NULL_X86Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y127 TILE_TYPE NULL TILEPROP NULL_X86Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y127 TILE_X 56096 TILEPROP NULL_X86Y127 TILE_Y 151672 TILEPROP NULL_X86Y127 TYPE NULL TILEPROP NULL_X86Y128 CLASS tile TILEPROP NULL_X86Y128 COLUMN 86 TILEPROP NULL_X86Y128 DEVICE_ID 0 TILEPROP NULL_X86Y128 FIRST_SITE_ID 2860 TILEPROP NULL_X86Y128 GRID_POINT_X 86 TILEPROP NULL_X86Y128 GRID_POINT_Y 28 TILEPROP NULL_X86Y128 INDEX 3306 TILEPROP NULL_X86Y128 INT_TILE_X 33 TILEPROP NULL_X86Y128 INT_TILE_Y 26 TILEPROP NULL_X86Y128 IS_CENTER_TILE 0 TILEPROP NULL_X86Y128 IS_DCM_TILE 0 TILEPROP NULL_X86Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y128 NAME NULL_X86Y128 TILEPROP NULL_X86Y128 NUM_ARCS 0 TILEPROP NULL_X86Y128 NUM_SITES 0 TILEPROP NULL_X86Y128 ROW 28 TILEPROP NULL_X86Y128 SLR_REGION_ID 0 TILEPROP NULL_X86Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y128 TILE_TYPE NULL TILEPROP NULL_X86Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y128 TILE_X 56096 TILEPROP NULL_X86Y128 TILE_Y 154872 TILEPROP NULL_X86Y128 TYPE NULL TILEPROP NULL_X86Y129 CLASS tile TILEPROP NULL_X86Y129 COLUMN 86 TILEPROP NULL_X86Y129 DEVICE_ID 0 TILEPROP NULL_X86Y129 FIRST_SITE_ID 2764 TILEPROP NULL_X86Y129 GRID_POINT_X 86 TILEPROP NULL_X86Y129 GRID_POINT_Y 27 TILEPROP NULL_X86Y129 INDEX 3191 TILEPROP NULL_X86Y129 INT_TILE_X 33 TILEPROP NULL_X86Y129 INT_TILE_Y 25 TILEPROP NULL_X86Y129 IS_CENTER_TILE 0 TILEPROP NULL_X86Y129 IS_DCM_TILE 0 TILEPROP NULL_X86Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y129 NAME NULL_X86Y129 TILEPROP NULL_X86Y129 NUM_ARCS 0 TILEPROP NULL_X86Y129 NUM_SITES 0 TILEPROP NULL_X86Y129 ROW 27 TILEPROP NULL_X86Y129 SLR_REGION_ID 0 TILEPROP NULL_X86Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y129 TILE_TYPE NULL TILEPROP NULL_X86Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y129 TILE_X 56096 TILEPROP NULL_X86Y129 TILE_Y 158072 TILEPROP NULL_X86Y129 TYPE NULL TILEPROP NULL_X86Y132 CLASS tile TILEPROP NULL_X86Y132 COLUMN 86 TILEPROP NULL_X86Y132 DEVICE_ID 0 TILEPROP NULL_X86Y132 FIRST_SITE_ID 2489 TILEPROP NULL_X86Y132 GRID_POINT_X 86 TILEPROP NULL_X86Y132 GRID_POINT_Y 24 TILEPROP NULL_X86Y132 INDEX 2846 TILEPROP NULL_X86Y132 INT_TILE_X 33 TILEPROP NULL_X86Y132 INT_TILE_Y 23 TILEPROP NULL_X86Y132 IS_CENTER_TILE 0 TILEPROP NULL_X86Y132 IS_DCM_TILE 0 TILEPROP NULL_X86Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y132 NAME NULL_X86Y132 TILEPROP NULL_X86Y132 NUM_ARCS 0 TILEPROP NULL_X86Y132 NUM_SITES 0 TILEPROP NULL_X86Y132 ROW 24 TILEPROP NULL_X86Y132 SLR_REGION_ID 0 TILEPROP NULL_X86Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y132 TILE_TYPE NULL TILEPROP NULL_X86Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y132 TILE_X 56096 TILEPROP NULL_X86Y132 TILE_Y 165496 TILEPROP NULL_X86Y132 TYPE NULL TILEPROP NULL_X86Y133 CLASS tile TILEPROP NULL_X86Y133 COLUMN 86 TILEPROP NULL_X86Y133 DEVICE_ID 0 TILEPROP NULL_X86Y133 FIRST_SITE_ID 2393 TILEPROP NULL_X86Y133 GRID_POINT_X 86 TILEPROP NULL_X86Y133 GRID_POINT_Y 23 TILEPROP NULL_X86Y133 INDEX 2731 TILEPROP NULL_X86Y133 INT_TILE_X 33 TILEPROP NULL_X86Y133 INT_TILE_Y 22 TILEPROP NULL_X86Y133 IS_CENTER_TILE 0 TILEPROP NULL_X86Y133 IS_DCM_TILE 0 TILEPROP NULL_X86Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y133 NAME NULL_X86Y133 TILEPROP NULL_X86Y133 NUM_ARCS 0 TILEPROP NULL_X86Y133 NUM_SITES 0 TILEPROP NULL_X86Y133 ROW 23 TILEPROP NULL_X86Y133 SLR_REGION_ID 0 TILEPROP NULL_X86Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y133 TILE_TYPE NULL TILEPROP NULL_X86Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y133 TILE_X 56096 TILEPROP NULL_X86Y133 TILE_Y 168696 TILEPROP NULL_X86Y133 TYPE NULL TILEPROP NULL_X86Y134 CLASS tile TILEPROP NULL_X86Y134 COLUMN 86 TILEPROP NULL_X86Y134 DEVICE_ID 0 TILEPROP NULL_X86Y134 FIRST_SITE_ID 2289 TILEPROP NULL_X86Y134 GRID_POINT_X 86 TILEPROP NULL_X86Y134 GRID_POINT_Y 22 TILEPROP NULL_X86Y134 INDEX 2616 TILEPROP NULL_X86Y134 INT_TILE_X 33 TILEPROP NULL_X86Y134 INT_TILE_Y 21 TILEPROP NULL_X86Y134 IS_CENTER_TILE 0 TILEPROP NULL_X86Y134 IS_DCM_TILE 0 TILEPROP NULL_X86Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y134 NAME NULL_X86Y134 TILEPROP NULL_X86Y134 NUM_ARCS 0 TILEPROP NULL_X86Y134 NUM_SITES 0 TILEPROP NULL_X86Y134 ROW 22 TILEPROP NULL_X86Y134 SLR_REGION_ID 0 TILEPROP NULL_X86Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y134 TILE_TYPE NULL TILEPROP NULL_X86Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y134 TILE_X 56096 TILEPROP NULL_X86Y134 TILE_Y 171896 TILEPROP NULL_X86Y134 TYPE NULL TILEPROP NULL_X86Y135 CLASS tile TILEPROP NULL_X86Y135 COLUMN 86 TILEPROP NULL_X86Y135 DEVICE_ID 0 TILEPROP NULL_X86Y135 FIRST_SITE_ID 2193 TILEPROP NULL_X86Y135 GRID_POINT_X 86 TILEPROP NULL_X86Y135 GRID_POINT_Y 21 TILEPROP NULL_X86Y135 INDEX 2501 TILEPROP NULL_X86Y135 INT_TILE_X 33 TILEPROP NULL_X86Y135 INT_TILE_Y 20 TILEPROP NULL_X86Y135 IS_CENTER_TILE 0 TILEPROP NULL_X86Y135 IS_DCM_TILE 0 TILEPROP NULL_X86Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y135 NAME NULL_X86Y135 TILEPROP NULL_X86Y135 NUM_ARCS 0 TILEPROP NULL_X86Y135 NUM_SITES 0 TILEPROP NULL_X86Y135 ROW 21 TILEPROP NULL_X86Y135 SLR_REGION_ID 0 TILEPROP NULL_X86Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y135 TILE_TYPE NULL TILEPROP NULL_X86Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y135 TILE_X 56096 TILEPROP NULL_X86Y135 TILE_Y 175096 TILEPROP NULL_X86Y135 TYPE NULL TILEPROP NULL_X86Y137 CLASS tile TILEPROP NULL_X86Y137 COLUMN 86 TILEPROP NULL_X86Y137 DEVICE_ID 0 TILEPROP NULL_X86Y137 FIRST_SITE_ID 1975 TILEPROP NULL_X86Y137 GRID_POINT_X 86 TILEPROP NULL_X86Y137 GRID_POINT_Y 19 TILEPROP NULL_X86Y137 INDEX 2271 TILEPROP NULL_X86Y137 INT_TILE_X 33 TILEPROP NULL_X86Y137 INT_TILE_Y 18 TILEPROP NULL_X86Y137 IS_CENTER_TILE 0 TILEPROP NULL_X86Y137 IS_DCM_TILE 0 TILEPROP NULL_X86Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y137 NAME NULL_X86Y137 TILEPROP NULL_X86Y137 NUM_ARCS 0 TILEPROP NULL_X86Y137 NUM_SITES 0 TILEPROP NULL_X86Y137 ROW 19 TILEPROP NULL_X86Y137 SLR_REGION_ID 0 TILEPROP NULL_X86Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y137 TILE_TYPE NULL TILEPROP NULL_X86Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y137 TILE_X 56096 TILEPROP NULL_X86Y137 TILE_Y 181496 TILEPROP NULL_X86Y137 TYPE NULL TILEPROP NULL_X86Y138 CLASS tile TILEPROP NULL_X86Y138 COLUMN 86 TILEPROP NULL_X86Y138 DEVICE_ID 0 TILEPROP NULL_X86Y138 FIRST_SITE_ID 1869 TILEPROP NULL_X86Y138 GRID_POINT_X 86 TILEPROP NULL_X86Y138 GRID_POINT_Y 18 TILEPROP NULL_X86Y138 INDEX 2156 TILEPROP NULL_X86Y138 INT_TILE_X 33 TILEPROP NULL_X86Y138 INT_TILE_Y 17 TILEPROP NULL_X86Y138 IS_CENTER_TILE 0 TILEPROP NULL_X86Y138 IS_DCM_TILE 0 TILEPROP NULL_X86Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y138 NAME NULL_X86Y138 TILEPROP NULL_X86Y138 NUM_ARCS 0 TILEPROP NULL_X86Y138 NUM_SITES 0 TILEPROP NULL_X86Y138 ROW 18 TILEPROP NULL_X86Y138 SLR_REGION_ID 0 TILEPROP NULL_X86Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y138 TILE_TYPE NULL TILEPROP NULL_X86Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y138 TILE_X 56096 TILEPROP NULL_X86Y138 TILE_Y 184696 TILEPROP NULL_X86Y138 TYPE NULL TILEPROP NULL_X86Y139 CLASS tile TILEPROP NULL_X86Y139 COLUMN 86 TILEPROP NULL_X86Y139 DEVICE_ID 0 TILEPROP NULL_X86Y139 FIRST_SITE_ID 1768 TILEPROP NULL_X86Y139 GRID_POINT_X 86 TILEPROP NULL_X86Y139 GRID_POINT_Y 17 TILEPROP NULL_X86Y139 INDEX 2041 TILEPROP NULL_X86Y139 INT_TILE_X 33 TILEPROP NULL_X86Y139 INT_TILE_Y 16 TILEPROP NULL_X86Y139 IS_CENTER_TILE 0 TILEPROP NULL_X86Y139 IS_DCM_TILE 0 TILEPROP NULL_X86Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y139 NAME NULL_X86Y139 TILEPROP NULL_X86Y139 NUM_ARCS 0 TILEPROP NULL_X86Y139 NUM_SITES 0 TILEPROP NULL_X86Y139 ROW 17 TILEPROP NULL_X86Y139 SLR_REGION_ID 0 TILEPROP NULL_X86Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y139 TILE_TYPE NULL TILEPROP NULL_X86Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y139 TILE_X 56096 TILEPROP NULL_X86Y139 TILE_Y 187896 TILEPROP NULL_X86Y139 TYPE NULL TILEPROP NULL_X86Y140 CLASS tile TILEPROP NULL_X86Y140 COLUMN 86 TILEPROP NULL_X86Y140 DEVICE_ID 0 TILEPROP NULL_X86Y140 FIRST_SITE_ID 1664 TILEPROP NULL_X86Y140 GRID_POINT_X 86 TILEPROP NULL_X86Y140 GRID_POINT_Y 16 TILEPROP NULL_X86Y140 INDEX 1926 TILEPROP NULL_X86Y140 INT_TILE_X 33 TILEPROP NULL_X86Y140 INT_TILE_Y 15 TILEPROP NULL_X86Y140 IS_CENTER_TILE 0 TILEPROP NULL_X86Y140 IS_DCM_TILE 0 TILEPROP NULL_X86Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y140 NAME NULL_X86Y140 TILEPROP NULL_X86Y140 NUM_ARCS 0 TILEPROP NULL_X86Y140 NUM_SITES 0 TILEPROP NULL_X86Y140 ROW 16 TILEPROP NULL_X86Y140 SLR_REGION_ID 0 TILEPROP NULL_X86Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y140 TILE_TYPE NULL TILEPROP NULL_X86Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y140 TILE_X 56096 TILEPROP NULL_X86Y140 TILE_Y 191096 TILEPROP NULL_X86Y140 TYPE NULL TILEPROP NULL_X86Y142 CLASS tile TILEPROP NULL_X86Y142 COLUMN 86 TILEPROP NULL_X86Y142 DEVICE_ID 0 TILEPROP NULL_X86Y142 FIRST_SITE_ID 1452 TILEPROP NULL_X86Y142 GRID_POINT_X 86 TILEPROP NULL_X86Y142 GRID_POINT_Y 14 TILEPROP NULL_X86Y142 INDEX 1696 TILEPROP NULL_X86Y142 INT_TILE_X 33 TILEPROP NULL_X86Y142 INT_TILE_Y 13 TILEPROP NULL_X86Y142 IS_CENTER_TILE 0 TILEPROP NULL_X86Y142 IS_DCM_TILE 0 TILEPROP NULL_X86Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y142 NAME NULL_X86Y142 TILEPROP NULL_X86Y142 NUM_ARCS 0 TILEPROP NULL_X86Y142 NUM_SITES 0 TILEPROP NULL_X86Y142 ROW 14 TILEPROP NULL_X86Y142 SLR_REGION_ID 0 TILEPROP NULL_X86Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y142 TILE_TYPE NULL TILEPROP NULL_X86Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y142 TILE_X 56096 TILEPROP NULL_X86Y142 TILE_Y 197496 TILEPROP NULL_X86Y142 TYPE NULL TILEPROP NULL_X86Y143 CLASS tile TILEPROP NULL_X86Y143 COLUMN 86 TILEPROP NULL_X86Y143 DEVICE_ID 0 TILEPROP NULL_X86Y143 FIRST_SITE_ID 1324 TILEPROP NULL_X86Y143 GRID_POINT_X 86 TILEPROP NULL_X86Y143 GRID_POINT_Y 13 TILEPROP NULL_X86Y143 INDEX 1581 TILEPROP NULL_X86Y143 INT_TILE_X 33 TILEPROP NULL_X86Y143 INT_TILE_Y 12 TILEPROP NULL_X86Y143 IS_CENTER_TILE 0 TILEPROP NULL_X86Y143 IS_DCM_TILE 0 TILEPROP NULL_X86Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y143 NAME NULL_X86Y143 TILEPROP NULL_X86Y143 NUM_ARCS 0 TILEPROP NULL_X86Y143 NUM_SITES 0 TILEPROP NULL_X86Y143 ROW 13 TILEPROP NULL_X86Y143 SLR_REGION_ID 0 TILEPROP NULL_X86Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y143 TILE_TYPE NULL TILEPROP NULL_X86Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y143 TILE_X 56096 TILEPROP NULL_X86Y143 TILE_Y 200696 TILEPROP NULL_X86Y143 TYPE NULL TILEPROP NULL_X86Y144 CLASS tile TILEPROP NULL_X86Y144 COLUMN 86 TILEPROP NULL_X86Y144 DEVICE_ID 0 TILEPROP NULL_X86Y144 FIRST_SITE_ID 1220 TILEPROP NULL_X86Y144 GRID_POINT_X 86 TILEPROP NULL_X86Y144 GRID_POINT_Y 12 TILEPROP NULL_X86Y144 INDEX 1466 TILEPROP NULL_X86Y144 INT_TILE_X 33 TILEPROP NULL_X86Y144 INT_TILE_Y 11 TILEPROP NULL_X86Y144 IS_CENTER_TILE 0 TILEPROP NULL_X86Y144 IS_DCM_TILE 0 TILEPROP NULL_X86Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y144 NAME NULL_X86Y144 TILEPROP NULL_X86Y144 NUM_ARCS 0 TILEPROP NULL_X86Y144 NUM_SITES 0 TILEPROP NULL_X86Y144 ROW 12 TILEPROP NULL_X86Y144 SLR_REGION_ID 0 TILEPROP NULL_X86Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y144 TILE_TYPE NULL TILEPROP NULL_X86Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y144 TILE_X 56096 TILEPROP NULL_X86Y144 TILE_Y 203896 TILEPROP NULL_X86Y144 TYPE NULL TILEPROP NULL_X86Y145 CLASS tile TILEPROP NULL_X86Y145 COLUMN 86 TILEPROP NULL_X86Y145 DEVICE_ID 0 TILEPROP NULL_X86Y145 FIRST_SITE_ID 1124 TILEPROP NULL_X86Y145 GRID_POINT_X 86 TILEPROP NULL_X86Y145 GRID_POINT_Y 11 TILEPROP NULL_X86Y145 INDEX 1351 TILEPROP NULL_X86Y145 INT_TILE_X 33 TILEPROP NULL_X86Y145 INT_TILE_Y 10 TILEPROP NULL_X86Y145 IS_CENTER_TILE 0 TILEPROP NULL_X86Y145 IS_DCM_TILE 0 TILEPROP NULL_X86Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y145 NAME NULL_X86Y145 TILEPROP NULL_X86Y145 NUM_ARCS 0 TILEPROP NULL_X86Y145 NUM_SITES 0 TILEPROP NULL_X86Y145 ROW 11 TILEPROP NULL_X86Y145 SLR_REGION_ID 0 TILEPROP NULL_X86Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y145 TILE_TYPE NULL TILEPROP NULL_X86Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y145 TILE_X 56096 TILEPROP NULL_X86Y145 TILE_Y 207096 TILEPROP NULL_X86Y145 TYPE NULL TILEPROP NULL_X86Y147 CLASS tile TILEPROP NULL_X86Y147 COLUMN 86 TILEPROP NULL_X86Y147 DEVICE_ID 0 TILEPROP NULL_X86Y147 FIRST_SITE_ID 912 TILEPROP NULL_X86Y147 GRID_POINT_X 86 TILEPROP NULL_X86Y147 GRID_POINT_Y 9 TILEPROP NULL_X86Y147 INDEX 1121 TILEPROP NULL_X86Y147 INT_TILE_X 33 TILEPROP NULL_X86Y147 INT_TILE_Y 8 TILEPROP NULL_X86Y147 IS_CENTER_TILE 0 TILEPROP NULL_X86Y147 IS_DCM_TILE 0 TILEPROP NULL_X86Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y147 NAME NULL_X86Y147 TILEPROP NULL_X86Y147 NUM_ARCS 0 TILEPROP NULL_X86Y147 NUM_SITES 0 TILEPROP NULL_X86Y147 ROW 9 TILEPROP NULL_X86Y147 SLR_REGION_ID 0 TILEPROP NULL_X86Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y147 TILE_TYPE NULL TILEPROP NULL_X86Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y147 TILE_X 56096 TILEPROP NULL_X86Y147 TILE_Y 213496 TILEPROP NULL_X86Y147 TYPE NULL TILEPROP NULL_X86Y148 CLASS tile TILEPROP NULL_X86Y148 COLUMN 86 TILEPROP NULL_X86Y148 DEVICE_ID 0 TILEPROP NULL_X86Y148 FIRST_SITE_ID 808 TILEPROP NULL_X86Y148 GRID_POINT_X 86 TILEPROP NULL_X86Y148 GRID_POINT_Y 8 TILEPROP NULL_X86Y148 INDEX 1006 TILEPROP NULL_X86Y148 INT_TILE_X 33 TILEPROP NULL_X86Y148 INT_TILE_Y 7 TILEPROP NULL_X86Y148 IS_CENTER_TILE 0 TILEPROP NULL_X86Y148 IS_DCM_TILE 0 TILEPROP NULL_X86Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y148 NAME NULL_X86Y148 TILEPROP NULL_X86Y148 NUM_ARCS 0 TILEPROP NULL_X86Y148 NUM_SITES 0 TILEPROP NULL_X86Y148 ROW 8 TILEPROP NULL_X86Y148 SLR_REGION_ID 0 TILEPROP NULL_X86Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y148 TILE_TYPE NULL TILEPROP NULL_X86Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y148 TILE_X 56096 TILEPROP NULL_X86Y148 TILE_Y 216696 TILEPROP NULL_X86Y148 TYPE NULL TILEPROP NULL_X86Y149 CLASS tile TILEPROP NULL_X86Y149 COLUMN 86 TILEPROP NULL_X86Y149 DEVICE_ID 0 TILEPROP NULL_X86Y149 FIRST_SITE_ID 711 TILEPROP NULL_X86Y149 GRID_POINT_X 86 TILEPROP NULL_X86Y149 GRID_POINT_Y 7 TILEPROP NULL_X86Y149 INDEX 891 TILEPROP NULL_X86Y149 INT_TILE_X 33 TILEPROP NULL_X86Y149 INT_TILE_Y 6 TILEPROP NULL_X86Y149 IS_CENTER_TILE 0 TILEPROP NULL_X86Y149 IS_DCM_TILE 0 TILEPROP NULL_X86Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y149 NAME NULL_X86Y149 TILEPROP NULL_X86Y149 NUM_ARCS 0 TILEPROP NULL_X86Y149 NUM_SITES 0 TILEPROP NULL_X86Y149 ROW 7 TILEPROP NULL_X86Y149 SLR_REGION_ID 0 TILEPROP NULL_X86Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y149 TILE_TYPE NULL TILEPROP NULL_X86Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y149 TILE_X 56096 TILEPROP NULL_X86Y149 TILE_Y 219896 TILEPROP NULL_X86Y149 TYPE NULL TILEPROP NULL_X86Y150 CLASS tile TILEPROP NULL_X86Y150 COLUMN 86 TILEPROP NULL_X86Y150 DEVICE_ID 0 TILEPROP NULL_X86Y150 FIRST_SITE_ID 600 TILEPROP NULL_X86Y150 GRID_POINT_X 86 TILEPROP NULL_X86Y150 GRID_POINT_Y 6 TILEPROP NULL_X86Y150 INDEX 776 TILEPROP NULL_X86Y150 INT_TILE_X 33 TILEPROP NULL_X86Y150 INT_TILE_Y 5 TILEPROP NULL_X86Y150 IS_CENTER_TILE 0 TILEPROP NULL_X86Y150 IS_DCM_TILE 0 TILEPROP NULL_X86Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y150 NAME NULL_X86Y150 TILEPROP NULL_X86Y150 NUM_ARCS 0 TILEPROP NULL_X86Y150 NUM_SITES 0 TILEPROP NULL_X86Y150 ROW 6 TILEPROP NULL_X86Y150 SLR_REGION_ID 0 TILEPROP NULL_X86Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y150 TILE_TYPE NULL TILEPROP NULL_X86Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y150 TILE_X 56096 TILEPROP NULL_X86Y150 TILE_Y 223096 TILEPROP NULL_X86Y150 TYPE NULL TILEPROP NULL_X86Y152 CLASS tile TILEPROP NULL_X86Y152 COLUMN 86 TILEPROP NULL_X86Y152 DEVICE_ID 0 TILEPROP NULL_X86Y152 FIRST_SITE_ID 388 TILEPROP NULL_X86Y152 GRID_POINT_X 86 TILEPROP NULL_X86Y152 GRID_POINT_Y 4 TILEPROP NULL_X86Y152 INDEX 546 TILEPROP NULL_X86Y152 INT_TILE_X 33 TILEPROP NULL_X86Y152 INT_TILE_Y 3 TILEPROP NULL_X86Y152 IS_CENTER_TILE 0 TILEPROP NULL_X86Y152 IS_DCM_TILE 0 TILEPROP NULL_X86Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y152 NAME NULL_X86Y152 TILEPROP NULL_X86Y152 NUM_ARCS 0 TILEPROP NULL_X86Y152 NUM_SITES 0 TILEPROP NULL_X86Y152 ROW 4 TILEPROP NULL_X86Y152 SLR_REGION_ID 0 TILEPROP NULL_X86Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y152 TILE_TYPE NULL TILEPROP NULL_X86Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y152 TILE_X 56096 TILEPROP NULL_X86Y152 TILE_Y 229496 TILEPROP NULL_X86Y152 TYPE NULL TILEPROP NULL_X86Y153 CLASS tile TILEPROP NULL_X86Y153 COLUMN 86 TILEPROP NULL_X86Y153 DEVICE_ID 0 TILEPROP NULL_X86Y153 FIRST_SITE_ID 292 TILEPROP NULL_X86Y153 GRID_POINT_X 86 TILEPROP NULL_X86Y153 GRID_POINT_Y 3 TILEPROP NULL_X86Y153 INDEX 431 TILEPROP NULL_X86Y153 INT_TILE_X 33 TILEPROP NULL_X86Y153 INT_TILE_Y 2 TILEPROP NULL_X86Y153 IS_CENTER_TILE 0 TILEPROP NULL_X86Y153 IS_DCM_TILE 0 TILEPROP NULL_X86Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y153 NAME NULL_X86Y153 TILEPROP NULL_X86Y153 NUM_ARCS 0 TILEPROP NULL_X86Y153 NUM_SITES 0 TILEPROP NULL_X86Y153 ROW 3 TILEPROP NULL_X86Y153 SLR_REGION_ID 0 TILEPROP NULL_X86Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y153 TILE_TYPE NULL TILEPROP NULL_X86Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y153 TILE_X 56096 TILEPROP NULL_X86Y153 TILE_Y 232696 TILEPROP NULL_X86Y153 TYPE NULL TILEPROP NULL_X86Y154 CLASS tile TILEPROP NULL_X86Y154 COLUMN 86 TILEPROP NULL_X86Y154 DEVICE_ID 0 TILEPROP NULL_X86Y154 FIRST_SITE_ID 188 TILEPROP NULL_X86Y154 GRID_POINT_X 86 TILEPROP NULL_X86Y154 GRID_POINT_Y 2 TILEPROP NULL_X86Y154 INDEX 316 TILEPROP NULL_X86Y154 INT_TILE_X 33 TILEPROP NULL_X86Y154 INT_TILE_Y 1 TILEPROP NULL_X86Y154 IS_CENTER_TILE 0 TILEPROP NULL_X86Y154 IS_DCM_TILE 0 TILEPROP NULL_X86Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y154 NAME NULL_X86Y154 TILEPROP NULL_X86Y154 NUM_ARCS 0 TILEPROP NULL_X86Y154 NUM_SITES 0 TILEPROP NULL_X86Y154 ROW 2 TILEPROP NULL_X86Y154 SLR_REGION_ID 0 TILEPROP NULL_X86Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y154 TILE_TYPE NULL TILEPROP NULL_X86Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y154 TILE_X 56096 TILEPROP NULL_X86Y154 TILE_Y 235896 TILEPROP NULL_X86Y154 TYPE NULL TILEPROP NULL_X86Y155 CLASS tile TILEPROP NULL_X86Y155 COLUMN 86 TILEPROP NULL_X86Y155 DEVICE_ID 0 TILEPROP NULL_X86Y155 FIRST_SITE_ID 92 TILEPROP NULL_X86Y155 GRID_POINT_X 86 TILEPROP NULL_X86Y155 GRID_POINT_Y 1 TILEPROP NULL_X86Y155 INDEX 201 TILEPROP NULL_X86Y155 INT_TILE_X 33 TILEPROP NULL_X86Y155 INT_TILE_Y 0 TILEPROP NULL_X86Y155 IS_CENTER_TILE 0 TILEPROP NULL_X86Y155 IS_DCM_TILE 0 TILEPROP NULL_X86Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y155 NAME NULL_X86Y155 TILEPROP NULL_X86Y155 NUM_ARCS 0 TILEPROP NULL_X86Y155 NUM_SITES 0 TILEPROP NULL_X86Y155 ROW 1 TILEPROP NULL_X86Y155 SLR_REGION_ID 0 TILEPROP NULL_X86Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y155 TILE_TYPE NULL TILEPROP NULL_X86Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y155 TILE_X 56096 TILEPROP NULL_X86Y155 TILE_Y 239096 TILEPROP NULL_X86Y155 TYPE NULL TILEPROP NULL_X86Y156 CLASS tile TILEPROP NULL_X86Y156 COLUMN 86 TILEPROP NULL_X86Y156 DEVICE_ID 0 TILEPROP NULL_X86Y156 FIRST_SITE_ID 0 TILEPROP NULL_X86Y156 GRID_POINT_X 86 TILEPROP NULL_X86Y156 GRID_POINT_Y 0 TILEPROP NULL_X86Y156 INDEX 86 TILEPROP NULL_X86Y156 INT_TILE_X -1 TILEPROP NULL_X86Y156 INT_TILE_Y -1 TILEPROP NULL_X86Y156 IS_CENTER_TILE 0 TILEPROP NULL_X86Y156 IS_DCM_TILE 0 TILEPROP NULL_X86Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X86Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X86Y156 NAME NULL_X86Y156 TILEPROP NULL_X86Y156 NUM_ARCS 0 TILEPROP NULL_X86Y156 NUM_SITES 0 TILEPROP NULL_X86Y156 ROW 0 TILEPROP NULL_X86Y156 SLR_REGION_ID 0 TILEPROP NULL_X86Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X86Y156 TILE_TYPE NULL TILEPROP NULL_X86Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X86Y156 TILE_X 56096 TILEPROP NULL_X86Y156 TILE_Y 242296 TILEPROP NULL_X86Y156 TYPE NULL TILEPROP NULL_X87Y0 CLASS tile TILEPROP NULL_X87Y0 COLUMN 87 TILEPROP NULL_X87Y0 DEVICE_ID 0 TILEPROP NULL_X87Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X87Y0 GRID_POINT_X 87 TILEPROP NULL_X87Y0 GRID_POINT_Y 156 TILEPROP NULL_X87Y0 INDEX 18027 TILEPROP NULL_X87Y0 INT_TILE_X 33 TILEPROP NULL_X87Y0 INT_TILE_Y 149 TILEPROP NULL_X87Y0 IS_CENTER_TILE 0 TILEPROP NULL_X87Y0 IS_DCM_TILE 0 TILEPROP NULL_X87Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X87Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X87Y0 NAME NULL_X87Y0 TILEPROP NULL_X87Y0 NUM_ARCS 0 TILEPROP NULL_X87Y0 NUM_SITES 0 TILEPROP NULL_X87Y0 ROW 156 TILEPROP NULL_X87Y0 SLR_REGION_ID 0 TILEPROP NULL_X87Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X87Y0 TILE_TYPE NULL TILEPROP NULL_X87Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X87Y0 TILE_X 57182 TILEPROP NULL_X87Y0 TILE_Y -244472 TILEPROP NULL_X87Y0 TYPE NULL TILEPROP NULL_X87Y52 CLASS tile TILEPROP NULL_X87Y52 COLUMN 87 TILEPROP NULL_X87Y52 DEVICE_ID 0 TILEPROP NULL_X87Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X87Y52 GRID_POINT_X 87 TILEPROP NULL_X87Y52 GRID_POINT_Y 104 TILEPROP NULL_X87Y52 INDEX 12047 TILEPROP NULL_X87Y52 INT_TILE_X 33 TILEPROP NULL_X87Y52 INT_TILE_Y 99 TILEPROP NULL_X87Y52 IS_CENTER_TILE 0 TILEPROP NULL_X87Y52 IS_DCM_TILE 0 TILEPROP NULL_X87Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X87Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X87Y52 NAME NULL_X87Y52 TILEPROP NULL_X87Y52 NUM_ARCS 0 TILEPROP NULL_X87Y52 NUM_SITES 0 TILEPROP NULL_X87Y52 ROW 104 TILEPROP NULL_X87Y52 SLR_REGION_ID 0 TILEPROP NULL_X87Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X87Y52 TILE_TYPE NULL TILEPROP NULL_X87Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X87Y52 TILE_X 57182 TILEPROP NULL_X87Y52 TILE_Y -80248 TILEPROP NULL_X87Y52 TYPE NULL TILEPROP NULL_X87Y104 CLASS tile TILEPROP NULL_X87Y104 COLUMN 87 TILEPROP NULL_X87Y104 DEVICE_ID 0 TILEPROP NULL_X87Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X87Y104 GRID_POINT_X 87 TILEPROP NULL_X87Y104 GRID_POINT_Y 52 TILEPROP NULL_X87Y104 INDEX 6067 TILEPROP NULL_X87Y104 INT_TILE_X 33 TILEPROP NULL_X87Y104 INT_TILE_Y 49 TILEPROP NULL_X87Y104 IS_CENTER_TILE 0 TILEPROP NULL_X87Y104 IS_DCM_TILE 0 TILEPROP NULL_X87Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X87Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X87Y104 NAME NULL_X87Y104 TILEPROP NULL_X87Y104 NUM_ARCS 0 TILEPROP NULL_X87Y104 NUM_SITES 0 TILEPROP NULL_X87Y104 ROW 52 TILEPROP NULL_X87Y104 SLR_REGION_ID 0 TILEPROP NULL_X87Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X87Y104 TILE_TYPE NULL TILEPROP NULL_X87Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X87Y104 TILE_X 57182 TILEPROP NULL_X87Y104 TILE_Y 81024 TILEPROP NULL_X87Y104 TYPE NULL TILEPROP NULL_X87Y156 CLASS tile TILEPROP NULL_X87Y156 COLUMN 87 TILEPROP NULL_X87Y156 DEVICE_ID 0 TILEPROP NULL_X87Y156 FIRST_SITE_ID 0 TILEPROP NULL_X87Y156 GRID_POINT_X 87 TILEPROP NULL_X87Y156 GRID_POINT_Y 0 TILEPROP NULL_X87Y156 INDEX 87 TILEPROP NULL_X87Y156 INT_TILE_X -1 TILEPROP NULL_X87Y156 INT_TILE_Y -1 TILEPROP NULL_X87Y156 IS_CENTER_TILE 0 TILEPROP NULL_X87Y156 IS_DCM_TILE 0 TILEPROP NULL_X87Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X87Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X87Y156 NAME NULL_X87Y156 TILEPROP NULL_X87Y156 NUM_ARCS 0 TILEPROP NULL_X87Y156 NUM_SITES 0 TILEPROP NULL_X87Y156 ROW 0 TILEPROP NULL_X87Y156 SLR_REGION_ID 0 TILEPROP NULL_X87Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X87Y156 TILE_TYPE NULL TILEPROP NULL_X87Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X87Y156 TILE_X 57182 TILEPROP NULL_X87Y156 TILE_Y 242296 TILEPROP NULL_X87Y156 TYPE NULL TILEPROP NULL_X8Y1 CLASS tile TILEPROP NULL_X8Y1 COLUMN 8 TILEPROP NULL_X8Y1 DEVICE_ID 0 TILEPROP NULL_X8Y1 FIRST_SITE_ID 15708 TILEPROP NULL_X8Y1 GRID_POINT_X 8 TILEPROP NULL_X8Y1 GRID_POINT_Y 155 TILEPROP NULL_X8Y1 INDEX 17833 TILEPROP NULL_X8Y1 INT_TILE_X 1 TILEPROP NULL_X8Y1 INT_TILE_Y 149 TILEPROP NULL_X8Y1 IS_CENTER_TILE 0 TILEPROP NULL_X8Y1 IS_DCM_TILE 0 TILEPROP NULL_X8Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y1 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y1 NAME NULL_X8Y1 TILEPROP NULL_X8Y1 NUM_ARCS 0 TILEPROP NULL_X8Y1 NUM_SITES 0 TILEPROP NULL_X8Y1 ROW 155 TILEPROP NULL_X8Y1 SLR_REGION_ID 0 TILEPROP NULL_X8Y1 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y1 TILE_TYPE NULL TILEPROP NULL_X8Y1 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y1 TILE_X -91222 TILEPROP NULL_X8Y1 TILE_Y -241272 TILEPROP NULL_X8Y1 TYPE NULL TILEPROP NULL_X8Y2 CLASS tile TILEPROP NULL_X8Y2 COLUMN 8 TILEPROP NULL_X8Y2 DEVICE_ID 0 TILEPROP NULL_X8Y2 FIRST_SITE_ID 15603 TILEPROP NULL_X8Y2 GRID_POINT_X 8 TILEPROP NULL_X8Y2 GRID_POINT_Y 154 TILEPROP NULL_X8Y2 INDEX 17718 TILEPROP NULL_X8Y2 INT_TILE_X 1 TILEPROP NULL_X8Y2 INT_TILE_Y 148 TILEPROP NULL_X8Y2 IS_CENTER_TILE 0 TILEPROP NULL_X8Y2 IS_DCM_TILE 0 TILEPROP NULL_X8Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y2 NAME NULL_X8Y2 TILEPROP NULL_X8Y2 NUM_ARCS 0 TILEPROP NULL_X8Y2 NUM_SITES 0 TILEPROP NULL_X8Y2 ROW 154 TILEPROP NULL_X8Y2 SLR_REGION_ID 0 TILEPROP NULL_X8Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y2 TILE_TYPE NULL TILEPROP NULL_X8Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y2 TILE_X -91222 TILEPROP NULL_X8Y2 TILE_Y -238072 TILEPROP NULL_X8Y2 TYPE NULL TILEPROP NULL_X8Y3 CLASS tile TILEPROP NULL_X8Y3 COLUMN 8 TILEPROP NULL_X8Y3 DEVICE_ID 0 TILEPROP NULL_X8Y3 FIRST_SITE_ID 15503 TILEPROP NULL_X8Y3 GRID_POINT_X 8 TILEPROP NULL_X8Y3 GRID_POINT_Y 153 TILEPROP NULL_X8Y3 INDEX 17603 TILEPROP NULL_X8Y3 INT_TILE_X 1 TILEPROP NULL_X8Y3 INT_TILE_Y 147 TILEPROP NULL_X8Y3 IS_CENTER_TILE 0 TILEPROP NULL_X8Y3 IS_DCM_TILE 0 TILEPROP NULL_X8Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y3 NAME NULL_X8Y3 TILEPROP NULL_X8Y3 NUM_ARCS 0 TILEPROP NULL_X8Y3 NUM_SITES 0 TILEPROP NULL_X8Y3 ROW 153 TILEPROP NULL_X8Y3 SLR_REGION_ID 0 TILEPROP NULL_X8Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y3 TILE_TYPE NULL TILEPROP NULL_X8Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y3 TILE_X -91222 TILEPROP NULL_X8Y3 TILE_Y -234872 TILEPROP NULL_X8Y3 TYPE NULL TILEPROP NULL_X8Y4 CLASS tile TILEPROP NULL_X8Y4 COLUMN 8 TILEPROP NULL_X8Y4 DEVICE_ID 0 TILEPROP NULL_X8Y4 FIRST_SITE_ID 15403 TILEPROP NULL_X8Y4 GRID_POINT_X 8 TILEPROP NULL_X8Y4 GRID_POINT_Y 152 TILEPROP NULL_X8Y4 INDEX 17488 TILEPROP NULL_X8Y4 INT_TILE_X 1 TILEPROP NULL_X8Y4 INT_TILE_Y 146 TILEPROP NULL_X8Y4 IS_CENTER_TILE 0 TILEPROP NULL_X8Y4 IS_DCM_TILE 0 TILEPROP NULL_X8Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y4 NAME NULL_X8Y4 TILEPROP NULL_X8Y4 NUM_ARCS 0 TILEPROP NULL_X8Y4 NUM_SITES 0 TILEPROP NULL_X8Y4 ROW 152 TILEPROP NULL_X8Y4 SLR_REGION_ID 0 TILEPROP NULL_X8Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y4 TILE_TYPE NULL TILEPROP NULL_X8Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y4 TILE_X -91222 TILEPROP NULL_X8Y4 TILE_Y -231672 TILEPROP NULL_X8Y4 TYPE NULL TILEPROP NULL_X8Y5 CLASS tile TILEPROP NULL_X8Y5 COLUMN 8 TILEPROP NULL_X8Y5 DEVICE_ID 0 TILEPROP NULL_X8Y5 FIRST_SITE_ID 15303 TILEPROP NULL_X8Y5 GRID_POINT_X 8 TILEPROP NULL_X8Y5 GRID_POINT_Y 151 TILEPROP NULL_X8Y5 INDEX 17373 TILEPROP NULL_X8Y5 INT_TILE_X 1 TILEPROP NULL_X8Y5 INT_TILE_Y 145 TILEPROP NULL_X8Y5 IS_CENTER_TILE 0 TILEPROP NULL_X8Y5 IS_DCM_TILE 0 TILEPROP NULL_X8Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y5 NAME NULL_X8Y5 TILEPROP NULL_X8Y5 NUM_ARCS 0 TILEPROP NULL_X8Y5 NUM_SITES 0 TILEPROP NULL_X8Y5 ROW 151 TILEPROP NULL_X8Y5 SLR_REGION_ID 0 TILEPROP NULL_X8Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y5 TILE_TYPE NULL TILEPROP NULL_X8Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y5 TILE_X -91222 TILEPROP NULL_X8Y5 TILE_Y -228472 TILEPROP NULL_X8Y5 TYPE NULL TILEPROP NULL_X8Y6 CLASS tile TILEPROP NULL_X8Y6 COLUMN 8 TILEPROP NULL_X8Y6 DEVICE_ID 0 TILEPROP NULL_X8Y6 FIRST_SITE_ID 15188 TILEPROP NULL_X8Y6 GRID_POINT_X 8 TILEPROP NULL_X8Y6 GRID_POINT_Y 150 TILEPROP NULL_X8Y6 INDEX 17258 TILEPROP NULL_X8Y6 INT_TILE_X 1 TILEPROP NULL_X8Y6 INT_TILE_Y 144 TILEPROP NULL_X8Y6 IS_CENTER_TILE 0 TILEPROP NULL_X8Y6 IS_DCM_TILE 0 TILEPROP NULL_X8Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y6 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y6 NAME NULL_X8Y6 TILEPROP NULL_X8Y6 NUM_ARCS 0 TILEPROP NULL_X8Y6 NUM_SITES 0 TILEPROP NULL_X8Y6 ROW 150 TILEPROP NULL_X8Y6 SLR_REGION_ID 0 TILEPROP NULL_X8Y6 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y6 TILE_TYPE NULL TILEPROP NULL_X8Y6 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y6 TILE_X -91222 TILEPROP NULL_X8Y6 TILE_Y -225272 TILEPROP NULL_X8Y6 TYPE NULL TILEPROP NULL_X8Y7 CLASS tile TILEPROP NULL_X8Y7 COLUMN 8 TILEPROP NULL_X8Y7 DEVICE_ID 0 TILEPROP NULL_X8Y7 FIRST_SITE_ID 15088 TILEPROP NULL_X8Y7 GRID_POINT_X 8 TILEPROP NULL_X8Y7 GRID_POINT_Y 149 TILEPROP NULL_X8Y7 INDEX 17143 TILEPROP NULL_X8Y7 INT_TILE_X 1 TILEPROP NULL_X8Y7 INT_TILE_Y 143 TILEPROP NULL_X8Y7 IS_CENTER_TILE 0 TILEPROP NULL_X8Y7 IS_DCM_TILE 0 TILEPROP NULL_X8Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y7 NAME NULL_X8Y7 TILEPROP NULL_X8Y7 NUM_ARCS 0 TILEPROP NULL_X8Y7 NUM_SITES 0 TILEPROP NULL_X8Y7 ROW 149 TILEPROP NULL_X8Y7 SLR_REGION_ID 0 TILEPROP NULL_X8Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y7 TILE_TYPE NULL TILEPROP NULL_X8Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y7 TILE_X -91222 TILEPROP NULL_X8Y7 TILE_Y -222072 TILEPROP NULL_X8Y7 TYPE NULL TILEPROP NULL_X8Y8 CLASS tile TILEPROP NULL_X8Y8 COLUMN 8 TILEPROP NULL_X8Y8 DEVICE_ID 0 TILEPROP NULL_X8Y8 FIRST_SITE_ID 14986 TILEPROP NULL_X8Y8 GRID_POINT_X 8 TILEPROP NULL_X8Y8 GRID_POINT_Y 148 TILEPROP NULL_X8Y8 INDEX 17028 TILEPROP NULL_X8Y8 INT_TILE_X 1 TILEPROP NULL_X8Y8 INT_TILE_Y 142 TILEPROP NULL_X8Y8 IS_CENTER_TILE 0 TILEPROP NULL_X8Y8 IS_DCM_TILE 0 TILEPROP NULL_X8Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y8 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y8 NAME NULL_X8Y8 TILEPROP NULL_X8Y8 NUM_ARCS 0 TILEPROP NULL_X8Y8 NUM_SITES 0 TILEPROP NULL_X8Y8 ROW 148 TILEPROP NULL_X8Y8 SLR_REGION_ID 0 TILEPROP NULL_X8Y8 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y8 TILE_TYPE NULL TILEPROP NULL_X8Y8 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y8 TILE_X -91222 TILEPROP NULL_X8Y8 TILE_Y -218872 TILEPROP NULL_X8Y8 TYPE NULL TILEPROP NULL_X8Y10 CLASS tile TILEPROP NULL_X8Y10 COLUMN 8 TILEPROP NULL_X8Y10 DEVICE_ID 0 TILEPROP NULL_X8Y10 FIRST_SITE_ID 14782 TILEPROP NULL_X8Y10 GRID_POINT_X 8 TILEPROP NULL_X8Y10 GRID_POINT_Y 146 TILEPROP NULL_X8Y10 INDEX 16798 TILEPROP NULL_X8Y10 INT_TILE_X 1 TILEPROP NULL_X8Y10 INT_TILE_Y 140 TILEPROP NULL_X8Y10 IS_CENTER_TILE 0 TILEPROP NULL_X8Y10 IS_DCM_TILE 0 TILEPROP NULL_X8Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y10 NAME NULL_X8Y10 TILEPROP NULL_X8Y10 NUM_ARCS 0 TILEPROP NULL_X8Y10 NUM_SITES 0 TILEPROP NULL_X8Y10 ROW 146 TILEPROP NULL_X8Y10 SLR_REGION_ID 0 TILEPROP NULL_X8Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y10 TILE_TYPE NULL TILEPROP NULL_X8Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y10 TILE_X -91222 TILEPROP NULL_X8Y10 TILE_Y -212472 TILEPROP NULL_X8Y10 TYPE NULL TILEPROP NULL_X8Y11 CLASS tile TILEPROP NULL_X8Y11 COLUMN 8 TILEPROP NULL_X8Y11 DEVICE_ID 0 TILEPROP NULL_X8Y11 FIRST_SITE_ID 14667 TILEPROP NULL_X8Y11 GRID_POINT_X 8 TILEPROP NULL_X8Y11 GRID_POINT_Y 145 TILEPROP NULL_X8Y11 INDEX 16683 TILEPROP NULL_X8Y11 INT_TILE_X 1 TILEPROP NULL_X8Y11 INT_TILE_Y 139 TILEPROP NULL_X8Y11 IS_CENTER_TILE 0 TILEPROP NULL_X8Y11 IS_DCM_TILE 0 TILEPROP NULL_X8Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y11 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y11 NAME NULL_X8Y11 TILEPROP NULL_X8Y11 NUM_ARCS 0 TILEPROP NULL_X8Y11 NUM_SITES 0 TILEPROP NULL_X8Y11 ROW 145 TILEPROP NULL_X8Y11 SLR_REGION_ID 0 TILEPROP NULL_X8Y11 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y11 TILE_TYPE NULL TILEPROP NULL_X8Y11 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y11 TILE_X -91222 TILEPROP NULL_X8Y11 TILE_Y -209272 TILEPROP NULL_X8Y11 TYPE NULL TILEPROP NULL_X8Y12 CLASS tile TILEPROP NULL_X8Y12 COLUMN 8 TILEPROP NULL_X8Y12 DEVICE_ID 0 TILEPROP NULL_X8Y12 FIRST_SITE_ID 14567 TILEPROP NULL_X8Y12 GRID_POINT_X 8 TILEPROP NULL_X8Y12 GRID_POINT_Y 144 TILEPROP NULL_X8Y12 INDEX 16568 TILEPROP NULL_X8Y12 INT_TILE_X 1 TILEPROP NULL_X8Y12 INT_TILE_Y 138 TILEPROP NULL_X8Y12 IS_CENTER_TILE 0 TILEPROP NULL_X8Y12 IS_DCM_TILE 0 TILEPROP NULL_X8Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y12 NAME NULL_X8Y12 TILEPROP NULL_X8Y12 NUM_ARCS 0 TILEPROP NULL_X8Y12 NUM_SITES 0 TILEPROP NULL_X8Y12 ROW 144 TILEPROP NULL_X8Y12 SLR_REGION_ID 0 TILEPROP NULL_X8Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y12 TILE_TYPE NULL TILEPROP NULL_X8Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y12 TILE_X -91222 TILEPROP NULL_X8Y12 TILE_Y -206072 TILEPROP NULL_X8Y12 TYPE NULL TILEPROP NULL_X8Y13 CLASS tile TILEPROP NULL_X8Y13 COLUMN 8 TILEPROP NULL_X8Y13 DEVICE_ID 0 TILEPROP NULL_X8Y13 FIRST_SITE_ID 14435 TILEPROP NULL_X8Y13 GRID_POINT_X 8 TILEPROP NULL_X8Y13 GRID_POINT_Y 143 TILEPROP NULL_X8Y13 INDEX 16453 TILEPROP NULL_X8Y13 INT_TILE_X 1 TILEPROP NULL_X8Y13 INT_TILE_Y 137 TILEPROP NULL_X8Y13 IS_CENTER_TILE 0 TILEPROP NULL_X8Y13 IS_DCM_TILE 0 TILEPROP NULL_X8Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y13 NAME NULL_X8Y13 TILEPROP NULL_X8Y13 NUM_ARCS 0 TILEPROP NULL_X8Y13 NUM_SITES 0 TILEPROP NULL_X8Y13 ROW 143 TILEPROP NULL_X8Y13 SLR_REGION_ID 0 TILEPROP NULL_X8Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y13 TILE_TYPE NULL TILEPROP NULL_X8Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y13 TILE_X -91222 TILEPROP NULL_X8Y13 TILE_Y -202872 TILEPROP NULL_X8Y13 TYPE NULL TILEPROP NULL_X8Y14 CLASS tile TILEPROP NULL_X8Y14 COLUMN 8 TILEPROP NULL_X8Y14 DEVICE_ID 0 TILEPROP NULL_X8Y14 FIRST_SITE_ID 14335 TILEPROP NULL_X8Y14 GRID_POINT_X 8 TILEPROP NULL_X8Y14 GRID_POINT_Y 142 TILEPROP NULL_X8Y14 INDEX 16338 TILEPROP NULL_X8Y14 INT_TILE_X 1 TILEPROP NULL_X8Y14 INT_TILE_Y 136 TILEPROP NULL_X8Y14 IS_CENTER_TILE 0 TILEPROP NULL_X8Y14 IS_DCM_TILE 0 TILEPROP NULL_X8Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y14 NAME NULL_X8Y14 TILEPROP NULL_X8Y14 NUM_ARCS 0 TILEPROP NULL_X8Y14 NUM_SITES 0 TILEPROP NULL_X8Y14 ROW 142 TILEPROP NULL_X8Y14 SLR_REGION_ID 0 TILEPROP NULL_X8Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y14 TILE_TYPE NULL TILEPROP NULL_X8Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y14 TILE_X -91222 TILEPROP NULL_X8Y14 TILE_Y -199672 TILEPROP NULL_X8Y14 TYPE NULL TILEPROP NULL_X8Y15 CLASS tile TILEPROP NULL_X8Y15 COLUMN 8 TILEPROP NULL_X8Y15 DEVICE_ID 0 TILEPROP NULL_X8Y15 FIRST_SITE_ID 14235 TILEPROP NULL_X8Y15 GRID_POINT_X 8 TILEPROP NULL_X8Y15 GRID_POINT_Y 141 TILEPROP NULL_X8Y15 INDEX 16223 TILEPROP NULL_X8Y15 INT_TILE_X 1 TILEPROP NULL_X8Y15 INT_TILE_Y 135 TILEPROP NULL_X8Y15 IS_CENTER_TILE 0 TILEPROP NULL_X8Y15 IS_DCM_TILE 0 TILEPROP NULL_X8Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y15 NAME NULL_X8Y15 TILEPROP NULL_X8Y15 NUM_ARCS 0 TILEPROP NULL_X8Y15 NUM_SITES 0 TILEPROP NULL_X8Y15 ROW 141 TILEPROP NULL_X8Y15 SLR_REGION_ID 0 TILEPROP NULL_X8Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y15 TILE_TYPE NULL TILEPROP NULL_X8Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y15 TILE_X -91222 TILEPROP NULL_X8Y15 TILE_Y -196472 TILEPROP NULL_X8Y15 TYPE NULL TILEPROP NULL_X8Y16 CLASS tile TILEPROP NULL_X8Y16 COLUMN 8 TILEPROP NULL_X8Y16 DEVICE_ID 0 TILEPROP NULL_X8Y16 FIRST_SITE_ID 14120 TILEPROP NULL_X8Y16 GRID_POINT_X 8 TILEPROP NULL_X8Y16 GRID_POINT_Y 140 TILEPROP NULL_X8Y16 INDEX 16108 TILEPROP NULL_X8Y16 INT_TILE_X 1 TILEPROP NULL_X8Y16 INT_TILE_Y 134 TILEPROP NULL_X8Y16 IS_CENTER_TILE 0 TILEPROP NULL_X8Y16 IS_DCM_TILE 0 TILEPROP NULL_X8Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y16 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y16 NAME NULL_X8Y16 TILEPROP NULL_X8Y16 NUM_ARCS 0 TILEPROP NULL_X8Y16 NUM_SITES 0 TILEPROP NULL_X8Y16 ROW 140 TILEPROP NULL_X8Y16 SLR_REGION_ID 0 TILEPROP NULL_X8Y16 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y16 TILE_TYPE NULL TILEPROP NULL_X8Y16 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y16 TILE_X -91222 TILEPROP NULL_X8Y16 TILE_Y -193272 TILEPROP NULL_X8Y16 TYPE NULL TILEPROP NULL_X8Y17 CLASS tile TILEPROP NULL_X8Y17 COLUMN 8 TILEPROP NULL_X8Y17 DEVICE_ID 0 TILEPROP NULL_X8Y17 FIRST_SITE_ID 14020 TILEPROP NULL_X8Y17 GRID_POINT_X 8 TILEPROP NULL_X8Y17 GRID_POINT_Y 139 TILEPROP NULL_X8Y17 INDEX 15993 TILEPROP NULL_X8Y17 INT_TILE_X 1 TILEPROP NULL_X8Y17 INT_TILE_Y 133 TILEPROP NULL_X8Y17 IS_CENTER_TILE 0 TILEPROP NULL_X8Y17 IS_DCM_TILE 0 TILEPROP NULL_X8Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y17 NAME NULL_X8Y17 TILEPROP NULL_X8Y17 NUM_ARCS 0 TILEPROP NULL_X8Y17 NUM_SITES 0 TILEPROP NULL_X8Y17 ROW 139 TILEPROP NULL_X8Y17 SLR_REGION_ID 0 TILEPROP NULL_X8Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y17 TILE_TYPE NULL TILEPROP NULL_X8Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y17 TILE_X -91222 TILEPROP NULL_X8Y17 TILE_Y -190072 TILEPROP NULL_X8Y17 TYPE NULL TILEPROP NULL_X8Y19 CLASS tile TILEPROP NULL_X8Y19 COLUMN 8 TILEPROP NULL_X8Y19 DEVICE_ID 0 TILEPROP NULL_X8Y19 FIRST_SITE_ID 13811 TILEPROP NULL_X8Y19 GRID_POINT_X 8 TILEPROP NULL_X8Y19 GRID_POINT_Y 137 TILEPROP NULL_X8Y19 INDEX 15763 TILEPROP NULL_X8Y19 INT_TILE_X 1 TILEPROP NULL_X8Y19 INT_TILE_Y 131 TILEPROP NULL_X8Y19 IS_CENTER_TILE 0 TILEPROP NULL_X8Y19 IS_DCM_TILE 0 TILEPROP NULL_X8Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y19 NAME NULL_X8Y19 TILEPROP NULL_X8Y19 NUM_ARCS 0 TILEPROP NULL_X8Y19 NUM_SITES 0 TILEPROP NULL_X8Y19 ROW 137 TILEPROP NULL_X8Y19 SLR_REGION_ID 0 TILEPROP NULL_X8Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y19 TILE_TYPE NULL TILEPROP NULL_X8Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y19 TILE_X -91222 TILEPROP NULL_X8Y19 TILE_Y -183672 TILEPROP NULL_X8Y19 TYPE NULL TILEPROP NULL_X8Y20 CLASS tile TILEPROP NULL_X8Y20 COLUMN 8 TILEPROP NULL_X8Y20 DEVICE_ID 0 TILEPROP NULL_X8Y20 FIRST_SITE_ID 13709 TILEPROP NULL_X8Y20 GRID_POINT_X 8 TILEPROP NULL_X8Y20 GRID_POINT_Y 136 TILEPROP NULL_X8Y20 INDEX 15648 TILEPROP NULL_X8Y20 INT_TILE_X 1 TILEPROP NULL_X8Y20 INT_TILE_Y 130 TILEPROP NULL_X8Y20 IS_CENTER_TILE 0 TILEPROP NULL_X8Y20 IS_DCM_TILE 0 TILEPROP NULL_X8Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y20 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y20 NAME NULL_X8Y20 TILEPROP NULL_X8Y20 NUM_ARCS 0 TILEPROP NULL_X8Y20 NUM_SITES 0 TILEPROP NULL_X8Y20 ROW 136 TILEPROP NULL_X8Y20 SLR_REGION_ID 0 TILEPROP NULL_X8Y20 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y20 TILE_TYPE NULL TILEPROP NULL_X8Y20 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y20 TILE_X -91222 TILEPROP NULL_X8Y20 TILE_Y -180472 TILEPROP NULL_X8Y20 TYPE NULL TILEPROP NULL_X8Y21 CLASS tile TILEPROP NULL_X8Y21 COLUMN 8 TILEPROP NULL_X8Y21 DEVICE_ID 0 TILEPROP NULL_X8Y21 FIRST_SITE_ID 13592 TILEPROP NULL_X8Y21 GRID_POINT_X 8 TILEPROP NULL_X8Y21 GRID_POINT_Y 135 TILEPROP NULL_X8Y21 INDEX 15533 TILEPROP NULL_X8Y21 INT_TILE_X 1 TILEPROP NULL_X8Y21 INT_TILE_Y 129 TILEPROP NULL_X8Y21 IS_CENTER_TILE 0 TILEPROP NULL_X8Y21 IS_DCM_TILE 0 TILEPROP NULL_X8Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y21 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y21 NAME NULL_X8Y21 TILEPROP NULL_X8Y21 NUM_ARCS 0 TILEPROP NULL_X8Y21 NUM_SITES 0 TILEPROP NULL_X8Y21 ROW 135 TILEPROP NULL_X8Y21 SLR_REGION_ID 0 TILEPROP NULL_X8Y21 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y21 TILE_TYPE NULL TILEPROP NULL_X8Y21 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y21 TILE_X -91222 TILEPROP NULL_X8Y21 TILE_Y -177272 TILEPROP NULL_X8Y21 TYPE NULL TILEPROP NULL_X8Y22 CLASS tile TILEPROP NULL_X8Y22 COLUMN 8 TILEPROP NULL_X8Y22 DEVICE_ID 0 TILEPROP NULL_X8Y22 FIRST_SITE_ID 13492 TILEPROP NULL_X8Y22 GRID_POINT_X 8 TILEPROP NULL_X8Y22 GRID_POINT_Y 134 TILEPROP NULL_X8Y22 INDEX 15418 TILEPROP NULL_X8Y22 INT_TILE_X 1 TILEPROP NULL_X8Y22 INT_TILE_Y 128 TILEPROP NULL_X8Y22 IS_CENTER_TILE 0 TILEPROP NULL_X8Y22 IS_DCM_TILE 0 TILEPROP NULL_X8Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y22 NAME NULL_X8Y22 TILEPROP NULL_X8Y22 NUM_ARCS 0 TILEPROP NULL_X8Y22 NUM_SITES 0 TILEPROP NULL_X8Y22 ROW 134 TILEPROP NULL_X8Y22 SLR_REGION_ID 0 TILEPROP NULL_X8Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y22 TILE_TYPE NULL TILEPROP NULL_X8Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y22 TILE_X -91222 TILEPROP NULL_X8Y22 TILE_Y -174072 TILEPROP NULL_X8Y22 TYPE NULL TILEPROP NULL_X8Y23 CLASS tile TILEPROP NULL_X8Y23 COLUMN 8 TILEPROP NULL_X8Y23 DEVICE_ID 0 TILEPROP NULL_X8Y23 FIRST_SITE_ID 13392 TILEPROP NULL_X8Y23 GRID_POINT_X 8 TILEPROP NULL_X8Y23 GRID_POINT_Y 133 TILEPROP NULL_X8Y23 INDEX 15303 TILEPROP NULL_X8Y23 INT_TILE_X 1 TILEPROP NULL_X8Y23 INT_TILE_Y 127 TILEPROP NULL_X8Y23 IS_CENTER_TILE 0 TILEPROP NULL_X8Y23 IS_DCM_TILE 0 TILEPROP NULL_X8Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y23 NAME NULL_X8Y23 TILEPROP NULL_X8Y23 NUM_ARCS 0 TILEPROP NULL_X8Y23 NUM_SITES 0 TILEPROP NULL_X8Y23 ROW 133 TILEPROP NULL_X8Y23 SLR_REGION_ID 0 TILEPROP NULL_X8Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y23 TILE_TYPE NULL TILEPROP NULL_X8Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y23 TILE_X -91222 TILEPROP NULL_X8Y23 TILE_Y -170872 TILEPROP NULL_X8Y23 TYPE NULL TILEPROP NULL_X8Y24 CLASS tile TILEPROP NULL_X8Y24 COLUMN 8 TILEPROP NULL_X8Y24 DEVICE_ID 0 TILEPROP NULL_X8Y24 FIRST_SITE_ID 13292 TILEPROP NULL_X8Y24 GRID_POINT_X 8 TILEPROP NULL_X8Y24 GRID_POINT_Y 132 TILEPROP NULL_X8Y24 INDEX 15188 TILEPROP NULL_X8Y24 INT_TILE_X 1 TILEPROP NULL_X8Y24 INT_TILE_Y 126 TILEPROP NULL_X8Y24 IS_CENTER_TILE 0 TILEPROP NULL_X8Y24 IS_DCM_TILE 0 TILEPROP NULL_X8Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y24 NAME NULL_X8Y24 TILEPROP NULL_X8Y24 NUM_ARCS 0 TILEPROP NULL_X8Y24 NUM_SITES 0 TILEPROP NULL_X8Y24 ROW 132 TILEPROP NULL_X8Y24 SLR_REGION_ID 0 TILEPROP NULL_X8Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y24 TILE_TYPE NULL TILEPROP NULL_X8Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y24 TILE_X -91222 TILEPROP NULL_X8Y24 TILE_Y -167672 TILEPROP NULL_X8Y24 TYPE NULL TILEPROP NULL_X8Y25 CLASS tile TILEPROP NULL_X8Y25 COLUMN 8 TILEPROP NULL_X8Y25 DEVICE_ID 0 TILEPROP NULL_X8Y25 FIRST_SITE_ID 13192 TILEPROP NULL_X8Y25 GRID_POINT_X 8 TILEPROP NULL_X8Y25 GRID_POINT_Y 131 TILEPROP NULL_X8Y25 INDEX 15073 TILEPROP NULL_X8Y25 INT_TILE_X 1 TILEPROP NULL_X8Y25 INT_TILE_Y 125 TILEPROP NULL_X8Y25 IS_CENTER_TILE 0 TILEPROP NULL_X8Y25 IS_DCM_TILE 0 TILEPROP NULL_X8Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y25 NAME NULL_X8Y25 TILEPROP NULL_X8Y25 NUM_ARCS 0 TILEPROP NULL_X8Y25 NUM_SITES 0 TILEPROP NULL_X8Y25 ROW 131 TILEPROP NULL_X8Y25 SLR_REGION_ID 0 TILEPROP NULL_X8Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y25 TILE_TYPE NULL TILEPROP NULL_X8Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y25 TILE_X -91222 TILEPROP NULL_X8Y25 TILE_Y -164472 TILEPROP NULL_X8Y25 TYPE NULL TILEPROP NULL_X8Y27 CLASS tile TILEPROP NULL_X8Y27 COLUMN 8 TILEPROP NULL_X8Y27 DEVICE_ID 0 TILEPROP NULL_X8Y27 FIRST_SITE_ID 12994 TILEPROP NULL_X8Y27 GRID_POINT_X 8 TILEPROP NULL_X8Y27 GRID_POINT_Y 129 TILEPROP NULL_X8Y27 INDEX 14843 TILEPROP NULL_X8Y27 INT_TILE_X 1 TILEPROP NULL_X8Y27 INT_TILE_Y 124 TILEPROP NULL_X8Y27 IS_CENTER_TILE 0 TILEPROP NULL_X8Y27 IS_DCM_TILE 0 TILEPROP NULL_X8Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y27 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y27 NAME NULL_X8Y27 TILEPROP NULL_X8Y27 NUM_ARCS 0 TILEPROP NULL_X8Y27 NUM_SITES 0 TILEPROP NULL_X8Y27 ROW 129 TILEPROP NULL_X8Y27 SLR_REGION_ID 0 TILEPROP NULL_X8Y27 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y27 TILE_TYPE NULL TILEPROP NULL_X8Y27 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y27 TILE_X -91222 TILEPROP NULL_X8Y27 TILE_Y -160248 TILEPROP NULL_X8Y27 TYPE NULL TILEPROP NULL_X8Y28 CLASS tile TILEPROP NULL_X8Y28 COLUMN 8 TILEPROP NULL_X8Y28 DEVICE_ID 0 TILEPROP NULL_X8Y28 FIRST_SITE_ID 12894 TILEPROP NULL_X8Y28 GRID_POINT_X 8 TILEPROP NULL_X8Y28 GRID_POINT_Y 128 TILEPROP NULL_X8Y28 INDEX 14728 TILEPROP NULL_X8Y28 INT_TILE_X 1 TILEPROP NULL_X8Y28 INT_TILE_Y 123 TILEPROP NULL_X8Y28 IS_CENTER_TILE 0 TILEPROP NULL_X8Y28 IS_DCM_TILE 0 TILEPROP NULL_X8Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y28 NAME NULL_X8Y28 TILEPROP NULL_X8Y28 NUM_ARCS 0 TILEPROP NULL_X8Y28 NUM_SITES 0 TILEPROP NULL_X8Y28 ROW 128 TILEPROP NULL_X8Y28 SLR_REGION_ID 0 TILEPROP NULL_X8Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y28 TILE_TYPE NULL TILEPROP NULL_X8Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y28 TILE_X -91222 TILEPROP NULL_X8Y28 TILE_Y -157048 TILEPROP NULL_X8Y28 TYPE NULL TILEPROP NULL_X8Y29 CLASS tile TILEPROP NULL_X8Y29 COLUMN 8 TILEPROP NULL_X8Y29 DEVICE_ID 0 TILEPROP NULL_X8Y29 FIRST_SITE_ID 12794 TILEPROP NULL_X8Y29 GRID_POINT_X 8 TILEPROP NULL_X8Y29 GRID_POINT_Y 127 TILEPROP NULL_X8Y29 INDEX 14613 TILEPROP NULL_X8Y29 INT_TILE_X 1 TILEPROP NULL_X8Y29 INT_TILE_Y 122 TILEPROP NULL_X8Y29 IS_CENTER_TILE 0 TILEPROP NULL_X8Y29 IS_DCM_TILE 0 TILEPROP NULL_X8Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y29 NAME NULL_X8Y29 TILEPROP NULL_X8Y29 NUM_ARCS 0 TILEPROP NULL_X8Y29 NUM_SITES 0 TILEPROP NULL_X8Y29 ROW 127 TILEPROP NULL_X8Y29 SLR_REGION_ID 0 TILEPROP NULL_X8Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y29 TILE_TYPE NULL TILEPROP NULL_X8Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y29 TILE_X -91222 TILEPROP NULL_X8Y29 TILE_Y -153848 TILEPROP NULL_X8Y29 TYPE NULL TILEPROP NULL_X8Y30 CLASS tile TILEPROP NULL_X8Y30 COLUMN 8 TILEPROP NULL_X8Y30 DEVICE_ID 0 TILEPROP NULL_X8Y30 FIRST_SITE_ID 12694 TILEPROP NULL_X8Y30 GRID_POINT_X 8 TILEPROP NULL_X8Y30 GRID_POINT_Y 126 TILEPROP NULL_X8Y30 INDEX 14498 TILEPROP NULL_X8Y30 INT_TILE_X 1 TILEPROP NULL_X8Y30 INT_TILE_Y 121 TILEPROP NULL_X8Y30 IS_CENTER_TILE 0 TILEPROP NULL_X8Y30 IS_DCM_TILE 0 TILEPROP NULL_X8Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y30 NAME NULL_X8Y30 TILEPROP NULL_X8Y30 NUM_ARCS 0 TILEPROP NULL_X8Y30 NUM_SITES 0 TILEPROP NULL_X8Y30 ROW 126 TILEPROP NULL_X8Y30 SLR_REGION_ID 0 TILEPROP NULL_X8Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y30 TILE_TYPE NULL TILEPROP NULL_X8Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y30 TILE_X -91222 TILEPROP NULL_X8Y30 TILE_Y -150648 TILEPROP NULL_X8Y30 TYPE NULL TILEPROP NULL_X8Y32 CLASS tile TILEPROP NULL_X8Y32 COLUMN 8 TILEPROP NULL_X8Y32 DEVICE_ID 0 TILEPROP NULL_X8Y32 FIRST_SITE_ID 12454 TILEPROP NULL_X8Y32 GRID_POINT_X 8 TILEPROP NULL_X8Y32 GRID_POINT_Y 124 TILEPROP NULL_X8Y32 INDEX 14268 TILEPROP NULL_X8Y32 INT_TILE_X 1 TILEPROP NULL_X8Y32 INT_TILE_Y 119 TILEPROP NULL_X8Y32 IS_CENTER_TILE 0 TILEPROP NULL_X8Y32 IS_DCM_TILE 0 TILEPROP NULL_X8Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y32 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y32 NAME NULL_X8Y32 TILEPROP NULL_X8Y32 NUM_ARCS 0 TILEPROP NULL_X8Y32 NUM_SITES 0 TILEPROP NULL_X8Y32 ROW 124 TILEPROP NULL_X8Y32 SLR_REGION_ID 0 TILEPROP NULL_X8Y32 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y32 TILE_TYPE NULL TILEPROP NULL_X8Y32 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y32 TILE_X -91222 TILEPROP NULL_X8Y32 TILE_Y -144248 TILEPROP NULL_X8Y32 TYPE NULL TILEPROP NULL_X8Y33 CLASS tile TILEPROP NULL_X8Y33 COLUMN 8 TILEPROP NULL_X8Y33 DEVICE_ID 0 TILEPROP NULL_X8Y33 FIRST_SITE_ID 12352 TILEPROP NULL_X8Y33 GRID_POINT_X 8 TILEPROP NULL_X8Y33 GRID_POINT_Y 123 TILEPROP NULL_X8Y33 INDEX 14153 TILEPROP NULL_X8Y33 INT_TILE_X 1 TILEPROP NULL_X8Y33 INT_TILE_Y 118 TILEPROP NULL_X8Y33 IS_CENTER_TILE 0 TILEPROP NULL_X8Y33 IS_DCM_TILE 0 TILEPROP NULL_X8Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y33 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y33 NAME NULL_X8Y33 TILEPROP NULL_X8Y33 NUM_ARCS 0 TILEPROP NULL_X8Y33 NUM_SITES 0 TILEPROP NULL_X8Y33 ROW 123 TILEPROP NULL_X8Y33 SLR_REGION_ID 0 TILEPROP NULL_X8Y33 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y33 TILE_TYPE NULL TILEPROP NULL_X8Y33 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y33 TILE_X -91222 TILEPROP NULL_X8Y33 TILE_Y -141048 TILEPROP NULL_X8Y33 TYPE NULL TILEPROP NULL_X8Y34 CLASS tile TILEPROP NULL_X8Y34 COLUMN 8 TILEPROP NULL_X8Y34 DEVICE_ID 0 TILEPROP NULL_X8Y34 FIRST_SITE_ID 12249 TILEPROP NULL_X8Y34 GRID_POINT_X 8 TILEPROP NULL_X8Y34 GRID_POINT_Y 122 TILEPROP NULL_X8Y34 INDEX 14038 TILEPROP NULL_X8Y34 INT_TILE_X 1 TILEPROP NULL_X8Y34 INT_TILE_Y 117 TILEPROP NULL_X8Y34 IS_CENTER_TILE 0 TILEPROP NULL_X8Y34 IS_DCM_TILE 0 TILEPROP NULL_X8Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y34 NAME NULL_X8Y34 TILEPROP NULL_X8Y34 NUM_ARCS 0 TILEPROP NULL_X8Y34 NUM_SITES 0 TILEPROP NULL_X8Y34 ROW 122 TILEPROP NULL_X8Y34 SLR_REGION_ID 0 TILEPROP NULL_X8Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y34 TILE_TYPE NULL TILEPROP NULL_X8Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y34 TILE_X -91222 TILEPROP NULL_X8Y34 TILE_Y -137848 TILEPROP NULL_X8Y34 TYPE NULL TILEPROP NULL_X8Y35 CLASS tile TILEPROP NULL_X8Y35 COLUMN 8 TILEPROP NULL_X8Y35 DEVICE_ID 0 TILEPROP NULL_X8Y35 FIRST_SITE_ID 12149 TILEPROP NULL_X8Y35 GRID_POINT_X 8 TILEPROP NULL_X8Y35 GRID_POINT_Y 121 TILEPROP NULL_X8Y35 INDEX 13923 TILEPROP NULL_X8Y35 INT_TILE_X 1 TILEPROP NULL_X8Y35 INT_TILE_Y 116 TILEPROP NULL_X8Y35 IS_CENTER_TILE 0 TILEPROP NULL_X8Y35 IS_DCM_TILE 0 TILEPROP NULL_X8Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y35 NAME NULL_X8Y35 TILEPROP NULL_X8Y35 NUM_ARCS 0 TILEPROP NULL_X8Y35 NUM_SITES 0 TILEPROP NULL_X8Y35 ROW 121 TILEPROP NULL_X8Y35 SLR_REGION_ID 0 TILEPROP NULL_X8Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y35 TILE_TYPE NULL TILEPROP NULL_X8Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y35 TILE_X -91222 TILEPROP NULL_X8Y35 TILE_Y -134648 TILEPROP NULL_X8Y35 TYPE NULL TILEPROP NULL_X8Y36 CLASS tile TILEPROP NULL_X8Y36 COLUMN 8 TILEPROP NULL_X8Y36 DEVICE_ID 0 TILEPROP NULL_X8Y36 FIRST_SITE_ID 12049 TILEPROP NULL_X8Y36 GRID_POINT_X 8 TILEPROP NULL_X8Y36 GRID_POINT_Y 120 TILEPROP NULL_X8Y36 INDEX 13808 TILEPROP NULL_X8Y36 INT_TILE_X 1 TILEPROP NULL_X8Y36 INT_TILE_Y 115 TILEPROP NULL_X8Y36 IS_CENTER_TILE 0 TILEPROP NULL_X8Y36 IS_DCM_TILE 0 TILEPROP NULL_X8Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y36 NAME NULL_X8Y36 TILEPROP NULL_X8Y36 NUM_ARCS 0 TILEPROP NULL_X8Y36 NUM_SITES 0 TILEPROP NULL_X8Y36 ROW 120 TILEPROP NULL_X8Y36 SLR_REGION_ID 0 TILEPROP NULL_X8Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y36 TILE_TYPE NULL TILEPROP NULL_X8Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y36 TILE_X -91222 TILEPROP NULL_X8Y36 TILE_Y -131448 TILEPROP NULL_X8Y36 TYPE NULL TILEPROP NULL_X8Y37 CLASS tile TILEPROP NULL_X8Y37 COLUMN 8 TILEPROP NULL_X8Y37 DEVICE_ID 0 TILEPROP NULL_X8Y37 FIRST_SITE_ID 11934 TILEPROP NULL_X8Y37 GRID_POINT_X 8 TILEPROP NULL_X8Y37 GRID_POINT_Y 119 TILEPROP NULL_X8Y37 INDEX 13693 TILEPROP NULL_X8Y37 INT_TILE_X 1 TILEPROP NULL_X8Y37 INT_TILE_Y 114 TILEPROP NULL_X8Y37 IS_CENTER_TILE 0 TILEPROP NULL_X8Y37 IS_DCM_TILE 0 TILEPROP NULL_X8Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y37 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y37 NAME NULL_X8Y37 TILEPROP NULL_X8Y37 NUM_ARCS 0 TILEPROP NULL_X8Y37 NUM_SITES 0 TILEPROP NULL_X8Y37 ROW 119 TILEPROP NULL_X8Y37 SLR_REGION_ID 0 TILEPROP NULL_X8Y37 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y37 TILE_TYPE NULL TILEPROP NULL_X8Y37 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y37 TILE_X -91222 TILEPROP NULL_X8Y37 TILE_Y -128248 TILEPROP NULL_X8Y37 TYPE NULL TILEPROP NULL_X8Y38 CLASS tile TILEPROP NULL_X8Y38 COLUMN 8 TILEPROP NULL_X8Y38 DEVICE_ID 0 TILEPROP NULL_X8Y38 FIRST_SITE_ID 11802 TILEPROP NULL_X8Y38 GRID_POINT_X 8 TILEPROP NULL_X8Y38 GRID_POINT_Y 118 TILEPROP NULL_X8Y38 INDEX 13578 TILEPROP NULL_X8Y38 INT_TILE_X 1 TILEPROP NULL_X8Y38 INT_TILE_Y 113 TILEPROP NULL_X8Y38 IS_CENTER_TILE 0 TILEPROP NULL_X8Y38 IS_DCM_TILE 0 TILEPROP NULL_X8Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y38 NAME NULL_X8Y38 TILEPROP NULL_X8Y38 NUM_ARCS 0 TILEPROP NULL_X8Y38 NUM_SITES 0 TILEPROP NULL_X8Y38 ROW 118 TILEPROP NULL_X8Y38 SLR_REGION_ID 0 TILEPROP NULL_X8Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y38 TILE_TYPE NULL TILEPROP NULL_X8Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y38 TILE_X -91222 TILEPROP NULL_X8Y38 TILE_Y -125048 TILEPROP NULL_X8Y38 TYPE NULL TILEPROP NULL_X8Y39 CLASS tile TILEPROP NULL_X8Y39 COLUMN 8 TILEPROP NULL_X8Y39 DEVICE_ID 0 TILEPROP NULL_X8Y39 FIRST_SITE_ID 11702 TILEPROP NULL_X8Y39 GRID_POINT_X 8 TILEPROP NULL_X8Y39 GRID_POINT_Y 117 TILEPROP NULL_X8Y39 INDEX 13463 TILEPROP NULL_X8Y39 INT_TILE_X 1 TILEPROP NULL_X8Y39 INT_TILE_Y 112 TILEPROP NULL_X8Y39 IS_CENTER_TILE 0 TILEPROP NULL_X8Y39 IS_DCM_TILE 0 TILEPROP NULL_X8Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y39 NAME NULL_X8Y39 TILEPROP NULL_X8Y39 NUM_ARCS 0 TILEPROP NULL_X8Y39 NUM_SITES 0 TILEPROP NULL_X8Y39 ROW 117 TILEPROP NULL_X8Y39 SLR_REGION_ID 0 TILEPROP NULL_X8Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y39 TILE_TYPE NULL TILEPROP NULL_X8Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y39 TILE_X -91222 TILEPROP NULL_X8Y39 TILE_Y -121848 TILEPROP NULL_X8Y39 TYPE NULL TILEPROP NULL_X8Y40 CLASS tile TILEPROP NULL_X8Y40 COLUMN 8 TILEPROP NULL_X8Y40 DEVICE_ID 0 TILEPROP NULL_X8Y40 FIRST_SITE_ID 11602 TILEPROP NULL_X8Y40 GRID_POINT_X 8 TILEPROP NULL_X8Y40 GRID_POINT_Y 116 TILEPROP NULL_X8Y40 INDEX 13348 TILEPROP NULL_X8Y40 INT_TILE_X 1 TILEPROP NULL_X8Y40 INT_TILE_Y 111 TILEPROP NULL_X8Y40 IS_CENTER_TILE 0 TILEPROP NULL_X8Y40 IS_DCM_TILE 0 TILEPROP NULL_X8Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y40 NAME NULL_X8Y40 TILEPROP NULL_X8Y40 NUM_ARCS 0 TILEPROP NULL_X8Y40 NUM_SITES 0 TILEPROP NULL_X8Y40 ROW 116 TILEPROP NULL_X8Y40 SLR_REGION_ID 0 TILEPROP NULL_X8Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y40 TILE_TYPE NULL TILEPROP NULL_X8Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y40 TILE_X -91222 TILEPROP NULL_X8Y40 TILE_Y -118648 TILEPROP NULL_X8Y40 TYPE NULL TILEPROP NULL_X8Y41 CLASS tile TILEPROP NULL_X8Y41 COLUMN 8 TILEPROP NULL_X8Y41 DEVICE_ID 0 TILEPROP NULL_X8Y41 FIRST_SITE_ID 11502 TILEPROP NULL_X8Y41 GRID_POINT_X 8 TILEPROP NULL_X8Y41 GRID_POINT_Y 115 TILEPROP NULL_X8Y41 INDEX 13233 TILEPROP NULL_X8Y41 INT_TILE_X 1 TILEPROP NULL_X8Y41 INT_TILE_Y 110 TILEPROP NULL_X8Y41 IS_CENTER_TILE 0 TILEPROP NULL_X8Y41 IS_DCM_TILE 0 TILEPROP NULL_X8Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y41 NAME NULL_X8Y41 TILEPROP NULL_X8Y41 NUM_ARCS 0 TILEPROP NULL_X8Y41 NUM_SITES 0 TILEPROP NULL_X8Y41 ROW 115 TILEPROP NULL_X8Y41 SLR_REGION_ID 0 TILEPROP NULL_X8Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y41 TILE_TYPE NULL TILEPROP NULL_X8Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y41 TILE_X -91222 TILEPROP NULL_X8Y41 TILE_Y -115448 TILEPROP NULL_X8Y41 TYPE NULL TILEPROP NULL_X8Y42 CLASS tile TILEPROP NULL_X8Y42 COLUMN 8 TILEPROP NULL_X8Y42 DEVICE_ID 0 TILEPROP NULL_X8Y42 FIRST_SITE_ID 11385 TILEPROP NULL_X8Y42 GRID_POINT_X 8 TILEPROP NULL_X8Y42 GRID_POINT_Y 114 TILEPROP NULL_X8Y42 INDEX 13118 TILEPROP NULL_X8Y42 INT_TILE_X 1 TILEPROP NULL_X8Y42 INT_TILE_Y 109 TILEPROP NULL_X8Y42 IS_CENTER_TILE 0 TILEPROP NULL_X8Y42 IS_DCM_TILE 0 TILEPROP NULL_X8Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y42 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y42 NAME NULL_X8Y42 TILEPROP NULL_X8Y42 NUM_ARCS 0 TILEPROP NULL_X8Y42 NUM_SITES 0 TILEPROP NULL_X8Y42 ROW 114 TILEPROP NULL_X8Y42 SLR_REGION_ID 0 TILEPROP NULL_X8Y42 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y42 TILE_TYPE NULL TILEPROP NULL_X8Y42 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y42 TILE_X -91222 TILEPROP NULL_X8Y42 TILE_Y -112248 TILEPROP NULL_X8Y42 TYPE NULL TILEPROP NULL_X8Y43 CLASS tile TILEPROP NULL_X8Y43 COLUMN 8 TILEPROP NULL_X8Y43 DEVICE_ID 0 TILEPROP NULL_X8Y43 FIRST_SITE_ID 11284 TILEPROP NULL_X8Y43 GRID_POINT_X 8 TILEPROP NULL_X8Y43 GRID_POINT_Y 113 TILEPROP NULL_X8Y43 INDEX 13003 TILEPROP NULL_X8Y43 INT_TILE_X 1 TILEPROP NULL_X8Y43 INT_TILE_Y 108 TILEPROP NULL_X8Y43 IS_CENTER_TILE 0 TILEPROP NULL_X8Y43 IS_DCM_TILE 0 TILEPROP NULL_X8Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y43 NAME NULL_X8Y43 TILEPROP NULL_X8Y43 NUM_ARCS 0 TILEPROP NULL_X8Y43 NUM_SITES 0 TILEPROP NULL_X8Y43 ROW 113 TILEPROP NULL_X8Y43 SLR_REGION_ID 0 TILEPROP NULL_X8Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y43 TILE_TYPE NULL TILEPROP NULL_X8Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y43 TILE_X -91222 TILEPROP NULL_X8Y43 TILE_Y -109048 TILEPROP NULL_X8Y43 TYPE NULL TILEPROP NULL_X8Y45 CLASS tile TILEPROP NULL_X8Y45 COLUMN 8 TILEPROP NULL_X8Y45 DEVICE_ID 0 TILEPROP NULL_X8Y45 FIRST_SITE_ID 11080 TILEPROP NULL_X8Y45 GRID_POINT_X 8 TILEPROP NULL_X8Y45 GRID_POINT_Y 111 TILEPROP NULL_X8Y45 INDEX 12773 TILEPROP NULL_X8Y45 INT_TILE_X 1 TILEPROP NULL_X8Y45 INT_TILE_Y 106 TILEPROP NULL_X8Y45 IS_CENTER_TILE 0 TILEPROP NULL_X8Y45 IS_DCM_TILE 0 TILEPROP NULL_X8Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y45 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y45 NAME NULL_X8Y45 TILEPROP NULL_X8Y45 NUM_ARCS 0 TILEPROP NULL_X8Y45 NUM_SITES 0 TILEPROP NULL_X8Y45 ROW 111 TILEPROP NULL_X8Y45 SLR_REGION_ID 0 TILEPROP NULL_X8Y45 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y45 TILE_TYPE NULL TILEPROP NULL_X8Y45 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y45 TILE_X -91222 TILEPROP NULL_X8Y45 TILE_Y -102648 TILEPROP NULL_X8Y45 TYPE NULL TILEPROP NULL_X8Y46 CLASS tile TILEPROP NULL_X8Y46 COLUMN 8 TILEPROP NULL_X8Y46 DEVICE_ID 0 TILEPROP NULL_X8Y46 FIRST_SITE_ID 10978 TILEPROP NULL_X8Y46 GRID_POINT_X 8 TILEPROP NULL_X8Y46 GRID_POINT_Y 110 TILEPROP NULL_X8Y46 INDEX 12658 TILEPROP NULL_X8Y46 INT_TILE_X 1 TILEPROP NULL_X8Y46 INT_TILE_Y 105 TILEPROP NULL_X8Y46 IS_CENTER_TILE 0 TILEPROP NULL_X8Y46 IS_DCM_TILE 0 TILEPROP NULL_X8Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y46 NAME NULL_X8Y46 TILEPROP NULL_X8Y46 NUM_ARCS 0 TILEPROP NULL_X8Y46 NUM_SITES 0 TILEPROP NULL_X8Y46 ROW 110 TILEPROP NULL_X8Y46 SLR_REGION_ID 0 TILEPROP NULL_X8Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y46 TILE_TYPE NULL TILEPROP NULL_X8Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y46 TILE_X -91222 TILEPROP NULL_X8Y46 TILE_Y -99448 TILEPROP NULL_X8Y46 TYPE NULL TILEPROP NULL_X8Y47 CLASS tile TILEPROP NULL_X8Y47 COLUMN 8 TILEPROP NULL_X8Y47 DEVICE_ID 0 TILEPROP NULL_X8Y47 FIRST_SITE_ID 10862 TILEPROP NULL_X8Y47 GRID_POINT_X 8 TILEPROP NULL_X8Y47 GRID_POINT_Y 109 TILEPROP NULL_X8Y47 INDEX 12543 TILEPROP NULL_X8Y47 INT_TILE_X 1 TILEPROP NULL_X8Y47 INT_TILE_Y 104 TILEPROP NULL_X8Y47 IS_CENTER_TILE 0 TILEPROP NULL_X8Y47 IS_DCM_TILE 0 TILEPROP NULL_X8Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y47 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y47 NAME NULL_X8Y47 TILEPROP NULL_X8Y47 NUM_ARCS 0 TILEPROP NULL_X8Y47 NUM_SITES 0 TILEPROP NULL_X8Y47 ROW 109 TILEPROP NULL_X8Y47 SLR_REGION_ID 0 TILEPROP NULL_X8Y47 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y47 TILE_TYPE NULL TILEPROP NULL_X8Y47 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y47 TILE_X -91222 TILEPROP NULL_X8Y47 TILE_Y -96248 TILEPROP NULL_X8Y47 TYPE NULL TILEPROP NULL_X8Y48 CLASS tile TILEPROP NULL_X8Y48 COLUMN 8 TILEPROP NULL_X8Y48 DEVICE_ID 0 TILEPROP NULL_X8Y48 FIRST_SITE_ID 10746 TILEPROP NULL_X8Y48 GRID_POINT_X 8 TILEPROP NULL_X8Y48 GRID_POINT_Y 108 TILEPROP NULL_X8Y48 INDEX 12428 TILEPROP NULL_X8Y48 INT_TILE_X 1 TILEPROP NULL_X8Y48 INT_TILE_Y 103 TILEPROP NULL_X8Y48 IS_CENTER_TILE 0 TILEPROP NULL_X8Y48 IS_DCM_TILE 0 TILEPROP NULL_X8Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y48 NAME NULL_X8Y48 TILEPROP NULL_X8Y48 NUM_ARCS 0 TILEPROP NULL_X8Y48 NUM_SITES 0 TILEPROP NULL_X8Y48 ROW 108 TILEPROP NULL_X8Y48 SLR_REGION_ID 0 TILEPROP NULL_X8Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y48 TILE_TYPE NULL TILEPROP NULL_X8Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y48 TILE_X -91222 TILEPROP NULL_X8Y48 TILE_Y -93048 TILEPROP NULL_X8Y48 TYPE NULL TILEPROP NULL_X8Y49 CLASS tile TILEPROP NULL_X8Y49 COLUMN 8 TILEPROP NULL_X8Y49 DEVICE_ID 0 TILEPROP NULL_X8Y49 FIRST_SITE_ID 10646 TILEPROP NULL_X8Y49 GRID_POINT_X 8 TILEPROP NULL_X8Y49 GRID_POINT_Y 107 TILEPROP NULL_X8Y49 INDEX 12313 TILEPROP NULL_X8Y49 INT_TILE_X 1 TILEPROP NULL_X8Y49 INT_TILE_Y 102 TILEPROP NULL_X8Y49 IS_CENTER_TILE 0 TILEPROP NULL_X8Y49 IS_DCM_TILE 0 TILEPROP NULL_X8Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y49 NAME NULL_X8Y49 TILEPROP NULL_X8Y49 NUM_ARCS 0 TILEPROP NULL_X8Y49 NUM_SITES 0 TILEPROP NULL_X8Y49 ROW 107 TILEPROP NULL_X8Y49 SLR_REGION_ID 0 TILEPROP NULL_X8Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y49 TILE_TYPE NULL TILEPROP NULL_X8Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y49 TILE_X -91222 TILEPROP NULL_X8Y49 TILE_Y -89848 TILEPROP NULL_X8Y49 TYPE NULL TILEPROP NULL_X8Y50 CLASS tile TILEPROP NULL_X8Y50 COLUMN 8 TILEPROP NULL_X8Y50 DEVICE_ID 0 TILEPROP NULL_X8Y50 FIRST_SITE_ID 10546 TILEPROP NULL_X8Y50 GRID_POINT_X 8 TILEPROP NULL_X8Y50 GRID_POINT_Y 106 TILEPROP NULL_X8Y50 INDEX 12198 TILEPROP NULL_X8Y50 INT_TILE_X 1 TILEPROP NULL_X8Y50 INT_TILE_Y 101 TILEPROP NULL_X8Y50 IS_CENTER_TILE 0 TILEPROP NULL_X8Y50 IS_DCM_TILE 0 TILEPROP NULL_X8Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y50 NAME NULL_X8Y50 TILEPROP NULL_X8Y50 NUM_ARCS 0 TILEPROP NULL_X8Y50 NUM_SITES 0 TILEPROP NULL_X8Y50 ROW 106 TILEPROP NULL_X8Y50 SLR_REGION_ID 0 TILEPROP NULL_X8Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y50 TILE_TYPE NULL TILEPROP NULL_X8Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y50 TILE_X -91222 TILEPROP NULL_X8Y50 TILE_Y -86648 TILEPROP NULL_X8Y50 TYPE NULL TILEPROP NULL_X8Y51 CLASS tile TILEPROP NULL_X8Y51 COLUMN 8 TILEPROP NULL_X8Y51 DEVICE_ID 0 TILEPROP NULL_X8Y51 FIRST_SITE_ID 10450 TILEPROP NULL_X8Y51 GRID_POINT_X 8 TILEPROP NULL_X8Y51 GRID_POINT_Y 105 TILEPROP NULL_X8Y51 INDEX 12083 TILEPROP NULL_X8Y51 INT_TILE_X 1 TILEPROP NULL_X8Y51 INT_TILE_Y 100 TILEPROP NULL_X8Y51 IS_CENTER_TILE 0 TILEPROP NULL_X8Y51 IS_DCM_TILE 0 TILEPROP NULL_X8Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y51 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y51 NAME NULL_X8Y51 TILEPROP NULL_X8Y51 NUM_ARCS 0 TILEPROP NULL_X8Y51 NUM_SITES 0 TILEPROP NULL_X8Y51 ROW 105 TILEPROP NULL_X8Y51 SLR_REGION_ID 0 TILEPROP NULL_X8Y51 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y51 TILE_TYPE NULL TILEPROP NULL_X8Y51 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y51 TILE_X -91222 TILEPROP NULL_X8Y51 TILE_Y -83448 TILEPROP NULL_X8Y51 TYPE NULL TILEPROP NULL_X8Y53 CLASS tile TILEPROP NULL_X8Y53 COLUMN 8 TILEPROP NULL_X8Y53 DEVICE_ID 0 TILEPROP NULL_X8Y53 FIRST_SITE_ID 10319 TILEPROP NULL_X8Y53 GRID_POINT_X 8 TILEPROP NULL_X8Y53 GRID_POINT_Y 103 TILEPROP NULL_X8Y53 INDEX 11853 TILEPROP NULL_X8Y53 INT_TILE_X 1 TILEPROP NULL_X8Y53 INT_TILE_Y 99 TILEPROP NULL_X8Y53 IS_CENTER_TILE 0 TILEPROP NULL_X8Y53 IS_DCM_TILE 0 TILEPROP NULL_X8Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y53 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y53 NAME NULL_X8Y53 TILEPROP NULL_X8Y53 NUM_ARCS 0 TILEPROP NULL_X8Y53 NUM_SITES 0 TILEPROP NULL_X8Y53 ROW 103 TILEPROP NULL_X8Y53 SLR_REGION_ID 0 TILEPROP NULL_X8Y53 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y53 TILE_TYPE NULL TILEPROP NULL_X8Y53 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y53 TILE_X -91222 TILEPROP NULL_X8Y53 TILE_Y -80000 TILEPROP NULL_X8Y53 TYPE NULL TILEPROP NULL_X8Y54 CLASS tile TILEPROP NULL_X8Y54 COLUMN 8 TILEPROP NULL_X8Y54 DEVICE_ID 0 TILEPROP NULL_X8Y54 FIRST_SITE_ID 10215 TILEPROP NULL_X8Y54 GRID_POINT_X 8 TILEPROP NULL_X8Y54 GRID_POINT_Y 102 TILEPROP NULL_X8Y54 INDEX 11738 TILEPROP NULL_X8Y54 INT_TILE_X 1 TILEPROP NULL_X8Y54 INT_TILE_Y 98 TILEPROP NULL_X8Y54 IS_CENTER_TILE 0 TILEPROP NULL_X8Y54 IS_DCM_TILE 0 TILEPROP NULL_X8Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y54 NAME NULL_X8Y54 TILEPROP NULL_X8Y54 NUM_ARCS 0 TILEPROP NULL_X8Y54 NUM_SITES 0 TILEPROP NULL_X8Y54 ROW 102 TILEPROP NULL_X8Y54 SLR_REGION_ID 0 TILEPROP NULL_X8Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y54 TILE_TYPE NULL TILEPROP NULL_X8Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y54 TILE_X -91222 TILEPROP NULL_X8Y54 TILE_Y -76800 TILEPROP NULL_X8Y54 TYPE NULL TILEPROP NULL_X8Y55 CLASS tile TILEPROP NULL_X8Y55 COLUMN 8 TILEPROP NULL_X8Y55 DEVICE_ID 0 TILEPROP NULL_X8Y55 FIRST_SITE_ID 10115 TILEPROP NULL_X8Y55 GRID_POINT_X 8 TILEPROP NULL_X8Y55 GRID_POINT_Y 101 TILEPROP NULL_X8Y55 INDEX 11623 TILEPROP NULL_X8Y55 INT_TILE_X 1 TILEPROP NULL_X8Y55 INT_TILE_Y 97 TILEPROP NULL_X8Y55 IS_CENTER_TILE 0 TILEPROP NULL_X8Y55 IS_DCM_TILE 0 TILEPROP NULL_X8Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y55 NAME NULL_X8Y55 TILEPROP NULL_X8Y55 NUM_ARCS 0 TILEPROP NULL_X8Y55 NUM_SITES 0 TILEPROP NULL_X8Y55 ROW 101 TILEPROP NULL_X8Y55 SLR_REGION_ID 0 TILEPROP NULL_X8Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y55 TILE_TYPE NULL TILEPROP NULL_X8Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y55 TILE_X -91222 TILEPROP NULL_X8Y55 TILE_Y -73600 TILEPROP NULL_X8Y55 TYPE NULL TILEPROP NULL_X8Y56 CLASS tile TILEPROP NULL_X8Y56 COLUMN 8 TILEPROP NULL_X8Y56 DEVICE_ID 0 TILEPROP NULL_X8Y56 FIRST_SITE_ID 10015 TILEPROP NULL_X8Y56 GRID_POINT_X 8 TILEPROP NULL_X8Y56 GRID_POINT_Y 100 TILEPROP NULL_X8Y56 INDEX 11508 TILEPROP NULL_X8Y56 INT_TILE_X 1 TILEPROP NULL_X8Y56 INT_TILE_Y 96 TILEPROP NULL_X8Y56 IS_CENTER_TILE 0 TILEPROP NULL_X8Y56 IS_DCM_TILE 0 TILEPROP NULL_X8Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y56 NAME NULL_X8Y56 TILEPROP NULL_X8Y56 NUM_ARCS 0 TILEPROP NULL_X8Y56 NUM_SITES 0 TILEPROP NULL_X8Y56 ROW 100 TILEPROP NULL_X8Y56 SLR_REGION_ID 0 TILEPROP NULL_X8Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y56 TILE_TYPE NULL TILEPROP NULL_X8Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y56 TILE_X -91222 TILEPROP NULL_X8Y56 TILE_Y -70400 TILEPROP NULL_X8Y56 TYPE NULL TILEPROP NULL_X8Y57 CLASS tile TILEPROP NULL_X8Y57 COLUMN 8 TILEPROP NULL_X8Y57 DEVICE_ID 0 TILEPROP NULL_X8Y57 FIRST_SITE_ID 9915 TILEPROP NULL_X8Y57 GRID_POINT_X 8 TILEPROP NULL_X8Y57 GRID_POINT_Y 99 TILEPROP NULL_X8Y57 INDEX 11393 TILEPROP NULL_X8Y57 INT_TILE_X 1 TILEPROP NULL_X8Y57 INT_TILE_Y 95 TILEPROP NULL_X8Y57 IS_CENTER_TILE 0 TILEPROP NULL_X8Y57 IS_DCM_TILE 0 TILEPROP NULL_X8Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y57 NAME NULL_X8Y57 TILEPROP NULL_X8Y57 NUM_ARCS 0 TILEPROP NULL_X8Y57 NUM_SITES 0 TILEPROP NULL_X8Y57 ROW 99 TILEPROP NULL_X8Y57 SLR_REGION_ID 0 TILEPROP NULL_X8Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y57 TILE_TYPE NULL TILEPROP NULL_X8Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y57 TILE_X -91222 TILEPROP NULL_X8Y57 TILE_Y -67200 TILEPROP NULL_X8Y57 TYPE NULL TILEPROP NULL_X8Y58 CLASS tile TILEPROP NULL_X8Y58 COLUMN 8 TILEPROP NULL_X8Y58 DEVICE_ID 0 TILEPROP NULL_X8Y58 FIRST_SITE_ID 9800 TILEPROP NULL_X8Y58 GRID_POINT_X 8 TILEPROP NULL_X8Y58 GRID_POINT_Y 98 TILEPROP NULL_X8Y58 INDEX 11278 TILEPROP NULL_X8Y58 INT_TILE_X 1 TILEPROP NULL_X8Y58 INT_TILE_Y 94 TILEPROP NULL_X8Y58 IS_CENTER_TILE 0 TILEPROP NULL_X8Y58 IS_DCM_TILE 0 TILEPROP NULL_X8Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y58 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y58 NAME NULL_X8Y58 TILEPROP NULL_X8Y58 NUM_ARCS 0 TILEPROP NULL_X8Y58 NUM_SITES 0 TILEPROP NULL_X8Y58 ROW 98 TILEPROP NULL_X8Y58 SLR_REGION_ID 0 TILEPROP NULL_X8Y58 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y58 TILE_TYPE NULL TILEPROP NULL_X8Y58 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y58 TILE_X -91222 TILEPROP NULL_X8Y58 TILE_Y -64000 TILEPROP NULL_X8Y58 TYPE NULL TILEPROP NULL_X8Y59 CLASS tile TILEPROP NULL_X8Y59 COLUMN 8 TILEPROP NULL_X8Y59 DEVICE_ID 0 TILEPROP NULL_X8Y59 FIRST_SITE_ID 9700 TILEPROP NULL_X8Y59 GRID_POINT_X 8 TILEPROP NULL_X8Y59 GRID_POINT_Y 97 TILEPROP NULL_X8Y59 INDEX 11163 TILEPROP NULL_X8Y59 INT_TILE_X 1 TILEPROP NULL_X8Y59 INT_TILE_Y 93 TILEPROP NULL_X8Y59 IS_CENTER_TILE 0 TILEPROP NULL_X8Y59 IS_DCM_TILE 0 TILEPROP NULL_X8Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y59 NAME NULL_X8Y59 TILEPROP NULL_X8Y59 NUM_ARCS 0 TILEPROP NULL_X8Y59 NUM_SITES 0 TILEPROP NULL_X8Y59 ROW 97 TILEPROP NULL_X8Y59 SLR_REGION_ID 0 TILEPROP NULL_X8Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y59 TILE_TYPE NULL TILEPROP NULL_X8Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y59 TILE_X -91222 TILEPROP NULL_X8Y59 TILE_Y -60800 TILEPROP NULL_X8Y59 TYPE NULL TILEPROP NULL_X8Y60 CLASS tile TILEPROP NULL_X8Y60 COLUMN 8 TILEPROP NULL_X8Y60 DEVICE_ID 0 TILEPROP NULL_X8Y60 FIRST_SITE_ID 9598 TILEPROP NULL_X8Y60 GRID_POINT_X 8 TILEPROP NULL_X8Y60 GRID_POINT_Y 96 TILEPROP NULL_X8Y60 INDEX 11048 TILEPROP NULL_X8Y60 INT_TILE_X 1 TILEPROP NULL_X8Y60 INT_TILE_Y 92 TILEPROP NULL_X8Y60 IS_CENTER_TILE 0 TILEPROP NULL_X8Y60 IS_DCM_TILE 0 TILEPROP NULL_X8Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y60 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y60 NAME NULL_X8Y60 TILEPROP NULL_X8Y60 NUM_ARCS 0 TILEPROP NULL_X8Y60 NUM_SITES 0 TILEPROP NULL_X8Y60 ROW 96 TILEPROP NULL_X8Y60 SLR_REGION_ID 0 TILEPROP NULL_X8Y60 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y60 TILE_TYPE NULL TILEPROP NULL_X8Y60 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y60 TILE_X -91222 TILEPROP NULL_X8Y60 TILE_Y -57600 TILEPROP NULL_X8Y60 TYPE NULL TILEPROP NULL_X8Y62 CLASS tile TILEPROP NULL_X8Y62 COLUMN 8 TILEPROP NULL_X8Y62 DEVICE_ID 0 TILEPROP NULL_X8Y62 FIRST_SITE_ID 9394 TILEPROP NULL_X8Y62 GRID_POINT_X 8 TILEPROP NULL_X8Y62 GRID_POINT_Y 94 TILEPROP NULL_X8Y62 INDEX 10818 TILEPROP NULL_X8Y62 INT_TILE_X 1 TILEPROP NULL_X8Y62 INT_TILE_Y 90 TILEPROP NULL_X8Y62 IS_CENTER_TILE 0 TILEPROP NULL_X8Y62 IS_DCM_TILE 0 TILEPROP NULL_X8Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y62 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y62 NAME NULL_X8Y62 TILEPROP NULL_X8Y62 NUM_ARCS 0 TILEPROP NULL_X8Y62 NUM_SITES 0 TILEPROP NULL_X8Y62 ROW 94 TILEPROP NULL_X8Y62 SLR_REGION_ID 0 TILEPROP NULL_X8Y62 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y62 TILE_TYPE NULL TILEPROP NULL_X8Y62 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y62 TILE_X -91222 TILEPROP NULL_X8Y62 TILE_Y -51200 TILEPROP NULL_X8Y62 TYPE NULL TILEPROP NULL_X8Y63 CLASS tile TILEPROP NULL_X8Y63 COLUMN 8 TILEPROP NULL_X8Y63 DEVICE_ID 0 TILEPROP NULL_X8Y63 FIRST_SITE_ID 9279 TILEPROP NULL_X8Y63 GRID_POINT_X 8 TILEPROP NULL_X8Y63 GRID_POINT_Y 93 TILEPROP NULL_X8Y63 INDEX 10703 TILEPROP NULL_X8Y63 INT_TILE_X 1 TILEPROP NULL_X8Y63 INT_TILE_Y 89 TILEPROP NULL_X8Y63 IS_CENTER_TILE 0 TILEPROP NULL_X8Y63 IS_DCM_TILE 0 TILEPROP NULL_X8Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y63 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y63 NAME NULL_X8Y63 TILEPROP NULL_X8Y63 NUM_ARCS 0 TILEPROP NULL_X8Y63 NUM_SITES 0 TILEPROP NULL_X8Y63 ROW 93 TILEPROP NULL_X8Y63 SLR_REGION_ID 0 TILEPROP NULL_X8Y63 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y63 TILE_TYPE NULL TILEPROP NULL_X8Y63 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y63 TILE_X -91222 TILEPROP NULL_X8Y63 TILE_Y -48000 TILEPROP NULL_X8Y63 TYPE NULL TILEPROP NULL_X8Y64 CLASS tile TILEPROP NULL_X8Y64 COLUMN 8 TILEPROP NULL_X8Y64 DEVICE_ID 0 TILEPROP NULL_X8Y64 FIRST_SITE_ID 9179 TILEPROP NULL_X8Y64 GRID_POINT_X 8 TILEPROP NULL_X8Y64 GRID_POINT_Y 92 TILEPROP NULL_X8Y64 INDEX 10588 TILEPROP NULL_X8Y64 INT_TILE_X 1 TILEPROP NULL_X8Y64 INT_TILE_Y 88 TILEPROP NULL_X8Y64 IS_CENTER_TILE 0 TILEPROP NULL_X8Y64 IS_DCM_TILE 0 TILEPROP NULL_X8Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y64 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y64 NAME NULL_X8Y64 TILEPROP NULL_X8Y64 NUM_ARCS 0 TILEPROP NULL_X8Y64 NUM_SITES 0 TILEPROP NULL_X8Y64 ROW 92 TILEPROP NULL_X8Y64 SLR_REGION_ID 0 TILEPROP NULL_X8Y64 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y64 TILE_TYPE NULL TILEPROP NULL_X8Y64 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y64 TILE_X -91222 TILEPROP NULL_X8Y64 TILE_Y -44800 TILEPROP NULL_X8Y64 TYPE NULL TILEPROP NULL_X8Y65 CLASS tile TILEPROP NULL_X8Y65 COLUMN 8 TILEPROP NULL_X8Y65 DEVICE_ID 0 TILEPROP NULL_X8Y65 FIRST_SITE_ID 9047 TILEPROP NULL_X8Y65 GRID_POINT_X 8 TILEPROP NULL_X8Y65 GRID_POINT_Y 91 TILEPROP NULL_X8Y65 INDEX 10473 TILEPROP NULL_X8Y65 INT_TILE_X 1 TILEPROP NULL_X8Y65 INT_TILE_Y 87 TILEPROP NULL_X8Y65 IS_CENTER_TILE 0 TILEPROP NULL_X8Y65 IS_DCM_TILE 0 TILEPROP NULL_X8Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y65 NAME NULL_X8Y65 TILEPROP NULL_X8Y65 NUM_ARCS 0 TILEPROP NULL_X8Y65 NUM_SITES 0 TILEPROP NULL_X8Y65 ROW 91 TILEPROP NULL_X8Y65 SLR_REGION_ID 0 TILEPROP NULL_X8Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y65 TILE_TYPE NULL TILEPROP NULL_X8Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y65 TILE_X -91222 TILEPROP NULL_X8Y65 TILE_Y -41600 TILEPROP NULL_X8Y65 TYPE NULL TILEPROP NULL_X8Y66 CLASS tile TILEPROP NULL_X8Y66 COLUMN 8 TILEPROP NULL_X8Y66 DEVICE_ID 0 TILEPROP NULL_X8Y66 FIRST_SITE_ID 8947 TILEPROP NULL_X8Y66 GRID_POINT_X 8 TILEPROP NULL_X8Y66 GRID_POINT_Y 90 TILEPROP NULL_X8Y66 INDEX 10358 TILEPROP NULL_X8Y66 INT_TILE_X 1 TILEPROP NULL_X8Y66 INT_TILE_Y 86 TILEPROP NULL_X8Y66 IS_CENTER_TILE 0 TILEPROP NULL_X8Y66 IS_DCM_TILE 0 TILEPROP NULL_X8Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y66 NAME NULL_X8Y66 TILEPROP NULL_X8Y66 NUM_ARCS 0 TILEPROP NULL_X8Y66 NUM_SITES 0 TILEPROP NULL_X8Y66 ROW 90 TILEPROP NULL_X8Y66 SLR_REGION_ID 0 TILEPROP NULL_X8Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y66 TILE_TYPE NULL TILEPROP NULL_X8Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y66 TILE_X -91222 TILEPROP NULL_X8Y66 TILE_Y -38400 TILEPROP NULL_X8Y66 TYPE NULL TILEPROP NULL_X8Y67 CLASS tile TILEPROP NULL_X8Y67 COLUMN 8 TILEPROP NULL_X8Y67 DEVICE_ID 0 TILEPROP NULL_X8Y67 FIRST_SITE_ID 8847 TILEPROP NULL_X8Y67 GRID_POINT_X 8 TILEPROP NULL_X8Y67 GRID_POINT_Y 89 TILEPROP NULL_X8Y67 INDEX 10243 TILEPROP NULL_X8Y67 INT_TILE_X 1 TILEPROP NULL_X8Y67 INT_TILE_Y 85 TILEPROP NULL_X8Y67 IS_CENTER_TILE 0 TILEPROP NULL_X8Y67 IS_DCM_TILE 0 TILEPROP NULL_X8Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y67 NAME NULL_X8Y67 TILEPROP NULL_X8Y67 NUM_ARCS 0 TILEPROP NULL_X8Y67 NUM_SITES 0 TILEPROP NULL_X8Y67 ROW 89 TILEPROP NULL_X8Y67 SLR_REGION_ID 0 TILEPROP NULL_X8Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y67 TILE_TYPE NULL TILEPROP NULL_X8Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y67 TILE_X -91222 TILEPROP NULL_X8Y67 TILE_Y -35200 TILEPROP NULL_X8Y67 TYPE NULL TILEPROP NULL_X8Y68 CLASS tile TILEPROP NULL_X8Y68 COLUMN 8 TILEPROP NULL_X8Y68 DEVICE_ID 0 TILEPROP NULL_X8Y68 FIRST_SITE_ID 8732 TILEPROP NULL_X8Y68 GRID_POINT_X 8 TILEPROP NULL_X8Y68 GRID_POINT_Y 88 TILEPROP NULL_X8Y68 INDEX 10128 TILEPROP NULL_X8Y68 INT_TILE_X 1 TILEPROP NULL_X8Y68 INT_TILE_Y 84 TILEPROP NULL_X8Y68 IS_CENTER_TILE 0 TILEPROP NULL_X8Y68 IS_DCM_TILE 0 TILEPROP NULL_X8Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y68 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y68 NAME NULL_X8Y68 TILEPROP NULL_X8Y68 NUM_ARCS 0 TILEPROP NULL_X8Y68 NUM_SITES 0 TILEPROP NULL_X8Y68 ROW 88 TILEPROP NULL_X8Y68 SLR_REGION_ID 0 TILEPROP NULL_X8Y68 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y68 TILE_TYPE NULL TILEPROP NULL_X8Y68 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y68 TILE_X -91222 TILEPROP NULL_X8Y68 TILE_Y -32000 TILEPROP NULL_X8Y68 TYPE NULL TILEPROP NULL_X8Y69 CLASS tile TILEPROP NULL_X8Y69 COLUMN 8 TILEPROP NULL_X8Y69 DEVICE_ID 0 TILEPROP NULL_X8Y69 FIRST_SITE_ID 8632 TILEPROP NULL_X8Y69 GRID_POINT_X 8 TILEPROP NULL_X8Y69 GRID_POINT_Y 87 TILEPROP NULL_X8Y69 INDEX 10013 TILEPROP NULL_X8Y69 INT_TILE_X 1 TILEPROP NULL_X8Y69 INT_TILE_Y 83 TILEPROP NULL_X8Y69 IS_CENTER_TILE 0 TILEPROP NULL_X8Y69 IS_DCM_TILE 0 TILEPROP NULL_X8Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y69 NAME NULL_X8Y69 TILEPROP NULL_X8Y69 NUM_ARCS 0 TILEPROP NULL_X8Y69 NUM_SITES 0 TILEPROP NULL_X8Y69 ROW 87 TILEPROP NULL_X8Y69 SLR_REGION_ID 0 TILEPROP NULL_X8Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y69 TILE_TYPE NULL TILEPROP NULL_X8Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y69 TILE_X -91222 TILEPROP NULL_X8Y69 TILE_Y -28800 TILEPROP NULL_X8Y69 TYPE NULL TILEPROP NULL_X8Y71 CLASS tile TILEPROP NULL_X8Y71 COLUMN 8 TILEPROP NULL_X8Y71 DEVICE_ID 0 TILEPROP NULL_X8Y71 FIRST_SITE_ID 8424 TILEPROP NULL_X8Y71 GRID_POINT_X 8 TILEPROP NULL_X8Y71 GRID_POINT_Y 85 TILEPROP NULL_X8Y71 INDEX 9783 TILEPROP NULL_X8Y71 INT_TILE_X 1 TILEPROP NULL_X8Y71 INT_TILE_Y 81 TILEPROP NULL_X8Y71 IS_CENTER_TILE 0 TILEPROP NULL_X8Y71 IS_DCM_TILE 0 TILEPROP NULL_X8Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y71 NAME NULL_X8Y71 TILEPROP NULL_X8Y71 NUM_ARCS 0 TILEPROP NULL_X8Y71 NUM_SITES 0 TILEPROP NULL_X8Y71 ROW 85 TILEPROP NULL_X8Y71 SLR_REGION_ID 0 TILEPROP NULL_X8Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y71 TILE_TYPE NULL TILEPROP NULL_X8Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y71 TILE_X -91222 TILEPROP NULL_X8Y71 TILE_Y -22400 TILEPROP NULL_X8Y71 TYPE NULL TILEPROP NULL_X8Y72 CLASS tile TILEPROP NULL_X8Y72 COLUMN 8 TILEPROP NULL_X8Y72 DEVICE_ID 0 TILEPROP NULL_X8Y72 FIRST_SITE_ID 8322 TILEPROP NULL_X8Y72 GRID_POINT_X 8 TILEPROP NULL_X8Y72 GRID_POINT_Y 84 TILEPROP NULL_X8Y72 INDEX 9668 TILEPROP NULL_X8Y72 INT_TILE_X 1 TILEPROP NULL_X8Y72 INT_TILE_Y 80 TILEPROP NULL_X8Y72 IS_CENTER_TILE 0 TILEPROP NULL_X8Y72 IS_DCM_TILE 0 TILEPROP NULL_X8Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y72 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y72 NAME NULL_X8Y72 TILEPROP NULL_X8Y72 NUM_ARCS 0 TILEPROP NULL_X8Y72 NUM_SITES 0 TILEPROP NULL_X8Y72 ROW 84 TILEPROP NULL_X8Y72 SLR_REGION_ID 0 TILEPROP NULL_X8Y72 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y72 TILE_TYPE NULL TILEPROP NULL_X8Y72 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y72 TILE_X -91222 TILEPROP NULL_X8Y72 TILE_Y -19200 TILEPROP NULL_X8Y72 TYPE NULL TILEPROP NULL_X8Y73 CLASS tile TILEPROP NULL_X8Y73 COLUMN 8 TILEPROP NULL_X8Y73 DEVICE_ID 0 TILEPROP NULL_X8Y73 FIRST_SITE_ID 8205 TILEPROP NULL_X8Y73 GRID_POINT_X 8 TILEPROP NULL_X8Y73 GRID_POINT_Y 83 TILEPROP NULL_X8Y73 INDEX 9553 TILEPROP NULL_X8Y73 INT_TILE_X 1 TILEPROP NULL_X8Y73 INT_TILE_Y 79 TILEPROP NULL_X8Y73 IS_CENTER_TILE 0 TILEPROP NULL_X8Y73 IS_DCM_TILE 0 TILEPROP NULL_X8Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y73 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y73 NAME NULL_X8Y73 TILEPROP NULL_X8Y73 NUM_ARCS 0 TILEPROP NULL_X8Y73 NUM_SITES 0 TILEPROP NULL_X8Y73 ROW 83 TILEPROP NULL_X8Y73 SLR_REGION_ID 0 TILEPROP NULL_X8Y73 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y73 TILE_TYPE NULL TILEPROP NULL_X8Y73 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y73 TILE_X -91222 TILEPROP NULL_X8Y73 TILE_Y -16000 TILEPROP NULL_X8Y73 TYPE NULL TILEPROP NULL_X8Y74 CLASS tile TILEPROP NULL_X8Y74 COLUMN 8 TILEPROP NULL_X8Y74 DEVICE_ID 0 TILEPROP NULL_X8Y74 FIRST_SITE_ID 8105 TILEPROP NULL_X8Y74 GRID_POINT_X 8 TILEPROP NULL_X8Y74 GRID_POINT_Y 82 TILEPROP NULL_X8Y74 INDEX 9438 TILEPROP NULL_X8Y74 INT_TILE_X 1 TILEPROP NULL_X8Y74 INT_TILE_Y 78 TILEPROP NULL_X8Y74 IS_CENTER_TILE 0 TILEPROP NULL_X8Y74 IS_DCM_TILE 0 TILEPROP NULL_X8Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y74 NAME NULL_X8Y74 TILEPROP NULL_X8Y74 NUM_ARCS 0 TILEPROP NULL_X8Y74 NUM_SITES 0 TILEPROP NULL_X8Y74 ROW 82 TILEPROP NULL_X8Y74 SLR_REGION_ID 0 TILEPROP NULL_X8Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y74 TILE_TYPE NULL TILEPROP NULL_X8Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y74 TILE_X -91222 TILEPROP NULL_X8Y74 TILE_Y -12800 TILEPROP NULL_X8Y74 TYPE NULL TILEPROP NULL_X8Y75 CLASS tile TILEPROP NULL_X8Y75 COLUMN 8 TILEPROP NULL_X8Y75 DEVICE_ID 0 TILEPROP NULL_X8Y75 FIRST_SITE_ID 8005 TILEPROP NULL_X8Y75 GRID_POINT_X 8 TILEPROP NULL_X8Y75 GRID_POINT_Y 81 TILEPROP NULL_X8Y75 INDEX 9323 TILEPROP NULL_X8Y75 INT_TILE_X 1 TILEPROP NULL_X8Y75 INT_TILE_Y 77 TILEPROP NULL_X8Y75 IS_CENTER_TILE 0 TILEPROP NULL_X8Y75 IS_DCM_TILE 0 TILEPROP NULL_X8Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y75 NAME NULL_X8Y75 TILEPROP NULL_X8Y75 NUM_ARCS 0 TILEPROP NULL_X8Y75 NUM_SITES 0 TILEPROP NULL_X8Y75 ROW 81 TILEPROP NULL_X8Y75 SLR_REGION_ID 0 TILEPROP NULL_X8Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y75 TILE_TYPE NULL TILEPROP NULL_X8Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y75 TILE_X -91222 TILEPROP NULL_X8Y75 TILE_Y -9600 TILEPROP NULL_X8Y75 TYPE NULL TILEPROP NULL_X8Y76 CLASS tile TILEPROP NULL_X8Y76 COLUMN 8 TILEPROP NULL_X8Y76 DEVICE_ID 0 TILEPROP NULL_X8Y76 FIRST_SITE_ID 7905 TILEPROP NULL_X8Y76 GRID_POINT_X 8 TILEPROP NULL_X8Y76 GRID_POINT_Y 80 TILEPROP NULL_X8Y76 INDEX 9208 TILEPROP NULL_X8Y76 INT_TILE_X 1 TILEPROP NULL_X8Y76 INT_TILE_Y 76 TILEPROP NULL_X8Y76 IS_CENTER_TILE 0 TILEPROP NULL_X8Y76 IS_DCM_TILE 0 TILEPROP NULL_X8Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y76 NAME NULL_X8Y76 TILEPROP NULL_X8Y76 NUM_ARCS 0 TILEPROP NULL_X8Y76 NUM_SITES 0 TILEPROP NULL_X8Y76 ROW 80 TILEPROP NULL_X8Y76 SLR_REGION_ID 0 TILEPROP NULL_X8Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y76 TILE_TYPE NULL TILEPROP NULL_X8Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y76 TILE_X -91222 TILEPROP NULL_X8Y76 TILE_Y -6400 TILEPROP NULL_X8Y76 TYPE NULL TILEPROP NULL_X8Y77 CLASS tile TILEPROP NULL_X8Y77 COLUMN 8 TILEPROP NULL_X8Y77 DEVICE_ID 0 TILEPROP NULL_X8Y77 FIRST_SITE_ID 7805 TILEPROP NULL_X8Y77 GRID_POINT_X 8 TILEPROP NULL_X8Y77 GRID_POINT_Y 79 TILEPROP NULL_X8Y77 INDEX 9093 TILEPROP NULL_X8Y77 INT_TILE_X 1 TILEPROP NULL_X8Y77 INT_TILE_Y 75 TILEPROP NULL_X8Y77 IS_CENTER_TILE 0 TILEPROP NULL_X8Y77 IS_DCM_TILE 0 TILEPROP NULL_X8Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y77 NAME NULL_X8Y77 TILEPROP NULL_X8Y77 NUM_ARCS 0 TILEPROP NULL_X8Y77 NUM_SITES 0 TILEPROP NULL_X8Y77 ROW 79 TILEPROP NULL_X8Y77 SLR_REGION_ID 0 TILEPROP NULL_X8Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y77 TILE_TYPE NULL TILEPROP NULL_X8Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y77 TILE_X -91222 TILEPROP NULL_X8Y77 TILE_Y -3200 TILEPROP NULL_X8Y77 TYPE NULL TILEPROP NULL_X8Y79 CLASS tile TILEPROP NULL_X8Y79 COLUMN 8 TILEPROP NULL_X8Y79 DEVICE_ID 0 TILEPROP NULL_X8Y79 FIRST_SITE_ID 7604 TILEPROP NULL_X8Y79 GRID_POINT_X 8 TILEPROP NULL_X8Y79 GRID_POINT_Y 77 TILEPROP NULL_X8Y79 INDEX 8863 TILEPROP NULL_X8Y79 INT_TILE_X 1 TILEPROP NULL_X8Y79 INT_TILE_Y 74 TILEPROP NULL_X8Y79 IS_CENTER_TILE 0 TILEPROP NULL_X8Y79 IS_DCM_TILE 0 TILEPROP NULL_X8Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y79 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y79 NAME NULL_X8Y79 TILEPROP NULL_X8Y79 NUM_ARCS 0 TILEPROP NULL_X8Y79 NUM_SITES 0 TILEPROP NULL_X8Y79 ROW 77 TILEPROP NULL_X8Y79 SLR_REGION_ID 0 TILEPROP NULL_X8Y79 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y79 TILE_TYPE NULL TILEPROP NULL_X8Y79 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y79 TILE_X -91222 TILEPROP NULL_X8Y79 TILE_Y 1024 TILEPROP NULL_X8Y79 TYPE NULL TILEPROP NULL_X8Y80 CLASS tile TILEPROP NULL_X8Y80 COLUMN 8 TILEPROP NULL_X8Y80 DEVICE_ID 0 TILEPROP NULL_X8Y80 FIRST_SITE_ID 7504 TILEPROP NULL_X8Y80 GRID_POINT_X 8 TILEPROP NULL_X8Y80 GRID_POINT_Y 76 TILEPROP NULL_X8Y80 INDEX 8748 TILEPROP NULL_X8Y80 INT_TILE_X 1 TILEPROP NULL_X8Y80 INT_TILE_Y 73 TILEPROP NULL_X8Y80 IS_CENTER_TILE 0 TILEPROP NULL_X8Y80 IS_DCM_TILE 0 TILEPROP NULL_X8Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y80 NAME NULL_X8Y80 TILEPROP NULL_X8Y80 NUM_ARCS 0 TILEPROP NULL_X8Y80 NUM_SITES 0 TILEPROP NULL_X8Y80 ROW 76 TILEPROP NULL_X8Y80 SLR_REGION_ID 0 TILEPROP NULL_X8Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y80 TILE_TYPE NULL TILEPROP NULL_X8Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y80 TILE_X -91222 TILEPROP NULL_X8Y80 TILE_Y 4224 TILEPROP NULL_X8Y80 TYPE NULL TILEPROP NULL_X8Y81 CLASS tile TILEPROP NULL_X8Y81 COLUMN 8 TILEPROP NULL_X8Y81 DEVICE_ID 0 TILEPROP NULL_X8Y81 FIRST_SITE_ID 7404 TILEPROP NULL_X8Y81 GRID_POINT_X 8 TILEPROP NULL_X8Y81 GRID_POINT_Y 75 TILEPROP NULL_X8Y81 INDEX 8633 TILEPROP NULL_X8Y81 INT_TILE_X 1 TILEPROP NULL_X8Y81 INT_TILE_Y 72 TILEPROP NULL_X8Y81 IS_CENTER_TILE 0 TILEPROP NULL_X8Y81 IS_DCM_TILE 0 TILEPROP NULL_X8Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y81 NAME NULL_X8Y81 TILEPROP NULL_X8Y81 NUM_ARCS 0 TILEPROP NULL_X8Y81 NUM_SITES 0 TILEPROP NULL_X8Y81 ROW 75 TILEPROP NULL_X8Y81 SLR_REGION_ID 0 TILEPROP NULL_X8Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y81 TILE_TYPE NULL TILEPROP NULL_X8Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y81 TILE_X -91222 TILEPROP NULL_X8Y81 TILE_Y 7424 TILEPROP NULL_X8Y81 TYPE NULL TILEPROP NULL_X8Y82 CLASS tile TILEPROP NULL_X8Y82 COLUMN 8 TILEPROP NULL_X8Y82 DEVICE_ID 0 TILEPROP NULL_X8Y82 FIRST_SITE_ID 7304 TILEPROP NULL_X8Y82 GRID_POINT_X 8 TILEPROP NULL_X8Y82 GRID_POINT_Y 74 TILEPROP NULL_X8Y82 INDEX 8518 TILEPROP NULL_X8Y82 INT_TILE_X 1 TILEPROP NULL_X8Y82 INT_TILE_Y 71 TILEPROP NULL_X8Y82 IS_CENTER_TILE 0 TILEPROP NULL_X8Y82 IS_DCM_TILE 0 TILEPROP NULL_X8Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y82 NAME NULL_X8Y82 TILEPROP NULL_X8Y82 NUM_ARCS 0 TILEPROP NULL_X8Y82 NUM_SITES 0 TILEPROP NULL_X8Y82 ROW 74 TILEPROP NULL_X8Y82 SLR_REGION_ID 0 TILEPROP NULL_X8Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y82 TILE_TYPE NULL TILEPROP NULL_X8Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y82 TILE_X -91222 TILEPROP NULL_X8Y82 TILE_Y 10624 TILEPROP NULL_X8Y82 TYPE NULL TILEPROP NULL_X8Y84 CLASS tile TILEPROP NULL_X8Y84 COLUMN 8 TILEPROP NULL_X8Y84 DEVICE_ID 0 TILEPROP NULL_X8Y84 FIRST_SITE_ID 7077 TILEPROP NULL_X8Y84 GRID_POINT_X 8 TILEPROP NULL_X8Y84 GRID_POINT_Y 72 TILEPROP NULL_X8Y84 INDEX 8288 TILEPROP NULL_X8Y84 INT_TILE_X 1 TILEPROP NULL_X8Y84 INT_TILE_Y 69 TILEPROP NULL_X8Y84 IS_CENTER_TILE 0 TILEPROP NULL_X8Y84 IS_DCM_TILE 0 TILEPROP NULL_X8Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y84 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y84 NAME NULL_X8Y84 TILEPROP NULL_X8Y84 NUM_ARCS 0 TILEPROP NULL_X8Y84 NUM_SITES 0 TILEPROP NULL_X8Y84 ROW 72 TILEPROP NULL_X8Y84 SLR_REGION_ID 0 TILEPROP NULL_X8Y84 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y84 TILE_TYPE NULL TILEPROP NULL_X8Y84 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y84 TILE_X -91222 TILEPROP NULL_X8Y84 TILE_Y 17024 TILEPROP NULL_X8Y84 TYPE NULL TILEPROP NULL_X8Y85 CLASS tile TILEPROP NULL_X8Y85 COLUMN 8 TILEPROP NULL_X8Y85 DEVICE_ID 0 TILEPROP NULL_X8Y85 FIRST_SITE_ID 6975 TILEPROP NULL_X8Y85 GRID_POINT_X 8 TILEPROP NULL_X8Y85 GRID_POINT_Y 71 TILEPROP NULL_X8Y85 INDEX 8173 TILEPROP NULL_X8Y85 INT_TILE_X 1 TILEPROP NULL_X8Y85 INT_TILE_Y 68 TILEPROP NULL_X8Y85 IS_CENTER_TILE 0 TILEPROP NULL_X8Y85 IS_DCM_TILE 0 TILEPROP NULL_X8Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y85 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y85 NAME NULL_X8Y85 TILEPROP NULL_X8Y85 NUM_ARCS 0 TILEPROP NULL_X8Y85 NUM_SITES 0 TILEPROP NULL_X8Y85 ROW 71 TILEPROP NULL_X8Y85 SLR_REGION_ID 0 TILEPROP NULL_X8Y85 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y85 TILE_TYPE NULL TILEPROP NULL_X8Y85 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y85 TILE_X -91222 TILEPROP NULL_X8Y85 TILE_Y 20224 TILEPROP NULL_X8Y85 TYPE NULL TILEPROP NULL_X8Y86 CLASS tile TILEPROP NULL_X8Y86 COLUMN 8 TILEPROP NULL_X8Y86 DEVICE_ID 0 TILEPROP NULL_X8Y86 FIRST_SITE_ID 6873 TILEPROP NULL_X8Y86 GRID_POINT_X 8 TILEPROP NULL_X8Y86 GRID_POINT_Y 70 TILEPROP NULL_X8Y86 INDEX 8058 TILEPROP NULL_X8Y86 INT_TILE_X 1 TILEPROP NULL_X8Y86 INT_TILE_Y 67 TILEPROP NULL_X8Y86 IS_CENTER_TILE 0 TILEPROP NULL_X8Y86 IS_DCM_TILE 0 TILEPROP NULL_X8Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y86 NAME NULL_X8Y86 TILEPROP NULL_X8Y86 NUM_ARCS 0 TILEPROP NULL_X8Y86 NUM_SITES 0 TILEPROP NULL_X8Y86 ROW 70 TILEPROP NULL_X8Y86 SLR_REGION_ID 0 TILEPROP NULL_X8Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y86 TILE_TYPE NULL TILEPROP NULL_X8Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y86 TILE_X -91222 TILEPROP NULL_X8Y86 TILE_Y 23424 TILEPROP NULL_X8Y86 TYPE NULL TILEPROP NULL_X8Y87 CLASS tile TILEPROP NULL_X8Y87 COLUMN 8 TILEPROP NULL_X8Y87 DEVICE_ID 0 TILEPROP NULL_X8Y87 FIRST_SITE_ID 6773 TILEPROP NULL_X8Y87 GRID_POINT_X 8 TILEPROP NULL_X8Y87 GRID_POINT_Y 69 TILEPROP NULL_X8Y87 INDEX 7943 TILEPROP NULL_X8Y87 INT_TILE_X 1 TILEPROP NULL_X8Y87 INT_TILE_Y 66 TILEPROP NULL_X8Y87 IS_CENTER_TILE 0 TILEPROP NULL_X8Y87 IS_DCM_TILE 0 TILEPROP NULL_X8Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y87 NAME NULL_X8Y87 TILEPROP NULL_X8Y87 NUM_ARCS 0 TILEPROP NULL_X8Y87 NUM_SITES 0 TILEPROP NULL_X8Y87 ROW 69 TILEPROP NULL_X8Y87 SLR_REGION_ID 0 TILEPROP NULL_X8Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y87 TILE_TYPE NULL TILEPROP NULL_X8Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y87 TILE_X -91222 TILEPROP NULL_X8Y87 TILE_Y 26624 TILEPROP NULL_X8Y87 TYPE NULL TILEPROP NULL_X8Y88 CLASS tile TILEPROP NULL_X8Y88 COLUMN 8 TILEPROP NULL_X8Y88 DEVICE_ID 0 TILEPROP NULL_X8Y88 FIRST_SITE_ID 6673 TILEPROP NULL_X8Y88 GRID_POINT_X 8 TILEPROP NULL_X8Y88 GRID_POINT_Y 68 TILEPROP NULL_X8Y88 INDEX 7828 TILEPROP NULL_X8Y88 INT_TILE_X 1 TILEPROP NULL_X8Y88 INT_TILE_Y 65 TILEPROP NULL_X8Y88 IS_CENTER_TILE 0 TILEPROP NULL_X8Y88 IS_DCM_TILE 0 TILEPROP NULL_X8Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y88 NAME NULL_X8Y88 TILEPROP NULL_X8Y88 NUM_ARCS 0 TILEPROP NULL_X8Y88 NUM_SITES 0 TILEPROP NULL_X8Y88 ROW 68 TILEPROP NULL_X8Y88 SLR_REGION_ID 0 TILEPROP NULL_X8Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y88 TILE_TYPE NULL TILEPROP NULL_X8Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y88 TILE_X -91222 TILEPROP NULL_X8Y88 TILE_Y 29824 TILEPROP NULL_X8Y88 TYPE NULL TILEPROP NULL_X8Y89 CLASS tile TILEPROP NULL_X8Y89 COLUMN 8 TILEPROP NULL_X8Y89 DEVICE_ID 0 TILEPROP NULL_X8Y89 FIRST_SITE_ID 6558 TILEPROP NULL_X8Y89 GRID_POINT_X 8 TILEPROP NULL_X8Y89 GRID_POINT_Y 67 TILEPROP NULL_X8Y89 INDEX 7713 TILEPROP NULL_X8Y89 INT_TILE_X 1 TILEPROP NULL_X8Y89 INT_TILE_Y 64 TILEPROP NULL_X8Y89 IS_CENTER_TILE 0 TILEPROP NULL_X8Y89 IS_DCM_TILE 0 TILEPROP NULL_X8Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y89 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y89 NAME NULL_X8Y89 TILEPROP NULL_X8Y89 NUM_ARCS 0 TILEPROP NULL_X8Y89 NUM_SITES 0 TILEPROP NULL_X8Y89 ROW 67 TILEPROP NULL_X8Y89 SLR_REGION_ID 0 TILEPROP NULL_X8Y89 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y89 TILE_TYPE NULL TILEPROP NULL_X8Y89 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y89 TILE_X -91222 TILEPROP NULL_X8Y89 TILE_Y 33024 TILEPROP NULL_X8Y89 TYPE NULL TILEPROP NULL_X8Y90 CLASS tile TILEPROP NULL_X8Y90 COLUMN 8 TILEPROP NULL_X8Y90 DEVICE_ID 0 TILEPROP NULL_X8Y90 FIRST_SITE_ID 6426 TILEPROP NULL_X8Y90 GRID_POINT_X 8 TILEPROP NULL_X8Y90 GRID_POINT_Y 66 TILEPROP NULL_X8Y90 INDEX 7598 TILEPROP NULL_X8Y90 INT_TILE_X 1 TILEPROP NULL_X8Y90 INT_TILE_Y 63 TILEPROP NULL_X8Y90 IS_CENTER_TILE 0 TILEPROP NULL_X8Y90 IS_DCM_TILE 0 TILEPROP NULL_X8Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y90 NAME NULL_X8Y90 TILEPROP NULL_X8Y90 NUM_ARCS 0 TILEPROP NULL_X8Y90 NUM_SITES 0 TILEPROP NULL_X8Y90 ROW 66 TILEPROP NULL_X8Y90 SLR_REGION_ID 0 TILEPROP NULL_X8Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y90 TILE_TYPE NULL TILEPROP NULL_X8Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y90 TILE_X -91222 TILEPROP NULL_X8Y90 TILE_Y 36224 TILEPROP NULL_X8Y90 TYPE NULL TILEPROP NULL_X8Y91 CLASS tile TILEPROP NULL_X8Y91 COLUMN 8 TILEPROP NULL_X8Y91 DEVICE_ID 0 TILEPROP NULL_X8Y91 FIRST_SITE_ID 6326 TILEPROP NULL_X8Y91 GRID_POINT_X 8 TILEPROP NULL_X8Y91 GRID_POINT_Y 65 TILEPROP NULL_X8Y91 INDEX 7483 TILEPROP NULL_X8Y91 INT_TILE_X 1 TILEPROP NULL_X8Y91 INT_TILE_Y 62 TILEPROP NULL_X8Y91 IS_CENTER_TILE 0 TILEPROP NULL_X8Y91 IS_DCM_TILE 0 TILEPROP NULL_X8Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y91 NAME NULL_X8Y91 TILEPROP NULL_X8Y91 NUM_ARCS 0 TILEPROP NULL_X8Y91 NUM_SITES 0 TILEPROP NULL_X8Y91 ROW 65 TILEPROP NULL_X8Y91 SLR_REGION_ID 0 TILEPROP NULL_X8Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y91 TILE_TYPE NULL TILEPROP NULL_X8Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y91 TILE_X -91222 TILEPROP NULL_X8Y91 TILE_Y 39424 TILEPROP NULL_X8Y91 TYPE NULL TILEPROP NULL_X8Y92 CLASS tile TILEPROP NULL_X8Y92 COLUMN 8 TILEPROP NULL_X8Y92 DEVICE_ID 0 TILEPROP NULL_X8Y92 FIRST_SITE_ID 6226 TILEPROP NULL_X8Y92 GRID_POINT_X 8 TILEPROP NULL_X8Y92 GRID_POINT_Y 64 TILEPROP NULL_X8Y92 INDEX 7368 TILEPROP NULL_X8Y92 INT_TILE_X 1 TILEPROP NULL_X8Y92 INT_TILE_Y 61 TILEPROP NULL_X8Y92 IS_CENTER_TILE 0 TILEPROP NULL_X8Y92 IS_DCM_TILE 0 TILEPROP NULL_X8Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y92 NAME NULL_X8Y92 TILEPROP NULL_X8Y92 NUM_ARCS 0 TILEPROP NULL_X8Y92 NUM_SITES 0 TILEPROP NULL_X8Y92 ROW 64 TILEPROP NULL_X8Y92 SLR_REGION_ID 0 TILEPROP NULL_X8Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y92 TILE_TYPE NULL TILEPROP NULL_X8Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y92 TILE_X -91222 TILEPROP NULL_X8Y92 TILE_Y 42624 TILEPROP NULL_X8Y92 TYPE NULL TILEPROP NULL_X8Y93 CLASS tile TILEPROP NULL_X8Y93 COLUMN 8 TILEPROP NULL_X8Y93 DEVICE_ID 0 TILEPROP NULL_X8Y93 FIRST_SITE_ID 6126 TILEPROP NULL_X8Y93 GRID_POINT_X 8 TILEPROP NULL_X8Y93 GRID_POINT_Y 63 TILEPROP NULL_X8Y93 INDEX 7253 TILEPROP NULL_X8Y93 INT_TILE_X 1 TILEPROP NULL_X8Y93 INT_TILE_Y 60 TILEPROP NULL_X8Y93 IS_CENTER_TILE 0 TILEPROP NULL_X8Y93 IS_DCM_TILE 0 TILEPROP NULL_X8Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y93 NAME NULL_X8Y93 TILEPROP NULL_X8Y93 NUM_ARCS 0 TILEPROP NULL_X8Y93 NUM_SITES 0 TILEPROP NULL_X8Y93 ROW 63 TILEPROP NULL_X8Y93 SLR_REGION_ID 0 TILEPROP NULL_X8Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y93 TILE_TYPE NULL TILEPROP NULL_X8Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y93 TILE_X -91222 TILEPROP NULL_X8Y93 TILE_Y 45824 TILEPROP NULL_X8Y93 TYPE NULL TILEPROP NULL_X8Y94 CLASS tile TILEPROP NULL_X8Y94 COLUMN 8 TILEPROP NULL_X8Y94 DEVICE_ID 0 TILEPROP NULL_X8Y94 FIRST_SITE_ID 6011 TILEPROP NULL_X8Y94 GRID_POINT_X 8 TILEPROP NULL_X8Y94 GRID_POINT_Y 62 TILEPROP NULL_X8Y94 INDEX 7138 TILEPROP NULL_X8Y94 INT_TILE_X 1 TILEPROP NULL_X8Y94 INT_TILE_Y 59 TILEPROP NULL_X8Y94 IS_CENTER_TILE 0 TILEPROP NULL_X8Y94 IS_DCM_TILE 0 TILEPROP NULL_X8Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y94 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y94 NAME NULL_X8Y94 TILEPROP NULL_X8Y94 NUM_ARCS 0 TILEPROP NULL_X8Y94 NUM_SITES 0 TILEPROP NULL_X8Y94 ROW 62 TILEPROP NULL_X8Y94 SLR_REGION_ID 0 TILEPROP NULL_X8Y94 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y94 TILE_TYPE NULL TILEPROP NULL_X8Y94 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y94 TILE_X -91222 TILEPROP NULL_X8Y94 TILE_Y 49024 TILEPROP NULL_X8Y94 TYPE NULL TILEPROP NULL_X8Y95 CLASS tile TILEPROP NULL_X8Y95 COLUMN 8 TILEPROP NULL_X8Y95 DEVICE_ID 0 TILEPROP NULL_X8Y95 FIRST_SITE_ID 5911 TILEPROP NULL_X8Y95 GRID_POINT_X 8 TILEPROP NULL_X8Y95 GRID_POINT_Y 61 TILEPROP NULL_X8Y95 INDEX 7023 TILEPROP NULL_X8Y95 INT_TILE_X 1 TILEPROP NULL_X8Y95 INT_TILE_Y 58 TILEPROP NULL_X8Y95 IS_CENTER_TILE 0 TILEPROP NULL_X8Y95 IS_DCM_TILE 0 TILEPROP NULL_X8Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y95 NAME NULL_X8Y95 TILEPROP NULL_X8Y95 NUM_ARCS 0 TILEPROP NULL_X8Y95 NUM_SITES 0 TILEPROP NULL_X8Y95 ROW 61 TILEPROP NULL_X8Y95 SLR_REGION_ID 0 TILEPROP NULL_X8Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y95 TILE_TYPE NULL TILEPROP NULL_X8Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y95 TILE_X -91222 TILEPROP NULL_X8Y95 TILE_Y 52224 TILEPROP NULL_X8Y95 TYPE NULL TILEPROP NULL_X8Y97 CLASS tile TILEPROP NULL_X8Y97 COLUMN 8 TILEPROP NULL_X8Y97 DEVICE_ID 0 TILEPROP NULL_X8Y97 FIRST_SITE_ID 5707 TILEPROP NULL_X8Y97 GRID_POINT_X 8 TILEPROP NULL_X8Y97 GRID_POINT_Y 59 TILEPROP NULL_X8Y97 INDEX 6793 TILEPROP NULL_X8Y97 INT_TILE_X 1 TILEPROP NULL_X8Y97 INT_TILE_Y 56 TILEPROP NULL_X8Y97 IS_CENTER_TILE 0 TILEPROP NULL_X8Y97 IS_DCM_TILE 0 TILEPROP NULL_X8Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y97 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y97 NAME NULL_X8Y97 TILEPROP NULL_X8Y97 NUM_ARCS 0 TILEPROP NULL_X8Y97 NUM_SITES 0 TILEPROP NULL_X8Y97 ROW 59 TILEPROP NULL_X8Y97 SLR_REGION_ID 0 TILEPROP NULL_X8Y97 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y97 TILE_TYPE NULL TILEPROP NULL_X8Y97 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y97 TILE_X -91222 TILEPROP NULL_X8Y97 TILE_Y 58624 TILEPROP NULL_X8Y97 TYPE NULL TILEPROP NULL_X8Y98 CLASS tile TILEPROP NULL_X8Y98 COLUMN 8 TILEPROP NULL_X8Y98 DEVICE_ID 0 TILEPROP NULL_X8Y98 FIRST_SITE_ID 5605 TILEPROP NULL_X8Y98 GRID_POINT_X 8 TILEPROP NULL_X8Y98 GRID_POINT_Y 58 TILEPROP NULL_X8Y98 INDEX 6678 TILEPROP NULL_X8Y98 INT_TILE_X 1 TILEPROP NULL_X8Y98 INT_TILE_Y 55 TILEPROP NULL_X8Y98 IS_CENTER_TILE 0 TILEPROP NULL_X8Y98 IS_DCM_TILE 0 TILEPROP NULL_X8Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y98 NAME NULL_X8Y98 TILEPROP NULL_X8Y98 NUM_ARCS 0 TILEPROP NULL_X8Y98 NUM_SITES 0 TILEPROP NULL_X8Y98 ROW 58 TILEPROP NULL_X8Y98 SLR_REGION_ID 0 TILEPROP NULL_X8Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y98 TILE_TYPE NULL TILEPROP NULL_X8Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y98 TILE_X -91222 TILEPROP NULL_X8Y98 TILE_Y 61824 TILEPROP NULL_X8Y98 TYPE NULL TILEPROP NULL_X8Y99 CLASS tile TILEPROP NULL_X8Y99 COLUMN 8 TILEPROP NULL_X8Y99 DEVICE_ID 0 TILEPROP NULL_X8Y99 FIRST_SITE_ID 5490 TILEPROP NULL_X8Y99 GRID_POINT_X 8 TILEPROP NULL_X8Y99 GRID_POINT_Y 57 TILEPROP NULL_X8Y99 INDEX 6563 TILEPROP NULL_X8Y99 INT_TILE_X 1 TILEPROP NULL_X8Y99 INT_TILE_Y 54 TILEPROP NULL_X8Y99 IS_CENTER_TILE 0 TILEPROP NULL_X8Y99 IS_DCM_TILE 0 TILEPROP NULL_X8Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y99 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y99 NAME NULL_X8Y99 TILEPROP NULL_X8Y99 NUM_ARCS 0 TILEPROP NULL_X8Y99 NUM_SITES 0 TILEPROP NULL_X8Y99 ROW 57 TILEPROP NULL_X8Y99 SLR_REGION_ID 0 TILEPROP NULL_X8Y99 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y99 TILE_TYPE NULL TILEPROP NULL_X8Y99 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y99 TILE_X -91222 TILEPROP NULL_X8Y99 TILE_Y 65024 TILEPROP NULL_X8Y99 TYPE NULL TILEPROP NULL_X8Y100 CLASS tile TILEPROP NULL_X8Y100 COLUMN 8 TILEPROP NULL_X8Y100 DEVICE_ID 0 TILEPROP NULL_X8Y100 FIRST_SITE_ID 5390 TILEPROP NULL_X8Y100 GRID_POINT_X 8 TILEPROP NULL_X8Y100 GRID_POINT_Y 56 TILEPROP NULL_X8Y100 INDEX 6448 TILEPROP NULL_X8Y100 INT_TILE_X 1 TILEPROP NULL_X8Y100 INT_TILE_Y 53 TILEPROP NULL_X8Y100 IS_CENTER_TILE 0 TILEPROP NULL_X8Y100 IS_DCM_TILE 0 TILEPROP NULL_X8Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y100 NAME NULL_X8Y100 TILEPROP NULL_X8Y100 NUM_ARCS 0 TILEPROP NULL_X8Y100 NUM_SITES 0 TILEPROP NULL_X8Y100 ROW 56 TILEPROP NULL_X8Y100 SLR_REGION_ID 0 TILEPROP NULL_X8Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y100 TILE_TYPE NULL TILEPROP NULL_X8Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y100 TILE_X -91222 TILEPROP NULL_X8Y100 TILE_Y 68224 TILEPROP NULL_X8Y100 TYPE NULL TILEPROP NULL_X8Y101 CLASS tile TILEPROP NULL_X8Y101 COLUMN 8 TILEPROP NULL_X8Y101 DEVICE_ID 0 TILEPROP NULL_X8Y101 FIRST_SITE_ID 5290 TILEPROP NULL_X8Y101 GRID_POINT_X 8 TILEPROP NULL_X8Y101 GRID_POINT_Y 55 TILEPROP NULL_X8Y101 INDEX 6333 TILEPROP NULL_X8Y101 INT_TILE_X 1 TILEPROP NULL_X8Y101 INT_TILE_Y 52 TILEPROP NULL_X8Y101 IS_CENTER_TILE 0 TILEPROP NULL_X8Y101 IS_DCM_TILE 0 TILEPROP NULL_X8Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y101 NAME NULL_X8Y101 TILEPROP NULL_X8Y101 NUM_ARCS 0 TILEPROP NULL_X8Y101 NUM_SITES 0 TILEPROP NULL_X8Y101 ROW 55 TILEPROP NULL_X8Y101 SLR_REGION_ID 0 TILEPROP NULL_X8Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y101 TILE_TYPE NULL TILEPROP NULL_X8Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y101 TILE_X -91222 TILEPROP NULL_X8Y101 TILE_Y 71424 TILEPROP NULL_X8Y101 TYPE NULL TILEPROP NULL_X8Y102 CLASS tile TILEPROP NULL_X8Y102 COLUMN 8 TILEPROP NULL_X8Y102 DEVICE_ID 0 TILEPROP NULL_X8Y102 FIRST_SITE_ID 5190 TILEPROP NULL_X8Y102 GRID_POINT_X 8 TILEPROP NULL_X8Y102 GRID_POINT_Y 54 TILEPROP NULL_X8Y102 INDEX 6218 TILEPROP NULL_X8Y102 INT_TILE_X 1 TILEPROP NULL_X8Y102 INT_TILE_Y 51 TILEPROP NULL_X8Y102 IS_CENTER_TILE 0 TILEPROP NULL_X8Y102 IS_DCM_TILE 0 TILEPROP NULL_X8Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y102 NAME NULL_X8Y102 TILEPROP NULL_X8Y102 NUM_ARCS 0 TILEPROP NULL_X8Y102 NUM_SITES 0 TILEPROP NULL_X8Y102 ROW 54 TILEPROP NULL_X8Y102 SLR_REGION_ID 0 TILEPROP NULL_X8Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y102 TILE_TYPE NULL TILEPROP NULL_X8Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y102 TILE_X -91222 TILEPROP NULL_X8Y102 TILE_Y 74624 TILEPROP NULL_X8Y102 TYPE NULL TILEPROP NULL_X8Y103 CLASS tile TILEPROP NULL_X8Y103 COLUMN 8 TILEPROP NULL_X8Y103 DEVICE_ID 0 TILEPROP NULL_X8Y103 FIRST_SITE_ID 5094 TILEPROP NULL_X8Y103 GRID_POINT_X 8 TILEPROP NULL_X8Y103 GRID_POINT_Y 53 TILEPROP NULL_X8Y103 INDEX 6103 TILEPROP NULL_X8Y103 INT_TILE_X 1 TILEPROP NULL_X8Y103 INT_TILE_Y 50 TILEPROP NULL_X8Y103 IS_CENTER_TILE 0 TILEPROP NULL_X8Y103 IS_DCM_TILE 0 TILEPROP NULL_X8Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y103 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y103 NAME NULL_X8Y103 TILEPROP NULL_X8Y103 NUM_ARCS 0 TILEPROP NULL_X8Y103 NUM_SITES 0 TILEPROP NULL_X8Y103 ROW 53 TILEPROP NULL_X8Y103 SLR_REGION_ID 0 TILEPROP NULL_X8Y103 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y103 TILE_TYPE NULL TILEPROP NULL_X8Y103 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y103 TILE_X -91222 TILEPROP NULL_X8Y103 TILE_Y 77824 TILEPROP NULL_X8Y103 TYPE NULL TILEPROP NULL_X8Y105 CLASS tile TILEPROP NULL_X8Y105 COLUMN 8 TILEPROP NULL_X8Y105 DEVICE_ID 0 TILEPROP NULL_X8Y105 FIRST_SITE_ID 4993 TILEPROP NULL_X8Y105 GRID_POINT_X 8 TILEPROP NULL_X8Y105 GRID_POINT_Y 51 TILEPROP NULL_X8Y105 INDEX 5873 TILEPROP NULL_X8Y105 INT_TILE_X 1 TILEPROP NULL_X8Y105 INT_TILE_Y 49 TILEPROP NULL_X8Y105 IS_CENTER_TILE 0 TILEPROP NULL_X8Y105 IS_DCM_TILE 0 TILEPROP NULL_X8Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y105 NAME NULL_X8Y105 TILEPROP NULL_X8Y105 NUM_ARCS 0 TILEPROP NULL_X8Y105 NUM_SITES 0 TILEPROP NULL_X8Y105 ROW 51 TILEPROP NULL_X8Y105 SLR_REGION_ID 0 TILEPROP NULL_X8Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y105 TILE_TYPE NULL TILEPROP NULL_X8Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y105 TILE_X -91222 TILEPROP NULL_X8Y105 TILE_Y 81272 TILEPROP NULL_X8Y105 TYPE NULL TILEPROP NULL_X8Y106 CLASS tile TILEPROP NULL_X8Y106 COLUMN 8 TILEPROP NULL_X8Y106 DEVICE_ID 0 TILEPROP NULL_X8Y106 FIRST_SITE_ID 4901 TILEPROP NULL_X8Y106 GRID_POINT_X 8 TILEPROP NULL_X8Y106 GRID_POINT_Y 50 TILEPROP NULL_X8Y106 INDEX 5758 TILEPROP NULL_X8Y106 INT_TILE_X 1 TILEPROP NULL_X8Y106 INT_TILE_Y 48 TILEPROP NULL_X8Y106 IS_CENTER_TILE 0 TILEPROP NULL_X8Y106 IS_DCM_TILE 0 TILEPROP NULL_X8Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y106 NAME NULL_X8Y106 TILEPROP NULL_X8Y106 NUM_ARCS 0 TILEPROP NULL_X8Y106 NUM_SITES 0 TILEPROP NULL_X8Y106 ROW 50 TILEPROP NULL_X8Y106 SLR_REGION_ID 0 TILEPROP NULL_X8Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y106 TILE_TYPE NULL TILEPROP NULL_X8Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y106 TILE_X -91222 TILEPROP NULL_X8Y106 TILE_Y 84472 TILEPROP NULL_X8Y106 TYPE NULL TILEPROP NULL_X8Y107 CLASS tile TILEPROP NULL_X8Y107 COLUMN 8 TILEPROP NULL_X8Y107 DEVICE_ID 0 TILEPROP NULL_X8Y107 FIRST_SITE_ID 4805 TILEPROP NULL_X8Y107 GRID_POINT_X 8 TILEPROP NULL_X8Y107 GRID_POINT_Y 49 TILEPROP NULL_X8Y107 INDEX 5643 TILEPROP NULL_X8Y107 INT_TILE_X 1 TILEPROP NULL_X8Y107 INT_TILE_Y 47 TILEPROP NULL_X8Y107 IS_CENTER_TILE 0 TILEPROP NULL_X8Y107 IS_DCM_TILE 0 TILEPROP NULL_X8Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y107 NAME NULL_X8Y107 TILEPROP NULL_X8Y107 NUM_ARCS 0 TILEPROP NULL_X8Y107 NUM_SITES 0 TILEPROP NULL_X8Y107 ROW 49 TILEPROP NULL_X8Y107 SLR_REGION_ID 0 TILEPROP NULL_X8Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y107 TILE_TYPE NULL TILEPROP NULL_X8Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y107 TILE_X -91222 TILEPROP NULL_X8Y107 TILE_Y 87672 TILEPROP NULL_X8Y107 TYPE NULL TILEPROP NULL_X8Y108 CLASS tile TILEPROP NULL_X8Y108 COLUMN 8 TILEPROP NULL_X8Y108 DEVICE_ID 0 TILEPROP NULL_X8Y108 FIRST_SITE_ID 4717 TILEPROP NULL_X8Y108 GRID_POINT_X 8 TILEPROP NULL_X8Y108 GRID_POINT_Y 48 TILEPROP NULL_X8Y108 INDEX 5528 TILEPROP NULL_X8Y108 INT_TILE_X 1 TILEPROP NULL_X8Y108 INT_TILE_Y 46 TILEPROP NULL_X8Y108 IS_CENTER_TILE 0 TILEPROP NULL_X8Y108 IS_DCM_TILE 0 TILEPROP NULL_X8Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y108 NAME NULL_X8Y108 TILEPROP NULL_X8Y108 NUM_ARCS 0 TILEPROP NULL_X8Y108 NUM_SITES 0 TILEPROP NULL_X8Y108 ROW 48 TILEPROP NULL_X8Y108 SLR_REGION_ID 0 TILEPROP NULL_X8Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y108 TILE_TYPE NULL TILEPROP NULL_X8Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y108 TILE_X -91222 TILEPROP NULL_X8Y108 TILE_Y 90872 TILEPROP NULL_X8Y108 TYPE NULL TILEPROP NULL_X8Y109 CLASS tile TILEPROP NULL_X8Y109 COLUMN 8 TILEPROP NULL_X8Y109 DEVICE_ID 0 TILEPROP NULL_X8Y109 FIRST_SITE_ID 4621 TILEPROP NULL_X8Y109 GRID_POINT_X 8 TILEPROP NULL_X8Y109 GRID_POINT_Y 47 TILEPROP NULL_X8Y109 INDEX 5413 TILEPROP NULL_X8Y109 INT_TILE_X 1 TILEPROP NULL_X8Y109 INT_TILE_Y 45 TILEPROP NULL_X8Y109 IS_CENTER_TILE 0 TILEPROP NULL_X8Y109 IS_DCM_TILE 0 TILEPROP NULL_X8Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y109 NAME NULL_X8Y109 TILEPROP NULL_X8Y109 NUM_ARCS 0 TILEPROP NULL_X8Y109 NUM_SITES 0 TILEPROP NULL_X8Y109 ROW 47 TILEPROP NULL_X8Y109 SLR_REGION_ID 0 TILEPROP NULL_X8Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y109 TILE_TYPE NULL TILEPROP NULL_X8Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y109 TILE_X -91222 TILEPROP NULL_X8Y109 TILE_Y 94072 TILEPROP NULL_X8Y109 TYPE NULL TILEPROP NULL_X8Y110 CLASS tile TILEPROP NULL_X8Y110 COLUMN 8 TILEPROP NULL_X8Y110 DEVICE_ID 0 TILEPROP NULL_X8Y110 FIRST_SITE_ID 4519 TILEPROP NULL_X8Y110 GRID_POINT_X 8 TILEPROP NULL_X8Y110 GRID_POINT_Y 46 TILEPROP NULL_X8Y110 INDEX 5298 TILEPROP NULL_X8Y110 INT_TILE_X 1 TILEPROP NULL_X8Y110 INT_TILE_Y 44 TILEPROP NULL_X8Y110 IS_CENTER_TILE 0 TILEPROP NULL_X8Y110 IS_DCM_TILE 0 TILEPROP NULL_X8Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y110 NAME NULL_X8Y110 TILEPROP NULL_X8Y110 NUM_ARCS 0 TILEPROP NULL_X8Y110 NUM_SITES 0 TILEPROP NULL_X8Y110 ROW 46 TILEPROP NULL_X8Y110 SLR_REGION_ID 0 TILEPROP NULL_X8Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y110 TILE_TYPE NULL TILEPROP NULL_X8Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y110 TILE_X -91222 TILEPROP NULL_X8Y110 TILE_Y 97272 TILEPROP NULL_X8Y110 TYPE NULL TILEPROP NULL_X8Y111 CLASS tile TILEPROP NULL_X8Y111 COLUMN 8 TILEPROP NULL_X8Y111 DEVICE_ID 0 TILEPROP NULL_X8Y111 FIRST_SITE_ID 4423 TILEPROP NULL_X8Y111 GRID_POINT_X 8 TILEPROP NULL_X8Y111 GRID_POINT_Y 45 TILEPROP NULL_X8Y111 INDEX 5183 TILEPROP NULL_X8Y111 INT_TILE_X 1 TILEPROP NULL_X8Y111 INT_TILE_Y 43 TILEPROP NULL_X8Y111 IS_CENTER_TILE 0 TILEPROP NULL_X8Y111 IS_DCM_TILE 0 TILEPROP NULL_X8Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y111 NAME NULL_X8Y111 TILEPROP NULL_X8Y111 NUM_ARCS 0 TILEPROP NULL_X8Y111 NUM_SITES 0 TILEPROP NULL_X8Y111 ROW 45 TILEPROP NULL_X8Y111 SLR_REGION_ID 0 TILEPROP NULL_X8Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y111 TILE_TYPE NULL TILEPROP NULL_X8Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y111 TILE_X -91222 TILEPROP NULL_X8Y111 TILE_Y 100472 TILEPROP NULL_X8Y111 TYPE NULL TILEPROP NULL_X8Y112 CLASS tile TILEPROP NULL_X8Y112 COLUMN 8 TILEPROP NULL_X8Y112 DEVICE_ID 0 TILEPROP NULL_X8Y112 FIRST_SITE_ID 4335 TILEPROP NULL_X8Y112 GRID_POINT_X 8 TILEPROP NULL_X8Y112 GRID_POINT_Y 44 TILEPROP NULL_X8Y112 INDEX 5068 TILEPROP NULL_X8Y112 INT_TILE_X 1 TILEPROP NULL_X8Y112 INT_TILE_Y 42 TILEPROP NULL_X8Y112 IS_CENTER_TILE 0 TILEPROP NULL_X8Y112 IS_DCM_TILE 0 TILEPROP NULL_X8Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y112 NAME NULL_X8Y112 TILEPROP NULL_X8Y112 NUM_ARCS 0 TILEPROP NULL_X8Y112 NUM_SITES 0 TILEPROP NULL_X8Y112 ROW 44 TILEPROP NULL_X8Y112 SLR_REGION_ID 0 TILEPROP NULL_X8Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y112 TILE_TYPE NULL TILEPROP NULL_X8Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y112 TILE_X -91222 TILEPROP NULL_X8Y112 TILE_Y 103672 TILEPROP NULL_X8Y112 TYPE NULL TILEPROP NULL_X8Y114 CLASS tile TILEPROP NULL_X8Y114 COLUMN 8 TILEPROP NULL_X8Y114 DEVICE_ID 0 TILEPROP NULL_X8Y114 FIRST_SITE_ID 4148 TILEPROP NULL_X8Y114 GRID_POINT_X 8 TILEPROP NULL_X8Y114 GRID_POINT_Y 42 TILEPROP NULL_X8Y114 INDEX 4838 TILEPROP NULL_X8Y114 INT_TILE_X 1 TILEPROP NULL_X8Y114 INT_TILE_Y 40 TILEPROP NULL_X8Y114 IS_CENTER_TILE 0 TILEPROP NULL_X8Y114 IS_DCM_TILE 0 TILEPROP NULL_X8Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y114 NAME NULL_X8Y114 TILEPROP NULL_X8Y114 NUM_ARCS 0 TILEPROP NULL_X8Y114 NUM_SITES 0 TILEPROP NULL_X8Y114 ROW 42 TILEPROP NULL_X8Y114 SLR_REGION_ID 0 TILEPROP NULL_X8Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y114 TILE_TYPE NULL TILEPROP NULL_X8Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y114 TILE_X -91222 TILEPROP NULL_X8Y114 TILE_Y 110072 TILEPROP NULL_X8Y114 TYPE NULL TILEPROP NULL_X8Y115 CLASS tile TILEPROP NULL_X8Y115 COLUMN 8 TILEPROP NULL_X8Y115 DEVICE_ID 0 TILEPROP NULL_X8Y115 FIRST_SITE_ID 4042 TILEPROP NULL_X8Y115 GRID_POINT_X 8 TILEPROP NULL_X8Y115 GRID_POINT_Y 41 TILEPROP NULL_X8Y115 INDEX 4723 TILEPROP NULL_X8Y115 INT_TILE_X 1 TILEPROP NULL_X8Y115 INT_TILE_Y 39 TILEPROP NULL_X8Y115 IS_CENTER_TILE 0 TILEPROP NULL_X8Y115 IS_DCM_TILE 0 TILEPROP NULL_X8Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y115 NAME NULL_X8Y115 TILEPROP NULL_X8Y115 NUM_ARCS 0 TILEPROP NULL_X8Y115 NUM_SITES 0 TILEPROP NULL_X8Y115 ROW 41 TILEPROP NULL_X8Y115 SLR_REGION_ID 0 TILEPROP NULL_X8Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y115 TILE_TYPE NULL TILEPROP NULL_X8Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y115 TILE_X -91222 TILEPROP NULL_X8Y115 TILE_Y 113272 TILEPROP NULL_X8Y115 TYPE NULL TILEPROP NULL_X8Y116 CLASS tile TILEPROP NULL_X8Y116 COLUMN 8 TILEPROP NULL_X8Y116 DEVICE_ID 0 TILEPROP NULL_X8Y116 FIRST_SITE_ID 3954 TILEPROP NULL_X8Y116 GRID_POINT_X 8 TILEPROP NULL_X8Y116 GRID_POINT_Y 40 TILEPROP NULL_X8Y116 INDEX 4608 TILEPROP NULL_X8Y116 INT_TILE_X 1 TILEPROP NULL_X8Y116 INT_TILE_Y 38 TILEPROP NULL_X8Y116 IS_CENTER_TILE 0 TILEPROP NULL_X8Y116 IS_DCM_TILE 0 TILEPROP NULL_X8Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y116 NAME NULL_X8Y116 TILEPROP NULL_X8Y116 NUM_ARCS 0 TILEPROP NULL_X8Y116 NUM_SITES 0 TILEPROP NULL_X8Y116 ROW 40 TILEPROP NULL_X8Y116 SLR_REGION_ID 0 TILEPROP NULL_X8Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y116 TILE_TYPE NULL TILEPROP NULL_X8Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y116 TILE_X -91222 TILEPROP NULL_X8Y116 TILE_Y 116472 TILEPROP NULL_X8Y116 TYPE NULL TILEPROP NULL_X8Y117 CLASS tile TILEPROP NULL_X8Y117 COLUMN 8 TILEPROP NULL_X8Y117 DEVICE_ID 0 TILEPROP NULL_X8Y117 FIRST_SITE_ID 3826 TILEPROP NULL_X8Y117 GRID_POINT_X 8 TILEPROP NULL_X8Y117 GRID_POINT_Y 39 TILEPROP NULL_X8Y117 INDEX 4493 TILEPROP NULL_X8Y117 INT_TILE_X 1 TILEPROP NULL_X8Y117 INT_TILE_Y 37 TILEPROP NULL_X8Y117 IS_CENTER_TILE 0 TILEPROP NULL_X8Y117 IS_DCM_TILE 0 TILEPROP NULL_X8Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y117 NAME NULL_X8Y117 TILEPROP NULL_X8Y117 NUM_ARCS 0 TILEPROP NULL_X8Y117 NUM_SITES 0 TILEPROP NULL_X8Y117 ROW 39 TILEPROP NULL_X8Y117 SLR_REGION_ID 0 TILEPROP NULL_X8Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y117 TILE_TYPE NULL TILEPROP NULL_X8Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y117 TILE_X -91222 TILEPROP NULL_X8Y117 TILE_Y 119672 TILEPROP NULL_X8Y117 TYPE NULL TILEPROP NULL_X8Y118 CLASS tile TILEPROP NULL_X8Y118 COLUMN 8 TILEPROP NULL_X8Y118 DEVICE_ID 0 TILEPROP NULL_X8Y118 FIRST_SITE_ID 3738 TILEPROP NULL_X8Y118 GRID_POINT_X 8 TILEPROP NULL_X8Y118 GRID_POINT_Y 38 TILEPROP NULL_X8Y118 INDEX 4378 TILEPROP NULL_X8Y118 INT_TILE_X 1 TILEPROP NULL_X8Y118 INT_TILE_Y 36 TILEPROP NULL_X8Y118 IS_CENTER_TILE 0 TILEPROP NULL_X8Y118 IS_DCM_TILE 0 TILEPROP NULL_X8Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y118 NAME NULL_X8Y118 TILEPROP NULL_X8Y118 NUM_ARCS 0 TILEPROP NULL_X8Y118 NUM_SITES 0 TILEPROP NULL_X8Y118 ROW 38 TILEPROP NULL_X8Y118 SLR_REGION_ID 0 TILEPROP NULL_X8Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y118 TILE_TYPE NULL TILEPROP NULL_X8Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y118 TILE_X -91222 TILEPROP NULL_X8Y118 TILE_Y 122872 TILEPROP NULL_X8Y118 TYPE NULL TILEPROP NULL_X8Y119 CLASS tile TILEPROP NULL_X8Y119 COLUMN 8 TILEPROP NULL_X8Y119 DEVICE_ID 0 TILEPROP NULL_X8Y119 FIRST_SITE_ID 3642 TILEPROP NULL_X8Y119 GRID_POINT_X 8 TILEPROP NULL_X8Y119 GRID_POINT_Y 37 TILEPROP NULL_X8Y119 INDEX 4263 TILEPROP NULL_X8Y119 INT_TILE_X 1 TILEPROP NULL_X8Y119 INT_TILE_Y 35 TILEPROP NULL_X8Y119 IS_CENTER_TILE 0 TILEPROP NULL_X8Y119 IS_DCM_TILE 0 TILEPROP NULL_X8Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y119 NAME NULL_X8Y119 TILEPROP NULL_X8Y119 NUM_ARCS 0 TILEPROP NULL_X8Y119 NUM_SITES 0 TILEPROP NULL_X8Y119 ROW 37 TILEPROP NULL_X8Y119 SLR_REGION_ID 0 TILEPROP NULL_X8Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y119 TILE_TYPE NULL TILEPROP NULL_X8Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y119 TILE_X -91222 TILEPROP NULL_X8Y119 TILE_Y 126072 TILEPROP NULL_X8Y119 TYPE NULL TILEPROP NULL_X8Y120 CLASS tile TILEPROP NULL_X8Y120 COLUMN 8 TILEPROP NULL_X8Y120 DEVICE_ID 0 TILEPROP NULL_X8Y120 FIRST_SITE_ID 3545 TILEPROP NULL_X8Y120 GRID_POINT_X 8 TILEPROP NULL_X8Y120 GRID_POINT_Y 36 TILEPROP NULL_X8Y120 INDEX 4148 TILEPROP NULL_X8Y120 INT_TILE_X 1 TILEPROP NULL_X8Y120 INT_TILE_Y 34 TILEPROP NULL_X8Y120 IS_CENTER_TILE 0 TILEPROP NULL_X8Y120 IS_DCM_TILE 0 TILEPROP NULL_X8Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y120 NAME NULL_X8Y120 TILEPROP NULL_X8Y120 NUM_ARCS 0 TILEPROP NULL_X8Y120 NUM_SITES 0 TILEPROP NULL_X8Y120 ROW 36 TILEPROP NULL_X8Y120 SLR_REGION_ID 0 TILEPROP NULL_X8Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y120 TILE_TYPE NULL TILEPROP NULL_X8Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y120 TILE_X -91222 TILEPROP NULL_X8Y120 TILE_Y 129272 TILEPROP NULL_X8Y120 TYPE NULL TILEPROP NULL_X8Y121 CLASS tile TILEPROP NULL_X8Y121 COLUMN 8 TILEPROP NULL_X8Y121 DEVICE_ID 0 TILEPROP NULL_X8Y121 FIRST_SITE_ID 3444 TILEPROP NULL_X8Y121 GRID_POINT_X 8 TILEPROP NULL_X8Y121 GRID_POINT_Y 35 TILEPROP NULL_X8Y121 INDEX 4033 TILEPROP NULL_X8Y121 INT_TILE_X 1 TILEPROP NULL_X8Y121 INT_TILE_Y 33 TILEPROP NULL_X8Y121 IS_CENTER_TILE 0 TILEPROP NULL_X8Y121 IS_DCM_TILE 0 TILEPROP NULL_X8Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y121 NAME NULL_X8Y121 TILEPROP NULL_X8Y121 NUM_ARCS 0 TILEPROP NULL_X8Y121 NUM_SITES 0 TILEPROP NULL_X8Y121 ROW 35 TILEPROP NULL_X8Y121 SLR_REGION_ID 0 TILEPROP NULL_X8Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y121 TILE_TYPE NULL TILEPROP NULL_X8Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y121 TILE_X -91222 TILEPROP NULL_X8Y121 TILE_Y 132472 TILEPROP NULL_X8Y121 TYPE NULL TILEPROP NULL_X8Y123 CLASS tile TILEPROP NULL_X8Y123 COLUMN 8 TILEPROP NULL_X8Y123 DEVICE_ID 0 TILEPROP NULL_X8Y123 FIRST_SITE_ID 3256 TILEPROP NULL_X8Y123 GRID_POINT_X 8 TILEPROP NULL_X8Y123 GRID_POINT_Y 33 TILEPROP NULL_X8Y123 INDEX 3803 TILEPROP NULL_X8Y123 INT_TILE_X 1 TILEPROP NULL_X8Y123 INT_TILE_Y 31 TILEPROP NULL_X8Y123 IS_CENTER_TILE 0 TILEPROP NULL_X8Y123 IS_DCM_TILE 0 TILEPROP NULL_X8Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y123 NAME NULL_X8Y123 TILEPROP NULL_X8Y123 NUM_ARCS 0 TILEPROP NULL_X8Y123 NUM_SITES 0 TILEPROP NULL_X8Y123 ROW 33 TILEPROP NULL_X8Y123 SLR_REGION_ID 0 TILEPROP NULL_X8Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y123 TILE_TYPE NULL TILEPROP NULL_X8Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y123 TILE_X -91222 TILEPROP NULL_X8Y123 TILE_Y 138872 TILEPROP NULL_X8Y123 TYPE NULL TILEPROP NULL_X8Y124 CLASS tile TILEPROP NULL_X8Y124 COLUMN 8 TILEPROP NULL_X8Y124 DEVICE_ID 0 TILEPROP NULL_X8Y124 FIRST_SITE_ID 3168 TILEPROP NULL_X8Y124 GRID_POINT_X 8 TILEPROP NULL_X8Y124 GRID_POINT_Y 32 TILEPROP NULL_X8Y124 INDEX 3688 TILEPROP NULL_X8Y124 INT_TILE_X 1 TILEPROP NULL_X8Y124 INT_TILE_Y 30 TILEPROP NULL_X8Y124 IS_CENTER_TILE 0 TILEPROP NULL_X8Y124 IS_DCM_TILE 0 TILEPROP NULL_X8Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y124 NAME NULL_X8Y124 TILEPROP NULL_X8Y124 NUM_ARCS 0 TILEPROP NULL_X8Y124 NUM_SITES 0 TILEPROP NULL_X8Y124 ROW 32 TILEPROP NULL_X8Y124 SLR_REGION_ID 0 TILEPROP NULL_X8Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y124 TILE_TYPE NULL TILEPROP NULL_X8Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y124 TILE_X -91222 TILEPROP NULL_X8Y124 TILE_Y 142072 TILEPROP NULL_X8Y124 TYPE NULL TILEPROP NULL_X8Y125 CLASS tile TILEPROP NULL_X8Y125 COLUMN 8 TILEPROP NULL_X8Y125 DEVICE_ID 0 TILEPROP NULL_X8Y125 FIRST_SITE_ID 3061 TILEPROP NULL_X8Y125 GRID_POINT_X 8 TILEPROP NULL_X8Y125 GRID_POINT_Y 31 TILEPROP NULL_X8Y125 INDEX 3573 TILEPROP NULL_X8Y125 INT_TILE_X 1 TILEPROP NULL_X8Y125 INT_TILE_Y 29 TILEPROP NULL_X8Y125 IS_CENTER_TILE 0 TILEPROP NULL_X8Y125 IS_DCM_TILE 0 TILEPROP NULL_X8Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y125 NAME NULL_X8Y125 TILEPROP NULL_X8Y125 NUM_ARCS 0 TILEPROP NULL_X8Y125 NUM_SITES 0 TILEPROP NULL_X8Y125 ROW 31 TILEPROP NULL_X8Y125 SLR_REGION_ID 0 TILEPROP NULL_X8Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y125 TILE_TYPE NULL TILEPROP NULL_X8Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y125 TILE_X -91222 TILEPROP NULL_X8Y125 TILE_Y 145272 TILEPROP NULL_X8Y125 TYPE NULL TILEPROP NULL_X8Y126 CLASS tile TILEPROP NULL_X8Y126 COLUMN 8 TILEPROP NULL_X8Y126 DEVICE_ID 0 TILEPROP NULL_X8Y126 FIRST_SITE_ID 2973 TILEPROP NULL_X8Y126 GRID_POINT_X 8 TILEPROP NULL_X8Y126 GRID_POINT_Y 30 TILEPROP NULL_X8Y126 INDEX 3458 TILEPROP NULL_X8Y126 INT_TILE_X 1 TILEPROP NULL_X8Y126 INT_TILE_Y 28 TILEPROP NULL_X8Y126 IS_CENTER_TILE 0 TILEPROP NULL_X8Y126 IS_DCM_TILE 0 TILEPROP NULL_X8Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y126 NAME NULL_X8Y126 TILEPROP NULL_X8Y126 NUM_ARCS 0 TILEPROP NULL_X8Y126 NUM_SITES 0 TILEPROP NULL_X8Y126 ROW 30 TILEPROP NULL_X8Y126 SLR_REGION_ID 0 TILEPROP NULL_X8Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y126 TILE_TYPE NULL TILEPROP NULL_X8Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y126 TILE_X -91222 TILEPROP NULL_X8Y126 TILE_Y 148472 TILEPROP NULL_X8Y126 TYPE NULL TILEPROP NULL_X8Y127 CLASS tile TILEPROP NULL_X8Y127 COLUMN 8 TILEPROP NULL_X8Y127 DEVICE_ID 0 TILEPROP NULL_X8Y127 FIRST_SITE_ID 2870 TILEPROP NULL_X8Y127 GRID_POINT_X 8 TILEPROP NULL_X8Y127 GRID_POINT_Y 29 TILEPROP NULL_X8Y127 INDEX 3343 TILEPROP NULL_X8Y127 INT_TILE_X 1 TILEPROP NULL_X8Y127 INT_TILE_Y 27 TILEPROP NULL_X8Y127 IS_CENTER_TILE 0 TILEPROP NULL_X8Y127 IS_DCM_TILE 0 TILEPROP NULL_X8Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y127 NAME NULL_X8Y127 TILEPROP NULL_X8Y127 NUM_ARCS 0 TILEPROP NULL_X8Y127 NUM_SITES 0 TILEPROP NULL_X8Y127 ROW 29 TILEPROP NULL_X8Y127 SLR_REGION_ID 0 TILEPROP NULL_X8Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y127 TILE_TYPE NULL TILEPROP NULL_X8Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y127 TILE_X -91222 TILEPROP NULL_X8Y127 TILE_Y 151672 TILEPROP NULL_X8Y127 TYPE NULL TILEPROP NULL_X8Y128 CLASS tile TILEPROP NULL_X8Y128 COLUMN 8 TILEPROP NULL_X8Y128 DEVICE_ID 0 TILEPROP NULL_X8Y128 FIRST_SITE_ID 2782 TILEPROP NULL_X8Y128 GRID_POINT_X 8 TILEPROP NULL_X8Y128 GRID_POINT_Y 28 TILEPROP NULL_X8Y128 INDEX 3228 TILEPROP NULL_X8Y128 INT_TILE_X 1 TILEPROP NULL_X8Y128 INT_TILE_Y 26 TILEPROP NULL_X8Y128 IS_CENTER_TILE 0 TILEPROP NULL_X8Y128 IS_DCM_TILE 0 TILEPROP NULL_X8Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y128 NAME NULL_X8Y128 TILEPROP NULL_X8Y128 NUM_ARCS 0 TILEPROP NULL_X8Y128 NUM_SITES 0 TILEPROP NULL_X8Y128 ROW 28 TILEPROP NULL_X8Y128 SLR_REGION_ID 0 TILEPROP NULL_X8Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y128 TILE_TYPE NULL TILEPROP NULL_X8Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y128 TILE_X -91222 TILEPROP NULL_X8Y128 TILE_Y 154872 TILEPROP NULL_X8Y128 TYPE NULL TILEPROP NULL_X8Y129 CLASS tile TILEPROP NULL_X8Y129 COLUMN 8 TILEPROP NULL_X8Y129 DEVICE_ID 0 TILEPROP NULL_X8Y129 FIRST_SITE_ID 2686 TILEPROP NULL_X8Y129 GRID_POINT_X 8 TILEPROP NULL_X8Y129 GRID_POINT_Y 27 TILEPROP NULL_X8Y129 INDEX 3113 TILEPROP NULL_X8Y129 INT_TILE_X 1 TILEPROP NULL_X8Y129 INT_TILE_Y 25 TILEPROP NULL_X8Y129 IS_CENTER_TILE 0 TILEPROP NULL_X8Y129 IS_DCM_TILE 0 TILEPROP NULL_X8Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y129 NAME NULL_X8Y129 TILEPROP NULL_X8Y129 NUM_ARCS 0 TILEPROP NULL_X8Y129 NUM_SITES 0 TILEPROP NULL_X8Y129 ROW 27 TILEPROP NULL_X8Y129 SLR_REGION_ID 0 TILEPROP NULL_X8Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y129 TILE_TYPE NULL TILEPROP NULL_X8Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y129 TILE_X -91222 TILEPROP NULL_X8Y129 TILE_Y 158072 TILEPROP NULL_X8Y129 TYPE NULL TILEPROP NULL_X8Y131 CLASS tile TILEPROP NULL_X8Y131 COLUMN 8 TILEPROP NULL_X8Y131 DEVICE_ID 0 TILEPROP NULL_X8Y131 FIRST_SITE_ID 2507 TILEPROP NULL_X8Y131 GRID_POINT_X 8 TILEPROP NULL_X8Y131 GRID_POINT_Y 25 TILEPROP NULL_X8Y131 INDEX 2883 TILEPROP NULL_X8Y131 INT_TILE_X 1 TILEPROP NULL_X8Y131 INT_TILE_Y 24 TILEPROP NULL_X8Y131 IS_CENTER_TILE 0 TILEPROP NULL_X8Y131 IS_DCM_TILE 0 TILEPROP NULL_X8Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y131 NAME NULL_X8Y131 TILEPROP NULL_X8Y131 NUM_ARCS 0 TILEPROP NULL_X8Y131 NUM_SITES 0 TILEPROP NULL_X8Y131 ROW 25 TILEPROP NULL_X8Y131 SLR_REGION_ID 0 TILEPROP NULL_X8Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y131 TILE_TYPE NULL TILEPROP NULL_X8Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y131 TILE_X -91222 TILEPROP NULL_X8Y131 TILE_Y 162296 TILEPROP NULL_X8Y131 TYPE NULL TILEPROP NULL_X8Y132 CLASS tile TILEPROP NULL_X8Y132 COLUMN 8 TILEPROP NULL_X8Y132 DEVICE_ID 0 TILEPROP NULL_X8Y132 FIRST_SITE_ID 2403 TILEPROP NULL_X8Y132 GRID_POINT_X 8 TILEPROP NULL_X8Y132 GRID_POINT_Y 24 TILEPROP NULL_X8Y132 INDEX 2768 TILEPROP NULL_X8Y132 INT_TILE_X 1 TILEPROP NULL_X8Y132 INT_TILE_Y 23 TILEPROP NULL_X8Y132 IS_CENTER_TILE 0 TILEPROP NULL_X8Y132 IS_DCM_TILE 0 TILEPROP NULL_X8Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y132 NAME NULL_X8Y132 TILEPROP NULL_X8Y132 NUM_ARCS 0 TILEPROP NULL_X8Y132 NUM_SITES 0 TILEPROP NULL_X8Y132 ROW 24 TILEPROP NULL_X8Y132 SLR_REGION_ID 0 TILEPROP NULL_X8Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y132 TILE_TYPE NULL TILEPROP NULL_X8Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y132 TILE_X -91222 TILEPROP NULL_X8Y132 TILE_Y 165496 TILEPROP NULL_X8Y132 TYPE NULL TILEPROP NULL_X8Y133 CLASS tile TILEPROP NULL_X8Y133 COLUMN 8 TILEPROP NULL_X8Y133 DEVICE_ID 0 TILEPROP NULL_X8Y133 FIRST_SITE_ID 2307 TILEPROP NULL_X8Y133 GRID_POINT_X 8 TILEPROP NULL_X8Y133 GRID_POINT_Y 23 TILEPROP NULL_X8Y133 INDEX 2653 TILEPROP NULL_X8Y133 INT_TILE_X 1 TILEPROP NULL_X8Y133 INT_TILE_Y 22 TILEPROP NULL_X8Y133 IS_CENTER_TILE 0 TILEPROP NULL_X8Y133 IS_DCM_TILE 0 TILEPROP NULL_X8Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y133 NAME NULL_X8Y133 TILEPROP NULL_X8Y133 NUM_ARCS 0 TILEPROP NULL_X8Y133 NUM_SITES 0 TILEPROP NULL_X8Y133 ROW 23 TILEPROP NULL_X8Y133 SLR_REGION_ID 0 TILEPROP NULL_X8Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y133 TILE_TYPE NULL TILEPROP NULL_X8Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y133 TILE_X -91222 TILEPROP NULL_X8Y133 TILE_Y 168696 TILEPROP NULL_X8Y133 TYPE NULL TILEPROP NULL_X8Y134 CLASS tile TILEPROP NULL_X8Y134 COLUMN 8 TILEPROP NULL_X8Y134 DEVICE_ID 0 TILEPROP NULL_X8Y134 FIRST_SITE_ID 2203 TILEPROP NULL_X8Y134 GRID_POINT_X 8 TILEPROP NULL_X8Y134 GRID_POINT_Y 22 TILEPROP NULL_X8Y134 INDEX 2538 TILEPROP NULL_X8Y134 INT_TILE_X 1 TILEPROP NULL_X8Y134 INT_TILE_Y 21 TILEPROP NULL_X8Y134 IS_CENTER_TILE 0 TILEPROP NULL_X8Y134 IS_DCM_TILE 0 TILEPROP NULL_X8Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y134 NAME NULL_X8Y134 TILEPROP NULL_X8Y134 NUM_ARCS 0 TILEPROP NULL_X8Y134 NUM_SITES 0 TILEPROP NULL_X8Y134 ROW 22 TILEPROP NULL_X8Y134 SLR_REGION_ID 0 TILEPROP NULL_X8Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y134 TILE_TYPE NULL TILEPROP NULL_X8Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y134 TILE_X -91222 TILEPROP NULL_X8Y134 TILE_Y 171896 TILEPROP NULL_X8Y134 TYPE NULL TILEPROP NULL_X8Y136 CLASS tile TILEPROP NULL_X8Y136 COLUMN 8 TILEPROP NULL_X8Y136 DEVICE_ID 0 TILEPROP NULL_X8Y136 FIRST_SITE_ID 1985 TILEPROP NULL_X8Y136 GRID_POINT_X 8 TILEPROP NULL_X8Y136 GRID_POINT_Y 20 TILEPROP NULL_X8Y136 INDEX 2308 TILEPROP NULL_X8Y136 INT_TILE_X 1 TILEPROP NULL_X8Y136 INT_TILE_Y 19 TILEPROP NULL_X8Y136 IS_CENTER_TILE 0 TILEPROP NULL_X8Y136 IS_DCM_TILE 0 TILEPROP NULL_X8Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y136 NAME NULL_X8Y136 TILEPROP NULL_X8Y136 NUM_ARCS 0 TILEPROP NULL_X8Y136 NUM_SITES 0 TILEPROP NULL_X8Y136 ROW 20 TILEPROP NULL_X8Y136 SLR_REGION_ID 0 TILEPROP NULL_X8Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y136 TILE_TYPE NULL TILEPROP NULL_X8Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y136 TILE_X -91222 TILEPROP NULL_X8Y136 TILE_Y 178296 TILEPROP NULL_X8Y136 TYPE NULL TILEPROP NULL_X8Y137 CLASS tile TILEPROP NULL_X8Y137 COLUMN 8 TILEPROP NULL_X8Y137 DEVICE_ID 0 TILEPROP NULL_X8Y137 FIRST_SITE_ID 1889 TILEPROP NULL_X8Y137 GRID_POINT_X 8 TILEPROP NULL_X8Y137 GRID_POINT_Y 19 TILEPROP NULL_X8Y137 INDEX 2193 TILEPROP NULL_X8Y137 INT_TILE_X 1 TILEPROP NULL_X8Y137 INT_TILE_Y 18 TILEPROP NULL_X8Y137 IS_CENTER_TILE 0 TILEPROP NULL_X8Y137 IS_DCM_TILE 0 TILEPROP NULL_X8Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y137 NAME NULL_X8Y137 TILEPROP NULL_X8Y137 NUM_ARCS 0 TILEPROP NULL_X8Y137 NUM_SITES 0 TILEPROP NULL_X8Y137 ROW 19 TILEPROP NULL_X8Y137 SLR_REGION_ID 0 TILEPROP NULL_X8Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y137 TILE_TYPE NULL TILEPROP NULL_X8Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y137 TILE_X -91222 TILEPROP NULL_X8Y137 TILE_Y 181496 TILEPROP NULL_X8Y137 TYPE NULL TILEPROP NULL_X8Y138 CLASS tile TILEPROP NULL_X8Y138 COLUMN 8 TILEPROP NULL_X8Y138 DEVICE_ID 0 TILEPROP NULL_X8Y138 FIRST_SITE_ID 1783 TILEPROP NULL_X8Y138 GRID_POINT_X 8 TILEPROP NULL_X8Y138 GRID_POINT_Y 18 TILEPROP NULL_X8Y138 INDEX 2078 TILEPROP NULL_X8Y138 INT_TILE_X 1 TILEPROP NULL_X8Y138 INT_TILE_Y 17 TILEPROP NULL_X8Y138 IS_CENTER_TILE 0 TILEPROP NULL_X8Y138 IS_DCM_TILE 0 TILEPROP NULL_X8Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y138 NAME NULL_X8Y138 TILEPROP NULL_X8Y138 NUM_ARCS 0 TILEPROP NULL_X8Y138 NUM_SITES 0 TILEPROP NULL_X8Y138 ROW 18 TILEPROP NULL_X8Y138 SLR_REGION_ID 0 TILEPROP NULL_X8Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y138 TILE_TYPE NULL TILEPROP NULL_X8Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y138 TILE_X -91222 TILEPROP NULL_X8Y138 TILE_Y 184696 TILEPROP NULL_X8Y138 TYPE NULL TILEPROP NULL_X8Y139 CLASS tile TILEPROP NULL_X8Y139 COLUMN 8 TILEPROP NULL_X8Y139 DEVICE_ID 0 TILEPROP NULL_X8Y139 FIRST_SITE_ID 1682 TILEPROP NULL_X8Y139 GRID_POINT_X 8 TILEPROP NULL_X8Y139 GRID_POINT_Y 17 TILEPROP NULL_X8Y139 INDEX 1963 TILEPROP NULL_X8Y139 INT_TILE_X 1 TILEPROP NULL_X8Y139 INT_TILE_Y 16 TILEPROP NULL_X8Y139 IS_CENTER_TILE 0 TILEPROP NULL_X8Y139 IS_DCM_TILE 0 TILEPROP NULL_X8Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y139 NAME NULL_X8Y139 TILEPROP NULL_X8Y139 NUM_ARCS 0 TILEPROP NULL_X8Y139 NUM_SITES 0 TILEPROP NULL_X8Y139 ROW 17 TILEPROP NULL_X8Y139 SLR_REGION_ID 0 TILEPROP NULL_X8Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y139 TILE_TYPE NULL TILEPROP NULL_X8Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y139 TILE_X -91222 TILEPROP NULL_X8Y139 TILE_Y 187896 TILEPROP NULL_X8Y139 TYPE NULL TILEPROP NULL_X8Y140 CLASS tile TILEPROP NULL_X8Y140 COLUMN 8 TILEPROP NULL_X8Y140 DEVICE_ID 0 TILEPROP NULL_X8Y140 FIRST_SITE_ID 1578 TILEPROP NULL_X8Y140 GRID_POINT_X 8 TILEPROP NULL_X8Y140 GRID_POINT_Y 16 TILEPROP NULL_X8Y140 INDEX 1848 TILEPROP NULL_X8Y140 INT_TILE_X 1 TILEPROP NULL_X8Y140 INT_TILE_Y 15 TILEPROP NULL_X8Y140 IS_CENTER_TILE 0 TILEPROP NULL_X8Y140 IS_DCM_TILE 0 TILEPROP NULL_X8Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y140 NAME NULL_X8Y140 TILEPROP NULL_X8Y140 NUM_ARCS 0 TILEPROP NULL_X8Y140 NUM_SITES 0 TILEPROP NULL_X8Y140 ROW 16 TILEPROP NULL_X8Y140 SLR_REGION_ID 0 TILEPROP NULL_X8Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y140 TILE_TYPE NULL TILEPROP NULL_X8Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y140 TILE_X -91222 TILEPROP NULL_X8Y140 TILE_Y 191096 TILEPROP NULL_X8Y140 TYPE NULL TILEPROP NULL_X8Y141 CLASS tile TILEPROP NULL_X8Y141 COLUMN 8 TILEPROP NULL_X8Y141 DEVICE_ID 0 TILEPROP NULL_X8Y141 FIRST_SITE_ID 1470 TILEPROP NULL_X8Y141 GRID_POINT_X 8 TILEPROP NULL_X8Y141 GRID_POINT_Y 15 TILEPROP NULL_X8Y141 INDEX 1733 TILEPROP NULL_X8Y141 INT_TILE_X 1 TILEPROP NULL_X8Y141 INT_TILE_Y 14 TILEPROP NULL_X8Y141 IS_CENTER_TILE 0 TILEPROP NULL_X8Y141 IS_DCM_TILE 0 TILEPROP NULL_X8Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y141 NAME NULL_X8Y141 TILEPROP NULL_X8Y141 NUM_ARCS 0 TILEPROP NULL_X8Y141 NUM_SITES 0 TILEPROP NULL_X8Y141 ROW 15 TILEPROP NULL_X8Y141 SLR_REGION_ID 0 TILEPROP NULL_X8Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y141 TILE_TYPE NULL TILEPROP NULL_X8Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y141 TILE_X -91222 TILEPROP NULL_X8Y141 TILE_Y 194296 TILEPROP NULL_X8Y141 TYPE NULL TILEPROP NULL_X8Y142 CLASS tile TILEPROP NULL_X8Y142 COLUMN 8 TILEPROP NULL_X8Y142 DEVICE_ID 0 TILEPROP NULL_X8Y142 FIRST_SITE_ID 1334 TILEPROP NULL_X8Y142 GRID_POINT_X 8 TILEPROP NULL_X8Y142 GRID_POINT_Y 14 TILEPROP NULL_X8Y142 INDEX 1618 TILEPROP NULL_X8Y142 INT_TILE_X 1 TILEPROP NULL_X8Y142 INT_TILE_Y 13 TILEPROP NULL_X8Y142 IS_CENTER_TILE 0 TILEPROP NULL_X8Y142 IS_DCM_TILE 0 TILEPROP NULL_X8Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y142 NAME NULL_X8Y142 TILEPROP NULL_X8Y142 NUM_ARCS 0 TILEPROP NULL_X8Y142 NUM_SITES 0 TILEPROP NULL_X8Y142 ROW 14 TILEPROP NULL_X8Y142 SLR_REGION_ID 0 TILEPROP NULL_X8Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y142 TILE_TYPE NULL TILEPROP NULL_X8Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y142 TILE_X -91222 TILEPROP NULL_X8Y142 TILE_Y 197496 TILEPROP NULL_X8Y142 TYPE NULL TILEPROP NULL_X8Y143 CLASS tile TILEPROP NULL_X8Y143 COLUMN 8 TILEPROP NULL_X8Y143 DEVICE_ID 0 TILEPROP NULL_X8Y143 FIRST_SITE_ID 1238 TILEPROP NULL_X8Y143 GRID_POINT_X 8 TILEPROP NULL_X8Y143 GRID_POINT_Y 13 TILEPROP NULL_X8Y143 INDEX 1503 TILEPROP NULL_X8Y143 INT_TILE_X 1 TILEPROP NULL_X8Y143 INT_TILE_Y 12 TILEPROP NULL_X8Y143 IS_CENTER_TILE 0 TILEPROP NULL_X8Y143 IS_DCM_TILE 0 TILEPROP NULL_X8Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y143 NAME NULL_X8Y143 TILEPROP NULL_X8Y143 NUM_ARCS 0 TILEPROP NULL_X8Y143 NUM_SITES 0 TILEPROP NULL_X8Y143 ROW 13 TILEPROP NULL_X8Y143 SLR_REGION_ID 0 TILEPROP NULL_X8Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y143 TILE_TYPE NULL TILEPROP NULL_X8Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y143 TILE_X -91222 TILEPROP NULL_X8Y143 TILE_Y 200696 TILEPROP NULL_X8Y143 TYPE NULL TILEPROP NULL_X8Y144 CLASS tile TILEPROP NULL_X8Y144 COLUMN 8 TILEPROP NULL_X8Y144 DEVICE_ID 0 TILEPROP NULL_X8Y144 FIRST_SITE_ID 1134 TILEPROP NULL_X8Y144 GRID_POINT_X 8 TILEPROP NULL_X8Y144 GRID_POINT_Y 12 TILEPROP NULL_X8Y144 INDEX 1388 TILEPROP NULL_X8Y144 INT_TILE_X 1 TILEPROP NULL_X8Y144 INT_TILE_Y 11 TILEPROP NULL_X8Y144 IS_CENTER_TILE 0 TILEPROP NULL_X8Y144 IS_DCM_TILE 0 TILEPROP NULL_X8Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y144 NAME NULL_X8Y144 TILEPROP NULL_X8Y144 NUM_ARCS 0 TILEPROP NULL_X8Y144 NUM_SITES 0 TILEPROP NULL_X8Y144 ROW 12 TILEPROP NULL_X8Y144 SLR_REGION_ID 0 TILEPROP NULL_X8Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y144 TILE_TYPE NULL TILEPROP NULL_X8Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y144 TILE_X -91222 TILEPROP NULL_X8Y144 TILE_Y 203896 TILEPROP NULL_X8Y144 TYPE NULL TILEPROP NULL_X8Y145 CLASS tile TILEPROP NULL_X8Y145 COLUMN 8 TILEPROP NULL_X8Y145 DEVICE_ID 0 TILEPROP NULL_X8Y145 FIRST_SITE_ID 1038 TILEPROP NULL_X8Y145 GRID_POINT_X 8 TILEPROP NULL_X8Y145 GRID_POINT_Y 11 TILEPROP NULL_X8Y145 INDEX 1273 TILEPROP NULL_X8Y145 INT_TILE_X 1 TILEPROP NULL_X8Y145 INT_TILE_Y 10 TILEPROP NULL_X8Y145 IS_CENTER_TILE 0 TILEPROP NULL_X8Y145 IS_DCM_TILE 0 TILEPROP NULL_X8Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y145 NAME NULL_X8Y145 TILEPROP NULL_X8Y145 NUM_ARCS 0 TILEPROP NULL_X8Y145 NUM_SITES 0 TILEPROP NULL_X8Y145 ROW 11 TILEPROP NULL_X8Y145 SLR_REGION_ID 0 TILEPROP NULL_X8Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y145 TILE_TYPE NULL TILEPROP NULL_X8Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y145 TILE_X -91222 TILEPROP NULL_X8Y145 TILE_Y 207096 TILEPROP NULL_X8Y145 TYPE NULL TILEPROP NULL_X8Y146 CLASS tile TILEPROP NULL_X8Y146 COLUMN 8 TILEPROP NULL_X8Y146 DEVICE_ID 0 TILEPROP NULL_X8Y146 FIRST_SITE_ID 922 TILEPROP NULL_X8Y146 GRID_POINT_X 8 TILEPROP NULL_X8Y146 GRID_POINT_Y 10 TILEPROP NULL_X8Y146 INDEX 1158 TILEPROP NULL_X8Y146 INT_TILE_X 1 TILEPROP NULL_X8Y146 INT_TILE_Y 9 TILEPROP NULL_X8Y146 IS_CENTER_TILE 0 TILEPROP NULL_X8Y146 IS_DCM_TILE 0 TILEPROP NULL_X8Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y146 NAME NULL_X8Y146 TILEPROP NULL_X8Y146 NUM_ARCS 0 TILEPROP NULL_X8Y146 NUM_SITES 0 TILEPROP NULL_X8Y146 ROW 10 TILEPROP NULL_X8Y146 SLR_REGION_ID 0 TILEPROP NULL_X8Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y146 TILE_TYPE NULL TILEPROP NULL_X8Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y146 TILE_X -91222 TILEPROP NULL_X8Y146 TILE_Y 210296 TILEPROP NULL_X8Y146 TYPE NULL TILEPROP NULL_X8Y147 CLASS tile TILEPROP NULL_X8Y147 COLUMN 8 TILEPROP NULL_X8Y147 DEVICE_ID 0 TILEPROP NULL_X8Y147 FIRST_SITE_ID 826 TILEPROP NULL_X8Y147 GRID_POINT_X 8 TILEPROP NULL_X8Y147 GRID_POINT_Y 9 TILEPROP NULL_X8Y147 INDEX 1043 TILEPROP NULL_X8Y147 INT_TILE_X 1 TILEPROP NULL_X8Y147 INT_TILE_Y 8 TILEPROP NULL_X8Y147 IS_CENTER_TILE 0 TILEPROP NULL_X8Y147 IS_DCM_TILE 0 TILEPROP NULL_X8Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y147 NAME NULL_X8Y147 TILEPROP NULL_X8Y147 NUM_ARCS 0 TILEPROP NULL_X8Y147 NUM_SITES 0 TILEPROP NULL_X8Y147 ROW 9 TILEPROP NULL_X8Y147 SLR_REGION_ID 0 TILEPROP NULL_X8Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y147 TILE_TYPE NULL TILEPROP NULL_X8Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y147 TILE_X -91222 TILEPROP NULL_X8Y147 TILE_Y 213496 TILEPROP NULL_X8Y147 TYPE NULL TILEPROP NULL_X8Y149 CLASS tile TILEPROP NULL_X8Y149 COLUMN 8 TILEPROP NULL_X8Y149 DEVICE_ID 0 TILEPROP NULL_X8Y149 FIRST_SITE_ID 625 TILEPROP NULL_X8Y149 GRID_POINT_X 8 TILEPROP NULL_X8Y149 GRID_POINT_Y 7 TILEPROP NULL_X8Y149 INDEX 813 TILEPROP NULL_X8Y149 INT_TILE_X 1 TILEPROP NULL_X8Y149 INT_TILE_Y 6 TILEPROP NULL_X8Y149 IS_CENTER_TILE 0 TILEPROP NULL_X8Y149 IS_DCM_TILE 0 TILEPROP NULL_X8Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y149 NAME NULL_X8Y149 TILEPROP NULL_X8Y149 NUM_ARCS 0 TILEPROP NULL_X8Y149 NUM_SITES 0 TILEPROP NULL_X8Y149 ROW 7 TILEPROP NULL_X8Y149 SLR_REGION_ID 0 TILEPROP NULL_X8Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y149 TILE_TYPE NULL TILEPROP NULL_X8Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y149 TILE_X -91222 TILEPROP NULL_X8Y149 TILE_Y 219896 TILEPROP NULL_X8Y149 TYPE NULL TILEPROP NULL_X8Y150 CLASS tile TILEPROP NULL_X8Y150 COLUMN 8 TILEPROP NULL_X8Y150 DEVICE_ID 0 TILEPROP NULL_X8Y150 FIRST_SITE_ID 514 TILEPROP NULL_X8Y150 GRID_POINT_X 8 TILEPROP NULL_X8Y150 GRID_POINT_Y 6 TILEPROP NULL_X8Y150 INDEX 698 TILEPROP NULL_X8Y150 INT_TILE_X 1 TILEPROP NULL_X8Y150 INT_TILE_Y 5 TILEPROP NULL_X8Y150 IS_CENTER_TILE 0 TILEPROP NULL_X8Y150 IS_DCM_TILE 0 TILEPROP NULL_X8Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y150 NAME NULL_X8Y150 TILEPROP NULL_X8Y150 NUM_ARCS 0 TILEPROP NULL_X8Y150 NUM_SITES 0 TILEPROP NULL_X8Y150 ROW 6 TILEPROP NULL_X8Y150 SLR_REGION_ID 0 TILEPROP NULL_X8Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y150 TILE_TYPE NULL TILEPROP NULL_X8Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y150 TILE_X -91222 TILEPROP NULL_X8Y150 TILE_Y 223096 TILEPROP NULL_X8Y150 TYPE NULL TILEPROP NULL_X8Y151 CLASS tile TILEPROP NULL_X8Y151 COLUMN 8 TILEPROP NULL_X8Y151 DEVICE_ID 0 TILEPROP NULL_X8Y151 FIRST_SITE_ID 406 TILEPROP NULL_X8Y151 GRID_POINT_X 8 TILEPROP NULL_X8Y151 GRID_POINT_Y 5 TILEPROP NULL_X8Y151 INDEX 583 TILEPROP NULL_X8Y151 INT_TILE_X 1 TILEPROP NULL_X8Y151 INT_TILE_Y 4 TILEPROP NULL_X8Y151 IS_CENTER_TILE 0 TILEPROP NULL_X8Y151 IS_DCM_TILE 0 TILEPROP NULL_X8Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y151 NAME NULL_X8Y151 TILEPROP NULL_X8Y151 NUM_ARCS 0 TILEPROP NULL_X8Y151 NUM_SITES 0 TILEPROP NULL_X8Y151 ROW 5 TILEPROP NULL_X8Y151 SLR_REGION_ID 0 TILEPROP NULL_X8Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y151 TILE_TYPE NULL TILEPROP NULL_X8Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y151 TILE_X -91222 TILEPROP NULL_X8Y151 TILE_Y 226296 TILEPROP NULL_X8Y151 TYPE NULL TILEPROP NULL_X8Y152 CLASS tile TILEPROP NULL_X8Y152 COLUMN 8 TILEPROP NULL_X8Y152 DEVICE_ID 0 TILEPROP NULL_X8Y152 FIRST_SITE_ID 302 TILEPROP NULL_X8Y152 GRID_POINT_X 8 TILEPROP NULL_X8Y152 GRID_POINT_Y 4 TILEPROP NULL_X8Y152 INDEX 468 TILEPROP NULL_X8Y152 INT_TILE_X 1 TILEPROP NULL_X8Y152 INT_TILE_Y 3 TILEPROP NULL_X8Y152 IS_CENTER_TILE 0 TILEPROP NULL_X8Y152 IS_DCM_TILE 0 TILEPROP NULL_X8Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y152 NAME NULL_X8Y152 TILEPROP NULL_X8Y152 NUM_ARCS 0 TILEPROP NULL_X8Y152 NUM_SITES 0 TILEPROP NULL_X8Y152 ROW 4 TILEPROP NULL_X8Y152 SLR_REGION_ID 0 TILEPROP NULL_X8Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y152 TILE_TYPE NULL TILEPROP NULL_X8Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y152 TILE_X -91222 TILEPROP NULL_X8Y152 TILE_Y 229496 TILEPROP NULL_X8Y152 TYPE NULL TILEPROP NULL_X8Y153 CLASS tile TILEPROP NULL_X8Y153 COLUMN 8 TILEPROP NULL_X8Y153 DEVICE_ID 0 TILEPROP NULL_X8Y153 FIRST_SITE_ID 206 TILEPROP NULL_X8Y153 GRID_POINT_X 8 TILEPROP NULL_X8Y153 GRID_POINT_Y 3 TILEPROP NULL_X8Y153 INDEX 353 TILEPROP NULL_X8Y153 INT_TILE_X 1 TILEPROP NULL_X8Y153 INT_TILE_Y 2 TILEPROP NULL_X8Y153 IS_CENTER_TILE 0 TILEPROP NULL_X8Y153 IS_DCM_TILE 0 TILEPROP NULL_X8Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y153 NAME NULL_X8Y153 TILEPROP NULL_X8Y153 NUM_ARCS 0 TILEPROP NULL_X8Y153 NUM_SITES 0 TILEPROP NULL_X8Y153 ROW 3 TILEPROP NULL_X8Y153 SLR_REGION_ID 0 TILEPROP NULL_X8Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y153 TILE_TYPE NULL TILEPROP NULL_X8Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y153 TILE_X -91222 TILEPROP NULL_X8Y153 TILE_Y 232696 TILEPROP NULL_X8Y153 TYPE NULL TILEPROP NULL_X8Y154 CLASS tile TILEPROP NULL_X8Y154 COLUMN 8 TILEPROP NULL_X8Y154 DEVICE_ID 0 TILEPROP NULL_X8Y154 FIRST_SITE_ID 102 TILEPROP NULL_X8Y154 GRID_POINT_X 8 TILEPROP NULL_X8Y154 GRID_POINT_Y 2 TILEPROP NULL_X8Y154 INDEX 238 TILEPROP NULL_X8Y154 INT_TILE_X 1 TILEPROP NULL_X8Y154 INT_TILE_Y 1 TILEPROP NULL_X8Y154 IS_CENTER_TILE 0 TILEPROP NULL_X8Y154 IS_DCM_TILE 0 TILEPROP NULL_X8Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y154 NAME NULL_X8Y154 TILEPROP NULL_X8Y154 NUM_ARCS 0 TILEPROP NULL_X8Y154 NUM_SITES 0 TILEPROP NULL_X8Y154 ROW 2 TILEPROP NULL_X8Y154 SLR_REGION_ID 0 TILEPROP NULL_X8Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y154 TILE_TYPE NULL TILEPROP NULL_X8Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y154 TILE_X -91222 TILEPROP NULL_X8Y154 TILE_Y 235896 TILEPROP NULL_X8Y154 TYPE NULL TILEPROP NULL_X8Y155 CLASS tile TILEPROP NULL_X8Y155 COLUMN 8 TILEPROP NULL_X8Y155 DEVICE_ID 0 TILEPROP NULL_X8Y155 FIRST_SITE_ID 6 TILEPROP NULL_X8Y155 GRID_POINT_X 8 TILEPROP NULL_X8Y155 GRID_POINT_Y 1 TILEPROP NULL_X8Y155 INDEX 123 TILEPROP NULL_X8Y155 INT_TILE_X 1 TILEPROP NULL_X8Y155 INT_TILE_Y 0 TILEPROP NULL_X8Y155 IS_CENTER_TILE 0 TILEPROP NULL_X8Y155 IS_DCM_TILE 0 TILEPROP NULL_X8Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X8Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X8Y155 NAME NULL_X8Y155 TILEPROP NULL_X8Y155 NUM_ARCS 0 TILEPROP NULL_X8Y155 NUM_SITES 0 TILEPROP NULL_X8Y155 ROW 1 TILEPROP NULL_X8Y155 SLR_REGION_ID 0 TILEPROP NULL_X8Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X8Y155 TILE_TYPE NULL TILEPROP NULL_X8Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X8Y155 TILE_X -91222 TILEPROP NULL_X8Y155 TILE_Y 239096 TILEPROP NULL_X8Y155 TYPE NULL TILEPROP NULL_X90Y0 CLASS tile TILEPROP NULL_X90Y0 COLUMN 90 TILEPROP NULL_X90Y0 DEVICE_ID 0 TILEPROP NULL_X90Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X90Y0 GRID_POINT_X 90 TILEPROP NULL_X90Y0 GRID_POINT_Y 156 TILEPROP NULL_X90Y0 INDEX 18030 TILEPROP NULL_X90Y0 INT_TILE_X 35 TILEPROP NULL_X90Y0 INT_TILE_Y 149 TILEPROP NULL_X90Y0 IS_CENTER_TILE 0 TILEPROP NULL_X90Y0 IS_DCM_TILE 0 TILEPROP NULL_X90Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X90Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X90Y0 NAME NULL_X90Y0 TILEPROP NULL_X90Y0 NUM_ARCS 0 TILEPROP NULL_X90Y0 NUM_SITES 0 TILEPROP NULL_X90Y0 ROW 156 TILEPROP NULL_X90Y0 SLR_REGION_ID 0 TILEPROP NULL_X90Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X90Y0 TILE_TYPE NULL TILEPROP NULL_X90Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X90Y0 TILE_X 64158 TILEPROP NULL_X90Y0 TILE_Y -244472 TILEPROP NULL_X90Y0 TYPE NULL TILEPROP NULL_X90Y156 CLASS tile TILEPROP NULL_X90Y156 COLUMN 90 TILEPROP NULL_X90Y156 DEVICE_ID 0 TILEPROP NULL_X90Y156 FIRST_SITE_ID 0 TILEPROP NULL_X90Y156 GRID_POINT_X 90 TILEPROP NULL_X90Y156 GRID_POINT_Y 0 TILEPROP NULL_X90Y156 INDEX 90 TILEPROP NULL_X90Y156 INT_TILE_X -1 TILEPROP NULL_X90Y156 INT_TILE_Y -1 TILEPROP NULL_X90Y156 IS_CENTER_TILE 0 TILEPROP NULL_X90Y156 IS_DCM_TILE 0 TILEPROP NULL_X90Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X90Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X90Y156 NAME NULL_X90Y156 TILEPROP NULL_X90Y156 NUM_ARCS 0 TILEPROP NULL_X90Y156 NUM_SITES 0 TILEPROP NULL_X90Y156 ROW 0 TILEPROP NULL_X90Y156 SLR_REGION_ID 0 TILEPROP NULL_X90Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X90Y156 TILE_TYPE NULL TILEPROP NULL_X90Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X90Y156 TILE_X 64158 TILEPROP NULL_X90Y156 TILE_Y 242296 TILEPROP NULL_X90Y156 TYPE NULL TILEPROP NULL_X91Y0 CLASS tile TILEPROP NULL_X91Y0 COLUMN 91 TILEPROP NULL_X91Y0 DEVICE_ID 0 TILEPROP NULL_X91Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X91Y0 GRID_POINT_X 91 TILEPROP NULL_X91Y0 GRID_POINT_Y 156 TILEPROP NULL_X91Y0 INDEX 18031 TILEPROP NULL_X91Y0 INT_TILE_X 35 TILEPROP NULL_X91Y0 INT_TILE_Y 149 TILEPROP NULL_X91Y0 IS_CENTER_TILE 0 TILEPROP NULL_X91Y0 IS_DCM_TILE 0 TILEPROP NULL_X91Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X91Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X91Y0 NAME NULL_X91Y0 TILEPROP NULL_X91Y0 NUM_ARCS 0 TILEPROP NULL_X91Y0 NUM_SITES 0 TILEPROP NULL_X91Y0 ROW 156 TILEPROP NULL_X91Y0 SLR_REGION_ID 0 TILEPROP NULL_X91Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X91Y0 TILE_TYPE NULL TILEPROP NULL_X91Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X91Y0 TILE_X 64854 TILEPROP NULL_X91Y0 TILE_Y -244472 TILEPROP NULL_X91Y0 TYPE NULL TILEPROP NULL_X91Y156 CLASS tile TILEPROP NULL_X91Y156 COLUMN 91 TILEPROP NULL_X91Y156 DEVICE_ID 0 TILEPROP NULL_X91Y156 FIRST_SITE_ID 0 TILEPROP NULL_X91Y156 GRID_POINT_X 91 TILEPROP NULL_X91Y156 GRID_POINT_Y 0 TILEPROP NULL_X91Y156 INDEX 91 TILEPROP NULL_X91Y156 INT_TILE_X -1 TILEPROP NULL_X91Y156 INT_TILE_Y -1 TILEPROP NULL_X91Y156 IS_CENTER_TILE 0 TILEPROP NULL_X91Y156 IS_DCM_TILE 0 TILEPROP NULL_X91Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X91Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X91Y156 NAME NULL_X91Y156 TILEPROP NULL_X91Y156 NUM_ARCS 0 TILEPROP NULL_X91Y156 NUM_SITES 0 TILEPROP NULL_X91Y156 ROW 0 TILEPROP NULL_X91Y156 SLR_REGION_ID 0 TILEPROP NULL_X91Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X91Y156 TILE_TYPE NULL TILEPROP NULL_X91Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X91Y156 TILE_X 64854 TILEPROP NULL_X91Y156 TILE_Y 242296 TILEPROP NULL_X91Y156 TYPE NULL TILEPROP NULL_X94Y0 CLASS tile TILEPROP NULL_X94Y0 COLUMN 94 TILEPROP NULL_X94Y0 DEVICE_ID 0 TILEPROP NULL_X94Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X94Y0 GRID_POINT_X 94 TILEPROP NULL_X94Y0 GRID_POINT_Y 156 TILEPROP NULL_X94Y0 INDEX 18034 TILEPROP NULL_X94Y0 INT_TILE_X 37 TILEPROP NULL_X94Y0 INT_TILE_Y 149 TILEPROP NULL_X94Y0 IS_CENTER_TILE 0 TILEPROP NULL_X94Y0 IS_DCM_TILE 0 TILEPROP NULL_X94Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X94Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X94Y0 NAME NULL_X94Y0 TILEPROP NULL_X94Y0 NUM_ARCS 0 TILEPROP NULL_X94Y0 NUM_SITES 0 TILEPROP NULL_X94Y0 ROW 156 TILEPROP NULL_X94Y0 SLR_REGION_ID 0 TILEPROP NULL_X94Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X94Y0 TILE_TYPE NULL TILEPROP NULL_X94Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X94Y0 TILE_X 72462 TILEPROP NULL_X94Y0 TILE_Y -244472 TILEPROP NULL_X94Y0 TYPE NULL TILEPROP NULL_X94Y52 CLASS tile TILEPROP NULL_X94Y52 COLUMN 94 TILEPROP NULL_X94Y52 DEVICE_ID 0 TILEPROP NULL_X94Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X94Y52 GRID_POINT_X 94 TILEPROP NULL_X94Y52 GRID_POINT_Y 104 TILEPROP NULL_X94Y52 INDEX 12054 TILEPROP NULL_X94Y52 INT_TILE_X 37 TILEPROP NULL_X94Y52 INT_TILE_Y 99 TILEPROP NULL_X94Y52 IS_CENTER_TILE 0 TILEPROP NULL_X94Y52 IS_DCM_TILE 0 TILEPROP NULL_X94Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X94Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X94Y52 NAME NULL_X94Y52 TILEPROP NULL_X94Y52 NUM_ARCS 0 TILEPROP NULL_X94Y52 NUM_SITES 0 TILEPROP NULL_X94Y52 ROW 104 TILEPROP NULL_X94Y52 SLR_REGION_ID 0 TILEPROP NULL_X94Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X94Y52 TILE_TYPE NULL TILEPROP NULL_X94Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X94Y52 TILE_X 72462 TILEPROP NULL_X94Y52 TILE_Y -80248 TILEPROP NULL_X94Y52 TYPE NULL TILEPROP NULL_X94Y104 CLASS tile TILEPROP NULL_X94Y104 COLUMN 94 TILEPROP NULL_X94Y104 DEVICE_ID 0 TILEPROP NULL_X94Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X94Y104 GRID_POINT_X 94 TILEPROP NULL_X94Y104 GRID_POINT_Y 52 TILEPROP NULL_X94Y104 INDEX 6074 TILEPROP NULL_X94Y104 INT_TILE_X 37 TILEPROP NULL_X94Y104 INT_TILE_Y 49 TILEPROP NULL_X94Y104 IS_CENTER_TILE 0 TILEPROP NULL_X94Y104 IS_DCM_TILE 0 TILEPROP NULL_X94Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X94Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X94Y104 NAME NULL_X94Y104 TILEPROP NULL_X94Y104 NUM_ARCS 0 TILEPROP NULL_X94Y104 NUM_SITES 0 TILEPROP NULL_X94Y104 ROW 52 TILEPROP NULL_X94Y104 SLR_REGION_ID 0 TILEPROP NULL_X94Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X94Y104 TILE_TYPE NULL TILEPROP NULL_X94Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X94Y104 TILE_X 72462 TILEPROP NULL_X94Y104 TILE_Y 81024 TILEPROP NULL_X94Y104 TYPE NULL TILEPROP NULL_X94Y156 CLASS tile TILEPROP NULL_X94Y156 COLUMN 94 TILEPROP NULL_X94Y156 DEVICE_ID 0 TILEPROP NULL_X94Y156 FIRST_SITE_ID 0 TILEPROP NULL_X94Y156 GRID_POINT_X 94 TILEPROP NULL_X94Y156 GRID_POINT_Y 0 TILEPROP NULL_X94Y156 INDEX 94 TILEPROP NULL_X94Y156 INT_TILE_X -1 TILEPROP NULL_X94Y156 INT_TILE_Y -1 TILEPROP NULL_X94Y156 IS_CENTER_TILE 0 TILEPROP NULL_X94Y156 IS_DCM_TILE 0 TILEPROP NULL_X94Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X94Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X94Y156 NAME NULL_X94Y156 TILEPROP NULL_X94Y156 NUM_ARCS 0 TILEPROP NULL_X94Y156 NUM_SITES 0 TILEPROP NULL_X94Y156 ROW 0 TILEPROP NULL_X94Y156 SLR_REGION_ID 0 TILEPROP NULL_X94Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X94Y156 TILE_TYPE NULL TILEPROP NULL_X94Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X94Y156 TILE_X 72462 TILEPROP NULL_X94Y156 TILE_Y 242296 TILEPROP NULL_X94Y156 TYPE NULL TILEPROP NULL_X95Y0 CLASS tile TILEPROP NULL_X95Y0 COLUMN 95 TILEPROP NULL_X95Y0 DEVICE_ID 0 TILEPROP NULL_X95Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X95Y0 GRID_POINT_X 95 TILEPROP NULL_X95Y0 GRID_POINT_Y 156 TILEPROP NULL_X95Y0 INDEX 18035 TILEPROP NULL_X95Y0 INT_TILE_X 37 TILEPROP NULL_X95Y0 INT_TILE_Y 149 TILEPROP NULL_X95Y0 IS_CENTER_TILE 0 TILEPROP NULL_X95Y0 IS_DCM_TILE 0 TILEPROP NULL_X95Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y0 NAME NULL_X95Y0 TILEPROP NULL_X95Y0 NUM_ARCS 0 TILEPROP NULL_X95Y0 NUM_SITES 0 TILEPROP NULL_X95Y0 ROW 156 TILEPROP NULL_X95Y0 SLR_REGION_ID 0 TILEPROP NULL_X95Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y0 TILE_TYPE NULL TILEPROP NULL_X95Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y0 TILE_X 72526 TILEPROP NULL_X95Y0 TILE_Y -244472 TILEPROP NULL_X95Y0 TYPE NULL TILEPROP NULL_X95Y2 CLASS tile TILEPROP NULL_X95Y2 COLUMN 95 TILEPROP NULL_X95Y2 DEVICE_ID 0 TILEPROP NULL_X95Y2 FIRST_SITE_ID 15680 TILEPROP NULL_X95Y2 GRID_POINT_X 95 TILEPROP NULL_X95Y2 GRID_POINT_Y 154 TILEPROP NULL_X95Y2 INDEX 17805 TILEPROP NULL_X95Y2 INT_TILE_X 37 TILEPROP NULL_X95Y2 INT_TILE_Y 148 TILEPROP NULL_X95Y2 IS_CENTER_TILE 0 TILEPROP NULL_X95Y2 IS_DCM_TILE 0 TILEPROP NULL_X95Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y2 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y2 NAME NULL_X95Y2 TILEPROP NULL_X95Y2 NUM_ARCS 0 TILEPROP NULL_X95Y2 NUM_SITES 0 TILEPROP NULL_X95Y2 ROW 154 TILEPROP NULL_X95Y2 SLR_REGION_ID 0 TILEPROP NULL_X95Y2 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y2 TILE_TYPE NULL TILEPROP NULL_X95Y2 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y2 TILE_X 72526 TILEPROP NULL_X95Y2 TILE_Y -238072 TILEPROP NULL_X95Y2 TYPE NULL TILEPROP NULL_X95Y3 CLASS tile TILEPROP NULL_X95Y3 COLUMN 95 TILEPROP NULL_X95Y3 DEVICE_ID 0 TILEPROP NULL_X95Y3 FIRST_SITE_ID 15579 TILEPROP NULL_X95Y3 GRID_POINT_X 95 TILEPROP NULL_X95Y3 GRID_POINT_Y 153 TILEPROP NULL_X95Y3 INDEX 17690 TILEPROP NULL_X95Y3 INT_TILE_X 37 TILEPROP NULL_X95Y3 INT_TILE_Y 147 TILEPROP NULL_X95Y3 IS_CENTER_TILE 0 TILEPROP NULL_X95Y3 IS_DCM_TILE 0 TILEPROP NULL_X95Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y3 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y3 NAME NULL_X95Y3 TILEPROP NULL_X95Y3 NUM_ARCS 0 TILEPROP NULL_X95Y3 NUM_SITES 0 TILEPROP NULL_X95Y3 ROW 153 TILEPROP NULL_X95Y3 SLR_REGION_ID 0 TILEPROP NULL_X95Y3 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y3 TILE_TYPE NULL TILEPROP NULL_X95Y3 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y3 TILE_X 72526 TILEPROP NULL_X95Y3 TILE_Y -234872 TILEPROP NULL_X95Y3 TYPE NULL TILEPROP NULL_X95Y4 CLASS tile TILEPROP NULL_X95Y4 COLUMN 95 TILEPROP NULL_X95Y4 DEVICE_ID 0 TILEPROP NULL_X95Y4 FIRST_SITE_ID 15479 TILEPROP NULL_X95Y4 GRID_POINT_X 95 TILEPROP NULL_X95Y4 GRID_POINT_Y 152 TILEPROP NULL_X95Y4 INDEX 17575 TILEPROP NULL_X95Y4 INT_TILE_X 37 TILEPROP NULL_X95Y4 INT_TILE_Y 146 TILEPROP NULL_X95Y4 IS_CENTER_TILE 0 TILEPROP NULL_X95Y4 IS_DCM_TILE 0 TILEPROP NULL_X95Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y4 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y4 NAME NULL_X95Y4 TILEPROP NULL_X95Y4 NUM_ARCS 0 TILEPROP NULL_X95Y4 NUM_SITES 0 TILEPROP NULL_X95Y4 ROW 152 TILEPROP NULL_X95Y4 SLR_REGION_ID 0 TILEPROP NULL_X95Y4 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y4 TILE_TYPE NULL TILEPROP NULL_X95Y4 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y4 TILE_X 72526 TILEPROP NULL_X95Y4 TILE_Y -231672 TILEPROP NULL_X95Y4 TYPE NULL TILEPROP NULL_X95Y5 CLASS tile TILEPROP NULL_X95Y5 COLUMN 95 TILEPROP NULL_X95Y5 DEVICE_ID 0 TILEPROP NULL_X95Y5 FIRST_SITE_ID 15379 TILEPROP NULL_X95Y5 GRID_POINT_X 95 TILEPROP NULL_X95Y5 GRID_POINT_Y 151 TILEPROP NULL_X95Y5 INDEX 17460 TILEPROP NULL_X95Y5 INT_TILE_X 37 TILEPROP NULL_X95Y5 INT_TILE_Y 145 TILEPROP NULL_X95Y5 IS_CENTER_TILE 0 TILEPROP NULL_X95Y5 IS_DCM_TILE 0 TILEPROP NULL_X95Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y5 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y5 NAME NULL_X95Y5 TILEPROP NULL_X95Y5 NUM_ARCS 0 TILEPROP NULL_X95Y5 NUM_SITES 0 TILEPROP NULL_X95Y5 ROW 151 TILEPROP NULL_X95Y5 SLR_REGION_ID 0 TILEPROP NULL_X95Y5 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y5 TILE_TYPE NULL TILEPROP NULL_X95Y5 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y5 TILE_X 72526 TILEPROP NULL_X95Y5 TILE_Y -228472 TILEPROP NULL_X95Y5 TYPE NULL TILEPROP NULL_X95Y7 CLASS tile TILEPROP NULL_X95Y7 COLUMN 95 TILEPROP NULL_X95Y7 DEVICE_ID 0 TILEPROP NULL_X95Y7 FIRST_SITE_ID 15164 TILEPROP NULL_X95Y7 GRID_POINT_X 95 TILEPROP NULL_X95Y7 GRID_POINT_Y 149 TILEPROP NULL_X95Y7 INDEX 17230 TILEPROP NULL_X95Y7 INT_TILE_X 37 TILEPROP NULL_X95Y7 INT_TILE_Y 143 TILEPROP NULL_X95Y7 IS_CENTER_TILE 0 TILEPROP NULL_X95Y7 IS_DCM_TILE 0 TILEPROP NULL_X95Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y7 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y7 NAME NULL_X95Y7 TILEPROP NULL_X95Y7 NUM_ARCS 0 TILEPROP NULL_X95Y7 NUM_SITES 0 TILEPROP NULL_X95Y7 ROW 149 TILEPROP NULL_X95Y7 SLR_REGION_ID 0 TILEPROP NULL_X95Y7 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y7 TILE_TYPE NULL TILEPROP NULL_X95Y7 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y7 TILE_X 72526 TILEPROP NULL_X95Y7 TILE_Y -222072 TILEPROP NULL_X95Y7 TYPE NULL TILEPROP NULL_X95Y8 CLASS tile TILEPROP NULL_X95Y8 COLUMN 95 TILEPROP NULL_X95Y8 DEVICE_ID 0 TILEPROP NULL_X95Y8 FIRST_SITE_ID 15062 TILEPROP NULL_X95Y8 GRID_POINT_X 95 TILEPROP NULL_X95Y8 GRID_POINT_Y 148 TILEPROP NULL_X95Y8 INDEX 17115 TILEPROP NULL_X95Y8 INT_TILE_X 37 TILEPROP NULL_X95Y8 INT_TILE_Y 142 TILEPROP NULL_X95Y8 IS_CENTER_TILE 0 TILEPROP NULL_X95Y8 IS_DCM_TILE 0 TILEPROP NULL_X95Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y8 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y8 NAME NULL_X95Y8 TILEPROP NULL_X95Y8 NUM_ARCS 0 TILEPROP NULL_X95Y8 NUM_SITES 0 TILEPROP NULL_X95Y8 ROW 148 TILEPROP NULL_X95Y8 SLR_REGION_ID 0 TILEPROP NULL_X95Y8 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y8 TILE_TYPE NULL TILEPROP NULL_X95Y8 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y8 TILE_X 72526 TILEPROP NULL_X95Y8 TILE_Y -218872 TILEPROP NULL_X95Y8 TYPE NULL TILEPROP NULL_X95Y9 CLASS tile TILEPROP NULL_X95Y9 COLUMN 95 TILEPROP NULL_X95Y9 DEVICE_ID 0 TILEPROP NULL_X95Y9 FIRST_SITE_ID 14959 TILEPROP NULL_X95Y9 GRID_POINT_X 95 TILEPROP NULL_X95Y9 GRID_POINT_Y 147 TILEPROP NULL_X95Y9 INDEX 17000 TILEPROP NULL_X95Y9 INT_TILE_X 37 TILEPROP NULL_X95Y9 INT_TILE_Y 141 TILEPROP NULL_X95Y9 IS_CENTER_TILE 0 TILEPROP NULL_X95Y9 IS_DCM_TILE 0 TILEPROP NULL_X95Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y9 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y9 NAME NULL_X95Y9 TILEPROP NULL_X95Y9 NUM_ARCS 0 TILEPROP NULL_X95Y9 NUM_SITES 0 TILEPROP NULL_X95Y9 ROW 147 TILEPROP NULL_X95Y9 SLR_REGION_ID 0 TILEPROP NULL_X95Y9 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y9 TILE_TYPE NULL TILEPROP NULL_X95Y9 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y9 TILE_X 72526 TILEPROP NULL_X95Y9 TILE_Y -215672 TILEPROP NULL_X95Y9 TYPE NULL TILEPROP NULL_X95Y10 CLASS tile TILEPROP NULL_X95Y10 COLUMN 95 TILEPROP NULL_X95Y10 DEVICE_ID 0 TILEPROP NULL_X95Y10 FIRST_SITE_ID 14858 TILEPROP NULL_X95Y10 GRID_POINT_X 95 TILEPROP NULL_X95Y10 GRID_POINT_Y 146 TILEPROP NULL_X95Y10 INDEX 16885 TILEPROP NULL_X95Y10 INT_TILE_X 37 TILEPROP NULL_X95Y10 INT_TILE_Y 140 TILEPROP NULL_X95Y10 IS_CENTER_TILE 0 TILEPROP NULL_X95Y10 IS_DCM_TILE 0 TILEPROP NULL_X95Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y10 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y10 NAME NULL_X95Y10 TILEPROP NULL_X95Y10 NUM_ARCS 0 TILEPROP NULL_X95Y10 NUM_SITES 0 TILEPROP NULL_X95Y10 ROW 146 TILEPROP NULL_X95Y10 SLR_REGION_ID 0 TILEPROP NULL_X95Y10 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y10 TILE_TYPE NULL TILEPROP NULL_X95Y10 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y10 TILE_X 72526 TILEPROP NULL_X95Y10 TILE_Y -212472 TILEPROP NULL_X95Y10 TYPE NULL TILEPROP NULL_X95Y12 CLASS tile TILEPROP NULL_X95Y12 COLUMN 95 TILEPROP NULL_X95Y12 DEVICE_ID 0 TILEPROP NULL_X95Y12 FIRST_SITE_ID 14643 TILEPROP NULL_X95Y12 GRID_POINT_X 95 TILEPROP NULL_X95Y12 GRID_POINT_Y 144 TILEPROP NULL_X95Y12 INDEX 16655 TILEPROP NULL_X95Y12 INT_TILE_X 37 TILEPROP NULL_X95Y12 INT_TILE_Y 138 TILEPROP NULL_X95Y12 IS_CENTER_TILE 0 TILEPROP NULL_X95Y12 IS_DCM_TILE 0 TILEPROP NULL_X95Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y12 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y12 NAME NULL_X95Y12 TILEPROP NULL_X95Y12 NUM_ARCS 0 TILEPROP NULL_X95Y12 NUM_SITES 0 TILEPROP NULL_X95Y12 ROW 144 TILEPROP NULL_X95Y12 SLR_REGION_ID 0 TILEPROP NULL_X95Y12 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y12 TILE_TYPE NULL TILEPROP NULL_X95Y12 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y12 TILE_X 72526 TILEPROP NULL_X95Y12 TILE_Y -206072 TILEPROP NULL_X95Y12 TYPE NULL TILEPROP NULL_X95Y13 CLASS tile TILEPROP NULL_X95Y13 COLUMN 95 TILEPROP NULL_X95Y13 DEVICE_ID 0 TILEPROP NULL_X95Y13 FIRST_SITE_ID 14543 TILEPROP NULL_X95Y13 GRID_POINT_X 95 TILEPROP NULL_X95Y13 GRID_POINT_Y 143 TILEPROP NULL_X95Y13 INDEX 16540 TILEPROP NULL_X95Y13 INT_TILE_X 37 TILEPROP NULL_X95Y13 INT_TILE_Y 137 TILEPROP NULL_X95Y13 IS_CENTER_TILE 0 TILEPROP NULL_X95Y13 IS_DCM_TILE 0 TILEPROP NULL_X95Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y13 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y13 NAME NULL_X95Y13 TILEPROP NULL_X95Y13 NUM_ARCS 0 TILEPROP NULL_X95Y13 NUM_SITES 0 TILEPROP NULL_X95Y13 ROW 143 TILEPROP NULL_X95Y13 SLR_REGION_ID 0 TILEPROP NULL_X95Y13 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y13 TILE_TYPE NULL TILEPROP NULL_X95Y13 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y13 TILE_X 72526 TILEPROP NULL_X95Y13 TILE_Y -202872 TILEPROP NULL_X95Y13 TYPE NULL TILEPROP NULL_X95Y14 CLASS tile TILEPROP NULL_X95Y14 COLUMN 95 TILEPROP NULL_X95Y14 DEVICE_ID 0 TILEPROP NULL_X95Y14 FIRST_SITE_ID 14411 TILEPROP NULL_X95Y14 GRID_POINT_X 95 TILEPROP NULL_X95Y14 GRID_POINT_Y 142 TILEPROP NULL_X95Y14 INDEX 16425 TILEPROP NULL_X95Y14 INT_TILE_X 37 TILEPROP NULL_X95Y14 INT_TILE_Y 136 TILEPROP NULL_X95Y14 IS_CENTER_TILE 0 TILEPROP NULL_X95Y14 IS_DCM_TILE 0 TILEPROP NULL_X95Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y14 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y14 NAME NULL_X95Y14 TILEPROP NULL_X95Y14 NUM_ARCS 0 TILEPROP NULL_X95Y14 NUM_SITES 0 TILEPROP NULL_X95Y14 ROW 142 TILEPROP NULL_X95Y14 SLR_REGION_ID 0 TILEPROP NULL_X95Y14 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y14 TILE_TYPE NULL TILEPROP NULL_X95Y14 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y14 TILE_X 72526 TILEPROP NULL_X95Y14 TILE_Y -199672 TILEPROP NULL_X95Y14 TYPE NULL TILEPROP NULL_X95Y15 CLASS tile TILEPROP NULL_X95Y15 COLUMN 95 TILEPROP NULL_X95Y15 DEVICE_ID 0 TILEPROP NULL_X95Y15 FIRST_SITE_ID 14311 TILEPROP NULL_X95Y15 GRID_POINT_X 95 TILEPROP NULL_X95Y15 GRID_POINT_Y 141 TILEPROP NULL_X95Y15 INDEX 16310 TILEPROP NULL_X95Y15 INT_TILE_X 37 TILEPROP NULL_X95Y15 INT_TILE_Y 135 TILEPROP NULL_X95Y15 IS_CENTER_TILE 0 TILEPROP NULL_X95Y15 IS_DCM_TILE 0 TILEPROP NULL_X95Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y15 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y15 NAME NULL_X95Y15 TILEPROP NULL_X95Y15 NUM_ARCS 0 TILEPROP NULL_X95Y15 NUM_SITES 0 TILEPROP NULL_X95Y15 ROW 141 TILEPROP NULL_X95Y15 SLR_REGION_ID 0 TILEPROP NULL_X95Y15 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y15 TILE_TYPE NULL TILEPROP NULL_X95Y15 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y15 TILE_X 72526 TILEPROP NULL_X95Y15 TILE_Y -196472 TILEPROP NULL_X95Y15 TYPE NULL TILEPROP NULL_X95Y17 CLASS tile TILEPROP NULL_X95Y17 COLUMN 95 TILEPROP NULL_X95Y17 DEVICE_ID 0 TILEPROP NULL_X95Y17 FIRST_SITE_ID 14096 TILEPROP NULL_X95Y17 GRID_POINT_X 95 TILEPROP NULL_X95Y17 GRID_POINT_Y 139 TILEPROP NULL_X95Y17 INDEX 16080 TILEPROP NULL_X95Y17 INT_TILE_X 37 TILEPROP NULL_X95Y17 INT_TILE_Y 133 TILEPROP NULL_X95Y17 IS_CENTER_TILE 0 TILEPROP NULL_X95Y17 IS_DCM_TILE 0 TILEPROP NULL_X95Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y17 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y17 NAME NULL_X95Y17 TILEPROP NULL_X95Y17 NUM_ARCS 0 TILEPROP NULL_X95Y17 NUM_SITES 0 TILEPROP NULL_X95Y17 ROW 139 TILEPROP NULL_X95Y17 SLR_REGION_ID 0 TILEPROP NULL_X95Y17 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y17 TILE_TYPE NULL TILEPROP NULL_X95Y17 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y17 TILE_X 72526 TILEPROP NULL_X95Y17 TILE_Y -190072 TILEPROP NULL_X95Y17 TYPE NULL TILEPROP NULL_X95Y18 CLASS tile TILEPROP NULL_X95Y18 COLUMN 95 TILEPROP NULL_X95Y18 DEVICE_ID 0 TILEPROP NULL_X95Y18 FIRST_SITE_ID 13992 TILEPROP NULL_X95Y18 GRID_POINT_X 95 TILEPROP NULL_X95Y18 GRID_POINT_Y 138 TILEPROP NULL_X95Y18 INDEX 15965 TILEPROP NULL_X95Y18 INT_TILE_X 37 TILEPROP NULL_X95Y18 INT_TILE_Y 132 TILEPROP NULL_X95Y18 IS_CENTER_TILE 0 TILEPROP NULL_X95Y18 IS_DCM_TILE 0 TILEPROP NULL_X95Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y18 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y18 NAME NULL_X95Y18 TILEPROP NULL_X95Y18 NUM_ARCS 0 TILEPROP NULL_X95Y18 NUM_SITES 0 TILEPROP NULL_X95Y18 ROW 138 TILEPROP NULL_X95Y18 SLR_REGION_ID 0 TILEPROP NULL_X95Y18 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y18 TILE_TYPE NULL TILEPROP NULL_X95Y18 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y18 TILE_X 72526 TILEPROP NULL_X95Y18 TILE_Y -186872 TILEPROP NULL_X95Y18 TYPE NULL TILEPROP NULL_X95Y19 CLASS tile TILEPROP NULL_X95Y19 COLUMN 95 TILEPROP NULL_X95Y19 DEVICE_ID 0 TILEPROP NULL_X95Y19 FIRST_SITE_ID 13887 TILEPROP NULL_X95Y19 GRID_POINT_X 95 TILEPROP NULL_X95Y19 GRID_POINT_Y 137 TILEPROP NULL_X95Y19 INDEX 15850 TILEPROP NULL_X95Y19 INT_TILE_X 37 TILEPROP NULL_X95Y19 INT_TILE_Y 131 TILEPROP NULL_X95Y19 IS_CENTER_TILE 0 TILEPROP NULL_X95Y19 IS_DCM_TILE 0 TILEPROP NULL_X95Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y19 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y19 NAME NULL_X95Y19 TILEPROP NULL_X95Y19 NUM_ARCS 0 TILEPROP NULL_X95Y19 NUM_SITES 0 TILEPROP NULL_X95Y19 ROW 137 TILEPROP NULL_X95Y19 SLR_REGION_ID 0 TILEPROP NULL_X95Y19 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y19 TILE_TYPE NULL TILEPROP NULL_X95Y19 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y19 TILE_X 72526 TILEPROP NULL_X95Y19 TILE_Y -183672 TILEPROP NULL_X95Y19 TYPE NULL TILEPROP NULL_X95Y20 CLASS tile TILEPROP NULL_X95Y20 COLUMN 95 TILEPROP NULL_X95Y20 DEVICE_ID 0 TILEPROP NULL_X95Y20 FIRST_SITE_ID 13785 TILEPROP NULL_X95Y20 GRID_POINT_X 95 TILEPROP NULL_X95Y20 GRID_POINT_Y 136 TILEPROP NULL_X95Y20 INDEX 15735 TILEPROP NULL_X95Y20 INT_TILE_X 37 TILEPROP NULL_X95Y20 INT_TILE_Y 130 TILEPROP NULL_X95Y20 IS_CENTER_TILE 0 TILEPROP NULL_X95Y20 IS_DCM_TILE 0 TILEPROP NULL_X95Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y20 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y20 NAME NULL_X95Y20 TILEPROP NULL_X95Y20 NUM_ARCS 0 TILEPROP NULL_X95Y20 NUM_SITES 0 TILEPROP NULL_X95Y20 ROW 136 TILEPROP NULL_X95Y20 SLR_REGION_ID 0 TILEPROP NULL_X95Y20 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y20 TILE_TYPE NULL TILEPROP NULL_X95Y20 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y20 TILE_X 72526 TILEPROP NULL_X95Y20 TILE_Y -180472 TILEPROP NULL_X95Y20 TYPE NULL TILEPROP NULL_X95Y22 CLASS tile TILEPROP NULL_X95Y22 COLUMN 95 TILEPROP NULL_X95Y22 DEVICE_ID 0 TILEPROP NULL_X95Y22 FIRST_SITE_ID 13568 TILEPROP NULL_X95Y22 GRID_POINT_X 95 TILEPROP NULL_X95Y22 GRID_POINT_Y 134 TILEPROP NULL_X95Y22 INDEX 15505 TILEPROP NULL_X95Y22 INT_TILE_X 37 TILEPROP NULL_X95Y22 INT_TILE_Y 128 TILEPROP NULL_X95Y22 IS_CENTER_TILE 0 TILEPROP NULL_X95Y22 IS_DCM_TILE 0 TILEPROP NULL_X95Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y22 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y22 NAME NULL_X95Y22 TILEPROP NULL_X95Y22 NUM_ARCS 0 TILEPROP NULL_X95Y22 NUM_SITES 0 TILEPROP NULL_X95Y22 ROW 134 TILEPROP NULL_X95Y22 SLR_REGION_ID 0 TILEPROP NULL_X95Y22 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y22 TILE_TYPE NULL TILEPROP NULL_X95Y22 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y22 TILE_X 72526 TILEPROP NULL_X95Y22 TILE_Y -174072 TILEPROP NULL_X95Y22 TYPE NULL TILEPROP NULL_X95Y23 CLASS tile TILEPROP NULL_X95Y23 COLUMN 95 TILEPROP NULL_X95Y23 DEVICE_ID 0 TILEPROP NULL_X95Y23 FIRST_SITE_ID 13468 TILEPROP NULL_X95Y23 GRID_POINT_X 95 TILEPROP NULL_X95Y23 GRID_POINT_Y 133 TILEPROP NULL_X95Y23 INDEX 15390 TILEPROP NULL_X95Y23 INT_TILE_X 37 TILEPROP NULL_X95Y23 INT_TILE_Y 127 TILEPROP NULL_X95Y23 IS_CENTER_TILE 0 TILEPROP NULL_X95Y23 IS_DCM_TILE 0 TILEPROP NULL_X95Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y23 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y23 NAME NULL_X95Y23 TILEPROP NULL_X95Y23 NUM_ARCS 0 TILEPROP NULL_X95Y23 NUM_SITES 0 TILEPROP NULL_X95Y23 ROW 133 TILEPROP NULL_X95Y23 SLR_REGION_ID 0 TILEPROP NULL_X95Y23 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y23 TILE_TYPE NULL TILEPROP NULL_X95Y23 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y23 TILE_X 72526 TILEPROP NULL_X95Y23 TILE_Y -170872 TILEPROP NULL_X95Y23 TYPE NULL TILEPROP NULL_X95Y24 CLASS tile TILEPROP NULL_X95Y24 COLUMN 95 TILEPROP NULL_X95Y24 DEVICE_ID 0 TILEPROP NULL_X95Y24 FIRST_SITE_ID 13368 TILEPROP NULL_X95Y24 GRID_POINT_X 95 TILEPROP NULL_X95Y24 GRID_POINT_Y 132 TILEPROP NULL_X95Y24 INDEX 15275 TILEPROP NULL_X95Y24 INT_TILE_X 37 TILEPROP NULL_X95Y24 INT_TILE_Y 126 TILEPROP NULL_X95Y24 IS_CENTER_TILE 0 TILEPROP NULL_X95Y24 IS_DCM_TILE 0 TILEPROP NULL_X95Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y24 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y24 NAME NULL_X95Y24 TILEPROP NULL_X95Y24 NUM_ARCS 0 TILEPROP NULL_X95Y24 NUM_SITES 0 TILEPROP NULL_X95Y24 ROW 132 TILEPROP NULL_X95Y24 SLR_REGION_ID 0 TILEPROP NULL_X95Y24 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y24 TILE_TYPE NULL TILEPROP NULL_X95Y24 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y24 TILE_X 72526 TILEPROP NULL_X95Y24 TILE_Y -167672 TILEPROP NULL_X95Y24 TYPE NULL TILEPROP NULL_X95Y25 CLASS tile TILEPROP NULL_X95Y25 COLUMN 95 TILEPROP NULL_X95Y25 DEVICE_ID 0 TILEPROP NULL_X95Y25 FIRST_SITE_ID 13268 TILEPROP NULL_X95Y25 GRID_POINT_X 95 TILEPROP NULL_X95Y25 GRID_POINT_Y 131 TILEPROP NULL_X95Y25 INDEX 15160 TILEPROP NULL_X95Y25 INT_TILE_X 37 TILEPROP NULL_X95Y25 INT_TILE_Y 125 TILEPROP NULL_X95Y25 IS_CENTER_TILE 0 TILEPROP NULL_X95Y25 IS_DCM_TILE 0 TILEPROP NULL_X95Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y25 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y25 NAME NULL_X95Y25 TILEPROP NULL_X95Y25 NUM_ARCS 0 TILEPROP NULL_X95Y25 NUM_SITES 0 TILEPROP NULL_X95Y25 ROW 131 TILEPROP NULL_X95Y25 SLR_REGION_ID 0 TILEPROP NULL_X95Y25 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y25 TILE_TYPE NULL TILEPROP NULL_X95Y25 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y25 TILE_X 72526 TILEPROP NULL_X95Y25 TILE_Y -164472 TILEPROP NULL_X95Y25 TYPE NULL TILEPROP NULL_X95Y28 CLASS tile TILEPROP NULL_X95Y28 COLUMN 95 TILEPROP NULL_X95Y28 DEVICE_ID 0 TILEPROP NULL_X95Y28 FIRST_SITE_ID 12970 TILEPROP NULL_X95Y28 GRID_POINT_X 95 TILEPROP NULL_X95Y28 GRID_POINT_Y 128 TILEPROP NULL_X95Y28 INDEX 14815 TILEPROP NULL_X95Y28 INT_TILE_X 37 TILEPROP NULL_X95Y28 INT_TILE_Y 123 TILEPROP NULL_X95Y28 IS_CENTER_TILE 0 TILEPROP NULL_X95Y28 IS_DCM_TILE 0 TILEPROP NULL_X95Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y28 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y28 NAME NULL_X95Y28 TILEPROP NULL_X95Y28 NUM_ARCS 0 TILEPROP NULL_X95Y28 NUM_SITES 0 TILEPROP NULL_X95Y28 ROW 128 TILEPROP NULL_X95Y28 SLR_REGION_ID 0 TILEPROP NULL_X95Y28 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y28 TILE_TYPE NULL TILEPROP NULL_X95Y28 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y28 TILE_X 72526 TILEPROP NULL_X95Y28 TILE_Y -157048 TILEPROP NULL_X95Y28 TYPE NULL TILEPROP NULL_X95Y29 CLASS tile TILEPROP NULL_X95Y29 COLUMN 95 TILEPROP NULL_X95Y29 DEVICE_ID 0 TILEPROP NULL_X95Y29 FIRST_SITE_ID 12870 TILEPROP NULL_X95Y29 GRID_POINT_X 95 TILEPROP NULL_X95Y29 GRID_POINT_Y 127 TILEPROP NULL_X95Y29 INDEX 14700 TILEPROP NULL_X95Y29 INT_TILE_X 37 TILEPROP NULL_X95Y29 INT_TILE_Y 122 TILEPROP NULL_X95Y29 IS_CENTER_TILE 0 TILEPROP NULL_X95Y29 IS_DCM_TILE 0 TILEPROP NULL_X95Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y29 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y29 NAME NULL_X95Y29 TILEPROP NULL_X95Y29 NUM_ARCS 0 TILEPROP NULL_X95Y29 NUM_SITES 0 TILEPROP NULL_X95Y29 ROW 127 TILEPROP NULL_X95Y29 SLR_REGION_ID 0 TILEPROP NULL_X95Y29 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y29 TILE_TYPE NULL TILEPROP NULL_X95Y29 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y29 TILE_X 72526 TILEPROP NULL_X95Y29 TILE_Y -153848 TILEPROP NULL_X95Y29 TYPE NULL TILEPROP NULL_X95Y30 CLASS tile TILEPROP NULL_X95Y30 COLUMN 95 TILEPROP NULL_X95Y30 DEVICE_ID 0 TILEPROP NULL_X95Y30 FIRST_SITE_ID 12770 TILEPROP NULL_X95Y30 GRID_POINT_X 95 TILEPROP NULL_X95Y30 GRID_POINT_Y 126 TILEPROP NULL_X95Y30 INDEX 14585 TILEPROP NULL_X95Y30 INT_TILE_X 37 TILEPROP NULL_X95Y30 INT_TILE_Y 121 TILEPROP NULL_X95Y30 IS_CENTER_TILE 0 TILEPROP NULL_X95Y30 IS_DCM_TILE 0 TILEPROP NULL_X95Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y30 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y30 NAME NULL_X95Y30 TILEPROP NULL_X95Y30 NUM_ARCS 0 TILEPROP NULL_X95Y30 NUM_SITES 0 TILEPROP NULL_X95Y30 ROW 126 TILEPROP NULL_X95Y30 SLR_REGION_ID 0 TILEPROP NULL_X95Y30 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y30 TILE_TYPE NULL TILEPROP NULL_X95Y30 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y30 TILE_X 72526 TILEPROP NULL_X95Y30 TILE_Y -150648 TILEPROP NULL_X95Y30 TYPE NULL TILEPROP NULL_X95Y31 CLASS tile TILEPROP NULL_X95Y31 COLUMN 95 TILEPROP NULL_X95Y31 DEVICE_ID 0 TILEPROP NULL_X95Y31 FIRST_SITE_ID 12664 TILEPROP NULL_X95Y31 GRID_POINT_X 95 TILEPROP NULL_X95Y31 GRID_POINT_Y 125 TILEPROP NULL_X95Y31 INDEX 14470 TILEPROP NULL_X95Y31 INT_TILE_X 37 TILEPROP NULL_X95Y31 INT_TILE_Y 120 TILEPROP NULL_X95Y31 IS_CENTER_TILE 0 TILEPROP NULL_X95Y31 IS_DCM_TILE 0 TILEPROP NULL_X95Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y31 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y31 NAME NULL_X95Y31 TILEPROP NULL_X95Y31 NUM_ARCS 0 TILEPROP NULL_X95Y31 NUM_SITES 0 TILEPROP NULL_X95Y31 ROW 125 TILEPROP NULL_X95Y31 SLR_REGION_ID 0 TILEPROP NULL_X95Y31 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y31 TILE_TYPE NULL TILEPROP NULL_X95Y31 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y31 TILE_X 72526 TILEPROP NULL_X95Y31 TILE_Y -147448 TILEPROP NULL_X95Y31 TYPE NULL TILEPROP NULL_X95Y33 CLASS tile TILEPROP NULL_X95Y33 COLUMN 95 TILEPROP NULL_X95Y33 DEVICE_ID 0 TILEPROP NULL_X95Y33 FIRST_SITE_ID 12428 TILEPROP NULL_X95Y33 GRID_POINT_X 95 TILEPROP NULL_X95Y33 GRID_POINT_Y 123 TILEPROP NULL_X95Y33 INDEX 14240 TILEPROP NULL_X95Y33 INT_TILE_X 37 TILEPROP NULL_X95Y33 INT_TILE_Y 118 TILEPROP NULL_X95Y33 IS_CENTER_TILE 0 TILEPROP NULL_X95Y33 IS_DCM_TILE 0 TILEPROP NULL_X95Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y33 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y33 NAME NULL_X95Y33 TILEPROP NULL_X95Y33 NUM_ARCS 0 TILEPROP NULL_X95Y33 NUM_SITES 0 TILEPROP NULL_X95Y33 ROW 123 TILEPROP NULL_X95Y33 SLR_REGION_ID 0 TILEPROP NULL_X95Y33 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y33 TILE_TYPE NULL TILEPROP NULL_X95Y33 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y33 TILE_X 72526 TILEPROP NULL_X95Y33 TILE_Y -141048 TILEPROP NULL_X95Y33 TYPE NULL TILEPROP NULL_X95Y34 CLASS tile TILEPROP NULL_X95Y34 COLUMN 95 TILEPROP NULL_X95Y34 DEVICE_ID 0 TILEPROP NULL_X95Y34 FIRST_SITE_ID 12326 TILEPROP NULL_X95Y34 GRID_POINT_X 95 TILEPROP NULL_X95Y34 GRID_POINT_Y 122 TILEPROP NULL_X95Y34 INDEX 14125 TILEPROP NULL_X95Y34 INT_TILE_X 37 TILEPROP NULL_X95Y34 INT_TILE_Y 117 TILEPROP NULL_X95Y34 IS_CENTER_TILE 0 TILEPROP NULL_X95Y34 IS_DCM_TILE 0 TILEPROP NULL_X95Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y34 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y34 NAME NULL_X95Y34 TILEPROP NULL_X95Y34 NUM_ARCS 0 TILEPROP NULL_X95Y34 NUM_SITES 0 TILEPROP NULL_X95Y34 ROW 122 TILEPROP NULL_X95Y34 SLR_REGION_ID 0 TILEPROP NULL_X95Y34 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y34 TILE_TYPE NULL TILEPROP NULL_X95Y34 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y34 TILE_X 72526 TILEPROP NULL_X95Y34 TILE_Y -137848 TILEPROP NULL_X95Y34 TYPE NULL TILEPROP NULL_X95Y35 CLASS tile TILEPROP NULL_X95Y35 COLUMN 95 TILEPROP NULL_X95Y35 DEVICE_ID 0 TILEPROP NULL_X95Y35 FIRST_SITE_ID 12225 TILEPROP NULL_X95Y35 GRID_POINT_X 95 TILEPROP NULL_X95Y35 GRID_POINT_Y 121 TILEPROP NULL_X95Y35 INDEX 14010 TILEPROP NULL_X95Y35 INT_TILE_X 37 TILEPROP NULL_X95Y35 INT_TILE_Y 116 TILEPROP NULL_X95Y35 IS_CENTER_TILE 0 TILEPROP NULL_X95Y35 IS_DCM_TILE 0 TILEPROP NULL_X95Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y35 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y35 NAME NULL_X95Y35 TILEPROP NULL_X95Y35 NUM_ARCS 0 TILEPROP NULL_X95Y35 NUM_SITES 0 TILEPROP NULL_X95Y35 ROW 121 TILEPROP NULL_X95Y35 SLR_REGION_ID 0 TILEPROP NULL_X95Y35 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y35 TILE_TYPE NULL TILEPROP NULL_X95Y35 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y35 TILE_X 72526 TILEPROP NULL_X95Y35 TILE_Y -134648 TILEPROP NULL_X95Y35 TYPE NULL TILEPROP NULL_X95Y36 CLASS tile TILEPROP NULL_X95Y36 COLUMN 95 TILEPROP NULL_X95Y36 DEVICE_ID 0 TILEPROP NULL_X95Y36 FIRST_SITE_ID 12125 TILEPROP NULL_X95Y36 GRID_POINT_X 95 TILEPROP NULL_X95Y36 GRID_POINT_Y 120 TILEPROP NULL_X95Y36 INDEX 13895 TILEPROP NULL_X95Y36 INT_TILE_X 37 TILEPROP NULL_X95Y36 INT_TILE_Y 115 TILEPROP NULL_X95Y36 IS_CENTER_TILE 0 TILEPROP NULL_X95Y36 IS_DCM_TILE 0 TILEPROP NULL_X95Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y36 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y36 NAME NULL_X95Y36 TILEPROP NULL_X95Y36 NUM_ARCS 0 TILEPROP NULL_X95Y36 NUM_SITES 0 TILEPROP NULL_X95Y36 ROW 120 TILEPROP NULL_X95Y36 SLR_REGION_ID 0 TILEPROP NULL_X95Y36 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y36 TILE_TYPE NULL TILEPROP NULL_X95Y36 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y36 TILE_X 72526 TILEPROP NULL_X95Y36 TILE_Y -131448 TILEPROP NULL_X95Y36 TYPE NULL TILEPROP NULL_X95Y38 CLASS tile TILEPROP NULL_X95Y38 COLUMN 95 TILEPROP NULL_X95Y38 DEVICE_ID 0 TILEPROP NULL_X95Y38 FIRST_SITE_ID 11910 TILEPROP NULL_X95Y38 GRID_POINT_X 95 TILEPROP NULL_X95Y38 GRID_POINT_Y 118 TILEPROP NULL_X95Y38 INDEX 13665 TILEPROP NULL_X95Y38 INT_TILE_X 37 TILEPROP NULL_X95Y38 INT_TILE_Y 113 TILEPROP NULL_X95Y38 IS_CENTER_TILE 0 TILEPROP NULL_X95Y38 IS_DCM_TILE 0 TILEPROP NULL_X95Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y38 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y38 NAME NULL_X95Y38 TILEPROP NULL_X95Y38 NUM_ARCS 0 TILEPROP NULL_X95Y38 NUM_SITES 0 TILEPROP NULL_X95Y38 ROW 118 TILEPROP NULL_X95Y38 SLR_REGION_ID 0 TILEPROP NULL_X95Y38 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y38 TILE_TYPE NULL TILEPROP NULL_X95Y38 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y38 TILE_X 72526 TILEPROP NULL_X95Y38 TILE_Y -125048 TILEPROP NULL_X95Y38 TYPE NULL TILEPROP NULL_X95Y39 CLASS tile TILEPROP NULL_X95Y39 COLUMN 95 TILEPROP NULL_X95Y39 DEVICE_ID 0 TILEPROP NULL_X95Y39 FIRST_SITE_ID 11778 TILEPROP NULL_X95Y39 GRID_POINT_X 95 TILEPROP NULL_X95Y39 GRID_POINT_Y 117 TILEPROP NULL_X95Y39 INDEX 13550 TILEPROP NULL_X95Y39 INT_TILE_X 37 TILEPROP NULL_X95Y39 INT_TILE_Y 112 TILEPROP NULL_X95Y39 IS_CENTER_TILE 0 TILEPROP NULL_X95Y39 IS_DCM_TILE 0 TILEPROP NULL_X95Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y39 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y39 NAME NULL_X95Y39 TILEPROP NULL_X95Y39 NUM_ARCS 0 TILEPROP NULL_X95Y39 NUM_SITES 0 TILEPROP NULL_X95Y39 ROW 117 TILEPROP NULL_X95Y39 SLR_REGION_ID 0 TILEPROP NULL_X95Y39 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y39 TILE_TYPE NULL TILEPROP NULL_X95Y39 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y39 TILE_X 72526 TILEPROP NULL_X95Y39 TILE_Y -121848 TILEPROP NULL_X95Y39 TYPE NULL TILEPROP NULL_X95Y40 CLASS tile TILEPROP NULL_X95Y40 COLUMN 95 TILEPROP NULL_X95Y40 DEVICE_ID 0 TILEPROP NULL_X95Y40 FIRST_SITE_ID 11678 TILEPROP NULL_X95Y40 GRID_POINT_X 95 TILEPROP NULL_X95Y40 GRID_POINT_Y 116 TILEPROP NULL_X95Y40 INDEX 13435 TILEPROP NULL_X95Y40 INT_TILE_X 37 TILEPROP NULL_X95Y40 INT_TILE_Y 111 TILEPROP NULL_X95Y40 IS_CENTER_TILE 0 TILEPROP NULL_X95Y40 IS_DCM_TILE 0 TILEPROP NULL_X95Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y40 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y40 NAME NULL_X95Y40 TILEPROP NULL_X95Y40 NUM_ARCS 0 TILEPROP NULL_X95Y40 NUM_SITES 0 TILEPROP NULL_X95Y40 ROW 116 TILEPROP NULL_X95Y40 SLR_REGION_ID 0 TILEPROP NULL_X95Y40 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y40 TILE_TYPE NULL TILEPROP NULL_X95Y40 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y40 TILE_X 72526 TILEPROP NULL_X95Y40 TILE_Y -118648 TILEPROP NULL_X95Y40 TYPE NULL TILEPROP NULL_X95Y41 CLASS tile TILEPROP NULL_X95Y41 COLUMN 95 TILEPROP NULL_X95Y41 DEVICE_ID 0 TILEPROP NULL_X95Y41 FIRST_SITE_ID 11578 TILEPROP NULL_X95Y41 GRID_POINT_X 95 TILEPROP NULL_X95Y41 GRID_POINT_Y 115 TILEPROP NULL_X95Y41 INDEX 13320 TILEPROP NULL_X95Y41 INT_TILE_X 37 TILEPROP NULL_X95Y41 INT_TILE_Y 110 TILEPROP NULL_X95Y41 IS_CENTER_TILE 0 TILEPROP NULL_X95Y41 IS_DCM_TILE 0 TILEPROP NULL_X95Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y41 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y41 NAME NULL_X95Y41 TILEPROP NULL_X95Y41 NUM_ARCS 0 TILEPROP NULL_X95Y41 NUM_SITES 0 TILEPROP NULL_X95Y41 ROW 115 TILEPROP NULL_X95Y41 SLR_REGION_ID 0 TILEPROP NULL_X95Y41 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y41 TILE_TYPE NULL TILEPROP NULL_X95Y41 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y41 TILE_X 72526 TILEPROP NULL_X95Y41 TILE_Y -115448 TILEPROP NULL_X95Y41 TYPE NULL TILEPROP NULL_X95Y43 CLASS tile TILEPROP NULL_X95Y43 COLUMN 95 TILEPROP NULL_X95Y43 DEVICE_ID 0 TILEPROP NULL_X95Y43 FIRST_SITE_ID 11361 TILEPROP NULL_X95Y43 GRID_POINT_X 95 TILEPROP NULL_X95Y43 GRID_POINT_Y 113 TILEPROP NULL_X95Y43 INDEX 13090 TILEPROP NULL_X95Y43 INT_TILE_X 37 TILEPROP NULL_X95Y43 INT_TILE_Y 108 TILEPROP NULL_X95Y43 IS_CENTER_TILE 0 TILEPROP NULL_X95Y43 IS_DCM_TILE 0 TILEPROP NULL_X95Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y43 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y43 NAME NULL_X95Y43 TILEPROP NULL_X95Y43 NUM_ARCS 0 TILEPROP NULL_X95Y43 NUM_SITES 0 TILEPROP NULL_X95Y43 ROW 113 TILEPROP NULL_X95Y43 SLR_REGION_ID 0 TILEPROP NULL_X95Y43 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y43 TILE_TYPE NULL TILEPROP NULL_X95Y43 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y43 TILE_X 72526 TILEPROP NULL_X95Y43 TILE_Y -109048 TILEPROP NULL_X95Y43 TYPE NULL TILEPROP NULL_X95Y44 CLASS tile TILEPROP NULL_X95Y44 COLUMN 95 TILEPROP NULL_X95Y44 DEVICE_ID 0 TILEPROP NULL_X95Y44 FIRST_SITE_ID 11259 TILEPROP NULL_X95Y44 GRID_POINT_X 95 TILEPROP NULL_X95Y44 GRID_POINT_Y 112 TILEPROP NULL_X95Y44 INDEX 12975 TILEPROP NULL_X95Y44 INT_TILE_X 37 TILEPROP NULL_X95Y44 INT_TILE_Y 107 TILEPROP NULL_X95Y44 IS_CENTER_TILE 0 TILEPROP NULL_X95Y44 IS_DCM_TILE 0 TILEPROP NULL_X95Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y44 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y44 NAME NULL_X95Y44 TILEPROP NULL_X95Y44 NUM_ARCS 0 TILEPROP NULL_X95Y44 NUM_SITES 0 TILEPROP NULL_X95Y44 ROW 112 TILEPROP NULL_X95Y44 SLR_REGION_ID 0 TILEPROP NULL_X95Y44 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y44 TILE_TYPE NULL TILEPROP NULL_X95Y44 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y44 TILE_X 72526 TILEPROP NULL_X95Y44 TILE_Y -105848 TILEPROP NULL_X95Y44 TYPE NULL TILEPROP NULL_X95Y45 CLASS tile TILEPROP NULL_X95Y45 COLUMN 95 TILEPROP NULL_X95Y45 DEVICE_ID 0 TILEPROP NULL_X95Y45 FIRST_SITE_ID 11156 TILEPROP NULL_X95Y45 GRID_POINT_X 95 TILEPROP NULL_X95Y45 GRID_POINT_Y 111 TILEPROP NULL_X95Y45 INDEX 12860 TILEPROP NULL_X95Y45 INT_TILE_X 37 TILEPROP NULL_X95Y45 INT_TILE_Y 106 TILEPROP NULL_X95Y45 IS_CENTER_TILE 0 TILEPROP NULL_X95Y45 IS_DCM_TILE 0 TILEPROP NULL_X95Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y45 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y45 NAME NULL_X95Y45 TILEPROP NULL_X95Y45 NUM_ARCS 0 TILEPROP NULL_X95Y45 NUM_SITES 0 TILEPROP NULL_X95Y45 ROW 111 TILEPROP NULL_X95Y45 SLR_REGION_ID 0 TILEPROP NULL_X95Y45 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y45 TILE_TYPE NULL TILEPROP NULL_X95Y45 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y45 TILE_X 72526 TILEPROP NULL_X95Y45 TILE_Y -102648 TILEPROP NULL_X95Y45 TYPE NULL TILEPROP NULL_X95Y46 CLASS tile TILEPROP NULL_X95Y46 COLUMN 95 TILEPROP NULL_X95Y46 DEVICE_ID 0 TILEPROP NULL_X95Y46 FIRST_SITE_ID 11054 TILEPROP NULL_X95Y46 GRID_POINT_X 95 TILEPROP NULL_X95Y46 GRID_POINT_Y 110 TILEPROP NULL_X95Y46 INDEX 12745 TILEPROP NULL_X95Y46 INT_TILE_X 37 TILEPROP NULL_X95Y46 INT_TILE_Y 105 TILEPROP NULL_X95Y46 IS_CENTER_TILE 0 TILEPROP NULL_X95Y46 IS_DCM_TILE 0 TILEPROP NULL_X95Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y46 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y46 NAME NULL_X95Y46 TILEPROP NULL_X95Y46 NUM_ARCS 0 TILEPROP NULL_X95Y46 NUM_SITES 0 TILEPROP NULL_X95Y46 ROW 110 TILEPROP NULL_X95Y46 SLR_REGION_ID 0 TILEPROP NULL_X95Y46 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y46 TILE_TYPE NULL TILEPROP NULL_X95Y46 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y46 TILE_X 72526 TILEPROP NULL_X95Y46 TILE_Y -99448 TILEPROP NULL_X95Y46 TYPE NULL TILEPROP NULL_X95Y48 CLASS tile TILEPROP NULL_X95Y48 COLUMN 95 TILEPROP NULL_X95Y48 DEVICE_ID 0 TILEPROP NULL_X95Y48 FIRST_SITE_ID 10838 TILEPROP NULL_X95Y48 GRID_POINT_X 95 TILEPROP NULL_X95Y48 GRID_POINT_Y 108 TILEPROP NULL_X95Y48 INDEX 12515 TILEPROP NULL_X95Y48 INT_TILE_X 37 TILEPROP NULL_X95Y48 INT_TILE_Y 103 TILEPROP NULL_X95Y48 IS_CENTER_TILE 0 TILEPROP NULL_X95Y48 IS_DCM_TILE 0 TILEPROP NULL_X95Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y48 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y48 NAME NULL_X95Y48 TILEPROP NULL_X95Y48 NUM_ARCS 0 TILEPROP NULL_X95Y48 NUM_SITES 0 TILEPROP NULL_X95Y48 ROW 108 TILEPROP NULL_X95Y48 SLR_REGION_ID 0 TILEPROP NULL_X95Y48 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y48 TILE_TYPE NULL TILEPROP NULL_X95Y48 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y48 TILE_X 72526 TILEPROP NULL_X95Y48 TILE_Y -93048 TILEPROP NULL_X95Y48 TYPE NULL TILEPROP NULL_X95Y49 CLASS tile TILEPROP NULL_X95Y49 COLUMN 95 TILEPROP NULL_X95Y49 DEVICE_ID 0 TILEPROP NULL_X95Y49 FIRST_SITE_ID 10722 TILEPROP NULL_X95Y49 GRID_POINT_X 95 TILEPROP NULL_X95Y49 GRID_POINT_Y 107 TILEPROP NULL_X95Y49 INDEX 12400 TILEPROP NULL_X95Y49 INT_TILE_X 37 TILEPROP NULL_X95Y49 INT_TILE_Y 102 TILEPROP NULL_X95Y49 IS_CENTER_TILE 0 TILEPROP NULL_X95Y49 IS_DCM_TILE 0 TILEPROP NULL_X95Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y49 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y49 NAME NULL_X95Y49 TILEPROP NULL_X95Y49 NUM_ARCS 0 TILEPROP NULL_X95Y49 NUM_SITES 0 TILEPROP NULL_X95Y49 ROW 107 TILEPROP NULL_X95Y49 SLR_REGION_ID 0 TILEPROP NULL_X95Y49 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y49 TILE_TYPE NULL TILEPROP NULL_X95Y49 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y49 TILE_X 72526 TILEPROP NULL_X95Y49 TILE_Y -89848 TILEPROP NULL_X95Y49 TYPE NULL TILEPROP NULL_X95Y50 CLASS tile TILEPROP NULL_X95Y50 COLUMN 95 TILEPROP NULL_X95Y50 DEVICE_ID 0 TILEPROP NULL_X95Y50 FIRST_SITE_ID 10622 TILEPROP NULL_X95Y50 GRID_POINT_X 95 TILEPROP NULL_X95Y50 GRID_POINT_Y 106 TILEPROP NULL_X95Y50 INDEX 12285 TILEPROP NULL_X95Y50 INT_TILE_X 37 TILEPROP NULL_X95Y50 INT_TILE_Y 101 TILEPROP NULL_X95Y50 IS_CENTER_TILE 0 TILEPROP NULL_X95Y50 IS_DCM_TILE 0 TILEPROP NULL_X95Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y50 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y50 NAME NULL_X95Y50 TILEPROP NULL_X95Y50 NUM_ARCS 0 TILEPROP NULL_X95Y50 NUM_SITES 0 TILEPROP NULL_X95Y50 ROW 106 TILEPROP NULL_X95Y50 SLR_REGION_ID 0 TILEPROP NULL_X95Y50 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y50 TILE_TYPE NULL TILEPROP NULL_X95Y50 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y50 TILE_X 72526 TILEPROP NULL_X95Y50 TILE_Y -86648 TILEPROP NULL_X95Y50 TYPE NULL TILEPROP NULL_X95Y51 CLASS tile TILEPROP NULL_X95Y51 COLUMN 95 TILEPROP NULL_X95Y51 DEVICE_ID 0 TILEPROP NULL_X95Y51 FIRST_SITE_ID 10526 TILEPROP NULL_X95Y51 GRID_POINT_X 95 TILEPROP NULL_X95Y51 GRID_POINT_Y 105 TILEPROP NULL_X95Y51 INDEX 12170 TILEPROP NULL_X95Y51 INT_TILE_X 37 TILEPROP NULL_X95Y51 INT_TILE_Y 100 TILEPROP NULL_X95Y51 IS_CENTER_TILE 0 TILEPROP NULL_X95Y51 IS_DCM_TILE 0 TILEPROP NULL_X95Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y51 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y51 NAME NULL_X95Y51 TILEPROP NULL_X95Y51 NUM_ARCS 0 TILEPROP NULL_X95Y51 NUM_SITES 0 TILEPROP NULL_X95Y51 ROW 105 TILEPROP NULL_X95Y51 SLR_REGION_ID 0 TILEPROP NULL_X95Y51 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y51 TILE_TYPE NULL TILEPROP NULL_X95Y51 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y51 TILE_X 72526 TILEPROP NULL_X95Y51 TILE_Y -83448 TILEPROP NULL_X95Y51 TYPE NULL TILEPROP NULL_X95Y54 CLASS tile TILEPROP NULL_X95Y54 COLUMN 95 TILEPROP NULL_X95Y54 DEVICE_ID 0 TILEPROP NULL_X95Y54 FIRST_SITE_ID 10291 TILEPROP NULL_X95Y54 GRID_POINT_X 95 TILEPROP NULL_X95Y54 GRID_POINT_Y 102 TILEPROP NULL_X95Y54 INDEX 11825 TILEPROP NULL_X95Y54 INT_TILE_X 37 TILEPROP NULL_X95Y54 INT_TILE_Y 98 TILEPROP NULL_X95Y54 IS_CENTER_TILE 0 TILEPROP NULL_X95Y54 IS_DCM_TILE 0 TILEPROP NULL_X95Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y54 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y54 NAME NULL_X95Y54 TILEPROP NULL_X95Y54 NUM_ARCS 0 TILEPROP NULL_X95Y54 NUM_SITES 0 TILEPROP NULL_X95Y54 ROW 102 TILEPROP NULL_X95Y54 SLR_REGION_ID 0 TILEPROP NULL_X95Y54 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y54 TILE_TYPE NULL TILEPROP NULL_X95Y54 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y54 TILE_X 72526 TILEPROP NULL_X95Y54 TILE_Y -76800 TILEPROP NULL_X95Y54 TYPE NULL TILEPROP NULL_X95Y55 CLASS tile TILEPROP NULL_X95Y55 COLUMN 95 TILEPROP NULL_X95Y55 DEVICE_ID 0 TILEPROP NULL_X95Y55 FIRST_SITE_ID 10191 TILEPROP NULL_X95Y55 GRID_POINT_X 95 TILEPROP NULL_X95Y55 GRID_POINT_Y 101 TILEPROP NULL_X95Y55 INDEX 11710 TILEPROP NULL_X95Y55 INT_TILE_X 37 TILEPROP NULL_X95Y55 INT_TILE_Y 97 TILEPROP NULL_X95Y55 IS_CENTER_TILE 0 TILEPROP NULL_X95Y55 IS_DCM_TILE 0 TILEPROP NULL_X95Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y55 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y55 NAME NULL_X95Y55 TILEPROP NULL_X95Y55 NUM_ARCS 0 TILEPROP NULL_X95Y55 NUM_SITES 0 TILEPROP NULL_X95Y55 ROW 101 TILEPROP NULL_X95Y55 SLR_REGION_ID 0 TILEPROP NULL_X95Y55 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y55 TILE_TYPE NULL TILEPROP NULL_X95Y55 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y55 TILE_X 72526 TILEPROP NULL_X95Y55 TILE_Y -73600 TILEPROP NULL_X95Y55 TYPE NULL TILEPROP NULL_X95Y56 CLASS tile TILEPROP NULL_X95Y56 COLUMN 95 TILEPROP NULL_X95Y56 DEVICE_ID 0 TILEPROP NULL_X95Y56 FIRST_SITE_ID 10091 TILEPROP NULL_X95Y56 GRID_POINT_X 95 TILEPROP NULL_X95Y56 GRID_POINT_Y 100 TILEPROP NULL_X95Y56 INDEX 11595 TILEPROP NULL_X95Y56 INT_TILE_X 37 TILEPROP NULL_X95Y56 INT_TILE_Y 96 TILEPROP NULL_X95Y56 IS_CENTER_TILE 0 TILEPROP NULL_X95Y56 IS_DCM_TILE 0 TILEPROP NULL_X95Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y56 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y56 NAME NULL_X95Y56 TILEPROP NULL_X95Y56 NUM_ARCS 0 TILEPROP NULL_X95Y56 NUM_SITES 0 TILEPROP NULL_X95Y56 ROW 100 TILEPROP NULL_X95Y56 SLR_REGION_ID 0 TILEPROP NULL_X95Y56 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y56 TILE_TYPE NULL TILEPROP NULL_X95Y56 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y56 TILE_X 72526 TILEPROP NULL_X95Y56 TILE_Y -70400 TILEPROP NULL_X95Y56 TYPE NULL TILEPROP NULL_X95Y57 CLASS tile TILEPROP NULL_X95Y57 COLUMN 95 TILEPROP NULL_X95Y57 DEVICE_ID 0 TILEPROP NULL_X95Y57 FIRST_SITE_ID 9991 TILEPROP NULL_X95Y57 GRID_POINT_X 95 TILEPROP NULL_X95Y57 GRID_POINT_Y 99 TILEPROP NULL_X95Y57 INDEX 11480 TILEPROP NULL_X95Y57 INT_TILE_X 37 TILEPROP NULL_X95Y57 INT_TILE_Y 95 TILEPROP NULL_X95Y57 IS_CENTER_TILE 0 TILEPROP NULL_X95Y57 IS_DCM_TILE 0 TILEPROP NULL_X95Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y57 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y57 NAME NULL_X95Y57 TILEPROP NULL_X95Y57 NUM_ARCS 0 TILEPROP NULL_X95Y57 NUM_SITES 0 TILEPROP NULL_X95Y57 ROW 99 TILEPROP NULL_X95Y57 SLR_REGION_ID 0 TILEPROP NULL_X95Y57 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y57 TILE_TYPE NULL TILEPROP NULL_X95Y57 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y57 TILE_X 72526 TILEPROP NULL_X95Y57 TILE_Y -67200 TILEPROP NULL_X95Y57 TYPE NULL TILEPROP NULL_X95Y59 CLASS tile TILEPROP NULL_X95Y59 COLUMN 95 TILEPROP NULL_X95Y59 DEVICE_ID 0 TILEPROP NULL_X95Y59 FIRST_SITE_ID 9776 TILEPROP NULL_X95Y59 GRID_POINT_X 95 TILEPROP NULL_X95Y59 GRID_POINT_Y 97 TILEPROP NULL_X95Y59 INDEX 11250 TILEPROP NULL_X95Y59 INT_TILE_X 37 TILEPROP NULL_X95Y59 INT_TILE_Y 93 TILEPROP NULL_X95Y59 IS_CENTER_TILE 0 TILEPROP NULL_X95Y59 IS_DCM_TILE 0 TILEPROP NULL_X95Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y59 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y59 NAME NULL_X95Y59 TILEPROP NULL_X95Y59 NUM_ARCS 0 TILEPROP NULL_X95Y59 NUM_SITES 0 TILEPROP NULL_X95Y59 ROW 97 TILEPROP NULL_X95Y59 SLR_REGION_ID 0 TILEPROP NULL_X95Y59 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y59 TILE_TYPE NULL TILEPROP NULL_X95Y59 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y59 TILE_X 72526 TILEPROP NULL_X95Y59 TILE_Y -60800 TILEPROP NULL_X95Y59 TYPE NULL TILEPROP NULL_X95Y60 CLASS tile TILEPROP NULL_X95Y60 COLUMN 95 TILEPROP NULL_X95Y60 DEVICE_ID 0 TILEPROP NULL_X95Y60 FIRST_SITE_ID 9674 TILEPROP NULL_X95Y60 GRID_POINT_X 95 TILEPROP NULL_X95Y60 GRID_POINT_Y 96 TILEPROP NULL_X95Y60 INDEX 11135 TILEPROP NULL_X95Y60 INT_TILE_X 37 TILEPROP NULL_X95Y60 INT_TILE_Y 92 TILEPROP NULL_X95Y60 IS_CENTER_TILE 0 TILEPROP NULL_X95Y60 IS_DCM_TILE 0 TILEPROP NULL_X95Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y60 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y60 NAME NULL_X95Y60 TILEPROP NULL_X95Y60 NUM_ARCS 0 TILEPROP NULL_X95Y60 NUM_SITES 0 TILEPROP NULL_X95Y60 ROW 96 TILEPROP NULL_X95Y60 SLR_REGION_ID 0 TILEPROP NULL_X95Y60 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y60 TILE_TYPE NULL TILEPROP NULL_X95Y60 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y60 TILE_X 72526 TILEPROP NULL_X95Y60 TILE_Y -57600 TILEPROP NULL_X95Y60 TYPE NULL TILEPROP NULL_X95Y61 CLASS tile TILEPROP NULL_X95Y61 COLUMN 95 TILEPROP NULL_X95Y61 DEVICE_ID 0 TILEPROP NULL_X95Y61 FIRST_SITE_ID 9571 TILEPROP NULL_X95Y61 GRID_POINT_X 95 TILEPROP NULL_X95Y61 GRID_POINT_Y 95 TILEPROP NULL_X95Y61 INDEX 11020 TILEPROP NULL_X95Y61 INT_TILE_X 37 TILEPROP NULL_X95Y61 INT_TILE_Y 91 TILEPROP NULL_X95Y61 IS_CENTER_TILE 0 TILEPROP NULL_X95Y61 IS_DCM_TILE 0 TILEPROP NULL_X95Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y61 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y61 NAME NULL_X95Y61 TILEPROP NULL_X95Y61 NUM_ARCS 0 TILEPROP NULL_X95Y61 NUM_SITES 0 TILEPROP NULL_X95Y61 ROW 95 TILEPROP NULL_X95Y61 SLR_REGION_ID 0 TILEPROP NULL_X95Y61 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y61 TILE_TYPE NULL TILEPROP NULL_X95Y61 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y61 TILE_X 72526 TILEPROP NULL_X95Y61 TILE_Y -54400 TILEPROP NULL_X95Y61 TYPE NULL TILEPROP NULL_X95Y62 CLASS tile TILEPROP NULL_X95Y62 COLUMN 95 TILEPROP NULL_X95Y62 DEVICE_ID 0 TILEPROP NULL_X95Y62 FIRST_SITE_ID 9470 TILEPROP NULL_X95Y62 GRID_POINT_X 95 TILEPROP NULL_X95Y62 GRID_POINT_Y 94 TILEPROP NULL_X95Y62 INDEX 10905 TILEPROP NULL_X95Y62 INT_TILE_X 37 TILEPROP NULL_X95Y62 INT_TILE_Y 90 TILEPROP NULL_X95Y62 IS_CENTER_TILE 0 TILEPROP NULL_X95Y62 IS_DCM_TILE 0 TILEPROP NULL_X95Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y62 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y62 NAME NULL_X95Y62 TILEPROP NULL_X95Y62 NUM_ARCS 0 TILEPROP NULL_X95Y62 NUM_SITES 0 TILEPROP NULL_X95Y62 ROW 94 TILEPROP NULL_X95Y62 SLR_REGION_ID 0 TILEPROP NULL_X95Y62 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y62 TILE_TYPE NULL TILEPROP NULL_X95Y62 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y62 TILE_X 72526 TILEPROP NULL_X95Y62 TILE_Y -51200 TILEPROP NULL_X95Y62 TYPE NULL TILEPROP NULL_X95Y64 CLASS tile TILEPROP NULL_X95Y64 COLUMN 95 TILEPROP NULL_X95Y64 DEVICE_ID 0 TILEPROP NULL_X95Y64 FIRST_SITE_ID 9255 TILEPROP NULL_X95Y64 GRID_POINT_X 95 TILEPROP NULL_X95Y64 GRID_POINT_Y 92 TILEPROP NULL_X95Y64 INDEX 10675 TILEPROP NULL_X95Y64 INT_TILE_X 37 TILEPROP NULL_X95Y64 INT_TILE_Y 88 TILEPROP NULL_X95Y64 IS_CENTER_TILE 0 TILEPROP NULL_X95Y64 IS_DCM_TILE 0 TILEPROP NULL_X95Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y64 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y64 NAME NULL_X95Y64 TILEPROP NULL_X95Y64 NUM_ARCS 0 TILEPROP NULL_X95Y64 NUM_SITES 0 TILEPROP NULL_X95Y64 ROW 92 TILEPROP NULL_X95Y64 SLR_REGION_ID 0 TILEPROP NULL_X95Y64 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y64 TILE_TYPE NULL TILEPROP NULL_X95Y64 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y64 TILE_X 72526 TILEPROP NULL_X95Y64 TILE_Y -44800 TILEPROP NULL_X95Y64 TYPE NULL TILEPROP NULL_X95Y65 CLASS tile TILEPROP NULL_X95Y65 COLUMN 95 TILEPROP NULL_X95Y65 DEVICE_ID 0 TILEPROP NULL_X95Y65 FIRST_SITE_ID 9155 TILEPROP NULL_X95Y65 GRID_POINT_X 95 TILEPROP NULL_X95Y65 GRID_POINT_Y 91 TILEPROP NULL_X95Y65 INDEX 10560 TILEPROP NULL_X95Y65 INT_TILE_X 37 TILEPROP NULL_X95Y65 INT_TILE_Y 87 TILEPROP NULL_X95Y65 IS_CENTER_TILE 0 TILEPROP NULL_X95Y65 IS_DCM_TILE 0 TILEPROP NULL_X95Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y65 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y65 NAME NULL_X95Y65 TILEPROP NULL_X95Y65 NUM_ARCS 0 TILEPROP NULL_X95Y65 NUM_SITES 0 TILEPROP NULL_X95Y65 ROW 91 TILEPROP NULL_X95Y65 SLR_REGION_ID 0 TILEPROP NULL_X95Y65 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y65 TILE_TYPE NULL TILEPROP NULL_X95Y65 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y65 TILE_X 72526 TILEPROP NULL_X95Y65 TILE_Y -41600 TILEPROP NULL_X95Y65 TYPE NULL TILEPROP NULL_X95Y66 CLASS tile TILEPROP NULL_X95Y66 COLUMN 95 TILEPROP NULL_X95Y66 DEVICE_ID 0 TILEPROP NULL_X95Y66 FIRST_SITE_ID 9023 TILEPROP NULL_X95Y66 GRID_POINT_X 95 TILEPROP NULL_X95Y66 GRID_POINT_Y 90 TILEPROP NULL_X95Y66 INDEX 10445 TILEPROP NULL_X95Y66 INT_TILE_X 37 TILEPROP NULL_X95Y66 INT_TILE_Y 86 TILEPROP NULL_X95Y66 IS_CENTER_TILE 0 TILEPROP NULL_X95Y66 IS_DCM_TILE 0 TILEPROP NULL_X95Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y66 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y66 NAME NULL_X95Y66 TILEPROP NULL_X95Y66 NUM_ARCS 0 TILEPROP NULL_X95Y66 NUM_SITES 0 TILEPROP NULL_X95Y66 ROW 90 TILEPROP NULL_X95Y66 SLR_REGION_ID 0 TILEPROP NULL_X95Y66 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y66 TILE_TYPE NULL TILEPROP NULL_X95Y66 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y66 TILE_X 72526 TILEPROP NULL_X95Y66 TILE_Y -38400 TILEPROP NULL_X95Y66 TYPE NULL TILEPROP NULL_X95Y67 CLASS tile TILEPROP NULL_X95Y67 COLUMN 95 TILEPROP NULL_X95Y67 DEVICE_ID 0 TILEPROP NULL_X95Y67 FIRST_SITE_ID 8923 TILEPROP NULL_X95Y67 GRID_POINT_X 95 TILEPROP NULL_X95Y67 GRID_POINT_Y 89 TILEPROP NULL_X95Y67 INDEX 10330 TILEPROP NULL_X95Y67 INT_TILE_X 37 TILEPROP NULL_X95Y67 INT_TILE_Y 85 TILEPROP NULL_X95Y67 IS_CENTER_TILE 0 TILEPROP NULL_X95Y67 IS_DCM_TILE 0 TILEPROP NULL_X95Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y67 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y67 NAME NULL_X95Y67 TILEPROP NULL_X95Y67 NUM_ARCS 0 TILEPROP NULL_X95Y67 NUM_SITES 0 TILEPROP NULL_X95Y67 ROW 89 TILEPROP NULL_X95Y67 SLR_REGION_ID 0 TILEPROP NULL_X95Y67 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y67 TILE_TYPE NULL TILEPROP NULL_X95Y67 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y67 TILE_X 72526 TILEPROP NULL_X95Y67 TILE_Y -35200 TILEPROP NULL_X95Y67 TYPE NULL TILEPROP NULL_X95Y69 CLASS tile TILEPROP NULL_X95Y69 COLUMN 95 TILEPROP NULL_X95Y69 DEVICE_ID 0 TILEPROP NULL_X95Y69 FIRST_SITE_ID 8708 TILEPROP NULL_X95Y69 GRID_POINT_X 95 TILEPROP NULL_X95Y69 GRID_POINT_Y 87 TILEPROP NULL_X95Y69 INDEX 10100 TILEPROP NULL_X95Y69 INT_TILE_X 37 TILEPROP NULL_X95Y69 INT_TILE_Y 83 TILEPROP NULL_X95Y69 IS_CENTER_TILE 0 TILEPROP NULL_X95Y69 IS_DCM_TILE 0 TILEPROP NULL_X95Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y69 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y69 NAME NULL_X95Y69 TILEPROP NULL_X95Y69 NUM_ARCS 0 TILEPROP NULL_X95Y69 NUM_SITES 0 TILEPROP NULL_X95Y69 ROW 87 TILEPROP NULL_X95Y69 SLR_REGION_ID 0 TILEPROP NULL_X95Y69 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y69 TILE_TYPE NULL TILEPROP NULL_X95Y69 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y69 TILE_X 72526 TILEPROP NULL_X95Y69 TILE_Y -28800 TILEPROP NULL_X95Y69 TYPE NULL TILEPROP NULL_X95Y70 CLASS tile TILEPROP NULL_X95Y70 COLUMN 95 TILEPROP NULL_X95Y70 DEVICE_ID 0 TILEPROP NULL_X95Y70 FIRST_SITE_ID 8604 TILEPROP NULL_X95Y70 GRID_POINT_X 95 TILEPROP NULL_X95Y70 GRID_POINT_Y 86 TILEPROP NULL_X95Y70 INDEX 9985 TILEPROP NULL_X95Y70 INT_TILE_X 37 TILEPROP NULL_X95Y70 INT_TILE_Y 82 TILEPROP NULL_X95Y70 IS_CENTER_TILE 0 TILEPROP NULL_X95Y70 IS_DCM_TILE 0 TILEPROP NULL_X95Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y70 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y70 NAME NULL_X95Y70 TILEPROP NULL_X95Y70 NUM_ARCS 0 TILEPROP NULL_X95Y70 NUM_SITES 0 TILEPROP NULL_X95Y70 ROW 86 TILEPROP NULL_X95Y70 SLR_REGION_ID 0 TILEPROP NULL_X95Y70 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y70 TILE_TYPE NULL TILEPROP NULL_X95Y70 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y70 TILE_X 72526 TILEPROP NULL_X95Y70 TILE_Y -25600 TILEPROP NULL_X95Y70 TYPE NULL TILEPROP NULL_X95Y71 CLASS tile TILEPROP NULL_X95Y71 COLUMN 95 TILEPROP NULL_X95Y71 DEVICE_ID 0 TILEPROP NULL_X95Y71 FIRST_SITE_ID 8500 TILEPROP NULL_X95Y71 GRID_POINT_X 95 TILEPROP NULL_X95Y71 GRID_POINT_Y 85 TILEPROP NULL_X95Y71 INDEX 9870 TILEPROP NULL_X95Y71 INT_TILE_X 37 TILEPROP NULL_X95Y71 INT_TILE_Y 81 TILEPROP NULL_X95Y71 IS_CENTER_TILE 0 TILEPROP NULL_X95Y71 IS_DCM_TILE 0 TILEPROP NULL_X95Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y71 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y71 NAME NULL_X95Y71 TILEPROP NULL_X95Y71 NUM_ARCS 0 TILEPROP NULL_X95Y71 NUM_SITES 0 TILEPROP NULL_X95Y71 ROW 85 TILEPROP NULL_X95Y71 SLR_REGION_ID 0 TILEPROP NULL_X95Y71 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y71 TILE_TYPE NULL TILEPROP NULL_X95Y71 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y71 TILE_X 72526 TILEPROP NULL_X95Y71 TILE_Y -22400 TILEPROP NULL_X95Y71 TYPE NULL TILEPROP NULL_X95Y72 CLASS tile TILEPROP NULL_X95Y72 COLUMN 95 TILEPROP NULL_X95Y72 DEVICE_ID 0 TILEPROP NULL_X95Y72 FIRST_SITE_ID 8398 TILEPROP NULL_X95Y72 GRID_POINT_X 95 TILEPROP NULL_X95Y72 GRID_POINT_Y 84 TILEPROP NULL_X95Y72 INDEX 9755 TILEPROP NULL_X95Y72 INT_TILE_X 37 TILEPROP NULL_X95Y72 INT_TILE_Y 80 TILEPROP NULL_X95Y72 IS_CENTER_TILE 0 TILEPROP NULL_X95Y72 IS_DCM_TILE 0 TILEPROP NULL_X95Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y72 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y72 NAME NULL_X95Y72 TILEPROP NULL_X95Y72 NUM_ARCS 0 TILEPROP NULL_X95Y72 NUM_SITES 0 TILEPROP NULL_X95Y72 ROW 84 TILEPROP NULL_X95Y72 SLR_REGION_ID 0 TILEPROP NULL_X95Y72 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y72 TILE_TYPE NULL TILEPROP NULL_X95Y72 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y72 TILE_X 72526 TILEPROP NULL_X95Y72 TILE_Y -19200 TILEPROP NULL_X95Y72 TYPE NULL TILEPROP NULL_X95Y74 CLASS tile TILEPROP NULL_X95Y74 COLUMN 95 TILEPROP NULL_X95Y74 DEVICE_ID 0 TILEPROP NULL_X95Y74 FIRST_SITE_ID 8181 TILEPROP NULL_X95Y74 GRID_POINT_X 95 TILEPROP NULL_X95Y74 GRID_POINT_Y 82 TILEPROP NULL_X95Y74 INDEX 9525 TILEPROP NULL_X95Y74 INT_TILE_X 37 TILEPROP NULL_X95Y74 INT_TILE_Y 78 TILEPROP NULL_X95Y74 IS_CENTER_TILE 0 TILEPROP NULL_X95Y74 IS_DCM_TILE 0 TILEPROP NULL_X95Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y74 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y74 NAME NULL_X95Y74 TILEPROP NULL_X95Y74 NUM_ARCS 0 TILEPROP NULL_X95Y74 NUM_SITES 0 TILEPROP NULL_X95Y74 ROW 82 TILEPROP NULL_X95Y74 SLR_REGION_ID 0 TILEPROP NULL_X95Y74 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y74 TILE_TYPE NULL TILEPROP NULL_X95Y74 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y74 TILE_X 72526 TILEPROP NULL_X95Y74 TILE_Y -12800 TILEPROP NULL_X95Y74 TYPE NULL TILEPROP NULL_X95Y75 CLASS tile TILEPROP NULL_X95Y75 COLUMN 95 TILEPROP NULL_X95Y75 DEVICE_ID 0 TILEPROP NULL_X95Y75 FIRST_SITE_ID 8081 TILEPROP NULL_X95Y75 GRID_POINT_X 95 TILEPROP NULL_X95Y75 GRID_POINT_Y 81 TILEPROP NULL_X95Y75 INDEX 9410 TILEPROP NULL_X95Y75 INT_TILE_X 37 TILEPROP NULL_X95Y75 INT_TILE_Y 77 TILEPROP NULL_X95Y75 IS_CENTER_TILE 0 TILEPROP NULL_X95Y75 IS_DCM_TILE 0 TILEPROP NULL_X95Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y75 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y75 NAME NULL_X95Y75 TILEPROP NULL_X95Y75 NUM_ARCS 0 TILEPROP NULL_X95Y75 NUM_SITES 0 TILEPROP NULL_X95Y75 ROW 81 TILEPROP NULL_X95Y75 SLR_REGION_ID 0 TILEPROP NULL_X95Y75 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y75 TILE_TYPE NULL TILEPROP NULL_X95Y75 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y75 TILE_X 72526 TILEPROP NULL_X95Y75 TILE_Y -9600 TILEPROP NULL_X95Y75 TYPE NULL TILEPROP NULL_X95Y76 CLASS tile TILEPROP NULL_X95Y76 COLUMN 95 TILEPROP NULL_X95Y76 DEVICE_ID 0 TILEPROP NULL_X95Y76 FIRST_SITE_ID 7981 TILEPROP NULL_X95Y76 GRID_POINT_X 95 TILEPROP NULL_X95Y76 GRID_POINT_Y 80 TILEPROP NULL_X95Y76 INDEX 9295 TILEPROP NULL_X95Y76 INT_TILE_X 37 TILEPROP NULL_X95Y76 INT_TILE_Y 76 TILEPROP NULL_X95Y76 IS_CENTER_TILE 0 TILEPROP NULL_X95Y76 IS_DCM_TILE 0 TILEPROP NULL_X95Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y76 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y76 NAME NULL_X95Y76 TILEPROP NULL_X95Y76 NUM_ARCS 0 TILEPROP NULL_X95Y76 NUM_SITES 0 TILEPROP NULL_X95Y76 ROW 80 TILEPROP NULL_X95Y76 SLR_REGION_ID 0 TILEPROP NULL_X95Y76 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y76 TILE_TYPE NULL TILEPROP NULL_X95Y76 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y76 TILE_X 72526 TILEPROP NULL_X95Y76 TILE_Y -6400 TILEPROP NULL_X95Y76 TYPE NULL TILEPROP NULL_X95Y77 CLASS tile TILEPROP NULL_X95Y77 COLUMN 95 TILEPROP NULL_X95Y77 DEVICE_ID 0 TILEPROP NULL_X95Y77 FIRST_SITE_ID 7881 TILEPROP NULL_X95Y77 GRID_POINT_X 95 TILEPROP NULL_X95Y77 GRID_POINT_Y 79 TILEPROP NULL_X95Y77 INDEX 9180 TILEPROP NULL_X95Y77 INT_TILE_X 37 TILEPROP NULL_X95Y77 INT_TILE_Y 75 TILEPROP NULL_X95Y77 IS_CENTER_TILE 0 TILEPROP NULL_X95Y77 IS_DCM_TILE 0 TILEPROP NULL_X95Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y77 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y77 NAME NULL_X95Y77 TILEPROP NULL_X95Y77 NUM_ARCS 0 TILEPROP NULL_X95Y77 NUM_SITES 0 TILEPROP NULL_X95Y77 ROW 79 TILEPROP NULL_X95Y77 SLR_REGION_ID 0 TILEPROP NULL_X95Y77 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y77 TILE_TYPE NULL TILEPROP NULL_X95Y77 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y77 TILE_X 72526 TILEPROP NULL_X95Y77 TILE_Y -3200 TILEPROP NULL_X95Y77 TYPE NULL TILEPROP NULL_X95Y80 CLASS tile TILEPROP NULL_X95Y80 COLUMN 95 TILEPROP NULL_X95Y80 DEVICE_ID 0 TILEPROP NULL_X95Y80 FIRST_SITE_ID 7580 TILEPROP NULL_X95Y80 GRID_POINT_X 95 TILEPROP NULL_X95Y80 GRID_POINT_Y 76 TILEPROP NULL_X95Y80 INDEX 8835 TILEPROP NULL_X95Y80 INT_TILE_X 37 TILEPROP NULL_X95Y80 INT_TILE_Y 73 TILEPROP NULL_X95Y80 IS_CENTER_TILE 0 TILEPROP NULL_X95Y80 IS_DCM_TILE 0 TILEPROP NULL_X95Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y80 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y80 NAME NULL_X95Y80 TILEPROP NULL_X95Y80 NUM_ARCS 0 TILEPROP NULL_X95Y80 NUM_SITES 0 TILEPROP NULL_X95Y80 ROW 76 TILEPROP NULL_X95Y80 SLR_REGION_ID 0 TILEPROP NULL_X95Y80 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y80 TILE_TYPE NULL TILEPROP NULL_X95Y80 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y80 TILE_X 72526 TILEPROP NULL_X95Y80 TILE_Y 4224 TILEPROP NULL_X95Y80 TYPE NULL TILEPROP NULL_X95Y81 CLASS tile TILEPROP NULL_X95Y81 COLUMN 95 TILEPROP NULL_X95Y81 DEVICE_ID 0 TILEPROP NULL_X95Y81 FIRST_SITE_ID 7480 TILEPROP NULL_X95Y81 GRID_POINT_X 95 TILEPROP NULL_X95Y81 GRID_POINT_Y 75 TILEPROP NULL_X95Y81 INDEX 8720 TILEPROP NULL_X95Y81 INT_TILE_X 37 TILEPROP NULL_X95Y81 INT_TILE_Y 72 TILEPROP NULL_X95Y81 IS_CENTER_TILE 0 TILEPROP NULL_X95Y81 IS_DCM_TILE 0 TILEPROP NULL_X95Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y81 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y81 NAME NULL_X95Y81 TILEPROP NULL_X95Y81 NUM_ARCS 0 TILEPROP NULL_X95Y81 NUM_SITES 0 TILEPROP NULL_X95Y81 ROW 75 TILEPROP NULL_X95Y81 SLR_REGION_ID 0 TILEPROP NULL_X95Y81 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y81 TILE_TYPE NULL TILEPROP NULL_X95Y81 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y81 TILE_X 72526 TILEPROP NULL_X95Y81 TILE_Y 7424 TILEPROP NULL_X95Y81 TYPE NULL TILEPROP NULL_X95Y82 CLASS tile TILEPROP NULL_X95Y82 COLUMN 95 TILEPROP NULL_X95Y82 DEVICE_ID 0 TILEPROP NULL_X95Y82 FIRST_SITE_ID 7380 TILEPROP NULL_X95Y82 GRID_POINT_X 95 TILEPROP NULL_X95Y82 GRID_POINT_Y 74 TILEPROP NULL_X95Y82 INDEX 8605 TILEPROP NULL_X95Y82 INT_TILE_X 37 TILEPROP NULL_X95Y82 INT_TILE_Y 71 TILEPROP NULL_X95Y82 IS_CENTER_TILE 0 TILEPROP NULL_X95Y82 IS_DCM_TILE 0 TILEPROP NULL_X95Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y82 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y82 NAME NULL_X95Y82 TILEPROP NULL_X95Y82 NUM_ARCS 0 TILEPROP NULL_X95Y82 NUM_SITES 0 TILEPROP NULL_X95Y82 ROW 74 TILEPROP NULL_X95Y82 SLR_REGION_ID 0 TILEPROP NULL_X95Y82 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y82 TILE_TYPE NULL TILEPROP NULL_X95Y82 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y82 TILE_X 72526 TILEPROP NULL_X95Y82 TILE_Y 10624 TILEPROP NULL_X95Y82 TYPE NULL TILEPROP NULL_X95Y83 CLASS tile TILEPROP NULL_X95Y83 COLUMN 95 TILEPROP NULL_X95Y83 DEVICE_ID 0 TILEPROP NULL_X95Y83 FIRST_SITE_ID 7274 TILEPROP NULL_X95Y83 GRID_POINT_X 95 TILEPROP NULL_X95Y83 GRID_POINT_Y 73 TILEPROP NULL_X95Y83 INDEX 8490 TILEPROP NULL_X95Y83 INT_TILE_X 37 TILEPROP NULL_X95Y83 INT_TILE_Y 70 TILEPROP NULL_X95Y83 IS_CENTER_TILE 0 TILEPROP NULL_X95Y83 IS_DCM_TILE 0 TILEPROP NULL_X95Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y83 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y83 NAME NULL_X95Y83 TILEPROP NULL_X95Y83 NUM_ARCS 0 TILEPROP NULL_X95Y83 NUM_SITES 0 TILEPROP NULL_X95Y83 ROW 73 TILEPROP NULL_X95Y83 SLR_REGION_ID 0 TILEPROP NULL_X95Y83 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y83 TILE_TYPE NULL TILEPROP NULL_X95Y83 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y83 TILE_X 72526 TILEPROP NULL_X95Y83 TILE_Y 13824 TILEPROP NULL_X95Y83 TYPE NULL TILEPROP NULL_X95Y85 CLASS tile TILEPROP NULL_X95Y85 COLUMN 95 TILEPROP NULL_X95Y85 DEVICE_ID 0 TILEPROP NULL_X95Y85 FIRST_SITE_ID 7051 TILEPROP NULL_X95Y85 GRID_POINT_X 95 TILEPROP NULL_X95Y85 GRID_POINT_Y 71 TILEPROP NULL_X95Y85 INDEX 8260 TILEPROP NULL_X95Y85 INT_TILE_X 37 TILEPROP NULL_X95Y85 INT_TILE_Y 68 TILEPROP NULL_X95Y85 IS_CENTER_TILE 0 TILEPROP NULL_X95Y85 IS_DCM_TILE 0 TILEPROP NULL_X95Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y85 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y85 NAME NULL_X95Y85 TILEPROP NULL_X95Y85 NUM_ARCS 0 TILEPROP NULL_X95Y85 NUM_SITES 0 TILEPROP NULL_X95Y85 ROW 71 TILEPROP NULL_X95Y85 SLR_REGION_ID 0 TILEPROP NULL_X95Y85 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y85 TILE_TYPE NULL TILEPROP NULL_X95Y85 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y85 TILE_X 72526 TILEPROP NULL_X95Y85 TILE_Y 20224 TILEPROP NULL_X95Y85 TYPE NULL TILEPROP NULL_X95Y86 CLASS tile TILEPROP NULL_X95Y86 COLUMN 95 TILEPROP NULL_X95Y86 DEVICE_ID 0 TILEPROP NULL_X95Y86 FIRST_SITE_ID 6949 TILEPROP NULL_X95Y86 GRID_POINT_X 95 TILEPROP NULL_X95Y86 GRID_POINT_Y 70 TILEPROP NULL_X95Y86 INDEX 8145 TILEPROP NULL_X95Y86 INT_TILE_X 37 TILEPROP NULL_X95Y86 INT_TILE_Y 67 TILEPROP NULL_X95Y86 IS_CENTER_TILE 0 TILEPROP NULL_X95Y86 IS_DCM_TILE 0 TILEPROP NULL_X95Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y86 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y86 NAME NULL_X95Y86 TILEPROP NULL_X95Y86 NUM_ARCS 0 TILEPROP NULL_X95Y86 NUM_SITES 0 TILEPROP NULL_X95Y86 ROW 70 TILEPROP NULL_X95Y86 SLR_REGION_ID 0 TILEPROP NULL_X95Y86 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y86 TILE_TYPE NULL TILEPROP NULL_X95Y86 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y86 TILE_X 72526 TILEPROP NULL_X95Y86 TILE_Y 23424 TILEPROP NULL_X95Y86 TYPE NULL TILEPROP NULL_X95Y87 CLASS tile TILEPROP NULL_X95Y87 COLUMN 95 TILEPROP NULL_X95Y87 DEVICE_ID 0 TILEPROP NULL_X95Y87 FIRST_SITE_ID 6849 TILEPROP NULL_X95Y87 GRID_POINT_X 95 TILEPROP NULL_X95Y87 GRID_POINT_Y 69 TILEPROP NULL_X95Y87 INDEX 8030 TILEPROP NULL_X95Y87 INT_TILE_X 37 TILEPROP NULL_X95Y87 INT_TILE_Y 66 TILEPROP NULL_X95Y87 IS_CENTER_TILE 0 TILEPROP NULL_X95Y87 IS_DCM_TILE 0 TILEPROP NULL_X95Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y87 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y87 NAME NULL_X95Y87 TILEPROP NULL_X95Y87 NUM_ARCS 0 TILEPROP NULL_X95Y87 NUM_SITES 0 TILEPROP NULL_X95Y87 ROW 69 TILEPROP NULL_X95Y87 SLR_REGION_ID 0 TILEPROP NULL_X95Y87 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y87 TILE_TYPE NULL TILEPROP NULL_X95Y87 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y87 TILE_X 72526 TILEPROP NULL_X95Y87 TILE_Y 26624 TILEPROP NULL_X95Y87 TYPE NULL TILEPROP NULL_X95Y88 CLASS tile TILEPROP NULL_X95Y88 COLUMN 95 TILEPROP NULL_X95Y88 DEVICE_ID 0 TILEPROP NULL_X95Y88 FIRST_SITE_ID 6749 TILEPROP NULL_X95Y88 GRID_POINT_X 95 TILEPROP NULL_X95Y88 GRID_POINT_Y 68 TILEPROP NULL_X95Y88 INDEX 7915 TILEPROP NULL_X95Y88 INT_TILE_X 37 TILEPROP NULL_X95Y88 INT_TILE_Y 65 TILEPROP NULL_X95Y88 IS_CENTER_TILE 0 TILEPROP NULL_X95Y88 IS_DCM_TILE 0 TILEPROP NULL_X95Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y88 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y88 NAME NULL_X95Y88 TILEPROP NULL_X95Y88 NUM_ARCS 0 TILEPROP NULL_X95Y88 NUM_SITES 0 TILEPROP NULL_X95Y88 ROW 68 TILEPROP NULL_X95Y88 SLR_REGION_ID 0 TILEPROP NULL_X95Y88 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y88 TILE_TYPE NULL TILEPROP NULL_X95Y88 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y88 TILE_X 72526 TILEPROP NULL_X95Y88 TILE_Y 29824 TILEPROP NULL_X95Y88 TYPE NULL TILEPROP NULL_X95Y90 CLASS tile TILEPROP NULL_X95Y90 COLUMN 95 TILEPROP NULL_X95Y90 DEVICE_ID 0 TILEPROP NULL_X95Y90 FIRST_SITE_ID 6534 TILEPROP NULL_X95Y90 GRID_POINT_X 95 TILEPROP NULL_X95Y90 GRID_POINT_Y 66 TILEPROP NULL_X95Y90 INDEX 7685 TILEPROP NULL_X95Y90 INT_TILE_X 37 TILEPROP NULL_X95Y90 INT_TILE_Y 63 TILEPROP NULL_X95Y90 IS_CENTER_TILE 0 TILEPROP NULL_X95Y90 IS_DCM_TILE 0 TILEPROP NULL_X95Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y90 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y90 NAME NULL_X95Y90 TILEPROP NULL_X95Y90 NUM_ARCS 0 TILEPROP NULL_X95Y90 NUM_SITES 0 TILEPROP NULL_X95Y90 ROW 66 TILEPROP NULL_X95Y90 SLR_REGION_ID 0 TILEPROP NULL_X95Y90 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y90 TILE_TYPE NULL TILEPROP NULL_X95Y90 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y90 TILE_X 72526 TILEPROP NULL_X95Y90 TILE_Y 36224 TILEPROP NULL_X95Y90 TYPE NULL TILEPROP NULL_X95Y91 CLASS tile TILEPROP NULL_X95Y91 COLUMN 95 TILEPROP NULL_X95Y91 DEVICE_ID 0 TILEPROP NULL_X95Y91 FIRST_SITE_ID 6402 TILEPROP NULL_X95Y91 GRID_POINT_X 95 TILEPROP NULL_X95Y91 GRID_POINT_Y 65 TILEPROP NULL_X95Y91 INDEX 7570 TILEPROP NULL_X95Y91 INT_TILE_X 37 TILEPROP NULL_X95Y91 INT_TILE_Y 62 TILEPROP NULL_X95Y91 IS_CENTER_TILE 0 TILEPROP NULL_X95Y91 IS_DCM_TILE 0 TILEPROP NULL_X95Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y91 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y91 NAME NULL_X95Y91 TILEPROP NULL_X95Y91 NUM_ARCS 0 TILEPROP NULL_X95Y91 NUM_SITES 0 TILEPROP NULL_X95Y91 ROW 65 TILEPROP NULL_X95Y91 SLR_REGION_ID 0 TILEPROP NULL_X95Y91 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y91 TILE_TYPE NULL TILEPROP NULL_X95Y91 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y91 TILE_X 72526 TILEPROP NULL_X95Y91 TILE_Y 39424 TILEPROP NULL_X95Y91 TYPE NULL TILEPROP NULL_X95Y92 CLASS tile TILEPROP NULL_X95Y92 COLUMN 95 TILEPROP NULL_X95Y92 DEVICE_ID 0 TILEPROP NULL_X95Y92 FIRST_SITE_ID 6302 TILEPROP NULL_X95Y92 GRID_POINT_X 95 TILEPROP NULL_X95Y92 GRID_POINT_Y 64 TILEPROP NULL_X95Y92 INDEX 7455 TILEPROP NULL_X95Y92 INT_TILE_X 37 TILEPROP NULL_X95Y92 INT_TILE_Y 61 TILEPROP NULL_X95Y92 IS_CENTER_TILE 0 TILEPROP NULL_X95Y92 IS_DCM_TILE 0 TILEPROP NULL_X95Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y92 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y92 NAME NULL_X95Y92 TILEPROP NULL_X95Y92 NUM_ARCS 0 TILEPROP NULL_X95Y92 NUM_SITES 0 TILEPROP NULL_X95Y92 ROW 64 TILEPROP NULL_X95Y92 SLR_REGION_ID 0 TILEPROP NULL_X95Y92 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y92 TILE_TYPE NULL TILEPROP NULL_X95Y92 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y92 TILE_X 72526 TILEPROP NULL_X95Y92 TILE_Y 42624 TILEPROP NULL_X95Y92 TYPE NULL TILEPROP NULL_X95Y93 CLASS tile TILEPROP NULL_X95Y93 COLUMN 95 TILEPROP NULL_X95Y93 DEVICE_ID 0 TILEPROP NULL_X95Y93 FIRST_SITE_ID 6202 TILEPROP NULL_X95Y93 GRID_POINT_X 95 TILEPROP NULL_X95Y93 GRID_POINT_Y 63 TILEPROP NULL_X95Y93 INDEX 7340 TILEPROP NULL_X95Y93 INT_TILE_X 37 TILEPROP NULL_X95Y93 INT_TILE_Y 60 TILEPROP NULL_X95Y93 IS_CENTER_TILE 0 TILEPROP NULL_X95Y93 IS_DCM_TILE 0 TILEPROP NULL_X95Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y93 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y93 NAME NULL_X95Y93 TILEPROP NULL_X95Y93 NUM_ARCS 0 TILEPROP NULL_X95Y93 NUM_SITES 0 TILEPROP NULL_X95Y93 ROW 63 TILEPROP NULL_X95Y93 SLR_REGION_ID 0 TILEPROP NULL_X95Y93 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y93 TILE_TYPE NULL TILEPROP NULL_X95Y93 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y93 TILE_X 72526 TILEPROP NULL_X95Y93 TILE_Y 45824 TILEPROP NULL_X95Y93 TYPE NULL TILEPROP NULL_X95Y95 CLASS tile TILEPROP NULL_X95Y95 COLUMN 95 TILEPROP NULL_X95Y95 DEVICE_ID 0 TILEPROP NULL_X95Y95 FIRST_SITE_ID 5987 TILEPROP NULL_X95Y95 GRID_POINT_X 95 TILEPROP NULL_X95Y95 GRID_POINT_Y 61 TILEPROP NULL_X95Y95 INDEX 7110 TILEPROP NULL_X95Y95 INT_TILE_X 37 TILEPROP NULL_X95Y95 INT_TILE_Y 58 TILEPROP NULL_X95Y95 IS_CENTER_TILE 0 TILEPROP NULL_X95Y95 IS_DCM_TILE 0 TILEPROP NULL_X95Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y95 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y95 NAME NULL_X95Y95 TILEPROP NULL_X95Y95 NUM_ARCS 0 TILEPROP NULL_X95Y95 NUM_SITES 0 TILEPROP NULL_X95Y95 ROW 61 TILEPROP NULL_X95Y95 SLR_REGION_ID 0 TILEPROP NULL_X95Y95 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y95 TILE_TYPE NULL TILEPROP NULL_X95Y95 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y95 TILE_X 72526 TILEPROP NULL_X95Y95 TILE_Y 52224 TILEPROP NULL_X95Y95 TYPE NULL TILEPROP NULL_X95Y96 CLASS tile TILEPROP NULL_X95Y96 COLUMN 95 TILEPROP NULL_X95Y96 DEVICE_ID 0 TILEPROP NULL_X95Y96 FIRST_SITE_ID 5886 TILEPROP NULL_X95Y96 GRID_POINT_X 95 TILEPROP NULL_X95Y96 GRID_POINT_Y 60 TILEPROP NULL_X95Y96 INDEX 6995 TILEPROP NULL_X95Y96 INT_TILE_X 37 TILEPROP NULL_X95Y96 INT_TILE_Y 57 TILEPROP NULL_X95Y96 IS_CENTER_TILE 0 TILEPROP NULL_X95Y96 IS_DCM_TILE 0 TILEPROP NULL_X95Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y96 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y96 NAME NULL_X95Y96 TILEPROP NULL_X95Y96 NUM_ARCS 0 TILEPROP NULL_X95Y96 NUM_SITES 0 TILEPROP NULL_X95Y96 ROW 60 TILEPROP NULL_X95Y96 SLR_REGION_ID 0 TILEPROP NULL_X95Y96 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y96 TILE_TYPE NULL TILEPROP NULL_X95Y96 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y96 TILE_X 72526 TILEPROP NULL_X95Y96 TILE_Y 55424 TILEPROP NULL_X95Y96 TYPE NULL TILEPROP NULL_X95Y97 CLASS tile TILEPROP NULL_X95Y97 COLUMN 95 TILEPROP NULL_X95Y97 DEVICE_ID 0 TILEPROP NULL_X95Y97 FIRST_SITE_ID 5783 TILEPROP NULL_X95Y97 GRID_POINT_X 95 TILEPROP NULL_X95Y97 GRID_POINT_Y 59 TILEPROP NULL_X95Y97 INDEX 6880 TILEPROP NULL_X95Y97 INT_TILE_X 37 TILEPROP NULL_X95Y97 INT_TILE_Y 56 TILEPROP NULL_X95Y97 IS_CENTER_TILE 0 TILEPROP NULL_X95Y97 IS_DCM_TILE 0 TILEPROP NULL_X95Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y97 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y97 NAME NULL_X95Y97 TILEPROP NULL_X95Y97 NUM_ARCS 0 TILEPROP NULL_X95Y97 NUM_SITES 0 TILEPROP NULL_X95Y97 ROW 59 TILEPROP NULL_X95Y97 SLR_REGION_ID 0 TILEPROP NULL_X95Y97 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y97 TILE_TYPE NULL TILEPROP NULL_X95Y97 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y97 TILE_X 72526 TILEPROP NULL_X95Y97 TILE_Y 58624 TILEPROP NULL_X95Y97 TYPE NULL TILEPROP NULL_X95Y98 CLASS tile TILEPROP NULL_X95Y98 COLUMN 95 TILEPROP NULL_X95Y98 DEVICE_ID 0 TILEPROP NULL_X95Y98 FIRST_SITE_ID 5681 TILEPROP NULL_X95Y98 GRID_POINT_X 95 TILEPROP NULL_X95Y98 GRID_POINT_Y 58 TILEPROP NULL_X95Y98 INDEX 6765 TILEPROP NULL_X95Y98 INT_TILE_X 37 TILEPROP NULL_X95Y98 INT_TILE_Y 55 TILEPROP NULL_X95Y98 IS_CENTER_TILE 0 TILEPROP NULL_X95Y98 IS_DCM_TILE 0 TILEPROP NULL_X95Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y98 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y98 NAME NULL_X95Y98 TILEPROP NULL_X95Y98 NUM_ARCS 0 TILEPROP NULL_X95Y98 NUM_SITES 0 TILEPROP NULL_X95Y98 ROW 58 TILEPROP NULL_X95Y98 SLR_REGION_ID 0 TILEPROP NULL_X95Y98 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y98 TILE_TYPE NULL TILEPROP NULL_X95Y98 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y98 TILE_X 72526 TILEPROP NULL_X95Y98 TILE_Y 61824 TILEPROP NULL_X95Y98 TYPE NULL TILEPROP NULL_X95Y100 CLASS tile TILEPROP NULL_X95Y100 COLUMN 95 TILEPROP NULL_X95Y100 DEVICE_ID 0 TILEPROP NULL_X95Y100 FIRST_SITE_ID 5466 TILEPROP NULL_X95Y100 GRID_POINT_X 95 TILEPROP NULL_X95Y100 GRID_POINT_Y 56 TILEPROP NULL_X95Y100 INDEX 6535 TILEPROP NULL_X95Y100 INT_TILE_X 37 TILEPROP NULL_X95Y100 INT_TILE_Y 53 TILEPROP NULL_X95Y100 IS_CENTER_TILE 0 TILEPROP NULL_X95Y100 IS_DCM_TILE 0 TILEPROP NULL_X95Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y100 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y100 NAME NULL_X95Y100 TILEPROP NULL_X95Y100 NUM_ARCS 0 TILEPROP NULL_X95Y100 NUM_SITES 0 TILEPROP NULL_X95Y100 ROW 56 TILEPROP NULL_X95Y100 SLR_REGION_ID 0 TILEPROP NULL_X95Y100 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y100 TILE_TYPE NULL TILEPROP NULL_X95Y100 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y100 TILE_X 72526 TILEPROP NULL_X95Y100 TILE_Y 68224 TILEPROP NULL_X95Y100 TYPE NULL TILEPROP NULL_X95Y101 CLASS tile TILEPROP NULL_X95Y101 COLUMN 95 TILEPROP NULL_X95Y101 DEVICE_ID 0 TILEPROP NULL_X95Y101 FIRST_SITE_ID 5366 TILEPROP NULL_X95Y101 GRID_POINT_X 95 TILEPROP NULL_X95Y101 GRID_POINT_Y 55 TILEPROP NULL_X95Y101 INDEX 6420 TILEPROP NULL_X95Y101 INT_TILE_X 37 TILEPROP NULL_X95Y101 INT_TILE_Y 52 TILEPROP NULL_X95Y101 IS_CENTER_TILE 0 TILEPROP NULL_X95Y101 IS_DCM_TILE 0 TILEPROP NULL_X95Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y101 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y101 NAME NULL_X95Y101 TILEPROP NULL_X95Y101 NUM_ARCS 0 TILEPROP NULL_X95Y101 NUM_SITES 0 TILEPROP NULL_X95Y101 ROW 55 TILEPROP NULL_X95Y101 SLR_REGION_ID 0 TILEPROP NULL_X95Y101 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y101 TILE_TYPE NULL TILEPROP NULL_X95Y101 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y101 TILE_X 72526 TILEPROP NULL_X95Y101 TILE_Y 71424 TILEPROP NULL_X95Y101 TYPE NULL TILEPROP NULL_X95Y102 CLASS tile TILEPROP NULL_X95Y102 COLUMN 95 TILEPROP NULL_X95Y102 DEVICE_ID 0 TILEPROP NULL_X95Y102 FIRST_SITE_ID 5266 TILEPROP NULL_X95Y102 GRID_POINT_X 95 TILEPROP NULL_X95Y102 GRID_POINT_Y 54 TILEPROP NULL_X95Y102 INDEX 6305 TILEPROP NULL_X95Y102 INT_TILE_X 37 TILEPROP NULL_X95Y102 INT_TILE_Y 51 TILEPROP NULL_X95Y102 IS_CENTER_TILE 0 TILEPROP NULL_X95Y102 IS_DCM_TILE 0 TILEPROP NULL_X95Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y102 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y102 NAME NULL_X95Y102 TILEPROP NULL_X95Y102 NUM_ARCS 0 TILEPROP NULL_X95Y102 NUM_SITES 0 TILEPROP NULL_X95Y102 ROW 54 TILEPROP NULL_X95Y102 SLR_REGION_ID 0 TILEPROP NULL_X95Y102 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y102 TILE_TYPE NULL TILEPROP NULL_X95Y102 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y102 TILE_X 72526 TILEPROP NULL_X95Y102 TILE_Y 74624 TILEPROP NULL_X95Y102 TYPE NULL TILEPROP NULL_X95Y103 CLASS tile TILEPROP NULL_X95Y103 COLUMN 95 TILEPROP NULL_X95Y103 DEVICE_ID 0 TILEPROP NULL_X95Y103 FIRST_SITE_ID 5170 TILEPROP NULL_X95Y103 GRID_POINT_X 95 TILEPROP NULL_X95Y103 GRID_POINT_Y 53 TILEPROP NULL_X95Y103 INDEX 6190 TILEPROP NULL_X95Y103 INT_TILE_X 37 TILEPROP NULL_X95Y103 INT_TILE_Y 50 TILEPROP NULL_X95Y103 IS_CENTER_TILE 0 TILEPROP NULL_X95Y103 IS_DCM_TILE 0 TILEPROP NULL_X95Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y103 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y103 NAME NULL_X95Y103 TILEPROP NULL_X95Y103 NUM_ARCS 0 TILEPROP NULL_X95Y103 NUM_SITES 0 TILEPROP NULL_X95Y103 ROW 53 TILEPROP NULL_X95Y103 SLR_REGION_ID 0 TILEPROP NULL_X95Y103 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y103 TILE_TYPE NULL TILEPROP NULL_X95Y103 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y103 TILE_X 72526 TILEPROP NULL_X95Y103 TILE_Y 77824 TILEPROP NULL_X95Y103 TYPE NULL TILEPROP NULL_X95Y104 CLASS tile TILEPROP NULL_X95Y104 COLUMN 95 TILEPROP NULL_X95Y104 DEVICE_ID 0 TILEPROP NULL_X95Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X95Y104 GRID_POINT_X 95 TILEPROP NULL_X95Y104 GRID_POINT_Y 52 TILEPROP NULL_X95Y104 INDEX 6075 TILEPROP NULL_X95Y104 INT_TILE_X 37 TILEPROP NULL_X95Y104 INT_TILE_Y 49 TILEPROP NULL_X95Y104 IS_CENTER_TILE 0 TILEPROP NULL_X95Y104 IS_DCM_TILE 0 TILEPROP NULL_X95Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y104 NAME NULL_X95Y104 TILEPROP NULL_X95Y104 NUM_ARCS 0 TILEPROP NULL_X95Y104 NUM_SITES 0 TILEPROP NULL_X95Y104 ROW 52 TILEPROP NULL_X95Y104 SLR_REGION_ID 0 TILEPROP NULL_X95Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y104 TILE_TYPE NULL TILEPROP NULL_X95Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y104 TILE_X 72526 TILEPROP NULL_X95Y104 TILE_Y 81024 TILEPROP NULL_X95Y104 TYPE NULL TILEPROP NULL_X95Y156 CLASS tile TILEPROP NULL_X95Y156 COLUMN 95 TILEPROP NULL_X95Y156 DEVICE_ID 0 TILEPROP NULL_X95Y156 FIRST_SITE_ID 0 TILEPROP NULL_X95Y156 GRID_POINT_X 95 TILEPROP NULL_X95Y156 GRID_POINT_Y 0 TILEPROP NULL_X95Y156 INDEX 95 TILEPROP NULL_X95Y156 INT_TILE_X -1 TILEPROP NULL_X95Y156 INT_TILE_Y -1 TILEPROP NULL_X95Y156 IS_CENTER_TILE 0 TILEPROP NULL_X95Y156 IS_DCM_TILE 0 TILEPROP NULL_X95Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X95Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X95Y156 NAME NULL_X95Y156 TILEPROP NULL_X95Y156 NUM_ARCS 0 TILEPROP NULL_X95Y156 NUM_SITES 0 TILEPROP NULL_X95Y156 ROW 0 TILEPROP NULL_X95Y156 SLR_REGION_ID 0 TILEPROP NULL_X95Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X95Y156 TILE_TYPE NULL TILEPROP NULL_X95Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X95Y156 TILE_X 72526 TILEPROP NULL_X95Y156 TILE_Y 242296 TILEPROP NULL_X95Y156 TYPE NULL TILEPROP NULL_X96Y0 CLASS tile TILEPROP NULL_X96Y0 COLUMN 96 TILEPROP NULL_X96Y0 DEVICE_ID 0 TILEPROP NULL_X96Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X96Y0 GRID_POINT_X 96 TILEPROP NULL_X96Y0 GRID_POINT_Y 156 TILEPROP NULL_X96Y0 INDEX 18036 TILEPROP NULL_X96Y0 INT_TILE_X 37 TILEPROP NULL_X96Y0 INT_TILE_Y 149 TILEPROP NULL_X96Y0 IS_CENTER_TILE 0 TILEPROP NULL_X96Y0 IS_DCM_TILE 0 TILEPROP NULL_X96Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X96Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X96Y0 NAME NULL_X96Y0 TILEPROP NULL_X96Y0 NUM_ARCS 0 TILEPROP NULL_X96Y0 NUM_SITES 0 TILEPROP NULL_X96Y0 ROW 156 TILEPROP NULL_X96Y0 SLR_REGION_ID 0 TILEPROP NULL_X96Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X96Y0 TILE_TYPE NULL TILEPROP NULL_X96Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X96Y0 TILE_X 76902 TILEPROP NULL_X96Y0 TILE_Y -244472 TILEPROP NULL_X96Y0 TYPE NULL TILEPROP NULL_X96Y52 CLASS tile TILEPROP NULL_X96Y52 COLUMN 96 TILEPROP NULL_X96Y52 DEVICE_ID 0 TILEPROP NULL_X96Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X96Y52 GRID_POINT_X 96 TILEPROP NULL_X96Y52 GRID_POINT_Y 104 TILEPROP NULL_X96Y52 INDEX 12056 TILEPROP NULL_X96Y52 INT_TILE_X 37 TILEPROP NULL_X96Y52 INT_TILE_Y 99 TILEPROP NULL_X96Y52 IS_CENTER_TILE 0 TILEPROP NULL_X96Y52 IS_DCM_TILE 0 TILEPROP NULL_X96Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X96Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X96Y52 NAME NULL_X96Y52 TILEPROP NULL_X96Y52 NUM_ARCS 0 TILEPROP NULL_X96Y52 NUM_SITES 0 TILEPROP NULL_X96Y52 ROW 104 TILEPROP NULL_X96Y52 SLR_REGION_ID 0 TILEPROP NULL_X96Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X96Y52 TILE_TYPE NULL TILEPROP NULL_X96Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X96Y52 TILE_X 76902 TILEPROP NULL_X96Y52 TILE_Y -80248 TILEPROP NULL_X96Y52 TYPE NULL TILEPROP NULL_X96Y104 CLASS tile TILEPROP NULL_X96Y104 COLUMN 96 TILEPROP NULL_X96Y104 DEVICE_ID 0 TILEPROP NULL_X96Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X96Y104 GRID_POINT_X 96 TILEPROP NULL_X96Y104 GRID_POINT_Y 52 TILEPROP NULL_X96Y104 INDEX 6076 TILEPROP NULL_X96Y104 INT_TILE_X 37 TILEPROP NULL_X96Y104 INT_TILE_Y 49 TILEPROP NULL_X96Y104 IS_CENTER_TILE 0 TILEPROP NULL_X96Y104 IS_DCM_TILE 0 TILEPROP NULL_X96Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X96Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X96Y104 NAME NULL_X96Y104 TILEPROP NULL_X96Y104 NUM_ARCS 0 TILEPROP NULL_X96Y104 NUM_SITES 0 TILEPROP NULL_X96Y104 ROW 52 TILEPROP NULL_X96Y104 SLR_REGION_ID 0 TILEPROP NULL_X96Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X96Y104 TILE_TYPE NULL TILEPROP NULL_X96Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X96Y104 TILE_X 76902 TILEPROP NULL_X96Y104 TILE_Y 81024 TILEPROP NULL_X96Y104 TYPE NULL TILEPROP NULL_X96Y156 CLASS tile TILEPROP NULL_X96Y156 COLUMN 96 TILEPROP NULL_X96Y156 DEVICE_ID 0 TILEPROP NULL_X96Y156 FIRST_SITE_ID 0 TILEPROP NULL_X96Y156 GRID_POINT_X 96 TILEPROP NULL_X96Y156 GRID_POINT_Y 0 TILEPROP NULL_X96Y156 INDEX 96 TILEPROP NULL_X96Y156 INT_TILE_X -1 TILEPROP NULL_X96Y156 INT_TILE_Y -1 TILEPROP NULL_X96Y156 IS_CENTER_TILE 0 TILEPROP NULL_X96Y156 IS_DCM_TILE 0 TILEPROP NULL_X96Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X96Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X96Y156 NAME NULL_X96Y156 TILEPROP NULL_X96Y156 NUM_ARCS 0 TILEPROP NULL_X96Y156 NUM_SITES 0 TILEPROP NULL_X96Y156 ROW 0 TILEPROP NULL_X96Y156 SLR_REGION_ID 0 TILEPROP NULL_X96Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X96Y156 TILE_TYPE NULL TILEPROP NULL_X96Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X96Y156 TILE_X 76902 TILEPROP NULL_X96Y156 TILE_Y 242296 TILEPROP NULL_X96Y156 TYPE NULL TILEPROP NULL_X97Y0 CLASS tile TILEPROP NULL_X97Y0 COLUMN 97 TILEPROP NULL_X97Y0 DEVICE_ID 0 TILEPROP NULL_X97Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X97Y0 GRID_POINT_X 97 TILEPROP NULL_X97Y0 GRID_POINT_Y 156 TILEPROP NULL_X97Y0 INDEX 18037 TILEPROP NULL_X97Y0 INT_TILE_X 37 TILEPROP NULL_X97Y0 INT_TILE_Y 149 TILEPROP NULL_X97Y0 IS_CENTER_TILE 0 TILEPROP NULL_X97Y0 IS_DCM_TILE 0 TILEPROP NULL_X97Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y0 NAME NULL_X97Y0 TILEPROP NULL_X97Y0 NUM_ARCS 0 TILEPROP NULL_X97Y0 NUM_SITES 0 TILEPROP NULL_X97Y0 ROW 156 TILEPROP NULL_X97Y0 SLR_REGION_ID 0 TILEPROP NULL_X97Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y0 TILE_TYPE NULL TILEPROP NULL_X97Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y0 TILE_X 76966 TILEPROP NULL_X97Y0 TILE_Y -244472 TILEPROP NULL_X97Y0 TYPE NULL TILEPROP NULL_X97Y105 CLASS tile TILEPROP NULL_X97Y105 COLUMN 97 TILEPROP NULL_X97Y105 DEVICE_ID 0 TILEPROP NULL_X97Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X97Y105 GRID_POINT_X 97 TILEPROP NULL_X97Y105 GRID_POINT_Y 51 TILEPROP NULL_X97Y105 INDEX 5962 TILEPROP NULL_X97Y105 INT_TILE_X 37 TILEPROP NULL_X97Y105 INT_TILE_Y 49 TILEPROP NULL_X97Y105 IS_CENTER_TILE 0 TILEPROP NULL_X97Y105 IS_DCM_TILE 0 TILEPROP NULL_X97Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y105 NAME NULL_X97Y105 TILEPROP NULL_X97Y105 NUM_ARCS 0 TILEPROP NULL_X97Y105 NUM_SITES 0 TILEPROP NULL_X97Y105 ROW 51 TILEPROP NULL_X97Y105 SLR_REGION_ID 0 TILEPROP NULL_X97Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y105 TILE_TYPE NULL TILEPROP NULL_X97Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y105 TILE_X 76966 TILEPROP NULL_X97Y105 TILE_Y 81272 TILEPROP NULL_X97Y105 TYPE NULL TILEPROP NULL_X97Y106 CLASS tile TILEPROP NULL_X97Y106 COLUMN 97 TILEPROP NULL_X97Y106 DEVICE_ID 0 TILEPROP NULL_X97Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X97Y106 GRID_POINT_X 97 TILEPROP NULL_X97Y106 GRID_POINT_Y 50 TILEPROP NULL_X97Y106 INDEX 5847 TILEPROP NULL_X97Y106 INT_TILE_X 37 TILEPROP NULL_X97Y106 INT_TILE_Y 48 TILEPROP NULL_X97Y106 IS_CENTER_TILE 0 TILEPROP NULL_X97Y106 IS_DCM_TILE 0 TILEPROP NULL_X97Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y106 NAME NULL_X97Y106 TILEPROP NULL_X97Y106 NUM_ARCS 0 TILEPROP NULL_X97Y106 NUM_SITES 0 TILEPROP NULL_X97Y106 ROW 50 TILEPROP NULL_X97Y106 SLR_REGION_ID 0 TILEPROP NULL_X97Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y106 TILE_TYPE NULL TILEPROP NULL_X97Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y106 TILE_X 76966 TILEPROP NULL_X97Y106 TILE_Y 84472 TILEPROP NULL_X97Y106 TYPE NULL TILEPROP NULL_X97Y107 CLASS tile TILEPROP NULL_X97Y107 COLUMN 97 TILEPROP NULL_X97Y107 DEVICE_ID 0 TILEPROP NULL_X97Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X97Y107 GRID_POINT_X 97 TILEPROP NULL_X97Y107 GRID_POINT_Y 49 TILEPROP NULL_X97Y107 INDEX 5732 TILEPROP NULL_X97Y107 INT_TILE_X 37 TILEPROP NULL_X97Y107 INT_TILE_Y 47 TILEPROP NULL_X97Y107 IS_CENTER_TILE 0 TILEPROP NULL_X97Y107 IS_DCM_TILE 0 TILEPROP NULL_X97Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y107 NAME NULL_X97Y107 TILEPROP NULL_X97Y107 NUM_ARCS 0 TILEPROP NULL_X97Y107 NUM_SITES 0 TILEPROP NULL_X97Y107 ROW 49 TILEPROP NULL_X97Y107 SLR_REGION_ID 0 TILEPROP NULL_X97Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y107 TILE_TYPE NULL TILEPROP NULL_X97Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y107 TILE_X 76966 TILEPROP NULL_X97Y107 TILE_Y 87672 TILEPROP NULL_X97Y107 TYPE NULL TILEPROP NULL_X97Y108 CLASS tile TILEPROP NULL_X97Y108 COLUMN 97 TILEPROP NULL_X97Y108 DEVICE_ID 0 TILEPROP NULL_X97Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X97Y108 GRID_POINT_X 97 TILEPROP NULL_X97Y108 GRID_POINT_Y 48 TILEPROP NULL_X97Y108 INDEX 5617 TILEPROP NULL_X97Y108 INT_TILE_X 37 TILEPROP NULL_X97Y108 INT_TILE_Y 46 TILEPROP NULL_X97Y108 IS_CENTER_TILE 0 TILEPROP NULL_X97Y108 IS_DCM_TILE 0 TILEPROP NULL_X97Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y108 NAME NULL_X97Y108 TILEPROP NULL_X97Y108 NUM_ARCS 0 TILEPROP NULL_X97Y108 NUM_SITES 0 TILEPROP NULL_X97Y108 ROW 48 TILEPROP NULL_X97Y108 SLR_REGION_ID 0 TILEPROP NULL_X97Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y108 TILE_TYPE NULL TILEPROP NULL_X97Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y108 TILE_X 76966 TILEPROP NULL_X97Y108 TILE_Y 90872 TILEPROP NULL_X97Y108 TYPE NULL TILEPROP NULL_X97Y109 CLASS tile TILEPROP NULL_X97Y109 COLUMN 97 TILEPROP NULL_X97Y109 DEVICE_ID 0 TILEPROP NULL_X97Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X97Y109 GRID_POINT_X 97 TILEPROP NULL_X97Y109 GRID_POINT_Y 47 TILEPROP NULL_X97Y109 INDEX 5502 TILEPROP NULL_X97Y109 INT_TILE_X 37 TILEPROP NULL_X97Y109 INT_TILE_Y 45 TILEPROP NULL_X97Y109 IS_CENTER_TILE 0 TILEPROP NULL_X97Y109 IS_DCM_TILE 0 TILEPROP NULL_X97Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y109 NAME NULL_X97Y109 TILEPROP NULL_X97Y109 NUM_ARCS 0 TILEPROP NULL_X97Y109 NUM_SITES 0 TILEPROP NULL_X97Y109 ROW 47 TILEPROP NULL_X97Y109 SLR_REGION_ID 0 TILEPROP NULL_X97Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y109 TILE_TYPE NULL TILEPROP NULL_X97Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y109 TILE_X 76966 TILEPROP NULL_X97Y109 TILE_Y 94072 TILEPROP NULL_X97Y109 TYPE NULL TILEPROP NULL_X97Y111 CLASS tile TILEPROP NULL_X97Y111 COLUMN 97 TILEPROP NULL_X97Y111 DEVICE_ID 0 TILEPROP NULL_X97Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X97Y111 GRID_POINT_X 97 TILEPROP NULL_X97Y111 GRID_POINT_Y 45 TILEPROP NULL_X97Y111 INDEX 5272 TILEPROP NULL_X97Y111 INT_TILE_X 37 TILEPROP NULL_X97Y111 INT_TILE_Y 43 TILEPROP NULL_X97Y111 IS_CENTER_TILE 0 TILEPROP NULL_X97Y111 IS_DCM_TILE 0 TILEPROP NULL_X97Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y111 NAME NULL_X97Y111 TILEPROP NULL_X97Y111 NUM_ARCS 0 TILEPROP NULL_X97Y111 NUM_SITES 0 TILEPROP NULL_X97Y111 ROW 45 TILEPROP NULL_X97Y111 SLR_REGION_ID 0 TILEPROP NULL_X97Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y111 TILE_TYPE NULL TILEPROP NULL_X97Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y111 TILE_X 76966 TILEPROP NULL_X97Y111 TILE_Y 100472 TILEPROP NULL_X97Y111 TYPE NULL TILEPROP NULL_X97Y112 CLASS tile TILEPROP NULL_X97Y112 COLUMN 97 TILEPROP NULL_X97Y112 DEVICE_ID 0 TILEPROP NULL_X97Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X97Y112 GRID_POINT_X 97 TILEPROP NULL_X97Y112 GRID_POINT_Y 44 TILEPROP NULL_X97Y112 INDEX 5157 TILEPROP NULL_X97Y112 INT_TILE_X 37 TILEPROP NULL_X97Y112 INT_TILE_Y 42 TILEPROP NULL_X97Y112 IS_CENTER_TILE 0 TILEPROP NULL_X97Y112 IS_DCM_TILE 0 TILEPROP NULL_X97Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y112 NAME NULL_X97Y112 TILEPROP NULL_X97Y112 NUM_ARCS 0 TILEPROP NULL_X97Y112 NUM_SITES 0 TILEPROP NULL_X97Y112 ROW 44 TILEPROP NULL_X97Y112 SLR_REGION_ID 0 TILEPROP NULL_X97Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y112 TILE_TYPE NULL TILEPROP NULL_X97Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y112 TILE_X 76966 TILEPROP NULL_X97Y112 TILE_Y 103672 TILEPROP NULL_X97Y112 TYPE NULL TILEPROP NULL_X97Y113 CLASS tile TILEPROP NULL_X97Y113 COLUMN 97 TILEPROP NULL_X97Y113 DEVICE_ID 0 TILEPROP NULL_X97Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X97Y113 GRID_POINT_X 97 TILEPROP NULL_X97Y113 GRID_POINT_Y 43 TILEPROP NULL_X97Y113 INDEX 5042 TILEPROP NULL_X97Y113 INT_TILE_X 37 TILEPROP NULL_X97Y113 INT_TILE_Y 41 TILEPROP NULL_X97Y113 IS_CENTER_TILE 0 TILEPROP NULL_X97Y113 IS_DCM_TILE 0 TILEPROP NULL_X97Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y113 NAME NULL_X97Y113 TILEPROP NULL_X97Y113 NUM_ARCS 0 TILEPROP NULL_X97Y113 NUM_SITES 0 TILEPROP NULL_X97Y113 ROW 43 TILEPROP NULL_X97Y113 SLR_REGION_ID 0 TILEPROP NULL_X97Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y113 TILE_TYPE NULL TILEPROP NULL_X97Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y113 TILE_X 76966 TILEPROP NULL_X97Y113 TILE_Y 106872 TILEPROP NULL_X97Y113 TYPE NULL TILEPROP NULL_X97Y114 CLASS tile TILEPROP NULL_X97Y114 COLUMN 97 TILEPROP NULL_X97Y114 DEVICE_ID 0 TILEPROP NULL_X97Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X97Y114 GRID_POINT_X 97 TILEPROP NULL_X97Y114 GRID_POINT_Y 42 TILEPROP NULL_X97Y114 INDEX 4927 TILEPROP NULL_X97Y114 INT_TILE_X 37 TILEPROP NULL_X97Y114 INT_TILE_Y 40 TILEPROP NULL_X97Y114 IS_CENTER_TILE 0 TILEPROP NULL_X97Y114 IS_DCM_TILE 0 TILEPROP NULL_X97Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y114 NAME NULL_X97Y114 TILEPROP NULL_X97Y114 NUM_ARCS 0 TILEPROP NULL_X97Y114 NUM_SITES 0 TILEPROP NULL_X97Y114 ROW 42 TILEPROP NULL_X97Y114 SLR_REGION_ID 0 TILEPROP NULL_X97Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y114 TILE_TYPE NULL TILEPROP NULL_X97Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y114 TILE_X 76966 TILEPROP NULL_X97Y114 TILE_Y 110072 TILEPROP NULL_X97Y114 TYPE NULL TILEPROP NULL_X97Y115 CLASS tile TILEPROP NULL_X97Y115 COLUMN 97 TILEPROP NULL_X97Y115 DEVICE_ID 0 TILEPROP NULL_X97Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X97Y115 GRID_POINT_X 97 TILEPROP NULL_X97Y115 GRID_POINT_Y 41 TILEPROP NULL_X97Y115 INDEX 4812 TILEPROP NULL_X97Y115 INT_TILE_X 37 TILEPROP NULL_X97Y115 INT_TILE_Y 39 TILEPROP NULL_X97Y115 IS_CENTER_TILE 0 TILEPROP NULL_X97Y115 IS_DCM_TILE 0 TILEPROP NULL_X97Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y115 NAME NULL_X97Y115 TILEPROP NULL_X97Y115 NUM_ARCS 0 TILEPROP NULL_X97Y115 NUM_SITES 0 TILEPROP NULL_X97Y115 ROW 41 TILEPROP NULL_X97Y115 SLR_REGION_ID 0 TILEPROP NULL_X97Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y115 TILE_TYPE NULL TILEPROP NULL_X97Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y115 TILE_X 76966 TILEPROP NULL_X97Y115 TILE_Y 113272 TILEPROP NULL_X97Y115 TYPE NULL TILEPROP NULL_X97Y116 CLASS tile TILEPROP NULL_X97Y116 COLUMN 97 TILEPROP NULL_X97Y116 DEVICE_ID 0 TILEPROP NULL_X97Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X97Y116 GRID_POINT_X 97 TILEPROP NULL_X97Y116 GRID_POINT_Y 40 TILEPROP NULL_X97Y116 INDEX 4697 TILEPROP NULL_X97Y116 INT_TILE_X 37 TILEPROP NULL_X97Y116 INT_TILE_Y 38 TILEPROP NULL_X97Y116 IS_CENTER_TILE 0 TILEPROP NULL_X97Y116 IS_DCM_TILE 0 TILEPROP NULL_X97Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y116 NAME NULL_X97Y116 TILEPROP NULL_X97Y116 NUM_ARCS 0 TILEPROP NULL_X97Y116 NUM_SITES 0 TILEPROP NULL_X97Y116 ROW 40 TILEPROP NULL_X97Y116 SLR_REGION_ID 0 TILEPROP NULL_X97Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y116 TILE_TYPE NULL TILEPROP NULL_X97Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y116 TILE_X 76966 TILEPROP NULL_X97Y116 TILE_Y 116472 TILEPROP NULL_X97Y116 TYPE NULL TILEPROP NULL_X97Y117 CLASS tile TILEPROP NULL_X97Y117 COLUMN 97 TILEPROP NULL_X97Y117 DEVICE_ID 0 TILEPROP NULL_X97Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X97Y117 GRID_POINT_X 97 TILEPROP NULL_X97Y117 GRID_POINT_Y 39 TILEPROP NULL_X97Y117 INDEX 4582 TILEPROP NULL_X97Y117 INT_TILE_X 37 TILEPROP NULL_X97Y117 INT_TILE_Y 37 TILEPROP NULL_X97Y117 IS_CENTER_TILE 0 TILEPROP NULL_X97Y117 IS_DCM_TILE 0 TILEPROP NULL_X97Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y117 NAME NULL_X97Y117 TILEPROP NULL_X97Y117 NUM_ARCS 0 TILEPROP NULL_X97Y117 NUM_SITES 0 TILEPROP NULL_X97Y117 ROW 39 TILEPROP NULL_X97Y117 SLR_REGION_ID 0 TILEPROP NULL_X97Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y117 TILE_TYPE NULL TILEPROP NULL_X97Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y117 TILE_X 76966 TILEPROP NULL_X97Y117 TILE_Y 119672 TILEPROP NULL_X97Y117 TYPE NULL TILEPROP NULL_X97Y118 CLASS tile TILEPROP NULL_X97Y118 COLUMN 97 TILEPROP NULL_X97Y118 DEVICE_ID 0 TILEPROP NULL_X97Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X97Y118 GRID_POINT_X 97 TILEPROP NULL_X97Y118 GRID_POINT_Y 38 TILEPROP NULL_X97Y118 INDEX 4467 TILEPROP NULL_X97Y118 INT_TILE_X 37 TILEPROP NULL_X97Y118 INT_TILE_Y 36 TILEPROP NULL_X97Y118 IS_CENTER_TILE 0 TILEPROP NULL_X97Y118 IS_DCM_TILE 0 TILEPROP NULL_X97Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y118 NAME NULL_X97Y118 TILEPROP NULL_X97Y118 NUM_ARCS 0 TILEPROP NULL_X97Y118 NUM_SITES 0 TILEPROP NULL_X97Y118 ROW 38 TILEPROP NULL_X97Y118 SLR_REGION_ID 0 TILEPROP NULL_X97Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y118 TILE_TYPE NULL TILEPROP NULL_X97Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y118 TILE_X 76966 TILEPROP NULL_X97Y118 TILE_Y 122872 TILEPROP NULL_X97Y118 TYPE NULL TILEPROP NULL_X97Y119 CLASS tile TILEPROP NULL_X97Y119 COLUMN 97 TILEPROP NULL_X97Y119 DEVICE_ID 0 TILEPROP NULL_X97Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X97Y119 GRID_POINT_X 97 TILEPROP NULL_X97Y119 GRID_POINT_Y 37 TILEPROP NULL_X97Y119 INDEX 4352 TILEPROP NULL_X97Y119 INT_TILE_X 37 TILEPROP NULL_X97Y119 INT_TILE_Y 35 TILEPROP NULL_X97Y119 IS_CENTER_TILE 0 TILEPROP NULL_X97Y119 IS_DCM_TILE 0 TILEPROP NULL_X97Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y119 NAME NULL_X97Y119 TILEPROP NULL_X97Y119 NUM_ARCS 0 TILEPROP NULL_X97Y119 NUM_SITES 0 TILEPROP NULL_X97Y119 ROW 37 TILEPROP NULL_X97Y119 SLR_REGION_ID 0 TILEPROP NULL_X97Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y119 TILE_TYPE NULL TILEPROP NULL_X97Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y119 TILE_X 76966 TILEPROP NULL_X97Y119 TILE_Y 126072 TILEPROP NULL_X97Y119 TYPE NULL TILEPROP NULL_X97Y120 CLASS tile TILEPROP NULL_X97Y120 COLUMN 97 TILEPROP NULL_X97Y120 DEVICE_ID 0 TILEPROP NULL_X97Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X97Y120 GRID_POINT_X 97 TILEPROP NULL_X97Y120 GRID_POINT_Y 36 TILEPROP NULL_X97Y120 INDEX 4237 TILEPROP NULL_X97Y120 INT_TILE_X 37 TILEPROP NULL_X97Y120 INT_TILE_Y 34 TILEPROP NULL_X97Y120 IS_CENTER_TILE 0 TILEPROP NULL_X97Y120 IS_DCM_TILE 0 TILEPROP NULL_X97Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y120 NAME NULL_X97Y120 TILEPROP NULL_X97Y120 NUM_ARCS 0 TILEPROP NULL_X97Y120 NUM_SITES 0 TILEPROP NULL_X97Y120 ROW 36 TILEPROP NULL_X97Y120 SLR_REGION_ID 0 TILEPROP NULL_X97Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y120 TILE_TYPE NULL TILEPROP NULL_X97Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y120 TILE_X 76966 TILEPROP NULL_X97Y120 TILE_Y 129272 TILEPROP NULL_X97Y120 TYPE NULL TILEPROP NULL_X97Y122 CLASS tile TILEPROP NULL_X97Y122 COLUMN 97 TILEPROP NULL_X97Y122 DEVICE_ID 0 TILEPROP NULL_X97Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X97Y122 GRID_POINT_X 97 TILEPROP NULL_X97Y122 GRID_POINT_Y 34 TILEPROP NULL_X97Y122 INDEX 4007 TILEPROP NULL_X97Y122 INT_TILE_X 37 TILEPROP NULL_X97Y122 INT_TILE_Y 32 TILEPROP NULL_X97Y122 IS_CENTER_TILE 0 TILEPROP NULL_X97Y122 IS_DCM_TILE 0 TILEPROP NULL_X97Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y122 NAME NULL_X97Y122 TILEPROP NULL_X97Y122 NUM_ARCS 0 TILEPROP NULL_X97Y122 NUM_SITES 0 TILEPROP NULL_X97Y122 ROW 34 TILEPROP NULL_X97Y122 SLR_REGION_ID 0 TILEPROP NULL_X97Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y122 TILE_TYPE NULL TILEPROP NULL_X97Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y122 TILE_X 76966 TILEPROP NULL_X97Y122 TILE_Y 135672 TILEPROP NULL_X97Y122 TYPE NULL TILEPROP NULL_X97Y123 CLASS tile TILEPROP NULL_X97Y123 COLUMN 97 TILEPROP NULL_X97Y123 DEVICE_ID 0 TILEPROP NULL_X97Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X97Y123 GRID_POINT_X 97 TILEPROP NULL_X97Y123 GRID_POINT_Y 33 TILEPROP NULL_X97Y123 INDEX 3892 TILEPROP NULL_X97Y123 INT_TILE_X 37 TILEPROP NULL_X97Y123 INT_TILE_Y 31 TILEPROP NULL_X97Y123 IS_CENTER_TILE 0 TILEPROP NULL_X97Y123 IS_DCM_TILE 0 TILEPROP NULL_X97Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y123 NAME NULL_X97Y123 TILEPROP NULL_X97Y123 NUM_ARCS 0 TILEPROP NULL_X97Y123 NUM_SITES 0 TILEPROP NULL_X97Y123 ROW 33 TILEPROP NULL_X97Y123 SLR_REGION_ID 0 TILEPROP NULL_X97Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y123 TILE_TYPE NULL TILEPROP NULL_X97Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y123 TILE_X 76966 TILEPROP NULL_X97Y123 TILE_Y 138872 TILEPROP NULL_X97Y123 TYPE NULL TILEPROP NULL_X97Y124 CLASS tile TILEPROP NULL_X97Y124 COLUMN 97 TILEPROP NULL_X97Y124 DEVICE_ID 0 TILEPROP NULL_X97Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X97Y124 GRID_POINT_X 97 TILEPROP NULL_X97Y124 GRID_POINT_Y 32 TILEPROP NULL_X97Y124 INDEX 3777 TILEPROP NULL_X97Y124 INT_TILE_X 37 TILEPROP NULL_X97Y124 INT_TILE_Y 30 TILEPROP NULL_X97Y124 IS_CENTER_TILE 0 TILEPROP NULL_X97Y124 IS_DCM_TILE 0 TILEPROP NULL_X97Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y124 NAME NULL_X97Y124 TILEPROP NULL_X97Y124 NUM_ARCS 0 TILEPROP NULL_X97Y124 NUM_SITES 0 TILEPROP NULL_X97Y124 ROW 32 TILEPROP NULL_X97Y124 SLR_REGION_ID 0 TILEPROP NULL_X97Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y124 TILE_TYPE NULL TILEPROP NULL_X97Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y124 TILE_X 76966 TILEPROP NULL_X97Y124 TILE_Y 142072 TILEPROP NULL_X97Y124 TYPE NULL TILEPROP NULL_X97Y125 CLASS tile TILEPROP NULL_X97Y125 COLUMN 97 TILEPROP NULL_X97Y125 DEVICE_ID 0 TILEPROP NULL_X97Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X97Y125 GRID_POINT_X 97 TILEPROP NULL_X97Y125 GRID_POINT_Y 31 TILEPROP NULL_X97Y125 INDEX 3662 TILEPROP NULL_X97Y125 INT_TILE_X 37 TILEPROP NULL_X97Y125 INT_TILE_Y 29 TILEPROP NULL_X97Y125 IS_CENTER_TILE 0 TILEPROP NULL_X97Y125 IS_DCM_TILE 0 TILEPROP NULL_X97Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y125 NAME NULL_X97Y125 TILEPROP NULL_X97Y125 NUM_ARCS 0 TILEPROP NULL_X97Y125 NUM_SITES 0 TILEPROP NULL_X97Y125 ROW 31 TILEPROP NULL_X97Y125 SLR_REGION_ID 0 TILEPROP NULL_X97Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y125 TILE_TYPE NULL TILEPROP NULL_X97Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y125 TILE_X 76966 TILEPROP NULL_X97Y125 TILE_Y 145272 TILEPROP NULL_X97Y125 TYPE NULL TILEPROP NULL_X97Y126 CLASS tile TILEPROP NULL_X97Y126 COLUMN 97 TILEPROP NULL_X97Y126 DEVICE_ID 0 TILEPROP NULL_X97Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X97Y126 GRID_POINT_X 97 TILEPROP NULL_X97Y126 GRID_POINT_Y 30 TILEPROP NULL_X97Y126 INDEX 3547 TILEPROP NULL_X97Y126 INT_TILE_X 37 TILEPROP NULL_X97Y126 INT_TILE_Y 28 TILEPROP NULL_X97Y126 IS_CENTER_TILE 0 TILEPROP NULL_X97Y126 IS_DCM_TILE 0 TILEPROP NULL_X97Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y126 NAME NULL_X97Y126 TILEPROP NULL_X97Y126 NUM_ARCS 0 TILEPROP NULL_X97Y126 NUM_SITES 0 TILEPROP NULL_X97Y126 ROW 30 TILEPROP NULL_X97Y126 SLR_REGION_ID 0 TILEPROP NULL_X97Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y126 TILE_TYPE NULL TILEPROP NULL_X97Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y126 TILE_X 76966 TILEPROP NULL_X97Y126 TILE_Y 148472 TILEPROP NULL_X97Y126 TYPE NULL TILEPROP NULL_X97Y128 CLASS tile TILEPROP NULL_X97Y128 COLUMN 97 TILEPROP NULL_X97Y128 DEVICE_ID 0 TILEPROP NULL_X97Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X97Y128 GRID_POINT_X 97 TILEPROP NULL_X97Y128 GRID_POINT_Y 28 TILEPROP NULL_X97Y128 INDEX 3317 TILEPROP NULL_X97Y128 INT_TILE_X 37 TILEPROP NULL_X97Y128 INT_TILE_Y 26 TILEPROP NULL_X97Y128 IS_CENTER_TILE 0 TILEPROP NULL_X97Y128 IS_DCM_TILE 0 TILEPROP NULL_X97Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y128 NAME NULL_X97Y128 TILEPROP NULL_X97Y128 NUM_ARCS 0 TILEPROP NULL_X97Y128 NUM_SITES 0 TILEPROP NULL_X97Y128 ROW 28 TILEPROP NULL_X97Y128 SLR_REGION_ID 0 TILEPROP NULL_X97Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y128 TILE_TYPE NULL TILEPROP NULL_X97Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y128 TILE_X 76966 TILEPROP NULL_X97Y128 TILE_Y 154872 TILEPROP NULL_X97Y128 TYPE NULL TILEPROP NULL_X97Y129 CLASS tile TILEPROP NULL_X97Y129 COLUMN 97 TILEPROP NULL_X97Y129 DEVICE_ID 0 TILEPROP NULL_X97Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X97Y129 GRID_POINT_X 97 TILEPROP NULL_X97Y129 GRID_POINT_Y 27 TILEPROP NULL_X97Y129 INDEX 3202 TILEPROP NULL_X97Y129 INT_TILE_X 37 TILEPROP NULL_X97Y129 INT_TILE_Y 25 TILEPROP NULL_X97Y129 IS_CENTER_TILE 0 TILEPROP NULL_X97Y129 IS_DCM_TILE 0 TILEPROP NULL_X97Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y129 NAME NULL_X97Y129 TILEPROP NULL_X97Y129 NUM_ARCS 0 TILEPROP NULL_X97Y129 NUM_SITES 0 TILEPROP NULL_X97Y129 ROW 27 TILEPROP NULL_X97Y129 SLR_REGION_ID 0 TILEPROP NULL_X97Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y129 TILE_TYPE NULL TILEPROP NULL_X97Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y129 TILE_X 76966 TILEPROP NULL_X97Y129 TILE_Y 158072 TILEPROP NULL_X97Y129 TYPE NULL TILEPROP NULL_X97Y130 CLASS tile TILEPROP NULL_X97Y130 COLUMN 97 TILEPROP NULL_X97Y130 DEVICE_ID 0 TILEPROP NULL_X97Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X97Y130 GRID_POINT_X 97 TILEPROP NULL_X97Y130 GRID_POINT_Y 26 TILEPROP NULL_X97Y130 INDEX 3087 TILEPROP NULL_X97Y130 INT_TILE_X 37 TILEPROP NULL_X97Y130 INT_TILE_Y 24 TILEPROP NULL_X97Y130 IS_CENTER_TILE 0 TILEPROP NULL_X97Y130 IS_DCM_TILE 0 TILEPROP NULL_X97Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y130 NAME NULL_X97Y130 TILEPROP NULL_X97Y130 NUM_ARCS 0 TILEPROP NULL_X97Y130 NUM_SITES 0 TILEPROP NULL_X97Y130 ROW 26 TILEPROP NULL_X97Y130 SLR_REGION_ID 0 TILEPROP NULL_X97Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y130 TILE_TYPE NULL TILEPROP NULL_X97Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y130 TILE_X 76966 TILEPROP NULL_X97Y130 TILE_Y 161272 TILEPROP NULL_X97Y130 TYPE NULL TILEPROP NULL_X97Y131 CLASS tile TILEPROP NULL_X97Y131 COLUMN 97 TILEPROP NULL_X97Y131 DEVICE_ID 0 TILEPROP NULL_X97Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X97Y131 GRID_POINT_X 97 TILEPROP NULL_X97Y131 GRID_POINT_Y 25 TILEPROP NULL_X97Y131 INDEX 2972 TILEPROP NULL_X97Y131 INT_TILE_X 37 TILEPROP NULL_X97Y131 INT_TILE_Y 24 TILEPROP NULL_X97Y131 IS_CENTER_TILE 0 TILEPROP NULL_X97Y131 IS_DCM_TILE 0 TILEPROP NULL_X97Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y131 NAME NULL_X97Y131 TILEPROP NULL_X97Y131 NUM_ARCS 0 TILEPROP NULL_X97Y131 NUM_SITES 0 TILEPROP NULL_X97Y131 ROW 25 TILEPROP NULL_X97Y131 SLR_REGION_ID 0 TILEPROP NULL_X97Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y131 TILE_TYPE NULL TILEPROP NULL_X97Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y131 TILE_X 76966 TILEPROP NULL_X97Y131 TILE_Y 162296 TILEPROP NULL_X97Y131 TYPE NULL TILEPROP NULL_X97Y132 CLASS tile TILEPROP NULL_X97Y132 COLUMN 97 TILEPROP NULL_X97Y132 DEVICE_ID 0 TILEPROP NULL_X97Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X97Y132 GRID_POINT_X 97 TILEPROP NULL_X97Y132 GRID_POINT_Y 24 TILEPROP NULL_X97Y132 INDEX 2857 TILEPROP NULL_X97Y132 INT_TILE_X 37 TILEPROP NULL_X97Y132 INT_TILE_Y 23 TILEPROP NULL_X97Y132 IS_CENTER_TILE 0 TILEPROP NULL_X97Y132 IS_DCM_TILE 0 TILEPROP NULL_X97Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y132 NAME NULL_X97Y132 TILEPROP NULL_X97Y132 NUM_ARCS 0 TILEPROP NULL_X97Y132 NUM_SITES 0 TILEPROP NULL_X97Y132 ROW 24 TILEPROP NULL_X97Y132 SLR_REGION_ID 0 TILEPROP NULL_X97Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y132 TILE_TYPE NULL TILEPROP NULL_X97Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y132 TILE_X 76966 TILEPROP NULL_X97Y132 TILE_Y 165496 TILEPROP NULL_X97Y132 TYPE NULL TILEPROP NULL_X97Y133 CLASS tile TILEPROP NULL_X97Y133 COLUMN 97 TILEPROP NULL_X97Y133 DEVICE_ID 0 TILEPROP NULL_X97Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X97Y133 GRID_POINT_X 97 TILEPROP NULL_X97Y133 GRID_POINT_Y 23 TILEPROP NULL_X97Y133 INDEX 2742 TILEPROP NULL_X97Y133 INT_TILE_X 37 TILEPROP NULL_X97Y133 INT_TILE_Y 22 TILEPROP NULL_X97Y133 IS_CENTER_TILE 0 TILEPROP NULL_X97Y133 IS_DCM_TILE 0 TILEPROP NULL_X97Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y133 NAME NULL_X97Y133 TILEPROP NULL_X97Y133 NUM_ARCS 0 TILEPROP NULL_X97Y133 NUM_SITES 0 TILEPROP NULL_X97Y133 ROW 23 TILEPROP NULL_X97Y133 SLR_REGION_ID 0 TILEPROP NULL_X97Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y133 TILE_TYPE NULL TILEPROP NULL_X97Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y133 TILE_X 76966 TILEPROP NULL_X97Y133 TILE_Y 168696 TILEPROP NULL_X97Y133 TYPE NULL TILEPROP NULL_X97Y134 CLASS tile TILEPROP NULL_X97Y134 COLUMN 97 TILEPROP NULL_X97Y134 DEVICE_ID 0 TILEPROP NULL_X97Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X97Y134 GRID_POINT_X 97 TILEPROP NULL_X97Y134 GRID_POINT_Y 22 TILEPROP NULL_X97Y134 INDEX 2627 TILEPROP NULL_X97Y134 INT_TILE_X 37 TILEPROP NULL_X97Y134 INT_TILE_Y 21 TILEPROP NULL_X97Y134 IS_CENTER_TILE 0 TILEPROP NULL_X97Y134 IS_DCM_TILE 0 TILEPROP NULL_X97Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y134 NAME NULL_X97Y134 TILEPROP NULL_X97Y134 NUM_ARCS 0 TILEPROP NULL_X97Y134 NUM_SITES 0 TILEPROP NULL_X97Y134 ROW 22 TILEPROP NULL_X97Y134 SLR_REGION_ID 0 TILEPROP NULL_X97Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y134 TILE_TYPE NULL TILEPROP NULL_X97Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y134 TILE_X 76966 TILEPROP NULL_X97Y134 TILE_Y 171896 TILEPROP NULL_X97Y134 TYPE NULL TILEPROP NULL_X97Y135 CLASS tile TILEPROP NULL_X97Y135 COLUMN 97 TILEPROP NULL_X97Y135 DEVICE_ID 0 TILEPROP NULL_X97Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X97Y135 GRID_POINT_X 97 TILEPROP NULL_X97Y135 GRID_POINT_Y 21 TILEPROP NULL_X97Y135 INDEX 2512 TILEPROP NULL_X97Y135 INT_TILE_X 37 TILEPROP NULL_X97Y135 INT_TILE_Y 20 TILEPROP NULL_X97Y135 IS_CENTER_TILE 0 TILEPROP NULL_X97Y135 IS_DCM_TILE 0 TILEPROP NULL_X97Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y135 NAME NULL_X97Y135 TILEPROP NULL_X97Y135 NUM_ARCS 0 TILEPROP NULL_X97Y135 NUM_SITES 0 TILEPROP NULL_X97Y135 ROW 21 TILEPROP NULL_X97Y135 SLR_REGION_ID 0 TILEPROP NULL_X97Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y135 TILE_TYPE NULL TILEPROP NULL_X97Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y135 TILE_X 76966 TILEPROP NULL_X97Y135 TILE_Y 175096 TILEPROP NULL_X97Y135 TYPE NULL TILEPROP NULL_X97Y136 CLASS tile TILEPROP NULL_X97Y136 COLUMN 97 TILEPROP NULL_X97Y136 DEVICE_ID 0 TILEPROP NULL_X97Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X97Y136 GRID_POINT_X 97 TILEPROP NULL_X97Y136 GRID_POINT_Y 20 TILEPROP NULL_X97Y136 INDEX 2397 TILEPROP NULL_X97Y136 INT_TILE_X 37 TILEPROP NULL_X97Y136 INT_TILE_Y 19 TILEPROP NULL_X97Y136 IS_CENTER_TILE 0 TILEPROP NULL_X97Y136 IS_DCM_TILE 0 TILEPROP NULL_X97Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y136 NAME NULL_X97Y136 TILEPROP NULL_X97Y136 NUM_ARCS 0 TILEPROP NULL_X97Y136 NUM_SITES 0 TILEPROP NULL_X97Y136 ROW 20 TILEPROP NULL_X97Y136 SLR_REGION_ID 0 TILEPROP NULL_X97Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y136 TILE_TYPE NULL TILEPROP NULL_X97Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y136 TILE_X 76966 TILEPROP NULL_X97Y136 TILE_Y 178296 TILEPROP NULL_X97Y136 TYPE NULL TILEPROP NULL_X97Y137 CLASS tile TILEPROP NULL_X97Y137 COLUMN 97 TILEPROP NULL_X97Y137 DEVICE_ID 0 TILEPROP NULL_X97Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X97Y137 GRID_POINT_X 97 TILEPROP NULL_X97Y137 GRID_POINT_Y 19 TILEPROP NULL_X97Y137 INDEX 2282 TILEPROP NULL_X97Y137 INT_TILE_X 37 TILEPROP NULL_X97Y137 INT_TILE_Y 18 TILEPROP NULL_X97Y137 IS_CENTER_TILE 0 TILEPROP NULL_X97Y137 IS_DCM_TILE 0 TILEPROP NULL_X97Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y137 NAME NULL_X97Y137 TILEPROP NULL_X97Y137 NUM_ARCS 0 TILEPROP NULL_X97Y137 NUM_SITES 0 TILEPROP NULL_X97Y137 ROW 19 TILEPROP NULL_X97Y137 SLR_REGION_ID 0 TILEPROP NULL_X97Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y137 TILE_TYPE NULL TILEPROP NULL_X97Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y137 TILE_X 76966 TILEPROP NULL_X97Y137 TILE_Y 181496 TILEPROP NULL_X97Y137 TYPE NULL TILEPROP NULL_X97Y138 CLASS tile TILEPROP NULL_X97Y138 COLUMN 97 TILEPROP NULL_X97Y138 DEVICE_ID 0 TILEPROP NULL_X97Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X97Y138 GRID_POINT_X 97 TILEPROP NULL_X97Y138 GRID_POINT_Y 18 TILEPROP NULL_X97Y138 INDEX 2167 TILEPROP NULL_X97Y138 INT_TILE_X 37 TILEPROP NULL_X97Y138 INT_TILE_Y 17 TILEPROP NULL_X97Y138 IS_CENTER_TILE 0 TILEPROP NULL_X97Y138 IS_DCM_TILE 0 TILEPROP NULL_X97Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y138 NAME NULL_X97Y138 TILEPROP NULL_X97Y138 NUM_ARCS 0 TILEPROP NULL_X97Y138 NUM_SITES 0 TILEPROP NULL_X97Y138 ROW 18 TILEPROP NULL_X97Y138 SLR_REGION_ID 0 TILEPROP NULL_X97Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y138 TILE_TYPE NULL TILEPROP NULL_X97Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y138 TILE_X 76966 TILEPROP NULL_X97Y138 TILE_Y 184696 TILEPROP NULL_X97Y138 TYPE NULL TILEPROP NULL_X97Y140 CLASS tile TILEPROP NULL_X97Y140 COLUMN 97 TILEPROP NULL_X97Y140 DEVICE_ID 0 TILEPROP NULL_X97Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X97Y140 GRID_POINT_X 97 TILEPROP NULL_X97Y140 GRID_POINT_Y 16 TILEPROP NULL_X97Y140 INDEX 1937 TILEPROP NULL_X97Y140 INT_TILE_X 37 TILEPROP NULL_X97Y140 INT_TILE_Y 15 TILEPROP NULL_X97Y140 IS_CENTER_TILE 0 TILEPROP NULL_X97Y140 IS_DCM_TILE 0 TILEPROP NULL_X97Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y140 NAME NULL_X97Y140 TILEPROP NULL_X97Y140 NUM_ARCS 0 TILEPROP NULL_X97Y140 NUM_SITES 0 TILEPROP NULL_X97Y140 ROW 16 TILEPROP NULL_X97Y140 SLR_REGION_ID 0 TILEPROP NULL_X97Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y140 TILE_TYPE NULL TILEPROP NULL_X97Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y140 TILE_X 76966 TILEPROP NULL_X97Y140 TILE_Y 191096 TILEPROP NULL_X97Y140 TYPE NULL TILEPROP NULL_X97Y141 CLASS tile TILEPROP NULL_X97Y141 COLUMN 97 TILEPROP NULL_X97Y141 DEVICE_ID 0 TILEPROP NULL_X97Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X97Y141 GRID_POINT_X 97 TILEPROP NULL_X97Y141 GRID_POINT_Y 15 TILEPROP NULL_X97Y141 INDEX 1822 TILEPROP NULL_X97Y141 INT_TILE_X 37 TILEPROP NULL_X97Y141 INT_TILE_Y 14 TILEPROP NULL_X97Y141 IS_CENTER_TILE 0 TILEPROP NULL_X97Y141 IS_DCM_TILE 0 TILEPROP NULL_X97Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y141 NAME NULL_X97Y141 TILEPROP NULL_X97Y141 NUM_ARCS 0 TILEPROP NULL_X97Y141 NUM_SITES 0 TILEPROP NULL_X97Y141 ROW 15 TILEPROP NULL_X97Y141 SLR_REGION_ID 0 TILEPROP NULL_X97Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y141 TILE_TYPE NULL TILEPROP NULL_X97Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y141 TILE_X 76966 TILEPROP NULL_X97Y141 TILE_Y 194296 TILEPROP NULL_X97Y141 TYPE NULL TILEPROP NULL_X97Y142 CLASS tile TILEPROP NULL_X97Y142 COLUMN 97 TILEPROP NULL_X97Y142 DEVICE_ID 0 TILEPROP NULL_X97Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X97Y142 GRID_POINT_X 97 TILEPROP NULL_X97Y142 GRID_POINT_Y 14 TILEPROP NULL_X97Y142 INDEX 1707 TILEPROP NULL_X97Y142 INT_TILE_X 37 TILEPROP NULL_X97Y142 INT_TILE_Y 13 TILEPROP NULL_X97Y142 IS_CENTER_TILE 0 TILEPROP NULL_X97Y142 IS_DCM_TILE 0 TILEPROP NULL_X97Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y142 NAME NULL_X97Y142 TILEPROP NULL_X97Y142 NUM_ARCS 0 TILEPROP NULL_X97Y142 NUM_SITES 0 TILEPROP NULL_X97Y142 ROW 14 TILEPROP NULL_X97Y142 SLR_REGION_ID 0 TILEPROP NULL_X97Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y142 TILE_TYPE NULL TILEPROP NULL_X97Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y142 TILE_X 76966 TILEPROP NULL_X97Y142 TILE_Y 197496 TILEPROP NULL_X97Y142 TYPE NULL TILEPROP NULL_X97Y143 CLASS tile TILEPROP NULL_X97Y143 COLUMN 97 TILEPROP NULL_X97Y143 DEVICE_ID 0 TILEPROP NULL_X97Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X97Y143 GRID_POINT_X 97 TILEPROP NULL_X97Y143 GRID_POINT_Y 13 TILEPROP NULL_X97Y143 INDEX 1592 TILEPROP NULL_X97Y143 INT_TILE_X 37 TILEPROP NULL_X97Y143 INT_TILE_Y 12 TILEPROP NULL_X97Y143 IS_CENTER_TILE 0 TILEPROP NULL_X97Y143 IS_DCM_TILE 0 TILEPROP NULL_X97Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y143 NAME NULL_X97Y143 TILEPROP NULL_X97Y143 NUM_ARCS 0 TILEPROP NULL_X97Y143 NUM_SITES 0 TILEPROP NULL_X97Y143 ROW 13 TILEPROP NULL_X97Y143 SLR_REGION_ID 0 TILEPROP NULL_X97Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y143 TILE_TYPE NULL TILEPROP NULL_X97Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y143 TILE_X 76966 TILEPROP NULL_X97Y143 TILE_Y 200696 TILEPROP NULL_X97Y143 TYPE NULL TILEPROP NULL_X97Y144 CLASS tile TILEPROP NULL_X97Y144 COLUMN 97 TILEPROP NULL_X97Y144 DEVICE_ID 0 TILEPROP NULL_X97Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X97Y144 GRID_POINT_X 97 TILEPROP NULL_X97Y144 GRID_POINT_Y 12 TILEPROP NULL_X97Y144 INDEX 1477 TILEPROP NULL_X97Y144 INT_TILE_X 37 TILEPROP NULL_X97Y144 INT_TILE_Y 11 TILEPROP NULL_X97Y144 IS_CENTER_TILE 0 TILEPROP NULL_X97Y144 IS_DCM_TILE 0 TILEPROP NULL_X97Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y144 NAME NULL_X97Y144 TILEPROP NULL_X97Y144 NUM_ARCS 0 TILEPROP NULL_X97Y144 NUM_SITES 0 TILEPROP NULL_X97Y144 ROW 12 TILEPROP NULL_X97Y144 SLR_REGION_ID 0 TILEPROP NULL_X97Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y144 TILE_TYPE NULL TILEPROP NULL_X97Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y144 TILE_X 76966 TILEPROP NULL_X97Y144 TILE_Y 203896 TILEPROP NULL_X97Y144 TYPE NULL TILEPROP NULL_X97Y145 CLASS tile TILEPROP NULL_X97Y145 COLUMN 97 TILEPROP NULL_X97Y145 DEVICE_ID 0 TILEPROP NULL_X97Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X97Y145 GRID_POINT_X 97 TILEPROP NULL_X97Y145 GRID_POINT_Y 11 TILEPROP NULL_X97Y145 INDEX 1362 TILEPROP NULL_X97Y145 INT_TILE_X 37 TILEPROP NULL_X97Y145 INT_TILE_Y 10 TILEPROP NULL_X97Y145 IS_CENTER_TILE 0 TILEPROP NULL_X97Y145 IS_DCM_TILE 0 TILEPROP NULL_X97Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y145 NAME NULL_X97Y145 TILEPROP NULL_X97Y145 NUM_ARCS 0 TILEPROP NULL_X97Y145 NUM_SITES 0 TILEPROP NULL_X97Y145 ROW 11 TILEPROP NULL_X97Y145 SLR_REGION_ID 0 TILEPROP NULL_X97Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y145 TILE_TYPE NULL TILEPROP NULL_X97Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y145 TILE_X 76966 TILEPROP NULL_X97Y145 TILE_Y 207096 TILEPROP NULL_X97Y145 TYPE NULL TILEPROP NULL_X97Y146 CLASS tile TILEPROP NULL_X97Y146 COLUMN 97 TILEPROP NULL_X97Y146 DEVICE_ID 0 TILEPROP NULL_X97Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X97Y146 GRID_POINT_X 97 TILEPROP NULL_X97Y146 GRID_POINT_Y 10 TILEPROP NULL_X97Y146 INDEX 1247 TILEPROP NULL_X97Y146 INT_TILE_X 37 TILEPROP NULL_X97Y146 INT_TILE_Y 9 TILEPROP NULL_X97Y146 IS_CENTER_TILE 0 TILEPROP NULL_X97Y146 IS_DCM_TILE 0 TILEPROP NULL_X97Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y146 NAME NULL_X97Y146 TILEPROP NULL_X97Y146 NUM_ARCS 0 TILEPROP NULL_X97Y146 NUM_SITES 0 TILEPROP NULL_X97Y146 ROW 10 TILEPROP NULL_X97Y146 SLR_REGION_ID 0 TILEPROP NULL_X97Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y146 TILE_TYPE NULL TILEPROP NULL_X97Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y146 TILE_X 76966 TILEPROP NULL_X97Y146 TILE_Y 210296 TILEPROP NULL_X97Y146 TYPE NULL TILEPROP NULL_X97Y147 CLASS tile TILEPROP NULL_X97Y147 COLUMN 97 TILEPROP NULL_X97Y147 DEVICE_ID 0 TILEPROP NULL_X97Y147 FIRST_SITE_ID 920 TILEPROP NULL_X97Y147 GRID_POINT_X 97 TILEPROP NULL_X97Y147 GRID_POINT_Y 9 TILEPROP NULL_X97Y147 INDEX 1132 TILEPROP NULL_X97Y147 INT_TILE_X 37 TILEPROP NULL_X97Y147 INT_TILE_Y 8 TILEPROP NULL_X97Y147 IS_CENTER_TILE 0 TILEPROP NULL_X97Y147 IS_DCM_TILE 0 TILEPROP NULL_X97Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y147 NAME NULL_X97Y147 TILEPROP NULL_X97Y147 NUM_ARCS 0 TILEPROP NULL_X97Y147 NUM_SITES 0 TILEPROP NULL_X97Y147 ROW 9 TILEPROP NULL_X97Y147 SLR_REGION_ID 0 TILEPROP NULL_X97Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y147 TILE_TYPE NULL TILEPROP NULL_X97Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y147 TILE_X 76966 TILEPROP NULL_X97Y147 TILE_Y 213496 TILEPROP NULL_X97Y147 TYPE NULL TILEPROP NULL_X97Y148 CLASS tile TILEPROP NULL_X97Y148 COLUMN 97 TILEPROP NULL_X97Y148 DEVICE_ID 0 TILEPROP NULL_X97Y148 FIRST_SITE_ID 816 TILEPROP NULL_X97Y148 GRID_POINT_X 97 TILEPROP NULL_X97Y148 GRID_POINT_Y 8 TILEPROP NULL_X97Y148 INDEX 1017 TILEPROP NULL_X97Y148 INT_TILE_X 37 TILEPROP NULL_X97Y148 INT_TILE_Y 7 TILEPROP NULL_X97Y148 IS_CENTER_TILE 0 TILEPROP NULL_X97Y148 IS_DCM_TILE 0 TILEPROP NULL_X97Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y148 NAME NULL_X97Y148 TILEPROP NULL_X97Y148 NUM_ARCS 0 TILEPROP NULL_X97Y148 NUM_SITES 0 TILEPROP NULL_X97Y148 ROW 8 TILEPROP NULL_X97Y148 SLR_REGION_ID 0 TILEPROP NULL_X97Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y148 TILE_TYPE NULL TILEPROP NULL_X97Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y148 TILE_X 76966 TILEPROP NULL_X97Y148 TILE_Y 216696 TILEPROP NULL_X97Y148 TYPE NULL TILEPROP NULL_X97Y149 CLASS tile TILEPROP NULL_X97Y149 COLUMN 97 TILEPROP NULL_X97Y149 DEVICE_ID 0 TILEPROP NULL_X97Y149 FIRST_SITE_ID 719 TILEPROP NULL_X97Y149 GRID_POINT_X 97 TILEPROP NULL_X97Y149 GRID_POINT_Y 7 TILEPROP NULL_X97Y149 INDEX 902 TILEPROP NULL_X97Y149 INT_TILE_X 37 TILEPROP NULL_X97Y149 INT_TILE_Y 6 TILEPROP NULL_X97Y149 IS_CENTER_TILE 0 TILEPROP NULL_X97Y149 IS_DCM_TILE 0 TILEPROP NULL_X97Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y149 NAME NULL_X97Y149 TILEPROP NULL_X97Y149 NUM_ARCS 0 TILEPROP NULL_X97Y149 NUM_SITES 0 TILEPROP NULL_X97Y149 ROW 7 TILEPROP NULL_X97Y149 SLR_REGION_ID 0 TILEPROP NULL_X97Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y149 TILE_TYPE NULL TILEPROP NULL_X97Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y149 TILE_X 76966 TILEPROP NULL_X97Y149 TILE_Y 219896 TILEPROP NULL_X97Y149 TYPE NULL TILEPROP NULL_X97Y151 CLASS tile TILEPROP NULL_X97Y151 COLUMN 97 TILEPROP NULL_X97Y151 DEVICE_ID 0 TILEPROP NULL_X97Y151 FIRST_SITE_ID 512 TILEPROP NULL_X97Y151 GRID_POINT_X 97 TILEPROP NULL_X97Y151 GRID_POINT_Y 5 TILEPROP NULL_X97Y151 INDEX 672 TILEPROP NULL_X97Y151 INT_TILE_X 37 TILEPROP NULL_X97Y151 INT_TILE_Y 4 TILEPROP NULL_X97Y151 IS_CENTER_TILE 0 TILEPROP NULL_X97Y151 IS_DCM_TILE 0 TILEPROP NULL_X97Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y151 NAME NULL_X97Y151 TILEPROP NULL_X97Y151 NUM_ARCS 0 TILEPROP NULL_X97Y151 NUM_SITES 0 TILEPROP NULL_X97Y151 ROW 5 TILEPROP NULL_X97Y151 SLR_REGION_ID 0 TILEPROP NULL_X97Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y151 TILE_TYPE NULL TILEPROP NULL_X97Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y151 TILE_X 76966 TILEPROP NULL_X97Y151 TILE_Y 226296 TILEPROP NULL_X97Y151 TYPE NULL TILEPROP NULL_X97Y152 CLASS tile TILEPROP NULL_X97Y152 COLUMN 97 TILEPROP NULL_X97Y152 DEVICE_ID 0 TILEPROP NULL_X97Y152 FIRST_SITE_ID 396 TILEPROP NULL_X97Y152 GRID_POINT_X 97 TILEPROP NULL_X97Y152 GRID_POINT_Y 4 TILEPROP NULL_X97Y152 INDEX 557 TILEPROP NULL_X97Y152 INT_TILE_X 37 TILEPROP NULL_X97Y152 INT_TILE_Y 3 TILEPROP NULL_X97Y152 IS_CENTER_TILE 0 TILEPROP NULL_X97Y152 IS_DCM_TILE 0 TILEPROP NULL_X97Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y152 NAME NULL_X97Y152 TILEPROP NULL_X97Y152 NUM_ARCS 0 TILEPROP NULL_X97Y152 NUM_SITES 0 TILEPROP NULL_X97Y152 ROW 4 TILEPROP NULL_X97Y152 SLR_REGION_ID 0 TILEPROP NULL_X97Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y152 TILE_TYPE NULL TILEPROP NULL_X97Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y152 TILE_X 76966 TILEPROP NULL_X97Y152 TILE_Y 229496 TILEPROP NULL_X97Y152 TYPE NULL TILEPROP NULL_X97Y153 CLASS tile TILEPROP NULL_X97Y153 COLUMN 97 TILEPROP NULL_X97Y153 DEVICE_ID 0 TILEPROP NULL_X97Y153 FIRST_SITE_ID 300 TILEPROP NULL_X97Y153 GRID_POINT_X 97 TILEPROP NULL_X97Y153 GRID_POINT_Y 3 TILEPROP NULL_X97Y153 INDEX 442 TILEPROP NULL_X97Y153 INT_TILE_X 37 TILEPROP NULL_X97Y153 INT_TILE_Y 2 TILEPROP NULL_X97Y153 IS_CENTER_TILE 0 TILEPROP NULL_X97Y153 IS_DCM_TILE 0 TILEPROP NULL_X97Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y153 NAME NULL_X97Y153 TILEPROP NULL_X97Y153 NUM_ARCS 0 TILEPROP NULL_X97Y153 NUM_SITES 0 TILEPROP NULL_X97Y153 ROW 3 TILEPROP NULL_X97Y153 SLR_REGION_ID 0 TILEPROP NULL_X97Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y153 TILE_TYPE NULL TILEPROP NULL_X97Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y153 TILE_X 76966 TILEPROP NULL_X97Y153 TILE_Y 232696 TILEPROP NULL_X97Y153 TYPE NULL TILEPROP NULL_X97Y154 CLASS tile TILEPROP NULL_X97Y154 COLUMN 97 TILEPROP NULL_X97Y154 DEVICE_ID 0 TILEPROP NULL_X97Y154 FIRST_SITE_ID 196 TILEPROP NULL_X97Y154 GRID_POINT_X 97 TILEPROP NULL_X97Y154 GRID_POINT_Y 2 TILEPROP NULL_X97Y154 INDEX 327 TILEPROP NULL_X97Y154 INT_TILE_X 37 TILEPROP NULL_X97Y154 INT_TILE_Y 1 TILEPROP NULL_X97Y154 IS_CENTER_TILE 0 TILEPROP NULL_X97Y154 IS_DCM_TILE 0 TILEPROP NULL_X97Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y154 NAME NULL_X97Y154 TILEPROP NULL_X97Y154 NUM_ARCS 0 TILEPROP NULL_X97Y154 NUM_SITES 0 TILEPROP NULL_X97Y154 ROW 2 TILEPROP NULL_X97Y154 SLR_REGION_ID 0 TILEPROP NULL_X97Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y154 TILE_TYPE NULL TILEPROP NULL_X97Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y154 TILE_X 76966 TILEPROP NULL_X97Y154 TILE_Y 235896 TILEPROP NULL_X97Y154 TYPE NULL TILEPROP NULL_X97Y155 CLASS tile TILEPROP NULL_X97Y155 COLUMN 97 TILEPROP NULL_X97Y155 DEVICE_ID 0 TILEPROP NULL_X97Y155 FIRST_SITE_ID 100 TILEPROP NULL_X97Y155 GRID_POINT_X 97 TILEPROP NULL_X97Y155 GRID_POINT_Y 1 TILEPROP NULL_X97Y155 INDEX 212 TILEPROP NULL_X97Y155 INT_TILE_X 37 TILEPROP NULL_X97Y155 INT_TILE_Y 0 TILEPROP NULL_X97Y155 IS_CENTER_TILE 0 TILEPROP NULL_X97Y155 IS_DCM_TILE 0 TILEPROP NULL_X97Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y155 NAME NULL_X97Y155 TILEPROP NULL_X97Y155 NUM_ARCS 0 TILEPROP NULL_X97Y155 NUM_SITES 0 TILEPROP NULL_X97Y155 ROW 1 TILEPROP NULL_X97Y155 SLR_REGION_ID 0 TILEPROP NULL_X97Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y155 TILE_TYPE NULL TILEPROP NULL_X97Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y155 TILE_X 76966 TILEPROP NULL_X97Y155 TILE_Y 239096 TILEPROP NULL_X97Y155 TYPE NULL TILEPROP NULL_X97Y156 CLASS tile TILEPROP NULL_X97Y156 COLUMN 97 TILEPROP NULL_X97Y156 DEVICE_ID 0 TILEPROP NULL_X97Y156 FIRST_SITE_ID 0 TILEPROP NULL_X97Y156 GRID_POINT_X 97 TILEPROP NULL_X97Y156 GRID_POINT_Y 0 TILEPROP NULL_X97Y156 INDEX 97 TILEPROP NULL_X97Y156 INT_TILE_X -1 TILEPROP NULL_X97Y156 INT_TILE_Y -1 TILEPROP NULL_X97Y156 IS_CENTER_TILE 0 TILEPROP NULL_X97Y156 IS_DCM_TILE 0 TILEPROP NULL_X97Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X97Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X97Y156 NAME NULL_X97Y156 TILEPROP NULL_X97Y156 NUM_ARCS 0 TILEPROP NULL_X97Y156 NUM_SITES 0 TILEPROP NULL_X97Y156 ROW 0 TILEPROP NULL_X97Y156 SLR_REGION_ID 0 TILEPROP NULL_X97Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X97Y156 TILE_TYPE NULL TILEPROP NULL_X97Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X97Y156 TILE_X 76966 TILEPROP NULL_X97Y156 TILE_Y 242296 TILEPROP NULL_X97Y156 TYPE NULL TILEPROP NULL_X98Y105 CLASS tile TILEPROP NULL_X98Y105 COLUMN 98 TILEPROP NULL_X98Y105 DEVICE_ID 0 TILEPROP NULL_X98Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X98Y105 GRID_POINT_X 98 TILEPROP NULL_X98Y105 GRID_POINT_Y 51 TILEPROP NULL_X98Y105 INDEX 5963 TILEPROP NULL_X98Y105 INT_TILE_X -1 TILEPROP NULL_X98Y105 INT_TILE_Y -1 TILEPROP NULL_X98Y105 IS_CENTER_TILE 0 TILEPROP NULL_X98Y105 IS_DCM_TILE 0 TILEPROP NULL_X98Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y105 NAME NULL_X98Y105 TILEPROP NULL_X98Y105 NUM_ARCS 0 TILEPROP NULL_X98Y105 NUM_SITES 0 TILEPROP NULL_X98Y105 ROW 51 TILEPROP NULL_X98Y105 SLR_REGION_ID 0 TILEPROP NULL_X98Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y105 TILE_TYPE NULL TILEPROP NULL_X98Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y105 TILE_X 77662 TILEPROP NULL_X98Y105 TILE_Y 81272 TILEPROP NULL_X98Y105 TYPE NULL TILEPROP NULL_X98Y106 CLASS tile TILEPROP NULL_X98Y106 COLUMN 98 TILEPROP NULL_X98Y106 DEVICE_ID 0 TILEPROP NULL_X98Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X98Y106 GRID_POINT_X 98 TILEPROP NULL_X98Y106 GRID_POINT_Y 50 TILEPROP NULL_X98Y106 INDEX 5848 TILEPROP NULL_X98Y106 INT_TILE_X -1 TILEPROP NULL_X98Y106 INT_TILE_Y -1 TILEPROP NULL_X98Y106 IS_CENTER_TILE 0 TILEPROP NULL_X98Y106 IS_DCM_TILE 0 TILEPROP NULL_X98Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y106 NAME NULL_X98Y106 TILEPROP NULL_X98Y106 NUM_ARCS 0 TILEPROP NULL_X98Y106 NUM_SITES 0 TILEPROP NULL_X98Y106 ROW 50 TILEPROP NULL_X98Y106 SLR_REGION_ID 0 TILEPROP NULL_X98Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y106 TILE_TYPE NULL TILEPROP NULL_X98Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y106 TILE_X 77662 TILEPROP NULL_X98Y106 TILE_Y 84472 TILEPROP NULL_X98Y106 TYPE NULL TILEPROP NULL_X98Y107 CLASS tile TILEPROP NULL_X98Y107 COLUMN 98 TILEPROP NULL_X98Y107 DEVICE_ID 0 TILEPROP NULL_X98Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X98Y107 GRID_POINT_X 98 TILEPROP NULL_X98Y107 GRID_POINT_Y 49 TILEPROP NULL_X98Y107 INDEX 5733 TILEPROP NULL_X98Y107 INT_TILE_X -1 TILEPROP NULL_X98Y107 INT_TILE_Y -1 TILEPROP NULL_X98Y107 IS_CENTER_TILE 0 TILEPROP NULL_X98Y107 IS_DCM_TILE 0 TILEPROP NULL_X98Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y107 NAME NULL_X98Y107 TILEPROP NULL_X98Y107 NUM_ARCS 0 TILEPROP NULL_X98Y107 NUM_SITES 0 TILEPROP NULL_X98Y107 ROW 49 TILEPROP NULL_X98Y107 SLR_REGION_ID 0 TILEPROP NULL_X98Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y107 TILE_TYPE NULL TILEPROP NULL_X98Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y107 TILE_X 77662 TILEPROP NULL_X98Y107 TILE_Y 87672 TILEPROP NULL_X98Y107 TYPE NULL TILEPROP NULL_X98Y108 CLASS tile TILEPROP NULL_X98Y108 COLUMN 98 TILEPROP NULL_X98Y108 DEVICE_ID 0 TILEPROP NULL_X98Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X98Y108 GRID_POINT_X 98 TILEPROP NULL_X98Y108 GRID_POINT_Y 48 TILEPROP NULL_X98Y108 INDEX 5618 TILEPROP NULL_X98Y108 INT_TILE_X -1 TILEPROP NULL_X98Y108 INT_TILE_Y -1 TILEPROP NULL_X98Y108 IS_CENTER_TILE 0 TILEPROP NULL_X98Y108 IS_DCM_TILE 0 TILEPROP NULL_X98Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y108 NAME NULL_X98Y108 TILEPROP NULL_X98Y108 NUM_ARCS 0 TILEPROP NULL_X98Y108 NUM_SITES 0 TILEPROP NULL_X98Y108 ROW 48 TILEPROP NULL_X98Y108 SLR_REGION_ID 0 TILEPROP NULL_X98Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y108 TILE_TYPE NULL TILEPROP NULL_X98Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y108 TILE_X 77662 TILEPROP NULL_X98Y108 TILE_Y 90872 TILEPROP NULL_X98Y108 TYPE NULL TILEPROP NULL_X98Y109 CLASS tile TILEPROP NULL_X98Y109 COLUMN 98 TILEPROP NULL_X98Y109 DEVICE_ID 0 TILEPROP NULL_X98Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X98Y109 GRID_POINT_X 98 TILEPROP NULL_X98Y109 GRID_POINT_Y 47 TILEPROP NULL_X98Y109 INDEX 5503 TILEPROP NULL_X98Y109 INT_TILE_X -1 TILEPROP NULL_X98Y109 INT_TILE_Y -1 TILEPROP NULL_X98Y109 IS_CENTER_TILE 0 TILEPROP NULL_X98Y109 IS_DCM_TILE 0 TILEPROP NULL_X98Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y109 NAME NULL_X98Y109 TILEPROP NULL_X98Y109 NUM_ARCS 0 TILEPROP NULL_X98Y109 NUM_SITES 0 TILEPROP NULL_X98Y109 ROW 47 TILEPROP NULL_X98Y109 SLR_REGION_ID 0 TILEPROP NULL_X98Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y109 TILE_TYPE NULL TILEPROP NULL_X98Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y109 TILE_X 77662 TILEPROP NULL_X98Y109 TILE_Y 94072 TILEPROP NULL_X98Y109 TYPE NULL TILEPROP NULL_X98Y110 CLASS tile TILEPROP NULL_X98Y110 COLUMN 98 TILEPROP NULL_X98Y110 DEVICE_ID 0 TILEPROP NULL_X98Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X98Y110 GRID_POINT_X 98 TILEPROP NULL_X98Y110 GRID_POINT_Y 46 TILEPROP NULL_X98Y110 INDEX 5388 TILEPROP NULL_X98Y110 INT_TILE_X -1 TILEPROP NULL_X98Y110 INT_TILE_Y -1 TILEPROP NULL_X98Y110 IS_CENTER_TILE 0 TILEPROP NULL_X98Y110 IS_DCM_TILE 0 TILEPROP NULL_X98Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y110 NAME NULL_X98Y110 TILEPROP NULL_X98Y110 NUM_ARCS 0 TILEPROP NULL_X98Y110 NUM_SITES 0 TILEPROP NULL_X98Y110 ROW 46 TILEPROP NULL_X98Y110 SLR_REGION_ID 0 TILEPROP NULL_X98Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y110 TILE_TYPE NULL TILEPROP NULL_X98Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y110 TILE_X 77662 TILEPROP NULL_X98Y110 TILE_Y 97272 TILEPROP NULL_X98Y110 TYPE NULL TILEPROP NULL_X98Y111 CLASS tile TILEPROP NULL_X98Y111 COLUMN 98 TILEPROP NULL_X98Y111 DEVICE_ID 0 TILEPROP NULL_X98Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X98Y111 GRID_POINT_X 98 TILEPROP NULL_X98Y111 GRID_POINT_Y 45 TILEPROP NULL_X98Y111 INDEX 5273 TILEPROP NULL_X98Y111 INT_TILE_X -1 TILEPROP NULL_X98Y111 INT_TILE_Y -1 TILEPROP NULL_X98Y111 IS_CENTER_TILE 0 TILEPROP NULL_X98Y111 IS_DCM_TILE 0 TILEPROP NULL_X98Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y111 NAME NULL_X98Y111 TILEPROP NULL_X98Y111 NUM_ARCS 0 TILEPROP NULL_X98Y111 NUM_SITES 0 TILEPROP NULL_X98Y111 ROW 45 TILEPROP NULL_X98Y111 SLR_REGION_ID 0 TILEPROP NULL_X98Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y111 TILE_TYPE NULL TILEPROP NULL_X98Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y111 TILE_X 77662 TILEPROP NULL_X98Y111 TILE_Y 100472 TILEPROP NULL_X98Y111 TYPE NULL TILEPROP NULL_X98Y112 CLASS tile TILEPROP NULL_X98Y112 COLUMN 98 TILEPROP NULL_X98Y112 DEVICE_ID 0 TILEPROP NULL_X98Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X98Y112 GRID_POINT_X 98 TILEPROP NULL_X98Y112 GRID_POINT_Y 44 TILEPROP NULL_X98Y112 INDEX 5158 TILEPROP NULL_X98Y112 INT_TILE_X -1 TILEPROP NULL_X98Y112 INT_TILE_Y -1 TILEPROP NULL_X98Y112 IS_CENTER_TILE 0 TILEPROP NULL_X98Y112 IS_DCM_TILE 0 TILEPROP NULL_X98Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y112 NAME NULL_X98Y112 TILEPROP NULL_X98Y112 NUM_ARCS 0 TILEPROP NULL_X98Y112 NUM_SITES 0 TILEPROP NULL_X98Y112 ROW 44 TILEPROP NULL_X98Y112 SLR_REGION_ID 0 TILEPROP NULL_X98Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y112 TILE_TYPE NULL TILEPROP NULL_X98Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y112 TILE_X 77662 TILEPROP NULL_X98Y112 TILE_Y 103672 TILEPROP NULL_X98Y112 TYPE NULL TILEPROP NULL_X98Y113 CLASS tile TILEPROP NULL_X98Y113 COLUMN 98 TILEPROP NULL_X98Y113 DEVICE_ID 0 TILEPROP NULL_X98Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X98Y113 GRID_POINT_X 98 TILEPROP NULL_X98Y113 GRID_POINT_Y 43 TILEPROP NULL_X98Y113 INDEX 5043 TILEPROP NULL_X98Y113 INT_TILE_X -1 TILEPROP NULL_X98Y113 INT_TILE_Y -1 TILEPROP NULL_X98Y113 IS_CENTER_TILE 0 TILEPROP NULL_X98Y113 IS_DCM_TILE 0 TILEPROP NULL_X98Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y113 NAME NULL_X98Y113 TILEPROP NULL_X98Y113 NUM_ARCS 0 TILEPROP NULL_X98Y113 NUM_SITES 0 TILEPROP NULL_X98Y113 ROW 43 TILEPROP NULL_X98Y113 SLR_REGION_ID 0 TILEPROP NULL_X98Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y113 TILE_TYPE NULL TILEPROP NULL_X98Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y113 TILE_X 77662 TILEPROP NULL_X98Y113 TILE_Y 106872 TILEPROP NULL_X98Y113 TYPE NULL TILEPROP NULL_X98Y114 CLASS tile TILEPROP NULL_X98Y114 COLUMN 98 TILEPROP NULL_X98Y114 DEVICE_ID 0 TILEPROP NULL_X98Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X98Y114 GRID_POINT_X 98 TILEPROP NULL_X98Y114 GRID_POINT_Y 42 TILEPROP NULL_X98Y114 INDEX 4928 TILEPROP NULL_X98Y114 INT_TILE_X -1 TILEPROP NULL_X98Y114 INT_TILE_Y -1 TILEPROP NULL_X98Y114 IS_CENTER_TILE 0 TILEPROP NULL_X98Y114 IS_DCM_TILE 0 TILEPROP NULL_X98Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y114 NAME NULL_X98Y114 TILEPROP NULL_X98Y114 NUM_ARCS 0 TILEPROP NULL_X98Y114 NUM_SITES 0 TILEPROP NULL_X98Y114 ROW 42 TILEPROP NULL_X98Y114 SLR_REGION_ID 0 TILEPROP NULL_X98Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y114 TILE_TYPE NULL TILEPROP NULL_X98Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y114 TILE_X 77662 TILEPROP NULL_X98Y114 TILE_Y 110072 TILEPROP NULL_X98Y114 TYPE NULL TILEPROP NULL_X98Y115 CLASS tile TILEPROP NULL_X98Y115 COLUMN 98 TILEPROP NULL_X98Y115 DEVICE_ID 0 TILEPROP NULL_X98Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X98Y115 GRID_POINT_X 98 TILEPROP NULL_X98Y115 GRID_POINT_Y 41 TILEPROP NULL_X98Y115 INDEX 4813 TILEPROP NULL_X98Y115 INT_TILE_X -1 TILEPROP NULL_X98Y115 INT_TILE_Y -1 TILEPROP NULL_X98Y115 IS_CENTER_TILE 0 TILEPROP NULL_X98Y115 IS_DCM_TILE 0 TILEPROP NULL_X98Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y115 NAME NULL_X98Y115 TILEPROP NULL_X98Y115 NUM_ARCS 0 TILEPROP NULL_X98Y115 NUM_SITES 0 TILEPROP NULL_X98Y115 ROW 41 TILEPROP NULL_X98Y115 SLR_REGION_ID 0 TILEPROP NULL_X98Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y115 TILE_TYPE NULL TILEPROP NULL_X98Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y115 TILE_X 77662 TILEPROP NULL_X98Y115 TILE_Y 113272 TILEPROP NULL_X98Y115 TYPE NULL TILEPROP NULL_X98Y116 CLASS tile TILEPROP NULL_X98Y116 COLUMN 98 TILEPROP NULL_X98Y116 DEVICE_ID 0 TILEPROP NULL_X98Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X98Y116 GRID_POINT_X 98 TILEPROP NULL_X98Y116 GRID_POINT_Y 40 TILEPROP NULL_X98Y116 INDEX 4698 TILEPROP NULL_X98Y116 INT_TILE_X -1 TILEPROP NULL_X98Y116 INT_TILE_Y -1 TILEPROP NULL_X98Y116 IS_CENTER_TILE 0 TILEPROP NULL_X98Y116 IS_DCM_TILE 0 TILEPROP NULL_X98Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y116 NAME NULL_X98Y116 TILEPROP NULL_X98Y116 NUM_ARCS 0 TILEPROP NULL_X98Y116 NUM_SITES 0 TILEPROP NULL_X98Y116 ROW 40 TILEPROP NULL_X98Y116 SLR_REGION_ID 0 TILEPROP NULL_X98Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y116 TILE_TYPE NULL TILEPROP NULL_X98Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y116 TILE_X 77662 TILEPROP NULL_X98Y116 TILE_Y 116472 TILEPROP NULL_X98Y116 TYPE NULL TILEPROP NULL_X98Y117 CLASS tile TILEPROP NULL_X98Y117 COLUMN 98 TILEPROP NULL_X98Y117 DEVICE_ID 0 TILEPROP NULL_X98Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X98Y117 GRID_POINT_X 98 TILEPROP NULL_X98Y117 GRID_POINT_Y 39 TILEPROP NULL_X98Y117 INDEX 4583 TILEPROP NULL_X98Y117 INT_TILE_X -1 TILEPROP NULL_X98Y117 INT_TILE_Y -1 TILEPROP NULL_X98Y117 IS_CENTER_TILE 0 TILEPROP NULL_X98Y117 IS_DCM_TILE 0 TILEPROP NULL_X98Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y117 NAME NULL_X98Y117 TILEPROP NULL_X98Y117 NUM_ARCS 0 TILEPROP NULL_X98Y117 NUM_SITES 0 TILEPROP NULL_X98Y117 ROW 39 TILEPROP NULL_X98Y117 SLR_REGION_ID 0 TILEPROP NULL_X98Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y117 TILE_TYPE NULL TILEPROP NULL_X98Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y117 TILE_X 77662 TILEPROP NULL_X98Y117 TILE_Y 119672 TILEPROP NULL_X98Y117 TYPE NULL TILEPROP NULL_X98Y118 CLASS tile TILEPROP NULL_X98Y118 COLUMN 98 TILEPROP NULL_X98Y118 DEVICE_ID 0 TILEPROP NULL_X98Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X98Y118 GRID_POINT_X 98 TILEPROP NULL_X98Y118 GRID_POINT_Y 38 TILEPROP NULL_X98Y118 INDEX 4468 TILEPROP NULL_X98Y118 INT_TILE_X -1 TILEPROP NULL_X98Y118 INT_TILE_Y -1 TILEPROP NULL_X98Y118 IS_CENTER_TILE 0 TILEPROP NULL_X98Y118 IS_DCM_TILE 0 TILEPROP NULL_X98Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y118 NAME NULL_X98Y118 TILEPROP NULL_X98Y118 NUM_ARCS 0 TILEPROP NULL_X98Y118 NUM_SITES 0 TILEPROP NULL_X98Y118 ROW 38 TILEPROP NULL_X98Y118 SLR_REGION_ID 0 TILEPROP NULL_X98Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y118 TILE_TYPE NULL TILEPROP NULL_X98Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y118 TILE_X 77662 TILEPROP NULL_X98Y118 TILE_Y 122872 TILEPROP NULL_X98Y118 TYPE NULL TILEPROP NULL_X98Y119 CLASS tile TILEPROP NULL_X98Y119 COLUMN 98 TILEPROP NULL_X98Y119 DEVICE_ID 0 TILEPROP NULL_X98Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X98Y119 GRID_POINT_X 98 TILEPROP NULL_X98Y119 GRID_POINT_Y 37 TILEPROP NULL_X98Y119 INDEX 4353 TILEPROP NULL_X98Y119 INT_TILE_X -1 TILEPROP NULL_X98Y119 INT_TILE_Y -1 TILEPROP NULL_X98Y119 IS_CENTER_TILE 0 TILEPROP NULL_X98Y119 IS_DCM_TILE 0 TILEPROP NULL_X98Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y119 NAME NULL_X98Y119 TILEPROP NULL_X98Y119 NUM_ARCS 0 TILEPROP NULL_X98Y119 NUM_SITES 0 TILEPROP NULL_X98Y119 ROW 37 TILEPROP NULL_X98Y119 SLR_REGION_ID 0 TILEPROP NULL_X98Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y119 TILE_TYPE NULL TILEPROP NULL_X98Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y119 TILE_X 77662 TILEPROP NULL_X98Y119 TILE_Y 126072 TILEPROP NULL_X98Y119 TYPE NULL TILEPROP NULL_X98Y120 CLASS tile TILEPROP NULL_X98Y120 COLUMN 98 TILEPROP NULL_X98Y120 DEVICE_ID 0 TILEPROP NULL_X98Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X98Y120 GRID_POINT_X 98 TILEPROP NULL_X98Y120 GRID_POINT_Y 36 TILEPROP NULL_X98Y120 INDEX 4238 TILEPROP NULL_X98Y120 INT_TILE_X -1 TILEPROP NULL_X98Y120 INT_TILE_Y -1 TILEPROP NULL_X98Y120 IS_CENTER_TILE 0 TILEPROP NULL_X98Y120 IS_DCM_TILE 0 TILEPROP NULL_X98Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y120 NAME NULL_X98Y120 TILEPROP NULL_X98Y120 NUM_ARCS 0 TILEPROP NULL_X98Y120 NUM_SITES 0 TILEPROP NULL_X98Y120 ROW 36 TILEPROP NULL_X98Y120 SLR_REGION_ID 0 TILEPROP NULL_X98Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y120 TILE_TYPE NULL TILEPROP NULL_X98Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y120 TILE_X 77662 TILEPROP NULL_X98Y120 TILE_Y 129272 TILEPROP NULL_X98Y120 TYPE NULL TILEPROP NULL_X98Y121 CLASS tile TILEPROP NULL_X98Y121 COLUMN 98 TILEPROP NULL_X98Y121 DEVICE_ID 0 TILEPROP NULL_X98Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X98Y121 GRID_POINT_X 98 TILEPROP NULL_X98Y121 GRID_POINT_Y 35 TILEPROP NULL_X98Y121 INDEX 4123 TILEPROP NULL_X98Y121 INT_TILE_X -1 TILEPROP NULL_X98Y121 INT_TILE_Y -1 TILEPROP NULL_X98Y121 IS_CENTER_TILE 0 TILEPROP NULL_X98Y121 IS_DCM_TILE 0 TILEPROP NULL_X98Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y121 NAME NULL_X98Y121 TILEPROP NULL_X98Y121 NUM_ARCS 0 TILEPROP NULL_X98Y121 NUM_SITES 0 TILEPROP NULL_X98Y121 ROW 35 TILEPROP NULL_X98Y121 SLR_REGION_ID 0 TILEPROP NULL_X98Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y121 TILE_TYPE NULL TILEPROP NULL_X98Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y121 TILE_X 77662 TILEPROP NULL_X98Y121 TILE_Y 132472 TILEPROP NULL_X98Y121 TYPE NULL TILEPROP NULL_X98Y122 CLASS tile TILEPROP NULL_X98Y122 COLUMN 98 TILEPROP NULL_X98Y122 DEVICE_ID 0 TILEPROP NULL_X98Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X98Y122 GRID_POINT_X 98 TILEPROP NULL_X98Y122 GRID_POINT_Y 34 TILEPROP NULL_X98Y122 INDEX 4008 TILEPROP NULL_X98Y122 INT_TILE_X -1 TILEPROP NULL_X98Y122 INT_TILE_Y -1 TILEPROP NULL_X98Y122 IS_CENTER_TILE 0 TILEPROP NULL_X98Y122 IS_DCM_TILE 0 TILEPROP NULL_X98Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y122 NAME NULL_X98Y122 TILEPROP NULL_X98Y122 NUM_ARCS 0 TILEPROP NULL_X98Y122 NUM_SITES 0 TILEPROP NULL_X98Y122 ROW 34 TILEPROP NULL_X98Y122 SLR_REGION_ID 0 TILEPROP NULL_X98Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y122 TILE_TYPE NULL TILEPROP NULL_X98Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y122 TILE_X 77662 TILEPROP NULL_X98Y122 TILE_Y 135672 TILEPROP NULL_X98Y122 TYPE NULL TILEPROP NULL_X98Y123 CLASS tile TILEPROP NULL_X98Y123 COLUMN 98 TILEPROP NULL_X98Y123 DEVICE_ID 0 TILEPROP NULL_X98Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X98Y123 GRID_POINT_X 98 TILEPROP NULL_X98Y123 GRID_POINT_Y 33 TILEPROP NULL_X98Y123 INDEX 3893 TILEPROP NULL_X98Y123 INT_TILE_X -1 TILEPROP NULL_X98Y123 INT_TILE_Y -1 TILEPROP NULL_X98Y123 IS_CENTER_TILE 0 TILEPROP NULL_X98Y123 IS_DCM_TILE 0 TILEPROP NULL_X98Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y123 NAME NULL_X98Y123 TILEPROP NULL_X98Y123 NUM_ARCS 0 TILEPROP NULL_X98Y123 NUM_SITES 0 TILEPROP NULL_X98Y123 ROW 33 TILEPROP NULL_X98Y123 SLR_REGION_ID 0 TILEPROP NULL_X98Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y123 TILE_TYPE NULL TILEPROP NULL_X98Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y123 TILE_X 77662 TILEPROP NULL_X98Y123 TILE_Y 138872 TILEPROP NULL_X98Y123 TYPE NULL TILEPROP NULL_X98Y124 CLASS tile TILEPROP NULL_X98Y124 COLUMN 98 TILEPROP NULL_X98Y124 DEVICE_ID 0 TILEPROP NULL_X98Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X98Y124 GRID_POINT_X 98 TILEPROP NULL_X98Y124 GRID_POINT_Y 32 TILEPROP NULL_X98Y124 INDEX 3778 TILEPROP NULL_X98Y124 INT_TILE_X -1 TILEPROP NULL_X98Y124 INT_TILE_Y -1 TILEPROP NULL_X98Y124 IS_CENTER_TILE 0 TILEPROP NULL_X98Y124 IS_DCM_TILE 0 TILEPROP NULL_X98Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y124 NAME NULL_X98Y124 TILEPROP NULL_X98Y124 NUM_ARCS 0 TILEPROP NULL_X98Y124 NUM_SITES 0 TILEPROP NULL_X98Y124 ROW 32 TILEPROP NULL_X98Y124 SLR_REGION_ID 0 TILEPROP NULL_X98Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y124 TILE_TYPE NULL TILEPROP NULL_X98Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y124 TILE_X 77662 TILEPROP NULL_X98Y124 TILE_Y 142072 TILEPROP NULL_X98Y124 TYPE NULL TILEPROP NULL_X98Y125 CLASS tile TILEPROP NULL_X98Y125 COLUMN 98 TILEPROP NULL_X98Y125 DEVICE_ID 0 TILEPROP NULL_X98Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X98Y125 GRID_POINT_X 98 TILEPROP NULL_X98Y125 GRID_POINT_Y 31 TILEPROP NULL_X98Y125 INDEX 3663 TILEPROP NULL_X98Y125 INT_TILE_X -1 TILEPROP NULL_X98Y125 INT_TILE_Y -1 TILEPROP NULL_X98Y125 IS_CENTER_TILE 0 TILEPROP NULL_X98Y125 IS_DCM_TILE 0 TILEPROP NULL_X98Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y125 NAME NULL_X98Y125 TILEPROP NULL_X98Y125 NUM_ARCS 0 TILEPROP NULL_X98Y125 NUM_SITES 0 TILEPROP NULL_X98Y125 ROW 31 TILEPROP NULL_X98Y125 SLR_REGION_ID 0 TILEPROP NULL_X98Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y125 TILE_TYPE NULL TILEPROP NULL_X98Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y125 TILE_X 77662 TILEPROP NULL_X98Y125 TILE_Y 145272 TILEPROP NULL_X98Y125 TYPE NULL TILEPROP NULL_X98Y126 CLASS tile TILEPROP NULL_X98Y126 COLUMN 98 TILEPROP NULL_X98Y126 DEVICE_ID 0 TILEPROP NULL_X98Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X98Y126 GRID_POINT_X 98 TILEPROP NULL_X98Y126 GRID_POINT_Y 30 TILEPROP NULL_X98Y126 INDEX 3548 TILEPROP NULL_X98Y126 INT_TILE_X -1 TILEPROP NULL_X98Y126 INT_TILE_Y -1 TILEPROP NULL_X98Y126 IS_CENTER_TILE 0 TILEPROP NULL_X98Y126 IS_DCM_TILE 0 TILEPROP NULL_X98Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y126 NAME NULL_X98Y126 TILEPROP NULL_X98Y126 NUM_ARCS 0 TILEPROP NULL_X98Y126 NUM_SITES 0 TILEPROP NULL_X98Y126 ROW 30 TILEPROP NULL_X98Y126 SLR_REGION_ID 0 TILEPROP NULL_X98Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y126 TILE_TYPE NULL TILEPROP NULL_X98Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y126 TILE_X 77662 TILEPROP NULL_X98Y126 TILE_Y 148472 TILEPROP NULL_X98Y126 TYPE NULL TILEPROP NULL_X98Y127 CLASS tile TILEPROP NULL_X98Y127 COLUMN 98 TILEPROP NULL_X98Y127 DEVICE_ID 0 TILEPROP NULL_X98Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X98Y127 GRID_POINT_X 98 TILEPROP NULL_X98Y127 GRID_POINT_Y 29 TILEPROP NULL_X98Y127 INDEX 3433 TILEPROP NULL_X98Y127 INT_TILE_X -1 TILEPROP NULL_X98Y127 INT_TILE_Y -1 TILEPROP NULL_X98Y127 IS_CENTER_TILE 0 TILEPROP NULL_X98Y127 IS_DCM_TILE 0 TILEPROP NULL_X98Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y127 NAME NULL_X98Y127 TILEPROP NULL_X98Y127 NUM_ARCS 0 TILEPROP NULL_X98Y127 NUM_SITES 0 TILEPROP NULL_X98Y127 ROW 29 TILEPROP NULL_X98Y127 SLR_REGION_ID 0 TILEPROP NULL_X98Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y127 TILE_TYPE NULL TILEPROP NULL_X98Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y127 TILE_X 77662 TILEPROP NULL_X98Y127 TILE_Y 151672 TILEPROP NULL_X98Y127 TYPE NULL TILEPROP NULL_X98Y128 CLASS tile TILEPROP NULL_X98Y128 COLUMN 98 TILEPROP NULL_X98Y128 DEVICE_ID 0 TILEPROP NULL_X98Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X98Y128 GRID_POINT_X 98 TILEPROP NULL_X98Y128 GRID_POINT_Y 28 TILEPROP NULL_X98Y128 INDEX 3318 TILEPROP NULL_X98Y128 INT_TILE_X -1 TILEPROP NULL_X98Y128 INT_TILE_Y -1 TILEPROP NULL_X98Y128 IS_CENTER_TILE 0 TILEPROP NULL_X98Y128 IS_DCM_TILE 0 TILEPROP NULL_X98Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y128 NAME NULL_X98Y128 TILEPROP NULL_X98Y128 NUM_ARCS 0 TILEPROP NULL_X98Y128 NUM_SITES 0 TILEPROP NULL_X98Y128 ROW 28 TILEPROP NULL_X98Y128 SLR_REGION_ID 0 TILEPROP NULL_X98Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y128 TILE_TYPE NULL TILEPROP NULL_X98Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y128 TILE_X 77662 TILEPROP NULL_X98Y128 TILE_Y 154872 TILEPROP NULL_X98Y128 TYPE NULL TILEPROP NULL_X98Y129 CLASS tile TILEPROP NULL_X98Y129 COLUMN 98 TILEPROP NULL_X98Y129 DEVICE_ID 0 TILEPROP NULL_X98Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X98Y129 GRID_POINT_X 98 TILEPROP NULL_X98Y129 GRID_POINT_Y 27 TILEPROP NULL_X98Y129 INDEX 3203 TILEPROP NULL_X98Y129 INT_TILE_X -1 TILEPROP NULL_X98Y129 INT_TILE_Y -1 TILEPROP NULL_X98Y129 IS_CENTER_TILE 0 TILEPROP NULL_X98Y129 IS_DCM_TILE 0 TILEPROP NULL_X98Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y129 NAME NULL_X98Y129 TILEPROP NULL_X98Y129 NUM_ARCS 0 TILEPROP NULL_X98Y129 NUM_SITES 0 TILEPROP NULL_X98Y129 ROW 27 TILEPROP NULL_X98Y129 SLR_REGION_ID 0 TILEPROP NULL_X98Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y129 TILE_TYPE NULL TILEPROP NULL_X98Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y129 TILE_X 77662 TILEPROP NULL_X98Y129 TILE_Y 158072 TILEPROP NULL_X98Y129 TYPE NULL TILEPROP NULL_X98Y130 CLASS tile TILEPROP NULL_X98Y130 COLUMN 98 TILEPROP NULL_X98Y130 DEVICE_ID 0 TILEPROP NULL_X98Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X98Y130 GRID_POINT_X 98 TILEPROP NULL_X98Y130 GRID_POINT_Y 26 TILEPROP NULL_X98Y130 INDEX 3088 TILEPROP NULL_X98Y130 INT_TILE_X -1 TILEPROP NULL_X98Y130 INT_TILE_Y -1 TILEPROP NULL_X98Y130 IS_CENTER_TILE 0 TILEPROP NULL_X98Y130 IS_DCM_TILE 0 TILEPROP NULL_X98Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y130 NAME NULL_X98Y130 TILEPROP NULL_X98Y130 NUM_ARCS 0 TILEPROP NULL_X98Y130 NUM_SITES 0 TILEPROP NULL_X98Y130 ROW 26 TILEPROP NULL_X98Y130 SLR_REGION_ID 0 TILEPROP NULL_X98Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y130 TILE_TYPE NULL TILEPROP NULL_X98Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y130 TILE_X 77662 TILEPROP NULL_X98Y130 TILE_Y 161272 TILEPROP NULL_X98Y130 TYPE NULL TILEPROP NULL_X98Y131 CLASS tile TILEPROP NULL_X98Y131 COLUMN 98 TILEPROP NULL_X98Y131 DEVICE_ID 0 TILEPROP NULL_X98Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X98Y131 GRID_POINT_X 98 TILEPROP NULL_X98Y131 GRID_POINT_Y 25 TILEPROP NULL_X98Y131 INDEX 2973 TILEPROP NULL_X98Y131 INT_TILE_X -1 TILEPROP NULL_X98Y131 INT_TILE_Y -1 TILEPROP NULL_X98Y131 IS_CENTER_TILE 0 TILEPROP NULL_X98Y131 IS_DCM_TILE 0 TILEPROP NULL_X98Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y131 NAME NULL_X98Y131 TILEPROP NULL_X98Y131 NUM_ARCS 0 TILEPROP NULL_X98Y131 NUM_SITES 0 TILEPROP NULL_X98Y131 ROW 25 TILEPROP NULL_X98Y131 SLR_REGION_ID 0 TILEPROP NULL_X98Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y131 TILE_TYPE NULL TILEPROP NULL_X98Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y131 TILE_X 77662 TILEPROP NULL_X98Y131 TILE_Y 162296 TILEPROP NULL_X98Y131 TYPE NULL TILEPROP NULL_X98Y132 CLASS tile TILEPROP NULL_X98Y132 COLUMN 98 TILEPROP NULL_X98Y132 DEVICE_ID 0 TILEPROP NULL_X98Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X98Y132 GRID_POINT_X 98 TILEPROP NULL_X98Y132 GRID_POINT_Y 24 TILEPROP NULL_X98Y132 INDEX 2858 TILEPROP NULL_X98Y132 INT_TILE_X -1 TILEPROP NULL_X98Y132 INT_TILE_Y -1 TILEPROP NULL_X98Y132 IS_CENTER_TILE 0 TILEPROP NULL_X98Y132 IS_DCM_TILE 0 TILEPROP NULL_X98Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y132 NAME NULL_X98Y132 TILEPROP NULL_X98Y132 NUM_ARCS 0 TILEPROP NULL_X98Y132 NUM_SITES 0 TILEPROP NULL_X98Y132 ROW 24 TILEPROP NULL_X98Y132 SLR_REGION_ID 0 TILEPROP NULL_X98Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y132 TILE_TYPE NULL TILEPROP NULL_X98Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y132 TILE_X 77662 TILEPROP NULL_X98Y132 TILE_Y 165496 TILEPROP NULL_X98Y132 TYPE NULL TILEPROP NULL_X98Y133 CLASS tile TILEPROP NULL_X98Y133 COLUMN 98 TILEPROP NULL_X98Y133 DEVICE_ID 0 TILEPROP NULL_X98Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X98Y133 GRID_POINT_X 98 TILEPROP NULL_X98Y133 GRID_POINT_Y 23 TILEPROP NULL_X98Y133 INDEX 2743 TILEPROP NULL_X98Y133 INT_TILE_X -1 TILEPROP NULL_X98Y133 INT_TILE_Y -1 TILEPROP NULL_X98Y133 IS_CENTER_TILE 0 TILEPROP NULL_X98Y133 IS_DCM_TILE 0 TILEPROP NULL_X98Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y133 NAME NULL_X98Y133 TILEPROP NULL_X98Y133 NUM_ARCS 0 TILEPROP NULL_X98Y133 NUM_SITES 0 TILEPROP NULL_X98Y133 ROW 23 TILEPROP NULL_X98Y133 SLR_REGION_ID 0 TILEPROP NULL_X98Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y133 TILE_TYPE NULL TILEPROP NULL_X98Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y133 TILE_X 77662 TILEPROP NULL_X98Y133 TILE_Y 168696 TILEPROP NULL_X98Y133 TYPE NULL TILEPROP NULL_X98Y134 CLASS tile TILEPROP NULL_X98Y134 COLUMN 98 TILEPROP NULL_X98Y134 DEVICE_ID 0 TILEPROP NULL_X98Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X98Y134 GRID_POINT_X 98 TILEPROP NULL_X98Y134 GRID_POINT_Y 22 TILEPROP NULL_X98Y134 INDEX 2628 TILEPROP NULL_X98Y134 INT_TILE_X -1 TILEPROP NULL_X98Y134 INT_TILE_Y -1 TILEPROP NULL_X98Y134 IS_CENTER_TILE 0 TILEPROP NULL_X98Y134 IS_DCM_TILE 0 TILEPROP NULL_X98Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y134 NAME NULL_X98Y134 TILEPROP NULL_X98Y134 NUM_ARCS 0 TILEPROP NULL_X98Y134 NUM_SITES 0 TILEPROP NULL_X98Y134 ROW 22 TILEPROP NULL_X98Y134 SLR_REGION_ID 0 TILEPROP NULL_X98Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y134 TILE_TYPE NULL TILEPROP NULL_X98Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y134 TILE_X 77662 TILEPROP NULL_X98Y134 TILE_Y 171896 TILEPROP NULL_X98Y134 TYPE NULL TILEPROP NULL_X98Y135 CLASS tile TILEPROP NULL_X98Y135 COLUMN 98 TILEPROP NULL_X98Y135 DEVICE_ID 0 TILEPROP NULL_X98Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X98Y135 GRID_POINT_X 98 TILEPROP NULL_X98Y135 GRID_POINT_Y 21 TILEPROP NULL_X98Y135 INDEX 2513 TILEPROP NULL_X98Y135 INT_TILE_X -1 TILEPROP NULL_X98Y135 INT_TILE_Y -1 TILEPROP NULL_X98Y135 IS_CENTER_TILE 0 TILEPROP NULL_X98Y135 IS_DCM_TILE 0 TILEPROP NULL_X98Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y135 NAME NULL_X98Y135 TILEPROP NULL_X98Y135 NUM_ARCS 0 TILEPROP NULL_X98Y135 NUM_SITES 0 TILEPROP NULL_X98Y135 ROW 21 TILEPROP NULL_X98Y135 SLR_REGION_ID 0 TILEPROP NULL_X98Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y135 TILE_TYPE NULL TILEPROP NULL_X98Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y135 TILE_X 77662 TILEPROP NULL_X98Y135 TILE_Y 175096 TILEPROP NULL_X98Y135 TYPE NULL TILEPROP NULL_X98Y136 CLASS tile TILEPROP NULL_X98Y136 COLUMN 98 TILEPROP NULL_X98Y136 DEVICE_ID 0 TILEPROP NULL_X98Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X98Y136 GRID_POINT_X 98 TILEPROP NULL_X98Y136 GRID_POINT_Y 20 TILEPROP NULL_X98Y136 INDEX 2398 TILEPROP NULL_X98Y136 INT_TILE_X -1 TILEPROP NULL_X98Y136 INT_TILE_Y -1 TILEPROP NULL_X98Y136 IS_CENTER_TILE 0 TILEPROP NULL_X98Y136 IS_DCM_TILE 0 TILEPROP NULL_X98Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y136 NAME NULL_X98Y136 TILEPROP NULL_X98Y136 NUM_ARCS 0 TILEPROP NULL_X98Y136 NUM_SITES 0 TILEPROP NULL_X98Y136 ROW 20 TILEPROP NULL_X98Y136 SLR_REGION_ID 0 TILEPROP NULL_X98Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y136 TILE_TYPE NULL TILEPROP NULL_X98Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y136 TILE_X 77662 TILEPROP NULL_X98Y136 TILE_Y 178296 TILEPROP NULL_X98Y136 TYPE NULL TILEPROP NULL_X98Y137 CLASS tile TILEPROP NULL_X98Y137 COLUMN 98 TILEPROP NULL_X98Y137 DEVICE_ID 0 TILEPROP NULL_X98Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X98Y137 GRID_POINT_X 98 TILEPROP NULL_X98Y137 GRID_POINT_Y 19 TILEPROP NULL_X98Y137 INDEX 2283 TILEPROP NULL_X98Y137 INT_TILE_X -1 TILEPROP NULL_X98Y137 INT_TILE_Y -1 TILEPROP NULL_X98Y137 IS_CENTER_TILE 0 TILEPROP NULL_X98Y137 IS_DCM_TILE 0 TILEPROP NULL_X98Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y137 NAME NULL_X98Y137 TILEPROP NULL_X98Y137 NUM_ARCS 0 TILEPROP NULL_X98Y137 NUM_SITES 0 TILEPROP NULL_X98Y137 ROW 19 TILEPROP NULL_X98Y137 SLR_REGION_ID 0 TILEPROP NULL_X98Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y137 TILE_TYPE NULL TILEPROP NULL_X98Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y137 TILE_X 77662 TILEPROP NULL_X98Y137 TILE_Y 181496 TILEPROP NULL_X98Y137 TYPE NULL TILEPROP NULL_X98Y138 CLASS tile TILEPROP NULL_X98Y138 COLUMN 98 TILEPROP NULL_X98Y138 DEVICE_ID 0 TILEPROP NULL_X98Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X98Y138 GRID_POINT_X 98 TILEPROP NULL_X98Y138 GRID_POINT_Y 18 TILEPROP NULL_X98Y138 INDEX 2168 TILEPROP NULL_X98Y138 INT_TILE_X -1 TILEPROP NULL_X98Y138 INT_TILE_Y -1 TILEPROP NULL_X98Y138 IS_CENTER_TILE 0 TILEPROP NULL_X98Y138 IS_DCM_TILE 0 TILEPROP NULL_X98Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y138 NAME NULL_X98Y138 TILEPROP NULL_X98Y138 NUM_ARCS 0 TILEPROP NULL_X98Y138 NUM_SITES 0 TILEPROP NULL_X98Y138 ROW 18 TILEPROP NULL_X98Y138 SLR_REGION_ID 0 TILEPROP NULL_X98Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y138 TILE_TYPE NULL TILEPROP NULL_X98Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y138 TILE_X 77662 TILEPROP NULL_X98Y138 TILE_Y 184696 TILEPROP NULL_X98Y138 TYPE NULL TILEPROP NULL_X98Y139 CLASS tile TILEPROP NULL_X98Y139 COLUMN 98 TILEPROP NULL_X98Y139 DEVICE_ID 0 TILEPROP NULL_X98Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X98Y139 GRID_POINT_X 98 TILEPROP NULL_X98Y139 GRID_POINT_Y 17 TILEPROP NULL_X98Y139 INDEX 2053 TILEPROP NULL_X98Y139 INT_TILE_X -1 TILEPROP NULL_X98Y139 INT_TILE_Y -1 TILEPROP NULL_X98Y139 IS_CENTER_TILE 0 TILEPROP NULL_X98Y139 IS_DCM_TILE 0 TILEPROP NULL_X98Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y139 NAME NULL_X98Y139 TILEPROP NULL_X98Y139 NUM_ARCS 0 TILEPROP NULL_X98Y139 NUM_SITES 0 TILEPROP NULL_X98Y139 ROW 17 TILEPROP NULL_X98Y139 SLR_REGION_ID 0 TILEPROP NULL_X98Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y139 TILE_TYPE NULL TILEPROP NULL_X98Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y139 TILE_X 77662 TILEPROP NULL_X98Y139 TILE_Y 187896 TILEPROP NULL_X98Y139 TYPE NULL TILEPROP NULL_X98Y140 CLASS tile TILEPROP NULL_X98Y140 COLUMN 98 TILEPROP NULL_X98Y140 DEVICE_ID 0 TILEPROP NULL_X98Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X98Y140 GRID_POINT_X 98 TILEPROP NULL_X98Y140 GRID_POINT_Y 16 TILEPROP NULL_X98Y140 INDEX 1938 TILEPROP NULL_X98Y140 INT_TILE_X -1 TILEPROP NULL_X98Y140 INT_TILE_Y -1 TILEPROP NULL_X98Y140 IS_CENTER_TILE 0 TILEPROP NULL_X98Y140 IS_DCM_TILE 0 TILEPROP NULL_X98Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y140 NAME NULL_X98Y140 TILEPROP NULL_X98Y140 NUM_ARCS 0 TILEPROP NULL_X98Y140 NUM_SITES 0 TILEPROP NULL_X98Y140 ROW 16 TILEPROP NULL_X98Y140 SLR_REGION_ID 0 TILEPROP NULL_X98Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y140 TILE_TYPE NULL TILEPROP NULL_X98Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y140 TILE_X 77662 TILEPROP NULL_X98Y140 TILE_Y 191096 TILEPROP NULL_X98Y140 TYPE NULL TILEPROP NULL_X98Y141 CLASS tile TILEPROP NULL_X98Y141 COLUMN 98 TILEPROP NULL_X98Y141 DEVICE_ID 0 TILEPROP NULL_X98Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X98Y141 GRID_POINT_X 98 TILEPROP NULL_X98Y141 GRID_POINT_Y 15 TILEPROP NULL_X98Y141 INDEX 1823 TILEPROP NULL_X98Y141 INT_TILE_X -1 TILEPROP NULL_X98Y141 INT_TILE_Y -1 TILEPROP NULL_X98Y141 IS_CENTER_TILE 0 TILEPROP NULL_X98Y141 IS_DCM_TILE 0 TILEPROP NULL_X98Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y141 NAME NULL_X98Y141 TILEPROP NULL_X98Y141 NUM_ARCS 0 TILEPROP NULL_X98Y141 NUM_SITES 0 TILEPROP NULL_X98Y141 ROW 15 TILEPROP NULL_X98Y141 SLR_REGION_ID 0 TILEPROP NULL_X98Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y141 TILE_TYPE NULL TILEPROP NULL_X98Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y141 TILE_X 77662 TILEPROP NULL_X98Y141 TILE_Y 194296 TILEPROP NULL_X98Y141 TYPE NULL TILEPROP NULL_X98Y142 CLASS tile TILEPROP NULL_X98Y142 COLUMN 98 TILEPROP NULL_X98Y142 DEVICE_ID 0 TILEPROP NULL_X98Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X98Y142 GRID_POINT_X 98 TILEPROP NULL_X98Y142 GRID_POINT_Y 14 TILEPROP NULL_X98Y142 INDEX 1708 TILEPROP NULL_X98Y142 INT_TILE_X -1 TILEPROP NULL_X98Y142 INT_TILE_Y -1 TILEPROP NULL_X98Y142 IS_CENTER_TILE 0 TILEPROP NULL_X98Y142 IS_DCM_TILE 0 TILEPROP NULL_X98Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y142 NAME NULL_X98Y142 TILEPROP NULL_X98Y142 NUM_ARCS 0 TILEPROP NULL_X98Y142 NUM_SITES 0 TILEPROP NULL_X98Y142 ROW 14 TILEPROP NULL_X98Y142 SLR_REGION_ID 0 TILEPROP NULL_X98Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y142 TILE_TYPE NULL TILEPROP NULL_X98Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y142 TILE_X 77662 TILEPROP NULL_X98Y142 TILE_Y 197496 TILEPROP NULL_X98Y142 TYPE NULL TILEPROP NULL_X98Y143 CLASS tile TILEPROP NULL_X98Y143 COLUMN 98 TILEPROP NULL_X98Y143 DEVICE_ID 0 TILEPROP NULL_X98Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X98Y143 GRID_POINT_X 98 TILEPROP NULL_X98Y143 GRID_POINT_Y 13 TILEPROP NULL_X98Y143 INDEX 1593 TILEPROP NULL_X98Y143 INT_TILE_X -1 TILEPROP NULL_X98Y143 INT_TILE_Y -1 TILEPROP NULL_X98Y143 IS_CENTER_TILE 0 TILEPROP NULL_X98Y143 IS_DCM_TILE 0 TILEPROP NULL_X98Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y143 NAME NULL_X98Y143 TILEPROP NULL_X98Y143 NUM_ARCS 0 TILEPROP NULL_X98Y143 NUM_SITES 0 TILEPROP NULL_X98Y143 ROW 13 TILEPROP NULL_X98Y143 SLR_REGION_ID 0 TILEPROP NULL_X98Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y143 TILE_TYPE NULL TILEPROP NULL_X98Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y143 TILE_X 77662 TILEPROP NULL_X98Y143 TILE_Y 200696 TILEPROP NULL_X98Y143 TYPE NULL TILEPROP NULL_X98Y144 CLASS tile TILEPROP NULL_X98Y144 COLUMN 98 TILEPROP NULL_X98Y144 DEVICE_ID 0 TILEPROP NULL_X98Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X98Y144 GRID_POINT_X 98 TILEPROP NULL_X98Y144 GRID_POINT_Y 12 TILEPROP NULL_X98Y144 INDEX 1478 TILEPROP NULL_X98Y144 INT_TILE_X -1 TILEPROP NULL_X98Y144 INT_TILE_Y -1 TILEPROP NULL_X98Y144 IS_CENTER_TILE 0 TILEPROP NULL_X98Y144 IS_DCM_TILE 0 TILEPROP NULL_X98Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y144 NAME NULL_X98Y144 TILEPROP NULL_X98Y144 NUM_ARCS 0 TILEPROP NULL_X98Y144 NUM_SITES 0 TILEPROP NULL_X98Y144 ROW 12 TILEPROP NULL_X98Y144 SLR_REGION_ID 0 TILEPROP NULL_X98Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y144 TILE_TYPE NULL TILEPROP NULL_X98Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y144 TILE_X 77662 TILEPROP NULL_X98Y144 TILE_Y 203896 TILEPROP NULL_X98Y144 TYPE NULL TILEPROP NULL_X98Y145 CLASS tile TILEPROP NULL_X98Y145 COLUMN 98 TILEPROP NULL_X98Y145 DEVICE_ID 0 TILEPROP NULL_X98Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X98Y145 GRID_POINT_X 98 TILEPROP NULL_X98Y145 GRID_POINT_Y 11 TILEPROP NULL_X98Y145 INDEX 1363 TILEPROP NULL_X98Y145 INT_TILE_X -1 TILEPROP NULL_X98Y145 INT_TILE_Y -1 TILEPROP NULL_X98Y145 IS_CENTER_TILE 0 TILEPROP NULL_X98Y145 IS_DCM_TILE 0 TILEPROP NULL_X98Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y145 NAME NULL_X98Y145 TILEPROP NULL_X98Y145 NUM_ARCS 0 TILEPROP NULL_X98Y145 NUM_SITES 0 TILEPROP NULL_X98Y145 ROW 11 TILEPROP NULL_X98Y145 SLR_REGION_ID 0 TILEPROP NULL_X98Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y145 TILE_TYPE NULL TILEPROP NULL_X98Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y145 TILE_X 77662 TILEPROP NULL_X98Y145 TILE_Y 207096 TILEPROP NULL_X98Y145 TYPE NULL TILEPROP NULL_X98Y146 CLASS tile TILEPROP NULL_X98Y146 COLUMN 98 TILEPROP NULL_X98Y146 DEVICE_ID 0 TILEPROP NULL_X98Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X98Y146 GRID_POINT_X 98 TILEPROP NULL_X98Y146 GRID_POINT_Y 10 TILEPROP NULL_X98Y146 INDEX 1248 TILEPROP NULL_X98Y146 INT_TILE_X -1 TILEPROP NULL_X98Y146 INT_TILE_Y -1 TILEPROP NULL_X98Y146 IS_CENTER_TILE 0 TILEPROP NULL_X98Y146 IS_DCM_TILE 0 TILEPROP NULL_X98Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y146 NAME NULL_X98Y146 TILEPROP NULL_X98Y146 NUM_ARCS 0 TILEPROP NULL_X98Y146 NUM_SITES 0 TILEPROP NULL_X98Y146 ROW 10 TILEPROP NULL_X98Y146 SLR_REGION_ID 0 TILEPROP NULL_X98Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y146 TILE_TYPE NULL TILEPROP NULL_X98Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y146 TILE_X 77662 TILEPROP NULL_X98Y146 TILE_Y 210296 TILEPROP NULL_X98Y146 TYPE NULL TILEPROP NULL_X98Y147 CLASS tile TILEPROP NULL_X98Y147 COLUMN 98 TILEPROP NULL_X98Y147 DEVICE_ID 0 TILEPROP NULL_X98Y147 FIRST_SITE_ID 920 TILEPROP NULL_X98Y147 GRID_POINT_X 98 TILEPROP NULL_X98Y147 GRID_POINT_Y 9 TILEPROP NULL_X98Y147 INDEX 1133 TILEPROP NULL_X98Y147 INT_TILE_X -1 TILEPROP NULL_X98Y147 INT_TILE_Y -1 TILEPROP NULL_X98Y147 IS_CENTER_TILE 0 TILEPROP NULL_X98Y147 IS_DCM_TILE 0 TILEPROP NULL_X98Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y147 NAME NULL_X98Y147 TILEPROP NULL_X98Y147 NUM_ARCS 0 TILEPROP NULL_X98Y147 NUM_SITES 0 TILEPROP NULL_X98Y147 ROW 9 TILEPROP NULL_X98Y147 SLR_REGION_ID 0 TILEPROP NULL_X98Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y147 TILE_TYPE NULL TILEPROP NULL_X98Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y147 TILE_X 77662 TILEPROP NULL_X98Y147 TILE_Y 213496 TILEPROP NULL_X98Y147 TYPE NULL TILEPROP NULL_X98Y148 CLASS tile TILEPROP NULL_X98Y148 COLUMN 98 TILEPROP NULL_X98Y148 DEVICE_ID 0 TILEPROP NULL_X98Y148 FIRST_SITE_ID 816 TILEPROP NULL_X98Y148 GRID_POINT_X 98 TILEPROP NULL_X98Y148 GRID_POINT_Y 8 TILEPROP NULL_X98Y148 INDEX 1018 TILEPROP NULL_X98Y148 INT_TILE_X -1 TILEPROP NULL_X98Y148 INT_TILE_Y -1 TILEPROP NULL_X98Y148 IS_CENTER_TILE 0 TILEPROP NULL_X98Y148 IS_DCM_TILE 0 TILEPROP NULL_X98Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y148 NAME NULL_X98Y148 TILEPROP NULL_X98Y148 NUM_ARCS 0 TILEPROP NULL_X98Y148 NUM_SITES 0 TILEPROP NULL_X98Y148 ROW 8 TILEPROP NULL_X98Y148 SLR_REGION_ID 0 TILEPROP NULL_X98Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y148 TILE_TYPE NULL TILEPROP NULL_X98Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y148 TILE_X 77662 TILEPROP NULL_X98Y148 TILE_Y 216696 TILEPROP NULL_X98Y148 TYPE NULL TILEPROP NULL_X98Y149 CLASS tile TILEPROP NULL_X98Y149 COLUMN 98 TILEPROP NULL_X98Y149 DEVICE_ID 0 TILEPROP NULL_X98Y149 FIRST_SITE_ID 719 TILEPROP NULL_X98Y149 GRID_POINT_X 98 TILEPROP NULL_X98Y149 GRID_POINT_Y 7 TILEPROP NULL_X98Y149 INDEX 903 TILEPROP NULL_X98Y149 INT_TILE_X -1 TILEPROP NULL_X98Y149 INT_TILE_Y -1 TILEPROP NULL_X98Y149 IS_CENTER_TILE 0 TILEPROP NULL_X98Y149 IS_DCM_TILE 0 TILEPROP NULL_X98Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y149 NAME NULL_X98Y149 TILEPROP NULL_X98Y149 NUM_ARCS 0 TILEPROP NULL_X98Y149 NUM_SITES 0 TILEPROP NULL_X98Y149 ROW 7 TILEPROP NULL_X98Y149 SLR_REGION_ID 0 TILEPROP NULL_X98Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y149 TILE_TYPE NULL TILEPROP NULL_X98Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y149 TILE_X 77662 TILEPROP NULL_X98Y149 TILE_Y 219896 TILEPROP NULL_X98Y149 TYPE NULL TILEPROP NULL_X98Y150 CLASS tile TILEPROP NULL_X98Y150 COLUMN 98 TILEPROP NULL_X98Y150 DEVICE_ID 0 TILEPROP NULL_X98Y150 FIRST_SITE_ID 613 TILEPROP NULL_X98Y150 GRID_POINT_X 98 TILEPROP NULL_X98Y150 GRID_POINT_Y 6 TILEPROP NULL_X98Y150 INDEX 788 TILEPROP NULL_X98Y150 INT_TILE_X -1 TILEPROP NULL_X98Y150 INT_TILE_Y -1 TILEPROP NULL_X98Y150 IS_CENTER_TILE 0 TILEPROP NULL_X98Y150 IS_DCM_TILE 0 TILEPROP NULL_X98Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y150 NAME NULL_X98Y150 TILEPROP NULL_X98Y150 NUM_ARCS 0 TILEPROP NULL_X98Y150 NUM_SITES 0 TILEPROP NULL_X98Y150 ROW 6 TILEPROP NULL_X98Y150 SLR_REGION_ID 0 TILEPROP NULL_X98Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y150 TILE_TYPE NULL TILEPROP NULL_X98Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y150 TILE_X 77662 TILEPROP NULL_X98Y150 TILE_Y 223096 TILEPROP NULL_X98Y150 TYPE NULL TILEPROP NULL_X98Y151 CLASS tile TILEPROP NULL_X98Y151 COLUMN 98 TILEPROP NULL_X98Y151 DEVICE_ID 0 TILEPROP NULL_X98Y151 FIRST_SITE_ID 512 TILEPROP NULL_X98Y151 GRID_POINT_X 98 TILEPROP NULL_X98Y151 GRID_POINT_Y 5 TILEPROP NULL_X98Y151 INDEX 673 TILEPROP NULL_X98Y151 INT_TILE_X -1 TILEPROP NULL_X98Y151 INT_TILE_Y -1 TILEPROP NULL_X98Y151 IS_CENTER_TILE 0 TILEPROP NULL_X98Y151 IS_DCM_TILE 0 TILEPROP NULL_X98Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y151 NAME NULL_X98Y151 TILEPROP NULL_X98Y151 NUM_ARCS 0 TILEPROP NULL_X98Y151 NUM_SITES 0 TILEPROP NULL_X98Y151 ROW 5 TILEPROP NULL_X98Y151 SLR_REGION_ID 0 TILEPROP NULL_X98Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y151 TILE_TYPE NULL TILEPROP NULL_X98Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y151 TILE_X 77662 TILEPROP NULL_X98Y151 TILE_Y 226296 TILEPROP NULL_X98Y151 TYPE NULL TILEPROP NULL_X98Y152 CLASS tile TILEPROP NULL_X98Y152 COLUMN 98 TILEPROP NULL_X98Y152 DEVICE_ID 0 TILEPROP NULL_X98Y152 FIRST_SITE_ID 396 TILEPROP NULL_X98Y152 GRID_POINT_X 98 TILEPROP NULL_X98Y152 GRID_POINT_Y 4 TILEPROP NULL_X98Y152 INDEX 558 TILEPROP NULL_X98Y152 INT_TILE_X -1 TILEPROP NULL_X98Y152 INT_TILE_Y -1 TILEPROP NULL_X98Y152 IS_CENTER_TILE 0 TILEPROP NULL_X98Y152 IS_DCM_TILE 0 TILEPROP NULL_X98Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y152 NAME NULL_X98Y152 TILEPROP NULL_X98Y152 NUM_ARCS 0 TILEPROP NULL_X98Y152 NUM_SITES 0 TILEPROP NULL_X98Y152 ROW 4 TILEPROP NULL_X98Y152 SLR_REGION_ID 0 TILEPROP NULL_X98Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y152 TILE_TYPE NULL TILEPROP NULL_X98Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y152 TILE_X 77662 TILEPROP NULL_X98Y152 TILE_Y 229496 TILEPROP NULL_X98Y152 TYPE NULL TILEPROP NULL_X98Y153 CLASS tile TILEPROP NULL_X98Y153 COLUMN 98 TILEPROP NULL_X98Y153 DEVICE_ID 0 TILEPROP NULL_X98Y153 FIRST_SITE_ID 300 TILEPROP NULL_X98Y153 GRID_POINT_X 98 TILEPROP NULL_X98Y153 GRID_POINT_Y 3 TILEPROP NULL_X98Y153 INDEX 443 TILEPROP NULL_X98Y153 INT_TILE_X -1 TILEPROP NULL_X98Y153 INT_TILE_Y -1 TILEPROP NULL_X98Y153 IS_CENTER_TILE 0 TILEPROP NULL_X98Y153 IS_DCM_TILE 0 TILEPROP NULL_X98Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y153 NAME NULL_X98Y153 TILEPROP NULL_X98Y153 NUM_ARCS 0 TILEPROP NULL_X98Y153 NUM_SITES 0 TILEPROP NULL_X98Y153 ROW 3 TILEPROP NULL_X98Y153 SLR_REGION_ID 0 TILEPROP NULL_X98Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y153 TILE_TYPE NULL TILEPROP NULL_X98Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y153 TILE_X 77662 TILEPROP NULL_X98Y153 TILE_Y 232696 TILEPROP NULL_X98Y153 TYPE NULL TILEPROP NULL_X98Y154 CLASS tile TILEPROP NULL_X98Y154 COLUMN 98 TILEPROP NULL_X98Y154 DEVICE_ID 0 TILEPROP NULL_X98Y154 FIRST_SITE_ID 196 TILEPROP NULL_X98Y154 GRID_POINT_X 98 TILEPROP NULL_X98Y154 GRID_POINT_Y 2 TILEPROP NULL_X98Y154 INDEX 328 TILEPROP NULL_X98Y154 INT_TILE_X -1 TILEPROP NULL_X98Y154 INT_TILE_Y -1 TILEPROP NULL_X98Y154 IS_CENTER_TILE 0 TILEPROP NULL_X98Y154 IS_DCM_TILE 0 TILEPROP NULL_X98Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y154 NAME NULL_X98Y154 TILEPROP NULL_X98Y154 NUM_ARCS 0 TILEPROP NULL_X98Y154 NUM_SITES 0 TILEPROP NULL_X98Y154 ROW 2 TILEPROP NULL_X98Y154 SLR_REGION_ID 0 TILEPROP NULL_X98Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y154 TILE_TYPE NULL TILEPROP NULL_X98Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y154 TILE_X 77662 TILEPROP NULL_X98Y154 TILE_Y 235896 TILEPROP NULL_X98Y154 TYPE NULL TILEPROP NULL_X98Y155 CLASS tile TILEPROP NULL_X98Y155 COLUMN 98 TILEPROP NULL_X98Y155 DEVICE_ID 0 TILEPROP NULL_X98Y155 FIRST_SITE_ID 100 TILEPROP NULL_X98Y155 GRID_POINT_X 98 TILEPROP NULL_X98Y155 GRID_POINT_Y 1 TILEPROP NULL_X98Y155 INDEX 213 TILEPROP NULL_X98Y155 INT_TILE_X -1 TILEPROP NULL_X98Y155 INT_TILE_Y -1 TILEPROP NULL_X98Y155 IS_CENTER_TILE 0 TILEPROP NULL_X98Y155 IS_DCM_TILE 0 TILEPROP NULL_X98Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y155 NAME NULL_X98Y155 TILEPROP NULL_X98Y155 NUM_ARCS 0 TILEPROP NULL_X98Y155 NUM_SITES 0 TILEPROP NULL_X98Y155 ROW 1 TILEPROP NULL_X98Y155 SLR_REGION_ID 0 TILEPROP NULL_X98Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y155 TILE_TYPE NULL TILEPROP NULL_X98Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y155 TILE_X 77662 TILEPROP NULL_X98Y155 TILE_Y 239096 TILEPROP NULL_X98Y155 TYPE NULL TILEPROP NULL_X98Y156 CLASS tile TILEPROP NULL_X98Y156 COLUMN 98 TILEPROP NULL_X98Y156 DEVICE_ID 0 TILEPROP NULL_X98Y156 FIRST_SITE_ID 0 TILEPROP NULL_X98Y156 GRID_POINT_X 98 TILEPROP NULL_X98Y156 GRID_POINT_Y 0 TILEPROP NULL_X98Y156 INDEX 98 TILEPROP NULL_X98Y156 INT_TILE_X -1 TILEPROP NULL_X98Y156 INT_TILE_Y -1 TILEPROP NULL_X98Y156 IS_CENTER_TILE 0 TILEPROP NULL_X98Y156 IS_DCM_TILE 0 TILEPROP NULL_X98Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X98Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X98Y156 NAME NULL_X98Y156 TILEPROP NULL_X98Y156 NUM_ARCS 0 TILEPROP NULL_X98Y156 NUM_SITES 0 TILEPROP NULL_X98Y156 ROW 0 TILEPROP NULL_X98Y156 SLR_REGION_ID 0 TILEPROP NULL_X98Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X98Y156 TILE_TYPE NULL TILEPROP NULL_X98Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X98Y156 TILE_X 77662 TILEPROP NULL_X98Y156 TILE_Y 242296 TILEPROP NULL_X98Y156 TYPE NULL TILEPROP NULL_X99Y105 CLASS tile TILEPROP NULL_X99Y105 COLUMN 99 TILEPROP NULL_X99Y105 DEVICE_ID 0 TILEPROP NULL_X99Y105 FIRST_SITE_ID 5088 TILEPROP NULL_X99Y105 GRID_POINT_X 99 TILEPROP NULL_X99Y105 GRID_POINT_Y 51 TILEPROP NULL_X99Y105 INDEX 5964 TILEPROP NULL_X99Y105 INT_TILE_X -1 TILEPROP NULL_X99Y105 INT_TILE_Y -1 TILEPROP NULL_X99Y105 IS_CENTER_TILE 0 TILEPROP NULL_X99Y105 IS_DCM_TILE 0 TILEPROP NULL_X99Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y105 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y105 NAME NULL_X99Y105 TILEPROP NULL_X99Y105 NUM_ARCS 0 TILEPROP NULL_X99Y105 NUM_SITES 0 TILEPROP NULL_X99Y105 ROW 51 TILEPROP NULL_X99Y105 SLR_REGION_ID 0 TILEPROP NULL_X99Y105 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y105 TILE_TYPE NULL TILEPROP NULL_X99Y105 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y105 TILE_X 81118 TILEPROP NULL_X99Y105 TILE_Y 81272 TILEPROP NULL_X99Y105 TYPE NULL TILEPROP NULL_X99Y106 CLASS tile TILEPROP NULL_X99Y106 COLUMN 99 TILEPROP NULL_X99Y106 DEVICE_ID 0 TILEPROP NULL_X99Y106 FIRST_SITE_ID 4987 TILEPROP NULL_X99Y106 GRID_POINT_X 99 TILEPROP NULL_X99Y106 GRID_POINT_Y 50 TILEPROP NULL_X99Y106 INDEX 5849 TILEPROP NULL_X99Y106 INT_TILE_X -1 TILEPROP NULL_X99Y106 INT_TILE_Y -1 TILEPROP NULL_X99Y106 IS_CENTER_TILE 0 TILEPROP NULL_X99Y106 IS_DCM_TILE 0 TILEPROP NULL_X99Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y106 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y106 NAME NULL_X99Y106 TILEPROP NULL_X99Y106 NUM_ARCS 0 TILEPROP NULL_X99Y106 NUM_SITES 0 TILEPROP NULL_X99Y106 ROW 50 TILEPROP NULL_X99Y106 SLR_REGION_ID 0 TILEPROP NULL_X99Y106 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y106 TILE_TYPE NULL TILEPROP NULL_X99Y106 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y106 TILE_X 81118 TILEPROP NULL_X99Y106 TILE_Y 84472 TILEPROP NULL_X99Y106 TYPE NULL TILEPROP NULL_X99Y107 CLASS tile TILEPROP NULL_X99Y107 COLUMN 99 TILEPROP NULL_X99Y107 DEVICE_ID 0 TILEPROP NULL_X99Y107 FIRST_SITE_ID 4891 TILEPROP NULL_X99Y107 GRID_POINT_X 99 TILEPROP NULL_X99Y107 GRID_POINT_Y 49 TILEPROP NULL_X99Y107 INDEX 5734 TILEPROP NULL_X99Y107 INT_TILE_X -1 TILEPROP NULL_X99Y107 INT_TILE_Y -1 TILEPROP NULL_X99Y107 IS_CENTER_TILE 0 TILEPROP NULL_X99Y107 IS_DCM_TILE 0 TILEPROP NULL_X99Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y107 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y107 NAME NULL_X99Y107 TILEPROP NULL_X99Y107 NUM_ARCS 0 TILEPROP NULL_X99Y107 NUM_SITES 0 TILEPROP NULL_X99Y107 ROW 49 TILEPROP NULL_X99Y107 SLR_REGION_ID 0 TILEPROP NULL_X99Y107 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y107 TILE_TYPE NULL TILEPROP NULL_X99Y107 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y107 TILE_X 81118 TILEPROP NULL_X99Y107 TILE_Y 87672 TILEPROP NULL_X99Y107 TYPE NULL TILEPROP NULL_X99Y108 CLASS tile TILEPROP NULL_X99Y108 COLUMN 99 TILEPROP NULL_X99Y108 DEVICE_ID 0 TILEPROP NULL_X99Y108 FIRST_SITE_ID 4803 TILEPROP NULL_X99Y108 GRID_POINT_X 99 TILEPROP NULL_X99Y108 GRID_POINT_Y 48 TILEPROP NULL_X99Y108 INDEX 5619 TILEPROP NULL_X99Y108 INT_TILE_X -1 TILEPROP NULL_X99Y108 INT_TILE_Y -1 TILEPROP NULL_X99Y108 IS_CENTER_TILE 0 TILEPROP NULL_X99Y108 IS_DCM_TILE 0 TILEPROP NULL_X99Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y108 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y108 NAME NULL_X99Y108 TILEPROP NULL_X99Y108 NUM_ARCS 0 TILEPROP NULL_X99Y108 NUM_SITES 0 TILEPROP NULL_X99Y108 ROW 48 TILEPROP NULL_X99Y108 SLR_REGION_ID 0 TILEPROP NULL_X99Y108 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y108 TILE_TYPE NULL TILEPROP NULL_X99Y108 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y108 TILE_X 81118 TILEPROP NULL_X99Y108 TILE_Y 90872 TILEPROP NULL_X99Y108 TYPE NULL TILEPROP NULL_X99Y109 CLASS tile TILEPROP NULL_X99Y109 COLUMN 99 TILEPROP NULL_X99Y109 DEVICE_ID 0 TILEPROP NULL_X99Y109 FIRST_SITE_ID 4707 TILEPROP NULL_X99Y109 GRID_POINT_X 99 TILEPROP NULL_X99Y109 GRID_POINT_Y 47 TILEPROP NULL_X99Y109 INDEX 5504 TILEPROP NULL_X99Y109 INT_TILE_X -1 TILEPROP NULL_X99Y109 INT_TILE_Y -1 TILEPROP NULL_X99Y109 IS_CENTER_TILE 0 TILEPROP NULL_X99Y109 IS_DCM_TILE 0 TILEPROP NULL_X99Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y109 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y109 NAME NULL_X99Y109 TILEPROP NULL_X99Y109 NUM_ARCS 0 TILEPROP NULL_X99Y109 NUM_SITES 0 TILEPROP NULL_X99Y109 ROW 47 TILEPROP NULL_X99Y109 SLR_REGION_ID 0 TILEPROP NULL_X99Y109 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y109 TILE_TYPE NULL TILEPROP NULL_X99Y109 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y109 TILE_X 81118 TILEPROP NULL_X99Y109 TILE_Y 94072 TILEPROP NULL_X99Y109 TYPE NULL TILEPROP NULL_X99Y110 CLASS tile TILEPROP NULL_X99Y110 COLUMN 99 TILEPROP NULL_X99Y110 DEVICE_ID 0 TILEPROP NULL_X99Y110 FIRST_SITE_ID 4619 TILEPROP NULL_X99Y110 GRID_POINT_X 99 TILEPROP NULL_X99Y110 GRID_POINT_Y 46 TILEPROP NULL_X99Y110 INDEX 5389 TILEPROP NULL_X99Y110 INT_TILE_X -1 TILEPROP NULL_X99Y110 INT_TILE_Y -1 TILEPROP NULL_X99Y110 IS_CENTER_TILE 0 TILEPROP NULL_X99Y110 IS_DCM_TILE 0 TILEPROP NULL_X99Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y110 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y110 NAME NULL_X99Y110 TILEPROP NULL_X99Y110 NUM_ARCS 0 TILEPROP NULL_X99Y110 NUM_SITES 0 TILEPROP NULL_X99Y110 ROW 46 TILEPROP NULL_X99Y110 SLR_REGION_ID 0 TILEPROP NULL_X99Y110 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y110 TILE_TYPE NULL TILEPROP NULL_X99Y110 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y110 TILE_X 81118 TILEPROP NULL_X99Y110 TILE_Y 97272 TILEPROP NULL_X99Y110 TYPE NULL TILEPROP NULL_X99Y111 CLASS tile TILEPROP NULL_X99Y111 COLUMN 99 TILEPROP NULL_X99Y111 DEVICE_ID 0 TILEPROP NULL_X99Y111 FIRST_SITE_ID 4509 TILEPROP NULL_X99Y111 GRID_POINT_X 99 TILEPROP NULL_X99Y111 GRID_POINT_Y 45 TILEPROP NULL_X99Y111 INDEX 5274 TILEPROP NULL_X99Y111 INT_TILE_X -1 TILEPROP NULL_X99Y111 INT_TILE_Y -1 TILEPROP NULL_X99Y111 IS_CENTER_TILE 0 TILEPROP NULL_X99Y111 IS_DCM_TILE 0 TILEPROP NULL_X99Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y111 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y111 NAME NULL_X99Y111 TILEPROP NULL_X99Y111 NUM_ARCS 0 TILEPROP NULL_X99Y111 NUM_SITES 0 TILEPROP NULL_X99Y111 ROW 45 TILEPROP NULL_X99Y111 SLR_REGION_ID 0 TILEPROP NULL_X99Y111 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y111 TILE_TYPE NULL TILEPROP NULL_X99Y111 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y111 TILE_X 81118 TILEPROP NULL_X99Y111 TILE_Y 100472 TILEPROP NULL_X99Y111 TYPE NULL TILEPROP NULL_X99Y112 CLASS tile TILEPROP NULL_X99Y112 COLUMN 99 TILEPROP NULL_X99Y112 DEVICE_ID 0 TILEPROP NULL_X99Y112 FIRST_SITE_ID 4421 TILEPROP NULL_X99Y112 GRID_POINT_X 99 TILEPROP NULL_X99Y112 GRID_POINT_Y 44 TILEPROP NULL_X99Y112 INDEX 5159 TILEPROP NULL_X99Y112 INT_TILE_X -1 TILEPROP NULL_X99Y112 INT_TILE_Y -1 TILEPROP NULL_X99Y112 IS_CENTER_TILE 0 TILEPROP NULL_X99Y112 IS_DCM_TILE 0 TILEPROP NULL_X99Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y112 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y112 NAME NULL_X99Y112 TILEPROP NULL_X99Y112 NUM_ARCS 0 TILEPROP NULL_X99Y112 NUM_SITES 0 TILEPROP NULL_X99Y112 ROW 44 TILEPROP NULL_X99Y112 SLR_REGION_ID 0 TILEPROP NULL_X99Y112 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y112 TILE_TYPE NULL TILEPROP NULL_X99Y112 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y112 TILE_X 81118 TILEPROP NULL_X99Y112 TILE_Y 103672 TILEPROP NULL_X99Y112 TYPE NULL TILEPROP NULL_X99Y113 CLASS tile TILEPROP NULL_X99Y113 COLUMN 99 TILEPROP NULL_X99Y113 DEVICE_ID 0 TILEPROP NULL_X99Y113 FIRST_SITE_ID 4323 TILEPROP NULL_X99Y113 GRID_POINT_X 99 TILEPROP NULL_X99Y113 GRID_POINT_Y 43 TILEPROP NULL_X99Y113 INDEX 5044 TILEPROP NULL_X99Y113 INT_TILE_X -1 TILEPROP NULL_X99Y113 INT_TILE_Y -1 TILEPROP NULL_X99Y113 IS_CENTER_TILE 0 TILEPROP NULL_X99Y113 IS_DCM_TILE 0 TILEPROP NULL_X99Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y113 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y113 NAME NULL_X99Y113 TILEPROP NULL_X99Y113 NUM_ARCS 0 TILEPROP NULL_X99Y113 NUM_SITES 0 TILEPROP NULL_X99Y113 ROW 43 TILEPROP NULL_X99Y113 SLR_REGION_ID 0 TILEPROP NULL_X99Y113 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y113 TILE_TYPE NULL TILEPROP NULL_X99Y113 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y113 TILE_X 81118 TILEPROP NULL_X99Y113 TILE_Y 106872 TILEPROP NULL_X99Y113 TYPE NULL TILEPROP NULL_X99Y114 CLASS tile TILEPROP NULL_X99Y114 COLUMN 99 TILEPROP NULL_X99Y114 DEVICE_ID 0 TILEPROP NULL_X99Y114 FIRST_SITE_ID 4234 TILEPROP NULL_X99Y114 GRID_POINT_X 99 TILEPROP NULL_X99Y114 GRID_POINT_Y 42 TILEPROP NULL_X99Y114 INDEX 4929 TILEPROP NULL_X99Y114 INT_TILE_X -1 TILEPROP NULL_X99Y114 INT_TILE_Y -1 TILEPROP NULL_X99Y114 IS_CENTER_TILE 0 TILEPROP NULL_X99Y114 IS_DCM_TILE 0 TILEPROP NULL_X99Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y114 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y114 NAME NULL_X99Y114 TILEPROP NULL_X99Y114 NUM_ARCS 0 TILEPROP NULL_X99Y114 NUM_SITES 0 TILEPROP NULL_X99Y114 ROW 42 TILEPROP NULL_X99Y114 SLR_REGION_ID 0 TILEPROP NULL_X99Y114 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y114 TILE_TYPE NULL TILEPROP NULL_X99Y114 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y114 TILE_X 81118 TILEPROP NULL_X99Y114 TILE_Y 110072 TILEPROP NULL_X99Y114 TYPE NULL TILEPROP NULL_X99Y115 CLASS tile TILEPROP NULL_X99Y115 COLUMN 99 TILEPROP NULL_X99Y115 DEVICE_ID 0 TILEPROP NULL_X99Y115 FIRST_SITE_ID 4138 TILEPROP NULL_X99Y115 GRID_POINT_X 99 TILEPROP NULL_X99Y115 GRID_POINT_Y 41 TILEPROP NULL_X99Y115 INDEX 4814 TILEPROP NULL_X99Y115 INT_TILE_X -1 TILEPROP NULL_X99Y115 INT_TILE_Y -1 TILEPROP NULL_X99Y115 IS_CENTER_TILE 0 TILEPROP NULL_X99Y115 IS_DCM_TILE 0 TILEPROP NULL_X99Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y115 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y115 NAME NULL_X99Y115 TILEPROP NULL_X99Y115 NUM_ARCS 0 TILEPROP NULL_X99Y115 NUM_SITES 0 TILEPROP NULL_X99Y115 ROW 41 TILEPROP NULL_X99Y115 SLR_REGION_ID 0 TILEPROP NULL_X99Y115 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y115 TILE_TYPE NULL TILEPROP NULL_X99Y115 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y115 TILE_X 81118 TILEPROP NULL_X99Y115 TILE_Y 113272 TILEPROP NULL_X99Y115 TYPE NULL TILEPROP NULL_X99Y116 CLASS tile TILEPROP NULL_X99Y116 COLUMN 99 TILEPROP NULL_X99Y116 DEVICE_ID 0 TILEPROP NULL_X99Y116 FIRST_SITE_ID 4040 TILEPROP NULL_X99Y116 GRID_POINT_X 99 TILEPROP NULL_X99Y116 GRID_POINT_Y 40 TILEPROP NULL_X99Y116 INDEX 4699 TILEPROP NULL_X99Y116 INT_TILE_X -1 TILEPROP NULL_X99Y116 INT_TILE_Y -1 TILEPROP NULL_X99Y116 IS_CENTER_TILE 0 TILEPROP NULL_X99Y116 IS_DCM_TILE 0 TILEPROP NULL_X99Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y116 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y116 NAME NULL_X99Y116 TILEPROP NULL_X99Y116 NUM_ARCS 0 TILEPROP NULL_X99Y116 NUM_SITES 0 TILEPROP NULL_X99Y116 ROW 40 TILEPROP NULL_X99Y116 SLR_REGION_ID 0 TILEPROP NULL_X99Y116 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y116 TILE_TYPE NULL TILEPROP NULL_X99Y116 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y116 TILE_X 81118 TILEPROP NULL_X99Y116 TILE_Y 116472 TILEPROP NULL_X99Y116 TYPE NULL TILEPROP NULL_X99Y117 CLASS tile TILEPROP NULL_X99Y117 COLUMN 99 TILEPROP NULL_X99Y117 DEVICE_ID 0 TILEPROP NULL_X99Y117 FIRST_SITE_ID 3944 TILEPROP NULL_X99Y117 GRID_POINT_X 99 TILEPROP NULL_X99Y117 GRID_POINT_Y 39 TILEPROP NULL_X99Y117 INDEX 4584 TILEPROP NULL_X99Y117 INT_TILE_X -1 TILEPROP NULL_X99Y117 INT_TILE_Y -1 TILEPROP NULL_X99Y117 IS_CENTER_TILE 0 TILEPROP NULL_X99Y117 IS_DCM_TILE 0 TILEPROP NULL_X99Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y117 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y117 NAME NULL_X99Y117 TILEPROP NULL_X99Y117 NUM_ARCS 0 TILEPROP NULL_X99Y117 NUM_SITES 0 TILEPROP NULL_X99Y117 ROW 39 TILEPROP NULL_X99Y117 SLR_REGION_ID 0 TILEPROP NULL_X99Y117 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y117 TILE_TYPE NULL TILEPROP NULL_X99Y117 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y117 TILE_X 81118 TILEPROP NULL_X99Y117 TILE_Y 119672 TILEPROP NULL_X99Y117 TYPE NULL TILEPROP NULL_X99Y118 CLASS tile TILEPROP NULL_X99Y118 COLUMN 99 TILEPROP NULL_X99Y118 DEVICE_ID 0 TILEPROP NULL_X99Y118 FIRST_SITE_ID 3824 TILEPROP NULL_X99Y118 GRID_POINT_X 99 TILEPROP NULL_X99Y118 GRID_POINT_Y 38 TILEPROP NULL_X99Y118 INDEX 4469 TILEPROP NULL_X99Y118 INT_TILE_X -1 TILEPROP NULL_X99Y118 INT_TILE_Y -1 TILEPROP NULL_X99Y118 IS_CENTER_TILE 0 TILEPROP NULL_X99Y118 IS_DCM_TILE 0 TILEPROP NULL_X99Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y118 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y118 NAME NULL_X99Y118 TILEPROP NULL_X99Y118 NUM_ARCS 0 TILEPROP NULL_X99Y118 NUM_SITES 0 TILEPROP NULL_X99Y118 ROW 38 TILEPROP NULL_X99Y118 SLR_REGION_ID 0 TILEPROP NULL_X99Y118 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y118 TILE_TYPE NULL TILEPROP NULL_X99Y118 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y118 TILE_X 81118 TILEPROP NULL_X99Y118 TILE_Y 122872 TILEPROP NULL_X99Y118 TYPE NULL TILEPROP NULL_X99Y119 CLASS tile TILEPROP NULL_X99Y119 COLUMN 99 TILEPROP NULL_X99Y119 DEVICE_ID 0 TILEPROP NULL_X99Y119 FIRST_SITE_ID 3728 TILEPROP NULL_X99Y119 GRID_POINT_X 99 TILEPROP NULL_X99Y119 GRID_POINT_Y 37 TILEPROP NULL_X99Y119 INDEX 4354 TILEPROP NULL_X99Y119 INT_TILE_X -1 TILEPROP NULL_X99Y119 INT_TILE_Y -1 TILEPROP NULL_X99Y119 IS_CENTER_TILE 0 TILEPROP NULL_X99Y119 IS_DCM_TILE 0 TILEPROP NULL_X99Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y119 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y119 NAME NULL_X99Y119 TILEPROP NULL_X99Y119 NUM_ARCS 0 TILEPROP NULL_X99Y119 NUM_SITES 0 TILEPROP NULL_X99Y119 ROW 37 TILEPROP NULL_X99Y119 SLR_REGION_ID 0 TILEPROP NULL_X99Y119 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y119 TILE_TYPE NULL TILEPROP NULL_X99Y119 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y119 TILE_X 81118 TILEPROP NULL_X99Y119 TILE_Y 126072 TILEPROP NULL_X99Y119 TYPE NULL TILEPROP NULL_X99Y120 CLASS tile TILEPROP NULL_X99Y120 COLUMN 99 TILEPROP NULL_X99Y120 DEVICE_ID 0 TILEPROP NULL_X99Y120 FIRST_SITE_ID 3640 TILEPROP NULL_X99Y120 GRID_POINT_X 99 TILEPROP NULL_X99Y120 GRID_POINT_Y 36 TILEPROP NULL_X99Y120 INDEX 4239 TILEPROP NULL_X99Y120 INT_TILE_X -1 TILEPROP NULL_X99Y120 INT_TILE_Y -1 TILEPROP NULL_X99Y120 IS_CENTER_TILE 0 TILEPROP NULL_X99Y120 IS_DCM_TILE 0 TILEPROP NULL_X99Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y120 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y120 NAME NULL_X99Y120 TILEPROP NULL_X99Y120 NUM_ARCS 0 TILEPROP NULL_X99Y120 NUM_SITES 0 TILEPROP NULL_X99Y120 ROW 36 TILEPROP NULL_X99Y120 SLR_REGION_ID 0 TILEPROP NULL_X99Y120 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y120 TILE_TYPE NULL TILEPROP NULL_X99Y120 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y120 TILE_X 81118 TILEPROP NULL_X99Y120 TILE_Y 129272 TILEPROP NULL_X99Y120 TYPE NULL TILEPROP NULL_X99Y121 CLASS tile TILEPROP NULL_X99Y121 COLUMN 99 TILEPROP NULL_X99Y121 DEVICE_ID 0 TILEPROP NULL_X99Y121 FIRST_SITE_ID 3535 TILEPROP NULL_X99Y121 GRID_POINT_X 99 TILEPROP NULL_X99Y121 GRID_POINT_Y 35 TILEPROP NULL_X99Y121 INDEX 4124 TILEPROP NULL_X99Y121 INT_TILE_X -1 TILEPROP NULL_X99Y121 INT_TILE_Y -1 TILEPROP NULL_X99Y121 IS_CENTER_TILE 0 TILEPROP NULL_X99Y121 IS_DCM_TILE 0 TILEPROP NULL_X99Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y121 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y121 NAME NULL_X99Y121 TILEPROP NULL_X99Y121 NUM_ARCS 0 TILEPROP NULL_X99Y121 NUM_SITES 0 TILEPROP NULL_X99Y121 ROW 35 TILEPROP NULL_X99Y121 SLR_REGION_ID 0 TILEPROP NULL_X99Y121 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y121 TILE_TYPE NULL TILEPROP NULL_X99Y121 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y121 TILE_X 81118 TILEPROP NULL_X99Y121 TILE_Y 132472 TILEPROP NULL_X99Y121 TYPE NULL TILEPROP NULL_X99Y122 CLASS tile TILEPROP NULL_X99Y122 COLUMN 99 TILEPROP NULL_X99Y122 DEVICE_ID 0 TILEPROP NULL_X99Y122 FIRST_SITE_ID 3442 TILEPROP NULL_X99Y122 GRID_POINT_X 99 TILEPROP NULL_X99Y122 GRID_POINT_Y 34 TILEPROP NULL_X99Y122 INDEX 4009 TILEPROP NULL_X99Y122 INT_TILE_X -1 TILEPROP NULL_X99Y122 INT_TILE_Y -1 TILEPROP NULL_X99Y122 IS_CENTER_TILE 0 TILEPROP NULL_X99Y122 IS_DCM_TILE 0 TILEPROP NULL_X99Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y122 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y122 NAME NULL_X99Y122 TILEPROP NULL_X99Y122 NUM_ARCS 0 TILEPROP NULL_X99Y122 NUM_SITES 0 TILEPROP NULL_X99Y122 ROW 34 TILEPROP NULL_X99Y122 SLR_REGION_ID 0 TILEPROP NULL_X99Y122 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y122 TILE_TYPE NULL TILEPROP NULL_X99Y122 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y122 TILE_X 81118 TILEPROP NULL_X99Y122 TILE_Y 135672 TILEPROP NULL_X99Y122 TYPE NULL TILEPROP NULL_X99Y123 CLASS tile TILEPROP NULL_X99Y123 COLUMN 99 TILEPROP NULL_X99Y123 DEVICE_ID 0 TILEPROP NULL_X99Y123 FIRST_SITE_ID 3342 TILEPROP NULL_X99Y123 GRID_POINT_X 99 TILEPROP NULL_X99Y123 GRID_POINT_Y 33 TILEPROP NULL_X99Y123 INDEX 3894 TILEPROP NULL_X99Y123 INT_TILE_X -1 TILEPROP NULL_X99Y123 INT_TILE_Y -1 TILEPROP NULL_X99Y123 IS_CENTER_TILE 0 TILEPROP NULL_X99Y123 IS_DCM_TILE 0 TILEPROP NULL_X99Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y123 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y123 NAME NULL_X99Y123 TILEPROP NULL_X99Y123 NUM_ARCS 0 TILEPROP NULL_X99Y123 NUM_SITES 0 TILEPROP NULL_X99Y123 ROW 33 TILEPROP NULL_X99Y123 SLR_REGION_ID 0 TILEPROP NULL_X99Y123 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y123 TILE_TYPE NULL TILEPROP NULL_X99Y123 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y123 TILE_X 81118 TILEPROP NULL_X99Y123 TILE_Y 138872 TILEPROP NULL_X99Y123 TYPE NULL TILEPROP NULL_X99Y124 CLASS tile TILEPROP NULL_X99Y124 COLUMN 99 TILEPROP NULL_X99Y124 DEVICE_ID 0 TILEPROP NULL_X99Y124 FIRST_SITE_ID 3254 TILEPROP NULL_X99Y124 GRID_POINT_X 99 TILEPROP NULL_X99Y124 GRID_POINT_Y 32 TILEPROP NULL_X99Y124 INDEX 3779 TILEPROP NULL_X99Y124 INT_TILE_X -1 TILEPROP NULL_X99Y124 INT_TILE_Y -1 TILEPROP NULL_X99Y124 IS_CENTER_TILE 0 TILEPROP NULL_X99Y124 IS_DCM_TILE 0 TILEPROP NULL_X99Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y124 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y124 NAME NULL_X99Y124 TILEPROP NULL_X99Y124 NUM_ARCS 0 TILEPROP NULL_X99Y124 NUM_SITES 0 TILEPROP NULL_X99Y124 ROW 32 TILEPROP NULL_X99Y124 SLR_REGION_ID 0 TILEPROP NULL_X99Y124 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y124 TILE_TYPE NULL TILEPROP NULL_X99Y124 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y124 TILE_X 81118 TILEPROP NULL_X99Y124 TILE_Y 142072 TILEPROP NULL_X99Y124 TYPE NULL TILEPROP NULL_X99Y125 CLASS tile TILEPROP NULL_X99Y125 COLUMN 99 TILEPROP NULL_X99Y125 DEVICE_ID 0 TILEPROP NULL_X99Y125 FIRST_SITE_ID 3156 TILEPROP NULL_X99Y125 GRID_POINT_X 99 TILEPROP NULL_X99Y125 GRID_POINT_Y 31 TILEPROP NULL_X99Y125 INDEX 3664 TILEPROP NULL_X99Y125 INT_TILE_X -1 TILEPROP NULL_X99Y125 INT_TILE_Y -1 TILEPROP NULL_X99Y125 IS_CENTER_TILE 0 TILEPROP NULL_X99Y125 IS_DCM_TILE 0 TILEPROP NULL_X99Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y125 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y125 NAME NULL_X99Y125 TILEPROP NULL_X99Y125 NUM_ARCS 0 TILEPROP NULL_X99Y125 NUM_SITES 0 TILEPROP NULL_X99Y125 ROW 31 TILEPROP NULL_X99Y125 SLR_REGION_ID 0 TILEPROP NULL_X99Y125 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y125 TILE_TYPE NULL TILEPROP NULL_X99Y125 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y125 TILE_X 81118 TILEPROP NULL_X99Y125 TILE_Y 145272 TILEPROP NULL_X99Y125 TYPE NULL TILEPROP NULL_X99Y126 CLASS tile TILEPROP NULL_X99Y126 COLUMN 99 TILEPROP NULL_X99Y126 DEVICE_ID 0 TILEPROP NULL_X99Y126 FIRST_SITE_ID 3059 TILEPROP NULL_X99Y126 GRID_POINT_X 99 TILEPROP NULL_X99Y126 GRID_POINT_Y 30 TILEPROP NULL_X99Y126 INDEX 3549 TILEPROP NULL_X99Y126 INT_TILE_X -1 TILEPROP NULL_X99Y126 INT_TILE_Y -1 TILEPROP NULL_X99Y126 IS_CENTER_TILE 0 TILEPROP NULL_X99Y126 IS_DCM_TILE 0 TILEPROP NULL_X99Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y126 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y126 NAME NULL_X99Y126 TILEPROP NULL_X99Y126 NUM_ARCS 0 TILEPROP NULL_X99Y126 NUM_SITES 0 TILEPROP NULL_X99Y126 ROW 30 TILEPROP NULL_X99Y126 SLR_REGION_ID 0 TILEPROP NULL_X99Y126 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y126 TILE_TYPE NULL TILEPROP NULL_X99Y126 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y126 TILE_X 81118 TILEPROP NULL_X99Y126 TILE_Y 148472 TILEPROP NULL_X99Y126 TYPE NULL TILEPROP NULL_X99Y127 CLASS tile TILEPROP NULL_X99Y127 COLUMN 99 TILEPROP NULL_X99Y127 DEVICE_ID 0 TILEPROP NULL_X99Y127 FIRST_SITE_ID 2963 TILEPROP NULL_X99Y127 GRID_POINT_X 99 TILEPROP NULL_X99Y127 GRID_POINT_Y 29 TILEPROP NULL_X99Y127 INDEX 3434 TILEPROP NULL_X99Y127 INT_TILE_X -1 TILEPROP NULL_X99Y127 INT_TILE_Y -1 TILEPROP NULL_X99Y127 IS_CENTER_TILE 0 TILEPROP NULL_X99Y127 IS_DCM_TILE 0 TILEPROP NULL_X99Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y127 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y127 NAME NULL_X99Y127 TILEPROP NULL_X99Y127 NUM_ARCS 0 TILEPROP NULL_X99Y127 NUM_SITES 0 TILEPROP NULL_X99Y127 ROW 29 TILEPROP NULL_X99Y127 SLR_REGION_ID 0 TILEPROP NULL_X99Y127 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y127 TILE_TYPE NULL TILEPROP NULL_X99Y127 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y127 TILE_X 81118 TILEPROP NULL_X99Y127 TILE_Y 151672 TILEPROP NULL_X99Y127 TYPE NULL TILEPROP NULL_X99Y128 CLASS tile TILEPROP NULL_X99Y128 COLUMN 99 TILEPROP NULL_X99Y128 DEVICE_ID 0 TILEPROP NULL_X99Y128 FIRST_SITE_ID 2868 TILEPROP NULL_X99Y128 GRID_POINT_X 99 TILEPROP NULL_X99Y128 GRID_POINT_Y 28 TILEPROP NULL_X99Y128 INDEX 3319 TILEPROP NULL_X99Y128 INT_TILE_X -1 TILEPROP NULL_X99Y128 INT_TILE_Y -1 TILEPROP NULL_X99Y128 IS_CENTER_TILE 0 TILEPROP NULL_X99Y128 IS_DCM_TILE 0 TILEPROP NULL_X99Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y128 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y128 NAME NULL_X99Y128 TILEPROP NULL_X99Y128 NUM_ARCS 0 TILEPROP NULL_X99Y128 NUM_SITES 0 TILEPROP NULL_X99Y128 ROW 28 TILEPROP NULL_X99Y128 SLR_REGION_ID 0 TILEPROP NULL_X99Y128 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y128 TILE_TYPE NULL TILEPROP NULL_X99Y128 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y128 TILE_X 81118 TILEPROP NULL_X99Y128 TILE_Y 154872 TILEPROP NULL_X99Y128 TYPE NULL TILEPROP NULL_X99Y129 CLASS tile TILEPROP NULL_X99Y129 COLUMN 99 TILEPROP NULL_X99Y129 DEVICE_ID 0 TILEPROP NULL_X99Y129 FIRST_SITE_ID 2772 TILEPROP NULL_X99Y129 GRID_POINT_X 99 TILEPROP NULL_X99Y129 GRID_POINT_Y 27 TILEPROP NULL_X99Y129 INDEX 3204 TILEPROP NULL_X99Y129 INT_TILE_X -1 TILEPROP NULL_X99Y129 INT_TILE_Y -1 TILEPROP NULL_X99Y129 IS_CENTER_TILE 0 TILEPROP NULL_X99Y129 IS_DCM_TILE 0 TILEPROP NULL_X99Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y129 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y129 NAME NULL_X99Y129 TILEPROP NULL_X99Y129 NUM_ARCS 0 TILEPROP NULL_X99Y129 NUM_SITES 0 TILEPROP NULL_X99Y129 ROW 27 TILEPROP NULL_X99Y129 SLR_REGION_ID 0 TILEPROP NULL_X99Y129 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y129 TILE_TYPE NULL TILEPROP NULL_X99Y129 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y129 TILE_X 81118 TILEPROP NULL_X99Y129 TILE_Y 158072 TILEPROP NULL_X99Y129 TYPE NULL TILEPROP NULL_X99Y130 CLASS tile TILEPROP NULL_X99Y130 COLUMN 99 TILEPROP NULL_X99Y130 DEVICE_ID 0 TILEPROP NULL_X99Y130 FIRST_SITE_ID 2684 TILEPROP NULL_X99Y130 GRID_POINT_X 99 TILEPROP NULL_X99Y130 GRID_POINT_Y 26 TILEPROP NULL_X99Y130 INDEX 3089 TILEPROP NULL_X99Y130 INT_TILE_X -1 TILEPROP NULL_X99Y130 INT_TILE_Y -1 TILEPROP NULL_X99Y130 IS_CENTER_TILE 0 TILEPROP NULL_X99Y130 IS_DCM_TILE 0 TILEPROP NULL_X99Y130 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y130 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y130 NAME NULL_X99Y130 TILEPROP NULL_X99Y130 NUM_ARCS 0 TILEPROP NULL_X99Y130 NUM_SITES 0 TILEPROP NULL_X99Y130 ROW 26 TILEPROP NULL_X99Y130 SLR_REGION_ID 0 TILEPROP NULL_X99Y130 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y130 TILE_TYPE NULL TILEPROP NULL_X99Y130 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y130 TILE_X 81118 TILEPROP NULL_X99Y130 TILE_Y 161272 TILEPROP NULL_X99Y130 TYPE NULL TILEPROP NULL_X99Y131 CLASS tile TILEPROP NULL_X99Y131 COLUMN 99 TILEPROP NULL_X99Y131 DEVICE_ID 0 TILEPROP NULL_X99Y131 FIRST_SITE_ID 2613 TILEPROP NULL_X99Y131 GRID_POINT_X 99 TILEPROP NULL_X99Y131 GRID_POINT_Y 25 TILEPROP NULL_X99Y131 INDEX 2974 TILEPROP NULL_X99Y131 INT_TILE_X -1 TILEPROP NULL_X99Y131 INT_TILE_Y -1 TILEPROP NULL_X99Y131 IS_CENTER_TILE 0 TILEPROP NULL_X99Y131 IS_DCM_TILE 0 TILEPROP NULL_X99Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y131 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y131 NAME NULL_X99Y131 TILEPROP NULL_X99Y131 NUM_ARCS 0 TILEPROP NULL_X99Y131 NUM_SITES 0 TILEPROP NULL_X99Y131 ROW 25 TILEPROP NULL_X99Y131 SLR_REGION_ID 0 TILEPROP NULL_X99Y131 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y131 TILE_TYPE NULL TILEPROP NULL_X99Y131 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y131 TILE_X 81118 TILEPROP NULL_X99Y131 TILE_Y 162296 TILEPROP NULL_X99Y131 TYPE NULL TILEPROP NULL_X99Y132 CLASS tile TILEPROP NULL_X99Y132 COLUMN 99 TILEPROP NULL_X99Y132 DEVICE_ID 0 TILEPROP NULL_X99Y132 FIRST_SITE_ID 2497 TILEPROP NULL_X99Y132 GRID_POINT_X 99 TILEPROP NULL_X99Y132 GRID_POINT_Y 24 TILEPROP NULL_X99Y132 INDEX 2859 TILEPROP NULL_X99Y132 INT_TILE_X -1 TILEPROP NULL_X99Y132 INT_TILE_Y -1 TILEPROP NULL_X99Y132 IS_CENTER_TILE 0 TILEPROP NULL_X99Y132 IS_DCM_TILE 0 TILEPROP NULL_X99Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y132 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y132 NAME NULL_X99Y132 TILEPROP NULL_X99Y132 NUM_ARCS 0 TILEPROP NULL_X99Y132 NUM_SITES 0 TILEPROP NULL_X99Y132 ROW 24 TILEPROP NULL_X99Y132 SLR_REGION_ID 0 TILEPROP NULL_X99Y132 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y132 TILE_TYPE NULL TILEPROP NULL_X99Y132 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y132 TILE_X 81118 TILEPROP NULL_X99Y132 TILE_Y 165496 TILEPROP NULL_X99Y132 TYPE NULL TILEPROP NULL_X99Y133 CLASS tile TILEPROP NULL_X99Y133 COLUMN 99 TILEPROP NULL_X99Y133 DEVICE_ID 0 TILEPROP NULL_X99Y133 FIRST_SITE_ID 2401 TILEPROP NULL_X99Y133 GRID_POINT_X 99 TILEPROP NULL_X99Y133 GRID_POINT_Y 23 TILEPROP NULL_X99Y133 INDEX 2744 TILEPROP NULL_X99Y133 INT_TILE_X -1 TILEPROP NULL_X99Y133 INT_TILE_Y -1 TILEPROP NULL_X99Y133 IS_CENTER_TILE 0 TILEPROP NULL_X99Y133 IS_DCM_TILE 0 TILEPROP NULL_X99Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y133 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y133 NAME NULL_X99Y133 TILEPROP NULL_X99Y133 NUM_ARCS 0 TILEPROP NULL_X99Y133 NUM_SITES 0 TILEPROP NULL_X99Y133 ROW 23 TILEPROP NULL_X99Y133 SLR_REGION_ID 0 TILEPROP NULL_X99Y133 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y133 TILE_TYPE NULL TILEPROP NULL_X99Y133 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y133 TILE_X 81118 TILEPROP NULL_X99Y133 TILE_Y 168696 TILEPROP NULL_X99Y133 TYPE NULL TILEPROP NULL_X99Y134 CLASS tile TILEPROP NULL_X99Y134 COLUMN 99 TILEPROP NULL_X99Y134 DEVICE_ID 0 TILEPROP NULL_X99Y134 FIRST_SITE_ID 2297 TILEPROP NULL_X99Y134 GRID_POINT_X 99 TILEPROP NULL_X99Y134 GRID_POINT_Y 22 TILEPROP NULL_X99Y134 INDEX 2629 TILEPROP NULL_X99Y134 INT_TILE_X -1 TILEPROP NULL_X99Y134 INT_TILE_Y -1 TILEPROP NULL_X99Y134 IS_CENTER_TILE 0 TILEPROP NULL_X99Y134 IS_DCM_TILE 0 TILEPROP NULL_X99Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y134 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y134 NAME NULL_X99Y134 TILEPROP NULL_X99Y134 NUM_ARCS 0 TILEPROP NULL_X99Y134 NUM_SITES 0 TILEPROP NULL_X99Y134 ROW 22 TILEPROP NULL_X99Y134 SLR_REGION_ID 0 TILEPROP NULL_X99Y134 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y134 TILE_TYPE NULL TILEPROP NULL_X99Y134 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y134 TILE_X 81118 TILEPROP NULL_X99Y134 TILE_Y 171896 TILEPROP NULL_X99Y134 TYPE NULL TILEPROP NULL_X99Y135 CLASS tile TILEPROP NULL_X99Y135 COLUMN 99 TILEPROP NULL_X99Y135 DEVICE_ID 0 TILEPROP NULL_X99Y135 FIRST_SITE_ID 2201 TILEPROP NULL_X99Y135 GRID_POINT_X 99 TILEPROP NULL_X99Y135 GRID_POINT_Y 21 TILEPROP NULL_X99Y135 INDEX 2514 TILEPROP NULL_X99Y135 INT_TILE_X -1 TILEPROP NULL_X99Y135 INT_TILE_Y -1 TILEPROP NULL_X99Y135 IS_CENTER_TILE 0 TILEPROP NULL_X99Y135 IS_DCM_TILE 0 TILEPROP NULL_X99Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y135 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y135 NAME NULL_X99Y135 TILEPROP NULL_X99Y135 NUM_ARCS 0 TILEPROP NULL_X99Y135 NUM_SITES 0 TILEPROP NULL_X99Y135 ROW 21 TILEPROP NULL_X99Y135 SLR_REGION_ID 0 TILEPROP NULL_X99Y135 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y135 TILE_TYPE NULL TILEPROP NULL_X99Y135 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y135 TILE_X 81118 TILEPROP NULL_X99Y135 TILE_Y 175096 TILEPROP NULL_X99Y135 TYPE NULL TILEPROP NULL_X99Y136 CLASS tile TILEPROP NULL_X99Y136 COLUMN 99 TILEPROP NULL_X99Y136 DEVICE_ID 0 TILEPROP NULL_X99Y136 FIRST_SITE_ID 2091 TILEPROP NULL_X99Y136 GRID_POINT_X 99 TILEPROP NULL_X99Y136 GRID_POINT_Y 20 TILEPROP NULL_X99Y136 INDEX 2399 TILEPROP NULL_X99Y136 INT_TILE_X -1 TILEPROP NULL_X99Y136 INT_TILE_Y -1 TILEPROP NULL_X99Y136 IS_CENTER_TILE 0 TILEPROP NULL_X99Y136 IS_DCM_TILE 0 TILEPROP NULL_X99Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y136 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y136 NAME NULL_X99Y136 TILEPROP NULL_X99Y136 NUM_ARCS 0 TILEPROP NULL_X99Y136 NUM_SITES 0 TILEPROP NULL_X99Y136 ROW 20 TILEPROP NULL_X99Y136 SLR_REGION_ID 0 TILEPROP NULL_X99Y136 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y136 TILE_TYPE NULL TILEPROP NULL_X99Y136 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y136 TILE_X 81118 TILEPROP NULL_X99Y136 TILE_Y 178296 TILEPROP NULL_X99Y136 TYPE NULL TILEPROP NULL_X99Y137 CLASS tile TILEPROP NULL_X99Y137 COLUMN 99 TILEPROP NULL_X99Y137 DEVICE_ID 0 TILEPROP NULL_X99Y137 FIRST_SITE_ID 1983 TILEPROP NULL_X99Y137 GRID_POINT_X 99 TILEPROP NULL_X99Y137 GRID_POINT_Y 19 TILEPROP NULL_X99Y137 INDEX 2284 TILEPROP NULL_X99Y137 INT_TILE_X -1 TILEPROP NULL_X99Y137 INT_TILE_Y -1 TILEPROP NULL_X99Y137 IS_CENTER_TILE 0 TILEPROP NULL_X99Y137 IS_DCM_TILE 0 TILEPROP NULL_X99Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y137 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y137 NAME NULL_X99Y137 TILEPROP NULL_X99Y137 NUM_ARCS 0 TILEPROP NULL_X99Y137 NUM_SITES 0 TILEPROP NULL_X99Y137 ROW 19 TILEPROP NULL_X99Y137 SLR_REGION_ID 0 TILEPROP NULL_X99Y137 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y137 TILE_TYPE NULL TILEPROP NULL_X99Y137 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y137 TILE_X 81118 TILEPROP NULL_X99Y137 TILE_Y 181496 TILEPROP NULL_X99Y137 TYPE NULL TILEPROP NULL_X99Y138 CLASS tile TILEPROP NULL_X99Y138 COLUMN 99 TILEPROP NULL_X99Y138 DEVICE_ID 0 TILEPROP NULL_X99Y138 FIRST_SITE_ID 1877 TILEPROP NULL_X99Y138 GRID_POINT_X 99 TILEPROP NULL_X99Y138 GRID_POINT_Y 18 TILEPROP NULL_X99Y138 INDEX 2169 TILEPROP NULL_X99Y138 INT_TILE_X -1 TILEPROP NULL_X99Y138 INT_TILE_Y -1 TILEPROP NULL_X99Y138 IS_CENTER_TILE 0 TILEPROP NULL_X99Y138 IS_DCM_TILE 0 TILEPROP NULL_X99Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y138 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y138 NAME NULL_X99Y138 TILEPROP NULL_X99Y138 NUM_ARCS 0 TILEPROP NULL_X99Y138 NUM_SITES 0 TILEPROP NULL_X99Y138 ROW 18 TILEPROP NULL_X99Y138 SLR_REGION_ID 0 TILEPROP NULL_X99Y138 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y138 TILE_TYPE NULL TILEPROP NULL_X99Y138 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y138 TILE_X 81118 TILEPROP NULL_X99Y138 TILE_Y 184696 TILEPROP NULL_X99Y138 TYPE NULL TILEPROP NULL_X99Y139 CLASS tile TILEPROP NULL_X99Y139 COLUMN 99 TILEPROP NULL_X99Y139 DEVICE_ID 0 TILEPROP NULL_X99Y139 FIRST_SITE_ID 1781 TILEPROP NULL_X99Y139 GRID_POINT_X 99 TILEPROP NULL_X99Y139 GRID_POINT_Y 17 TILEPROP NULL_X99Y139 INDEX 2054 TILEPROP NULL_X99Y139 INT_TILE_X -1 TILEPROP NULL_X99Y139 INT_TILE_Y -1 TILEPROP NULL_X99Y139 IS_CENTER_TILE 0 TILEPROP NULL_X99Y139 IS_DCM_TILE 0 TILEPROP NULL_X99Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y139 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y139 NAME NULL_X99Y139 TILEPROP NULL_X99Y139 NUM_ARCS 0 TILEPROP NULL_X99Y139 NUM_SITES 0 TILEPROP NULL_X99Y139 ROW 17 TILEPROP NULL_X99Y139 SLR_REGION_ID 0 TILEPROP NULL_X99Y139 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y139 TILE_TYPE NULL TILEPROP NULL_X99Y139 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y139 TILE_X 81118 TILEPROP NULL_X99Y139 TILE_Y 187896 TILEPROP NULL_X99Y139 TYPE NULL TILEPROP NULL_X99Y140 CLASS tile TILEPROP NULL_X99Y140 COLUMN 99 TILEPROP NULL_X99Y140 DEVICE_ID 0 TILEPROP NULL_X99Y140 FIRST_SITE_ID 1672 TILEPROP NULL_X99Y140 GRID_POINT_X 99 TILEPROP NULL_X99Y140 GRID_POINT_Y 16 TILEPROP NULL_X99Y140 INDEX 1939 TILEPROP NULL_X99Y140 INT_TILE_X -1 TILEPROP NULL_X99Y140 INT_TILE_Y -1 TILEPROP NULL_X99Y140 IS_CENTER_TILE 0 TILEPROP NULL_X99Y140 IS_DCM_TILE 0 TILEPROP NULL_X99Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y140 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y140 NAME NULL_X99Y140 TILEPROP NULL_X99Y140 NUM_ARCS 0 TILEPROP NULL_X99Y140 NUM_SITES 0 TILEPROP NULL_X99Y140 ROW 16 TILEPROP NULL_X99Y140 SLR_REGION_ID 0 TILEPROP NULL_X99Y140 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y140 TILE_TYPE NULL TILEPROP NULL_X99Y140 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y140 TILE_X 81118 TILEPROP NULL_X99Y140 TILE_Y 191096 TILEPROP NULL_X99Y140 TYPE NULL TILEPROP NULL_X99Y141 CLASS tile TILEPROP NULL_X99Y141 COLUMN 99 TILEPROP NULL_X99Y141 DEVICE_ID 0 TILEPROP NULL_X99Y141 FIRST_SITE_ID 1576 TILEPROP NULL_X99Y141 GRID_POINT_X 99 TILEPROP NULL_X99Y141 GRID_POINT_Y 15 TILEPROP NULL_X99Y141 INDEX 1824 TILEPROP NULL_X99Y141 INT_TILE_X -1 TILEPROP NULL_X99Y141 INT_TILE_Y -1 TILEPROP NULL_X99Y141 IS_CENTER_TILE 0 TILEPROP NULL_X99Y141 IS_DCM_TILE 0 TILEPROP NULL_X99Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y141 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y141 NAME NULL_X99Y141 TILEPROP NULL_X99Y141 NUM_ARCS 0 TILEPROP NULL_X99Y141 NUM_SITES 0 TILEPROP NULL_X99Y141 ROW 15 TILEPROP NULL_X99Y141 SLR_REGION_ID 0 TILEPROP NULL_X99Y141 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y141 TILE_TYPE NULL TILEPROP NULL_X99Y141 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y141 TILE_X 81118 TILEPROP NULL_X99Y141 TILE_Y 194296 TILEPROP NULL_X99Y141 TYPE NULL TILEPROP NULL_X99Y142 CLASS tile TILEPROP NULL_X99Y142 COLUMN 99 TILEPROP NULL_X99Y142 DEVICE_ID 0 TILEPROP NULL_X99Y142 FIRST_SITE_ID 1460 TILEPROP NULL_X99Y142 GRID_POINT_X 99 TILEPROP NULL_X99Y142 GRID_POINT_Y 14 TILEPROP NULL_X99Y142 INDEX 1709 TILEPROP NULL_X99Y142 INT_TILE_X -1 TILEPROP NULL_X99Y142 INT_TILE_Y -1 TILEPROP NULL_X99Y142 IS_CENTER_TILE 0 TILEPROP NULL_X99Y142 IS_DCM_TILE 0 TILEPROP NULL_X99Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y142 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y142 NAME NULL_X99Y142 TILEPROP NULL_X99Y142 NUM_ARCS 0 TILEPROP NULL_X99Y142 NUM_SITES 0 TILEPROP NULL_X99Y142 ROW 14 TILEPROP NULL_X99Y142 SLR_REGION_ID 0 TILEPROP NULL_X99Y142 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y142 TILE_TYPE NULL TILEPROP NULL_X99Y142 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y142 TILE_X 81118 TILEPROP NULL_X99Y142 TILE_Y 197496 TILEPROP NULL_X99Y142 TYPE NULL TILEPROP NULL_X99Y143 CLASS tile TILEPROP NULL_X99Y143 COLUMN 99 TILEPROP NULL_X99Y143 DEVICE_ID 0 TILEPROP NULL_X99Y143 FIRST_SITE_ID 1332 TILEPROP NULL_X99Y143 GRID_POINT_X 99 TILEPROP NULL_X99Y143 GRID_POINT_Y 13 TILEPROP NULL_X99Y143 INDEX 1594 TILEPROP NULL_X99Y143 INT_TILE_X -1 TILEPROP NULL_X99Y143 INT_TILE_Y -1 TILEPROP NULL_X99Y143 IS_CENTER_TILE 0 TILEPROP NULL_X99Y143 IS_DCM_TILE 0 TILEPROP NULL_X99Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y143 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y143 NAME NULL_X99Y143 TILEPROP NULL_X99Y143 NUM_ARCS 0 TILEPROP NULL_X99Y143 NUM_SITES 0 TILEPROP NULL_X99Y143 ROW 13 TILEPROP NULL_X99Y143 SLR_REGION_ID 0 TILEPROP NULL_X99Y143 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y143 TILE_TYPE NULL TILEPROP NULL_X99Y143 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y143 TILE_X 81118 TILEPROP NULL_X99Y143 TILE_Y 200696 TILEPROP NULL_X99Y143 TYPE NULL TILEPROP NULL_X99Y144 CLASS tile TILEPROP NULL_X99Y144 COLUMN 99 TILEPROP NULL_X99Y144 DEVICE_ID 0 TILEPROP NULL_X99Y144 FIRST_SITE_ID 1228 TILEPROP NULL_X99Y144 GRID_POINT_X 99 TILEPROP NULL_X99Y144 GRID_POINT_Y 12 TILEPROP NULL_X99Y144 INDEX 1479 TILEPROP NULL_X99Y144 INT_TILE_X -1 TILEPROP NULL_X99Y144 INT_TILE_Y -1 TILEPROP NULL_X99Y144 IS_CENTER_TILE 0 TILEPROP NULL_X99Y144 IS_DCM_TILE 0 TILEPROP NULL_X99Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y144 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y144 NAME NULL_X99Y144 TILEPROP NULL_X99Y144 NUM_ARCS 0 TILEPROP NULL_X99Y144 NUM_SITES 0 TILEPROP NULL_X99Y144 ROW 12 TILEPROP NULL_X99Y144 SLR_REGION_ID 0 TILEPROP NULL_X99Y144 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y144 TILE_TYPE NULL TILEPROP NULL_X99Y144 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y144 TILE_X 81118 TILEPROP NULL_X99Y144 TILE_Y 203896 TILEPROP NULL_X99Y144 TYPE NULL TILEPROP NULL_X99Y145 CLASS tile TILEPROP NULL_X99Y145 COLUMN 99 TILEPROP NULL_X99Y145 DEVICE_ID 0 TILEPROP NULL_X99Y145 FIRST_SITE_ID 1132 TILEPROP NULL_X99Y145 GRID_POINT_X 99 TILEPROP NULL_X99Y145 GRID_POINT_Y 11 TILEPROP NULL_X99Y145 INDEX 1364 TILEPROP NULL_X99Y145 INT_TILE_X -1 TILEPROP NULL_X99Y145 INT_TILE_Y -1 TILEPROP NULL_X99Y145 IS_CENTER_TILE 0 TILEPROP NULL_X99Y145 IS_DCM_TILE 0 TILEPROP NULL_X99Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y145 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y145 NAME NULL_X99Y145 TILEPROP NULL_X99Y145 NUM_ARCS 0 TILEPROP NULL_X99Y145 NUM_SITES 0 TILEPROP NULL_X99Y145 ROW 11 TILEPROP NULL_X99Y145 SLR_REGION_ID 0 TILEPROP NULL_X99Y145 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y145 TILE_TYPE NULL TILEPROP NULL_X99Y145 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y145 TILE_X 81118 TILEPROP NULL_X99Y145 TILE_Y 207096 TILEPROP NULL_X99Y145 TYPE NULL TILEPROP NULL_X99Y146 CLASS tile TILEPROP NULL_X99Y146 COLUMN 99 TILEPROP NULL_X99Y146 DEVICE_ID 0 TILEPROP NULL_X99Y146 FIRST_SITE_ID 1028 TILEPROP NULL_X99Y146 GRID_POINT_X 99 TILEPROP NULL_X99Y146 GRID_POINT_Y 10 TILEPROP NULL_X99Y146 INDEX 1249 TILEPROP NULL_X99Y146 INT_TILE_X -1 TILEPROP NULL_X99Y146 INT_TILE_Y -1 TILEPROP NULL_X99Y146 IS_CENTER_TILE 0 TILEPROP NULL_X99Y146 IS_DCM_TILE 0 TILEPROP NULL_X99Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y146 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y146 NAME NULL_X99Y146 TILEPROP NULL_X99Y146 NUM_ARCS 0 TILEPROP NULL_X99Y146 NUM_SITES 0 TILEPROP NULL_X99Y146 ROW 10 TILEPROP NULL_X99Y146 SLR_REGION_ID 0 TILEPROP NULL_X99Y146 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y146 TILE_TYPE NULL TILEPROP NULL_X99Y146 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y146 TILE_X 81118 TILEPROP NULL_X99Y146 TILE_Y 210296 TILEPROP NULL_X99Y146 TYPE NULL TILEPROP NULL_X99Y147 CLASS tile TILEPROP NULL_X99Y147 COLUMN 99 TILEPROP NULL_X99Y147 DEVICE_ID 0 TILEPROP NULL_X99Y147 FIRST_SITE_ID 920 TILEPROP NULL_X99Y147 GRID_POINT_X 99 TILEPROP NULL_X99Y147 GRID_POINT_Y 9 TILEPROP NULL_X99Y147 INDEX 1134 TILEPROP NULL_X99Y147 INT_TILE_X -1 TILEPROP NULL_X99Y147 INT_TILE_Y -1 TILEPROP NULL_X99Y147 IS_CENTER_TILE 0 TILEPROP NULL_X99Y147 IS_DCM_TILE 0 TILEPROP NULL_X99Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y147 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y147 NAME NULL_X99Y147 TILEPROP NULL_X99Y147 NUM_ARCS 0 TILEPROP NULL_X99Y147 NUM_SITES 0 TILEPROP NULL_X99Y147 ROW 9 TILEPROP NULL_X99Y147 SLR_REGION_ID 0 TILEPROP NULL_X99Y147 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y147 TILE_TYPE NULL TILEPROP NULL_X99Y147 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y147 TILE_X 81118 TILEPROP NULL_X99Y147 TILE_Y 213496 TILEPROP NULL_X99Y147 TYPE NULL TILEPROP NULL_X99Y148 CLASS tile TILEPROP NULL_X99Y148 COLUMN 99 TILEPROP NULL_X99Y148 DEVICE_ID 0 TILEPROP NULL_X99Y148 FIRST_SITE_ID 816 TILEPROP NULL_X99Y148 GRID_POINT_X 99 TILEPROP NULL_X99Y148 GRID_POINT_Y 8 TILEPROP NULL_X99Y148 INDEX 1019 TILEPROP NULL_X99Y148 INT_TILE_X -1 TILEPROP NULL_X99Y148 INT_TILE_Y -1 TILEPROP NULL_X99Y148 IS_CENTER_TILE 0 TILEPROP NULL_X99Y148 IS_DCM_TILE 0 TILEPROP NULL_X99Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y148 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y148 NAME NULL_X99Y148 TILEPROP NULL_X99Y148 NUM_ARCS 0 TILEPROP NULL_X99Y148 NUM_SITES 0 TILEPROP NULL_X99Y148 ROW 8 TILEPROP NULL_X99Y148 SLR_REGION_ID 0 TILEPROP NULL_X99Y148 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y148 TILE_TYPE NULL TILEPROP NULL_X99Y148 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y148 TILE_X 81118 TILEPROP NULL_X99Y148 TILE_Y 216696 TILEPROP NULL_X99Y148 TYPE NULL TILEPROP NULL_X99Y149 CLASS tile TILEPROP NULL_X99Y149 COLUMN 99 TILEPROP NULL_X99Y149 DEVICE_ID 0 TILEPROP NULL_X99Y149 FIRST_SITE_ID 719 TILEPROP NULL_X99Y149 GRID_POINT_X 99 TILEPROP NULL_X99Y149 GRID_POINT_Y 7 TILEPROP NULL_X99Y149 INDEX 904 TILEPROP NULL_X99Y149 INT_TILE_X -1 TILEPROP NULL_X99Y149 INT_TILE_Y -1 TILEPROP NULL_X99Y149 IS_CENTER_TILE 0 TILEPROP NULL_X99Y149 IS_DCM_TILE 0 TILEPROP NULL_X99Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y149 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y149 NAME NULL_X99Y149 TILEPROP NULL_X99Y149 NUM_ARCS 0 TILEPROP NULL_X99Y149 NUM_SITES 0 TILEPROP NULL_X99Y149 ROW 7 TILEPROP NULL_X99Y149 SLR_REGION_ID 0 TILEPROP NULL_X99Y149 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y149 TILE_TYPE NULL TILEPROP NULL_X99Y149 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y149 TILE_X 81118 TILEPROP NULL_X99Y149 TILE_Y 219896 TILEPROP NULL_X99Y149 TYPE NULL TILEPROP NULL_X99Y150 CLASS tile TILEPROP NULL_X99Y150 COLUMN 99 TILEPROP NULL_X99Y150 DEVICE_ID 0 TILEPROP NULL_X99Y150 FIRST_SITE_ID 613 TILEPROP NULL_X99Y150 GRID_POINT_X 99 TILEPROP NULL_X99Y150 GRID_POINT_Y 6 TILEPROP NULL_X99Y150 INDEX 789 TILEPROP NULL_X99Y150 INT_TILE_X -1 TILEPROP NULL_X99Y150 INT_TILE_Y -1 TILEPROP NULL_X99Y150 IS_CENTER_TILE 0 TILEPROP NULL_X99Y150 IS_DCM_TILE 0 TILEPROP NULL_X99Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y150 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y150 NAME NULL_X99Y150 TILEPROP NULL_X99Y150 NUM_ARCS 0 TILEPROP NULL_X99Y150 NUM_SITES 0 TILEPROP NULL_X99Y150 ROW 6 TILEPROP NULL_X99Y150 SLR_REGION_ID 0 TILEPROP NULL_X99Y150 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y150 TILE_TYPE NULL TILEPROP NULL_X99Y150 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y150 TILE_X 81118 TILEPROP NULL_X99Y150 TILE_Y 223096 TILEPROP NULL_X99Y150 TYPE NULL TILEPROP NULL_X99Y151 CLASS tile TILEPROP NULL_X99Y151 COLUMN 99 TILEPROP NULL_X99Y151 DEVICE_ID 0 TILEPROP NULL_X99Y151 FIRST_SITE_ID 512 TILEPROP NULL_X99Y151 GRID_POINT_X 99 TILEPROP NULL_X99Y151 GRID_POINT_Y 5 TILEPROP NULL_X99Y151 INDEX 674 TILEPROP NULL_X99Y151 INT_TILE_X -1 TILEPROP NULL_X99Y151 INT_TILE_Y -1 TILEPROP NULL_X99Y151 IS_CENTER_TILE 0 TILEPROP NULL_X99Y151 IS_DCM_TILE 0 TILEPROP NULL_X99Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y151 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y151 NAME NULL_X99Y151 TILEPROP NULL_X99Y151 NUM_ARCS 0 TILEPROP NULL_X99Y151 NUM_SITES 0 TILEPROP NULL_X99Y151 ROW 5 TILEPROP NULL_X99Y151 SLR_REGION_ID 0 TILEPROP NULL_X99Y151 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y151 TILE_TYPE NULL TILEPROP NULL_X99Y151 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y151 TILE_X 81118 TILEPROP NULL_X99Y151 TILE_Y 226296 TILEPROP NULL_X99Y151 TYPE NULL TILEPROP NULL_X99Y152 CLASS tile TILEPROP NULL_X99Y152 COLUMN 99 TILEPROP NULL_X99Y152 DEVICE_ID 0 TILEPROP NULL_X99Y152 FIRST_SITE_ID 396 TILEPROP NULL_X99Y152 GRID_POINT_X 99 TILEPROP NULL_X99Y152 GRID_POINT_Y 4 TILEPROP NULL_X99Y152 INDEX 559 TILEPROP NULL_X99Y152 INT_TILE_X -1 TILEPROP NULL_X99Y152 INT_TILE_Y -1 TILEPROP NULL_X99Y152 IS_CENTER_TILE 0 TILEPROP NULL_X99Y152 IS_DCM_TILE 0 TILEPROP NULL_X99Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y152 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y152 NAME NULL_X99Y152 TILEPROP NULL_X99Y152 NUM_ARCS 0 TILEPROP NULL_X99Y152 NUM_SITES 0 TILEPROP NULL_X99Y152 ROW 4 TILEPROP NULL_X99Y152 SLR_REGION_ID 0 TILEPROP NULL_X99Y152 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y152 TILE_TYPE NULL TILEPROP NULL_X99Y152 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y152 TILE_X 81118 TILEPROP NULL_X99Y152 TILE_Y 229496 TILEPROP NULL_X99Y152 TYPE NULL TILEPROP NULL_X99Y153 CLASS tile TILEPROP NULL_X99Y153 COLUMN 99 TILEPROP NULL_X99Y153 DEVICE_ID 0 TILEPROP NULL_X99Y153 FIRST_SITE_ID 300 TILEPROP NULL_X99Y153 GRID_POINT_X 99 TILEPROP NULL_X99Y153 GRID_POINT_Y 3 TILEPROP NULL_X99Y153 INDEX 444 TILEPROP NULL_X99Y153 INT_TILE_X -1 TILEPROP NULL_X99Y153 INT_TILE_Y -1 TILEPROP NULL_X99Y153 IS_CENTER_TILE 0 TILEPROP NULL_X99Y153 IS_DCM_TILE 0 TILEPROP NULL_X99Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y153 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y153 NAME NULL_X99Y153 TILEPROP NULL_X99Y153 NUM_ARCS 0 TILEPROP NULL_X99Y153 NUM_SITES 0 TILEPROP NULL_X99Y153 ROW 3 TILEPROP NULL_X99Y153 SLR_REGION_ID 0 TILEPROP NULL_X99Y153 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y153 TILE_TYPE NULL TILEPROP NULL_X99Y153 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y153 TILE_X 81118 TILEPROP NULL_X99Y153 TILE_Y 232696 TILEPROP NULL_X99Y153 TYPE NULL TILEPROP NULL_X99Y154 CLASS tile TILEPROP NULL_X99Y154 COLUMN 99 TILEPROP NULL_X99Y154 DEVICE_ID 0 TILEPROP NULL_X99Y154 FIRST_SITE_ID 196 TILEPROP NULL_X99Y154 GRID_POINT_X 99 TILEPROP NULL_X99Y154 GRID_POINT_Y 2 TILEPROP NULL_X99Y154 INDEX 329 TILEPROP NULL_X99Y154 INT_TILE_X -1 TILEPROP NULL_X99Y154 INT_TILE_Y -1 TILEPROP NULL_X99Y154 IS_CENTER_TILE 0 TILEPROP NULL_X99Y154 IS_DCM_TILE 0 TILEPROP NULL_X99Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y154 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y154 NAME NULL_X99Y154 TILEPROP NULL_X99Y154 NUM_ARCS 0 TILEPROP NULL_X99Y154 NUM_SITES 0 TILEPROP NULL_X99Y154 ROW 2 TILEPROP NULL_X99Y154 SLR_REGION_ID 0 TILEPROP NULL_X99Y154 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y154 TILE_TYPE NULL TILEPROP NULL_X99Y154 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y154 TILE_X 81118 TILEPROP NULL_X99Y154 TILE_Y 235896 TILEPROP NULL_X99Y154 TYPE NULL TILEPROP NULL_X99Y155 CLASS tile TILEPROP NULL_X99Y155 COLUMN 99 TILEPROP NULL_X99Y155 DEVICE_ID 0 TILEPROP NULL_X99Y155 FIRST_SITE_ID 100 TILEPROP NULL_X99Y155 GRID_POINT_X 99 TILEPROP NULL_X99Y155 GRID_POINT_Y 1 TILEPROP NULL_X99Y155 INDEX 214 TILEPROP NULL_X99Y155 INT_TILE_X -1 TILEPROP NULL_X99Y155 INT_TILE_Y -1 TILEPROP NULL_X99Y155 IS_CENTER_TILE 0 TILEPROP NULL_X99Y155 IS_DCM_TILE 0 TILEPROP NULL_X99Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y155 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y155 NAME NULL_X99Y155 TILEPROP NULL_X99Y155 NUM_ARCS 0 TILEPROP NULL_X99Y155 NUM_SITES 0 TILEPROP NULL_X99Y155 ROW 1 TILEPROP NULL_X99Y155 SLR_REGION_ID 0 TILEPROP NULL_X99Y155 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y155 TILE_TYPE NULL TILEPROP NULL_X99Y155 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y155 TILE_X 81118 TILEPROP NULL_X99Y155 TILE_Y 239096 TILEPROP NULL_X99Y155 TYPE NULL TILEPROP NULL_X99Y156 CLASS tile TILEPROP NULL_X99Y156 COLUMN 99 TILEPROP NULL_X99Y156 DEVICE_ID 0 TILEPROP NULL_X99Y156 FIRST_SITE_ID 0 TILEPROP NULL_X99Y156 GRID_POINT_X 99 TILEPROP NULL_X99Y156 GRID_POINT_Y 0 TILEPROP NULL_X99Y156 INDEX 99 TILEPROP NULL_X99Y156 INT_TILE_X -1 TILEPROP NULL_X99Y156 INT_TILE_Y -1 TILEPROP NULL_X99Y156 IS_CENTER_TILE 0 TILEPROP NULL_X99Y156 IS_DCM_TILE 0 TILEPROP NULL_X99Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X99Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X99Y156 NAME NULL_X99Y156 TILEPROP NULL_X99Y156 NUM_ARCS 0 TILEPROP NULL_X99Y156 NUM_SITES 0 TILEPROP NULL_X99Y156 ROW 0 TILEPROP NULL_X99Y156 SLR_REGION_ID 0 TILEPROP NULL_X99Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X99Y156 TILE_TYPE NULL TILEPROP NULL_X99Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X99Y156 TILE_X 81118 TILEPROP NULL_X99Y156 TILE_Y 242296 TILEPROP NULL_X99Y156 TYPE NULL TILEPROP NULL_X9Y0 CLASS tile TILEPROP NULL_X9Y0 COLUMN 9 TILEPROP NULL_X9Y0 DEVICE_ID 0 TILEPROP NULL_X9Y0 FIRST_SITE_ID 15817 TILEPROP NULL_X9Y0 GRID_POINT_X 9 TILEPROP NULL_X9Y0 GRID_POINT_Y 156 TILEPROP NULL_X9Y0 INDEX 17949 TILEPROP NULL_X9Y0 INT_TILE_X 1 TILEPROP NULL_X9Y0 INT_TILE_Y 149 TILEPROP NULL_X9Y0 IS_CENTER_TILE 0 TILEPROP NULL_X9Y0 IS_DCM_TILE 0 TILEPROP NULL_X9Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X9Y0 IS_GT_SITE_TILE 0 TILEPROP NULL_X9Y0 NAME NULL_X9Y0 TILEPROP NULL_X9Y0 NUM_ARCS 0 TILEPROP NULL_X9Y0 NUM_SITES 0 TILEPROP NULL_X9Y0 ROW 156 TILEPROP NULL_X9Y0 SLR_REGION_ID 0 TILEPROP NULL_X9Y0 TILE_PATTERN_IDX 0 TILEPROP NULL_X9Y0 TILE_TYPE NULL TILEPROP NULL_X9Y0 TILE_TYPE_INDEX 112 TILEPROP NULL_X9Y0 TILE_X -87622 TILEPROP NULL_X9Y0 TILE_Y -244472 TILEPROP NULL_X9Y0 TYPE NULL TILEPROP NULL_X9Y52 CLASS tile TILEPROP NULL_X9Y52 COLUMN 9 TILEPROP NULL_X9Y52 DEVICE_ID 0 TILEPROP NULL_X9Y52 FIRST_SITE_ID 10444 TILEPROP NULL_X9Y52 GRID_POINT_X 9 TILEPROP NULL_X9Y52 GRID_POINT_Y 104 TILEPROP NULL_X9Y52 INDEX 11969 TILEPROP NULL_X9Y52 INT_TILE_X 1 TILEPROP NULL_X9Y52 INT_TILE_Y 99 TILEPROP NULL_X9Y52 IS_CENTER_TILE 0 TILEPROP NULL_X9Y52 IS_DCM_TILE 0 TILEPROP NULL_X9Y52 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X9Y52 IS_GT_SITE_TILE 0 TILEPROP NULL_X9Y52 NAME NULL_X9Y52 TILEPROP NULL_X9Y52 NUM_ARCS 0 TILEPROP NULL_X9Y52 NUM_SITES 0 TILEPROP NULL_X9Y52 ROW 104 TILEPROP NULL_X9Y52 SLR_REGION_ID 0 TILEPROP NULL_X9Y52 TILE_PATTERN_IDX 0 TILEPROP NULL_X9Y52 TILE_TYPE NULL TILEPROP NULL_X9Y52 TILE_TYPE_INDEX 112 TILEPROP NULL_X9Y52 TILE_X -87622 TILEPROP NULL_X9Y52 TILE_Y -80248 TILEPROP NULL_X9Y52 TYPE NULL TILEPROP NULL_X9Y104 CLASS tile TILEPROP NULL_X9Y104 COLUMN 9 TILEPROP NULL_X9Y104 DEVICE_ID 0 TILEPROP NULL_X9Y104 FIRST_SITE_ID 5088 TILEPROP NULL_X9Y104 GRID_POINT_X 9 TILEPROP NULL_X9Y104 GRID_POINT_Y 52 TILEPROP NULL_X9Y104 INDEX 5989 TILEPROP NULL_X9Y104 INT_TILE_X 1 TILEPROP NULL_X9Y104 INT_TILE_Y 49 TILEPROP NULL_X9Y104 IS_CENTER_TILE 0 TILEPROP NULL_X9Y104 IS_DCM_TILE 0 TILEPROP NULL_X9Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X9Y104 IS_GT_SITE_TILE 0 TILEPROP NULL_X9Y104 NAME NULL_X9Y104 TILEPROP NULL_X9Y104 NUM_ARCS 0 TILEPROP NULL_X9Y104 NUM_SITES 0 TILEPROP NULL_X9Y104 ROW 52 TILEPROP NULL_X9Y104 SLR_REGION_ID 0 TILEPROP NULL_X9Y104 TILE_PATTERN_IDX 0 TILEPROP NULL_X9Y104 TILE_TYPE NULL TILEPROP NULL_X9Y104 TILE_TYPE_INDEX 112 TILEPROP NULL_X9Y104 TILE_X -87622 TILEPROP NULL_X9Y104 TILE_Y 81024 TILEPROP NULL_X9Y104 TYPE NULL TILEPROP NULL_X9Y156 CLASS tile TILEPROP NULL_X9Y156 COLUMN 9 TILEPROP NULL_X9Y156 DEVICE_ID 0 TILEPROP NULL_X9Y156 FIRST_SITE_ID 0 TILEPROP NULL_X9Y156 GRID_POINT_X 9 TILEPROP NULL_X9Y156 GRID_POINT_Y 0 TILEPROP NULL_X9Y156 INDEX 9 TILEPROP NULL_X9Y156 INT_TILE_X -1 TILEPROP NULL_X9Y156 INT_TILE_Y -1 TILEPROP NULL_X9Y156 IS_CENTER_TILE 0 TILEPROP NULL_X9Y156 IS_DCM_TILE 0 TILEPROP NULL_X9Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP NULL_X9Y156 IS_GT_SITE_TILE 0 TILEPROP NULL_X9Y156 NAME NULL_X9Y156 TILEPROP NULL_X9Y156 NUM_ARCS 0 TILEPROP NULL_X9Y156 NUM_SITES 0 TILEPROP NULL_X9Y156 ROW 0 TILEPROP NULL_X9Y156 SLR_REGION_ID 0 TILEPROP NULL_X9Y156 TILE_PATTERN_IDX 0 TILEPROP NULL_X9Y156 TILE_TYPE NULL TILEPROP NULL_X9Y156 TILE_TYPE_INDEX 112 TILEPROP NULL_X9Y156 TILE_X -87622 TILEPROP NULL_X9Y156 TILE_Y 242296 TILEPROP NULL_X9Y156 TYPE NULL TILEPROP PCIE_BOT_X71Y115 CLASS tile TILEPROP PCIE_BOT_X71Y115 COLUMN 71 TILEPROP PCIE_BOT_X71Y115 DEVICE_ID 0 TILEPROP PCIE_BOT_X71Y115 FIRST_SITE_ID 4116 TILEPROP PCIE_BOT_X71Y115 GRID_POINT_X 71 TILEPROP PCIE_BOT_X71Y115 GRID_POINT_Y 41 TILEPROP PCIE_BOT_X71Y115 INDEX 4786 TILEPROP PCIE_BOT_X71Y115 INT_TILE_X 27 TILEPROP PCIE_BOT_X71Y115 INT_TILE_Y 39 TILEPROP PCIE_BOT_X71Y115 IS_CENTER_TILE 0 TILEPROP PCIE_BOT_X71Y115 IS_DCM_TILE 0 TILEPROP PCIE_BOT_X71Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_BOT_X71Y115 IS_GT_SITE_TILE 0 TILEPROP PCIE_BOT_X71Y115 NAME PCIE_BOT_X71Y115 TILEPROP PCIE_BOT_X71Y115 NUM_ARCS 1802 TILEPROP PCIE_BOT_X71Y115 NUM_SITES 1 TILEPROP PCIE_BOT_X71Y115 ROW 41 TILEPROP PCIE_BOT_X71Y115 SLR_REGION_ID 0 TILEPROP PCIE_BOT_X71Y115 TILE_PATTERN_IDX 1316 TILEPROP PCIE_BOT_X71Y115 TILE_TYPE PCIE_BOT TILEPROP PCIE_BOT_X71Y115 TILE_TYPE_INDEX 113 TILEPROP PCIE_BOT_X71Y115 TILE_X 33336 TILEPROP PCIE_BOT_X71Y115 TILE_Y 113272 TILEPROP PCIE_BOT_X71Y115 TYPE PCIE_BOT TILEPROP PCIE_INT_INTERFACE_L_X30Y100 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y100 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 FIRST_SITE_ID 5067 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 GRID_POINT_Y 51 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 INDEX 5941 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 NAME PCIE_INT_INTERFACE_L_X30Y100 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 ROW 51 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 TILE_PATTERN_IDX 1622 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y100 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 TILE_Y 82872 TILEPROP PCIE_INT_INTERFACE_L_X30Y100 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y101 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y101 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 FIRST_SITE_ID 4969 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 GRID_POINT_Y 50 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 INDEX 5826 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 NAME PCIE_INT_INTERFACE_L_X30Y101 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 ROW 50 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 TILE_PATTERN_IDX 1586 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y101 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 TILE_Y 86072 TILEPROP PCIE_INT_INTERFACE_L_X30Y101 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y102 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y102 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 FIRST_SITE_ID 4873 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 GRID_POINT_Y 49 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 INDEX 5711 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 NAME PCIE_INT_INTERFACE_L_X30Y102 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 ROW 49 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 TILE_PATTERN_IDX 1555 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y102 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 TILE_Y 89272 TILEPROP PCIE_INT_INTERFACE_L_X30Y102 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y103 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y103 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 FIRST_SITE_ID 4785 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 GRID_POINT_Y 48 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 INDEX 5596 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 NAME PCIE_INT_INTERFACE_L_X30Y103 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 ROW 48 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 TILE_PATTERN_IDX 1526 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y103 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 TILE_Y 92472 TILEPROP PCIE_INT_INTERFACE_L_X30Y103 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y104 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y104 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 FIRST_SITE_ID 4689 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 GRID_POINT_Y 47 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 INDEX 5481 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 NAME PCIE_INT_INTERFACE_L_X30Y104 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 ROW 47 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 TILE_PATTERN_IDX 1495 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y104 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 TILE_Y 95672 TILEPROP PCIE_INT_INTERFACE_L_X30Y104 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y105 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y105 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 FIRST_SITE_ID 4593 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 GRID_POINT_Y 46 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 INDEX 5366 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 NAME PCIE_INT_INTERFACE_L_X30Y105 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 ROW 46 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 TILE_PATTERN_IDX 1466 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y105 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 TILE_Y 98872 TILEPROP PCIE_INT_INTERFACE_L_X30Y105 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y106 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y106 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 FIRST_SITE_ID 4491 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 GRID_POINT_Y 45 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 INDEX 5251 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 NAME PCIE_INT_INTERFACE_L_X30Y106 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 ROW 45 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 TILE_PATTERN_IDX 1435 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y106 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 TILE_Y 102072 TILEPROP PCIE_INT_INTERFACE_L_X30Y106 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y107 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y107 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 FIRST_SITE_ID 4403 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 GRID_POINT_Y 44 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 INDEX 5136 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 NAME PCIE_INT_INTERFACE_L_X30Y107 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 ROW 44 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 TILE_PATTERN_IDX 1407 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y107 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 TILE_Y 105272 TILEPROP PCIE_INT_INTERFACE_L_X30Y107 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y108 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y108 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 FIRST_SITE_ID 4305 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 GRID_POINT_Y 43 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 INDEX 5021 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 NAME PCIE_INT_INTERFACE_L_X30Y108 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 ROW 43 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 TILE_PATTERN_IDX 1376 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y108 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 TILE_Y 108472 TILEPROP PCIE_INT_INTERFACE_L_X30Y108 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y109 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y109 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 FIRST_SITE_ID 4216 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 GRID_POINT_Y 42 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 INDEX 4906 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 NAME PCIE_INT_INTERFACE_L_X30Y109 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 ROW 42 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 TILE_PATTERN_IDX 1347 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y109 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 TILE_Y 111672 TILEPROP PCIE_INT_INTERFACE_L_X30Y109 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y110 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y110 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 FIRST_SITE_ID 4117 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 GRID_POINT_Y 41 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 INDEX 4791 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 NAME PCIE_INT_INTERFACE_L_X30Y110 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 ROW 41 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 TILE_PATTERN_IDX 1317 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y110 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 TILE_Y 114872 TILEPROP PCIE_INT_INTERFACE_L_X30Y110 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y111 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y111 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 FIRST_SITE_ID 4022 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 GRID_POINT_Y 40 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 INDEX 4676 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 NAME PCIE_INT_INTERFACE_L_X30Y111 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 ROW 40 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 TILE_PATTERN_IDX 1287 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y111 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 TILE_Y 118072 TILEPROP PCIE_INT_INTERFACE_L_X30Y111 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y112 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y112 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 FIRST_SITE_ID 3926 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 GRID_POINT_Y 39 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 INDEX 4561 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 NAME PCIE_INT_INTERFACE_L_X30Y112 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 ROW 39 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 TILE_PATTERN_IDX 1257 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y112 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 TILE_Y 121272 TILEPROP PCIE_INT_INTERFACE_L_X30Y112 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y113 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y113 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 FIRST_SITE_ID 3806 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 GRID_POINT_Y 38 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 INDEX 4446 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 NAME PCIE_INT_INTERFACE_L_X30Y113 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 ROW 38 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 TILE_PATTERN_IDX 1228 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y113 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 TILE_Y 124472 TILEPROP PCIE_INT_INTERFACE_L_X30Y113 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y114 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y114 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 FIRST_SITE_ID 3710 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 GRID_POINT_Y 37 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 INDEX 4331 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 NAME PCIE_INT_INTERFACE_L_X30Y114 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 ROW 37 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 TILE_PATTERN_IDX 1198 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y114 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 TILE_Y 127672 TILEPROP PCIE_INT_INTERFACE_L_X30Y114 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y115 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y115 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 FIRST_SITE_ID 3619 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 GRID_POINT_Y 36 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 INDEX 4216 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 NAME PCIE_INT_INTERFACE_L_X30Y115 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 ROW 36 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 TILE_PATTERN_IDX 1170 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y115 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 TILE_Y 130872 TILEPROP PCIE_INT_INTERFACE_L_X30Y115 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y116 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y116 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 FIRST_SITE_ID 3512 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 GRID_POINT_Y 35 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 INDEX 4101 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 NAME PCIE_INT_INTERFACE_L_X30Y116 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 ROW 35 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 TILE_PATTERN_IDX 1138 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y116 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 TILE_Y 134072 TILEPROP PCIE_INT_INTERFACE_L_X30Y116 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y117 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y117 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 FIRST_SITE_ID 3424 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 GRID_POINT_Y 34 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 INDEX 3986 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 NAME PCIE_INT_INTERFACE_L_X30Y117 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 ROW 34 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 TILE_PATTERN_IDX 1110 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y117 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 TILE_Y 137272 TILEPROP PCIE_INT_INTERFACE_L_X30Y117 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y118 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y118 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 FIRST_SITE_ID 3324 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 GRID_POINT_Y 33 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 INDEX 3871 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 NAME PCIE_INT_INTERFACE_L_X30Y118 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 ROW 33 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 TILE_PATTERN_IDX 1079 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y118 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 TILE_Y 140472 TILEPROP PCIE_INT_INTERFACE_L_X30Y118 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y119 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y119 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 FIRST_SITE_ID 3236 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 GRID_POINT_Y 32 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 INDEX 3756 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 NAME PCIE_INT_INTERFACE_L_X30Y119 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 ROW 32 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 TILE_PATTERN_IDX 1051 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y119 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 TILE_Y 143672 TILEPROP PCIE_INT_INTERFACE_L_X30Y119 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y120 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y120 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 FIRST_SITE_ID 3135 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 GRID_POINT_Y 31 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 INDEX 3641 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 NAME PCIE_INT_INTERFACE_L_X30Y120 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 ROW 31 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 TILE_PATTERN_IDX 1019 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y120 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 TILE_Y 146872 TILEPROP PCIE_INT_INTERFACE_L_X30Y120 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y121 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y121 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 FIRST_SITE_ID 3041 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 GRID_POINT_Y 30 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 INDEX 3526 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 NAME PCIE_INT_INTERFACE_L_X30Y121 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 ROW 30 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 TILE_PATTERN_IDX 988 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y121 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 TILE_Y 150072 TILEPROP PCIE_INT_INTERFACE_L_X30Y121 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y122 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y122 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 FIRST_SITE_ID 2938 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 GRID_POINT_Y 29 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 INDEX 3411 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 NAME PCIE_INT_INTERFACE_L_X30Y122 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 ROW 29 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 TILE_PATTERN_IDX 956 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y122 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 TILE_Y 153272 TILEPROP PCIE_INT_INTERFACE_L_X30Y122 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y123 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y123 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 FIRST_SITE_ID 2850 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 GRID_POINT_Y 28 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 INDEX 3296 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 NAME PCIE_INT_INTERFACE_L_X30Y123 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 ROW 28 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 TILE_PATTERN_IDX 927 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y123 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 TILE_Y 156472 TILEPROP PCIE_INT_INTERFACE_L_X30Y123 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y124 CLASS tile TILEPROP PCIE_INT_INTERFACE_L_X30Y124 COLUMN 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 FIRST_SITE_ID 2754 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 GRID_POINT_X 76 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 GRID_POINT_Y 27 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 INDEX 3181 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 INT_TILE_X -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 INT_TILE_Y -1 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 NAME PCIE_INT_INTERFACE_L_X30Y124 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 ROW 27 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 TILE_PATTERN_IDX 895 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 TILE_TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_L_X30Y124 TILE_TYPE_INDEX 114 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 TILE_X 40024 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 TILE_Y 159672 TILEPROP PCIE_INT_INTERFACE_L_X30Y124 TYPE PCIE_INT_INTERFACE_L TILEPROP PCIE_INT_INTERFACE_R_X27Y100 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y100 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 FIRST_SITE_ID 5067 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 GRID_POINT_Y 51 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 INDEX 5935 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 INT_TILE_Y 49 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 NAME PCIE_INT_INTERFACE_R_X27Y100 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 ROW 51 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 TILE_PATTERN_IDX 1621 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y100 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 TILE_Y 82872 TILEPROP PCIE_INT_INTERFACE_R_X27Y100 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y101 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y101 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 FIRST_SITE_ID 4969 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 GRID_POINT_Y 50 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 INDEX 5820 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 INT_TILE_Y 48 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 NAME PCIE_INT_INTERFACE_R_X27Y101 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 ROW 50 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 TILE_PATTERN_IDX 1585 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y101 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 TILE_Y 86072 TILEPROP PCIE_INT_INTERFACE_R_X27Y101 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y102 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y102 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 FIRST_SITE_ID 4873 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 GRID_POINT_Y 49 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 INDEX 5705 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 INT_TILE_Y 47 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 NAME PCIE_INT_INTERFACE_R_X27Y102 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 ROW 49 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 TILE_PATTERN_IDX 1554 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y102 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 TILE_Y 89272 TILEPROP PCIE_INT_INTERFACE_R_X27Y102 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y103 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y103 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 FIRST_SITE_ID 4785 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 GRID_POINT_Y 48 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 INDEX 5590 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 INT_TILE_Y 46 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 NAME PCIE_INT_INTERFACE_R_X27Y103 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 ROW 48 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 TILE_PATTERN_IDX 1525 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y103 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 TILE_Y 92472 TILEPROP PCIE_INT_INTERFACE_R_X27Y103 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y104 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y104 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 FIRST_SITE_ID 4689 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 GRID_POINT_Y 47 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 INDEX 5475 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 INT_TILE_Y 45 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 NAME PCIE_INT_INTERFACE_R_X27Y104 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 ROW 47 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 TILE_PATTERN_IDX 1494 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y104 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 TILE_Y 95672 TILEPROP PCIE_INT_INTERFACE_R_X27Y104 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y105 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y105 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 FIRST_SITE_ID 4593 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 GRID_POINT_Y 46 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 INDEX 5360 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 INT_TILE_Y 44 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 NAME PCIE_INT_INTERFACE_R_X27Y105 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 ROW 46 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 TILE_PATTERN_IDX 1465 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y105 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 TILE_Y 98872 TILEPROP PCIE_INT_INTERFACE_R_X27Y105 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y106 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y106 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 FIRST_SITE_ID 4491 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 GRID_POINT_Y 45 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 INDEX 5245 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 INT_TILE_Y 43 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 NAME PCIE_INT_INTERFACE_R_X27Y106 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 ROW 45 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 TILE_PATTERN_IDX 1434 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y106 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 TILE_Y 102072 TILEPROP PCIE_INT_INTERFACE_R_X27Y106 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y107 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y107 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 FIRST_SITE_ID 4403 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 GRID_POINT_Y 44 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 INDEX 5130 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 INT_TILE_Y 42 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 NAME PCIE_INT_INTERFACE_R_X27Y107 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 ROW 44 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 TILE_PATTERN_IDX 1406 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y107 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 TILE_Y 105272 TILEPROP PCIE_INT_INTERFACE_R_X27Y107 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y108 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y108 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 FIRST_SITE_ID 4305 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 GRID_POINT_Y 43 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 INDEX 5015 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 INT_TILE_Y 41 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 NAME PCIE_INT_INTERFACE_R_X27Y108 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 ROW 43 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 TILE_PATTERN_IDX 1375 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y108 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 TILE_Y 108472 TILEPROP PCIE_INT_INTERFACE_R_X27Y108 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y109 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y109 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 FIRST_SITE_ID 4216 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 GRID_POINT_Y 42 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 INDEX 4900 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 INT_TILE_Y 40 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 NAME PCIE_INT_INTERFACE_R_X27Y109 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 ROW 42 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 TILE_PATTERN_IDX 1346 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y109 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 TILE_Y 111672 TILEPROP PCIE_INT_INTERFACE_R_X27Y109 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y110 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y110 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 FIRST_SITE_ID 4116 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 GRID_POINT_Y 41 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 INDEX 4785 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 INT_TILE_Y 39 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 NAME PCIE_INT_INTERFACE_R_X27Y110 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 ROW 41 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 TILE_PATTERN_IDX 1315 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y110 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 TILE_Y 114872 TILEPROP PCIE_INT_INTERFACE_R_X27Y110 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y111 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y111 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 FIRST_SITE_ID 4022 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 GRID_POINT_Y 40 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 INDEX 4670 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 INT_TILE_Y 38 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 NAME PCIE_INT_INTERFACE_R_X27Y111 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 ROW 40 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 TILE_PATTERN_IDX 1286 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y111 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 TILE_Y 118072 TILEPROP PCIE_INT_INTERFACE_R_X27Y111 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y112 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y112 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 FIRST_SITE_ID 3926 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 GRID_POINT_Y 39 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 INDEX 4555 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 INT_TILE_Y 37 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 NAME PCIE_INT_INTERFACE_R_X27Y112 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 ROW 39 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 TILE_PATTERN_IDX 1256 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y112 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 TILE_Y 121272 TILEPROP PCIE_INT_INTERFACE_R_X27Y112 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y113 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y113 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 FIRST_SITE_ID 3806 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 GRID_POINT_Y 38 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 INDEX 4440 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 INT_TILE_Y 36 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 NAME PCIE_INT_INTERFACE_R_X27Y113 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 ROW 38 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 TILE_PATTERN_IDX 1227 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y113 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 TILE_Y 124472 TILEPROP PCIE_INT_INTERFACE_R_X27Y113 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y114 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y114 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 FIRST_SITE_ID 3710 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 GRID_POINT_Y 37 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 INDEX 4325 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 INT_TILE_Y 35 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 NAME PCIE_INT_INTERFACE_R_X27Y114 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 ROW 37 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 TILE_PATTERN_IDX 1197 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y114 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 TILE_Y 127672 TILEPROP PCIE_INT_INTERFACE_R_X27Y114 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y115 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y115 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 FIRST_SITE_ID 3619 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 GRID_POINT_Y 36 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 INDEX 4210 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 INT_TILE_Y 34 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 NAME PCIE_INT_INTERFACE_R_X27Y115 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 ROW 36 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 TILE_PATTERN_IDX 1169 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y115 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 TILE_Y 130872 TILEPROP PCIE_INT_INTERFACE_R_X27Y115 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y116 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y116 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 FIRST_SITE_ID 3512 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 GRID_POINT_Y 35 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 INDEX 4095 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 INT_TILE_Y 33 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 NAME PCIE_INT_INTERFACE_R_X27Y116 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 ROW 35 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 TILE_PATTERN_IDX 1137 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y116 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 TILE_Y 134072 TILEPROP PCIE_INT_INTERFACE_R_X27Y116 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y117 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y117 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 FIRST_SITE_ID 3424 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 GRID_POINT_Y 34 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 INDEX 3980 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 INT_TILE_Y 32 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 NAME PCIE_INT_INTERFACE_R_X27Y117 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 ROW 34 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 TILE_PATTERN_IDX 1109 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y117 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 TILE_Y 137272 TILEPROP PCIE_INT_INTERFACE_R_X27Y117 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y118 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y118 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 FIRST_SITE_ID 3324 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 GRID_POINT_Y 33 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 INDEX 3865 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 INT_TILE_Y 31 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 NAME PCIE_INT_INTERFACE_R_X27Y118 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 ROW 33 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 TILE_PATTERN_IDX 1078 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y118 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 TILE_Y 140472 TILEPROP PCIE_INT_INTERFACE_R_X27Y118 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y119 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y119 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 FIRST_SITE_ID 3236 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 GRID_POINT_Y 32 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 INDEX 3750 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 INT_TILE_Y 30 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 NAME PCIE_INT_INTERFACE_R_X27Y119 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 ROW 32 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 TILE_PATTERN_IDX 1050 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y119 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 TILE_Y 143672 TILEPROP PCIE_INT_INTERFACE_R_X27Y119 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y120 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y120 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 FIRST_SITE_ID 3135 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 GRID_POINT_Y 31 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 INDEX 3635 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 INT_TILE_Y 29 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 NAME PCIE_INT_INTERFACE_R_X27Y120 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 ROW 31 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 TILE_PATTERN_IDX 1017 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y120 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 TILE_Y 146872 TILEPROP PCIE_INT_INTERFACE_R_X27Y120 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y121 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y121 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 FIRST_SITE_ID 3041 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 GRID_POINT_Y 30 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 INDEX 3520 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 INT_TILE_Y 28 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 NAME PCIE_INT_INTERFACE_R_X27Y121 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 ROW 30 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 TILE_PATTERN_IDX 987 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y121 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 TILE_Y 150072 TILEPROP PCIE_INT_INTERFACE_R_X27Y121 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y122 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y122 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 FIRST_SITE_ID 2938 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 GRID_POINT_Y 29 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 INDEX 3405 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 INT_TILE_Y 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 NAME PCIE_INT_INTERFACE_R_X27Y122 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 ROW 29 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 TILE_PATTERN_IDX 955 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y122 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 TILE_Y 153272 TILEPROP PCIE_INT_INTERFACE_R_X27Y122 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y123 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y123 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 FIRST_SITE_ID 2850 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 GRID_POINT_Y 28 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 INDEX 3290 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 INT_TILE_Y 26 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 NAME PCIE_INT_INTERFACE_R_X27Y123 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 ROW 28 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 TILE_PATTERN_IDX 926 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y123 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 TILE_Y 156472 TILEPROP PCIE_INT_INTERFACE_R_X27Y123 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y124 CLASS tile TILEPROP PCIE_INT_INTERFACE_R_X27Y124 COLUMN 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 DEVICE_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 FIRST_SITE_ID 2754 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 GRID_POINT_X 70 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 GRID_POINT_Y 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 INDEX 3175 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 INT_TILE_X 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 INT_TILE_Y 25 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 IS_CENTER_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 IS_DCM_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 IS_GT_SITE_TILE 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 NAME PCIE_INT_INTERFACE_R_X27Y124 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 NUM_ARCS 228 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 NUM_SITES 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 ROW 27 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 SLR_REGION_ID 0 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 TILE_PATTERN_IDX 893 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 TILE_TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_INT_INTERFACE_R_X27Y124 TILE_TYPE_INDEX 115 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 TILE_X 26648 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 TILE_Y 159672 TILEPROP PCIE_INT_INTERFACE_R_X27Y124 TYPE PCIE_INT_INTERFACE_R TILEPROP PCIE_NULL_X71Y105 CLASS tile TILEPROP PCIE_NULL_X71Y105 COLUMN 71 TILEPROP PCIE_NULL_X71Y105 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y105 FIRST_SITE_ID 5067 TILEPROP PCIE_NULL_X71Y105 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y105 GRID_POINT_Y 51 TILEPROP PCIE_NULL_X71Y105 INDEX 5936 TILEPROP PCIE_NULL_X71Y105 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y105 INT_TILE_Y 49 TILEPROP PCIE_NULL_X71Y105 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y105 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y105 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y105 NAME PCIE_NULL_X71Y105 TILEPROP PCIE_NULL_X71Y105 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y105 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y105 ROW 51 TILEPROP PCIE_NULL_X71Y105 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y105 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y105 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y105 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y105 TILE_X 27312 TILEPROP PCIE_NULL_X71Y105 TILE_Y 81272 TILEPROP PCIE_NULL_X71Y105 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y106 CLASS tile TILEPROP PCIE_NULL_X71Y106 COLUMN 71 TILEPROP PCIE_NULL_X71Y106 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y106 FIRST_SITE_ID 4969 TILEPROP PCIE_NULL_X71Y106 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y106 GRID_POINT_Y 50 TILEPROP PCIE_NULL_X71Y106 INDEX 5821 TILEPROP PCIE_NULL_X71Y106 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y106 INT_TILE_Y 48 TILEPROP PCIE_NULL_X71Y106 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y106 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y106 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y106 NAME PCIE_NULL_X71Y106 TILEPROP PCIE_NULL_X71Y106 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y106 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y106 ROW 50 TILEPROP PCIE_NULL_X71Y106 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y106 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y106 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y106 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y106 TILE_X 27312 TILEPROP PCIE_NULL_X71Y106 TILE_Y 84472 TILEPROP PCIE_NULL_X71Y106 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y107 CLASS tile TILEPROP PCIE_NULL_X71Y107 COLUMN 71 TILEPROP PCIE_NULL_X71Y107 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y107 FIRST_SITE_ID 4873 TILEPROP PCIE_NULL_X71Y107 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y107 GRID_POINT_Y 49 TILEPROP PCIE_NULL_X71Y107 INDEX 5706 TILEPROP PCIE_NULL_X71Y107 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y107 INT_TILE_Y 47 TILEPROP PCIE_NULL_X71Y107 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y107 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y107 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y107 NAME PCIE_NULL_X71Y107 TILEPROP PCIE_NULL_X71Y107 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y107 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y107 ROW 49 TILEPROP PCIE_NULL_X71Y107 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y107 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y107 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y107 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y107 TILE_X 27312 TILEPROP PCIE_NULL_X71Y107 TILE_Y 87672 TILEPROP PCIE_NULL_X71Y107 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y108 CLASS tile TILEPROP PCIE_NULL_X71Y108 COLUMN 71 TILEPROP PCIE_NULL_X71Y108 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y108 FIRST_SITE_ID 4785 TILEPROP PCIE_NULL_X71Y108 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y108 GRID_POINT_Y 48 TILEPROP PCIE_NULL_X71Y108 INDEX 5591 TILEPROP PCIE_NULL_X71Y108 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y108 INT_TILE_Y 46 TILEPROP PCIE_NULL_X71Y108 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y108 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y108 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y108 NAME PCIE_NULL_X71Y108 TILEPROP PCIE_NULL_X71Y108 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y108 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y108 ROW 48 TILEPROP PCIE_NULL_X71Y108 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y108 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y108 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y108 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y108 TILE_X 27312 TILEPROP PCIE_NULL_X71Y108 TILE_Y 90872 TILEPROP PCIE_NULL_X71Y108 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y109 CLASS tile TILEPROP PCIE_NULL_X71Y109 COLUMN 71 TILEPROP PCIE_NULL_X71Y109 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y109 FIRST_SITE_ID 4689 TILEPROP PCIE_NULL_X71Y109 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y109 GRID_POINT_Y 47 TILEPROP PCIE_NULL_X71Y109 INDEX 5476 TILEPROP PCIE_NULL_X71Y109 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y109 INT_TILE_Y 45 TILEPROP PCIE_NULL_X71Y109 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y109 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y109 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y109 NAME PCIE_NULL_X71Y109 TILEPROP PCIE_NULL_X71Y109 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y109 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y109 ROW 47 TILEPROP PCIE_NULL_X71Y109 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y109 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y109 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y109 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y109 TILE_X 27312 TILEPROP PCIE_NULL_X71Y109 TILE_Y 94072 TILEPROP PCIE_NULL_X71Y109 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y110 CLASS tile TILEPROP PCIE_NULL_X71Y110 COLUMN 71 TILEPROP PCIE_NULL_X71Y110 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y110 FIRST_SITE_ID 4593 TILEPROP PCIE_NULL_X71Y110 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y110 GRID_POINT_Y 46 TILEPROP PCIE_NULL_X71Y110 INDEX 5361 TILEPROP PCIE_NULL_X71Y110 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y110 INT_TILE_Y 44 TILEPROP PCIE_NULL_X71Y110 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y110 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y110 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y110 NAME PCIE_NULL_X71Y110 TILEPROP PCIE_NULL_X71Y110 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y110 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y110 ROW 46 TILEPROP PCIE_NULL_X71Y110 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y110 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y110 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y110 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y110 TILE_X 27312 TILEPROP PCIE_NULL_X71Y110 TILE_Y 97272 TILEPROP PCIE_NULL_X71Y110 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y111 CLASS tile TILEPROP PCIE_NULL_X71Y111 COLUMN 71 TILEPROP PCIE_NULL_X71Y111 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y111 FIRST_SITE_ID 4491 TILEPROP PCIE_NULL_X71Y111 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y111 GRID_POINT_Y 45 TILEPROP PCIE_NULL_X71Y111 INDEX 5246 TILEPROP PCIE_NULL_X71Y111 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y111 INT_TILE_Y 43 TILEPROP PCIE_NULL_X71Y111 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y111 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y111 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y111 NAME PCIE_NULL_X71Y111 TILEPROP PCIE_NULL_X71Y111 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y111 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y111 ROW 45 TILEPROP PCIE_NULL_X71Y111 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y111 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y111 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y111 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y111 TILE_X 27312 TILEPROP PCIE_NULL_X71Y111 TILE_Y 100472 TILEPROP PCIE_NULL_X71Y111 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y112 CLASS tile TILEPROP PCIE_NULL_X71Y112 COLUMN 71 TILEPROP PCIE_NULL_X71Y112 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y112 FIRST_SITE_ID 4403 TILEPROP PCIE_NULL_X71Y112 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y112 GRID_POINT_Y 44 TILEPROP PCIE_NULL_X71Y112 INDEX 5131 TILEPROP PCIE_NULL_X71Y112 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y112 INT_TILE_Y 42 TILEPROP PCIE_NULL_X71Y112 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y112 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y112 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y112 NAME PCIE_NULL_X71Y112 TILEPROP PCIE_NULL_X71Y112 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y112 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y112 ROW 44 TILEPROP PCIE_NULL_X71Y112 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y112 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y112 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y112 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y112 TILE_X 27312 TILEPROP PCIE_NULL_X71Y112 TILE_Y 103672 TILEPROP PCIE_NULL_X71Y112 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y113 CLASS tile TILEPROP PCIE_NULL_X71Y113 COLUMN 71 TILEPROP PCIE_NULL_X71Y113 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y113 FIRST_SITE_ID 4305 TILEPROP PCIE_NULL_X71Y113 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y113 GRID_POINT_Y 43 TILEPROP PCIE_NULL_X71Y113 INDEX 5016 TILEPROP PCIE_NULL_X71Y113 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y113 INT_TILE_Y 41 TILEPROP PCIE_NULL_X71Y113 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y113 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y113 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y113 NAME PCIE_NULL_X71Y113 TILEPROP PCIE_NULL_X71Y113 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y113 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y113 ROW 43 TILEPROP PCIE_NULL_X71Y113 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y113 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y113 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y113 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y113 TILE_X 27312 TILEPROP PCIE_NULL_X71Y113 TILE_Y 106872 TILEPROP PCIE_NULL_X71Y113 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y114 CLASS tile TILEPROP PCIE_NULL_X71Y114 COLUMN 71 TILEPROP PCIE_NULL_X71Y114 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y114 FIRST_SITE_ID 4216 TILEPROP PCIE_NULL_X71Y114 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y114 GRID_POINT_Y 42 TILEPROP PCIE_NULL_X71Y114 INDEX 4901 TILEPROP PCIE_NULL_X71Y114 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y114 INT_TILE_Y 40 TILEPROP PCIE_NULL_X71Y114 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y114 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y114 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y114 NAME PCIE_NULL_X71Y114 TILEPROP PCIE_NULL_X71Y114 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y114 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y114 ROW 42 TILEPROP PCIE_NULL_X71Y114 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y114 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y114 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y114 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y114 TILE_X 27312 TILEPROP PCIE_NULL_X71Y114 TILE_Y 110072 TILEPROP PCIE_NULL_X71Y114 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y116 CLASS tile TILEPROP PCIE_NULL_X71Y116 COLUMN 71 TILEPROP PCIE_NULL_X71Y116 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y116 FIRST_SITE_ID 4022 TILEPROP PCIE_NULL_X71Y116 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y116 GRID_POINT_Y 40 TILEPROP PCIE_NULL_X71Y116 INDEX 4671 TILEPROP PCIE_NULL_X71Y116 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y116 INT_TILE_Y 38 TILEPROP PCIE_NULL_X71Y116 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y116 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y116 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y116 NAME PCIE_NULL_X71Y116 TILEPROP PCIE_NULL_X71Y116 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y116 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y116 ROW 40 TILEPROP PCIE_NULL_X71Y116 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y116 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y116 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y116 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y116 TILE_X 27312 TILEPROP PCIE_NULL_X71Y116 TILE_Y 116472 TILEPROP PCIE_NULL_X71Y116 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y117 CLASS tile TILEPROP PCIE_NULL_X71Y117 COLUMN 71 TILEPROP PCIE_NULL_X71Y117 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y117 FIRST_SITE_ID 3926 TILEPROP PCIE_NULL_X71Y117 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y117 GRID_POINT_Y 39 TILEPROP PCIE_NULL_X71Y117 INDEX 4556 TILEPROP PCIE_NULL_X71Y117 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y117 INT_TILE_Y 37 TILEPROP PCIE_NULL_X71Y117 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y117 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y117 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y117 NAME PCIE_NULL_X71Y117 TILEPROP PCIE_NULL_X71Y117 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y117 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y117 ROW 39 TILEPROP PCIE_NULL_X71Y117 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y117 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y117 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y117 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y117 TILE_X 27312 TILEPROP PCIE_NULL_X71Y117 TILE_Y 119672 TILEPROP PCIE_NULL_X71Y117 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y118 CLASS tile TILEPROP PCIE_NULL_X71Y118 COLUMN 71 TILEPROP PCIE_NULL_X71Y118 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y118 FIRST_SITE_ID 3806 TILEPROP PCIE_NULL_X71Y118 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y118 GRID_POINT_Y 38 TILEPROP PCIE_NULL_X71Y118 INDEX 4441 TILEPROP PCIE_NULL_X71Y118 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y118 INT_TILE_Y 36 TILEPROP PCIE_NULL_X71Y118 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y118 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y118 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y118 NAME PCIE_NULL_X71Y118 TILEPROP PCIE_NULL_X71Y118 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y118 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y118 ROW 38 TILEPROP PCIE_NULL_X71Y118 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y118 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y118 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y118 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y118 TILE_X 27312 TILEPROP PCIE_NULL_X71Y118 TILE_Y 122872 TILEPROP PCIE_NULL_X71Y118 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y119 CLASS tile TILEPROP PCIE_NULL_X71Y119 COLUMN 71 TILEPROP PCIE_NULL_X71Y119 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y119 FIRST_SITE_ID 3710 TILEPROP PCIE_NULL_X71Y119 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y119 GRID_POINT_Y 37 TILEPROP PCIE_NULL_X71Y119 INDEX 4326 TILEPROP PCIE_NULL_X71Y119 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y119 INT_TILE_Y 35 TILEPROP PCIE_NULL_X71Y119 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y119 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y119 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y119 NAME PCIE_NULL_X71Y119 TILEPROP PCIE_NULL_X71Y119 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y119 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y119 ROW 37 TILEPROP PCIE_NULL_X71Y119 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y119 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y119 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y119 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y119 TILE_X 27312 TILEPROP PCIE_NULL_X71Y119 TILE_Y 126072 TILEPROP PCIE_NULL_X71Y119 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y120 CLASS tile TILEPROP PCIE_NULL_X71Y120 COLUMN 71 TILEPROP PCIE_NULL_X71Y120 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y120 FIRST_SITE_ID 3619 TILEPROP PCIE_NULL_X71Y120 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y120 GRID_POINT_Y 36 TILEPROP PCIE_NULL_X71Y120 INDEX 4211 TILEPROP PCIE_NULL_X71Y120 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y120 INT_TILE_Y 34 TILEPROP PCIE_NULL_X71Y120 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y120 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y120 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y120 NAME PCIE_NULL_X71Y120 TILEPROP PCIE_NULL_X71Y120 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y120 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y120 ROW 36 TILEPROP PCIE_NULL_X71Y120 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y120 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y120 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y120 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y120 TILE_X 27312 TILEPROP PCIE_NULL_X71Y120 TILE_Y 129272 TILEPROP PCIE_NULL_X71Y120 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y121 CLASS tile TILEPROP PCIE_NULL_X71Y121 COLUMN 71 TILEPROP PCIE_NULL_X71Y121 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y121 FIRST_SITE_ID 3512 TILEPROP PCIE_NULL_X71Y121 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y121 GRID_POINT_Y 35 TILEPROP PCIE_NULL_X71Y121 INDEX 4096 TILEPROP PCIE_NULL_X71Y121 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y121 INT_TILE_Y 33 TILEPROP PCIE_NULL_X71Y121 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y121 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y121 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y121 NAME PCIE_NULL_X71Y121 TILEPROP PCIE_NULL_X71Y121 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y121 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y121 ROW 35 TILEPROP PCIE_NULL_X71Y121 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y121 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y121 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y121 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y121 TILE_X 27312 TILEPROP PCIE_NULL_X71Y121 TILE_Y 132472 TILEPROP PCIE_NULL_X71Y121 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y122 CLASS tile TILEPROP PCIE_NULL_X71Y122 COLUMN 71 TILEPROP PCIE_NULL_X71Y122 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y122 FIRST_SITE_ID 3424 TILEPROP PCIE_NULL_X71Y122 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y122 GRID_POINT_Y 34 TILEPROP PCIE_NULL_X71Y122 INDEX 3981 TILEPROP PCIE_NULL_X71Y122 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y122 INT_TILE_Y 32 TILEPROP PCIE_NULL_X71Y122 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y122 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y122 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y122 NAME PCIE_NULL_X71Y122 TILEPROP PCIE_NULL_X71Y122 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y122 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y122 ROW 34 TILEPROP PCIE_NULL_X71Y122 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y122 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y122 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y122 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y122 TILE_X 27312 TILEPROP PCIE_NULL_X71Y122 TILE_Y 135672 TILEPROP PCIE_NULL_X71Y122 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y123 CLASS tile TILEPROP PCIE_NULL_X71Y123 COLUMN 71 TILEPROP PCIE_NULL_X71Y123 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y123 FIRST_SITE_ID 3324 TILEPROP PCIE_NULL_X71Y123 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y123 GRID_POINT_Y 33 TILEPROP PCIE_NULL_X71Y123 INDEX 3866 TILEPROP PCIE_NULL_X71Y123 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y123 INT_TILE_Y 31 TILEPROP PCIE_NULL_X71Y123 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y123 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y123 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y123 NAME PCIE_NULL_X71Y123 TILEPROP PCIE_NULL_X71Y123 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y123 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y123 ROW 33 TILEPROP PCIE_NULL_X71Y123 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y123 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y123 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y123 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y123 TILE_X 27312 TILEPROP PCIE_NULL_X71Y123 TILE_Y 138872 TILEPROP PCIE_NULL_X71Y123 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y124 CLASS tile TILEPROP PCIE_NULL_X71Y124 COLUMN 71 TILEPROP PCIE_NULL_X71Y124 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y124 FIRST_SITE_ID 3236 TILEPROP PCIE_NULL_X71Y124 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y124 GRID_POINT_Y 32 TILEPROP PCIE_NULL_X71Y124 INDEX 3751 TILEPROP PCIE_NULL_X71Y124 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y124 INT_TILE_Y 30 TILEPROP PCIE_NULL_X71Y124 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y124 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y124 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y124 NAME PCIE_NULL_X71Y124 TILEPROP PCIE_NULL_X71Y124 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y124 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y124 ROW 32 TILEPROP PCIE_NULL_X71Y124 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y124 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y124 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y124 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y124 TILE_X 27312 TILEPROP PCIE_NULL_X71Y124 TILE_Y 142072 TILEPROP PCIE_NULL_X71Y124 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y126 CLASS tile TILEPROP PCIE_NULL_X71Y126 COLUMN 71 TILEPROP PCIE_NULL_X71Y126 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y126 FIRST_SITE_ID 3041 TILEPROP PCIE_NULL_X71Y126 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y126 GRID_POINT_Y 30 TILEPROP PCIE_NULL_X71Y126 INDEX 3521 TILEPROP PCIE_NULL_X71Y126 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y126 INT_TILE_Y 28 TILEPROP PCIE_NULL_X71Y126 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y126 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y126 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y126 NAME PCIE_NULL_X71Y126 TILEPROP PCIE_NULL_X71Y126 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y126 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y126 ROW 30 TILEPROP PCIE_NULL_X71Y126 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y126 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y126 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y126 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y126 TILE_X 27312 TILEPROP PCIE_NULL_X71Y126 TILE_Y 148472 TILEPROP PCIE_NULL_X71Y126 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y127 CLASS tile TILEPROP PCIE_NULL_X71Y127 COLUMN 71 TILEPROP PCIE_NULL_X71Y127 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y127 FIRST_SITE_ID 2938 TILEPROP PCIE_NULL_X71Y127 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y127 GRID_POINT_Y 29 TILEPROP PCIE_NULL_X71Y127 INDEX 3406 TILEPROP PCIE_NULL_X71Y127 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y127 INT_TILE_Y 27 TILEPROP PCIE_NULL_X71Y127 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y127 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y127 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y127 NAME PCIE_NULL_X71Y127 TILEPROP PCIE_NULL_X71Y127 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y127 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y127 ROW 29 TILEPROP PCIE_NULL_X71Y127 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y127 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y127 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y127 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y127 TILE_X 27312 TILEPROP PCIE_NULL_X71Y127 TILE_Y 151672 TILEPROP PCIE_NULL_X71Y127 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y128 CLASS tile TILEPROP PCIE_NULL_X71Y128 COLUMN 71 TILEPROP PCIE_NULL_X71Y128 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y128 FIRST_SITE_ID 2850 TILEPROP PCIE_NULL_X71Y128 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y128 GRID_POINT_Y 28 TILEPROP PCIE_NULL_X71Y128 INDEX 3291 TILEPROP PCIE_NULL_X71Y128 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y128 INT_TILE_Y 26 TILEPROP PCIE_NULL_X71Y128 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y128 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y128 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y128 NAME PCIE_NULL_X71Y128 TILEPROP PCIE_NULL_X71Y128 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y128 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y128 ROW 28 TILEPROP PCIE_NULL_X71Y128 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y128 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y128 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y128 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y128 TILE_X 27312 TILEPROP PCIE_NULL_X71Y128 TILE_Y 154872 TILEPROP PCIE_NULL_X71Y128 TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y129 CLASS tile TILEPROP PCIE_NULL_X71Y129 COLUMN 71 TILEPROP PCIE_NULL_X71Y129 DEVICE_ID 0 TILEPROP PCIE_NULL_X71Y129 FIRST_SITE_ID 2754 TILEPROP PCIE_NULL_X71Y129 GRID_POINT_X 71 TILEPROP PCIE_NULL_X71Y129 GRID_POINT_Y 27 TILEPROP PCIE_NULL_X71Y129 INDEX 3176 TILEPROP PCIE_NULL_X71Y129 INT_TILE_X 27 TILEPROP PCIE_NULL_X71Y129 INT_TILE_Y 25 TILEPROP PCIE_NULL_X71Y129 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X71Y129 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X71Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y129 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X71Y129 NAME PCIE_NULL_X71Y129 TILEPROP PCIE_NULL_X71Y129 NUM_ARCS 0 TILEPROP PCIE_NULL_X71Y129 NUM_SITES 0 TILEPROP PCIE_NULL_X71Y129 ROW 27 TILEPROP PCIE_NULL_X71Y129 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X71Y129 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X71Y129 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X71Y129 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X71Y129 TILE_X 27312 TILEPROP PCIE_NULL_X71Y129 TILE_Y 158072 TILEPROP PCIE_NULL_X71Y129 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y105 CLASS tile TILEPROP PCIE_NULL_X72Y105 COLUMN 72 TILEPROP PCIE_NULL_X72Y105 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y105 FIRST_SITE_ID 5067 TILEPROP PCIE_NULL_X72Y105 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y105 GRID_POINT_Y 51 TILEPROP PCIE_NULL_X72Y105 INDEX 5937 TILEPROP PCIE_NULL_X72Y105 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y105 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y105 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y105 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y105 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y105 NAME PCIE_NULL_X72Y105 TILEPROP PCIE_NULL_X72Y105 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y105 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y105 ROW 51 TILEPROP PCIE_NULL_X72Y105 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y105 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y105 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y105 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y105 TILE_X 28008 TILEPROP PCIE_NULL_X72Y105 TILE_Y 81272 TILEPROP PCIE_NULL_X72Y105 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y106 CLASS tile TILEPROP PCIE_NULL_X72Y106 COLUMN 72 TILEPROP PCIE_NULL_X72Y106 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y106 FIRST_SITE_ID 4969 TILEPROP PCIE_NULL_X72Y106 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y106 GRID_POINT_Y 50 TILEPROP PCIE_NULL_X72Y106 INDEX 5822 TILEPROP PCIE_NULL_X72Y106 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y106 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y106 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y106 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y106 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y106 NAME PCIE_NULL_X72Y106 TILEPROP PCIE_NULL_X72Y106 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y106 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y106 ROW 50 TILEPROP PCIE_NULL_X72Y106 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y106 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y106 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y106 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y106 TILE_X 28008 TILEPROP PCIE_NULL_X72Y106 TILE_Y 84472 TILEPROP PCIE_NULL_X72Y106 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y107 CLASS tile TILEPROP PCIE_NULL_X72Y107 COLUMN 72 TILEPROP PCIE_NULL_X72Y107 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y107 FIRST_SITE_ID 4873 TILEPROP PCIE_NULL_X72Y107 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y107 GRID_POINT_Y 49 TILEPROP PCIE_NULL_X72Y107 INDEX 5707 TILEPROP PCIE_NULL_X72Y107 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y107 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y107 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y107 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y107 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y107 NAME PCIE_NULL_X72Y107 TILEPROP PCIE_NULL_X72Y107 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y107 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y107 ROW 49 TILEPROP PCIE_NULL_X72Y107 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y107 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y107 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y107 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y107 TILE_X 28008 TILEPROP PCIE_NULL_X72Y107 TILE_Y 87672 TILEPROP PCIE_NULL_X72Y107 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y108 CLASS tile TILEPROP PCIE_NULL_X72Y108 COLUMN 72 TILEPROP PCIE_NULL_X72Y108 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y108 FIRST_SITE_ID 4785 TILEPROP PCIE_NULL_X72Y108 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y108 GRID_POINT_Y 48 TILEPROP PCIE_NULL_X72Y108 INDEX 5592 TILEPROP PCIE_NULL_X72Y108 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y108 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y108 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y108 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y108 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y108 NAME PCIE_NULL_X72Y108 TILEPROP PCIE_NULL_X72Y108 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y108 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y108 ROW 48 TILEPROP PCIE_NULL_X72Y108 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y108 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y108 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y108 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y108 TILE_X 28008 TILEPROP PCIE_NULL_X72Y108 TILE_Y 90872 TILEPROP PCIE_NULL_X72Y108 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y109 CLASS tile TILEPROP PCIE_NULL_X72Y109 COLUMN 72 TILEPROP PCIE_NULL_X72Y109 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y109 FIRST_SITE_ID 4689 TILEPROP PCIE_NULL_X72Y109 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y109 GRID_POINT_Y 47 TILEPROP PCIE_NULL_X72Y109 INDEX 5477 TILEPROP PCIE_NULL_X72Y109 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y109 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y109 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y109 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y109 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y109 NAME PCIE_NULL_X72Y109 TILEPROP PCIE_NULL_X72Y109 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y109 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y109 ROW 47 TILEPROP PCIE_NULL_X72Y109 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y109 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y109 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y109 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y109 TILE_X 28008 TILEPROP PCIE_NULL_X72Y109 TILE_Y 94072 TILEPROP PCIE_NULL_X72Y109 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y110 CLASS tile TILEPROP PCIE_NULL_X72Y110 COLUMN 72 TILEPROP PCIE_NULL_X72Y110 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y110 FIRST_SITE_ID 4593 TILEPROP PCIE_NULL_X72Y110 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y110 GRID_POINT_Y 46 TILEPROP PCIE_NULL_X72Y110 INDEX 5362 TILEPROP PCIE_NULL_X72Y110 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y110 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y110 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y110 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y110 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y110 NAME PCIE_NULL_X72Y110 TILEPROP PCIE_NULL_X72Y110 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y110 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y110 ROW 46 TILEPROP PCIE_NULL_X72Y110 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y110 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y110 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y110 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y110 TILE_X 28008 TILEPROP PCIE_NULL_X72Y110 TILE_Y 97272 TILEPROP PCIE_NULL_X72Y110 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y111 CLASS tile TILEPROP PCIE_NULL_X72Y111 COLUMN 72 TILEPROP PCIE_NULL_X72Y111 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y111 FIRST_SITE_ID 4491 TILEPROP PCIE_NULL_X72Y111 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y111 GRID_POINT_Y 45 TILEPROP PCIE_NULL_X72Y111 INDEX 5247 TILEPROP PCIE_NULL_X72Y111 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y111 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y111 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y111 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y111 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y111 NAME PCIE_NULL_X72Y111 TILEPROP PCIE_NULL_X72Y111 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y111 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y111 ROW 45 TILEPROP PCIE_NULL_X72Y111 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y111 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y111 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y111 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y111 TILE_X 28008 TILEPROP PCIE_NULL_X72Y111 TILE_Y 100472 TILEPROP PCIE_NULL_X72Y111 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y112 CLASS tile TILEPROP PCIE_NULL_X72Y112 COLUMN 72 TILEPROP PCIE_NULL_X72Y112 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y112 FIRST_SITE_ID 4403 TILEPROP PCIE_NULL_X72Y112 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y112 GRID_POINT_Y 44 TILEPROP PCIE_NULL_X72Y112 INDEX 5132 TILEPROP PCIE_NULL_X72Y112 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y112 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y112 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y112 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y112 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y112 NAME PCIE_NULL_X72Y112 TILEPROP PCIE_NULL_X72Y112 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y112 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y112 ROW 44 TILEPROP PCIE_NULL_X72Y112 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y112 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y112 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y112 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y112 TILE_X 28008 TILEPROP PCIE_NULL_X72Y112 TILE_Y 103672 TILEPROP PCIE_NULL_X72Y112 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y113 CLASS tile TILEPROP PCIE_NULL_X72Y113 COLUMN 72 TILEPROP PCIE_NULL_X72Y113 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y113 FIRST_SITE_ID 4305 TILEPROP PCIE_NULL_X72Y113 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y113 GRID_POINT_Y 43 TILEPROP PCIE_NULL_X72Y113 INDEX 5017 TILEPROP PCIE_NULL_X72Y113 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y113 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y113 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y113 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y113 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y113 NAME PCIE_NULL_X72Y113 TILEPROP PCIE_NULL_X72Y113 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y113 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y113 ROW 43 TILEPROP PCIE_NULL_X72Y113 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y113 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y113 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y113 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y113 TILE_X 28008 TILEPROP PCIE_NULL_X72Y113 TILE_Y 106872 TILEPROP PCIE_NULL_X72Y113 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y114 CLASS tile TILEPROP PCIE_NULL_X72Y114 COLUMN 72 TILEPROP PCIE_NULL_X72Y114 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y114 FIRST_SITE_ID 4216 TILEPROP PCIE_NULL_X72Y114 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y114 GRID_POINT_Y 42 TILEPROP PCIE_NULL_X72Y114 INDEX 4902 TILEPROP PCIE_NULL_X72Y114 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y114 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y114 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y114 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y114 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y114 NAME PCIE_NULL_X72Y114 TILEPROP PCIE_NULL_X72Y114 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y114 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y114 ROW 42 TILEPROP PCIE_NULL_X72Y114 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y114 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y114 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y114 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y114 TILE_X 28008 TILEPROP PCIE_NULL_X72Y114 TILE_Y 110072 TILEPROP PCIE_NULL_X72Y114 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y115 CLASS tile TILEPROP PCIE_NULL_X72Y115 COLUMN 72 TILEPROP PCIE_NULL_X72Y115 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y115 FIRST_SITE_ID 4117 TILEPROP PCIE_NULL_X72Y115 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y115 GRID_POINT_Y 41 TILEPROP PCIE_NULL_X72Y115 INDEX 4787 TILEPROP PCIE_NULL_X72Y115 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y115 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y115 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y115 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y115 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y115 NAME PCIE_NULL_X72Y115 TILEPROP PCIE_NULL_X72Y115 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y115 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y115 ROW 41 TILEPROP PCIE_NULL_X72Y115 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y115 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y115 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y115 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y115 TILE_X 28008 TILEPROP PCIE_NULL_X72Y115 TILE_Y 113272 TILEPROP PCIE_NULL_X72Y115 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y116 CLASS tile TILEPROP PCIE_NULL_X72Y116 COLUMN 72 TILEPROP PCIE_NULL_X72Y116 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y116 FIRST_SITE_ID 4022 TILEPROP PCIE_NULL_X72Y116 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y116 GRID_POINT_Y 40 TILEPROP PCIE_NULL_X72Y116 INDEX 4672 TILEPROP PCIE_NULL_X72Y116 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y116 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y116 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y116 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y116 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y116 NAME PCIE_NULL_X72Y116 TILEPROP PCIE_NULL_X72Y116 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y116 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y116 ROW 40 TILEPROP PCIE_NULL_X72Y116 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y116 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y116 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y116 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y116 TILE_X 28008 TILEPROP PCIE_NULL_X72Y116 TILE_Y 116472 TILEPROP PCIE_NULL_X72Y116 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y117 CLASS tile TILEPROP PCIE_NULL_X72Y117 COLUMN 72 TILEPROP PCIE_NULL_X72Y117 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y117 FIRST_SITE_ID 3926 TILEPROP PCIE_NULL_X72Y117 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y117 GRID_POINT_Y 39 TILEPROP PCIE_NULL_X72Y117 INDEX 4557 TILEPROP PCIE_NULL_X72Y117 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y117 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y117 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y117 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y117 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y117 NAME PCIE_NULL_X72Y117 TILEPROP PCIE_NULL_X72Y117 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y117 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y117 ROW 39 TILEPROP PCIE_NULL_X72Y117 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y117 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y117 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y117 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y117 TILE_X 28008 TILEPROP PCIE_NULL_X72Y117 TILE_Y 119672 TILEPROP PCIE_NULL_X72Y117 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y118 CLASS tile TILEPROP PCIE_NULL_X72Y118 COLUMN 72 TILEPROP PCIE_NULL_X72Y118 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y118 FIRST_SITE_ID 3806 TILEPROP PCIE_NULL_X72Y118 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y118 GRID_POINT_Y 38 TILEPROP PCIE_NULL_X72Y118 INDEX 4442 TILEPROP PCIE_NULL_X72Y118 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y118 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y118 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y118 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y118 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y118 NAME PCIE_NULL_X72Y118 TILEPROP PCIE_NULL_X72Y118 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y118 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y118 ROW 38 TILEPROP PCIE_NULL_X72Y118 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y118 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y118 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y118 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y118 TILE_X 28008 TILEPROP PCIE_NULL_X72Y118 TILE_Y 122872 TILEPROP PCIE_NULL_X72Y118 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y119 CLASS tile TILEPROP PCIE_NULL_X72Y119 COLUMN 72 TILEPROP PCIE_NULL_X72Y119 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y119 FIRST_SITE_ID 3710 TILEPROP PCIE_NULL_X72Y119 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y119 GRID_POINT_Y 37 TILEPROP PCIE_NULL_X72Y119 INDEX 4327 TILEPROP PCIE_NULL_X72Y119 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y119 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y119 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y119 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y119 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y119 NAME PCIE_NULL_X72Y119 TILEPROP PCIE_NULL_X72Y119 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y119 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y119 ROW 37 TILEPROP PCIE_NULL_X72Y119 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y119 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y119 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y119 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y119 TILE_X 28008 TILEPROP PCIE_NULL_X72Y119 TILE_Y 126072 TILEPROP PCIE_NULL_X72Y119 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y120 CLASS tile TILEPROP PCIE_NULL_X72Y120 COLUMN 72 TILEPROP PCIE_NULL_X72Y120 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y120 FIRST_SITE_ID 3619 TILEPROP PCIE_NULL_X72Y120 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y120 GRID_POINT_Y 36 TILEPROP PCIE_NULL_X72Y120 INDEX 4212 TILEPROP PCIE_NULL_X72Y120 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y120 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y120 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y120 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y120 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y120 NAME PCIE_NULL_X72Y120 TILEPROP PCIE_NULL_X72Y120 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y120 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y120 ROW 36 TILEPROP PCIE_NULL_X72Y120 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y120 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y120 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y120 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y120 TILE_X 28008 TILEPROP PCIE_NULL_X72Y120 TILE_Y 129272 TILEPROP PCIE_NULL_X72Y120 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y121 CLASS tile TILEPROP PCIE_NULL_X72Y121 COLUMN 72 TILEPROP PCIE_NULL_X72Y121 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y121 FIRST_SITE_ID 3512 TILEPROP PCIE_NULL_X72Y121 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y121 GRID_POINT_Y 35 TILEPROP PCIE_NULL_X72Y121 INDEX 4097 TILEPROP PCIE_NULL_X72Y121 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y121 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y121 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y121 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y121 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y121 NAME PCIE_NULL_X72Y121 TILEPROP PCIE_NULL_X72Y121 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y121 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y121 ROW 35 TILEPROP PCIE_NULL_X72Y121 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y121 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y121 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y121 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y121 TILE_X 28008 TILEPROP PCIE_NULL_X72Y121 TILE_Y 132472 TILEPROP PCIE_NULL_X72Y121 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y122 CLASS tile TILEPROP PCIE_NULL_X72Y122 COLUMN 72 TILEPROP PCIE_NULL_X72Y122 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y122 FIRST_SITE_ID 3424 TILEPROP PCIE_NULL_X72Y122 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y122 GRID_POINT_Y 34 TILEPROP PCIE_NULL_X72Y122 INDEX 3982 TILEPROP PCIE_NULL_X72Y122 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y122 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y122 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y122 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y122 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y122 NAME PCIE_NULL_X72Y122 TILEPROP PCIE_NULL_X72Y122 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y122 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y122 ROW 34 TILEPROP PCIE_NULL_X72Y122 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y122 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y122 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y122 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y122 TILE_X 28008 TILEPROP PCIE_NULL_X72Y122 TILE_Y 135672 TILEPROP PCIE_NULL_X72Y122 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y123 CLASS tile TILEPROP PCIE_NULL_X72Y123 COLUMN 72 TILEPROP PCIE_NULL_X72Y123 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y123 FIRST_SITE_ID 3324 TILEPROP PCIE_NULL_X72Y123 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y123 GRID_POINT_Y 33 TILEPROP PCIE_NULL_X72Y123 INDEX 3867 TILEPROP PCIE_NULL_X72Y123 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y123 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y123 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y123 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y123 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y123 NAME PCIE_NULL_X72Y123 TILEPROP PCIE_NULL_X72Y123 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y123 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y123 ROW 33 TILEPROP PCIE_NULL_X72Y123 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y123 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y123 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y123 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y123 TILE_X 28008 TILEPROP PCIE_NULL_X72Y123 TILE_Y 138872 TILEPROP PCIE_NULL_X72Y123 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y124 CLASS tile TILEPROP PCIE_NULL_X72Y124 COLUMN 72 TILEPROP PCIE_NULL_X72Y124 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y124 FIRST_SITE_ID 3236 TILEPROP PCIE_NULL_X72Y124 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y124 GRID_POINT_Y 32 TILEPROP PCIE_NULL_X72Y124 INDEX 3752 TILEPROP PCIE_NULL_X72Y124 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y124 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y124 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y124 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y124 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y124 NAME PCIE_NULL_X72Y124 TILEPROP PCIE_NULL_X72Y124 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y124 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y124 ROW 32 TILEPROP PCIE_NULL_X72Y124 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y124 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y124 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y124 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y124 TILE_X 28008 TILEPROP PCIE_NULL_X72Y124 TILE_Y 142072 TILEPROP PCIE_NULL_X72Y124 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y125 CLASS tile TILEPROP PCIE_NULL_X72Y125 COLUMN 72 TILEPROP PCIE_NULL_X72Y125 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y125 FIRST_SITE_ID 3135 TILEPROP PCIE_NULL_X72Y125 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y125 GRID_POINT_Y 31 TILEPROP PCIE_NULL_X72Y125 INDEX 3637 TILEPROP PCIE_NULL_X72Y125 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y125 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y125 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y125 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y125 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y125 NAME PCIE_NULL_X72Y125 TILEPROP PCIE_NULL_X72Y125 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y125 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y125 ROW 31 TILEPROP PCIE_NULL_X72Y125 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y125 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y125 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y125 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y125 TILE_X 28008 TILEPROP PCIE_NULL_X72Y125 TILE_Y 145272 TILEPROP PCIE_NULL_X72Y125 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y126 CLASS tile TILEPROP PCIE_NULL_X72Y126 COLUMN 72 TILEPROP PCIE_NULL_X72Y126 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y126 FIRST_SITE_ID 3041 TILEPROP PCIE_NULL_X72Y126 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y126 GRID_POINT_Y 30 TILEPROP PCIE_NULL_X72Y126 INDEX 3522 TILEPROP PCIE_NULL_X72Y126 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y126 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y126 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y126 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y126 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y126 NAME PCIE_NULL_X72Y126 TILEPROP PCIE_NULL_X72Y126 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y126 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y126 ROW 30 TILEPROP PCIE_NULL_X72Y126 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y126 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y126 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y126 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y126 TILE_X 28008 TILEPROP PCIE_NULL_X72Y126 TILE_Y 148472 TILEPROP PCIE_NULL_X72Y126 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y127 CLASS tile TILEPROP PCIE_NULL_X72Y127 COLUMN 72 TILEPROP PCIE_NULL_X72Y127 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y127 FIRST_SITE_ID 2938 TILEPROP PCIE_NULL_X72Y127 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y127 GRID_POINT_Y 29 TILEPROP PCIE_NULL_X72Y127 INDEX 3407 TILEPROP PCIE_NULL_X72Y127 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y127 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y127 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y127 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y127 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y127 NAME PCIE_NULL_X72Y127 TILEPROP PCIE_NULL_X72Y127 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y127 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y127 ROW 29 TILEPROP PCIE_NULL_X72Y127 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y127 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y127 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y127 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y127 TILE_X 28008 TILEPROP PCIE_NULL_X72Y127 TILE_Y 151672 TILEPROP PCIE_NULL_X72Y127 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y128 CLASS tile TILEPROP PCIE_NULL_X72Y128 COLUMN 72 TILEPROP PCIE_NULL_X72Y128 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y128 FIRST_SITE_ID 2850 TILEPROP PCIE_NULL_X72Y128 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y128 GRID_POINT_Y 28 TILEPROP PCIE_NULL_X72Y128 INDEX 3292 TILEPROP PCIE_NULL_X72Y128 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y128 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y128 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y128 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y128 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y128 NAME PCIE_NULL_X72Y128 TILEPROP PCIE_NULL_X72Y128 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y128 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y128 ROW 28 TILEPROP PCIE_NULL_X72Y128 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y128 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y128 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y128 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y128 TILE_X 28008 TILEPROP PCIE_NULL_X72Y128 TILE_Y 154872 TILEPROP PCIE_NULL_X72Y128 TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y129 CLASS tile TILEPROP PCIE_NULL_X72Y129 COLUMN 72 TILEPROP PCIE_NULL_X72Y129 DEVICE_ID 0 TILEPROP PCIE_NULL_X72Y129 FIRST_SITE_ID 2754 TILEPROP PCIE_NULL_X72Y129 GRID_POINT_X 72 TILEPROP PCIE_NULL_X72Y129 GRID_POINT_Y 27 TILEPROP PCIE_NULL_X72Y129 INDEX 3177 TILEPROP PCIE_NULL_X72Y129 INT_TILE_X -1 TILEPROP PCIE_NULL_X72Y129 INT_TILE_Y -1 TILEPROP PCIE_NULL_X72Y129 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X72Y129 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X72Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y129 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X72Y129 NAME PCIE_NULL_X72Y129 TILEPROP PCIE_NULL_X72Y129 NUM_ARCS 0 TILEPROP PCIE_NULL_X72Y129 NUM_SITES 0 TILEPROP PCIE_NULL_X72Y129 ROW 27 TILEPROP PCIE_NULL_X72Y129 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X72Y129 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X72Y129 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X72Y129 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X72Y129 TILE_X 28008 TILEPROP PCIE_NULL_X72Y129 TILE_Y 158072 TILEPROP PCIE_NULL_X72Y129 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y105 CLASS tile TILEPROP PCIE_NULL_X73Y105 COLUMN 73 TILEPROP PCIE_NULL_X73Y105 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y105 FIRST_SITE_ID 5067 TILEPROP PCIE_NULL_X73Y105 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y105 GRID_POINT_Y 51 TILEPROP PCIE_NULL_X73Y105 INDEX 5938 TILEPROP PCIE_NULL_X73Y105 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y105 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y105 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y105 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y105 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y105 NAME PCIE_NULL_X73Y105 TILEPROP PCIE_NULL_X73Y105 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y105 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y105 ROW 51 TILEPROP PCIE_NULL_X73Y105 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y105 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y105 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y105 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y105 TILE_X 31464 TILEPROP PCIE_NULL_X73Y105 TILE_Y 81272 TILEPROP PCIE_NULL_X73Y105 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y106 CLASS tile TILEPROP PCIE_NULL_X73Y106 COLUMN 73 TILEPROP PCIE_NULL_X73Y106 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y106 FIRST_SITE_ID 4969 TILEPROP PCIE_NULL_X73Y106 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y106 GRID_POINT_Y 50 TILEPROP PCIE_NULL_X73Y106 INDEX 5823 TILEPROP PCIE_NULL_X73Y106 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y106 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y106 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y106 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y106 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y106 NAME PCIE_NULL_X73Y106 TILEPROP PCIE_NULL_X73Y106 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y106 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y106 ROW 50 TILEPROP PCIE_NULL_X73Y106 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y106 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y106 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y106 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y106 TILE_X 31464 TILEPROP PCIE_NULL_X73Y106 TILE_Y 84472 TILEPROP PCIE_NULL_X73Y106 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y107 CLASS tile TILEPROP PCIE_NULL_X73Y107 COLUMN 73 TILEPROP PCIE_NULL_X73Y107 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y107 FIRST_SITE_ID 4873 TILEPROP PCIE_NULL_X73Y107 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y107 GRID_POINT_Y 49 TILEPROP PCIE_NULL_X73Y107 INDEX 5708 TILEPROP PCIE_NULL_X73Y107 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y107 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y107 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y107 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y107 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y107 NAME PCIE_NULL_X73Y107 TILEPROP PCIE_NULL_X73Y107 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y107 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y107 ROW 49 TILEPROP PCIE_NULL_X73Y107 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y107 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y107 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y107 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y107 TILE_X 31464 TILEPROP PCIE_NULL_X73Y107 TILE_Y 87672 TILEPROP PCIE_NULL_X73Y107 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y108 CLASS tile TILEPROP PCIE_NULL_X73Y108 COLUMN 73 TILEPROP PCIE_NULL_X73Y108 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y108 FIRST_SITE_ID 4785 TILEPROP PCIE_NULL_X73Y108 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y108 GRID_POINT_Y 48 TILEPROP PCIE_NULL_X73Y108 INDEX 5593 TILEPROP PCIE_NULL_X73Y108 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y108 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y108 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y108 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y108 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y108 NAME PCIE_NULL_X73Y108 TILEPROP PCIE_NULL_X73Y108 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y108 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y108 ROW 48 TILEPROP PCIE_NULL_X73Y108 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y108 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y108 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y108 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y108 TILE_X 31464 TILEPROP PCIE_NULL_X73Y108 TILE_Y 90872 TILEPROP PCIE_NULL_X73Y108 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y109 CLASS tile TILEPROP PCIE_NULL_X73Y109 COLUMN 73 TILEPROP PCIE_NULL_X73Y109 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y109 FIRST_SITE_ID 4689 TILEPROP PCIE_NULL_X73Y109 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y109 GRID_POINT_Y 47 TILEPROP PCIE_NULL_X73Y109 INDEX 5478 TILEPROP PCIE_NULL_X73Y109 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y109 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y109 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y109 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y109 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y109 NAME PCIE_NULL_X73Y109 TILEPROP PCIE_NULL_X73Y109 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y109 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y109 ROW 47 TILEPROP PCIE_NULL_X73Y109 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y109 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y109 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y109 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y109 TILE_X 31464 TILEPROP PCIE_NULL_X73Y109 TILE_Y 94072 TILEPROP PCIE_NULL_X73Y109 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y110 CLASS tile TILEPROP PCIE_NULL_X73Y110 COLUMN 73 TILEPROP PCIE_NULL_X73Y110 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y110 FIRST_SITE_ID 4593 TILEPROP PCIE_NULL_X73Y110 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y110 GRID_POINT_Y 46 TILEPROP PCIE_NULL_X73Y110 INDEX 5363 TILEPROP PCIE_NULL_X73Y110 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y110 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y110 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y110 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y110 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y110 NAME PCIE_NULL_X73Y110 TILEPROP PCIE_NULL_X73Y110 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y110 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y110 ROW 46 TILEPROP PCIE_NULL_X73Y110 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y110 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y110 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y110 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y110 TILE_X 31464 TILEPROP PCIE_NULL_X73Y110 TILE_Y 97272 TILEPROP PCIE_NULL_X73Y110 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y111 CLASS tile TILEPROP PCIE_NULL_X73Y111 COLUMN 73 TILEPROP PCIE_NULL_X73Y111 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y111 FIRST_SITE_ID 4491 TILEPROP PCIE_NULL_X73Y111 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y111 GRID_POINT_Y 45 TILEPROP PCIE_NULL_X73Y111 INDEX 5248 TILEPROP PCIE_NULL_X73Y111 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y111 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y111 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y111 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y111 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y111 NAME PCIE_NULL_X73Y111 TILEPROP PCIE_NULL_X73Y111 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y111 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y111 ROW 45 TILEPROP PCIE_NULL_X73Y111 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y111 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y111 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y111 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y111 TILE_X 31464 TILEPROP PCIE_NULL_X73Y111 TILE_Y 100472 TILEPROP PCIE_NULL_X73Y111 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y112 CLASS tile TILEPROP PCIE_NULL_X73Y112 COLUMN 73 TILEPROP PCIE_NULL_X73Y112 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y112 FIRST_SITE_ID 4403 TILEPROP PCIE_NULL_X73Y112 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y112 GRID_POINT_Y 44 TILEPROP PCIE_NULL_X73Y112 INDEX 5133 TILEPROP PCIE_NULL_X73Y112 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y112 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y112 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y112 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y112 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y112 NAME PCIE_NULL_X73Y112 TILEPROP PCIE_NULL_X73Y112 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y112 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y112 ROW 44 TILEPROP PCIE_NULL_X73Y112 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y112 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y112 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y112 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y112 TILE_X 31464 TILEPROP PCIE_NULL_X73Y112 TILE_Y 103672 TILEPROP PCIE_NULL_X73Y112 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y113 CLASS tile TILEPROP PCIE_NULL_X73Y113 COLUMN 73 TILEPROP PCIE_NULL_X73Y113 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y113 FIRST_SITE_ID 4305 TILEPROP PCIE_NULL_X73Y113 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y113 GRID_POINT_Y 43 TILEPROP PCIE_NULL_X73Y113 INDEX 5018 TILEPROP PCIE_NULL_X73Y113 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y113 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y113 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y113 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y113 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y113 NAME PCIE_NULL_X73Y113 TILEPROP PCIE_NULL_X73Y113 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y113 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y113 ROW 43 TILEPROP PCIE_NULL_X73Y113 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y113 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y113 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y113 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y113 TILE_X 31464 TILEPROP PCIE_NULL_X73Y113 TILE_Y 106872 TILEPROP PCIE_NULL_X73Y113 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y114 CLASS tile TILEPROP PCIE_NULL_X73Y114 COLUMN 73 TILEPROP PCIE_NULL_X73Y114 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y114 FIRST_SITE_ID 4216 TILEPROP PCIE_NULL_X73Y114 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y114 GRID_POINT_Y 42 TILEPROP PCIE_NULL_X73Y114 INDEX 4903 TILEPROP PCIE_NULL_X73Y114 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y114 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y114 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y114 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y114 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y114 NAME PCIE_NULL_X73Y114 TILEPROP PCIE_NULL_X73Y114 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y114 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y114 ROW 42 TILEPROP PCIE_NULL_X73Y114 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y114 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y114 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y114 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y114 TILE_X 31464 TILEPROP PCIE_NULL_X73Y114 TILE_Y 110072 TILEPROP PCIE_NULL_X73Y114 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y115 CLASS tile TILEPROP PCIE_NULL_X73Y115 COLUMN 73 TILEPROP PCIE_NULL_X73Y115 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y115 FIRST_SITE_ID 4117 TILEPROP PCIE_NULL_X73Y115 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y115 GRID_POINT_Y 41 TILEPROP PCIE_NULL_X73Y115 INDEX 4788 TILEPROP PCIE_NULL_X73Y115 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y115 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y115 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y115 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y115 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y115 NAME PCIE_NULL_X73Y115 TILEPROP PCIE_NULL_X73Y115 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y115 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y115 ROW 41 TILEPROP PCIE_NULL_X73Y115 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y115 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y115 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y115 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y115 TILE_X 31464 TILEPROP PCIE_NULL_X73Y115 TILE_Y 113272 TILEPROP PCIE_NULL_X73Y115 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y116 CLASS tile TILEPROP PCIE_NULL_X73Y116 COLUMN 73 TILEPROP PCIE_NULL_X73Y116 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y116 FIRST_SITE_ID 4022 TILEPROP PCIE_NULL_X73Y116 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y116 GRID_POINT_Y 40 TILEPROP PCIE_NULL_X73Y116 INDEX 4673 TILEPROP PCIE_NULL_X73Y116 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y116 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y116 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y116 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y116 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y116 NAME PCIE_NULL_X73Y116 TILEPROP PCIE_NULL_X73Y116 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y116 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y116 ROW 40 TILEPROP PCIE_NULL_X73Y116 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y116 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y116 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y116 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y116 TILE_X 31464 TILEPROP PCIE_NULL_X73Y116 TILE_Y 116472 TILEPROP PCIE_NULL_X73Y116 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y117 CLASS tile TILEPROP PCIE_NULL_X73Y117 COLUMN 73 TILEPROP PCIE_NULL_X73Y117 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y117 FIRST_SITE_ID 3926 TILEPROP PCIE_NULL_X73Y117 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y117 GRID_POINT_Y 39 TILEPROP PCIE_NULL_X73Y117 INDEX 4558 TILEPROP PCIE_NULL_X73Y117 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y117 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y117 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y117 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y117 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y117 NAME PCIE_NULL_X73Y117 TILEPROP PCIE_NULL_X73Y117 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y117 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y117 ROW 39 TILEPROP PCIE_NULL_X73Y117 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y117 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y117 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y117 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y117 TILE_X 31464 TILEPROP PCIE_NULL_X73Y117 TILE_Y 119672 TILEPROP PCIE_NULL_X73Y117 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y118 CLASS tile TILEPROP PCIE_NULL_X73Y118 COLUMN 73 TILEPROP PCIE_NULL_X73Y118 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y118 FIRST_SITE_ID 3806 TILEPROP PCIE_NULL_X73Y118 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y118 GRID_POINT_Y 38 TILEPROP PCIE_NULL_X73Y118 INDEX 4443 TILEPROP PCIE_NULL_X73Y118 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y118 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y118 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y118 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y118 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y118 NAME PCIE_NULL_X73Y118 TILEPROP PCIE_NULL_X73Y118 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y118 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y118 ROW 38 TILEPROP PCIE_NULL_X73Y118 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y118 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y118 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y118 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y118 TILE_X 31464 TILEPROP PCIE_NULL_X73Y118 TILE_Y 122872 TILEPROP PCIE_NULL_X73Y118 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y119 CLASS tile TILEPROP PCIE_NULL_X73Y119 COLUMN 73 TILEPROP PCIE_NULL_X73Y119 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y119 FIRST_SITE_ID 3710 TILEPROP PCIE_NULL_X73Y119 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y119 GRID_POINT_Y 37 TILEPROP PCIE_NULL_X73Y119 INDEX 4328 TILEPROP PCIE_NULL_X73Y119 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y119 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y119 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y119 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y119 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y119 NAME PCIE_NULL_X73Y119 TILEPROP PCIE_NULL_X73Y119 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y119 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y119 ROW 37 TILEPROP PCIE_NULL_X73Y119 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y119 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y119 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y119 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y119 TILE_X 31464 TILEPROP PCIE_NULL_X73Y119 TILE_Y 126072 TILEPROP PCIE_NULL_X73Y119 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y120 CLASS tile TILEPROP PCIE_NULL_X73Y120 COLUMN 73 TILEPROP PCIE_NULL_X73Y120 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y120 FIRST_SITE_ID 3619 TILEPROP PCIE_NULL_X73Y120 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y120 GRID_POINT_Y 36 TILEPROP PCIE_NULL_X73Y120 INDEX 4213 TILEPROP PCIE_NULL_X73Y120 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y120 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y120 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y120 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y120 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y120 NAME PCIE_NULL_X73Y120 TILEPROP PCIE_NULL_X73Y120 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y120 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y120 ROW 36 TILEPROP PCIE_NULL_X73Y120 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y120 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y120 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y120 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y120 TILE_X 31464 TILEPROP PCIE_NULL_X73Y120 TILE_Y 129272 TILEPROP PCIE_NULL_X73Y120 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y121 CLASS tile TILEPROP PCIE_NULL_X73Y121 COLUMN 73 TILEPROP PCIE_NULL_X73Y121 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y121 FIRST_SITE_ID 3512 TILEPROP PCIE_NULL_X73Y121 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y121 GRID_POINT_Y 35 TILEPROP PCIE_NULL_X73Y121 INDEX 4098 TILEPROP PCIE_NULL_X73Y121 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y121 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y121 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y121 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y121 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y121 NAME PCIE_NULL_X73Y121 TILEPROP PCIE_NULL_X73Y121 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y121 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y121 ROW 35 TILEPROP PCIE_NULL_X73Y121 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y121 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y121 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y121 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y121 TILE_X 31464 TILEPROP PCIE_NULL_X73Y121 TILE_Y 132472 TILEPROP PCIE_NULL_X73Y121 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y122 CLASS tile TILEPROP PCIE_NULL_X73Y122 COLUMN 73 TILEPROP PCIE_NULL_X73Y122 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y122 FIRST_SITE_ID 3424 TILEPROP PCIE_NULL_X73Y122 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y122 GRID_POINT_Y 34 TILEPROP PCIE_NULL_X73Y122 INDEX 3983 TILEPROP PCIE_NULL_X73Y122 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y122 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y122 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y122 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y122 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y122 NAME PCIE_NULL_X73Y122 TILEPROP PCIE_NULL_X73Y122 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y122 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y122 ROW 34 TILEPROP PCIE_NULL_X73Y122 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y122 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y122 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y122 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y122 TILE_X 31464 TILEPROP PCIE_NULL_X73Y122 TILE_Y 135672 TILEPROP PCIE_NULL_X73Y122 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y123 CLASS tile TILEPROP PCIE_NULL_X73Y123 COLUMN 73 TILEPROP PCIE_NULL_X73Y123 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y123 FIRST_SITE_ID 3324 TILEPROP PCIE_NULL_X73Y123 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y123 GRID_POINT_Y 33 TILEPROP PCIE_NULL_X73Y123 INDEX 3868 TILEPROP PCIE_NULL_X73Y123 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y123 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y123 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y123 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y123 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y123 NAME PCIE_NULL_X73Y123 TILEPROP PCIE_NULL_X73Y123 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y123 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y123 ROW 33 TILEPROP PCIE_NULL_X73Y123 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y123 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y123 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y123 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y123 TILE_X 31464 TILEPROP PCIE_NULL_X73Y123 TILE_Y 138872 TILEPROP PCIE_NULL_X73Y123 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y124 CLASS tile TILEPROP PCIE_NULL_X73Y124 COLUMN 73 TILEPROP PCIE_NULL_X73Y124 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y124 FIRST_SITE_ID 3236 TILEPROP PCIE_NULL_X73Y124 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y124 GRID_POINT_Y 32 TILEPROP PCIE_NULL_X73Y124 INDEX 3753 TILEPROP PCIE_NULL_X73Y124 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y124 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y124 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y124 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y124 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y124 NAME PCIE_NULL_X73Y124 TILEPROP PCIE_NULL_X73Y124 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y124 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y124 ROW 32 TILEPROP PCIE_NULL_X73Y124 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y124 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y124 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y124 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y124 TILE_X 31464 TILEPROP PCIE_NULL_X73Y124 TILE_Y 142072 TILEPROP PCIE_NULL_X73Y124 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y125 CLASS tile TILEPROP PCIE_NULL_X73Y125 COLUMN 73 TILEPROP PCIE_NULL_X73Y125 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y125 FIRST_SITE_ID 3135 TILEPROP PCIE_NULL_X73Y125 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y125 GRID_POINT_Y 31 TILEPROP PCIE_NULL_X73Y125 INDEX 3638 TILEPROP PCIE_NULL_X73Y125 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y125 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y125 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y125 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y125 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y125 NAME PCIE_NULL_X73Y125 TILEPROP PCIE_NULL_X73Y125 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y125 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y125 ROW 31 TILEPROP PCIE_NULL_X73Y125 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y125 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y125 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y125 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y125 TILE_X 31464 TILEPROP PCIE_NULL_X73Y125 TILE_Y 145272 TILEPROP PCIE_NULL_X73Y125 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y126 CLASS tile TILEPROP PCIE_NULL_X73Y126 COLUMN 73 TILEPROP PCIE_NULL_X73Y126 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y126 FIRST_SITE_ID 3041 TILEPROP PCIE_NULL_X73Y126 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y126 GRID_POINT_Y 30 TILEPROP PCIE_NULL_X73Y126 INDEX 3523 TILEPROP PCIE_NULL_X73Y126 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y126 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y126 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y126 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y126 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y126 NAME PCIE_NULL_X73Y126 TILEPROP PCIE_NULL_X73Y126 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y126 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y126 ROW 30 TILEPROP PCIE_NULL_X73Y126 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y126 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y126 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y126 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y126 TILE_X 31464 TILEPROP PCIE_NULL_X73Y126 TILE_Y 148472 TILEPROP PCIE_NULL_X73Y126 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y127 CLASS tile TILEPROP PCIE_NULL_X73Y127 COLUMN 73 TILEPROP PCIE_NULL_X73Y127 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y127 FIRST_SITE_ID 2938 TILEPROP PCIE_NULL_X73Y127 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y127 GRID_POINT_Y 29 TILEPROP PCIE_NULL_X73Y127 INDEX 3408 TILEPROP PCIE_NULL_X73Y127 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y127 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y127 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y127 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y127 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y127 NAME PCIE_NULL_X73Y127 TILEPROP PCIE_NULL_X73Y127 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y127 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y127 ROW 29 TILEPROP PCIE_NULL_X73Y127 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y127 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y127 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y127 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y127 TILE_X 31464 TILEPROP PCIE_NULL_X73Y127 TILE_Y 151672 TILEPROP PCIE_NULL_X73Y127 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y128 CLASS tile TILEPROP PCIE_NULL_X73Y128 COLUMN 73 TILEPROP PCIE_NULL_X73Y128 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y128 FIRST_SITE_ID 2850 TILEPROP PCIE_NULL_X73Y128 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y128 GRID_POINT_Y 28 TILEPROP PCIE_NULL_X73Y128 INDEX 3293 TILEPROP PCIE_NULL_X73Y128 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y128 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y128 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y128 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y128 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y128 NAME PCIE_NULL_X73Y128 TILEPROP PCIE_NULL_X73Y128 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y128 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y128 ROW 28 TILEPROP PCIE_NULL_X73Y128 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y128 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y128 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y128 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y128 TILE_X 31464 TILEPROP PCIE_NULL_X73Y128 TILE_Y 154872 TILEPROP PCIE_NULL_X73Y128 TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y129 CLASS tile TILEPROP PCIE_NULL_X73Y129 COLUMN 73 TILEPROP PCIE_NULL_X73Y129 DEVICE_ID 0 TILEPROP PCIE_NULL_X73Y129 FIRST_SITE_ID 2754 TILEPROP PCIE_NULL_X73Y129 GRID_POINT_X 73 TILEPROP PCIE_NULL_X73Y129 GRID_POINT_Y 27 TILEPROP PCIE_NULL_X73Y129 INDEX 3178 TILEPROP PCIE_NULL_X73Y129 INT_TILE_X -1 TILEPROP PCIE_NULL_X73Y129 INT_TILE_Y -1 TILEPROP PCIE_NULL_X73Y129 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X73Y129 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X73Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y129 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X73Y129 NAME PCIE_NULL_X73Y129 TILEPROP PCIE_NULL_X73Y129 NUM_ARCS 0 TILEPROP PCIE_NULL_X73Y129 NUM_SITES 0 TILEPROP PCIE_NULL_X73Y129 ROW 27 TILEPROP PCIE_NULL_X73Y129 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X73Y129 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X73Y129 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X73Y129 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X73Y129 TILE_X 31464 TILEPROP PCIE_NULL_X73Y129 TILE_Y 158072 TILEPROP PCIE_NULL_X73Y129 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y105 CLASS tile TILEPROP PCIE_NULL_X74Y105 COLUMN 74 TILEPROP PCIE_NULL_X74Y105 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y105 FIRST_SITE_ID 5067 TILEPROP PCIE_NULL_X74Y105 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y105 GRID_POINT_Y 51 TILEPROP PCIE_NULL_X74Y105 INDEX 5939 TILEPROP PCIE_NULL_X74Y105 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y105 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y105 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y105 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y105 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y105 NAME PCIE_NULL_X74Y105 TILEPROP PCIE_NULL_X74Y105 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y105 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y105 ROW 51 TILEPROP PCIE_NULL_X74Y105 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y105 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y105 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y105 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y105 TILE_X 34920 TILEPROP PCIE_NULL_X74Y105 TILE_Y 81272 TILEPROP PCIE_NULL_X74Y105 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y106 CLASS tile TILEPROP PCIE_NULL_X74Y106 COLUMN 74 TILEPROP PCIE_NULL_X74Y106 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y106 FIRST_SITE_ID 4969 TILEPROP PCIE_NULL_X74Y106 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y106 GRID_POINT_Y 50 TILEPROP PCIE_NULL_X74Y106 INDEX 5824 TILEPROP PCIE_NULL_X74Y106 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y106 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y106 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y106 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y106 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y106 NAME PCIE_NULL_X74Y106 TILEPROP PCIE_NULL_X74Y106 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y106 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y106 ROW 50 TILEPROP PCIE_NULL_X74Y106 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y106 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y106 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y106 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y106 TILE_X 34920 TILEPROP PCIE_NULL_X74Y106 TILE_Y 84472 TILEPROP PCIE_NULL_X74Y106 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y107 CLASS tile TILEPROP PCIE_NULL_X74Y107 COLUMN 74 TILEPROP PCIE_NULL_X74Y107 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y107 FIRST_SITE_ID 4873 TILEPROP PCIE_NULL_X74Y107 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y107 GRID_POINT_Y 49 TILEPROP PCIE_NULL_X74Y107 INDEX 5709 TILEPROP PCIE_NULL_X74Y107 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y107 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y107 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y107 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y107 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y107 NAME PCIE_NULL_X74Y107 TILEPROP PCIE_NULL_X74Y107 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y107 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y107 ROW 49 TILEPROP PCIE_NULL_X74Y107 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y107 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y107 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y107 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y107 TILE_X 34920 TILEPROP PCIE_NULL_X74Y107 TILE_Y 87672 TILEPROP PCIE_NULL_X74Y107 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y108 CLASS tile TILEPROP PCIE_NULL_X74Y108 COLUMN 74 TILEPROP PCIE_NULL_X74Y108 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y108 FIRST_SITE_ID 4785 TILEPROP PCIE_NULL_X74Y108 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y108 GRID_POINT_Y 48 TILEPROP PCIE_NULL_X74Y108 INDEX 5594 TILEPROP PCIE_NULL_X74Y108 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y108 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y108 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y108 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y108 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y108 NAME PCIE_NULL_X74Y108 TILEPROP PCIE_NULL_X74Y108 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y108 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y108 ROW 48 TILEPROP PCIE_NULL_X74Y108 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y108 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y108 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y108 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y108 TILE_X 34920 TILEPROP PCIE_NULL_X74Y108 TILE_Y 90872 TILEPROP PCIE_NULL_X74Y108 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y109 CLASS tile TILEPROP PCIE_NULL_X74Y109 COLUMN 74 TILEPROP PCIE_NULL_X74Y109 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y109 FIRST_SITE_ID 4689 TILEPROP PCIE_NULL_X74Y109 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y109 GRID_POINT_Y 47 TILEPROP PCIE_NULL_X74Y109 INDEX 5479 TILEPROP PCIE_NULL_X74Y109 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y109 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y109 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y109 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y109 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y109 NAME PCIE_NULL_X74Y109 TILEPROP PCIE_NULL_X74Y109 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y109 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y109 ROW 47 TILEPROP PCIE_NULL_X74Y109 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y109 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y109 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y109 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y109 TILE_X 34920 TILEPROP PCIE_NULL_X74Y109 TILE_Y 94072 TILEPROP PCIE_NULL_X74Y109 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y110 CLASS tile TILEPROP PCIE_NULL_X74Y110 COLUMN 74 TILEPROP PCIE_NULL_X74Y110 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y110 FIRST_SITE_ID 4593 TILEPROP PCIE_NULL_X74Y110 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y110 GRID_POINT_Y 46 TILEPROP PCIE_NULL_X74Y110 INDEX 5364 TILEPROP PCIE_NULL_X74Y110 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y110 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y110 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y110 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y110 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y110 NAME PCIE_NULL_X74Y110 TILEPROP PCIE_NULL_X74Y110 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y110 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y110 ROW 46 TILEPROP PCIE_NULL_X74Y110 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y110 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y110 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y110 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y110 TILE_X 34920 TILEPROP PCIE_NULL_X74Y110 TILE_Y 97272 TILEPROP PCIE_NULL_X74Y110 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y111 CLASS tile TILEPROP PCIE_NULL_X74Y111 COLUMN 74 TILEPROP PCIE_NULL_X74Y111 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y111 FIRST_SITE_ID 4491 TILEPROP PCIE_NULL_X74Y111 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y111 GRID_POINT_Y 45 TILEPROP PCIE_NULL_X74Y111 INDEX 5249 TILEPROP PCIE_NULL_X74Y111 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y111 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y111 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y111 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y111 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y111 NAME PCIE_NULL_X74Y111 TILEPROP PCIE_NULL_X74Y111 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y111 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y111 ROW 45 TILEPROP PCIE_NULL_X74Y111 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y111 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y111 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y111 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y111 TILE_X 34920 TILEPROP PCIE_NULL_X74Y111 TILE_Y 100472 TILEPROP PCIE_NULL_X74Y111 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y112 CLASS tile TILEPROP PCIE_NULL_X74Y112 COLUMN 74 TILEPROP PCIE_NULL_X74Y112 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y112 FIRST_SITE_ID 4403 TILEPROP PCIE_NULL_X74Y112 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y112 GRID_POINT_Y 44 TILEPROP PCIE_NULL_X74Y112 INDEX 5134 TILEPROP PCIE_NULL_X74Y112 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y112 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y112 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y112 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y112 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y112 NAME PCIE_NULL_X74Y112 TILEPROP PCIE_NULL_X74Y112 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y112 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y112 ROW 44 TILEPROP PCIE_NULL_X74Y112 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y112 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y112 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y112 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y112 TILE_X 34920 TILEPROP PCIE_NULL_X74Y112 TILE_Y 103672 TILEPROP PCIE_NULL_X74Y112 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y113 CLASS tile TILEPROP PCIE_NULL_X74Y113 COLUMN 74 TILEPROP PCIE_NULL_X74Y113 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y113 FIRST_SITE_ID 4305 TILEPROP PCIE_NULL_X74Y113 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y113 GRID_POINT_Y 43 TILEPROP PCIE_NULL_X74Y113 INDEX 5019 TILEPROP PCIE_NULL_X74Y113 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y113 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y113 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y113 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y113 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y113 NAME PCIE_NULL_X74Y113 TILEPROP PCIE_NULL_X74Y113 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y113 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y113 ROW 43 TILEPROP PCIE_NULL_X74Y113 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y113 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y113 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y113 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y113 TILE_X 34920 TILEPROP PCIE_NULL_X74Y113 TILE_Y 106872 TILEPROP PCIE_NULL_X74Y113 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y114 CLASS tile TILEPROP PCIE_NULL_X74Y114 COLUMN 74 TILEPROP PCIE_NULL_X74Y114 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y114 FIRST_SITE_ID 4216 TILEPROP PCIE_NULL_X74Y114 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y114 GRID_POINT_Y 42 TILEPROP PCIE_NULL_X74Y114 INDEX 4904 TILEPROP PCIE_NULL_X74Y114 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y114 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y114 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y114 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y114 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y114 NAME PCIE_NULL_X74Y114 TILEPROP PCIE_NULL_X74Y114 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y114 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y114 ROW 42 TILEPROP PCIE_NULL_X74Y114 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y114 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y114 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y114 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y114 TILE_X 34920 TILEPROP PCIE_NULL_X74Y114 TILE_Y 110072 TILEPROP PCIE_NULL_X74Y114 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y115 CLASS tile TILEPROP PCIE_NULL_X74Y115 COLUMN 74 TILEPROP PCIE_NULL_X74Y115 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y115 FIRST_SITE_ID 4117 TILEPROP PCIE_NULL_X74Y115 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y115 GRID_POINT_Y 41 TILEPROP PCIE_NULL_X74Y115 INDEX 4789 TILEPROP PCIE_NULL_X74Y115 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y115 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y115 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y115 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y115 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y115 NAME PCIE_NULL_X74Y115 TILEPROP PCIE_NULL_X74Y115 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y115 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y115 ROW 41 TILEPROP PCIE_NULL_X74Y115 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y115 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y115 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y115 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y115 TILE_X 34920 TILEPROP PCIE_NULL_X74Y115 TILE_Y 113272 TILEPROP PCIE_NULL_X74Y115 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y116 CLASS tile TILEPROP PCIE_NULL_X74Y116 COLUMN 74 TILEPROP PCIE_NULL_X74Y116 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y116 FIRST_SITE_ID 4022 TILEPROP PCIE_NULL_X74Y116 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y116 GRID_POINT_Y 40 TILEPROP PCIE_NULL_X74Y116 INDEX 4674 TILEPROP PCIE_NULL_X74Y116 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y116 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y116 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y116 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y116 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y116 NAME PCIE_NULL_X74Y116 TILEPROP PCIE_NULL_X74Y116 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y116 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y116 ROW 40 TILEPROP PCIE_NULL_X74Y116 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y116 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y116 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y116 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y116 TILE_X 34920 TILEPROP PCIE_NULL_X74Y116 TILE_Y 116472 TILEPROP PCIE_NULL_X74Y116 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y117 CLASS tile TILEPROP PCIE_NULL_X74Y117 COLUMN 74 TILEPROP PCIE_NULL_X74Y117 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y117 FIRST_SITE_ID 3926 TILEPROP PCIE_NULL_X74Y117 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y117 GRID_POINT_Y 39 TILEPROP PCIE_NULL_X74Y117 INDEX 4559 TILEPROP PCIE_NULL_X74Y117 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y117 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y117 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y117 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y117 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y117 NAME PCIE_NULL_X74Y117 TILEPROP PCIE_NULL_X74Y117 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y117 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y117 ROW 39 TILEPROP PCIE_NULL_X74Y117 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y117 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y117 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y117 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y117 TILE_X 34920 TILEPROP PCIE_NULL_X74Y117 TILE_Y 119672 TILEPROP PCIE_NULL_X74Y117 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y118 CLASS tile TILEPROP PCIE_NULL_X74Y118 COLUMN 74 TILEPROP PCIE_NULL_X74Y118 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y118 FIRST_SITE_ID 3806 TILEPROP PCIE_NULL_X74Y118 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y118 GRID_POINT_Y 38 TILEPROP PCIE_NULL_X74Y118 INDEX 4444 TILEPROP PCIE_NULL_X74Y118 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y118 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y118 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y118 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y118 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y118 NAME PCIE_NULL_X74Y118 TILEPROP PCIE_NULL_X74Y118 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y118 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y118 ROW 38 TILEPROP PCIE_NULL_X74Y118 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y118 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y118 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y118 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y118 TILE_X 34920 TILEPROP PCIE_NULL_X74Y118 TILE_Y 122872 TILEPROP PCIE_NULL_X74Y118 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y119 CLASS tile TILEPROP PCIE_NULL_X74Y119 COLUMN 74 TILEPROP PCIE_NULL_X74Y119 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y119 FIRST_SITE_ID 3710 TILEPROP PCIE_NULL_X74Y119 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y119 GRID_POINT_Y 37 TILEPROP PCIE_NULL_X74Y119 INDEX 4329 TILEPROP PCIE_NULL_X74Y119 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y119 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y119 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y119 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y119 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y119 NAME PCIE_NULL_X74Y119 TILEPROP PCIE_NULL_X74Y119 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y119 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y119 ROW 37 TILEPROP PCIE_NULL_X74Y119 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y119 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y119 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y119 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y119 TILE_X 34920 TILEPROP PCIE_NULL_X74Y119 TILE_Y 126072 TILEPROP PCIE_NULL_X74Y119 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y120 CLASS tile TILEPROP PCIE_NULL_X74Y120 COLUMN 74 TILEPROP PCIE_NULL_X74Y120 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y120 FIRST_SITE_ID 3619 TILEPROP PCIE_NULL_X74Y120 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y120 GRID_POINT_Y 36 TILEPROP PCIE_NULL_X74Y120 INDEX 4214 TILEPROP PCIE_NULL_X74Y120 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y120 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y120 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y120 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y120 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y120 NAME PCIE_NULL_X74Y120 TILEPROP PCIE_NULL_X74Y120 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y120 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y120 ROW 36 TILEPROP PCIE_NULL_X74Y120 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y120 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y120 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y120 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y120 TILE_X 34920 TILEPROP PCIE_NULL_X74Y120 TILE_Y 129272 TILEPROP PCIE_NULL_X74Y120 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y121 CLASS tile TILEPROP PCIE_NULL_X74Y121 COLUMN 74 TILEPROP PCIE_NULL_X74Y121 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y121 FIRST_SITE_ID 3512 TILEPROP PCIE_NULL_X74Y121 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y121 GRID_POINT_Y 35 TILEPROP PCIE_NULL_X74Y121 INDEX 4099 TILEPROP PCIE_NULL_X74Y121 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y121 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y121 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y121 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y121 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y121 NAME PCIE_NULL_X74Y121 TILEPROP PCIE_NULL_X74Y121 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y121 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y121 ROW 35 TILEPROP PCIE_NULL_X74Y121 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y121 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y121 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y121 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y121 TILE_X 34920 TILEPROP PCIE_NULL_X74Y121 TILE_Y 132472 TILEPROP PCIE_NULL_X74Y121 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y122 CLASS tile TILEPROP PCIE_NULL_X74Y122 COLUMN 74 TILEPROP PCIE_NULL_X74Y122 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y122 FIRST_SITE_ID 3424 TILEPROP PCIE_NULL_X74Y122 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y122 GRID_POINT_Y 34 TILEPROP PCIE_NULL_X74Y122 INDEX 3984 TILEPROP PCIE_NULL_X74Y122 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y122 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y122 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y122 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y122 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y122 NAME PCIE_NULL_X74Y122 TILEPROP PCIE_NULL_X74Y122 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y122 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y122 ROW 34 TILEPROP PCIE_NULL_X74Y122 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y122 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y122 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y122 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y122 TILE_X 34920 TILEPROP PCIE_NULL_X74Y122 TILE_Y 135672 TILEPROP PCIE_NULL_X74Y122 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y123 CLASS tile TILEPROP PCIE_NULL_X74Y123 COLUMN 74 TILEPROP PCIE_NULL_X74Y123 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y123 FIRST_SITE_ID 3324 TILEPROP PCIE_NULL_X74Y123 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y123 GRID_POINT_Y 33 TILEPROP PCIE_NULL_X74Y123 INDEX 3869 TILEPROP PCIE_NULL_X74Y123 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y123 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y123 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y123 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y123 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y123 NAME PCIE_NULL_X74Y123 TILEPROP PCIE_NULL_X74Y123 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y123 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y123 ROW 33 TILEPROP PCIE_NULL_X74Y123 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y123 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y123 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y123 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y123 TILE_X 34920 TILEPROP PCIE_NULL_X74Y123 TILE_Y 138872 TILEPROP PCIE_NULL_X74Y123 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y124 CLASS tile TILEPROP PCIE_NULL_X74Y124 COLUMN 74 TILEPROP PCIE_NULL_X74Y124 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y124 FIRST_SITE_ID 3236 TILEPROP PCIE_NULL_X74Y124 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y124 GRID_POINT_Y 32 TILEPROP PCIE_NULL_X74Y124 INDEX 3754 TILEPROP PCIE_NULL_X74Y124 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y124 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y124 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y124 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y124 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y124 NAME PCIE_NULL_X74Y124 TILEPROP PCIE_NULL_X74Y124 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y124 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y124 ROW 32 TILEPROP PCIE_NULL_X74Y124 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y124 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y124 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y124 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y124 TILE_X 34920 TILEPROP PCIE_NULL_X74Y124 TILE_Y 142072 TILEPROP PCIE_NULL_X74Y124 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y125 CLASS tile TILEPROP PCIE_NULL_X74Y125 COLUMN 74 TILEPROP PCIE_NULL_X74Y125 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y125 FIRST_SITE_ID 3135 TILEPROP PCIE_NULL_X74Y125 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y125 GRID_POINT_Y 31 TILEPROP PCIE_NULL_X74Y125 INDEX 3639 TILEPROP PCIE_NULL_X74Y125 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y125 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y125 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y125 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y125 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y125 NAME PCIE_NULL_X74Y125 TILEPROP PCIE_NULL_X74Y125 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y125 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y125 ROW 31 TILEPROP PCIE_NULL_X74Y125 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y125 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y125 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y125 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y125 TILE_X 34920 TILEPROP PCIE_NULL_X74Y125 TILE_Y 145272 TILEPROP PCIE_NULL_X74Y125 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y126 CLASS tile TILEPROP PCIE_NULL_X74Y126 COLUMN 74 TILEPROP PCIE_NULL_X74Y126 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y126 FIRST_SITE_ID 3041 TILEPROP PCIE_NULL_X74Y126 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y126 GRID_POINT_Y 30 TILEPROP PCIE_NULL_X74Y126 INDEX 3524 TILEPROP PCIE_NULL_X74Y126 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y126 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y126 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y126 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y126 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y126 NAME PCIE_NULL_X74Y126 TILEPROP PCIE_NULL_X74Y126 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y126 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y126 ROW 30 TILEPROP PCIE_NULL_X74Y126 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y126 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y126 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y126 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y126 TILE_X 34920 TILEPROP PCIE_NULL_X74Y126 TILE_Y 148472 TILEPROP PCIE_NULL_X74Y126 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y127 CLASS tile TILEPROP PCIE_NULL_X74Y127 COLUMN 74 TILEPROP PCIE_NULL_X74Y127 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y127 FIRST_SITE_ID 2938 TILEPROP PCIE_NULL_X74Y127 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y127 GRID_POINT_Y 29 TILEPROP PCIE_NULL_X74Y127 INDEX 3409 TILEPROP PCIE_NULL_X74Y127 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y127 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y127 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y127 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y127 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y127 NAME PCIE_NULL_X74Y127 TILEPROP PCIE_NULL_X74Y127 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y127 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y127 ROW 29 TILEPROP PCIE_NULL_X74Y127 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y127 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y127 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y127 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y127 TILE_X 34920 TILEPROP PCIE_NULL_X74Y127 TILE_Y 151672 TILEPROP PCIE_NULL_X74Y127 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y128 CLASS tile TILEPROP PCIE_NULL_X74Y128 COLUMN 74 TILEPROP PCIE_NULL_X74Y128 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y128 FIRST_SITE_ID 2850 TILEPROP PCIE_NULL_X74Y128 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y128 GRID_POINT_Y 28 TILEPROP PCIE_NULL_X74Y128 INDEX 3294 TILEPROP PCIE_NULL_X74Y128 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y128 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y128 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y128 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y128 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y128 NAME PCIE_NULL_X74Y128 TILEPROP PCIE_NULL_X74Y128 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y128 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y128 ROW 28 TILEPROP PCIE_NULL_X74Y128 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y128 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y128 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y128 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y128 TILE_X 34920 TILEPROP PCIE_NULL_X74Y128 TILE_Y 154872 TILEPROP PCIE_NULL_X74Y128 TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y129 CLASS tile TILEPROP PCIE_NULL_X74Y129 COLUMN 74 TILEPROP PCIE_NULL_X74Y129 DEVICE_ID 0 TILEPROP PCIE_NULL_X74Y129 FIRST_SITE_ID 2754 TILEPROP PCIE_NULL_X74Y129 GRID_POINT_X 74 TILEPROP PCIE_NULL_X74Y129 GRID_POINT_Y 27 TILEPROP PCIE_NULL_X74Y129 INDEX 3179 TILEPROP PCIE_NULL_X74Y129 INT_TILE_X -1 TILEPROP PCIE_NULL_X74Y129 INT_TILE_Y -1 TILEPROP PCIE_NULL_X74Y129 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X74Y129 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X74Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y129 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X74Y129 NAME PCIE_NULL_X74Y129 TILEPROP PCIE_NULL_X74Y129 NUM_ARCS 0 TILEPROP PCIE_NULL_X74Y129 NUM_SITES 0 TILEPROP PCIE_NULL_X74Y129 ROW 27 TILEPROP PCIE_NULL_X74Y129 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X74Y129 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X74Y129 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X74Y129 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X74Y129 TILE_X 34920 TILEPROP PCIE_NULL_X74Y129 TILE_Y 158072 TILEPROP PCIE_NULL_X74Y129 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y105 CLASS tile TILEPROP PCIE_NULL_X75Y105 COLUMN 75 TILEPROP PCIE_NULL_X75Y105 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y105 FIRST_SITE_ID 5067 TILEPROP PCIE_NULL_X75Y105 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y105 GRID_POINT_Y 51 TILEPROP PCIE_NULL_X75Y105 INDEX 5940 TILEPROP PCIE_NULL_X75Y105 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y105 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y105 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y105 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y105 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y105 NAME PCIE_NULL_X75Y105 TILEPROP PCIE_NULL_X75Y105 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y105 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y105 ROW 51 TILEPROP PCIE_NULL_X75Y105 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y105 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y105 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y105 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y105 TILE_X 35616 TILEPROP PCIE_NULL_X75Y105 TILE_Y 81272 TILEPROP PCIE_NULL_X75Y105 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y106 CLASS tile TILEPROP PCIE_NULL_X75Y106 COLUMN 75 TILEPROP PCIE_NULL_X75Y106 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y106 FIRST_SITE_ID 4969 TILEPROP PCIE_NULL_X75Y106 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y106 GRID_POINT_Y 50 TILEPROP PCIE_NULL_X75Y106 INDEX 5825 TILEPROP PCIE_NULL_X75Y106 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y106 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y106 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y106 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y106 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y106 NAME PCIE_NULL_X75Y106 TILEPROP PCIE_NULL_X75Y106 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y106 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y106 ROW 50 TILEPROP PCIE_NULL_X75Y106 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y106 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y106 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y106 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y106 TILE_X 35616 TILEPROP PCIE_NULL_X75Y106 TILE_Y 84472 TILEPROP PCIE_NULL_X75Y106 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y107 CLASS tile TILEPROP PCIE_NULL_X75Y107 COLUMN 75 TILEPROP PCIE_NULL_X75Y107 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y107 FIRST_SITE_ID 4873 TILEPROP PCIE_NULL_X75Y107 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y107 GRID_POINT_Y 49 TILEPROP PCIE_NULL_X75Y107 INDEX 5710 TILEPROP PCIE_NULL_X75Y107 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y107 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y107 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y107 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y107 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y107 NAME PCIE_NULL_X75Y107 TILEPROP PCIE_NULL_X75Y107 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y107 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y107 ROW 49 TILEPROP PCIE_NULL_X75Y107 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y107 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y107 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y107 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y107 TILE_X 35616 TILEPROP PCIE_NULL_X75Y107 TILE_Y 87672 TILEPROP PCIE_NULL_X75Y107 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y108 CLASS tile TILEPROP PCIE_NULL_X75Y108 COLUMN 75 TILEPROP PCIE_NULL_X75Y108 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y108 FIRST_SITE_ID 4785 TILEPROP PCIE_NULL_X75Y108 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y108 GRID_POINT_Y 48 TILEPROP PCIE_NULL_X75Y108 INDEX 5595 TILEPROP PCIE_NULL_X75Y108 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y108 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y108 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y108 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y108 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y108 NAME PCIE_NULL_X75Y108 TILEPROP PCIE_NULL_X75Y108 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y108 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y108 ROW 48 TILEPROP PCIE_NULL_X75Y108 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y108 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y108 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y108 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y108 TILE_X 35616 TILEPROP PCIE_NULL_X75Y108 TILE_Y 90872 TILEPROP PCIE_NULL_X75Y108 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y109 CLASS tile TILEPROP PCIE_NULL_X75Y109 COLUMN 75 TILEPROP PCIE_NULL_X75Y109 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y109 FIRST_SITE_ID 4689 TILEPROP PCIE_NULL_X75Y109 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y109 GRID_POINT_Y 47 TILEPROP PCIE_NULL_X75Y109 INDEX 5480 TILEPROP PCIE_NULL_X75Y109 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y109 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y109 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y109 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y109 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y109 NAME PCIE_NULL_X75Y109 TILEPROP PCIE_NULL_X75Y109 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y109 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y109 ROW 47 TILEPROP PCIE_NULL_X75Y109 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y109 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y109 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y109 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y109 TILE_X 35616 TILEPROP PCIE_NULL_X75Y109 TILE_Y 94072 TILEPROP PCIE_NULL_X75Y109 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y110 CLASS tile TILEPROP PCIE_NULL_X75Y110 COLUMN 75 TILEPROP PCIE_NULL_X75Y110 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y110 FIRST_SITE_ID 4593 TILEPROP PCIE_NULL_X75Y110 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y110 GRID_POINT_Y 46 TILEPROP PCIE_NULL_X75Y110 INDEX 5365 TILEPROP PCIE_NULL_X75Y110 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y110 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y110 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y110 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y110 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y110 NAME PCIE_NULL_X75Y110 TILEPROP PCIE_NULL_X75Y110 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y110 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y110 ROW 46 TILEPROP PCIE_NULL_X75Y110 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y110 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y110 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y110 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y110 TILE_X 35616 TILEPROP PCIE_NULL_X75Y110 TILE_Y 97272 TILEPROP PCIE_NULL_X75Y110 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y111 CLASS tile TILEPROP PCIE_NULL_X75Y111 COLUMN 75 TILEPROP PCIE_NULL_X75Y111 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y111 FIRST_SITE_ID 4491 TILEPROP PCIE_NULL_X75Y111 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y111 GRID_POINT_Y 45 TILEPROP PCIE_NULL_X75Y111 INDEX 5250 TILEPROP PCIE_NULL_X75Y111 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y111 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y111 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y111 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y111 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y111 NAME PCIE_NULL_X75Y111 TILEPROP PCIE_NULL_X75Y111 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y111 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y111 ROW 45 TILEPROP PCIE_NULL_X75Y111 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y111 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y111 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y111 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y111 TILE_X 35616 TILEPROP PCIE_NULL_X75Y111 TILE_Y 100472 TILEPROP PCIE_NULL_X75Y111 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y112 CLASS tile TILEPROP PCIE_NULL_X75Y112 COLUMN 75 TILEPROP PCIE_NULL_X75Y112 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y112 FIRST_SITE_ID 4403 TILEPROP PCIE_NULL_X75Y112 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y112 GRID_POINT_Y 44 TILEPROP PCIE_NULL_X75Y112 INDEX 5135 TILEPROP PCIE_NULL_X75Y112 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y112 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y112 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y112 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y112 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y112 NAME PCIE_NULL_X75Y112 TILEPROP PCIE_NULL_X75Y112 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y112 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y112 ROW 44 TILEPROP PCIE_NULL_X75Y112 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y112 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y112 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y112 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y112 TILE_X 35616 TILEPROP PCIE_NULL_X75Y112 TILE_Y 103672 TILEPROP PCIE_NULL_X75Y112 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y113 CLASS tile TILEPROP PCIE_NULL_X75Y113 COLUMN 75 TILEPROP PCIE_NULL_X75Y113 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y113 FIRST_SITE_ID 4305 TILEPROP PCIE_NULL_X75Y113 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y113 GRID_POINT_Y 43 TILEPROP PCIE_NULL_X75Y113 INDEX 5020 TILEPROP PCIE_NULL_X75Y113 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y113 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y113 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y113 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y113 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y113 NAME PCIE_NULL_X75Y113 TILEPROP PCIE_NULL_X75Y113 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y113 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y113 ROW 43 TILEPROP PCIE_NULL_X75Y113 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y113 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y113 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y113 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y113 TILE_X 35616 TILEPROP PCIE_NULL_X75Y113 TILE_Y 106872 TILEPROP PCIE_NULL_X75Y113 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y114 CLASS tile TILEPROP PCIE_NULL_X75Y114 COLUMN 75 TILEPROP PCIE_NULL_X75Y114 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y114 FIRST_SITE_ID 4216 TILEPROP PCIE_NULL_X75Y114 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y114 GRID_POINT_Y 42 TILEPROP PCIE_NULL_X75Y114 INDEX 4905 TILEPROP PCIE_NULL_X75Y114 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y114 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y114 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y114 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y114 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y114 NAME PCIE_NULL_X75Y114 TILEPROP PCIE_NULL_X75Y114 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y114 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y114 ROW 42 TILEPROP PCIE_NULL_X75Y114 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y114 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y114 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y114 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y114 TILE_X 35616 TILEPROP PCIE_NULL_X75Y114 TILE_Y 110072 TILEPROP PCIE_NULL_X75Y114 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y115 CLASS tile TILEPROP PCIE_NULL_X75Y115 COLUMN 75 TILEPROP PCIE_NULL_X75Y115 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y115 FIRST_SITE_ID 4117 TILEPROP PCIE_NULL_X75Y115 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y115 GRID_POINT_Y 41 TILEPROP PCIE_NULL_X75Y115 INDEX 4790 TILEPROP PCIE_NULL_X75Y115 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y115 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y115 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y115 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y115 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y115 NAME PCIE_NULL_X75Y115 TILEPROP PCIE_NULL_X75Y115 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y115 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y115 ROW 41 TILEPROP PCIE_NULL_X75Y115 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y115 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y115 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y115 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y115 TILE_X 35616 TILEPROP PCIE_NULL_X75Y115 TILE_Y 113272 TILEPROP PCIE_NULL_X75Y115 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y116 CLASS tile TILEPROP PCIE_NULL_X75Y116 COLUMN 75 TILEPROP PCIE_NULL_X75Y116 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y116 FIRST_SITE_ID 4022 TILEPROP PCIE_NULL_X75Y116 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y116 GRID_POINT_Y 40 TILEPROP PCIE_NULL_X75Y116 INDEX 4675 TILEPROP PCIE_NULL_X75Y116 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y116 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y116 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y116 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y116 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y116 NAME PCIE_NULL_X75Y116 TILEPROP PCIE_NULL_X75Y116 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y116 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y116 ROW 40 TILEPROP PCIE_NULL_X75Y116 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y116 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y116 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y116 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y116 TILE_X 35616 TILEPROP PCIE_NULL_X75Y116 TILE_Y 116472 TILEPROP PCIE_NULL_X75Y116 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y117 CLASS tile TILEPROP PCIE_NULL_X75Y117 COLUMN 75 TILEPROP PCIE_NULL_X75Y117 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y117 FIRST_SITE_ID 3926 TILEPROP PCIE_NULL_X75Y117 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y117 GRID_POINT_Y 39 TILEPROP PCIE_NULL_X75Y117 INDEX 4560 TILEPROP PCIE_NULL_X75Y117 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y117 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y117 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y117 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y117 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y117 NAME PCIE_NULL_X75Y117 TILEPROP PCIE_NULL_X75Y117 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y117 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y117 ROW 39 TILEPROP PCIE_NULL_X75Y117 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y117 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y117 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y117 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y117 TILE_X 35616 TILEPROP PCIE_NULL_X75Y117 TILE_Y 119672 TILEPROP PCIE_NULL_X75Y117 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y118 CLASS tile TILEPROP PCIE_NULL_X75Y118 COLUMN 75 TILEPROP PCIE_NULL_X75Y118 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y118 FIRST_SITE_ID 3806 TILEPROP PCIE_NULL_X75Y118 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y118 GRID_POINT_Y 38 TILEPROP PCIE_NULL_X75Y118 INDEX 4445 TILEPROP PCIE_NULL_X75Y118 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y118 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y118 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y118 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y118 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y118 NAME PCIE_NULL_X75Y118 TILEPROP PCIE_NULL_X75Y118 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y118 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y118 ROW 38 TILEPROP PCIE_NULL_X75Y118 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y118 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y118 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y118 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y118 TILE_X 35616 TILEPROP PCIE_NULL_X75Y118 TILE_Y 122872 TILEPROP PCIE_NULL_X75Y118 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y119 CLASS tile TILEPROP PCIE_NULL_X75Y119 COLUMN 75 TILEPROP PCIE_NULL_X75Y119 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y119 FIRST_SITE_ID 3710 TILEPROP PCIE_NULL_X75Y119 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y119 GRID_POINT_Y 37 TILEPROP PCIE_NULL_X75Y119 INDEX 4330 TILEPROP PCIE_NULL_X75Y119 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y119 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y119 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y119 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y119 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y119 NAME PCIE_NULL_X75Y119 TILEPROP PCIE_NULL_X75Y119 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y119 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y119 ROW 37 TILEPROP PCIE_NULL_X75Y119 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y119 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y119 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y119 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y119 TILE_X 35616 TILEPROP PCIE_NULL_X75Y119 TILE_Y 126072 TILEPROP PCIE_NULL_X75Y119 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y120 CLASS tile TILEPROP PCIE_NULL_X75Y120 COLUMN 75 TILEPROP PCIE_NULL_X75Y120 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y120 FIRST_SITE_ID 3619 TILEPROP PCIE_NULL_X75Y120 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y120 GRID_POINT_Y 36 TILEPROP PCIE_NULL_X75Y120 INDEX 4215 TILEPROP PCIE_NULL_X75Y120 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y120 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y120 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y120 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y120 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y120 NAME PCIE_NULL_X75Y120 TILEPROP PCIE_NULL_X75Y120 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y120 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y120 ROW 36 TILEPROP PCIE_NULL_X75Y120 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y120 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y120 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y120 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y120 TILE_X 35616 TILEPROP PCIE_NULL_X75Y120 TILE_Y 129272 TILEPROP PCIE_NULL_X75Y120 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y121 CLASS tile TILEPROP PCIE_NULL_X75Y121 COLUMN 75 TILEPROP PCIE_NULL_X75Y121 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y121 FIRST_SITE_ID 3512 TILEPROP PCIE_NULL_X75Y121 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y121 GRID_POINT_Y 35 TILEPROP PCIE_NULL_X75Y121 INDEX 4100 TILEPROP PCIE_NULL_X75Y121 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y121 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y121 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y121 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y121 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y121 NAME PCIE_NULL_X75Y121 TILEPROP PCIE_NULL_X75Y121 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y121 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y121 ROW 35 TILEPROP PCIE_NULL_X75Y121 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y121 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y121 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y121 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y121 TILE_X 35616 TILEPROP PCIE_NULL_X75Y121 TILE_Y 132472 TILEPROP PCIE_NULL_X75Y121 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y122 CLASS tile TILEPROP PCIE_NULL_X75Y122 COLUMN 75 TILEPROP PCIE_NULL_X75Y122 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y122 FIRST_SITE_ID 3424 TILEPROP PCIE_NULL_X75Y122 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y122 GRID_POINT_Y 34 TILEPROP PCIE_NULL_X75Y122 INDEX 3985 TILEPROP PCIE_NULL_X75Y122 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y122 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y122 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y122 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y122 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y122 NAME PCIE_NULL_X75Y122 TILEPROP PCIE_NULL_X75Y122 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y122 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y122 ROW 34 TILEPROP PCIE_NULL_X75Y122 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y122 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y122 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y122 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y122 TILE_X 35616 TILEPROP PCIE_NULL_X75Y122 TILE_Y 135672 TILEPROP PCIE_NULL_X75Y122 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y123 CLASS tile TILEPROP PCIE_NULL_X75Y123 COLUMN 75 TILEPROP PCIE_NULL_X75Y123 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y123 FIRST_SITE_ID 3324 TILEPROP PCIE_NULL_X75Y123 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y123 GRID_POINT_Y 33 TILEPROP PCIE_NULL_X75Y123 INDEX 3870 TILEPROP PCIE_NULL_X75Y123 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y123 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y123 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y123 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y123 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y123 NAME PCIE_NULL_X75Y123 TILEPROP PCIE_NULL_X75Y123 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y123 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y123 ROW 33 TILEPROP PCIE_NULL_X75Y123 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y123 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y123 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y123 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y123 TILE_X 35616 TILEPROP PCIE_NULL_X75Y123 TILE_Y 138872 TILEPROP PCIE_NULL_X75Y123 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y124 CLASS tile TILEPROP PCIE_NULL_X75Y124 COLUMN 75 TILEPROP PCIE_NULL_X75Y124 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y124 FIRST_SITE_ID 3236 TILEPROP PCIE_NULL_X75Y124 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y124 GRID_POINT_Y 32 TILEPROP PCIE_NULL_X75Y124 INDEX 3755 TILEPROP PCIE_NULL_X75Y124 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y124 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y124 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y124 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y124 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y124 NAME PCIE_NULL_X75Y124 TILEPROP PCIE_NULL_X75Y124 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y124 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y124 ROW 32 TILEPROP PCIE_NULL_X75Y124 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y124 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y124 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y124 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y124 TILE_X 35616 TILEPROP PCIE_NULL_X75Y124 TILE_Y 142072 TILEPROP PCIE_NULL_X75Y124 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y125 CLASS tile TILEPROP PCIE_NULL_X75Y125 COLUMN 75 TILEPROP PCIE_NULL_X75Y125 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y125 FIRST_SITE_ID 3135 TILEPROP PCIE_NULL_X75Y125 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y125 GRID_POINT_Y 31 TILEPROP PCIE_NULL_X75Y125 INDEX 3640 TILEPROP PCIE_NULL_X75Y125 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y125 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y125 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y125 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y125 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y125 NAME PCIE_NULL_X75Y125 TILEPROP PCIE_NULL_X75Y125 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y125 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y125 ROW 31 TILEPROP PCIE_NULL_X75Y125 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y125 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y125 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y125 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y125 TILE_X 35616 TILEPROP PCIE_NULL_X75Y125 TILE_Y 145272 TILEPROP PCIE_NULL_X75Y125 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y126 CLASS tile TILEPROP PCIE_NULL_X75Y126 COLUMN 75 TILEPROP PCIE_NULL_X75Y126 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y126 FIRST_SITE_ID 3041 TILEPROP PCIE_NULL_X75Y126 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y126 GRID_POINT_Y 30 TILEPROP PCIE_NULL_X75Y126 INDEX 3525 TILEPROP PCIE_NULL_X75Y126 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y126 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y126 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y126 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y126 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y126 NAME PCIE_NULL_X75Y126 TILEPROP PCIE_NULL_X75Y126 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y126 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y126 ROW 30 TILEPROP PCIE_NULL_X75Y126 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y126 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y126 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y126 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y126 TILE_X 35616 TILEPROP PCIE_NULL_X75Y126 TILE_Y 148472 TILEPROP PCIE_NULL_X75Y126 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y127 CLASS tile TILEPROP PCIE_NULL_X75Y127 COLUMN 75 TILEPROP PCIE_NULL_X75Y127 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y127 FIRST_SITE_ID 2938 TILEPROP PCIE_NULL_X75Y127 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y127 GRID_POINT_Y 29 TILEPROP PCIE_NULL_X75Y127 INDEX 3410 TILEPROP PCIE_NULL_X75Y127 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y127 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y127 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y127 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y127 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y127 NAME PCIE_NULL_X75Y127 TILEPROP PCIE_NULL_X75Y127 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y127 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y127 ROW 29 TILEPROP PCIE_NULL_X75Y127 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y127 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y127 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y127 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y127 TILE_X 35616 TILEPROP PCIE_NULL_X75Y127 TILE_Y 151672 TILEPROP PCIE_NULL_X75Y127 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y128 CLASS tile TILEPROP PCIE_NULL_X75Y128 COLUMN 75 TILEPROP PCIE_NULL_X75Y128 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y128 FIRST_SITE_ID 2850 TILEPROP PCIE_NULL_X75Y128 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y128 GRID_POINT_Y 28 TILEPROP PCIE_NULL_X75Y128 INDEX 3295 TILEPROP PCIE_NULL_X75Y128 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y128 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y128 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y128 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y128 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y128 NAME PCIE_NULL_X75Y128 TILEPROP PCIE_NULL_X75Y128 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y128 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y128 ROW 28 TILEPROP PCIE_NULL_X75Y128 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y128 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y128 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y128 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y128 TILE_X 35616 TILEPROP PCIE_NULL_X75Y128 TILE_Y 154872 TILEPROP PCIE_NULL_X75Y128 TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y129 CLASS tile TILEPROP PCIE_NULL_X75Y129 COLUMN 75 TILEPROP PCIE_NULL_X75Y129 DEVICE_ID 0 TILEPROP PCIE_NULL_X75Y129 FIRST_SITE_ID 2754 TILEPROP PCIE_NULL_X75Y129 GRID_POINT_X 75 TILEPROP PCIE_NULL_X75Y129 GRID_POINT_Y 27 TILEPROP PCIE_NULL_X75Y129 INDEX 3180 TILEPROP PCIE_NULL_X75Y129 INT_TILE_X -1 TILEPROP PCIE_NULL_X75Y129 INT_TILE_Y -1 TILEPROP PCIE_NULL_X75Y129 IS_CENTER_TILE 0 TILEPROP PCIE_NULL_X75Y129 IS_DCM_TILE 0 TILEPROP PCIE_NULL_X75Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y129 IS_GT_SITE_TILE 0 TILEPROP PCIE_NULL_X75Y129 NAME PCIE_NULL_X75Y129 TILEPROP PCIE_NULL_X75Y129 NUM_ARCS 0 TILEPROP PCIE_NULL_X75Y129 NUM_SITES 0 TILEPROP PCIE_NULL_X75Y129 ROW 27 TILEPROP PCIE_NULL_X75Y129 SLR_REGION_ID 0 TILEPROP PCIE_NULL_X75Y129 TILE_PATTERN_IDX 894 TILEPROP PCIE_NULL_X75Y129 TILE_TYPE PCIE_NULL TILEPROP PCIE_NULL_X75Y129 TILE_TYPE_INDEX 116 TILEPROP PCIE_NULL_X75Y129 TILE_X 35616 TILEPROP PCIE_NULL_X75Y129 TILE_Y 158072 TILEPROP PCIE_NULL_X75Y129 TYPE PCIE_NULL TILEPROP PCIE_TOP_X71Y125 CLASS tile TILEPROP PCIE_TOP_X71Y125 COLUMN 71 TILEPROP PCIE_TOP_X71Y125 DEVICE_ID 0 TILEPROP PCIE_TOP_X71Y125 FIRST_SITE_ID 3135 TILEPROP PCIE_TOP_X71Y125 GRID_POINT_X 71 TILEPROP PCIE_TOP_X71Y125 GRID_POINT_Y 31 TILEPROP PCIE_TOP_X71Y125 INDEX 3636 TILEPROP PCIE_TOP_X71Y125 INT_TILE_X 27 TILEPROP PCIE_TOP_X71Y125 INT_TILE_Y 29 TILEPROP PCIE_TOP_X71Y125 IS_CENTER_TILE 0 TILEPROP PCIE_TOP_X71Y125 IS_DCM_TILE 0 TILEPROP PCIE_TOP_X71Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP PCIE_TOP_X71Y125 IS_GT_SITE_TILE 0 TILEPROP PCIE_TOP_X71Y125 NAME PCIE_TOP_X71Y125 TILEPROP PCIE_TOP_X71Y125 NUM_ARCS 447 TILEPROP PCIE_TOP_X71Y125 NUM_SITES 0 TILEPROP PCIE_TOP_X71Y125 ROW 31 TILEPROP PCIE_TOP_X71Y125 SLR_REGION_ID 0 TILEPROP PCIE_TOP_X71Y125 TILE_PATTERN_IDX 1018 TILEPROP PCIE_TOP_X71Y125 TILE_TYPE PCIE_TOP TILEPROP PCIE_TOP_X71Y125 TILE_TYPE_INDEX 117 TILEPROP PCIE_TOP_X71Y125 TILE_X 33336 TILEPROP PCIE_TOP_X71Y125 TILE_Y 153272 TILEPROP PCIE_TOP_X71Y125 TYPE PCIE_TOP TILEPROP RIOB33_SING_X43Y0 CLASS tile TILEPROP RIOB33_SING_X43Y0 COLUMN 114 TILEPROP RIOB33_SING_X43Y0 DEVICE_ID 0 TILEPROP RIOB33_SING_X43Y0 FIRST_SITE_ID 15816 TILEPROP RIOB33_SING_X43Y0 GRID_POINT_X 114 TILEPROP RIOB33_SING_X43Y0 GRID_POINT_Y 155 TILEPROP RIOB33_SING_X43Y0 INDEX 17939 TILEPROP RIOB33_SING_X43Y0 INT_TILE_X 43 TILEPROP RIOB33_SING_X43Y0 INT_TILE_Y 149 TILEPROP RIOB33_SING_X43Y0 IS_CENTER_TILE 0 TILEPROP RIOB33_SING_X43Y0 IS_DCM_TILE 0 TILEPROP RIOB33_SING_X43Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_SING_X43Y0 IS_GT_SITE_TILE 0 TILEPROP RIOB33_SING_X43Y0 NAME RIOB33_SING_X43Y0 TILEPROP RIOB33_SING_X43Y0 NUM_ARCS 0 TILEPROP RIOB33_SING_X43Y0 NUM_SITES 1 TILEPROP RIOB33_SING_X43Y0 ROW 155 TILEPROP RIOB33_SING_X43Y0 SLR_REGION_ID 0 TILEPROP RIOB33_SING_X43Y0 TILE_PATTERN_IDX 1688 TILEPROP RIOB33_SING_X43Y0 TILE_TYPE RIOB33_SING TILEPROP RIOB33_SING_X43Y0 TILE_TYPE_INDEX 119 TILEPROP RIOB33_SING_X43Y0 TILE_X 107410 TILEPROP RIOB33_SING_X43Y0 TILE_Y -239672 TILEPROP RIOB33_SING_X43Y0 TYPE RIOB33_SING TILEPROP RIOB33_SING_X43Y49 CLASS tile TILEPROP RIOB33_SING_X43Y49 COLUMN 114 TILEPROP RIOB33_SING_X43Y49 DEVICE_ID 0 TILEPROP RIOB33_SING_X43Y49 FIRST_SITE_ID 10543 TILEPROP RIOB33_SING_X43Y49 GRID_POINT_X 114 TILEPROP RIOB33_SING_X43Y49 GRID_POINT_Y 105 TILEPROP RIOB33_SING_X43Y49 INDEX 12189 TILEPROP RIOB33_SING_X43Y49 INT_TILE_X 43 TILEPROP RIOB33_SING_X43Y49 INT_TILE_Y 100 TILEPROP RIOB33_SING_X43Y49 IS_CENTER_TILE 0 TILEPROP RIOB33_SING_X43Y49 IS_DCM_TILE 0 TILEPROP RIOB33_SING_X43Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_SING_X43Y49 IS_GT_SITE_TILE 0 TILEPROP RIOB33_SING_X43Y49 NAME RIOB33_SING_X43Y49 TILEPROP RIOB33_SING_X43Y49 NUM_ARCS 0 TILEPROP RIOB33_SING_X43Y49 NUM_SITES 1 TILEPROP RIOB33_SING_X43Y49 ROW 105 TILEPROP RIOB33_SING_X43Y49 SLR_REGION_ID 0 TILEPROP RIOB33_SING_X43Y49 TILE_PATTERN_IDX 1688 TILEPROP RIOB33_SING_X43Y49 TILE_TYPE RIOB33_SING TILEPROP RIOB33_SING_X43Y49 TILE_TYPE_INDEX 119 TILEPROP RIOB33_SING_X43Y49 TILE_X 107410 TILEPROP RIOB33_SING_X43Y49 TILE_Y -81848 TILEPROP RIOB33_SING_X43Y49 TYPE RIOB33_SING TILEPROP RIOB33_SING_X43Y50 CLASS tile TILEPROP RIOB33_SING_X43Y50 COLUMN 114 TILEPROP RIOB33_SING_X43Y50 DEVICE_ID 0 TILEPROP RIOB33_SING_X43Y50 FIRST_SITE_ID 10443 TILEPROP RIOB33_SING_X43Y50 GRID_POINT_X 114 TILEPROP RIOB33_SING_X43Y50 GRID_POINT_Y 103 TILEPROP RIOB33_SING_X43Y50 INDEX 11959 TILEPROP RIOB33_SING_X43Y50 INT_TILE_X 43 TILEPROP RIOB33_SING_X43Y50 INT_TILE_Y 99 TILEPROP RIOB33_SING_X43Y50 IS_CENTER_TILE 0 TILEPROP RIOB33_SING_X43Y50 IS_DCM_TILE 0 TILEPROP RIOB33_SING_X43Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_SING_X43Y50 IS_GT_SITE_TILE 0 TILEPROP RIOB33_SING_X43Y50 NAME RIOB33_SING_X43Y50 TILEPROP RIOB33_SING_X43Y50 NUM_ARCS 0 TILEPROP RIOB33_SING_X43Y50 NUM_SITES 1 TILEPROP RIOB33_SING_X43Y50 ROW 103 TILEPROP RIOB33_SING_X43Y50 SLR_REGION_ID 0 TILEPROP RIOB33_SING_X43Y50 TILE_PATTERN_IDX 1688 TILEPROP RIOB33_SING_X43Y50 TILE_TYPE RIOB33_SING TILEPROP RIOB33_SING_X43Y50 TILE_TYPE_INDEX 119 TILEPROP RIOB33_SING_X43Y50 TILE_X 107410 TILEPROP RIOB33_SING_X43Y50 TILE_Y -78400 TILEPROP RIOB33_SING_X43Y50 TYPE RIOB33_SING TILEPROP RIOB33_SING_X43Y99 CLASS tile TILEPROP RIOB33_SING_X43Y99 COLUMN 114 TILEPROP RIOB33_SING_X43Y99 DEVICE_ID 0 TILEPROP RIOB33_SING_X43Y99 FIRST_SITE_ID 5187 TILEPROP RIOB33_SING_X43Y99 GRID_POINT_X 114 TILEPROP RIOB33_SING_X43Y99 GRID_POINT_Y 53 TILEPROP RIOB33_SING_X43Y99 INDEX 6209 TILEPROP RIOB33_SING_X43Y99 INT_TILE_X 43 TILEPROP RIOB33_SING_X43Y99 INT_TILE_Y 50 TILEPROP RIOB33_SING_X43Y99 IS_CENTER_TILE 0 TILEPROP RIOB33_SING_X43Y99 IS_DCM_TILE 0 TILEPROP RIOB33_SING_X43Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_SING_X43Y99 IS_GT_SITE_TILE 0 TILEPROP RIOB33_SING_X43Y99 NAME RIOB33_SING_X43Y99 TILEPROP RIOB33_SING_X43Y99 NUM_ARCS 0 TILEPROP RIOB33_SING_X43Y99 NUM_SITES 1 TILEPROP RIOB33_SING_X43Y99 ROW 53 TILEPROP RIOB33_SING_X43Y99 SLR_REGION_ID 0 TILEPROP RIOB33_SING_X43Y99 TILE_PATTERN_IDX 1688 TILEPROP RIOB33_SING_X43Y99 TILE_TYPE RIOB33_SING TILEPROP RIOB33_SING_X43Y99 TILE_TYPE_INDEX 119 TILEPROP RIOB33_SING_X43Y99 TILE_X 107410 TILEPROP RIOB33_SING_X43Y99 TILE_Y 79424 TILEPROP RIOB33_SING_X43Y99 TYPE RIOB33_SING TILEPROP RIOB33_X43Y1 CLASS tile TILEPROP RIOB33_X43Y1 COLUMN 114 TILEPROP RIOB33_X43Y1 DEVICE_ID 0 TILEPROP RIOB33_X43Y1 FIRST_SITE_ID 15700 TILEPROP RIOB33_X43Y1 GRID_POINT_X 114 TILEPROP RIOB33_X43Y1 GRID_POINT_Y 154 TILEPROP RIOB33_X43Y1 INDEX 17824 TILEPROP RIOB33_X43Y1 INT_TILE_X 43 TILEPROP RIOB33_X43Y1 INT_TILE_Y 148 TILEPROP RIOB33_X43Y1 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y1 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y1 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y1 NAME RIOB33_X43Y1 TILEPROP RIOB33_X43Y1 NUM_ARCS 9 TILEPROP RIOB33_X43Y1 NUM_SITES 2 TILEPROP RIOB33_X43Y1 ROW 154 TILEPROP RIOB33_X43Y1 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y1 TILE_PATTERN_IDX 8734 TILEPROP RIOB33_X43Y1 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y1 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y1 TILE_X 107410 TILEPROP RIOB33_X43Y1 TILE_Y -234872 TILEPROP RIOB33_X43Y1 TYPE RIOB33 TILEPROP RIOB33_X43Y3 CLASS tile TILEPROP RIOB33_X43Y3 COLUMN 114 TILEPROP RIOB33_X43Y3 DEVICE_ID 0 TILEPROP RIOB33_X43Y3 FIRST_SITE_ID 15499 TILEPROP RIOB33_X43Y3 GRID_POINT_X 114 TILEPROP RIOB33_X43Y3 GRID_POINT_Y 152 TILEPROP RIOB33_X43Y3 INDEX 17594 TILEPROP RIOB33_X43Y3 INT_TILE_X 43 TILEPROP RIOB33_X43Y3 INT_TILE_Y 146 TILEPROP RIOB33_X43Y3 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y3 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y3 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y3 NAME RIOB33_X43Y3 TILEPROP RIOB33_X43Y3 NUM_ARCS 9 TILEPROP RIOB33_X43Y3 NUM_SITES 2 TILEPROP RIOB33_X43Y3 ROW 152 TILEPROP RIOB33_X43Y3 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y3 TILE_PATTERN_IDX 8673 TILEPROP RIOB33_X43Y3 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y3 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y3 TILE_X 107410 TILEPROP RIOB33_X43Y3 TILE_Y -228472 TILEPROP RIOB33_X43Y3 TYPE RIOB33 TILEPROP RIOB33_X43Y5 CLASS tile TILEPROP RIOB33_X43Y5 COLUMN 114 TILEPROP RIOB33_X43Y5 DEVICE_ID 0 TILEPROP RIOB33_X43Y5 FIRST_SITE_ID 15299 TILEPROP RIOB33_X43Y5 GRID_POINT_X 114 TILEPROP RIOB33_X43Y5 GRID_POINT_Y 150 TILEPROP RIOB33_X43Y5 INDEX 17364 TILEPROP RIOB33_X43Y5 INT_TILE_X 43 TILEPROP RIOB33_X43Y5 INT_TILE_Y 144 TILEPROP RIOB33_X43Y5 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y5 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y5 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y5 NAME RIOB33_X43Y5 TILEPROP RIOB33_X43Y5 NUM_ARCS 9 TILEPROP RIOB33_X43Y5 NUM_SITES 2 TILEPROP RIOB33_X43Y5 ROW 150 TILEPROP RIOB33_X43Y5 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y5 TILE_PATTERN_IDX 8612 TILEPROP RIOB33_X43Y5 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y5 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y5 TILE_X 107410 TILEPROP RIOB33_X43Y5 TILE_Y -222072 TILEPROP RIOB33_X43Y5 TYPE RIOB33 TILEPROP RIOB33_X43Y7 CLASS tile TILEPROP RIOB33_X43Y7 COLUMN 114 TILEPROP RIOB33_X43Y7 DEVICE_ID 0 TILEPROP RIOB33_X43Y7 FIRST_SITE_ID 15084 TILEPROP RIOB33_X43Y7 GRID_POINT_X 114 TILEPROP RIOB33_X43Y7 GRID_POINT_Y 148 TILEPROP RIOB33_X43Y7 INDEX 17134 TILEPROP RIOB33_X43Y7 INT_TILE_X 43 TILEPROP RIOB33_X43Y7 INT_TILE_Y 142 TILEPROP RIOB33_X43Y7 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y7 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y7 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y7 NAME RIOB33_X43Y7 TILEPROP RIOB33_X43Y7 NUM_ARCS 9 TILEPROP RIOB33_X43Y7 NUM_SITES 2 TILEPROP RIOB33_X43Y7 ROW 148 TILEPROP RIOB33_X43Y7 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y7 TILE_PATTERN_IDX 8551 TILEPROP RIOB33_X43Y7 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y7 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y7 TILE_X 107410 TILEPROP RIOB33_X43Y7 TILE_Y -215672 TILEPROP RIOB33_X43Y7 TYPE RIOB33 TILEPROP RIOB33_X43Y9 CLASS tile TILEPROP RIOB33_X43Y9 COLUMN 114 TILEPROP RIOB33_X43Y9 DEVICE_ID 0 TILEPROP RIOB33_X43Y9 FIRST_SITE_ID 14878 TILEPROP RIOB33_X43Y9 GRID_POINT_X 114 TILEPROP RIOB33_X43Y9 GRID_POINT_Y 146 TILEPROP RIOB33_X43Y9 INDEX 16904 TILEPROP RIOB33_X43Y9 INT_TILE_X 43 TILEPROP RIOB33_X43Y9 INT_TILE_Y 140 TILEPROP RIOB33_X43Y9 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y9 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y9 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y9 NAME RIOB33_X43Y9 TILEPROP RIOB33_X43Y9 NUM_ARCS 9 TILEPROP RIOB33_X43Y9 NUM_SITES 2 TILEPROP RIOB33_X43Y9 ROW 146 TILEPROP RIOB33_X43Y9 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y9 TILE_PATTERN_IDX 8490 TILEPROP RIOB33_X43Y9 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y9 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y9 TILE_X 107410 TILEPROP RIOB33_X43Y9 TILE_Y -209272 TILEPROP RIOB33_X43Y9 TYPE RIOB33 TILEPROP RIOB33_X43Y11 CLASS tile TILEPROP RIOB33_X43Y11 COLUMN 114 TILEPROP RIOB33_X43Y11 DEVICE_ID 0 TILEPROP RIOB33_X43Y11 FIRST_SITE_ID 14663 TILEPROP RIOB33_X43Y11 GRID_POINT_X 114 TILEPROP RIOB33_X43Y11 GRID_POINT_Y 144 TILEPROP RIOB33_X43Y11 INDEX 16674 TILEPROP RIOB33_X43Y11 INT_TILE_X 43 TILEPROP RIOB33_X43Y11 INT_TILE_Y 138 TILEPROP RIOB33_X43Y11 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y11 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y11 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y11 NAME RIOB33_X43Y11 TILEPROP RIOB33_X43Y11 NUM_ARCS 9 TILEPROP RIOB33_X43Y11 NUM_SITES 2 TILEPROP RIOB33_X43Y11 ROW 144 TILEPROP RIOB33_X43Y11 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y11 TILE_PATTERN_IDX 8429 TILEPROP RIOB33_X43Y11 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y11 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y11 TILE_X 107410 TILEPROP RIOB33_X43Y11 TILE_Y -202872 TILEPROP RIOB33_X43Y11 TYPE RIOB33 TILEPROP RIOB33_X43Y13 CLASS tile TILEPROP RIOB33_X43Y13 COLUMN 114 TILEPROP RIOB33_X43Y13 DEVICE_ID 0 TILEPROP RIOB33_X43Y13 FIRST_SITE_ID 14431 TILEPROP RIOB33_X43Y13 GRID_POINT_X 114 TILEPROP RIOB33_X43Y13 GRID_POINT_Y 142 TILEPROP RIOB33_X43Y13 INDEX 16444 TILEPROP RIOB33_X43Y13 INT_TILE_X 43 TILEPROP RIOB33_X43Y13 INT_TILE_Y 136 TILEPROP RIOB33_X43Y13 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y13 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y13 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y13 NAME RIOB33_X43Y13 TILEPROP RIOB33_X43Y13 NUM_ARCS 9 TILEPROP RIOB33_X43Y13 NUM_SITES 2 TILEPROP RIOB33_X43Y13 ROW 142 TILEPROP RIOB33_X43Y13 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y13 TILE_PATTERN_IDX 8368 TILEPROP RIOB33_X43Y13 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y13 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y13 TILE_X 107410 TILEPROP RIOB33_X43Y13 TILE_Y -196472 TILEPROP RIOB33_X43Y13 TYPE RIOB33 TILEPROP RIOB33_X43Y15 CLASS tile TILEPROP RIOB33_X43Y15 COLUMN 114 TILEPROP RIOB33_X43Y15 DEVICE_ID 0 TILEPROP RIOB33_X43Y15 FIRST_SITE_ID 14231 TILEPROP RIOB33_X43Y15 GRID_POINT_X 114 TILEPROP RIOB33_X43Y15 GRID_POINT_Y 140 TILEPROP RIOB33_X43Y15 INDEX 16214 TILEPROP RIOB33_X43Y15 INT_TILE_X 43 TILEPROP RIOB33_X43Y15 INT_TILE_Y 134 TILEPROP RIOB33_X43Y15 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y15 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y15 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y15 NAME RIOB33_X43Y15 TILEPROP RIOB33_X43Y15 NUM_ARCS 9 TILEPROP RIOB33_X43Y15 NUM_SITES 2 TILEPROP RIOB33_X43Y15 ROW 140 TILEPROP RIOB33_X43Y15 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y15 TILE_PATTERN_IDX 8307 TILEPROP RIOB33_X43Y15 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y15 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y15 TILE_X 107410 TILEPROP RIOB33_X43Y15 TILE_Y -190072 TILEPROP RIOB33_X43Y15 TYPE RIOB33 TILEPROP RIOB33_X43Y17 CLASS tile TILEPROP RIOB33_X43Y17 COLUMN 114 TILEPROP RIOB33_X43Y17 DEVICE_ID 0 TILEPROP RIOB33_X43Y17 FIRST_SITE_ID 14016 TILEPROP RIOB33_X43Y17 GRID_POINT_X 114 TILEPROP RIOB33_X43Y17 GRID_POINT_Y 138 TILEPROP RIOB33_X43Y17 INDEX 15984 TILEPROP RIOB33_X43Y17 INT_TILE_X 43 TILEPROP RIOB33_X43Y17 INT_TILE_Y 132 TILEPROP RIOB33_X43Y17 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y17 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y17 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y17 NAME RIOB33_X43Y17 TILEPROP RIOB33_X43Y17 NUM_ARCS 9 TILEPROP RIOB33_X43Y17 NUM_SITES 2 TILEPROP RIOB33_X43Y17 ROW 138 TILEPROP RIOB33_X43Y17 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y17 TILE_PATTERN_IDX 8246 TILEPROP RIOB33_X43Y17 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y17 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y17 TILE_X 107410 TILEPROP RIOB33_X43Y17 TILE_Y -183672 TILEPROP RIOB33_X43Y17 TYPE RIOB33 TILEPROP RIOB33_X43Y19 CLASS tile TILEPROP RIOB33_X43Y19 COLUMN 114 TILEPROP RIOB33_X43Y19 DEVICE_ID 0 TILEPROP RIOB33_X43Y19 FIRST_SITE_ID 13807 TILEPROP RIOB33_X43Y19 GRID_POINT_X 114 TILEPROP RIOB33_X43Y19 GRID_POINT_Y 136 TILEPROP RIOB33_X43Y19 INDEX 15754 TILEPROP RIOB33_X43Y19 INT_TILE_X 43 TILEPROP RIOB33_X43Y19 INT_TILE_Y 130 TILEPROP RIOB33_X43Y19 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y19 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y19 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y19 NAME RIOB33_X43Y19 TILEPROP RIOB33_X43Y19 NUM_ARCS 9 TILEPROP RIOB33_X43Y19 NUM_SITES 2 TILEPROP RIOB33_X43Y19 ROW 136 TILEPROP RIOB33_X43Y19 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y19 TILE_PATTERN_IDX 8191 TILEPROP RIOB33_X43Y19 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y19 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y19 TILE_X 107410 TILEPROP RIOB33_X43Y19 TILE_Y -177272 TILEPROP RIOB33_X43Y19 TYPE RIOB33 TILEPROP RIOB33_X43Y21 CLASS tile TILEPROP RIOB33_X43Y21 COLUMN 114 TILEPROP RIOB33_X43Y21 DEVICE_ID 0 TILEPROP RIOB33_X43Y21 FIRST_SITE_ID 13588 TILEPROP RIOB33_X43Y21 GRID_POINT_X 114 TILEPROP RIOB33_X43Y21 GRID_POINT_Y 134 TILEPROP RIOB33_X43Y21 INDEX 15524 TILEPROP RIOB33_X43Y21 INT_TILE_X 43 TILEPROP RIOB33_X43Y21 INT_TILE_Y 128 TILEPROP RIOB33_X43Y21 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y21 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y21 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y21 NAME RIOB33_X43Y21 TILEPROP RIOB33_X43Y21 NUM_ARCS 9 TILEPROP RIOB33_X43Y21 NUM_SITES 2 TILEPROP RIOB33_X43Y21 ROW 134 TILEPROP RIOB33_X43Y21 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y21 TILE_PATTERN_IDX 8146 TILEPROP RIOB33_X43Y21 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y21 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y21 TILE_X 107410 TILEPROP RIOB33_X43Y21 TILE_Y -170872 TILEPROP RIOB33_X43Y21 TYPE RIOB33 TILEPROP RIOB33_X43Y23 CLASS tile TILEPROP RIOB33_X43Y23 COLUMN 114 TILEPROP RIOB33_X43Y23 DEVICE_ID 0 TILEPROP RIOB33_X43Y23 FIRST_SITE_ID 13388 TILEPROP RIOB33_X43Y23 GRID_POINT_X 114 TILEPROP RIOB33_X43Y23 GRID_POINT_Y 132 TILEPROP RIOB33_X43Y23 INDEX 15294 TILEPROP RIOB33_X43Y23 INT_TILE_X 43 TILEPROP RIOB33_X43Y23 INT_TILE_Y 126 TILEPROP RIOB33_X43Y23 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y23 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y23 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y23 NAME RIOB33_X43Y23 TILEPROP RIOB33_X43Y23 NUM_ARCS 9 TILEPROP RIOB33_X43Y23 NUM_SITES 2 TILEPROP RIOB33_X43Y23 ROW 132 TILEPROP RIOB33_X43Y23 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y23 TILE_PATTERN_IDX 8089 TILEPROP RIOB33_X43Y23 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y23 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y23 TILE_X 107410 TILEPROP RIOB33_X43Y23 TILE_Y -164472 TILEPROP RIOB33_X43Y23 TYPE RIOB33 TILEPROP RIOB33_X43Y25 CLASS tile TILEPROP RIOB33_X43Y25 COLUMN 114 TILEPROP RIOB33_X43Y25 DEVICE_ID 0 TILEPROP RIOB33_X43Y25 FIRST_SITE_ID 13105 TILEPROP RIOB33_X43Y25 GRID_POINT_X 114 TILEPROP RIOB33_X43Y25 GRID_POINT_Y 129 TILEPROP RIOB33_X43Y25 INDEX 14949 TILEPROP RIOB33_X43Y25 INT_TILE_X 43 TILEPROP RIOB33_X43Y25 INT_TILE_Y 124 TILEPROP RIOB33_X43Y25 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y25 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y25 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y25 NAME RIOB33_X43Y25 TILEPROP RIOB33_X43Y25 NUM_ARCS 9 TILEPROP RIOB33_X43Y25 NUM_SITES 2 TILEPROP RIOB33_X43Y25 ROW 129 TILEPROP RIOB33_X43Y25 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y25 TILE_PATTERN_IDX 7989 TILEPROP RIOB33_X43Y25 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y25 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y25 TILE_X 107410 TILEPROP RIOB33_X43Y25 TILE_Y -157048 TILEPROP RIOB33_X43Y25 TYPE RIOB33 TILEPROP RIOB33_X43Y27 CLASS tile TILEPROP RIOB33_X43Y27 COLUMN 114 TILEPROP RIOB33_X43Y27 DEVICE_ID 0 TILEPROP RIOB33_X43Y27 FIRST_SITE_ID 12890 TILEPROP RIOB33_X43Y27 GRID_POINT_X 114 TILEPROP RIOB33_X43Y27 GRID_POINT_Y 127 TILEPROP RIOB33_X43Y27 INDEX 14719 TILEPROP RIOB33_X43Y27 INT_TILE_X 43 TILEPROP RIOB33_X43Y27 INT_TILE_Y 122 TILEPROP RIOB33_X43Y27 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y27 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y27 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y27 NAME RIOB33_X43Y27 TILEPROP RIOB33_X43Y27 NUM_ARCS 9 TILEPROP RIOB33_X43Y27 NUM_SITES 2 TILEPROP RIOB33_X43Y27 ROW 127 TILEPROP RIOB33_X43Y27 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y27 TILE_PATTERN_IDX 7932 TILEPROP RIOB33_X43Y27 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y27 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y27 TILE_X 107410 TILEPROP RIOB33_X43Y27 TILE_Y -150648 TILEPROP RIOB33_X43Y27 TYPE RIOB33 TILEPROP RIOB33_X43Y29 CLASS tile TILEPROP RIOB33_X43Y29 COLUMN 114 TILEPROP RIOB33_X43Y29 DEVICE_ID 0 TILEPROP RIOB33_X43Y29 FIRST_SITE_ID 12690 TILEPROP RIOB33_X43Y29 GRID_POINT_X 114 TILEPROP RIOB33_X43Y29 GRID_POINT_Y 125 TILEPROP RIOB33_X43Y29 INDEX 14489 TILEPROP RIOB33_X43Y29 INT_TILE_X 43 TILEPROP RIOB33_X43Y29 INT_TILE_Y 120 TILEPROP RIOB33_X43Y29 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y29 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y29 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y29 NAME RIOB33_X43Y29 TILEPROP RIOB33_X43Y29 NUM_ARCS 9 TILEPROP RIOB33_X43Y29 NUM_SITES 2 TILEPROP RIOB33_X43Y29 ROW 125 TILEPROP RIOB33_X43Y29 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y29 TILE_PATTERN_IDX 7875 TILEPROP RIOB33_X43Y29 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y29 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y29 TILE_X 107410 TILEPROP RIOB33_X43Y29 TILE_Y -144248 TILEPROP RIOB33_X43Y29 TYPE RIOB33 TILEPROP RIOB33_X43Y31 CLASS tile TILEPROP RIOB33_X43Y31 COLUMN 114 TILEPROP RIOB33_X43Y31 DEVICE_ID 0 TILEPROP RIOB33_X43Y31 FIRST_SITE_ID 12450 TILEPROP RIOB33_X43Y31 GRID_POINT_X 114 TILEPROP RIOB33_X43Y31 GRID_POINT_Y 123 TILEPROP RIOB33_X43Y31 INDEX 14259 TILEPROP RIOB33_X43Y31 INT_TILE_X 43 TILEPROP RIOB33_X43Y31 INT_TILE_Y 118 TILEPROP RIOB33_X43Y31 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y31 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y31 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y31 NAME RIOB33_X43Y31 TILEPROP RIOB33_X43Y31 NUM_ARCS 9 TILEPROP RIOB33_X43Y31 NUM_SITES 2 TILEPROP RIOB33_X43Y31 ROW 123 TILEPROP RIOB33_X43Y31 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y31 TILE_PATTERN_IDX 7828 TILEPROP RIOB33_X43Y31 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y31 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y31 TILE_X 107410 TILEPROP RIOB33_X43Y31 TILE_Y -137848 TILEPROP RIOB33_X43Y31 TYPE RIOB33 TILEPROP RIOB33_X43Y33 CLASS tile TILEPROP RIOB33_X43Y33 COLUMN 114 TILEPROP RIOB33_X43Y33 DEVICE_ID 0 TILEPROP RIOB33_X43Y33 FIRST_SITE_ID 12245 TILEPROP RIOB33_X43Y33 GRID_POINT_X 114 TILEPROP RIOB33_X43Y33 GRID_POINT_Y 121 TILEPROP RIOB33_X43Y33 INDEX 14029 TILEPROP RIOB33_X43Y33 INT_TILE_X 43 TILEPROP RIOB33_X43Y33 INT_TILE_Y 116 TILEPROP RIOB33_X43Y33 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y33 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y33 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y33 NAME RIOB33_X43Y33 TILEPROP RIOB33_X43Y33 NUM_ARCS 9 TILEPROP RIOB33_X43Y33 NUM_SITES 2 TILEPROP RIOB33_X43Y33 ROW 121 TILEPROP RIOB33_X43Y33 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y33 TILE_PATTERN_IDX 7771 TILEPROP RIOB33_X43Y33 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y33 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y33 TILE_X 107410 TILEPROP RIOB33_X43Y33 TILE_Y -131448 TILEPROP RIOB33_X43Y33 TYPE RIOB33 TILEPROP RIOB33_X43Y35 CLASS tile TILEPROP RIOB33_X43Y35 COLUMN 114 TILEPROP RIOB33_X43Y35 DEVICE_ID 0 TILEPROP RIOB33_X43Y35 FIRST_SITE_ID 12045 TILEPROP RIOB33_X43Y35 GRID_POINT_X 114 TILEPROP RIOB33_X43Y35 GRID_POINT_Y 119 TILEPROP RIOB33_X43Y35 INDEX 13799 TILEPROP RIOB33_X43Y35 INT_TILE_X 43 TILEPROP RIOB33_X43Y35 INT_TILE_Y 114 TILEPROP RIOB33_X43Y35 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y35 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y35 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y35 NAME RIOB33_X43Y35 TILEPROP RIOB33_X43Y35 NUM_ARCS 9 TILEPROP RIOB33_X43Y35 NUM_SITES 2 TILEPROP RIOB33_X43Y35 ROW 119 TILEPROP RIOB33_X43Y35 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y35 TILE_PATTERN_IDX 7714 TILEPROP RIOB33_X43Y35 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y35 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y35 TILE_X 107410 TILEPROP RIOB33_X43Y35 TILE_Y -125048 TILEPROP RIOB33_X43Y35 TYPE RIOB33 TILEPROP RIOB33_X43Y37 CLASS tile TILEPROP RIOB33_X43Y37 COLUMN 114 TILEPROP RIOB33_X43Y37 DEVICE_ID 0 TILEPROP RIOB33_X43Y37 FIRST_SITE_ID 11798 TILEPROP RIOB33_X43Y37 GRID_POINT_X 114 TILEPROP RIOB33_X43Y37 GRID_POINT_Y 117 TILEPROP RIOB33_X43Y37 INDEX 13569 TILEPROP RIOB33_X43Y37 INT_TILE_X 43 TILEPROP RIOB33_X43Y37 INT_TILE_Y 112 TILEPROP RIOB33_X43Y37 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y37 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y37 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y37 NAME RIOB33_X43Y37 TILEPROP RIOB33_X43Y37 NUM_ARCS 9 TILEPROP RIOB33_X43Y37 NUM_SITES 2 TILEPROP RIOB33_X43Y37 ROW 117 TILEPROP RIOB33_X43Y37 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y37 TILE_PATTERN_IDX 5900 TILEPROP RIOB33_X43Y37 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y37 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y37 TILE_X 107410 TILEPROP RIOB33_X43Y37 TILE_Y -118648 TILEPROP RIOB33_X43Y37 TYPE RIOB33 TILEPROP RIOB33_X43Y39 CLASS tile TILEPROP RIOB33_X43Y39 COLUMN 114 TILEPROP RIOB33_X43Y39 DEVICE_ID 0 TILEPROP RIOB33_X43Y39 FIRST_SITE_ID 11598 TILEPROP RIOB33_X43Y39 GRID_POINT_X 114 TILEPROP RIOB33_X43Y39 GRID_POINT_Y 115 TILEPROP RIOB33_X43Y39 INDEX 13339 TILEPROP RIOB33_X43Y39 INT_TILE_X 43 TILEPROP RIOB33_X43Y39 INT_TILE_Y 110 TILEPROP RIOB33_X43Y39 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y39 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y39 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y39 NAME RIOB33_X43Y39 TILEPROP RIOB33_X43Y39 NUM_ARCS 9 TILEPROP RIOB33_X43Y39 NUM_SITES 2 TILEPROP RIOB33_X43Y39 ROW 115 TILEPROP RIOB33_X43Y39 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y39 TILE_PATTERN_IDX 7601 TILEPROP RIOB33_X43Y39 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y39 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y39 TILE_X 107410 TILEPROP RIOB33_X43Y39 TILE_Y -112248 TILEPROP RIOB33_X43Y39 TYPE RIOB33 TILEPROP RIOB33_X43Y41 CLASS tile TILEPROP RIOB33_X43Y41 COLUMN 114 TILEPROP RIOB33_X43Y41 DEVICE_ID 0 TILEPROP RIOB33_X43Y41 FIRST_SITE_ID 11381 TILEPROP RIOB33_X43Y41 GRID_POINT_X 114 TILEPROP RIOB33_X43Y41 GRID_POINT_Y 113 TILEPROP RIOB33_X43Y41 INDEX 13109 TILEPROP RIOB33_X43Y41 INT_TILE_X 43 TILEPROP RIOB33_X43Y41 INT_TILE_Y 108 TILEPROP RIOB33_X43Y41 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y41 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y41 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y41 NAME RIOB33_X43Y41 TILEPROP RIOB33_X43Y41 NUM_ARCS 9 TILEPROP RIOB33_X43Y41 NUM_SITES 2 TILEPROP RIOB33_X43Y41 ROW 113 TILEPROP RIOB33_X43Y41 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y41 TILE_PATTERN_IDX 7543 TILEPROP RIOB33_X43Y41 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y41 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y41 TILE_X 107410 TILEPROP RIOB33_X43Y41 TILE_Y -105848 TILEPROP RIOB33_X43Y41 TYPE RIOB33 TILEPROP RIOB33_X43Y43 CLASS tile TILEPROP RIOB33_X43Y43 COLUMN 114 TILEPROP RIOB33_X43Y43 DEVICE_ID 0 TILEPROP RIOB33_X43Y43 FIRST_SITE_ID 11178 TILEPROP RIOB33_X43Y43 GRID_POINT_X 114 TILEPROP RIOB33_X43Y43 GRID_POINT_Y 111 TILEPROP RIOB33_X43Y43 INDEX 12879 TILEPROP RIOB33_X43Y43 INT_TILE_X 43 TILEPROP RIOB33_X43Y43 INT_TILE_Y 106 TILEPROP RIOB33_X43Y43 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y43 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y43 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y43 NAME RIOB33_X43Y43 TILEPROP RIOB33_X43Y43 NUM_ARCS 9 TILEPROP RIOB33_X43Y43 NUM_SITES 2 TILEPROP RIOB33_X43Y43 ROW 111 TILEPROP RIOB33_X43Y43 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y43 TILE_PATTERN_IDX 7479 TILEPROP RIOB33_X43Y43 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y43 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y43 TILE_X 107410 TILEPROP RIOB33_X43Y43 TILE_Y -99448 TILEPROP RIOB33_X43Y43 TYPE RIOB33 TILEPROP RIOB33_X43Y45 CLASS tile TILEPROP RIOB33_X43Y45 COLUMN 114 TILEPROP RIOB33_X43Y45 DEVICE_ID 0 TILEPROP RIOB33_X43Y45 FIRST_SITE_ID 10974 TILEPROP RIOB33_X43Y45 GRID_POINT_X 114 TILEPROP RIOB33_X43Y45 GRID_POINT_Y 109 TILEPROP RIOB33_X43Y45 INDEX 12649 TILEPROP RIOB33_X43Y45 INT_TILE_X 43 TILEPROP RIOB33_X43Y45 INT_TILE_Y 104 TILEPROP RIOB33_X43Y45 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y45 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y45 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y45 NAME RIOB33_X43Y45 TILEPROP RIOB33_X43Y45 NUM_ARCS 9 TILEPROP RIOB33_X43Y45 NUM_SITES 2 TILEPROP RIOB33_X43Y45 ROW 109 TILEPROP RIOB33_X43Y45 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y45 TILE_PATTERN_IDX 7422 TILEPROP RIOB33_X43Y45 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y45 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y45 TILE_X 107410 TILEPROP RIOB33_X43Y45 TILE_Y -93048 TILEPROP RIOB33_X43Y45 TYPE RIOB33 TILEPROP RIOB33_X43Y47 CLASS tile TILEPROP RIOB33_X43Y47 COLUMN 114 TILEPROP RIOB33_X43Y47 DEVICE_ID 0 TILEPROP RIOB33_X43Y47 FIRST_SITE_ID 10742 TILEPROP RIOB33_X43Y47 GRID_POINT_X 114 TILEPROP RIOB33_X43Y47 GRID_POINT_Y 107 TILEPROP RIOB33_X43Y47 INDEX 12419 TILEPROP RIOB33_X43Y47 INT_TILE_X 43 TILEPROP RIOB33_X43Y47 INT_TILE_Y 102 TILEPROP RIOB33_X43Y47 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y47 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y47 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y47 NAME RIOB33_X43Y47 TILEPROP RIOB33_X43Y47 NUM_ARCS 9 TILEPROP RIOB33_X43Y47 NUM_SITES 2 TILEPROP RIOB33_X43Y47 ROW 107 TILEPROP RIOB33_X43Y47 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y47 TILE_PATTERN_IDX 7350 TILEPROP RIOB33_X43Y47 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y47 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y47 TILE_X 107410 TILEPROP RIOB33_X43Y47 TILE_Y -86648 TILEPROP RIOB33_X43Y47 TYPE RIOB33 TILEPROP RIOB33_X43Y51 CLASS tile TILEPROP RIOB33_X43Y51 COLUMN 114 TILEPROP RIOB33_X43Y51 DEVICE_ID 0 TILEPROP RIOB33_X43Y51 FIRST_SITE_ID 10311 TILEPROP RIOB33_X43Y51 GRID_POINT_X 114 TILEPROP RIOB33_X43Y51 GRID_POINT_Y 102 TILEPROP RIOB33_X43Y51 INDEX 11844 TILEPROP RIOB33_X43Y51 INT_TILE_X 43 TILEPROP RIOB33_X43Y51 INT_TILE_Y 98 TILEPROP RIOB33_X43Y51 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y51 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y51 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y51 NAME RIOB33_X43Y51 TILEPROP RIOB33_X43Y51 NUM_ARCS 9 TILEPROP RIOB33_X43Y51 NUM_SITES 2 TILEPROP RIOB33_X43Y51 ROW 102 TILEPROP RIOB33_X43Y51 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y51 TILE_PATTERN_IDX 7204 TILEPROP RIOB33_X43Y51 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y51 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y51 TILE_X 107410 TILEPROP RIOB33_X43Y51 TILE_Y -73600 TILEPROP RIOB33_X43Y51 TYPE RIOB33 TILEPROP RIOB33_X43Y53 CLASS tile TILEPROP RIOB33_X43Y53 COLUMN 114 TILEPROP RIOB33_X43Y53 DEVICE_ID 0 TILEPROP RIOB33_X43Y53 FIRST_SITE_ID 10111 TILEPROP RIOB33_X43Y53 GRID_POINT_X 114 TILEPROP RIOB33_X43Y53 GRID_POINT_Y 100 TILEPROP RIOB33_X43Y53 INDEX 11614 TILEPROP RIOB33_X43Y53 INT_TILE_X 43 TILEPROP RIOB33_X43Y53 INT_TILE_Y 96 TILEPROP RIOB33_X43Y53 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y53 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y53 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y53 NAME RIOB33_X43Y53 TILEPROP RIOB33_X43Y53 NUM_ARCS 9 TILEPROP RIOB33_X43Y53 NUM_SITES 2 TILEPROP RIOB33_X43Y53 ROW 100 TILEPROP RIOB33_X43Y53 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y53 TILE_PATTERN_IDX 7130 TILEPROP RIOB33_X43Y53 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y53 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y53 TILE_X 107410 TILEPROP RIOB33_X43Y53 TILE_Y -67200 TILEPROP RIOB33_X43Y53 TYPE RIOB33 TILEPROP RIOB33_X43Y55 CLASS tile TILEPROP RIOB33_X43Y55 COLUMN 114 TILEPROP RIOB33_X43Y55 DEVICE_ID 0 TILEPROP RIOB33_X43Y55 FIRST_SITE_ID 9911 TILEPROP RIOB33_X43Y55 GRID_POINT_X 114 TILEPROP RIOB33_X43Y55 GRID_POINT_Y 98 TILEPROP RIOB33_X43Y55 INDEX 11384 TILEPROP RIOB33_X43Y55 INT_TILE_X 43 TILEPROP RIOB33_X43Y55 INT_TILE_Y 94 TILEPROP RIOB33_X43Y55 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y55 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y55 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y55 NAME RIOB33_X43Y55 TILEPROP RIOB33_X43Y55 NUM_ARCS 9 TILEPROP RIOB33_X43Y55 NUM_SITES 2 TILEPROP RIOB33_X43Y55 ROW 98 TILEPROP RIOB33_X43Y55 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y55 TILE_PATTERN_IDX 7056 TILEPROP RIOB33_X43Y55 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y55 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y55 TILE_X 107410 TILEPROP RIOB33_X43Y55 TILE_Y -60800 TILEPROP RIOB33_X43Y55 TYPE RIOB33 TILEPROP RIOB33_X43Y57 CLASS tile TILEPROP RIOB33_X43Y57 COLUMN 114 TILEPROP RIOB33_X43Y57 DEVICE_ID 0 TILEPROP RIOB33_X43Y57 FIRST_SITE_ID 9696 TILEPROP RIOB33_X43Y57 GRID_POINT_X 114 TILEPROP RIOB33_X43Y57 GRID_POINT_Y 96 TILEPROP RIOB33_X43Y57 INDEX 11154 TILEPROP RIOB33_X43Y57 INT_TILE_X 43 TILEPROP RIOB33_X43Y57 INT_TILE_Y 92 TILEPROP RIOB33_X43Y57 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y57 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y57 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y57 NAME RIOB33_X43Y57 TILEPROP RIOB33_X43Y57 NUM_ARCS 9 TILEPROP RIOB33_X43Y57 NUM_SITES 2 TILEPROP RIOB33_X43Y57 ROW 96 TILEPROP RIOB33_X43Y57 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y57 TILE_PATTERN_IDX 6981 TILEPROP RIOB33_X43Y57 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y57 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y57 TILE_X 107410 TILEPROP RIOB33_X43Y57 TILE_Y -54400 TILEPROP RIOB33_X43Y57 TYPE RIOB33 TILEPROP RIOB33_X43Y59 CLASS tile TILEPROP RIOB33_X43Y59 COLUMN 114 TILEPROP RIOB33_X43Y59 DEVICE_ID 0 TILEPROP RIOB33_X43Y59 FIRST_SITE_ID 9490 TILEPROP RIOB33_X43Y59 GRID_POINT_X 114 TILEPROP RIOB33_X43Y59 GRID_POINT_Y 94 TILEPROP RIOB33_X43Y59 INDEX 10924 TILEPROP RIOB33_X43Y59 INT_TILE_X 43 TILEPROP RIOB33_X43Y59 INT_TILE_Y 90 TILEPROP RIOB33_X43Y59 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y59 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y59 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y59 NAME RIOB33_X43Y59 TILEPROP RIOB33_X43Y59 NUM_ARCS 9 TILEPROP RIOB33_X43Y59 NUM_SITES 2 TILEPROP RIOB33_X43Y59 ROW 94 TILEPROP RIOB33_X43Y59 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y59 TILE_PATTERN_IDX 6906 TILEPROP RIOB33_X43Y59 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y59 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y59 TILE_X 107410 TILEPROP RIOB33_X43Y59 TILE_Y -48000 TILEPROP RIOB33_X43Y59 TYPE RIOB33 TILEPROP RIOB33_X43Y61 CLASS tile TILEPROP RIOB33_X43Y61 COLUMN 114 TILEPROP RIOB33_X43Y61 DEVICE_ID 0 TILEPROP RIOB33_X43Y61 FIRST_SITE_ID 9275 TILEPROP RIOB33_X43Y61 GRID_POINT_X 114 TILEPROP RIOB33_X43Y61 GRID_POINT_Y 92 TILEPROP RIOB33_X43Y61 INDEX 10694 TILEPROP RIOB33_X43Y61 INT_TILE_X 43 TILEPROP RIOB33_X43Y61 INT_TILE_Y 88 TILEPROP RIOB33_X43Y61 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y61 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y61 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y61 NAME RIOB33_X43Y61 TILEPROP RIOB33_X43Y61 NUM_ARCS 9 TILEPROP RIOB33_X43Y61 NUM_SITES 2 TILEPROP RIOB33_X43Y61 ROW 92 TILEPROP RIOB33_X43Y61 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y61 TILE_PATTERN_IDX 6832 TILEPROP RIOB33_X43Y61 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y61 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y61 TILE_X 107410 TILEPROP RIOB33_X43Y61 TILE_Y -41600 TILEPROP RIOB33_X43Y61 TYPE RIOB33 TILEPROP RIOB33_X43Y63 CLASS tile TILEPROP RIOB33_X43Y63 COLUMN 114 TILEPROP RIOB33_X43Y63 DEVICE_ID 0 TILEPROP RIOB33_X43Y63 FIRST_SITE_ID 9043 TILEPROP RIOB33_X43Y63 GRID_POINT_X 114 TILEPROP RIOB33_X43Y63 GRID_POINT_Y 90 TILEPROP RIOB33_X43Y63 INDEX 10464 TILEPROP RIOB33_X43Y63 INT_TILE_X 43 TILEPROP RIOB33_X43Y63 INT_TILE_Y 86 TILEPROP RIOB33_X43Y63 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y63 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y63 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y63 NAME RIOB33_X43Y63 TILEPROP RIOB33_X43Y63 NUM_ARCS 9 TILEPROP RIOB33_X43Y63 NUM_SITES 2 TILEPROP RIOB33_X43Y63 ROW 90 TILEPROP RIOB33_X43Y63 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y63 TILE_PATTERN_IDX 6758 TILEPROP RIOB33_X43Y63 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y63 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y63 TILE_X 107410 TILEPROP RIOB33_X43Y63 TILE_Y -35200 TILEPROP RIOB33_X43Y63 TYPE RIOB33 TILEPROP RIOB33_X43Y65 CLASS tile TILEPROP RIOB33_X43Y65 COLUMN 114 TILEPROP RIOB33_X43Y65 DEVICE_ID 0 TILEPROP RIOB33_X43Y65 FIRST_SITE_ID 8843 TILEPROP RIOB33_X43Y65 GRID_POINT_X 114 TILEPROP RIOB33_X43Y65 GRID_POINT_Y 88 TILEPROP RIOB33_X43Y65 INDEX 10234 TILEPROP RIOB33_X43Y65 INT_TILE_X 43 TILEPROP RIOB33_X43Y65 INT_TILE_Y 84 TILEPROP RIOB33_X43Y65 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y65 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y65 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y65 NAME RIOB33_X43Y65 TILEPROP RIOB33_X43Y65 NUM_ARCS 9 TILEPROP RIOB33_X43Y65 NUM_SITES 2 TILEPROP RIOB33_X43Y65 ROW 88 TILEPROP RIOB33_X43Y65 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y65 TILE_PATTERN_IDX 6684 TILEPROP RIOB33_X43Y65 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y65 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y65 TILE_X 107410 TILEPROP RIOB33_X43Y65 TILE_Y -28800 TILEPROP RIOB33_X43Y65 TYPE RIOB33 TILEPROP RIOB33_X43Y67 CLASS tile TILEPROP RIOB33_X43Y67 COLUMN 114 TILEPROP RIOB33_X43Y67 DEVICE_ID 0 TILEPROP RIOB33_X43Y67 FIRST_SITE_ID 8628 TILEPROP RIOB33_X43Y67 GRID_POINT_X 114 TILEPROP RIOB33_X43Y67 GRID_POINT_Y 86 TILEPROP RIOB33_X43Y67 INDEX 10004 TILEPROP RIOB33_X43Y67 INT_TILE_X 43 TILEPROP RIOB33_X43Y67 INT_TILE_Y 82 TILEPROP RIOB33_X43Y67 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y67 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y67 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y67 NAME RIOB33_X43Y67 TILEPROP RIOB33_X43Y67 NUM_ARCS 9 TILEPROP RIOB33_X43Y67 NUM_SITES 2 TILEPROP RIOB33_X43Y67 ROW 86 TILEPROP RIOB33_X43Y67 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y67 TILE_PATTERN_IDX 6610 TILEPROP RIOB33_X43Y67 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y67 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y67 TILE_X 107410 TILEPROP RIOB33_X43Y67 TILE_Y -22400 TILEPROP RIOB33_X43Y67 TYPE RIOB33 TILEPROP RIOB33_X43Y69 CLASS tile TILEPROP RIOB33_X43Y69 COLUMN 114 TILEPROP RIOB33_X43Y69 DEVICE_ID 0 TILEPROP RIOB33_X43Y69 FIRST_SITE_ID 8420 TILEPROP RIOB33_X43Y69 GRID_POINT_X 114 TILEPROP RIOB33_X43Y69 GRID_POINT_Y 84 TILEPROP RIOB33_X43Y69 INDEX 9774 TILEPROP RIOB33_X43Y69 INT_TILE_X 43 TILEPROP RIOB33_X43Y69 INT_TILE_Y 80 TILEPROP RIOB33_X43Y69 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y69 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y69 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y69 NAME RIOB33_X43Y69 TILEPROP RIOB33_X43Y69 NUM_ARCS 9 TILEPROP RIOB33_X43Y69 NUM_SITES 2 TILEPROP RIOB33_X43Y69 ROW 84 TILEPROP RIOB33_X43Y69 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y69 TILE_PATTERN_IDX 6540 TILEPROP RIOB33_X43Y69 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y69 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y69 TILE_X 107410 TILEPROP RIOB33_X43Y69 TILE_Y -16000 TILEPROP RIOB33_X43Y69 TYPE RIOB33 TILEPROP RIOB33_X43Y71 CLASS tile TILEPROP RIOB33_X43Y71 COLUMN 114 TILEPROP RIOB33_X43Y71 DEVICE_ID 0 TILEPROP RIOB33_X43Y71 FIRST_SITE_ID 8201 TILEPROP RIOB33_X43Y71 GRID_POINT_X 114 TILEPROP RIOB33_X43Y71 GRID_POINT_Y 82 TILEPROP RIOB33_X43Y71 INDEX 9544 TILEPROP RIOB33_X43Y71 INT_TILE_X 43 TILEPROP RIOB33_X43Y71 INT_TILE_Y 78 TILEPROP RIOB33_X43Y71 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y71 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y71 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y71 NAME RIOB33_X43Y71 TILEPROP RIOB33_X43Y71 NUM_ARCS 9 TILEPROP RIOB33_X43Y71 NUM_SITES 2 TILEPROP RIOB33_X43Y71 ROW 82 TILEPROP RIOB33_X43Y71 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y71 TILE_PATTERN_IDX 6478 TILEPROP RIOB33_X43Y71 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y71 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y71 TILE_X 107410 TILEPROP RIOB33_X43Y71 TILE_Y -9600 TILEPROP RIOB33_X43Y71 TYPE RIOB33 TILEPROP RIOB33_X43Y73 CLASS tile TILEPROP RIOB33_X43Y73 COLUMN 114 TILEPROP RIOB33_X43Y73 DEVICE_ID 0 TILEPROP RIOB33_X43Y73 FIRST_SITE_ID 8001 TILEPROP RIOB33_X43Y73 GRID_POINT_X 114 TILEPROP RIOB33_X43Y73 GRID_POINT_Y 80 TILEPROP RIOB33_X43Y73 INDEX 9314 TILEPROP RIOB33_X43Y73 INT_TILE_X 43 TILEPROP RIOB33_X43Y73 INT_TILE_Y 76 TILEPROP RIOB33_X43Y73 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y73 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y73 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y73 NAME RIOB33_X43Y73 TILEPROP RIOB33_X43Y73 NUM_ARCS 9 TILEPROP RIOB33_X43Y73 NUM_SITES 2 TILEPROP RIOB33_X43Y73 ROW 80 TILEPROP RIOB33_X43Y73 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y73 TILE_PATTERN_IDX 6416 TILEPROP RIOB33_X43Y73 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y73 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y73 TILE_X 107410 TILEPROP RIOB33_X43Y73 TILE_Y -3200 TILEPROP RIOB33_X43Y73 TYPE RIOB33 TILEPROP RIOB33_X43Y75 CLASS tile TILEPROP RIOB33_X43Y75 COLUMN 114 TILEPROP RIOB33_X43Y75 DEVICE_ID 0 TILEPROP RIOB33_X43Y75 FIRST_SITE_ID 7718 TILEPROP RIOB33_X43Y75 GRID_POINT_X 114 TILEPROP RIOB33_X43Y75 GRID_POINT_Y 77 TILEPROP RIOB33_X43Y75 INDEX 8969 TILEPROP RIOB33_X43Y75 INT_TILE_X 43 TILEPROP RIOB33_X43Y75 INT_TILE_Y 74 TILEPROP RIOB33_X43Y75 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y75 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y75 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y75 NAME RIOB33_X43Y75 TILEPROP RIOB33_X43Y75 NUM_ARCS 9 TILEPROP RIOB33_X43Y75 NUM_SITES 2 TILEPROP RIOB33_X43Y75 ROW 77 TILEPROP RIOB33_X43Y75 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y75 TILE_PATTERN_IDX 6311 TILEPROP RIOB33_X43Y75 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y75 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y75 TILE_X 107410 TILEPROP RIOB33_X43Y75 TILE_Y 4224 TILEPROP RIOB33_X43Y75 TYPE RIOB33 TILEPROP RIOB33_X43Y77 CLASS tile TILEPROP RIOB33_X43Y77 COLUMN 114 TILEPROP RIOB33_X43Y77 DEVICE_ID 0 TILEPROP RIOB33_X43Y77 FIRST_SITE_ID 7500 TILEPROP RIOB33_X43Y77 GRID_POINT_X 114 TILEPROP RIOB33_X43Y77 GRID_POINT_Y 75 TILEPROP RIOB33_X43Y77 INDEX 8739 TILEPROP RIOB33_X43Y77 INT_TILE_X 43 TILEPROP RIOB33_X43Y77 INT_TILE_Y 72 TILEPROP RIOB33_X43Y77 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y77 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y77 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y77 NAME RIOB33_X43Y77 TILEPROP RIOB33_X43Y77 NUM_ARCS 9 TILEPROP RIOB33_X43Y77 NUM_SITES 2 TILEPROP RIOB33_X43Y77 ROW 75 TILEPROP RIOB33_X43Y77 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y77 TILE_PATTERN_IDX 6243 TILEPROP RIOB33_X43Y77 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y77 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y77 TILE_X 107410 TILEPROP RIOB33_X43Y77 TILE_Y 10624 TILEPROP RIOB33_X43Y77 TYPE RIOB33 TILEPROP RIOB33_X43Y79 CLASS tile TILEPROP RIOB33_X43Y79 COLUMN 114 TILEPROP RIOB33_X43Y79 DEVICE_ID 0 TILEPROP RIOB33_X43Y79 FIRST_SITE_ID 7300 TILEPROP RIOB33_X43Y79 GRID_POINT_X 114 TILEPROP RIOB33_X43Y79 GRID_POINT_Y 73 TILEPROP RIOB33_X43Y79 INDEX 8509 TILEPROP RIOB33_X43Y79 INT_TILE_X 43 TILEPROP RIOB33_X43Y79 INT_TILE_Y 70 TILEPROP RIOB33_X43Y79 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y79 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y79 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y79 NAME RIOB33_X43Y79 TILEPROP RIOB33_X43Y79 NUM_ARCS 9 TILEPROP RIOB33_X43Y79 NUM_SITES 2 TILEPROP RIOB33_X43Y79 ROW 73 TILEPROP RIOB33_X43Y79 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y79 TILE_PATTERN_IDX 6176 TILEPROP RIOB33_X43Y79 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y79 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y79 TILE_X 107410 TILEPROP RIOB33_X43Y79 TILE_Y 17024 TILEPROP RIOB33_X43Y79 TYPE RIOB33 TILEPROP RIOB33_X43Y81 CLASS tile TILEPROP RIOB33_X43Y81 COLUMN 114 TILEPROP RIOB33_X43Y81 DEVICE_ID 0 TILEPROP RIOB33_X43Y81 FIRST_SITE_ID 7073 TILEPROP RIOB33_X43Y81 GRID_POINT_X 114 TILEPROP RIOB33_X43Y81 GRID_POINT_Y 71 TILEPROP RIOB33_X43Y81 INDEX 8279 TILEPROP RIOB33_X43Y81 INT_TILE_X 43 TILEPROP RIOB33_X43Y81 INT_TILE_Y 68 TILEPROP RIOB33_X43Y81 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y81 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y81 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y81 NAME RIOB33_X43Y81 TILEPROP RIOB33_X43Y81 NUM_ARCS 9 TILEPROP RIOB33_X43Y81 NUM_SITES 2 TILEPROP RIOB33_X43Y81 ROW 71 TILEPROP RIOB33_X43Y81 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y81 TILE_PATTERN_IDX 6106 TILEPROP RIOB33_X43Y81 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y81 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y81 TILE_X 107410 TILEPROP RIOB33_X43Y81 TILE_Y 23424 TILEPROP RIOB33_X43Y81 TYPE RIOB33 TILEPROP RIOB33_X43Y83 CLASS tile TILEPROP RIOB33_X43Y83 COLUMN 114 TILEPROP RIOB33_X43Y83 DEVICE_ID 0 TILEPROP RIOB33_X43Y83 FIRST_SITE_ID 6869 TILEPROP RIOB33_X43Y83 GRID_POINT_X 114 TILEPROP RIOB33_X43Y83 GRID_POINT_Y 69 TILEPROP RIOB33_X43Y83 INDEX 8049 TILEPROP RIOB33_X43Y83 INT_TILE_X 43 TILEPROP RIOB33_X43Y83 INT_TILE_Y 66 TILEPROP RIOB33_X43Y83 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y83 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y83 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y83 NAME RIOB33_X43Y83 TILEPROP RIOB33_X43Y83 NUM_ARCS 9 TILEPROP RIOB33_X43Y83 NUM_SITES 2 TILEPROP RIOB33_X43Y83 ROW 69 TILEPROP RIOB33_X43Y83 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y83 TILE_PATTERN_IDX 6038 TILEPROP RIOB33_X43Y83 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y83 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y83 TILE_X 107410 TILEPROP RIOB33_X43Y83 TILE_Y 29824 TILEPROP RIOB33_X43Y83 TYPE RIOB33 TILEPROP RIOB33_X43Y85 CLASS tile TILEPROP RIOB33_X43Y85 COLUMN 114 TILEPROP RIOB33_X43Y85 DEVICE_ID 0 TILEPROP RIOB33_X43Y85 FIRST_SITE_ID 6669 TILEPROP RIOB33_X43Y85 GRID_POINT_X 114 TILEPROP RIOB33_X43Y85 GRID_POINT_Y 67 TILEPROP RIOB33_X43Y85 INDEX 7819 TILEPROP RIOB33_X43Y85 INT_TILE_X 43 TILEPROP RIOB33_X43Y85 INT_TILE_Y 64 TILEPROP RIOB33_X43Y85 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y85 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y85 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y85 NAME RIOB33_X43Y85 TILEPROP RIOB33_X43Y85 NUM_ARCS 9 TILEPROP RIOB33_X43Y85 NUM_SITES 2 TILEPROP RIOB33_X43Y85 ROW 67 TILEPROP RIOB33_X43Y85 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y85 TILE_PATTERN_IDX 5970 TILEPROP RIOB33_X43Y85 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y85 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y85 TILE_X 107410 TILEPROP RIOB33_X43Y85 TILE_Y 36224 TILEPROP RIOB33_X43Y85 TYPE RIOB33 TILEPROP RIOB33_X43Y87 CLASS tile TILEPROP RIOB33_X43Y87 COLUMN 114 TILEPROP RIOB33_X43Y87 DEVICE_ID 0 TILEPROP RIOB33_X43Y87 FIRST_SITE_ID 6422 TILEPROP RIOB33_X43Y87 GRID_POINT_X 114 TILEPROP RIOB33_X43Y87 GRID_POINT_Y 65 TILEPROP RIOB33_X43Y87 INDEX 7589 TILEPROP RIOB33_X43Y87 INT_TILE_X 43 TILEPROP RIOB33_X43Y87 INT_TILE_Y 62 TILEPROP RIOB33_X43Y87 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y87 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y87 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y87 NAME RIOB33_X43Y87 TILEPROP RIOB33_X43Y87 NUM_ARCS 9 TILEPROP RIOB33_X43Y87 NUM_SITES 2 TILEPROP RIOB33_X43Y87 ROW 65 TILEPROP RIOB33_X43Y87 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y87 TILE_PATTERN_IDX 5900 TILEPROP RIOB33_X43Y87 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y87 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y87 TILE_X 107410 TILEPROP RIOB33_X43Y87 TILE_Y 42624 TILEPROP RIOB33_X43Y87 TYPE RIOB33 TILEPROP RIOB33_X43Y89 CLASS tile TILEPROP RIOB33_X43Y89 COLUMN 114 TILEPROP RIOB33_X43Y89 DEVICE_ID 0 TILEPROP RIOB33_X43Y89 FIRST_SITE_ID 6222 TILEPROP RIOB33_X43Y89 GRID_POINT_X 114 TILEPROP RIOB33_X43Y89 GRID_POINT_Y 63 TILEPROP RIOB33_X43Y89 INDEX 7359 TILEPROP RIOB33_X43Y89 INT_TILE_X 43 TILEPROP RIOB33_X43Y89 INT_TILE_Y 60 TILEPROP RIOB33_X43Y89 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y89 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y89 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y89 NAME RIOB33_X43Y89 TILEPROP RIOB33_X43Y89 NUM_ARCS 9 TILEPROP RIOB33_X43Y89 NUM_SITES 2 TILEPROP RIOB33_X43Y89 ROW 63 TILEPROP RIOB33_X43Y89 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y89 TILE_PATTERN_IDX 5833 TILEPROP RIOB33_X43Y89 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y89 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y89 TILE_X 107410 TILEPROP RIOB33_X43Y89 TILE_Y 49024 TILEPROP RIOB33_X43Y89 TYPE RIOB33 TILEPROP RIOB33_X43Y91 CLASS tile TILEPROP RIOB33_X43Y91 COLUMN 114 TILEPROP RIOB33_X43Y91 DEVICE_ID 0 TILEPROP RIOB33_X43Y91 FIRST_SITE_ID 6007 TILEPROP RIOB33_X43Y91 GRID_POINT_X 114 TILEPROP RIOB33_X43Y91 GRID_POINT_Y 61 TILEPROP RIOB33_X43Y91 INDEX 7129 TILEPROP RIOB33_X43Y91 INT_TILE_X 43 TILEPROP RIOB33_X43Y91 INT_TILE_Y 58 TILEPROP RIOB33_X43Y91 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y91 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y91 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y91 NAME RIOB33_X43Y91 TILEPROP RIOB33_X43Y91 NUM_ARCS 9 TILEPROP RIOB33_X43Y91 NUM_SITES 2 TILEPROP RIOB33_X43Y91 ROW 61 TILEPROP RIOB33_X43Y91 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y91 TILE_PATTERN_IDX 5765 TILEPROP RIOB33_X43Y91 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y91 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y91 TILE_X 107410 TILEPROP RIOB33_X43Y91 TILE_Y 55424 TILEPROP RIOB33_X43Y91 TYPE RIOB33 TILEPROP RIOB33_X43Y93 CLASS tile TILEPROP RIOB33_X43Y93 COLUMN 114 TILEPROP RIOB33_X43Y93 DEVICE_ID 0 TILEPROP RIOB33_X43Y93 FIRST_SITE_ID 5805 TILEPROP RIOB33_X43Y93 GRID_POINT_X 114 TILEPROP RIOB33_X43Y93 GRID_POINT_Y 59 TILEPROP RIOB33_X43Y93 INDEX 6899 TILEPROP RIOB33_X43Y93 INT_TILE_X 43 TILEPROP RIOB33_X43Y93 INT_TILE_Y 56 TILEPROP RIOB33_X43Y93 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y93 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y93 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y93 NAME RIOB33_X43Y93 TILEPROP RIOB33_X43Y93 NUM_ARCS 9 TILEPROP RIOB33_X43Y93 NUM_SITES 2 TILEPROP RIOB33_X43Y93 ROW 59 TILEPROP RIOB33_X43Y93 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y93 TILE_PATTERN_IDX 5698 TILEPROP RIOB33_X43Y93 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y93 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y93 TILE_X 107410 TILEPROP RIOB33_X43Y93 TILE_Y 61824 TILEPROP RIOB33_X43Y93 TYPE RIOB33 TILEPROP RIOB33_X43Y95 CLASS tile TILEPROP RIOB33_X43Y95 COLUMN 114 TILEPROP RIOB33_X43Y95 DEVICE_ID 0 TILEPROP RIOB33_X43Y95 FIRST_SITE_ID 5601 TILEPROP RIOB33_X43Y95 GRID_POINT_X 114 TILEPROP RIOB33_X43Y95 GRID_POINT_Y 57 TILEPROP RIOB33_X43Y95 INDEX 6669 TILEPROP RIOB33_X43Y95 INT_TILE_X 43 TILEPROP RIOB33_X43Y95 INT_TILE_Y 54 TILEPROP RIOB33_X43Y95 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y95 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y95 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y95 NAME RIOB33_X43Y95 TILEPROP RIOB33_X43Y95 NUM_ARCS 9 TILEPROP RIOB33_X43Y95 NUM_SITES 2 TILEPROP RIOB33_X43Y95 ROW 57 TILEPROP RIOB33_X43Y95 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y95 TILE_PATTERN_IDX 5630 TILEPROP RIOB33_X43Y95 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y95 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y95 TILE_X 107410 TILEPROP RIOB33_X43Y95 TILE_Y 68224 TILEPROP RIOB33_X43Y95 TYPE RIOB33 TILEPROP RIOB33_X43Y97 CLASS tile TILEPROP RIOB33_X43Y97 COLUMN 114 TILEPROP RIOB33_X43Y97 DEVICE_ID 0 TILEPROP RIOB33_X43Y97 FIRST_SITE_ID 5386 TILEPROP RIOB33_X43Y97 GRID_POINT_X 114 TILEPROP RIOB33_X43Y97 GRID_POINT_Y 55 TILEPROP RIOB33_X43Y97 INDEX 6439 TILEPROP RIOB33_X43Y97 INT_TILE_X 43 TILEPROP RIOB33_X43Y97 INT_TILE_Y 52 TILEPROP RIOB33_X43Y97 IS_CENTER_TILE 0 TILEPROP RIOB33_X43Y97 IS_DCM_TILE 0 TILEPROP RIOB33_X43Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOB33_X43Y97 IS_GT_SITE_TILE 0 TILEPROP RIOB33_X43Y97 NAME RIOB33_X43Y97 TILEPROP RIOB33_X43Y97 NUM_ARCS 9 TILEPROP RIOB33_X43Y97 NUM_SITES 2 TILEPROP RIOB33_X43Y97 ROW 55 TILEPROP RIOB33_X43Y97 SLR_REGION_ID 0 TILEPROP RIOB33_X43Y97 TILE_PATTERN_IDX 5561 TILEPROP RIOB33_X43Y97 TILE_TYPE RIOB33 TILEPROP RIOB33_X43Y97 TILE_TYPE_INDEX 118 TILEPROP RIOB33_X43Y97 TILE_X 107410 TILEPROP RIOB33_X43Y97 TILE_Y 74624 TILEPROP RIOB33_X43Y97 TYPE RIOB33 TILEPROP RIOI3_SING_X43Y0 CLASS tile TILEPROP RIOI3_SING_X43Y0 COLUMN 113 TILEPROP RIOI3_SING_X43Y0 DEVICE_ID 0 TILEPROP RIOI3_SING_X43Y0 FIRST_SITE_ID 15813 TILEPROP RIOI3_SING_X43Y0 GRID_POINT_X 113 TILEPROP RIOI3_SING_X43Y0 GRID_POINT_Y 155 TILEPROP RIOI3_SING_X43Y0 INDEX 17938 TILEPROP RIOI3_SING_X43Y0 INT_TILE_X 43 TILEPROP RIOI3_SING_X43Y0 INT_TILE_Y 149 TILEPROP RIOI3_SING_X43Y0 IS_CENTER_TILE 0 TILEPROP RIOI3_SING_X43Y0 IS_DCM_TILE 0 TILEPROP RIOI3_SING_X43Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_SING_X43Y0 IS_GT_SITE_TILE 0 TILEPROP RIOI3_SING_X43Y0 NAME RIOI3_SING_X43Y0 TILEPROP RIOI3_SING_X43Y0 NUM_ARCS 205 TILEPROP RIOI3_SING_X43Y0 NUM_SITES 3 TILEPROP RIOI3_SING_X43Y0 ROW 155 TILEPROP RIOI3_SING_X43Y0 SLR_REGION_ID 0 TILEPROP RIOI3_SING_X43Y0 TILE_PATTERN_IDX 3539 TILEPROP RIOI3_SING_X43Y0 TILE_TYPE RIOI3_SING TILEPROP RIOI3_SING_X43Y0 TILE_TYPE_INDEX 121 TILEPROP RIOI3_SING_X43Y0 TILE_X 106366 TILEPROP RIOI3_SING_X43Y0 TILE_Y -239672 TILEPROP RIOI3_SING_X43Y0 TYPE RIOI3_SING TILEPROP RIOI3_SING_X43Y49 CLASS tile TILEPROP RIOI3_SING_X43Y49 COLUMN 113 TILEPROP RIOI3_SING_X43Y49 DEVICE_ID 0 TILEPROP RIOI3_SING_X43Y49 FIRST_SITE_ID 10540 TILEPROP RIOI3_SING_X43Y49 GRID_POINT_X 113 TILEPROP RIOI3_SING_X43Y49 GRID_POINT_Y 105 TILEPROP RIOI3_SING_X43Y49 INDEX 12188 TILEPROP RIOI3_SING_X43Y49 INT_TILE_X 43 TILEPROP RIOI3_SING_X43Y49 INT_TILE_Y 100 TILEPROP RIOI3_SING_X43Y49 IS_CENTER_TILE 0 TILEPROP RIOI3_SING_X43Y49 IS_DCM_TILE 0 TILEPROP RIOI3_SING_X43Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_SING_X43Y49 IS_GT_SITE_TILE 0 TILEPROP RIOI3_SING_X43Y49 NAME RIOI3_SING_X43Y49 TILEPROP RIOI3_SING_X43Y49 NUM_ARCS 205 TILEPROP RIOI3_SING_X43Y49 NUM_SITES 3 TILEPROP RIOI3_SING_X43Y49 ROW 105 TILEPROP RIOI3_SING_X43Y49 SLR_REGION_ID 0 TILEPROP RIOI3_SING_X43Y49 TILE_PATTERN_IDX 1687 TILEPROP RIOI3_SING_X43Y49 TILE_TYPE RIOI3_SING TILEPROP RIOI3_SING_X43Y49 TILE_TYPE_INDEX 121 TILEPROP RIOI3_SING_X43Y49 TILE_X 106366 TILEPROP RIOI3_SING_X43Y49 TILE_Y -81848 TILEPROP RIOI3_SING_X43Y49 TYPE RIOI3_SING TILEPROP RIOI3_SING_X43Y50 CLASS tile TILEPROP RIOI3_SING_X43Y50 COLUMN 113 TILEPROP RIOI3_SING_X43Y50 DEVICE_ID 0 TILEPROP RIOI3_SING_X43Y50 FIRST_SITE_ID 10440 TILEPROP RIOI3_SING_X43Y50 GRID_POINT_X 113 TILEPROP RIOI3_SING_X43Y50 GRID_POINT_Y 103 TILEPROP RIOI3_SING_X43Y50 INDEX 11958 TILEPROP RIOI3_SING_X43Y50 INT_TILE_X 43 TILEPROP RIOI3_SING_X43Y50 INT_TILE_Y 99 TILEPROP RIOI3_SING_X43Y50 IS_CENTER_TILE 0 TILEPROP RIOI3_SING_X43Y50 IS_DCM_TILE 0 TILEPROP RIOI3_SING_X43Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_SING_X43Y50 IS_GT_SITE_TILE 0 TILEPROP RIOI3_SING_X43Y50 NAME RIOI3_SING_X43Y50 TILEPROP RIOI3_SING_X43Y50 NUM_ARCS 205 TILEPROP RIOI3_SING_X43Y50 NUM_SITES 3 TILEPROP RIOI3_SING_X43Y50 ROW 103 TILEPROP RIOI3_SING_X43Y50 SLR_REGION_ID 0 TILEPROP RIOI3_SING_X43Y50 TILE_PATTERN_IDX 3539 TILEPROP RIOI3_SING_X43Y50 TILE_TYPE RIOI3_SING TILEPROP RIOI3_SING_X43Y50 TILE_TYPE_INDEX 121 TILEPROP RIOI3_SING_X43Y50 TILE_X 106366 TILEPROP RIOI3_SING_X43Y50 TILE_Y -78400 TILEPROP RIOI3_SING_X43Y50 TYPE RIOI3_SING TILEPROP RIOI3_SING_X43Y99 CLASS tile TILEPROP RIOI3_SING_X43Y99 COLUMN 113 TILEPROP RIOI3_SING_X43Y99 DEVICE_ID 0 TILEPROP RIOI3_SING_X43Y99 FIRST_SITE_ID 5184 TILEPROP RIOI3_SING_X43Y99 GRID_POINT_X 113 TILEPROP RIOI3_SING_X43Y99 GRID_POINT_Y 53 TILEPROP RIOI3_SING_X43Y99 INDEX 6208 TILEPROP RIOI3_SING_X43Y99 INT_TILE_X 43 TILEPROP RIOI3_SING_X43Y99 INT_TILE_Y 50 TILEPROP RIOI3_SING_X43Y99 IS_CENTER_TILE 0 TILEPROP RIOI3_SING_X43Y99 IS_DCM_TILE 0 TILEPROP RIOI3_SING_X43Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_SING_X43Y99 IS_GT_SITE_TILE 0 TILEPROP RIOI3_SING_X43Y99 NAME RIOI3_SING_X43Y99 TILEPROP RIOI3_SING_X43Y99 NUM_ARCS 205 TILEPROP RIOI3_SING_X43Y99 NUM_SITES 3 TILEPROP RIOI3_SING_X43Y99 ROW 53 TILEPROP RIOI3_SING_X43Y99 SLR_REGION_ID 0 TILEPROP RIOI3_SING_X43Y99 TILE_PATTERN_IDX 1687 TILEPROP RIOI3_SING_X43Y99 TILE_TYPE RIOI3_SING TILEPROP RIOI3_SING_X43Y99 TILE_TYPE_INDEX 121 TILEPROP RIOI3_SING_X43Y99 TILE_X 106366 TILEPROP RIOI3_SING_X43Y99 TILE_Y 79424 TILEPROP RIOI3_SING_X43Y99 TYPE RIOI3_SING TILEPROP RIOI3_TBYTESRC_X43Y7 CLASS tile TILEPROP RIOI3_TBYTESRC_X43Y7 COLUMN 113 TILEPROP RIOI3_TBYTESRC_X43Y7 DEVICE_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y7 FIRST_SITE_ID 15078 TILEPROP RIOI3_TBYTESRC_X43Y7 GRID_POINT_X 113 TILEPROP RIOI3_TBYTESRC_X43Y7 GRID_POINT_Y 148 TILEPROP RIOI3_TBYTESRC_X43Y7 INDEX 17133 TILEPROP RIOI3_TBYTESRC_X43Y7 INT_TILE_X 43 TILEPROP RIOI3_TBYTESRC_X43Y7 INT_TILE_Y 142 TILEPROP RIOI3_TBYTESRC_X43Y7 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y7 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y7 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y7 NAME RIOI3_TBYTESRC_X43Y7 TILEPROP RIOI3_TBYTESRC_X43Y7 NUM_ARCS 429 TILEPROP RIOI3_TBYTESRC_X43Y7 NUM_SITES 6 TILEPROP RIOI3_TBYTESRC_X43Y7 ROW 148 TILEPROP RIOI3_TBYTESRC_X43Y7 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y7 TILE_PATTERN_IDX 3275 TILEPROP RIOI3_TBYTESRC_X43Y7 TILE_TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y7 TILE_TYPE_INDEX 122 TILEPROP RIOI3_TBYTESRC_X43Y7 TILE_X 106366 TILEPROP RIOI3_TBYTESRC_X43Y7 TILE_Y -215672 TILEPROP RIOI3_TBYTESRC_X43Y7 TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y19 CLASS tile TILEPROP RIOI3_TBYTESRC_X43Y19 COLUMN 113 TILEPROP RIOI3_TBYTESRC_X43Y19 DEVICE_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y19 FIRST_SITE_ID 13801 TILEPROP RIOI3_TBYTESRC_X43Y19 GRID_POINT_X 113 TILEPROP RIOI3_TBYTESRC_X43Y19 GRID_POINT_Y 136 TILEPROP RIOI3_TBYTESRC_X43Y19 INDEX 15753 TILEPROP RIOI3_TBYTESRC_X43Y19 INT_TILE_X 43 TILEPROP RIOI3_TBYTESRC_X43Y19 INT_TILE_Y 130 TILEPROP RIOI3_TBYTESRC_X43Y19 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y19 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y19 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y19 NAME RIOI3_TBYTESRC_X43Y19 TILEPROP RIOI3_TBYTESRC_X43Y19 NUM_ARCS 429 TILEPROP RIOI3_TBYTESRC_X43Y19 NUM_SITES 6 TILEPROP RIOI3_TBYTESRC_X43Y19 ROW 136 TILEPROP RIOI3_TBYTESRC_X43Y19 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y19 TILE_PATTERN_IDX 2837 TILEPROP RIOI3_TBYTESRC_X43Y19 TILE_TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y19 TILE_TYPE_INDEX 122 TILEPROP RIOI3_TBYTESRC_X43Y19 TILE_X 106366 TILEPROP RIOI3_TBYTESRC_X43Y19 TILE_Y -177272 TILEPROP RIOI3_TBYTESRC_X43Y19 TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y31 CLASS tile TILEPROP RIOI3_TBYTESRC_X43Y31 COLUMN 113 TILEPROP RIOI3_TBYTESRC_X43Y31 DEVICE_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y31 FIRST_SITE_ID 12444 TILEPROP RIOI3_TBYTESRC_X43Y31 GRID_POINT_X 113 TILEPROP RIOI3_TBYTESRC_X43Y31 GRID_POINT_Y 123 TILEPROP RIOI3_TBYTESRC_X43Y31 INDEX 14258 TILEPROP RIOI3_TBYTESRC_X43Y31 INT_TILE_X 43 TILEPROP RIOI3_TBYTESRC_X43Y31 INT_TILE_Y 118 TILEPROP RIOI3_TBYTESRC_X43Y31 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y31 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y31 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y31 NAME RIOI3_TBYTESRC_X43Y31 TILEPROP RIOI3_TBYTESRC_X43Y31 NUM_ARCS 429 TILEPROP RIOI3_TBYTESRC_X43Y31 NUM_SITES 6 TILEPROP RIOI3_TBYTESRC_X43Y31 ROW 123 TILEPROP RIOI3_TBYTESRC_X43Y31 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y31 TILE_PATTERN_IDX 2358 TILEPROP RIOI3_TBYTESRC_X43Y31 TILE_TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y31 TILE_TYPE_INDEX 122 TILEPROP RIOI3_TBYTESRC_X43Y31 TILE_X 106366 TILEPROP RIOI3_TBYTESRC_X43Y31 TILE_Y -137848 TILEPROP RIOI3_TBYTESRC_X43Y31 TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y43 CLASS tile TILEPROP RIOI3_TBYTESRC_X43Y43 COLUMN 113 TILEPROP RIOI3_TBYTESRC_X43Y43 DEVICE_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y43 FIRST_SITE_ID 11172 TILEPROP RIOI3_TBYTESRC_X43Y43 GRID_POINT_X 113 TILEPROP RIOI3_TBYTESRC_X43Y43 GRID_POINT_Y 111 TILEPROP RIOI3_TBYTESRC_X43Y43 INDEX 12878 TILEPROP RIOI3_TBYTESRC_X43Y43 INT_TILE_X 43 TILEPROP RIOI3_TBYTESRC_X43Y43 INT_TILE_Y 106 TILEPROP RIOI3_TBYTESRC_X43Y43 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y43 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y43 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y43 NAME RIOI3_TBYTESRC_X43Y43 TILEPROP RIOI3_TBYTESRC_X43Y43 NUM_ARCS 429 TILEPROP RIOI3_TBYTESRC_X43Y43 NUM_SITES 6 TILEPROP RIOI3_TBYTESRC_X43Y43 ROW 111 TILEPROP RIOI3_TBYTESRC_X43Y43 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y43 TILE_PATTERN_IDX 1919 TILEPROP RIOI3_TBYTESRC_X43Y43 TILE_TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y43 TILE_TYPE_INDEX 122 TILEPROP RIOI3_TBYTESRC_X43Y43 TILE_X 106366 TILEPROP RIOI3_TBYTESRC_X43Y43 TILE_Y -99448 TILEPROP RIOI3_TBYTESRC_X43Y43 TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y57 CLASS tile TILEPROP RIOI3_TBYTESRC_X43Y57 COLUMN 113 TILEPROP RIOI3_TBYTESRC_X43Y57 DEVICE_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y57 FIRST_SITE_ID 9690 TILEPROP RIOI3_TBYTESRC_X43Y57 GRID_POINT_X 113 TILEPROP RIOI3_TBYTESRC_X43Y57 GRID_POINT_Y 96 TILEPROP RIOI3_TBYTESRC_X43Y57 INDEX 11153 TILEPROP RIOI3_TBYTESRC_X43Y57 INT_TILE_X 43 TILEPROP RIOI3_TBYTESRC_X43Y57 INT_TILE_Y 92 TILEPROP RIOI3_TBYTESRC_X43Y57 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y57 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y57 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y57 NAME RIOI3_TBYTESRC_X43Y57 TILEPROP RIOI3_TBYTESRC_X43Y57 NUM_ARCS 429 TILEPROP RIOI3_TBYTESRC_X43Y57 NUM_SITES 6 TILEPROP RIOI3_TBYTESRC_X43Y57 ROW 96 TILEPROP RIOI3_TBYTESRC_X43Y57 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y57 TILE_PATTERN_IDX 3275 TILEPROP RIOI3_TBYTESRC_X43Y57 TILE_TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y57 TILE_TYPE_INDEX 122 TILEPROP RIOI3_TBYTESRC_X43Y57 TILE_X 106366 TILEPROP RIOI3_TBYTESRC_X43Y57 TILE_Y -54400 TILEPROP RIOI3_TBYTESRC_X43Y57 TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y69 CLASS tile TILEPROP RIOI3_TBYTESRC_X43Y69 COLUMN 113 TILEPROP RIOI3_TBYTESRC_X43Y69 DEVICE_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y69 FIRST_SITE_ID 8414 TILEPROP RIOI3_TBYTESRC_X43Y69 GRID_POINT_X 113 TILEPROP RIOI3_TBYTESRC_X43Y69 GRID_POINT_Y 84 TILEPROP RIOI3_TBYTESRC_X43Y69 INDEX 9773 TILEPROP RIOI3_TBYTESRC_X43Y69 INT_TILE_X 43 TILEPROP RIOI3_TBYTESRC_X43Y69 INT_TILE_Y 80 TILEPROP RIOI3_TBYTESRC_X43Y69 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y69 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y69 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y69 NAME RIOI3_TBYTESRC_X43Y69 TILEPROP RIOI3_TBYTESRC_X43Y69 NUM_ARCS 429 TILEPROP RIOI3_TBYTESRC_X43Y69 NUM_SITES 6 TILEPROP RIOI3_TBYTESRC_X43Y69 ROW 84 TILEPROP RIOI3_TBYTESRC_X43Y69 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y69 TILE_PATTERN_IDX 2837 TILEPROP RIOI3_TBYTESRC_X43Y69 TILE_TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y69 TILE_TYPE_INDEX 122 TILEPROP RIOI3_TBYTESRC_X43Y69 TILE_X 106366 TILEPROP RIOI3_TBYTESRC_X43Y69 TILE_Y -16000 TILEPROP RIOI3_TBYTESRC_X43Y69 TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y81 CLASS tile TILEPROP RIOI3_TBYTESRC_X43Y81 COLUMN 113 TILEPROP RIOI3_TBYTESRC_X43Y81 DEVICE_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y81 FIRST_SITE_ID 7067 TILEPROP RIOI3_TBYTESRC_X43Y81 GRID_POINT_X 113 TILEPROP RIOI3_TBYTESRC_X43Y81 GRID_POINT_Y 71 TILEPROP RIOI3_TBYTESRC_X43Y81 INDEX 8278 TILEPROP RIOI3_TBYTESRC_X43Y81 INT_TILE_X 43 TILEPROP RIOI3_TBYTESRC_X43Y81 INT_TILE_Y 68 TILEPROP RIOI3_TBYTESRC_X43Y81 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y81 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y81 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y81 NAME RIOI3_TBYTESRC_X43Y81 TILEPROP RIOI3_TBYTESRC_X43Y81 NUM_ARCS 429 TILEPROP RIOI3_TBYTESRC_X43Y81 NUM_SITES 6 TILEPROP RIOI3_TBYTESRC_X43Y81 ROW 71 TILEPROP RIOI3_TBYTESRC_X43Y81 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y81 TILE_PATTERN_IDX 2358 TILEPROP RIOI3_TBYTESRC_X43Y81 TILE_TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y81 TILE_TYPE_INDEX 122 TILEPROP RIOI3_TBYTESRC_X43Y81 TILE_X 106366 TILEPROP RIOI3_TBYTESRC_X43Y81 TILE_Y 23424 TILEPROP RIOI3_TBYTESRC_X43Y81 TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y93 CLASS tile TILEPROP RIOI3_TBYTESRC_X43Y93 COLUMN 113 TILEPROP RIOI3_TBYTESRC_X43Y93 DEVICE_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y93 FIRST_SITE_ID 5799 TILEPROP RIOI3_TBYTESRC_X43Y93 GRID_POINT_X 113 TILEPROP RIOI3_TBYTESRC_X43Y93 GRID_POINT_Y 59 TILEPROP RIOI3_TBYTESRC_X43Y93 INDEX 6898 TILEPROP RIOI3_TBYTESRC_X43Y93 INT_TILE_X 43 TILEPROP RIOI3_TBYTESRC_X43Y93 INT_TILE_Y 56 TILEPROP RIOI3_TBYTESRC_X43Y93 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y93 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y93 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTESRC_X43Y93 NAME RIOI3_TBYTESRC_X43Y93 TILEPROP RIOI3_TBYTESRC_X43Y93 NUM_ARCS 429 TILEPROP RIOI3_TBYTESRC_X43Y93 NUM_SITES 6 TILEPROP RIOI3_TBYTESRC_X43Y93 ROW 59 TILEPROP RIOI3_TBYTESRC_X43Y93 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTESRC_X43Y93 TILE_PATTERN_IDX 1919 TILEPROP RIOI3_TBYTESRC_X43Y93 TILE_TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTESRC_X43Y93 TILE_TYPE_INDEX 122 TILEPROP RIOI3_TBYTESRC_X43Y93 TILE_X 106366 TILEPROP RIOI3_TBYTESRC_X43Y93 TILE_Y 61824 TILEPROP RIOI3_TBYTESRC_X43Y93 TYPE RIOI3_TBYTESRC TILEPROP RIOI3_TBYTETERM_X43Y13 CLASS tile TILEPROP RIOI3_TBYTETERM_X43Y13 COLUMN 113 TILEPROP RIOI3_TBYTETERM_X43Y13 DEVICE_ID 0 TILEPROP RIOI3_TBYTETERM_X43Y13 FIRST_SITE_ID 14425 TILEPROP RIOI3_TBYTETERM_X43Y13 GRID_POINT_X 113 TILEPROP RIOI3_TBYTETERM_X43Y13 GRID_POINT_Y 142 TILEPROP RIOI3_TBYTETERM_X43Y13 INDEX 16443 TILEPROP RIOI3_TBYTETERM_X43Y13 INT_TILE_X 43 TILEPROP RIOI3_TBYTETERM_X43Y13 INT_TILE_Y 136 TILEPROP RIOI3_TBYTETERM_X43Y13 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y13 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y13 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y13 NAME RIOI3_TBYTETERM_X43Y13 TILEPROP RIOI3_TBYTETERM_X43Y13 NUM_ARCS 427 TILEPROP RIOI3_TBYTETERM_X43Y13 NUM_SITES 6 TILEPROP RIOI3_TBYTETERM_X43Y13 ROW 142 TILEPROP RIOI3_TBYTETERM_X43Y13 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTETERM_X43Y13 TILE_PATTERN_IDX 3055 TILEPROP RIOI3_TBYTETERM_X43Y13 TILE_TYPE RIOI3_TBYTETERM TILEPROP RIOI3_TBYTETERM_X43Y13 TILE_TYPE_INDEX 123 TILEPROP RIOI3_TBYTETERM_X43Y13 TILE_X 106366 TILEPROP RIOI3_TBYTETERM_X43Y13 TILE_Y -196472 TILEPROP RIOI3_TBYTETERM_X43Y13 TYPE RIOI3_TBYTETERM TILEPROP RIOI3_TBYTETERM_X43Y37 CLASS tile TILEPROP RIOI3_TBYTETERM_X43Y37 COLUMN 113 TILEPROP RIOI3_TBYTETERM_X43Y37 DEVICE_ID 0 TILEPROP RIOI3_TBYTETERM_X43Y37 FIRST_SITE_ID 11792 TILEPROP RIOI3_TBYTETERM_X43Y37 GRID_POINT_X 113 TILEPROP RIOI3_TBYTETERM_X43Y37 GRID_POINT_Y 117 TILEPROP RIOI3_TBYTETERM_X43Y37 INDEX 13568 TILEPROP RIOI3_TBYTETERM_X43Y37 INT_TILE_X 43 TILEPROP RIOI3_TBYTETERM_X43Y37 INT_TILE_Y 112 TILEPROP RIOI3_TBYTETERM_X43Y37 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y37 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y37 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y37 NAME RIOI3_TBYTETERM_X43Y37 TILEPROP RIOI3_TBYTETERM_X43Y37 NUM_ARCS 427 TILEPROP RIOI3_TBYTETERM_X43Y37 NUM_SITES 6 TILEPROP RIOI3_TBYTETERM_X43Y37 ROW 117 TILEPROP RIOI3_TBYTETERM_X43Y37 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTETERM_X43Y37 TILE_PATTERN_IDX 2140 TILEPROP RIOI3_TBYTETERM_X43Y37 TILE_TYPE RIOI3_TBYTETERM TILEPROP RIOI3_TBYTETERM_X43Y37 TILE_TYPE_INDEX 123 TILEPROP RIOI3_TBYTETERM_X43Y37 TILE_X 106366 TILEPROP RIOI3_TBYTETERM_X43Y37 TILE_Y -118648 TILEPROP RIOI3_TBYTETERM_X43Y37 TYPE RIOI3_TBYTETERM TILEPROP RIOI3_TBYTETERM_X43Y63 CLASS tile TILEPROP RIOI3_TBYTETERM_X43Y63 COLUMN 113 TILEPROP RIOI3_TBYTETERM_X43Y63 DEVICE_ID 0 TILEPROP RIOI3_TBYTETERM_X43Y63 FIRST_SITE_ID 9037 TILEPROP RIOI3_TBYTETERM_X43Y63 GRID_POINT_X 113 TILEPROP RIOI3_TBYTETERM_X43Y63 GRID_POINT_Y 90 TILEPROP RIOI3_TBYTETERM_X43Y63 INDEX 10463 TILEPROP RIOI3_TBYTETERM_X43Y63 INT_TILE_X 43 TILEPROP RIOI3_TBYTETERM_X43Y63 INT_TILE_Y 86 TILEPROP RIOI3_TBYTETERM_X43Y63 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y63 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y63 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y63 NAME RIOI3_TBYTETERM_X43Y63 TILEPROP RIOI3_TBYTETERM_X43Y63 NUM_ARCS 427 TILEPROP RIOI3_TBYTETERM_X43Y63 NUM_SITES 6 TILEPROP RIOI3_TBYTETERM_X43Y63 ROW 90 TILEPROP RIOI3_TBYTETERM_X43Y63 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTETERM_X43Y63 TILE_PATTERN_IDX 3055 TILEPROP RIOI3_TBYTETERM_X43Y63 TILE_TYPE RIOI3_TBYTETERM TILEPROP RIOI3_TBYTETERM_X43Y63 TILE_TYPE_INDEX 123 TILEPROP RIOI3_TBYTETERM_X43Y63 TILE_X 106366 TILEPROP RIOI3_TBYTETERM_X43Y63 TILE_Y -35200 TILEPROP RIOI3_TBYTETERM_X43Y63 TYPE RIOI3_TBYTETERM TILEPROP RIOI3_TBYTETERM_X43Y87 CLASS tile TILEPROP RIOI3_TBYTETERM_X43Y87 COLUMN 113 TILEPROP RIOI3_TBYTETERM_X43Y87 DEVICE_ID 0 TILEPROP RIOI3_TBYTETERM_X43Y87 FIRST_SITE_ID 6416 TILEPROP RIOI3_TBYTETERM_X43Y87 GRID_POINT_X 113 TILEPROP RIOI3_TBYTETERM_X43Y87 GRID_POINT_Y 65 TILEPROP RIOI3_TBYTETERM_X43Y87 INDEX 7588 TILEPROP RIOI3_TBYTETERM_X43Y87 INT_TILE_X 43 TILEPROP RIOI3_TBYTETERM_X43Y87 INT_TILE_Y 62 TILEPROP RIOI3_TBYTETERM_X43Y87 IS_CENTER_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y87 IS_DCM_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y87 IS_GT_SITE_TILE 0 TILEPROP RIOI3_TBYTETERM_X43Y87 NAME RIOI3_TBYTETERM_X43Y87 TILEPROP RIOI3_TBYTETERM_X43Y87 NUM_ARCS 427 TILEPROP RIOI3_TBYTETERM_X43Y87 NUM_SITES 6 TILEPROP RIOI3_TBYTETERM_X43Y87 ROW 65 TILEPROP RIOI3_TBYTETERM_X43Y87 SLR_REGION_ID 0 TILEPROP RIOI3_TBYTETERM_X43Y87 TILE_PATTERN_IDX 2140 TILEPROP RIOI3_TBYTETERM_X43Y87 TILE_TYPE RIOI3_TBYTETERM TILEPROP RIOI3_TBYTETERM_X43Y87 TILE_TYPE_INDEX 123 TILEPROP RIOI3_TBYTETERM_X43Y87 TILE_X 106366 TILEPROP RIOI3_TBYTETERM_X43Y87 TILE_Y 42624 TILEPROP RIOI3_TBYTETERM_X43Y87 TYPE RIOI3_TBYTETERM TILEPROP RIOI3_X43Y1 CLASS tile TILEPROP RIOI3_X43Y1 COLUMN 113 TILEPROP RIOI3_X43Y1 DEVICE_ID 0 TILEPROP RIOI3_X43Y1 FIRST_SITE_ID 15694 TILEPROP RIOI3_X43Y1 GRID_POINT_X 113 TILEPROP RIOI3_X43Y1 GRID_POINT_Y 154 TILEPROP RIOI3_X43Y1 INDEX 17823 TILEPROP RIOI3_X43Y1 INT_TILE_X 43 TILEPROP RIOI3_X43Y1 INT_TILE_Y 148 TILEPROP RIOI3_X43Y1 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y1 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y1 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y1 NAME RIOI3_X43Y1 TILEPROP RIOI3_X43Y1 NUM_ARCS 434 TILEPROP RIOI3_X43Y1 NUM_SITES 6 TILEPROP RIOI3_X43Y1 ROW 154 TILEPROP RIOI3_X43Y1 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y1 TILE_PATTERN_IDX 3497 TILEPROP RIOI3_X43Y1 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y1 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y1 TILE_X 106366 TILEPROP RIOI3_X43Y1 TILE_Y -234872 TILEPROP RIOI3_X43Y1 TYPE RIOI3 TILEPROP RIOI3_X43Y3 CLASS tile TILEPROP RIOI3_X43Y3 COLUMN 113 TILEPROP RIOI3_X43Y3 DEVICE_ID 0 TILEPROP RIOI3_X43Y3 FIRST_SITE_ID 15493 TILEPROP RIOI3_X43Y3 GRID_POINT_X 113 TILEPROP RIOI3_X43Y3 GRID_POINT_Y 152 TILEPROP RIOI3_X43Y3 INDEX 17593 TILEPROP RIOI3_X43Y3 INT_TILE_X 43 TILEPROP RIOI3_X43Y3 INT_TILE_Y 146 TILEPROP RIOI3_X43Y3 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y3 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y3 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y3 NAME RIOI3_X43Y3 TILEPROP RIOI3_X43Y3 NUM_ARCS 434 TILEPROP RIOI3_X43Y3 NUM_SITES 6 TILEPROP RIOI3_X43Y3 ROW 152 TILEPROP RIOI3_X43Y3 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y3 TILE_PATTERN_IDX 3423 TILEPROP RIOI3_X43Y3 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y3 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y3 TILE_X 106366 TILEPROP RIOI3_X43Y3 TILE_Y -228472 TILEPROP RIOI3_X43Y3 TYPE RIOI3 TILEPROP RIOI3_X43Y5 CLASS tile TILEPROP RIOI3_X43Y5 COLUMN 113 TILEPROP RIOI3_X43Y5 DEVICE_ID 0 TILEPROP RIOI3_X43Y5 FIRST_SITE_ID 15293 TILEPROP RIOI3_X43Y5 GRID_POINT_X 113 TILEPROP RIOI3_X43Y5 GRID_POINT_Y 150 TILEPROP RIOI3_X43Y5 INDEX 17363 TILEPROP RIOI3_X43Y5 INT_TILE_X 43 TILEPROP RIOI3_X43Y5 INT_TILE_Y 144 TILEPROP RIOI3_X43Y5 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y5 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y5 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y5 NAME RIOI3_X43Y5 TILEPROP RIOI3_X43Y5 NUM_ARCS 434 TILEPROP RIOI3_X43Y5 NUM_SITES 6 TILEPROP RIOI3_X43Y5 ROW 150 TILEPROP RIOI3_X43Y5 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y5 TILE_PATTERN_IDX 3350 TILEPROP RIOI3_X43Y5 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y5 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y5 TILE_X 106366 TILEPROP RIOI3_X43Y5 TILE_Y -222072 TILEPROP RIOI3_X43Y5 TYPE RIOI3 TILEPROP RIOI3_X43Y9 CLASS tile TILEPROP RIOI3_X43Y9 COLUMN 113 TILEPROP RIOI3_X43Y9 DEVICE_ID 0 TILEPROP RIOI3_X43Y9 FIRST_SITE_ID 14872 TILEPROP RIOI3_X43Y9 GRID_POINT_X 113 TILEPROP RIOI3_X43Y9 GRID_POINT_Y 146 TILEPROP RIOI3_X43Y9 INDEX 16903 TILEPROP RIOI3_X43Y9 INT_TILE_X 43 TILEPROP RIOI3_X43Y9 INT_TILE_Y 140 TILEPROP RIOI3_X43Y9 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y9 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y9 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y9 NAME RIOI3_X43Y9 TILEPROP RIOI3_X43Y9 NUM_ARCS 434 TILEPROP RIOI3_X43Y9 NUM_SITES 6 TILEPROP RIOI3_X43Y9 ROW 146 TILEPROP RIOI3_X43Y9 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y9 TILE_PATTERN_IDX 3200 TILEPROP RIOI3_X43Y9 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y9 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y9 TILE_X 106366 TILEPROP RIOI3_X43Y9 TILE_Y -209272 TILEPROP RIOI3_X43Y9 TYPE RIOI3 TILEPROP RIOI3_X43Y11 CLASS tile TILEPROP RIOI3_X43Y11 COLUMN 113 TILEPROP RIOI3_X43Y11 DEVICE_ID 0 TILEPROP RIOI3_X43Y11 FIRST_SITE_ID 14657 TILEPROP RIOI3_X43Y11 GRID_POINT_X 113 TILEPROP RIOI3_X43Y11 GRID_POINT_Y 144 TILEPROP RIOI3_X43Y11 INDEX 16673 TILEPROP RIOI3_X43Y11 INT_TILE_X 43 TILEPROP RIOI3_X43Y11 INT_TILE_Y 138 TILEPROP RIOI3_X43Y11 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y11 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y11 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y11 NAME RIOI3_X43Y11 TILEPROP RIOI3_X43Y11 NUM_ARCS 434 TILEPROP RIOI3_X43Y11 NUM_SITES 6 TILEPROP RIOI3_X43Y11 ROW 144 TILEPROP RIOI3_X43Y11 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y11 TILE_PATTERN_IDX 3127 TILEPROP RIOI3_X43Y11 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y11 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y11 TILE_X 106366 TILEPROP RIOI3_X43Y11 TILE_Y -202872 TILEPROP RIOI3_X43Y11 TYPE RIOI3 TILEPROP RIOI3_X43Y15 CLASS tile TILEPROP RIOI3_X43Y15 COLUMN 113 TILEPROP RIOI3_X43Y15 DEVICE_ID 0 TILEPROP RIOI3_X43Y15 FIRST_SITE_ID 14225 TILEPROP RIOI3_X43Y15 GRID_POINT_X 113 TILEPROP RIOI3_X43Y15 GRID_POINT_Y 140 TILEPROP RIOI3_X43Y15 INDEX 16213 TILEPROP RIOI3_X43Y15 INT_TILE_X 43 TILEPROP RIOI3_X43Y15 INT_TILE_Y 134 TILEPROP RIOI3_X43Y15 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y15 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y15 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y15 NAME RIOI3_X43Y15 TILEPROP RIOI3_X43Y15 NUM_ARCS 434 TILEPROP RIOI3_X43Y15 NUM_SITES 6 TILEPROP RIOI3_X43Y15 ROW 140 TILEPROP RIOI3_X43Y15 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y15 TILE_PATTERN_IDX 2984 TILEPROP RIOI3_X43Y15 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y15 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y15 TILE_X 106366 TILEPROP RIOI3_X43Y15 TILE_Y -190072 TILEPROP RIOI3_X43Y15 TYPE RIOI3 TILEPROP RIOI3_X43Y17 CLASS tile TILEPROP RIOI3_X43Y17 COLUMN 113 TILEPROP RIOI3_X43Y17 DEVICE_ID 0 TILEPROP RIOI3_X43Y17 FIRST_SITE_ID 14010 TILEPROP RIOI3_X43Y17 GRID_POINT_X 113 TILEPROP RIOI3_X43Y17 GRID_POINT_Y 138 TILEPROP RIOI3_X43Y17 INDEX 15983 TILEPROP RIOI3_X43Y17 INT_TILE_X 43 TILEPROP RIOI3_X43Y17 INT_TILE_Y 132 TILEPROP RIOI3_X43Y17 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y17 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y17 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y17 NAME RIOI3_X43Y17 TILEPROP RIOI3_X43Y17 NUM_ARCS 434 TILEPROP RIOI3_X43Y17 NUM_SITES 6 TILEPROP RIOI3_X43Y17 ROW 138 TILEPROP RIOI3_X43Y17 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y17 TILE_PATTERN_IDX 2912 TILEPROP RIOI3_X43Y17 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y17 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y17 TILE_X 106366 TILEPROP RIOI3_X43Y17 TILE_Y -183672 TILEPROP RIOI3_X43Y17 TYPE RIOI3 TILEPROP RIOI3_X43Y21 CLASS tile TILEPROP RIOI3_X43Y21 COLUMN 113 TILEPROP RIOI3_X43Y21 DEVICE_ID 0 TILEPROP RIOI3_X43Y21 FIRST_SITE_ID 13582 TILEPROP RIOI3_X43Y21 GRID_POINT_X 113 TILEPROP RIOI3_X43Y21 GRID_POINT_Y 134 TILEPROP RIOI3_X43Y21 INDEX 15523 TILEPROP RIOI3_X43Y21 INT_TILE_X 43 TILEPROP RIOI3_X43Y21 INT_TILE_Y 128 TILEPROP RIOI3_X43Y21 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y21 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y21 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y21 NAME RIOI3_X43Y21 TILEPROP RIOI3_X43Y21 NUM_ARCS 434 TILEPROP RIOI3_X43Y21 NUM_SITES 6 TILEPROP RIOI3_X43Y21 ROW 134 TILEPROP RIOI3_X43Y21 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y21 TILE_PATTERN_IDX 2764 TILEPROP RIOI3_X43Y21 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y21 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y21 TILE_X 106366 TILEPROP RIOI3_X43Y21 TILE_Y -170872 TILEPROP RIOI3_X43Y21 TYPE RIOI3 TILEPROP RIOI3_X43Y23 CLASS tile TILEPROP RIOI3_X43Y23 COLUMN 113 TILEPROP RIOI3_X43Y23 DEVICE_ID 0 TILEPROP RIOI3_X43Y23 FIRST_SITE_ID 13382 TILEPROP RIOI3_X43Y23 GRID_POINT_X 113 TILEPROP RIOI3_X43Y23 GRID_POINT_Y 132 TILEPROP RIOI3_X43Y23 INDEX 15293 TILEPROP RIOI3_X43Y23 INT_TILE_X 43 TILEPROP RIOI3_X43Y23 INT_TILE_Y 126 TILEPROP RIOI3_X43Y23 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y23 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y23 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y23 NAME RIOI3_X43Y23 TILEPROP RIOI3_X43Y23 NUM_ARCS 434 TILEPROP RIOI3_X43Y23 NUM_SITES 6 TILEPROP RIOI3_X43Y23 ROW 132 TILEPROP RIOI3_X43Y23 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y23 TILE_PATTERN_IDX 2691 TILEPROP RIOI3_X43Y23 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y23 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y23 TILE_X 106366 TILEPROP RIOI3_X43Y23 TILE_Y -164472 TILEPROP RIOI3_X43Y23 TYPE RIOI3 TILEPROP RIOI3_X43Y25 CLASS tile TILEPROP RIOI3_X43Y25 COLUMN 113 TILEPROP RIOI3_X43Y25 DEVICE_ID 0 TILEPROP RIOI3_X43Y25 FIRST_SITE_ID 13099 TILEPROP RIOI3_X43Y25 GRID_POINT_X 113 TILEPROP RIOI3_X43Y25 GRID_POINT_Y 129 TILEPROP RIOI3_X43Y25 INDEX 14948 TILEPROP RIOI3_X43Y25 INT_TILE_X 43 TILEPROP RIOI3_X43Y25 INT_TILE_Y 124 TILEPROP RIOI3_X43Y25 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y25 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y25 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y25 NAME RIOI3_X43Y25 TILEPROP RIOI3_X43Y25 NUM_ARCS 434 TILEPROP RIOI3_X43Y25 NUM_SITES 6 TILEPROP RIOI3_X43Y25 ROW 129 TILEPROP RIOI3_X43Y25 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y25 TILE_PATTERN_IDX 2580 TILEPROP RIOI3_X43Y25 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y25 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y25 TILE_X 106366 TILEPROP RIOI3_X43Y25 TILE_Y -157048 TILEPROP RIOI3_X43Y25 TYPE RIOI3 TILEPROP RIOI3_X43Y27 CLASS tile TILEPROP RIOI3_X43Y27 COLUMN 113 TILEPROP RIOI3_X43Y27 DEVICE_ID 0 TILEPROP RIOI3_X43Y27 FIRST_SITE_ID 12884 TILEPROP RIOI3_X43Y27 GRID_POINT_X 113 TILEPROP RIOI3_X43Y27 GRID_POINT_Y 127 TILEPROP RIOI3_X43Y27 INDEX 14718 TILEPROP RIOI3_X43Y27 INT_TILE_X 43 TILEPROP RIOI3_X43Y27 INT_TILE_Y 122 TILEPROP RIOI3_X43Y27 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y27 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y27 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y27 NAME RIOI3_X43Y27 TILEPROP RIOI3_X43Y27 NUM_ARCS 434 TILEPROP RIOI3_X43Y27 NUM_SITES 6 TILEPROP RIOI3_X43Y27 ROW 127 TILEPROP RIOI3_X43Y27 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y27 TILE_PATTERN_IDX 2506 TILEPROP RIOI3_X43Y27 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y27 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y27 TILE_X 106366 TILEPROP RIOI3_X43Y27 TILE_Y -150648 TILEPROP RIOI3_X43Y27 TYPE RIOI3 TILEPROP RIOI3_X43Y29 CLASS tile TILEPROP RIOI3_X43Y29 COLUMN 113 TILEPROP RIOI3_X43Y29 DEVICE_ID 0 TILEPROP RIOI3_X43Y29 FIRST_SITE_ID 12684 TILEPROP RIOI3_X43Y29 GRID_POINT_X 113 TILEPROP RIOI3_X43Y29 GRID_POINT_Y 125 TILEPROP RIOI3_X43Y29 INDEX 14488 TILEPROP RIOI3_X43Y29 INT_TILE_X 43 TILEPROP RIOI3_X43Y29 INT_TILE_Y 120 TILEPROP RIOI3_X43Y29 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y29 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y29 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y29 NAME RIOI3_X43Y29 TILEPROP RIOI3_X43Y29 NUM_ARCS 434 TILEPROP RIOI3_X43Y29 NUM_SITES 6 TILEPROP RIOI3_X43Y29 ROW 125 TILEPROP RIOI3_X43Y29 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y29 TILE_PATTERN_IDX 2433 TILEPROP RIOI3_X43Y29 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y29 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y29 TILE_X 106366 TILEPROP RIOI3_X43Y29 TILE_Y -144248 TILEPROP RIOI3_X43Y29 TYPE RIOI3 TILEPROP RIOI3_X43Y33 CLASS tile TILEPROP RIOI3_X43Y33 COLUMN 113 TILEPROP RIOI3_X43Y33 DEVICE_ID 0 TILEPROP RIOI3_X43Y33 FIRST_SITE_ID 12239 TILEPROP RIOI3_X43Y33 GRID_POINT_X 113 TILEPROP RIOI3_X43Y33 GRID_POINT_Y 121 TILEPROP RIOI3_X43Y33 INDEX 14028 TILEPROP RIOI3_X43Y33 INT_TILE_X 43 TILEPROP RIOI3_X43Y33 INT_TILE_Y 116 TILEPROP RIOI3_X43Y33 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y33 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y33 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y33 NAME RIOI3_X43Y33 TILEPROP RIOI3_X43Y33 NUM_ARCS 434 TILEPROP RIOI3_X43Y33 NUM_SITES 6 TILEPROP RIOI3_X43Y33 ROW 121 TILEPROP RIOI3_X43Y33 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y33 TILE_PATTERN_IDX 2284 TILEPROP RIOI3_X43Y33 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y33 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y33 TILE_X 106366 TILEPROP RIOI3_X43Y33 TILE_Y -131448 TILEPROP RIOI3_X43Y33 TYPE RIOI3 TILEPROP RIOI3_X43Y35 CLASS tile TILEPROP RIOI3_X43Y35 COLUMN 113 TILEPROP RIOI3_X43Y35 DEVICE_ID 0 TILEPROP RIOI3_X43Y35 FIRST_SITE_ID 12039 TILEPROP RIOI3_X43Y35 GRID_POINT_X 113 TILEPROP RIOI3_X43Y35 GRID_POINT_Y 119 TILEPROP RIOI3_X43Y35 INDEX 13798 TILEPROP RIOI3_X43Y35 INT_TILE_X 43 TILEPROP RIOI3_X43Y35 INT_TILE_Y 114 TILEPROP RIOI3_X43Y35 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y35 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y35 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y35 NAME RIOI3_X43Y35 TILEPROP RIOI3_X43Y35 NUM_ARCS 434 TILEPROP RIOI3_X43Y35 NUM_SITES 6 TILEPROP RIOI3_X43Y35 ROW 119 TILEPROP RIOI3_X43Y35 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y35 TILE_PATTERN_IDX 2213 TILEPROP RIOI3_X43Y35 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y35 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y35 TILE_X 106366 TILEPROP RIOI3_X43Y35 TILE_Y -125048 TILEPROP RIOI3_X43Y35 TYPE RIOI3 TILEPROP RIOI3_X43Y39 CLASS tile TILEPROP RIOI3_X43Y39 COLUMN 113 TILEPROP RIOI3_X43Y39 DEVICE_ID 0 TILEPROP RIOI3_X43Y39 FIRST_SITE_ID 11592 TILEPROP RIOI3_X43Y39 GRID_POINT_X 113 TILEPROP RIOI3_X43Y39 GRID_POINT_Y 115 TILEPROP RIOI3_X43Y39 INDEX 13338 TILEPROP RIOI3_X43Y39 INT_TILE_X 43 TILEPROP RIOI3_X43Y39 INT_TILE_Y 110 TILEPROP RIOI3_X43Y39 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y39 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y39 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y39 NAME RIOI3_X43Y39 TILEPROP RIOI3_X43Y39 NUM_ARCS 434 TILEPROP RIOI3_X43Y39 NUM_SITES 6 TILEPROP RIOI3_X43Y39 ROW 115 TILEPROP RIOI3_X43Y39 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y39 TILE_PATTERN_IDX 2068 TILEPROP RIOI3_X43Y39 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y39 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y39 TILE_X 106366 TILEPROP RIOI3_X43Y39 TILE_Y -112248 TILEPROP RIOI3_X43Y39 TYPE RIOI3 TILEPROP RIOI3_X43Y41 CLASS tile TILEPROP RIOI3_X43Y41 COLUMN 113 TILEPROP RIOI3_X43Y41 DEVICE_ID 0 TILEPROP RIOI3_X43Y41 FIRST_SITE_ID 11375 TILEPROP RIOI3_X43Y41 GRID_POINT_X 113 TILEPROP RIOI3_X43Y41 GRID_POINT_Y 113 TILEPROP RIOI3_X43Y41 INDEX 13108 TILEPROP RIOI3_X43Y41 INT_TILE_X 43 TILEPROP RIOI3_X43Y41 INT_TILE_Y 108 TILEPROP RIOI3_X43Y41 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y41 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y41 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y41 NAME RIOI3_X43Y41 TILEPROP RIOI3_X43Y41 NUM_ARCS 434 TILEPROP RIOI3_X43Y41 NUM_SITES 6 TILEPROP RIOI3_X43Y41 ROW 113 TILEPROP RIOI3_X43Y41 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y41 TILE_PATTERN_IDX 1994 TILEPROP RIOI3_X43Y41 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y41 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y41 TILE_X 106366 TILEPROP RIOI3_X43Y41 TILE_Y -105848 TILEPROP RIOI3_X43Y41 TYPE RIOI3 TILEPROP RIOI3_X43Y45 CLASS tile TILEPROP RIOI3_X43Y45 COLUMN 113 TILEPROP RIOI3_X43Y45 DEVICE_ID 0 TILEPROP RIOI3_X43Y45 FIRST_SITE_ID 10968 TILEPROP RIOI3_X43Y45 GRID_POINT_X 113 TILEPROP RIOI3_X43Y45 GRID_POINT_Y 109 TILEPROP RIOI3_X43Y45 INDEX 12648 TILEPROP RIOI3_X43Y45 INT_TILE_X 43 TILEPROP RIOI3_X43Y45 INT_TILE_Y 104 TILEPROP RIOI3_X43Y45 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y45 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y45 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y45 NAME RIOI3_X43Y45 TILEPROP RIOI3_X43Y45 NUM_ARCS 434 TILEPROP RIOI3_X43Y45 NUM_SITES 6 TILEPROP RIOI3_X43Y45 ROW 109 TILEPROP RIOI3_X43Y45 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y45 TILE_PATTERN_IDX 1845 TILEPROP RIOI3_X43Y45 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y45 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y45 TILE_X 106366 TILEPROP RIOI3_X43Y45 TILE_Y -93048 TILEPROP RIOI3_X43Y45 TYPE RIOI3 TILEPROP RIOI3_X43Y47 CLASS tile TILEPROP RIOI3_X43Y47 COLUMN 113 TILEPROP RIOI3_X43Y47 DEVICE_ID 0 TILEPROP RIOI3_X43Y47 FIRST_SITE_ID 10736 TILEPROP RIOI3_X43Y47 GRID_POINT_X 113 TILEPROP RIOI3_X43Y47 GRID_POINT_Y 107 TILEPROP RIOI3_X43Y47 INDEX 12418 TILEPROP RIOI3_X43Y47 INT_TILE_X 43 TILEPROP RIOI3_X43Y47 INT_TILE_Y 102 TILEPROP RIOI3_X43Y47 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y47 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y47 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y47 NAME RIOI3_X43Y47 TILEPROP RIOI3_X43Y47 NUM_ARCS 434 TILEPROP RIOI3_X43Y47 NUM_SITES 6 TILEPROP RIOI3_X43Y47 ROW 107 TILEPROP RIOI3_X43Y47 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y47 TILE_PATTERN_IDX 1764 TILEPROP RIOI3_X43Y47 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y47 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y47 TILE_X 106366 TILEPROP RIOI3_X43Y47 TILE_Y -86648 TILEPROP RIOI3_X43Y47 TYPE RIOI3 TILEPROP RIOI3_X43Y51 CLASS tile TILEPROP RIOI3_X43Y51 COLUMN 113 TILEPROP RIOI3_X43Y51 DEVICE_ID 0 TILEPROP RIOI3_X43Y51 FIRST_SITE_ID 10305 TILEPROP RIOI3_X43Y51 GRID_POINT_X 113 TILEPROP RIOI3_X43Y51 GRID_POINT_Y 102 TILEPROP RIOI3_X43Y51 INDEX 11843 TILEPROP RIOI3_X43Y51 INT_TILE_X 43 TILEPROP RIOI3_X43Y51 INT_TILE_Y 98 TILEPROP RIOI3_X43Y51 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y51 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y51 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y51 NAME RIOI3_X43Y51 TILEPROP RIOI3_X43Y51 NUM_ARCS 434 TILEPROP RIOI3_X43Y51 NUM_SITES 6 TILEPROP RIOI3_X43Y51 ROW 102 TILEPROP RIOI3_X43Y51 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y51 TILE_PATTERN_IDX 3497 TILEPROP RIOI3_X43Y51 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y51 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y51 TILE_X 106366 TILEPROP RIOI3_X43Y51 TILE_Y -73600 TILEPROP RIOI3_X43Y51 TYPE RIOI3 TILEPROP RIOI3_X43Y53 CLASS tile TILEPROP RIOI3_X43Y53 COLUMN 113 TILEPROP RIOI3_X43Y53 DEVICE_ID 0 TILEPROP RIOI3_X43Y53 FIRST_SITE_ID 10105 TILEPROP RIOI3_X43Y53 GRID_POINT_X 113 TILEPROP RIOI3_X43Y53 GRID_POINT_Y 100 TILEPROP RIOI3_X43Y53 INDEX 11613 TILEPROP RIOI3_X43Y53 INT_TILE_X 43 TILEPROP RIOI3_X43Y53 INT_TILE_Y 96 TILEPROP RIOI3_X43Y53 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y53 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y53 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y53 NAME RIOI3_X43Y53 TILEPROP RIOI3_X43Y53 NUM_ARCS 434 TILEPROP RIOI3_X43Y53 NUM_SITES 6 TILEPROP RIOI3_X43Y53 ROW 100 TILEPROP RIOI3_X43Y53 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y53 TILE_PATTERN_IDX 3423 TILEPROP RIOI3_X43Y53 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y53 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y53 TILE_X 106366 TILEPROP RIOI3_X43Y53 TILE_Y -67200 TILEPROP RIOI3_X43Y53 TYPE RIOI3 TILEPROP RIOI3_X43Y55 CLASS tile TILEPROP RIOI3_X43Y55 COLUMN 113 TILEPROP RIOI3_X43Y55 DEVICE_ID 0 TILEPROP RIOI3_X43Y55 FIRST_SITE_ID 9905 TILEPROP RIOI3_X43Y55 GRID_POINT_X 113 TILEPROP RIOI3_X43Y55 GRID_POINT_Y 98 TILEPROP RIOI3_X43Y55 INDEX 11383 TILEPROP RIOI3_X43Y55 INT_TILE_X 43 TILEPROP RIOI3_X43Y55 INT_TILE_Y 94 TILEPROP RIOI3_X43Y55 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y55 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y55 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y55 NAME RIOI3_X43Y55 TILEPROP RIOI3_X43Y55 NUM_ARCS 434 TILEPROP RIOI3_X43Y55 NUM_SITES 6 TILEPROP RIOI3_X43Y55 ROW 98 TILEPROP RIOI3_X43Y55 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y55 TILE_PATTERN_IDX 3350 TILEPROP RIOI3_X43Y55 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y55 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y55 TILE_X 106366 TILEPROP RIOI3_X43Y55 TILE_Y -60800 TILEPROP RIOI3_X43Y55 TYPE RIOI3 TILEPROP RIOI3_X43Y59 CLASS tile TILEPROP RIOI3_X43Y59 COLUMN 113 TILEPROP RIOI3_X43Y59 DEVICE_ID 0 TILEPROP RIOI3_X43Y59 FIRST_SITE_ID 9484 TILEPROP RIOI3_X43Y59 GRID_POINT_X 113 TILEPROP RIOI3_X43Y59 GRID_POINT_Y 94 TILEPROP RIOI3_X43Y59 INDEX 10923 TILEPROP RIOI3_X43Y59 INT_TILE_X 43 TILEPROP RIOI3_X43Y59 INT_TILE_Y 90 TILEPROP RIOI3_X43Y59 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y59 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y59 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y59 NAME RIOI3_X43Y59 TILEPROP RIOI3_X43Y59 NUM_ARCS 434 TILEPROP RIOI3_X43Y59 NUM_SITES 6 TILEPROP RIOI3_X43Y59 ROW 94 TILEPROP RIOI3_X43Y59 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y59 TILE_PATTERN_IDX 3200 TILEPROP RIOI3_X43Y59 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y59 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y59 TILE_X 106366 TILEPROP RIOI3_X43Y59 TILE_Y -48000 TILEPROP RIOI3_X43Y59 TYPE RIOI3 TILEPROP RIOI3_X43Y61 CLASS tile TILEPROP RIOI3_X43Y61 COLUMN 113 TILEPROP RIOI3_X43Y61 DEVICE_ID 0 TILEPROP RIOI3_X43Y61 FIRST_SITE_ID 9269 TILEPROP RIOI3_X43Y61 GRID_POINT_X 113 TILEPROP RIOI3_X43Y61 GRID_POINT_Y 92 TILEPROP RIOI3_X43Y61 INDEX 10693 TILEPROP RIOI3_X43Y61 INT_TILE_X 43 TILEPROP RIOI3_X43Y61 INT_TILE_Y 88 TILEPROP RIOI3_X43Y61 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y61 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y61 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y61 NAME RIOI3_X43Y61 TILEPROP RIOI3_X43Y61 NUM_ARCS 434 TILEPROP RIOI3_X43Y61 NUM_SITES 6 TILEPROP RIOI3_X43Y61 ROW 92 TILEPROP RIOI3_X43Y61 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y61 TILE_PATTERN_IDX 3127 TILEPROP RIOI3_X43Y61 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y61 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y61 TILE_X 106366 TILEPROP RIOI3_X43Y61 TILE_Y -41600 TILEPROP RIOI3_X43Y61 TYPE RIOI3 TILEPROP RIOI3_X43Y65 CLASS tile TILEPROP RIOI3_X43Y65 COLUMN 113 TILEPROP RIOI3_X43Y65 DEVICE_ID 0 TILEPROP RIOI3_X43Y65 FIRST_SITE_ID 8837 TILEPROP RIOI3_X43Y65 GRID_POINT_X 113 TILEPROP RIOI3_X43Y65 GRID_POINT_Y 88 TILEPROP RIOI3_X43Y65 INDEX 10233 TILEPROP RIOI3_X43Y65 INT_TILE_X 43 TILEPROP RIOI3_X43Y65 INT_TILE_Y 84 TILEPROP RIOI3_X43Y65 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y65 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y65 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y65 NAME RIOI3_X43Y65 TILEPROP RIOI3_X43Y65 NUM_ARCS 434 TILEPROP RIOI3_X43Y65 NUM_SITES 6 TILEPROP RIOI3_X43Y65 ROW 88 TILEPROP RIOI3_X43Y65 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y65 TILE_PATTERN_IDX 2984 TILEPROP RIOI3_X43Y65 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y65 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y65 TILE_X 106366 TILEPROP RIOI3_X43Y65 TILE_Y -28800 TILEPROP RIOI3_X43Y65 TYPE RIOI3 TILEPROP RIOI3_X43Y67 CLASS tile TILEPROP RIOI3_X43Y67 COLUMN 113 TILEPROP RIOI3_X43Y67 DEVICE_ID 0 TILEPROP RIOI3_X43Y67 FIRST_SITE_ID 8622 TILEPROP RIOI3_X43Y67 GRID_POINT_X 113 TILEPROP RIOI3_X43Y67 GRID_POINT_Y 86 TILEPROP RIOI3_X43Y67 INDEX 10003 TILEPROP RIOI3_X43Y67 INT_TILE_X 43 TILEPROP RIOI3_X43Y67 INT_TILE_Y 82 TILEPROP RIOI3_X43Y67 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y67 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y67 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y67 NAME RIOI3_X43Y67 TILEPROP RIOI3_X43Y67 NUM_ARCS 434 TILEPROP RIOI3_X43Y67 NUM_SITES 6 TILEPROP RIOI3_X43Y67 ROW 86 TILEPROP RIOI3_X43Y67 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y67 TILE_PATTERN_IDX 2912 TILEPROP RIOI3_X43Y67 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y67 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y67 TILE_X 106366 TILEPROP RIOI3_X43Y67 TILE_Y -22400 TILEPROP RIOI3_X43Y67 TYPE RIOI3 TILEPROP RIOI3_X43Y71 CLASS tile TILEPROP RIOI3_X43Y71 COLUMN 113 TILEPROP RIOI3_X43Y71 DEVICE_ID 0 TILEPROP RIOI3_X43Y71 FIRST_SITE_ID 8195 TILEPROP RIOI3_X43Y71 GRID_POINT_X 113 TILEPROP RIOI3_X43Y71 GRID_POINT_Y 82 TILEPROP RIOI3_X43Y71 INDEX 9543 TILEPROP RIOI3_X43Y71 INT_TILE_X 43 TILEPROP RIOI3_X43Y71 INT_TILE_Y 78 TILEPROP RIOI3_X43Y71 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y71 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y71 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y71 NAME RIOI3_X43Y71 TILEPROP RIOI3_X43Y71 NUM_ARCS 434 TILEPROP RIOI3_X43Y71 NUM_SITES 6 TILEPROP RIOI3_X43Y71 ROW 82 TILEPROP RIOI3_X43Y71 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y71 TILE_PATTERN_IDX 2764 TILEPROP RIOI3_X43Y71 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y71 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y71 TILE_X 106366 TILEPROP RIOI3_X43Y71 TILE_Y -9600 TILEPROP RIOI3_X43Y71 TYPE RIOI3 TILEPROP RIOI3_X43Y73 CLASS tile TILEPROP RIOI3_X43Y73 COLUMN 113 TILEPROP RIOI3_X43Y73 DEVICE_ID 0 TILEPROP RIOI3_X43Y73 FIRST_SITE_ID 7995 TILEPROP RIOI3_X43Y73 GRID_POINT_X 113 TILEPROP RIOI3_X43Y73 GRID_POINT_Y 80 TILEPROP RIOI3_X43Y73 INDEX 9313 TILEPROP RIOI3_X43Y73 INT_TILE_X 43 TILEPROP RIOI3_X43Y73 INT_TILE_Y 76 TILEPROP RIOI3_X43Y73 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y73 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y73 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y73 NAME RIOI3_X43Y73 TILEPROP RIOI3_X43Y73 NUM_ARCS 434 TILEPROP RIOI3_X43Y73 NUM_SITES 6 TILEPROP RIOI3_X43Y73 ROW 80 TILEPROP RIOI3_X43Y73 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y73 TILE_PATTERN_IDX 2691 TILEPROP RIOI3_X43Y73 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y73 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y73 TILE_X 106366 TILEPROP RIOI3_X43Y73 TILE_Y -3200 TILEPROP RIOI3_X43Y73 TYPE RIOI3 TILEPROP RIOI3_X43Y75 CLASS tile TILEPROP RIOI3_X43Y75 COLUMN 113 TILEPROP RIOI3_X43Y75 DEVICE_ID 0 TILEPROP RIOI3_X43Y75 FIRST_SITE_ID 7712 TILEPROP RIOI3_X43Y75 GRID_POINT_X 113 TILEPROP RIOI3_X43Y75 GRID_POINT_Y 77 TILEPROP RIOI3_X43Y75 INDEX 8968 TILEPROP RIOI3_X43Y75 INT_TILE_X 43 TILEPROP RIOI3_X43Y75 INT_TILE_Y 74 TILEPROP RIOI3_X43Y75 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y75 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y75 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y75 NAME RIOI3_X43Y75 TILEPROP RIOI3_X43Y75 NUM_ARCS 434 TILEPROP RIOI3_X43Y75 NUM_SITES 6 TILEPROP RIOI3_X43Y75 ROW 77 TILEPROP RIOI3_X43Y75 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y75 TILE_PATTERN_IDX 2580 TILEPROP RIOI3_X43Y75 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y75 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y75 TILE_X 106366 TILEPROP RIOI3_X43Y75 TILE_Y 4224 TILEPROP RIOI3_X43Y75 TYPE RIOI3 TILEPROP RIOI3_X43Y77 CLASS tile TILEPROP RIOI3_X43Y77 COLUMN 113 TILEPROP RIOI3_X43Y77 DEVICE_ID 0 TILEPROP RIOI3_X43Y77 FIRST_SITE_ID 7494 TILEPROP RIOI3_X43Y77 GRID_POINT_X 113 TILEPROP RIOI3_X43Y77 GRID_POINT_Y 75 TILEPROP RIOI3_X43Y77 INDEX 8738 TILEPROP RIOI3_X43Y77 INT_TILE_X 43 TILEPROP RIOI3_X43Y77 INT_TILE_Y 72 TILEPROP RIOI3_X43Y77 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y77 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y77 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y77 NAME RIOI3_X43Y77 TILEPROP RIOI3_X43Y77 NUM_ARCS 434 TILEPROP RIOI3_X43Y77 NUM_SITES 6 TILEPROP RIOI3_X43Y77 ROW 75 TILEPROP RIOI3_X43Y77 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y77 TILE_PATTERN_IDX 2506 TILEPROP RIOI3_X43Y77 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y77 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y77 TILE_X 106366 TILEPROP RIOI3_X43Y77 TILE_Y 10624 TILEPROP RIOI3_X43Y77 TYPE RIOI3 TILEPROP RIOI3_X43Y79 CLASS tile TILEPROP RIOI3_X43Y79 COLUMN 113 TILEPROP RIOI3_X43Y79 DEVICE_ID 0 TILEPROP RIOI3_X43Y79 FIRST_SITE_ID 7294 TILEPROP RIOI3_X43Y79 GRID_POINT_X 113 TILEPROP RIOI3_X43Y79 GRID_POINT_Y 73 TILEPROP RIOI3_X43Y79 INDEX 8508 TILEPROP RIOI3_X43Y79 INT_TILE_X 43 TILEPROP RIOI3_X43Y79 INT_TILE_Y 70 TILEPROP RIOI3_X43Y79 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y79 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y79 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y79 NAME RIOI3_X43Y79 TILEPROP RIOI3_X43Y79 NUM_ARCS 434 TILEPROP RIOI3_X43Y79 NUM_SITES 6 TILEPROP RIOI3_X43Y79 ROW 73 TILEPROP RIOI3_X43Y79 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y79 TILE_PATTERN_IDX 2433 TILEPROP RIOI3_X43Y79 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y79 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y79 TILE_X 106366 TILEPROP RIOI3_X43Y79 TILE_Y 17024 TILEPROP RIOI3_X43Y79 TYPE RIOI3 TILEPROP RIOI3_X43Y83 CLASS tile TILEPROP RIOI3_X43Y83 COLUMN 113 TILEPROP RIOI3_X43Y83 DEVICE_ID 0 TILEPROP RIOI3_X43Y83 FIRST_SITE_ID 6863 TILEPROP RIOI3_X43Y83 GRID_POINT_X 113 TILEPROP RIOI3_X43Y83 GRID_POINT_Y 69 TILEPROP RIOI3_X43Y83 INDEX 8048 TILEPROP RIOI3_X43Y83 INT_TILE_X 43 TILEPROP RIOI3_X43Y83 INT_TILE_Y 66 TILEPROP RIOI3_X43Y83 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y83 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y83 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y83 NAME RIOI3_X43Y83 TILEPROP RIOI3_X43Y83 NUM_ARCS 434 TILEPROP RIOI3_X43Y83 NUM_SITES 6 TILEPROP RIOI3_X43Y83 ROW 69 TILEPROP RIOI3_X43Y83 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y83 TILE_PATTERN_IDX 2284 TILEPROP RIOI3_X43Y83 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y83 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y83 TILE_X 106366 TILEPROP RIOI3_X43Y83 TILE_Y 29824 TILEPROP RIOI3_X43Y83 TYPE RIOI3 TILEPROP RIOI3_X43Y85 CLASS tile TILEPROP RIOI3_X43Y85 COLUMN 113 TILEPROP RIOI3_X43Y85 DEVICE_ID 0 TILEPROP RIOI3_X43Y85 FIRST_SITE_ID 6663 TILEPROP RIOI3_X43Y85 GRID_POINT_X 113 TILEPROP RIOI3_X43Y85 GRID_POINT_Y 67 TILEPROP RIOI3_X43Y85 INDEX 7818 TILEPROP RIOI3_X43Y85 INT_TILE_X 43 TILEPROP RIOI3_X43Y85 INT_TILE_Y 64 TILEPROP RIOI3_X43Y85 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y85 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y85 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y85 NAME RIOI3_X43Y85 TILEPROP RIOI3_X43Y85 NUM_ARCS 434 TILEPROP RIOI3_X43Y85 NUM_SITES 6 TILEPROP RIOI3_X43Y85 ROW 67 TILEPROP RIOI3_X43Y85 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y85 TILE_PATTERN_IDX 2213 TILEPROP RIOI3_X43Y85 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y85 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y85 TILE_X 106366 TILEPROP RIOI3_X43Y85 TILE_Y 36224 TILEPROP RIOI3_X43Y85 TYPE RIOI3 TILEPROP RIOI3_X43Y89 CLASS tile TILEPROP RIOI3_X43Y89 COLUMN 113 TILEPROP RIOI3_X43Y89 DEVICE_ID 0 TILEPROP RIOI3_X43Y89 FIRST_SITE_ID 6216 TILEPROP RIOI3_X43Y89 GRID_POINT_X 113 TILEPROP RIOI3_X43Y89 GRID_POINT_Y 63 TILEPROP RIOI3_X43Y89 INDEX 7358 TILEPROP RIOI3_X43Y89 INT_TILE_X 43 TILEPROP RIOI3_X43Y89 INT_TILE_Y 60 TILEPROP RIOI3_X43Y89 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y89 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y89 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y89 NAME RIOI3_X43Y89 TILEPROP RIOI3_X43Y89 NUM_ARCS 434 TILEPROP RIOI3_X43Y89 NUM_SITES 6 TILEPROP RIOI3_X43Y89 ROW 63 TILEPROP RIOI3_X43Y89 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y89 TILE_PATTERN_IDX 2068 TILEPROP RIOI3_X43Y89 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y89 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y89 TILE_X 106366 TILEPROP RIOI3_X43Y89 TILE_Y 49024 TILEPROP RIOI3_X43Y89 TYPE RIOI3 TILEPROP RIOI3_X43Y91 CLASS tile TILEPROP RIOI3_X43Y91 COLUMN 113 TILEPROP RIOI3_X43Y91 DEVICE_ID 0 TILEPROP RIOI3_X43Y91 FIRST_SITE_ID 6001 TILEPROP RIOI3_X43Y91 GRID_POINT_X 113 TILEPROP RIOI3_X43Y91 GRID_POINT_Y 61 TILEPROP RIOI3_X43Y91 INDEX 7128 TILEPROP RIOI3_X43Y91 INT_TILE_X 43 TILEPROP RIOI3_X43Y91 INT_TILE_Y 58 TILEPROP RIOI3_X43Y91 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y91 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y91 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y91 NAME RIOI3_X43Y91 TILEPROP RIOI3_X43Y91 NUM_ARCS 434 TILEPROP RIOI3_X43Y91 NUM_SITES 6 TILEPROP RIOI3_X43Y91 ROW 61 TILEPROP RIOI3_X43Y91 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y91 TILE_PATTERN_IDX 1994 TILEPROP RIOI3_X43Y91 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y91 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y91 TILE_X 106366 TILEPROP RIOI3_X43Y91 TILE_Y 55424 TILEPROP RIOI3_X43Y91 TYPE RIOI3 TILEPROP RIOI3_X43Y95 CLASS tile TILEPROP RIOI3_X43Y95 COLUMN 113 TILEPROP RIOI3_X43Y95 DEVICE_ID 0 TILEPROP RIOI3_X43Y95 FIRST_SITE_ID 5595 TILEPROP RIOI3_X43Y95 GRID_POINT_X 113 TILEPROP RIOI3_X43Y95 GRID_POINT_Y 57 TILEPROP RIOI3_X43Y95 INDEX 6668 TILEPROP RIOI3_X43Y95 INT_TILE_X 43 TILEPROP RIOI3_X43Y95 INT_TILE_Y 54 TILEPROP RIOI3_X43Y95 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y95 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y95 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y95 NAME RIOI3_X43Y95 TILEPROP RIOI3_X43Y95 NUM_ARCS 434 TILEPROP RIOI3_X43Y95 NUM_SITES 6 TILEPROP RIOI3_X43Y95 ROW 57 TILEPROP RIOI3_X43Y95 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y95 TILE_PATTERN_IDX 1845 TILEPROP RIOI3_X43Y95 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y95 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y95 TILE_X 106366 TILEPROP RIOI3_X43Y95 TILE_Y 68224 TILEPROP RIOI3_X43Y95 TYPE RIOI3 TILEPROP RIOI3_X43Y97 CLASS tile TILEPROP RIOI3_X43Y97 COLUMN 113 TILEPROP RIOI3_X43Y97 DEVICE_ID 0 TILEPROP RIOI3_X43Y97 FIRST_SITE_ID 5380 TILEPROP RIOI3_X43Y97 GRID_POINT_X 113 TILEPROP RIOI3_X43Y97 GRID_POINT_Y 55 TILEPROP RIOI3_X43Y97 INDEX 6438 TILEPROP RIOI3_X43Y97 INT_TILE_X 43 TILEPROP RIOI3_X43Y97 INT_TILE_Y 52 TILEPROP RIOI3_X43Y97 IS_CENTER_TILE 0 TILEPROP RIOI3_X43Y97 IS_DCM_TILE 0 TILEPROP RIOI3_X43Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP RIOI3_X43Y97 IS_GT_SITE_TILE 0 TILEPROP RIOI3_X43Y97 NAME RIOI3_X43Y97 TILEPROP RIOI3_X43Y97 NUM_ARCS 434 TILEPROP RIOI3_X43Y97 NUM_SITES 6 TILEPROP RIOI3_X43Y97 ROW 55 TILEPROP RIOI3_X43Y97 SLR_REGION_ID 0 TILEPROP RIOI3_X43Y97 TILE_PATTERN_IDX 1764 TILEPROP RIOI3_X43Y97 TILE_TYPE RIOI3 TILEPROP RIOI3_X43Y97 TILE_TYPE_INDEX 120 TILEPROP RIOI3_X43Y97 TILE_X 106366 TILEPROP RIOI3_X43Y97 TILE_Y 74624 TILEPROP RIOI3_X43Y97 TYPE RIOI3 TILEPROP R_TERM_INT_GTX_X95Y105 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y105 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y105 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y105 FIRST_SITE_ID 5088 TILEPROP R_TERM_INT_GTX_X95Y105 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y105 GRID_POINT_Y 51 TILEPROP R_TERM_INT_GTX_X95Y105 INDEX 5960 TILEPROP R_TERM_INT_GTX_X95Y105 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y105 INT_TILE_Y 49 TILEPROP R_TERM_INT_GTX_X95Y105 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y105 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y105 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y105 NAME R_TERM_INT_GTX_X95Y105 TILEPROP R_TERM_INT_GTX_X95Y105 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y105 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y105 ROW 51 TILEPROP R_TERM_INT_GTX_X95Y105 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y105 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y105 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y105 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y105 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y105 TILE_Y 82872 TILEPROP R_TERM_INT_GTX_X95Y105 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y106 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y106 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y106 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y106 FIRST_SITE_ID 4987 TILEPROP R_TERM_INT_GTX_X95Y106 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y106 GRID_POINT_Y 50 TILEPROP R_TERM_INT_GTX_X95Y106 INDEX 5845 TILEPROP R_TERM_INT_GTX_X95Y106 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y106 INT_TILE_Y 48 TILEPROP R_TERM_INT_GTX_X95Y106 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y106 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y106 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y106 NAME R_TERM_INT_GTX_X95Y106 TILEPROP R_TERM_INT_GTX_X95Y106 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y106 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y106 ROW 50 TILEPROP R_TERM_INT_GTX_X95Y106 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y106 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y106 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y106 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y106 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y106 TILE_Y 86072 TILEPROP R_TERM_INT_GTX_X95Y106 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y107 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y107 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y107 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y107 FIRST_SITE_ID 4891 TILEPROP R_TERM_INT_GTX_X95Y107 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y107 GRID_POINT_Y 49 TILEPROP R_TERM_INT_GTX_X95Y107 INDEX 5730 TILEPROP R_TERM_INT_GTX_X95Y107 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y107 INT_TILE_Y 47 TILEPROP R_TERM_INT_GTX_X95Y107 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y107 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y107 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y107 NAME R_TERM_INT_GTX_X95Y107 TILEPROP R_TERM_INT_GTX_X95Y107 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y107 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y107 ROW 49 TILEPROP R_TERM_INT_GTX_X95Y107 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y107 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y107 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y107 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y107 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y107 TILE_Y 89272 TILEPROP R_TERM_INT_GTX_X95Y107 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y108 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y108 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y108 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y108 FIRST_SITE_ID 4803 TILEPROP R_TERM_INT_GTX_X95Y108 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y108 GRID_POINT_Y 48 TILEPROP R_TERM_INT_GTX_X95Y108 INDEX 5615 TILEPROP R_TERM_INT_GTX_X95Y108 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y108 INT_TILE_Y 46 TILEPROP R_TERM_INT_GTX_X95Y108 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y108 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y108 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y108 NAME R_TERM_INT_GTX_X95Y108 TILEPROP R_TERM_INT_GTX_X95Y108 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y108 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y108 ROW 48 TILEPROP R_TERM_INT_GTX_X95Y108 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y108 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y108 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y108 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y108 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y108 TILE_Y 92472 TILEPROP R_TERM_INT_GTX_X95Y108 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y109 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y109 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y109 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y109 FIRST_SITE_ID 4707 TILEPROP R_TERM_INT_GTX_X95Y109 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y109 GRID_POINT_Y 47 TILEPROP R_TERM_INT_GTX_X95Y109 INDEX 5500 TILEPROP R_TERM_INT_GTX_X95Y109 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y109 INT_TILE_Y 45 TILEPROP R_TERM_INT_GTX_X95Y109 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y109 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y109 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y109 NAME R_TERM_INT_GTX_X95Y109 TILEPROP R_TERM_INT_GTX_X95Y109 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y109 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y109 ROW 47 TILEPROP R_TERM_INT_GTX_X95Y109 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y109 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y109 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y109 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y109 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y109 TILE_Y 95672 TILEPROP R_TERM_INT_GTX_X95Y109 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y110 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y110 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y110 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y110 FIRST_SITE_ID 4614 TILEPROP R_TERM_INT_GTX_X95Y110 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y110 GRID_POINT_Y 46 TILEPROP R_TERM_INT_GTX_X95Y110 INDEX 5385 TILEPROP R_TERM_INT_GTX_X95Y110 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y110 INT_TILE_Y 44 TILEPROP R_TERM_INT_GTX_X95Y110 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y110 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y110 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y110 NAME R_TERM_INT_GTX_X95Y110 TILEPROP R_TERM_INT_GTX_X95Y110 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y110 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y110 ROW 46 TILEPROP R_TERM_INT_GTX_X95Y110 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y110 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y110 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y110 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y110 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y110 TILE_Y 98872 TILEPROP R_TERM_INT_GTX_X95Y110 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y111 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y111 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y111 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y111 FIRST_SITE_ID 4509 TILEPROP R_TERM_INT_GTX_X95Y111 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y111 GRID_POINT_Y 45 TILEPROP R_TERM_INT_GTX_X95Y111 INDEX 5270 TILEPROP R_TERM_INT_GTX_X95Y111 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y111 INT_TILE_Y 43 TILEPROP R_TERM_INT_GTX_X95Y111 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y111 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y111 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y111 NAME R_TERM_INT_GTX_X95Y111 TILEPROP R_TERM_INT_GTX_X95Y111 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y111 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y111 ROW 45 TILEPROP R_TERM_INT_GTX_X95Y111 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y111 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y111 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y111 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y111 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y111 TILE_Y 102072 TILEPROP R_TERM_INT_GTX_X95Y111 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y112 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y112 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y112 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y112 FIRST_SITE_ID 4421 TILEPROP R_TERM_INT_GTX_X95Y112 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y112 GRID_POINT_Y 44 TILEPROP R_TERM_INT_GTX_X95Y112 INDEX 5155 TILEPROP R_TERM_INT_GTX_X95Y112 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y112 INT_TILE_Y 42 TILEPROP R_TERM_INT_GTX_X95Y112 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y112 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y112 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y112 NAME R_TERM_INT_GTX_X95Y112 TILEPROP R_TERM_INT_GTX_X95Y112 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y112 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y112 ROW 44 TILEPROP R_TERM_INT_GTX_X95Y112 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y112 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y112 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y112 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y112 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y112 TILE_Y 105272 TILEPROP R_TERM_INT_GTX_X95Y112 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y113 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y113 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y113 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y113 FIRST_SITE_ID 4323 TILEPROP R_TERM_INT_GTX_X95Y113 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y113 GRID_POINT_Y 43 TILEPROP R_TERM_INT_GTX_X95Y113 INDEX 5040 TILEPROP R_TERM_INT_GTX_X95Y113 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y113 INT_TILE_Y 41 TILEPROP R_TERM_INT_GTX_X95Y113 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y113 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y113 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y113 NAME R_TERM_INT_GTX_X95Y113 TILEPROP R_TERM_INT_GTX_X95Y113 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y113 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y113 ROW 43 TILEPROP R_TERM_INT_GTX_X95Y113 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y113 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y113 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y113 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y113 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y113 TILE_Y 108472 TILEPROP R_TERM_INT_GTX_X95Y113 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y114 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y114 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y114 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y114 FIRST_SITE_ID 4234 TILEPROP R_TERM_INT_GTX_X95Y114 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y114 GRID_POINT_Y 42 TILEPROP R_TERM_INT_GTX_X95Y114 INDEX 4925 TILEPROP R_TERM_INT_GTX_X95Y114 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y114 INT_TILE_Y 40 TILEPROP R_TERM_INT_GTX_X95Y114 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y114 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y114 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y114 NAME R_TERM_INT_GTX_X95Y114 TILEPROP R_TERM_INT_GTX_X95Y114 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y114 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y114 ROW 42 TILEPROP R_TERM_INT_GTX_X95Y114 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y114 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y114 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y114 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y114 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y114 TILE_Y 111672 TILEPROP R_TERM_INT_GTX_X95Y114 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y115 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y115 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y115 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y115 FIRST_SITE_ID 4138 TILEPROP R_TERM_INT_GTX_X95Y115 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y115 GRID_POINT_Y 41 TILEPROP R_TERM_INT_GTX_X95Y115 INDEX 4810 TILEPROP R_TERM_INT_GTX_X95Y115 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y115 INT_TILE_Y 39 TILEPROP R_TERM_INT_GTX_X95Y115 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y115 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y115 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y115 NAME R_TERM_INT_GTX_X95Y115 TILEPROP R_TERM_INT_GTX_X95Y115 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y115 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y115 ROW 41 TILEPROP R_TERM_INT_GTX_X95Y115 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y115 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y115 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y115 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y115 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y115 TILE_Y 114872 TILEPROP R_TERM_INT_GTX_X95Y115 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y116 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y116 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y116 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y116 FIRST_SITE_ID 4040 TILEPROP R_TERM_INT_GTX_X95Y116 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y116 GRID_POINT_Y 40 TILEPROP R_TERM_INT_GTX_X95Y116 INDEX 4695 TILEPROP R_TERM_INT_GTX_X95Y116 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y116 INT_TILE_Y 38 TILEPROP R_TERM_INT_GTX_X95Y116 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y116 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y116 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y116 NAME R_TERM_INT_GTX_X95Y116 TILEPROP R_TERM_INT_GTX_X95Y116 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y116 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y116 ROW 40 TILEPROP R_TERM_INT_GTX_X95Y116 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y116 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y116 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y116 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y116 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y116 TILE_Y 118072 TILEPROP R_TERM_INT_GTX_X95Y116 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y117 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y117 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y117 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y117 FIRST_SITE_ID 3944 TILEPROP R_TERM_INT_GTX_X95Y117 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y117 GRID_POINT_Y 39 TILEPROP R_TERM_INT_GTX_X95Y117 INDEX 4580 TILEPROP R_TERM_INT_GTX_X95Y117 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y117 INT_TILE_Y 37 TILEPROP R_TERM_INT_GTX_X95Y117 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y117 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y117 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y117 NAME R_TERM_INT_GTX_X95Y117 TILEPROP R_TERM_INT_GTX_X95Y117 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y117 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y117 ROW 39 TILEPROP R_TERM_INT_GTX_X95Y117 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y117 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y117 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y117 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y117 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y117 TILE_Y 121272 TILEPROP R_TERM_INT_GTX_X95Y117 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y118 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y118 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y118 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y118 FIRST_SITE_ID 3824 TILEPROP R_TERM_INT_GTX_X95Y118 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y118 GRID_POINT_Y 38 TILEPROP R_TERM_INT_GTX_X95Y118 INDEX 4465 TILEPROP R_TERM_INT_GTX_X95Y118 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y118 INT_TILE_Y 36 TILEPROP R_TERM_INT_GTX_X95Y118 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y118 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y118 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y118 NAME R_TERM_INT_GTX_X95Y118 TILEPROP R_TERM_INT_GTX_X95Y118 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y118 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y118 ROW 38 TILEPROP R_TERM_INT_GTX_X95Y118 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y118 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y118 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y118 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y118 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y118 TILE_Y 124472 TILEPROP R_TERM_INT_GTX_X95Y118 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y119 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y119 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y119 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y119 FIRST_SITE_ID 3728 TILEPROP R_TERM_INT_GTX_X95Y119 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y119 GRID_POINT_Y 37 TILEPROP R_TERM_INT_GTX_X95Y119 INDEX 4350 TILEPROP R_TERM_INT_GTX_X95Y119 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y119 INT_TILE_Y 35 TILEPROP R_TERM_INT_GTX_X95Y119 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y119 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y119 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y119 NAME R_TERM_INT_GTX_X95Y119 TILEPROP R_TERM_INT_GTX_X95Y119 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y119 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y119 ROW 37 TILEPROP R_TERM_INT_GTX_X95Y119 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y119 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y119 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y119 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y119 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y119 TILE_Y 127672 TILEPROP R_TERM_INT_GTX_X95Y119 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y120 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y120 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y120 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y120 FIRST_SITE_ID 3640 TILEPROP R_TERM_INT_GTX_X95Y120 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y120 GRID_POINT_Y 36 TILEPROP R_TERM_INT_GTX_X95Y120 INDEX 4235 TILEPROP R_TERM_INT_GTX_X95Y120 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y120 INT_TILE_Y 34 TILEPROP R_TERM_INT_GTX_X95Y120 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y120 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y120 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y120 NAME R_TERM_INT_GTX_X95Y120 TILEPROP R_TERM_INT_GTX_X95Y120 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y120 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y120 ROW 36 TILEPROP R_TERM_INT_GTX_X95Y120 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y120 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y120 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y120 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y120 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y120 TILE_Y 130872 TILEPROP R_TERM_INT_GTX_X95Y120 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y121 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y121 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y121 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y121 FIRST_SITE_ID 3530 TILEPROP R_TERM_INT_GTX_X95Y121 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y121 GRID_POINT_Y 35 TILEPROP R_TERM_INT_GTX_X95Y121 INDEX 4120 TILEPROP R_TERM_INT_GTX_X95Y121 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y121 INT_TILE_Y 33 TILEPROP R_TERM_INT_GTX_X95Y121 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y121 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y121 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y121 NAME R_TERM_INT_GTX_X95Y121 TILEPROP R_TERM_INT_GTX_X95Y121 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y121 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y121 ROW 35 TILEPROP R_TERM_INT_GTX_X95Y121 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y121 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y121 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y121 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y121 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y121 TILE_Y 134072 TILEPROP R_TERM_INT_GTX_X95Y121 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y122 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y122 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y122 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y122 FIRST_SITE_ID 3442 TILEPROP R_TERM_INT_GTX_X95Y122 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y122 GRID_POINT_Y 34 TILEPROP R_TERM_INT_GTX_X95Y122 INDEX 4005 TILEPROP R_TERM_INT_GTX_X95Y122 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y122 INT_TILE_Y 32 TILEPROP R_TERM_INT_GTX_X95Y122 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y122 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y122 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y122 NAME R_TERM_INT_GTX_X95Y122 TILEPROP R_TERM_INT_GTX_X95Y122 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y122 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y122 ROW 34 TILEPROP R_TERM_INT_GTX_X95Y122 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y122 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y122 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y122 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y122 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y122 TILE_Y 137272 TILEPROP R_TERM_INT_GTX_X95Y122 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y123 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y123 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y123 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y123 FIRST_SITE_ID 3342 TILEPROP R_TERM_INT_GTX_X95Y123 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y123 GRID_POINT_Y 33 TILEPROP R_TERM_INT_GTX_X95Y123 INDEX 3890 TILEPROP R_TERM_INT_GTX_X95Y123 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y123 INT_TILE_Y 31 TILEPROP R_TERM_INT_GTX_X95Y123 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y123 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y123 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y123 NAME R_TERM_INT_GTX_X95Y123 TILEPROP R_TERM_INT_GTX_X95Y123 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y123 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y123 ROW 33 TILEPROP R_TERM_INT_GTX_X95Y123 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y123 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y123 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y123 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y123 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y123 TILE_Y 140472 TILEPROP R_TERM_INT_GTX_X95Y123 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y124 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y124 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y124 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y124 FIRST_SITE_ID 3254 TILEPROP R_TERM_INT_GTX_X95Y124 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y124 GRID_POINT_Y 32 TILEPROP R_TERM_INT_GTX_X95Y124 INDEX 3775 TILEPROP R_TERM_INT_GTX_X95Y124 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y124 INT_TILE_Y 30 TILEPROP R_TERM_INT_GTX_X95Y124 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y124 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y124 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y124 NAME R_TERM_INT_GTX_X95Y124 TILEPROP R_TERM_INT_GTX_X95Y124 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y124 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y124 ROW 32 TILEPROP R_TERM_INT_GTX_X95Y124 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y124 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y124 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y124 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y124 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y124 TILE_Y 143672 TILEPROP R_TERM_INT_GTX_X95Y124 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y125 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y125 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y125 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y125 FIRST_SITE_ID 3156 TILEPROP R_TERM_INT_GTX_X95Y125 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y125 GRID_POINT_Y 31 TILEPROP R_TERM_INT_GTX_X95Y125 INDEX 3660 TILEPROP R_TERM_INT_GTX_X95Y125 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y125 INT_TILE_Y 29 TILEPROP R_TERM_INT_GTX_X95Y125 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y125 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y125 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y125 NAME R_TERM_INT_GTX_X95Y125 TILEPROP R_TERM_INT_GTX_X95Y125 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y125 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y125 ROW 31 TILEPROP R_TERM_INT_GTX_X95Y125 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y125 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y125 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y125 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y125 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y125 TILE_Y 146872 TILEPROP R_TERM_INT_GTX_X95Y125 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y126 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y126 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y126 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y126 FIRST_SITE_ID 3059 TILEPROP R_TERM_INT_GTX_X95Y126 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y126 GRID_POINT_Y 30 TILEPROP R_TERM_INT_GTX_X95Y126 INDEX 3545 TILEPROP R_TERM_INT_GTX_X95Y126 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y126 INT_TILE_Y 28 TILEPROP R_TERM_INT_GTX_X95Y126 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y126 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y126 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y126 NAME R_TERM_INT_GTX_X95Y126 TILEPROP R_TERM_INT_GTX_X95Y126 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y126 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y126 ROW 30 TILEPROP R_TERM_INT_GTX_X95Y126 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y126 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y126 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y126 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y126 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y126 TILE_Y 150072 TILEPROP R_TERM_INT_GTX_X95Y126 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y127 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y127 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y127 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y127 FIRST_SITE_ID 2956 TILEPROP R_TERM_INT_GTX_X95Y127 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y127 GRID_POINT_Y 29 TILEPROP R_TERM_INT_GTX_X95Y127 INDEX 3430 TILEPROP R_TERM_INT_GTX_X95Y127 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y127 INT_TILE_Y 27 TILEPROP R_TERM_INT_GTX_X95Y127 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y127 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y127 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y127 NAME R_TERM_INT_GTX_X95Y127 TILEPROP R_TERM_INT_GTX_X95Y127 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y127 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y127 ROW 29 TILEPROP R_TERM_INT_GTX_X95Y127 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y127 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y127 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y127 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y127 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y127 TILE_Y 153272 TILEPROP R_TERM_INT_GTX_X95Y127 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y128 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y128 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y128 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y128 FIRST_SITE_ID 2868 TILEPROP R_TERM_INT_GTX_X95Y128 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y128 GRID_POINT_Y 28 TILEPROP R_TERM_INT_GTX_X95Y128 INDEX 3315 TILEPROP R_TERM_INT_GTX_X95Y128 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y128 INT_TILE_Y 26 TILEPROP R_TERM_INT_GTX_X95Y128 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y128 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y128 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y128 NAME R_TERM_INT_GTX_X95Y128 TILEPROP R_TERM_INT_GTX_X95Y128 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y128 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y128 ROW 28 TILEPROP R_TERM_INT_GTX_X95Y128 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y128 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y128 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y128 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y128 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y128 TILE_Y 156472 TILEPROP R_TERM_INT_GTX_X95Y128 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y129 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y129 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y129 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y129 FIRST_SITE_ID 2772 TILEPROP R_TERM_INT_GTX_X95Y129 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y129 GRID_POINT_Y 27 TILEPROP R_TERM_INT_GTX_X95Y129 INDEX 3200 TILEPROP R_TERM_INT_GTX_X95Y129 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y129 INT_TILE_Y 25 TILEPROP R_TERM_INT_GTX_X95Y129 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y129 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y129 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y129 NAME R_TERM_INT_GTX_X95Y129 TILEPROP R_TERM_INT_GTX_X95Y129 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y129 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y129 ROW 27 TILEPROP R_TERM_INT_GTX_X95Y129 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y129 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y129 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y129 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y129 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y129 TILE_Y 159672 TILEPROP R_TERM_INT_GTX_X95Y129 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y131 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y131 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y131 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y131 FIRST_SITE_ID 2613 TILEPROP R_TERM_INT_GTX_X95Y131 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y131 GRID_POINT_Y 25 TILEPROP R_TERM_INT_GTX_X95Y131 INDEX 2970 TILEPROP R_TERM_INT_GTX_X95Y131 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y131 INT_TILE_Y 24 TILEPROP R_TERM_INT_GTX_X95Y131 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y131 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y131 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y131 NAME R_TERM_INT_GTX_X95Y131 TILEPROP R_TERM_INT_GTX_X95Y131 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y131 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y131 ROW 25 TILEPROP R_TERM_INT_GTX_X95Y131 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y131 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y131 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y131 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y131 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y131 TILE_Y 163896 TILEPROP R_TERM_INT_GTX_X95Y131 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y132 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y132 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y132 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y132 FIRST_SITE_ID 2497 TILEPROP R_TERM_INT_GTX_X95Y132 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y132 GRID_POINT_Y 24 TILEPROP R_TERM_INT_GTX_X95Y132 INDEX 2855 TILEPROP R_TERM_INT_GTX_X95Y132 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y132 INT_TILE_Y 23 TILEPROP R_TERM_INT_GTX_X95Y132 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y132 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y132 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y132 NAME R_TERM_INT_GTX_X95Y132 TILEPROP R_TERM_INT_GTX_X95Y132 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y132 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y132 ROW 24 TILEPROP R_TERM_INT_GTX_X95Y132 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y132 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y132 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y132 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y132 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y132 TILE_Y 167096 TILEPROP R_TERM_INT_GTX_X95Y132 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y133 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y133 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y133 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y133 FIRST_SITE_ID 2401 TILEPROP R_TERM_INT_GTX_X95Y133 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y133 GRID_POINT_Y 23 TILEPROP R_TERM_INT_GTX_X95Y133 INDEX 2740 TILEPROP R_TERM_INT_GTX_X95Y133 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y133 INT_TILE_Y 22 TILEPROP R_TERM_INT_GTX_X95Y133 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y133 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y133 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y133 NAME R_TERM_INT_GTX_X95Y133 TILEPROP R_TERM_INT_GTX_X95Y133 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y133 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y133 ROW 23 TILEPROP R_TERM_INT_GTX_X95Y133 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y133 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y133 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y133 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y133 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y133 TILE_Y 170296 TILEPROP R_TERM_INT_GTX_X95Y133 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y134 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y134 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y134 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y134 FIRST_SITE_ID 2297 TILEPROP R_TERM_INT_GTX_X95Y134 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y134 GRID_POINT_Y 22 TILEPROP R_TERM_INT_GTX_X95Y134 INDEX 2625 TILEPROP R_TERM_INT_GTX_X95Y134 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y134 INT_TILE_Y 21 TILEPROP R_TERM_INT_GTX_X95Y134 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y134 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y134 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y134 NAME R_TERM_INT_GTX_X95Y134 TILEPROP R_TERM_INT_GTX_X95Y134 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y134 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y134 ROW 22 TILEPROP R_TERM_INT_GTX_X95Y134 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y134 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y134 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y134 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y134 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y134 TILE_Y 173496 TILEPROP R_TERM_INT_GTX_X95Y134 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y135 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y135 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y135 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y135 FIRST_SITE_ID 2201 TILEPROP R_TERM_INT_GTX_X95Y135 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y135 GRID_POINT_Y 21 TILEPROP R_TERM_INT_GTX_X95Y135 INDEX 2510 TILEPROP R_TERM_INT_GTX_X95Y135 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y135 INT_TILE_Y 20 TILEPROP R_TERM_INT_GTX_X95Y135 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y135 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y135 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y135 NAME R_TERM_INT_GTX_X95Y135 TILEPROP R_TERM_INT_GTX_X95Y135 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y135 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y135 ROW 21 TILEPROP R_TERM_INT_GTX_X95Y135 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y135 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y135 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y135 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y135 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y135 TILE_Y 176696 TILEPROP R_TERM_INT_GTX_X95Y135 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y136 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y136 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y136 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y136 FIRST_SITE_ID 2091 TILEPROP R_TERM_INT_GTX_X95Y136 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y136 GRID_POINT_Y 20 TILEPROP R_TERM_INT_GTX_X95Y136 INDEX 2395 TILEPROP R_TERM_INT_GTX_X95Y136 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y136 INT_TILE_Y 19 TILEPROP R_TERM_INT_GTX_X95Y136 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y136 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y136 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y136 NAME R_TERM_INT_GTX_X95Y136 TILEPROP R_TERM_INT_GTX_X95Y136 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y136 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y136 ROW 20 TILEPROP R_TERM_INT_GTX_X95Y136 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y136 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y136 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y136 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y136 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y136 TILE_Y 179896 TILEPROP R_TERM_INT_GTX_X95Y136 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y137 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y137 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y137 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y137 FIRST_SITE_ID 1983 TILEPROP R_TERM_INT_GTX_X95Y137 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y137 GRID_POINT_Y 19 TILEPROP R_TERM_INT_GTX_X95Y137 INDEX 2280 TILEPROP R_TERM_INT_GTX_X95Y137 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y137 INT_TILE_Y 18 TILEPROP R_TERM_INT_GTX_X95Y137 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y137 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y137 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y137 NAME R_TERM_INT_GTX_X95Y137 TILEPROP R_TERM_INT_GTX_X95Y137 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y137 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y137 ROW 19 TILEPROP R_TERM_INT_GTX_X95Y137 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y137 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y137 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y137 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y137 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y137 TILE_Y 183096 TILEPROP R_TERM_INT_GTX_X95Y137 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y138 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y138 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y138 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y138 FIRST_SITE_ID 1877 TILEPROP R_TERM_INT_GTX_X95Y138 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y138 GRID_POINT_Y 18 TILEPROP R_TERM_INT_GTX_X95Y138 INDEX 2165 TILEPROP R_TERM_INT_GTX_X95Y138 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y138 INT_TILE_Y 17 TILEPROP R_TERM_INT_GTX_X95Y138 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y138 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y138 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y138 NAME R_TERM_INT_GTX_X95Y138 TILEPROP R_TERM_INT_GTX_X95Y138 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y138 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y138 ROW 18 TILEPROP R_TERM_INT_GTX_X95Y138 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y138 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y138 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y138 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y138 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y138 TILE_Y 186296 TILEPROP R_TERM_INT_GTX_X95Y138 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y139 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y139 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y139 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y139 FIRST_SITE_ID 1776 TILEPROP R_TERM_INT_GTX_X95Y139 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y139 GRID_POINT_Y 17 TILEPROP R_TERM_INT_GTX_X95Y139 INDEX 2050 TILEPROP R_TERM_INT_GTX_X95Y139 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y139 INT_TILE_Y 16 TILEPROP R_TERM_INT_GTX_X95Y139 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y139 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y139 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y139 NAME R_TERM_INT_GTX_X95Y139 TILEPROP R_TERM_INT_GTX_X95Y139 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y139 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y139 ROW 17 TILEPROP R_TERM_INT_GTX_X95Y139 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y139 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y139 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y139 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y139 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y139 TILE_Y 189496 TILEPROP R_TERM_INT_GTX_X95Y139 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y140 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y140 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y140 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y140 FIRST_SITE_ID 1672 TILEPROP R_TERM_INT_GTX_X95Y140 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y140 GRID_POINT_Y 16 TILEPROP R_TERM_INT_GTX_X95Y140 INDEX 1935 TILEPROP R_TERM_INT_GTX_X95Y140 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y140 INT_TILE_Y 15 TILEPROP R_TERM_INT_GTX_X95Y140 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y140 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y140 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y140 NAME R_TERM_INT_GTX_X95Y140 TILEPROP R_TERM_INT_GTX_X95Y140 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y140 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y140 ROW 16 TILEPROP R_TERM_INT_GTX_X95Y140 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y140 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y140 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y140 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y140 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y140 TILE_Y 192696 TILEPROP R_TERM_INT_GTX_X95Y140 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y141 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y141 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y141 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y141 FIRST_SITE_ID 1576 TILEPROP R_TERM_INT_GTX_X95Y141 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y141 GRID_POINT_Y 15 TILEPROP R_TERM_INT_GTX_X95Y141 INDEX 1820 TILEPROP R_TERM_INT_GTX_X95Y141 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y141 INT_TILE_Y 14 TILEPROP R_TERM_INT_GTX_X95Y141 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y141 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y141 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y141 NAME R_TERM_INT_GTX_X95Y141 TILEPROP R_TERM_INT_GTX_X95Y141 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y141 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y141 ROW 15 TILEPROP R_TERM_INT_GTX_X95Y141 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y141 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y141 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y141 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y141 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y141 TILE_Y 195896 TILEPROP R_TERM_INT_GTX_X95Y141 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y142 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y142 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y142 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y142 FIRST_SITE_ID 1460 TILEPROP R_TERM_INT_GTX_X95Y142 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y142 GRID_POINT_Y 14 TILEPROP R_TERM_INT_GTX_X95Y142 INDEX 1705 TILEPROP R_TERM_INT_GTX_X95Y142 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y142 INT_TILE_Y 13 TILEPROP R_TERM_INT_GTX_X95Y142 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y142 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y142 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y142 NAME R_TERM_INT_GTX_X95Y142 TILEPROP R_TERM_INT_GTX_X95Y142 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y142 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y142 ROW 14 TILEPROP R_TERM_INT_GTX_X95Y142 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y142 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y142 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y142 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y142 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y142 TILE_Y 199096 TILEPROP R_TERM_INT_GTX_X95Y142 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y143 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y143 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y143 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y143 FIRST_SITE_ID 1332 TILEPROP R_TERM_INT_GTX_X95Y143 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y143 GRID_POINT_Y 13 TILEPROP R_TERM_INT_GTX_X95Y143 INDEX 1590 TILEPROP R_TERM_INT_GTX_X95Y143 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y143 INT_TILE_Y 12 TILEPROP R_TERM_INT_GTX_X95Y143 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y143 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y143 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y143 NAME R_TERM_INT_GTX_X95Y143 TILEPROP R_TERM_INT_GTX_X95Y143 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y143 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y143 ROW 13 TILEPROP R_TERM_INT_GTX_X95Y143 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y143 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y143 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y143 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y143 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y143 TILE_Y 202296 TILEPROP R_TERM_INT_GTX_X95Y143 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y144 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y144 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y144 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y144 FIRST_SITE_ID 1228 TILEPROP R_TERM_INT_GTX_X95Y144 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y144 GRID_POINT_Y 12 TILEPROP R_TERM_INT_GTX_X95Y144 INDEX 1475 TILEPROP R_TERM_INT_GTX_X95Y144 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y144 INT_TILE_Y 11 TILEPROP R_TERM_INT_GTX_X95Y144 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y144 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y144 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y144 NAME R_TERM_INT_GTX_X95Y144 TILEPROP R_TERM_INT_GTX_X95Y144 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y144 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y144 ROW 12 TILEPROP R_TERM_INT_GTX_X95Y144 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y144 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y144 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y144 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y144 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y144 TILE_Y 205496 TILEPROP R_TERM_INT_GTX_X95Y144 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y145 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y145 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y145 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y145 FIRST_SITE_ID 1132 TILEPROP R_TERM_INT_GTX_X95Y145 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y145 GRID_POINT_Y 11 TILEPROP R_TERM_INT_GTX_X95Y145 INDEX 1360 TILEPROP R_TERM_INT_GTX_X95Y145 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y145 INT_TILE_Y 10 TILEPROP R_TERM_INT_GTX_X95Y145 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y145 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y145 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y145 NAME R_TERM_INT_GTX_X95Y145 TILEPROP R_TERM_INT_GTX_X95Y145 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y145 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y145 ROW 11 TILEPROP R_TERM_INT_GTX_X95Y145 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y145 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y145 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y145 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y145 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y145 TILE_Y 208696 TILEPROP R_TERM_INT_GTX_X95Y145 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y146 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y146 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y146 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y146 FIRST_SITE_ID 1028 TILEPROP R_TERM_INT_GTX_X95Y146 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y146 GRID_POINT_Y 10 TILEPROP R_TERM_INT_GTX_X95Y146 INDEX 1245 TILEPROP R_TERM_INT_GTX_X95Y146 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y146 INT_TILE_Y 9 TILEPROP R_TERM_INT_GTX_X95Y146 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y146 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y146 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y146 NAME R_TERM_INT_GTX_X95Y146 TILEPROP R_TERM_INT_GTX_X95Y146 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y146 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y146 ROW 10 TILEPROP R_TERM_INT_GTX_X95Y146 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y146 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y146 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y146 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y146 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y146 TILE_Y 211896 TILEPROP R_TERM_INT_GTX_X95Y146 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y147 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y147 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y147 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y147 FIRST_SITE_ID 920 TILEPROP R_TERM_INT_GTX_X95Y147 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y147 GRID_POINT_Y 9 TILEPROP R_TERM_INT_GTX_X95Y147 INDEX 1130 TILEPROP R_TERM_INT_GTX_X95Y147 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y147 INT_TILE_Y 8 TILEPROP R_TERM_INT_GTX_X95Y147 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y147 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y147 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y147 NAME R_TERM_INT_GTX_X95Y147 TILEPROP R_TERM_INT_GTX_X95Y147 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y147 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y147 ROW 9 TILEPROP R_TERM_INT_GTX_X95Y147 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y147 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y147 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y147 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y147 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y147 TILE_Y 215096 TILEPROP R_TERM_INT_GTX_X95Y147 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y148 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y148 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y148 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y148 FIRST_SITE_ID 816 TILEPROP R_TERM_INT_GTX_X95Y148 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y148 GRID_POINT_Y 8 TILEPROP R_TERM_INT_GTX_X95Y148 INDEX 1015 TILEPROP R_TERM_INT_GTX_X95Y148 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y148 INT_TILE_Y 7 TILEPROP R_TERM_INT_GTX_X95Y148 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y148 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y148 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y148 NAME R_TERM_INT_GTX_X95Y148 TILEPROP R_TERM_INT_GTX_X95Y148 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y148 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y148 ROW 8 TILEPROP R_TERM_INT_GTX_X95Y148 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y148 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y148 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y148 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y148 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y148 TILE_Y 218296 TILEPROP R_TERM_INT_GTX_X95Y148 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y149 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y149 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y149 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y149 FIRST_SITE_ID 719 TILEPROP R_TERM_INT_GTX_X95Y149 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y149 GRID_POINT_Y 7 TILEPROP R_TERM_INT_GTX_X95Y149 INDEX 900 TILEPROP R_TERM_INT_GTX_X95Y149 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y149 INT_TILE_Y 6 TILEPROP R_TERM_INT_GTX_X95Y149 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y149 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y149 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y149 NAME R_TERM_INT_GTX_X95Y149 TILEPROP R_TERM_INT_GTX_X95Y149 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y149 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y149 ROW 7 TILEPROP R_TERM_INT_GTX_X95Y149 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y149 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y149 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y149 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y149 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y149 TILE_Y 221496 TILEPROP R_TERM_INT_GTX_X95Y149 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y150 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y150 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y150 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y150 FIRST_SITE_ID 608 TILEPROP R_TERM_INT_GTX_X95Y150 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y150 GRID_POINT_Y 6 TILEPROP R_TERM_INT_GTX_X95Y150 INDEX 785 TILEPROP R_TERM_INT_GTX_X95Y150 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y150 INT_TILE_Y 5 TILEPROP R_TERM_INT_GTX_X95Y150 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y150 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y150 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y150 NAME R_TERM_INT_GTX_X95Y150 TILEPROP R_TERM_INT_GTX_X95Y150 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y150 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y150 ROW 6 TILEPROP R_TERM_INT_GTX_X95Y150 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y150 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y150 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y150 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y150 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y150 TILE_Y 224696 TILEPROP R_TERM_INT_GTX_X95Y150 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y151 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y151 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y151 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y151 FIRST_SITE_ID 512 TILEPROP R_TERM_INT_GTX_X95Y151 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y151 GRID_POINT_Y 5 TILEPROP R_TERM_INT_GTX_X95Y151 INDEX 670 TILEPROP R_TERM_INT_GTX_X95Y151 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y151 INT_TILE_Y 4 TILEPROP R_TERM_INT_GTX_X95Y151 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y151 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y151 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y151 NAME R_TERM_INT_GTX_X95Y151 TILEPROP R_TERM_INT_GTX_X95Y151 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y151 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y151 ROW 5 TILEPROP R_TERM_INT_GTX_X95Y151 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y151 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y151 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y151 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y151 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y151 TILE_Y 227896 TILEPROP R_TERM_INT_GTX_X95Y151 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y152 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y152 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y152 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y152 FIRST_SITE_ID 396 TILEPROP R_TERM_INT_GTX_X95Y152 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y152 GRID_POINT_Y 4 TILEPROP R_TERM_INT_GTX_X95Y152 INDEX 555 TILEPROP R_TERM_INT_GTX_X95Y152 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y152 INT_TILE_Y 3 TILEPROP R_TERM_INT_GTX_X95Y152 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y152 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y152 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y152 NAME R_TERM_INT_GTX_X95Y152 TILEPROP R_TERM_INT_GTX_X95Y152 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y152 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y152 ROW 4 TILEPROP R_TERM_INT_GTX_X95Y152 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y152 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y152 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y152 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y152 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y152 TILE_Y 231096 TILEPROP R_TERM_INT_GTX_X95Y152 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y153 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y153 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y153 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y153 FIRST_SITE_ID 300 TILEPROP R_TERM_INT_GTX_X95Y153 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y153 GRID_POINT_Y 3 TILEPROP R_TERM_INT_GTX_X95Y153 INDEX 440 TILEPROP R_TERM_INT_GTX_X95Y153 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y153 INT_TILE_Y 2 TILEPROP R_TERM_INT_GTX_X95Y153 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y153 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y153 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y153 NAME R_TERM_INT_GTX_X95Y153 TILEPROP R_TERM_INT_GTX_X95Y153 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y153 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y153 ROW 3 TILEPROP R_TERM_INT_GTX_X95Y153 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y153 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y153 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y153 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y153 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y153 TILE_Y 234296 TILEPROP R_TERM_INT_GTX_X95Y153 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y154 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y154 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y154 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y154 FIRST_SITE_ID 196 TILEPROP R_TERM_INT_GTX_X95Y154 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y154 GRID_POINT_Y 2 TILEPROP R_TERM_INT_GTX_X95Y154 INDEX 325 TILEPROP R_TERM_INT_GTX_X95Y154 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y154 INT_TILE_Y 1 TILEPROP R_TERM_INT_GTX_X95Y154 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y154 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y154 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y154 NAME R_TERM_INT_GTX_X95Y154 TILEPROP R_TERM_INT_GTX_X95Y154 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y154 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y154 ROW 2 TILEPROP R_TERM_INT_GTX_X95Y154 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y154 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y154 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y154 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y154 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y154 TILE_Y 237496 TILEPROP R_TERM_INT_GTX_X95Y154 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y155 CLASS tile TILEPROP R_TERM_INT_GTX_X95Y155 COLUMN 95 TILEPROP R_TERM_INT_GTX_X95Y155 DEVICE_ID 0 TILEPROP R_TERM_INT_GTX_X95Y155 FIRST_SITE_ID 100 TILEPROP R_TERM_INT_GTX_X95Y155 GRID_POINT_X 95 TILEPROP R_TERM_INT_GTX_X95Y155 GRID_POINT_Y 1 TILEPROP R_TERM_INT_GTX_X95Y155 INDEX 210 TILEPROP R_TERM_INT_GTX_X95Y155 INT_TILE_X 37 TILEPROP R_TERM_INT_GTX_X95Y155 INT_TILE_Y 0 TILEPROP R_TERM_INT_GTX_X95Y155 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y155 IS_DCM_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y155 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_GTX_X95Y155 NAME R_TERM_INT_GTX_X95Y155 TILEPROP R_TERM_INT_GTX_X95Y155 NUM_ARCS 0 TILEPROP R_TERM_INT_GTX_X95Y155 NUM_SITES 0 TILEPROP R_TERM_INT_GTX_X95Y155 ROW 1 TILEPROP R_TERM_INT_GTX_X95Y155 SLR_REGION_ID 0 TILEPROP R_TERM_INT_GTX_X95Y155 TILE_PATTERN_IDX 51 TILEPROP R_TERM_INT_GTX_X95Y155 TILE_TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_GTX_X95Y155 TILE_TYPE_INDEX 125 TILEPROP R_TERM_INT_GTX_X95Y155 TILE_X 74714 TILEPROP R_TERM_INT_GTX_X95Y155 TILE_Y 240696 TILEPROP R_TERM_INT_GTX_X95Y155 TYPE R_TERM_INT_GTX TILEPROP R_TERM_INT_X112Y1 CLASS tile TILEPROP R_TERM_INT_X112Y1 COLUMN 112 TILEPROP R_TERM_INT_X112Y1 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y1 FIRST_SITE_ID 15813 TILEPROP R_TERM_INT_X112Y1 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y1 GRID_POINT_Y 155 TILEPROP R_TERM_INT_X112Y1 INDEX 17937 TILEPROP R_TERM_INT_X112Y1 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y1 INT_TILE_Y 149 TILEPROP R_TERM_INT_X112Y1 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y1 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y1 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y1 NAME R_TERM_INT_X112Y1 TILEPROP R_TERM_INT_X112Y1 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y1 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y1 ROW 155 TILEPROP R_TERM_INT_X112Y1 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y1 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y1 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y1 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y1 TILE_X 105322 TILEPROP R_TERM_INT_X112Y1 TILE_Y -239672 TILEPROP R_TERM_INT_X112Y1 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y2 CLASS tile TILEPROP R_TERM_INT_X112Y2 COLUMN 112 TILEPROP R_TERM_INT_X112Y2 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y2 FIRST_SITE_ID 15694 TILEPROP R_TERM_INT_X112Y2 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y2 GRID_POINT_Y 154 TILEPROP R_TERM_INT_X112Y2 INDEX 17822 TILEPROP R_TERM_INT_X112Y2 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y2 INT_TILE_Y 148 TILEPROP R_TERM_INT_X112Y2 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y2 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y2 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y2 NAME R_TERM_INT_X112Y2 TILEPROP R_TERM_INT_X112Y2 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y2 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y2 ROW 154 TILEPROP R_TERM_INT_X112Y2 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y2 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y2 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y2 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y2 TILE_X 105322 TILEPROP R_TERM_INT_X112Y2 TILE_Y -236472 TILEPROP R_TERM_INT_X112Y2 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y3 CLASS tile TILEPROP R_TERM_INT_X112Y3 COLUMN 112 TILEPROP R_TERM_INT_X112Y3 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y3 FIRST_SITE_ID 15593 TILEPROP R_TERM_INT_X112Y3 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y3 GRID_POINT_Y 153 TILEPROP R_TERM_INT_X112Y3 INDEX 17707 TILEPROP R_TERM_INT_X112Y3 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y3 INT_TILE_Y 147 TILEPROP R_TERM_INT_X112Y3 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y3 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y3 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y3 NAME R_TERM_INT_X112Y3 TILEPROP R_TERM_INT_X112Y3 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y3 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y3 ROW 153 TILEPROP R_TERM_INT_X112Y3 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y3 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y3 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y3 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y3 TILE_X 105322 TILEPROP R_TERM_INT_X112Y3 TILE_Y -233272 TILEPROP R_TERM_INT_X112Y3 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y4 CLASS tile TILEPROP R_TERM_INT_X112Y4 COLUMN 112 TILEPROP R_TERM_INT_X112Y4 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y4 FIRST_SITE_ID 15493 TILEPROP R_TERM_INT_X112Y4 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y4 GRID_POINT_Y 152 TILEPROP R_TERM_INT_X112Y4 INDEX 17592 TILEPROP R_TERM_INT_X112Y4 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y4 INT_TILE_Y 146 TILEPROP R_TERM_INT_X112Y4 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y4 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y4 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y4 NAME R_TERM_INT_X112Y4 TILEPROP R_TERM_INT_X112Y4 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y4 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y4 ROW 152 TILEPROP R_TERM_INT_X112Y4 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y4 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y4 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y4 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y4 TILE_X 105322 TILEPROP R_TERM_INT_X112Y4 TILE_Y -230072 TILEPROP R_TERM_INT_X112Y4 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y5 CLASS tile TILEPROP R_TERM_INT_X112Y5 COLUMN 112 TILEPROP R_TERM_INT_X112Y5 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y5 FIRST_SITE_ID 15393 TILEPROP R_TERM_INT_X112Y5 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y5 GRID_POINT_Y 151 TILEPROP R_TERM_INT_X112Y5 INDEX 17477 TILEPROP R_TERM_INT_X112Y5 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y5 INT_TILE_Y 145 TILEPROP R_TERM_INT_X112Y5 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y5 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y5 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y5 NAME R_TERM_INT_X112Y5 TILEPROP R_TERM_INT_X112Y5 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y5 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y5 ROW 151 TILEPROP R_TERM_INT_X112Y5 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y5 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y5 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y5 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y5 TILE_X 105322 TILEPROP R_TERM_INT_X112Y5 TILE_Y -226872 TILEPROP R_TERM_INT_X112Y5 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y6 CLASS tile TILEPROP R_TERM_INT_X112Y6 COLUMN 112 TILEPROP R_TERM_INT_X112Y6 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y6 FIRST_SITE_ID 15293 TILEPROP R_TERM_INT_X112Y6 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y6 GRID_POINT_Y 150 TILEPROP R_TERM_INT_X112Y6 INDEX 17362 TILEPROP R_TERM_INT_X112Y6 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y6 INT_TILE_Y 144 TILEPROP R_TERM_INT_X112Y6 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y6 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y6 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y6 NAME R_TERM_INT_X112Y6 TILEPROP R_TERM_INT_X112Y6 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y6 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y6 ROW 150 TILEPROP R_TERM_INT_X112Y6 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y6 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y6 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y6 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y6 TILE_X 105322 TILEPROP R_TERM_INT_X112Y6 TILE_Y -223672 TILEPROP R_TERM_INT_X112Y6 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y7 CLASS tile TILEPROP R_TERM_INT_X112Y7 COLUMN 112 TILEPROP R_TERM_INT_X112Y7 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y7 FIRST_SITE_ID 15178 TILEPROP R_TERM_INT_X112Y7 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y7 GRID_POINT_Y 149 TILEPROP R_TERM_INT_X112Y7 INDEX 17247 TILEPROP R_TERM_INT_X112Y7 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y7 INT_TILE_Y 143 TILEPROP R_TERM_INT_X112Y7 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y7 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y7 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y7 NAME R_TERM_INT_X112Y7 TILEPROP R_TERM_INT_X112Y7 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y7 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y7 ROW 149 TILEPROP R_TERM_INT_X112Y7 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y7 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y7 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y7 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y7 TILE_X 105322 TILEPROP R_TERM_INT_X112Y7 TILE_Y -220472 TILEPROP R_TERM_INT_X112Y7 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y8 CLASS tile TILEPROP R_TERM_INT_X112Y8 COLUMN 112 TILEPROP R_TERM_INT_X112Y8 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y8 FIRST_SITE_ID 15078 TILEPROP R_TERM_INT_X112Y8 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y8 GRID_POINT_Y 148 TILEPROP R_TERM_INT_X112Y8 INDEX 17132 TILEPROP R_TERM_INT_X112Y8 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y8 INT_TILE_Y 142 TILEPROP R_TERM_INT_X112Y8 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y8 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y8 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y8 NAME R_TERM_INT_X112Y8 TILEPROP R_TERM_INT_X112Y8 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y8 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y8 ROW 148 TILEPROP R_TERM_INT_X112Y8 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y8 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y8 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y8 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y8 TILE_X 105322 TILEPROP R_TERM_INT_X112Y8 TILE_Y -217272 TILEPROP R_TERM_INT_X112Y8 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y9 CLASS tile TILEPROP R_TERM_INT_X112Y9 COLUMN 112 TILEPROP R_TERM_INT_X112Y9 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y9 FIRST_SITE_ID 14974 TILEPROP R_TERM_INT_X112Y9 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y9 GRID_POINT_Y 147 TILEPROP R_TERM_INT_X112Y9 INDEX 17017 TILEPROP R_TERM_INT_X112Y9 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y9 INT_TILE_Y 141 TILEPROP R_TERM_INT_X112Y9 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y9 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y9 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y9 NAME R_TERM_INT_X112Y9 TILEPROP R_TERM_INT_X112Y9 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y9 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y9 ROW 147 TILEPROP R_TERM_INT_X112Y9 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y9 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y9 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y9 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y9 TILE_X 105322 TILEPROP R_TERM_INT_X112Y9 TILE_Y -214072 TILEPROP R_TERM_INT_X112Y9 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y10 CLASS tile TILEPROP R_TERM_INT_X112Y10 COLUMN 112 TILEPROP R_TERM_INT_X112Y10 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y10 FIRST_SITE_ID 14872 TILEPROP R_TERM_INT_X112Y10 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y10 GRID_POINT_Y 146 TILEPROP R_TERM_INT_X112Y10 INDEX 16902 TILEPROP R_TERM_INT_X112Y10 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y10 INT_TILE_Y 140 TILEPROP R_TERM_INT_X112Y10 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y10 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y10 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y10 NAME R_TERM_INT_X112Y10 TILEPROP R_TERM_INT_X112Y10 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y10 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y10 ROW 146 TILEPROP R_TERM_INT_X112Y10 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y10 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y10 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y10 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y10 TILE_X 105322 TILEPROP R_TERM_INT_X112Y10 TILE_Y -210872 TILEPROP R_TERM_INT_X112Y10 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y11 CLASS tile TILEPROP R_TERM_INT_X112Y11 COLUMN 112 TILEPROP R_TERM_INT_X112Y11 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y11 FIRST_SITE_ID 14772 TILEPROP R_TERM_INT_X112Y11 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y11 GRID_POINT_Y 145 TILEPROP R_TERM_INT_X112Y11 INDEX 16787 TILEPROP R_TERM_INT_X112Y11 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y11 INT_TILE_Y 139 TILEPROP R_TERM_INT_X112Y11 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y11 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y11 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y11 NAME R_TERM_INT_X112Y11 TILEPROP R_TERM_INT_X112Y11 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y11 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y11 ROW 145 TILEPROP R_TERM_INT_X112Y11 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y11 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y11 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y11 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y11 TILE_X 105322 TILEPROP R_TERM_INT_X112Y11 TILE_Y -207672 TILEPROP R_TERM_INT_X112Y11 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y12 CLASS tile TILEPROP R_TERM_INT_X112Y12 COLUMN 112 TILEPROP R_TERM_INT_X112Y12 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y12 FIRST_SITE_ID 14657 TILEPROP R_TERM_INT_X112Y12 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y12 GRID_POINT_Y 144 TILEPROP R_TERM_INT_X112Y12 INDEX 16672 TILEPROP R_TERM_INT_X112Y12 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y12 INT_TILE_Y 138 TILEPROP R_TERM_INT_X112Y12 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y12 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y12 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y12 NAME R_TERM_INT_X112Y12 TILEPROP R_TERM_INT_X112Y12 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y12 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y12 ROW 144 TILEPROP R_TERM_INT_X112Y12 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y12 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y12 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y12 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y12 TILE_X 105322 TILEPROP R_TERM_INT_X112Y12 TILE_Y -204472 TILEPROP R_TERM_INT_X112Y12 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y13 CLASS tile TILEPROP R_TERM_INT_X112Y13 COLUMN 112 TILEPROP R_TERM_INT_X112Y13 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y13 FIRST_SITE_ID 14557 TILEPROP R_TERM_INT_X112Y13 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y13 GRID_POINT_Y 143 TILEPROP R_TERM_INT_X112Y13 INDEX 16557 TILEPROP R_TERM_INT_X112Y13 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y13 INT_TILE_Y 137 TILEPROP R_TERM_INT_X112Y13 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y13 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y13 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y13 NAME R_TERM_INT_X112Y13 TILEPROP R_TERM_INT_X112Y13 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y13 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y13 ROW 143 TILEPROP R_TERM_INT_X112Y13 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y13 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y13 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y13 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y13 TILE_X 105322 TILEPROP R_TERM_INT_X112Y13 TILE_Y -201272 TILEPROP R_TERM_INT_X112Y13 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y14 CLASS tile TILEPROP R_TERM_INT_X112Y14 COLUMN 112 TILEPROP R_TERM_INT_X112Y14 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y14 FIRST_SITE_ID 14425 TILEPROP R_TERM_INT_X112Y14 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y14 GRID_POINT_Y 142 TILEPROP R_TERM_INT_X112Y14 INDEX 16442 TILEPROP R_TERM_INT_X112Y14 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y14 INT_TILE_Y 136 TILEPROP R_TERM_INT_X112Y14 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y14 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y14 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y14 NAME R_TERM_INT_X112Y14 TILEPROP R_TERM_INT_X112Y14 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y14 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y14 ROW 142 TILEPROP R_TERM_INT_X112Y14 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y14 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y14 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y14 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y14 TILE_X 105322 TILEPROP R_TERM_INT_X112Y14 TILE_Y -198072 TILEPROP R_TERM_INT_X112Y14 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y15 CLASS tile TILEPROP R_TERM_INT_X112Y15 COLUMN 112 TILEPROP R_TERM_INT_X112Y15 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y15 FIRST_SITE_ID 14325 TILEPROP R_TERM_INT_X112Y15 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y15 GRID_POINT_Y 141 TILEPROP R_TERM_INT_X112Y15 INDEX 16327 TILEPROP R_TERM_INT_X112Y15 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y15 INT_TILE_Y 135 TILEPROP R_TERM_INT_X112Y15 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y15 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y15 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y15 NAME R_TERM_INT_X112Y15 TILEPROP R_TERM_INT_X112Y15 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y15 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y15 ROW 141 TILEPROP R_TERM_INT_X112Y15 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y15 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y15 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y15 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y15 TILE_X 105322 TILEPROP R_TERM_INT_X112Y15 TILE_Y -194872 TILEPROP R_TERM_INT_X112Y15 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y16 CLASS tile TILEPROP R_TERM_INT_X112Y16 COLUMN 112 TILEPROP R_TERM_INT_X112Y16 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y16 FIRST_SITE_ID 14225 TILEPROP R_TERM_INT_X112Y16 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y16 GRID_POINT_Y 140 TILEPROP R_TERM_INT_X112Y16 INDEX 16212 TILEPROP R_TERM_INT_X112Y16 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y16 INT_TILE_Y 134 TILEPROP R_TERM_INT_X112Y16 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y16 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y16 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y16 NAME R_TERM_INT_X112Y16 TILEPROP R_TERM_INT_X112Y16 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y16 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y16 ROW 140 TILEPROP R_TERM_INT_X112Y16 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y16 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y16 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y16 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y16 TILE_X 105322 TILEPROP R_TERM_INT_X112Y16 TILE_Y -191672 TILEPROP R_TERM_INT_X112Y16 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y17 CLASS tile TILEPROP R_TERM_INT_X112Y17 COLUMN 112 TILEPROP R_TERM_INT_X112Y17 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y17 FIRST_SITE_ID 14110 TILEPROP R_TERM_INT_X112Y17 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y17 GRID_POINT_Y 139 TILEPROP R_TERM_INT_X112Y17 INDEX 16097 TILEPROP R_TERM_INT_X112Y17 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y17 INT_TILE_Y 133 TILEPROP R_TERM_INT_X112Y17 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y17 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y17 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y17 NAME R_TERM_INT_X112Y17 TILEPROP R_TERM_INT_X112Y17 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y17 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y17 ROW 139 TILEPROP R_TERM_INT_X112Y17 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y17 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y17 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y17 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y17 TILE_X 105322 TILEPROP R_TERM_INT_X112Y17 TILE_Y -188472 TILEPROP R_TERM_INT_X112Y17 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y18 CLASS tile TILEPROP R_TERM_INT_X112Y18 COLUMN 112 TILEPROP R_TERM_INT_X112Y18 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y18 FIRST_SITE_ID 14010 TILEPROP R_TERM_INT_X112Y18 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y18 GRID_POINT_Y 138 TILEPROP R_TERM_INT_X112Y18 INDEX 15982 TILEPROP R_TERM_INT_X112Y18 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y18 INT_TILE_Y 132 TILEPROP R_TERM_INT_X112Y18 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y18 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y18 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y18 NAME R_TERM_INT_X112Y18 TILEPROP R_TERM_INT_X112Y18 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y18 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y18 ROW 138 TILEPROP R_TERM_INT_X112Y18 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y18 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y18 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y18 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y18 TILE_X 105322 TILEPROP R_TERM_INT_X112Y18 TILE_Y -185272 TILEPROP R_TERM_INT_X112Y18 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y19 CLASS tile TILEPROP R_TERM_INT_X112Y19 COLUMN 112 TILEPROP R_TERM_INT_X112Y19 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y19 FIRST_SITE_ID 13901 TILEPROP R_TERM_INT_X112Y19 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y19 GRID_POINT_Y 137 TILEPROP R_TERM_INT_X112Y19 INDEX 15867 TILEPROP R_TERM_INT_X112Y19 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y19 INT_TILE_Y 131 TILEPROP R_TERM_INT_X112Y19 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y19 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y19 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y19 NAME R_TERM_INT_X112Y19 TILEPROP R_TERM_INT_X112Y19 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y19 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y19 ROW 137 TILEPROP R_TERM_INT_X112Y19 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y19 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y19 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y19 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y19 TILE_X 105322 TILEPROP R_TERM_INT_X112Y19 TILE_Y -182072 TILEPROP R_TERM_INT_X112Y19 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y20 CLASS tile TILEPROP R_TERM_INT_X112Y20 COLUMN 112 TILEPROP R_TERM_INT_X112Y20 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y20 FIRST_SITE_ID 13801 TILEPROP R_TERM_INT_X112Y20 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y20 GRID_POINT_Y 136 TILEPROP R_TERM_INT_X112Y20 INDEX 15752 TILEPROP R_TERM_INT_X112Y20 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y20 INT_TILE_Y 130 TILEPROP R_TERM_INT_X112Y20 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y20 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y20 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y20 NAME R_TERM_INT_X112Y20 TILEPROP R_TERM_INT_X112Y20 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y20 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y20 ROW 136 TILEPROP R_TERM_INT_X112Y20 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y20 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y20 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y20 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y20 TILE_X 105322 TILEPROP R_TERM_INT_X112Y20 TILE_Y -178872 TILEPROP R_TERM_INT_X112Y20 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y21 CLASS tile TILEPROP R_TERM_INT_X112Y21 COLUMN 112 TILEPROP R_TERM_INT_X112Y21 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y21 FIRST_SITE_ID 13697 TILEPROP R_TERM_INT_X112Y21 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y21 GRID_POINT_Y 135 TILEPROP R_TERM_INT_X112Y21 INDEX 15637 TILEPROP R_TERM_INT_X112Y21 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y21 INT_TILE_Y 129 TILEPROP R_TERM_INT_X112Y21 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y21 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y21 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y21 NAME R_TERM_INT_X112Y21 TILEPROP R_TERM_INT_X112Y21 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y21 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y21 ROW 135 TILEPROP R_TERM_INT_X112Y21 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y21 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y21 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y21 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y21 TILE_X 105322 TILEPROP R_TERM_INT_X112Y21 TILE_Y -175672 TILEPROP R_TERM_INT_X112Y21 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y22 CLASS tile TILEPROP R_TERM_INT_X112Y22 COLUMN 112 TILEPROP R_TERM_INT_X112Y22 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y22 FIRST_SITE_ID 13582 TILEPROP R_TERM_INT_X112Y22 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y22 GRID_POINT_Y 134 TILEPROP R_TERM_INT_X112Y22 INDEX 15522 TILEPROP R_TERM_INT_X112Y22 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y22 INT_TILE_Y 128 TILEPROP R_TERM_INT_X112Y22 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y22 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y22 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y22 NAME R_TERM_INT_X112Y22 TILEPROP R_TERM_INT_X112Y22 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y22 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y22 ROW 134 TILEPROP R_TERM_INT_X112Y22 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y22 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y22 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y22 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y22 TILE_X 105322 TILEPROP R_TERM_INT_X112Y22 TILE_Y -172472 TILEPROP R_TERM_INT_X112Y22 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y23 CLASS tile TILEPROP R_TERM_INT_X112Y23 COLUMN 112 TILEPROP R_TERM_INT_X112Y23 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y23 FIRST_SITE_ID 13482 TILEPROP R_TERM_INT_X112Y23 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y23 GRID_POINT_Y 133 TILEPROP R_TERM_INT_X112Y23 INDEX 15407 TILEPROP R_TERM_INT_X112Y23 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y23 INT_TILE_Y 127 TILEPROP R_TERM_INT_X112Y23 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y23 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y23 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y23 NAME R_TERM_INT_X112Y23 TILEPROP R_TERM_INT_X112Y23 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y23 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y23 ROW 133 TILEPROP R_TERM_INT_X112Y23 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y23 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y23 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y23 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y23 TILE_X 105322 TILEPROP R_TERM_INT_X112Y23 TILE_Y -169272 TILEPROP R_TERM_INT_X112Y23 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y24 CLASS tile TILEPROP R_TERM_INT_X112Y24 COLUMN 112 TILEPROP R_TERM_INT_X112Y24 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y24 FIRST_SITE_ID 13382 TILEPROP R_TERM_INT_X112Y24 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y24 GRID_POINT_Y 132 TILEPROP R_TERM_INT_X112Y24 INDEX 15292 TILEPROP R_TERM_INT_X112Y24 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y24 INT_TILE_Y 126 TILEPROP R_TERM_INT_X112Y24 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y24 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y24 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y24 NAME R_TERM_INT_X112Y24 TILEPROP R_TERM_INT_X112Y24 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y24 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y24 ROW 132 TILEPROP R_TERM_INT_X112Y24 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y24 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y24 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y24 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y24 TILE_X 105322 TILEPROP R_TERM_INT_X112Y24 TILE_Y -166072 TILEPROP R_TERM_INT_X112Y24 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y25 CLASS tile TILEPROP R_TERM_INT_X112Y25 COLUMN 112 TILEPROP R_TERM_INT_X112Y25 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y25 FIRST_SITE_ID 13282 TILEPROP R_TERM_INT_X112Y25 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y25 GRID_POINT_Y 131 TILEPROP R_TERM_INT_X112Y25 INDEX 15177 TILEPROP R_TERM_INT_X112Y25 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y25 INT_TILE_Y 125 TILEPROP R_TERM_INT_X112Y25 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y25 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y25 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y25 NAME R_TERM_INT_X112Y25 TILEPROP R_TERM_INT_X112Y25 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y25 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y25 ROW 131 TILEPROP R_TERM_INT_X112Y25 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y25 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y25 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y25 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y25 TILE_X 105322 TILEPROP R_TERM_INT_X112Y25 TILE_Y -162872 TILEPROP R_TERM_INT_X112Y25 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y27 CLASS tile TILEPROP R_TERM_INT_X112Y27 COLUMN 112 TILEPROP R_TERM_INT_X112Y27 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y27 FIRST_SITE_ID 13099 TILEPROP R_TERM_INT_X112Y27 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y27 GRID_POINT_Y 129 TILEPROP R_TERM_INT_X112Y27 INDEX 14947 TILEPROP R_TERM_INT_X112Y27 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y27 INT_TILE_Y 124 TILEPROP R_TERM_INT_X112Y27 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y27 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y27 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y27 NAME R_TERM_INT_X112Y27 TILEPROP R_TERM_INT_X112Y27 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y27 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y27 ROW 129 TILEPROP R_TERM_INT_X112Y27 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y27 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y27 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y27 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y27 TILE_X 105322 TILEPROP R_TERM_INT_X112Y27 TILE_Y -158648 TILEPROP R_TERM_INT_X112Y27 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y28 CLASS tile TILEPROP R_TERM_INT_X112Y28 COLUMN 112 TILEPROP R_TERM_INT_X112Y28 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y28 FIRST_SITE_ID 12984 TILEPROP R_TERM_INT_X112Y28 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y28 GRID_POINT_Y 128 TILEPROP R_TERM_INT_X112Y28 INDEX 14832 TILEPROP R_TERM_INT_X112Y28 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y28 INT_TILE_Y 123 TILEPROP R_TERM_INT_X112Y28 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y28 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y28 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y28 NAME R_TERM_INT_X112Y28 TILEPROP R_TERM_INT_X112Y28 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y28 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y28 ROW 128 TILEPROP R_TERM_INT_X112Y28 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y28 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y28 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y28 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y28 TILE_X 105322 TILEPROP R_TERM_INT_X112Y28 TILE_Y -155448 TILEPROP R_TERM_INT_X112Y28 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y29 CLASS tile TILEPROP R_TERM_INT_X112Y29 COLUMN 112 TILEPROP R_TERM_INT_X112Y29 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y29 FIRST_SITE_ID 12884 TILEPROP R_TERM_INT_X112Y29 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y29 GRID_POINT_Y 127 TILEPROP R_TERM_INT_X112Y29 INDEX 14717 TILEPROP R_TERM_INT_X112Y29 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y29 INT_TILE_Y 122 TILEPROP R_TERM_INT_X112Y29 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y29 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y29 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y29 NAME R_TERM_INT_X112Y29 TILEPROP R_TERM_INT_X112Y29 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y29 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y29 ROW 127 TILEPROP R_TERM_INT_X112Y29 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y29 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y29 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y29 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y29 TILE_X 105322 TILEPROP R_TERM_INT_X112Y29 TILE_Y -152248 TILEPROP R_TERM_INT_X112Y29 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y30 CLASS tile TILEPROP R_TERM_INT_X112Y30 COLUMN 112 TILEPROP R_TERM_INT_X112Y30 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y30 FIRST_SITE_ID 12784 TILEPROP R_TERM_INT_X112Y30 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y30 GRID_POINT_Y 126 TILEPROP R_TERM_INT_X112Y30 INDEX 14602 TILEPROP R_TERM_INT_X112Y30 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y30 INT_TILE_Y 121 TILEPROP R_TERM_INT_X112Y30 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y30 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y30 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y30 NAME R_TERM_INT_X112Y30 TILEPROP R_TERM_INT_X112Y30 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y30 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y30 ROW 126 TILEPROP R_TERM_INT_X112Y30 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y30 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y30 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y30 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y30 TILE_X 105322 TILEPROP R_TERM_INT_X112Y30 TILE_Y -149048 TILEPROP R_TERM_INT_X112Y30 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y31 CLASS tile TILEPROP R_TERM_INT_X112Y31 COLUMN 112 TILEPROP R_TERM_INT_X112Y31 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y31 FIRST_SITE_ID 12684 TILEPROP R_TERM_INT_X112Y31 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y31 GRID_POINT_Y 125 TILEPROP R_TERM_INT_X112Y31 INDEX 14487 TILEPROP R_TERM_INT_X112Y31 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y31 INT_TILE_Y 120 TILEPROP R_TERM_INT_X112Y31 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y31 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y31 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y31 NAME R_TERM_INT_X112Y31 TILEPROP R_TERM_INT_X112Y31 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y31 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y31 ROW 125 TILEPROP R_TERM_INT_X112Y31 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y31 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y31 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y31 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y31 TILE_X 105322 TILEPROP R_TERM_INT_X112Y31 TILE_Y -145848 TILEPROP R_TERM_INT_X112Y31 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y32 CLASS tile TILEPROP R_TERM_INT_X112Y32 COLUMN 112 TILEPROP R_TERM_INT_X112Y32 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y32 FIRST_SITE_ID 12572 TILEPROP R_TERM_INT_X112Y32 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y32 GRID_POINT_Y 124 TILEPROP R_TERM_INT_X112Y32 INDEX 14372 TILEPROP R_TERM_INT_X112Y32 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y32 INT_TILE_Y 119 TILEPROP R_TERM_INT_X112Y32 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y32 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y32 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y32 NAME R_TERM_INT_X112Y32 TILEPROP R_TERM_INT_X112Y32 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y32 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y32 ROW 124 TILEPROP R_TERM_INT_X112Y32 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y32 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y32 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y32 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y32 TILE_X 105322 TILEPROP R_TERM_INT_X112Y32 TILE_Y -142648 TILEPROP R_TERM_INT_X112Y32 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y33 CLASS tile TILEPROP R_TERM_INT_X112Y33 COLUMN 112 TILEPROP R_TERM_INT_X112Y33 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y33 FIRST_SITE_ID 12444 TILEPROP R_TERM_INT_X112Y33 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y33 GRID_POINT_Y 123 TILEPROP R_TERM_INT_X112Y33 INDEX 14257 TILEPROP R_TERM_INT_X112Y33 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y33 INT_TILE_Y 118 TILEPROP R_TERM_INT_X112Y33 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y33 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y33 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y33 NAME R_TERM_INT_X112Y33 TILEPROP R_TERM_INT_X112Y33 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y33 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y33 ROW 123 TILEPROP R_TERM_INT_X112Y33 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y33 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y33 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y33 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y33 TILE_X 105322 TILEPROP R_TERM_INT_X112Y33 TILE_Y -139448 TILEPROP R_TERM_INT_X112Y33 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y34 CLASS tile TILEPROP R_TERM_INT_X112Y34 COLUMN 112 TILEPROP R_TERM_INT_X112Y34 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y34 FIRST_SITE_ID 12340 TILEPROP R_TERM_INT_X112Y34 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y34 GRID_POINT_Y 122 TILEPROP R_TERM_INT_X112Y34 INDEX 14142 TILEPROP R_TERM_INT_X112Y34 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y34 INT_TILE_Y 117 TILEPROP R_TERM_INT_X112Y34 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y34 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y34 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y34 NAME R_TERM_INT_X112Y34 TILEPROP R_TERM_INT_X112Y34 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y34 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y34 ROW 122 TILEPROP R_TERM_INT_X112Y34 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y34 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y34 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y34 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y34 TILE_X 105322 TILEPROP R_TERM_INT_X112Y34 TILE_Y -136248 TILEPROP R_TERM_INT_X112Y34 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y35 CLASS tile TILEPROP R_TERM_INT_X112Y35 COLUMN 112 TILEPROP R_TERM_INT_X112Y35 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y35 FIRST_SITE_ID 12239 TILEPROP R_TERM_INT_X112Y35 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y35 GRID_POINT_Y 121 TILEPROP R_TERM_INT_X112Y35 INDEX 14027 TILEPROP R_TERM_INT_X112Y35 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y35 INT_TILE_Y 116 TILEPROP R_TERM_INT_X112Y35 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y35 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y35 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y35 NAME R_TERM_INT_X112Y35 TILEPROP R_TERM_INT_X112Y35 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y35 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y35 ROW 121 TILEPROP R_TERM_INT_X112Y35 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y35 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y35 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y35 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y35 TILE_X 105322 TILEPROP R_TERM_INT_X112Y35 TILE_Y -133048 TILEPROP R_TERM_INT_X112Y35 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y36 CLASS tile TILEPROP R_TERM_INT_X112Y36 COLUMN 112 TILEPROP R_TERM_INT_X112Y36 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y36 FIRST_SITE_ID 12139 TILEPROP R_TERM_INT_X112Y36 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y36 GRID_POINT_Y 120 TILEPROP R_TERM_INT_X112Y36 INDEX 13912 TILEPROP R_TERM_INT_X112Y36 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y36 INT_TILE_Y 115 TILEPROP R_TERM_INT_X112Y36 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y36 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y36 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y36 NAME R_TERM_INT_X112Y36 TILEPROP R_TERM_INT_X112Y36 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y36 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y36 ROW 120 TILEPROP R_TERM_INT_X112Y36 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y36 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y36 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y36 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y36 TILE_X 105322 TILEPROP R_TERM_INT_X112Y36 TILE_Y -129848 TILEPROP R_TERM_INT_X112Y36 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y37 CLASS tile TILEPROP R_TERM_INT_X112Y37 COLUMN 112 TILEPROP R_TERM_INT_X112Y37 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y37 FIRST_SITE_ID 12039 TILEPROP R_TERM_INT_X112Y37 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y37 GRID_POINT_Y 119 TILEPROP R_TERM_INT_X112Y37 INDEX 13797 TILEPROP R_TERM_INT_X112Y37 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y37 INT_TILE_Y 114 TILEPROP R_TERM_INT_X112Y37 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y37 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y37 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y37 NAME R_TERM_INT_X112Y37 TILEPROP R_TERM_INT_X112Y37 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y37 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y37 ROW 119 TILEPROP R_TERM_INT_X112Y37 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y37 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y37 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y37 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y37 TILE_X 105322 TILEPROP R_TERM_INT_X112Y37 TILE_Y -126648 TILEPROP R_TERM_INT_X112Y37 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y38 CLASS tile TILEPROP R_TERM_INT_X112Y38 COLUMN 112 TILEPROP R_TERM_INT_X112Y38 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y38 FIRST_SITE_ID 11924 TILEPROP R_TERM_INT_X112Y38 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y38 GRID_POINT_Y 118 TILEPROP R_TERM_INT_X112Y38 INDEX 13682 TILEPROP R_TERM_INT_X112Y38 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y38 INT_TILE_Y 113 TILEPROP R_TERM_INT_X112Y38 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y38 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y38 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y38 NAME R_TERM_INT_X112Y38 TILEPROP R_TERM_INT_X112Y38 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y38 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y38 ROW 118 TILEPROP R_TERM_INT_X112Y38 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y38 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y38 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y38 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y38 TILE_X 105322 TILEPROP R_TERM_INT_X112Y38 TILE_Y -123448 TILEPROP R_TERM_INT_X112Y38 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y39 CLASS tile TILEPROP R_TERM_INT_X112Y39 COLUMN 112 TILEPROP R_TERM_INT_X112Y39 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y39 FIRST_SITE_ID 11792 TILEPROP R_TERM_INT_X112Y39 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y39 GRID_POINT_Y 117 TILEPROP R_TERM_INT_X112Y39 INDEX 13567 TILEPROP R_TERM_INT_X112Y39 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y39 INT_TILE_Y 112 TILEPROP R_TERM_INT_X112Y39 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y39 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y39 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y39 NAME R_TERM_INT_X112Y39 TILEPROP R_TERM_INT_X112Y39 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y39 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y39 ROW 117 TILEPROP R_TERM_INT_X112Y39 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y39 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y39 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y39 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y39 TILE_X 105322 TILEPROP R_TERM_INT_X112Y39 TILE_Y -120248 TILEPROP R_TERM_INT_X112Y39 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y40 CLASS tile TILEPROP R_TERM_INT_X112Y40 COLUMN 112 TILEPROP R_TERM_INT_X112Y40 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y40 FIRST_SITE_ID 11692 TILEPROP R_TERM_INT_X112Y40 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y40 GRID_POINT_Y 116 TILEPROP R_TERM_INT_X112Y40 INDEX 13452 TILEPROP R_TERM_INT_X112Y40 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y40 INT_TILE_Y 111 TILEPROP R_TERM_INT_X112Y40 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y40 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y40 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y40 NAME R_TERM_INT_X112Y40 TILEPROP R_TERM_INT_X112Y40 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y40 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y40 ROW 116 TILEPROP R_TERM_INT_X112Y40 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y40 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y40 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y40 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y40 TILE_X 105322 TILEPROP R_TERM_INT_X112Y40 TILE_Y -117048 TILEPROP R_TERM_INT_X112Y40 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y41 CLASS tile TILEPROP R_TERM_INT_X112Y41 COLUMN 112 TILEPROP R_TERM_INT_X112Y41 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y41 FIRST_SITE_ID 11592 TILEPROP R_TERM_INT_X112Y41 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y41 GRID_POINT_Y 115 TILEPROP R_TERM_INT_X112Y41 INDEX 13337 TILEPROP R_TERM_INT_X112Y41 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y41 INT_TILE_Y 110 TILEPROP R_TERM_INT_X112Y41 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y41 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y41 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y41 NAME R_TERM_INT_X112Y41 TILEPROP R_TERM_INT_X112Y41 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y41 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y41 ROW 115 TILEPROP R_TERM_INT_X112Y41 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y41 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y41 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y41 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y41 TILE_X 105322 TILEPROP R_TERM_INT_X112Y41 TILE_Y -113848 TILEPROP R_TERM_INT_X112Y41 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y42 CLASS tile TILEPROP R_TERM_INT_X112Y42 COLUMN 112 TILEPROP R_TERM_INT_X112Y42 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y42 FIRST_SITE_ID 11492 TILEPROP R_TERM_INT_X112Y42 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y42 GRID_POINT_Y 114 TILEPROP R_TERM_INT_X112Y42 INDEX 13222 TILEPROP R_TERM_INT_X112Y42 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y42 INT_TILE_Y 109 TILEPROP R_TERM_INT_X112Y42 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y42 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y42 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y42 NAME R_TERM_INT_X112Y42 TILEPROP R_TERM_INT_X112Y42 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y42 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y42 ROW 114 TILEPROP R_TERM_INT_X112Y42 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y42 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y42 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y42 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y42 TILE_X 105322 TILEPROP R_TERM_INT_X112Y42 TILE_Y -110648 TILEPROP R_TERM_INT_X112Y42 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y43 CLASS tile TILEPROP R_TERM_INT_X112Y43 COLUMN 112 TILEPROP R_TERM_INT_X112Y43 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y43 FIRST_SITE_ID 11375 TILEPROP R_TERM_INT_X112Y43 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y43 GRID_POINT_Y 113 TILEPROP R_TERM_INT_X112Y43 INDEX 13107 TILEPROP R_TERM_INT_X112Y43 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y43 INT_TILE_Y 108 TILEPROP R_TERM_INT_X112Y43 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y43 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y43 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y43 NAME R_TERM_INT_X112Y43 TILEPROP R_TERM_INT_X112Y43 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y43 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y43 ROW 113 TILEPROP R_TERM_INT_X112Y43 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y43 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y43 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y43 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y43 TILE_X 105322 TILEPROP R_TERM_INT_X112Y43 TILE_Y -107448 TILEPROP R_TERM_INT_X112Y43 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y44 CLASS tile TILEPROP R_TERM_INT_X112Y44 COLUMN 112 TILEPROP R_TERM_INT_X112Y44 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y44 FIRST_SITE_ID 11274 TILEPROP R_TERM_INT_X112Y44 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y44 GRID_POINT_Y 112 TILEPROP R_TERM_INT_X112Y44 INDEX 12992 TILEPROP R_TERM_INT_X112Y44 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y44 INT_TILE_Y 107 TILEPROP R_TERM_INT_X112Y44 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y44 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y44 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y44 NAME R_TERM_INT_X112Y44 TILEPROP R_TERM_INT_X112Y44 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y44 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y44 ROW 112 TILEPROP R_TERM_INT_X112Y44 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y44 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y44 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y44 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y44 TILE_X 105322 TILEPROP R_TERM_INT_X112Y44 TILE_Y -104248 TILEPROP R_TERM_INT_X112Y44 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y45 CLASS tile TILEPROP R_TERM_INT_X112Y45 COLUMN 112 TILEPROP R_TERM_INT_X112Y45 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y45 FIRST_SITE_ID 11172 TILEPROP R_TERM_INT_X112Y45 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y45 GRID_POINT_Y 111 TILEPROP R_TERM_INT_X112Y45 INDEX 12877 TILEPROP R_TERM_INT_X112Y45 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y45 INT_TILE_Y 106 TILEPROP R_TERM_INT_X112Y45 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y45 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y45 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y45 NAME R_TERM_INT_X112Y45 TILEPROP R_TERM_INT_X112Y45 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y45 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y45 ROW 111 TILEPROP R_TERM_INT_X112Y45 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y45 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y45 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y45 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y45 TILE_X 105322 TILEPROP R_TERM_INT_X112Y45 TILE_Y -101048 TILEPROP R_TERM_INT_X112Y45 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y46 CLASS tile TILEPROP R_TERM_INT_X112Y46 COLUMN 112 TILEPROP R_TERM_INT_X112Y46 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y46 FIRST_SITE_ID 11068 TILEPROP R_TERM_INT_X112Y46 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y46 GRID_POINT_Y 110 TILEPROP R_TERM_INT_X112Y46 INDEX 12762 TILEPROP R_TERM_INT_X112Y46 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y46 INT_TILE_Y 105 TILEPROP R_TERM_INT_X112Y46 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y46 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y46 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y46 NAME R_TERM_INT_X112Y46 TILEPROP R_TERM_INT_X112Y46 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y46 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y46 ROW 110 TILEPROP R_TERM_INT_X112Y46 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y46 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y46 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y46 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y46 TILE_X 105322 TILEPROP R_TERM_INT_X112Y46 TILE_Y -97848 TILEPROP R_TERM_INT_X112Y46 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y47 CLASS tile TILEPROP R_TERM_INT_X112Y47 COLUMN 112 TILEPROP R_TERM_INT_X112Y47 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y47 FIRST_SITE_ID 10968 TILEPROP R_TERM_INT_X112Y47 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y47 GRID_POINT_Y 109 TILEPROP R_TERM_INT_X112Y47 INDEX 12647 TILEPROP R_TERM_INT_X112Y47 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y47 INT_TILE_Y 104 TILEPROP R_TERM_INT_X112Y47 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y47 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y47 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y47 NAME R_TERM_INT_X112Y47 TILEPROP R_TERM_INT_X112Y47 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y47 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y47 ROW 109 TILEPROP R_TERM_INT_X112Y47 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y47 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y47 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y47 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y47 TILE_X 105322 TILEPROP R_TERM_INT_X112Y47 TILE_Y -94648 TILEPROP R_TERM_INT_X112Y47 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y48 CLASS tile TILEPROP R_TERM_INT_X112Y48 COLUMN 112 TILEPROP R_TERM_INT_X112Y48 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y48 FIRST_SITE_ID 10852 TILEPROP R_TERM_INT_X112Y48 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y48 GRID_POINT_Y 108 TILEPROP R_TERM_INT_X112Y48 INDEX 12532 TILEPROP R_TERM_INT_X112Y48 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y48 INT_TILE_Y 103 TILEPROP R_TERM_INT_X112Y48 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y48 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y48 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y48 NAME R_TERM_INT_X112Y48 TILEPROP R_TERM_INT_X112Y48 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y48 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y48 ROW 108 TILEPROP R_TERM_INT_X112Y48 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y48 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y48 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y48 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y48 TILE_X 105322 TILEPROP R_TERM_INT_X112Y48 TILE_Y -91448 TILEPROP R_TERM_INT_X112Y48 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y49 CLASS tile TILEPROP R_TERM_INT_X112Y49 COLUMN 112 TILEPROP R_TERM_INT_X112Y49 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y49 FIRST_SITE_ID 10736 TILEPROP R_TERM_INT_X112Y49 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y49 GRID_POINT_Y 107 TILEPROP R_TERM_INT_X112Y49 INDEX 12417 TILEPROP R_TERM_INT_X112Y49 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y49 INT_TILE_Y 102 TILEPROP R_TERM_INT_X112Y49 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y49 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y49 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y49 NAME R_TERM_INT_X112Y49 TILEPROP R_TERM_INT_X112Y49 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y49 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y49 ROW 107 TILEPROP R_TERM_INT_X112Y49 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y49 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y49 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y49 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y49 TILE_X 105322 TILEPROP R_TERM_INT_X112Y49 TILE_Y -88248 TILEPROP R_TERM_INT_X112Y49 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y50 CLASS tile TILEPROP R_TERM_INT_X112Y50 COLUMN 112 TILEPROP R_TERM_INT_X112Y50 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y50 FIRST_SITE_ID 10636 TILEPROP R_TERM_INT_X112Y50 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y50 GRID_POINT_Y 106 TILEPROP R_TERM_INT_X112Y50 INDEX 12302 TILEPROP R_TERM_INT_X112Y50 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y50 INT_TILE_Y 101 TILEPROP R_TERM_INT_X112Y50 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y50 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y50 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y50 NAME R_TERM_INT_X112Y50 TILEPROP R_TERM_INT_X112Y50 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y50 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y50 ROW 106 TILEPROP R_TERM_INT_X112Y50 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y50 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y50 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y50 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y50 TILE_X 105322 TILEPROP R_TERM_INT_X112Y50 TILE_Y -85048 TILEPROP R_TERM_INT_X112Y50 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y51 CLASS tile TILEPROP R_TERM_INT_X112Y51 COLUMN 112 TILEPROP R_TERM_INT_X112Y51 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y51 FIRST_SITE_ID 10540 TILEPROP R_TERM_INT_X112Y51 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y51 GRID_POINT_Y 105 TILEPROP R_TERM_INT_X112Y51 INDEX 12187 TILEPROP R_TERM_INT_X112Y51 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y51 INT_TILE_Y 100 TILEPROP R_TERM_INT_X112Y51 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y51 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y51 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y51 NAME R_TERM_INT_X112Y51 TILEPROP R_TERM_INT_X112Y51 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y51 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y51 ROW 105 TILEPROP R_TERM_INT_X112Y51 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y51 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y51 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y51 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y51 TILE_X 105322 TILEPROP R_TERM_INT_X112Y51 TILE_Y -81848 TILEPROP R_TERM_INT_X112Y51 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y53 CLASS tile TILEPROP R_TERM_INT_X112Y53 COLUMN 112 TILEPROP R_TERM_INT_X112Y53 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y53 FIRST_SITE_ID 10440 TILEPROP R_TERM_INT_X112Y53 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y53 GRID_POINT_Y 103 TILEPROP R_TERM_INT_X112Y53 INDEX 11957 TILEPROP R_TERM_INT_X112Y53 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y53 INT_TILE_Y 99 TILEPROP R_TERM_INT_X112Y53 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y53 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y53 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y53 NAME R_TERM_INT_X112Y53 TILEPROP R_TERM_INT_X112Y53 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y53 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y53 ROW 103 TILEPROP R_TERM_INT_X112Y53 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y53 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y53 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y53 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y53 TILE_X 105322 TILEPROP R_TERM_INT_X112Y53 TILE_Y -78400 TILEPROP R_TERM_INT_X112Y53 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y54 CLASS tile TILEPROP R_TERM_INT_X112Y54 COLUMN 112 TILEPROP R_TERM_INT_X112Y54 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y54 FIRST_SITE_ID 10305 TILEPROP R_TERM_INT_X112Y54 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y54 GRID_POINT_Y 102 TILEPROP R_TERM_INT_X112Y54 INDEX 11842 TILEPROP R_TERM_INT_X112Y54 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y54 INT_TILE_Y 98 TILEPROP R_TERM_INT_X112Y54 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y54 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y54 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y54 NAME R_TERM_INT_X112Y54 TILEPROP R_TERM_INT_X112Y54 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y54 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y54 ROW 102 TILEPROP R_TERM_INT_X112Y54 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y54 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y54 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y54 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y54 TILE_X 105322 TILEPROP R_TERM_INT_X112Y54 TILE_Y -75200 TILEPROP R_TERM_INT_X112Y54 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y55 CLASS tile TILEPROP R_TERM_INT_X112Y55 COLUMN 112 TILEPROP R_TERM_INT_X112Y55 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y55 FIRST_SITE_ID 10205 TILEPROP R_TERM_INT_X112Y55 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y55 GRID_POINT_Y 101 TILEPROP R_TERM_INT_X112Y55 INDEX 11727 TILEPROP R_TERM_INT_X112Y55 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y55 INT_TILE_Y 97 TILEPROP R_TERM_INT_X112Y55 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y55 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y55 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y55 NAME R_TERM_INT_X112Y55 TILEPROP R_TERM_INT_X112Y55 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y55 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y55 ROW 101 TILEPROP R_TERM_INT_X112Y55 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y55 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y55 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y55 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y55 TILE_X 105322 TILEPROP R_TERM_INT_X112Y55 TILE_Y -72000 TILEPROP R_TERM_INT_X112Y55 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y56 CLASS tile TILEPROP R_TERM_INT_X112Y56 COLUMN 112 TILEPROP R_TERM_INT_X112Y56 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y56 FIRST_SITE_ID 10105 TILEPROP R_TERM_INT_X112Y56 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y56 GRID_POINT_Y 100 TILEPROP R_TERM_INT_X112Y56 INDEX 11612 TILEPROP R_TERM_INT_X112Y56 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y56 INT_TILE_Y 96 TILEPROP R_TERM_INT_X112Y56 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y56 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y56 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y56 NAME R_TERM_INT_X112Y56 TILEPROP R_TERM_INT_X112Y56 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y56 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y56 ROW 100 TILEPROP R_TERM_INT_X112Y56 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y56 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y56 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y56 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y56 TILE_X 105322 TILEPROP R_TERM_INT_X112Y56 TILE_Y -68800 TILEPROP R_TERM_INT_X112Y56 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y57 CLASS tile TILEPROP R_TERM_INT_X112Y57 COLUMN 112 TILEPROP R_TERM_INT_X112Y57 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y57 FIRST_SITE_ID 10005 TILEPROP R_TERM_INT_X112Y57 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y57 GRID_POINT_Y 99 TILEPROP R_TERM_INT_X112Y57 INDEX 11497 TILEPROP R_TERM_INT_X112Y57 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y57 INT_TILE_Y 95 TILEPROP R_TERM_INT_X112Y57 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y57 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y57 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y57 NAME R_TERM_INT_X112Y57 TILEPROP R_TERM_INT_X112Y57 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y57 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y57 ROW 99 TILEPROP R_TERM_INT_X112Y57 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y57 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y57 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y57 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y57 TILE_X 105322 TILEPROP R_TERM_INT_X112Y57 TILE_Y -65600 TILEPROP R_TERM_INT_X112Y57 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y58 CLASS tile TILEPROP R_TERM_INT_X112Y58 COLUMN 112 TILEPROP R_TERM_INT_X112Y58 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y58 FIRST_SITE_ID 9905 TILEPROP R_TERM_INT_X112Y58 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y58 GRID_POINT_Y 98 TILEPROP R_TERM_INT_X112Y58 INDEX 11382 TILEPROP R_TERM_INT_X112Y58 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y58 INT_TILE_Y 94 TILEPROP R_TERM_INT_X112Y58 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y58 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y58 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y58 NAME R_TERM_INT_X112Y58 TILEPROP R_TERM_INT_X112Y58 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y58 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y58 ROW 98 TILEPROP R_TERM_INT_X112Y58 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y58 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y58 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y58 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y58 TILE_X 105322 TILEPROP R_TERM_INT_X112Y58 TILE_Y -62400 TILEPROP R_TERM_INT_X112Y58 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y59 CLASS tile TILEPROP R_TERM_INT_X112Y59 COLUMN 112 TILEPROP R_TERM_INT_X112Y59 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y59 FIRST_SITE_ID 9790 TILEPROP R_TERM_INT_X112Y59 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y59 GRID_POINT_Y 97 TILEPROP R_TERM_INT_X112Y59 INDEX 11267 TILEPROP R_TERM_INT_X112Y59 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y59 INT_TILE_Y 93 TILEPROP R_TERM_INT_X112Y59 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y59 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y59 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y59 NAME R_TERM_INT_X112Y59 TILEPROP R_TERM_INT_X112Y59 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y59 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y59 ROW 97 TILEPROP R_TERM_INT_X112Y59 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y59 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y59 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y59 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y59 TILE_X 105322 TILEPROP R_TERM_INT_X112Y59 TILE_Y -59200 TILEPROP R_TERM_INT_X112Y59 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y60 CLASS tile TILEPROP R_TERM_INT_X112Y60 COLUMN 112 TILEPROP R_TERM_INT_X112Y60 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y60 FIRST_SITE_ID 9690 TILEPROP R_TERM_INT_X112Y60 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y60 GRID_POINT_Y 96 TILEPROP R_TERM_INT_X112Y60 INDEX 11152 TILEPROP R_TERM_INT_X112Y60 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y60 INT_TILE_Y 92 TILEPROP R_TERM_INT_X112Y60 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y60 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y60 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y60 NAME R_TERM_INT_X112Y60 TILEPROP R_TERM_INT_X112Y60 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y60 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y60 ROW 96 TILEPROP R_TERM_INT_X112Y60 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y60 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y60 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y60 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y60 TILE_X 105322 TILEPROP R_TERM_INT_X112Y60 TILE_Y -56000 TILEPROP R_TERM_INT_X112Y60 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y61 CLASS tile TILEPROP R_TERM_INT_X112Y61 COLUMN 112 TILEPROP R_TERM_INT_X112Y61 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y61 FIRST_SITE_ID 9586 TILEPROP R_TERM_INT_X112Y61 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y61 GRID_POINT_Y 95 TILEPROP R_TERM_INT_X112Y61 INDEX 11037 TILEPROP R_TERM_INT_X112Y61 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y61 INT_TILE_Y 91 TILEPROP R_TERM_INT_X112Y61 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y61 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y61 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y61 NAME R_TERM_INT_X112Y61 TILEPROP R_TERM_INT_X112Y61 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y61 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y61 ROW 95 TILEPROP R_TERM_INT_X112Y61 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y61 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y61 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y61 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y61 TILE_X 105322 TILEPROP R_TERM_INT_X112Y61 TILE_Y -52800 TILEPROP R_TERM_INT_X112Y61 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y62 CLASS tile TILEPROP R_TERM_INT_X112Y62 COLUMN 112 TILEPROP R_TERM_INT_X112Y62 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y62 FIRST_SITE_ID 9484 TILEPROP R_TERM_INT_X112Y62 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y62 GRID_POINT_Y 94 TILEPROP R_TERM_INT_X112Y62 INDEX 10922 TILEPROP R_TERM_INT_X112Y62 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y62 INT_TILE_Y 90 TILEPROP R_TERM_INT_X112Y62 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y62 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y62 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y62 NAME R_TERM_INT_X112Y62 TILEPROP R_TERM_INT_X112Y62 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y62 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y62 ROW 94 TILEPROP R_TERM_INT_X112Y62 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y62 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y62 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y62 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y62 TILE_X 105322 TILEPROP R_TERM_INT_X112Y62 TILE_Y -49600 TILEPROP R_TERM_INT_X112Y62 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y63 CLASS tile TILEPROP R_TERM_INT_X112Y63 COLUMN 112 TILEPROP R_TERM_INT_X112Y63 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y63 FIRST_SITE_ID 9384 TILEPROP R_TERM_INT_X112Y63 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y63 GRID_POINT_Y 93 TILEPROP R_TERM_INT_X112Y63 INDEX 10807 TILEPROP R_TERM_INT_X112Y63 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y63 INT_TILE_Y 89 TILEPROP R_TERM_INT_X112Y63 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y63 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y63 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y63 NAME R_TERM_INT_X112Y63 TILEPROP R_TERM_INT_X112Y63 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y63 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y63 ROW 93 TILEPROP R_TERM_INT_X112Y63 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y63 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y63 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y63 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y63 TILE_X 105322 TILEPROP R_TERM_INT_X112Y63 TILE_Y -46400 TILEPROP R_TERM_INT_X112Y63 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y64 CLASS tile TILEPROP R_TERM_INT_X112Y64 COLUMN 112 TILEPROP R_TERM_INT_X112Y64 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y64 FIRST_SITE_ID 9269 TILEPROP R_TERM_INT_X112Y64 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y64 GRID_POINT_Y 92 TILEPROP R_TERM_INT_X112Y64 INDEX 10692 TILEPROP R_TERM_INT_X112Y64 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y64 INT_TILE_Y 88 TILEPROP R_TERM_INT_X112Y64 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y64 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y64 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y64 NAME R_TERM_INT_X112Y64 TILEPROP R_TERM_INT_X112Y64 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y64 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y64 ROW 92 TILEPROP R_TERM_INT_X112Y64 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y64 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y64 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y64 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y64 TILE_X 105322 TILEPROP R_TERM_INT_X112Y64 TILE_Y -43200 TILEPROP R_TERM_INT_X112Y64 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y65 CLASS tile TILEPROP R_TERM_INT_X112Y65 COLUMN 112 TILEPROP R_TERM_INT_X112Y65 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y65 FIRST_SITE_ID 9169 TILEPROP R_TERM_INT_X112Y65 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y65 GRID_POINT_Y 91 TILEPROP R_TERM_INT_X112Y65 INDEX 10577 TILEPROP R_TERM_INT_X112Y65 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y65 INT_TILE_Y 87 TILEPROP R_TERM_INT_X112Y65 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y65 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y65 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y65 NAME R_TERM_INT_X112Y65 TILEPROP R_TERM_INT_X112Y65 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y65 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y65 ROW 91 TILEPROP R_TERM_INT_X112Y65 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y65 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y65 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y65 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y65 TILE_X 105322 TILEPROP R_TERM_INT_X112Y65 TILE_Y -40000 TILEPROP R_TERM_INT_X112Y65 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y66 CLASS tile TILEPROP R_TERM_INT_X112Y66 COLUMN 112 TILEPROP R_TERM_INT_X112Y66 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y66 FIRST_SITE_ID 9037 TILEPROP R_TERM_INT_X112Y66 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y66 GRID_POINT_Y 90 TILEPROP R_TERM_INT_X112Y66 INDEX 10462 TILEPROP R_TERM_INT_X112Y66 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y66 INT_TILE_Y 86 TILEPROP R_TERM_INT_X112Y66 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y66 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y66 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y66 NAME R_TERM_INT_X112Y66 TILEPROP R_TERM_INT_X112Y66 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y66 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y66 ROW 90 TILEPROP R_TERM_INT_X112Y66 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y66 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y66 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y66 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y66 TILE_X 105322 TILEPROP R_TERM_INT_X112Y66 TILE_Y -36800 TILEPROP R_TERM_INT_X112Y66 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y67 CLASS tile TILEPROP R_TERM_INT_X112Y67 COLUMN 112 TILEPROP R_TERM_INT_X112Y67 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y67 FIRST_SITE_ID 8937 TILEPROP R_TERM_INT_X112Y67 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y67 GRID_POINT_Y 89 TILEPROP R_TERM_INT_X112Y67 INDEX 10347 TILEPROP R_TERM_INT_X112Y67 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y67 INT_TILE_Y 85 TILEPROP R_TERM_INT_X112Y67 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y67 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y67 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y67 NAME R_TERM_INT_X112Y67 TILEPROP R_TERM_INT_X112Y67 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y67 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y67 ROW 89 TILEPROP R_TERM_INT_X112Y67 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y67 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y67 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y67 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y67 TILE_X 105322 TILEPROP R_TERM_INT_X112Y67 TILE_Y -33600 TILEPROP R_TERM_INT_X112Y67 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y68 CLASS tile TILEPROP R_TERM_INT_X112Y68 COLUMN 112 TILEPROP R_TERM_INT_X112Y68 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y68 FIRST_SITE_ID 8837 TILEPROP R_TERM_INT_X112Y68 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y68 GRID_POINT_Y 88 TILEPROP R_TERM_INT_X112Y68 INDEX 10232 TILEPROP R_TERM_INT_X112Y68 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y68 INT_TILE_Y 84 TILEPROP R_TERM_INT_X112Y68 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y68 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y68 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y68 NAME R_TERM_INT_X112Y68 TILEPROP R_TERM_INT_X112Y68 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y68 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y68 ROW 88 TILEPROP R_TERM_INT_X112Y68 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y68 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y68 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y68 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y68 TILE_X 105322 TILEPROP R_TERM_INT_X112Y68 TILE_Y -30400 TILEPROP R_TERM_INT_X112Y68 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y69 CLASS tile TILEPROP R_TERM_INT_X112Y69 COLUMN 112 TILEPROP R_TERM_INT_X112Y69 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y69 FIRST_SITE_ID 8722 TILEPROP R_TERM_INT_X112Y69 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y69 GRID_POINT_Y 87 TILEPROP R_TERM_INT_X112Y69 INDEX 10117 TILEPROP R_TERM_INT_X112Y69 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y69 INT_TILE_Y 83 TILEPROP R_TERM_INT_X112Y69 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y69 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y69 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y69 NAME R_TERM_INT_X112Y69 TILEPROP R_TERM_INT_X112Y69 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y69 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y69 ROW 87 TILEPROP R_TERM_INT_X112Y69 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y69 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y69 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y69 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y69 TILE_X 105322 TILEPROP R_TERM_INT_X112Y69 TILE_Y -27200 TILEPROP R_TERM_INT_X112Y69 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y70 CLASS tile TILEPROP R_TERM_INT_X112Y70 COLUMN 112 TILEPROP R_TERM_INT_X112Y70 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y70 FIRST_SITE_ID 8622 TILEPROP R_TERM_INT_X112Y70 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y70 GRID_POINT_Y 86 TILEPROP R_TERM_INT_X112Y70 INDEX 10002 TILEPROP R_TERM_INT_X112Y70 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y70 INT_TILE_Y 82 TILEPROP R_TERM_INT_X112Y70 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y70 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y70 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y70 NAME R_TERM_INT_X112Y70 TILEPROP R_TERM_INT_X112Y70 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y70 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y70 ROW 86 TILEPROP R_TERM_INT_X112Y70 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y70 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y70 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y70 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y70 TILE_X 105322 TILEPROP R_TERM_INT_X112Y70 TILE_Y -24000 TILEPROP R_TERM_INT_X112Y70 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y71 CLASS tile TILEPROP R_TERM_INT_X112Y71 COLUMN 112 TILEPROP R_TERM_INT_X112Y71 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y71 FIRST_SITE_ID 8514 TILEPROP R_TERM_INT_X112Y71 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y71 GRID_POINT_Y 85 TILEPROP R_TERM_INT_X112Y71 INDEX 9887 TILEPROP R_TERM_INT_X112Y71 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y71 INT_TILE_Y 81 TILEPROP R_TERM_INT_X112Y71 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y71 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y71 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y71 NAME R_TERM_INT_X112Y71 TILEPROP R_TERM_INT_X112Y71 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y71 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y71 ROW 85 TILEPROP R_TERM_INT_X112Y71 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y71 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y71 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y71 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y71 TILE_X 105322 TILEPROP R_TERM_INT_X112Y71 TILE_Y -20800 TILEPROP R_TERM_INT_X112Y71 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y72 CLASS tile TILEPROP R_TERM_INT_X112Y72 COLUMN 112 TILEPROP R_TERM_INT_X112Y72 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y72 FIRST_SITE_ID 8414 TILEPROP R_TERM_INT_X112Y72 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y72 GRID_POINT_Y 84 TILEPROP R_TERM_INT_X112Y72 INDEX 9772 TILEPROP R_TERM_INT_X112Y72 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y72 INT_TILE_Y 80 TILEPROP R_TERM_INT_X112Y72 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y72 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y72 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y72 NAME R_TERM_INT_X112Y72 TILEPROP R_TERM_INT_X112Y72 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y72 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y72 ROW 84 TILEPROP R_TERM_INT_X112Y72 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y72 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y72 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y72 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y72 TILE_X 105322 TILEPROP R_TERM_INT_X112Y72 TILE_Y -17600 TILEPROP R_TERM_INT_X112Y72 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y73 CLASS tile TILEPROP R_TERM_INT_X112Y73 COLUMN 112 TILEPROP R_TERM_INT_X112Y73 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y73 FIRST_SITE_ID 8310 TILEPROP R_TERM_INT_X112Y73 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y73 GRID_POINT_Y 83 TILEPROP R_TERM_INT_X112Y73 INDEX 9657 TILEPROP R_TERM_INT_X112Y73 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y73 INT_TILE_Y 79 TILEPROP R_TERM_INT_X112Y73 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y73 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y73 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y73 NAME R_TERM_INT_X112Y73 TILEPROP R_TERM_INT_X112Y73 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y73 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y73 ROW 83 TILEPROP R_TERM_INT_X112Y73 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y73 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y73 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y73 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y73 TILE_X 105322 TILEPROP R_TERM_INT_X112Y73 TILE_Y -14400 TILEPROP R_TERM_INT_X112Y73 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y74 CLASS tile TILEPROP R_TERM_INT_X112Y74 COLUMN 112 TILEPROP R_TERM_INT_X112Y74 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y74 FIRST_SITE_ID 8195 TILEPROP R_TERM_INT_X112Y74 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y74 GRID_POINT_Y 82 TILEPROP R_TERM_INT_X112Y74 INDEX 9542 TILEPROP R_TERM_INT_X112Y74 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y74 INT_TILE_Y 78 TILEPROP R_TERM_INT_X112Y74 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y74 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y74 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y74 NAME R_TERM_INT_X112Y74 TILEPROP R_TERM_INT_X112Y74 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y74 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y74 ROW 82 TILEPROP R_TERM_INT_X112Y74 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y74 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y74 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y74 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y74 TILE_X 105322 TILEPROP R_TERM_INT_X112Y74 TILE_Y -11200 TILEPROP R_TERM_INT_X112Y74 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y75 CLASS tile TILEPROP R_TERM_INT_X112Y75 COLUMN 112 TILEPROP R_TERM_INT_X112Y75 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y75 FIRST_SITE_ID 8095 TILEPROP R_TERM_INT_X112Y75 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y75 GRID_POINT_Y 81 TILEPROP R_TERM_INT_X112Y75 INDEX 9427 TILEPROP R_TERM_INT_X112Y75 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y75 INT_TILE_Y 77 TILEPROP R_TERM_INT_X112Y75 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y75 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y75 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y75 NAME R_TERM_INT_X112Y75 TILEPROP R_TERM_INT_X112Y75 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y75 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y75 ROW 81 TILEPROP R_TERM_INT_X112Y75 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y75 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y75 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y75 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y75 TILE_X 105322 TILEPROP R_TERM_INT_X112Y75 TILE_Y -8000 TILEPROP R_TERM_INT_X112Y75 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y76 CLASS tile TILEPROP R_TERM_INT_X112Y76 COLUMN 112 TILEPROP R_TERM_INT_X112Y76 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y76 FIRST_SITE_ID 7995 TILEPROP R_TERM_INT_X112Y76 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y76 GRID_POINT_Y 80 TILEPROP R_TERM_INT_X112Y76 INDEX 9312 TILEPROP R_TERM_INT_X112Y76 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y76 INT_TILE_Y 76 TILEPROP R_TERM_INT_X112Y76 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y76 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y76 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y76 NAME R_TERM_INT_X112Y76 TILEPROP R_TERM_INT_X112Y76 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y76 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y76 ROW 80 TILEPROP R_TERM_INT_X112Y76 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y76 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y76 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y76 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y76 TILE_X 105322 TILEPROP R_TERM_INT_X112Y76 TILE_Y -4800 TILEPROP R_TERM_INT_X112Y76 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y77 CLASS tile TILEPROP R_TERM_INT_X112Y77 COLUMN 112 TILEPROP R_TERM_INT_X112Y77 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y77 FIRST_SITE_ID 7895 TILEPROP R_TERM_INT_X112Y77 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y77 GRID_POINT_Y 79 TILEPROP R_TERM_INT_X112Y77 INDEX 9197 TILEPROP R_TERM_INT_X112Y77 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y77 INT_TILE_Y 75 TILEPROP R_TERM_INT_X112Y77 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y77 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y77 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y77 NAME R_TERM_INT_X112Y77 TILEPROP R_TERM_INT_X112Y77 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y77 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y77 ROW 79 TILEPROP R_TERM_INT_X112Y77 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y77 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y77 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y77 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y77 TILE_X 105322 TILEPROP R_TERM_INT_X112Y77 TILE_Y -1600 TILEPROP R_TERM_INT_X112Y77 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y79 CLASS tile TILEPROP R_TERM_INT_X112Y79 COLUMN 112 TILEPROP R_TERM_INT_X112Y79 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y79 FIRST_SITE_ID 7712 TILEPROP R_TERM_INT_X112Y79 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y79 GRID_POINT_Y 77 TILEPROP R_TERM_INT_X112Y79 INDEX 8967 TILEPROP R_TERM_INT_X112Y79 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y79 INT_TILE_Y 74 TILEPROP R_TERM_INT_X112Y79 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y79 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y79 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y79 NAME R_TERM_INT_X112Y79 TILEPROP R_TERM_INT_X112Y79 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y79 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y79 ROW 77 TILEPROP R_TERM_INT_X112Y79 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y79 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y79 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y79 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y79 TILE_X 105322 TILEPROP R_TERM_INT_X112Y79 TILE_Y 2624 TILEPROP R_TERM_INT_X112Y79 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y80 CLASS tile TILEPROP R_TERM_INT_X112Y80 COLUMN 112 TILEPROP R_TERM_INT_X112Y80 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y80 FIRST_SITE_ID 7594 TILEPROP R_TERM_INT_X112Y80 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y80 GRID_POINT_Y 76 TILEPROP R_TERM_INT_X112Y80 INDEX 8852 TILEPROP R_TERM_INT_X112Y80 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y80 INT_TILE_Y 73 TILEPROP R_TERM_INT_X112Y80 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y80 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y80 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y80 NAME R_TERM_INT_X112Y80 TILEPROP R_TERM_INT_X112Y80 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y80 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y80 ROW 76 TILEPROP R_TERM_INT_X112Y80 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y80 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y80 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y80 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y80 TILE_X 105322 TILEPROP R_TERM_INT_X112Y80 TILE_Y 5824 TILEPROP R_TERM_INT_X112Y80 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y81 CLASS tile TILEPROP R_TERM_INT_X112Y81 COLUMN 112 TILEPROP R_TERM_INT_X112Y81 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y81 FIRST_SITE_ID 7494 TILEPROP R_TERM_INT_X112Y81 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y81 GRID_POINT_Y 75 TILEPROP R_TERM_INT_X112Y81 INDEX 8737 TILEPROP R_TERM_INT_X112Y81 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y81 INT_TILE_Y 72 TILEPROP R_TERM_INT_X112Y81 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y81 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y81 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y81 NAME R_TERM_INT_X112Y81 TILEPROP R_TERM_INT_X112Y81 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y81 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y81 ROW 75 TILEPROP R_TERM_INT_X112Y81 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y81 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y81 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y81 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y81 TILE_X 105322 TILEPROP R_TERM_INT_X112Y81 TILE_Y 9024 TILEPROP R_TERM_INT_X112Y81 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y82 CLASS tile TILEPROP R_TERM_INT_X112Y82 COLUMN 112 TILEPROP R_TERM_INT_X112Y82 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y82 FIRST_SITE_ID 7394 TILEPROP R_TERM_INT_X112Y82 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y82 GRID_POINT_Y 74 TILEPROP R_TERM_INT_X112Y82 INDEX 8622 TILEPROP R_TERM_INT_X112Y82 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y82 INT_TILE_Y 71 TILEPROP R_TERM_INT_X112Y82 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y82 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y82 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y82 NAME R_TERM_INT_X112Y82 TILEPROP R_TERM_INT_X112Y82 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y82 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y82 ROW 74 TILEPROP R_TERM_INT_X112Y82 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y82 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y82 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y82 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y82 TILE_X 105322 TILEPROP R_TERM_INT_X112Y82 TILE_Y 12224 TILEPROP R_TERM_INT_X112Y82 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y83 CLASS tile TILEPROP R_TERM_INT_X112Y83 COLUMN 112 TILEPROP R_TERM_INT_X112Y83 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y83 FIRST_SITE_ID 7294 TILEPROP R_TERM_INT_X112Y83 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y83 GRID_POINT_Y 73 TILEPROP R_TERM_INT_X112Y83 INDEX 8507 TILEPROP R_TERM_INT_X112Y83 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y83 INT_TILE_Y 70 TILEPROP R_TERM_INT_X112Y83 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y83 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y83 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y83 NAME R_TERM_INT_X112Y83 TILEPROP R_TERM_INT_X112Y83 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y83 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y83 ROW 73 TILEPROP R_TERM_INT_X112Y83 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y83 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y83 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y83 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y83 TILE_X 105322 TILEPROP R_TERM_INT_X112Y83 TILE_Y 15424 TILEPROP R_TERM_INT_X112Y83 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y84 CLASS tile TILEPROP R_TERM_INT_X112Y84 COLUMN 112 TILEPROP R_TERM_INT_X112Y84 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y84 FIRST_SITE_ID 7182 TILEPROP R_TERM_INT_X112Y84 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y84 GRID_POINT_Y 72 TILEPROP R_TERM_INT_X112Y84 INDEX 8392 TILEPROP R_TERM_INT_X112Y84 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y84 INT_TILE_Y 69 TILEPROP R_TERM_INT_X112Y84 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y84 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y84 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y84 NAME R_TERM_INT_X112Y84 TILEPROP R_TERM_INT_X112Y84 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y84 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y84 ROW 72 TILEPROP R_TERM_INT_X112Y84 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y84 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y84 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y84 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y84 TILE_X 105322 TILEPROP R_TERM_INT_X112Y84 TILE_Y 18624 TILEPROP R_TERM_INT_X112Y84 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y85 CLASS tile TILEPROP R_TERM_INT_X112Y85 COLUMN 112 TILEPROP R_TERM_INT_X112Y85 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y85 FIRST_SITE_ID 7067 TILEPROP R_TERM_INT_X112Y85 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y85 GRID_POINT_Y 71 TILEPROP R_TERM_INT_X112Y85 INDEX 8277 TILEPROP R_TERM_INT_X112Y85 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y85 INT_TILE_Y 68 TILEPROP R_TERM_INT_X112Y85 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y85 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y85 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y85 NAME R_TERM_INT_X112Y85 TILEPROP R_TERM_INT_X112Y85 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y85 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y85 ROW 71 TILEPROP R_TERM_INT_X112Y85 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y85 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y85 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y85 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y85 TILE_X 105322 TILEPROP R_TERM_INT_X112Y85 TILE_Y 21824 TILEPROP R_TERM_INT_X112Y85 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y86 CLASS tile TILEPROP R_TERM_INT_X112Y86 COLUMN 112 TILEPROP R_TERM_INT_X112Y86 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y86 FIRST_SITE_ID 6963 TILEPROP R_TERM_INT_X112Y86 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y86 GRID_POINT_Y 70 TILEPROP R_TERM_INT_X112Y86 INDEX 8162 TILEPROP R_TERM_INT_X112Y86 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y86 INT_TILE_Y 67 TILEPROP R_TERM_INT_X112Y86 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y86 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y86 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y86 NAME R_TERM_INT_X112Y86 TILEPROP R_TERM_INT_X112Y86 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y86 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y86 ROW 70 TILEPROP R_TERM_INT_X112Y86 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y86 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y86 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y86 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y86 TILE_X 105322 TILEPROP R_TERM_INT_X112Y86 TILE_Y 25024 TILEPROP R_TERM_INT_X112Y86 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y87 CLASS tile TILEPROP R_TERM_INT_X112Y87 COLUMN 112 TILEPROP R_TERM_INT_X112Y87 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y87 FIRST_SITE_ID 6863 TILEPROP R_TERM_INT_X112Y87 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y87 GRID_POINT_Y 69 TILEPROP R_TERM_INT_X112Y87 INDEX 8047 TILEPROP R_TERM_INT_X112Y87 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y87 INT_TILE_Y 66 TILEPROP R_TERM_INT_X112Y87 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y87 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y87 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y87 NAME R_TERM_INT_X112Y87 TILEPROP R_TERM_INT_X112Y87 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y87 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y87 ROW 69 TILEPROP R_TERM_INT_X112Y87 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y87 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y87 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y87 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y87 TILE_X 105322 TILEPROP R_TERM_INT_X112Y87 TILE_Y 28224 TILEPROP R_TERM_INT_X112Y87 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y88 CLASS tile TILEPROP R_TERM_INT_X112Y88 COLUMN 112 TILEPROP R_TERM_INT_X112Y88 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y88 FIRST_SITE_ID 6763 TILEPROP R_TERM_INT_X112Y88 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y88 GRID_POINT_Y 68 TILEPROP R_TERM_INT_X112Y88 INDEX 7932 TILEPROP R_TERM_INT_X112Y88 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y88 INT_TILE_Y 65 TILEPROP R_TERM_INT_X112Y88 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y88 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y88 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y88 NAME R_TERM_INT_X112Y88 TILEPROP R_TERM_INT_X112Y88 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y88 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y88 ROW 68 TILEPROP R_TERM_INT_X112Y88 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y88 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y88 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y88 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y88 TILE_X 105322 TILEPROP R_TERM_INT_X112Y88 TILE_Y 31424 TILEPROP R_TERM_INT_X112Y88 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y89 CLASS tile TILEPROP R_TERM_INT_X112Y89 COLUMN 112 TILEPROP R_TERM_INT_X112Y89 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y89 FIRST_SITE_ID 6663 TILEPROP R_TERM_INT_X112Y89 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y89 GRID_POINT_Y 67 TILEPROP R_TERM_INT_X112Y89 INDEX 7817 TILEPROP R_TERM_INT_X112Y89 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y89 INT_TILE_Y 64 TILEPROP R_TERM_INT_X112Y89 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y89 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y89 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y89 NAME R_TERM_INT_X112Y89 TILEPROP R_TERM_INT_X112Y89 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y89 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y89 ROW 67 TILEPROP R_TERM_INT_X112Y89 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y89 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y89 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y89 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y89 TILE_X 105322 TILEPROP R_TERM_INT_X112Y89 TILE_Y 34624 TILEPROP R_TERM_INT_X112Y89 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y90 CLASS tile TILEPROP R_TERM_INT_X112Y90 COLUMN 112 TILEPROP R_TERM_INT_X112Y90 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y90 FIRST_SITE_ID 6548 TILEPROP R_TERM_INT_X112Y90 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y90 GRID_POINT_Y 66 TILEPROP R_TERM_INT_X112Y90 INDEX 7702 TILEPROP R_TERM_INT_X112Y90 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y90 INT_TILE_Y 63 TILEPROP R_TERM_INT_X112Y90 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y90 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y90 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y90 NAME R_TERM_INT_X112Y90 TILEPROP R_TERM_INT_X112Y90 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y90 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y90 ROW 66 TILEPROP R_TERM_INT_X112Y90 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y90 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y90 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y90 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y90 TILE_X 105322 TILEPROP R_TERM_INT_X112Y90 TILE_Y 37824 TILEPROP R_TERM_INT_X112Y90 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y91 CLASS tile TILEPROP R_TERM_INT_X112Y91 COLUMN 112 TILEPROP R_TERM_INT_X112Y91 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y91 FIRST_SITE_ID 6416 TILEPROP R_TERM_INT_X112Y91 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y91 GRID_POINT_Y 65 TILEPROP R_TERM_INT_X112Y91 INDEX 7587 TILEPROP R_TERM_INT_X112Y91 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y91 INT_TILE_Y 62 TILEPROP R_TERM_INT_X112Y91 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y91 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y91 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y91 NAME R_TERM_INT_X112Y91 TILEPROP R_TERM_INT_X112Y91 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y91 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y91 ROW 65 TILEPROP R_TERM_INT_X112Y91 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y91 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y91 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y91 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y91 TILE_X 105322 TILEPROP R_TERM_INT_X112Y91 TILE_Y 41024 TILEPROP R_TERM_INT_X112Y91 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y92 CLASS tile TILEPROP R_TERM_INT_X112Y92 COLUMN 112 TILEPROP R_TERM_INT_X112Y92 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y92 FIRST_SITE_ID 6316 TILEPROP R_TERM_INT_X112Y92 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y92 GRID_POINT_Y 64 TILEPROP R_TERM_INT_X112Y92 INDEX 7472 TILEPROP R_TERM_INT_X112Y92 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y92 INT_TILE_Y 61 TILEPROP R_TERM_INT_X112Y92 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y92 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y92 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y92 NAME R_TERM_INT_X112Y92 TILEPROP R_TERM_INT_X112Y92 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y92 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y92 ROW 64 TILEPROP R_TERM_INT_X112Y92 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y92 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y92 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y92 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y92 TILE_X 105322 TILEPROP R_TERM_INT_X112Y92 TILE_Y 44224 TILEPROP R_TERM_INT_X112Y92 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y93 CLASS tile TILEPROP R_TERM_INT_X112Y93 COLUMN 112 TILEPROP R_TERM_INT_X112Y93 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y93 FIRST_SITE_ID 6216 TILEPROP R_TERM_INT_X112Y93 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y93 GRID_POINT_Y 63 TILEPROP R_TERM_INT_X112Y93 INDEX 7357 TILEPROP R_TERM_INT_X112Y93 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y93 INT_TILE_Y 60 TILEPROP R_TERM_INT_X112Y93 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y93 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y93 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y93 NAME R_TERM_INT_X112Y93 TILEPROP R_TERM_INT_X112Y93 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y93 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y93 ROW 63 TILEPROP R_TERM_INT_X112Y93 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y93 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y93 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y93 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y93 TILE_X 105322 TILEPROP R_TERM_INT_X112Y93 TILE_Y 47424 TILEPROP R_TERM_INT_X112Y93 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y94 CLASS tile TILEPROP R_TERM_INT_X112Y94 COLUMN 112 TILEPROP R_TERM_INT_X112Y94 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y94 FIRST_SITE_ID 6116 TILEPROP R_TERM_INT_X112Y94 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y94 GRID_POINT_Y 62 TILEPROP R_TERM_INT_X112Y94 INDEX 7242 TILEPROP R_TERM_INT_X112Y94 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y94 INT_TILE_Y 59 TILEPROP R_TERM_INT_X112Y94 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y94 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y94 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y94 NAME R_TERM_INT_X112Y94 TILEPROP R_TERM_INT_X112Y94 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y94 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y94 ROW 62 TILEPROP R_TERM_INT_X112Y94 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y94 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y94 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y94 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y94 TILE_X 105322 TILEPROP R_TERM_INT_X112Y94 TILE_Y 50624 TILEPROP R_TERM_INT_X112Y94 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y95 CLASS tile TILEPROP R_TERM_INT_X112Y95 COLUMN 112 TILEPROP R_TERM_INT_X112Y95 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y95 FIRST_SITE_ID 6001 TILEPROP R_TERM_INT_X112Y95 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y95 GRID_POINT_Y 61 TILEPROP R_TERM_INT_X112Y95 INDEX 7127 TILEPROP R_TERM_INT_X112Y95 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y95 INT_TILE_Y 58 TILEPROP R_TERM_INT_X112Y95 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y95 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y95 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y95 NAME R_TERM_INT_X112Y95 TILEPROP R_TERM_INT_X112Y95 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y95 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y95 ROW 61 TILEPROP R_TERM_INT_X112Y95 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y95 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y95 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y95 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y95 TILE_X 105322 TILEPROP R_TERM_INT_X112Y95 TILE_Y 53824 TILEPROP R_TERM_INT_X112Y95 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y96 CLASS tile TILEPROP R_TERM_INT_X112Y96 COLUMN 112 TILEPROP R_TERM_INT_X112Y96 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y96 FIRST_SITE_ID 5901 TILEPROP R_TERM_INT_X112Y96 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y96 GRID_POINT_Y 60 TILEPROP R_TERM_INT_X112Y96 INDEX 7012 TILEPROP R_TERM_INT_X112Y96 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y96 INT_TILE_Y 57 TILEPROP R_TERM_INT_X112Y96 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y96 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y96 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y96 NAME R_TERM_INT_X112Y96 TILEPROP R_TERM_INT_X112Y96 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y96 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y96 ROW 60 TILEPROP R_TERM_INT_X112Y96 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y96 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y96 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y96 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y96 TILE_X 105322 TILEPROP R_TERM_INT_X112Y96 TILE_Y 57024 TILEPROP R_TERM_INT_X112Y96 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y97 CLASS tile TILEPROP R_TERM_INT_X112Y97 COLUMN 112 TILEPROP R_TERM_INT_X112Y97 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y97 FIRST_SITE_ID 5799 TILEPROP R_TERM_INT_X112Y97 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y97 GRID_POINT_Y 59 TILEPROP R_TERM_INT_X112Y97 INDEX 6897 TILEPROP R_TERM_INT_X112Y97 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y97 INT_TILE_Y 56 TILEPROP R_TERM_INT_X112Y97 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y97 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y97 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y97 NAME R_TERM_INT_X112Y97 TILEPROP R_TERM_INT_X112Y97 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y97 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y97 ROW 59 TILEPROP R_TERM_INT_X112Y97 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y97 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y97 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y97 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y97 TILE_X 105322 TILEPROP R_TERM_INT_X112Y97 TILE_Y 60224 TILEPROP R_TERM_INT_X112Y97 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y98 CLASS tile TILEPROP R_TERM_INT_X112Y98 COLUMN 112 TILEPROP R_TERM_INT_X112Y98 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y98 FIRST_SITE_ID 5695 TILEPROP R_TERM_INT_X112Y98 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y98 GRID_POINT_Y 58 TILEPROP R_TERM_INT_X112Y98 INDEX 6782 TILEPROP R_TERM_INT_X112Y98 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y98 INT_TILE_Y 55 TILEPROP R_TERM_INT_X112Y98 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y98 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y98 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y98 NAME R_TERM_INT_X112Y98 TILEPROP R_TERM_INT_X112Y98 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y98 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y98 ROW 58 TILEPROP R_TERM_INT_X112Y98 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y98 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y98 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y98 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y98 TILE_X 105322 TILEPROP R_TERM_INT_X112Y98 TILE_Y 63424 TILEPROP R_TERM_INT_X112Y98 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y99 CLASS tile TILEPROP R_TERM_INT_X112Y99 COLUMN 112 TILEPROP R_TERM_INT_X112Y99 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y99 FIRST_SITE_ID 5595 TILEPROP R_TERM_INT_X112Y99 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y99 GRID_POINT_Y 57 TILEPROP R_TERM_INT_X112Y99 INDEX 6667 TILEPROP R_TERM_INT_X112Y99 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y99 INT_TILE_Y 54 TILEPROP R_TERM_INT_X112Y99 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y99 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y99 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y99 NAME R_TERM_INT_X112Y99 TILEPROP R_TERM_INT_X112Y99 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y99 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y99 ROW 57 TILEPROP R_TERM_INT_X112Y99 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y99 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y99 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y99 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y99 TILE_X 105322 TILEPROP R_TERM_INT_X112Y99 TILE_Y 66624 TILEPROP R_TERM_INT_X112Y99 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y100 CLASS tile TILEPROP R_TERM_INT_X112Y100 COLUMN 112 TILEPROP R_TERM_INT_X112Y100 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y100 FIRST_SITE_ID 5480 TILEPROP R_TERM_INT_X112Y100 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y100 GRID_POINT_Y 56 TILEPROP R_TERM_INT_X112Y100 INDEX 6552 TILEPROP R_TERM_INT_X112Y100 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y100 INT_TILE_Y 53 TILEPROP R_TERM_INT_X112Y100 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y100 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y100 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y100 NAME R_TERM_INT_X112Y100 TILEPROP R_TERM_INT_X112Y100 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y100 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y100 ROW 56 TILEPROP R_TERM_INT_X112Y100 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y100 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y100 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y100 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y100 TILE_X 105322 TILEPROP R_TERM_INT_X112Y100 TILE_Y 69824 TILEPROP R_TERM_INT_X112Y100 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y101 CLASS tile TILEPROP R_TERM_INT_X112Y101 COLUMN 112 TILEPROP R_TERM_INT_X112Y101 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y101 FIRST_SITE_ID 5380 TILEPROP R_TERM_INT_X112Y101 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y101 GRID_POINT_Y 55 TILEPROP R_TERM_INT_X112Y101 INDEX 6437 TILEPROP R_TERM_INT_X112Y101 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y101 INT_TILE_Y 52 TILEPROP R_TERM_INT_X112Y101 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y101 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y101 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y101 NAME R_TERM_INT_X112Y101 TILEPROP R_TERM_INT_X112Y101 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y101 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y101 ROW 55 TILEPROP R_TERM_INT_X112Y101 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y101 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y101 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y101 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y101 TILE_X 105322 TILEPROP R_TERM_INT_X112Y101 TILE_Y 73024 TILEPROP R_TERM_INT_X112Y101 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y102 CLASS tile TILEPROP R_TERM_INT_X112Y102 COLUMN 112 TILEPROP R_TERM_INT_X112Y102 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y102 FIRST_SITE_ID 5280 TILEPROP R_TERM_INT_X112Y102 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y102 GRID_POINT_Y 54 TILEPROP R_TERM_INT_X112Y102 INDEX 6322 TILEPROP R_TERM_INT_X112Y102 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y102 INT_TILE_Y 51 TILEPROP R_TERM_INT_X112Y102 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y102 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y102 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y102 NAME R_TERM_INT_X112Y102 TILEPROP R_TERM_INT_X112Y102 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y102 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y102 ROW 54 TILEPROP R_TERM_INT_X112Y102 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y102 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y102 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y102 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y102 TILE_X 105322 TILEPROP R_TERM_INT_X112Y102 TILE_Y 76224 TILEPROP R_TERM_INT_X112Y102 TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y103 CLASS tile TILEPROP R_TERM_INT_X112Y103 COLUMN 112 TILEPROP R_TERM_INT_X112Y103 DEVICE_ID 0 TILEPROP R_TERM_INT_X112Y103 FIRST_SITE_ID 5184 TILEPROP R_TERM_INT_X112Y103 GRID_POINT_X 112 TILEPROP R_TERM_INT_X112Y103 GRID_POINT_Y 53 TILEPROP R_TERM_INT_X112Y103 INDEX 6207 TILEPROP R_TERM_INT_X112Y103 INT_TILE_X 43 TILEPROP R_TERM_INT_X112Y103 INT_TILE_Y 50 TILEPROP R_TERM_INT_X112Y103 IS_CENTER_TILE 0 TILEPROP R_TERM_INT_X112Y103 IS_DCM_TILE 0 TILEPROP R_TERM_INT_X112Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y103 IS_GT_SITE_TILE 0 TILEPROP R_TERM_INT_X112Y103 NAME R_TERM_INT_X112Y103 TILEPROP R_TERM_INT_X112Y103 NUM_ARCS 0 TILEPROP R_TERM_INT_X112Y103 NUM_SITES 0 TILEPROP R_TERM_INT_X112Y103 ROW 53 TILEPROP R_TERM_INT_X112Y103 SLR_REGION_ID 0 TILEPROP R_TERM_INT_X112Y103 TILE_PATTERN_IDX 1686 TILEPROP R_TERM_INT_X112Y103 TILE_TYPE R_TERM_INT TILEPROP R_TERM_INT_X112Y103 TILE_TYPE_INDEX 124 TILEPROP R_TERM_INT_X112Y103 TILE_X 105322 TILEPROP R_TERM_INT_X112Y103 TILE_Y 79424 TILEPROP R_TERM_INT_X112Y103 TYPE R_TERM_INT TILEPROP TERM_CMT_X106Y0 CLASS tile TILEPROP TERM_CMT_X106Y0 COLUMN 106 TILEPROP TERM_CMT_X106Y0 DEVICE_ID 0 TILEPROP TERM_CMT_X106Y0 FIRST_SITE_ID 15817 TILEPROP TERM_CMT_X106Y0 GRID_POINT_X 106 TILEPROP TERM_CMT_X106Y0 GRID_POINT_Y 156 TILEPROP TERM_CMT_X106Y0 INDEX 18046 TILEPROP TERM_CMT_X106Y0 INT_TILE_X 41 TILEPROP TERM_CMT_X106Y0 INT_TILE_Y 149 TILEPROP TERM_CMT_X106Y0 IS_CENTER_TILE 0 TILEPROP TERM_CMT_X106Y0 IS_DCM_TILE 0 TILEPROP TERM_CMT_X106Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP TERM_CMT_X106Y0 IS_GT_SITE_TILE 0 TILEPROP TERM_CMT_X106Y0 NAME TERM_CMT_X106Y0 TILEPROP TERM_CMT_X106Y0 NUM_ARCS 0 TILEPROP TERM_CMT_X106Y0 NUM_SITES 0 TILEPROP TERM_CMT_X106Y0 ROW 156 TILEPROP TERM_CMT_X106Y0 SLR_REGION_ID 0 TILEPROP TERM_CMT_X106Y0 TILE_PATTERN_IDX 2 TILEPROP TERM_CMT_X106Y0 TILE_TYPE TERM_CMT TILEPROP TERM_CMT_X106Y0 TILE_TYPE_INDEX 126 TILEPROP TERM_CMT_X106Y0 TILE_X 95438 TILEPROP TERM_CMT_X106Y0 TILE_Y -242872 TILEPROP TERM_CMT_X106Y0 TYPE TERM_CMT TILEPROP TERM_CMT_X8Y0 CLASS tile TILEPROP TERM_CMT_X8Y0 COLUMN 8 TILEPROP TERM_CMT_X8Y0 DEVICE_ID 0 TILEPROP TERM_CMT_X8Y0 FIRST_SITE_ID 15817 TILEPROP TERM_CMT_X8Y0 GRID_POINT_X 8 TILEPROP TERM_CMT_X8Y0 GRID_POINT_Y 156 TILEPROP TERM_CMT_X8Y0 INDEX 17948 TILEPROP TERM_CMT_X8Y0 INT_TILE_X 1 TILEPROP TERM_CMT_X8Y0 INT_TILE_Y 149 TILEPROP TERM_CMT_X8Y0 IS_CENTER_TILE 0 TILEPROP TERM_CMT_X8Y0 IS_DCM_TILE 0 TILEPROP TERM_CMT_X8Y0 IS_GT_CLOCK_SITE_TILE 0 TILEPROP TERM_CMT_X8Y0 IS_GT_SITE_TILE 0 TILEPROP TERM_CMT_X8Y0 NAME TERM_CMT_X8Y0 TILEPROP TERM_CMT_X8Y0 NUM_ARCS 0 TILEPROP TERM_CMT_X8Y0 NUM_SITES 0 TILEPROP TERM_CMT_X8Y0 ROW 156 TILEPROP TERM_CMT_X8Y0 SLR_REGION_ID 0 TILEPROP TERM_CMT_X8Y0 TILE_PATTERN_IDX 2 TILEPROP TERM_CMT_X8Y0 TILE_TYPE TERM_CMT TILEPROP TERM_CMT_X8Y0 TILE_TYPE_INDEX 126 TILEPROP TERM_CMT_X8Y0 TILE_X -89422 TILEPROP TERM_CMT_X8Y0 TILE_Y -242872 TILEPROP TERM_CMT_X8Y0 TYPE TERM_CMT TILEPROP TERM_CMT_X8Y156 CLASS tile TILEPROP TERM_CMT_X8Y156 COLUMN 8 TILEPROP TERM_CMT_X8Y156 DEVICE_ID 0 TILEPROP TERM_CMT_X8Y156 FIRST_SITE_ID 0 TILEPROP TERM_CMT_X8Y156 GRID_POINT_X 8 TILEPROP TERM_CMT_X8Y156 GRID_POINT_Y 0 TILEPROP TERM_CMT_X8Y156 INDEX 8 TILEPROP TERM_CMT_X8Y156 INT_TILE_X -1 TILEPROP TERM_CMT_X8Y156 INT_TILE_Y -1 TILEPROP TERM_CMT_X8Y156 IS_CENTER_TILE 0 TILEPROP TERM_CMT_X8Y156 IS_DCM_TILE 0 TILEPROP TERM_CMT_X8Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP TERM_CMT_X8Y156 IS_GT_SITE_TILE 0 TILEPROP TERM_CMT_X8Y156 NAME TERM_CMT_X8Y156 TILEPROP TERM_CMT_X8Y156 NUM_ARCS 0 TILEPROP TERM_CMT_X8Y156 NUM_SITES 0 TILEPROP TERM_CMT_X8Y156 ROW 0 TILEPROP TERM_CMT_X8Y156 SLR_REGION_ID 0 TILEPROP TERM_CMT_X8Y156 TILE_PATTERN_IDX 2 TILEPROP TERM_CMT_X8Y156 TILE_TYPE TERM_CMT TILEPROP TERM_CMT_X8Y156 TILE_TYPE_INDEX 126 TILEPROP TERM_CMT_X8Y156 TILE_X -89422 TILEPROP TERM_CMT_X8Y156 TILE_Y 243896 TILEPROP TERM_CMT_X8Y156 TYPE TERM_CMT TILEPROP T_TERM_INT_X11Y156 CLASS tile TILEPROP T_TERM_INT_X11Y156 COLUMN 11 TILEPROP T_TERM_INT_X11Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X11Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X11Y156 GRID_POINT_X 11 TILEPROP T_TERM_INT_X11Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X11Y156 INDEX 11 TILEPROP T_TERM_INT_X11Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X11Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X11Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X11Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X11Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X11Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X11Y156 NAME T_TERM_INT_X11Y156 TILEPROP T_TERM_INT_X11Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X11Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X11Y156 ROW 0 TILEPROP T_TERM_INT_X11Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X11Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X11Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X11Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X11Y156 TILE_X -85134 TILEPROP T_TERM_INT_X11Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X11Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X12Y156 CLASS tile TILEPROP T_TERM_INT_X12Y156 COLUMN 12 TILEPROP T_TERM_INT_X12Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X12Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X12Y156 GRID_POINT_X 12 TILEPROP T_TERM_INT_X12Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X12Y156 INDEX 12 TILEPROP T_TERM_INT_X12Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X12Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X12Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X12Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X12Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X12Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X12Y156 NAME T_TERM_INT_X12Y156 TILEPROP T_TERM_INT_X12Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X12Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X12Y156 ROW 0 TILEPROP T_TERM_INT_X12Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X12Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X12Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X12Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X12Y156 TILE_X -81678 TILEPROP T_TERM_INT_X12Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X12Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X15Y156 CLASS tile TILEPROP T_TERM_INT_X15Y156 COLUMN 15 TILEPROP T_TERM_INT_X15Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X15Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X15Y156 GRID_POINT_X 15 TILEPROP T_TERM_INT_X15Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X15Y156 INDEX 15 TILEPROP T_TERM_INT_X15Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X15Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X15Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X15Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X15Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X15Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X15Y156 NAME T_TERM_INT_X15Y156 TILEPROP T_TERM_INT_X15Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X15Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X15Y156 ROW 0 TILEPROP T_TERM_INT_X15Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X15Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X15Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X15Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X15Y156 TILE_X -76830 TILEPROP T_TERM_INT_X15Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X15Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X16Y156 CLASS tile TILEPROP T_TERM_INT_X16Y156 COLUMN 16 TILEPROP T_TERM_INT_X16Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X16Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X16Y156 GRID_POINT_X 16 TILEPROP T_TERM_INT_X16Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X16Y156 INDEX 16 TILEPROP T_TERM_INT_X16Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X16Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X16Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X16Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X16Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X16Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X16Y156 NAME T_TERM_INT_X16Y156 TILEPROP T_TERM_INT_X16Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X16Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X16Y156 ROW 0 TILEPROP T_TERM_INT_X16Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X16Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X16Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X16Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X16Y156 TILE_X -73374 TILEPROP T_TERM_INT_X16Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X16Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X21Y156 CLASS tile TILEPROP T_TERM_INT_X21Y156 COLUMN 21 TILEPROP T_TERM_INT_X21Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X21Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X21Y156 GRID_POINT_X 21 TILEPROP T_TERM_INT_X21Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X21Y156 INDEX 21 TILEPROP T_TERM_INT_X21Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X21Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X21Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X21Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X21Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X21Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X21Y156 NAME T_TERM_INT_X21Y156 TILEPROP T_TERM_INT_X21Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X21Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X21Y156 ROW 0 TILEPROP T_TERM_INT_X21Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X21Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X21Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X21Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X21Y156 TILE_X -64718 TILEPROP T_TERM_INT_X21Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X21Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X22Y156 CLASS tile TILEPROP T_TERM_INT_X22Y156 COLUMN 22 TILEPROP T_TERM_INT_X22Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X22Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X22Y156 GRID_POINT_X 22 TILEPROP T_TERM_INT_X22Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X22Y156 INDEX 22 TILEPROP T_TERM_INT_X22Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X22Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X22Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X22Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X22Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X22Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X22Y156 NAME T_TERM_INT_X22Y156 TILEPROP T_TERM_INT_X22Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X22Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X22Y156 ROW 0 TILEPROP T_TERM_INT_X22Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X22Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X22Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X22Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X22Y156 TILE_X -61262 TILEPROP T_TERM_INT_X22Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X22Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X25Y156 CLASS tile TILEPROP T_TERM_INT_X25Y156 COLUMN 25 TILEPROP T_TERM_INT_X25Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X25Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X25Y156 GRID_POINT_X 25 TILEPROP T_TERM_INT_X25Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X25Y156 INDEX 25 TILEPROP T_TERM_INT_X25Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X25Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X25Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X25Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X25Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X25Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X25Y156 NAME T_TERM_INT_X25Y156 TILEPROP T_TERM_INT_X25Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X25Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X25Y156 ROW 0 TILEPROP T_TERM_INT_X25Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X25Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X25Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X25Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X25Y156 TILE_X -56414 TILEPROP T_TERM_INT_X25Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X25Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X26Y156 CLASS tile TILEPROP T_TERM_INT_X26Y156 COLUMN 26 TILEPROP T_TERM_INT_X26Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X26Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X26Y156 GRID_POINT_X 26 TILEPROP T_TERM_INT_X26Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X26Y156 INDEX 26 TILEPROP T_TERM_INT_X26Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X26Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X26Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X26Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X26Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X26Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X26Y156 NAME T_TERM_INT_X26Y156 TILEPROP T_TERM_INT_X26Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X26Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X26Y156 ROW 0 TILEPROP T_TERM_INT_X26Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X26Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X26Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X26Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X26Y156 TILE_X -52958 TILEPROP T_TERM_INT_X26Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X26Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X31Y156 CLASS tile TILEPROP T_TERM_INT_X31Y156 COLUMN 31 TILEPROP T_TERM_INT_X31Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X31Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X31Y156 GRID_POINT_X 31 TILEPROP T_TERM_INT_X31Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X31Y156 INDEX 31 TILEPROP T_TERM_INT_X31Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X31Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X31Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X31Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X31Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X31Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X31Y156 NAME T_TERM_INT_X31Y156 TILEPROP T_TERM_INT_X31Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X31Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X31Y156 ROW 0 TILEPROP T_TERM_INT_X31Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X31Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X31Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X31Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X31Y156 TILE_X -47592 TILEPROP T_TERM_INT_X31Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X31Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X32Y156 CLASS tile TILEPROP T_TERM_INT_X32Y156 COLUMN 32 TILEPROP T_TERM_INT_X32Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X32Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X32Y156 GRID_POINT_X 32 TILEPROP T_TERM_INT_X32Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X32Y156 INDEX 32 TILEPROP T_TERM_INT_X32Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X32Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X32Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X32Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X32Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X32Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X32Y156 NAME T_TERM_INT_X32Y156 TILEPROP T_TERM_INT_X32Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X32Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X32Y156 ROW 0 TILEPROP T_TERM_INT_X32Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X32Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X32Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X32Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X32Y156 TILE_X -44136 TILEPROP T_TERM_INT_X32Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X32Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X36Y156 CLASS tile TILEPROP T_TERM_INT_X36Y156 COLUMN 36 TILEPROP T_TERM_INT_X36Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X36Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X36Y156 GRID_POINT_X 36 TILEPROP T_TERM_INT_X36Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X36Y156 INDEX 36 TILEPROP T_TERM_INT_X36Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X36Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X36Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X36Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X36Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X36Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X36Y156 NAME T_TERM_INT_X36Y156 TILEPROP T_TERM_INT_X36Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X36Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X36Y156 ROW 0 TILEPROP T_TERM_INT_X36Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X36Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X36Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X36Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X36Y156 TILE_X -39224 TILEPROP T_TERM_INT_X36Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X36Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X37Y156 CLASS tile TILEPROP T_TERM_INT_X37Y156 COLUMN 37 TILEPROP T_TERM_INT_X37Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X37Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X37Y156 GRID_POINT_X 37 TILEPROP T_TERM_INT_X37Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X37Y156 INDEX 37 TILEPROP T_TERM_INT_X37Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X37Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X37Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X37Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X37Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X37Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X37Y156 NAME T_TERM_INT_X37Y156 TILEPROP T_TERM_INT_X37Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X37Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X37Y156 ROW 0 TILEPROP T_TERM_INT_X37Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X37Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X37Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X37Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X37Y156 TILE_X -35768 TILEPROP T_TERM_INT_X37Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X37Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X40Y156 CLASS tile TILEPROP T_TERM_INT_X40Y156 COLUMN 40 TILEPROP T_TERM_INT_X40Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X40Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X40Y156 GRID_POINT_X 40 TILEPROP T_TERM_INT_X40Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X40Y156 INDEX 40 TILEPROP T_TERM_INT_X40Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X40Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X40Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X40Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X40Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X40Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X40Y156 NAME T_TERM_INT_X40Y156 TILEPROP T_TERM_INT_X40Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X40Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X40Y156 ROW 0 TILEPROP T_TERM_INT_X40Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X40Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X40Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X40Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X40Y156 TILE_X -30920 TILEPROP T_TERM_INT_X40Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X40Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X41Y156 CLASS tile TILEPROP T_TERM_INT_X41Y156 COLUMN 41 TILEPROP T_TERM_INT_X41Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X41Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X41Y156 GRID_POINT_X 41 TILEPROP T_TERM_INT_X41Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X41Y156 INDEX 41 TILEPROP T_TERM_INT_X41Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X41Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X41Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X41Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X41Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X41Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X41Y156 NAME T_TERM_INT_X41Y156 TILEPROP T_TERM_INT_X41Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X41Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X41Y156 ROW 0 TILEPROP T_TERM_INT_X41Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X41Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X41Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X41Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X41Y156 TILE_X -27464 TILEPROP T_TERM_INT_X41Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X41Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X44Y156 CLASS tile TILEPROP T_TERM_INT_X44Y156 COLUMN 44 TILEPROP T_TERM_INT_X44Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X44Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X44Y156 GRID_POINT_X 44 TILEPROP T_TERM_INT_X44Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X44Y156 INDEX 44 TILEPROP T_TERM_INT_X44Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X44Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X44Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X44Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X44Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X44Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X44Y156 NAME T_TERM_INT_X44Y156 TILEPROP T_TERM_INT_X44Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X44Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X44Y156 ROW 0 TILEPROP T_TERM_INT_X44Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X44Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X44Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X44Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X44Y156 TILE_X -22616 TILEPROP T_TERM_INT_X44Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X44Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X45Y156 CLASS tile TILEPROP T_TERM_INT_X45Y156 COLUMN 45 TILEPROP T_TERM_INT_X45Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X45Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X45Y156 GRID_POINT_X 45 TILEPROP T_TERM_INT_X45Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X45Y156 INDEX 45 TILEPROP T_TERM_INT_X45Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X45Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X45Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X45Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X45Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X45Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X45Y156 NAME T_TERM_INT_X45Y156 TILEPROP T_TERM_INT_X45Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X45Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X45Y156 ROW 0 TILEPROP T_TERM_INT_X45Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X45Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X45Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X45Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X45Y156 TILE_X -19160 TILEPROP T_TERM_INT_X45Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X45Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X49Y156 CLASS tile TILEPROP T_TERM_INT_X49Y156 COLUMN 49 TILEPROP T_TERM_INT_X49Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X49Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X49Y156 GRID_POINT_X 49 TILEPROP T_TERM_INT_X49Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X49Y156 INDEX 49 TILEPROP T_TERM_INT_X49Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X49Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X49Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X49Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X49Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X49Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X49Y156 NAME T_TERM_INT_X49Y156 TILEPROP T_TERM_INT_X49Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X49Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X49Y156 ROW 0 TILEPROP T_TERM_INT_X49Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X49Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X49Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X49Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X49Y156 TILE_X -14880 TILEPROP T_TERM_INT_X49Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X49Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X4Y156 CLASS tile TILEPROP T_TERM_INT_X4Y156 COLUMN 4 TILEPROP T_TERM_INT_X4Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X4Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X4Y156 GRID_POINT_X 4 TILEPROP T_TERM_INT_X4Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X4Y156 INDEX 4 TILEPROP T_TERM_INT_X4Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X4Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X4Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X4Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X4Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X4Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X4Y156 NAME T_TERM_INT_X4Y156 TILEPROP T_TERM_INT_X4Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X4Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X4Y156 ROW 0 TILEPROP T_TERM_INT_X4Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X4Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X4Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X4Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X4Y156 TILE_X -97166 TILEPROP T_TERM_INT_X4Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X4Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X50Y156 CLASS tile TILEPROP T_TERM_INT_X50Y156 COLUMN 50 TILEPROP T_TERM_INT_X50Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X50Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X50Y156 GRID_POINT_X 50 TILEPROP T_TERM_INT_X50Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X50Y156 INDEX 50 TILEPROP T_TERM_INT_X50Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X50Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X50Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X50Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X50Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X50Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X50Y156 NAME T_TERM_INT_X50Y156 TILEPROP T_TERM_INT_X50Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X50Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X50Y156 ROW 0 TILEPROP T_TERM_INT_X50Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X50Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X50Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X50Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X50Y156 TILE_X -11424 TILEPROP T_TERM_INT_X50Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X50Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X53Y156 CLASS tile TILEPROP T_TERM_INT_X53Y156 COLUMN 53 TILEPROP T_TERM_INT_X53Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X53Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X53Y156 GRID_POINT_X 53 TILEPROP T_TERM_INT_X53Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X53Y156 INDEX 53 TILEPROP T_TERM_INT_X53Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X53Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X53Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X53Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X53Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X53Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X53Y156 NAME T_TERM_INT_X53Y156 TILEPROP T_TERM_INT_X53Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X53Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X53Y156 ROW 0 TILEPROP T_TERM_INT_X53Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X53Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X53Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X53Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X53Y156 TILE_X -6576 TILEPROP T_TERM_INT_X53Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X53Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X54Y156 CLASS tile TILEPROP T_TERM_INT_X54Y156 COLUMN 54 TILEPROP T_TERM_INT_X54Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X54Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X54Y156 GRID_POINT_X 54 TILEPROP T_TERM_INT_X54Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X54Y156 INDEX 54 TILEPROP T_TERM_INT_X54Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X54Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X54Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X54Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X54Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X54Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X54Y156 NAME T_TERM_INT_X54Y156 TILEPROP T_TERM_INT_X54Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X54Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X54Y156 ROW 0 TILEPROP T_TERM_INT_X54Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X54Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X54Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X54Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X54Y156 TILE_X -3120 TILEPROP T_TERM_INT_X54Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X54Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X57Y156 CLASS tile TILEPROP T_TERM_INT_X57Y156 COLUMN 57 TILEPROP T_TERM_INT_X57Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X57Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X57Y156 GRID_POINT_X 57 TILEPROP T_TERM_INT_X57Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X57Y156 INDEX 57 TILEPROP T_TERM_INT_X57Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X57Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X57Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X57Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X57Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X57Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X57Y156 NAME T_TERM_INT_X57Y156 TILEPROP T_TERM_INT_X57Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X57Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X57Y156 ROW 0 TILEPROP T_TERM_INT_X57Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X57Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X57Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X57Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X57Y156 TILE_X 1728 TILEPROP T_TERM_INT_X57Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X57Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X58Y156 CLASS tile TILEPROP T_TERM_INT_X58Y156 COLUMN 58 TILEPROP T_TERM_INT_X58Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X58Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X58Y156 GRID_POINT_X 58 TILEPROP T_TERM_INT_X58Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X58Y156 INDEX 58 TILEPROP T_TERM_INT_X58Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X58Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X58Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X58Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X58Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X58Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X58Y156 NAME T_TERM_INT_X58Y156 TILEPROP T_TERM_INT_X58Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X58Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X58Y156 ROW 0 TILEPROP T_TERM_INT_X58Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X58Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X58Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X58Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X58Y156 TILE_X 5184 TILEPROP T_TERM_INT_X58Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X58Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X5Y156 CLASS tile TILEPROP T_TERM_INT_X5Y156 COLUMN 5 TILEPROP T_TERM_INT_X5Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X5Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X5Y156 GRID_POINT_X 5 TILEPROP T_TERM_INT_X5Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X5Y156 INDEX 5 TILEPROP T_TERM_INT_X5Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X5Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X5Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X5Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X5Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X5Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X5Y156 NAME T_TERM_INT_X5Y156 TILEPROP T_TERM_INT_X5Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X5Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X5Y156 ROW 0 TILEPROP T_TERM_INT_X5Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X5Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X5Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X5Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X5Y156 TILE_X -93710 TILEPROP T_TERM_INT_X5Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X5Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X63Y156 CLASS tile TILEPROP T_TERM_INT_X63Y156 COLUMN 63 TILEPROP T_TERM_INT_X63Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X63Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X63Y156 GRID_POINT_X 63 TILEPROP T_TERM_INT_X63Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X63Y156 INDEX 63 TILEPROP T_TERM_INT_X63Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X63Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X63Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X63Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X63Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X63Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X63Y156 NAME T_TERM_INT_X63Y156 TILEPROP T_TERM_INT_X63Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X63Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X63Y156 ROW 0 TILEPROP T_TERM_INT_X63Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X63Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X63Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X63Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X63Y156 TILE_X 13064 TILEPROP T_TERM_INT_X63Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X63Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X64Y156 CLASS tile TILEPROP T_TERM_INT_X64Y156 COLUMN 64 TILEPROP T_TERM_INT_X64Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X64Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X64Y156 GRID_POINT_X 64 TILEPROP T_TERM_INT_X64Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X64Y156 INDEX 64 TILEPROP T_TERM_INT_X64Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X64Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X64Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X64Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X64Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X64Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X64Y156 NAME T_TERM_INT_X64Y156 TILEPROP T_TERM_INT_X64Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X64Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X64Y156 ROW 0 TILEPROP T_TERM_INT_X64Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X64Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X64Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X64Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X64Y156 TILE_X 16520 TILEPROP T_TERM_INT_X64Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X64Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X68Y156 CLASS tile TILEPROP T_TERM_INT_X68Y156 COLUMN 68 TILEPROP T_TERM_INT_X68Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X68Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X68Y156 GRID_POINT_X 68 TILEPROP T_TERM_INT_X68Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X68Y156 INDEX 68 TILEPROP T_TERM_INT_X68Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X68Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X68Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X68Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X68Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X68Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X68Y156 NAME T_TERM_INT_X68Y156 TILEPROP T_TERM_INT_X68Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X68Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X68Y156 ROW 0 TILEPROP T_TERM_INT_X68Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X68Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X68Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X68Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X68Y156 TILE_X 21432 TILEPROP T_TERM_INT_X68Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X68Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X69Y156 CLASS tile TILEPROP T_TERM_INT_X69Y156 COLUMN 69 TILEPROP T_TERM_INT_X69Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X69Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X69Y156 GRID_POINT_X 69 TILEPROP T_TERM_INT_X69Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X69Y156 INDEX 69 TILEPROP T_TERM_INT_X69Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X69Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X69Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X69Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X69Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X69Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X69Y156 NAME T_TERM_INT_X69Y156 TILEPROP T_TERM_INT_X69Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X69Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X69Y156 ROW 0 TILEPROP T_TERM_INT_X69Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X69Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X69Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X69Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X69Y156 TILE_X 24888 TILEPROP T_TERM_INT_X69Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X69Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X72Y156 CLASS tile TILEPROP T_TERM_INT_X72Y156 COLUMN 72 TILEPROP T_TERM_INT_X72Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X72Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X72Y156 GRID_POINT_X 72 TILEPROP T_TERM_INT_X72Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X72Y156 INDEX 72 TILEPROP T_TERM_INT_X72Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X72Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X72Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X72Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X72Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X72Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X72Y156 NAME T_TERM_INT_X72Y156 TILEPROP T_TERM_INT_X72Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X72Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X72Y156 ROW 0 TILEPROP T_TERM_INT_X72Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X72Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X72Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X72Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X72Y156 TILE_X 29736 TILEPROP T_TERM_INT_X72Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X72Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X73Y156 CLASS tile TILEPROP T_TERM_INT_X73Y156 COLUMN 73 TILEPROP T_TERM_INT_X73Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X73Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X73Y156 GRID_POINT_X 73 TILEPROP T_TERM_INT_X73Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X73Y156 INDEX 73 TILEPROP T_TERM_INT_X73Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X73Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X73Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X73Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X73Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X73Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X73Y156 NAME T_TERM_INT_X73Y156 TILEPROP T_TERM_INT_X73Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X73Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X73Y156 ROW 0 TILEPROP T_TERM_INT_X73Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X73Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X73Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X73Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X73Y156 TILE_X 33192 TILEPROP T_TERM_INT_X73Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X73Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X77Y156 CLASS tile TILEPROP T_TERM_INT_X77Y156 COLUMN 77 TILEPROP T_TERM_INT_X77Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X77Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X77Y156 GRID_POINT_X 77 TILEPROP T_TERM_INT_X77Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X77Y156 INDEX 77 TILEPROP T_TERM_INT_X77Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X77Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X77Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X77Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X77Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X77Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X77Y156 NAME T_TERM_INT_X77Y156 TILEPROP T_TERM_INT_X77Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X77Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X77Y156 ROW 0 TILEPROP T_TERM_INT_X77Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X77Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X77Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X77Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X77Y156 TILE_X 41784 TILEPROP T_TERM_INT_X77Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X77Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X78Y156 CLASS tile TILEPROP T_TERM_INT_X78Y156 COLUMN 78 TILEPROP T_TERM_INT_X78Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X78Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X78Y156 GRID_POINT_X 78 TILEPROP T_TERM_INT_X78Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X78Y156 INDEX 78 TILEPROP T_TERM_INT_X78Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X78Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X78Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X78Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X78Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X78Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X78Y156 NAME T_TERM_INT_X78Y156 TILEPROP T_TERM_INT_X78Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X78Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X78Y156 ROW 0 TILEPROP T_TERM_INT_X78Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X78Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X78Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X78Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X78Y156 TILE_X 45240 TILEPROP T_TERM_INT_X78Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X78Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X82Y156 CLASS tile TILEPROP T_TERM_INT_X82Y156 COLUMN 82 TILEPROP T_TERM_INT_X82Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X82Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X82Y156 GRID_POINT_X 82 TILEPROP T_TERM_INT_X82Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X82Y156 INDEX 82 TILEPROP T_TERM_INT_X82Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X82Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X82Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X82Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X82Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X82Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X82Y156 NAME T_TERM_INT_X82Y156 TILEPROP T_TERM_INT_X82Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X82Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X82Y156 ROW 0 TILEPROP T_TERM_INT_X82Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X82Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X82Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X82Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X82Y156 TILE_X 50152 TILEPROP T_TERM_INT_X82Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X82Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X83Y156 CLASS tile TILEPROP T_TERM_INT_X83Y156 COLUMN 83 TILEPROP T_TERM_INT_X83Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X83Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X83Y156 GRID_POINT_X 83 TILEPROP T_TERM_INT_X83Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X83Y156 INDEX 83 TILEPROP T_TERM_INT_X83Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X83Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X83Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X83Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X83Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X83Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X83Y156 NAME T_TERM_INT_X83Y156 TILEPROP T_TERM_INT_X83Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X83Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X83Y156 ROW 0 TILEPROP T_TERM_INT_X83Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X83Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X83Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X83Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X83Y156 TILE_X 53608 TILEPROP T_TERM_INT_X83Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X83Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X88Y156 CLASS tile TILEPROP T_TERM_INT_X88Y156 COLUMN 88 TILEPROP T_TERM_INT_X88Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X88Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X88Y156 GRID_POINT_X 88 TILEPROP T_TERM_INT_X88Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X88Y156 INDEX 88 TILEPROP T_TERM_INT_X88Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X88Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X88Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X88Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X88Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X88Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X88Y156 NAME T_TERM_INT_X88Y156 TILEPROP T_TERM_INT_X88Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X88Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X88Y156 ROW 0 TILEPROP T_TERM_INT_X88Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X88Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X88Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X88Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X88Y156 TILE_X 58974 TILEPROP T_TERM_INT_X88Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X88Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X89Y156 CLASS tile TILEPROP T_TERM_INT_X89Y156 COLUMN 89 TILEPROP T_TERM_INT_X89Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X89Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X89Y156 GRID_POINT_X 89 TILEPROP T_TERM_INT_X89Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X89Y156 INDEX 89 TILEPROP T_TERM_INT_X89Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X89Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X89Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X89Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X89Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X89Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X89Y156 NAME T_TERM_INT_X89Y156 TILEPROP T_TERM_INT_X89Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X89Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X89Y156 ROW 0 TILEPROP T_TERM_INT_X89Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X89Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X89Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X89Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X89Y156 TILE_X 62430 TILEPROP T_TERM_INT_X89Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X89Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X92Y156 CLASS tile TILEPROP T_TERM_INT_X92Y156 COLUMN 92 TILEPROP T_TERM_INT_X92Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X92Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X92Y156 GRID_POINT_X 92 TILEPROP T_TERM_INT_X92Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X92Y156 INDEX 92 TILEPROP T_TERM_INT_X92Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X92Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X92Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X92Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X92Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X92Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X92Y156 NAME T_TERM_INT_X92Y156 TILEPROP T_TERM_INT_X92Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X92Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X92Y156 ROW 0 TILEPROP T_TERM_INT_X92Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X92Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X92Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X92Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X92Y156 TILE_X 67278 TILEPROP T_TERM_INT_X92Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X92Y156 TYPE T_TERM_INT TILEPROP T_TERM_INT_X93Y156 CLASS tile TILEPROP T_TERM_INT_X93Y156 COLUMN 93 TILEPROP T_TERM_INT_X93Y156 DEVICE_ID 0 TILEPROP T_TERM_INT_X93Y156 FIRST_SITE_ID 0 TILEPROP T_TERM_INT_X93Y156 GRID_POINT_X 93 TILEPROP T_TERM_INT_X93Y156 GRID_POINT_Y 0 TILEPROP T_TERM_INT_X93Y156 INDEX 93 TILEPROP T_TERM_INT_X93Y156 INT_TILE_X -1 TILEPROP T_TERM_INT_X93Y156 INT_TILE_Y -1 TILEPROP T_TERM_INT_X93Y156 IS_CENTER_TILE 0 TILEPROP T_TERM_INT_X93Y156 IS_DCM_TILE 0 TILEPROP T_TERM_INT_X93Y156 IS_GT_CLOCK_SITE_TILE 0 TILEPROP T_TERM_INT_X93Y156 IS_GT_SITE_TILE 0 TILEPROP T_TERM_INT_X93Y156 NAME T_TERM_INT_X93Y156 TILEPROP T_TERM_INT_X93Y156 NUM_ARCS 0 TILEPROP T_TERM_INT_X93Y156 NUM_SITES 0 TILEPROP T_TERM_INT_X93Y156 ROW 0 TILEPROP T_TERM_INT_X93Y156 SLR_REGION_ID 0 TILEPROP T_TERM_INT_X93Y156 TILE_PATTERN_IDX 1 TILEPROP T_TERM_INT_X93Y156 TILE_TYPE T_TERM_INT TILEPROP T_TERM_INT_X93Y156 TILE_TYPE_INDEX 127 TILEPROP T_TERM_INT_X93Y156 TILE_X 70734 TILEPROP T_TERM_INT_X93Y156 TILE_Y 243896 TILEPROP T_TERM_INT_X93Y156 TYPE T_TERM_INT TILEPROP VBRK_EXT_X96Y105 CLASS tile TILEPROP VBRK_EXT_X96Y105 COLUMN 96 TILEPROP VBRK_EXT_X96Y105 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y105 FIRST_SITE_ID 5088 TILEPROP VBRK_EXT_X96Y105 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y105 GRID_POINT_Y 51 TILEPROP VBRK_EXT_X96Y105 INDEX 5961 TILEPROP VBRK_EXT_X96Y105 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y105 INT_TILE_Y 49 TILEPROP VBRK_EXT_X96Y105 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y105 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y105 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y105 NAME VBRK_EXT_X96Y105 TILEPROP VBRK_EXT_X96Y105 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y105 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y105 ROW 51 TILEPROP VBRK_EXT_X96Y105 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y105 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y105 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y105 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y105 TILE_X 76934 TILEPROP VBRK_EXT_X96Y105 TILE_Y 82872 TILEPROP VBRK_EXT_X96Y105 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y106 CLASS tile TILEPROP VBRK_EXT_X96Y106 COLUMN 96 TILEPROP VBRK_EXT_X96Y106 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y106 FIRST_SITE_ID 4987 TILEPROP VBRK_EXT_X96Y106 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y106 GRID_POINT_Y 50 TILEPROP VBRK_EXT_X96Y106 INDEX 5846 TILEPROP VBRK_EXT_X96Y106 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y106 INT_TILE_Y 48 TILEPROP VBRK_EXT_X96Y106 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y106 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y106 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y106 NAME VBRK_EXT_X96Y106 TILEPROP VBRK_EXT_X96Y106 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y106 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y106 ROW 50 TILEPROP VBRK_EXT_X96Y106 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y106 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y106 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y106 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y106 TILE_X 76934 TILEPROP VBRK_EXT_X96Y106 TILE_Y 86072 TILEPROP VBRK_EXT_X96Y106 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y107 CLASS tile TILEPROP VBRK_EXT_X96Y107 COLUMN 96 TILEPROP VBRK_EXT_X96Y107 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y107 FIRST_SITE_ID 4891 TILEPROP VBRK_EXT_X96Y107 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y107 GRID_POINT_Y 49 TILEPROP VBRK_EXT_X96Y107 INDEX 5731 TILEPROP VBRK_EXT_X96Y107 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y107 INT_TILE_Y 47 TILEPROP VBRK_EXT_X96Y107 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y107 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y107 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y107 NAME VBRK_EXT_X96Y107 TILEPROP VBRK_EXT_X96Y107 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y107 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y107 ROW 49 TILEPROP VBRK_EXT_X96Y107 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y107 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y107 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y107 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y107 TILE_X 76934 TILEPROP VBRK_EXT_X96Y107 TILE_Y 89272 TILEPROP VBRK_EXT_X96Y107 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y108 CLASS tile TILEPROP VBRK_EXT_X96Y108 COLUMN 96 TILEPROP VBRK_EXT_X96Y108 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y108 FIRST_SITE_ID 4803 TILEPROP VBRK_EXT_X96Y108 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y108 GRID_POINT_Y 48 TILEPROP VBRK_EXT_X96Y108 INDEX 5616 TILEPROP VBRK_EXT_X96Y108 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y108 INT_TILE_Y 46 TILEPROP VBRK_EXT_X96Y108 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y108 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y108 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y108 NAME VBRK_EXT_X96Y108 TILEPROP VBRK_EXT_X96Y108 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y108 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y108 ROW 48 TILEPROP VBRK_EXT_X96Y108 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y108 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y108 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y108 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y108 TILE_X 76934 TILEPROP VBRK_EXT_X96Y108 TILE_Y 92472 TILEPROP VBRK_EXT_X96Y108 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y109 CLASS tile TILEPROP VBRK_EXT_X96Y109 COLUMN 96 TILEPROP VBRK_EXT_X96Y109 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y109 FIRST_SITE_ID 4707 TILEPROP VBRK_EXT_X96Y109 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y109 GRID_POINT_Y 47 TILEPROP VBRK_EXT_X96Y109 INDEX 5501 TILEPROP VBRK_EXT_X96Y109 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y109 INT_TILE_Y 45 TILEPROP VBRK_EXT_X96Y109 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y109 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y109 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y109 NAME VBRK_EXT_X96Y109 TILEPROP VBRK_EXT_X96Y109 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y109 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y109 ROW 47 TILEPROP VBRK_EXT_X96Y109 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y109 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y109 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y109 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y109 TILE_X 76934 TILEPROP VBRK_EXT_X96Y109 TILE_Y 95672 TILEPROP VBRK_EXT_X96Y109 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y110 CLASS tile TILEPROP VBRK_EXT_X96Y110 COLUMN 96 TILEPROP VBRK_EXT_X96Y110 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y110 FIRST_SITE_ID 4614 TILEPROP VBRK_EXT_X96Y110 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y110 GRID_POINT_Y 46 TILEPROP VBRK_EXT_X96Y110 INDEX 5386 TILEPROP VBRK_EXT_X96Y110 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y110 INT_TILE_Y 44 TILEPROP VBRK_EXT_X96Y110 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y110 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y110 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y110 NAME VBRK_EXT_X96Y110 TILEPROP VBRK_EXT_X96Y110 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y110 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y110 ROW 46 TILEPROP VBRK_EXT_X96Y110 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y110 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y110 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y110 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y110 TILE_X 76934 TILEPROP VBRK_EXT_X96Y110 TILE_Y 98872 TILEPROP VBRK_EXT_X96Y110 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y111 CLASS tile TILEPROP VBRK_EXT_X96Y111 COLUMN 96 TILEPROP VBRK_EXT_X96Y111 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y111 FIRST_SITE_ID 4509 TILEPROP VBRK_EXT_X96Y111 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y111 GRID_POINT_Y 45 TILEPROP VBRK_EXT_X96Y111 INDEX 5271 TILEPROP VBRK_EXT_X96Y111 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y111 INT_TILE_Y 43 TILEPROP VBRK_EXT_X96Y111 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y111 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y111 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y111 NAME VBRK_EXT_X96Y111 TILEPROP VBRK_EXT_X96Y111 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y111 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y111 ROW 45 TILEPROP VBRK_EXT_X96Y111 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y111 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y111 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y111 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y111 TILE_X 76934 TILEPROP VBRK_EXT_X96Y111 TILE_Y 102072 TILEPROP VBRK_EXT_X96Y111 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y112 CLASS tile TILEPROP VBRK_EXT_X96Y112 COLUMN 96 TILEPROP VBRK_EXT_X96Y112 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y112 FIRST_SITE_ID 4421 TILEPROP VBRK_EXT_X96Y112 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y112 GRID_POINT_Y 44 TILEPROP VBRK_EXT_X96Y112 INDEX 5156 TILEPROP VBRK_EXT_X96Y112 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y112 INT_TILE_Y 42 TILEPROP VBRK_EXT_X96Y112 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y112 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y112 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y112 NAME VBRK_EXT_X96Y112 TILEPROP VBRK_EXT_X96Y112 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y112 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y112 ROW 44 TILEPROP VBRK_EXT_X96Y112 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y112 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y112 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y112 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y112 TILE_X 76934 TILEPROP VBRK_EXT_X96Y112 TILE_Y 105272 TILEPROP VBRK_EXT_X96Y112 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y113 CLASS tile TILEPROP VBRK_EXT_X96Y113 COLUMN 96 TILEPROP VBRK_EXT_X96Y113 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y113 FIRST_SITE_ID 4323 TILEPROP VBRK_EXT_X96Y113 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y113 GRID_POINT_Y 43 TILEPROP VBRK_EXT_X96Y113 INDEX 5041 TILEPROP VBRK_EXT_X96Y113 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y113 INT_TILE_Y 41 TILEPROP VBRK_EXT_X96Y113 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y113 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y113 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y113 NAME VBRK_EXT_X96Y113 TILEPROP VBRK_EXT_X96Y113 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y113 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y113 ROW 43 TILEPROP VBRK_EXT_X96Y113 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y113 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y113 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y113 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y113 TILE_X 76934 TILEPROP VBRK_EXT_X96Y113 TILE_Y 108472 TILEPROP VBRK_EXT_X96Y113 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y114 CLASS tile TILEPROP VBRK_EXT_X96Y114 COLUMN 96 TILEPROP VBRK_EXT_X96Y114 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y114 FIRST_SITE_ID 4234 TILEPROP VBRK_EXT_X96Y114 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y114 GRID_POINT_Y 42 TILEPROP VBRK_EXT_X96Y114 INDEX 4926 TILEPROP VBRK_EXT_X96Y114 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y114 INT_TILE_Y 40 TILEPROP VBRK_EXT_X96Y114 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y114 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y114 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y114 NAME VBRK_EXT_X96Y114 TILEPROP VBRK_EXT_X96Y114 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y114 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y114 ROW 42 TILEPROP VBRK_EXT_X96Y114 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y114 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y114 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y114 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y114 TILE_X 76934 TILEPROP VBRK_EXT_X96Y114 TILE_Y 111672 TILEPROP VBRK_EXT_X96Y114 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y115 CLASS tile TILEPROP VBRK_EXT_X96Y115 COLUMN 96 TILEPROP VBRK_EXT_X96Y115 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y115 FIRST_SITE_ID 4138 TILEPROP VBRK_EXT_X96Y115 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y115 GRID_POINT_Y 41 TILEPROP VBRK_EXT_X96Y115 INDEX 4811 TILEPROP VBRK_EXT_X96Y115 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y115 INT_TILE_Y 39 TILEPROP VBRK_EXT_X96Y115 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y115 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y115 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y115 NAME VBRK_EXT_X96Y115 TILEPROP VBRK_EXT_X96Y115 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y115 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y115 ROW 41 TILEPROP VBRK_EXT_X96Y115 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y115 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y115 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y115 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y115 TILE_X 76934 TILEPROP VBRK_EXT_X96Y115 TILE_Y 114872 TILEPROP VBRK_EXT_X96Y115 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y116 CLASS tile TILEPROP VBRK_EXT_X96Y116 COLUMN 96 TILEPROP VBRK_EXT_X96Y116 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y116 FIRST_SITE_ID 4040 TILEPROP VBRK_EXT_X96Y116 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y116 GRID_POINT_Y 40 TILEPROP VBRK_EXT_X96Y116 INDEX 4696 TILEPROP VBRK_EXT_X96Y116 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y116 INT_TILE_Y 38 TILEPROP VBRK_EXT_X96Y116 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y116 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y116 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y116 NAME VBRK_EXT_X96Y116 TILEPROP VBRK_EXT_X96Y116 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y116 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y116 ROW 40 TILEPROP VBRK_EXT_X96Y116 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y116 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y116 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y116 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y116 TILE_X 76934 TILEPROP VBRK_EXT_X96Y116 TILE_Y 118072 TILEPROP VBRK_EXT_X96Y116 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y117 CLASS tile TILEPROP VBRK_EXT_X96Y117 COLUMN 96 TILEPROP VBRK_EXT_X96Y117 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y117 FIRST_SITE_ID 3944 TILEPROP VBRK_EXT_X96Y117 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y117 GRID_POINT_Y 39 TILEPROP VBRK_EXT_X96Y117 INDEX 4581 TILEPROP VBRK_EXT_X96Y117 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y117 INT_TILE_Y 37 TILEPROP VBRK_EXT_X96Y117 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y117 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y117 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y117 NAME VBRK_EXT_X96Y117 TILEPROP VBRK_EXT_X96Y117 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y117 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y117 ROW 39 TILEPROP VBRK_EXT_X96Y117 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y117 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y117 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y117 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y117 TILE_X 76934 TILEPROP VBRK_EXT_X96Y117 TILE_Y 121272 TILEPROP VBRK_EXT_X96Y117 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y118 CLASS tile TILEPROP VBRK_EXT_X96Y118 COLUMN 96 TILEPROP VBRK_EXT_X96Y118 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y118 FIRST_SITE_ID 3824 TILEPROP VBRK_EXT_X96Y118 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y118 GRID_POINT_Y 38 TILEPROP VBRK_EXT_X96Y118 INDEX 4466 TILEPROP VBRK_EXT_X96Y118 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y118 INT_TILE_Y 36 TILEPROP VBRK_EXT_X96Y118 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y118 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y118 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y118 NAME VBRK_EXT_X96Y118 TILEPROP VBRK_EXT_X96Y118 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y118 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y118 ROW 38 TILEPROP VBRK_EXT_X96Y118 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y118 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y118 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y118 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y118 TILE_X 76934 TILEPROP VBRK_EXT_X96Y118 TILE_Y 124472 TILEPROP VBRK_EXT_X96Y118 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y119 CLASS tile TILEPROP VBRK_EXT_X96Y119 COLUMN 96 TILEPROP VBRK_EXT_X96Y119 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y119 FIRST_SITE_ID 3728 TILEPROP VBRK_EXT_X96Y119 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y119 GRID_POINT_Y 37 TILEPROP VBRK_EXT_X96Y119 INDEX 4351 TILEPROP VBRK_EXT_X96Y119 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y119 INT_TILE_Y 35 TILEPROP VBRK_EXT_X96Y119 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y119 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y119 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y119 NAME VBRK_EXT_X96Y119 TILEPROP VBRK_EXT_X96Y119 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y119 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y119 ROW 37 TILEPROP VBRK_EXT_X96Y119 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y119 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y119 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y119 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y119 TILE_X 76934 TILEPROP VBRK_EXT_X96Y119 TILE_Y 127672 TILEPROP VBRK_EXT_X96Y119 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y120 CLASS tile TILEPROP VBRK_EXT_X96Y120 COLUMN 96 TILEPROP VBRK_EXT_X96Y120 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y120 FIRST_SITE_ID 3640 TILEPROP VBRK_EXT_X96Y120 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y120 GRID_POINT_Y 36 TILEPROP VBRK_EXT_X96Y120 INDEX 4236 TILEPROP VBRK_EXT_X96Y120 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y120 INT_TILE_Y 34 TILEPROP VBRK_EXT_X96Y120 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y120 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y120 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y120 NAME VBRK_EXT_X96Y120 TILEPROP VBRK_EXT_X96Y120 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y120 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y120 ROW 36 TILEPROP VBRK_EXT_X96Y120 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y120 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y120 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y120 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y120 TILE_X 76934 TILEPROP VBRK_EXT_X96Y120 TILE_Y 130872 TILEPROP VBRK_EXT_X96Y120 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y121 CLASS tile TILEPROP VBRK_EXT_X96Y121 COLUMN 96 TILEPROP VBRK_EXT_X96Y121 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y121 FIRST_SITE_ID 3530 TILEPROP VBRK_EXT_X96Y121 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y121 GRID_POINT_Y 35 TILEPROP VBRK_EXT_X96Y121 INDEX 4121 TILEPROP VBRK_EXT_X96Y121 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y121 INT_TILE_Y 33 TILEPROP VBRK_EXT_X96Y121 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y121 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y121 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y121 NAME VBRK_EXT_X96Y121 TILEPROP VBRK_EXT_X96Y121 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y121 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y121 ROW 35 TILEPROP VBRK_EXT_X96Y121 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y121 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y121 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y121 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y121 TILE_X 76934 TILEPROP VBRK_EXT_X96Y121 TILE_Y 134072 TILEPROP VBRK_EXT_X96Y121 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y122 CLASS tile TILEPROP VBRK_EXT_X96Y122 COLUMN 96 TILEPROP VBRK_EXT_X96Y122 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y122 FIRST_SITE_ID 3442 TILEPROP VBRK_EXT_X96Y122 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y122 GRID_POINT_Y 34 TILEPROP VBRK_EXT_X96Y122 INDEX 4006 TILEPROP VBRK_EXT_X96Y122 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y122 INT_TILE_Y 32 TILEPROP VBRK_EXT_X96Y122 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y122 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y122 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y122 NAME VBRK_EXT_X96Y122 TILEPROP VBRK_EXT_X96Y122 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y122 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y122 ROW 34 TILEPROP VBRK_EXT_X96Y122 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y122 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y122 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y122 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y122 TILE_X 76934 TILEPROP VBRK_EXT_X96Y122 TILE_Y 137272 TILEPROP VBRK_EXT_X96Y122 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y123 CLASS tile TILEPROP VBRK_EXT_X96Y123 COLUMN 96 TILEPROP VBRK_EXT_X96Y123 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y123 FIRST_SITE_ID 3342 TILEPROP VBRK_EXT_X96Y123 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y123 GRID_POINT_Y 33 TILEPROP VBRK_EXT_X96Y123 INDEX 3891 TILEPROP VBRK_EXT_X96Y123 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y123 INT_TILE_Y 31 TILEPROP VBRK_EXT_X96Y123 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y123 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y123 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y123 NAME VBRK_EXT_X96Y123 TILEPROP VBRK_EXT_X96Y123 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y123 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y123 ROW 33 TILEPROP VBRK_EXT_X96Y123 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y123 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y123 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y123 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y123 TILE_X 76934 TILEPROP VBRK_EXT_X96Y123 TILE_Y 140472 TILEPROP VBRK_EXT_X96Y123 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y124 CLASS tile TILEPROP VBRK_EXT_X96Y124 COLUMN 96 TILEPROP VBRK_EXT_X96Y124 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y124 FIRST_SITE_ID 3254 TILEPROP VBRK_EXT_X96Y124 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y124 GRID_POINT_Y 32 TILEPROP VBRK_EXT_X96Y124 INDEX 3776 TILEPROP VBRK_EXT_X96Y124 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y124 INT_TILE_Y 30 TILEPROP VBRK_EXT_X96Y124 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y124 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y124 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y124 NAME VBRK_EXT_X96Y124 TILEPROP VBRK_EXT_X96Y124 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y124 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y124 ROW 32 TILEPROP VBRK_EXT_X96Y124 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y124 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y124 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y124 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y124 TILE_X 76934 TILEPROP VBRK_EXT_X96Y124 TILE_Y 143672 TILEPROP VBRK_EXT_X96Y124 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y125 CLASS tile TILEPROP VBRK_EXT_X96Y125 COLUMN 96 TILEPROP VBRK_EXT_X96Y125 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y125 FIRST_SITE_ID 3156 TILEPROP VBRK_EXT_X96Y125 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y125 GRID_POINT_Y 31 TILEPROP VBRK_EXT_X96Y125 INDEX 3661 TILEPROP VBRK_EXT_X96Y125 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y125 INT_TILE_Y 29 TILEPROP VBRK_EXT_X96Y125 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y125 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y125 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y125 NAME VBRK_EXT_X96Y125 TILEPROP VBRK_EXT_X96Y125 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y125 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y125 ROW 31 TILEPROP VBRK_EXT_X96Y125 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y125 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y125 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y125 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y125 TILE_X 76934 TILEPROP VBRK_EXT_X96Y125 TILE_Y 146872 TILEPROP VBRK_EXT_X96Y125 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y126 CLASS tile TILEPROP VBRK_EXT_X96Y126 COLUMN 96 TILEPROP VBRK_EXT_X96Y126 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y126 FIRST_SITE_ID 3059 TILEPROP VBRK_EXT_X96Y126 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y126 GRID_POINT_Y 30 TILEPROP VBRK_EXT_X96Y126 INDEX 3546 TILEPROP VBRK_EXT_X96Y126 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y126 INT_TILE_Y 28 TILEPROP VBRK_EXT_X96Y126 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y126 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y126 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y126 NAME VBRK_EXT_X96Y126 TILEPROP VBRK_EXT_X96Y126 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y126 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y126 ROW 30 TILEPROP VBRK_EXT_X96Y126 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y126 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y126 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y126 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y126 TILE_X 76934 TILEPROP VBRK_EXT_X96Y126 TILE_Y 150072 TILEPROP VBRK_EXT_X96Y126 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y127 CLASS tile TILEPROP VBRK_EXT_X96Y127 COLUMN 96 TILEPROP VBRK_EXT_X96Y127 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y127 FIRST_SITE_ID 2956 TILEPROP VBRK_EXT_X96Y127 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y127 GRID_POINT_Y 29 TILEPROP VBRK_EXT_X96Y127 INDEX 3431 TILEPROP VBRK_EXT_X96Y127 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y127 INT_TILE_Y 27 TILEPROP VBRK_EXT_X96Y127 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y127 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y127 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y127 NAME VBRK_EXT_X96Y127 TILEPROP VBRK_EXT_X96Y127 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y127 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y127 ROW 29 TILEPROP VBRK_EXT_X96Y127 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y127 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y127 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y127 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y127 TILE_X 76934 TILEPROP VBRK_EXT_X96Y127 TILE_Y 153272 TILEPROP VBRK_EXT_X96Y127 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y128 CLASS tile TILEPROP VBRK_EXT_X96Y128 COLUMN 96 TILEPROP VBRK_EXT_X96Y128 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y128 FIRST_SITE_ID 2868 TILEPROP VBRK_EXT_X96Y128 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y128 GRID_POINT_Y 28 TILEPROP VBRK_EXT_X96Y128 INDEX 3316 TILEPROP VBRK_EXT_X96Y128 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y128 INT_TILE_Y 26 TILEPROP VBRK_EXT_X96Y128 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y128 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y128 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y128 NAME VBRK_EXT_X96Y128 TILEPROP VBRK_EXT_X96Y128 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y128 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y128 ROW 28 TILEPROP VBRK_EXT_X96Y128 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y128 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y128 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y128 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y128 TILE_X 76934 TILEPROP VBRK_EXT_X96Y128 TILE_Y 156472 TILEPROP VBRK_EXT_X96Y128 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y129 CLASS tile TILEPROP VBRK_EXT_X96Y129 COLUMN 96 TILEPROP VBRK_EXT_X96Y129 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y129 FIRST_SITE_ID 2772 TILEPROP VBRK_EXT_X96Y129 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y129 GRID_POINT_Y 27 TILEPROP VBRK_EXT_X96Y129 INDEX 3201 TILEPROP VBRK_EXT_X96Y129 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y129 INT_TILE_Y 25 TILEPROP VBRK_EXT_X96Y129 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y129 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y129 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y129 NAME VBRK_EXT_X96Y129 TILEPROP VBRK_EXT_X96Y129 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y129 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y129 ROW 27 TILEPROP VBRK_EXT_X96Y129 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y129 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y129 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y129 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y129 TILE_X 76934 TILEPROP VBRK_EXT_X96Y129 TILE_Y 159672 TILEPROP VBRK_EXT_X96Y129 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y131 CLASS tile TILEPROP VBRK_EXT_X96Y131 COLUMN 96 TILEPROP VBRK_EXT_X96Y131 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y131 FIRST_SITE_ID 2613 TILEPROP VBRK_EXT_X96Y131 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y131 GRID_POINT_Y 25 TILEPROP VBRK_EXT_X96Y131 INDEX 2971 TILEPROP VBRK_EXT_X96Y131 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y131 INT_TILE_Y 24 TILEPROP VBRK_EXT_X96Y131 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y131 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y131 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y131 NAME VBRK_EXT_X96Y131 TILEPROP VBRK_EXT_X96Y131 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y131 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y131 ROW 25 TILEPROP VBRK_EXT_X96Y131 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y131 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y131 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y131 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y131 TILE_X 76934 TILEPROP VBRK_EXT_X96Y131 TILE_Y 163896 TILEPROP VBRK_EXT_X96Y131 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y132 CLASS tile TILEPROP VBRK_EXT_X96Y132 COLUMN 96 TILEPROP VBRK_EXT_X96Y132 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y132 FIRST_SITE_ID 2497 TILEPROP VBRK_EXT_X96Y132 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y132 GRID_POINT_Y 24 TILEPROP VBRK_EXT_X96Y132 INDEX 2856 TILEPROP VBRK_EXT_X96Y132 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y132 INT_TILE_Y 23 TILEPROP VBRK_EXT_X96Y132 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y132 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y132 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y132 NAME VBRK_EXT_X96Y132 TILEPROP VBRK_EXT_X96Y132 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y132 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y132 ROW 24 TILEPROP VBRK_EXT_X96Y132 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y132 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y132 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y132 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y132 TILE_X 76934 TILEPROP VBRK_EXT_X96Y132 TILE_Y 167096 TILEPROP VBRK_EXT_X96Y132 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y133 CLASS tile TILEPROP VBRK_EXT_X96Y133 COLUMN 96 TILEPROP VBRK_EXT_X96Y133 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y133 FIRST_SITE_ID 2401 TILEPROP VBRK_EXT_X96Y133 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y133 GRID_POINT_Y 23 TILEPROP VBRK_EXT_X96Y133 INDEX 2741 TILEPROP VBRK_EXT_X96Y133 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y133 INT_TILE_Y 22 TILEPROP VBRK_EXT_X96Y133 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y133 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y133 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y133 NAME VBRK_EXT_X96Y133 TILEPROP VBRK_EXT_X96Y133 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y133 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y133 ROW 23 TILEPROP VBRK_EXT_X96Y133 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y133 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y133 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y133 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y133 TILE_X 76934 TILEPROP VBRK_EXT_X96Y133 TILE_Y 170296 TILEPROP VBRK_EXT_X96Y133 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y134 CLASS tile TILEPROP VBRK_EXT_X96Y134 COLUMN 96 TILEPROP VBRK_EXT_X96Y134 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y134 FIRST_SITE_ID 2297 TILEPROP VBRK_EXT_X96Y134 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y134 GRID_POINT_Y 22 TILEPROP VBRK_EXT_X96Y134 INDEX 2626 TILEPROP VBRK_EXT_X96Y134 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y134 INT_TILE_Y 21 TILEPROP VBRK_EXT_X96Y134 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y134 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y134 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y134 NAME VBRK_EXT_X96Y134 TILEPROP VBRK_EXT_X96Y134 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y134 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y134 ROW 22 TILEPROP VBRK_EXT_X96Y134 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y134 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y134 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y134 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y134 TILE_X 76934 TILEPROP VBRK_EXT_X96Y134 TILE_Y 173496 TILEPROP VBRK_EXT_X96Y134 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y135 CLASS tile TILEPROP VBRK_EXT_X96Y135 COLUMN 96 TILEPROP VBRK_EXT_X96Y135 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y135 FIRST_SITE_ID 2201 TILEPROP VBRK_EXT_X96Y135 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y135 GRID_POINT_Y 21 TILEPROP VBRK_EXT_X96Y135 INDEX 2511 TILEPROP VBRK_EXT_X96Y135 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y135 INT_TILE_Y 20 TILEPROP VBRK_EXT_X96Y135 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y135 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y135 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y135 NAME VBRK_EXT_X96Y135 TILEPROP VBRK_EXT_X96Y135 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y135 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y135 ROW 21 TILEPROP VBRK_EXT_X96Y135 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y135 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y135 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y135 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y135 TILE_X 76934 TILEPROP VBRK_EXT_X96Y135 TILE_Y 176696 TILEPROP VBRK_EXT_X96Y135 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y136 CLASS tile TILEPROP VBRK_EXT_X96Y136 COLUMN 96 TILEPROP VBRK_EXT_X96Y136 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y136 FIRST_SITE_ID 2091 TILEPROP VBRK_EXT_X96Y136 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y136 GRID_POINT_Y 20 TILEPROP VBRK_EXT_X96Y136 INDEX 2396 TILEPROP VBRK_EXT_X96Y136 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y136 INT_TILE_Y 19 TILEPROP VBRK_EXT_X96Y136 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y136 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y136 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y136 NAME VBRK_EXT_X96Y136 TILEPROP VBRK_EXT_X96Y136 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y136 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y136 ROW 20 TILEPROP VBRK_EXT_X96Y136 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y136 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y136 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y136 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y136 TILE_X 76934 TILEPROP VBRK_EXT_X96Y136 TILE_Y 179896 TILEPROP VBRK_EXT_X96Y136 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y137 CLASS tile TILEPROP VBRK_EXT_X96Y137 COLUMN 96 TILEPROP VBRK_EXT_X96Y137 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y137 FIRST_SITE_ID 1983 TILEPROP VBRK_EXT_X96Y137 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y137 GRID_POINT_Y 19 TILEPROP VBRK_EXT_X96Y137 INDEX 2281 TILEPROP VBRK_EXT_X96Y137 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y137 INT_TILE_Y 18 TILEPROP VBRK_EXT_X96Y137 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y137 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y137 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y137 NAME VBRK_EXT_X96Y137 TILEPROP VBRK_EXT_X96Y137 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y137 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y137 ROW 19 TILEPROP VBRK_EXT_X96Y137 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y137 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y137 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y137 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y137 TILE_X 76934 TILEPROP VBRK_EXT_X96Y137 TILE_Y 183096 TILEPROP VBRK_EXT_X96Y137 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y138 CLASS tile TILEPROP VBRK_EXT_X96Y138 COLUMN 96 TILEPROP VBRK_EXT_X96Y138 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y138 FIRST_SITE_ID 1877 TILEPROP VBRK_EXT_X96Y138 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y138 GRID_POINT_Y 18 TILEPROP VBRK_EXT_X96Y138 INDEX 2166 TILEPROP VBRK_EXT_X96Y138 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y138 INT_TILE_Y 17 TILEPROP VBRK_EXT_X96Y138 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y138 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y138 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y138 NAME VBRK_EXT_X96Y138 TILEPROP VBRK_EXT_X96Y138 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y138 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y138 ROW 18 TILEPROP VBRK_EXT_X96Y138 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y138 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y138 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y138 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y138 TILE_X 76934 TILEPROP VBRK_EXT_X96Y138 TILE_Y 186296 TILEPROP VBRK_EXT_X96Y138 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y139 CLASS tile TILEPROP VBRK_EXT_X96Y139 COLUMN 96 TILEPROP VBRK_EXT_X96Y139 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y139 FIRST_SITE_ID 1776 TILEPROP VBRK_EXT_X96Y139 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y139 GRID_POINT_Y 17 TILEPROP VBRK_EXT_X96Y139 INDEX 2051 TILEPROP VBRK_EXT_X96Y139 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y139 INT_TILE_Y 16 TILEPROP VBRK_EXT_X96Y139 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y139 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y139 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y139 NAME VBRK_EXT_X96Y139 TILEPROP VBRK_EXT_X96Y139 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y139 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y139 ROW 17 TILEPROP VBRK_EXT_X96Y139 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y139 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y139 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y139 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y139 TILE_X 76934 TILEPROP VBRK_EXT_X96Y139 TILE_Y 189496 TILEPROP VBRK_EXT_X96Y139 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y140 CLASS tile TILEPROP VBRK_EXT_X96Y140 COLUMN 96 TILEPROP VBRK_EXT_X96Y140 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y140 FIRST_SITE_ID 1672 TILEPROP VBRK_EXT_X96Y140 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y140 GRID_POINT_Y 16 TILEPROP VBRK_EXT_X96Y140 INDEX 1936 TILEPROP VBRK_EXT_X96Y140 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y140 INT_TILE_Y 15 TILEPROP VBRK_EXT_X96Y140 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y140 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y140 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y140 NAME VBRK_EXT_X96Y140 TILEPROP VBRK_EXT_X96Y140 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y140 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y140 ROW 16 TILEPROP VBRK_EXT_X96Y140 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y140 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y140 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y140 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y140 TILE_X 76934 TILEPROP VBRK_EXT_X96Y140 TILE_Y 192696 TILEPROP VBRK_EXT_X96Y140 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y141 CLASS tile TILEPROP VBRK_EXT_X96Y141 COLUMN 96 TILEPROP VBRK_EXT_X96Y141 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y141 FIRST_SITE_ID 1576 TILEPROP VBRK_EXT_X96Y141 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y141 GRID_POINT_Y 15 TILEPROP VBRK_EXT_X96Y141 INDEX 1821 TILEPROP VBRK_EXT_X96Y141 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y141 INT_TILE_Y 14 TILEPROP VBRK_EXT_X96Y141 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y141 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y141 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y141 NAME VBRK_EXT_X96Y141 TILEPROP VBRK_EXT_X96Y141 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y141 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y141 ROW 15 TILEPROP VBRK_EXT_X96Y141 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y141 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y141 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y141 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y141 TILE_X 76934 TILEPROP VBRK_EXT_X96Y141 TILE_Y 195896 TILEPROP VBRK_EXT_X96Y141 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y142 CLASS tile TILEPROP VBRK_EXT_X96Y142 COLUMN 96 TILEPROP VBRK_EXT_X96Y142 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y142 FIRST_SITE_ID 1460 TILEPROP VBRK_EXT_X96Y142 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y142 GRID_POINT_Y 14 TILEPROP VBRK_EXT_X96Y142 INDEX 1706 TILEPROP VBRK_EXT_X96Y142 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y142 INT_TILE_Y 13 TILEPROP VBRK_EXT_X96Y142 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y142 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y142 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y142 NAME VBRK_EXT_X96Y142 TILEPROP VBRK_EXT_X96Y142 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y142 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y142 ROW 14 TILEPROP VBRK_EXT_X96Y142 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y142 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y142 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y142 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y142 TILE_X 76934 TILEPROP VBRK_EXT_X96Y142 TILE_Y 199096 TILEPROP VBRK_EXT_X96Y142 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y143 CLASS tile TILEPROP VBRK_EXT_X96Y143 COLUMN 96 TILEPROP VBRK_EXT_X96Y143 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y143 FIRST_SITE_ID 1332 TILEPROP VBRK_EXT_X96Y143 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y143 GRID_POINT_Y 13 TILEPROP VBRK_EXT_X96Y143 INDEX 1591 TILEPROP VBRK_EXT_X96Y143 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y143 INT_TILE_Y 12 TILEPROP VBRK_EXT_X96Y143 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y143 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y143 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y143 NAME VBRK_EXT_X96Y143 TILEPROP VBRK_EXT_X96Y143 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y143 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y143 ROW 13 TILEPROP VBRK_EXT_X96Y143 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y143 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y143 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y143 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y143 TILE_X 76934 TILEPROP VBRK_EXT_X96Y143 TILE_Y 202296 TILEPROP VBRK_EXT_X96Y143 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y144 CLASS tile TILEPROP VBRK_EXT_X96Y144 COLUMN 96 TILEPROP VBRK_EXT_X96Y144 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y144 FIRST_SITE_ID 1228 TILEPROP VBRK_EXT_X96Y144 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y144 GRID_POINT_Y 12 TILEPROP VBRK_EXT_X96Y144 INDEX 1476 TILEPROP VBRK_EXT_X96Y144 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y144 INT_TILE_Y 11 TILEPROP VBRK_EXT_X96Y144 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y144 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y144 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y144 NAME VBRK_EXT_X96Y144 TILEPROP VBRK_EXT_X96Y144 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y144 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y144 ROW 12 TILEPROP VBRK_EXT_X96Y144 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y144 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y144 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y144 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y144 TILE_X 76934 TILEPROP VBRK_EXT_X96Y144 TILE_Y 205496 TILEPROP VBRK_EXT_X96Y144 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y145 CLASS tile TILEPROP VBRK_EXT_X96Y145 COLUMN 96 TILEPROP VBRK_EXT_X96Y145 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y145 FIRST_SITE_ID 1132 TILEPROP VBRK_EXT_X96Y145 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y145 GRID_POINT_Y 11 TILEPROP VBRK_EXT_X96Y145 INDEX 1361 TILEPROP VBRK_EXT_X96Y145 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y145 INT_TILE_Y 10 TILEPROP VBRK_EXT_X96Y145 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y145 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y145 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y145 NAME VBRK_EXT_X96Y145 TILEPROP VBRK_EXT_X96Y145 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y145 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y145 ROW 11 TILEPROP VBRK_EXT_X96Y145 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y145 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y145 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y145 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y145 TILE_X 76934 TILEPROP VBRK_EXT_X96Y145 TILE_Y 208696 TILEPROP VBRK_EXT_X96Y145 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y146 CLASS tile TILEPROP VBRK_EXT_X96Y146 COLUMN 96 TILEPROP VBRK_EXT_X96Y146 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y146 FIRST_SITE_ID 1028 TILEPROP VBRK_EXT_X96Y146 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y146 GRID_POINT_Y 10 TILEPROP VBRK_EXT_X96Y146 INDEX 1246 TILEPROP VBRK_EXT_X96Y146 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y146 INT_TILE_Y 9 TILEPROP VBRK_EXT_X96Y146 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y146 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y146 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y146 NAME VBRK_EXT_X96Y146 TILEPROP VBRK_EXT_X96Y146 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y146 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y146 ROW 10 TILEPROP VBRK_EXT_X96Y146 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y146 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y146 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y146 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y146 TILE_X 76934 TILEPROP VBRK_EXT_X96Y146 TILE_Y 211896 TILEPROP VBRK_EXT_X96Y146 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y147 CLASS tile TILEPROP VBRK_EXT_X96Y147 COLUMN 96 TILEPROP VBRK_EXT_X96Y147 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y147 FIRST_SITE_ID 920 TILEPROP VBRK_EXT_X96Y147 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y147 GRID_POINT_Y 9 TILEPROP VBRK_EXT_X96Y147 INDEX 1131 TILEPROP VBRK_EXT_X96Y147 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y147 INT_TILE_Y 8 TILEPROP VBRK_EXT_X96Y147 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y147 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y147 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y147 NAME VBRK_EXT_X96Y147 TILEPROP VBRK_EXT_X96Y147 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y147 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y147 ROW 9 TILEPROP VBRK_EXT_X96Y147 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y147 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y147 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y147 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y147 TILE_X 76934 TILEPROP VBRK_EXT_X96Y147 TILE_Y 215096 TILEPROP VBRK_EXT_X96Y147 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y148 CLASS tile TILEPROP VBRK_EXT_X96Y148 COLUMN 96 TILEPROP VBRK_EXT_X96Y148 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y148 FIRST_SITE_ID 816 TILEPROP VBRK_EXT_X96Y148 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y148 GRID_POINT_Y 8 TILEPROP VBRK_EXT_X96Y148 INDEX 1016 TILEPROP VBRK_EXT_X96Y148 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y148 INT_TILE_Y 7 TILEPROP VBRK_EXT_X96Y148 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y148 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y148 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y148 NAME VBRK_EXT_X96Y148 TILEPROP VBRK_EXT_X96Y148 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y148 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y148 ROW 8 TILEPROP VBRK_EXT_X96Y148 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y148 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y148 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y148 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y148 TILE_X 76934 TILEPROP VBRK_EXT_X96Y148 TILE_Y 218296 TILEPROP VBRK_EXT_X96Y148 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y149 CLASS tile TILEPROP VBRK_EXT_X96Y149 COLUMN 96 TILEPROP VBRK_EXT_X96Y149 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y149 FIRST_SITE_ID 719 TILEPROP VBRK_EXT_X96Y149 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y149 GRID_POINT_Y 7 TILEPROP VBRK_EXT_X96Y149 INDEX 901 TILEPROP VBRK_EXT_X96Y149 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y149 INT_TILE_Y 6 TILEPROP VBRK_EXT_X96Y149 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y149 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y149 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y149 NAME VBRK_EXT_X96Y149 TILEPROP VBRK_EXT_X96Y149 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y149 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y149 ROW 7 TILEPROP VBRK_EXT_X96Y149 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y149 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y149 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y149 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y149 TILE_X 76934 TILEPROP VBRK_EXT_X96Y149 TILE_Y 221496 TILEPROP VBRK_EXT_X96Y149 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y150 CLASS tile TILEPROP VBRK_EXT_X96Y150 COLUMN 96 TILEPROP VBRK_EXT_X96Y150 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y150 FIRST_SITE_ID 608 TILEPROP VBRK_EXT_X96Y150 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y150 GRID_POINT_Y 6 TILEPROP VBRK_EXT_X96Y150 INDEX 786 TILEPROP VBRK_EXT_X96Y150 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y150 INT_TILE_Y 5 TILEPROP VBRK_EXT_X96Y150 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y150 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y150 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y150 NAME VBRK_EXT_X96Y150 TILEPROP VBRK_EXT_X96Y150 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y150 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y150 ROW 6 TILEPROP VBRK_EXT_X96Y150 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y150 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y150 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y150 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y150 TILE_X 76934 TILEPROP VBRK_EXT_X96Y150 TILE_Y 224696 TILEPROP VBRK_EXT_X96Y150 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y151 CLASS tile TILEPROP VBRK_EXT_X96Y151 COLUMN 96 TILEPROP VBRK_EXT_X96Y151 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y151 FIRST_SITE_ID 512 TILEPROP VBRK_EXT_X96Y151 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y151 GRID_POINT_Y 5 TILEPROP VBRK_EXT_X96Y151 INDEX 671 TILEPROP VBRK_EXT_X96Y151 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y151 INT_TILE_Y 4 TILEPROP VBRK_EXT_X96Y151 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y151 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y151 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y151 NAME VBRK_EXT_X96Y151 TILEPROP VBRK_EXT_X96Y151 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y151 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y151 ROW 5 TILEPROP VBRK_EXT_X96Y151 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y151 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y151 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y151 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y151 TILE_X 76934 TILEPROP VBRK_EXT_X96Y151 TILE_Y 227896 TILEPROP VBRK_EXT_X96Y151 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y152 CLASS tile TILEPROP VBRK_EXT_X96Y152 COLUMN 96 TILEPROP VBRK_EXT_X96Y152 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y152 FIRST_SITE_ID 396 TILEPROP VBRK_EXT_X96Y152 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y152 GRID_POINT_Y 4 TILEPROP VBRK_EXT_X96Y152 INDEX 556 TILEPROP VBRK_EXT_X96Y152 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y152 INT_TILE_Y 3 TILEPROP VBRK_EXT_X96Y152 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y152 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y152 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y152 NAME VBRK_EXT_X96Y152 TILEPROP VBRK_EXT_X96Y152 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y152 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y152 ROW 4 TILEPROP VBRK_EXT_X96Y152 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y152 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y152 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y152 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y152 TILE_X 76934 TILEPROP VBRK_EXT_X96Y152 TILE_Y 231096 TILEPROP VBRK_EXT_X96Y152 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y153 CLASS tile TILEPROP VBRK_EXT_X96Y153 COLUMN 96 TILEPROP VBRK_EXT_X96Y153 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y153 FIRST_SITE_ID 300 TILEPROP VBRK_EXT_X96Y153 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y153 GRID_POINT_Y 3 TILEPROP VBRK_EXT_X96Y153 INDEX 441 TILEPROP VBRK_EXT_X96Y153 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y153 INT_TILE_Y 2 TILEPROP VBRK_EXT_X96Y153 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y153 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y153 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y153 NAME VBRK_EXT_X96Y153 TILEPROP VBRK_EXT_X96Y153 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y153 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y153 ROW 3 TILEPROP VBRK_EXT_X96Y153 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y153 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y153 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y153 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y153 TILE_X 76934 TILEPROP VBRK_EXT_X96Y153 TILE_Y 234296 TILEPROP VBRK_EXT_X96Y153 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y154 CLASS tile TILEPROP VBRK_EXT_X96Y154 COLUMN 96 TILEPROP VBRK_EXT_X96Y154 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y154 FIRST_SITE_ID 196 TILEPROP VBRK_EXT_X96Y154 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y154 GRID_POINT_Y 2 TILEPROP VBRK_EXT_X96Y154 INDEX 326 TILEPROP VBRK_EXT_X96Y154 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y154 INT_TILE_Y 1 TILEPROP VBRK_EXT_X96Y154 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y154 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y154 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y154 NAME VBRK_EXT_X96Y154 TILEPROP VBRK_EXT_X96Y154 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y154 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y154 ROW 2 TILEPROP VBRK_EXT_X96Y154 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y154 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y154 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y154 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y154 TILE_X 76934 TILEPROP VBRK_EXT_X96Y154 TILE_Y 237496 TILEPROP VBRK_EXT_X96Y154 TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y155 CLASS tile TILEPROP VBRK_EXT_X96Y155 COLUMN 96 TILEPROP VBRK_EXT_X96Y155 DEVICE_ID 0 TILEPROP VBRK_EXT_X96Y155 FIRST_SITE_ID 100 TILEPROP VBRK_EXT_X96Y155 GRID_POINT_X 96 TILEPROP VBRK_EXT_X96Y155 GRID_POINT_Y 1 TILEPROP VBRK_EXT_X96Y155 INDEX 211 TILEPROP VBRK_EXT_X96Y155 INT_TILE_X 37 TILEPROP VBRK_EXT_X96Y155 INT_TILE_Y 0 TILEPROP VBRK_EXT_X96Y155 IS_CENTER_TILE 0 TILEPROP VBRK_EXT_X96Y155 IS_DCM_TILE 0 TILEPROP VBRK_EXT_X96Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y155 IS_GT_SITE_TILE 0 TILEPROP VBRK_EXT_X96Y155 NAME VBRK_EXT_X96Y155 TILEPROP VBRK_EXT_X96Y155 NUM_ARCS 0 TILEPROP VBRK_EXT_X96Y155 NUM_SITES 0 TILEPROP VBRK_EXT_X96Y155 ROW 1 TILEPROP VBRK_EXT_X96Y155 SLR_REGION_ID 0 TILEPROP VBRK_EXT_X96Y155 TILE_PATTERN_IDX 52 TILEPROP VBRK_EXT_X96Y155 TILE_TYPE VBRK_EXT TILEPROP VBRK_EXT_X96Y155 TILE_TYPE_INDEX 129 TILEPROP VBRK_EXT_X96Y155 TILE_X 76934 TILEPROP VBRK_EXT_X96Y155 TILE_Y 240696 TILEPROP VBRK_EXT_X96Y155 TYPE VBRK_EXT TILEPROP VBRK_X105Y1 CLASS tile TILEPROP VBRK_X105Y1 COLUMN 105 TILEPROP VBRK_X105Y1 DEVICE_ID 0 TILEPROP VBRK_X105Y1 FIRST_SITE_ID 15811 TILEPROP VBRK_X105Y1 GRID_POINT_X 105 TILEPROP VBRK_X105Y1 GRID_POINT_Y 155 TILEPROP VBRK_X105Y1 INDEX 17930 TILEPROP VBRK_X105Y1 INT_TILE_X 41 TILEPROP VBRK_X105Y1 INT_TILE_Y 149 TILEPROP VBRK_X105Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y1 IS_DCM_TILE 0 TILEPROP VBRK_X105Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y1 NAME VBRK_X105Y1 TILEPROP VBRK_X105Y1 NUM_ARCS 0 TILEPROP VBRK_X105Y1 NUM_SITES 0 TILEPROP VBRK_X105Y1 ROW 155 TILEPROP VBRK_X105Y1 SLR_REGION_ID 0 TILEPROP VBRK_X105Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y1 TILE_TYPE VBRK TILEPROP VBRK_X105Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y1 TILE_X 93606 TILEPROP VBRK_X105Y1 TILE_Y -239672 TILEPROP VBRK_X105Y1 TYPE VBRK TILEPROP VBRK_X105Y2 CLASS tile TILEPROP VBRK_X105Y2 COLUMN 105 TILEPROP VBRK_X105Y2 DEVICE_ID 0 TILEPROP VBRK_X105Y2 FIRST_SITE_ID 15692 TILEPROP VBRK_X105Y2 GRID_POINT_X 105 TILEPROP VBRK_X105Y2 GRID_POINT_Y 154 TILEPROP VBRK_X105Y2 INDEX 17815 TILEPROP VBRK_X105Y2 INT_TILE_X 41 TILEPROP VBRK_X105Y2 INT_TILE_Y 148 TILEPROP VBRK_X105Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y2 IS_DCM_TILE 0 TILEPROP VBRK_X105Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y2 NAME VBRK_X105Y2 TILEPROP VBRK_X105Y2 NUM_ARCS 0 TILEPROP VBRK_X105Y2 NUM_SITES 0 TILEPROP VBRK_X105Y2 ROW 154 TILEPROP VBRK_X105Y2 SLR_REGION_ID 0 TILEPROP VBRK_X105Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y2 TILE_TYPE VBRK TILEPROP VBRK_X105Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y2 TILE_X 93606 TILEPROP VBRK_X105Y2 TILE_Y -236472 TILEPROP VBRK_X105Y2 TYPE VBRK TILEPROP VBRK_X105Y3 CLASS tile TILEPROP VBRK_X105Y3 COLUMN 105 TILEPROP VBRK_X105Y3 DEVICE_ID 0 TILEPROP VBRK_X105Y3 FIRST_SITE_ID 15591 TILEPROP VBRK_X105Y3 GRID_POINT_X 105 TILEPROP VBRK_X105Y3 GRID_POINT_Y 153 TILEPROP VBRK_X105Y3 INDEX 17700 TILEPROP VBRK_X105Y3 INT_TILE_X 41 TILEPROP VBRK_X105Y3 INT_TILE_Y 147 TILEPROP VBRK_X105Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y3 IS_DCM_TILE 0 TILEPROP VBRK_X105Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y3 NAME VBRK_X105Y3 TILEPROP VBRK_X105Y3 NUM_ARCS 0 TILEPROP VBRK_X105Y3 NUM_SITES 0 TILEPROP VBRK_X105Y3 ROW 153 TILEPROP VBRK_X105Y3 SLR_REGION_ID 0 TILEPROP VBRK_X105Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y3 TILE_TYPE VBRK TILEPROP VBRK_X105Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y3 TILE_X 93606 TILEPROP VBRK_X105Y3 TILE_Y -233272 TILEPROP VBRK_X105Y3 TYPE VBRK TILEPROP VBRK_X105Y4 CLASS tile TILEPROP VBRK_X105Y4 COLUMN 105 TILEPROP VBRK_X105Y4 DEVICE_ID 0 TILEPROP VBRK_X105Y4 FIRST_SITE_ID 15491 TILEPROP VBRK_X105Y4 GRID_POINT_X 105 TILEPROP VBRK_X105Y4 GRID_POINT_Y 152 TILEPROP VBRK_X105Y4 INDEX 17585 TILEPROP VBRK_X105Y4 INT_TILE_X 41 TILEPROP VBRK_X105Y4 INT_TILE_Y 146 TILEPROP VBRK_X105Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y4 IS_DCM_TILE 0 TILEPROP VBRK_X105Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y4 NAME VBRK_X105Y4 TILEPROP VBRK_X105Y4 NUM_ARCS 0 TILEPROP VBRK_X105Y4 NUM_SITES 0 TILEPROP VBRK_X105Y4 ROW 152 TILEPROP VBRK_X105Y4 SLR_REGION_ID 0 TILEPROP VBRK_X105Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y4 TILE_TYPE VBRK TILEPROP VBRK_X105Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y4 TILE_X 93606 TILEPROP VBRK_X105Y4 TILE_Y -230072 TILEPROP VBRK_X105Y4 TYPE VBRK TILEPROP VBRK_X105Y5 CLASS tile TILEPROP VBRK_X105Y5 COLUMN 105 TILEPROP VBRK_X105Y5 DEVICE_ID 0 TILEPROP VBRK_X105Y5 FIRST_SITE_ID 15391 TILEPROP VBRK_X105Y5 GRID_POINT_X 105 TILEPROP VBRK_X105Y5 GRID_POINT_Y 151 TILEPROP VBRK_X105Y5 INDEX 17470 TILEPROP VBRK_X105Y5 INT_TILE_X 41 TILEPROP VBRK_X105Y5 INT_TILE_Y 145 TILEPROP VBRK_X105Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y5 IS_DCM_TILE 0 TILEPROP VBRK_X105Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y5 NAME VBRK_X105Y5 TILEPROP VBRK_X105Y5 NUM_ARCS 0 TILEPROP VBRK_X105Y5 NUM_SITES 0 TILEPROP VBRK_X105Y5 ROW 151 TILEPROP VBRK_X105Y5 SLR_REGION_ID 0 TILEPROP VBRK_X105Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y5 TILE_TYPE VBRK TILEPROP VBRK_X105Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y5 TILE_X 93606 TILEPROP VBRK_X105Y5 TILE_Y -226872 TILEPROP VBRK_X105Y5 TYPE VBRK TILEPROP VBRK_X105Y6 CLASS tile TILEPROP VBRK_X105Y6 COLUMN 105 TILEPROP VBRK_X105Y6 DEVICE_ID 0 TILEPROP VBRK_X105Y6 FIRST_SITE_ID 15291 TILEPROP VBRK_X105Y6 GRID_POINT_X 105 TILEPROP VBRK_X105Y6 GRID_POINT_Y 150 TILEPROP VBRK_X105Y6 INDEX 17355 TILEPROP VBRK_X105Y6 INT_TILE_X 41 TILEPROP VBRK_X105Y6 INT_TILE_Y 144 TILEPROP VBRK_X105Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y6 IS_DCM_TILE 0 TILEPROP VBRK_X105Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y6 NAME VBRK_X105Y6 TILEPROP VBRK_X105Y6 NUM_ARCS 0 TILEPROP VBRK_X105Y6 NUM_SITES 0 TILEPROP VBRK_X105Y6 ROW 150 TILEPROP VBRK_X105Y6 SLR_REGION_ID 0 TILEPROP VBRK_X105Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y6 TILE_TYPE VBRK TILEPROP VBRK_X105Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y6 TILE_X 93606 TILEPROP VBRK_X105Y6 TILE_Y -223672 TILEPROP VBRK_X105Y6 TYPE VBRK TILEPROP VBRK_X105Y7 CLASS tile TILEPROP VBRK_X105Y7 COLUMN 105 TILEPROP VBRK_X105Y7 DEVICE_ID 0 TILEPROP VBRK_X105Y7 FIRST_SITE_ID 15176 TILEPROP VBRK_X105Y7 GRID_POINT_X 105 TILEPROP VBRK_X105Y7 GRID_POINT_Y 149 TILEPROP VBRK_X105Y7 INDEX 17240 TILEPROP VBRK_X105Y7 INT_TILE_X 41 TILEPROP VBRK_X105Y7 INT_TILE_Y 143 TILEPROP VBRK_X105Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y7 IS_DCM_TILE 0 TILEPROP VBRK_X105Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y7 NAME VBRK_X105Y7 TILEPROP VBRK_X105Y7 NUM_ARCS 0 TILEPROP VBRK_X105Y7 NUM_SITES 0 TILEPROP VBRK_X105Y7 ROW 149 TILEPROP VBRK_X105Y7 SLR_REGION_ID 0 TILEPROP VBRK_X105Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y7 TILE_TYPE VBRK TILEPROP VBRK_X105Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y7 TILE_X 93606 TILEPROP VBRK_X105Y7 TILE_Y -220472 TILEPROP VBRK_X105Y7 TYPE VBRK TILEPROP VBRK_X105Y8 CLASS tile TILEPROP VBRK_X105Y8 COLUMN 105 TILEPROP VBRK_X105Y8 DEVICE_ID 0 TILEPROP VBRK_X105Y8 FIRST_SITE_ID 15074 TILEPROP VBRK_X105Y8 GRID_POINT_X 105 TILEPROP VBRK_X105Y8 GRID_POINT_Y 148 TILEPROP VBRK_X105Y8 INDEX 17125 TILEPROP VBRK_X105Y8 INT_TILE_X 41 TILEPROP VBRK_X105Y8 INT_TILE_Y 142 TILEPROP VBRK_X105Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y8 IS_DCM_TILE 0 TILEPROP VBRK_X105Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y8 NAME VBRK_X105Y8 TILEPROP VBRK_X105Y8 NUM_ARCS 0 TILEPROP VBRK_X105Y8 NUM_SITES 0 TILEPROP VBRK_X105Y8 ROW 148 TILEPROP VBRK_X105Y8 SLR_REGION_ID 0 TILEPROP VBRK_X105Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y8 TILE_TYPE VBRK TILEPROP VBRK_X105Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y8 TILE_X 93606 TILEPROP VBRK_X105Y8 TILE_Y -217272 TILEPROP VBRK_X105Y8 TYPE VBRK TILEPROP VBRK_X105Y9 CLASS tile TILEPROP VBRK_X105Y9 COLUMN 105 TILEPROP VBRK_X105Y9 DEVICE_ID 0 TILEPROP VBRK_X105Y9 FIRST_SITE_ID 14971 TILEPROP VBRK_X105Y9 GRID_POINT_X 105 TILEPROP VBRK_X105Y9 GRID_POINT_Y 147 TILEPROP VBRK_X105Y9 INDEX 17010 TILEPROP VBRK_X105Y9 INT_TILE_X 41 TILEPROP VBRK_X105Y9 INT_TILE_Y 141 TILEPROP VBRK_X105Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y9 IS_DCM_TILE 0 TILEPROP VBRK_X105Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y9 NAME VBRK_X105Y9 TILEPROP VBRK_X105Y9 NUM_ARCS 0 TILEPROP VBRK_X105Y9 NUM_SITES 0 TILEPROP VBRK_X105Y9 ROW 147 TILEPROP VBRK_X105Y9 SLR_REGION_ID 0 TILEPROP VBRK_X105Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y9 TILE_TYPE VBRK TILEPROP VBRK_X105Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y9 TILE_X 93606 TILEPROP VBRK_X105Y9 TILE_Y -214072 TILEPROP VBRK_X105Y9 TYPE VBRK TILEPROP VBRK_X105Y10 CLASS tile TILEPROP VBRK_X105Y10 COLUMN 105 TILEPROP VBRK_X105Y10 DEVICE_ID 0 TILEPROP VBRK_X105Y10 FIRST_SITE_ID 14870 TILEPROP VBRK_X105Y10 GRID_POINT_X 105 TILEPROP VBRK_X105Y10 GRID_POINT_Y 146 TILEPROP VBRK_X105Y10 INDEX 16895 TILEPROP VBRK_X105Y10 INT_TILE_X 41 TILEPROP VBRK_X105Y10 INT_TILE_Y 140 TILEPROP VBRK_X105Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y10 IS_DCM_TILE 0 TILEPROP VBRK_X105Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y10 NAME VBRK_X105Y10 TILEPROP VBRK_X105Y10 NUM_ARCS 0 TILEPROP VBRK_X105Y10 NUM_SITES 0 TILEPROP VBRK_X105Y10 ROW 146 TILEPROP VBRK_X105Y10 SLR_REGION_ID 0 TILEPROP VBRK_X105Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y10 TILE_TYPE VBRK TILEPROP VBRK_X105Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y10 TILE_X 93606 TILEPROP VBRK_X105Y10 TILE_Y -210872 TILEPROP VBRK_X105Y10 TYPE VBRK TILEPROP VBRK_X105Y11 CLASS tile TILEPROP VBRK_X105Y11 COLUMN 105 TILEPROP VBRK_X105Y11 DEVICE_ID 0 TILEPROP VBRK_X105Y11 FIRST_SITE_ID 14770 TILEPROP VBRK_X105Y11 GRID_POINT_X 105 TILEPROP VBRK_X105Y11 GRID_POINT_Y 145 TILEPROP VBRK_X105Y11 INDEX 16780 TILEPROP VBRK_X105Y11 INT_TILE_X 41 TILEPROP VBRK_X105Y11 INT_TILE_Y 139 TILEPROP VBRK_X105Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y11 IS_DCM_TILE 0 TILEPROP VBRK_X105Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y11 NAME VBRK_X105Y11 TILEPROP VBRK_X105Y11 NUM_ARCS 0 TILEPROP VBRK_X105Y11 NUM_SITES 0 TILEPROP VBRK_X105Y11 ROW 145 TILEPROP VBRK_X105Y11 SLR_REGION_ID 0 TILEPROP VBRK_X105Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y11 TILE_TYPE VBRK TILEPROP VBRK_X105Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y11 TILE_X 93606 TILEPROP VBRK_X105Y11 TILE_Y -207672 TILEPROP VBRK_X105Y11 TYPE VBRK TILEPROP VBRK_X105Y12 CLASS tile TILEPROP VBRK_X105Y12 COLUMN 105 TILEPROP VBRK_X105Y12 DEVICE_ID 0 TILEPROP VBRK_X105Y12 FIRST_SITE_ID 14655 TILEPROP VBRK_X105Y12 GRID_POINT_X 105 TILEPROP VBRK_X105Y12 GRID_POINT_Y 144 TILEPROP VBRK_X105Y12 INDEX 16665 TILEPROP VBRK_X105Y12 INT_TILE_X 41 TILEPROP VBRK_X105Y12 INT_TILE_Y 138 TILEPROP VBRK_X105Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y12 IS_DCM_TILE 0 TILEPROP VBRK_X105Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y12 NAME VBRK_X105Y12 TILEPROP VBRK_X105Y12 NUM_ARCS 0 TILEPROP VBRK_X105Y12 NUM_SITES 0 TILEPROP VBRK_X105Y12 ROW 144 TILEPROP VBRK_X105Y12 SLR_REGION_ID 0 TILEPROP VBRK_X105Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y12 TILE_TYPE VBRK TILEPROP VBRK_X105Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y12 TILE_X 93606 TILEPROP VBRK_X105Y12 TILE_Y -204472 TILEPROP VBRK_X105Y12 TYPE VBRK TILEPROP VBRK_X105Y13 CLASS tile TILEPROP VBRK_X105Y13 COLUMN 105 TILEPROP VBRK_X105Y13 DEVICE_ID 0 TILEPROP VBRK_X105Y13 FIRST_SITE_ID 14555 TILEPROP VBRK_X105Y13 GRID_POINT_X 105 TILEPROP VBRK_X105Y13 GRID_POINT_Y 143 TILEPROP VBRK_X105Y13 INDEX 16550 TILEPROP VBRK_X105Y13 INT_TILE_X 41 TILEPROP VBRK_X105Y13 INT_TILE_Y 137 TILEPROP VBRK_X105Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y13 IS_DCM_TILE 0 TILEPROP VBRK_X105Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y13 NAME VBRK_X105Y13 TILEPROP VBRK_X105Y13 NUM_ARCS 0 TILEPROP VBRK_X105Y13 NUM_SITES 0 TILEPROP VBRK_X105Y13 ROW 143 TILEPROP VBRK_X105Y13 SLR_REGION_ID 0 TILEPROP VBRK_X105Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y13 TILE_TYPE VBRK TILEPROP VBRK_X105Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y13 TILE_X 93606 TILEPROP VBRK_X105Y13 TILE_Y -201272 TILEPROP VBRK_X105Y13 TYPE VBRK TILEPROP VBRK_X105Y14 CLASS tile TILEPROP VBRK_X105Y14 COLUMN 105 TILEPROP VBRK_X105Y14 DEVICE_ID 0 TILEPROP VBRK_X105Y14 FIRST_SITE_ID 14423 TILEPROP VBRK_X105Y14 GRID_POINT_X 105 TILEPROP VBRK_X105Y14 GRID_POINT_Y 142 TILEPROP VBRK_X105Y14 INDEX 16435 TILEPROP VBRK_X105Y14 INT_TILE_X 41 TILEPROP VBRK_X105Y14 INT_TILE_Y 136 TILEPROP VBRK_X105Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y14 IS_DCM_TILE 0 TILEPROP VBRK_X105Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y14 NAME VBRK_X105Y14 TILEPROP VBRK_X105Y14 NUM_ARCS 0 TILEPROP VBRK_X105Y14 NUM_SITES 0 TILEPROP VBRK_X105Y14 ROW 142 TILEPROP VBRK_X105Y14 SLR_REGION_ID 0 TILEPROP VBRK_X105Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y14 TILE_TYPE VBRK TILEPROP VBRK_X105Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y14 TILE_X 93606 TILEPROP VBRK_X105Y14 TILE_Y -198072 TILEPROP VBRK_X105Y14 TYPE VBRK TILEPROP VBRK_X105Y15 CLASS tile TILEPROP VBRK_X105Y15 COLUMN 105 TILEPROP VBRK_X105Y15 DEVICE_ID 0 TILEPROP VBRK_X105Y15 FIRST_SITE_ID 14323 TILEPROP VBRK_X105Y15 GRID_POINT_X 105 TILEPROP VBRK_X105Y15 GRID_POINT_Y 141 TILEPROP VBRK_X105Y15 INDEX 16320 TILEPROP VBRK_X105Y15 INT_TILE_X 41 TILEPROP VBRK_X105Y15 INT_TILE_Y 135 TILEPROP VBRK_X105Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y15 IS_DCM_TILE 0 TILEPROP VBRK_X105Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y15 NAME VBRK_X105Y15 TILEPROP VBRK_X105Y15 NUM_ARCS 0 TILEPROP VBRK_X105Y15 NUM_SITES 0 TILEPROP VBRK_X105Y15 ROW 141 TILEPROP VBRK_X105Y15 SLR_REGION_ID 0 TILEPROP VBRK_X105Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y15 TILE_TYPE VBRK TILEPROP VBRK_X105Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y15 TILE_X 93606 TILEPROP VBRK_X105Y15 TILE_Y -194872 TILEPROP VBRK_X105Y15 TYPE VBRK TILEPROP VBRK_X105Y16 CLASS tile TILEPROP VBRK_X105Y16 COLUMN 105 TILEPROP VBRK_X105Y16 DEVICE_ID 0 TILEPROP VBRK_X105Y16 FIRST_SITE_ID 14223 TILEPROP VBRK_X105Y16 GRID_POINT_X 105 TILEPROP VBRK_X105Y16 GRID_POINT_Y 140 TILEPROP VBRK_X105Y16 INDEX 16205 TILEPROP VBRK_X105Y16 INT_TILE_X 41 TILEPROP VBRK_X105Y16 INT_TILE_Y 134 TILEPROP VBRK_X105Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y16 IS_DCM_TILE 0 TILEPROP VBRK_X105Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y16 NAME VBRK_X105Y16 TILEPROP VBRK_X105Y16 NUM_ARCS 0 TILEPROP VBRK_X105Y16 NUM_SITES 0 TILEPROP VBRK_X105Y16 ROW 140 TILEPROP VBRK_X105Y16 SLR_REGION_ID 0 TILEPROP VBRK_X105Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y16 TILE_TYPE VBRK TILEPROP VBRK_X105Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y16 TILE_X 93606 TILEPROP VBRK_X105Y16 TILE_Y -191672 TILEPROP VBRK_X105Y16 TYPE VBRK TILEPROP VBRK_X105Y17 CLASS tile TILEPROP VBRK_X105Y17 COLUMN 105 TILEPROP VBRK_X105Y17 DEVICE_ID 0 TILEPROP VBRK_X105Y17 FIRST_SITE_ID 14108 TILEPROP VBRK_X105Y17 GRID_POINT_X 105 TILEPROP VBRK_X105Y17 GRID_POINT_Y 139 TILEPROP VBRK_X105Y17 INDEX 16090 TILEPROP VBRK_X105Y17 INT_TILE_X 41 TILEPROP VBRK_X105Y17 INT_TILE_Y 133 TILEPROP VBRK_X105Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y17 IS_DCM_TILE 0 TILEPROP VBRK_X105Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y17 NAME VBRK_X105Y17 TILEPROP VBRK_X105Y17 NUM_ARCS 0 TILEPROP VBRK_X105Y17 NUM_SITES 0 TILEPROP VBRK_X105Y17 ROW 139 TILEPROP VBRK_X105Y17 SLR_REGION_ID 0 TILEPROP VBRK_X105Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y17 TILE_TYPE VBRK TILEPROP VBRK_X105Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y17 TILE_X 93606 TILEPROP VBRK_X105Y17 TILE_Y -188472 TILEPROP VBRK_X105Y17 TYPE VBRK TILEPROP VBRK_X105Y18 CLASS tile TILEPROP VBRK_X105Y18 COLUMN 105 TILEPROP VBRK_X105Y18 DEVICE_ID 0 TILEPROP VBRK_X105Y18 FIRST_SITE_ID 14004 TILEPROP VBRK_X105Y18 GRID_POINT_X 105 TILEPROP VBRK_X105Y18 GRID_POINT_Y 138 TILEPROP VBRK_X105Y18 INDEX 15975 TILEPROP VBRK_X105Y18 INT_TILE_X 41 TILEPROP VBRK_X105Y18 INT_TILE_Y 132 TILEPROP VBRK_X105Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y18 IS_DCM_TILE 0 TILEPROP VBRK_X105Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y18 NAME VBRK_X105Y18 TILEPROP VBRK_X105Y18 NUM_ARCS 0 TILEPROP VBRK_X105Y18 NUM_SITES 0 TILEPROP VBRK_X105Y18 ROW 138 TILEPROP VBRK_X105Y18 SLR_REGION_ID 0 TILEPROP VBRK_X105Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y18 TILE_TYPE VBRK TILEPROP VBRK_X105Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y18 TILE_X 93606 TILEPROP VBRK_X105Y18 TILE_Y -185272 TILEPROP VBRK_X105Y18 TYPE VBRK TILEPROP VBRK_X105Y19 CLASS tile TILEPROP VBRK_X105Y19 COLUMN 105 TILEPROP VBRK_X105Y19 DEVICE_ID 0 TILEPROP VBRK_X105Y19 FIRST_SITE_ID 13899 TILEPROP VBRK_X105Y19 GRID_POINT_X 105 TILEPROP VBRK_X105Y19 GRID_POINT_Y 137 TILEPROP VBRK_X105Y19 INDEX 15860 TILEPROP VBRK_X105Y19 INT_TILE_X 41 TILEPROP VBRK_X105Y19 INT_TILE_Y 131 TILEPROP VBRK_X105Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y19 IS_DCM_TILE 0 TILEPROP VBRK_X105Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y19 NAME VBRK_X105Y19 TILEPROP VBRK_X105Y19 NUM_ARCS 0 TILEPROP VBRK_X105Y19 NUM_SITES 0 TILEPROP VBRK_X105Y19 ROW 137 TILEPROP VBRK_X105Y19 SLR_REGION_ID 0 TILEPROP VBRK_X105Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y19 TILE_TYPE VBRK TILEPROP VBRK_X105Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y19 TILE_X 93606 TILEPROP VBRK_X105Y19 TILE_Y -182072 TILEPROP VBRK_X105Y19 TYPE VBRK TILEPROP VBRK_X105Y20 CLASS tile TILEPROP VBRK_X105Y20 COLUMN 105 TILEPROP VBRK_X105Y20 DEVICE_ID 0 TILEPROP VBRK_X105Y20 FIRST_SITE_ID 13797 TILEPROP VBRK_X105Y20 GRID_POINT_X 105 TILEPROP VBRK_X105Y20 GRID_POINT_Y 136 TILEPROP VBRK_X105Y20 INDEX 15745 TILEPROP VBRK_X105Y20 INT_TILE_X 41 TILEPROP VBRK_X105Y20 INT_TILE_Y 130 TILEPROP VBRK_X105Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y20 IS_DCM_TILE 0 TILEPROP VBRK_X105Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y20 NAME VBRK_X105Y20 TILEPROP VBRK_X105Y20 NUM_ARCS 0 TILEPROP VBRK_X105Y20 NUM_SITES 0 TILEPROP VBRK_X105Y20 ROW 136 TILEPROP VBRK_X105Y20 SLR_REGION_ID 0 TILEPROP VBRK_X105Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y20 TILE_TYPE VBRK TILEPROP VBRK_X105Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y20 TILE_X 93606 TILEPROP VBRK_X105Y20 TILE_Y -178872 TILEPROP VBRK_X105Y20 TYPE VBRK TILEPROP VBRK_X105Y21 CLASS tile TILEPROP VBRK_X105Y21 COLUMN 105 TILEPROP VBRK_X105Y21 DEVICE_ID 0 TILEPROP VBRK_X105Y21 FIRST_SITE_ID 13695 TILEPROP VBRK_X105Y21 GRID_POINT_X 105 TILEPROP VBRK_X105Y21 GRID_POINT_Y 135 TILEPROP VBRK_X105Y21 INDEX 15630 TILEPROP VBRK_X105Y21 INT_TILE_X 41 TILEPROP VBRK_X105Y21 INT_TILE_Y 129 TILEPROP VBRK_X105Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y21 IS_DCM_TILE 0 TILEPROP VBRK_X105Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y21 NAME VBRK_X105Y21 TILEPROP VBRK_X105Y21 NUM_ARCS 0 TILEPROP VBRK_X105Y21 NUM_SITES 0 TILEPROP VBRK_X105Y21 ROW 135 TILEPROP VBRK_X105Y21 SLR_REGION_ID 0 TILEPROP VBRK_X105Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y21 TILE_TYPE VBRK TILEPROP VBRK_X105Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y21 TILE_X 93606 TILEPROP VBRK_X105Y21 TILE_Y -175672 TILEPROP VBRK_X105Y21 TYPE VBRK TILEPROP VBRK_X105Y22 CLASS tile TILEPROP VBRK_X105Y22 COLUMN 105 TILEPROP VBRK_X105Y22 DEVICE_ID 0 TILEPROP VBRK_X105Y22 FIRST_SITE_ID 13580 TILEPROP VBRK_X105Y22 GRID_POINT_X 105 TILEPROP VBRK_X105Y22 GRID_POINT_Y 134 TILEPROP VBRK_X105Y22 INDEX 15515 TILEPROP VBRK_X105Y22 INT_TILE_X 41 TILEPROP VBRK_X105Y22 INT_TILE_Y 128 TILEPROP VBRK_X105Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y22 IS_DCM_TILE 0 TILEPROP VBRK_X105Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y22 NAME VBRK_X105Y22 TILEPROP VBRK_X105Y22 NUM_ARCS 0 TILEPROP VBRK_X105Y22 NUM_SITES 0 TILEPROP VBRK_X105Y22 ROW 134 TILEPROP VBRK_X105Y22 SLR_REGION_ID 0 TILEPROP VBRK_X105Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y22 TILE_TYPE VBRK TILEPROP VBRK_X105Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y22 TILE_X 93606 TILEPROP VBRK_X105Y22 TILE_Y -172472 TILEPROP VBRK_X105Y22 TYPE VBRK TILEPROP VBRK_X105Y23 CLASS tile TILEPROP VBRK_X105Y23 COLUMN 105 TILEPROP VBRK_X105Y23 DEVICE_ID 0 TILEPROP VBRK_X105Y23 FIRST_SITE_ID 13480 TILEPROP VBRK_X105Y23 GRID_POINT_X 105 TILEPROP VBRK_X105Y23 GRID_POINT_Y 133 TILEPROP VBRK_X105Y23 INDEX 15400 TILEPROP VBRK_X105Y23 INT_TILE_X 41 TILEPROP VBRK_X105Y23 INT_TILE_Y 127 TILEPROP VBRK_X105Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y23 IS_DCM_TILE 0 TILEPROP VBRK_X105Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y23 NAME VBRK_X105Y23 TILEPROP VBRK_X105Y23 NUM_ARCS 0 TILEPROP VBRK_X105Y23 NUM_SITES 0 TILEPROP VBRK_X105Y23 ROW 133 TILEPROP VBRK_X105Y23 SLR_REGION_ID 0 TILEPROP VBRK_X105Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y23 TILE_TYPE VBRK TILEPROP VBRK_X105Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y23 TILE_X 93606 TILEPROP VBRK_X105Y23 TILE_Y -169272 TILEPROP VBRK_X105Y23 TYPE VBRK TILEPROP VBRK_X105Y24 CLASS tile TILEPROP VBRK_X105Y24 COLUMN 105 TILEPROP VBRK_X105Y24 DEVICE_ID 0 TILEPROP VBRK_X105Y24 FIRST_SITE_ID 13380 TILEPROP VBRK_X105Y24 GRID_POINT_X 105 TILEPROP VBRK_X105Y24 GRID_POINT_Y 132 TILEPROP VBRK_X105Y24 INDEX 15285 TILEPROP VBRK_X105Y24 INT_TILE_X 41 TILEPROP VBRK_X105Y24 INT_TILE_Y 126 TILEPROP VBRK_X105Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y24 IS_DCM_TILE 0 TILEPROP VBRK_X105Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y24 NAME VBRK_X105Y24 TILEPROP VBRK_X105Y24 NUM_ARCS 0 TILEPROP VBRK_X105Y24 NUM_SITES 0 TILEPROP VBRK_X105Y24 ROW 132 TILEPROP VBRK_X105Y24 SLR_REGION_ID 0 TILEPROP VBRK_X105Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y24 TILE_TYPE VBRK TILEPROP VBRK_X105Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y24 TILE_X 93606 TILEPROP VBRK_X105Y24 TILE_Y -166072 TILEPROP VBRK_X105Y24 TYPE VBRK TILEPROP VBRK_X105Y25 CLASS tile TILEPROP VBRK_X105Y25 COLUMN 105 TILEPROP VBRK_X105Y25 DEVICE_ID 0 TILEPROP VBRK_X105Y25 FIRST_SITE_ID 13280 TILEPROP VBRK_X105Y25 GRID_POINT_X 105 TILEPROP VBRK_X105Y25 GRID_POINT_Y 131 TILEPROP VBRK_X105Y25 INDEX 15170 TILEPROP VBRK_X105Y25 INT_TILE_X 41 TILEPROP VBRK_X105Y25 INT_TILE_Y 125 TILEPROP VBRK_X105Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y25 IS_DCM_TILE 0 TILEPROP VBRK_X105Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y25 NAME VBRK_X105Y25 TILEPROP VBRK_X105Y25 NUM_ARCS 0 TILEPROP VBRK_X105Y25 NUM_SITES 0 TILEPROP VBRK_X105Y25 ROW 131 TILEPROP VBRK_X105Y25 SLR_REGION_ID 0 TILEPROP VBRK_X105Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y25 TILE_TYPE VBRK TILEPROP VBRK_X105Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y25 TILE_X 93606 TILEPROP VBRK_X105Y25 TILE_Y -162872 TILEPROP VBRK_X105Y25 TYPE VBRK TILEPROP VBRK_X105Y27 CLASS tile TILEPROP VBRK_X105Y27 COLUMN 105 TILEPROP VBRK_X105Y27 DEVICE_ID 0 TILEPROP VBRK_X105Y27 FIRST_SITE_ID 13097 TILEPROP VBRK_X105Y27 GRID_POINT_X 105 TILEPROP VBRK_X105Y27 GRID_POINT_Y 129 TILEPROP VBRK_X105Y27 INDEX 14940 TILEPROP VBRK_X105Y27 INT_TILE_X 41 TILEPROP VBRK_X105Y27 INT_TILE_Y 124 TILEPROP VBRK_X105Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y27 IS_DCM_TILE 0 TILEPROP VBRK_X105Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y27 NAME VBRK_X105Y27 TILEPROP VBRK_X105Y27 NUM_ARCS 0 TILEPROP VBRK_X105Y27 NUM_SITES 0 TILEPROP VBRK_X105Y27 ROW 129 TILEPROP VBRK_X105Y27 SLR_REGION_ID 0 TILEPROP VBRK_X105Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y27 TILE_TYPE VBRK TILEPROP VBRK_X105Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y27 TILE_X 93606 TILEPROP VBRK_X105Y27 TILE_Y -158648 TILEPROP VBRK_X105Y27 TYPE VBRK TILEPROP VBRK_X105Y28 CLASS tile TILEPROP VBRK_X105Y28 COLUMN 105 TILEPROP VBRK_X105Y28 DEVICE_ID 0 TILEPROP VBRK_X105Y28 FIRST_SITE_ID 12982 TILEPROP VBRK_X105Y28 GRID_POINT_X 105 TILEPROP VBRK_X105Y28 GRID_POINT_Y 128 TILEPROP VBRK_X105Y28 INDEX 14825 TILEPROP VBRK_X105Y28 INT_TILE_X 41 TILEPROP VBRK_X105Y28 INT_TILE_Y 123 TILEPROP VBRK_X105Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y28 IS_DCM_TILE 0 TILEPROP VBRK_X105Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y28 NAME VBRK_X105Y28 TILEPROP VBRK_X105Y28 NUM_ARCS 0 TILEPROP VBRK_X105Y28 NUM_SITES 0 TILEPROP VBRK_X105Y28 ROW 128 TILEPROP VBRK_X105Y28 SLR_REGION_ID 0 TILEPROP VBRK_X105Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y28 TILE_TYPE VBRK TILEPROP VBRK_X105Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y28 TILE_X 93606 TILEPROP VBRK_X105Y28 TILE_Y -155448 TILEPROP VBRK_X105Y28 TYPE VBRK TILEPROP VBRK_X105Y29 CLASS tile TILEPROP VBRK_X105Y29 COLUMN 105 TILEPROP VBRK_X105Y29 DEVICE_ID 0 TILEPROP VBRK_X105Y29 FIRST_SITE_ID 12882 TILEPROP VBRK_X105Y29 GRID_POINT_X 105 TILEPROP VBRK_X105Y29 GRID_POINT_Y 127 TILEPROP VBRK_X105Y29 INDEX 14710 TILEPROP VBRK_X105Y29 INT_TILE_X 41 TILEPROP VBRK_X105Y29 INT_TILE_Y 122 TILEPROP VBRK_X105Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y29 IS_DCM_TILE 0 TILEPROP VBRK_X105Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y29 NAME VBRK_X105Y29 TILEPROP VBRK_X105Y29 NUM_ARCS 0 TILEPROP VBRK_X105Y29 NUM_SITES 0 TILEPROP VBRK_X105Y29 ROW 127 TILEPROP VBRK_X105Y29 SLR_REGION_ID 0 TILEPROP VBRK_X105Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y29 TILE_TYPE VBRK TILEPROP VBRK_X105Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y29 TILE_X 93606 TILEPROP VBRK_X105Y29 TILE_Y -152248 TILEPROP VBRK_X105Y29 TYPE VBRK TILEPROP VBRK_X105Y30 CLASS tile TILEPROP VBRK_X105Y30 COLUMN 105 TILEPROP VBRK_X105Y30 DEVICE_ID 0 TILEPROP VBRK_X105Y30 FIRST_SITE_ID 12782 TILEPROP VBRK_X105Y30 GRID_POINT_X 105 TILEPROP VBRK_X105Y30 GRID_POINT_Y 126 TILEPROP VBRK_X105Y30 INDEX 14595 TILEPROP VBRK_X105Y30 INT_TILE_X 41 TILEPROP VBRK_X105Y30 INT_TILE_Y 121 TILEPROP VBRK_X105Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y30 IS_DCM_TILE 0 TILEPROP VBRK_X105Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y30 NAME VBRK_X105Y30 TILEPROP VBRK_X105Y30 NUM_ARCS 0 TILEPROP VBRK_X105Y30 NUM_SITES 0 TILEPROP VBRK_X105Y30 ROW 126 TILEPROP VBRK_X105Y30 SLR_REGION_ID 0 TILEPROP VBRK_X105Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y30 TILE_TYPE VBRK TILEPROP VBRK_X105Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y30 TILE_X 93606 TILEPROP VBRK_X105Y30 TILE_Y -149048 TILEPROP VBRK_X105Y30 TYPE VBRK TILEPROP VBRK_X105Y31 CLASS tile TILEPROP VBRK_X105Y31 COLUMN 105 TILEPROP VBRK_X105Y31 DEVICE_ID 0 TILEPROP VBRK_X105Y31 FIRST_SITE_ID 12676 TILEPROP VBRK_X105Y31 GRID_POINT_X 105 TILEPROP VBRK_X105Y31 GRID_POINT_Y 125 TILEPROP VBRK_X105Y31 INDEX 14480 TILEPROP VBRK_X105Y31 INT_TILE_X 41 TILEPROP VBRK_X105Y31 INT_TILE_Y 120 TILEPROP VBRK_X105Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y31 IS_DCM_TILE 0 TILEPROP VBRK_X105Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y31 NAME VBRK_X105Y31 TILEPROP VBRK_X105Y31 NUM_ARCS 0 TILEPROP VBRK_X105Y31 NUM_SITES 0 TILEPROP VBRK_X105Y31 ROW 125 TILEPROP VBRK_X105Y31 SLR_REGION_ID 0 TILEPROP VBRK_X105Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y31 TILE_TYPE VBRK TILEPROP VBRK_X105Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y31 TILE_X 93606 TILEPROP VBRK_X105Y31 TILE_Y -145848 TILEPROP VBRK_X105Y31 TYPE VBRK TILEPROP VBRK_X105Y32 CLASS tile TILEPROP VBRK_X105Y32 COLUMN 105 TILEPROP VBRK_X105Y32 DEVICE_ID 0 TILEPROP VBRK_X105Y32 FIRST_SITE_ID 12570 TILEPROP VBRK_X105Y32 GRID_POINT_X 105 TILEPROP VBRK_X105Y32 GRID_POINT_Y 124 TILEPROP VBRK_X105Y32 INDEX 14365 TILEPROP VBRK_X105Y32 INT_TILE_X 41 TILEPROP VBRK_X105Y32 INT_TILE_Y 119 TILEPROP VBRK_X105Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y32 IS_DCM_TILE 0 TILEPROP VBRK_X105Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y32 NAME VBRK_X105Y32 TILEPROP VBRK_X105Y32 NUM_ARCS 0 TILEPROP VBRK_X105Y32 NUM_SITES 0 TILEPROP VBRK_X105Y32 ROW 124 TILEPROP VBRK_X105Y32 SLR_REGION_ID 0 TILEPROP VBRK_X105Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y32 TILE_TYPE VBRK TILEPROP VBRK_X105Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y32 TILE_X 93606 TILEPROP VBRK_X105Y32 TILE_Y -142648 TILEPROP VBRK_X105Y32 TYPE VBRK TILEPROP VBRK_X105Y33 CLASS tile TILEPROP VBRK_X105Y33 COLUMN 105 TILEPROP VBRK_X105Y33 DEVICE_ID 0 TILEPROP VBRK_X105Y33 FIRST_SITE_ID 12440 TILEPROP VBRK_X105Y33 GRID_POINT_X 105 TILEPROP VBRK_X105Y33 GRID_POINT_Y 123 TILEPROP VBRK_X105Y33 INDEX 14250 TILEPROP VBRK_X105Y33 INT_TILE_X 41 TILEPROP VBRK_X105Y33 INT_TILE_Y 118 TILEPROP VBRK_X105Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y33 IS_DCM_TILE 0 TILEPROP VBRK_X105Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y33 NAME VBRK_X105Y33 TILEPROP VBRK_X105Y33 NUM_ARCS 0 TILEPROP VBRK_X105Y33 NUM_SITES 0 TILEPROP VBRK_X105Y33 ROW 123 TILEPROP VBRK_X105Y33 SLR_REGION_ID 0 TILEPROP VBRK_X105Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y33 TILE_TYPE VBRK TILEPROP VBRK_X105Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y33 TILE_X 93606 TILEPROP VBRK_X105Y33 TILE_Y -139448 TILEPROP VBRK_X105Y33 TYPE VBRK TILEPROP VBRK_X105Y34 CLASS tile TILEPROP VBRK_X105Y34 COLUMN 105 TILEPROP VBRK_X105Y34 DEVICE_ID 0 TILEPROP VBRK_X105Y34 FIRST_SITE_ID 12338 TILEPROP VBRK_X105Y34 GRID_POINT_X 105 TILEPROP VBRK_X105Y34 GRID_POINT_Y 122 TILEPROP VBRK_X105Y34 INDEX 14135 TILEPROP VBRK_X105Y34 INT_TILE_X 41 TILEPROP VBRK_X105Y34 INT_TILE_Y 117 TILEPROP VBRK_X105Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y34 IS_DCM_TILE 0 TILEPROP VBRK_X105Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y34 NAME VBRK_X105Y34 TILEPROP VBRK_X105Y34 NUM_ARCS 0 TILEPROP VBRK_X105Y34 NUM_SITES 0 TILEPROP VBRK_X105Y34 ROW 122 TILEPROP VBRK_X105Y34 SLR_REGION_ID 0 TILEPROP VBRK_X105Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y34 TILE_TYPE VBRK TILEPROP VBRK_X105Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y34 TILE_X 93606 TILEPROP VBRK_X105Y34 TILE_Y -136248 TILEPROP VBRK_X105Y34 TYPE VBRK TILEPROP VBRK_X105Y35 CLASS tile TILEPROP VBRK_X105Y35 COLUMN 105 TILEPROP VBRK_X105Y35 DEVICE_ID 0 TILEPROP VBRK_X105Y35 FIRST_SITE_ID 12237 TILEPROP VBRK_X105Y35 GRID_POINT_X 105 TILEPROP VBRK_X105Y35 GRID_POINT_Y 121 TILEPROP VBRK_X105Y35 INDEX 14020 TILEPROP VBRK_X105Y35 INT_TILE_X 41 TILEPROP VBRK_X105Y35 INT_TILE_Y 116 TILEPROP VBRK_X105Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y35 IS_DCM_TILE 0 TILEPROP VBRK_X105Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y35 NAME VBRK_X105Y35 TILEPROP VBRK_X105Y35 NUM_ARCS 0 TILEPROP VBRK_X105Y35 NUM_SITES 0 TILEPROP VBRK_X105Y35 ROW 121 TILEPROP VBRK_X105Y35 SLR_REGION_ID 0 TILEPROP VBRK_X105Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y35 TILE_TYPE VBRK TILEPROP VBRK_X105Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y35 TILE_X 93606 TILEPROP VBRK_X105Y35 TILE_Y -133048 TILEPROP VBRK_X105Y35 TYPE VBRK TILEPROP VBRK_X105Y36 CLASS tile TILEPROP VBRK_X105Y36 COLUMN 105 TILEPROP VBRK_X105Y36 DEVICE_ID 0 TILEPROP VBRK_X105Y36 FIRST_SITE_ID 12137 TILEPROP VBRK_X105Y36 GRID_POINT_X 105 TILEPROP VBRK_X105Y36 GRID_POINT_Y 120 TILEPROP VBRK_X105Y36 INDEX 13905 TILEPROP VBRK_X105Y36 INT_TILE_X 41 TILEPROP VBRK_X105Y36 INT_TILE_Y 115 TILEPROP VBRK_X105Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y36 IS_DCM_TILE 0 TILEPROP VBRK_X105Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y36 NAME VBRK_X105Y36 TILEPROP VBRK_X105Y36 NUM_ARCS 0 TILEPROP VBRK_X105Y36 NUM_SITES 0 TILEPROP VBRK_X105Y36 ROW 120 TILEPROP VBRK_X105Y36 SLR_REGION_ID 0 TILEPROP VBRK_X105Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y36 TILE_TYPE VBRK TILEPROP VBRK_X105Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y36 TILE_X 93606 TILEPROP VBRK_X105Y36 TILE_Y -129848 TILEPROP VBRK_X105Y36 TYPE VBRK TILEPROP VBRK_X105Y37 CLASS tile TILEPROP VBRK_X105Y37 COLUMN 105 TILEPROP VBRK_X105Y37 DEVICE_ID 0 TILEPROP VBRK_X105Y37 FIRST_SITE_ID 12037 TILEPROP VBRK_X105Y37 GRID_POINT_X 105 TILEPROP VBRK_X105Y37 GRID_POINT_Y 119 TILEPROP VBRK_X105Y37 INDEX 13790 TILEPROP VBRK_X105Y37 INT_TILE_X 41 TILEPROP VBRK_X105Y37 INT_TILE_Y 114 TILEPROP VBRK_X105Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y37 IS_DCM_TILE 0 TILEPROP VBRK_X105Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y37 NAME VBRK_X105Y37 TILEPROP VBRK_X105Y37 NUM_ARCS 0 TILEPROP VBRK_X105Y37 NUM_SITES 0 TILEPROP VBRK_X105Y37 ROW 119 TILEPROP VBRK_X105Y37 SLR_REGION_ID 0 TILEPROP VBRK_X105Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y37 TILE_TYPE VBRK TILEPROP VBRK_X105Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y37 TILE_X 93606 TILEPROP VBRK_X105Y37 TILE_Y -126648 TILEPROP VBRK_X105Y37 TYPE VBRK TILEPROP VBRK_X105Y38 CLASS tile TILEPROP VBRK_X105Y38 COLUMN 105 TILEPROP VBRK_X105Y38 DEVICE_ID 0 TILEPROP VBRK_X105Y38 FIRST_SITE_ID 11922 TILEPROP VBRK_X105Y38 GRID_POINT_X 105 TILEPROP VBRK_X105Y38 GRID_POINT_Y 118 TILEPROP VBRK_X105Y38 INDEX 13675 TILEPROP VBRK_X105Y38 INT_TILE_X 41 TILEPROP VBRK_X105Y38 INT_TILE_Y 113 TILEPROP VBRK_X105Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y38 IS_DCM_TILE 0 TILEPROP VBRK_X105Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y38 NAME VBRK_X105Y38 TILEPROP VBRK_X105Y38 NUM_ARCS 0 TILEPROP VBRK_X105Y38 NUM_SITES 0 TILEPROP VBRK_X105Y38 ROW 118 TILEPROP VBRK_X105Y38 SLR_REGION_ID 0 TILEPROP VBRK_X105Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y38 TILE_TYPE VBRK TILEPROP VBRK_X105Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y38 TILE_X 93606 TILEPROP VBRK_X105Y38 TILE_Y -123448 TILEPROP VBRK_X105Y38 TYPE VBRK TILEPROP VBRK_X105Y39 CLASS tile TILEPROP VBRK_X105Y39 COLUMN 105 TILEPROP VBRK_X105Y39 DEVICE_ID 0 TILEPROP VBRK_X105Y39 FIRST_SITE_ID 11790 TILEPROP VBRK_X105Y39 GRID_POINT_X 105 TILEPROP VBRK_X105Y39 GRID_POINT_Y 117 TILEPROP VBRK_X105Y39 INDEX 13560 TILEPROP VBRK_X105Y39 INT_TILE_X 41 TILEPROP VBRK_X105Y39 INT_TILE_Y 112 TILEPROP VBRK_X105Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y39 IS_DCM_TILE 0 TILEPROP VBRK_X105Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y39 NAME VBRK_X105Y39 TILEPROP VBRK_X105Y39 NUM_ARCS 0 TILEPROP VBRK_X105Y39 NUM_SITES 0 TILEPROP VBRK_X105Y39 ROW 117 TILEPROP VBRK_X105Y39 SLR_REGION_ID 0 TILEPROP VBRK_X105Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y39 TILE_TYPE VBRK TILEPROP VBRK_X105Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y39 TILE_X 93606 TILEPROP VBRK_X105Y39 TILE_Y -120248 TILEPROP VBRK_X105Y39 TYPE VBRK TILEPROP VBRK_X105Y40 CLASS tile TILEPROP VBRK_X105Y40 COLUMN 105 TILEPROP VBRK_X105Y40 DEVICE_ID 0 TILEPROP VBRK_X105Y40 FIRST_SITE_ID 11690 TILEPROP VBRK_X105Y40 GRID_POINT_X 105 TILEPROP VBRK_X105Y40 GRID_POINT_Y 116 TILEPROP VBRK_X105Y40 INDEX 13445 TILEPROP VBRK_X105Y40 INT_TILE_X 41 TILEPROP VBRK_X105Y40 INT_TILE_Y 111 TILEPROP VBRK_X105Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y40 IS_DCM_TILE 0 TILEPROP VBRK_X105Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y40 NAME VBRK_X105Y40 TILEPROP VBRK_X105Y40 NUM_ARCS 0 TILEPROP VBRK_X105Y40 NUM_SITES 0 TILEPROP VBRK_X105Y40 ROW 116 TILEPROP VBRK_X105Y40 SLR_REGION_ID 0 TILEPROP VBRK_X105Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y40 TILE_TYPE VBRK TILEPROP VBRK_X105Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y40 TILE_X 93606 TILEPROP VBRK_X105Y40 TILE_Y -117048 TILEPROP VBRK_X105Y40 TYPE VBRK TILEPROP VBRK_X105Y41 CLASS tile TILEPROP VBRK_X105Y41 COLUMN 105 TILEPROP VBRK_X105Y41 DEVICE_ID 0 TILEPROP VBRK_X105Y41 FIRST_SITE_ID 11590 TILEPROP VBRK_X105Y41 GRID_POINT_X 105 TILEPROP VBRK_X105Y41 GRID_POINT_Y 115 TILEPROP VBRK_X105Y41 INDEX 13330 TILEPROP VBRK_X105Y41 INT_TILE_X 41 TILEPROP VBRK_X105Y41 INT_TILE_Y 110 TILEPROP VBRK_X105Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y41 IS_DCM_TILE 0 TILEPROP VBRK_X105Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y41 NAME VBRK_X105Y41 TILEPROP VBRK_X105Y41 NUM_ARCS 0 TILEPROP VBRK_X105Y41 NUM_SITES 0 TILEPROP VBRK_X105Y41 ROW 115 TILEPROP VBRK_X105Y41 SLR_REGION_ID 0 TILEPROP VBRK_X105Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y41 TILE_TYPE VBRK TILEPROP VBRK_X105Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y41 TILE_X 93606 TILEPROP VBRK_X105Y41 TILE_Y -113848 TILEPROP VBRK_X105Y41 TYPE VBRK TILEPROP VBRK_X105Y42 CLASS tile TILEPROP VBRK_X105Y42 COLUMN 105 TILEPROP VBRK_X105Y42 DEVICE_ID 0 TILEPROP VBRK_X105Y42 FIRST_SITE_ID 11490 TILEPROP VBRK_X105Y42 GRID_POINT_X 105 TILEPROP VBRK_X105Y42 GRID_POINT_Y 114 TILEPROP VBRK_X105Y42 INDEX 13215 TILEPROP VBRK_X105Y42 INT_TILE_X 41 TILEPROP VBRK_X105Y42 INT_TILE_Y 109 TILEPROP VBRK_X105Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y42 IS_DCM_TILE 0 TILEPROP VBRK_X105Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y42 NAME VBRK_X105Y42 TILEPROP VBRK_X105Y42 NUM_ARCS 0 TILEPROP VBRK_X105Y42 NUM_SITES 0 TILEPROP VBRK_X105Y42 ROW 114 TILEPROP VBRK_X105Y42 SLR_REGION_ID 0 TILEPROP VBRK_X105Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y42 TILE_TYPE VBRK TILEPROP VBRK_X105Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y42 TILE_X 93606 TILEPROP VBRK_X105Y42 TILE_Y -110648 TILEPROP VBRK_X105Y42 TYPE VBRK TILEPROP VBRK_X105Y43 CLASS tile TILEPROP VBRK_X105Y43 COLUMN 105 TILEPROP VBRK_X105Y43 DEVICE_ID 0 TILEPROP VBRK_X105Y43 FIRST_SITE_ID 11373 TILEPROP VBRK_X105Y43 GRID_POINT_X 105 TILEPROP VBRK_X105Y43 GRID_POINT_Y 113 TILEPROP VBRK_X105Y43 INDEX 13100 TILEPROP VBRK_X105Y43 INT_TILE_X 41 TILEPROP VBRK_X105Y43 INT_TILE_Y 108 TILEPROP VBRK_X105Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y43 IS_DCM_TILE 0 TILEPROP VBRK_X105Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y43 NAME VBRK_X105Y43 TILEPROP VBRK_X105Y43 NUM_ARCS 0 TILEPROP VBRK_X105Y43 NUM_SITES 0 TILEPROP VBRK_X105Y43 ROW 113 TILEPROP VBRK_X105Y43 SLR_REGION_ID 0 TILEPROP VBRK_X105Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y43 TILE_TYPE VBRK TILEPROP VBRK_X105Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y43 TILE_X 93606 TILEPROP VBRK_X105Y43 TILE_Y -107448 TILEPROP VBRK_X105Y43 TYPE VBRK TILEPROP VBRK_X105Y44 CLASS tile TILEPROP VBRK_X105Y44 COLUMN 105 TILEPROP VBRK_X105Y44 DEVICE_ID 0 TILEPROP VBRK_X105Y44 FIRST_SITE_ID 11271 TILEPROP VBRK_X105Y44 GRID_POINT_X 105 TILEPROP VBRK_X105Y44 GRID_POINT_Y 112 TILEPROP VBRK_X105Y44 INDEX 12985 TILEPROP VBRK_X105Y44 INT_TILE_X 41 TILEPROP VBRK_X105Y44 INT_TILE_Y 107 TILEPROP VBRK_X105Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y44 IS_DCM_TILE 0 TILEPROP VBRK_X105Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y44 NAME VBRK_X105Y44 TILEPROP VBRK_X105Y44 NUM_ARCS 0 TILEPROP VBRK_X105Y44 NUM_SITES 0 TILEPROP VBRK_X105Y44 ROW 112 TILEPROP VBRK_X105Y44 SLR_REGION_ID 0 TILEPROP VBRK_X105Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y44 TILE_TYPE VBRK TILEPROP VBRK_X105Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y44 TILE_X 93606 TILEPROP VBRK_X105Y44 TILE_Y -104248 TILEPROP VBRK_X105Y44 TYPE VBRK TILEPROP VBRK_X105Y45 CLASS tile TILEPROP VBRK_X105Y45 COLUMN 105 TILEPROP VBRK_X105Y45 DEVICE_ID 0 TILEPROP VBRK_X105Y45 FIRST_SITE_ID 11168 TILEPROP VBRK_X105Y45 GRID_POINT_X 105 TILEPROP VBRK_X105Y45 GRID_POINT_Y 111 TILEPROP VBRK_X105Y45 INDEX 12870 TILEPROP VBRK_X105Y45 INT_TILE_X 41 TILEPROP VBRK_X105Y45 INT_TILE_Y 106 TILEPROP VBRK_X105Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y45 IS_DCM_TILE 0 TILEPROP VBRK_X105Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y45 NAME VBRK_X105Y45 TILEPROP VBRK_X105Y45 NUM_ARCS 0 TILEPROP VBRK_X105Y45 NUM_SITES 0 TILEPROP VBRK_X105Y45 ROW 111 TILEPROP VBRK_X105Y45 SLR_REGION_ID 0 TILEPROP VBRK_X105Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y45 TILE_TYPE VBRK TILEPROP VBRK_X105Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y45 TILE_X 93606 TILEPROP VBRK_X105Y45 TILE_Y -101048 TILEPROP VBRK_X105Y45 TYPE VBRK TILEPROP VBRK_X105Y46 CLASS tile TILEPROP VBRK_X105Y46 COLUMN 105 TILEPROP VBRK_X105Y46 DEVICE_ID 0 TILEPROP VBRK_X105Y46 FIRST_SITE_ID 11066 TILEPROP VBRK_X105Y46 GRID_POINT_X 105 TILEPROP VBRK_X105Y46 GRID_POINT_Y 110 TILEPROP VBRK_X105Y46 INDEX 12755 TILEPROP VBRK_X105Y46 INT_TILE_X 41 TILEPROP VBRK_X105Y46 INT_TILE_Y 105 TILEPROP VBRK_X105Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y46 IS_DCM_TILE 0 TILEPROP VBRK_X105Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y46 NAME VBRK_X105Y46 TILEPROP VBRK_X105Y46 NUM_ARCS 0 TILEPROP VBRK_X105Y46 NUM_SITES 0 TILEPROP VBRK_X105Y46 ROW 110 TILEPROP VBRK_X105Y46 SLR_REGION_ID 0 TILEPROP VBRK_X105Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y46 TILE_TYPE VBRK TILEPROP VBRK_X105Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y46 TILE_X 93606 TILEPROP VBRK_X105Y46 TILE_Y -97848 TILEPROP VBRK_X105Y46 TYPE VBRK TILEPROP VBRK_X105Y47 CLASS tile TILEPROP VBRK_X105Y47 COLUMN 105 TILEPROP VBRK_X105Y47 DEVICE_ID 0 TILEPROP VBRK_X105Y47 FIRST_SITE_ID 10966 TILEPROP VBRK_X105Y47 GRID_POINT_X 105 TILEPROP VBRK_X105Y47 GRID_POINT_Y 109 TILEPROP VBRK_X105Y47 INDEX 12640 TILEPROP VBRK_X105Y47 INT_TILE_X 41 TILEPROP VBRK_X105Y47 INT_TILE_Y 104 TILEPROP VBRK_X105Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y47 IS_DCM_TILE 0 TILEPROP VBRK_X105Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y47 NAME VBRK_X105Y47 TILEPROP VBRK_X105Y47 NUM_ARCS 0 TILEPROP VBRK_X105Y47 NUM_SITES 0 TILEPROP VBRK_X105Y47 ROW 109 TILEPROP VBRK_X105Y47 SLR_REGION_ID 0 TILEPROP VBRK_X105Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y47 TILE_TYPE VBRK TILEPROP VBRK_X105Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y47 TILE_X 93606 TILEPROP VBRK_X105Y47 TILE_Y -94648 TILEPROP VBRK_X105Y47 TYPE VBRK TILEPROP VBRK_X105Y48 CLASS tile TILEPROP VBRK_X105Y48 COLUMN 105 TILEPROP VBRK_X105Y48 DEVICE_ID 0 TILEPROP VBRK_X105Y48 FIRST_SITE_ID 10850 TILEPROP VBRK_X105Y48 GRID_POINT_X 105 TILEPROP VBRK_X105Y48 GRID_POINT_Y 108 TILEPROP VBRK_X105Y48 INDEX 12525 TILEPROP VBRK_X105Y48 INT_TILE_X 41 TILEPROP VBRK_X105Y48 INT_TILE_Y 103 TILEPROP VBRK_X105Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y48 IS_DCM_TILE 0 TILEPROP VBRK_X105Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y48 NAME VBRK_X105Y48 TILEPROP VBRK_X105Y48 NUM_ARCS 0 TILEPROP VBRK_X105Y48 NUM_SITES 0 TILEPROP VBRK_X105Y48 ROW 108 TILEPROP VBRK_X105Y48 SLR_REGION_ID 0 TILEPROP VBRK_X105Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y48 TILE_TYPE VBRK TILEPROP VBRK_X105Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y48 TILE_X 93606 TILEPROP VBRK_X105Y48 TILE_Y -91448 TILEPROP VBRK_X105Y48 TYPE VBRK TILEPROP VBRK_X105Y49 CLASS tile TILEPROP VBRK_X105Y49 COLUMN 105 TILEPROP VBRK_X105Y49 DEVICE_ID 0 TILEPROP VBRK_X105Y49 FIRST_SITE_ID 10734 TILEPROP VBRK_X105Y49 GRID_POINT_X 105 TILEPROP VBRK_X105Y49 GRID_POINT_Y 107 TILEPROP VBRK_X105Y49 INDEX 12410 TILEPROP VBRK_X105Y49 INT_TILE_X 41 TILEPROP VBRK_X105Y49 INT_TILE_Y 102 TILEPROP VBRK_X105Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y49 IS_DCM_TILE 0 TILEPROP VBRK_X105Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y49 NAME VBRK_X105Y49 TILEPROP VBRK_X105Y49 NUM_ARCS 0 TILEPROP VBRK_X105Y49 NUM_SITES 0 TILEPROP VBRK_X105Y49 ROW 107 TILEPROP VBRK_X105Y49 SLR_REGION_ID 0 TILEPROP VBRK_X105Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y49 TILE_TYPE VBRK TILEPROP VBRK_X105Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y49 TILE_X 93606 TILEPROP VBRK_X105Y49 TILE_Y -88248 TILEPROP VBRK_X105Y49 TYPE VBRK TILEPROP VBRK_X105Y50 CLASS tile TILEPROP VBRK_X105Y50 COLUMN 105 TILEPROP VBRK_X105Y50 DEVICE_ID 0 TILEPROP VBRK_X105Y50 FIRST_SITE_ID 10634 TILEPROP VBRK_X105Y50 GRID_POINT_X 105 TILEPROP VBRK_X105Y50 GRID_POINT_Y 106 TILEPROP VBRK_X105Y50 INDEX 12295 TILEPROP VBRK_X105Y50 INT_TILE_X 41 TILEPROP VBRK_X105Y50 INT_TILE_Y 101 TILEPROP VBRK_X105Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y50 IS_DCM_TILE 0 TILEPROP VBRK_X105Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y50 NAME VBRK_X105Y50 TILEPROP VBRK_X105Y50 NUM_ARCS 0 TILEPROP VBRK_X105Y50 NUM_SITES 0 TILEPROP VBRK_X105Y50 ROW 106 TILEPROP VBRK_X105Y50 SLR_REGION_ID 0 TILEPROP VBRK_X105Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y50 TILE_TYPE VBRK TILEPROP VBRK_X105Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y50 TILE_X 93606 TILEPROP VBRK_X105Y50 TILE_Y -85048 TILEPROP VBRK_X105Y50 TYPE VBRK TILEPROP VBRK_X105Y51 CLASS tile TILEPROP VBRK_X105Y51 COLUMN 105 TILEPROP VBRK_X105Y51 DEVICE_ID 0 TILEPROP VBRK_X105Y51 FIRST_SITE_ID 10538 TILEPROP VBRK_X105Y51 GRID_POINT_X 105 TILEPROP VBRK_X105Y51 GRID_POINT_Y 105 TILEPROP VBRK_X105Y51 INDEX 12180 TILEPROP VBRK_X105Y51 INT_TILE_X 41 TILEPROP VBRK_X105Y51 INT_TILE_Y 100 TILEPROP VBRK_X105Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y51 IS_DCM_TILE 0 TILEPROP VBRK_X105Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y51 NAME VBRK_X105Y51 TILEPROP VBRK_X105Y51 NUM_ARCS 0 TILEPROP VBRK_X105Y51 NUM_SITES 0 TILEPROP VBRK_X105Y51 ROW 105 TILEPROP VBRK_X105Y51 SLR_REGION_ID 0 TILEPROP VBRK_X105Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y51 TILE_TYPE VBRK TILEPROP VBRK_X105Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y51 TILE_X 93606 TILEPROP VBRK_X105Y51 TILE_Y -81848 TILEPROP VBRK_X105Y51 TYPE VBRK TILEPROP VBRK_X105Y53 CLASS tile TILEPROP VBRK_X105Y53 COLUMN 105 TILEPROP VBRK_X105Y53 DEVICE_ID 0 TILEPROP VBRK_X105Y53 FIRST_SITE_ID 10438 TILEPROP VBRK_X105Y53 GRID_POINT_X 105 TILEPROP VBRK_X105Y53 GRID_POINT_Y 103 TILEPROP VBRK_X105Y53 INDEX 11950 TILEPROP VBRK_X105Y53 INT_TILE_X 41 TILEPROP VBRK_X105Y53 INT_TILE_Y 99 TILEPROP VBRK_X105Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y53 IS_DCM_TILE 0 TILEPROP VBRK_X105Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y53 NAME VBRK_X105Y53 TILEPROP VBRK_X105Y53 NUM_ARCS 0 TILEPROP VBRK_X105Y53 NUM_SITES 0 TILEPROP VBRK_X105Y53 ROW 103 TILEPROP VBRK_X105Y53 SLR_REGION_ID 0 TILEPROP VBRK_X105Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y53 TILE_TYPE VBRK TILEPROP VBRK_X105Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y53 TILE_X 93606 TILEPROP VBRK_X105Y53 TILE_Y -78400 TILEPROP VBRK_X105Y53 TYPE VBRK TILEPROP VBRK_X105Y54 CLASS tile TILEPROP VBRK_X105Y54 COLUMN 105 TILEPROP VBRK_X105Y54 DEVICE_ID 0 TILEPROP VBRK_X105Y54 FIRST_SITE_ID 10303 TILEPROP VBRK_X105Y54 GRID_POINT_X 105 TILEPROP VBRK_X105Y54 GRID_POINT_Y 102 TILEPROP VBRK_X105Y54 INDEX 11835 TILEPROP VBRK_X105Y54 INT_TILE_X 41 TILEPROP VBRK_X105Y54 INT_TILE_Y 98 TILEPROP VBRK_X105Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y54 IS_DCM_TILE 0 TILEPROP VBRK_X105Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y54 NAME VBRK_X105Y54 TILEPROP VBRK_X105Y54 NUM_ARCS 0 TILEPROP VBRK_X105Y54 NUM_SITES 0 TILEPROP VBRK_X105Y54 ROW 102 TILEPROP VBRK_X105Y54 SLR_REGION_ID 0 TILEPROP VBRK_X105Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y54 TILE_TYPE VBRK TILEPROP VBRK_X105Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y54 TILE_X 93606 TILEPROP VBRK_X105Y54 TILE_Y -75200 TILEPROP VBRK_X105Y54 TYPE VBRK TILEPROP VBRK_X105Y55 CLASS tile TILEPROP VBRK_X105Y55 COLUMN 105 TILEPROP VBRK_X105Y55 DEVICE_ID 0 TILEPROP VBRK_X105Y55 FIRST_SITE_ID 10203 TILEPROP VBRK_X105Y55 GRID_POINT_X 105 TILEPROP VBRK_X105Y55 GRID_POINT_Y 101 TILEPROP VBRK_X105Y55 INDEX 11720 TILEPROP VBRK_X105Y55 INT_TILE_X 41 TILEPROP VBRK_X105Y55 INT_TILE_Y 97 TILEPROP VBRK_X105Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y55 IS_DCM_TILE 0 TILEPROP VBRK_X105Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y55 NAME VBRK_X105Y55 TILEPROP VBRK_X105Y55 NUM_ARCS 0 TILEPROP VBRK_X105Y55 NUM_SITES 0 TILEPROP VBRK_X105Y55 ROW 101 TILEPROP VBRK_X105Y55 SLR_REGION_ID 0 TILEPROP VBRK_X105Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y55 TILE_TYPE VBRK TILEPROP VBRK_X105Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y55 TILE_X 93606 TILEPROP VBRK_X105Y55 TILE_Y -72000 TILEPROP VBRK_X105Y55 TYPE VBRK TILEPROP VBRK_X105Y56 CLASS tile TILEPROP VBRK_X105Y56 COLUMN 105 TILEPROP VBRK_X105Y56 DEVICE_ID 0 TILEPROP VBRK_X105Y56 FIRST_SITE_ID 10103 TILEPROP VBRK_X105Y56 GRID_POINT_X 105 TILEPROP VBRK_X105Y56 GRID_POINT_Y 100 TILEPROP VBRK_X105Y56 INDEX 11605 TILEPROP VBRK_X105Y56 INT_TILE_X 41 TILEPROP VBRK_X105Y56 INT_TILE_Y 96 TILEPROP VBRK_X105Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y56 IS_DCM_TILE 0 TILEPROP VBRK_X105Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y56 NAME VBRK_X105Y56 TILEPROP VBRK_X105Y56 NUM_ARCS 0 TILEPROP VBRK_X105Y56 NUM_SITES 0 TILEPROP VBRK_X105Y56 ROW 100 TILEPROP VBRK_X105Y56 SLR_REGION_ID 0 TILEPROP VBRK_X105Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y56 TILE_TYPE VBRK TILEPROP VBRK_X105Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y56 TILE_X 93606 TILEPROP VBRK_X105Y56 TILE_Y -68800 TILEPROP VBRK_X105Y56 TYPE VBRK TILEPROP VBRK_X105Y57 CLASS tile TILEPROP VBRK_X105Y57 COLUMN 105 TILEPROP VBRK_X105Y57 DEVICE_ID 0 TILEPROP VBRK_X105Y57 FIRST_SITE_ID 10003 TILEPROP VBRK_X105Y57 GRID_POINT_X 105 TILEPROP VBRK_X105Y57 GRID_POINT_Y 99 TILEPROP VBRK_X105Y57 INDEX 11490 TILEPROP VBRK_X105Y57 INT_TILE_X 41 TILEPROP VBRK_X105Y57 INT_TILE_Y 95 TILEPROP VBRK_X105Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y57 IS_DCM_TILE 0 TILEPROP VBRK_X105Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y57 NAME VBRK_X105Y57 TILEPROP VBRK_X105Y57 NUM_ARCS 0 TILEPROP VBRK_X105Y57 NUM_SITES 0 TILEPROP VBRK_X105Y57 ROW 99 TILEPROP VBRK_X105Y57 SLR_REGION_ID 0 TILEPROP VBRK_X105Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y57 TILE_TYPE VBRK TILEPROP VBRK_X105Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y57 TILE_X 93606 TILEPROP VBRK_X105Y57 TILE_Y -65600 TILEPROP VBRK_X105Y57 TYPE VBRK TILEPROP VBRK_X105Y58 CLASS tile TILEPROP VBRK_X105Y58 COLUMN 105 TILEPROP VBRK_X105Y58 DEVICE_ID 0 TILEPROP VBRK_X105Y58 FIRST_SITE_ID 9903 TILEPROP VBRK_X105Y58 GRID_POINT_X 105 TILEPROP VBRK_X105Y58 GRID_POINT_Y 98 TILEPROP VBRK_X105Y58 INDEX 11375 TILEPROP VBRK_X105Y58 INT_TILE_X 41 TILEPROP VBRK_X105Y58 INT_TILE_Y 94 TILEPROP VBRK_X105Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y58 IS_DCM_TILE 0 TILEPROP VBRK_X105Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y58 NAME VBRK_X105Y58 TILEPROP VBRK_X105Y58 NUM_ARCS 0 TILEPROP VBRK_X105Y58 NUM_SITES 0 TILEPROP VBRK_X105Y58 ROW 98 TILEPROP VBRK_X105Y58 SLR_REGION_ID 0 TILEPROP VBRK_X105Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y58 TILE_TYPE VBRK TILEPROP VBRK_X105Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y58 TILE_X 93606 TILEPROP VBRK_X105Y58 TILE_Y -62400 TILEPROP VBRK_X105Y58 TYPE VBRK TILEPROP VBRK_X105Y59 CLASS tile TILEPROP VBRK_X105Y59 COLUMN 105 TILEPROP VBRK_X105Y59 DEVICE_ID 0 TILEPROP VBRK_X105Y59 FIRST_SITE_ID 9788 TILEPROP VBRK_X105Y59 GRID_POINT_X 105 TILEPROP VBRK_X105Y59 GRID_POINT_Y 97 TILEPROP VBRK_X105Y59 INDEX 11260 TILEPROP VBRK_X105Y59 INT_TILE_X 41 TILEPROP VBRK_X105Y59 INT_TILE_Y 93 TILEPROP VBRK_X105Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y59 IS_DCM_TILE 0 TILEPROP VBRK_X105Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y59 NAME VBRK_X105Y59 TILEPROP VBRK_X105Y59 NUM_ARCS 0 TILEPROP VBRK_X105Y59 NUM_SITES 0 TILEPROP VBRK_X105Y59 ROW 97 TILEPROP VBRK_X105Y59 SLR_REGION_ID 0 TILEPROP VBRK_X105Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y59 TILE_TYPE VBRK TILEPROP VBRK_X105Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y59 TILE_X 93606 TILEPROP VBRK_X105Y59 TILE_Y -59200 TILEPROP VBRK_X105Y59 TYPE VBRK TILEPROP VBRK_X105Y60 CLASS tile TILEPROP VBRK_X105Y60 COLUMN 105 TILEPROP VBRK_X105Y60 DEVICE_ID 0 TILEPROP VBRK_X105Y60 FIRST_SITE_ID 9686 TILEPROP VBRK_X105Y60 GRID_POINT_X 105 TILEPROP VBRK_X105Y60 GRID_POINT_Y 96 TILEPROP VBRK_X105Y60 INDEX 11145 TILEPROP VBRK_X105Y60 INT_TILE_X 41 TILEPROP VBRK_X105Y60 INT_TILE_Y 92 TILEPROP VBRK_X105Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y60 IS_DCM_TILE 0 TILEPROP VBRK_X105Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y60 NAME VBRK_X105Y60 TILEPROP VBRK_X105Y60 NUM_ARCS 0 TILEPROP VBRK_X105Y60 NUM_SITES 0 TILEPROP VBRK_X105Y60 ROW 96 TILEPROP VBRK_X105Y60 SLR_REGION_ID 0 TILEPROP VBRK_X105Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y60 TILE_TYPE VBRK TILEPROP VBRK_X105Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y60 TILE_X 93606 TILEPROP VBRK_X105Y60 TILE_Y -56000 TILEPROP VBRK_X105Y60 TYPE VBRK TILEPROP VBRK_X105Y61 CLASS tile TILEPROP VBRK_X105Y61 COLUMN 105 TILEPROP VBRK_X105Y61 DEVICE_ID 0 TILEPROP VBRK_X105Y61 FIRST_SITE_ID 9583 TILEPROP VBRK_X105Y61 GRID_POINT_X 105 TILEPROP VBRK_X105Y61 GRID_POINT_Y 95 TILEPROP VBRK_X105Y61 INDEX 11030 TILEPROP VBRK_X105Y61 INT_TILE_X 41 TILEPROP VBRK_X105Y61 INT_TILE_Y 91 TILEPROP VBRK_X105Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y61 IS_DCM_TILE 0 TILEPROP VBRK_X105Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y61 NAME VBRK_X105Y61 TILEPROP VBRK_X105Y61 NUM_ARCS 0 TILEPROP VBRK_X105Y61 NUM_SITES 0 TILEPROP VBRK_X105Y61 ROW 95 TILEPROP VBRK_X105Y61 SLR_REGION_ID 0 TILEPROP VBRK_X105Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y61 TILE_TYPE VBRK TILEPROP VBRK_X105Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y61 TILE_X 93606 TILEPROP VBRK_X105Y61 TILE_Y -52800 TILEPROP VBRK_X105Y61 TYPE VBRK TILEPROP VBRK_X105Y62 CLASS tile TILEPROP VBRK_X105Y62 COLUMN 105 TILEPROP VBRK_X105Y62 DEVICE_ID 0 TILEPROP VBRK_X105Y62 FIRST_SITE_ID 9482 TILEPROP VBRK_X105Y62 GRID_POINT_X 105 TILEPROP VBRK_X105Y62 GRID_POINT_Y 94 TILEPROP VBRK_X105Y62 INDEX 10915 TILEPROP VBRK_X105Y62 INT_TILE_X 41 TILEPROP VBRK_X105Y62 INT_TILE_Y 90 TILEPROP VBRK_X105Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y62 IS_DCM_TILE 0 TILEPROP VBRK_X105Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y62 NAME VBRK_X105Y62 TILEPROP VBRK_X105Y62 NUM_ARCS 0 TILEPROP VBRK_X105Y62 NUM_SITES 0 TILEPROP VBRK_X105Y62 ROW 94 TILEPROP VBRK_X105Y62 SLR_REGION_ID 0 TILEPROP VBRK_X105Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y62 TILE_TYPE VBRK TILEPROP VBRK_X105Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y62 TILE_X 93606 TILEPROP VBRK_X105Y62 TILE_Y -49600 TILEPROP VBRK_X105Y62 TYPE VBRK TILEPROP VBRK_X105Y63 CLASS tile TILEPROP VBRK_X105Y63 COLUMN 105 TILEPROP VBRK_X105Y63 DEVICE_ID 0 TILEPROP VBRK_X105Y63 FIRST_SITE_ID 9382 TILEPROP VBRK_X105Y63 GRID_POINT_X 105 TILEPROP VBRK_X105Y63 GRID_POINT_Y 93 TILEPROP VBRK_X105Y63 INDEX 10800 TILEPROP VBRK_X105Y63 INT_TILE_X 41 TILEPROP VBRK_X105Y63 INT_TILE_Y 89 TILEPROP VBRK_X105Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y63 IS_DCM_TILE 0 TILEPROP VBRK_X105Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y63 NAME VBRK_X105Y63 TILEPROP VBRK_X105Y63 NUM_ARCS 0 TILEPROP VBRK_X105Y63 NUM_SITES 0 TILEPROP VBRK_X105Y63 ROW 93 TILEPROP VBRK_X105Y63 SLR_REGION_ID 0 TILEPROP VBRK_X105Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y63 TILE_TYPE VBRK TILEPROP VBRK_X105Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y63 TILE_X 93606 TILEPROP VBRK_X105Y63 TILE_Y -46400 TILEPROP VBRK_X105Y63 TYPE VBRK TILEPROP VBRK_X105Y64 CLASS tile TILEPROP VBRK_X105Y64 COLUMN 105 TILEPROP VBRK_X105Y64 DEVICE_ID 0 TILEPROP VBRK_X105Y64 FIRST_SITE_ID 9267 TILEPROP VBRK_X105Y64 GRID_POINT_X 105 TILEPROP VBRK_X105Y64 GRID_POINT_Y 92 TILEPROP VBRK_X105Y64 INDEX 10685 TILEPROP VBRK_X105Y64 INT_TILE_X 41 TILEPROP VBRK_X105Y64 INT_TILE_Y 88 TILEPROP VBRK_X105Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y64 IS_DCM_TILE 0 TILEPROP VBRK_X105Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y64 NAME VBRK_X105Y64 TILEPROP VBRK_X105Y64 NUM_ARCS 0 TILEPROP VBRK_X105Y64 NUM_SITES 0 TILEPROP VBRK_X105Y64 ROW 92 TILEPROP VBRK_X105Y64 SLR_REGION_ID 0 TILEPROP VBRK_X105Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y64 TILE_TYPE VBRK TILEPROP VBRK_X105Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y64 TILE_X 93606 TILEPROP VBRK_X105Y64 TILE_Y -43200 TILEPROP VBRK_X105Y64 TYPE VBRK TILEPROP VBRK_X105Y65 CLASS tile TILEPROP VBRK_X105Y65 COLUMN 105 TILEPROP VBRK_X105Y65 DEVICE_ID 0 TILEPROP VBRK_X105Y65 FIRST_SITE_ID 9167 TILEPROP VBRK_X105Y65 GRID_POINT_X 105 TILEPROP VBRK_X105Y65 GRID_POINT_Y 91 TILEPROP VBRK_X105Y65 INDEX 10570 TILEPROP VBRK_X105Y65 INT_TILE_X 41 TILEPROP VBRK_X105Y65 INT_TILE_Y 87 TILEPROP VBRK_X105Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y65 IS_DCM_TILE 0 TILEPROP VBRK_X105Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y65 NAME VBRK_X105Y65 TILEPROP VBRK_X105Y65 NUM_ARCS 0 TILEPROP VBRK_X105Y65 NUM_SITES 0 TILEPROP VBRK_X105Y65 ROW 91 TILEPROP VBRK_X105Y65 SLR_REGION_ID 0 TILEPROP VBRK_X105Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y65 TILE_TYPE VBRK TILEPROP VBRK_X105Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y65 TILE_X 93606 TILEPROP VBRK_X105Y65 TILE_Y -40000 TILEPROP VBRK_X105Y65 TYPE VBRK TILEPROP VBRK_X105Y66 CLASS tile TILEPROP VBRK_X105Y66 COLUMN 105 TILEPROP VBRK_X105Y66 DEVICE_ID 0 TILEPROP VBRK_X105Y66 FIRST_SITE_ID 9035 TILEPROP VBRK_X105Y66 GRID_POINT_X 105 TILEPROP VBRK_X105Y66 GRID_POINT_Y 90 TILEPROP VBRK_X105Y66 INDEX 10455 TILEPROP VBRK_X105Y66 INT_TILE_X 41 TILEPROP VBRK_X105Y66 INT_TILE_Y 86 TILEPROP VBRK_X105Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y66 IS_DCM_TILE 0 TILEPROP VBRK_X105Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y66 NAME VBRK_X105Y66 TILEPROP VBRK_X105Y66 NUM_ARCS 0 TILEPROP VBRK_X105Y66 NUM_SITES 0 TILEPROP VBRK_X105Y66 ROW 90 TILEPROP VBRK_X105Y66 SLR_REGION_ID 0 TILEPROP VBRK_X105Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y66 TILE_TYPE VBRK TILEPROP VBRK_X105Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y66 TILE_X 93606 TILEPROP VBRK_X105Y66 TILE_Y -36800 TILEPROP VBRK_X105Y66 TYPE VBRK TILEPROP VBRK_X105Y67 CLASS tile TILEPROP VBRK_X105Y67 COLUMN 105 TILEPROP VBRK_X105Y67 DEVICE_ID 0 TILEPROP VBRK_X105Y67 FIRST_SITE_ID 8935 TILEPROP VBRK_X105Y67 GRID_POINT_X 105 TILEPROP VBRK_X105Y67 GRID_POINT_Y 89 TILEPROP VBRK_X105Y67 INDEX 10340 TILEPROP VBRK_X105Y67 INT_TILE_X 41 TILEPROP VBRK_X105Y67 INT_TILE_Y 85 TILEPROP VBRK_X105Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y67 IS_DCM_TILE 0 TILEPROP VBRK_X105Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y67 NAME VBRK_X105Y67 TILEPROP VBRK_X105Y67 NUM_ARCS 0 TILEPROP VBRK_X105Y67 NUM_SITES 0 TILEPROP VBRK_X105Y67 ROW 89 TILEPROP VBRK_X105Y67 SLR_REGION_ID 0 TILEPROP VBRK_X105Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y67 TILE_TYPE VBRK TILEPROP VBRK_X105Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y67 TILE_X 93606 TILEPROP VBRK_X105Y67 TILE_Y -33600 TILEPROP VBRK_X105Y67 TYPE VBRK TILEPROP VBRK_X105Y68 CLASS tile TILEPROP VBRK_X105Y68 COLUMN 105 TILEPROP VBRK_X105Y68 DEVICE_ID 0 TILEPROP VBRK_X105Y68 FIRST_SITE_ID 8835 TILEPROP VBRK_X105Y68 GRID_POINT_X 105 TILEPROP VBRK_X105Y68 GRID_POINT_Y 88 TILEPROP VBRK_X105Y68 INDEX 10225 TILEPROP VBRK_X105Y68 INT_TILE_X 41 TILEPROP VBRK_X105Y68 INT_TILE_Y 84 TILEPROP VBRK_X105Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y68 IS_DCM_TILE 0 TILEPROP VBRK_X105Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y68 NAME VBRK_X105Y68 TILEPROP VBRK_X105Y68 NUM_ARCS 0 TILEPROP VBRK_X105Y68 NUM_SITES 0 TILEPROP VBRK_X105Y68 ROW 88 TILEPROP VBRK_X105Y68 SLR_REGION_ID 0 TILEPROP VBRK_X105Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y68 TILE_TYPE VBRK TILEPROP VBRK_X105Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y68 TILE_X 93606 TILEPROP VBRK_X105Y68 TILE_Y -30400 TILEPROP VBRK_X105Y68 TYPE VBRK TILEPROP VBRK_X105Y69 CLASS tile TILEPROP VBRK_X105Y69 COLUMN 105 TILEPROP VBRK_X105Y69 DEVICE_ID 0 TILEPROP VBRK_X105Y69 FIRST_SITE_ID 8720 TILEPROP VBRK_X105Y69 GRID_POINT_X 105 TILEPROP VBRK_X105Y69 GRID_POINT_Y 87 TILEPROP VBRK_X105Y69 INDEX 10110 TILEPROP VBRK_X105Y69 INT_TILE_X 41 TILEPROP VBRK_X105Y69 INT_TILE_Y 83 TILEPROP VBRK_X105Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y69 IS_DCM_TILE 0 TILEPROP VBRK_X105Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y69 NAME VBRK_X105Y69 TILEPROP VBRK_X105Y69 NUM_ARCS 0 TILEPROP VBRK_X105Y69 NUM_SITES 0 TILEPROP VBRK_X105Y69 ROW 87 TILEPROP VBRK_X105Y69 SLR_REGION_ID 0 TILEPROP VBRK_X105Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y69 TILE_TYPE VBRK TILEPROP VBRK_X105Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y69 TILE_X 93606 TILEPROP VBRK_X105Y69 TILE_Y -27200 TILEPROP VBRK_X105Y69 TYPE VBRK TILEPROP VBRK_X105Y70 CLASS tile TILEPROP VBRK_X105Y70 COLUMN 105 TILEPROP VBRK_X105Y70 DEVICE_ID 0 TILEPROP VBRK_X105Y70 FIRST_SITE_ID 8616 TILEPROP VBRK_X105Y70 GRID_POINT_X 105 TILEPROP VBRK_X105Y70 GRID_POINT_Y 86 TILEPROP VBRK_X105Y70 INDEX 9995 TILEPROP VBRK_X105Y70 INT_TILE_X 41 TILEPROP VBRK_X105Y70 INT_TILE_Y 82 TILEPROP VBRK_X105Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y70 IS_DCM_TILE 0 TILEPROP VBRK_X105Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y70 NAME VBRK_X105Y70 TILEPROP VBRK_X105Y70 NUM_ARCS 0 TILEPROP VBRK_X105Y70 NUM_SITES 0 TILEPROP VBRK_X105Y70 ROW 86 TILEPROP VBRK_X105Y70 SLR_REGION_ID 0 TILEPROP VBRK_X105Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y70 TILE_TYPE VBRK TILEPROP VBRK_X105Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y70 TILE_X 93606 TILEPROP VBRK_X105Y70 TILE_Y -24000 TILEPROP VBRK_X105Y70 TYPE VBRK TILEPROP VBRK_X105Y71 CLASS tile TILEPROP VBRK_X105Y71 COLUMN 105 TILEPROP VBRK_X105Y71 DEVICE_ID 0 TILEPROP VBRK_X105Y71 FIRST_SITE_ID 8512 TILEPROP VBRK_X105Y71 GRID_POINT_X 105 TILEPROP VBRK_X105Y71 GRID_POINT_Y 85 TILEPROP VBRK_X105Y71 INDEX 9880 TILEPROP VBRK_X105Y71 INT_TILE_X 41 TILEPROP VBRK_X105Y71 INT_TILE_Y 81 TILEPROP VBRK_X105Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y71 IS_DCM_TILE 0 TILEPROP VBRK_X105Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y71 NAME VBRK_X105Y71 TILEPROP VBRK_X105Y71 NUM_ARCS 0 TILEPROP VBRK_X105Y71 NUM_SITES 0 TILEPROP VBRK_X105Y71 ROW 85 TILEPROP VBRK_X105Y71 SLR_REGION_ID 0 TILEPROP VBRK_X105Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y71 TILE_TYPE VBRK TILEPROP VBRK_X105Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y71 TILE_X 93606 TILEPROP VBRK_X105Y71 TILE_Y -20800 TILEPROP VBRK_X105Y71 TYPE VBRK TILEPROP VBRK_X105Y72 CLASS tile TILEPROP VBRK_X105Y72 COLUMN 105 TILEPROP VBRK_X105Y72 DEVICE_ID 0 TILEPROP VBRK_X105Y72 FIRST_SITE_ID 8410 TILEPROP VBRK_X105Y72 GRID_POINT_X 105 TILEPROP VBRK_X105Y72 GRID_POINT_Y 84 TILEPROP VBRK_X105Y72 INDEX 9765 TILEPROP VBRK_X105Y72 INT_TILE_X 41 TILEPROP VBRK_X105Y72 INT_TILE_Y 80 TILEPROP VBRK_X105Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y72 IS_DCM_TILE 0 TILEPROP VBRK_X105Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y72 NAME VBRK_X105Y72 TILEPROP VBRK_X105Y72 NUM_ARCS 0 TILEPROP VBRK_X105Y72 NUM_SITES 0 TILEPROP VBRK_X105Y72 ROW 84 TILEPROP VBRK_X105Y72 SLR_REGION_ID 0 TILEPROP VBRK_X105Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y72 TILE_TYPE VBRK TILEPROP VBRK_X105Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y72 TILE_X 93606 TILEPROP VBRK_X105Y72 TILE_Y -17600 TILEPROP VBRK_X105Y72 TYPE VBRK TILEPROP VBRK_X105Y73 CLASS tile TILEPROP VBRK_X105Y73 COLUMN 105 TILEPROP VBRK_X105Y73 DEVICE_ID 0 TILEPROP VBRK_X105Y73 FIRST_SITE_ID 8308 TILEPROP VBRK_X105Y73 GRID_POINT_X 105 TILEPROP VBRK_X105Y73 GRID_POINT_Y 83 TILEPROP VBRK_X105Y73 INDEX 9650 TILEPROP VBRK_X105Y73 INT_TILE_X 41 TILEPROP VBRK_X105Y73 INT_TILE_Y 79 TILEPROP VBRK_X105Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y73 IS_DCM_TILE 0 TILEPROP VBRK_X105Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y73 NAME VBRK_X105Y73 TILEPROP VBRK_X105Y73 NUM_ARCS 0 TILEPROP VBRK_X105Y73 NUM_SITES 0 TILEPROP VBRK_X105Y73 ROW 83 TILEPROP VBRK_X105Y73 SLR_REGION_ID 0 TILEPROP VBRK_X105Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y73 TILE_TYPE VBRK TILEPROP VBRK_X105Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y73 TILE_X 93606 TILEPROP VBRK_X105Y73 TILE_Y -14400 TILEPROP VBRK_X105Y73 TYPE VBRK TILEPROP VBRK_X105Y74 CLASS tile TILEPROP VBRK_X105Y74 COLUMN 105 TILEPROP VBRK_X105Y74 DEVICE_ID 0 TILEPROP VBRK_X105Y74 FIRST_SITE_ID 8193 TILEPROP VBRK_X105Y74 GRID_POINT_X 105 TILEPROP VBRK_X105Y74 GRID_POINT_Y 82 TILEPROP VBRK_X105Y74 INDEX 9535 TILEPROP VBRK_X105Y74 INT_TILE_X 41 TILEPROP VBRK_X105Y74 INT_TILE_Y 78 TILEPROP VBRK_X105Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y74 IS_DCM_TILE 0 TILEPROP VBRK_X105Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y74 NAME VBRK_X105Y74 TILEPROP VBRK_X105Y74 NUM_ARCS 0 TILEPROP VBRK_X105Y74 NUM_SITES 0 TILEPROP VBRK_X105Y74 ROW 82 TILEPROP VBRK_X105Y74 SLR_REGION_ID 0 TILEPROP VBRK_X105Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y74 TILE_TYPE VBRK TILEPROP VBRK_X105Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y74 TILE_X 93606 TILEPROP VBRK_X105Y74 TILE_Y -11200 TILEPROP VBRK_X105Y74 TYPE VBRK TILEPROP VBRK_X105Y75 CLASS tile TILEPROP VBRK_X105Y75 COLUMN 105 TILEPROP VBRK_X105Y75 DEVICE_ID 0 TILEPROP VBRK_X105Y75 FIRST_SITE_ID 8093 TILEPROP VBRK_X105Y75 GRID_POINT_X 105 TILEPROP VBRK_X105Y75 GRID_POINT_Y 81 TILEPROP VBRK_X105Y75 INDEX 9420 TILEPROP VBRK_X105Y75 INT_TILE_X 41 TILEPROP VBRK_X105Y75 INT_TILE_Y 77 TILEPROP VBRK_X105Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y75 IS_DCM_TILE 0 TILEPROP VBRK_X105Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y75 NAME VBRK_X105Y75 TILEPROP VBRK_X105Y75 NUM_ARCS 0 TILEPROP VBRK_X105Y75 NUM_SITES 0 TILEPROP VBRK_X105Y75 ROW 81 TILEPROP VBRK_X105Y75 SLR_REGION_ID 0 TILEPROP VBRK_X105Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y75 TILE_TYPE VBRK TILEPROP VBRK_X105Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y75 TILE_X 93606 TILEPROP VBRK_X105Y75 TILE_Y -8000 TILEPROP VBRK_X105Y75 TYPE VBRK TILEPROP VBRK_X105Y76 CLASS tile TILEPROP VBRK_X105Y76 COLUMN 105 TILEPROP VBRK_X105Y76 DEVICE_ID 0 TILEPROP VBRK_X105Y76 FIRST_SITE_ID 7993 TILEPROP VBRK_X105Y76 GRID_POINT_X 105 TILEPROP VBRK_X105Y76 GRID_POINT_Y 80 TILEPROP VBRK_X105Y76 INDEX 9305 TILEPROP VBRK_X105Y76 INT_TILE_X 41 TILEPROP VBRK_X105Y76 INT_TILE_Y 76 TILEPROP VBRK_X105Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y76 IS_DCM_TILE 0 TILEPROP VBRK_X105Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y76 NAME VBRK_X105Y76 TILEPROP VBRK_X105Y76 NUM_ARCS 0 TILEPROP VBRK_X105Y76 NUM_SITES 0 TILEPROP VBRK_X105Y76 ROW 80 TILEPROP VBRK_X105Y76 SLR_REGION_ID 0 TILEPROP VBRK_X105Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y76 TILE_TYPE VBRK TILEPROP VBRK_X105Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y76 TILE_X 93606 TILEPROP VBRK_X105Y76 TILE_Y -4800 TILEPROP VBRK_X105Y76 TYPE VBRK TILEPROP VBRK_X105Y77 CLASS tile TILEPROP VBRK_X105Y77 COLUMN 105 TILEPROP VBRK_X105Y77 DEVICE_ID 0 TILEPROP VBRK_X105Y77 FIRST_SITE_ID 7893 TILEPROP VBRK_X105Y77 GRID_POINT_X 105 TILEPROP VBRK_X105Y77 GRID_POINT_Y 79 TILEPROP VBRK_X105Y77 INDEX 9190 TILEPROP VBRK_X105Y77 INT_TILE_X 41 TILEPROP VBRK_X105Y77 INT_TILE_Y 75 TILEPROP VBRK_X105Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y77 IS_DCM_TILE 0 TILEPROP VBRK_X105Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y77 NAME VBRK_X105Y77 TILEPROP VBRK_X105Y77 NUM_ARCS 0 TILEPROP VBRK_X105Y77 NUM_SITES 0 TILEPROP VBRK_X105Y77 ROW 79 TILEPROP VBRK_X105Y77 SLR_REGION_ID 0 TILEPROP VBRK_X105Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y77 TILE_TYPE VBRK TILEPROP VBRK_X105Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y77 TILE_X 93606 TILEPROP VBRK_X105Y77 TILE_Y -1600 TILEPROP VBRK_X105Y77 TYPE VBRK TILEPROP VBRK_X105Y79 CLASS tile TILEPROP VBRK_X105Y79 COLUMN 105 TILEPROP VBRK_X105Y79 DEVICE_ID 0 TILEPROP VBRK_X105Y79 FIRST_SITE_ID 7710 TILEPROP VBRK_X105Y79 GRID_POINT_X 105 TILEPROP VBRK_X105Y79 GRID_POINT_Y 77 TILEPROP VBRK_X105Y79 INDEX 8960 TILEPROP VBRK_X105Y79 INT_TILE_X 41 TILEPROP VBRK_X105Y79 INT_TILE_Y 74 TILEPROP VBRK_X105Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y79 IS_DCM_TILE 0 TILEPROP VBRK_X105Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y79 NAME VBRK_X105Y79 TILEPROP VBRK_X105Y79 NUM_ARCS 0 TILEPROP VBRK_X105Y79 NUM_SITES 0 TILEPROP VBRK_X105Y79 ROW 77 TILEPROP VBRK_X105Y79 SLR_REGION_ID 0 TILEPROP VBRK_X105Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y79 TILE_TYPE VBRK TILEPROP VBRK_X105Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y79 TILE_X 93606 TILEPROP VBRK_X105Y79 TILE_Y 2624 TILEPROP VBRK_X105Y79 TYPE VBRK TILEPROP VBRK_X105Y80 CLASS tile TILEPROP VBRK_X105Y80 COLUMN 105 TILEPROP VBRK_X105Y80 DEVICE_ID 0 TILEPROP VBRK_X105Y80 FIRST_SITE_ID 7592 TILEPROP VBRK_X105Y80 GRID_POINT_X 105 TILEPROP VBRK_X105Y80 GRID_POINT_Y 76 TILEPROP VBRK_X105Y80 INDEX 8845 TILEPROP VBRK_X105Y80 INT_TILE_X 41 TILEPROP VBRK_X105Y80 INT_TILE_Y 73 TILEPROP VBRK_X105Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y80 IS_DCM_TILE 0 TILEPROP VBRK_X105Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y80 NAME VBRK_X105Y80 TILEPROP VBRK_X105Y80 NUM_ARCS 0 TILEPROP VBRK_X105Y80 NUM_SITES 0 TILEPROP VBRK_X105Y80 ROW 76 TILEPROP VBRK_X105Y80 SLR_REGION_ID 0 TILEPROP VBRK_X105Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y80 TILE_TYPE VBRK TILEPROP VBRK_X105Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y80 TILE_X 93606 TILEPROP VBRK_X105Y80 TILE_Y 5824 TILEPROP VBRK_X105Y80 TYPE VBRK TILEPROP VBRK_X105Y81 CLASS tile TILEPROP VBRK_X105Y81 COLUMN 105 TILEPROP VBRK_X105Y81 DEVICE_ID 0 TILEPROP VBRK_X105Y81 FIRST_SITE_ID 7492 TILEPROP VBRK_X105Y81 GRID_POINT_X 105 TILEPROP VBRK_X105Y81 GRID_POINT_Y 75 TILEPROP VBRK_X105Y81 INDEX 8730 TILEPROP VBRK_X105Y81 INT_TILE_X 41 TILEPROP VBRK_X105Y81 INT_TILE_Y 72 TILEPROP VBRK_X105Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y81 IS_DCM_TILE 0 TILEPROP VBRK_X105Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y81 NAME VBRK_X105Y81 TILEPROP VBRK_X105Y81 NUM_ARCS 0 TILEPROP VBRK_X105Y81 NUM_SITES 0 TILEPROP VBRK_X105Y81 ROW 75 TILEPROP VBRK_X105Y81 SLR_REGION_ID 0 TILEPROP VBRK_X105Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y81 TILE_TYPE VBRK TILEPROP VBRK_X105Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y81 TILE_X 93606 TILEPROP VBRK_X105Y81 TILE_Y 9024 TILEPROP VBRK_X105Y81 TYPE VBRK TILEPROP VBRK_X105Y82 CLASS tile TILEPROP VBRK_X105Y82 COLUMN 105 TILEPROP VBRK_X105Y82 DEVICE_ID 0 TILEPROP VBRK_X105Y82 FIRST_SITE_ID 7392 TILEPROP VBRK_X105Y82 GRID_POINT_X 105 TILEPROP VBRK_X105Y82 GRID_POINT_Y 74 TILEPROP VBRK_X105Y82 INDEX 8615 TILEPROP VBRK_X105Y82 INT_TILE_X 41 TILEPROP VBRK_X105Y82 INT_TILE_Y 71 TILEPROP VBRK_X105Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y82 IS_DCM_TILE 0 TILEPROP VBRK_X105Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y82 NAME VBRK_X105Y82 TILEPROP VBRK_X105Y82 NUM_ARCS 0 TILEPROP VBRK_X105Y82 NUM_SITES 0 TILEPROP VBRK_X105Y82 ROW 74 TILEPROP VBRK_X105Y82 SLR_REGION_ID 0 TILEPROP VBRK_X105Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y82 TILE_TYPE VBRK TILEPROP VBRK_X105Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y82 TILE_X 93606 TILEPROP VBRK_X105Y82 TILE_Y 12224 TILEPROP VBRK_X105Y82 TYPE VBRK TILEPROP VBRK_X105Y83 CLASS tile TILEPROP VBRK_X105Y83 COLUMN 105 TILEPROP VBRK_X105Y83 DEVICE_ID 0 TILEPROP VBRK_X105Y83 FIRST_SITE_ID 7286 TILEPROP VBRK_X105Y83 GRID_POINT_X 105 TILEPROP VBRK_X105Y83 GRID_POINT_Y 73 TILEPROP VBRK_X105Y83 INDEX 8500 TILEPROP VBRK_X105Y83 INT_TILE_X 41 TILEPROP VBRK_X105Y83 INT_TILE_Y 70 TILEPROP VBRK_X105Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y83 IS_DCM_TILE 0 TILEPROP VBRK_X105Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y83 NAME VBRK_X105Y83 TILEPROP VBRK_X105Y83 NUM_ARCS 0 TILEPROP VBRK_X105Y83 NUM_SITES 0 TILEPROP VBRK_X105Y83 ROW 73 TILEPROP VBRK_X105Y83 SLR_REGION_ID 0 TILEPROP VBRK_X105Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y83 TILE_TYPE VBRK TILEPROP VBRK_X105Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y83 TILE_X 93606 TILEPROP VBRK_X105Y83 TILE_Y 15424 TILEPROP VBRK_X105Y83 TYPE VBRK TILEPROP VBRK_X105Y84 CLASS tile TILEPROP VBRK_X105Y84 COLUMN 105 TILEPROP VBRK_X105Y84 DEVICE_ID 0 TILEPROP VBRK_X105Y84 FIRST_SITE_ID 7180 TILEPROP VBRK_X105Y84 GRID_POINT_X 105 TILEPROP VBRK_X105Y84 GRID_POINT_Y 72 TILEPROP VBRK_X105Y84 INDEX 8385 TILEPROP VBRK_X105Y84 INT_TILE_X 41 TILEPROP VBRK_X105Y84 INT_TILE_Y 69 TILEPROP VBRK_X105Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y84 IS_DCM_TILE 0 TILEPROP VBRK_X105Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y84 NAME VBRK_X105Y84 TILEPROP VBRK_X105Y84 NUM_ARCS 0 TILEPROP VBRK_X105Y84 NUM_SITES 0 TILEPROP VBRK_X105Y84 ROW 72 TILEPROP VBRK_X105Y84 SLR_REGION_ID 0 TILEPROP VBRK_X105Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y84 TILE_TYPE VBRK TILEPROP VBRK_X105Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y84 TILE_X 93606 TILEPROP VBRK_X105Y84 TILE_Y 18624 TILEPROP VBRK_X105Y84 TYPE VBRK TILEPROP VBRK_X105Y85 CLASS tile TILEPROP VBRK_X105Y85 COLUMN 105 TILEPROP VBRK_X105Y85 DEVICE_ID 0 TILEPROP VBRK_X105Y85 FIRST_SITE_ID 7063 TILEPROP VBRK_X105Y85 GRID_POINT_X 105 TILEPROP VBRK_X105Y85 GRID_POINT_Y 71 TILEPROP VBRK_X105Y85 INDEX 8270 TILEPROP VBRK_X105Y85 INT_TILE_X 41 TILEPROP VBRK_X105Y85 INT_TILE_Y 68 TILEPROP VBRK_X105Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y85 IS_DCM_TILE 0 TILEPROP VBRK_X105Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y85 NAME VBRK_X105Y85 TILEPROP VBRK_X105Y85 NUM_ARCS 0 TILEPROP VBRK_X105Y85 NUM_SITES 0 TILEPROP VBRK_X105Y85 ROW 71 TILEPROP VBRK_X105Y85 SLR_REGION_ID 0 TILEPROP VBRK_X105Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y85 TILE_TYPE VBRK TILEPROP VBRK_X105Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y85 TILE_X 93606 TILEPROP VBRK_X105Y85 TILE_Y 21824 TILEPROP VBRK_X105Y85 TYPE VBRK TILEPROP VBRK_X105Y86 CLASS tile TILEPROP VBRK_X105Y86 COLUMN 105 TILEPROP VBRK_X105Y86 DEVICE_ID 0 TILEPROP VBRK_X105Y86 FIRST_SITE_ID 6961 TILEPROP VBRK_X105Y86 GRID_POINT_X 105 TILEPROP VBRK_X105Y86 GRID_POINT_Y 70 TILEPROP VBRK_X105Y86 INDEX 8155 TILEPROP VBRK_X105Y86 INT_TILE_X 41 TILEPROP VBRK_X105Y86 INT_TILE_Y 67 TILEPROP VBRK_X105Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y86 IS_DCM_TILE 0 TILEPROP VBRK_X105Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y86 NAME VBRK_X105Y86 TILEPROP VBRK_X105Y86 NUM_ARCS 0 TILEPROP VBRK_X105Y86 NUM_SITES 0 TILEPROP VBRK_X105Y86 ROW 70 TILEPROP VBRK_X105Y86 SLR_REGION_ID 0 TILEPROP VBRK_X105Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y86 TILE_TYPE VBRK TILEPROP VBRK_X105Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y86 TILE_X 93606 TILEPROP VBRK_X105Y86 TILE_Y 25024 TILEPROP VBRK_X105Y86 TYPE VBRK TILEPROP VBRK_X105Y87 CLASS tile TILEPROP VBRK_X105Y87 COLUMN 105 TILEPROP VBRK_X105Y87 DEVICE_ID 0 TILEPROP VBRK_X105Y87 FIRST_SITE_ID 6861 TILEPROP VBRK_X105Y87 GRID_POINT_X 105 TILEPROP VBRK_X105Y87 GRID_POINT_Y 69 TILEPROP VBRK_X105Y87 INDEX 8040 TILEPROP VBRK_X105Y87 INT_TILE_X 41 TILEPROP VBRK_X105Y87 INT_TILE_Y 66 TILEPROP VBRK_X105Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y87 IS_DCM_TILE 0 TILEPROP VBRK_X105Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y87 NAME VBRK_X105Y87 TILEPROP VBRK_X105Y87 NUM_ARCS 0 TILEPROP VBRK_X105Y87 NUM_SITES 0 TILEPROP VBRK_X105Y87 ROW 69 TILEPROP VBRK_X105Y87 SLR_REGION_ID 0 TILEPROP VBRK_X105Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y87 TILE_TYPE VBRK TILEPROP VBRK_X105Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y87 TILE_X 93606 TILEPROP VBRK_X105Y87 TILE_Y 28224 TILEPROP VBRK_X105Y87 TYPE VBRK TILEPROP VBRK_X105Y88 CLASS tile TILEPROP VBRK_X105Y88 COLUMN 105 TILEPROP VBRK_X105Y88 DEVICE_ID 0 TILEPROP VBRK_X105Y88 FIRST_SITE_ID 6761 TILEPROP VBRK_X105Y88 GRID_POINT_X 105 TILEPROP VBRK_X105Y88 GRID_POINT_Y 68 TILEPROP VBRK_X105Y88 INDEX 7925 TILEPROP VBRK_X105Y88 INT_TILE_X 41 TILEPROP VBRK_X105Y88 INT_TILE_Y 65 TILEPROP VBRK_X105Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y88 IS_DCM_TILE 0 TILEPROP VBRK_X105Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y88 NAME VBRK_X105Y88 TILEPROP VBRK_X105Y88 NUM_ARCS 0 TILEPROP VBRK_X105Y88 NUM_SITES 0 TILEPROP VBRK_X105Y88 ROW 68 TILEPROP VBRK_X105Y88 SLR_REGION_ID 0 TILEPROP VBRK_X105Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y88 TILE_TYPE VBRK TILEPROP VBRK_X105Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y88 TILE_X 93606 TILEPROP VBRK_X105Y88 TILE_Y 31424 TILEPROP VBRK_X105Y88 TYPE VBRK TILEPROP VBRK_X105Y89 CLASS tile TILEPROP VBRK_X105Y89 COLUMN 105 TILEPROP VBRK_X105Y89 DEVICE_ID 0 TILEPROP VBRK_X105Y89 FIRST_SITE_ID 6661 TILEPROP VBRK_X105Y89 GRID_POINT_X 105 TILEPROP VBRK_X105Y89 GRID_POINT_Y 67 TILEPROP VBRK_X105Y89 INDEX 7810 TILEPROP VBRK_X105Y89 INT_TILE_X 41 TILEPROP VBRK_X105Y89 INT_TILE_Y 64 TILEPROP VBRK_X105Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y89 IS_DCM_TILE 0 TILEPROP VBRK_X105Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y89 NAME VBRK_X105Y89 TILEPROP VBRK_X105Y89 NUM_ARCS 0 TILEPROP VBRK_X105Y89 NUM_SITES 0 TILEPROP VBRK_X105Y89 ROW 67 TILEPROP VBRK_X105Y89 SLR_REGION_ID 0 TILEPROP VBRK_X105Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y89 TILE_TYPE VBRK TILEPROP VBRK_X105Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y89 TILE_X 93606 TILEPROP VBRK_X105Y89 TILE_Y 34624 TILEPROP VBRK_X105Y89 TYPE VBRK TILEPROP VBRK_X105Y90 CLASS tile TILEPROP VBRK_X105Y90 COLUMN 105 TILEPROP VBRK_X105Y90 DEVICE_ID 0 TILEPROP VBRK_X105Y90 FIRST_SITE_ID 6546 TILEPROP VBRK_X105Y90 GRID_POINT_X 105 TILEPROP VBRK_X105Y90 GRID_POINT_Y 66 TILEPROP VBRK_X105Y90 INDEX 7695 TILEPROP VBRK_X105Y90 INT_TILE_X 41 TILEPROP VBRK_X105Y90 INT_TILE_Y 63 TILEPROP VBRK_X105Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y90 IS_DCM_TILE 0 TILEPROP VBRK_X105Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y90 NAME VBRK_X105Y90 TILEPROP VBRK_X105Y90 NUM_ARCS 0 TILEPROP VBRK_X105Y90 NUM_SITES 0 TILEPROP VBRK_X105Y90 ROW 66 TILEPROP VBRK_X105Y90 SLR_REGION_ID 0 TILEPROP VBRK_X105Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y90 TILE_TYPE VBRK TILEPROP VBRK_X105Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y90 TILE_X 93606 TILEPROP VBRK_X105Y90 TILE_Y 37824 TILEPROP VBRK_X105Y90 TYPE VBRK TILEPROP VBRK_X105Y91 CLASS tile TILEPROP VBRK_X105Y91 COLUMN 105 TILEPROP VBRK_X105Y91 DEVICE_ID 0 TILEPROP VBRK_X105Y91 FIRST_SITE_ID 6414 TILEPROP VBRK_X105Y91 GRID_POINT_X 105 TILEPROP VBRK_X105Y91 GRID_POINT_Y 65 TILEPROP VBRK_X105Y91 INDEX 7580 TILEPROP VBRK_X105Y91 INT_TILE_X 41 TILEPROP VBRK_X105Y91 INT_TILE_Y 62 TILEPROP VBRK_X105Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y91 IS_DCM_TILE 0 TILEPROP VBRK_X105Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y91 NAME VBRK_X105Y91 TILEPROP VBRK_X105Y91 NUM_ARCS 0 TILEPROP VBRK_X105Y91 NUM_SITES 0 TILEPROP VBRK_X105Y91 ROW 65 TILEPROP VBRK_X105Y91 SLR_REGION_ID 0 TILEPROP VBRK_X105Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y91 TILE_TYPE VBRK TILEPROP VBRK_X105Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y91 TILE_X 93606 TILEPROP VBRK_X105Y91 TILE_Y 41024 TILEPROP VBRK_X105Y91 TYPE VBRK TILEPROP VBRK_X105Y92 CLASS tile TILEPROP VBRK_X105Y92 COLUMN 105 TILEPROP VBRK_X105Y92 DEVICE_ID 0 TILEPROP VBRK_X105Y92 FIRST_SITE_ID 6314 TILEPROP VBRK_X105Y92 GRID_POINT_X 105 TILEPROP VBRK_X105Y92 GRID_POINT_Y 64 TILEPROP VBRK_X105Y92 INDEX 7465 TILEPROP VBRK_X105Y92 INT_TILE_X 41 TILEPROP VBRK_X105Y92 INT_TILE_Y 61 TILEPROP VBRK_X105Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y92 IS_DCM_TILE 0 TILEPROP VBRK_X105Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y92 NAME VBRK_X105Y92 TILEPROP VBRK_X105Y92 NUM_ARCS 0 TILEPROP VBRK_X105Y92 NUM_SITES 0 TILEPROP VBRK_X105Y92 ROW 64 TILEPROP VBRK_X105Y92 SLR_REGION_ID 0 TILEPROP VBRK_X105Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y92 TILE_TYPE VBRK TILEPROP VBRK_X105Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y92 TILE_X 93606 TILEPROP VBRK_X105Y92 TILE_Y 44224 TILEPROP VBRK_X105Y92 TYPE VBRK TILEPROP VBRK_X105Y93 CLASS tile TILEPROP VBRK_X105Y93 COLUMN 105 TILEPROP VBRK_X105Y93 DEVICE_ID 0 TILEPROP VBRK_X105Y93 FIRST_SITE_ID 6214 TILEPROP VBRK_X105Y93 GRID_POINT_X 105 TILEPROP VBRK_X105Y93 GRID_POINT_Y 63 TILEPROP VBRK_X105Y93 INDEX 7350 TILEPROP VBRK_X105Y93 INT_TILE_X 41 TILEPROP VBRK_X105Y93 INT_TILE_Y 60 TILEPROP VBRK_X105Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y93 IS_DCM_TILE 0 TILEPROP VBRK_X105Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y93 NAME VBRK_X105Y93 TILEPROP VBRK_X105Y93 NUM_ARCS 0 TILEPROP VBRK_X105Y93 NUM_SITES 0 TILEPROP VBRK_X105Y93 ROW 63 TILEPROP VBRK_X105Y93 SLR_REGION_ID 0 TILEPROP VBRK_X105Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y93 TILE_TYPE VBRK TILEPROP VBRK_X105Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y93 TILE_X 93606 TILEPROP VBRK_X105Y93 TILE_Y 47424 TILEPROP VBRK_X105Y93 TYPE VBRK TILEPROP VBRK_X105Y94 CLASS tile TILEPROP VBRK_X105Y94 COLUMN 105 TILEPROP VBRK_X105Y94 DEVICE_ID 0 TILEPROP VBRK_X105Y94 FIRST_SITE_ID 6114 TILEPROP VBRK_X105Y94 GRID_POINT_X 105 TILEPROP VBRK_X105Y94 GRID_POINT_Y 62 TILEPROP VBRK_X105Y94 INDEX 7235 TILEPROP VBRK_X105Y94 INT_TILE_X 41 TILEPROP VBRK_X105Y94 INT_TILE_Y 59 TILEPROP VBRK_X105Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y94 IS_DCM_TILE 0 TILEPROP VBRK_X105Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y94 NAME VBRK_X105Y94 TILEPROP VBRK_X105Y94 NUM_ARCS 0 TILEPROP VBRK_X105Y94 NUM_SITES 0 TILEPROP VBRK_X105Y94 ROW 62 TILEPROP VBRK_X105Y94 SLR_REGION_ID 0 TILEPROP VBRK_X105Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y94 TILE_TYPE VBRK TILEPROP VBRK_X105Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y94 TILE_X 93606 TILEPROP VBRK_X105Y94 TILE_Y 50624 TILEPROP VBRK_X105Y94 TYPE VBRK TILEPROP VBRK_X105Y95 CLASS tile TILEPROP VBRK_X105Y95 COLUMN 105 TILEPROP VBRK_X105Y95 DEVICE_ID 0 TILEPROP VBRK_X105Y95 FIRST_SITE_ID 5999 TILEPROP VBRK_X105Y95 GRID_POINT_X 105 TILEPROP VBRK_X105Y95 GRID_POINT_Y 61 TILEPROP VBRK_X105Y95 INDEX 7120 TILEPROP VBRK_X105Y95 INT_TILE_X 41 TILEPROP VBRK_X105Y95 INT_TILE_Y 58 TILEPROP VBRK_X105Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y95 IS_DCM_TILE 0 TILEPROP VBRK_X105Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y95 NAME VBRK_X105Y95 TILEPROP VBRK_X105Y95 NUM_ARCS 0 TILEPROP VBRK_X105Y95 NUM_SITES 0 TILEPROP VBRK_X105Y95 ROW 61 TILEPROP VBRK_X105Y95 SLR_REGION_ID 0 TILEPROP VBRK_X105Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y95 TILE_TYPE VBRK TILEPROP VBRK_X105Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y95 TILE_X 93606 TILEPROP VBRK_X105Y95 TILE_Y 53824 TILEPROP VBRK_X105Y95 TYPE VBRK TILEPROP VBRK_X105Y96 CLASS tile TILEPROP VBRK_X105Y96 COLUMN 105 TILEPROP VBRK_X105Y96 DEVICE_ID 0 TILEPROP VBRK_X105Y96 FIRST_SITE_ID 5898 TILEPROP VBRK_X105Y96 GRID_POINT_X 105 TILEPROP VBRK_X105Y96 GRID_POINT_Y 60 TILEPROP VBRK_X105Y96 INDEX 7005 TILEPROP VBRK_X105Y96 INT_TILE_X 41 TILEPROP VBRK_X105Y96 INT_TILE_Y 57 TILEPROP VBRK_X105Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y96 IS_DCM_TILE 0 TILEPROP VBRK_X105Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y96 NAME VBRK_X105Y96 TILEPROP VBRK_X105Y96 NUM_ARCS 0 TILEPROP VBRK_X105Y96 NUM_SITES 0 TILEPROP VBRK_X105Y96 ROW 60 TILEPROP VBRK_X105Y96 SLR_REGION_ID 0 TILEPROP VBRK_X105Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y96 TILE_TYPE VBRK TILEPROP VBRK_X105Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y96 TILE_X 93606 TILEPROP VBRK_X105Y96 TILE_Y 57024 TILEPROP VBRK_X105Y96 TYPE VBRK TILEPROP VBRK_X105Y97 CLASS tile TILEPROP VBRK_X105Y97 COLUMN 105 TILEPROP VBRK_X105Y97 DEVICE_ID 0 TILEPROP VBRK_X105Y97 FIRST_SITE_ID 5795 TILEPROP VBRK_X105Y97 GRID_POINT_X 105 TILEPROP VBRK_X105Y97 GRID_POINT_Y 59 TILEPROP VBRK_X105Y97 INDEX 6890 TILEPROP VBRK_X105Y97 INT_TILE_X 41 TILEPROP VBRK_X105Y97 INT_TILE_Y 56 TILEPROP VBRK_X105Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y97 IS_DCM_TILE 0 TILEPROP VBRK_X105Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y97 NAME VBRK_X105Y97 TILEPROP VBRK_X105Y97 NUM_ARCS 0 TILEPROP VBRK_X105Y97 NUM_SITES 0 TILEPROP VBRK_X105Y97 ROW 59 TILEPROP VBRK_X105Y97 SLR_REGION_ID 0 TILEPROP VBRK_X105Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y97 TILE_TYPE VBRK TILEPROP VBRK_X105Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y97 TILE_X 93606 TILEPROP VBRK_X105Y97 TILE_Y 60224 TILEPROP VBRK_X105Y97 TYPE VBRK TILEPROP VBRK_X105Y98 CLASS tile TILEPROP VBRK_X105Y98 COLUMN 105 TILEPROP VBRK_X105Y98 DEVICE_ID 0 TILEPROP VBRK_X105Y98 FIRST_SITE_ID 5693 TILEPROP VBRK_X105Y98 GRID_POINT_X 105 TILEPROP VBRK_X105Y98 GRID_POINT_Y 58 TILEPROP VBRK_X105Y98 INDEX 6775 TILEPROP VBRK_X105Y98 INT_TILE_X 41 TILEPROP VBRK_X105Y98 INT_TILE_Y 55 TILEPROP VBRK_X105Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y98 IS_DCM_TILE 0 TILEPROP VBRK_X105Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y98 NAME VBRK_X105Y98 TILEPROP VBRK_X105Y98 NUM_ARCS 0 TILEPROP VBRK_X105Y98 NUM_SITES 0 TILEPROP VBRK_X105Y98 ROW 58 TILEPROP VBRK_X105Y98 SLR_REGION_ID 0 TILEPROP VBRK_X105Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y98 TILE_TYPE VBRK TILEPROP VBRK_X105Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y98 TILE_X 93606 TILEPROP VBRK_X105Y98 TILE_Y 63424 TILEPROP VBRK_X105Y98 TYPE VBRK TILEPROP VBRK_X105Y99 CLASS tile TILEPROP VBRK_X105Y99 COLUMN 105 TILEPROP VBRK_X105Y99 DEVICE_ID 0 TILEPROP VBRK_X105Y99 FIRST_SITE_ID 5593 TILEPROP VBRK_X105Y99 GRID_POINT_X 105 TILEPROP VBRK_X105Y99 GRID_POINT_Y 57 TILEPROP VBRK_X105Y99 INDEX 6660 TILEPROP VBRK_X105Y99 INT_TILE_X 41 TILEPROP VBRK_X105Y99 INT_TILE_Y 54 TILEPROP VBRK_X105Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y99 IS_DCM_TILE 0 TILEPROP VBRK_X105Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y99 NAME VBRK_X105Y99 TILEPROP VBRK_X105Y99 NUM_ARCS 0 TILEPROP VBRK_X105Y99 NUM_SITES 0 TILEPROP VBRK_X105Y99 ROW 57 TILEPROP VBRK_X105Y99 SLR_REGION_ID 0 TILEPROP VBRK_X105Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y99 TILE_TYPE VBRK TILEPROP VBRK_X105Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y99 TILE_X 93606 TILEPROP VBRK_X105Y99 TILE_Y 66624 TILEPROP VBRK_X105Y99 TYPE VBRK TILEPROP VBRK_X105Y100 CLASS tile TILEPROP VBRK_X105Y100 COLUMN 105 TILEPROP VBRK_X105Y100 DEVICE_ID 0 TILEPROP VBRK_X105Y100 FIRST_SITE_ID 5478 TILEPROP VBRK_X105Y100 GRID_POINT_X 105 TILEPROP VBRK_X105Y100 GRID_POINT_Y 56 TILEPROP VBRK_X105Y100 INDEX 6545 TILEPROP VBRK_X105Y100 INT_TILE_X 41 TILEPROP VBRK_X105Y100 INT_TILE_Y 53 TILEPROP VBRK_X105Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y100 IS_DCM_TILE 0 TILEPROP VBRK_X105Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y100 NAME VBRK_X105Y100 TILEPROP VBRK_X105Y100 NUM_ARCS 0 TILEPROP VBRK_X105Y100 NUM_SITES 0 TILEPROP VBRK_X105Y100 ROW 56 TILEPROP VBRK_X105Y100 SLR_REGION_ID 0 TILEPROP VBRK_X105Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y100 TILE_TYPE VBRK TILEPROP VBRK_X105Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y100 TILE_X 93606 TILEPROP VBRK_X105Y100 TILE_Y 69824 TILEPROP VBRK_X105Y100 TYPE VBRK TILEPROP VBRK_X105Y101 CLASS tile TILEPROP VBRK_X105Y101 COLUMN 105 TILEPROP VBRK_X105Y101 DEVICE_ID 0 TILEPROP VBRK_X105Y101 FIRST_SITE_ID 5378 TILEPROP VBRK_X105Y101 GRID_POINT_X 105 TILEPROP VBRK_X105Y101 GRID_POINT_Y 55 TILEPROP VBRK_X105Y101 INDEX 6430 TILEPROP VBRK_X105Y101 INT_TILE_X 41 TILEPROP VBRK_X105Y101 INT_TILE_Y 52 TILEPROP VBRK_X105Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y101 IS_DCM_TILE 0 TILEPROP VBRK_X105Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y101 NAME VBRK_X105Y101 TILEPROP VBRK_X105Y101 NUM_ARCS 0 TILEPROP VBRK_X105Y101 NUM_SITES 0 TILEPROP VBRK_X105Y101 ROW 55 TILEPROP VBRK_X105Y101 SLR_REGION_ID 0 TILEPROP VBRK_X105Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y101 TILE_TYPE VBRK TILEPROP VBRK_X105Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y101 TILE_X 93606 TILEPROP VBRK_X105Y101 TILE_Y 73024 TILEPROP VBRK_X105Y101 TYPE VBRK TILEPROP VBRK_X105Y102 CLASS tile TILEPROP VBRK_X105Y102 COLUMN 105 TILEPROP VBRK_X105Y102 DEVICE_ID 0 TILEPROP VBRK_X105Y102 FIRST_SITE_ID 5278 TILEPROP VBRK_X105Y102 GRID_POINT_X 105 TILEPROP VBRK_X105Y102 GRID_POINT_Y 54 TILEPROP VBRK_X105Y102 INDEX 6315 TILEPROP VBRK_X105Y102 INT_TILE_X 41 TILEPROP VBRK_X105Y102 INT_TILE_Y 51 TILEPROP VBRK_X105Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y102 IS_DCM_TILE 0 TILEPROP VBRK_X105Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y102 NAME VBRK_X105Y102 TILEPROP VBRK_X105Y102 NUM_ARCS 0 TILEPROP VBRK_X105Y102 NUM_SITES 0 TILEPROP VBRK_X105Y102 ROW 54 TILEPROP VBRK_X105Y102 SLR_REGION_ID 0 TILEPROP VBRK_X105Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y102 TILE_TYPE VBRK TILEPROP VBRK_X105Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y102 TILE_X 93606 TILEPROP VBRK_X105Y102 TILE_Y 76224 TILEPROP VBRK_X105Y102 TYPE VBRK TILEPROP VBRK_X105Y103 CLASS tile TILEPROP VBRK_X105Y103 COLUMN 105 TILEPROP VBRK_X105Y103 DEVICE_ID 0 TILEPROP VBRK_X105Y103 FIRST_SITE_ID 5182 TILEPROP VBRK_X105Y103 GRID_POINT_X 105 TILEPROP VBRK_X105Y103 GRID_POINT_Y 53 TILEPROP VBRK_X105Y103 INDEX 6200 TILEPROP VBRK_X105Y103 INT_TILE_X 41 TILEPROP VBRK_X105Y103 INT_TILE_Y 50 TILEPROP VBRK_X105Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X105Y103 IS_DCM_TILE 0 TILEPROP VBRK_X105Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X105Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X105Y103 NAME VBRK_X105Y103 TILEPROP VBRK_X105Y103 NUM_ARCS 0 TILEPROP VBRK_X105Y103 NUM_SITES 0 TILEPROP VBRK_X105Y103 ROW 53 TILEPROP VBRK_X105Y103 SLR_REGION_ID 0 TILEPROP VBRK_X105Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X105Y103 TILE_TYPE VBRK TILEPROP VBRK_X105Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X105Y103 TILE_X 93606 TILEPROP VBRK_X105Y103 TILE_Y 79424 TILEPROP VBRK_X105Y103 TYPE VBRK TILEPROP VBRK_X18Y1 CLASS tile TILEPROP VBRK_X18Y1 COLUMN 18 TILEPROP VBRK_X18Y1 DEVICE_ID 0 TILEPROP VBRK_X18Y1 FIRST_SITE_ID 15720 TILEPROP VBRK_X18Y1 GRID_POINT_X 18 TILEPROP VBRK_X18Y1 GRID_POINT_Y 155 TILEPROP VBRK_X18Y1 INDEX 17843 TILEPROP VBRK_X18Y1 INT_TILE_X 5 TILEPROP VBRK_X18Y1 INT_TILE_Y 149 TILEPROP VBRK_X18Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y1 IS_DCM_TILE 0 TILEPROP VBRK_X18Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y1 NAME VBRK_X18Y1 TILEPROP VBRK_X18Y1 NUM_ARCS 0 TILEPROP VBRK_X18Y1 NUM_SITES 0 TILEPROP VBRK_X18Y1 ROW 155 TILEPROP VBRK_X18Y1 SLR_REGION_ID 0 TILEPROP VBRK_X18Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y1 TILE_TYPE VBRK TILEPROP VBRK_X18Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y1 TILE_X -70918 TILEPROP VBRK_X18Y1 TILE_Y -239672 TILEPROP VBRK_X18Y1 TYPE VBRK TILEPROP VBRK_X18Y2 CLASS tile TILEPROP VBRK_X18Y2 COLUMN 18 TILEPROP VBRK_X18Y2 DEVICE_ID 0 TILEPROP VBRK_X18Y2 FIRST_SITE_ID 15615 TILEPROP VBRK_X18Y2 GRID_POINT_X 18 TILEPROP VBRK_X18Y2 GRID_POINT_Y 154 TILEPROP VBRK_X18Y2 INDEX 17728 TILEPROP VBRK_X18Y2 INT_TILE_X 5 TILEPROP VBRK_X18Y2 INT_TILE_Y 148 TILEPROP VBRK_X18Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y2 IS_DCM_TILE 0 TILEPROP VBRK_X18Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y2 NAME VBRK_X18Y2 TILEPROP VBRK_X18Y2 NUM_ARCS 0 TILEPROP VBRK_X18Y2 NUM_SITES 0 TILEPROP VBRK_X18Y2 ROW 154 TILEPROP VBRK_X18Y2 SLR_REGION_ID 0 TILEPROP VBRK_X18Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y2 TILE_TYPE VBRK TILEPROP VBRK_X18Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y2 TILE_X -70918 TILEPROP VBRK_X18Y2 TILE_Y -236472 TILEPROP VBRK_X18Y2 TYPE VBRK TILEPROP VBRK_X18Y3 CLASS tile TILEPROP VBRK_X18Y3 COLUMN 18 TILEPROP VBRK_X18Y3 DEVICE_ID 0 TILEPROP VBRK_X18Y3 FIRST_SITE_ID 15515 TILEPROP VBRK_X18Y3 GRID_POINT_X 18 TILEPROP VBRK_X18Y3 GRID_POINT_Y 153 TILEPROP VBRK_X18Y3 INDEX 17613 TILEPROP VBRK_X18Y3 INT_TILE_X 5 TILEPROP VBRK_X18Y3 INT_TILE_Y 147 TILEPROP VBRK_X18Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y3 IS_DCM_TILE 0 TILEPROP VBRK_X18Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y3 NAME VBRK_X18Y3 TILEPROP VBRK_X18Y3 NUM_ARCS 0 TILEPROP VBRK_X18Y3 NUM_SITES 0 TILEPROP VBRK_X18Y3 ROW 153 TILEPROP VBRK_X18Y3 SLR_REGION_ID 0 TILEPROP VBRK_X18Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y3 TILE_TYPE VBRK TILEPROP VBRK_X18Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y3 TILE_X -70918 TILEPROP VBRK_X18Y3 TILE_Y -233272 TILEPROP VBRK_X18Y3 TYPE VBRK TILEPROP VBRK_X18Y4 CLASS tile TILEPROP VBRK_X18Y4 COLUMN 18 TILEPROP VBRK_X18Y4 DEVICE_ID 0 TILEPROP VBRK_X18Y4 FIRST_SITE_ID 15415 TILEPROP VBRK_X18Y4 GRID_POINT_X 18 TILEPROP VBRK_X18Y4 GRID_POINT_Y 152 TILEPROP VBRK_X18Y4 INDEX 17498 TILEPROP VBRK_X18Y4 INT_TILE_X 5 TILEPROP VBRK_X18Y4 INT_TILE_Y 146 TILEPROP VBRK_X18Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y4 IS_DCM_TILE 0 TILEPROP VBRK_X18Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y4 NAME VBRK_X18Y4 TILEPROP VBRK_X18Y4 NUM_ARCS 0 TILEPROP VBRK_X18Y4 NUM_SITES 0 TILEPROP VBRK_X18Y4 ROW 152 TILEPROP VBRK_X18Y4 SLR_REGION_ID 0 TILEPROP VBRK_X18Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y4 TILE_TYPE VBRK TILEPROP VBRK_X18Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y4 TILE_X -70918 TILEPROP VBRK_X18Y4 TILE_Y -230072 TILEPROP VBRK_X18Y4 TYPE VBRK TILEPROP VBRK_X18Y5 CLASS tile TILEPROP VBRK_X18Y5 COLUMN 18 TILEPROP VBRK_X18Y5 DEVICE_ID 0 TILEPROP VBRK_X18Y5 FIRST_SITE_ID 15315 TILEPROP VBRK_X18Y5 GRID_POINT_X 18 TILEPROP VBRK_X18Y5 GRID_POINT_Y 151 TILEPROP VBRK_X18Y5 INDEX 17383 TILEPROP VBRK_X18Y5 INT_TILE_X 5 TILEPROP VBRK_X18Y5 INT_TILE_Y 145 TILEPROP VBRK_X18Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y5 IS_DCM_TILE 0 TILEPROP VBRK_X18Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y5 NAME VBRK_X18Y5 TILEPROP VBRK_X18Y5 NUM_ARCS 0 TILEPROP VBRK_X18Y5 NUM_SITES 0 TILEPROP VBRK_X18Y5 ROW 151 TILEPROP VBRK_X18Y5 SLR_REGION_ID 0 TILEPROP VBRK_X18Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y5 TILE_TYPE VBRK TILEPROP VBRK_X18Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y5 TILE_X -70918 TILEPROP VBRK_X18Y5 TILE_Y -226872 TILEPROP VBRK_X18Y5 TYPE VBRK TILEPROP VBRK_X18Y6 CLASS tile TILEPROP VBRK_X18Y6 COLUMN 18 TILEPROP VBRK_X18Y6 DEVICE_ID 0 TILEPROP VBRK_X18Y6 FIRST_SITE_ID 15200 TILEPROP VBRK_X18Y6 GRID_POINT_X 18 TILEPROP VBRK_X18Y6 GRID_POINT_Y 150 TILEPROP VBRK_X18Y6 INDEX 17268 TILEPROP VBRK_X18Y6 INT_TILE_X 5 TILEPROP VBRK_X18Y6 INT_TILE_Y 144 TILEPROP VBRK_X18Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y6 IS_DCM_TILE 0 TILEPROP VBRK_X18Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y6 NAME VBRK_X18Y6 TILEPROP VBRK_X18Y6 NUM_ARCS 0 TILEPROP VBRK_X18Y6 NUM_SITES 0 TILEPROP VBRK_X18Y6 ROW 150 TILEPROP VBRK_X18Y6 SLR_REGION_ID 0 TILEPROP VBRK_X18Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y6 TILE_TYPE VBRK TILEPROP VBRK_X18Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y6 TILE_X -70918 TILEPROP VBRK_X18Y6 TILE_Y -223672 TILEPROP VBRK_X18Y6 TYPE VBRK TILEPROP VBRK_X18Y7 CLASS tile TILEPROP VBRK_X18Y7 COLUMN 18 TILEPROP VBRK_X18Y7 DEVICE_ID 0 TILEPROP VBRK_X18Y7 FIRST_SITE_ID 15100 TILEPROP VBRK_X18Y7 GRID_POINT_X 18 TILEPROP VBRK_X18Y7 GRID_POINT_Y 149 TILEPROP VBRK_X18Y7 INDEX 17153 TILEPROP VBRK_X18Y7 INT_TILE_X 5 TILEPROP VBRK_X18Y7 INT_TILE_Y 143 TILEPROP VBRK_X18Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y7 IS_DCM_TILE 0 TILEPROP VBRK_X18Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y7 NAME VBRK_X18Y7 TILEPROP VBRK_X18Y7 NUM_ARCS 0 TILEPROP VBRK_X18Y7 NUM_SITES 0 TILEPROP VBRK_X18Y7 ROW 149 TILEPROP VBRK_X18Y7 SLR_REGION_ID 0 TILEPROP VBRK_X18Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y7 TILE_TYPE VBRK TILEPROP VBRK_X18Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y7 TILE_X -70918 TILEPROP VBRK_X18Y7 TILE_Y -220472 TILEPROP VBRK_X18Y7 TYPE VBRK TILEPROP VBRK_X18Y8 CLASS tile TILEPROP VBRK_X18Y8 COLUMN 18 TILEPROP VBRK_X18Y8 DEVICE_ID 0 TILEPROP VBRK_X18Y8 FIRST_SITE_ID 14998 TILEPROP VBRK_X18Y8 GRID_POINT_X 18 TILEPROP VBRK_X18Y8 GRID_POINT_Y 148 TILEPROP VBRK_X18Y8 INDEX 17038 TILEPROP VBRK_X18Y8 INT_TILE_X 5 TILEPROP VBRK_X18Y8 INT_TILE_Y 142 TILEPROP VBRK_X18Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y8 IS_DCM_TILE 0 TILEPROP VBRK_X18Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y8 NAME VBRK_X18Y8 TILEPROP VBRK_X18Y8 NUM_ARCS 0 TILEPROP VBRK_X18Y8 NUM_SITES 0 TILEPROP VBRK_X18Y8 ROW 148 TILEPROP VBRK_X18Y8 SLR_REGION_ID 0 TILEPROP VBRK_X18Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y8 TILE_TYPE VBRK TILEPROP VBRK_X18Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y8 TILE_X -70918 TILEPROP VBRK_X18Y8 TILE_Y -217272 TILEPROP VBRK_X18Y8 TYPE VBRK TILEPROP VBRK_X18Y9 CLASS tile TILEPROP VBRK_X18Y9 COLUMN 18 TILEPROP VBRK_X18Y9 DEVICE_ID 0 TILEPROP VBRK_X18Y9 FIRST_SITE_ID 14895 TILEPROP VBRK_X18Y9 GRID_POINT_X 18 TILEPROP VBRK_X18Y9 GRID_POINT_Y 147 TILEPROP VBRK_X18Y9 INDEX 16923 TILEPROP VBRK_X18Y9 INT_TILE_X 5 TILEPROP VBRK_X18Y9 INT_TILE_Y 141 TILEPROP VBRK_X18Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y9 IS_DCM_TILE 0 TILEPROP VBRK_X18Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y9 NAME VBRK_X18Y9 TILEPROP VBRK_X18Y9 NUM_ARCS 0 TILEPROP VBRK_X18Y9 NUM_SITES 0 TILEPROP VBRK_X18Y9 ROW 147 TILEPROP VBRK_X18Y9 SLR_REGION_ID 0 TILEPROP VBRK_X18Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y9 TILE_TYPE VBRK TILEPROP VBRK_X18Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y9 TILE_X -70918 TILEPROP VBRK_X18Y9 TILE_Y -214072 TILEPROP VBRK_X18Y9 TYPE VBRK TILEPROP VBRK_X18Y10 CLASS tile TILEPROP VBRK_X18Y10 COLUMN 18 TILEPROP VBRK_X18Y10 DEVICE_ID 0 TILEPROP VBRK_X18Y10 FIRST_SITE_ID 14794 TILEPROP VBRK_X18Y10 GRID_POINT_X 18 TILEPROP VBRK_X18Y10 GRID_POINT_Y 146 TILEPROP VBRK_X18Y10 INDEX 16808 TILEPROP VBRK_X18Y10 INT_TILE_X 5 TILEPROP VBRK_X18Y10 INT_TILE_Y 140 TILEPROP VBRK_X18Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y10 IS_DCM_TILE 0 TILEPROP VBRK_X18Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y10 NAME VBRK_X18Y10 TILEPROP VBRK_X18Y10 NUM_ARCS 0 TILEPROP VBRK_X18Y10 NUM_SITES 0 TILEPROP VBRK_X18Y10 ROW 146 TILEPROP VBRK_X18Y10 SLR_REGION_ID 0 TILEPROP VBRK_X18Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y10 TILE_TYPE VBRK TILEPROP VBRK_X18Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y10 TILE_X -70918 TILEPROP VBRK_X18Y10 TILE_Y -210872 TILEPROP VBRK_X18Y10 TYPE VBRK TILEPROP VBRK_X18Y11 CLASS tile TILEPROP VBRK_X18Y11 COLUMN 18 TILEPROP VBRK_X18Y11 DEVICE_ID 0 TILEPROP VBRK_X18Y11 FIRST_SITE_ID 14679 TILEPROP VBRK_X18Y11 GRID_POINT_X 18 TILEPROP VBRK_X18Y11 GRID_POINT_Y 145 TILEPROP VBRK_X18Y11 INDEX 16693 TILEPROP VBRK_X18Y11 INT_TILE_X 5 TILEPROP VBRK_X18Y11 INT_TILE_Y 139 TILEPROP VBRK_X18Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y11 IS_DCM_TILE 0 TILEPROP VBRK_X18Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y11 NAME VBRK_X18Y11 TILEPROP VBRK_X18Y11 NUM_ARCS 0 TILEPROP VBRK_X18Y11 NUM_SITES 0 TILEPROP VBRK_X18Y11 ROW 145 TILEPROP VBRK_X18Y11 SLR_REGION_ID 0 TILEPROP VBRK_X18Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y11 TILE_TYPE VBRK TILEPROP VBRK_X18Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y11 TILE_X -70918 TILEPROP VBRK_X18Y11 TILE_Y -207672 TILEPROP VBRK_X18Y11 TYPE VBRK TILEPROP VBRK_X18Y12 CLASS tile TILEPROP VBRK_X18Y12 COLUMN 18 TILEPROP VBRK_X18Y12 DEVICE_ID 0 TILEPROP VBRK_X18Y12 FIRST_SITE_ID 14579 TILEPROP VBRK_X18Y12 GRID_POINT_X 18 TILEPROP VBRK_X18Y12 GRID_POINT_Y 144 TILEPROP VBRK_X18Y12 INDEX 16578 TILEPROP VBRK_X18Y12 INT_TILE_X 5 TILEPROP VBRK_X18Y12 INT_TILE_Y 138 TILEPROP VBRK_X18Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y12 IS_DCM_TILE 0 TILEPROP VBRK_X18Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y12 NAME VBRK_X18Y12 TILEPROP VBRK_X18Y12 NUM_ARCS 0 TILEPROP VBRK_X18Y12 NUM_SITES 0 TILEPROP VBRK_X18Y12 ROW 144 TILEPROP VBRK_X18Y12 SLR_REGION_ID 0 TILEPROP VBRK_X18Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y12 TILE_TYPE VBRK TILEPROP VBRK_X18Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y12 TILE_X -70918 TILEPROP VBRK_X18Y12 TILE_Y -204472 TILEPROP VBRK_X18Y12 TYPE VBRK TILEPROP VBRK_X18Y13 CLASS tile TILEPROP VBRK_X18Y13 COLUMN 18 TILEPROP VBRK_X18Y13 DEVICE_ID 0 TILEPROP VBRK_X18Y13 FIRST_SITE_ID 14447 TILEPROP VBRK_X18Y13 GRID_POINT_X 18 TILEPROP VBRK_X18Y13 GRID_POINT_Y 143 TILEPROP VBRK_X18Y13 INDEX 16463 TILEPROP VBRK_X18Y13 INT_TILE_X 5 TILEPROP VBRK_X18Y13 INT_TILE_Y 137 TILEPROP VBRK_X18Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y13 IS_DCM_TILE 0 TILEPROP VBRK_X18Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y13 NAME VBRK_X18Y13 TILEPROP VBRK_X18Y13 NUM_ARCS 0 TILEPROP VBRK_X18Y13 NUM_SITES 0 TILEPROP VBRK_X18Y13 ROW 143 TILEPROP VBRK_X18Y13 SLR_REGION_ID 0 TILEPROP VBRK_X18Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y13 TILE_TYPE VBRK TILEPROP VBRK_X18Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y13 TILE_X -70918 TILEPROP VBRK_X18Y13 TILE_Y -201272 TILEPROP VBRK_X18Y13 TYPE VBRK TILEPROP VBRK_X18Y14 CLASS tile TILEPROP VBRK_X18Y14 COLUMN 18 TILEPROP VBRK_X18Y14 DEVICE_ID 0 TILEPROP VBRK_X18Y14 FIRST_SITE_ID 14347 TILEPROP VBRK_X18Y14 GRID_POINT_X 18 TILEPROP VBRK_X18Y14 GRID_POINT_Y 142 TILEPROP VBRK_X18Y14 INDEX 16348 TILEPROP VBRK_X18Y14 INT_TILE_X 5 TILEPROP VBRK_X18Y14 INT_TILE_Y 136 TILEPROP VBRK_X18Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y14 IS_DCM_TILE 0 TILEPROP VBRK_X18Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y14 NAME VBRK_X18Y14 TILEPROP VBRK_X18Y14 NUM_ARCS 0 TILEPROP VBRK_X18Y14 NUM_SITES 0 TILEPROP VBRK_X18Y14 ROW 142 TILEPROP VBRK_X18Y14 SLR_REGION_ID 0 TILEPROP VBRK_X18Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y14 TILE_TYPE VBRK TILEPROP VBRK_X18Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y14 TILE_X -70918 TILEPROP VBRK_X18Y14 TILE_Y -198072 TILEPROP VBRK_X18Y14 TYPE VBRK TILEPROP VBRK_X18Y15 CLASS tile TILEPROP VBRK_X18Y15 COLUMN 18 TILEPROP VBRK_X18Y15 DEVICE_ID 0 TILEPROP VBRK_X18Y15 FIRST_SITE_ID 14247 TILEPROP VBRK_X18Y15 GRID_POINT_X 18 TILEPROP VBRK_X18Y15 GRID_POINT_Y 141 TILEPROP VBRK_X18Y15 INDEX 16233 TILEPROP VBRK_X18Y15 INT_TILE_X 5 TILEPROP VBRK_X18Y15 INT_TILE_Y 135 TILEPROP VBRK_X18Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y15 IS_DCM_TILE 0 TILEPROP VBRK_X18Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y15 NAME VBRK_X18Y15 TILEPROP VBRK_X18Y15 NUM_ARCS 0 TILEPROP VBRK_X18Y15 NUM_SITES 0 TILEPROP VBRK_X18Y15 ROW 141 TILEPROP VBRK_X18Y15 SLR_REGION_ID 0 TILEPROP VBRK_X18Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y15 TILE_TYPE VBRK TILEPROP VBRK_X18Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y15 TILE_X -70918 TILEPROP VBRK_X18Y15 TILE_Y -194872 TILEPROP VBRK_X18Y15 TYPE VBRK TILEPROP VBRK_X18Y16 CLASS tile TILEPROP VBRK_X18Y16 COLUMN 18 TILEPROP VBRK_X18Y16 DEVICE_ID 0 TILEPROP VBRK_X18Y16 FIRST_SITE_ID 14132 TILEPROP VBRK_X18Y16 GRID_POINT_X 18 TILEPROP VBRK_X18Y16 GRID_POINT_Y 140 TILEPROP VBRK_X18Y16 INDEX 16118 TILEPROP VBRK_X18Y16 INT_TILE_X 5 TILEPROP VBRK_X18Y16 INT_TILE_Y 134 TILEPROP VBRK_X18Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y16 IS_DCM_TILE 0 TILEPROP VBRK_X18Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y16 NAME VBRK_X18Y16 TILEPROP VBRK_X18Y16 NUM_ARCS 0 TILEPROP VBRK_X18Y16 NUM_SITES 0 TILEPROP VBRK_X18Y16 ROW 140 TILEPROP VBRK_X18Y16 SLR_REGION_ID 0 TILEPROP VBRK_X18Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y16 TILE_TYPE VBRK TILEPROP VBRK_X18Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y16 TILE_X -70918 TILEPROP VBRK_X18Y16 TILE_Y -191672 TILEPROP VBRK_X18Y16 TYPE VBRK TILEPROP VBRK_X18Y17 CLASS tile TILEPROP VBRK_X18Y17 COLUMN 18 TILEPROP VBRK_X18Y17 DEVICE_ID 0 TILEPROP VBRK_X18Y17 FIRST_SITE_ID 14032 TILEPROP VBRK_X18Y17 GRID_POINT_X 18 TILEPROP VBRK_X18Y17 GRID_POINT_Y 139 TILEPROP VBRK_X18Y17 INDEX 16003 TILEPROP VBRK_X18Y17 INT_TILE_X 5 TILEPROP VBRK_X18Y17 INT_TILE_Y 133 TILEPROP VBRK_X18Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y17 IS_DCM_TILE 0 TILEPROP VBRK_X18Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y17 NAME VBRK_X18Y17 TILEPROP VBRK_X18Y17 NUM_ARCS 0 TILEPROP VBRK_X18Y17 NUM_SITES 0 TILEPROP VBRK_X18Y17 ROW 139 TILEPROP VBRK_X18Y17 SLR_REGION_ID 0 TILEPROP VBRK_X18Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y17 TILE_TYPE VBRK TILEPROP VBRK_X18Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y17 TILE_X -70918 TILEPROP VBRK_X18Y17 TILE_Y -188472 TILEPROP VBRK_X18Y17 TYPE VBRK TILEPROP VBRK_X18Y18 CLASS tile TILEPROP VBRK_X18Y18 COLUMN 18 TILEPROP VBRK_X18Y18 DEVICE_ID 0 TILEPROP VBRK_X18Y18 FIRST_SITE_ID 13927 TILEPROP VBRK_X18Y18 GRID_POINT_X 18 TILEPROP VBRK_X18Y18 GRID_POINT_Y 138 TILEPROP VBRK_X18Y18 INDEX 15888 TILEPROP VBRK_X18Y18 INT_TILE_X 5 TILEPROP VBRK_X18Y18 INT_TILE_Y 132 TILEPROP VBRK_X18Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y18 IS_DCM_TILE 0 TILEPROP VBRK_X18Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y18 NAME VBRK_X18Y18 TILEPROP VBRK_X18Y18 NUM_ARCS 0 TILEPROP VBRK_X18Y18 NUM_SITES 0 TILEPROP VBRK_X18Y18 ROW 138 TILEPROP VBRK_X18Y18 SLR_REGION_ID 0 TILEPROP VBRK_X18Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y18 TILE_TYPE VBRK TILEPROP VBRK_X18Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y18 TILE_X -70918 TILEPROP VBRK_X18Y18 TILE_Y -185272 TILEPROP VBRK_X18Y18 TYPE VBRK TILEPROP VBRK_X18Y19 CLASS tile TILEPROP VBRK_X18Y19 COLUMN 18 TILEPROP VBRK_X18Y19 DEVICE_ID 0 TILEPROP VBRK_X18Y19 FIRST_SITE_ID 13823 TILEPROP VBRK_X18Y19 GRID_POINT_X 18 TILEPROP VBRK_X18Y19 GRID_POINT_Y 137 TILEPROP VBRK_X18Y19 INDEX 15773 TILEPROP VBRK_X18Y19 INT_TILE_X 5 TILEPROP VBRK_X18Y19 INT_TILE_Y 131 TILEPROP VBRK_X18Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y19 IS_DCM_TILE 0 TILEPROP VBRK_X18Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y19 NAME VBRK_X18Y19 TILEPROP VBRK_X18Y19 NUM_ARCS 0 TILEPROP VBRK_X18Y19 NUM_SITES 0 TILEPROP VBRK_X18Y19 ROW 137 TILEPROP VBRK_X18Y19 SLR_REGION_ID 0 TILEPROP VBRK_X18Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y19 TILE_TYPE VBRK TILEPROP VBRK_X18Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y19 TILE_X -70918 TILEPROP VBRK_X18Y19 TILE_Y -182072 TILEPROP VBRK_X18Y19 TYPE VBRK TILEPROP VBRK_X18Y20 CLASS tile TILEPROP VBRK_X18Y20 COLUMN 18 TILEPROP VBRK_X18Y20 DEVICE_ID 0 TILEPROP VBRK_X18Y20 FIRST_SITE_ID 13721 TILEPROP VBRK_X18Y20 GRID_POINT_X 18 TILEPROP VBRK_X18Y20 GRID_POINT_Y 136 TILEPROP VBRK_X18Y20 INDEX 15658 TILEPROP VBRK_X18Y20 INT_TILE_X 5 TILEPROP VBRK_X18Y20 INT_TILE_Y 130 TILEPROP VBRK_X18Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y20 IS_DCM_TILE 0 TILEPROP VBRK_X18Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y20 NAME VBRK_X18Y20 TILEPROP VBRK_X18Y20 NUM_ARCS 0 TILEPROP VBRK_X18Y20 NUM_SITES 0 TILEPROP VBRK_X18Y20 ROW 136 TILEPROP VBRK_X18Y20 SLR_REGION_ID 0 TILEPROP VBRK_X18Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y20 TILE_TYPE VBRK TILEPROP VBRK_X18Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y20 TILE_X -70918 TILEPROP VBRK_X18Y20 TILE_Y -178872 TILEPROP VBRK_X18Y20 TYPE VBRK TILEPROP VBRK_X18Y21 CLASS tile TILEPROP VBRK_X18Y21 COLUMN 18 TILEPROP VBRK_X18Y21 DEVICE_ID 0 TILEPROP VBRK_X18Y21 FIRST_SITE_ID 13604 TILEPROP VBRK_X18Y21 GRID_POINT_X 18 TILEPROP VBRK_X18Y21 GRID_POINT_Y 135 TILEPROP VBRK_X18Y21 INDEX 15543 TILEPROP VBRK_X18Y21 INT_TILE_X 5 TILEPROP VBRK_X18Y21 INT_TILE_Y 129 TILEPROP VBRK_X18Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y21 IS_DCM_TILE 0 TILEPROP VBRK_X18Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y21 NAME VBRK_X18Y21 TILEPROP VBRK_X18Y21 NUM_ARCS 0 TILEPROP VBRK_X18Y21 NUM_SITES 0 TILEPROP VBRK_X18Y21 ROW 135 TILEPROP VBRK_X18Y21 SLR_REGION_ID 0 TILEPROP VBRK_X18Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y21 TILE_TYPE VBRK TILEPROP VBRK_X18Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y21 TILE_X -70918 TILEPROP VBRK_X18Y21 TILE_Y -175672 TILEPROP VBRK_X18Y21 TYPE VBRK TILEPROP VBRK_X18Y22 CLASS tile TILEPROP VBRK_X18Y22 COLUMN 18 TILEPROP VBRK_X18Y22 DEVICE_ID 0 TILEPROP VBRK_X18Y22 FIRST_SITE_ID 13504 TILEPROP VBRK_X18Y22 GRID_POINT_X 18 TILEPROP VBRK_X18Y22 GRID_POINT_Y 134 TILEPROP VBRK_X18Y22 INDEX 15428 TILEPROP VBRK_X18Y22 INT_TILE_X 5 TILEPROP VBRK_X18Y22 INT_TILE_Y 128 TILEPROP VBRK_X18Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y22 IS_DCM_TILE 0 TILEPROP VBRK_X18Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y22 NAME VBRK_X18Y22 TILEPROP VBRK_X18Y22 NUM_ARCS 0 TILEPROP VBRK_X18Y22 NUM_SITES 0 TILEPROP VBRK_X18Y22 ROW 134 TILEPROP VBRK_X18Y22 SLR_REGION_ID 0 TILEPROP VBRK_X18Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y22 TILE_TYPE VBRK TILEPROP VBRK_X18Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y22 TILE_X -70918 TILEPROP VBRK_X18Y22 TILE_Y -172472 TILEPROP VBRK_X18Y22 TYPE VBRK TILEPROP VBRK_X18Y23 CLASS tile TILEPROP VBRK_X18Y23 COLUMN 18 TILEPROP VBRK_X18Y23 DEVICE_ID 0 TILEPROP VBRK_X18Y23 FIRST_SITE_ID 13404 TILEPROP VBRK_X18Y23 GRID_POINT_X 18 TILEPROP VBRK_X18Y23 GRID_POINT_Y 133 TILEPROP VBRK_X18Y23 INDEX 15313 TILEPROP VBRK_X18Y23 INT_TILE_X 5 TILEPROP VBRK_X18Y23 INT_TILE_Y 127 TILEPROP VBRK_X18Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y23 IS_DCM_TILE 0 TILEPROP VBRK_X18Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y23 NAME VBRK_X18Y23 TILEPROP VBRK_X18Y23 NUM_ARCS 0 TILEPROP VBRK_X18Y23 NUM_SITES 0 TILEPROP VBRK_X18Y23 ROW 133 TILEPROP VBRK_X18Y23 SLR_REGION_ID 0 TILEPROP VBRK_X18Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y23 TILE_TYPE VBRK TILEPROP VBRK_X18Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y23 TILE_X -70918 TILEPROP VBRK_X18Y23 TILE_Y -169272 TILEPROP VBRK_X18Y23 TYPE VBRK TILEPROP VBRK_X18Y24 CLASS tile TILEPROP VBRK_X18Y24 COLUMN 18 TILEPROP VBRK_X18Y24 DEVICE_ID 0 TILEPROP VBRK_X18Y24 FIRST_SITE_ID 13304 TILEPROP VBRK_X18Y24 GRID_POINT_X 18 TILEPROP VBRK_X18Y24 GRID_POINT_Y 132 TILEPROP VBRK_X18Y24 INDEX 15198 TILEPROP VBRK_X18Y24 INT_TILE_X 5 TILEPROP VBRK_X18Y24 INT_TILE_Y 126 TILEPROP VBRK_X18Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y24 IS_DCM_TILE 0 TILEPROP VBRK_X18Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y24 NAME VBRK_X18Y24 TILEPROP VBRK_X18Y24 NUM_ARCS 0 TILEPROP VBRK_X18Y24 NUM_SITES 0 TILEPROP VBRK_X18Y24 ROW 132 TILEPROP VBRK_X18Y24 SLR_REGION_ID 0 TILEPROP VBRK_X18Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y24 TILE_TYPE VBRK TILEPROP VBRK_X18Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y24 TILE_X -70918 TILEPROP VBRK_X18Y24 TILE_Y -166072 TILEPROP VBRK_X18Y24 TYPE VBRK TILEPROP VBRK_X18Y25 CLASS tile TILEPROP VBRK_X18Y25 COLUMN 18 TILEPROP VBRK_X18Y25 DEVICE_ID 0 TILEPROP VBRK_X18Y25 FIRST_SITE_ID 13204 TILEPROP VBRK_X18Y25 GRID_POINT_X 18 TILEPROP VBRK_X18Y25 GRID_POINT_Y 131 TILEPROP VBRK_X18Y25 INDEX 15083 TILEPROP VBRK_X18Y25 INT_TILE_X 5 TILEPROP VBRK_X18Y25 INT_TILE_Y 125 TILEPROP VBRK_X18Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y25 IS_DCM_TILE 0 TILEPROP VBRK_X18Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y25 NAME VBRK_X18Y25 TILEPROP VBRK_X18Y25 NUM_ARCS 0 TILEPROP VBRK_X18Y25 NUM_SITES 0 TILEPROP VBRK_X18Y25 ROW 131 TILEPROP VBRK_X18Y25 SLR_REGION_ID 0 TILEPROP VBRK_X18Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y25 TILE_TYPE VBRK TILEPROP VBRK_X18Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y25 TILE_X -70918 TILEPROP VBRK_X18Y25 TILE_Y -162872 TILEPROP VBRK_X18Y25 TYPE VBRK TILEPROP VBRK_X18Y27 CLASS tile TILEPROP VBRK_X18Y27 COLUMN 18 TILEPROP VBRK_X18Y27 DEVICE_ID 0 TILEPROP VBRK_X18Y27 FIRST_SITE_ID 13006 TILEPROP VBRK_X18Y27 GRID_POINT_X 18 TILEPROP VBRK_X18Y27 GRID_POINT_Y 129 TILEPROP VBRK_X18Y27 INDEX 14853 TILEPROP VBRK_X18Y27 INT_TILE_X 5 TILEPROP VBRK_X18Y27 INT_TILE_Y 124 TILEPROP VBRK_X18Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y27 IS_DCM_TILE 0 TILEPROP VBRK_X18Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y27 NAME VBRK_X18Y27 TILEPROP VBRK_X18Y27 NUM_ARCS 0 TILEPROP VBRK_X18Y27 NUM_SITES 0 TILEPROP VBRK_X18Y27 ROW 129 TILEPROP VBRK_X18Y27 SLR_REGION_ID 0 TILEPROP VBRK_X18Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y27 TILE_TYPE VBRK TILEPROP VBRK_X18Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y27 TILE_X -70918 TILEPROP VBRK_X18Y27 TILE_Y -158648 TILEPROP VBRK_X18Y27 TYPE VBRK TILEPROP VBRK_X18Y28 CLASS tile TILEPROP VBRK_X18Y28 COLUMN 18 TILEPROP VBRK_X18Y28 DEVICE_ID 0 TILEPROP VBRK_X18Y28 FIRST_SITE_ID 12906 TILEPROP VBRK_X18Y28 GRID_POINT_X 18 TILEPROP VBRK_X18Y28 GRID_POINT_Y 128 TILEPROP VBRK_X18Y28 INDEX 14738 TILEPROP VBRK_X18Y28 INT_TILE_X 5 TILEPROP VBRK_X18Y28 INT_TILE_Y 123 TILEPROP VBRK_X18Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y28 IS_DCM_TILE 0 TILEPROP VBRK_X18Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y28 NAME VBRK_X18Y28 TILEPROP VBRK_X18Y28 NUM_ARCS 0 TILEPROP VBRK_X18Y28 NUM_SITES 0 TILEPROP VBRK_X18Y28 ROW 128 TILEPROP VBRK_X18Y28 SLR_REGION_ID 0 TILEPROP VBRK_X18Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y28 TILE_TYPE VBRK TILEPROP VBRK_X18Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y28 TILE_X -70918 TILEPROP VBRK_X18Y28 TILE_Y -155448 TILEPROP VBRK_X18Y28 TYPE VBRK TILEPROP VBRK_X18Y29 CLASS tile TILEPROP VBRK_X18Y29 COLUMN 18 TILEPROP VBRK_X18Y29 DEVICE_ID 0 TILEPROP VBRK_X18Y29 FIRST_SITE_ID 12806 TILEPROP VBRK_X18Y29 GRID_POINT_X 18 TILEPROP VBRK_X18Y29 GRID_POINT_Y 127 TILEPROP VBRK_X18Y29 INDEX 14623 TILEPROP VBRK_X18Y29 INT_TILE_X 5 TILEPROP VBRK_X18Y29 INT_TILE_Y 122 TILEPROP VBRK_X18Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y29 IS_DCM_TILE 0 TILEPROP VBRK_X18Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y29 NAME VBRK_X18Y29 TILEPROP VBRK_X18Y29 NUM_ARCS 0 TILEPROP VBRK_X18Y29 NUM_SITES 0 TILEPROP VBRK_X18Y29 ROW 127 TILEPROP VBRK_X18Y29 SLR_REGION_ID 0 TILEPROP VBRK_X18Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y29 TILE_TYPE VBRK TILEPROP VBRK_X18Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y29 TILE_X -70918 TILEPROP VBRK_X18Y29 TILE_Y -152248 TILEPROP VBRK_X18Y29 TYPE VBRK TILEPROP VBRK_X18Y30 CLASS tile TILEPROP VBRK_X18Y30 COLUMN 18 TILEPROP VBRK_X18Y30 DEVICE_ID 0 TILEPROP VBRK_X18Y30 FIRST_SITE_ID 12706 TILEPROP VBRK_X18Y30 GRID_POINT_X 18 TILEPROP VBRK_X18Y30 GRID_POINT_Y 126 TILEPROP VBRK_X18Y30 INDEX 14508 TILEPROP VBRK_X18Y30 INT_TILE_X 5 TILEPROP VBRK_X18Y30 INT_TILE_Y 121 TILEPROP VBRK_X18Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y30 IS_DCM_TILE 0 TILEPROP VBRK_X18Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y30 NAME VBRK_X18Y30 TILEPROP VBRK_X18Y30 NUM_ARCS 0 TILEPROP VBRK_X18Y30 NUM_SITES 0 TILEPROP VBRK_X18Y30 ROW 126 TILEPROP VBRK_X18Y30 SLR_REGION_ID 0 TILEPROP VBRK_X18Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y30 TILE_TYPE VBRK TILEPROP VBRK_X18Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y30 TILE_X -70918 TILEPROP VBRK_X18Y30 TILE_Y -149048 TILEPROP VBRK_X18Y30 TYPE VBRK TILEPROP VBRK_X18Y31 CLASS tile TILEPROP VBRK_X18Y31 COLUMN 18 TILEPROP VBRK_X18Y31 DEVICE_ID 0 TILEPROP VBRK_X18Y31 FIRST_SITE_ID 12600 TILEPROP VBRK_X18Y31 GRID_POINT_X 18 TILEPROP VBRK_X18Y31 GRID_POINT_Y 125 TILEPROP VBRK_X18Y31 INDEX 14393 TILEPROP VBRK_X18Y31 INT_TILE_X 5 TILEPROP VBRK_X18Y31 INT_TILE_Y 120 TILEPROP VBRK_X18Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y31 IS_DCM_TILE 0 TILEPROP VBRK_X18Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y31 NAME VBRK_X18Y31 TILEPROP VBRK_X18Y31 NUM_ARCS 0 TILEPROP VBRK_X18Y31 NUM_SITES 0 TILEPROP VBRK_X18Y31 ROW 125 TILEPROP VBRK_X18Y31 SLR_REGION_ID 0 TILEPROP VBRK_X18Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y31 TILE_TYPE VBRK TILEPROP VBRK_X18Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y31 TILE_X -70918 TILEPROP VBRK_X18Y31 TILE_Y -145848 TILEPROP VBRK_X18Y31 TYPE VBRK TILEPROP VBRK_X18Y32 CLASS tile TILEPROP VBRK_X18Y32 COLUMN 18 TILEPROP VBRK_X18Y32 DEVICE_ID 0 TILEPROP VBRK_X18Y32 FIRST_SITE_ID 12466 TILEPROP VBRK_X18Y32 GRID_POINT_X 18 TILEPROP VBRK_X18Y32 GRID_POINT_Y 124 TILEPROP VBRK_X18Y32 INDEX 14278 TILEPROP VBRK_X18Y32 INT_TILE_X 5 TILEPROP VBRK_X18Y32 INT_TILE_Y 119 TILEPROP VBRK_X18Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y32 IS_DCM_TILE 0 TILEPROP VBRK_X18Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y32 NAME VBRK_X18Y32 TILEPROP VBRK_X18Y32 NUM_ARCS 0 TILEPROP VBRK_X18Y32 NUM_SITES 0 TILEPROP VBRK_X18Y32 ROW 124 TILEPROP VBRK_X18Y32 SLR_REGION_ID 0 TILEPROP VBRK_X18Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y32 TILE_TYPE VBRK TILEPROP VBRK_X18Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y32 TILE_X -70918 TILEPROP VBRK_X18Y32 TILE_Y -142648 TILEPROP VBRK_X18Y32 TYPE VBRK TILEPROP VBRK_X18Y33 CLASS tile TILEPROP VBRK_X18Y33 COLUMN 18 TILEPROP VBRK_X18Y33 DEVICE_ID 0 TILEPROP VBRK_X18Y33 FIRST_SITE_ID 12364 TILEPROP VBRK_X18Y33 GRID_POINT_X 18 TILEPROP VBRK_X18Y33 GRID_POINT_Y 123 TILEPROP VBRK_X18Y33 INDEX 14163 TILEPROP VBRK_X18Y33 INT_TILE_X 5 TILEPROP VBRK_X18Y33 INT_TILE_Y 118 TILEPROP VBRK_X18Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y33 IS_DCM_TILE 0 TILEPROP VBRK_X18Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y33 NAME VBRK_X18Y33 TILEPROP VBRK_X18Y33 NUM_ARCS 0 TILEPROP VBRK_X18Y33 NUM_SITES 0 TILEPROP VBRK_X18Y33 ROW 123 TILEPROP VBRK_X18Y33 SLR_REGION_ID 0 TILEPROP VBRK_X18Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y33 TILE_TYPE VBRK TILEPROP VBRK_X18Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y33 TILE_X -70918 TILEPROP VBRK_X18Y33 TILE_Y -139448 TILEPROP VBRK_X18Y33 TYPE VBRK TILEPROP VBRK_X18Y34 CLASS tile TILEPROP VBRK_X18Y34 COLUMN 18 TILEPROP VBRK_X18Y34 DEVICE_ID 0 TILEPROP VBRK_X18Y34 FIRST_SITE_ID 12261 TILEPROP VBRK_X18Y34 GRID_POINT_X 18 TILEPROP VBRK_X18Y34 GRID_POINT_Y 122 TILEPROP VBRK_X18Y34 INDEX 14048 TILEPROP VBRK_X18Y34 INT_TILE_X 5 TILEPROP VBRK_X18Y34 INT_TILE_Y 117 TILEPROP VBRK_X18Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y34 IS_DCM_TILE 0 TILEPROP VBRK_X18Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y34 NAME VBRK_X18Y34 TILEPROP VBRK_X18Y34 NUM_ARCS 0 TILEPROP VBRK_X18Y34 NUM_SITES 0 TILEPROP VBRK_X18Y34 ROW 122 TILEPROP VBRK_X18Y34 SLR_REGION_ID 0 TILEPROP VBRK_X18Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y34 TILE_TYPE VBRK TILEPROP VBRK_X18Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y34 TILE_X -70918 TILEPROP VBRK_X18Y34 TILE_Y -136248 TILEPROP VBRK_X18Y34 TYPE VBRK TILEPROP VBRK_X18Y35 CLASS tile TILEPROP VBRK_X18Y35 COLUMN 18 TILEPROP VBRK_X18Y35 DEVICE_ID 0 TILEPROP VBRK_X18Y35 FIRST_SITE_ID 12161 TILEPROP VBRK_X18Y35 GRID_POINT_X 18 TILEPROP VBRK_X18Y35 GRID_POINT_Y 121 TILEPROP VBRK_X18Y35 INDEX 13933 TILEPROP VBRK_X18Y35 INT_TILE_X 5 TILEPROP VBRK_X18Y35 INT_TILE_Y 116 TILEPROP VBRK_X18Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y35 IS_DCM_TILE 0 TILEPROP VBRK_X18Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y35 NAME VBRK_X18Y35 TILEPROP VBRK_X18Y35 NUM_ARCS 0 TILEPROP VBRK_X18Y35 NUM_SITES 0 TILEPROP VBRK_X18Y35 ROW 121 TILEPROP VBRK_X18Y35 SLR_REGION_ID 0 TILEPROP VBRK_X18Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y35 TILE_TYPE VBRK TILEPROP VBRK_X18Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y35 TILE_X -70918 TILEPROP VBRK_X18Y35 TILE_Y -133048 TILEPROP VBRK_X18Y35 TYPE VBRK TILEPROP VBRK_X18Y36 CLASS tile TILEPROP VBRK_X18Y36 COLUMN 18 TILEPROP VBRK_X18Y36 DEVICE_ID 0 TILEPROP VBRK_X18Y36 FIRST_SITE_ID 12061 TILEPROP VBRK_X18Y36 GRID_POINT_X 18 TILEPROP VBRK_X18Y36 GRID_POINT_Y 120 TILEPROP VBRK_X18Y36 INDEX 13818 TILEPROP VBRK_X18Y36 INT_TILE_X 5 TILEPROP VBRK_X18Y36 INT_TILE_Y 115 TILEPROP VBRK_X18Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y36 IS_DCM_TILE 0 TILEPROP VBRK_X18Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y36 NAME VBRK_X18Y36 TILEPROP VBRK_X18Y36 NUM_ARCS 0 TILEPROP VBRK_X18Y36 NUM_SITES 0 TILEPROP VBRK_X18Y36 ROW 120 TILEPROP VBRK_X18Y36 SLR_REGION_ID 0 TILEPROP VBRK_X18Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y36 TILE_TYPE VBRK TILEPROP VBRK_X18Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y36 TILE_X -70918 TILEPROP VBRK_X18Y36 TILE_Y -129848 TILEPROP VBRK_X18Y36 TYPE VBRK TILEPROP VBRK_X18Y37 CLASS tile TILEPROP VBRK_X18Y37 COLUMN 18 TILEPROP VBRK_X18Y37 DEVICE_ID 0 TILEPROP VBRK_X18Y37 FIRST_SITE_ID 11946 TILEPROP VBRK_X18Y37 GRID_POINT_X 18 TILEPROP VBRK_X18Y37 GRID_POINT_Y 119 TILEPROP VBRK_X18Y37 INDEX 13703 TILEPROP VBRK_X18Y37 INT_TILE_X 5 TILEPROP VBRK_X18Y37 INT_TILE_Y 114 TILEPROP VBRK_X18Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y37 IS_DCM_TILE 0 TILEPROP VBRK_X18Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y37 NAME VBRK_X18Y37 TILEPROP VBRK_X18Y37 NUM_ARCS 0 TILEPROP VBRK_X18Y37 NUM_SITES 0 TILEPROP VBRK_X18Y37 ROW 119 TILEPROP VBRK_X18Y37 SLR_REGION_ID 0 TILEPROP VBRK_X18Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y37 TILE_TYPE VBRK TILEPROP VBRK_X18Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y37 TILE_X -70918 TILEPROP VBRK_X18Y37 TILE_Y -126648 TILEPROP VBRK_X18Y37 TYPE VBRK TILEPROP VBRK_X18Y38 CLASS tile TILEPROP VBRK_X18Y38 COLUMN 18 TILEPROP VBRK_X18Y38 DEVICE_ID 0 TILEPROP VBRK_X18Y38 FIRST_SITE_ID 11814 TILEPROP VBRK_X18Y38 GRID_POINT_X 18 TILEPROP VBRK_X18Y38 GRID_POINT_Y 118 TILEPROP VBRK_X18Y38 INDEX 13588 TILEPROP VBRK_X18Y38 INT_TILE_X 5 TILEPROP VBRK_X18Y38 INT_TILE_Y 113 TILEPROP VBRK_X18Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y38 IS_DCM_TILE 0 TILEPROP VBRK_X18Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y38 NAME VBRK_X18Y38 TILEPROP VBRK_X18Y38 NUM_ARCS 0 TILEPROP VBRK_X18Y38 NUM_SITES 0 TILEPROP VBRK_X18Y38 ROW 118 TILEPROP VBRK_X18Y38 SLR_REGION_ID 0 TILEPROP VBRK_X18Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y38 TILE_TYPE VBRK TILEPROP VBRK_X18Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y38 TILE_X -70918 TILEPROP VBRK_X18Y38 TILE_Y -123448 TILEPROP VBRK_X18Y38 TYPE VBRK TILEPROP VBRK_X18Y39 CLASS tile TILEPROP VBRK_X18Y39 COLUMN 18 TILEPROP VBRK_X18Y39 DEVICE_ID 0 TILEPROP VBRK_X18Y39 FIRST_SITE_ID 11714 TILEPROP VBRK_X18Y39 GRID_POINT_X 18 TILEPROP VBRK_X18Y39 GRID_POINT_Y 117 TILEPROP VBRK_X18Y39 INDEX 13473 TILEPROP VBRK_X18Y39 INT_TILE_X 5 TILEPROP VBRK_X18Y39 INT_TILE_Y 112 TILEPROP VBRK_X18Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y39 IS_DCM_TILE 0 TILEPROP VBRK_X18Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y39 NAME VBRK_X18Y39 TILEPROP VBRK_X18Y39 NUM_ARCS 0 TILEPROP VBRK_X18Y39 NUM_SITES 0 TILEPROP VBRK_X18Y39 ROW 117 TILEPROP VBRK_X18Y39 SLR_REGION_ID 0 TILEPROP VBRK_X18Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y39 TILE_TYPE VBRK TILEPROP VBRK_X18Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y39 TILE_X -70918 TILEPROP VBRK_X18Y39 TILE_Y -120248 TILEPROP VBRK_X18Y39 TYPE VBRK TILEPROP VBRK_X18Y40 CLASS tile TILEPROP VBRK_X18Y40 COLUMN 18 TILEPROP VBRK_X18Y40 DEVICE_ID 0 TILEPROP VBRK_X18Y40 FIRST_SITE_ID 11614 TILEPROP VBRK_X18Y40 GRID_POINT_X 18 TILEPROP VBRK_X18Y40 GRID_POINT_Y 116 TILEPROP VBRK_X18Y40 INDEX 13358 TILEPROP VBRK_X18Y40 INT_TILE_X 5 TILEPROP VBRK_X18Y40 INT_TILE_Y 111 TILEPROP VBRK_X18Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y40 IS_DCM_TILE 0 TILEPROP VBRK_X18Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y40 NAME VBRK_X18Y40 TILEPROP VBRK_X18Y40 NUM_ARCS 0 TILEPROP VBRK_X18Y40 NUM_SITES 0 TILEPROP VBRK_X18Y40 ROW 116 TILEPROP VBRK_X18Y40 SLR_REGION_ID 0 TILEPROP VBRK_X18Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y40 TILE_TYPE VBRK TILEPROP VBRK_X18Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y40 TILE_X -70918 TILEPROP VBRK_X18Y40 TILE_Y -117048 TILEPROP VBRK_X18Y40 TYPE VBRK TILEPROP VBRK_X18Y41 CLASS tile TILEPROP VBRK_X18Y41 COLUMN 18 TILEPROP VBRK_X18Y41 DEVICE_ID 0 TILEPROP VBRK_X18Y41 FIRST_SITE_ID 11514 TILEPROP VBRK_X18Y41 GRID_POINT_X 18 TILEPROP VBRK_X18Y41 GRID_POINT_Y 115 TILEPROP VBRK_X18Y41 INDEX 13243 TILEPROP VBRK_X18Y41 INT_TILE_X 5 TILEPROP VBRK_X18Y41 INT_TILE_Y 110 TILEPROP VBRK_X18Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y41 IS_DCM_TILE 0 TILEPROP VBRK_X18Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y41 NAME VBRK_X18Y41 TILEPROP VBRK_X18Y41 NUM_ARCS 0 TILEPROP VBRK_X18Y41 NUM_SITES 0 TILEPROP VBRK_X18Y41 ROW 115 TILEPROP VBRK_X18Y41 SLR_REGION_ID 0 TILEPROP VBRK_X18Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y41 TILE_TYPE VBRK TILEPROP VBRK_X18Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y41 TILE_X -70918 TILEPROP VBRK_X18Y41 TILE_Y -113848 TILEPROP VBRK_X18Y41 TYPE VBRK TILEPROP VBRK_X18Y42 CLASS tile TILEPROP VBRK_X18Y42 COLUMN 18 TILEPROP VBRK_X18Y42 DEVICE_ID 0 TILEPROP VBRK_X18Y42 FIRST_SITE_ID 11397 TILEPROP VBRK_X18Y42 GRID_POINT_X 18 TILEPROP VBRK_X18Y42 GRID_POINT_Y 114 TILEPROP VBRK_X18Y42 INDEX 13128 TILEPROP VBRK_X18Y42 INT_TILE_X 5 TILEPROP VBRK_X18Y42 INT_TILE_Y 109 TILEPROP VBRK_X18Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y42 IS_DCM_TILE 0 TILEPROP VBRK_X18Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y42 NAME VBRK_X18Y42 TILEPROP VBRK_X18Y42 NUM_ARCS 0 TILEPROP VBRK_X18Y42 NUM_SITES 0 TILEPROP VBRK_X18Y42 ROW 114 TILEPROP VBRK_X18Y42 SLR_REGION_ID 0 TILEPROP VBRK_X18Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y42 TILE_TYPE VBRK TILEPROP VBRK_X18Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y42 TILE_X -70918 TILEPROP VBRK_X18Y42 TILE_Y -110648 TILEPROP VBRK_X18Y42 TYPE VBRK TILEPROP VBRK_X18Y43 CLASS tile TILEPROP VBRK_X18Y43 COLUMN 18 TILEPROP VBRK_X18Y43 DEVICE_ID 0 TILEPROP VBRK_X18Y43 FIRST_SITE_ID 11296 TILEPROP VBRK_X18Y43 GRID_POINT_X 18 TILEPROP VBRK_X18Y43 GRID_POINT_Y 113 TILEPROP VBRK_X18Y43 INDEX 13013 TILEPROP VBRK_X18Y43 INT_TILE_X 5 TILEPROP VBRK_X18Y43 INT_TILE_Y 108 TILEPROP VBRK_X18Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y43 IS_DCM_TILE 0 TILEPROP VBRK_X18Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y43 NAME VBRK_X18Y43 TILEPROP VBRK_X18Y43 NUM_ARCS 0 TILEPROP VBRK_X18Y43 NUM_SITES 0 TILEPROP VBRK_X18Y43 ROW 113 TILEPROP VBRK_X18Y43 SLR_REGION_ID 0 TILEPROP VBRK_X18Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y43 TILE_TYPE VBRK TILEPROP VBRK_X18Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y43 TILE_X -70918 TILEPROP VBRK_X18Y43 TILE_Y -107448 TILEPROP VBRK_X18Y43 TYPE VBRK TILEPROP VBRK_X18Y44 CLASS tile TILEPROP VBRK_X18Y44 COLUMN 18 TILEPROP VBRK_X18Y44 DEVICE_ID 0 TILEPROP VBRK_X18Y44 FIRST_SITE_ID 11195 TILEPROP VBRK_X18Y44 GRID_POINT_X 18 TILEPROP VBRK_X18Y44 GRID_POINT_Y 112 TILEPROP VBRK_X18Y44 INDEX 12898 TILEPROP VBRK_X18Y44 INT_TILE_X 5 TILEPROP VBRK_X18Y44 INT_TILE_Y 107 TILEPROP VBRK_X18Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y44 IS_DCM_TILE 0 TILEPROP VBRK_X18Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y44 NAME VBRK_X18Y44 TILEPROP VBRK_X18Y44 NUM_ARCS 0 TILEPROP VBRK_X18Y44 NUM_SITES 0 TILEPROP VBRK_X18Y44 ROW 112 TILEPROP VBRK_X18Y44 SLR_REGION_ID 0 TILEPROP VBRK_X18Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y44 TILE_TYPE VBRK TILEPROP VBRK_X18Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y44 TILE_X -70918 TILEPROP VBRK_X18Y44 TILE_Y -104248 TILEPROP VBRK_X18Y44 TYPE VBRK TILEPROP VBRK_X18Y45 CLASS tile TILEPROP VBRK_X18Y45 COLUMN 18 TILEPROP VBRK_X18Y45 DEVICE_ID 0 TILEPROP VBRK_X18Y45 FIRST_SITE_ID 11092 TILEPROP VBRK_X18Y45 GRID_POINT_X 18 TILEPROP VBRK_X18Y45 GRID_POINT_Y 111 TILEPROP VBRK_X18Y45 INDEX 12783 TILEPROP VBRK_X18Y45 INT_TILE_X 5 TILEPROP VBRK_X18Y45 INT_TILE_Y 106 TILEPROP VBRK_X18Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y45 IS_DCM_TILE 0 TILEPROP VBRK_X18Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y45 NAME VBRK_X18Y45 TILEPROP VBRK_X18Y45 NUM_ARCS 0 TILEPROP VBRK_X18Y45 NUM_SITES 0 TILEPROP VBRK_X18Y45 ROW 111 TILEPROP VBRK_X18Y45 SLR_REGION_ID 0 TILEPROP VBRK_X18Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y45 TILE_TYPE VBRK TILEPROP VBRK_X18Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y45 TILE_X -70918 TILEPROP VBRK_X18Y45 TILE_Y -101048 TILEPROP VBRK_X18Y45 TYPE VBRK TILEPROP VBRK_X18Y46 CLASS tile TILEPROP VBRK_X18Y46 COLUMN 18 TILEPROP VBRK_X18Y46 DEVICE_ID 0 TILEPROP VBRK_X18Y46 FIRST_SITE_ID 10990 TILEPROP VBRK_X18Y46 GRID_POINT_X 18 TILEPROP VBRK_X18Y46 GRID_POINT_Y 110 TILEPROP VBRK_X18Y46 INDEX 12668 TILEPROP VBRK_X18Y46 INT_TILE_X 5 TILEPROP VBRK_X18Y46 INT_TILE_Y 105 TILEPROP VBRK_X18Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y46 IS_DCM_TILE 0 TILEPROP VBRK_X18Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y46 NAME VBRK_X18Y46 TILEPROP VBRK_X18Y46 NUM_ARCS 0 TILEPROP VBRK_X18Y46 NUM_SITES 0 TILEPROP VBRK_X18Y46 ROW 110 TILEPROP VBRK_X18Y46 SLR_REGION_ID 0 TILEPROP VBRK_X18Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y46 TILE_TYPE VBRK TILEPROP VBRK_X18Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y46 TILE_X -70918 TILEPROP VBRK_X18Y46 TILE_Y -97848 TILEPROP VBRK_X18Y46 TYPE VBRK TILEPROP VBRK_X18Y47 CLASS tile TILEPROP VBRK_X18Y47 COLUMN 18 TILEPROP VBRK_X18Y47 DEVICE_ID 0 TILEPROP VBRK_X18Y47 FIRST_SITE_ID 10874 TILEPROP VBRK_X18Y47 GRID_POINT_X 18 TILEPROP VBRK_X18Y47 GRID_POINT_Y 109 TILEPROP VBRK_X18Y47 INDEX 12553 TILEPROP VBRK_X18Y47 INT_TILE_X 5 TILEPROP VBRK_X18Y47 INT_TILE_Y 104 TILEPROP VBRK_X18Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y47 IS_DCM_TILE 0 TILEPROP VBRK_X18Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y47 NAME VBRK_X18Y47 TILEPROP VBRK_X18Y47 NUM_ARCS 0 TILEPROP VBRK_X18Y47 NUM_SITES 0 TILEPROP VBRK_X18Y47 ROW 109 TILEPROP VBRK_X18Y47 SLR_REGION_ID 0 TILEPROP VBRK_X18Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y47 TILE_TYPE VBRK TILEPROP VBRK_X18Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y47 TILE_X -70918 TILEPROP VBRK_X18Y47 TILE_Y -94648 TILEPROP VBRK_X18Y47 TYPE VBRK TILEPROP VBRK_X18Y48 CLASS tile TILEPROP VBRK_X18Y48 COLUMN 18 TILEPROP VBRK_X18Y48 DEVICE_ID 0 TILEPROP VBRK_X18Y48 FIRST_SITE_ID 10758 TILEPROP VBRK_X18Y48 GRID_POINT_X 18 TILEPROP VBRK_X18Y48 GRID_POINT_Y 108 TILEPROP VBRK_X18Y48 INDEX 12438 TILEPROP VBRK_X18Y48 INT_TILE_X 5 TILEPROP VBRK_X18Y48 INT_TILE_Y 103 TILEPROP VBRK_X18Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y48 IS_DCM_TILE 0 TILEPROP VBRK_X18Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y48 NAME VBRK_X18Y48 TILEPROP VBRK_X18Y48 NUM_ARCS 0 TILEPROP VBRK_X18Y48 NUM_SITES 0 TILEPROP VBRK_X18Y48 ROW 108 TILEPROP VBRK_X18Y48 SLR_REGION_ID 0 TILEPROP VBRK_X18Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y48 TILE_TYPE VBRK TILEPROP VBRK_X18Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y48 TILE_X -70918 TILEPROP VBRK_X18Y48 TILE_Y -91448 TILEPROP VBRK_X18Y48 TYPE VBRK TILEPROP VBRK_X18Y49 CLASS tile TILEPROP VBRK_X18Y49 COLUMN 18 TILEPROP VBRK_X18Y49 DEVICE_ID 0 TILEPROP VBRK_X18Y49 FIRST_SITE_ID 10658 TILEPROP VBRK_X18Y49 GRID_POINT_X 18 TILEPROP VBRK_X18Y49 GRID_POINT_Y 107 TILEPROP VBRK_X18Y49 INDEX 12323 TILEPROP VBRK_X18Y49 INT_TILE_X 5 TILEPROP VBRK_X18Y49 INT_TILE_Y 102 TILEPROP VBRK_X18Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y49 IS_DCM_TILE 0 TILEPROP VBRK_X18Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y49 NAME VBRK_X18Y49 TILEPROP VBRK_X18Y49 NUM_ARCS 0 TILEPROP VBRK_X18Y49 NUM_SITES 0 TILEPROP VBRK_X18Y49 ROW 107 TILEPROP VBRK_X18Y49 SLR_REGION_ID 0 TILEPROP VBRK_X18Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y49 TILE_TYPE VBRK TILEPROP VBRK_X18Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y49 TILE_X -70918 TILEPROP VBRK_X18Y49 TILE_Y -88248 TILEPROP VBRK_X18Y49 TYPE VBRK TILEPROP VBRK_X18Y50 CLASS tile TILEPROP VBRK_X18Y50 COLUMN 18 TILEPROP VBRK_X18Y50 DEVICE_ID 0 TILEPROP VBRK_X18Y50 FIRST_SITE_ID 10558 TILEPROP VBRK_X18Y50 GRID_POINT_X 18 TILEPROP VBRK_X18Y50 GRID_POINT_Y 106 TILEPROP VBRK_X18Y50 INDEX 12208 TILEPROP VBRK_X18Y50 INT_TILE_X 5 TILEPROP VBRK_X18Y50 INT_TILE_Y 101 TILEPROP VBRK_X18Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y50 IS_DCM_TILE 0 TILEPROP VBRK_X18Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y50 NAME VBRK_X18Y50 TILEPROP VBRK_X18Y50 NUM_ARCS 0 TILEPROP VBRK_X18Y50 NUM_SITES 0 TILEPROP VBRK_X18Y50 ROW 106 TILEPROP VBRK_X18Y50 SLR_REGION_ID 0 TILEPROP VBRK_X18Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y50 TILE_TYPE VBRK TILEPROP VBRK_X18Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y50 TILE_X -70918 TILEPROP VBRK_X18Y50 TILE_Y -85048 TILEPROP VBRK_X18Y50 TYPE VBRK TILEPROP VBRK_X18Y51 CLASS tile TILEPROP VBRK_X18Y51 COLUMN 18 TILEPROP VBRK_X18Y51 DEVICE_ID 0 TILEPROP VBRK_X18Y51 FIRST_SITE_ID 10462 TILEPROP VBRK_X18Y51 GRID_POINT_X 18 TILEPROP VBRK_X18Y51 GRID_POINT_Y 105 TILEPROP VBRK_X18Y51 INDEX 12093 TILEPROP VBRK_X18Y51 INT_TILE_X 5 TILEPROP VBRK_X18Y51 INT_TILE_Y 100 TILEPROP VBRK_X18Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y51 IS_DCM_TILE 0 TILEPROP VBRK_X18Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y51 NAME VBRK_X18Y51 TILEPROP VBRK_X18Y51 NUM_ARCS 0 TILEPROP VBRK_X18Y51 NUM_SITES 0 TILEPROP VBRK_X18Y51 ROW 105 TILEPROP VBRK_X18Y51 SLR_REGION_ID 0 TILEPROP VBRK_X18Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y51 TILE_TYPE VBRK TILEPROP VBRK_X18Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y51 TILE_X -70918 TILEPROP VBRK_X18Y51 TILE_Y -81848 TILEPROP VBRK_X18Y51 TYPE VBRK TILEPROP VBRK_X18Y53 CLASS tile TILEPROP VBRK_X18Y53 COLUMN 18 TILEPROP VBRK_X18Y53 DEVICE_ID 0 TILEPROP VBRK_X18Y53 FIRST_SITE_ID 10331 TILEPROP VBRK_X18Y53 GRID_POINT_X 18 TILEPROP VBRK_X18Y53 GRID_POINT_Y 103 TILEPROP VBRK_X18Y53 INDEX 11863 TILEPROP VBRK_X18Y53 INT_TILE_X 5 TILEPROP VBRK_X18Y53 INT_TILE_Y 99 TILEPROP VBRK_X18Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y53 IS_DCM_TILE 0 TILEPROP VBRK_X18Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y53 NAME VBRK_X18Y53 TILEPROP VBRK_X18Y53 NUM_ARCS 0 TILEPROP VBRK_X18Y53 NUM_SITES 0 TILEPROP VBRK_X18Y53 ROW 103 TILEPROP VBRK_X18Y53 SLR_REGION_ID 0 TILEPROP VBRK_X18Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y53 TILE_TYPE VBRK TILEPROP VBRK_X18Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y53 TILE_X -70918 TILEPROP VBRK_X18Y53 TILE_Y -78400 TILEPROP VBRK_X18Y53 TYPE VBRK TILEPROP VBRK_X18Y54 CLASS tile TILEPROP VBRK_X18Y54 COLUMN 18 TILEPROP VBRK_X18Y54 DEVICE_ID 0 TILEPROP VBRK_X18Y54 FIRST_SITE_ID 10227 TILEPROP VBRK_X18Y54 GRID_POINT_X 18 TILEPROP VBRK_X18Y54 GRID_POINT_Y 102 TILEPROP VBRK_X18Y54 INDEX 11748 TILEPROP VBRK_X18Y54 INT_TILE_X 5 TILEPROP VBRK_X18Y54 INT_TILE_Y 98 TILEPROP VBRK_X18Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y54 IS_DCM_TILE 0 TILEPROP VBRK_X18Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y54 NAME VBRK_X18Y54 TILEPROP VBRK_X18Y54 NUM_ARCS 0 TILEPROP VBRK_X18Y54 NUM_SITES 0 TILEPROP VBRK_X18Y54 ROW 102 TILEPROP VBRK_X18Y54 SLR_REGION_ID 0 TILEPROP VBRK_X18Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y54 TILE_TYPE VBRK TILEPROP VBRK_X18Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y54 TILE_X -70918 TILEPROP VBRK_X18Y54 TILE_Y -75200 TILEPROP VBRK_X18Y54 TYPE VBRK TILEPROP VBRK_X18Y55 CLASS tile TILEPROP VBRK_X18Y55 COLUMN 18 TILEPROP VBRK_X18Y55 DEVICE_ID 0 TILEPROP VBRK_X18Y55 FIRST_SITE_ID 10127 TILEPROP VBRK_X18Y55 GRID_POINT_X 18 TILEPROP VBRK_X18Y55 GRID_POINT_Y 101 TILEPROP VBRK_X18Y55 INDEX 11633 TILEPROP VBRK_X18Y55 INT_TILE_X 5 TILEPROP VBRK_X18Y55 INT_TILE_Y 97 TILEPROP VBRK_X18Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y55 IS_DCM_TILE 0 TILEPROP VBRK_X18Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y55 NAME VBRK_X18Y55 TILEPROP VBRK_X18Y55 NUM_ARCS 0 TILEPROP VBRK_X18Y55 NUM_SITES 0 TILEPROP VBRK_X18Y55 ROW 101 TILEPROP VBRK_X18Y55 SLR_REGION_ID 0 TILEPROP VBRK_X18Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y55 TILE_TYPE VBRK TILEPROP VBRK_X18Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y55 TILE_X -70918 TILEPROP VBRK_X18Y55 TILE_Y -72000 TILEPROP VBRK_X18Y55 TYPE VBRK TILEPROP VBRK_X18Y56 CLASS tile TILEPROP VBRK_X18Y56 COLUMN 18 TILEPROP VBRK_X18Y56 DEVICE_ID 0 TILEPROP VBRK_X18Y56 FIRST_SITE_ID 10027 TILEPROP VBRK_X18Y56 GRID_POINT_X 18 TILEPROP VBRK_X18Y56 GRID_POINT_Y 100 TILEPROP VBRK_X18Y56 INDEX 11518 TILEPROP VBRK_X18Y56 INT_TILE_X 5 TILEPROP VBRK_X18Y56 INT_TILE_Y 96 TILEPROP VBRK_X18Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y56 IS_DCM_TILE 0 TILEPROP VBRK_X18Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y56 NAME VBRK_X18Y56 TILEPROP VBRK_X18Y56 NUM_ARCS 0 TILEPROP VBRK_X18Y56 NUM_SITES 0 TILEPROP VBRK_X18Y56 ROW 100 TILEPROP VBRK_X18Y56 SLR_REGION_ID 0 TILEPROP VBRK_X18Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y56 TILE_TYPE VBRK TILEPROP VBRK_X18Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y56 TILE_X -70918 TILEPROP VBRK_X18Y56 TILE_Y -68800 TILEPROP VBRK_X18Y56 TYPE VBRK TILEPROP VBRK_X18Y57 CLASS tile TILEPROP VBRK_X18Y57 COLUMN 18 TILEPROP VBRK_X18Y57 DEVICE_ID 0 TILEPROP VBRK_X18Y57 FIRST_SITE_ID 9927 TILEPROP VBRK_X18Y57 GRID_POINT_X 18 TILEPROP VBRK_X18Y57 GRID_POINT_Y 99 TILEPROP VBRK_X18Y57 INDEX 11403 TILEPROP VBRK_X18Y57 INT_TILE_X 5 TILEPROP VBRK_X18Y57 INT_TILE_Y 95 TILEPROP VBRK_X18Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y57 IS_DCM_TILE 0 TILEPROP VBRK_X18Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y57 NAME VBRK_X18Y57 TILEPROP VBRK_X18Y57 NUM_ARCS 0 TILEPROP VBRK_X18Y57 NUM_SITES 0 TILEPROP VBRK_X18Y57 ROW 99 TILEPROP VBRK_X18Y57 SLR_REGION_ID 0 TILEPROP VBRK_X18Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y57 TILE_TYPE VBRK TILEPROP VBRK_X18Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y57 TILE_X -70918 TILEPROP VBRK_X18Y57 TILE_Y -65600 TILEPROP VBRK_X18Y57 TYPE VBRK TILEPROP VBRK_X18Y58 CLASS tile TILEPROP VBRK_X18Y58 COLUMN 18 TILEPROP VBRK_X18Y58 DEVICE_ID 0 TILEPROP VBRK_X18Y58 FIRST_SITE_ID 9812 TILEPROP VBRK_X18Y58 GRID_POINT_X 18 TILEPROP VBRK_X18Y58 GRID_POINT_Y 98 TILEPROP VBRK_X18Y58 INDEX 11288 TILEPROP VBRK_X18Y58 INT_TILE_X 5 TILEPROP VBRK_X18Y58 INT_TILE_Y 94 TILEPROP VBRK_X18Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y58 IS_DCM_TILE 0 TILEPROP VBRK_X18Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y58 NAME VBRK_X18Y58 TILEPROP VBRK_X18Y58 NUM_ARCS 0 TILEPROP VBRK_X18Y58 NUM_SITES 0 TILEPROP VBRK_X18Y58 ROW 98 TILEPROP VBRK_X18Y58 SLR_REGION_ID 0 TILEPROP VBRK_X18Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y58 TILE_TYPE VBRK TILEPROP VBRK_X18Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y58 TILE_X -70918 TILEPROP VBRK_X18Y58 TILE_Y -62400 TILEPROP VBRK_X18Y58 TYPE VBRK TILEPROP VBRK_X18Y59 CLASS tile TILEPROP VBRK_X18Y59 COLUMN 18 TILEPROP VBRK_X18Y59 DEVICE_ID 0 TILEPROP VBRK_X18Y59 FIRST_SITE_ID 9712 TILEPROP VBRK_X18Y59 GRID_POINT_X 18 TILEPROP VBRK_X18Y59 GRID_POINT_Y 97 TILEPROP VBRK_X18Y59 INDEX 11173 TILEPROP VBRK_X18Y59 INT_TILE_X 5 TILEPROP VBRK_X18Y59 INT_TILE_Y 93 TILEPROP VBRK_X18Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y59 IS_DCM_TILE 0 TILEPROP VBRK_X18Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y59 NAME VBRK_X18Y59 TILEPROP VBRK_X18Y59 NUM_ARCS 0 TILEPROP VBRK_X18Y59 NUM_SITES 0 TILEPROP VBRK_X18Y59 ROW 97 TILEPROP VBRK_X18Y59 SLR_REGION_ID 0 TILEPROP VBRK_X18Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y59 TILE_TYPE VBRK TILEPROP VBRK_X18Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y59 TILE_X -70918 TILEPROP VBRK_X18Y59 TILE_Y -59200 TILEPROP VBRK_X18Y59 TYPE VBRK TILEPROP VBRK_X18Y60 CLASS tile TILEPROP VBRK_X18Y60 COLUMN 18 TILEPROP VBRK_X18Y60 DEVICE_ID 0 TILEPROP VBRK_X18Y60 FIRST_SITE_ID 9610 TILEPROP VBRK_X18Y60 GRID_POINT_X 18 TILEPROP VBRK_X18Y60 GRID_POINT_Y 96 TILEPROP VBRK_X18Y60 INDEX 11058 TILEPROP VBRK_X18Y60 INT_TILE_X 5 TILEPROP VBRK_X18Y60 INT_TILE_Y 92 TILEPROP VBRK_X18Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y60 IS_DCM_TILE 0 TILEPROP VBRK_X18Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y60 NAME VBRK_X18Y60 TILEPROP VBRK_X18Y60 NUM_ARCS 0 TILEPROP VBRK_X18Y60 NUM_SITES 0 TILEPROP VBRK_X18Y60 ROW 96 TILEPROP VBRK_X18Y60 SLR_REGION_ID 0 TILEPROP VBRK_X18Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y60 TILE_TYPE VBRK TILEPROP VBRK_X18Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y60 TILE_X -70918 TILEPROP VBRK_X18Y60 TILE_Y -56000 TILEPROP VBRK_X18Y60 TYPE VBRK TILEPROP VBRK_X18Y61 CLASS tile TILEPROP VBRK_X18Y61 COLUMN 18 TILEPROP VBRK_X18Y61 DEVICE_ID 0 TILEPROP VBRK_X18Y61 FIRST_SITE_ID 9507 TILEPROP VBRK_X18Y61 GRID_POINT_X 18 TILEPROP VBRK_X18Y61 GRID_POINT_Y 95 TILEPROP VBRK_X18Y61 INDEX 10943 TILEPROP VBRK_X18Y61 INT_TILE_X 5 TILEPROP VBRK_X18Y61 INT_TILE_Y 91 TILEPROP VBRK_X18Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y61 IS_DCM_TILE 0 TILEPROP VBRK_X18Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y61 NAME VBRK_X18Y61 TILEPROP VBRK_X18Y61 NUM_ARCS 0 TILEPROP VBRK_X18Y61 NUM_SITES 0 TILEPROP VBRK_X18Y61 ROW 95 TILEPROP VBRK_X18Y61 SLR_REGION_ID 0 TILEPROP VBRK_X18Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y61 TILE_TYPE VBRK TILEPROP VBRK_X18Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y61 TILE_X -70918 TILEPROP VBRK_X18Y61 TILE_Y -52800 TILEPROP VBRK_X18Y61 TYPE VBRK TILEPROP VBRK_X18Y62 CLASS tile TILEPROP VBRK_X18Y62 COLUMN 18 TILEPROP VBRK_X18Y62 DEVICE_ID 0 TILEPROP VBRK_X18Y62 FIRST_SITE_ID 9406 TILEPROP VBRK_X18Y62 GRID_POINT_X 18 TILEPROP VBRK_X18Y62 GRID_POINT_Y 94 TILEPROP VBRK_X18Y62 INDEX 10828 TILEPROP VBRK_X18Y62 INT_TILE_X 5 TILEPROP VBRK_X18Y62 INT_TILE_Y 90 TILEPROP VBRK_X18Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y62 IS_DCM_TILE 0 TILEPROP VBRK_X18Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y62 NAME VBRK_X18Y62 TILEPROP VBRK_X18Y62 NUM_ARCS 0 TILEPROP VBRK_X18Y62 NUM_SITES 0 TILEPROP VBRK_X18Y62 ROW 94 TILEPROP VBRK_X18Y62 SLR_REGION_ID 0 TILEPROP VBRK_X18Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y62 TILE_TYPE VBRK TILEPROP VBRK_X18Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y62 TILE_X -70918 TILEPROP VBRK_X18Y62 TILE_Y -49600 TILEPROP VBRK_X18Y62 TYPE VBRK TILEPROP VBRK_X18Y63 CLASS tile TILEPROP VBRK_X18Y63 COLUMN 18 TILEPROP VBRK_X18Y63 DEVICE_ID 0 TILEPROP VBRK_X18Y63 FIRST_SITE_ID 9291 TILEPROP VBRK_X18Y63 GRID_POINT_X 18 TILEPROP VBRK_X18Y63 GRID_POINT_Y 93 TILEPROP VBRK_X18Y63 INDEX 10713 TILEPROP VBRK_X18Y63 INT_TILE_X 5 TILEPROP VBRK_X18Y63 INT_TILE_Y 89 TILEPROP VBRK_X18Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y63 IS_DCM_TILE 0 TILEPROP VBRK_X18Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y63 NAME VBRK_X18Y63 TILEPROP VBRK_X18Y63 NUM_ARCS 0 TILEPROP VBRK_X18Y63 NUM_SITES 0 TILEPROP VBRK_X18Y63 ROW 93 TILEPROP VBRK_X18Y63 SLR_REGION_ID 0 TILEPROP VBRK_X18Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y63 TILE_TYPE VBRK TILEPROP VBRK_X18Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y63 TILE_X -70918 TILEPROP VBRK_X18Y63 TILE_Y -46400 TILEPROP VBRK_X18Y63 TYPE VBRK TILEPROP VBRK_X18Y64 CLASS tile TILEPROP VBRK_X18Y64 COLUMN 18 TILEPROP VBRK_X18Y64 DEVICE_ID 0 TILEPROP VBRK_X18Y64 FIRST_SITE_ID 9191 TILEPROP VBRK_X18Y64 GRID_POINT_X 18 TILEPROP VBRK_X18Y64 GRID_POINT_Y 92 TILEPROP VBRK_X18Y64 INDEX 10598 TILEPROP VBRK_X18Y64 INT_TILE_X 5 TILEPROP VBRK_X18Y64 INT_TILE_Y 88 TILEPROP VBRK_X18Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y64 IS_DCM_TILE 0 TILEPROP VBRK_X18Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y64 NAME VBRK_X18Y64 TILEPROP VBRK_X18Y64 NUM_ARCS 0 TILEPROP VBRK_X18Y64 NUM_SITES 0 TILEPROP VBRK_X18Y64 ROW 92 TILEPROP VBRK_X18Y64 SLR_REGION_ID 0 TILEPROP VBRK_X18Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y64 TILE_TYPE VBRK TILEPROP VBRK_X18Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y64 TILE_X -70918 TILEPROP VBRK_X18Y64 TILE_Y -43200 TILEPROP VBRK_X18Y64 TYPE VBRK TILEPROP VBRK_X18Y65 CLASS tile TILEPROP VBRK_X18Y65 COLUMN 18 TILEPROP VBRK_X18Y65 DEVICE_ID 0 TILEPROP VBRK_X18Y65 FIRST_SITE_ID 9059 TILEPROP VBRK_X18Y65 GRID_POINT_X 18 TILEPROP VBRK_X18Y65 GRID_POINT_Y 91 TILEPROP VBRK_X18Y65 INDEX 10483 TILEPROP VBRK_X18Y65 INT_TILE_X 5 TILEPROP VBRK_X18Y65 INT_TILE_Y 87 TILEPROP VBRK_X18Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y65 IS_DCM_TILE 0 TILEPROP VBRK_X18Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y65 NAME VBRK_X18Y65 TILEPROP VBRK_X18Y65 NUM_ARCS 0 TILEPROP VBRK_X18Y65 NUM_SITES 0 TILEPROP VBRK_X18Y65 ROW 91 TILEPROP VBRK_X18Y65 SLR_REGION_ID 0 TILEPROP VBRK_X18Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y65 TILE_TYPE VBRK TILEPROP VBRK_X18Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y65 TILE_X -70918 TILEPROP VBRK_X18Y65 TILE_Y -40000 TILEPROP VBRK_X18Y65 TYPE VBRK TILEPROP VBRK_X18Y66 CLASS tile TILEPROP VBRK_X18Y66 COLUMN 18 TILEPROP VBRK_X18Y66 DEVICE_ID 0 TILEPROP VBRK_X18Y66 FIRST_SITE_ID 8959 TILEPROP VBRK_X18Y66 GRID_POINT_X 18 TILEPROP VBRK_X18Y66 GRID_POINT_Y 90 TILEPROP VBRK_X18Y66 INDEX 10368 TILEPROP VBRK_X18Y66 INT_TILE_X 5 TILEPROP VBRK_X18Y66 INT_TILE_Y 86 TILEPROP VBRK_X18Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y66 IS_DCM_TILE 0 TILEPROP VBRK_X18Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y66 NAME VBRK_X18Y66 TILEPROP VBRK_X18Y66 NUM_ARCS 0 TILEPROP VBRK_X18Y66 NUM_SITES 0 TILEPROP VBRK_X18Y66 ROW 90 TILEPROP VBRK_X18Y66 SLR_REGION_ID 0 TILEPROP VBRK_X18Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y66 TILE_TYPE VBRK TILEPROP VBRK_X18Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y66 TILE_X -70918 TILEPROP VBRK_X18Y66 TILE_Y -36800 TILEPROP VBRK_X18Y66 TYPE VBRK TILEPROP VBRK_X18Y67 CLASS tile TILEPROP VBRK_X18Y67 COLUMN 18 TILEPROP VBRK_X18Y67 DEVICE_ID 0 TILEPROP VBRK_X18Y67 FIRST_SITE_ID 8859 TILEPROP VBRK_X18Y67 GRID_POINT_X 18 TILEPROP VBRK_X18Y67 GRID_POINT_Y 89 TILEPROP VBRK_X18Y67 INDEX 10253 TILEPROP VBRK_X18Y67 INT_TILE_X 5 TILEPROP VBRK_X18Y67 INT_TILE_Y 85 TILEPROP VBRK_X18Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y67 IS_DCM_TILE 0 TILEPROP VBRK_X18Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y67 NAME VBRK_X18Y67 TILEPROP VBRK_X18Y67 NUM_ARCS 0 TILEPROP VBRK_X18Y67 NUM_SITES 0 TILEPROP VBRK_X18Y67 ROW 89 TILEPROP VBRK_X18Y67 SLR_REGION_ID 0 TILEPROP VBRK_X18Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y67 TILE_TYPE VBRK TILEPROP VBRK_X18Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y67 TILE_X -70918 TILEPROP VBRK_X18Y67 TILE_Y -33600 TILEPROP VBRK_X18Y67 TYPE VBRK TILEPROP VBRK_X18Y68 CLASS tile TILEPROP VBRK_X18Y68 COLUMN 18 TILEPROP VBRK_X18Y68 DEVICE_ID 0 TILEPROP VBRK_X18Y68 FIRST_SITE_ID 8744 TILEPROP VBRK_X18Y68 GRID_POINT_X 18 TILEPROP VBRK_X18Y68 GRID_POINT_Y 88 TILEPROP VBRK_X18Y68 INDEX 10138 TILEPROP VBRK_X18Y68 INT_TILE_X 5 TILEPROP VBRK_X18Y68 INT_TILE_Y 84 TILEPROP VBRK_X18Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y68 IS_DCM_TILE 0 TILEPROP VBRK_X18Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y68 NAME VBRK_X18Y68 TILEPROP VBRK_X18Y68 NUM_ARCS 0 TILEPROP VBRK_X18Y68 NUM_SITES 0 TILEPROP VBRK_X18Y68 ROW 88 TILEPROP VBRK_X18Y68 SLR_REGION_ID 0 TILEPROP VBRK_X18Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y68 TILE_TYPE VBRK TILEPROP VBRK_X18Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y68 TILE_X -70918 TILEPROP VBRK_X18Y68 TILE_Y -30400 TILEPROP VBRK_X18Y68 TYPE VBRK TILEPROP VBRK_X18Y69 CLASS tile TILEPROP VBRK_X18Y69 COLUMN 18 TILEPROP VBRK_X18Y69 DEVICE_ID 0 TILEPROP VBRK_X18Y69 FIRST_SITE_ID 8644 TILEPROP VBRK_X18Y69 GRID_POINT_X 18 TILEPROP VBRK_X18Y69 GRID_POINT_Y 87 TILEPROP VBRK_X18Y69 INDEX 10023 TILEPROP VBRK_X18Y69 INT_TILE_X 5 TILEPROP VBRK_X18Y69 INT_TILE_Y 83 TILEPROP VBRK_X18Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y69 IS_DCM_TILE 0 TILEPROP VBRK_X18Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y69 NAME VBRK_X18Y69 TILEPROP VBRK_X18Y69 NUM_ARCS 0 TILEPROP VBRK_X18Y69 NUM_SITES 0 TILEPROP VBRK_X18Y69 ROW 87 TILEPROP VBRK_X18Y69 SLR_REGION_ID 0 TILEPROP VBRK_X18Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y69 TILE_TYPE VBRK TILEPROP VBRK_X18Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y69 TILE_X -70918 TILEPROP VBRK_X18Y69 TILE_Y -27200 TILEPROP VBRK_X18Y69 TYPE VBRK TILEPROP VBRK_X18Y70 CLASS tile TILEPROP VBRK_X18Y70 COLUMN 18 TILEPROP VBRK_X18Y70 DEVICE_ID 0 TILEPROP VBRK_X18Y70 FIRST_SITE_ID 8540 TILEPROP VBRK_X18Y70 GRID_POINT_X 18 TILEPROP VBRK_X18Y70 GRID_POINT_Y 86 TILEPROP VBRK_X18Y70 INDEX 9908 TILEPROP VBRK_X18Y70 INT_TILE_X 5 TILEPROP VBRK_X18Y70 INT_TILE_Y 82 TILEPROP VBRK_X18Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y70 IS_DCM_TILE 0 TILEPROP VBRK_X18Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y70 NAME VBRK_X18Y70 TILEPROP VBRK_X18Y70 NUM_ARCS 0 TILEPROP VBRK_X18Y70 NUM_SITES 0 TILEPROP VBRK_X18Y70 ROW 86 TILEPROP VBRK_X18Y70 SLR_REGION_ID 0 TILEPROP VBRK_X18Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y70 TILE_TYPE VBRK TILEPROP VBRK_X18Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y70 TILE_X -70918 TILEPROP VBRK_X18Y70 TILE_Y -24000 TILEPROP VBRK_X18Y70 TYPE VBRK TILEPROP VBRK_X18Y71 CLASS tile TILEPROP VBRK_X18Y71 COLUMN 18 TILEPROP VBRK_X18Y71 DEVICE_ID 0 TILEPROP VBRK_X18Y71 FIRST_SITE_ID 8436 TILEPROP VBRK_X18Y71 GRID_POINT_X 18 TILEPROP VBRK_X18Y71 GRID_POINT_Y 85 TILEPROP VBRK_X18Y71 INDEX 9793 TILEPROP VBRK_X18Y71 INT_TILE_X 5 TILEPROP VBRK_X18Y71 INT_TILE_Y 81 TILEPROP VBRK_X18Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y71 IS_DCM_TILE 0 TILEPROP VBRK_X18Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y71 NAME VBRK_X18Y71 TILEPROP VBRK_X18Y71 NUM_ARCS 0 TILEPROP VBRK_X18Y71 NUM_SITES 0 TILEPROP VBRK_X18Y71 ROW 85 TILEPROP VBRK_X18Y71 SLR_REGION_ID 0 TILEPROP VBRK_X18Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y71 TILE_TYPE VBRK TILEPROP VBRK_X18Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y71 TILE_X -70918 TILEPROP VBRK_X18Y71 TILE_Y -20800 TILEPROP VBRK_X18Y71 TYPE VBRK TILEPROP VBRK_X18Y72 CLASS tile TILEPROP VBRK_X18Y72 COLUMN 18 TILEPROP VBRK_X18Y72 DEVICE_ID 0 TILEPROP VBRK_X18Y72 FIRST_SITE_ID 8334 TILEPROP VBRK_X18Y72 GRID_POINT_X 18 TILEPROP VBRK_X18Y72 GRID_POINT_Y 84 TILEPROP VBRK_X18Y72 INDEX 9678 TILEPROP VBRK_X18Y72 INT_TILE_X 5 TILEPROP VBRK_X18Y72 INT_TILE_Y 80 TILEPROP VBRK_X18Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y72 IS_DCM_TILE 0 TILEPROP VBRK_X18Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y72 NAME VBRK_X18Y72 TILEPROP VBRK_X18Y72 NUM_ARCS 0 TILEPROP VBRK_X18Y72 NUM_SITES 0 TILEPROP VBRK_X18Y72 ROW 84 TILEPROP VBRK_X18Y72 SLR_REGION_ID 0 TILEPROP VBRK_X18Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y72 TILE_TYPE VBRK TILEPROP VBRK_X18Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y72 TILE_X -70918 TILEPROP VBRK_X18Y72 TILE_Y -17600 TILEPROP VBRK_X18Y72 TYPE VBRK TILEPROP VBRK_X18Y73 CLASS tile TILEPROP VBRK_X18Y73 COLUMN 18 TILEPROP VBRK_X18Y73 DEVICE_ID 0 TILEPROP VBRK_X18Y73 FIRST_SITE_ID 8217 TILEPROP VBRK_X18Y73 GRID_POINT_X 18 TILEPROP VBRK_X18Y73 GRID_POINT_Y 83 TILEPROP VBRK_X18Y73 INDEX 9563 TILEPROP VBRK_X18Y73 INT_TILE_X 5 TILEPROP VBRK_X18Y73 INT_TILE_Y 79 TILEPROP VBRK_X18Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y73 IS_DCM_TILE 0 TILEPROP VBRK_X18Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y73 NAME VBRK_X18Y73 TILEPROP VBRK_X18Y73 NUM_ARCS 0 TILEPROP VBRK_X18Y73 NUM_SITES 0 TILEPROP VBRK_X18Y73 ROW 83 TILEPROP VBRK_X18Y73 SLR_REGION_ID 0 TILEPROP VBRK_X18Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y73 TILE_TYPE VBRK TILEPROP VBRK_X18Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y73 TILE_X -70918 TILEPROP VBRK_X18Y73 TILE_Y -14400 TILEPROP VBRK_X18Y73 TYPE VBRK TILEPROP VBRK_X18Y74 CLASS tile TILEPROP VBRK_X18Y74 COLUMN 18 TILEPROP VBRK_X18Y74 DEVICE_ID 0 TILEPROP VBRK_X18Y74 FIRST_SITE_ID 8117 TILEPROP VBRK_X18Y74 GRID_POINT_X 18 TILEPROP VBRK_X18Y74 GRID_POINT_Y 82 TILEPROP VBRK_X18Y74 INDEX 9448 TILEPROP VBRK_X18Y74 INT_TILE_X 5 TILEPROP VBRK_X18Y74 INT_TILE_Y 78 TILEPROP VBRK_X18Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y74 IS_DCM_TILE 0 TILEPROP VBRK_X18Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y74 NAME VBRK_X18Y74 TILEPROP VBRK_X18Y74 NUM_ARCS 0 TILEPROP VBRK_X18Y74 NUM_SITES 0 TILEPROP VBRK_X18Y74 ROW 82 TILEPROP VBRK_X18Y74 SLR_REGION_ID 0 TILEPROP VBRK_X18Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y74 TILE_TYPE VBRK TILEPROP VBRK_X18Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y74 TILE_X -70918 TILEPROP VBRK_X18Y74 TILE_Y -11200 TILEPROP VBRK_X18Y74 TYPE VBRK TILEPROP VBRK_X18Y75 CLASS tile TILEPROP VBRK_X18Y75 COLUMN 18 TILEPROP VBRK_X18Y75 DEVICE_ID 0 TILEPROP VBRK_X18Y75 FIRST_SITE_ID 8017 TILEPROP VBRK_X18Y75 GRID_POINT_X 18 TILEPROP VBRK_X18Y75 GRID_POINT_Y 81 TILEPROP VBRK_X18Y75 INDEX 9333 TILEPROP VBRK_X18Y75 INT_TILE_X 5 TILEPROP VBRK_X18Y75 INT_TILE_Y 77 TILEPROP VBRK_X18Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y75 IS_DCM_TILE 0 TILEPROP VBRK_X18Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y75 NAME VBRK_X18Y75 TILEPROP VBRK_X18Y75 NUM_ARCS 0 TILEPROP VBRK_X18Y75 NUM_SITES 0 TILEPROP VBRK_X18Y75 ROW 81 TILEPROP VBRK_X18Y75 SLR_REGION_ID 0 TILEPROP VBRK_X18Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y75 TILE_TYPE VBRK TILEPROP VBRK_X18Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y75 TILE_X -70918 TILEPROP VBRK_X18Y75 TILE_Y -8000 TILEPROP VBRK_X18Y75 TYPE VBRK TILEPROP VBRK_X18Y76 CLASS tile TILEPROP VBRK_X18Y76 COLUMN 18 TILEPROP VBRK_X18Y76 DEVICE_ID 0 TILEPROP VBRK_X18Y76 FIRST_SITE_ID 7917 TILEPROP VBRK_X18Y76 GRID_POINT_X 18 TILEPROP VBRK_X18Y76 GRID_POINT_Y 80 TILEPROP VBRK_X18Y76 INDEX 9218 TILEPROP VBRK_X18Y76 INT_TILE_X 5 TILEPROP VBRK_X18Y76 INT_TILE_Y 76 TILEPROP VBRK_X18Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y76 IS_DCM_TILE 0 TILEPROP VBRK_X18Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y76 NAME VBRK_X18Y76 TILEPROP VBRK_X18Y76 NUM_ARCS 0 TILEPROP VBRK_X18Y76 NUM_SITES 0 TILEPROP VBRK_X18Y76 ROW 80 TILEPROP VBRK_X18Y76 SLR_REGION_ID 0 TILEPROP VBRK_X18Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y76 TILE_TYPE VBRK TILEPROP VBRK_X18Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y76 TILE_X -70918 TILEPROP VBRK_X18Y76 TILE_Y -4800 TILEPROP VBRK_X18Y76 TYPE VBRK TILEPROP VBRK_X18Y77 CLASS tile TILEPROP VBRK_X18Y77 COLUMN 18 TILEPROP VBRK_X18Y77 DEVICE_ID 0 TILEPROP VBRK_X18Y77 FIRST_SITE_ID 7817 TILEPROP VBRK_X18Y77 GRID_POINT_X 18 TILEPROP VBRK_X18Y77 GRID_POINT_Y 79 TILEPROP VBRK_X18Y77 INDEX 9103 TILEPROP VBRK_X18Y77 INT_TILE_X 5 TILEPROP VBRK_X18Y77 INT_TILE_Y 75 TILEPROP VBRK_X18Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y77 IS_DCM_TILE 0 TILEPROP VBRK_X18Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y77 NAME VBRK_X18Y77 TILEPROP VBRK_X18Y77 NUM_ARCS 0 TILEPROP VBRK_X18Y77 NUM_SITES 0 TILEPROP VBRK_X18Y77 ROW 79 TILEPROP VBRK_X18Y77 SLR_REGION_ID 0 TILEPROP VBRK_X18Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y77 TILE_TYPE VBRK TILEPROP VBRK_X18Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y77 TILE_X -70918 TILEPROP VBRK_X18Y77 TILE_Y -1600 TILEPROP VBRK_X18Y77 TYPE VBRK TILEPROP VBRK_X18Y79 CLASS tile TILEPROP VBRK_X18Y79 COLUMN 18 TILEPROP VBRK_X18Y79 DEVICE_ID 0 TILEPROP VBRK_X18Y79 FIRST_SITE_ID 7616 TILEPROP VBRK_X18Y79 GRID_POINT_X 18 TILEPROP VBRK_X18Y79 GRID_POINT_Y 77 TILEPROP VBRK_X18Y79 INDEX 8873 TILEPROP VBRK_X18Y79 INT_TILE_X 5 TILEPROP VBRK_X18Y79 INT_TILE_Y 74 TILEPROP VBRK_X18Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y79 IS_DCM_TILE 0 TILEPROP VBRK_X18Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y79 NAME VBRK_X18Y79 TILEPROP VBRK_X18Y79 NUM_ARCS 0 TILEPROP VBRK_X18Y79 NUM_SITES 0 TILEPROP VBRK_X18Y79 ROW 77 TILEPROP VBRK_X18Y79 SLR_REGION_ID 0 TILEPROP VBRK_X18Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y79 TILE_TYPE VBRK TILEPROP VBRK_X18Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y79 TILE_X -70918 TILEPROP VBRK_X18Y79 TILE_Y 2624 TILEPROP VBRK_X18Y79 TYPE VBRK TILEPROP VBRK_X18Y80 CLASS tile TILEPROP VBRK_X18Y80 COLUMN 18 TILEPROP VBRK_X18Y80 DEVICE_ID 0 TILEPROP VBRK_X18Y80 FIRST_SITE_ID 7516 TILEPROP VBRK_X18Y80 GRID_POINT_X 18 TILEPROP VBRK_X18Y80 GRID_POINT_Y 76 TILEPROP VBRK_X18Y80 INDEX 8758 TILEPROP VBRK_X18Y80 INT_TILE_X 5 TILEPROP VBRK_X18Y80 INT_TILE_Y 73 TILEPROP VBRK_X18Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y80 IS_DCM_TILE 0 TILEPROP VBRK_X18Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y80 NAME VBRK_X18Y80 TILEPROP VBRK_X18Y80 NUM_ARCS 0 TILEPROP VBRK_X18Y80 NUM_SITES 0 TILEPROP VBRK_X18Y80 ROW 76 TILEPROP VBRK_X18Y80 SLR_REGION_ID 0 TILEPROP VBRK_X18Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y80 TILE_TYPE VBRK TILEPROP VBRK_X18Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y80 TILE_X -70918 TILEPROP VBRK_X18Y80 TILE_Y 5824 TILEPROP VBRK_X18Y80 TYPE VBRK TILEPROP VBRK_X18Y81 CLASS tile TILEPROP VBRK_X18Y81 COLUMN 18 TILEPROP VBRK_X18Y81 DEVICE_ID 0 TILEPROP VBRK_X18Y81 FIRST_SITE_ID 7416 TILEPROP VBRK_X18Y81 GRID_POINT_X 18 TILEPROP VBRK_X18Y81 GRID_POINT_Y 75 TILEPROP VBRK_X18Y81 INDEX 8643 TILEPROP VBRK_X18Y81 INT_TILE_X 5 TILEPROP VBRK_X18Y81 INT_TILE_Y 72 TILEPROP VBRK_X18Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y81 IS_DCM_TILE 0 TILEPROP VBRK_X18Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y81 NAME VBRK_X18Y81 TILEPROP VBRK_X18Y81 NUM_ARCS 0 TILEPROP VBRK_X18Y81 NUM_SITES 0 TILEPROP VBRK_X18Y81 ROW 75 TILEPROP VBRK_X18Y81 SLR_REGION_ID 0 TILEPROP VBRK_X18Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y81 TILE_TYPE VBRK TILEPROP VBRK_X18Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y81 TILE_X -70918 TILEPROP VBRK_X18Y81 TILE_Y 9024 TILEPROP VBRK_X18Y81 TYPE VBRK TILEPROP VBRK_X18Y82 CLASS tile TILEPROP VBRK_X18Y82 COLUMN 18 TILEPROP VBRK_X18Y82 DEVICE_ID 0 TILEPROP VBRK_X18Y82 FIRST_SITE_ID 7316 TILEPROP VBRK_X18Y82 GRID_POINT_X 18 TILEPROP VBRK_X18Y82 GRID_POINT_Y 74 TILEPROP VBRK_X18Y82 INDEX 8528 TILEPROP VBRK_X18Y82 INT_TILE_X 5 TILEPROP VBRK_X18Y82 INT_TILE_Y 71 TILEPROP VBRK_X18Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y82 IS_DCM_TILE 0 TILEPROP VBRK_X18Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y82 NAME VBRK_X18Y82 TILEPROP VBRK_X18Y82 NUM_ARCS 0 TILEPROP VBRK_X18Y82 NUM_SITES 0 TILEPROP VBRK_X18Y82 ROW 74 TILEPROP VBRK_X18Y82 SLR_REGION_ID 0 TILEPROP VBRK_X18Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y82 TILE_TYPE VBRK TILEPROP VBRK_X18Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y82 TILE_X -70918 TILEPROP VBRK_X18Y82 TILE_Y 12224 TILEPROP VBRK_X18Y82 TYPE VBRK TILEPROP VBRK_X18Y83 CLASS tile TILEPROP VBRK_X18Y83 COLUMN 18 TILEPROP VBRK_X18Y83 DEVICE_ID 0 TILEPROP VBRK_X18Y83 FIRST_SITE_ID 7210 TILEPROP VBRK_X18Y83 GRID_POINT_X 18 TILEPROP VBRK_X18Y83 GRID_POINT_Y 73 TILEPROP VBRK_X18Y83 INDEX 8413 TILEPROP VBRK_X18Y83 INT_TILE_X 5 TILEPROP VBRK_X18Y83 INT_TILE_Y 70 TILEPROP VBRK_X18Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y83 IS_DCM_TILE 0 TILEPROP VBRK_X18Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y83 NAME VBRK_X18Y83 TILEPROP VBRK_X18Y83 NUM_ARCS 0 TILEPROP VBRK_X18Y83 NUM_SITES 0 TILEPROP VBRK_X18Y83 ROW 73 TILEPROP VBRK_X18Y83 SLR_REGION_ID 0 TILEPROP VBRK_X18Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y83 TILE_TYPE VBRK TILEPROP VBRK_X18Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y83 TILE_X -70918 TILEPROP VBRK_X18Y83 TILE_Y 15424 TILEPROP VBRK_X18Y83 TYPE VBRK TILEPROP VBRK_X18Y84 CLASS tile TILEPROP VBRK_X18Y84 COLUMN 18 TILEPROP VBRK_X18Y84 DEVICE_ID 0 TILEPROP VBRK_X18Y84 FIRST_SITE_ID 7089 TILEPROP VBRK_X18Y84 GRID_POINT_X 18 TILEPROP VBRK_X18Y84 GRID_POINT_Y 72 TILEPROP VBRK_X18Y84 INDEX 8298 TILEPROP VBRK_X18Y84 INT_TILE_X 5 TILEPROP VBRK_X18Y84 INT_TILE_Y 69 TILEPROP VBRK_X18Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y84 IS_DCM_TILE 0 TILEPROP VBRK_X18Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y84 NAME VBRK_X18Y84 TILEPROP VBRK_X18Y84 NUM_ARCS 0 TILEPROP VBRK_X18Y84 NUM_SITES 0 TILEPROP VBRK_X18Y84 ROW 72 TILEPROP VBRK_X18Y84 SLR_REGION_ID 0 TILEPROP VBRK_X18Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y84 TILE_TYPE VBRK TILEPROP VBRK_X18Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y84 TILE_X -70918 TILEPROP VBRK_X18Y84 TILE_Y 18624 TILEPROP VBRK_X18Y84 TYPE VBRK TILEPROP VBRK_X18Y85 CLASS tile TILEPROP VBRK_X18Y85 COLUMN 18 TILEPROP VBRK_X18Y85 DEVICE_ID 0 TILEPROP VBRK_X18Y85 FIRST_SITE_ID 6987 TILEPROP VBRK_X18Y85 GRID_POINT_X 18 TILEPROP VBRK_X18Y85 GRID_POINT_Y 71 TILEPROP VBRK_X18Y85 INDEX 8183 TILEPROP VBRK_X18Y85 INT_TILE_X 5 TILEPROP VBRK_X18Y85 INT_TILE_Y 68 TILEPROP VBRK_X18Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y85 IS_DCM_TILE 0 TILEPROP VBRK_X18Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y85 NAME VBRK_X18Y85 TILEPROP VBRK_X18Y85 NUM_ARCS 0 TILEPROP VBRK_X18Y85 NUM_SITES 0 TILEPROP VBRK_X18Y85 ROW 71 TILEPROP VBRK_X18Y85 SLR_REGION_ID 0 TILEPROP VBRK_X18Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y85 TILE_TYPE VBRK TILEPROP VBRK_X18Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y85 TILE_X -70918 TILEPROP VBRK_X18Y85 TILE_Y 21824 TILEPROP VBRK_X18Y85 TYPE VBRK TILEPROP VBRK_X18Y86 CLASS tile TILEPROP VBRK_X18Y86 COLUMN 18 TILEPROP VBRK_X18Y86 DEVICE_ID 0 TILEPROP VBRK_X18Y86 FIRST_SITE_ID 6885 TILEPROP VBRK_X18Y86 GRID_POINT_X 18 TILEPROP VBRK_X18Y86 GRID_POINT_Y 70 TILEPROP VBRK_X18Y86 INDEX 8068 TILEPROP VBRK_X18Y86 INT_TILE_X 5 TILEPROP VBRK_X18Y86 INT_TILE_Y 67 TILEPROP VBRK_X18Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y86 IS_DCM_TILE 0 TILEPROP VBRK_X18Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y86 NAME VBRK_X18Y86 TILEPROP VBRK_X18Y86 NUM_ARCS 0 TILEPROP VBRK_X18Y86 NUM_SITES 0 TILEPROP VBRK_X18Y86 ROW 70 TILEPROP VBRK_X18Y86 SLR_REGION_ID 0 TILEPROP VBRK_X18Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y86 TILE_TYPE VBRK TILEPROP VBRK_X18Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y86 TILE_X -70918 TILEPROP VBRK_X18Y86 TILE_Y 25024 TILEPROP VBRK_X18Y86 TYPE VBRK TILEPROP VBRK_X18Y87 CLASS tile TILEPROP VBRK_X18Y87 COLUMN 18 TILEPROP VBRK_X18Y87 DEVICE_ID 0 TILEPROP VBRK_X18Y87 FIRST_SITE_ID 6785 TILEPROP VBRK_X18Y87 GRID_POINT_X 18 TILEPROP VBRK_X18Y87 GRID_POINT_Y 69 TILEPROP VBRK_X18Y87 INDEX 7953 TILEPROP VBRK_X18Y87 INT_TILE_X 5 TILEPROP VBRK_X18Y87 INT_TILE_Y 66 TILEPROP VBRK_X18Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y87 IS_DCM_TILE 0 TILEPROP VBRK_X18Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y87 NAME VBRK_X18Y87 TILEPROP VBRK_X18Y87 NUM_ARCS 0 TILEPROP VBRK_X18Y87 NUM_SITES 0 TILEPROP VBRK_X18Y87 ROW 69 TILEPROP VBRK_X18Y87 SLR_REGION_ID 0 TILEPROP VBRK_X18Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y87 TILE_TYPE VBRK TILEPROP VBRK_X18Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y87 TILE_X -70918 TILEPROP VBRK_X18Y87 TILE_Y 28224 TILEPROP VBRK_X18Y87 TYPE VBRK TILEPROP VBRK_X18Y88 CLASS tile TILEPROP VBRK_X18Y88 COLUMN 18 TILEPROP VBRK_X18Y88 DEVICE_ID 0 TILEPROP VBRK_X18Y88 FIRST_SITE_ID 6685 TILEPROP VBRK_X18Y88 GRID_POINT_X 18 TILEPROP VBRK_X18Y88 GRID_POINT_Y 68 TILEPROP VBRK_X18Y88 INDEX 7838 TILEPROP VBRK_X18Y88 INT_TILE_X 5 TILEPROP VBRK_X18Y88 INT_TILE_Y 65 TILEPROP VBRK_X18Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y88 IS_DCM_TILE 0 TILEPROP VBRK_X18Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y88 NAME VBRK_X18Y88 TILEPROP VBRK_X18Y88 NUM_ARCS 0 TILEPROP VBRK_X18Y88 NUM_SITES 0 TILEPROP VBRK_X18Y88 ROW 68 TILEPROP VBRK_X18Y88 SLR_REGION_ID 0 TILEPROP VBRK_X18Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y88 TILE_TYPE VBRK TILEPROP VBRK_X18Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y88 TILE_X -70918 TILEPROP VBRK_X18Y88 TILE_Y 31424 TILEPROP VBRK_X18Y88 TYPE VBRK TILEPROP VBRK_X18Y89 CLASS tile TILEPROP VBRK_X18Y89 COLUMN 18 TILEPROP VBRK_X18Y89 DEVICE_ID 0 TILEPROP VBRK_X18Y89 FIRST_SITE_ID 6570 TILEPROP VBRK_X18Y89 GRID_POINT_X 18 TILEPROP VBRK_X18Y89 GRID_POINT_Y 67 TILEPROP VBRK_X18Y89 INDEX 7723 TILEPROP VBRK_X18Y89 INT_TILE_X 5 TILEPROP VBRK_X18Y89 INT_TILE_Y 64 TILEPROP VBRK_X18Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y89 IS_DCM_TILE 0 TILEPROP VBRK_X18Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y89 NAME VBRK_X18Y89 TILEPROP VBRK_X18Y89 NUM_ARCS 0 TILEPROP VBRK_X18Y89 NUM_SITES 0 TILEPROP VBRK_X18Y89 ROW 67 TILEPROP VBRK_X18Y89 SLR_REGION_ID 0 TILEPROP VBRK_X18Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y89 TILE_TYPE VBRK TILEPROP VBRK_X18Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y89 TILE_X -70918 TILEPROP VBRK_X18Y89 TILE_Y 34624 TILEPROP VBRK_X18Y89 TYPE VBRK TILEPROP VBRK_X18Y90 CLASS tile TILEPROP VBRK_X18Y90 COLUMN 18 TILEPROP VBRK_X18Y90 DEVICE_ID 0 TILEPROP VBRK_X18Y90 FIRST_SITE_ID 6438 TILEPROP VBRK_X18Y90 GRID_POINT_X 18 TILEPROP VBRK_X18Y90 GRID_POINT_Y 66 TILEPROP VBRK_X18Y90 INDEX 7608 TILEPROP VBRK_X18Y90 INT_TILE_X 5 TILEPROP VBRK_X18Y90 INT_TILE_Y 63 TILEPROP VBRK_X18Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y90 IS_DCM_TILE 0 TILEPROP VBRK_X18Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y90 NAME VBRK_X18Y90 TILEPROP VBRK_X18Y90 NUM_ARCS 0 TILEPROP VBRK_X18Y90 NUM_SITES 0 TILEPROP VBRK_X18Y90 ROW 66 TILEPROP VBRK_X18Y90 SLR_REGION_ID 0 TILEPROP VBRK_X18Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y90 TILE_TYPE VBRK TILEPROP VBRK_X18Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y90 TILE_X -70918 TILEPROP VBRK_X18Y90 TILE_Y 37824 TILEPROP VBRK_X18Y90 TYPE VBRK TILEPROP VBRK_X18Y91 CLASS tile TILEPROP VBRK_X18Y91 COLUMN 18 TILEPROP VBRK_X18Y91 DEVICE_ID 0 TILEPROP VBRK_X18Y91 FIRST_SITE_ID 6338 TILEPROP VBRK_X18Y91 GRID_POINT_X 18 TILEPROP VBRK_X18Y91 GRID_POINT_Y 65 TILEPROP VBRK_X18Y91 INDEX 7493 TILEPROP VBRK_X18Y91 INT_TILE_X 5 TILEPROP VBRK_X18Y91 INT_TILE_Y 62 TILEPROP VBRK_X18Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y91 IS_DCM_TILE 0 TILEPROP VBRK_X18Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y91 NAME VBRK_X18Y91 TILEPROP VBRK_X18Y91 NUM_ARCS 0 TILEPROP VBRK_X18Y91 NUM_SITES 0 TILEPROP VBRK_X18Y91 ROW 65 TILEPROP VBRK_X18Y91 SLR_REGION_ID 0 TILEPROP VBRK_X18Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y91 TILE_TYPE VBRK TILEPROP VBRK_X18Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y91 TILE_X -70918 TILEPROP VBRK_X18Y91 TILE_Y 41024 TILEPROP VBRK_X18Y91 TYPE VBRK TILEPROP VBRK_X18Y92 CLASS tile TILEPROP VBRK_X18Y92 COLUMN 18 TILEPROP VBRK_X18Y92 DEVICE_ID 0 TILEPROP VBRK_X18Y92 FIRST_SITE_ID 6238 TILEPROP VBRK_X18Y92 GRID_POINT_X 18 TILEPROP VBRK_X18Y92 GRID_POINT_Y 64 TILEPROP VBRK_X18Y92 INDEX 7378 TILEPROP VBRK_X18Y92 INT_TILE_X 5 TILEPROP VBRK_X18Y92 INT_TILE_Y 61 TILEPROP VBRK_X18Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y92 IS_DCM_TILE 0 TILEPROP VBRK_X18Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y92 NAME VBRK_X18Y92 TILEPROP VBRK_X18Y92 NUM_ARCS 0 TILEPROP VBRK_X18Y92 NUM_SITES 0 TILEPROP VBRK_X18Y92 ROW 64 TILEPROP VBRK_X18Y92 SLR_REGION_ID 0 TILEPROP VBRK_X18Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y92 TILE_TYPE VBRK TILEPROP VBRK_X18Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y92 TILE_X -70918 TILEPROP VBRK_X18Y92 TILE_Y 44224 TILEPROP VBRK_X18Y92 TYPE VBRK TILEPROP VBRK_X18Y93 CLASS tile TILEPROP VBRK_X18Y93 COLUMN 18 TILEPROP VBRK_X18Y93 DEVICE_ID 0 TILEPROP VBRK_X18Y93 FIRST_SITE_ID 6138 TILEPROP VBRK_X18Y93 GRID_POINT_X 18 TILEPROP VBRK_X18Y93 GRID_POINT_Y 63 TILEPROP VBRK_X18Y93 INDEX 7263 TILEPROP VBRK_X18Y93 INT_TILE_X 5 TILEPROP VBRK_X18Y93 INT_TILE_Y 60 TILEPROP VBRK_X18Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y93 IS_DCM_TILE 0 TILEPROP VBRK_X18Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y93 NAME VBRK_X18Y93 TILEPROP VBRK_X18Y93 NUM_ARCS 0 TILEPROP VBRK_X18Y93 NUM_SITES 0 TILEPROP VBRK_X18Y93 ROW 63 TILEPROP VBRK_X18Y93 SLR_REGION_ID 0 TILEPROP VBRK_X18Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y93 TILE_TYPE VBRK TILEPROP VBRK_X18Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y93 TILE_X -70918 TILEPROP VBRK_X18Y93 TILE_Y 47424 TILEPROP VBRK_X18Y93 TYPE VBRK TILEPROP VBRK_X18Y94 CLASS tile TILEPROP VBRK_X18Y94 COLUMN 18 TILEPROP VBRK_X18Y94 DEVICE_ID 0 TILEPROP VBRK_X18Y94 FIRST_SITE_ID 6023 TILEPROP VBRK_X18Y94 GRID_POINT_X 18 TILEPROP VBRK_X18Y94 GRID_POINT_Y 62 TILEPROP VBRK_X18Y94 INDEX 7148 TILEPROP VBRK_X18Y94 INT_TILE_X 5 TILEPROP VBRK_X18Y94 INT_TILE_Y 59 TILEPROP VBRK_X18Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y94 IS_DCM_TILE 0 TILEPROP VBRK_X18Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y94 NAME VBRK_X18Y94 TILEPROP VBRK_X18Y94 NUM_ARCS 0 TILEPROP VBRK_X18Y94 NUM_SITES 0 TILEPROP VBRK_X18Y94 ROW 62 TILEPROP VBRK_X18Y94 SLR_REGION_ID 0 TILEPROP VBRK_X18Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y94 TILE_TYPE VBRK TILEPROP VBRK_X18Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y94 TILE_X -70918 TILEPROP VBRK_X18Y94 TILE_Y 50624 TILEPROP VBRK_X18Y94 TYPE VBRK TILEPROP VBRK_X18Y95 CLASS tile TILEPROP VBRK_X18Y95 COLUMN 18 TILEPROP VBRK_X18Y95 DEVICE_ID 0 TILEPROP VBRK_X18Y95 FIRST_SITE_ID 5923 TILEPROP VBRK_X18Y95 GRID_POINT_X 18 TILEPROP VBRK_X18Y95 GRID_POINT_Y 61 TILEPROP VBRK_X18Y95 INDEX 7033 TILEPROP VBRK_X18Y95 INT_TILE_X 5 TILEPROP VBRK_X18Y95 INT_TILE_Y 58 TILEPROP VBRK_X18Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y95 IS_DCM_TILE 0 TILEPROP VBRK_X18Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y95 NAME VBRK_X18Y95 TILEPROP VBRK_X18Y95 NUM_ARCS 0 TILEPROP VBRK_X18Y95 NUM_SITES 0 TILEPROP VBRK_X18Y95 ROW 61 TILEPROP VBRK_X18Y95 SLR_REGION_ID 0 TILEPROP VBRK_X18Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y95 TILE_TYPE VBRK TILEPROP VBRK_X18Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y95 TILE_X -70918 TILEPROP VBRK_X18Y95 TILE_Y 53824 TILEPROP VBRK_X18Y95 TYPE VBRK TILEPROP VBRK_X18Y96 CLASS tile TILEPROP VBRK_X18Y96 COLUMN 18 TILEPROP VBRK_X18Y96 DEVICE_ID 0 TILEPROP VBRK_X18Y96 FIRST_SITE_ID 5822 TILEPROP VBRK_X18Y96 GRID_POINT_X 18 TILEPROP VBRK_X18Y96 GRID_POINT_Y 60 TILEPROP VBRK_X18Y96 INDEX 6918 TILEPROP VBRK_X18Y96 INT_TILE_X 5 TILEPROP VBRK_X18Y96 INT_TILE_Y 57 TILEPROP VBRK_X18Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y96 IS_DCM_TILE 0 TILEPROP VBRK_X18Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y96 NAME VBRK_X18Y96 TILEPROP VBRK_X18Y96 NUM_ARCS 0 TILEPROP VBRK_X18Y96 NUM_SITES 0 TILEPROP VBRK_X18Y96 ROW 60 TILEPROP VBRK_X18Y96 SLR_REGION_ID 0 TILEPROP VBRK_X18Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y96 TILE_TYPE VBRK TILEPROP VBRK_X18Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y96 TILE_X -70918 TILEPROP VBRK_X18Y96 TILE_Y 57024 TILEPROP VBRK_X18Y96 TYPE VBRK TILEPROP VBRK_X18Y97 CLASS tile TILEPROP VBRK_X18Y97 COLUMN 18 TILEPROP VBRK_X18Y97 DEVICE_ID 0 TILEPROP VBRK_X18Y97 FIRST_SITE_ID 5719 TILEPROP VBRK_X18Y97 GRID_POINT_X 18 TILEPROP VBRK_X18Y97 GRID_POINT_Y 59 TILEPROP VBRK_X18Y97 INDEX 6803 TILEPROP VBRK_X18Y97 INT_TILE_X 5 TILEPROP VBRK_X18Y97 INT_TILE_Y 56 TILEPROP VBRK_X18Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y97 IS_DCM_TILE 0 TILEPROP VBRK_X18Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y97 NAME VBRK_X18Y97 TILEPROP VBRK_X18Y97 NUM_ARCS 0 TILEPROP VBRK_X18Y97 NUM_SITES 0 TILEPROP VBRK_X18Y97 ROW 59 TILEPROP VBRK_X18Y97 SLR_REGION_ID 0 TILEPROP VBRK_X18Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y97 TILE_TYPE VBRK TILEPROP VBRK_X18Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y97 TILE_X -70918 TILEPROP VBRK_X18Y97 TILE_Y 60224 TILEPROP VBRK_X18Y97 TYPE VBRK TILEPROP VBRK_X18Y98 CLASS tile TILEPROP VBRK_X18Y98 COLUMN 18 TILEPROP VBRK_X18Y98 DEVICE_ID 0 TILEPROP VBRK_X18Y98 FIRST_SITE_ID 5617 TILEPROP VBRK_X18Y98 GRID_POINT_X 18 TILEPROP VBRK_X18Y98 GRID_POINT_Y 58 TILEPROP VBRK_X18Y98 INDEX 6688 TILEPROP VBRK_X18Y98 INT_TILE_X 5 TILEPROP VBRK_X18Y98 INT_TILE_Y 55 TILEPROP VBRK_X18Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y98 IS_DCM_TILE 0 TILEPROP VBRK_X18Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y98 NAME VBRK_X18Y98 TILEPROP VBRK_X18Y98 NUM_ARCS 0 TILEPROP VBRK_X18Y98 NUM_SITES 0 TILEPROP VBRK_X18Y98 ROW 58 TILEPROP VBRK_X18Y98 SLR_REGION_ID 0 TILEPROP VBRK_X18Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y98 TILE_TYPE VBRK TILEPROP VBRK_X18Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y98 TILE_X -70918 TILEPROP VBRK_X18Y98 TILE_Y 63424 TILEPROP VBRK_X18Y98 TYPE VBRK TILEPROP VBRK_X18Y99 CLASS tile TILEPROP VBRK_X18Y99 COLUMN 18 TILEPROP VBRK_X18Y99 DEVICE_ID 0 TILEPROP VBRK_X18Y99 FIRST_SITE_ID 5502 TILEPROP VBRK_X18Y99 GRID_POINT_X 18 TILEPROP VBRK_X18Y99 GRID_POINT_Y 57 TILEPROP VBRK_X18Y99 INDEX 6573 TILEPROP VBRK_X18Y99 INT_TILE_X 5 TILEPROP VBRK_X18Y99 INT_TILE_Y 54 TILEPROP VBRK_X18Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y99 IS_DCM_TILE 0 TILEPROP VBRK_X18Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y99 NAME VBRK_X18Y99 TILEPROP VBRK_X18Y99 NUM_ARCS 0 TILEPROP VBRK_X18Y99 NUM_SITES 0 TILEPROP VBRK_X18Y99 ROW 57 TILEPROP VBRK_X18Y99 SLR_REGION_ID 0 TILEPROP VBRK_X18Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y99 TILE_TYPE VBRK TILEPROP VBRK_X18Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y99 TILE_X -70918 TILEPROP VBRK_X18Y99 TILE_Y 66624 TILEPROP VBRK_X18Y99 TYPE VBRK TILEPROP VBRK_X18Y100 CLASS tile TILEPROP VBRK_X18Y100 COLUMN 18 TILEPROP VBRK_X18Y100 DEVICE_ID 0 TILEPROP VBRK_X18Y100 FIRST_SITE_ID 5402 TILEPROP VBRK_X18Y100 GRID_POINT_X 18 TILEPROP VBRK_X18Y100 GRID_POINT_Y 56 TILEPROP VBRK_X18Y100 INDEX 6458 TILEPROP VBRK_X18Y100 INT_TILE_X 5 TILEPROP VBRK_X18Y100 INT_TILE_Y 53 TILEPROP VBRK_X18Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y100 IS_DCM_TILE 0 TILEPROP VBRK_X18Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y100 NAME VBRK_X18Y100 TILEPROP VBRK_X18Y100 NUM_ARCS 0 TILEPROP VBRK_X18Y100 NUM_SITES 0 TILEPROP VBRK_X18Y100 ROW 56 TILEPROP VBRK_X18Y100 SLR_REGION_ID 0 TILEPROP VBRK_X18Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y100 TILE_TYPE VBRK TILEPROP VBRK_X18Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y100 TILE_X -70918 TILEPROP VBRK_X18Y100 TILE_Y 69824 TILEPROP VBRK_X18Y100 TYPE VBRK TILEPROP VBRK_X18Y101 CLASS tile TILEPROP VBRK_X18Y101 COLUMN 18 TILEPROP VBRK_X18Y101 DEVICE_ID 0 TILEPROP VBRK_X18Y101 FIRST_SITE_ID 5302 TILEPROP VBRK_X18Y101 GRID_POINT_X 18 TILEPROP VBRK_X18Y101 GRID_POINT_Y 55 TILEPROP VBRK_X18Y101 INDEX 6343 TILEPROP VBRK_X18Y101 INT_TILE_X 5 TILEPROP VBRK_X18Y101 INT_TILE_Y 52 TILEPROP VBRK_X18Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y101 IS_DCM_TILE 0 TILEPROP VBRK_X18Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y101 NAME VBRK_X18Y101 TILEPROP VBRK_X18Y101 NUM_ARCS 0 TILEPROP VBRK_X18Y101 NUM_SITES 0 TILEPROP VBRK_X18Y101 ROW 55 TILEPROP VBRK_X18Y101 SLR_REGION_ID 0 TILEPROP VBRK_X18Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y101 TILE_TYPE VBRK TILEPROP VBRK_X18Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y101 TILE_X -70918 TILEPROP VBRK_X18Y101 TILE_Y 73024 TILEPROP VBRK_X18Y101 TYPE VBRK TILEPROP VBRK_X18Y102 CLASS tile TILEPROP VBRK_X18Y102 COLUMN 18 TILEPROP VBRK_X18Y102 DEVICE_ID 0 TILEPROP VBRK_X18Y102 FIRST_SITE_ID 5202 TILEPROP VBRK_X18Y102 GRID_POINT_X 18 TILEPROP VBRK_X18Y102 GRID_POINT_Y 54 TILEPROP VBRK_X18Y102 INDEX 6228 TILEPROP VBRK_X18Y102 INT_TILE_X 5 TILEPROP VBRK_X18Y102 INT_TILE_Y 51 TILEPROP VBRK_X18Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y102 IS_DCM_TILE 0 TILEPROP VBRK_X18Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y102 NAME VBRK_X18Y102 TILEPROP VBRK_X18Y102 NUM_ARCS 0 TILEPROP VBRK_X18Y102 NUM_SITES 0 TILEPROP VBRK_X18Y102 ROW 54 TILEPROP VBRK_X18Y102 SLR_REGION_ID 0 TILEPROP VBRK_X18Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y102 TILE_TYPE VBRK TILEPROP VBRK_X18Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y102 TILE_X -70918 TILEPROP VBRK_X18Y102 TILE_Y 76224 TILEPROP VBRK_X18Y102 TYPE VBRK TILEPROP VBRK_X18Y103 CLASS tile TILEPROP VBRK_X18Y103 COLUMN 18 TILEPROP VBRK_X18Y103 DEVICE_ID 0 TILEPROP VBRK_X18Y103 FIRST_SITE_ID 5106 TILEPROP VBRK_X18Y103 GRID_POINT_X 18 TILEPROP VBRK_X18Y103 GRID_POINT_Y 53 TILEPROP VBRK_X18Y103 INDEX 6113 TILEPROP VBRK_X18Y103 INT_TILE_X 5 TILEPROP VBRK_X18Y103 INT_TILE_Y 50 TILEPROP VBRK_X18Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y103 IS_DCM_TILE 0 TILEPROP VBRK_X18Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y103 NAME VBRK_X18Y103 TILEPROP VBRK_X18Y103 NUM_ARCS 0 TILEPROP VBRK_X18Y103 NUM_SITES 0 TILEPROP VBRK_X18Y103 ROW 53 TILEPROP VBRK_X18Y103 SLR_REGION_ID 0 TILEPROP VBRK_X18Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y103 TILE_TYPE VBRK TILEPROP VBRK_X18Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y103 TILE_X -70918 TILEPROP VBRK_X18Y103 TILE_Y 79424 TILEPROP VBRK_X18Y103 TYPE VBRK TILEPROP VBRK_X18Y105 CLASS tile TILEPROP VBRK_X18Y105 COLUMN 18 TILEPROP VBRK_X18Y105 DEVICE_ID 0 TILEPROP VBRK_X18Y105 FIRST_SITE_ID 5005 TILEPROP VBRK_X18Y105 GRID_POINT_X 18 TILEPROP VBRK_X18Y105 GRID_POINT_Y 51 TILEPROP VBRK_X18Y105 INDEX 5883 TILEPROP VBRK_X18Y105 INT_TILE_X 5 TILEPROP VBRK_X18Y105 INT_TILE_Y 49 TILEPROP VBRK_X18Y105 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y105 IS_DCM_TILE 0 TILEPROP VBRK_X18Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y105 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y105 NAME VBRK_X18Y105 TILEPROP VBRK_X18Y105 NUM_ARCS 0 TILEPROP VBRK_X18Y105 NUM_SITES 0 TILEPROP VBRK_X18Y105 ROW 51 TILEPROP VBRK_X18Y105 SLR_REGION_ID 0 TILEPROP VBRK_X18Y105 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y105 TILE_TYPE VBRK TILEPROP VBRK_X18Y105 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y105 TILE_X -70918 TILEPROP VBRK_X18Y105 TILE_Y 82872 TILEPROP VBRK_X18Y105 TYPE VBRK TILEPROP VBRK_X18Y106 CLASS tile TILEPROP VBRK_X18Y106 COLUMN 18 TILEPROP VBRK_X18Y106 DEVICE_ID 0 TILEPROP VBRK_X18Y106 FIRST_SITE_ID 4913 TILEPROP VBRK_X18Y106 GRID_POINT_X 18 TILEPROP VBRK_X18Y106 GRID_POINT_Y 50 TILEPROP VBRK_X18Y106 INDEX 5768 TILEPROP VBRK_X18Y106 INT_TILE_X 5 TILEPROP VBRK_X18Y106 INT_TILE_Y 48 TILEPROP VBRK_X18Y106 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y106 IS_DCM_TILE 0 TILEPROP VBRK_X18Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y106 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y106 NAME VBRK_X18Y106 TILEPROP VBRK_X18Y106 NUM_ARCS 0 TILEPROP VBRK_X18Y106 NUM_SITES 0 TILEPROP VBRK_X18Y106 ROW 50 TILEPROP VBRK_X18Y106 SLR_REGION_ID 0 TILEPROP VBRK_X18Y106 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y106 TILE_TYPE VBRK TILEPROP VBRK_X18Y106 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y106 TILE_X -70918 TILEPROP VBRK_X18Y106 TILE_Y 86072 TILEPROP VBRK_X18Y106 TYPE VBRK TILEPROP VBRK_X18Y107 CLASS tile TILEPROP VBRK_X18Y107 COLUMN 18 TILEPROP VBRK_X18Y107 DEVICE_ID 0 TILEPROP VBRK_X18Y107 FIRST_SITE_ID 4817 TILEPROP VBRK_X18Y107 GRID_POINT_X 18 TILEPROP VBRK_X18Y107 GRID_POINT_Y 49 TILEPROP VBRK_X18Y107 INDEX 5653 TILEPROP VBRK_X18Y107 INT_TILE_X 5 TILEPROP VBRK_X18Y107 INT_TILE_Y 47 TILEPROP VBRK_X18Y107 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y107 IS_DCM_TILE 0 TILEPROP VBRK_X18Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y107 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y107 NAME VBRK_X18Y107 TILEPROP VBRK_X18Y107 NUM_ARCS 0 TILEPROP VBRK_X18Y107 NUM_SITES 0 TILEPROP VBRK_X18Y107 ROW 49 TILEPROP VBRK_X18Y107 SLR_REGION_ID 0 TILEPROP VBRK_X18Y107 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y107 TILE_TYPE VBRK TILEPROP VBRK_X18Y107 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y107 TILE_X -70918 TILEPROP VBRK_X18Y107 TILE_Y 89272 TILEPROP VBRK_X18Y107 TYPE VBRK TILEPROP VBRK_X18Y108 CLASS tile TILEPROP VBRK_X18Y108 COLUMN 18 TILEPROP VBRK_X18Y108 DEVICE_ID 0 TILEPROP VBRK_X18Y108 FIRST_SITE_ID 4729 TILEPROP VBRK_X18Y108 GRID_POINT_X 18 TILEPROP VBRK_X18Y108 GRID_POINT_Y 48 TILEPROP VBRK_X18Y108 INDEX 5538 TILEPROP VBRK_X18Y108 INT_TILE_X 5 TILEPROP VBRK_X18Y108 INT_TILE_Y 46 TILEPROP VBRK_X18Y108 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y108 IS_DCM_TILE 0 TILEPROP VBRK_X18Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y108 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y108 NAME VBRK_X18Y108 TILEPROP VBRK_X18Y108 NUM_ARCS 0 TILEPROP VBRK_X18Y108 NUM_SITES 0 TILEPROP VBRK_X18Y108 ROW 48 TILEPROP VBRK_X18Y108 SLR_REGION_ID 0 TILEPROP VBRK_X18Y108 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y108 TILE_TYPE VBRK TILEPROP VBRK_X18Y108 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y108 TILE_X -70918 TILEPROP VBRK_X18Y108 TILE_Y 92472 TILEPROP VBRK_X18Y108 TYPE VBRK TILEPROP VBRK_X18Y109 CLASS tile TILEPROP VBRK_X18Y109 COLUMN 18 TILEPROP VBRK_X18Y109 DEVICE_ID 0 TILEPROP VBRK_X18Y109 FIRST_SITE_ID 4633 TILEPROP VBRK_X18Y109 GRID_POINT_X 18 TILEPROP VBRK_X18Y109 GRID_POINT_Y 47 TILEPROP VBRK_X18Y109 INDEX 5423 TILEPROP VBRK_X18Y109 INT_TILE_X 5 TILEPROP VBRK_X18Y109 INT_TILE_Y 45 TILEPROP VBRK_X18Y109 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y109 IS_DCM_TILE 0 TILEPROP VBRK_X18Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y109 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y109 NAME VBRK_X18Y109 TILEPROP VBRK_X18Y109 NUM_ARCS 0 TILEPROP VBRK_X18Y109 NUM_SITES 0 TILEPROP VBRK_X18Y109 ROW 47 TILEPROP VBRK_X18Y109 SLR_REGION_ID 0 TILEPROP VBRK_X18Y109 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y109 TILE_TYPE VBRK TILEPROP VBRK_X18Y109 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y109 TILE_X -70918 TILEPROP VBRK_X18Y109 TILE_Y 95672 TILEPROP VBRK_X18Y109 TYPE VBRK TILEPROP VBRK_X18Y110 CLASS tile TILEPROP VBRK_X18Y110 COLUMN 18 TILEPROP VBRK_X18Y110 DEVICE_ID 0 TILEPROP VBRK_X18Y110 FIRST_SITE_ID 4531 TILEPROP VBRK_X18Y110 GRID_POINT_X 18 TILEPROP VBRK_X18Y110 GRID_POINT_Y 46 TILEPROP VBRK_X18Y110 INDEX 5308 TILEPROP VBRK_X18Y110 INT_TILE_X 5 TILEPROP VBRK_X18Y110 INT_TILE_Y 44 TILEPROP VBRK_X18Y110 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y110 IS_DCM_TILE 0 TILEPROP VBRK_X18Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y110 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y110 NAME VBRK_X18Y110 TILEPROP VBRK_X18Y110 NUM_ARCS 0 TILEPROP VBRK_X18Y110 NUM_SITES 0 TILEPROP VBRK_X18Y110 ROW 46 TILEPROP VBRK_X18Y110 SLR_REGION_ID 0 TILEPROP VBRK_X18Y110 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y110 TILE_TYPE VBRK TILEPROP VBRK_X18Y110 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y110 TILE_X -70918 TILEPROP VBRK_X18Y110 TILE_Y 98872 TILEPROP VBRK_X18Y110 TYPE VBRK TILEPROP VBRK_X18Y111 CLASS tile TILEPROP VBRK_X18Y111 COLUMN 18 TILEPROP VBRK_X18Y111 DEVICE_ID 0 TILEPROP VBRK_X18Y111 FIRST_SITE_ID 4435 TILEPROP VBRK_X18Y111 GRID_POINT_X 18 TILEPROP VBRK_X18Y111 GRID_POINT_Y 45 TILEPROP VBRK_X18Y111 INDEX 5193 TILEPROP VBRK_X18Y111 INT_TILE_X 5 TILEPROP VBRK_X18Y111 INT_TILE_Y 43 TILEPROP VBRK_X18Y111 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y111 IS_DCM_TILE 0 TILEPROP VBRK_X18Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y111 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y111 NAME VBRK_X18Y111 TILEPROP VBRK_X18Y111 NUM_ARCS 0 TILEPROP VBRK_X18Y111 NUM_SITES 0 TILEPROP VBRK_X18Y111 ROW 45 TILEPROP VBRK_X18Y111 SLR_REGION_ID 0 TILEPROP VBRK_X18Y111 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y111 TILE_TYPE VBRK TILEPROP VBRK_X18Y111 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y111 TILE_X -70918 TILEPROP VBRK_X18Y111 TILE_Y 102072 TILEPROP VBRK_X18Y111 TYPE VBRK TILEPROP VBRK_X18Y112 CLASS tile TILEPROP VBRK_X18Y112 COLUMN 18 TILEPROP VBRK_X18Y112 DEVICE_ID 0 TILEPROP VBRK_X18Y112 FIRST_SITE_ID 4347 TILEPROP VBRK_X18Y112 GRID_POINT_X 18 TILEPROP VBRK_X18Y112 GRID_POINT_Y 44 TILEPROP VBRK_X18Y112 INDEX 5078 TILEPROP VBRK_X18Y112 INT_TILE_X 5 TILEPROP VBRK_X18Y112 INT_TILE_Y 42 TILEPROP VBRK_X18Y112 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y112 IS_DCM_TILE 0 TILEPROP VBRK_X18Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y112 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y112 NAME VBRK_X18Y112 TILEPROP VBRK_X18Y112 NUM_ARCS 0 TILEPROP VBRK_X18Y112 NUM_SITES 0 TILEPROP VBRK_X18Y112 ROW 44 TILEPROP VBRK_X18Y112 SLR_REGION_ID 0 TILEPROP VBRK_X18Y112 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y112 TILE_TYPE VBRK TILEPROP VBRK_X18Y112 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y112 TILE_X -70918 TILEPROP VBRK_X18Y112 TILE_Y 105272 TILEPROP VBRK_X18Y112 TYPE VBRK TILEPROP VBRK_X18Y113 CLASS tile TILEPROP VBRK_X18Y113 COLUMN 18 TILEPROP VBRK_X18Y113 DEVICE_ID 0 TILEPROP VBRK_X18Y113 FIRST_SITE_ID 4249 TILEPROP VBRK_X18Y113 GRID_POINT_X 18 TILEPROP VBRK_X18Y113 GRID_POINT_Y 43 TILEPROP VBRK_X18Y113 INDEX 4963 TILEPROP VBRK_X18Y113 INT_TILE_X 5 TILEPROP VBRK_X18Y113 INT_TILE_Y 41 TILEPROP VBRK_X18Y113 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y113 IS_DCM_TILE 0 TILEPROP VBRK_X18Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y113 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y113 NAME VBRK_X18Y113 TILEPROP VBRK_X18Y113 NUM_ARCS 0 TILEPROP VBRK_X18Y113 NUM_SITES 0 TILEPROP VBRK_X18Y113 ROW 43 TILEPROP VBRK_X18Y113 SLR_REGION_ID 0 TILEPROP VBRK_X18Y113 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y113 TILE_TYPE VBRK TILEPROP VBRK_X18Y113 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y113 TILE_X -70918 TILEPROP VBRK_X18Y113 TILE_Y 108472 TILEPROP VBRK_X18Y113 TYPE VBRK TILEPROP VBRK_X18Y114 CLASS tile TILEPROP VBRK_X18Y114 COLUMN 18 TILEPROP VBRK_X18Y114 DEVICE_ID 0 TILEPROP VBRK_X18Y114 FIRST_SITE_ID 4160 TILEPROP VBRK_X18Y114 GRID_POINT_X 18 TILEPROP VBRK_X18Y114 GRID_POINT_Y 42 TILEPROP VBRK_X18Y114 INDEX 4848 TILEPROP VBRK_X18Y114 INT_TILE_X 5 TILEPROP VBRK_X18Y114 INT_TILE_Y 40 TILEPROP VBRK_X18Y114 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y114 IS_DCM_TILE 0 TILEPROP VBRK_X18Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y114 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y114 NAME VBRK_X18Y114 TILEPROP VBRK_X18Y114 NUM_ARCS 0 TILEPROP VBRK_X18Y114 NUM_SITES 0 TILEPROP VBRK_X18Y114 ROW 42 TILEPROP VBRK_X18Y114 SLR_REGION_ID 0 TILEPROP VBRK_X18Y114 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y114 TILE_TYPE VBRK TILEPROP VBRK_X18Y114 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y114 TILE_X -70918 TILEPROP VBRK_X18Y114 TILE_Y 111672 TILEPROP VBRK_X18Y114 TYPE VBRK TILEPROP VBRK_X18Y115 CLASS tile TILEPROP VBRK_X18Y115 COLUMN 18 TILEPROP VBRK_X18Y115 DEVICE_ID 0 TILEPROP VBRK_X18Y115 FIRST_SITE_ID 4054 TILEPROP VBRK_X18Y115 GRID_POINT_X 18 TILEPROP VBRK_X18Y115 GRID_POINT_Y 41 TILEPROP VBRK_X18Y115 INDEX 4733 TILEPROP VBRK_X18Y115 INT_TILE_X 5 TILEPROP VBRK_X18Y115 INT_TILE_Y 39 TILEPROP VBRK_X18Y115 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y115 IS_DCM_TILE 0 TILEPROP VBRK_X18Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y115 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y115 NAME VBRK_X18Y115 TILEPROP VBRK_X18Y115 NUM_ARCS 0 TILEPROP VBRK_X18Y115 NUM_SITES 0 TILEPROP VBRK_X18Y115 ROW 41 TILEPROP VBRK_X18Y115 SLR_REGION_ID 0 TILEPROP VBRK_X18Y115 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y115 TILE_TYPE VBRK TILEPROP VBRK_X18Y115 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y115 TILE_X -70918 TILEPROP VBRK_X18Y115 TILE_Y 114872 TILEPROP VBRK_X18Y115 TYPE VBRK TILEPROP VBRK_X18Y116 CLASS tile TILEPROP VBRK_X18Y116 COLUMN 18 TILEPROP VBRK_X18Y116 DEVICE_ID 0 TILEPROP VBRK_X18Y116 FIRST_SITE_ID 3966 TILEPROP VBRK_X18Y116 GRID_POINT_X 18 TILEPROP VBRK_X18Y116 GRID_POINT_Y 40 TILEPROP VBRK_X18Y116 INDEX 4618 TILEPROP VBRK_X18Y116 INT_TILE_X 5 TILEPROP VBRK_X18Y116 INT_TILE_Y 38 TILEPROP VBRK_X18Y116 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y116 IS_DCM_TILE 0 TILEPROP VBRK_X18Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y116 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y116 NAME VBRK_X18Y116 TILEPROP VBRK_X18Y116 NUM_ARCS 0 TILEPROP VBRK_X18Y116 NUM_SITES 0 TILEPROP VBRK_X18Y116 ROW 40 TILEPROP VBRK_X18Y116 SLR_REGION_ID 0 TILEPROP VBRK_X18Y116 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y116 TILE_TYPE VBRK TILEPROP VBRK_X18Y116 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y116 TILE_X -70918 TILEPROP VBRK_X18Y116 TILE_Y 118072 TILEPROP VBRK_X18Y116 TYPE VBRK TILEPROP VBRK_X18Y117 CLASS tile TILEPROP VBRK_X18Y117 COLUMN 18 TILEPROP VBRK_X18Y117 DEVICE_ID 0 TILEPROP VBRK_X18Y117 FIRST_SITE_ID 3838 TILEPROP VBRK_X18Y117 GRID_POINT_X 18 TILEPROP VBRK_X18Y117 GRID_POINT_Y 39 TILEPROP VBRK_X18Y117 INDEX 4503 TILEPROP VBRK_X18Y117 INT_TILE_X 5 TILEPROP VBRK_X18Y117 INT_TILE_Y 37 TILEPROP VBRK_X18Y117 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y117 IS_DCM_TILE 0 TILEPROP VBRK_X18Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y117 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y117 NAME VBRK_X18Y117 TILEPROP VBRK_X18Y117 NUM_ARCS 0 TILEPROP VBRK_X18Y117 NUM_SITES 0 TILEPROP VBRK_X18Y117 ROW 39 TILEPROP VBRK_X18Y117 SLR_REGION_ID 0 TILEPROP VBRK_X18Y117 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y117 TILE_TYPE VBRK TILEPROP VBRK_X18Y117 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y117 TILE_X -70918 TILEPROP VBRK_X18Y117 TILE_Y 121272 TILEPROP VBRK_X18Y117 TYPE VBRK TILEPROP VBRK_X18Y118 CLASS tile TILEPROP VBRK_X18Y118 COLUMN 18 TILEPROP VBRK_X18Y118 DEVICE_ID 0 TILEPROP VBRK_X18Y118 FIRST_SITE_ID 3750 TILEPROP VBRK_X18Y118 GRID_POINT_X 18 TILEPROP VBRK_X18Y118 GRID_POINT_Y 38 TILEPROP VBRK_X18Y118 INDEX 4388 TILEPROP VBRK_X18Y118 INT_TILE_X 5 TILEPROP VBRK_X18Y118 INT_TILE_Y 36 TILEPROP VBRK_X18Y118 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y118 IS_DCM_TILE 0 TILEPROP VBRK_X18Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y118 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y118 NAME VBRK_X18Y118 TILEPROP VBRK_X18Y118 NUM_ARCS 0 TILEPROP VBRK_X18Y118 NUM_SITES 0 TILEPROP VBRK_X18Y118 ROW 38 TILEPROP VBRK_X18Y118 SLR_REGION_ID 0 TILEPROP VBRK_X18Y118 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y118 TILE_TYPE VBRK TILEPROP VBRK_X18Y118 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y118 TILE_X -70918 TILEPROP VBRK_X18Y118 TILE_Y 124472 TILEPROP VBRK_X18Y118 TYPE VBRK TILEPROP VBRK_X18Y119 CLASS tile TILEPROP VBRK_X18Y119 COLUMN 18 TILEPROP VBRK_X18Y119 DEVICE_ID 0 TILEPROP VBRK_X18Y119 FIRST_SITE_ID 3654 TILEPROP VBRK_X18Y119 GRID_POINT_X 18 TILEPROP VBRK_X18Y119 GRID_POINT_Y 37 TILEPROP VBRK_X18Y119 INDEX 4273 TILEPROP VBRK_X18Y119 INT_TILE_X 5 TILEPROP VBRK_X18Y119 INT_TILE_Y 35 TILEPROP VBRK_X18Y119 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y119 IS_DCM_TILE 0 TILEPROP VBRK_X18Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y119 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y119 NAME VBRK_X18Y119 TILEPROP VBRK_X18Y119 NUM_ARCS 0 TILEPROP VBRK_X18Y119 NUM_SITES 0 TILEPROP VBRK_X18Y119 ROW 37 TILEPROP VBRK_X18Y119 SLR_REGION_ID 0 TILEPROP VBRK_X18Y119 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y119 TILE_TYPE VBRK TILEPROP VBRK_X18Y119 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y119 TILE_X -70918 TILEPROP VBRK_X18Y119 TILE_Y 127672 TILEPROP VBRK_X18Y119 TYPE VBRK TILEPROP VBRK_X18Y120 CLASS tile TILEPROP VBRK_X18Y120 COLUMN 18 TILEPROP VBRK_X18Y120 DEVICE_ID 0 TILEPROP VBRK_X18Y120 FIRST_SITE_ID 3557 TILEPROP VBRK_X18Y120 GRID_POINT_X 18 TILEPROP VBRK_X18Y120 GRID_POINT_Y 36 TILEPROP VBRK_X18Y120 INDEX 4158 TILEPROP VBRK_X18Y120 INT_TILE_X 5 TILEPROP VBRK_X18Y120 INT_TILE_Y 34 TILEPROP VBRK_X18Y120 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y120 IS_DCM_TILE 0 TILEPROP VBRK_X18Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y120 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y120 NAME VBRK_X18Y120 TILEPROP VBRK_X18Y120 NUM_ARCS 0 TILEPROP VBRK_X18Y120 NUM_SITES 0 TILEPROP VBRK_X18Y120 ROW 36 TILEPROP VBRK_X18Y120 SLR_REGION_ID 0 TILEPROP VBRK_X18Y120 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y120 TILE_TYPE VBRK TILEPROP VBRK_X18Y120 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y120 TILE_X -70918 TILEPROP VBRK_X18Y120 TILE_Y 130872 TILEPROP VBRK_X18Y120 TYPE VBRK TILEPROP VBRK_X18Y121 CLASS tile TILEPROP VBRK_X18Y121 COLUMN 18 TILEPROP VBRK_X18Y121 DEVICE_ID 0 TILEPROP VBRK_X18Y121 FIRST_SITE_ID 3456 TILEPROP VBRK_X18Y121 GRID_POINT_X 18 TILEPROP VBRK_X18Y121 GRID_POINT_Y 35 TILEPROP VBRK_X18Y121 INDEX 4043 TILEPROP VBRK_X18Y121 INT_TILE_X 5 TILEPROP VBRK_X18Y121 INT_TILE_Y 33 TILEPROP VBRK_X18Y121 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y121 IS_DCM_TILE 0 TILEPROP VBRK_X18Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y121 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y121 NAME VBRK_X18Y121 TILEPROP VBRK_X18Y121 NUM_ARCS 0 TILEPROP VBRK_X18Y121 NUM_SITES 0 TILEPROP VBRK_X18Y121 ROW 35 TILEPROP VBRK_X18Y121 SLR_REGION_ID 0 TILEPROP VBRK_X18Y121 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y121 TILE_TYPE VBRK TILEPROP VBRK_X18Y121 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y121 TILE_X -70918 TILEPROP VBRK_X18Y121 TILE_Y 134072 TILEPROP VBRK_X18Y121 TYPE VBRK TILEPROP VBRK_X18Y122 CLASS tile TILEPROP VBRK_X18Y122 COLUMN 18 TILEPROP VBRK_X18Y122 DEVICE_ID 0 TILEPROP VBRK_X18Y122 FIRST_SITE_ID 3368 TILEPROP VBRK_X18Y122 GRID_POINT_X 18 TILEPROP VBRK_X18Y122 GRID_POINT_Y 34 TILEPROP VBRK_X18Y122 INDEX 3928 TILEPROP VBRK_X18Y122 INT_TILE_X 5 TILEPROP VBRK_X18Y122 INT_TILE_Y 32 TILEPROP VBRK_X18Y122 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y122 IS_DCM_TILE 0 TILEPROP VBRK_X18Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y122 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y122 NAME VBRK_X18Y122 TILEPROP VBRK_X18Y122 NUM_ARCS 0 TILEPROP VBRK_X18Y122 NUM_SITES 0 TILEPROP VBRK_X18Y122 ROW 34 TILEPROP VBRK_X18Y122 SLR_REGION_ID 0 TILEPROP VBRK_X18Y122 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y122 TILE_TYPE VBRK TILEPROP VBRK_X18Y122 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y122 TILE_X -70918 TILEPROP VBRK_X18Y122 TILE_Y 137272 TILEPROP VBRK_X18Y122 TYPE VBRK TILEPROP VBRK_X18Y123 CLASS tile TILEPROP VBRK_X18Y123 COLUMN 18 TILEPROP VBRK_X18Y123 DEVICE_ID 0 TILEPROP VBRK_X18Y123 FIRST_SITE_ID 3268 TILEPROP VBRK_X18Y123 GRID_POINT_X 18 TILEPROP VBRK_X18Y123 GRID_POINT_Y 33 TILEPROP VBRK_X18Y123 INDEX 3813 TILEPROP VBRK_X18Y123 INT_TILE_X 5 TILEPROP VBRK_X18Y123 INT_TILE_Y 31 TILEPROP VBRK_X18Y123 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y123 IS_DCM_TILE 0 TILEPROP VBRK_X18Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y123 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y123 NAME VBRK_X18Y123 TILEPROP VBRK_X18Y123 NUM_ARCS 0 TILEPROP VBRK_X18Y123 NUM_SITES 0 TILEPROP VBRK_X18Y123 ROW 33 TILEPROP VBRK_X18Y123 SLR_REGION_ID 0 TILEPROP VBRK_X18Y123 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y123 TILE_TYPE VBRK TILEPROP VBRK_X18Y123 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y123 TILE_X -70918 TILEPROP VBRK_X18Y123 TILE_Y 140472 TILEPROP VBRK_X18Y123 TYPE VBRK TILEPROP VBRK_X18Y124 CLASS tile TILEPROP VBRK_X18Y124 COLUMN 18 TILEPROP VBRK_X18Y124 DEVICE_ID 0 TILEPROP VBRK_X18Y124 FIRST_SITE_ID 3180 TILEPROP VBRK_X18Y124 GRID_POINT_X 18 TILEPROP VBRK_X18Y124 GRID_POINT_Y 32 TILEPROP VBRK_X18Y124 INDEX 3698 TILEPROP VBRK_X18Y124 INT_TILE_X 5 TILEPROP VBRK_X18Y124 INT_TILE_Y 30 TILEPROP VBRK_X18Y124 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y124 IS_DCM_TILE 0 TILEPROP VBRK_X18Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y124 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y124 NAME VBRK_X18Y124 TILEPROP VBRK_X18Y124 NUM_ARCS 0 TILEPROP VBRK_X18Y124 NUM_SITES 0 TILEPROP VBRK_X18Y124 ROW 32 TILEPROP VBRK_X18Y124 SLR_REGION_ID 0 TILEPROP VBRK_X18Y124 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y124 TILE_TYPE VBRK TILEPROP VBRK_X18Y124 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y124 TILE_X -70918 TILEPROP VBRK_X18Y124 TILE_Y 143672 TILEPROP VBRK_X18Y124 TYPE VBRK TILEPROP VBRK_X18Y125 CLASS tile TILEPROP VBRK_X18Y125 COLUMN 18 TILEPROP VBRK_X18Y125 DEVICE_ID 0 TILEPROP VBRK_X18Y125 FIRST_SITE_ID 3073 TILEPROP VBRK_X18Y125 GRID_POINT_X 18 TILEPROP VBRK_X18Y125 GRID_POINT_Y 31 TILEPROP VBRK_X18Y125 INDEX 3583 TILEPROP VBRK_X18Y125 INT_TILE_X 5 TILEPROP VBRK_X18Y125 INT_TILE_Y 29 TILEPROP VBRK_X18Y125 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y125 IS_DCM_TILE 0 TILEPROP VBRK_X18Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y125 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y125 NAME VBRK_X18Y125 TILEPROP VBRK_X18Y125 NUM_ARCS 0 TILEPROP VBRK_X18Y125 NUM_SITES 0 TILEPROP VBRK_X18Y125 ROW 31 TILEPROP VBRK_X18Y125 SLR_REGION_ID 0 TILEPROP VBRK_X18Y125 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y125 TILE_TYPE VBRK TILEPROP VBRK_X18Y125 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y125 TILE_X -70918 TILEPROP VBRK_X18Y125 TILE_Y 146872 TILEPROP VBRK_X18Y125 TYPE VBRK TILEPROP VBRK_X18Y126 CLASS tile TILEPROP VBRK_X18Y126 COLUMN 18 TILEPROP VBRK_X18Y126 DEVICE_ID 0 TILEPROP VBRK_X18Y126 FIRST_SITE_ID 2985 TILEPROP VBRK_X18Y126 GRID_POINT_X 18 TILEPROP VBRK_X18Y126 GRID_POINT_Y 30 TILEPROP VBRK_X18Y126 INDEX 3468 TILEPROP VBRK_X18Y126 INT_TILE_X 5 TILEPROP VBRK_X18Y126 INT_TILE_Y 28 TILEPROP VBRK_X18Y126 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y126 IS_DCM_TILE 0 TILEPROP VBRK_X18Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y126 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y126 NAME VBRK_X18Y126 TILEPROP VBRK_X18Y126 NUM_ARCS 0 TILEPROP VBRK_X18Y126 NUM_SITES 0 TILEPROP VBRK_X18Y126 ROW 30 TILEPROP VBRK_X18Y126 SLR_REGION_ID 0 TILEPROP VBRK_X18Y126 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y126 TILE_TYPE VBRK TILEPROP VBRK_X18Y126 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y126 TILE_X -70918 TILEPROP VBRK_X18Y126 TILE_Y 150072 TILEPROP VBRK_X18Y126 TYPE VBRK TILEPROP VBRK_X18Y127 CLASS tile TILEPROP VBRK_X18Y127 COLUMN 18 TILEPROP VBRK_X18Y127 DEVICE_ID 0 TILEPROP VBRK_X18Y127 FIRST_SITE_ID 2882 TILEPROP VBRK_X18Y127 GRID_POINT_X 18 TILEPROP VBRK_X18Y127 GRID_POINT_Y 29 TILEPROP VBRK_X18Y127 INDEX 3353 TILEPROP VBRK_X18Y127 INT_TILE_X 5 TILEPROP VBRK_X18Y127 INT_TILE_Y 27 TILEPROP VBRK_X18Y127 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y127 IS_DCM_TILE 0 TILEPROP VBRK_X18Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y127 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y127 NAME VBRK_X18Y127 TILEPROP VBRK_X18Y127 NUM_ARCS 0 TILEPROP VBRK_X18Y127 NUM_SITES 0 TILEPROP VBRK_X18Y127 ROW 29 TILEPROP VBRK_X18Y127 SLR_REGION_ID 0 TILEPROP VBRK_X18Y127 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y127 TILE_TYPE VBRK TILEPROP VBRK_X18Y127 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y127 TILE_X -70918 TILEPROP VBRK_X18Y127 TILE_Y 153272 TILEPROP VBRK_X18Y127 TYPE VBRK TILEPROP VBRK_X18Y128 CLASS tile TILEPROP VBRK_X18Y128 COLUMN 18 TILEPROP VBRK_X18Y128 DEVICE_ID 0 TILEPROP VBRK_X18Y128 FIRST_SITE_ID 2794 TILEPROP VBRK_X18Y128 GRID_POINT_X 18 TILEPROP VBRK_X18Y128 GRID_POINT_Y 28 TILEPROP VBRK_X18Y128 INDEX 3238 TILEPROP VBRK_X18Y128 INT_TILE_X 5 TILEPROP VBRK_X18Y128 INT_TILE_Y 26 TILEPROP VBRK_X18Y128 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y128 IS_DCM_TILE 0 TILEPROP VBRK_X18Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y128 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y128 NAME VBRK_X18Y128 TILEPROP VBRK_X18Y128 NUM_ARCS 0 TILEPROP VBRK_X18Y128 NUM_SITES 0 TILEPROP VBRK_X18Y128 ROW 28 TILEPROP VBRK_X18Y128 SLR_REGION_ID 0 TILEPROP VBRK_X18Y128 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y128 TILE_TYPE VBRK TILEPROP VBRK_X18Y128 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y128 TILE_X -70918 TILEPROP VBRK_X18Y128 TILE_Y 156472 TILEPROP VBRK_X18Y128 TYPE VBRK TILEPROP VBRK_X18Y129 CLASS tile TILEPROP VBRK_X18Y129 COLUMN 18 TILEPROP VBRK_X18Y129 DEVICE_ID 0 TILEPROP VBRK_X18Y129 FIRST_SITE_ID 2698 TILEPROP VBRK_X18Y129 GRID_POINT_X 18 TILEPROP VBRK_X18Y129 GRID_POINT_Y 27 TILEPROP VBRK_X18Y129 INDEX 3123 TILEPROP VBRK_X18Y129 INT_TILE_X 5 TILEPROP VBRK_X18Y129 INT_TILE_Y 25 TILEPROP VBRK_X18Y129 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y129 IS_DCM_TILE 0 TILEPROP VBRK_X18Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y129 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y129 NAME VBRK_X18Y129 TILEPROP VBRK_X18Y129 NUM_ARCS 0 TILEPROP VBRK_X18Y129 NUM_SITES 0 TILEPROP VBRK_X18Y129 ROW 27 TILEPROP VBRK_X18Y129 SLR_REGION_ID 0 TILEPROP VBRK_X18Y129 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y129 TILE_TYPE VBRK TILEPROP VBRK_X18Y129 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y129 TILE_X -70918 TILEPROP VBRK_X18Y129 TILE_Y 159672 TILEPROP VBRK_X18Y129 TYPE VBRK TILEPROP VBRK_X18Y131 CLASS tile TILEPROP VBRK_X18Y131 COLUMN 18 TILEPROP VBRK_X18Y131 DEVICE_ID 0 TILEPROP VBRK_X18Y131 FIRST_SITE_ID 2519 TILEPROP VBRK_X18Y131 GRID_POINT_X 18 TILEPROP VBRK_X18Y131 GRID_POINT_Y 25 TILEPROP VBRK_X18Y131 INDEX 2893 TILEPROP VBRK_X18Y131 INT_TILE_X 5 TILEPROP VBRK_X18Y131 INT_TILE_Y 24 TILEPROP VBRK_X18Y131 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y131 IS_DCM_TILE 0 TILEPROP VBRK_X18Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y131 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y131 NAME VBRK_X18Y131 TILEPROP VBRK_X18Y131 NUM_ARCS 0 TILEPROP VBRK_X18Y131 NUM_SITES 0 TILEPROP VBRK_X18Y131 ROW 25 TILEPROP VBRK_X18Y131 SLR_REGION_ID 0 TILEPROP VBRK_X18Y131 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y131 TILE_TYPE VBRK TILEPROP VBRK_X18Y131 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y131 TILE_X -70918 TILEPROP VBRK_X18Y131 TILE_Y 163896 TILEPROP VBRK_X18Y131 TYPE VBRK TILEPROP VBRK_X18Y132 CLASS tile TILEPROP VBRK_X18Y132 COLUMN 18 TILEPROP VBRK_X18Y132 DEVICE_ID 0 TILEPROP VBRK_X18Y132 FIRST_SITE_ID 2415 TILEPROP VBRK_X18Y132 GRID_POINT_X 18 TILEPROP VBRK_X18Y132 GRID_POINT_Y 24 TILEPROP VBRK_X18Y132 INDEX 2778 TILEPROP VBRK_X18Y132 INT_TILE_X 5 TILEPROP VBRK_X18Y132 INT_TILE_Y 23 TILEPROP VBRK_X18Y132 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y132 IS_DCM_TILE 0 TILEPROP VBRK_X18Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y132 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y132 NAME VBRK_X18Y132 TILEPROP VBRK_X18Y132 NUM_ARCS 0 TILEPROP VBRK_X18Y132 NUM_SITES 0 TILEPROP VBRK_X18Y132 ROW 24 TILEPROP VBRK_X18Y132 SLR_REGION_ID 0 TILEPROP VBRK_X18Y132 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y132 TILE_TYPE VBRK TILEPROP VBRK_X18Y132 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y132 TILE_X -70918 TILEPROP VBRK_X18Y132 TILE_Y 167096 TILEPROP VBRK_X18Y132 TYPE VBRK TILEPROP VBRK_X18Y133 CLASS tile TILEPROP VBRK_X18Y133 COLUMN 18 TILEPROP VBRK_X18Y133 DEVICE_ID 0 TILEPROP VBRK_X18Y133 FIRST_SITE_ID 2319 TILEPROP VBRK_X18Y133 GRID_POINT_X 18 TILEPROP VBRK_X18Y133 GRID_POINT_Y 23 TILEPROP VBRK_X18Y133 INDEX 2663 TILEPROP VBRK_X18Y133 INT_TILE_X 5 TILEPROP VBRK_X18Y133 INT_TILE_Y 22 TILEPROP VBRK_X18Y133 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y133 IS_DCM_TILE 0 TILEPROP VBRK_X18Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y133 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y133 NAME VBRK_X18Y133 TILEPROP VBRK_X18Y133 NUM_ARCS 0 TILEPROP VBRK_X18Y133 NUM_SITES 0 TILEPROP VBRK_X18Y133 ROW 23 TILEPROP VBRK_X18Y133 SLR_REGION_ID 0 TILEPROP VBRK_X18Y133 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y133 TILE_TYPE VBRK TILEPROP VBRK_X18Y133 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y133 TILE_X -70918 TILEPROP VBRK_X18Y133 TILE_Y 170296 TILEPROP VBRK_X18Y133 TYPE VBRK TILEPROP VBRK_X18Y134 CLASS tile TILEPROP VBRK_X18Y134 COLUMN 18 TILEPROP VBRK_X18Y134 DEVICE_ID 0 TILEPROP VBRK_X18Y134 FIRST_SITE_ID 2215 TILEPROP VBRK_X18Y134 GRID_POINT_X 18 TILEPROP VBRK_X18Y134 GRID_POINT_Y 22 TILEPROP VBRK_X18Y134 INDEX 2548 TILEPROP VBRK_X18Y134 INT_TILE_X 5 TILEPROP VBRK_X18Y134 INT_TILE_Y 21 TILEPROP VBRK_X18Y134 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y134 IS_DCM_TILE 0 TILEPROP VBRK_X18Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y134 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y134 NAME VBRK_X18Y134 TILEPROP VBRK_X18Y134 NUM_ARCS 0 TILEPROP VBRK_X18Y134 NUM_SITES 0 TILEPROP VBRK_X18Y134 ROW 22 TILEPROP VBRK_X18Y134 SLR_REGION_ID 0 TILEPROP VBRK_X18Y134 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y134 TILE_TYPE VBRK TILEPROP VBRK_X18Y134 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y134 TILE_X -70918 TILEPROP VBRK_X18Y134 TILE_Y 173496 TILEPROP VBRK_X18Y134 TYPE VBRK TILEPROP VBRK_X18Y135 CLASS tile TILEPROP VBRK_X18Y135 COLUMN 18 TILEPROP VBRK_X18Y135 DEVICE_ID 0 TILEPROP VBRK_X18Y135 FIRST_SITE_ID 2119 TILEPROP VBRK_X18Y135 GRID_POINT_X 18 TILEPROP VBRK_X18Y135 GRID_POINT_Y 21 TILEPROP VBRK_X18Y135 INDEX 2433 TILEPROP VBRK_X18Y135 INT_TILE_X 5 TILEPROP VBRK_X18Y135 INT_TILE_Y 20 TILEPROP VBRK_X18Y135 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y135 IS_DCM_TILE 0 TILEPROP VBRK_X18Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y135 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y135 NAME VBRK_X18Y135 TILEPROP VBRK_X18Y135 NUM_ARCS 0 TILEPROP VBRK_X18Y135 NUM_SITES 0 TILEPROP VBRK_X18Y135 ROW 21 TILEPROP VBRK_X18Y135 SLR_REGION_ID 0 TILEPROP VBRK_X18Y135 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y135 TILE_TYPE VBRK TILEPROP VBRK_X18Y135 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y135 TILE_X -70918 TILEPROP VBRK_X18Y135 TILE_Y 176696 TILEPROP VBRK_X18Y135 TYPE VBRK TILEPROP VBRK_X18Y136 CLASS tile TILEPROP VBRK_X18Y136 COLUMN 18 TILEPROP VBRK_X18Y136 DEVICE_ID 0 TILEPROP VBRK_X18Y136 FIRST_SITE_ID 1997 TILEPROP VBRK_X18Y136 GRID_POINT_X 18 TILEPROP VBRK_X18Y136 GRID_POINT_Y 20 TILEPROP VBRK_X18Y136 INDEX 2318 TILEPROP VBRK_X18Y136 INT_TILE_X 5 TILEPROP VBRK_X18Y136 INT_TILE_Y 19 TILEPROP VBRK_X18Y136 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y136 IS_DCM_TILE 0 TILEPROP VBRK_X18Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y136 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y136 NAME VBRK_X18Y136 TILEPROP VBRK_X18Y136 NUM_ARCS 0 TILEPROP VBRK_X18Y136 NUM_SITES 0 TILEPROP VBRK_X18Y136 ROW 20 TILEPROP VBRK_X18Y136 SLR_REGION_ID 0 TILEPROP VBRK_X18Y136 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y136 TILE_TYPE VBRK TILEPROP VBRK_X18Y136 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y136 TILE_X -70918 TILEPROP VBRK_X18Y136 TILE_Y 179896 TILEPROP VBRK_X18Y136 TYPE VBRK TILEPROP VBRK_X18Y137 CLASS tile TILEPROP VBRK_X18Y137 COLUMN 18 TILEPROP VBRK_X18Y137 DEVICE_ID 0 TILEPROP VBRK_X18Y137 FIRST_SITE_ID 1901 TILEPROP VBRK_X18Y137 GRID_POINT_X 18 TILEPROP VBRK_X18Y137 GRID_POINT_Y 19 TILEPROP VBRK_X18Y137 INDEX 2203 TILEPROP VBRK_X18Y137 INT_TILE_X 5 TILEPROP VBRK_X18Y137 INT_TILE_Y 18 TILEPROP VBRK_X18Y137 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y137 IS_DCM_TILE 0 TILEPROP VBRK_X18Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y137 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y137 NAME VBRK_X18Y137 TILEPROP VBRK_X18Y137 NUM_ARCS 0 TILEPROP VBRK_X18Y137 NUM_SITES 0 TILEPROP VBRK_X18Y137 ROW 19 TILEPROP VBRK_X18Y137 SLR_REGION_ID 0 TILEPROP VBRK_X18Y137 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y137 TILE_TYPE VBRK TILEPROP VBRK_X18Y137 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y137 TILE_X -70918 TILEPROP VBRK_X18Y137 TILE_Y 183096 TILEPROP VBRK_X18Y137 TYPE VBRK TILEPROP VBRK_X18Y138 CLASS tile TILEPROP VBRK_X18Y138 COLUMN 18 TILEPROP VBRK_X18Y138 DEVICE_ID 0 TILEPROP VBRK_X18Y138 FIRST_SITE_ID 1795 TILEPROP VBRK_X18Y138 GRID_POINT_X 18 TILEPROP VBRK_X18Y138 GRID_POINT_Y 18 TILEPROP VBRK_X18Y138 INDEX 2088 TILEPROP VBRK_X18Y138 INT_TILE_X 5 TILEPROP VBRK_X18Y138 INT_TILE_Y 17 TILEPROP VBRK_X18Y138 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y138 IS_DCM_TILE 0 TILEPROP VBRK_X18Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y138 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y138 NAME VBRK_X18Y138 TILEPROP VBRK_X18Y138 NUM_ARCS 0 TILEPROP VBRK_X18Y138 NUM_SITES 0 TILEPROP VBRK_X18Y138 ROW 18 TILEPROP VBRK_X18Y138 SLR_REGION_ID 0 TILEPROP VBRK_X18Y138 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y138 TILE_TYPE VBRK TILEPROP VBRK_X18Y138 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y138 TILE_X -70918 TILEPROP VBRK_X18Y138 TILE_Y 186296 TILEPROP VBRK_X18Y138 TYPE VBRK TILEPROP VBRK_X18Y139 CLASS tile TILEPROP VBRK_X18Y139 COLUMN 18 TILEPROP VBRK_X18Y139 DEVICE_ID 0 TILEPROP VBRK_X18Y139 FIRST_SITE_ID 1694 TILEPROP VBRK_X18Y139 GRID_POINT_X 18 TILEPROP VBRK_X18Y139 GRID_POINT_Y 17 TILEPROP VBRK_X18Y139 INDEX 1973 TILEPROP VBRK_X18Y139 INT_TILE_X 5 TILEPROP VBRK_X18Y139 INT_TILE_Y 16 TILEPROP VBRK_X18Y139 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y139 IS_DCM_TILE 0 TILEPROP VBRK_X18Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y139 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y139 NAME VBRK_X18Y139 TILEPROP VBRK_X18Y139 NUM_ARCS 0 TILEPROP VBRK_X18Y139 NUM_SITES 0 TILEPROP VBRK_X18Y139 ROW 17 TILEPROP VBRK_X18Y139 SLR_REGION_ID 0 TILEPROP VBRK_X18Y139 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y139 TILE_TYPE VBRK TILEPROP VBRK_X18Y139 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y139 TILE_X -70918 TILEPROP VBRK_X18Y139 TILE_Y 189496 TILEPROP VBRK_X18Y139 TYPE VBRK TILEPROP VBRK_X18Y140 CLASS tile TILEPROP VBRK_X18Y140 COLUMN 18 TILEPROP VBRK_X18Y140 DEVICE_ID 0 TILEPROP VBRK_X18Y140 FIRST_SITE_ID 1590 TILEPROP VBRK_X18Y140 GRID_POINT_X 18 TILEPROP VBRK_X18Y140 GRID_POINT_Y 16 TILEPROP VBRK_X18Y140 INDEX 1858 TILEPROP VBRK_X18Y140 INT_TILE_X 5 TILEPROP VBRK_X18Y140 INT_TILE_Y 15 TILEPROP VBRK_X18Y140 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y140 IS_DCM_TILE 0 TILEPROP VBRK_X18Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y140 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y140 NAME VBRK_X18Y140 TILEPROP VBRK_X18Y140 NUM_ARCS 0 TILEPROP VBRK_X18Y140 NUM_SITES 0 TILEPROP VBRK_X18Y140 ROW 16 TILEPROP VBRK_X18Y140 SLR_REGION_ID 0 TILEPROP VBRK_X18Y140 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y140 TILE_TYPE VBRK TILEPROP VBRK_X18Y140 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y140 TILE_X -70918 TILEPROP VBRK_X18Y140 TILE_Y 192696 TILEPROP VBRK_X18Y140 TYPE VBRK TILEPROP VBRK_X18Y141 CLASS tile TILEPROP VBRK_X18Y141 COLUMN 18 TILEPROP VBRK_X18Y141 DEVICE_ID 0 TILEPROP VBRK_X18Y141 FIRST_SITE_ID 1482 TILEPROP VBRK_X18Y141 GRID_POINT_X 18 TILEPROP VBRK_X18Y141 GRID_POINT_Y 15 TILEPROP VBRK_X18Y141 INDEX 1743 TILEPROP VBRK_X18Y141 INT_TILE_X 5 TILEPROP VBRK_X18Y141 INT_TILE_Y 14 TILEPROP VBRK_X18Y141 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y141 IS_DCM_TILE 0 TILEPROP VBRK_X18Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y141 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y141 NAME VBRK_X18Y141 TILEPROP VBRK_X18Y141 NUM_ARCS 0 TILEPROP VBRK_X18Y141 NUM_SITES 0 TILEPROP VBRK_X18Y141 ROW 15 TILEPROP VBRK_X18Y141 SLR_REGION_ID 0 TILEPROP VBRK_X18Y141 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y141 TILE_TYPE VBRK TILEPROP VBRK_X18Y141 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y141 TILE_X -70918 TILEPROP VBRK_X18Y141 TILE_Y 195896 TILEPROP VBRK_X18Y141 TYPE VBRK TILEPROP VBRK_X18Y142 CLASS tile TILEPROP VBRK_X18Y142 COLUMN 18 TILEPROP VBRK_X18Y142 DEVICE_ID 0 TILEPROP VBRK_X18Y142 FIRST_SITE_ID 1346 TILEPROP VBRK_X18Y142 GRID_POINT_X 18 TILEPROP VBRK_X18Y142 GRID_POINT_Y 14 TILEPROP VBRK_X18Y142 INDEX 1628 TILEPROP VBRK_X18Y142 INT_TILE_X 5 TILEPROP VBRK_X18Y142 INT_TILE_Y 13 TILEPROP VBRK_X18Y142 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y142 IS_DCM_TILE 0 TILEPROP VBRK_X18Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y142 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y142 NAME VBRK_X18Y142 TILEPROP VBRK_X18Y142 NUM_ARCS 0 TILEPROP VBRK_X18Y142 NUM_SITES 0 TILEPROP VBRK_X18Y142 ROW 14 TILEPROP VBRK_X18Y142 SLR_REGION_ID 0 TILEPROP VBRK_X18Y142 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y142 TILE_TYPE VBRK TILEPROP VBRK_X18Y142 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y142 TILE_X -70918 TILEPROP VBRK_X18Y142 TILE_Y 199096 TILEPROP VBRK_X18Y142 TYPE VBRK TILEPROP VBRK_X18Y143 CLASS tile TILEPROP VBRK_X18Y143 COLUMN 18 TILEPROP VBRK_X18Y143 DEVICE_ID 0 TILEPROP VBRK_X18Y143 FIRST_SITE_ID 1250 TILEPROP VBRK_X18Y143 GRID_POINT_X 18 TILEPROP VBRK_X18Y143 GRID_POINT_Y 13 TILEPROP VBRK_X18Y143 INDEX 1513 TILEPROP VBRK_X18Y143 INT_TILE_X 5 TILEPROP VBRK_X18Y143 INT_TILE_Y 12 TILEPROP VBRK_X18Y143 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y143 IS_DCM_TILE 0 TILEPROP VBRK_X18Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y143 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y143 NAME VBRK_X18Y143 TILEPROP VBRK_X18Y143 NUM_ARCS 0 TILEPROP VBRK_X18Y143 NUM_SITES 0 TILEPROP VBRK_X18Y143 ROW 13 TILEPROP VBRK_X18Y143 SLR_REGION_ID 0 TILEPROP VBRK_X18Y143 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y143 TILE_TYPE VBRK TILEPROP VBRK_X18Y143 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y143 TILE_X -70918 TILEPROP VBRK_X18Y143 TILE_Y 202296 TILEPROP VBRK_X18Y143 TYPE VBRK TILEPROP VBRK_X18Y144 CLASS tile TILEPROP VBRK_X18Y144 COLUMN 18 TILEPROP VBRK_X18Y144 DEVICE_ID 0 TILEPROP VBRK_X18Y144 FIRST_SITE_ID 1146 TILEPROP VBRK_X18Y144 GRID_POINT_X 18 TILEPROP VBRK_X18Y144 GRID_POINT_Y 12 TILEPROP VBRK_X18Y144 INDEX 1398 TILEPROP VBRK_X18Y144 INT_TILE_X 5 TILEPROP VBRK_X18Y144 INT_TILE_Y 11 TILEPROP VBRK_X18Y144 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y144 IS_DCM_TILE 0 TILEPROP VBRK_X18Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y144 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y144 NAME VBRK_X18Y144 TILEPROP VBRK_X18Y144 NUM_ARCS 0 TILEPROP VBRK_X18Y144 NUM_SITES 0 TILEPROP VBRK_X18Y144 ROW 12 TILEPROP VBRK_X18Y144 SLR_REGION_ID 0 TILEPROP VBRK_X18Y144 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y144 TILE_TYPE VBRK TILEPROP VBRK_X18Y144 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y144 TILE_X -70918 TILEPROP VBRK_X18Y144 TILE_Y 205496 TILEPROP VBRK_X18Y144 TYPE VBRK TILEPROP VBRK_X18Y145 CLASS tile TILEPROP VBRK_X18Y145 COLUMN 18 TILEPROP VBRK_X18Y145 DEVICE_ID 0 TILEPROP VBRK_X18Y145 FIRST_SITE_ID 1050 TILEPROP VBRK_X18Y145 GRID_POINT_X 18 TILEPROP VBRK_X18Y145 GRID_POINT_Y 11 TILEPROP VBRK_X18Y145 INDEX 1283 TILEPROP VBRK_X18Y145 INT_TILE_X 5 TILEPROP VBRK_X18Y145 INT_TILE_Y 10 TILEPROP VBRK_X18Y145 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y145 IS_DCM_TILE 0 TILEPROP VBRK_X18Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y145 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y145 NAME VBRK_X18Y145 TILEPROP VBRK_X18Y145 NUM_ARCS 0 TILEPROP VBRK_X18Y145 NUM_SITES 0 TILEPROP VBRK_X18Y145 ROW 11 TILEPROP VBRK_X18Y145 SLR_REGION_ID 0 TILEPROP VBRK_X18Y145 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y145 TILE_TYPE VBRK TILEPROP VBRK_X18Y145 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y145 TILE_X -70918 TILEPROP VBRK_X18Y145 TILE_Y 208696 TILEPROP VBRK_X18Y145 TYPE VBRK TILEPROP VBRK_X18Y146 CLASS tile TILEPROP VBRK_X18Y146 COLUMN 18 TILEPROP VBRK_X18Y146 DEVICE_ID 0 TILEPROP VBRK_X18Y146 FIRST_SITE_ID 934 TILEPROP VBRK_X18Y146 GRID_POINT_X 18 TILEPROP VBRK_X18Y146 GRID_POINT_Y 10 TILEPROP VBRK_X18Y146 INDEX 1168 TILEPROP VBRK_X18Y146 INT_TILE_X 5 TILEPROP VBRK_X18Y146 INT_TILE_Y 9 TILEPROP VBRK_X18Y146 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y146 IS_DCM_TILE 0 TILEPROP VBRK_X18Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y146 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y146 NAME VBRK_X18Y146 TILEPROP VBRK_X18Y146 NUM_ARCS 0 TILEPROP VBRK_X18Y146 NUM_SITES 0 TILEPROP VBRK_X18Y146 ROW 10 TILEPROP VBRK_X18Y146 SLR_REGION_ID 0 TILEPROP VBRK_X18Y146 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y146 TILE_TYPE VBRK TILEPROP VBRK_X18Y146 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y146 TILE_X -70918 TILEPROP VBRK_X18Y146 TILE_Y 211896 TILEPROP VBRK_X18Y146 TYPE VBRK TILEPROP VBRK_X18Y147 CLASS tile TILEPROP VBRK_X18Y147 COLUMN 18 TILEPROP VBRK_X18Y147 DEVICE_ID 0 TILEPROP VBRK_X18Y147 FIRST_SITE_ID 838 TILEPROP VBRK_X18Y147 GRID_POINT_X 18 TILEPROP VBRK_X18Y147 GRID_POINT_Y 9 TILEPROP VBRK_X18Y147 INDEX 1053 TILEPROP VBRK_X18Y147 INT_TILE_X 5 TILEPROP VBRK_X18Y147 INT_TILE_Y 8 TILEPROP VBRK_X18Y147 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y147 IS_DCM_TILE 0 TILEPROP VBRK_X18Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y147 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y147 NAME VBRK_X18Y147 TILEPROP VBRK_X18Y147 NUM_ARCS 0 TILEPROP VBRK_X18Y147 NUM_SITES 0 TILEPROP VBRK_X18Y147 ROW 9 TILEPROP VBRK_X18Y147 SLR_REGION_ID 0 TILEPROP VBRK_X18Y147 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y147 TILE_TYPE VBRK TILEPROP VBRK_X18Y147 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y147 TILE_X -70918 TILEPROP VBRK_X18Y147 TILE_Y 215096 TILEPROP VBRK_X18Y147 TYPE VBRK TILEPROP VBRK_X18Y148 CLASS tile TILEPROP VBRK_X18Y148 COLUMN 18 TILEPROP VBRK_X18Y148 DEVICE_ID 0 TILEPROP VBRK_X18Y148 FIRST_SITE_ID 734 TILEPROP VBRK_X18Y148 GRID_POINT_X 18 TILEPROP VBRK_X18Y148 GRID_POINT_Y 8 TILEPROP VBRK_X18Y148 INDEX 938 TILEPROP VBRK_X18Y148 INT_TILE_X 5 TILEPROP VBRK_X18Y148 INT_TILE_Y 7 TILEPROP VBRK_X18Y148 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y148 IS_DCM_TILE 0 TILEPROP VBRK_X18Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y148 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y148 NAME VBRK_X18Y148 TILEPROP VBRK_X18Y148 NUM_ARCS 0 TILEPROP VBRK_X18Y148 NUM_SITES 0 TILEPROP VBRK_X18Y148 ROW 8 TILEPROP VBRK_X18Y148 SLR_REGION_ID 0 TILEPROP VBRK_X18Y148 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y148 TILE_TYPE VBRK TILEPROP VBRK_X18Y148 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y148 TILE_X -70918 TILEPROP VBRK_X18Y148 TILE_Y 218296 TILEPROP VBRK_X18Y148 TYPE VBRK TILEPROP VBRK_X18Y149 CLASS tile TILEPROP VBRK_X18Y149 COLUMN 18 TILEPROP VBRK_X18Y149 DEVICE_ID 0 TILEPROP VBRK_X18Y149 FIRST_SITE_ID 637 TILEPROP VBRK_X18Y149 GRID_POINT_X 18 TILEPROP VBRK_X18Y149 GRID_POINT_Y 7 TILEPROP VBRK_X18Y149 INDEX 823 TILEPROP VBRK_X18Y149 INT_TILE_X 5 TILEPROP VBRK_X18Y149 INT_TILE_Y 6 TILEPROP VBRK_X18Y149 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y149 IS_DCM_TILE 0 TILEPROP VBRK_X18Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y149 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y149 NAME VBRK_X18Y149 TILEPROP VBRK_X18Y149 NUM_ARCS 0 TILEPROP VBRK_X18Y149 NUM_SITES 0 TILEPROP VBRK_X18Y149 ROW 7 TILEPROP VBRK_X18Y149 SLR_REGION_ID 0 TILEPROP VBRK_X18Y149 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y149 TILE_TYPE VBRK TILEPROP VBRK_X18Y149 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y149 TILE_X -70918 TILEPROP VBRK_X18Y149 TILE_Y 221496 TILEPROP VBRK_X18Y149 TYPE VBRK TILEPROP VBRK_X18Y150 CLASS tile TILEPROP VBRK_X18Y150 COLUMN 18 TILEPROP VBRK_X18Y150 DEVICE_ID 0 TILEPROP VBRK_X18Y150 FIRST_SITE_ID 526 TILEPROP VBRK_X18Y150 GRID_POINT_X 18 TILEPROP VBRK_X18Y150 GRID_POINT_Y 6 TILEPROP VBRK_X18Y150 INDEX 708 TILEPROP VBRK_X18Y150 INT_TILE_X 5 TILEPROP VBRK_X18Y150 INT_TILE_Y 5 TILEPROP VBRK_X18Y150 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y150 IS_DCM_TILE 0 TILEPROP VBRK_X18Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y150 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y150 NAME VBRK_X18Y150 TILEPROP VBRK_X18Y150 NUM_ARCS 0 TILEPROP VBRK_X18Y150 NUM_SITES 0 TILEPROP VBRK_X18Y150 ROW 6 TILEPROP VBRK_X18Y150 SLR_REGION_ID 0 TILEPROP VBRK_X18Y150 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y150 TILE_TYPE VBRK TILEPROP VBRK_X18Y150 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y150 TILE_X -70918 TILEPROP VBRK_X18Y150 TILE_Y 224696 TILEPROP VBRK_X18Y150 TYPE VBRK TILEPROP VBRK_X18Y151 CLASS tile TILEPROP VBRK_X18Y151 COLUMN 18 TILEPROP VBRK_X18Y151 DEVICE_ID 0 TILEPROP VBRK_X18Y151 FIRST_SITE_ID 418 TILEPROP VBRK_X18Y151 GRID_POINT_X 18 TILEPROP VBRK_X18Y151 GRID_POINT_Y 5 TILEPROP VBRK_X18Y151 INDEX 593 TILEPROP VBRK_X18Y151 INT_TILE_X 5 TILEPROP VBRK_X18Y151 INT_TILE_Y 4 TILEPROP VBRK_X18Y151 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y151 IS_DCM_TILE 0 TILEPROP VBRK_X18Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y151 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y151 NAME VBRK_X18Y151 TILEPROP VBRK_X18Y151 NUM_ARCS 0 TILEPROP VBRK_X18Y151 NUM_SITES 0 TILEPROP VBRK_X18Y151 ROW 5 TILEPROP VBRK_X18Y151 SLR_REGION_ID 0 TILEPROP VBRK_X18Y151 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y151 TILE_TYPE VBRK TILEPROP VBRK_X18Y151 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y151 TILE_X -70918 TILEPROP VBRK_X18Y151 TILE_Y 227896 TILEPROP VBRK_X18Y151 TYPE VBRK TILEPROP VBRK_X18Y152 CLASS tile TILEPROP VBRK_X18Y152 COLUMN 18 TILEPROP VBRK_X18Y152 DEVICE_ID 0 TILEPROP VBRK_X18Y152 FIRST_SITE_ID 314 TILEPROP VBRK_X18Y152 GRID_POINT_X 18 TILEPROP VBRK_X18Y152 GRID_POINT_Y 4 TILEPROP VBRK_X18Y152 INDEX 478 TILEPROP VBRK_X18Y152 INT_TILE_X 5 TILEPROP VBRK_X18Y152 INT_TILE_Y 3 TILEPROP VBRK_X18Y152 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y152 IS_DCM_TILE 0 TILEPROP VBRK_X18Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y152 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y152 NAME VBRK_X18Y152 TILEPROP VBRK_X18Y152 NUM_ARCS 0 TILEPROP VBRK_X18Y152 NUM_SITES 0 TILEPROP VBRK_X18Y152 ROW 4 TILEPROP VBRK_X18Y152 SLR_REGION_ID 0 TILEPROP VBRK_X18Y152 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y152 TILE_TYPE VBRK TILEPROP VBRK_X18Y152 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y152 TILE_X -70918 TILEPROP VBRK_X18Y152 TILE_Y 231096 TILEPROP VBRK_X18Y152 TYPE VBRK TILEPROP VBRK_X18Y153 CLASS tile TILEPROP VBRK_X18Y153 COLUMN 18 TILEPROP VBRK_X18Y153 DEVICE_ID 0 TILEPROP VBRK_X18Y153 FIRST_SITE_ID 218 TILEPROP VBRK_X18Y153 GRID_POINT_X 18 TILEPROP VBRK_X18Y153 GRID_POINT_Y 3 TILEPROP VBRK_X18Y153 INDEX 363 TILEPROP VBRK_X18Y153 INT_TILE_X 5 TILEPROP VBRK_X18Y153 INT_TILE_Y 2 TILEPROP VBRK_X18Y153 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y153 IS_DCM_TILE 0 TILEPROP VBRK_X18Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y153 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y153 NAME VBRK_X18Y153 TILEPROP VBRK_X18Y153 NUM_ARCS 0 TILEPROP VBRK_X18Y153 NUM_SITES 0 TILEPROP VBRK_X18Y153 ROW 3 TILEPROP VBRK_X18Y153 SLR_REGION_ID 0 TILEPROP VBRK_X18Y153 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y153 TILE_TYPE VBRK TILEPROP VBRK_X18Y153 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y153 TILE_X -70918 TILEPROP VBRK_X18Y153 TILE_Y 234296 TILEPROP VBRK_X18Y153 TYPE VBRK TILEPROP VBRK_X18Y154 CLASS tile TILEPROP VBRK_X18Y154 COLUMN 18 TILEPROP VBRK_X18Y154 DEVICE_ID 0 TILEPROP VBRK_X18Y154 FIRST_SITE_ID 114 TILEPROP VBRK_X18Y154 GRID_POINT_X 18 TILEPROP VBRK_X18Y154 GRID_POINT_Y 2 TILEPROP VBRK_X18Y154 INDEX 248 TILEPROP VBRK_X18Y154 INT_TILE_X 5 TILEPROP VBRK_X18Y154 INT_TILE_Y 1 TILEPROP VBRK_X18Y154 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y154 IS_DCM_TILE 0 TILEPROP VBRK_X18Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y154 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y154 NAME VBRK_X18Y154 TILEPROP VBRK_X18Y154 NUM_ARCS 0 TILEPROP VBRK_X18Y154 NUM_SITES 0 TILEPROP VBRK_X18Y154 ROW 2 TILEPROP VBRK_X18Y154 SLR_REGION_ID 0 TILEPROP VBRK_X18Y154 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y154 TILE_TYPE VBRK TILEPROP VBRK_X18Y154 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y154 TILE_X -70918 TILEPROP VBRK_X18Y154 TILE_Y 237496 TILEPROP VBRK_X18Y154 TYPE VBRK TILEPROP VBRK_X18Y155 CLASS tile TILEPROP VBRK_X18Y155 COLUMN 18 TILEPROP VBRK_X18Y155 DEVICE_ID 0 TILEPROP VBRK_X18Y155 FIRST_SITE_ID 18 TILEPROP VBRK_X18Y155 GRID_POINT_X 18 TILEPROP VBRK_X18Y155 GRID_POINT_Y 1 TILEPROP VBRK_X18Y155 INDEX 133 TILEPROP VBRK_X18Y155 INT_TILE_X 5 TILEPROP VBRK_X18Y155 INT_TILE_Y 0 TILEPROP VBRK_X18Y155 IS_CENTER_TILE 0 TILEPROP VBRK_X18Y155 IS_DCM_TILE 0 TILEPROP VBRK_X18Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X18Y155 IS_GT_SITE_TILE 0 TILEPROP VBRK_X18Y155 NAME VBRK_X18Y155 TILEPROP VBRK_X18Y155 NUM_ARCS 0 TILEPROP VBRK_X18Y155 NUM_SITES 0 TILEPROP VBRK_X18Y155 ROW 1 TILEPROP VBRK_X18Y155 SLR_REGION_ID 0 TILEPROP VBRK_X18Y155 TILE_PATTERN_IDX 12 TILEPROP VBRK_X18Y155 TILE_TYPE VBRK TILEPROP VBRK_X18Y155 TILE_TYPE_INDEX 128 TILEPROP VBRK_X18Y155 TILE_X -70918 TILEPROP VBRK_X18Y155 TILE_Y 240696 TILEPROP VBRK_X18Y155 TYPE VBRK TILEPROP VBRK_X29Y1 CLASS tile TILEPROP VBRK_X29Y1 COLUMN 29 TILEPROP VBRK_X29Y1 DEVICE_ID 0 TILEPROP VBRK_X29Y1 FIRST_SITE_ID 15734 TILEPROP VBRK_X29Y1 GRID_POINT_X 29 TILEPROP VBRK_X29Y1 GRID_POINT_Y 155 TILEPROP VBRK_X29Y1 INDEX 17854 TILEPROP VBRK_X29Y1 INT_TILE_X 9 TILEPROP VBRK_X29Y1 INT_TILE_Y 149 TILEPROP VBRK_X29Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y1 IS_DCM_TILE 0 TILEPROP VBRK_X29Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y1 NAME VBRK_X29Y1 TILEPROP VBRK_X29Y1 NUM_ARCS 0 TILEPROP VBRK_X29Y1 NUM_SITES 0 TILEPROP VBRK_X29Y1 ROW 155 TILEPROP VBRK_X29Y1 SLR_REGION_ID 0 TILEPROP VBRK_X29Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y1 TILE_TYPE VBRK TILEPROP VBRK_X29Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y1 TILE_X -50048 TILEPROP VBRK_X29Y1 TILE_Y -239672 TILEPROP VBRK_X29Y1 TYPE VBRK TILEPROP VBRK_X29Y2 CLASS tile TILEPROP VBRK_X29Y2 COLUMN 29 TILEPROP VBRK_X29Y2 DEVICE_ID 0 TILEPROP VBRK_X29Y2 FIRST_SITE_ID 15623 TILEPROP VBRK_X29Y2 GRID_POINT_X 29 TILEPROP VBRK_X29Y2 GRID_POINT_Y 154 TILEPROP VBRK_X29Y2 INDEX 17739 TILEPROP VBRK_X29Y2 INT_TILE_X 9 TILEPROP VBRK_X29Y2 INT_TILE_Y 148 TILEPROP VBRK_X29Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y2 IS_DCM_TILE 0 TILEPROP VBRK_X29Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y2 NAME VBRK_X29Y2 TILEPROP VBRK_X29Y2 NUM_ARCS 0 TILEPROP VBRK_X29Y2 NUM_SITES 0 TILEPROP VBRK_X29Y2 ROW 154 TILEPROP VBRK_X29Y2 SLR_REGION_ID 0 TILEPROP VBRK_X29Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y2 TILE_TYPE VBRK TILEPROP VBRK_X29Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y2 TILE_X -50048 TILEPROP VBRK_X29Y2 TILE_Y -236472 TILEPROP VBRK_X29Y2 TYPE VBRK TILEPROP VBRK_X29Y3 CLASS tile TILEPROP VBRK_X29Y3 COLUMN 29 TILEPROP VBRK_X29Y3 DEVICE_ID 0 TILEPROP VBRK_X29Y3 FIRST_SITE_ID 15523 TILEPROP VBRK_X29Y3 GRID_POINT_X 29 TILEPROP VBRK_X29Y3 GRID_POINT_Y 153 TILEPROP VBRK_X29Y3 INDEX 17624 TILEPROP VBRK_X29Y3 INT_TILE_X 9 TILEPROP VBRK_X29Y3 INT_TILE_Y 147 TILEPROP VBRK_X29Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y3 IS_DCM_TILE 0 TILEPROP VBRK_X29Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y3 NAME VBRK_X29Y3 TILEPROP VBRK_X29Y3 NUM_ARCS 0 TILEPROP VBRK_X29Y3 NUM_SITES 0 TILEPROP VBRK_X29Y3 ROW 153 TILEPROP VBRK_X29Y3 SLR_REGION_ID 0 TILEPROP VBRK_X29Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y3 TILE_TYPE VBRK TILEPROP VBRK_X29Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y3 TILE_X -50048 TILEPROP VBRK_X29Y3 TILE_Y -233272 TILEPROP VBRK_X29Y3 TYPE VBRK TILEPROP VBRK_X29Y4 CLASS tile TILEPROP VBRK_X29Y4 COLUMN 29 TILEPROP VBRK_X29Y4 DEVICE_ID 0 TILEPROP VBRK_X29Y4 FIRST_SITE_ID 15423 TILEPROP VBRK_X29Y4 GRID_POINT_X 29 TILEPROP VBRK_X29Y4 GRID_POINT_Y 152 TILEPROP VBRK_X29Y4 INDEX 17509 TILEPROP VBRK_X29Y4 INT_TILE_X 9 TILEPROP VBRK_X29Y4 INT_TILE_Y 146 TILEPROP VBRK_X29Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y4 IS_DCM_TILE 0 TILEPROP VBRK_X29Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y4 NAME VBRK_X29Y4 TILEPROP VBRK_X29Y4 NUM_ARCS 0 TILEPROP VBRK_X29Y4 NUM_SITES 0 TILEPROP VBRK_X29Y4 ROW 152 TILEPROP VBRK_X29Y4 SLR_REGION_ID 0 TILEPROP VBRK_X29Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y4 TILE_TYPE VBRK TILEPROP VBRK_X29Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y4 TILE_X -50048 TILEPROP VBRK_X29Y4 TILE_Y -230072 TILEPROP VBRK_X29Y4 TYPE VBRK TILEPROP VBRK_X29Y5 CLASS tile TILEPROP VBRK_X29Y5 COLUMN 29 TILEPROP VBRK_X29Y5 DEVICE_ID 0 TILEPROP VBRK_X29Y5 FIRST_SITE_ID 15323 TILEPROP VBRK_X29Y5 GRID_POINT_X 29 TILEPROP VBRK_X29Y5 GRID_POINT_Y 151 TILEPROP VBRK_X29Y5 INDEX 17394 TILEPROP VBRK_X29Y5 INT_TILE_X 9 TILEPROP VBRK_X29Y5 INT_TILE_Y 145 TILEPROP VBRK_X29Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y5 IS_DCM_TILE 0 TILEPROP VBRK_X29Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y5 NAME VBRK_X29Y5 TILEPROP VBRK_X29Y5 NUM_ARCS 0 TILEPROP VBRK_X29Y5 NUM_SITES 0 TILEPROP VBRK_X29Y5 ROW 151 TILEPROP VBRK_X29Y5 SLR_REGION_ID 0 TILEPROP VBRK_X29Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y5 TILE_TYPE VBRK TILEPROP VBRK_X29Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y5 TILE_X -50048 TILEPROP VBRK_X29Y5 TILE_Y -226872 TILEPROP VBRK_X29Y5 TYPE VBRK TILEPROP VBRK_X29Y6 CLASS tile TILEPROP VBRK_X29Y6 COLUMN 29 TILEPROP VBRK_X29Y6 DEVICE_ID 0 TILEPROP VBRK_X29Y6 FIRST_SITE_ID 15214 TILEPROP VBRK_X29Y6 GRID_POINT_X 29 TILEPROP VBRK_X29Y6 GRID_POINT_Y 150 TILEPROP VBRK_X29Y6 INDEX 17279 TILEPROP VBRK_X29Y6 INT_TILE_X 9 TILEPROP VBRK_X29Y6 INT_TILE_Y 144 TILEPROP VBRK_X29Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y6 IS_DCM_TILE 0 TILEPROP VBRK_X29Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y6 NAME VBRK_X29Y6 TILEPROP VBRK_X29Y6 NUM_ARCS 0 TILEPROP VBRK_X29Y6 NUM_SITES 0 TILEPROP VBRK_X29Y6 ROW 150 TILEPROP VBRK_X29Y6 SLR_REGION_ID 0 TILEPROP VBRK_X29Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y6 TILE_TYPE VBRK TILEPROP VBRK_X29Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y6 TILE_X -50048 TILEPROP VBRK_X29Y6 TILE_Y -223672 TILEPROP VBRK_X29Y6 TYPE VBRK TILEPROP VBRK_X29Y7 CLASS tile TILEPROP VBRK_X29Y7 COLUMN 29 TILEPROP VBRK_X29Y7 DEVICE_ID 0 TILEPROP VBRK_X29Y7 FIRST_SITE_ID 15108 TILEPROP VBRK_X29Y7 GRID_POINT_X 29 TILEPROP VBRK_X29Y7 GRID_POINT_Y 149 TILEPROP VBRK_X29Y7 INDEX 17164 TILEPROP VBRK_X29Y7 INT_TILE_X 9 TILEPROP VBRK_X29Y7 INT_TILE_Y 143 TILEPROP VBRK_X29Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y7 IS_DCM_TILE 0 TILEPROP VBRK_X29Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y7 NAME VBRK_X29Y7 TILEPROP VBRK_X29Y7 NUM_ARCS 0 TILEPROP VBRK_X29Y7 NUM_SITES 0 TILEPROP VBRK_X29Y7 ROW 149 TILEPROP VBRK_X29Y7 SLR_REGION_ID 0 TILEPROP VBRK_X29Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y7 TILE_TYPE VBRK TILEPROP VBRK_X29Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y7 TILE_X -50048 TILEPROP VBRK_X29Y7 TILE_Y -220472 TILEPROP VBRK_X29Y7 TYPE VBRK TILEPROP VBRK_X29Y8 CLASS tile TILEPROP VBRK_X29Y8 COLUMN 29 TILEPROP VBRK_X29Y8 DEVICE_ID 0 TILEPROP VBRK_X29Y8 FIRST_SITE_ID 15006 TILEPROP VBRK_X29Y8 GRID_POINT_X 29 TILEPROP VBRK_X29Y8 GRID_POINT_Y 148 TILEPROP VBRK_X29Y8 INDEX 17049 TILEPROP VBRK_X29Y8 INT_TILE_X 9 TILEPROP VBRK_X29Y8 INT_TILE_Y 142 TILEPROP VBRK_X29Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y8 IS_DCM_TILE 0 TILEPROP VBRK_X29Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y8 NAME VBRK_X29Y8 TILEPROP VBRK_X29Y8 NUM_ARCS 0 TILEPROP VBRK_X29Y8 NUM_SITES 0 TILEPROP VBRK_X29Y8 ROW 148 TILEPROP VBRK_X29Y8 SLR_REGION_ID 0 TILEPROP VBRK_X29Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y8 TILE_TYPE VBRK TILEPROP VBRK_X29Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y8 TILE_X -50048 TILEPROP VBRK_X29Y8 TILE_Y -217272 TILEPROP VBRK_X29Y8 TYPE VBRK TILEPROP VBRK_X29Y9 CLASS tile TILEPROP VBRK_X29Y9 COLUMN 29 TILEPROP VBRK_X29Y9 DEVICE_ID 0 TILEPROP VBRK_X29Y9 FIRST_SITE_ID 14903 TILEPROP VBRK_X29Y9 GRID_POINT_X 29 TILEPROP VBRK_X29Y9 GRID_POINT_Y 147 TILEPROP VBRK_X29Y9 INDEX 16934 TILEPROP VBRK_X29Y9 INT_TILE_X 9 TILEPROP VBRK_X29Y9 INT_TILE_Y 141 TILEPROP VBRK_X29Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y9 IS_DCM_TILE 0 TILEPROP VBRK_X29Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y9 NAME VBRK_X29Y9 TILEPROP VBRK_X29Y9 NUM_ARCS 0 TILEPROP VBRK_X29Y9 NUM_SITES 0 TILEPROP VBRK_X29Y9 ROW 147 TILEPROP VBRK_X29Y9 SLR_REGION_ID 0 TILEPROP VBRK_X29Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y9 TILE_TYPE VBRK TILEPROP VBRK_X29Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y9 TILE_X -50048 TILEPROP VBRK_X29Y9 TILE_Y -214072 TILEPROP VBRK_X29Y9 TYPE VBRK TILEPROP VBRK_X29Y10 CLASS tile TILEPROP VBRK_X29Y10 COLUMN 29 TILEPROP VBRK_X29Y10 DEVICE_ID 0 TILEPROP VBRK_X29Y10 FIRST_SITE_ID 14802 TILEPROP VBRK_X29Y10 GRID_POINT_X 29 TILEPROP VBRK_X29Y10 GRID_POINT_Y 146 TILEPROP VBRK_X29Y10 INDEX 16819 TILEPROP VBRK_X29Y10 INT_TILE_X 9 TILEPROP VBRK_X29Y10 INT_TILE_Y 140 TILEPROP VBRK_X29Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y10 IS_DCM_TILE 0 TILEPROP VBRK_X29Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y10 NAME VBRK_X29Y10 TILEPROP VBRK_X29Y10 NUM_ARCS 0 TILEPROP VBRK_X29Y10 NUM_SITES 0 TILEPROP VBRK_X29Y10 ROW 146 TILEPROP VBRK_X29Y10 SLR_REGION_ID 0 TILEPROP VBRK_X29Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y10 TILE_TYPE VBRK TILEPROP VBRK_X29Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y10 TILE_X -50048 TILEPROP VBRK_X29Y10 TILE_Y -210872 TILEPROP VBRK_X29Y10 TYPE VBRK TILEPROP VBRK_X29Y11 CLASS tile TILEPROP VBRK_X29Y11 COLUMN 29 TILEPROP VBRK_X29Y11 DEVICE_ID 0 TILEPROP VBRK_X29Y11 FIRST_SITE_ID 14693 TILEPROP VBRK_X29Y11 GRID_POINT_X 29 TILEPROP VBRK_X29Y11 GRID_POINT_Y 145 TILEPROP VBRK_X29Y11 INDEX 16704 TILEPROP VBRK_X29Y11 INT_TILE_X 9 TILEPROP VBRK_X29Y11 INT_TILE_Y 139 TILEPROP VBRK_X29Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y11 IS_DCM_TILE 0 TILEPROP VBRK_X29Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y11 NAME VBRK_X29Y11 TILEPROP VBRK_X29Y11 NUM_ARCS 0 TILEPROP VBRK_X29Y11 NUM_SITES 0 TILEPROP VBRK_X29Y11 ROW 145 TILEPROP VBRK_X29Y11 SLR_REGION_ID 0 TILEPROP VBRK_X29Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y11 TILE_TYPE VBRK TILEPROP VBRK_X29Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y11 TILE_X -50048 TILEPROP VBRK_X29Y11 TILE_Y -207672 TILEPROP VBRK_X29Y11 TYPE VBRK TILEPROP VBRK_X29Y12 CLASS tile TILEPROP VBRK_X29Y12 COLUMN 29 TILEPROP VBRK_X29Y12 DEVICE_ID 0 TILEPROP VBRK_X29Y12 FIRST_SITE_ID 14587 TILEPROP VBRK_X29Y12 GRID_POINT_X 29 TILEPROP VBRK_X29Y12 GRID_POINT_Y 144 TILEPROP VBRK_X29Y12 INDEX 16589 TILEPROP VBRK_X29Y12 INT_TILE_X 9 TILEPROP VBRK_X29Y12 INT_TILE_Y 138 TILEPROP VBRK_X29Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y12 IS_DCM_TILE 0 TILEPROP VBRK_X29Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y12 NAME VBRK_X29Y12 TILEPROP VBRK_X29Y12 NUM_ARCS 0 TILEPROP VBRK_X29Y12 NUM_SITES 0 TILEPROP VBRK_X29Y12 ROW 144 TILEPROP VBRK_X29Y12 SLR_REGION_ID 0 TILEPROP VBRK_X29Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y12 TILE_TYPE VBRK TILEPROP VBRK_X29Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y12 TILE_X -50048 TILEPROP VBRK_X29Y12 TILE_Y -204472 TILEPROP VBRK_X29Y12 TYPE VBRK TILEPROP VBRK_X29Y13 CLASS tile TILEPROP VBRK_X29Y13 COLUMN 29 TILEPROP VBRK_X29Y13 DEVICE_ID 0 TILEPROP VBRK_X29Y13 FIRST_SITE_ID 14455 TILEPROP VBRK_X29Y13 GRID_POINT_X 29 TILEPROP VBRK_X29Y13 GRID_POINT_Y 143 TILEPROP VBRK_X29Y13 INDEX 16474 TILEPROP VBRK_X29Y13 INT_TILE_X 9 TILEPROP VBRK_X29Y13 INT_TILE_Y 137 TILEPROP VBRK_X29Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y13 IS_DCM_TILE 0 TILEPROP VBRK_X29Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y13 NAME VBRK_X29Y13 TILEPROP VBRK_X29Y13 NUM_ARCS 0 TILEPROP VBRK_X29Y13 NUM_SITES 0 TILEPROP VBRK_X29Y13 ROW 143 TILEPROP VBRK_X29Y13 SLR_REGION_ID 0 TILEPROP VBRK_X29Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y13 TILE_TYPE VBRK TILEPROP VBRK_X29Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y13 TILE_X -50048 TILEPROP VBRK_X29Y13 TILE_Y -201272 TILEPROP VBRK_X29Y13 TYPE VBRK TILEPROP VBRK_X29Y14 CLASS tile TILEPROP VBRK_X29Y14 COLUMN 29 TILEPROP VBRK_X29Y14 DEVICE_ID 0 TILEPROP VBRK_X29Y14 FIRST_SITE_ID 14355 TILEPROP VBRK_X29Y14 GRID_POINT_X 29 TILEPROP VBRK_X29Y14 GRID_POINT_Y 142 TILEPROP VBRK_X29Y14 INDEX 16359 TILEPROP VBRK_X29Y14 INT_TILE_X 9 TILEPROP VBRK_X29Y14 INT_TILE_Y 136 TILEPROP VBRK_X29Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y14 IS_DCM_TILE 0 TILEPROP VBRK_X29Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y14 NAME VBRK_X29Y14 TILEPROP VBRK_X29Y14 NUM_ARCS 0 TILEPROP VBRK_X29Y14 NUM_SITES 0 TILEPROP VBRK_X29Y14 ROW 142 TILEPROP VBRK_X29Y14 SLR_REGION_ID 0 TILEPROP VBRK_X29Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y14 TILE_TYPE VBRK TILEPROP VBRK_X29Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y14 TILE_X -50048 TILEPROP VBRK_X29Y14 TILE_Y -198072 TILEPROP VBRK_X29Y14 TYPE VBRK TILEPROP VBRK_X29Y15 CLASS tile TILEPROP VBRK_X29Y15 COLUMN 29 TILEPROP VBRK_X29Y15 DEVICE_ID 0 TILEPROP VBRK_X29Y15 FIRST_SITE_ID 14255 TILEPROP VBRK_X29Y15 GRID_POINT_X 29 TILEPROP VBRK_X29Y15 GRID_POINT_Y 141 TILEPROP VBRK_X29Y15 INDEX 16244 TILEPROP VBRK_X29Y15 INT_TILE_X 9 TILEPROP VBRK_X29Y15 INT_TILE_Y 135 TILEPROP VBRK_X29Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y15 IS_DCM_TILE 0 TILEPROP VBRK_X29Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y15 NAME VBRK_X29Y15 TILEPROP VBRK_X29Y15 NUM_ARCS 0 TILEPROP VBRK_X29Y15 NUM_SITES 0 TILEPROP VBRK_X29Y15 ROW 141 TILEPROP VBRK_X29Y15 SLR_REGION_ID 0 TILEPROP VBRK_X29Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y15 TILE_TYPE VBRK TILEPROP VBRK_X29Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y15 TILE_X -50048 TILEPROP VBRK_X29Y15 TILE_Y -194872 TILEPROP VBRK_X29Y15 TYPE VBRK TILEPROP VBRK_X29Y16 CLASS tile TILEPROP VBRK_X29Y16 COLUMN 29 TILEPROP VBRK_X29Y16 DEVICE_ID 0 TILEPROP VBRK_X29Y16 FIRST_SITE_ID 14146 TILEPROP VBRK_X29Y16 GRID_POINT_X 29 TILEPROP VBRK_X29Y16 GRID_POINT_Y 140 TILEPROP VBRK_X29Y16 INDEX 16129 TILEPROP VBRK_X29Y16 INT_TILE_X 9 TILEPROP VBRK_X29Y16 INT_TILE_Y 134 TILEPROP VBRK_X29Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y16 IS_DCM_TILE 0 TILEPROP VBRK_X29Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y16 NAME VBRK_X29Y16 TILEPROP VBRK_X29Y16 NUM_ARCS 0 TILEPROP VBRK_X29Y16 NUM_SITES 0 TILEPROP VBRK_X29Y16 ROW 140 TILEPROP VBRK_X29Y16 SLR_REGION_ID 0 TILEPROP VBRK_X29Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y16 TILE_TYPE VBRK TILEPROP VBRK_X29Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y16 TILE_X -50048 TILEPROP VBRK_X29Y16 TILE_Y -191672 TILEPROP VBRK_X29Y16 TYPE VBRK TILEPROP VBRK_X29Y17 CLASS tile TILEPROP VBRK_X29Y17 COLUMN 29 TILEPROP VBRK_X29Y17 DEVICE_ID 0 TILEPROP VBRK_X29Y17 FIRST_SITE_ID 14040 TILEPROP VBRK_X29Y17 GRID_POINT_X 29 TILEPROP VBRK_X29Y17 GRID_POINT_Y 139 TILEPROP VBRK_X29Y17 INDEX 16014 TILEPROP VBRK_X29Y17 INT_TILE_X 9 TILEPROP VBRK_X29Y17 INT_TILE_Y 133 TILEPROP VBRK_X29Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y17 IS_DCM_TILE 0 TILEPROP VBRK_X29Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y17 NAME VBRK_X29Y17 TILEPROP VBRK_X29Y17 NUM_ARCS 0 TILEPROP VBRK_X29Y17 NUM_SITES 0 TILEPROP VBRK_X29Y17 ROW 139 TILEPROP VBRK_X29Y17 SLR_REGION_ID 0 TILEPROP VBRK_X29Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y17 TILE_TYPE VBRK TILEPROP VBRK_X29Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y17 TILE_X -50048 TILEPROP VBRK_X29Y17 TILE_Y -188472 TILEPROP VBRK_X29Y17 TYPE VBRK TILEPROP VBRK_X29Y18 CLASS tile TILEPROP VBRK_X29Y18 COLUMN 29 TILEPROP VBRK_X29Y18 DEVICE_ID 0 TILEPROP VBRK_X29Y18 FIRST_SITE_ID 13935 TILEPROP VBRK_X29Y18 GRID_POINT_X 29 TILEPROP VBRK_X29Y18 GRID_POINT_Y 138 TILEPROP VBRK_X29Y18 INDEX 15899 TILEPROP VBRK_X29Y18 INT_TILE_X 9 TILEPROP VBRK_X29Y18 INT_TILE_Y 132 TILEPROP VBRK_X29Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y18 IS_DCM_TILE 0 TILEPROP VBRK_X29Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y18 NAME VBRK_X29Y18 TILEPROP VBRK_X29Y18 NUM_ARCS 0 TILEPROP VBRK_X29Y18 NUM_SITES 0 TILEPROP VBRK_X29Y18 ROW 138 TILEPROP VBRK_X29Y18 SLR_REGION_ID 0 TILEPROP VBRK_X29Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y18 TILE_TYPE VBRK TILEPROP VBRK_X29Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y18 TILE_X -50048 TILEPROP VBRK_X29Y18 TILE_Y -185272 TILEPROP VBRK_X29Y18 TYPE VBRK TILEPROP VBRK_X29Y19 CLASS tile TILEPROP VBRK_X29Y19 COLUMN 29 TILEPROP VBRK_X29Y19 DEVICE_ID 0 TILEPROP VBRK_X29Y19 FIRST_SITE_ID 13831 TILEPROP VBRK_X29Y19 GRID_POINT_X 29 TILEPROP VBRK_X29Y19 GRID_POINT_Y 137 TILEPROP VBRK_X29Y19 INDEX 15784 TILEPROP VBRK_X29Y19 INT_TILE_X 9 TILEPROP VBRK_X29Y19 INT_TILE_Y 131 TILEPROP VBRK_X29Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y19 IS_DCM_TILE 0 TILEPROP VBRK_X29Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y19 NAME VBRK_X29Y19 TILEPROP VBRK_X29Y19 NUM_ARCS 0 TILEPROP VBRK_X29Y19 NUM_SITES 0 TILEPROP VBRK_X29Y19 ROW 137 TILEPROP VBRK_X29Y19 SLR_REGION_ID 0 TILEPROP VBRK_X29Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y19 TILE_TYPE VBRK TILEPROP VBRK_X29Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y19 TILE_X -50048 TILEPROP VBRK_X29Y19 TILE_Y -182072 TILEPROP VBRK_X29Y19 TYPE VBRK TILEPROP VBRK_X29Y20 CLASS tile TILEPROP VBRK_X29Y20 COLUMN 29 TILEPROP VBRK_X29Y20 DEVICE_ID 0 TILEPROP VBRK_X29Y20 FIRST_SITE_ID 13729 TILEPROP VBRK_X29Y20 GRID_POINT_X 29 TILEPROP VBRK_X29Y20 GRID_POINT_Y 136 TILEPROP VBRK_X29Y20 INDEX 15669 TILEPROP VBRK_X29Y20 INT_TILE_X 9 TILEPROP VBRK_X29Y20 INT_TILE_Y 130 TILEPROP VBRK_X29Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y20 IS_DCM_TILE 0 TILEPROP VBRK_X29Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y20 NAME VBRK_X29Y20 TILEPROP VBRK_X29Y20 NUM_ARCS 0 TILEPROP VBRK_X29Y20 NUM_SITES 0 TILEPROP VBRK_X29Y20 ROW 136 TILEPROP VBRK_X29Y20 SLR_REGION_ID 0 TILEPROP VBRK_X29Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y20 TILE_TYPE VBRK TILEPROP VBRK_X29Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y20 TILE_X -50048 TILEPROP VBRK_X29Y20 TILE_Y -178872 TILEPROP VBRK_X29Y20 TYPE VBRK TILEPROP VBRK_X29Y21 CLASS tile TILEPROP VBRK_X29Y21 COLUMN 29 TILEPROP VBRK_X29Y21 DEVICE_ID 0 TILEPROP VBRK_X29Y21 FIRST_SITE_ID 13618 TILEPROP VBRK_X29Y21 GRID_POINT_X 29 TILEPROP VBRK_X29Y21 GRID_POINT_Y 135 TILEPROP VBRK_X29Y21 INDEX 15554 TILEPROP VBRK_X29Y21 INT_TILE_X 9 TILEPROP VBRK_X29Y21 INT_TILE_Y 129 TILEPROP VBRK_X29Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y21 IS_DCM_TILE 0 TILEPROP VBRK_X29Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y21 NAME VBRK_X29Y21 TILEPROP VBRK_X29Y21 NUM_ARCS 0 TILEPROP VBRK_X29Y21 NUM_SITES 0 TILEPROP VBRK_X29Y21 ROW 135 TILEPROP VBRK_X29Y21 SLR_REGION_ID 0 TILEPROP VBRK_X29Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y21 TILE_TYPE VBRK TILEPROP VBRK_X29Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y21 TILE_X -50048 TILEPROP VBRK_X29Y21 TILE_Y -175672 TILEPROP VBRK_X29Y21 TYPE VBRK TILEPROP VBRK_X29Y22 CLASS tile TILEPROP VBRK_X29Y22 COLUMN 29 TILEPROP VBRK_X29Y22 DEVICE_ID 0 TILEPROP VBRK_X29Y22 FIRST_SITE_ID 13512 TILEPROP VBRK_X29Y22 GRID_POINT_X 29 TILEPROP VBRK_X29Y22 GRID_POINT_Y 134 TILEPROP VBRK_X29Y22 INDEX 15439 TILEPROP VBRK_X29Y22 INT_TILE_X 9 TILEPROP VBRK_X29Y22 INT_TILE_Y 128 TILEPROP VBRK_X29Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y22 IS_DCM_TILE 0 TILEPROP VBRK_X29Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y22 NAME VBRK_X29Y22 TILEPROP VBRK_X29Y22 NUM_ARCS 0 TILEPROP VBRK_X29Y22 NUM_SITES 0 TILEPROP VBRK_X29Y22 ROW 134 TILEPROP VBRK_X29Y22 SLR_REGION_ID 0 TILEPROP VBRK_X29Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y22 TILE_TYPE VBRK TILEPROP VBRK_X29Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y22 TILE_X -50048 TILEPROP VBRK_X29Y22 TILE_Y -172472 TILEPROP VBRK_X29Y22 TYPE VBRK TILEPROP VBRK_X29Y23 CLASS tile TILEPROP VBRK_X29Y23 COLUMN 29 TILEPROP VBRK_X29Y23 DEVICE_ID 0 TILEPROP VBRK_X29Y23 FIRST_SITE_ID 13412 TILEPROP VBRK_X29Y23 GRID_POINT_X 29 TILEPROP VBRK_X29Y23 GRID_POINT_Y 133 TILEPROP VBRK_X29Y23 INDEX 15324 TILEPROP VBRK_X29Y23 INT_TILE_X 9 TILEPROP VBRK_X29Y23 INT_TILE_Y 127 TILEPROP VBRK_X29Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y23 IS_DCM_TILE 0 TILEPROP VBRK_X29Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y23 NAME VBRK_X29Y23 TILEPROP VBRK_X29Y23 NUM_ARCS 0 TILEPROP VBRK_X29Y23 NUM_SITES 0 TILEPROP VBRK_X29Y23 ROW 133 TILEPROP VBRK_X29Y23 SLR_REGION_ID 0 TILEPROP VBRK_X29Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y23 TILE_TYPE VBRK TILEPROP VBRK_X29Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y23 TILE_X -50048 TILEPROP VBRK_X29Y23 TILE_Y -169272 TILEPROP VBRK_X29Y23 TYPE VBRK TILEPROP VBRK_X29Y24 CLASS tile TILEPROP VBRK_X29Y24 COLUMN 29 TILEPROP VBRK_X29Y24 DEVICE_ID 0 TILEPROP VBRK_X29Y24 FIRST_SITE_ID 13312 TILEPROP VBRK_X29Y24 GRID_POINT_X 29 TILEPROP VBRK_X29Y24 GRID_POINT_Y 132 TILEPROP VBRK_X29Y24 INDEX 15209 TILEPROP VBRK_X29Y24 INT_TILE_X 9 TILEPROP VBRK_X29Y24 INT_TILE_Y 126 TILEPROP VBRK_X29Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y24 IS_DCM_TILE 0 TILEPROP VBRK_X29Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y24 NAME VBRK_X29Y24 TILEPROP VBRK_X29Y24 NUM_ARCS 0 TILEPROP VBRK_X29Y24 NUM_SITES 0 TILEPROP VBRK_X29Y24 ROW 132 TILEPROP VBRK_X29Y24 SLR_REGION_ID 0 TILEPROP VBRK_X29Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y24 TILE_TYPE VBRK TILEPROP VBRK_X29Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y24 TILE_X -50048 TILEPROP VBRK_X29Y24 TILE_Y -166072 TILEPROP VBRK_X29Y24 TYPE VBRK TILEPROP VBRK_X29Y25 CLASS tile TILEPROP VBRK_X29Y25 COLUMN 29 TILEPROP VBRK_X29Y25 DEVICE_ID 0 TILEPROP VBRK_X29Y25 FIRST_SITE_ID 13212 TILEPROP VBRK_X29Y25 GRID_POINT_X 29 TILEPROP VBRK_X29Y25 GRID_POINT_Y 131 TILEPROP VBRK_X29Y25 INDEX 15094 TILEPROP VBRK_X29Y25 INT_TILE_X 9 TILEPROP VBRK_X29Y25 INT_TILE_Y 125 TILEPROP VBRK_X29Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y25 IS_DCM_TILE 0 TILEPROP VBRK_X29Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y25 NAME VBRK_X29Y25 TILEPROP VBRK_X29Y25 NUM_ARCS 0 TILEPROP VBRK_X29Y25 NUM_SITES 0 TILEPROP VBRK_X29Y25 ROW 131 TILEPROP VBRK_X29Y25 SLR_REGION_ID 0 TILEPROP VBRK_X29Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y25 TILE_TYPE VBRK TILEPROP VBRK_X29Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y25 TILE_X -50048 TILEPROP VBRK_X29Y25 TILE_Y -162872 TILEPROP VBRK_X29Y25 TYPE VBRK TILEPROP VBRK_X29Y27 CLASS tile TILEPROP VBRK_X29Y27 COLUMN 29 TILEPROP VBRK_X29Y27 DEVICE_ID 0 TILEPROP VBRK_X29Y27 FIRST_SITE_ID 13020 TILEPROP VBRK_X29Y27 GRID_POINT_X 29 TILEPROP VBRK_X29Y27 GRID_POINT_Y 129 TILEPROP VBRK_X29Y27 INDEX 14864 TILEPROP VBRK_X29Y27 INT_TILE_X 9 TILEPROP VBRK_X29Y27 INT_TILE_Y 124 TILEPROP VBRK_X29Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y27 IS_DCM_TILE 0 TILEPROP VBRK_X29Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y27 NAME VBRK_X29Y27 TILEPROP VBRK_X29Y27 NUM_ARCS 0 TILEPROP VBRK_X29Y27 NUM_SITES 0 TILEPROP VBRK_X29Y27 ROW 129 TILEPROP VBRK_X29Y27 SLR_REGION_ID 0 TILEPROP VBRK_X29Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y27 TILE_TYPE VBRK TILEPROP VBRK_X29Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y27 TILE_X -50048 TILEPROP VBRK_X29Y27 TILE_Y -158648 TILEPROP VBRK_X29Y27 TYPE VBRK TILEPROP VBRK_X29Y28 CLASS tile TILEPROP VBRK_X29Y28 COLUMN 29 TILEPROP VBRK_X29Y28 DEVICE_ID 0 TILEPROP VBRK_X29Y28 FIRST_SITE_ID 12914 TILEPROP VBRK_X29Y28 GRID_POINT_X 29 TILEPROP VBRK_X29Y28 GRID_POINT_Y 128 TILEPROP VBRK_X29Y28 INDEX 14749 TILEPROP VBRK_X29Y28 INT_TILE_X 9 TILEPROP VBRK_X29Y28 INT_TILE_Y 123 TILEPROP VBRK_X29Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y28 IS_DCM_TILE 0 TILEPROP VBRK_X29Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y28 NAME VBRK_X29Y28 TILEPROP VBRK_X29Y28 NUM_ARCS 0 TILEPROP VBRK_X29Y28 NUM_SITES 0 TILEPROP VBRK_X29Y28 ROW 128 TILEPROP VBRK_X29Y28 SLR_REGION_ID 0 TILEPROP VBRK_X29Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y28 TILE_TYPE VBRK TILEPROP VBRK_X29Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y28 TILE_X -50048 TILEPROP VBRK_X29Y28 TILE_Y -155448 TILEPROP VBRK_X29Y28 TYPE VBRK TILEPROP VBRK_X29Y29 CLASS tile TILEPROP VBRK_X29Y29 COLUMN 29 TILEPROP VBRK_X29Y29 DEVICE_ID 0 TILEPROP VBRK_X29Y29 FIRST_SITE_ID 12814 TILEPROP VBRK_X29Y29 GRID_POINT_X 29 TILEPROP VBRK_X29Y29 GRID_POINT_Y 127 TILEPROP VBRK_X29Y29 INDEX 14634 TILEPROP VBRK_X29Y29 INT_TILE_X 9 TILEPROP VBRK_X29Y29 INT_TILE_Y 122 TILEPROP VBRK_X29Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y29 IS_DCM_TILE 0 TILEPROP VBRK_X29Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y29 NAME VBRK_X29Y29 TILEPROP VBRK_X29Y29 NUM_ARCS 0 TILEPROP VBRK_X29Y29 NUM_SITES 0 TILEPROP VBRK_X29Y29 ROW 127 TILEPROP VBRK_X29Y29 SLR_REGION_ID 0 TILEPROP VBRK_X29Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y29 TILE_TYPE VBRK TILEPROP VBRK_X29Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y29 TILE_X -50048 TILEPROP VBRK_X29Y29 TILE_Y -152248 TILEPROP VBRK_X29Y29 TYPE VBRK TILEPROP VBRK_X29Y30 CLASS tile TILEPROP VBRK_X29Y30 COLUMN 29 TILEPROP VBRK_X29Y30 DEVICE_ID 0 TILEPROP VBRK_X29Y30 FIRST_SITE_ID 12714 TILEPROP VBRK_X29Y30 GRID_POINT_X 29 TILEPROP VBRK_X29Y30 GRID_POINT_Y 126 TILEPROP VBRK_X29Y30 INDEX 14519 TILEPROP VBRK_X29Y30 INT_TILE_X 9 TILEPROP VBRK_X29Y30 INT_TILE_Y 121 TILEPROP VBRK_X29Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y30 IS_DCM_TILE 0 TILEPROP VBRK_X29Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y30 NAME VBRK_X29Y30 TILEPROP VBRK_X29Y30 NUM_ARCS 0 TILEPROP VBRK_X29Y30 NUM_SITES 0 TILEPROP VBRK_X29Y30 ROW 126 TILEPROP VBRK_X29Y30 SLR_REGION_ID 0 TILEPROP VBRK_X29Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y30 TILE_TYPE VBRK TILEPROP VBRK_X29Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y30 TILE_X -50048 TILEPROP VBRK_X29Y30 TILE_Y -149048 TILEPROP VBRK_X29Y30 TYPE VBRK TILEPROP VBRK_X29Y31 CLASS tile TILEPROP VBRK_X29Y31 COLUMN 29 TILEPROP VBRK_X29Y31 DEVICE_ID 0 TILEPROP VBRK_X29Y31 FIRST_SITE_ID 12608 TILEPROP VBRK_X29Y31 GRID_POINT_X 29 TILEPROP VBRK_X29Y31 GRID_POINT_Y 125 TILEPROP VBRK_X29Y31 INDEX 14404 TILEPROP VBRK_X29Y31 INT_TILE_X 9 TILEPROP VBRK_X29Y31 INT_TILE_Y 120 TILEPROP VBRK_X29Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y31 IS_DCM_TILE 0 TILEPROP VBRK_X29Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y31 NAME VBRK_X29Y31 TILEPROP VBRK_X29Y31 NUM_ARCS 0 TILEPROP VBRK_X29Y31 NUM_SITES 0 TILEPROP VBRK_X29Y31 ROW 125 TILEPROP VBRK_X29Y31 SLR_REGION_ID 0 TILEPROP VBRK_X29Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y31 TILE_TYPE VBRK TILEPROP VBRK_X29Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y31 TILE_X -50048 TILEPROP VBRK_X29Y31 TILE_Y -145848 TILEPROP VBRK_X29Y31 TYPE VBRK TILEPROP VBRK_X29Y32 CLASS tile TILEPROP VBRK_X29Y32 COLUMN 29 TILEPROP VBRK_X29Y32 DEVICE_ID 0 TILEPROP VBRK_X29Y32 FIRST_SITE_ID 12480 TILEPROP VBRK_X29Y32 GRID_POINT_X 29 TILEPROP VBRK_X29Y32 GRID_POINT_Y 124 TILEPROP VBRK_X29Y32 INDEX 14289 TILEPROP VBRK_X29Y32 INT_TILE_X 9 TILEPROP VBRK_X29Y32 INT_TILE_Y 119 TILEPROP VBRK_X29Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y32 IS_DCM_TILE 0 TILEPROP VBRK_X29Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y32 NAME VBRK_X29Y32 TILEPROP VBRK_X29Y32 NUM_ARCS 0 TILEPROP VBRK_X29Y32 NUM_SITES 0 TILEPROP VBRK_X29Y32 ROW 124 TILEPROP VBRK_X29Y32 SLR_REGION_ID 0 TILEPROP VBRK_X29Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y32 TILE_TYPE VBRK TILEPROP VBRK_X29Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y32 TILE_X -50048 TILEPROP VBRK_X29Y32 TILE_Y -142648 TILEPROP VBRK_X29Y32 TYPE VBRK TILEPROP VBRK_X29Y33 CLASS tile TILEPROP VBRK_X29Y33 COLUMN 29 TILEPROP VBRK_X29Y33 DEVICE_ID 0 TILEPROP VBRK_X29Y33 FIRST_SITE_ID 12372 TILEPROP VBRK_X29Y33 GRID_POINT_X 29 TILEPROP VBRK_X29Y33 GRID_POINT_Y 123 TILEPROP VBRK_X29Y33 INDEX 14174 TILEPROP VBRK_X29Y33 INT_TILE_X 9 TILEPROP VBRK_X29Y33 INT_TILE_Y 118 TILEPROP VBRK_X29Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y33 IS_DCM_TILE 0 TILEPROP VBRK_X29Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y33 NAME VBRK_X29Y33 TILEPROP VBRK_X29Y33 NUM_ARCS 0 TILEPROP VBRK_X29Y33 NUM_SITES 0 TILEPROP VBRK_X29Y33 ROW 123 TILEPROP VBRK_X29Y33 SLR_REGION_ID 0 TILEPROP VBRK_X29Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y33 TILE_TYPE VBRK TILEPROP VBRK_X29Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y33 TILE_X -50048 TILEPROP VBRK_X29Y33 TILE_Y -139448 TILEPROP VBRK_X29Y33 TYPE VBRK TILEPROP VBRK_X29Y34 CLASS tile TILEPROP VBRK_X29Y34 COLUMN 29 TILEPROP VBRK_X29Y34 DEVICE_ID 0 TILEPROP VBRK_X29Y34 FIRST_SITE_ID 12269 TILEPROP VBRK_X29Y34 GRID_POINT_X 29 TILEPROP VBRK_X29Y34 GRID_POINT_Y 122 TILEPROP VBRK_X29Y34 INDEX 14059 TILEPROP VBRK_X29Y34 INT_TILE_X 9 TILEPROP VBRK_X29Y34 INT_TILE_Y 117 TILEPROP VBRK_X29Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y34 IS_DCM_TILE 0 TILEPROP VBRK_X29Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y34 NAME VBRK_X29Y34 TILEPROP VBRK_X29Y34 NUM_ARCS 0 TILEPROP VBRK_X29Y34 NUM_SITES 0 TILEPROP VBRK_X29Y34 ROW 122 TILEPROP VBRK_X29Y34 SLR_REGION_ID 0 TILEPROP VBRK_X29Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y34 TILE_TYPE VBRK TILEPROP VBRK_X29Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y34 TILE_X -50048 TILEPROP VBRK_X29Y34 TILE_Y -136248 TILEPROP VBRK_X29Y34 TYPE VBRK TILEPROP VBRK_X29Y35 CLASS tile TILEPROP VBRK_X29Y35 COLUMN 29 TILEPROP VBRK_X29Y35 DEVICE_ID 0 TILEPROP VBRK_X29Y35 FIRST_SITE_ID 12169 TILEPROP VBRK_X29Y35 GRID_POINT_X 29 TILEPROP VBRK_X29Y35 GRID_POINT_Y 121 TILEPROP VBRK_X29Y35 INDEX 13944 TILEPROP VBRK_X29Y35 INT_TILE_X 9 TILEPROP VBRK_X29Y35 INT_TILE_Y 116 TILEPROP VBRK_X29Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y35 IS_DCM_TILE 0 TILEPROP VBRK_X29Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y35 NAME VBRK_X29Y35 TILEPROP VBRK_X29Y35 NUM_ARCS 0 TILEPROP VBRK_X29Y35 NUM_SITES 0 TILEPROP VBRK_X29Y35 ROW 121 TILEPROP VBRK_X29Y35 SLR_REGION_ID 0 TILEPROP VBRK_X29Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y35 TILE_TYPE VBRK TILEPROP VBRK_X29Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y35 TILE_X -50048 TILEPROP VBRK_X29Y35 TILE_Y -133048 TILEPROP VBRK_X29Y35 TYPE VBRK TILEPROP VBRK_X29Y36 CLASS tile TILEPROP VBRK_X29Y36 COLUMN 29 TILEPROP VBRK_X29Y36 DEVICE_ID 0 TILEPROP VBRK_X29Y36 FIRST_SITE_ID 12069 TILEPROP VBRK_X29Y36 GRID_POINT_X 29 TILEPROP VBRK_X29Y36 GRID_POINT_Y 120 TILEPROP VBRK_X29Y36 INDEX 13829 TILEPROP VBRK_X29Y36 INT_TILE_X 9 TILEPROP VBRK_X29Y36 INT_TILE_Y 115 TILEPROP VBRK_X29Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y36 IS_DCM_TILE 0 TILEPROP VBRK_X29Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y36 NAME VBRK_X29Y36 TILEPROP VBRK_X29Y36 NUM_ARCS 0 TILEPROP VBRK_X29Y36 NUM_SITES 0 TILEPROP VBRK_X29Y36 ROW 120 TILEPROP VBRK_X29Y36 SLR_REGION_ID 0 TILEPROP VBRK_X29Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y36 TILE_TYPE VBRK TILEPROP VBRK_X29Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y36 TILE_X -50048 TILEPROP VBRK_X29Y36 TILE_Y -129848 TILEPROP VBRK_X29Y36 TYPE VBRK TILEPROP VBRK_X29Y37 CLASS tile TILEPROP VBRK_X29Y37 COLUMN 29 TILEPROP VBRK_X29Y37 DEVICE_ID 0 TILEPROP VBRK_X29Y37 FIRST_SITE_ID 11960 TILEPROP VBRK_X29Y37 GRID_POINT_X 29 TILEPROP VBRK_X29Y37 GRID_POINT_Y 119 TILEPROP VBRK_X29Y37 INDEX 13714 TILEPROP VBRK_X29Y37 INT_TILE_X 9 TILEPROP VBRK_X29Y37 INT_TILE_Y 114 TILEPROP VBRK_X29Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y37 IS_DCM_TILE 0 TILEPROP VBRK_X29Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y37 NAME VBRK_X29Y37 TILEPROP VBRK_X29Y37 NUM_ARCS 0 TILEPROP VBRK_X29Y37 NUM_SITES 0 TILEPROP VBRK_X29Y37 ROW 119 TILEPROP VBRK_X29Y37 SLR_REGION_ID 0 TILEPROP VBRK_X29Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y37 TILE_TYPE VBRK TILEPROP VBRK_X29Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y37 TILE_X -50048 TILEPROP VBRK_X29Y37 TILE_Y -126648 TILEPROP VBRK_X29Y37 TYPE VBRK TILEPROP VBRK_X29Y38 CLASS tile TILEPROP VBRK_X29Y38 COLUMN 29 TILEPROP VBRK_X29Y38 DEVICE_ID 0 TILEPROP VBRK_X29Y38 FIRST_SITE_ID 11822 TILEPROP VBRK_X29Y38 GRID_POINT_X 29 TILEPROP VBRK_X29Y38 GRID_POINT_Y 118 TILEPROP VBRK_X29Y38 INDEX 13599 TILEPROP VBRK_X29Y38 INT_TILE_X 9 TILEPROP VBRK_X29Y38 INT_TILE_Y 113 TILEPROP VBRK_X29Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y38 IS_DCM_TILE 0 TILEPROP VBRK_X29Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y38 NAME VBRK_X29Y38 TILEPROP VBRK_X29Y38 NUM_ARCS 0 TILEPROP VBRK_X29Y38 NUM_SITES 0 TILEPROP VBRK_X29Y38 ROW 118 TILEPROP VBRK_X29Y38 SLR_REGION_ID 0 TILEPROP VBRK_X29Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y38 TILE_TYPE VBRK TILEPROP VBRK_X29Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y38 TILE_X -50048 TILEPROP VBRK_X29Y38 TILE_Y -123448 TILEPROP VBRK_X29Y38 TYPE VBRK TILEPROP VBRK_X29Y39 CLASS tile TILEPROP VBRK_X29Y39 COLUMN 29 TILEPROP VBRK_X29Y39 DEVICE_ID 0 TILEPROP VBRK_X29Y39 FIRST_SITE_ID 11722 TILEPROP VBRK_X29Y39 GRID_POINT_X 29 TILEPROP VBRK_X29Y39 GRID_POINT_Y 117 TILEPROP VBRK_X29Y39 INDEX 13484 TILEPROP VBRK_X29Y39 INT_TILE_X 9 TILEPROP VBRK_X29Y39 INT_TILE_Y 112 TILEPROP VBRK_X29Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y39 IS_DCM_TILE 0 TILEPROP VBRK_X29Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y39 NAME VBRK_X29Y39 TILEPROP VBRK_X29Y39 NUM_ARCS 0 TILEPROP VBRK_X29Y39 NUM_SITES 0 TILEPROP VBRK_X29Y39 ROW 117 TILEPROP VBRK_X29Y39 SLR_REGION_ID 0 TILEPROP VBRK_X29Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y39 TILE_TYPE VBRK TILEPROP VBRK_X29Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y39 TILE_X -50048 TILEPROP VBRK_X29Y39 TILE_Y -120248 TILEPROP VBRK_X29Y39 TYPE VBRK TILEPROP VBRK_X29Y40 CLASS tile TILEPROP VBRK_X29Y40 COLUMN 29 TILEPROP VBRK_X29Y40 DEVICE_ID 0 TILEPROP VBRK_X29Y40 FIRST_SITE_ID 11622 TILEPROP VBRK_X29Y40 GRID_POINT_X 29 TILEPROP VBRK_X29Y40 GRID_POINT_Y 116 TILEPROP VBRK_X29Y40 INDEX 13369 TILEPROP VBRK_X29Y40 INT_TILE_X 9 TILEPROP VBRK_X29Y40 INT_TILE_Y 111 TILEPROP VBRK_X29Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y40 IS_DCM_TILE 0 TILEPROP VBRK_X29Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y40 NAME VBRK_X29Y40 TILEPROP VBRK_X29Y40 NUM_ARCS 0 TILEPROP VBRK_X29Y40 NUM_SITES 0 TILEPROP VBRK_X29Y40 ROW 116 TILEPROP VBRK_X29Y40 SLR_REGION_ID 0 TILEPROP VBRK_X29Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y40 TILE_TYPE VBRK TILEPROP VBRK_X29Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y40 TILE_X -50048 TILEPROP VBRK_X29Y40 TILE_Y -117048 TILEPROP VBRK_X29Y40 TYPE VBRK TILEPROP VBRK_X29Y41 CLASS tile TILEPROP VBRK_X29Y41 COLUMN 29 TILEPROP VBRK_X29Y41 DEVICE_ID 0 TILEPROP VBRK_X29Y41 FIRST_SITE_ID 11522 TILEPROP VBRK_X29Y41 GRID_POINT_X 29 TILEPROP VBRK_X29Y41 GRID_POINT_Y 115 TILEPROP VBRK_X29Y41 INDEX 13254 TILEPROP VBRK_X29Y41 INT_TILE_X 9 TILEPROP VBRK_X29Y41 INT_TILE_Y 110 TILEPROP VBRK_X29Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y41 IS_DCM_TILE 0 TILEPROP VBRK_X29Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y41 NAME VBRK_X29Y41 TILEPROP VBRK_X29Y41 NUM_ARCS 0 TILEPROP VBRK_X29Y41 NUM_SITES 0 TILEPROP VBRK_X29Y41 ROW 115 TILEPROP VBRK_X29Y41 SLR_REGION_ID 0 TILEPROP VBRK_X29Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y41 TILE_TYPE VBRK TILEPROP VBRK_X29Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y41 TILE_X -50048 TILEPROP VBRK_X29Y41 TILE_Y -113848 TILEPROP VBRK_X29Y41 TYPE VBRK TILEPROP VBRK_X29Y42 CLASS tile TILEPROP VBRK_X29Y42 COLUMN 29 TILEPROP VBRK_X29Y42 DEVICE_ID 0 TILEPROP VBRK_X29Y42 FIRST_SITE_ID 11411 TILEPROP VBRK_X29Y42 GRID_POINT_X 29 TILEPROP VBRK_X29Y42 GRID_POINT_Y 114 TILEPROP VBRK_X29Y42 INDEX 13139 TILEPROP VBRK_X29Y42 INT_TILE_X 9 TILEPROP VBRK_X29Y42 INT_TILE_Y 109 TILEPROP VBRK_X29Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y42 IS_DCM_TILE 0 TILEPROP VBRK_X29Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y42 NAME VBRK_X29Y42 TILEPROP VBRK_X29Y42 NUM_ARCS 0 TILEPROP VBRK_X29Y42 NUM_SITES 0 TILEPROP VBRK_X29Y42 ROW 114 TILEPROP VBRK_X29Y42 SLR_REGION_ID 0 TILEPROP VBRK_X29Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y42 TILE_TYPE VBRK TILEPROP VBRK_X29Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y42 TILE_X -50048 TILEPROP VBRK_X29Y42 TILE_Y -110648 TILEPROP VBRK_X29Y42 TYPE VBRK TILEPROP VBRK_X29Y43 CLASS tile TILEPROP VBRK_X29Y43 COLUMN 29 TILEPROP VBRK_X29Y43 DEVICE_ID 0 TILEPROP VBRK_X29Y43 FIRST_SITE_ID 11304 TILEPROP VBRK_X29Y43 GRID_POINT_X 29 TILEPROP VBRK_X29Y43 GRID_POINT_Y 113 TILEPROP VBRK_X29Y43 INDEX 13024 TILEPROP VBRK_X29Y43 INT_TILE_X 9 TILEPROP VBRK_X29Y43 INT_TILE_Y 108 TILEPROP VBRK_X29Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y43 IS_DCM_TILE 0 TILEPROP VBRK_X29Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y43 NAME VBRK_X29Y43 TILEPROP VBRK_X29Y43 NUM_ARCS 0 TILEPROP VBRK_X29Y43 NUM_SITES 0 TILEPROP VBRK_X29Y43 ROW 113 TILEPROP VBRK_X29Y43 SLR_REGION_ID 0 TILEPROP VBRK_X29Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y43 TILE_TYPE VBRK TILEPROP VBRK_X29Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y43 TILE_X -50048 TILEPROP VBRK_X29Y43 TILE_Y -107448 TILEPROP VBRK_X29Y43 TYPE VBRK TILEPROP VBRK_X29Y44 CLASS tile TILEPROP VBRK_X29Y44 COLUMN 29 TILEPROP VBRK_X29Y44 DEVICE_ID 0 TILEPROP VBRK_X29Y44 FIRST_SITE_ID 11203 TILEPROP VBRK_X29Y44 GRID_POINT_X 29 TILEPROP VBRK_X29Y44 GRID_POINT_Y 112 TILEPROP VBRK_X29Y44 INDEX 12909 TILEPROP VBRK_X29Y44 INT_TILE_X 9 TILEPROP VBRK_X29Y44 INT_TILE_Y 107 TILEPROP VBRK_X29Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y44 IS_DCM_TILE 0 TILEPROP VBRK_X29Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y44 NAME VBRK_X29Y44 TILEPROP VBRK_X29Y44 NUM_ARCS 0 TILEPROP VBRK_X29Y44 NUM_SITES 0 TILEPROP VBRK_X29Y44 ROW 112 TILEPROP VBRK_X29Y44 SLR_REGION_ID 0 TILEPROP VBRK_X29Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y44 TILE_TYPE VBRK TILEPROP VBRK_X29Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y44 TILE_X -50048 TILEPROP VBRK_X29Y44 TILE_Y -104248 TILEPROP VBRK_X29Y44 TYPE VBRK TILEPROP VBRK_X29Y45 CLASS tile TILEPROP VBRK_X29Y45 COLUMN 29 TILEPROP VBRK_X29Y45 DEVICE_ID 0 TILEPROP VBRK_X29Y45 FIRST_SITE_ID 11100 TILEPROP VBRK_X29Y45 GRID_POINT_X 29 TILEPROP VBRK_X29Y45 GRID_POINT_Y 111 TILEPROP VBRK_X29Y45 INDEX 12794 TILEPROP VBRK_X29Y45 INT_TILE_X 9 TILEPROP VBRK_X29Y45 INT_TILE_Y 106 TILEPROP VBRK_X29Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y45 IS_DCM_TILE 0 TILEPROP VBRK_X29Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y45 NAME VBRK_X29Y45 TILEPROP VBRK_X29Y45 NUM_ARCS 0 TILEPROP VBRK_X29Y45 NUM_SITES 0 TILEPROP VBRK_X29Y45 ROW 111 TILEPROP VBRK_X29Y45 SLR_REGION_ID 0 TILEPROP VBRK_X29Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y45 TILE_TYPE VBRK TILEPROP VBRK_X29Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y45 TILE_X -50048 TILEPROP VBRK_X29Y45 TILE_Y -101048 TILEPROP VBRK_X29Y45 TYPE VBRK TILEPROP VBRK_X29Y46 CLASS tile TILEPROP VBRK_X29Y46 COLUMN 29 TILEPROP VBRK_X29Y46 DEVICE_ID 0 TILEPROP VBRK_X29Y46 FIRST_SITE_ID 10998 TILEPROP VBRK_X29Y46 GRID_POINT_X 29 TILEPROP VBRK_X29Y46 GRID_POINT_Y 110 TILEPROP VBRK_X29Y46 INDEX 12679 TILEPROP VBRK_X29Y46 INT_TILE_X 9 TILEPROP VBRK_X29Y46 INT_TILE_Y 105 TILEPROP VBRK_X29Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y46 IS_DCM_TILE 0 TILEPROP VBRK_X29Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y46 NAME VBRK_X29Y46 TILEPROP VBRK_X29Y46 NUM_ARCS 0 TILEPROP VBRK_X29Y46 NUM_SITES 0 TILEPROP VBRK_X29Y46 ROW 110 TILEPROP VBRK_X29Y46 SLR_REGION_ID 0 TILEPROP VBRK_X29Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y46 TILE_TYPE VBRK TILEPROP VBRK_X29Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y46 TILE_X -50048 TILEPROP VBRK_X29Y46 TILE_Y -97848 TILEPROP VBRK_X29Y46 TYPE VBRK TILEPROP VBRK_X29Y47 CLASS tile TILEPROP VBRK_X29Y47 COLUMN 29 TILEPROP VBRK_X29Y47 DEVICE_ID 0 TILEPROP VBRK_X29Y47 FIRST_SITE_ID 10888 TILEPROP VBRK_X29Y47 GRID_POINT_X 29 TILEPROP VBRK_X29Y47 GRID_POINT_Y 109 TILEPROP VBRK_X29Y47 INDEX 12564 TILEPROP VBRK_X29Y47 INT_TILE_X 9 TILEPROP VBRK_X29Y47 INT_TILE_Y 104 TILEPROP VBRK_X29Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y47 IS_DCM_TILE 0 TILEPROP VBRK_X29Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y47 NAME VBRK_X29Y47 TILEPROP VBRK_X29Y47 NUM_ARCS 0 TILEPROP VBRK_X29Y47 NUM_SITES 0 TILEPROP VBRK_X29Y47 ROW 109 TILEPROP VBRK_X29Y47 SLR_REGION_ID 0 TILEPROP VBRK_X29Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y47 TILE_TYPE VBRK TILEPROP VBRK_X29Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y47 TILE_X -50048 TILEPROP VBRK_X29Y47 TILE_Y -94648 TILEPROP VBRK_X29Y47 TYPE VBRK TILEPROP VBRK_X29Y48 CLASS tile TILEPROP VBRK_X29Y48 COLUMN 29 TILEPROP VBRK_X29Y48 DEVICE_ID 0 TILEPROP VBRK_X29Y48 FIRST_SITE_ID 10766 TILEPROP VBRK_X29Y48 GRID_POINT_X 29 TILEPROP VBRK_X29Y48 GRID_POINT_Y 108 TILEPROP VBRK_X29Y48 INDEX 12449 TILEPROP VBRK_X29Y48 INT_TILE_X 9 TILEPROP VBRK_X29Y48 INT_TILE_Y 103 TILEPROP VBRK_X29Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y48 IS_DCM_TILE 0 TILEPROP VBRK_X29Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y48 NAME VBRK_X29Y48 TILEPROP VBRK_X29Y48 NUM_ARCS 0 TILEPROP VBRK_X29Y48 NUM_SITES 0 TILEPROP VBRK_X29Y48 ROW 108 TILEPROP VBRK_X29Y48 SLR_REGION_ID 0 TILEPROP VBRK_X29Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y48 TILE_TYPE VBRK TILEPROP VBRK_X29Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y48 TILE_X -50048 TILEPROP VBRK_X29Y48 TILE_Y -91448 TILEPROP VBRK_X29Y48 TYPE VBRK TILEPROP VBRK_X29Y49 CLASS tile TILEPROP VBRK_X29Y49 COLUMN 29 TILEPROP VBRK_X29Y49 DEVICE_ID 0 TILEPROP VBRK_X29Y49 FIRST_SITE_ID 10666 TILEPROP VBRK_X29Y49 GRID_POINT_X 29 TILEPROP VBRK_X29Y49 GRID_POINT_Y 107 TILEPROP VBRK_X29Y49 INDEX 12334 TILEPROP VBRK_X29Y49 INT_TILE_X 9 TILEPROP VBRK_X29Y49 INT_TILE_Y 102 TILEPROP VBRK_X29Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y49 IS_DCM_TILE 0 TILEPROP VBRK_X29Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y49 NAME VBRK_X29Y49 TILEPROP VBRK_X29Y49 NUM_ARCS 0 TILEPROP VBRK_X29Y49 NUM_SITES 0 TILEPROP VBRK_X29Y49 ROW 107 TILEPROP VBRK_X29Y49 SLR_REGION_ID 0 TILEPROP VBRK_X29Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y49 TILE_TYPE VBRK TILEPROP VBRK_X29Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y49 TILE_X -50048 TILEPROP VBRK_X29Y49 TILE_Y -88248 TILEPROP VBRK_X29Y49 TYPE VBRK TILEPROP VBRK_X29Y50 CLASS tile TILEPROP VBRK_X29Y50 COLUMN 29 TILEPROP VBRK_X29Y50 DEVICE_ID 0 TILEPROP VBRK_X29Y50 FIRST_SITE_ID 10566 TILEPROP VBRK_X29Y50 GRID_POINT_X 29 TILEPROP VBRK_X29Y50 GRID_POINT_Y 106 TILEPROP VBRK_X29Y50 INDEX 12219 TILEPROP VBRK_X29Y50 INT_TILE_X 9 TILEPROP VBRK_X29Y50 INT_TILE_Y 101 TILEPROP VBRK_X29Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y50 IS_DCM_TILE 0 TILEPROP VBRK_X29Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y50 NAME VBRK_X29Y50 TILEPROP VBRK_X29Y50 NUM_ARCS 0 TILEPROP VBRK_X29Y50 NUM_SITES 0 TILEPROP VBRK_X29Y50 ROW 106 TILEPROP VBRK_X29Y50 SLR_REGION_ID 0 TILEPROP VBRK_X29Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y50 TILE_TYPE VBRK TILEPROP VBRK_X29Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y50 TILE_X -50048 TILEPROP VBRK_X29Y50 TILE_Y -85048 TILEPROP VBRK_X29Y50 TYPE VBRK TILEPROP VBRK_X29Y51 CLASS tile TILEPROP VBRK_X29Y51 COLUMN 29 TILEPROP VBRK_X29Y51 DEVICE_ID 0 TILEPROP VBRK_X29Y51 FIRST_SITE_ID 10470 TILEPROP VBRK_X29Y51 GRID_POINT_X 29 TILEPROP VBRK_X29Y51 GRID_POINT_Y 105 TILEPROP VBRK_X29Y51 INDEX 12104 TILEPROP VBRK_X29Y51 INT_TILE_X 9 TILEPROP VBRK_X29Y51 INT_TILE_Y 100 TILEPROP VBRK_X29Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y51 IS_DCM_TILE 0 TILEPROP VBRK_X29Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y51 NAME VBRK_X29Y51 TILEPROP VBRK_X29Y51 NUM_ARCS 0 TILEPROP VBRK_X29Y51 NUM_SITES 0 TILEPROP VBRK_X29Y51 ROW 105 TILEPROP VBRK_X29Y51 SLR_REGION_ID 0 TILEPROP VBRK_X29Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y51 TILE_TYPE VBRK TILEPROP VBRK_X29Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y51 TILE_X -50048 TILEPROP VBRK_X29Y51 TILE_Y -81848 TILEPROP VBRK_X29Y51 TYPE VBRK TILEPROP VBRK_X29Y53 CLASS tile TILEPROP VBRK_X29Y53 COLUMN 29 TILEPROP VBRK_X29Y53 DEVICE_ID 0 TILEPROP VBRK_X29Y53 FIRST_SITE_ID 10345 TILEPROP VBRK_X29Y53 GRID_POINT_X 29 TILEPROP VBRK_X29Y53 GRID_POINT_Y 103 TILEPROP VBRK_X29Y53 INDEX 11874 TILEPROP VBRK_X29Y53 INT_TILE_X 9 TILEPROP VBRK_X29Y53 INT_TILE_Y 99 TILEPROP VBRK_X29Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y53 IS_DCM_TILE 0 TILEPROP VBRK_X29Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y53 NAME VBRK_X29Y53 TILEPROP VBRK_X29Y53 NUM_ARCS 0 TILEPROP VBRK_X29Y53 NUM_SITES 0 TILEPROP VBRK_X29Y53 ROW 103 TILEPROP VBRK_X29Y53 SLR_REGION_ID 0 TILEPROP VBRK_X29Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y53 TILE_TYPE VBRK TILEPROP VBRK_X29Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y53 TILE_X -50048 TILEPROP VBRK_X29Y53 TILE_Y -78400 TILEPROP VBRK_X29Y53 TYPE VBRK TILEPROP VBRK_X29Y54 CLASS tile TILEPROP VBRK_X29Y54 COLUMN 29 TILEPROP VBRK_X29Y54 DEVICE_ID 0 TILEPROP VBRK_X29Y54 FIRST_SITE_ID 10235 TILEPROP VBRK_X29Y54 GRID_POINT_X 29 TILEPROP VBRK_X29Y54 GRID_POINT_Y 102 TILEPROP VBRK_X29Y54 INDEX 11759 TILEPROP VBRK_X29Y54 INT_TILE_X 9 TILEPROP VBRK_X29Y54 INT_TILE_Y 98 TILEPROP VBRK_X29Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y54 IS_DCM_TILE 0 TILEPROP VBRK_X29Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y54 NAME VBRK_X29Y54 TILEPROP VBRK_X29Y54 NUM_ARCS 0 TILEPROP VBRK_X29Y54 NUM_SITES 0 TILEPROP VBRK_X29Y54 ROW 102 TILEPROP VBRK_X29Y54 SLR_REGION_ID 0 TILEPROP VBRK_X29Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y54 TILE_TYPE VBRK TILEPROP VBRK_X29Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y54 TILE_X -50048 TILEPROP VBRK_X29Y54 TILE_Y -75200 TILEPROP VBRK_X29Y54 TYPE VBRK TILEPROP VBRK_X29Y55 CLASS tile TILEPROP VBRK_X29Y55 COLUMN 29 TILEPROP VBRK_X29Y55 DEVICE_ID 0 TILEPROP VBRK_X29Y55 FIRST_SITE_ID 10135 TILEPROP VBRK_X29Y55 GRID_POINT_X 29 TILEPROP VBRK_X29Y55 GRID_POINT_Y 101 TILEPROP VBRK_X29Y55 INDEX 11644 TILEPROP VBRK_X29Y55 INT_TILE_X 9 TILEPROP VBRK_X29Y55 INT_TILE_Y 97 TILEPROP VBRK_X29Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y55 IS_DCM_TILE 0 TILEPROP VBRK_X29Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y55 NAME VBRK_X29Y55 TILEPROP VBRK_X29Y55 NUM_ARCS 0 TILEPROP VBRK_X29Y55 NUM_SITES 0 TILEPROP VBRK_X29Y55 ROW 101 TILEPROP VBRK_X29Y55 SLR_REGION_ID 0 TILEPROP VBRK_X29Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y55 TILE_TYPE VBRK TILEPROP VBRK_X29Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y55 TILE_X -50048 TILEPROP VBRK_X29Y55 TILE_Y -72000 TILEPROP VBRK_X29Y55 TYPE VBRK TILEPROP VBRK_X29Y56 CLASS tile TILEPROP VBRK_X29Y56 COLUMN 29 TILEPROP VBRK_X29Y56 DEVICE_ID 0 TILEPROP VBRK_X29Y56 FIRST_SITE_ID 10035 TILEPROP VBRK_X29Y56 GRID_POINT_X 29 TILEPROP VBRK_X29Y56 GRID_POINT_Y 100 TILEPROP VBRK_X29Y56 INDEX 11529 TILEPROP VBRK_X29Y56 INT_TILE_X 9 TILEPROP VBRK_X29Y56 INT_TILE_Y 96 TILEPROP VBRK_X29Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y56 IS_DCM_TILE 0 TILEPROP VBRK_X29Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y56 NAME VBRK_X29Y56 TILEPROP VBRK_X29Y56 NUM_ARCS 0 TILEPROP VBRK_X29Y56 NUM_SITES 0 TILEPROP VBRK_X29Y56 ROW 100 TILEPROP VBRK_X29Y56 SLR_REGION_ID 0 TILEPROP VBRK_X29Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y56 TILE_TYPE VBRK TILEPROP VBRK_X29Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y56 TILE_X -50048 TILEPROP VBRK_X29Y56 TILE_Y -68800 TILEPROP VBRK_X29Y56 TYPE VBRK TILEPROP VBRK_X29Y57 CLASS tile TILEPROP VBRK_X29Y57 COLUMN 29 TILEPROP VBRK_X29Y57 DEVICE_ID 0 TILEPROP VBRK_X29Y57 FIRST_SITE_ID 9935 TILEPROP VBRK_X29Y57 GRID_POINT_X 29 TILEPROP VBRK_X29Y57 GRID_POINT_Y 99 TILEPROP VBRK_X29Y57 INDEX 11414 TILEPROP VBRK_X29Y57 INT_TILE_X 9 TILEPROP VBRK_X29Y57 INT_TILE_Y 95 TILEPROP VBRK_X29Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y57 IS_DCM_TILE 0 TILEPROP VBRK_X29Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y57 NAME VBRK_X29Y57 TILEPROP VBRK_X29Y57 NUM_ARCS 0 TILEPROP VBRK_X29Y57 NUM_SITES 0 TILEPROP VBRK_X29Y57 ROW 99 TILEPROP VBRK_X29Y57 SLR_REGION_ID 0 TILEPROP VBRK_X29Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y57 TILE_TYPE VBRK TILEPROP VBRK_X29Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y57 TILE_X -50048 TILEPROP VBRK_X29Y57 TILE_Y -65600 TILEPROP VBRK_X29Y57 TYPE VBRK TILEPROP VBRK_X29Y58 CLASS tile TILEPROP VBRK_X29Y58 COLUMN 29 TILEPROP VBRK_X29Y58 DEVICE_ID 0 TILEPROP VBRK_X29Y58 FIRST_SITE_ID 9826 TILEPROP VBRK_X29Y58 GRID_POINT_X 29 TILEPROP VBRK_X29Y58 GRID_POINT_Y 98 TILEPROP VBRK_X29Y58 INDEX 11299 TILEPROP VBRK_X29Y58 INT_TILE_X 9 TILEPROP VBRK_X29Y58 INT_TILE_Y 94 TILEPROP VBRK_X29Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y58 IS_DCM_TILE 0 TILEPROP VBRK_X29Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y58 NAME VBRK_X29Y58 TILEPROP VBRK_X29Y58 NUM_ARCS 0 TILEPROP VBRK_X29Y58 NUM_SITES 0 TILEPROP VBRK_X29Y58 ROW 98 TILEPROP VBRK_X29Y58 SLR_REGION_ID 0 TILEPROP VBRK_X29Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y58 TILE_TYPE VBRK TILEPROP VBRK_X29Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y58 TILE_X -50048 TILEPROP VBRK_X29Y58 TILE_Y -62400 TILEPROP VBRK_X29Y58 TYPE VBRK TILEPROP VBRK_X29Y59 CLASS tile TILEPROP VBRK_X29Y59 COLUMN 29 TILEPROP VBRK_X29Y59 DEVICE_ID 0 TILEPROP VBRK_X29Y59 FIRST_SITE_ID 9720 TILEPROP VBRK_X29Y59 GRID_POINT_X 29 TILEPROP VBRK_X29Y59 GRID_POINT_Y 97 TILEPROP VBRK_X29Y59 INDEX 11184 TILEPROP VBRK_X29Y59 INT_TILE_X 9 TILEPROP VBRK_X29Y59 INT_TILE_Y 93 TILEPROP VBRK_X29Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y59 IS_DCM_TILE 0 TILEPROP VBRK_X29Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y59 NAME VBRK_X29Y59 TILEPROP VBRK_X29Y59 NUM_ARCS 0 TILEPROP VBRK_X29Y59 NUM_SITES 0 TILEPROP VBRK_X29Y59 ROW 97 TILEPROP VBRK_X29Y59 SLR_REGION_ID 0 TILEPROP VBRK_X29Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y59 TILE_TYPE VBRK TILEPROP VBRK_X29Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y59 TILE_X -50048 TILEPROP VBRK_X29Y59 TILE_Y -59200 TILEPROP VBRK_X29Y59 TYPE VBRK TILEPROP VBRK_X29Y60 CLASS tile TILEPROP VBRK_X29Y60 COLUMN 29 TILEPROP VBRK_X29Y60 DEVICE_ID 0 TILEPROP VBRK_X29Y60 FIRST_SITE_ID 9618 TILEPROP VBRK_X29Y60 GRID_POINT_X 29 TILEPROP VBRK_X29Y60 GRID_POINT_Y 96 TILEPROP VBRK_X29Y60 INDEX 11069 TILEPROP VBRK_X29Y60 INT_TILE_X 9 TILEPROP VBRK_X29Y60 INT_TILE_Y 92 TILEPROP VBRK_X29Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y60 IS_DCM_TILE 0 TILEPROP VBRK_X29Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y60 NAME VBRK_X29Y60 TILEPROP VBRK_X29Y60 NUM_ARCS 0 TILEPROP VBRK_X29Y60 NUM_SITES 0 TILEPROP VBRK_X29Y60 ROW 96 TILEPROP VBRK_X29Y60 SLR_REGION_ID 0 TILEPROP VBRK_X29Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y60 TILE_TYPE VBRK TILEPROP VBRK_X29Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y60 TILE_X -50048 TILEPROP VBRK_X29Y60 TILE_Y -56000 TILEPROP VBRK_X29Y60 TYPE VBRK TILEPROP VBRK_X29Y61 CLASS tile TILEPROP VBRK_X29Y61 COLUMN 29 TILEPROP VBRK_X29Y61 DEVICE_ID 0 TILEPROP VBRK_X29Y61 FIRST_SITE_ID 9515 TILEPROP VBRK_X29Y61 GRID_POINT_X 29 TILEPROP VBRK_X29Y61 GRID_POINT_Y 95 TILEPROP VBRK_X29Y61 INDEX 10954 TILEPROP VBRK_X29Y61 INT_TILE_X 9 TILEPROP VBRK_X29Y61 INT_TILE_Y 91 TILEPROP VBRK_X29Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y61 IS_DCM_TILE 0 TILEPROP VBRK_X29Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y61 NAME VBRK_X29Y61 TILEPROP VBRK_X29Y61 NUM_ARCS 0 TILEPROP VBRK_X29Y61 NUM_SITES 0 TILEPROP VBRK_X29Y61 ROW 95 TILEPROP VBRK_X29Y61 SLR_REGION_ID 0 TILEPROP VBRK_X29Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y61 TILE_TYPE VBRK TILEPROP VBRK_X29Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y61 TILE_X -50048 TILEPROP VBRK_X29Y61 TILE_Y -52800 TILEPROP VBRK_X29Y61 TYPE VBRK TILEPROP VBRK_X29Y62 CLASS tile TILEPROP VBRK_X29Y62 COLUMN 29 TILEPROP VBRK_X29Y62 DEVICE_ID 0 TILEPROP VBRK_X29Y62 FIRST_SITE_ID 9414 TILEPROP VBRK_X29Y62 GRID_POINT_X 29 TILEPROP VBRK_X29Y62 GRID_POINT_Y 94 TILEPROP VBRK_X29Y62 INDEX 10839 TILEPROP VBRK_X29Y62 INT_TILE_X 9 TILEPROP VBRK_X29Y62 INT_TILE_Y 90 TILEPROP VBRK_X29Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y62 IS_DCM_TILE 0 TILEPROP VBRK_X29Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y62 NAME VBRK_X29Y62 TILEPROP VBRK_X29Y62 NUM_ARCS 0 TILEPROP VBRK_X29Y62 NUM_SITES 0 TILEPROP VBRK_X29Y62 ROW 94 TILEPROP VBRK_X29Y62 SLR_REGION_ID 0 TILEPROP VBRK_X29Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y62 TILE_TYPE VBRK TILEPROP VBRK_X29Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y62 TILE_X -50048 TILEPROP VBRK_X29Y62 TILE_Y -49600 TILEPROP VBRK_X29Y62 TYPE VBRK TILEPROP VBRK_X29Y63 CLASS tile TILEPROP VBRK_X29Y63 COLUMN 29 TILEPROP VBRK_X29Y63 DEVICE_ID 0 TILEPROP VBRK_X29Y63 FIRST_SITE_ID 9305 TILEPROP VBRK_X29Y63 GRID_POINT_X 29 TILEPROP VBRK_X29Y63 GRID_POINT_Y 93 TILEPROP VBRK_X29Y63 INDEX 10724 TILEPROP VBRK_X29Y63 INT_TILE_X 9 TILEPROP VBRK_X29Y63 INT_TILE_Y 89 TILEPROP VBRK_X29Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y63 IS_DCM_TILE 0 TILEPROP VBRK_X29Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y63 NAME VBRK_X29Y63 TILEPROP VBRK_X29Y63 NUM_ARCS 0 TILEPROP VBRK_X29Y63 NUM_SITES 0 TILEPROP VBRK_X29Y63 ROW 93 TILEPROP VBRK_X29Y63 SLR_REGION_ID 0 TILEPROP VBRK_X29Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y63 TILE_TYPE VBRK TILEPROP VBRK_X29Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y63 TILE_X -50048 TILEPROP VBRK_X29Y63 TILE_Y -46400 TILEPROP VBRK_X29Y63 TYPE VBRK TILEPROP VBRK_X29Y64 CLASS tile TILEPROP VBRK_X29Y64 COLUMN 29 TILEPROP VBRK_X29Y64 DEVICE_ID 0 TILEPROP VBRK_X29Y64 FIRST_SITE_ID 9199 TILEPROP VBRK_X29Y64 GRID_POINT_X 29 TILEPROP VBRK_X29Y64 GRID_POINT_Y 92 TILEPROP VBRK_X29Y64 INDEX 10609 TILEPROP VBRK_X29Y64 INT_TILE_X 9 TILEPROP VBRK_X29Y64 INT_TILE_Y 88 TILEPROP VBRK_X29Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y64 IS_DCM_TILE 0 TILEPROP VBRK_X29Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y64 NAME VBRK_X29Y64 TILEPROP VBRK_X29Y64 NUM_ARCS 0 TILEPROP VBRK_X29Y64 NUM_SITES 0 TILEPROP VBRK_X29Y64 ROW 92 TILEPROP VBRK_X29Y64 SLR_REGION_ID 0 TILEPROP VBRK_X29Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y64 TILE_TYPE VBRK TILEPROP VBRK_X29Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y64 TILE_X -50048 TILEPROP VBRK_X29Y64 TILE_Y -43200 TILEPROP VBRK_X29Y64 TYPE VBRK TILEPROP VBRK_X29Y65 CLASS tile TILEPROP VBRK_X29Y65 COLUMN 29 TILEPROP VBRK_X29Y65 DEVICE_ID 0 TILEPROP VBRK_X29Y65 FIRST_SITE_ID 9067 TILEPROP VBRK_X29Y65 GRID_POINT_X 29 TILEPROP VBRK_X29Y65 GRID_POINT_Y 91 TILEPROP VBRK_X29Y65 INDEX 10494 TILEPROP VBRK_X29Y65 INT_TILE_X 9 TILEPROP VBRK_X29Y65 INT_TILE_Y 87 TILEPROP VBRK_X29Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y65 IS_DCM_TILE 0 TILEPROP VBRK_X29Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y65 NAME VBRK_X29Y65 TILEPROP VBRK_X29Y65 NUM_ARCS 0 TILEPROP VBRK_X29Y65 NUM_SITES 0 TILEPROP VBRK_X29Y65 ROW 91 TILEPROP VBRK_X29Y65 SLR_REGION_ID 0 TILEPROP VBRK_X29Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y65 TILE_TYPE VBRK TILEPROP VBRK_X29Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y65 TILE_X -50048 TILEPROP VBRK_X29Y65 TILE_Y -40000 TILEPROP VBRK_X29Y65 TYPE VBRK TILEPROP VBRK_X29Y66 CLASS tile TILEPROP VBRK_X29Y66 COLUMN 29 TILEPROP VBRK_X29Y66 DEVICE_ID 0 TILEPROP VBRK_X29Y66 FIRST_SITE_ID 8967 TILEPROP VBRK_X29Y66 GRID_POINT_X 29 TILEPROP VBRK_X29Y66 GRID_POINT_Y 90 TILEPROP VBRK_X29Y66 INDEX 10379 TILEPROP VBRK_X29Y66 INT_TILE_X 9 TILEPROP VBRK_X29Y66 INT_TILE_Y 86 TILEPROP VBRK_X29Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y66 IS_DCM_TILE 0 TILEPROP VBRK_X29Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y66 NAME VBRK_X29Y66 TILEPROP VBRK_X29Y66 NUM_ARCS 0 TILEPROP VBRK_X29Y66 NUM_SITES 0 TILEPROP VBRK_X29Y66 ROW 90 TILEPROP VBRK_X29Y66 SLR_REGION_ID 0 TILEPROP VBRK_X29Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y66 TILE_TYPE VBRK TILEPROP VBRK_X29Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y66 TILE_X -50048 TILEPROP VBRK_X29Y66 TILE_Y -36800 TILEPROP VBRK_X29Y66 TYPE VBRK TILEPROP VBRK_X29Y67 CLASS tile TILEPROP VBRK_X29Y67 COLUMN 29 TILEPROP VBRK_X29Y67 DEVICE_ID 0 TILEPROP VBRK_X29Y67 FIRST_SITE_ID 8867 TILEPROP VBRK_X29Y67 GRID_POINT_X 29 TILEPROP VBRK_X29Y67 GRID_POINT_Y 89 TILEPROP VBRK_X29Y67 INDEX 10264 TILEPROP VBRK_X29Y67 INT_TILE_X 9 TILEPROP VBRK_X29Y67 INT_TILE_Y 85 TILEPROP VBRK_X29Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y67 IS_DCM_TILE 0 TILEPROP VBRK_X29Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y67 NAME VBRK_X29Y67 TILEPROP VBRK_X29Y67 NUM_ARCS 0 TILEPROP VBRK_X29Y67 NUM_SITES 0 TILEPROP VBRK_X29Y67 ROW 89 TILEPROP VBRK_X29Y67 SLR_REGION_ID 0 TILEPROP VBRK_X29Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y67 TILE_TYPE VBRK TILEPROP VBRK_X29Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y67 TILE_X -50048 TILEPROP VBRK_X29Y67 TILE_Y -33600 TILEPROP VBRK_X29Y67 TYPE VBRK TILEPROP VBRK_X29Y68 CLASS tile TILEPROP VBRK_X29Y68 COLUMN 29 TILEPROP VBRK_X29Y68 DEVICE_ID 0 TILEPROP VBRK_X29Y68 FIRST_SITE_ID 8758 TILEPROP VBRK_X29Y68 GRID_POINT_X 29 TILEPROP VBRK_X29Y68 GRID_POINT_Y 88 TILEPROP VBRK_X29Y68 INDEX 10149 TILEPROP VBRK_X29Y68 INT_TILE_X 9 TILEPROP VBRK_X29Y68 INT_TILE_Y 84 TILEPROP VBRK_X29Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y68 IS_DCM_TILE 0 TILEPROP VBRK_X29Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y68 NAME VBRK_X29Y68 TILEPROP VBRK_X29Y68 NUM_ARCS 0 TILEPROP VBRK_X29Y68 NUM_SITES 0 TILEPROP VBRK_X29Y68 ROW 88 TILEPROP VBRK_X29Y68 SLR_REGION_ID 0 TILEPROP VBRK_X29Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y68 TILE_TYPE VBRK TILEPROP VBRK_X29Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y68 TILE_X -50048 TILEPROP VBRK_X29Y68 TILE_Y -30400 TILEPROP VBRK_X29Y68 TYPE VBRK TILEPROP VBRK_X29Y69 CLASS tile TILEPROP VBRK_X29Y69 COLUMN 29 TILEPROP VBRK_X29Y69 DEVICE_ID 0 TILEPROP VBRK_X29Y69 FIRST_SITE_ID 8652 TILEPROP VBRK_X29Y69 GRID_POINT_X 29 TILEPROP VBRK_X29Y69 GRID_POINT_Y 87 TILEPROP VBRK_X29Y69 INDEX 10034 TILEPROP VBRK_X29Y69 INT_TILE_X 9 TILEPROP VBRK_X29Y69 INT_TILE_Y 83 TILEPROP VBRK_X29Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y69 IS_DCM_TILE 0 TILEPROP VBRK_X29Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y69 NAME VBRK_X29Y69 TILEPROP VBRK_X29Y69 NUM_ARCS 0 TILEPROP VBRK_X29Y69 NUM_SITES 0 TILEPROP VBRK_X29Y69 ROW 87 TILEPROP VBRK_X29Y69 SLR_REGION_ID 0 TILEPROP VBRK_X29Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y69 TILE_TYPE VBRK TILEPROP VBRK_X29Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y69 TILE_X -50048 TILEPROP VBRK_X29Y69 TILE_Y -27200 TILEPROP VBRK_X29Y69 TYPE VBRK TILEPROP VBRK_X29Y70 CLASS tile TILEPROP VBRK_X29Y70 COLUMN 29 TILEPROP VBRK_X29Y70 DEVICE_ID 0 TILEPROP VBRK_X29Y70 FIRST_SITE_ID 8548 TILEPROP VBRK_X29Y70 GRID_POINT_X 29 TILEPROP VBRK_X29Y70 GRID_POINT_Y 86 TILEPROP VBRK_X29Y70 INDEX 9919 TILEPROP VBRK_X29Y70 INT_TILE_X 9 TILEPROP VBRK_X29Y70 INT_TILE_Y 82 TILEPROP VBRK_X29Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y70 IS_DCM_TILE 0 TILEPROP VBRK_X29Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y70 NAME VBRK_X29Y70 TILEPROP VBRK_X29Y70 NUM_ARCS 0 TILEPROP VBRK_X29Y70 NUM_SITES 0 TILEPROP VBRK_X29Y70 ROW 86 TILEPROP VBRK_X29Y70 SLR_REGION_ID 0 TILEPROP VBRK_X29Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y70 TILE_TYPE VBRK TILEPROP VBRK_X29Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y70 TILE_X -50048 TILEPROP VBRK_X29Y70 TILE_Y -24000 TILEPROP VBRK_X29Y70 TYPE VBRK TILEPROP VBRK_X29Y71 CLASS tile TILEPROP VBRK_X29Y71 COLUMN 29 TILEPROP VBRK_X29Y71 DEVICE_ID 0 TILEPROP VBRK_X29Y71 FIRST_SITE_ID 8444 TILEPROP VBRK_X29Y71 GRID_POINT_X 29 TILEPROP VBRK_X29Y71 GRID_POINT_Y 85 TILEPROP VBRK_X29Y71 INDEX 9804 TILEPROP VBRK_X29Y71 INT_TILE_X 9 TILEPROP VBRK_X29Y71 INT_TILE_Y 81 TILEPROP VBRK_X29Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y71 IS_DCM_TILE 0 TILEPROP VBRK_X29Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y71 NAME VBRK_X29Y71 TILEPROP VBRK_X29Y71 NUM_ARCS 0 TILEPROP VBRK_X29Y71 NUM_SITES 0 TILEPROP VBRK_X29Y71 ROW 85 TILEPROP VBRK_X29Y71 SLR_REGION_ID 0 TILEPROP VBRK_X29Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y71 TILE_TYPE VBRK TILEPROP VBRK_X29Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y71 TILE_X -50048 TILEPROP VBRK_X29Y71 TILE_Y -20800 TILEPROP VBRK_X29Y71 TYPE VBRK TILEPROP VBRK_X29Y72 CLASS tile TILEPROP VBRK_X29Y72 COLUMN 29 TILEPROP VBRK_X29Y72 DEVICE_ID 0 TILEPROP VBRK_X29Y72 FIRST_SITE_ID 8342 TILEPROP VBRK_X29Y72 GRID_POINT_X 29 TILEPROP VBRK_X29Y72 GRID_POINT_Y 84 TILEPROP VBRK_X29Y72 INDEX 9689 TILEPROP VBRK_X29Y72 INT_TILE_X 9 TILEPROP VBRK_X29Y72 INT_TILE_Y 80 TILEPROP VBRK_X29Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y72 IS_DCM_TILE 0 TILEPROP VBRK_X29Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y72 NAME VBRK_X29Y72 TILEPROP VBRK_X29Y72 NUM_ARCS 0 TILEPROP VBRK_X29Y72 NUM_SITES 0 TILEPROP VBRK_X29Y72 ROW 84 TILEPROP VBRK_X29Y72 SLR_REGION_ID 0 TILEPROP VBRK_X29Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y72 TILE_TYPE VBRK TILEPROP VBRK_X29Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y72 TILE_X -50048 TILEPROP VBRK_X29Y72 TILE_Y -17600 TILEPROP VBRK_X29Y72 TYPE VBRK TILEPROP VBRK_X29Y73 CLASS tile TILEPROP VBRK_X29Y73 COLUMN 29 TILEPROP VBRK_X29Y73 DEVICE_ID 0 TILEPROP VBRK_X29Y73 FIRST_SITE_ID 8231 TILEPROP VBRK_X29Y73 GRID_POINT_X 29 TILEPROP VBRK_X29Y73 GRID_POINT_Y 83 TILEPROP VBRK_X29Y73 INDEX 9574 TILEPROP VBRK_X29Y73 INT_TILE_X 9 TILEPROP VBRK_X29Y73 INT_TILE_Y 79 TILEPROP VBRK_X29Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y73 IS_DCM_TILE 0 TILEPROP VBRK_X29Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y73 NAME VBRK_X29Y73 TILEPROP VBRK_X29Y73 NUM_ARCS 0 TILEPROP VBRK_X29Y73 NUM_SITES 0 TILEPROP VBRK_X29Y73 ROW 83 TILEPROP VBRK_X29Y73 SLR_REGION_ID 0 TILEPROP VBRK_X29Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y73 TILE_TYPE VBRK TILEPROP VBRK_X29Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y73 TILE_X -50048 TILEPROP VBRK_X29Y73 TILE_Y -14400 TILEPROP VBRK_X29Y73 TYPE VBRK TILEPROP VBRK_X29Y74 CLASS tile TILEPROP VBRK_X29Y74 COLUMN 29 TILEPROP VBRK_X29Y74 DEVICE_ID 0 TILEPROP VBRK_X29Y74 FIRST_SITE_ID 8125 TILEPROP VBRK_X29Y74 GRID_POINT_X 29 TILEPROP VBRK_X29Y74 GRID_POINT_Y 82 TILEPROP VBRK_X29Y74 INDEX 9459 TILEPROP VBRK_X29Y74 INT_TILE_X 9 TILEPROP VBRK_X29Y74 INT_TILE_Y 78 TILEPROP VBRK_X29Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y74 IS_DCM_TILE 0 TILEPROP VBRK_X29Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y74 NAME VBRK_X29Y74 TILEPROP VBRK_X29Y74 NUM_ARCS 0 TILEPROP VBRK_X29Y74 NUM_SITES 0 TILEPROP VBRK_X29Y74 ROW 82 TILEPROP VBRK_X29Y74 SLR_REGION_ID 0 TILEPROP VBRK_X29Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y74 TILE_TYPE VBRK TILEPROP VBRK_X29Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y74 TILE_X -50048 TILEPROP VBRK_X29Y74 TILE_Y -11200 TILEPROP VBRK_X29Y74 TYPE VBRK TILEPROP VBRK_X29Y75 CLASS tile TILEPROP VBRK_X29Y75 COLUMN 29 TILEPROP VBRK_X29Y75 DEVICE_ID 0 TILEPROP VBRK_X29Y75 FIRST_SITE_ID 8025 TILEPROP VBRK_X29Y75 GRID_POINT_X 29 TILEPROP VBRK_X29Y75 GRID_POINT_Y 81 TILEPROP VBRK_X29Y75 INDEX 9344 TILEPROP VBRK_X29Y75 INT_TILE_X 9 TILEPROP VBRK_X29Y75 INT_TILE_Y 77 TILEPROP VBRK_X29Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y75 IS_DCM_TILE 0 TILEPROP VBRK_X29Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y75 NAME VBRK_X29Y75 TILEPROP VBRK_X29Y75 NUM_ARCS 0 TILEPROP VBRK_X29Y75 NUM_SITES 0 TILEPROP VBRK_X29Y75 ROW 81 TILEPROP VBRK_X29Y75 SLR_REGION_ID 0 TILEPROP VBRK_X29Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y75 TILE_TYPE VBRK TILEPROP VBRK_X29Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y75 TILE_X -50048 TILEPROP VBRK_X29Y75 TILE_Y -8000 TILEPROP VBRK_X29Y75 TYPE VBRK TILEPROP VBRK_X29Y76 CLASS tile TILEPROP VBRK_X29Y76 COLUMN 29 TILEPROP VBRK_X29Y76 DEVICE_ID 0 TILEPROP VBRK_X29Y76 FIRST_SITE_ID 7925 TILEPROP VBRK_X29Y76 GRID_POINT_X 29 TILEPROP VBRK_X29Y76 GRID_POINT_Y 80 TILEPROP VBRK_X29Y76 INDEX 9229 TILEPROP VBRK_X29Y76 INT_TILE_X 9 TILEPROP VBRK_X29Y76 INT_TILE_Y 76 TILEPROP VBRK_X29Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y76 IS_DCM_TILE 0 TILEPROP VBRK_X29Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y76 NAME VBRK_X29Y76 TILEPROP VBRK_X29Y76 NUM_ARCS 0 TILEPROP VBRK_X29Y76 NUM_SITES 0 TILEPROP VBRK_X29Y76 ROW 80 TILEPROP VBRK_X29Y76 SLR_REGION_ID 0 TILEPROP VBRK_X29Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y76 TILE_TYPE VBRK TILEPROP VBRK_X29Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y76 TILE_X -50048 TILEPROP VBRK_X29Y76 TILE_Y -4800 TILEPROP VBRK_X29Y76 TYPE VBRK TILEPROP VBRK_X29Y77 CLASS tile TILEPROP VBRK_X29Y77 COLUMN 29 TILEPROP VBRK_X29Y77 DEVICE_ID 0 TILEPROP VBRK_X29Y77 FIRST_SITE_ID 7825 TILEPROP VBRK_X29Y77 GRID_POINT_X 29 TILEPROP VBRK_X29Y77 GRID_POINT_Y 79 TILEPROP VBRK_X29Y77 INDEX 9114 TILEPROP VBRK_X29Y77 INT_TILE_X 9 TILEPROP VBRK_X29Y77 INT_TILE_Y 75 TILEPROP VBRK_X29Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y77 IS_DCM_TILE 0 TILEPROP VBRK_X29Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y77 NAME VBRK_X29Y77 TILEPROP VBRK_X29Y77 NUM_ARCS 0 TILEPROP VBRK_X29Y77 NUM_SITES 0 TILEPROP VBRK_X29Y77 ROW 79 TILEPROP VBRK_X29Y77 SLR_REGION_ID 0 TILEPROP VBRK_X29Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y77 TILE_TYPE VBRK TILEPROP VBRK_X29Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y77 TILE_X -50048 TILEPROP VBRK_X29Y77 TILE_Y -1600 TILEPROP VBRK_X29Y77 TYPE VBRK TILEPROP VBRK_X29Y79 CLASS tile TILEPROP VBRK_X29Y79 COLUMN 29 TILEPROP VBRK_X29Y79 DEVICE_ID 0 TILEPROP VBRK_X29Y79 FIRST_SITE_ID 7630 TILEPROP VBRK_X29Y79 GRID_POINT_X 29 TILEPROP VBRK_X29Y79 GRID_POINT_Y 77 TILEPROP VBRK_X29Y79 INDEX 8884 TILEPROP VBRK_X29Y79 INT_TILE_X 9 TILEPROP VBRK_X29Y79 INT_TILE_Y 74 TILEPROP VBRK_X29Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y79 IS_DCM_TILE 0 TILEPROP VBRK_X29Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y79 NAME VBRK_X29Y79 TILEPROP VBRK_X29Y79 NUM_ARCS 0 TILEPROP VBRK_X29Y79 NUM_SITES 0 TILEPROP VBRK_X29Y79 ROW 77 TILEPROP VBRK_X29Y79 SLR_REGION_ID 0 TILEPROP VBRK_X29Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y79 TILE_TYPE VBRK TILEPROP VBRK_X29Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y79 TILE_X -50048 TILEPROP VBRK_X29Y79 TILE_Y 2624 TILEPROP VBRK_X29Y79 TYPE VBRK TILEPROP VBRK_X29Y80 CLASS tile TILEPROP VBRK_X29Y80 COLUMN 29 TILEPROP VBRK_X29Y80 DEVICE_ID 0 TILEPROP VBRK_X29Y80 FIRST_SITE_ID 7524 TILEPROP VBRK_X29Y80 GRID_POINT_X 29 TILEPROP VBRK_X29Y80 GRID_POINT_Y 76 TILEPROP VBRK_X29Y80 INDEX 8769 TILEPROP VBRK_X29Y80 INT_TILE_X 9 TILEPROP VBRK_X29Y80 INT_TILE_Y 73 TILEPROP VBRK_X29Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y80 IS_DCM_TILE 0 TILEPROP VBRK_X29Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y80 NAME VBRK_X29Y80 TILEPROP VBRK_X29Y80 NUM_ARCS 0 TILEPROP VBRK_X29Y80 NUM_SITES 0 TILEPROP VBRK_X29Y80 ROW 76 TILEPROP VBRK_X29Y80 SLR_REGION_ID 0 TILEPROP VBRK_X29Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y80 TILE_TYPE VBRK TILEPROP VBRK_X29Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y80 TILE_X -50048 TILEPROP VBRK_X29Y80 TILE_Y 5824 TILEPROP VBRK_X29Y80 TYPE VBRK TILEPROP VBRK_X29Y81 CLASS tile TILEPROP VBRK_X29Y81 COLUMN 29 TILEPROP VBRK_X29Y81 DEVICE_ID 0 TILEPROP VBRK_X29Y81 FIRST_SITE_ID 7424 TILEPROP VBRK_X29Y81 GRID_POINT_X 29 TILEPROP VBRK_X29Y81 GRID_POINT_Y 75 TILEPROP VBRK_X29Y81 INDEX 8654 TILEPROP VBRK_X29Y81 INT_TILE_X 9 TILEPROP VBRK_X29Y81 INT_TILE_Y 72 TILEPROP VBRK_X29Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y81 IS_DCM_TILE 0 TILEPROP VBRK_X29Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y81 NAME VBRK_X29Y81 TILEPROP VBRK_X29Y81 NUM_ARCS 0 TILEPROP VBRK_X29Y81 NUM_SITES 0 TILEPROP VBRK_X29Y81 ROW 75 TILEPROP VBRK_X29Y81 SLR_REGION_ID 0 TILEPROP VBRK_X29Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y81 TILE_TYPE VBRK TILEPROP VBRK_X29Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y81 TILE_X -50048 TILEPROP VBRK_X29Y81 TILE_Y 9024 TILEPROP VBRK_X29Y81 TYPE VBRK TILEPROP VBRK_X29Y82 CLASS tile TILEPROP VBRK_X29Y82 COLUMN 29 TILEPROP VBRK_X29Y82 DEVICE_ID 0 TILEPROP VBRK_X29Y82 FIRST_SITE_ID 7324 TILEPROP VBRK_X29Y82 GRID_POINT_X 29 TILEPROP VBRK_X29Y82 GRID_POINT_Y 74 TILEPROP VBRK_X29Y82 INDEX 8539 TILEPROP VBRK_X29Y82 INT_TILE_X 9 TILEPROP VBRK_X29Y82 INT_TILE_Y 71 TILEPROP VBRK_X29Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y82 IS_DCM_TILE 0 TILEPROP VBRK_X29Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y82 NAME VBRK_X29Y82 TILEPROP VBRK_X29Y82 NUM_ARCS 0 TILEPROP VBRK_X29Y82 NUM_SITES 0 TILEPROP VBRK_X29Y82 ROW 74 TILEPROP VBRK_X29Y82 SLR_REGION_ID 0 TILEPROP VBRK_X29Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y82 TILE_TYPE VBRK TILEPROP VBRK_X29Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y82 TILE_X -50048 TILEPROP VBRK_X29Y82 TILE_Y 12224 TILEPROP VBRK_X29Y82 TYPE VBRK TILEPROP VBRK_X29Y83 CLASS tile TILEPROP VBRK_X29Y83 COLUMN 29 TILEPROP VBRK_X29Y83 DEVICE_ID 0 TILEPROP VBRK_X29Y83 FIRST_SITE_ID 7218 TILEPROP VBRK_X29Y83 GRID_POINT_X 29 TILEPROP VBRK_X29Y83 GRID_POINT_Y 73 TILEPROP VBRK_X29Y83 INDEX 8424 TILEPROP VBRK_X29Y83 INT_TILE_X 9 TILEPROP VBRK_X29Y83 INT_TILE_Y 70 TILEPROP VBRK_X29Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y83 IS_DCM_TILE 0 TILEPROP VBRK_X29Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y83 NAME VBRK_X29Y83 TILEPROP VBRK_X29Y83 NUM_ARCS 0 TILEPROP VBRK_X29Y83 NUM_SITES 0 TILEPROP VBRK_X29Y83 ROW 73 TILEPROP VBRK_X29Y83 SLR_REGION_ID 0 TILEPROP VBRK_X29Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y83 TILE_TYPE VBRK TILEPROP VBRK_X29Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y83 TILE_X -50048 TILEPROP VBRK_X29Y83 TILE_Y 15424 TILEPROP VBRK_X29Y83 TYPE VBRK TILEPROP VBRK_X29Y84 CLASS tile TILEPROP VBRK_X29Y84 COLUMN 29 TILEPROP VBRK_X29Y84 DEVICE_ID 0 TILEPROP VBRK_X29Y84 FIRST_SITE_ID 7103 TILEPROP VBRK_X29Y84 GRID_POINT_X 29 TILEPROP VBRK_X29Y84 GRID_POINT_Y 72 TILEPROP VBRK_X29Y84 INDEX 8309 TILEPROP VBRK_X29Y84 INT_TILE_X 9 TILEPROP VBRK_X29Y84 INT_TILE_Y 69 TILEPROP VBRK_X29Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y84 IS_DCM_TILE 0 TILEPROP VBRK_X29Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y84 NAME VBRK_X29Y84 TILEPROP VBRK_X29Y84 NUM_ARCS 0 TILEPROP VBRK_X29Y84 NUM_SITES 0 TILEPROP VBRK_X29Y84 ROW 72 TILEPROP VBRK_X29Y84 SLR_REGION_ID 0 TILEPROP VBRK_X29Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y84 TILE_TYPE VBRK TILEPROP VBRK_X29Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y84 TILE_X -50048 TILEPROP VBRK_X29Y84 TILE_Y 18624 TILEPROP VBRK_X29Y84 TYPE VBRK TILEPROP VBRK_X29Y85 CLASS tile TILEPROP VBRK_X29Y85 COLUMN 29 TILEPROP VBRK_X29Y85 DEVICE_ID 0 TILEPROP VBRK_X29Y85 FIRST_SITE_ID 6995 TILEPROP VBRK_X29Y85 GRID_POINT_X 29 TILEPROP VBRK_X29Y85 GRID_POINT_Y 71 TILEPROP VBRK_X29Y85 INDEX 8194 TILEPROP VBRK_X29Y85 INT_TILE_X 9 TILEPROP VBRK_X29Y85 INT_TILE_Y 68 TILEPROP VBRK_X29Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y85 IS_DCM_TILE 0 TILEPROP VBRK_X29Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y85 NAME VBRK_X29Y85 TILEPROP VBRK_X29Y85 NUM_ARCS 0 TILEPROP VBRK_X29Y85 NUM_SITES 0 TILEPROP VBRK_X29Y85 ROW 71 TILEPROP VBRK_X29Y85 SLR_REGION_ID 0 TILEPROP VBRK_X29Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y85 TILE_TYPE VBRK TILEPROP VBRK_X29Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y85 TILE_X -50048 TILEPROP VBRK_X29Y85 TILE_Y 21824 TILEPROP VBRK_X29Y85 TYPE VBRK TILEPROP VBRK_X29Y86 CLASS tile TILEPROP VBRK_X29Y86 COLUMN 29 TILEPROP VBRK_X29Y86 DEVICE_ID 0 TILEPROP VBRK_X29Y86 FIRST_SITE_ID 6893 TILEPROP VBRK_X29Y86 GRID_POINT_X 29 TILEPROP VBRK_X29Y86 GRID_POINT_Y 70 TILEPROP VBRK_X29Y86 INDEX 8079 TILEPROP VBRK_X29Y86 INT_TILE_X 9 TILEPROP VBRK_X29Y86 INT_TILE_Y 67 TILEPROP VBRK_X29Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y86 IS_DCM_TILE 0 TILEPROP VBRK_X29Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y86 NAME VBRK_X29Y86 TILEPROP VBRK_X29Y86 NUM_ARCS 0 TILEPROP VBRK_X29Y86 NUM_SITES 0 TILEPROP VBRK_X29Y86 ROW 70 TILEPROP VBRK_X29Y86 SLR_REGION_ID 0 TILEPROP VBRK_X29Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y86 TILE_TYPE VBRK TILEPROP VBRK_X29Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y86 TILE_X -50048 TILEPROP VBRK_X29Y86 TILE_Y 25024 TILEPROP VBRK_X29Y86 TYPE VBRK TILEPROP VBRK_X29Y87 CLASS tile TILEPROP VBRK_X29Y87 COLUMN 29 TILEPROP VBRK_X29Y87 DEVICE_ID 0 TILEPROP VBRK_X29Y87 FIRST_SITE_ID 6793 TILEPROP VBRK_X29Y87 GRID_POINT_X 29 TILEPROP VBRK_X29Y87 GRID_POINT_Y 69 TILEPROP VBRK_X29Y87 INDEX 7964 TILEPROP VBRK_X29Y87 INT_TILE_X 9 TILEPROP VBRK_X29Y87 INT_TILE_Y 66 TILEPROP VBRK_X29Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y87 IS_DCM_TILE 0 TILEPROP VBRK_X29Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y87 NAME VBRK_X29Y87 TILEPROP VBRK_X29Y87 NUM_ARCS 0 TILEPROP VBRK_X29Y87 NUM_SITES 0 TILEPROP VBRK_X29Y87 ROW 69 TILEPROP VBRK_X29Y87 SLR_REGION_ID 0 TILEPROP VBRK_X29Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y87 TILE_TYPE VBRK TILEPROP VBRK_X29Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y87 TILE_X -50048 TILEPROP VBRK_X29Y87 TILE_Y 28224 TILEPROP VBRK_X29Y87 TYPE VBRK TILEPROP VBRK_X29Y88 CLASS tile TILEPROP VBRK_X29Y88 COLUMN 29 TILEPROP VBRK_X29Y88 DEVICE_ID 0 TILEPROP VBRK_X29Y88 FIRST_SITE_ID 6693 TILEPROP VBRK_X29Y88 GRID_POINT_X 29 TILEPROP VBRK_X29Y88 GRID_POINT_Y 68 TILEPROP VBRK_X29Y88 INDEX 7849 TILEPROP VBRK_X29Y88 INT_TILE_X 9 TILEPROP VBRK_X29Y88 INT_TILE_Y 65 TILEPROP VBRK_X29Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y88 IS_DCM_TILE 0 TILEPROP VBRK_X29Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y88 NAME VBRK_X29Y88 TILEPROP VBRK_X29Y88 NUM_ARCS 0 TILEPROP VBRK_X29Y88 NUM_SITES 0 TILEPROP VBRK_X29Y88 ROW 68 TILEPROP VBRK_X29Y88 SLR_REGION_ID 0 TILEPROP VBRK_X29Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y88 TILE_TYPE VBRK TILEPROP VBRK_X29Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y88 TILE_X -50048 TILEPROP VBRK_X29Y88 TILE_Y 31424 TILEPROP VBRK_X29Y88 TYPE VBRK TILEPROP VBRK_X29Y89 CLASS tile TILEPROP VBRK_X29Y89 COLUMN 29 TILEPROP VBRK_X29Y89 DEVICE_ID 0 TILEPROP VBRK_X29Y89 FIRST_SITE_ID 6584 TILEPROP VBRK_X29Y89 GRID_POINT_X 29 TILEPROP VBRK_X29Y89 GRID_POINT_Y 67 TILEPROP VBRK_X29Y89 INDEX 7734 TILEPROP VBRK_X29Y89 INT_TILE_X 9 TILEPROP VBRK_X29Y89 INT_TILE_Y 64 TILEPROP VBRK_X29Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y89 IS_DCM_TILE 0 TILEPROP VBRK_X29Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y89 NAME VBRK_X29Y89 TILEPROP VBRK_X29Y89 NUM_ARCS 0 TILEPROP VBRK_X29Y89 NUM_SITES 0 TILEPROP VBRK_X29Y89 ROW 67 TILEPROP VBRK_X29Y89 SLR_REGION_ID 0 TILEPROP VBRK_X29Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y89 TILE_TYPE VBRK TILEPROP VBRK_X29Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y89 TILE_X -50048 TILEPROP VBRK_X29Y89 TILE_Y 34624 TILEPROP VBRK_X29Y89 TYPE VBRK TILEPROP VBRK_X29Y90 CLASS tile TILEPROP VBRK_X29Y90 COLUMN 29 TILEPROP VBRK_X29Y90 DEVICE_ID 0 TILEPROP VBRK_X29Y90 FIRST_SITE_ID 6446 TILEPROP VBRK_X29Y90 GRID_POINT_X 29 TILEPROP VBRK_X29Y90 GRID_POINT_Y 66 TILEPROP VBRK_X29Y90 INDEX 7619 TILEPROP VBRK_X29Y90 INT_TILE_X 9 TILEPROP VBRK_X29Y90 INT_TILE_Y 63 TILEPROP VBRK_X29Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y90 IS_DCM_TILE 0 TILEPROP VBRK_X29Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y90 NAME VBRK_X29Y90 TILEPROP VBRK_X29Y90 NUM_ARCS 0 TILEPROP VBRK_X29Y90 NUM_SITES 0 TILEPROP VBRK_X29Y90 ROW 66 TILEPROP VBRK_X29Y90 SLR_REGION_ID 0 TILEPROP VBRK_X29Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y90 TILE_TYPE VBRK TILEPROP VBRK_X29Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y90 TILE_X -50048 TILEPROP VBRK_X29Y90 TILE_Y 37824 TILEPROP VBRK_X29Y90 TYPE VBRK TILEPROP VBRK_X29Y91 CLASS tile TILEPROP VBRK_X29Y91 COLUMN 29 TILEPROP VBRK_X29Y91 DEVICE_ID 0 TILEPROP VBRK_X29Y91 FIRST_SITE_ID 6346 TILEPROP VBRK_X29Y91 GRID_POINT_X 29 TILEPROP VBRK_X29Y91 GRID_POINT_Y 65 TILEPROP VBRK_X29Y91 INDEX 7504 TILEPROP VBRK_X29Y91 INT_TILE_X 9 TILEPROP VBRK_X29Y91 INT_TILE_Y 62 TILEPROP VBRK_X29Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y91 IS_DCM_TILE 0 TILEPROP VBRK_X29Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y91 NAME VBRK_X29Y91 TILEPROP VBRK_X29Y91 NUM_ARCS 0 TILEPROP VBRK_X29Y91 NUM_SITES 0 TILEPROP VBRK_X29Y91 ROW 65 TILEPROP VBRK_X29Y91 SLR_REGION_ID 0 TILEPROP VBRK_X29Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y91 TILE_TYPE VBRK TILEPROP VBRK_X29Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y91 TILE_X -50048 TILEPROP VBRK_X29Y91 TILE_Y 41024 TILEPROP VBRK_X29Y91 TYPE VBRK TILEPROP VBRK_X29Y92 CLASS tile TILEPROP VBRK_X29Y92 COLUMN 29 TILEPROP VBRK_X29Y92 DEVICE_ID 0 TILEPROP VBRK_X29Y92 FIRST_SITE_ID 6246 TILEPROP VBRK_X29Y92 GRID_POINT_X 29 TILEPROP VBRK_X29Y92 GRID_POINT_Y 64 TILEPROP VBRK_X29Y92 INDEX 7389 TILEPROP VBRK_X29Y92 INT_TILE_X 9 TILEPROP VBRK_X29Y92 INT_TILE_Y 61 TILEPROP VBRK_X29Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y92 IS_DCM_TILE 0 TILEPROP VBRK_X29Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y92 NAME VBRK_X29Y92 TILEPROP VBRK_X29Y92 NUM_ARCS 0 TILEPROP VBRK_X29Y92 NUM_SITES 0 TILEPROP VBRK_X29Y92 ROW 64 TILEPROP VBRK_X29Y92 SLR_REGION_ID 0 TILEPROP VBRK_X29Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y92 TILE_TYPE VBRK TILEPROP VBRK_X29Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y92 TILE_X -50048 TILEPROP VBRK_X29Y92 TILE_Y 44224 TILEPROP VBRK_X29Y92 TYPE VBRK TILEPROP VBRK_X29Y93 CLASS tile TILEPROP VBRK_X29Y93 COLUMN 29 TILEPROP VBRK_X29Y93 DEVICE_ID 0 TILEPROP VBRK_X29Y93 FIRST_SITE_ID 6146 TILEPROP VBRK_X29Y93 GRID_POINT_X 29 TILEPROP VBRK_X29Y93 GRID_POINT_Y 63 TILEPROP VBRK_X29Y93 INDEX 7274 TILEPROP VBRK_X29Y93 INT_TILE_X 9 TILEPROP VBRK_X29Y93 INT_TILE_Y 60 TILEPROP VBRK_X29Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y93 IS_DCM_TILE 0 TILEPROP VBRK_X29Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y93 NAME VBRK_X29Y93 TILEPROP VBRK_X29Y93 NUM_ARCS 0 TILEPROP VBRK_X29Y93 NUM_SITES 0 TILEPROP VBRK_X29Y93 ROW 63 TILEPROP VBRK_X29Y93 SLR_REGION_ID 0 TILEPROP VBRK_X29Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y93 TILE_TYPE VBRK TILEPROP VBRK_X29Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y93 TILE_X -50048 TILEPROP VBRK_X29Y93 TILE_Y 47424 TILEPROP VBRK_X29Y93 TYPE VBRK TILEPROP VBRK_X29Y94 CLASS tile TILEPROP VBRK_X29Y94 COLUMN 29 TILEPROP VBRK_X29Y94 DEVICE_ID 0 TILEPROP VBRK_X29Y94 FIRST_SITE_ID 6037 TILEPROP VBRK_X29Y94 GRID_POINT_X 29 TILEPROP VBRK_X29Y94 GRID_POINT_Y 62 TILEPROP VBRK_X29Y94 INDEX 7159 TILEPROP VBRK_X29Y94 INT_TILE_X 9 TILEPROP VBRK_X29Y94 INT_TILE_Y 59 TILEPROP VBRK_X29Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y94 IS_DCM_TILE 0 TILEPROP VBRK_X29Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y94 NAME VBRK_X29Y94 TILEPROP VBRK_X29Y94 NUM_ARCS 0 TILEPROP VBRK_X29Y94 NUM_SITES 0 TILEPROP VBRK_X29Y94 ROW 62 TILEPROP VBRK_X29Y94 SLR_REGION_ID 0 TILEPROP VBRK_X29Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y94 TILE_TYPE VBRK TILEPROP VBRK_X29Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y94 TILE_X -50048 TILEPROP VBRK_X29Y94 TILE_Y 50624 TILEPROP VBRK_X29Y94 TYPE VBRK TILEPROP VBRK_X29Y95 CLASS tile TILEPROP VBRK_X29Y95 COLUMN 29 TILEPROP VBRK_X29Y95 DEVICE_ID 0 TILEPROP VBRK_X29Y95 FIRST_SITE_ID 5931 TILEPROP VBRK_X29Y95 GRID_POINT_X 29 TILEPROP VBRK_X29Y95 GRID_POINT_Y 61 TILEPROP VBRK_X29Y95 INDEX 7044 TILEPROP VBRK_X29Y95 INT_TILE_X 9 TILEPROP VBRK_X29Y95 INT_TILE_Y 58 TILEPROP VBRK_X29Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y95 IS_DCM_TILE 0 TILEPROP VBRK_X29Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y95 NAME VBRK_X29Y95 TILEPROP VBRK_X29Y95 NUM_ARCS 0 TILEPROP VBRK_X29Y95 NUM_SITES 0 TILEPROP VBRK_X29Y95 ROW 61 TILEPROP VBRK_X29Y95 SLR_REGION_ID 0 TILEPROP VBRK_X29Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y95 TILE_TYPE VBRK TILEPROP VBRK_X29Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y95 TILE_X -50048 TILEPROP VBRK_X29Y95 TILE_Y 53824 TILEPROP VBRK_X29Y95 TYPE VBRK TILEPROP VBRK_X29Y96 CLASS tile TILEPROP VBRK_X29Y96 COLUMN 29 TILEPROP VBRK_X29Y96 DEVICE_ID 0 TILEPROP VBRK_X29Y96 FIRST_SITE_ID 5830 TILEPROP VBRK_X29Y96 GRID_POINT_X 29 TILEPROP VBRK_X29Y96 GRID_POINT_Y 60 TILEPROP VBRK_X29Y96 INDEX 6929 TILEPROP VBRK_X29Y96 INT_TILE_X 9 TILEPROP VBRK_X29Y96 INT_TILE_Y 57 TILEPROP VBRK_X29Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y96 IS_DCM_TILE 0 TILEPROP VBRK_X29Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y96 NAME VBRK_X29Y96 TILEPROP VBRK_X29Y96 NUM_ARCS 0 TILEPROP VBRK_X29Y96 NUM_SITES 0 TILEPROP VBRK_X29Y96 ROW 60 TILEPROP VBRK_X29Y96 SLR_REGION_ID 0 TILEPROP VBRK_X29Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y96 TILE_TYPE VBRK TILEPROP VBRK_X29Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y96 TILE_X -50048 TILEPROP VBRK_X29Y96 TILE_Y 57024 TILEPROP VBRK_X29Y96 TYPE VBRK TILEPROP VBRK_X29Y97 CLASS tile TILEPROP VBRK_X29Y97 COLUMN 29 TILEPROP VBRK_X29Y97 DEVICE_ID 0 TILEPROP VBRK_X29Y97 FIRST_SITE_ID 5727 TILEPROP VBRK_X29Y97 GRID_POINT_X 29 TILEPROP VBRK_X29Y97 GRID_POINT_Y 59 TILEPROP VBRK_X29Y97 INDEX 6814 TILEPROP VBRK_X29Y97 INT_TILE_X 9 TILEPROP VBRK_X29Y97 INT_TILE_Y 56 TILEPROP VBRK_X29Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y97 IS_DCM_TILE 0 TILEPROP VBRK_X29Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y97 NAME VBRK_X29Y97 TILEPROP VBRK_X29Y97 NUM_ARCS 0 TILEPROP VBRK_X29Y97 NUM_SITES 0 TILEPROP VBRK_X29Y97 ROW 59 TILEPROP VBRK_X29Y97 SLR_REGION_ID 0 TILEPROP VBRK_X29Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y97 TILE_TYPE VBRK TILEPROP VBRK_X29Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y97 TILE_X -50048 TILEPROP VBRK_X29Y97 TILE_Y 60224 TILEPROP VBRK_X29Y97 TYPE VBRK TILEPROP VBRK_X29Y98 CLASS tile TILEPROP VBRK_X29Y98 COLUMN 29 TILEPROP VBRK_X29Y98 DEVICE_ID 0 TILEPROP VBRK_X29Y98 FIRST_SITE_ID 5625 TILEPROP VBRK_X29Y98 GRID_POINT_X 29 TILEPROP VBRK_X29Y98 GRID_POINT_Y 58 TILEPROP VBRK_X29Y98 INDEX 6699 TILEPROP VBRK_X29Y98 INT_TILE_X 9 TILEPROP VBRK_X29Y98 INT_TILE_Y 55 TILEPROP VBRK_X29Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y98 IS_DCM_TILE 0 TILEPROP VBRK_X29Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y98 NAME VBRK_X29Y98 TILEPROP VBRK_X29Y98 NUM_ARCS 0 TILEPROP VBRK_X29Y98 NUM_SITES 0 TILEPROP VBRK_X29Y98 ROW 58 TILEPROP VBRK_X29Y98 SLR_REGION_ID 0 TILEPROP VBRK_X29Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y98 TILE_TYPE VBRK TILEPROP VBRK_X29Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y98 TILE_X -50048 TILEPROP VBRK_X29Y98 TILE_Y 63424 TILEPROP VBRK_X29Y98 TYPE VBRK TILEPROP VBRK_X29Y99 CLASS tile TILEPROP VBRK_X29Y99 COLUMN 29 TILEPROP VBRK_X29Y99 DEVICE_ID 0 TILEPROP VBRK_X29Y99 FIRST_SITE_ID 5516 TILEPROP VBRK_X29Y99 GRID_POINT_X 29 TILEPROP VBRK_X29Y99 GRID_POINT_Y 57 TILEPROP VBRK_X29Y99 INDEX 6584 TILEPROP VBRK_X29Y99 INT_TILE_X 9 TILEPROP VBRK_X29Y99 INT_TILE_Y 54 TILEPROP VBRK_X29Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y99 IS_DCM_TILE 0 TILEPROP VBRK_X29Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y99 NAME VBRK_X29Y99 TILEPROP VBRK_X29Y99 NUM_ARCS 0 TILEPROP VBRK_X29Y99 NUM_SITES 0 TILEPROP VBRK_X29Y99 ROW 57 TILEPROP VBRK_X29Y99 SLR_REGION_ID 0 TILEPROP VBRK_X29Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y99 TILE_TYPE VBRK TILEPROP VBRK_X29Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y99 TILE_X -50048 TILEPROP VBRK_X29Y99 TILE_Y 66624 TILEPROP VBRK_X29Y99 TYPE VBRK TILEPROP VBRK_X29Y100 CLASS tile TILEPROP VBRK_X29Y100 COLUMN 29 TILEPROP VBRK_X29Y100 DEVICE_ID 0 TILEPROP VBRK_X29Y100 FIRST_SITE_ID 5410 TILEPROP VBRK_X29Y100 GRID_POINT_X 29 TILEPROP VBRK_X29Y100 GRID_POINT_Y 56 TILEPROP VBRK_X29Y100 INDEX 6469 TILEPROP VBRK_X29Y100 INT_TILE_X 9 TILEPROP VBRK_X29Y100 INT_TILE_Y 53 TILEPROP VBRK_X29Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y100 IS_DCM_TILE 0 TILEPROP VBRK_X29Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y100 NAME VBRK_X29Y100 TILEPROP VBRK_X29Y100 NUM_ARCS 0 TILEPROP VBRK_X29Y100 NUM_SITES 0 TILEPROP VBRK_X29Y100 ROW 56 TILEPROP VBRK_X29Y100 SLR_REGION_ID 0 TILEPROP VBRK_X29Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y100 TILE_TYPE VBRK TILEPROP VBRK_X29Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y100 TILE_X -50048 TILEPROP VBRK_X29Y100 TILE_Y 69824 TILEPROP VBRK_X29Y100 TYPE VBRK TILEPROP VBRK_X29Y101 CLASS tile TILEPROP VBRK_X29Y101 COLUMN 29 TILEPROP VBRK_X29Y101 DEVICE_ID 0 TILEPROP VBRK_X29Y101 FIRST_SITE_ID 5310 TILEPROP VBRK_X29Y101 GRID_POINT_X 29 TILEPROP VBRK_X29Y101 GRID_POINT_Y 55 TILEPROP VBRK_X29Y101 INDEX 6354 TILEPROP VBRK_X29Y101 INT_TILE_X 9 TILEPROP VBRK_X29Y101 INT_TILE_Y 52 TILEPROP VBRK_X29Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y101 IS_DCM_TILE 0 TILEPROP VBRK_X29Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y101 NAME VBRK_X29Y101 TILEPROP VBRK_X29Y101 NUM_ARCS 0 TILEPROP VBRK_X29Y101 NUM_SITES 0 TILEPROP VBRK_X29Y101 ROW 55 TILEPROP VBRK_X29Y101 SLR_REGION_ID 0 TILEPROP VBRK_X29Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y101 TILE_TYPE VBRK TILEPROP VBRK_X29Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y101 TILE_X -50048 TILEPROP VBRK_X29Y101 TILE_Y 73024 TILEPROP VBRK_X29Y101 TYPE VBRK TILEPROP VBRK_X29Y102 CLASS tile TILEPROP VBRK_X29Y102 COLUMN 29 TILEPROP VBRK_X29Y102 DEVICE_ID 0 TILEPROP VBRK_X29Y102 FIRST_SITE_ID 5210 TILEPROP VBRK_X29Y102 GRID_POINT_X 29 TILEPROP VBRK_X29Y102 GRID_POINT_Y 54 TILEPROP VBRK_X29Y102 INDEX 6239 TILEPROP VBRK_X29Y102 INT_TILE_X 9 TILEPROP VBRK_X29Y102 INT_TILE_Y 51 TILEPROP VBRK_X29Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y102 IS_DCM_TILE 0 TILEPROP VBRK_X29Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y102 NAME VBRK_X29Y102 TILEPROP VBRK_X29Y102 NUM_ARCS 0 TILEPROP VBRK_X29Y102 NUM_SITES 0 TILEPROP VBRK_X29Y102 ROW 54 TILEPROP VBRK_X29Y102 SLR_REGION_ID 0 TILEPROP VBRK_X29Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y102 TILE_TYPE VBRK TILEPROP VBRK_X29Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y102 TILE_X -50048 TILEPROP VBRK_X29Y102 TILE_Y 76224 TILEPROP VBRK_X29Y102 TYPE VBRK TILEPROP VBRK_X29Y103 CLASS tile TILEPROP VBRK_X29Y103 COLUMN 29 TILEPROP VBRK_X29Y103 DEVICE_ID 0 TILEPROP VBRK_X29Y103 FIRST_SITE_ID 5114 TILEPROP VBRK_X29Y103 GRID_POINT_X 29 TILEPROP VBRK_X29Y103 GRID_POINT_Y 53 TILEPROP VBRK_X29Y103 INDEX 6124 TILEPROP VBRK_X29Y103 INT_TILE_X 9 TILEPROP VBRK_X29Y103 INT_TILE_Y 50 TILEPROP VBRK_X29Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y103 IS_DCM_TILE 0 TILEPROP VBRK_X29Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y103 NAME VBRK_X29Y103 TILEPROP VBRK_X29Y103 NUM_ARCS 0 TILEPROP VBRK_X29Y103 NUM_SITES 0 TILEPROP VBRK_X29Y103 ROW 53 TILEPROP VBRK_X29Y103 SLR_REGION_ID 0 TILEPROP VBRK_X29Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y103 TILE_TYPE VBRK TILEPROP VBRK_X29Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y103 TILE_X -50048 TILEPROP VBRK_X29Y103 TILE_Y 79424 TILEPROP VBRK_X29Y103 TYPE VBRK TILEPROP VBRK_X29Y105 CLASS tile TILEPROP VBRK_X29Y105 COLUMN 29 TILEPROP VBRK_X29Y105 DEVICE_ID 0 TILEPROP VBRK_X29Y105 FIRST_SITE_ID 5019 TILEPROP VBRK_X29Y105 GRID_POINT_X 29 TILEPROP VBRK_X29Y105 GRID_POINT_Y 51 TILEPROP VBRK_X29Y105 INDEX 5894 TILEPROP VBRK_X29Y105 INT_TILE_X 9 TILEPROP VBRK_X29Y105 INT_TILE_Y 49 TILEPROP VBRK_X29Y105 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y105 IS_DCM_TILE 0 TILEPROP VBRK_X29Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y105 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y105 NAME VBRK_X29Y105 TILEPROP VBRK_X29Y105 NUM_ARCS 0 TILEPROP VBRK_X29Y105 NUM_SITES 0 TILEPROP VBRK_X29Y105 ROW 51 TILEPROP VBRK_X29Y105 SLR_REGION_ID 0 TILEPROP VBRK_X29Y105 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y105 TILE_TYPE VBRK TILEPROP VBRK_X29Y105 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y105 TILE_X -50048 TILEPROP VBRK_X29Y105 TILE_Y 82872 TILEPROP VBRK_X29Y105 TYPE VBRK TILEPROP VBRK_X29Y106 CLASS tile TILEPROP VBRK_X29Y106 COLUMN 29 TILEPROP VBRK_X29Y106 DEVICE_ID 0 TILEPROP VBRK_X29Y106 FIRST_SITE_ID 4921 TILEPROP VBRK_X29Y106 GRID_POINT_X 29 TILEPROP VBRK_X29Y106 GRID_POINT_Y 50 TILEPROP VBRK_X29Y106 INDEX 5779 TILEPROP VBRK_X29Y106 INT_TILE_X 9 TILEPROP VBRK_X29Y106 INT_TILE_Y 48 TILEPROP VBRK_X29Y106 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y106 IS_DCM_TILE 0 TILEPROP VBRK_X29Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y106 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y106 NAME VBRK_X29Y106 TILEPROP VBRK_X29Y106 NUM_ARCS 0 TILEPROP VBRK_X29Y106 NUM_SITES 0 TILEPROP VBRK_X29Y106 ROW 50 TILEPROP VBRK_X29Y106 SLR_REGION_ID 0 TILEPROP VBRK_X29Y106 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y106 TILE_TYPE VBRK TILEPROP VBRK_X29Y106 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y106 TILE_X -50048 TILEPROP VBRK_X29Y106 TILE_Y 86072 TILEPROP VBRK_X29Y106 TYPE VBRK TILEPROP VBRK_X29Y107 CLASS tile TILEPROP VBRK_X29Y107 COLUMN 29 TILEPROP VBRK_X29Y107 DEVICE_ID 0 TILEPROP VBRK_X29Y107 FIRST_SITE_ID 4825 TILEPROP VBRK_X29Y107 GRID_POINT_X 29 TILEPROP VBRK_X29Y107 GRID_POINT_Y 49 TILEPROP VBRK_X29Y107 INDEX 5664 TILEPROP VBRK_X29Y107 INT_TILE_X 9 TILEPROP VBRK_X29Y107 INT_TILE_Y 47 TILEPROP VBRK_X29Y107 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y107 IS_DCM_TILE 0 TILEPROP VBRK_X29Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y107 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y107 NAME VBRK_X29Y107 TILEPROP VBRK_X29Y107 NUM_ARCS 0 TILEPROP VBRK_X29Y107 NUM_SITES 0 TILEPROP VBRK_X29Y107 ROW 49 TILEPROP VBRK_X29Y107 SLR_REGION_ID 0 TILEPROP VBRK_X29Y107 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y107 TILE_TYPE VBRK TILEPROP VBRK_X29Y107 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y107 TILE_X -50048 TILEPROP VBRK_X29Y107 TILE_Y 89272 TILEPROP VBRK_X29Y107 TYPE VBRK TILEPROP VBRK_X29Y108 CLASS tile TILEPROP VBRK_X29Y108 COLUMN 29 TILEPROP VBRK_X29Y108 DEVICE_ID 0 TILEPROP VBRK_X29Y108 FIRST_SITE_ID 4737 TILEPROP VBRK_X29Y108 GRID_POINT_X 29 TILEPROP VBRK_X29Y108 GRID_POINT_Y 48 TILEPROP VBRK_X29Y108 INDEX 5549 TILEPROP VBRK_X29Y108 INT_TILE_X 9 TILEPROP VBRK_X29Y108 INT_TILE_Y 46 TILEPROP VBRK_X29Y108 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y108 IS_DCM_TILE 0 TILEPROP VBRK_X29Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y108 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y108 NAME VBRK_X29Y108 TILEPROP VBRK_X29Y108 NUM_ARCS 0 TILEPROP VBRK_X29Y108 NUM_SITES 0 TILEPROP VBRK_X29Y108 ROW 48 TILEPROP VBRK_X29Y108 SLR_REGION_ID 0 TILEPROP VBRK_X29Y108 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y108 TILE_TYPE VBRK TILEPROP VBRK_X29Y108 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y108 TILE_X -50048 TILEPROP VBRK_X29Y108 TILE_Y 92472 TILEPROP VBRK_X29Y108 TYPE VBRK TILEPROP VBRK_X29Y109 CLASS tile TILEPROP VBRK_X29Y109 COLUMN 29 TILEPROP VBRK_X29Y109 DEVICE_ID 0 TILEPROP VBRK_X29Y109 FIRST_SITE_ID 4641 TILEPROP VBRK_X29Y109 GRID_POINT_X 29 TILEPROP VBRK_X29Y109 GRID_POINT_Y 47 TILEPROP VBRK_X29Y109 INDEX 5434 TILEPROP VBRK_X29Y109 INT_TILE_X 9 TILEPROP VBRK_X29Y109 INT_TILE_Y 45 TILEPROP VBRK_X29Y109 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y109 IS_DCM_TILE 0 TILEPROP VBRK_X29Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y109 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y109 NAME VBRK_X29Y109 TILEPROP VBRK_X29Y109 NUM_ARCS 0 TILEPROP VBRK_X29Y109 NUM_SITES 0 TILEPROP VBRK_X29Y109 ROW 47 TILEPROP VBRK_X29Y109 SLR_REGION_ID 0 TILEPROP VBRK_X29Y109 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y109 TILE_TYPE VBRK TILEPROP VBRK_X29Y109 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y109 TILE_X -50048 TILEPROP VBRK_X29Y109 TILE_Y 95672 TILEPROP VBRK_X29Y109 TYPE VBRK TILEPROP VBRK_X29Y110 CLASS tile TILEPROP VBRK_X29Y110 COLUMN 29 TILEPROP VBRK_X29Y110 DEVICE_ID 0 TILEPROP VBRK_X29Y110 FIRST_SITE_ID 4545 TILEPROP VBRK_X29Y110 GRID_POINT_X 29 TILEPROP VBRK_X29Y110 GRID_POINT_Y 46 TILEPROP VBRK_X29Y110 INDEX 5319 TILEPROP VBRK_X29Y110 INT_TILE_X 9 TILEPROP VBRK_X29Y110 INT_TILE_Y 44 TILEPROP VBRK_X29Y110 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y110 IS_DCM_TILE 0 TILEPROP VBRK_X29Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y110 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y110 NAME VBRK_X29Y110 TILEPROP VBRK_X29Y110 NUM_ARCS 0 TILEPROP VBRK_X29Y110 NUM_SITES 0 TILEPROP VBRK_X29Y110 ROW 46 TILEPROP VBRK_X29Y110 SLR_REGION_ID 0 TILEPROP VBRK_X29Y110 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y110 TILE_TYPE VBRK TILEPROP VBRK_X29Y110 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y110 TILE_X -50048 TILEPROP VBRK_X29Y110 TILE_Y 98872 TILEPROP VBRK_X29Y110 TYPE VBRK TILEPROP VBRK_X29Y111 CLASS tile TILEPROP VBRK_X29Y111 COLUMN 29 TILEPROP VBRK_X29Y111 DEVICE_ID 0 TILEPROP VBRK_X29Y111 FIRST_SITE_ID 4443 TILEPROP VBRK_X29Y111 GRID_POINT_X 29 TILEPROP VBRK_X29Y111 GRID_POINT_Y 45 TILEPROP VBRK_X29Y111 INDEX 5204 TILEPROP VBRK_X29Y111 INT_TILE_X 9 TILEPROP VBRK_X29Y111 INT_TILE_Y 43 TILEPROP VBRK_X29Y111 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y111 IS_DCM_TILE 0 TILEPROP VBRK_X29Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y111 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y111 NAME VBRK_X29Y111 TILEPROP VBRK_X29Y111 NUM_ARCS 0 TILEPROP VBRK_X29Y111 NUM_SITES 0 TILEPROP VBRK_X29Y111 ROW 45 TILEPROP VBRK_X29Y111 SLR_REGION_ID 0 TILEPROP VBRK_X29Y111 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y111 TILE_TYPE VBRK TILEPROP VBRK_X29Y111 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y111 TILE_X -50048 TILEPROP VBRK_X29Y111 TILE_Y 102072 TILEPROP VBRK_X29Y111 TYPE VBRK TILEPROP VBRK_X29Y112 CLASS tile TILEPROP VBRK_X29Y112 COLUMN 29 TILEPROP VBRK_X29Y112 DEVICE_ID 0 TILEPROP VBRK_X29Y112 FIRST_SITE_ID 4355 TILEPROP VBRK_X29Y112 GRID_POINT_X 29 TILEPROP VBRK_X29Y112 GRID_POINT_Y 44 TILEPROP VBRK_X29Y112 INDEX 5089 TILEPROP VBRK_X29Y112 INT_TILE_X 9 TILEPROP VBRK_X29Y112 INT_TILE_Y 42 TILEPROP VBRK_X29Y112 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y112 IS_DCM_TILE 0 TILEPROP VBRK_X29Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y112 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y112 NAME VBRK_X29Y112 TILEPROP VBRK_X29Y112 NUM_ARCS 0 TILEPROP VBRK_X29Y112 NUM_SITES 0 TILEPROP VBRK_X29Y112 ROW 44 TILEPROP VBRK_X29Y112 SLR_REGION_ID 0 TILEPROP VBRK_X29Y112 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y112 TILE_TYPE VBRK TILEPROP VBRK_X29Y112 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y112 TILE_X -50048 TILEPROP VBRK_X29Y112 TILE_Y 105272 TILEPROP VBRK_X29Y112 TYPE VBRK TILEPROP VBRK_X29Y113 CLASS tile TILEPROP VBRK_X29Y113 COLUMN 29 TILEPROP VBRK_X29Y113 DEVICE_ID 0 TILEPROP VBRK_X29Y113 FIRST_SITE_ID 4257 TILEPROP VBRK_X29Y113 GRID_POINT_X 29 TILEPROP VBRK_X29Y113 GRID_POINT_Y 43 TILEPROP VBRK_X29Y113 INDEX 4974 TILEPROP VBRK_X29Y113 INT_TILE_X 9 TILEPROP VBRK_X29Y113 INT_TILE_Y 41 TILEPROP VBRK_X29Y113 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y113 IS_DCM_TILE 0 TILEPROP VBRK_X29Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y113 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y113 NAME VBRK_X29Y113 TILEPROP VBRK_X29Y113 NUM_ARCS 0 TILEPROP VBRK_X29Y113 NUM_SITES 0 TILEPROP VBRK_X29Y113 ROW 43 TILEPROP VBRK_X29Y113 SLR_REGION_ID 0 TILEPROP VBRK_X29Y113 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y113 TILE_TYPE VBRK TILEPROP VBRK_X29Y113 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y113 TILE_X -50048 TILEPROP VBRK_X29Y113 TILE_Y 108472 TILEPROP VBRK_X29Y113 TYPE VBRK TILEPROP VBRK_X29Y114 CLASS tile TILEPROP VBRK_X29Y114 COLUMN 29 TILEPROP VBRK_X29Y114 DEVICE_ID 0 TILEPROP VBRK_X29Y114 FIRST_SITE_ID 4168 TILEPROP VBRK_X29Y114 GRID_POINT_X 29 TILEPROP VBRK_X29Y114 GRID_POINT_Y 42 TILEPROP VBRK_X29Y114 INDEX 4859 TILEPROP VBRK_X29Y114 INT_TILE_X 9 TILEPROP VBRK_X29Y114 INT_TILE_Y 40 TILEPROP VBRK_X29Y114 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y114 IS_DCM_TILE 0 TILEPROP VBRK_X29Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y114 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y114 NAME VBRK_X29Y114 TILEPROP VBRK_X29Y114 NUM_ARCS 0 TILEPROP VBRK_X29Y114 NUM_SITES 0 TILEPROP VBRK_X29Y114 ROW 42 TILEPROP VBRK_X29Y114 SLR_REGION_ID 0 TILEPROP VBRK_X29Y114 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y114 TILE_TYPE VBRK TILEPROP VBRK_X29Y114 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y114 TILE_X -50048 TILEPROP VBRK_X29Y114 TILE_Y 111672 TILEPROP VBRK_X29Y114 TYPE VBRK TILEPROP VBRK_X29Y115 CLASS tile TILEPROP VBRK_X29Y115 COLUMN 29 TILEPROP VBRK_X29Y115 DEVICE_ID 0 TILEPROP VBRK_X29Y115 FIRST_SITE_ID 4068 TILEPROP VBRK_X29Y115 GRID_POINT_X 29 TILEPROP VBRK_X29Y115 GRID_POINT_Y 41 TILEPROP VBRK_X29Y115 INDEX 4744 TILEPROP VBRK_X29Y115 INT_TILE_X 9 TILEPROP VBRK_X29Y115 INT_TILE_Y 39 TILEPROP VBRK_X29Y115 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y115 IS_DCM_TILE 0 TILEPROP VBRK_X29Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y115 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y115 NAME VBRK_X29Y115 TILEPROP VBRK_X29Y115 NUM_ARCS 0 TILEPROP VBRK_X29Y115 NUM_SITES 0 TILEPROP VBRK_X29Y115 ROW 41 TILEPROP VBRK_X29Y115 SLR_REGION_ID 0 TILEPROP VBRK_X29Y115 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y115 TILE_TYPE VBRK TILEPROP VBRK_X29Y115 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y115 TILE_X -50048 TILEPROP VBRK_X29Y115 TILE_Y 114872 TILEPROP VBRK_X29Y115 TYPE VBRK TILEPROP VBRK_X29Y116 CLASS tile TILEPROP VBRK_X29Y116 COLUMN 29 TILEPROP VBRK_X29Y116 DEVICE_ID 0 TILEPROP VBRK_X29Y116 FIRST_SITE_ID 3974 TILEPROP VBRK_X29Y116 GRID_POINT_X 29 TILEPROP VBRK_X29Y116 GRID_POINT_Y 40 TILEPROP VBRK_X29Y116 INDEX 4629 TILEPROP VBRK_X29Y116 INT_TILE_X 9 TILEPROP VBRK_X29Y116 INT_TILE_Y 38 TILEPROP VBRK_X29Y116 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y116 IS_DCM_TILE 0 TILEPROP VBRK_X29Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y116 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y116 NAME VBRK_X29Y116 TILEPROP VBRK_X29Y116 NUM_ARCS 0 TILEPROP VBRK_X29Y116 NUM_SITES 0 TILEPROP VBRK_X29Y116 ROW 40 TILEPROP VBRK_X29Y116 SLR_REGION_ID 0 TILEPROP VBRK_X29Y116 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y116 TILE_TYPE VBRK TILEPROP VBRK_X29Y116 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y116 TILE_X -50048 TILEPROP VBRK_X29Y116 TILE_Y 118072 TILEPROP VBRK_X29Y116 TYPE VBRK TILEPROP VBRK_X29Y117 CLASS tile TILEPROP VBRK_X29Y117 COLUMN 29 TILEPROP VBRK_X29Y117 DEVICE_ID 0 TILEPROP VBRK_X29Y117 FIRST_SITE_ID 3846 TILEPROP VBRK_X29Y117 GRID_POINT_X 29 TILEPROP VBRK_X29Y117 GRID_POINT_Y 39 TILEPROP VBRK_X29Y117 INDEX 4514 TILEPROP VBRK_X29Y117 INT_TILE_X 9 TILEPROP VBRK_X29Y117 INT_TILE_Y 37 TILEPROP VBRK_X29Y117 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y117 IS_DCM_TILE 0 TILEPROP VBRK_X29Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y117 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y117 NAME VBRK_X29Y117 TILEPROP VBRK_X29Y117 NUM_ARCS 0 TILEPROP VBRK_X29Y117 NUM_SITES 0 TILEPROP VBRK_X29Y117 ROW 39 TILEPROP VBRK_X29Y117 SLR_REGION_ID 0 TILEPROP VBRK_X29Y117 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y117 TILE_TYPE VBRK TILEPROP VBRK_X29Y117 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y117 TILE_X -50048 TILEPROP VBRK_X29Y117 TILE_Y 121272 TILEPROP VBRK_X29Y117 TYPE VBRK TILEPROP VBRK_X29Y118 CLASS tile TILEPROP VBRK_X29Y118 COLUMN 29 TILEPROP VBRK_X29Y118 DEVICE_ID 0 TILEPROP VBRK_X29Y118 FIRST_SITE_ID 3758 TILEPROP VBRK_X29Y118 GRID_POINT_X 29 TILEPROP VBRK_X29Y118 GRID_POINT_Y 38 TILEPROP VBRK_X29Y118 INDEX 4399 TILEPROP VBRK_X29Y118 INT_TILE_X 9 TILEPROP VBRK_X29Y118 INT_TILE_Y 36 TILEPROP VBRK_X29Y118 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y118 IS_DCM_TILE 0 TILEPROP VBRK_X29Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y118 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y118 NAME VBRK_X29Y118 TILEPROP VBRK_X29Y118 NUM_ARCS 0 TILEPROP VBRK_X29Y118 NUM_SITES 0 TILEPROP VBRK_X29Y118 ROW 38 TILEPROP VBRK_X29Y118 SLR_REGION_ID 0 TILEPROP VBRK_X29Y118 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y118 TILE_TYPE VBRK TILEPROP VBRK_X29Y118 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y118 TILE_X -50048 TILEPROP VBRK_X29Y118 TILE_Y 124472 TILEPROP VBRK_X29Y118 TYPE VBRK TILEPROP VBRK_X29Y119 CLASS tile TILEPROP VBRK_X29Y119 COLUMN 29 TILEPROP VBRK_X29Y119 DEVICE_ID 0 TILEPROP VBRK_X29Y119 FIRST_SITE_ID 3662 TILEPROP VBRK_X29Y119 GRID_POINT_X 29 TILEPROP VBRK_X29Y119 GRID_POINT_Y 37 TILEPROP VBRK_X29Y119 INDEX 4284 TILEPROP VBRK_X29Y119 INT_TILE_X 9 TILEPROP VBRK_X29Y119 INT_TILE_Y 35 TILEPROP VBRK_X29Y119 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y119 IS_DCM_TILE 0 TILEPROP VBRK_X29Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y119 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y119 NAME VBRK_X29Y119 TILEPROP VBRK_X29Y119 NUM_ARCS 0 TILEPROP VBRK_X29Y119 NUM_SITES 0 TILEPROP VBRK_X29Y119 ROW 37 TILEPROP VBRK_X29Y119 SLR_REGION_ID 0 TILEPROP VBRK_X29Y119 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y119 TILE_TYPE VBRK TILEPROP VBRK_X29Y119 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y119 TILE_X -50048 TILEPROP VBRK_X29Y119 TILE_Y 127672 TILEPROP VBRK_X29Y119 TYPE VBRK TILEPROP VBRK_X29Y120 CLASS tile TILEPROP VBRK_X29Y120 COLUMN 29 TILEPROP VBRK_X29Y120 DEVICE_ID 0 TILEPROP VBRK_X29Y120 FIRST_SITE_ID 3571 TILEPROP VBRK_X29Y120 GRID_POINT_X 29 TILEPROP VBRK_X29Y120 GRID_POINT_Y 36 TILEPROP VBRK_X29Y120 INDEX 4169 TILEPROP VBRK_X29Y120 INT_TILE_X 9 TILEPROP VBRK_X29Y120 INT_TILE_Y 34 TILEPROP VBRK_X29Y120 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y120 IS_DCM_TILE 0 TILEPROP VBRK_X29Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y120 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y120 NAME VBRK_X29Y120 TILEPROP VBRK_X29Y120 NUM_ARCS 0 TILEPROP VBRK_X29Y120 NUM_SITES 0 TILEPROP VBRK_X29Y120 ROW 36 TILEPROP VBRK_X29Y120 SLR_REGION_ID 0 TILEPROP VBRK_X29Y120 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y120 TILE_TYPE VBRK TILEPROP VBRK_X29Y120 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y120 TILE_X -50048 TILEPROP VBRK_X29Y120 TILE_Y 130872 TILEPROP VBRK_X29Y120 TYPE VBRK TILEPROP VBRK_X29Y121 CLASS tile TILEPROP VBRK_X29Y121 COLUMN 29 TILEPROP VBRK_X29Y121 DEVICE_ID 0 TILEPROP VBRK_X29Y121 FIRST_SITE_ID 3464 TILEPROP VBRK_X29Y121 GRID_POINT_X 29 TILEPROP VBRK_X29Y121 GRID_POINT_Y 35 TILEPROP VBRK_X29Y121 INDEX 4054 TILEPROP VBRK_X29Y121 INT_TILE_X 9 TILEPROP VBRK_X29Y121 INT_TILE_Y 33 TILEPROP VBRK_X29Y121 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y121 IS_DCM_TILE 0 TILEPROP VBRK_X29Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y121 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y121 NAME VBRK_X29Y121 TILEPROP VBRK_X29Y121 NUM_ARCS 0 TILEPROP VBRK_X29Y121 NUM_SITES 0 TILEPROP VBRK_X29Y121 ROW 35 TILEPROP VBRK_X29Y121 SLR_REGION_ID 0 TILEPROP VBRK_X29Y121 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y121 TILE_TYPE VBRK TILEPROP VBRK_X29Y121 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y121 TILE_X -50048 TILEPROP VBRK_X29Y121 TILE_Y 134072 TILEPROP VBRK_X29Y121 TYPE VBRK TILEPROP VBRK_X29Y122 CLASS tile TILEPROP VBRK_X29Y122 COLUMN 29 TILEPROP VBRK_X29Y122 DEVICE_ID 0 TILEPROP VBRK_X29Y122 FIRST_SITE_ID 3376 TILEPROP VBRK_X29Y122 GRID_POINT_X 29 TILEPROP VBRK_X29Y122 GRID_POINT_Y 34 TILEPROP VBRK_X29Y122 INDEX 3939 TILEPROP VBRK_X29Y122 INT_TILE_X 9 TILEPROP VBRK_X29Y122 INT_TILE_Y 32 TILEPROP VBRK_X29Y122 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y122 IS_DCM_TILE 0 TILEPROP VBRK_X29Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y122 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y122 NAME VBRK_X29Y122 TILEPROP VBRK_X29Y122 NUM_ARCS 0 TILEPROP VBRK_X29Y122 NUM_SITES 0 TILEPROP VBRK_X29Y122 ROW 34 TILEPROP VBRK_X29Y122 SLR_REGION_ID 0 TILEPROP VBRK_X29Y122 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y122 TILE_TYPE VBRK TILEPROP VBRK_X29Y122 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y122 TILE_X -50048 TILEPROP VBRK_X29Y122 TILE_Y 137272 TILEPROP VBRK_X29Y122 TYPE VBRK TILEPROP VBRK_X29Y123 CLASS tile TILEPROP VBRK_X29Y123 COLUMN 29 TILEPROP VBRK_X29Y123 DEVICE_ID 0 TILEPROP VBRK_X29Y123 FIRST_SITE_ID 3276 TILEPROP VBRK_X29Y123 GRID_POINT_X 29 TILEPROP VBRK_X29Y123 GRID_POINT_Y 33 TILEPROP VBRK_X29Y123 INDEX 3824 TILEPROP VBRK_X29Y123 INT_TILE_X 9 TILEPROP VBRK_X29Y123 INT_TILE_Y 31 TILEPROP VBRK_X29Y123 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y123 IS_DCM_TILE 0 TILEPROP VBRK_X29Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y123 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y123 NAME VBRK_X29Y123 TILEPROP VBRK_X29Y123 NUM_ARCS 0 TILEPROP VBRK_X29Y123 NUM_SITES 0 TILEPROP VBRK_X29Y123 ROW 33 TILEPROP VBRK_X29Y123 SLR_REGION_ID 0 TILEPROP VBRK_X29Y123 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y123 TILE_TYPE VBRK TILEPROP VBRK_X29Y123 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y123 TILE_X -50048 TILEPROP VBRK_X29Y123 TILE_Y 140472 TILEPROP VBRK_X29Y123 TYPE VBRK TILEPROP VBRK_X29Y124 CLASS tile TILEPROP VBRK_X29Y124 COLUMN 29 TILEPROP VBRK_X29Y124 DEVICE_ID 0 TILEPROP VBRK_X29Y124 FIRST_SITE_ID 3188 TILEPROP VBRK_X29Y124 GRID_POINT_X 29 TILEPROP VBRK_X29Y124 GRID_POINT_Y 32 TILEPROP VBRK_X29Y124 INDEX 3709 TILEPROP VBRK_X29Y124 INT_TILE_X 9 TILEPROP VBRK_X29Y124 INT_TILE_Y 30 TILEPROP VBRK_X29Y124 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y124 IS_DCM_TILE 0 TILEPROP VBRK_X29Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y124 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y124 NAME VBRK_X29Y124 TILEPROP VBRK_X29Y124 NUM_ARCS 0 TILEPROP VBRK_X29Y124 NUM_SITES 0 TILEPROP VBRK_X29Y124 ROW 32 TILEPROP VBRK_X29Y124 SLR_REGION_ID 0 TILEPROP VBRK_X29Y124 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y124 TILE_TYPE VBRK TILEPROP VBRK_X29Y124 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y124 TILE_X -50048 TILEPROP VBRK_X29Y124 TILE_Y 143672 TILEPROP VBRK_X29Y124 TYPE VBRK TILEPROP VBRK_X29Y125 CLASS tile TILEPROP VBRK_X29Y125 COLUMN 29 TILEPROP VBRK_X29Y125 DEVICE_ID 0 TILEPROP VBRK_X29Y125 FIRST_SITE_ID 3087 TILEPROP VBRK_X29Y125 GRID_POINT_X 29 TILEPROP VBRK_X29Y125 GRID_POINT_Y 31 TILEPROP VBRK_X29Y125 INDEX 3594 TILEPROP VBRK_X29Y125 INT_TILE_X 9 TILEPROP VBRK_X29Y125 INT_TILE_Y 29 TILEPROP VBRK_X29Y125 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y125 IS_DCM_TILE 0 TILEPROP VBRK_X29Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y125 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y125 NAME VBRK_X29Y125 TILEPROP VBRK_X29Y125 NUM_ARCS 0 TILEPROP VBRK_X29Y125 NUM_SITES 0 TILEPROP VBRK_X29Y125 ROW 31 TILEPROP VBRK_X29Y125 SLR_REGION_ID 0 TILEPROP VBRK_X29Y125 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y125 TILE_TYPE VBRK TILEPROP VBRK_X29Y125 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y125 TILE_X -50048 TILEPROP VBRK_X29Y125 TILE_Y 146872 TILEPROP VBRK_X29Y125 TYPE VBRK TILEPROP VBRK_X29Y126 CLASS tile TILEPROP VBRK_X29Y126 COLUMN 29 TILEPROP VBRK_X29Y126 DEVICE_ID 0 TILEPROP VBRK_X29Y126 FIRST_SITE_ID 2993 TILEPROP VBRK_X29Y126 GRID_POINT_X 29 TILEPROP VBRK_X29Y126 GRID_POINT_Y 30 TILEPROP VBRK_X29Y126 INDEX 3479 TILEPROP VBRK_X29Y126 INT_TILE_X 9 TILEPROP VBRK_X29Y126 INT_TILE_Y 28 TILEPROP VBRK_X29Y126 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y126 IS_DCM_TILE 0 TILEPROP VBRK_X29Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y126 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y126 NAME VBRK_X29Y126 TILEPROP VBRK_X29Y126 NUM_ARCS 0 TILEPROP VBRK_X29Y126 NUM_SITES 0 TILEPROP VBRK_X29Y126 ROW 30 TILEPROP VBRK_X29Y126 SLR_REGION_ID 0 TILEPROP VBRK_X29Y126 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y126 TILE_TYPE VBRK TILEPROP VBRK_X29Y126 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y126 TILE_X -50048 TILEPROP VBRK_X29Y126 TILE_Y 150072 TILEPROP VBRK_X29Y126 TYPE VBRK TILEPROP VBRK_X29Y127 CLASS tile TILEPROP VBRK_X29Y127 COLUMN 29 TILEPROP VBRK_X29Y127 DEVICE_ID 0 TILEPROP VBRK_X29Y127 FIRST_SITE_ID 2890 TILEPROP VBRK_X29Y127 GRID_POINT_X 29 TILEPROP VBRK_X29Y127 GRID_POINT_Y 29 TILEPROP VBRK_X29Y127 INDEX 3364 TILEPROP VBRK_X29Y127 INT_TILE_X 9 TILEPROP VBRK_X29Y127 INT_TILE_Y 27 TILEPROP VBRK_X29Y127 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y127 IS_DCM_TILE 0 TILEPROP VBRK_X29Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y127 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y127 NAME VBRK_X29Y127 TILEPROP VBRK_X29Y127 NUM_ARCS 0 TILEPROP VBRK_X29Y127 NUM_SITES 0 TILEPROP VBRK_X29Y127 ROW 29 TILEPROP VBRK_X29Y127 SLR_REGION_ID 0 TILEPROP VBRK_X29Y127 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y127 TILE_TYPE VBRK TILEPROP VBRK_X29Y127 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y127 TILE_X -50048 TILEPROP VBRK_X29Y127 TILE_Y 153272 TILEPROP VBRK_X29Y127 TYPE VBRK TILEPROP VBRK_X29Y128 CLASS tile TILEPROP VBRK_X29Y128 COLUMN 29 TILEPROP VBRK_X29Y128 DEVICE_ID 0 TILEPROP VBRK_X29Y128 FIRST_SITE_ID 2802 TILEPROP VBRK_X29Y128 GRID_POINT_X 29 TILEPROP VBRK_X29Y128 GRID_POINT_Y 28 TILEPROP VBRK_X29Y128 INDEX 3249 TILEPROP VBRK_X29Y128 INT_TILE_X 9 TILEPROP VBRK_X29Y128 INT_TILE_Y 26 TILEPROP VBRK_X29Y128 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y128 IS_DCM_TILE 0 TILEPROP VBRK_X29Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y128 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y128 NAME VBRK_X29Y128 TILEPROP VBRK_X29Y128 NUM_ARCS 0 TILEPROP VBRK_X29Y128 NUM_SITES 0 TILEPROP VBRK_X29Y128 ROW 28 TILEPROP VBRK_X29Y128 SLR_REGION_ID 0 TILEPROP VBRK_X29Y128 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y128 TILE_TYPE VBRK TILEPROP VBRK_X29Y128 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y128 TILE_X -50048 TILEPROP VBRK_X29Y128 TILE_Y 156472 TILEPROP VBRK_X29Y128 TYPE VBRK TILEPROP VBRK_X29Y129 CLASS tile TILEPROP VBRK_X29Y129 COLUMN 29 TILEPROP VBRK_X29Y129 DEVICE_ID 0 TILEPROP VBRK_X29Y129 FIRST_SITE_ID 2706 TILEPROP VBRK_X29Y129 GRID_POINT_X 29 TILEPROP VBRK_X29Y129 GRID_POINT_Y 27 TILEPROP VBRK_X29Y129 INDEX 3134 TILEPROP VBRK_X29Y129 INT_TILE_X 9 TILEPROP VBRK_X29Y129 INT_TILE_Y 25 TILEPROP VBRK_X29Y129 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y129 IS_DCM_TILE 0 TILEPROP VBRK_X29Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y129 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y129 NAME VBRK_X29Y129 TILEPROP VBRK_X29Y129 NUM_ARCS 0 TILEPROP VBRK_X29Y129 NUM_SITES 0 TILEPROP VBRK_X29Y129 ROW 27 TILEPROP VBRK_X29Y129 SLR_REGION_ID 0 TILEPROP VBRK_X29Y129 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y129 TILE_TYPE VBRK TILEPROP VBRK_X29Y129 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y129 TILE_X -50048 TILEPROP VBRK_X29Y129 TILE_Y 159672 TILEPROP VBRK_X29Y129 TYPE VBRK TILEPROP VBRK_X29Y131 CLASS tile TILEPROP VBRK_X29Y131 COLUMN 29 TILEPROP VBRK_X29Y131 DEVICE_ID 0 TILEPROP VBRK_X29Y131 FIRST_SITE_ID 2533 TILEPROP VBRK_X29Y131 GRID_POINT_X 29 TILEPROP VBRK_X29Y131 GRID_POINT_Y 25 TILEPROP VBRK_X29Y131 INDEX 2904 TILEPROP VBRK_X29Y131 INT_TILE_X 9 TILEPROP VBRK_X29Y131 INT_TILE_Y 24 TILEPROP VBRK_X29Y131 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y131 IS_DCM_TILE 0 TILEPROP VBRK_X29Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y131 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y131 NAME VBRK_X29Y131 TILEPROP VBRK_X29Y131 NUM_ARCS 0 TILEPROP VBRK_X29Y131 NUM_SITES 0 TILEPROP VBRK_X29Y131 ROW 25 TILEPROP VBRK_X29Y131 SLR_REGION_ID 0 TILEPROP VBRK_X29Y131 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y131 TILE_TYPE VBRK TILEPROP VBRK_X29Y131 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y131 TILE_X -50048 TILEPROP VBRK_X29Y131 TILE_Y 163896 TILEPROP VBRK_X29Y131 TYPE VBRK TILEPROP VBRK_X29Y132 CLASS tile TILEPROP VBRK_X29Y132 COLUMN 29 TILEPROP VBRK_X29Y132 DEVICE_ID 0 TILEPROP VBRK_X29Y132 FIRST_SITE_ID 2423 TILEPROP VBRK_X29Y132 GRID_POINT_X 29 TILEPROP VBRK_X29Y132 GRID_POINT_Y 24 TILEPROP VBRK_X29Y132 INDEX 2789 TILEPROP VBRK_X29Y132 INT_TILE_X 9 TILEPROP VBRK_X29Y132 INT_TILE_Y 23 TILEPROP VBRK_X29Y132 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y132 IS_DCM_TILE 0 TILEPROP VBRK_X29Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y132 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y132 NAME VBRK_X29Y132 TILEPROP VBRK_X29Y132 NUM_ARCS 0 TILEPROP VBRK_X29Y132 NUM_SITES 0 TILEPROP VBRK_X29Y132 ROW 24 TILEPROP VBRK_X29Y132 SLR_REGION_ID 0 TILEPROP VBRK_X29Y132 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y132 TILE_TYPE VBRK TILEPROP VBRK_X29Y132 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y132 TILE_X -50048 TILEPROP VBRK_X29Y132 TILE_Y 167096 TILEPROP VBRK_X29Y132 TYPE VBRK TILEPROP VBRK_X29Y133 CLASS tile TILEPROP VBRK_X29Y133 COLUMN 29 TILEPROP VBRK_X29Y133 DEVICE_ID 0 TILEPROP VBRK_X29Y133 FIRST_SITE_ID 2327 TILEPROP VBRK_X29Y133 GRID_POINT_X 29 TILEPROP VBRK_X29Y133 GRID_POINT_Y 23 TILEPROP VBRK_X29Y133 INDEX 2674 TILEPROP VBRK_X29Y133 INT_TILE_X 9 TILEPROP VBRK_X29Y133 INT_TILE_Y 22 TILEPROP VBRK_X29Y133 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y133 IS_DCM_TILE 0 TILEPROP VBRK_X29Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y133 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y133 NAME VBRK_X29Y133 TILEPROP VBRK_X29Y133 NUM_ARCS 0 TILEPROP VBRK_X29Y133 NUM_SITES 0 TILEPROP VBRK_X29Y133 ROW 23 TILEPROP VBRK_X29Y133 SLR_REGION_ID 0 TILEPROP VBRK_X29Y133 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y133 TILE_TYPE VBRK TILEPROP VBRK_X29Y133 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y133 TILE_X -50048 TILEPROP VBRK_X29Y133 TILE_Y 170296 TILEPROP VBRK_X29Y133 TYPE VBRK TILEPROP VBRK_X29Y134 CLASS tile TILEPROP VBRK_X29Y134 COLUMN 29 TILEPROP VBRK_X29Y134 DEVICE_ID 0 TILEPROP VBRK_X29Y134 FIRST_SITE_ID 2223 TILEPROP VBRK_X29Y134 GRID_POINT_X 29 TILEPROP VBRK_X29Y134 GRID_POINT_Y 22 TILEPROP VBRK_X29Y134 INDEX 2559 TILEPROP VBRK_X29Y134 INT_TILE_X 9 TILEPROP VBRK_X29Y134 INT_TILE_Y 21 TILEPROP VBRK_X29Y134 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y134 IS_DCM_TILE 0 TILEPROP VBRK_X29Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y134 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y134 NAME VBRK_X29Y134 TILEPROP VBRK_X29Y134 NUM_ARCS 0 TILEPROP VBRK_X29Y134 NUM_SITES 0 TILEPROP VBRK_X29Y134 ROW 22 TILEPROP VBRK_X29Y134 SLR_REGION_ID 0 TILEPROP VBRK_X29Y134 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y134 TILE_TYPE VBRK TILEPROP VBRK_X29Y134 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y134 TILE_X -50048 TILEPROP VBRK_X29Y134 TILE_Y 173496 TILEPROP VBRK_X29Y134 TYPE VBRK TILEPROP VBRK_X29Y135 CLASS tile TILEPROP VBRK_X29Y135 COLUMN 29 TILEPROP VBRK_X29Y135 DEVICE_ID 0 TILEPROP VBRK_X29Y135 FIRST_SITE_ID 2127 TILEPROP VBRK_X29Y135 GRID_POINT_X 29 TILEPROP VBRK_X29Y135 GRID_POINT_Y 21 TILEPROP VBRK_X29Y135 INDEX 2444 TILEPROP VBRK_X29Y135 INT_TILE_X 9 TILEPROP VBRK_X29Y135 INT_TILE_Y 20 TILEPROP VBRK_X29Y135 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y135 IS_DCM_TILE 0 TILEPROP VBRK_X29Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y135 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y135 NAME VBRK_X29Y135 TILEPROP VBRK_X29Y135 NUM_ARCS 0 TILEPROP VBRK_X29Y135 NUM_SITES 0 TILEPROP VBRK_X29Y135 ROW 21 TILEPROP VBRK_X29Y135 SLR_REGION_ID 0 TILEPROP VBRK_X29Y135 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y135 TILE_TYPE VBRK TILEPROP VBRK_X29Y135 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y135 TILE_X -50048 TILEPROP VBRK_X29Y135 TILE_Y 176696 TILEPROP VBRK_X29Y135 TYPE VBRK TILEPROP VBRK_X29Y136 CLASS tile TILEPROP VBRK_X29Y136 COLUMN 29 TILEPROP VBRK_X29Y136 DEVICE_ID 0 TILEPROP VBRK_X29Y136 FIRST_SITE_ID 2011 TILEPROP VBRK_X29Y136 GRID_POINT_X 29 TILEPROP VBRK_X29Y136 GRID_POINT_Y 20 TILEPROP VBRK_X29Y136 INDEX 2329 TILEPROP VBRK_X29Y136 INT_TILE_X 9 TILEPROP VBRK_X29Y136 INT_TILE_Y 19 TILEPROP VBRK_X29Y136 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y136 IS_DCM_TILE 0 TILEPROP VBRK_X29Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y136 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y136 NAME VBRK_X29Y136 TILEPROP VBRK_X29Y136 NUM_ARCS 0 TILEPROP VBRK_X29Y136 NUM_SITES 0 TILEPROP VBRK_X29Y136 ROW 20 TILEPROP VBRK_X29Y136 SLR_REGION_ID 0 TILEPROP VBRK_X29Y136 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y136 TILE_TYPE VBRK TILEPROP VBRK_X29Y136 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y136 TILE_X -50048 TILEPROP VBRK_X29Y136 TILE_Y 179896 TILEPROP VBRK_X29Y136 TYPE VBRK TILEPROP VBRK_X29Y137 CLASS tile TILEPROP VBRK_X29Y137 COLUMN 29 TILEPROP VBRK_X29Y137 DEVICE_ID 0 TILEPROP VBRK_X29Y137 FIRST_SITE_ID 1909 TILEPROP VBRK_X29Y137 GRID_POINT_X 29 TILEPROP VBRK_X29Y137 GRID_POINT_Y 19 TILEPROP VBRK_X29Y137 INDEX 2214 TILEPROP VBRK_X29Y137 INT_TILE_X 9 TILEPROP VBRK_X29Y137 INT_TILE_Y 18 TILEPROP VBRK_X29Y137 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y137 IS_DCM_TILE 0 TILEPROP VBRK_X29Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y137 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y137 NAME VBRK_X29Y137 TILEPROP VBRK_X29Y137 NUM_ARCS 0 TILEPROP VBRK_X29Y137 NUM_SITES 0 TILEPROP VBRK_X29Y137 ROW 19 TILEPROP VBRK_X29Y137 SLR_REGION_ID 0 TILEPROP VBRK_X29Y137 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y137 TILE_TYPE VBRK TILEPROP VBRK_X29Y137 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y137 TILE_X -50048 TILEPROP VBRK_X29Y137 TILE_Y 183096 TILEPROP VBRK_X29Y137 TYPE VBRK TILEPROP VBRK_X29Y138 CLASS tile TILEPROP VBRK_X29Y138 COLUMN 29 TILEPROP VBRK_X29Y138 DEVICE_ID 0 TILEPROP VBRK_X29Y138 FIRST_SITE_ID 1803 TILEPROP VBRK_X29Y138 GRID_POINT_X 29 TILEPROP VBRK_X29Y138 GRID_POINT_Y 18 TILEPROP VBRK_X29Y138 INDEX 2099 TILEPROP VBRK_X29Y138 INT_TILE_X 9 TILEPROP VBRK_X29Y138 INT_TILE_Y 17 TILEPROP VBRK_X29Y138 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y138 IS_DCM_TILE 0 TILEPROP VBRK_X29Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y138 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y138 NAME VBRK_X29Y138 TILEPROP VBRK_X29Y138 NUM_ARCS 0 TILEPROP VBRK_X29Y138 NUM_SITES 0 TILEPROP VBRK_X29Y138 ROW 18 TILEPROP VBRK_X29Y138 SLR_REGION_ID 0 TILEPROP VBRK_X29Y138 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y138 TILE_TYPE VBRK TILEPROP VBRK_X29Y138 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y138 TILE_X -50048 TILEPROP VBRK_X29Y138 TILE_Y 186296 TILEPROP VBRK_X29Y138 TYPE VBRK TILEPROP VBRK_X29Y139 CLASS tile TILEPROP VBRK_X29Y139 COLUMN 29 TILEPROP VBRK_X29Y139 DEVICE_ID 0 TILEPROP VBRK_X29Y139 FIRST_SITE_ID 1702 TILEPROP VBRK_X29Y139 GRID_POINT_X 29 TILEPROP VBRK_X29Y139 GRID_POINT_Y 17 TILEPROP VBRK_X29Y139 INDEX 1984 TILEPROP VBRK_X29Y139 INT_TILE_X 9 TILEPROP VBRK_X29Y139 INT_TILE_Y 16 TILEPROP VBRK_X29Y139 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y139 IS_DCM_TILE 0 TILEPROP VBRK_X29Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y139 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y139 NAME VBRK_X29Y139 TILEPROP VBRK_X29Y139 NUM_ARCS 0 TILEPROP VBRK_X29Y139 NUM_SITES 0 TILEPROP VBRK_X29Y139 ROW 17 TILEPROP VBRK_X29Y139 SLR_REGION_ID 0 TILEPROP VBRK_X29Y139 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y139 TILE_TYPE VBRK TILEPROP VBRK_X29Y139 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y139 TILE_X -50048 TILEPROP VBRK_X29Y139 TILE_Y 189496 TILEPROP VBRK_X29Y139 TYPE VBRK TILEPROP VBRK_X29Y140 CLASS tile TILEPROP VBRK_X29Y140 COLUMN 29 TILEPROP VBRK_X29Y140 DEVICE_ID 0 TILEPROP VBRK_X29Y140 FIRST_SITE_ID 1598 TILEPROP VBRK_X29Y140 GRID_POINT_X 29 TILEPROP VBRK_X29Y140 GRID_POINT_Y 16 TILEPROP VBRK_X29Y140 INDEX 1869 TILEPROP VBRK_X29Y140 INT_TILE_X 9 TILEPROP VBRK_X29Y140 INT_TILE_Y 15 TILEPROP VBRK_X29Y140 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y140 IS_DCM_TILE 0 TILEPROP VBRK_X29Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y140 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y140 NAME VBRK_X29Y140 TILEPROP VBRK_X29Y140 NUM_ARCS 0 TILEPROP VBRK_X29Y140 NUM_SITES 0 TILEPROP VBRK_X29Y140 ROW 16 TILEPROP VBRK_X29Y140 SLR_REGION_ID 0 TILEPROP VBRK_X29Y140 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y140 TILE_TYPE VBRK TILEPROP VBRK_X29Y140 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y140 TILE_X -50048 TILEPROP VBRK_X29Y140 TILE_Y 192696 TILEPROP VBRK_X29Y140 TYPE VBRK TILEPROP VBRK_X29Y141 CLASS tile TILEPROP VBRK_X29Y141 COLUMN 29 TILEPROP VBRK_X29Y141 DEVICE_ID 0 TILEPROP VBRK_X29Y141 FIRST_SITE_ID 1496 TILEPROP VBRK_X29Y141 GRID_POINT_X 29 TILEPROP VBRK_X29Y141 GRID_POINT_Y 15 TILEPROP VBRK_X29Y141 INDEX 1754 TILEPROP VBRK_X29Y141 INT_TILE_X 9 TILEPROP VBRK_X29Y141 INT_TILE_Y 14 TILEPROP VBRK_X29Y141 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y141 IS_DCM_TILE 0 TILEPROP VBRK_X29Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y141 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y141 NAME VBRK_X29Y141 TILEPROP VBRK_X29Y141 NUM_ARCS 0 TILEPROP VBRK_X29Y141 NUM_SITES 0 TILEPROP VBRK_X29Y141 ROW 15 TILEPROP VBRK_X29Y141 SLR_REGION_ID 0 TILEPROP VBRK_X29Y141 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y141 TILE_TYPE VBRK TILEPROP VBRK_X29Y141 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y141 TILE_X -50048 TILEPROP VBRK_X29Y141 TILE_Y 195896 TILEPROP VBRK_X29Y141 TYPE VBRK TILEPROP VBRK_X29Y142 CLASS tile TILEPROP VBRK_X29Y142 COLUMN 29 TILEPROP VBRK_X29Y142 DEVICE_ID 0 TILEPROP VBRK_X29Y142 FIRST_SITE_ID 1354 TILEPROP VBRK_X29Y142 GRID_POINT_X 29 TILEPROP VBRK_X29Y142 GRID_POINT_Y 14 TILEPROP VBRK_X29Y142 INDEX 1639 TILEPROP VBRK_X29Y142 INT_TILE_X 9 TILEPROP VBRK_X29Y142 INT_TILE_Y 13 TILEPROP VBRK_X29Y142 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y142 IS_DCM_TILE 0 TILEPROP VBRK_X29Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y142 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y142 NAME VBRK_X29Y142 TILEPROP VBRK_X29Y142 NUM_ARCS 0 TILEPROP VBRK_X29Y142 NUM_SITES 0 TILEPROP VBRK_X29Y142 ROW 14 TILEPROP VBRK_X29Y142 SLR_REGION_ID 0 TILEPROP VBRK_X29Y142 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y142 TILE_TYPE VBRK TILEPROP VBRK_X29Y142 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y142 TILE_X -50048 TILEPROP VBRK_X29Y142 TILE_Y 199096 TILEPROP VBRK_X29Y142 TYPE VBRK TILEPROP VBRK_X29Y143 CLASS tile TILEPROP VBRK_X29Y143 COLUMN 29 TILEPROP VBRK_X29Y143 DEVICE_ID 0 TILEPROP VBRK_X29Y143 FIRST_SITE_ID 1258 TILEPROP VBRK_X29Y143 GRID_POINT_X 29 TILEPROP VBRK_X29Y143 GRID_POINT_Y 13 TILEPROP VBRK_X29Y143 INDEX 1524 TILEPROP VBRK_X29Y143 INT_TILE_X 9 TILEPROP VBRK_X29Y143 INT_TILE_Y 12 TILEPROP VBRK_X29Y143 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y143 IS_DCM_TILE 0 TILEPROP VBRK_X29Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y143 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y143 NAME VBRK_X29Y143 TILEPROP VBRK_X29Y143 NUM_ARCS 0 TILEPROP VBRK_X29Y143 NUM_SITES 0 TILEPROP VBRK_X29Y143 ROW 13 TILEPROP VBRK_X29Y143 SLR_REGION_ID 0 TILEPROP VBRK_X29Y143 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y143 TILE_TYPE VBRK TILEPROP VBRK_X29Y143 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y143 TILE_X -50048 TILEPROP VBRK_X29Y143 TILE_Y 202296 TILEPROP VBRK_X29Y143 TYPE VBRK TILEPROP VBRK_X29Y144 CLASS tile TILEPROP VBRK_X29Y144 COLUMN 29 TILEPROP VBRK_X29Y144 DEVICE_ID 0 TILEPROP VBRK_X29Y144 FIRST_SITE_ID 1154 TILEPROP VBRK_X29Y144 GRID_POINT_X 29 TILEPROP VBRK_X29Y144 GRID_POINT_Y 12 TILEPROP VBRK_X29Y144 INDEX 1409 TILEPROP VBRK_X29Y144 INT_TILE_X 9 TILEPROP VBRK_X29Y144 INT_TILE_Y 11 TILEPROP VBRK_X29Y144 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y144 IS_DCM_TILE 0 TILEPROP VBRK_X29Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y144 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y144 NAME VBRK_X29Y144 TILEPROP VBRK_X29Y144 NUM_ARCS 0 TILEPROP VBRK_X29Y144 NUM_SITES 0 TILEPROP VBRK_X29Y144 ROW 12 TILEPROP VBRK_X29Y144 SLR_REGION_ID 0 TILEPROP VBRK_X29Y144 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y144 TILE_TYPE VBRK TILEPROP VBRK_X29Y144 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y144 TILE_X -50048 TILEPROP VBRK_X29Y144 TILE_Y 205496 TILEPROP VBRK_X29Y144 TYPE VBRK TILEPROP VBRK_X29Y145 CLASS tile TILEPROP VBRK_X29Y145 COLUMN 29 TILEPROP VBRK_X29Y145 DEVICE_ID 0 TILEPROP VBRK_X29Y145 FIRST_SITE_ID 1058 TILEPROP VBRK_X29Y145 GRID_POINT_X 29 TILEPROP VBRK_X29Y145 GRID_POINT_Y 11 TILEPROP VBRK_X29Y145 INDEX 1294 TILEPROP VBRK_X29Y145 INT_TILE_X 9 TILEPROP VBRK_X29Y145 INT_TILE_Y 10 TILEPROP VBRK_X29Y145 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y145 IS_DCM_TILE 0 TILEPROP VBRK_X29Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y145 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y145 NAME VBRK_X29Y145 TILEPROP VBRK_X29Y145 NUM_ARCS 0 TILEPROP VBRK_X29Y145 NUM_SITES 0 TILEPROP VBRK_X29Y145 ROW 11 TILEPROP VBRK_X29Y145 SLR_REGION_ID 0 TILEPROP VBRK_X29Y145 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y145 TILE_TYPE VBRK TILEPROP VBRK_X29Y145 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y145 TILE_X -50048 TILEPROP VBRK_X29Y145 TILE_Y 208696 TILEPROP VBRK_X29Y145 TYPE VBRK TILEPROP VBRK_X29Y146 CLASS tile TILEPROP VBRK_X29Y146 COLUMN 29 TILEPROP VBRK_X29Y146 DEVICE_ID 0 TILEPROP VBRK_X29Y146 FIRST_SITE_ID 948 TILEPROP VBRK_X29Y146 GRID_POINT_X 29 TILEPROP VBRK_X29Y146 GRID_POINT_Y 10 TILEPROP VBRK_X29Y146 INDEX 1179 TILEPROP VBRK_X29Y146 INT_TILE_X 9 TILEPROP VBRK_X29Y146 INT_TILE_Y 9 TILEPROP VBRK_X29Y146 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y146 IS_DCM_TILE 0 TILEPROP VBRK_X29Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y146 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y146 NAME VBRK_X29Y146 TILEPROP VBRK_X29Y146 NUM_ARCS 0 TILEPROP VBRK_X29Y146 NUM_SITES 0 TILEPROP VBRK_X29Y146 ROW 10 TILEPROP VBRK_X29Y146 SLR_REGION_ID 0 TILEPROP VBRK_X29Y146 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y146 TILE_TYPE VBRK TILEPROP VBRK_X29Y146 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y146 TILE_X -50048 TILEPROP VBRK_X29Y146 TILE_Y 211896 TILEPROP VBRK_X29Y146 TYPE VBRK TILEPROP VBRK_X29Y147 CLASS tile TILEPROP VBRK_X29Y147 COLUMN 29 TILEPROP VBRK_X29Y147 DEVICE_ID 0 TILEPROP VBRK_X29Y147 FIRST_SITE_ID 846 TILEPROP VBRK_X29Y147 GRID_POINT_X 29 TILEPROP VBRK_X29Y147 GRID_POINT_Y 9 TILEPROP VBRK_X29Y147 INDEX 1064 TILEPROP VBRK_X29Y147 INT_TILE_X 9 TILEPROP VBRK_X29Y147 INT_TILE_Y 8 TILEPROP VBRK_X29Y147 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y147 IS_DCM_TILE 0 TILEPROP VBRK_X29Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y147 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y147 NAME VBRK_X29Y147 TILEPROP VBRK_X29Y147 NUM_ARCS 0 TILEPROP VBRK_X29Y147 NUM_SITES 0 TILEPROP VBRK_X29Y147 ROW 9 TILEPROP VBRK_X29Y147 SLR_REGION_ID 0 TILEPROP VBRK_X29Y147 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y147 TILE_TYPE VBRK TILEPROP VBRK_X29Y147 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y147 TILE_X -50048 TILEPROP VBRK_X29Y147 TILE_Y 215096 TILEPROP VBRK_X29Y147 TYPE VBRK TILEPROP VBRK_X29Y148 CLASS tile TILEPROP VBRK_X29Y148 COLUMN 29 TILEPROP VBRK_X29Y148 DEVICE_ID 0 TILEPROP VBRK_X29Y148 FIRST_SITE_ID 742 TILEPROP VBRK_X29Y148 GRID_POINT_X 29 TILEPROP VBRK_X29Y148 GRID_POINT_Y 8 TILEPROP VBRK_X29Y148 INDEX 949 TILEPROP VBRK_X29Y148 INT_TILE_X 9 TILEPROP VBRK_X29Y148 INT_TILE_Y 7 TILEPROP VBRK_X29Y148 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y148 IS_DCM_TILE 0 TILEPROP VBRK_X29Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y148 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y148 NAME VBRK_X29Y148 TILEPROP VBRK_X29Y148 NUM_ARCS 0 TILEPROP VBRK_X29Y148 NUM_SITES 0 TILEPROP VBRK_X29Y148 ROW 8 TILEPROP VBRK_X29Y148 SLR_REGION_ID 0 TILEPROP VBRK_X29Y148 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y148 TILE_TYPE VBRK TILEPROP VBRK_X29Y148 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y148 TILE_X -50048 TILEPROP VBRK_X29Y148 TILE_Y 218296 TILEPROP VBRK_X29Y148 TYPE VBRK TILEPROP VBRK_X29Y149 CLASS tile TILEPROP VBRK_X29Y149 COLUMN 29 TILEPROP VBRK_X29Y149 DEVICE_ID 0 TILEPROP VBRK_X29Y149 FIRST_SITE_ID 645 TILEPROP VBRK_X29Y149 GRID_POINT_X 29 TILEPROP VBRK_X29Y149 GRID_POINT_Y 7 TILEPROP VBRK_X29Y149 INDEX 834 TILEPROP VBRK_X29Y149 INT_TILE_X 9 TILEPROP VBRK_X29Y149 INT_TILE_Y 6 TILEPROP VBRK_X29Y149 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y149 IS_DCM_TILE 0 TILEPROP VBRK_X29Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y149 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y149 NAME VBRK_X29Y149 TILEPROP VBRK_X29Y149 NUM_ARCS 0 TILEPROP VBRK_X29Y149 NUM_SITES 0 TILEPROP VBRK_X29Y149 ROW 7 TILEPROP VBRK_X29Y149 SLR_REGION_ID 0 TILEPROP VBRK_X29Y149 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y149 TILE_TYPE VBRK TILEPROP VBRK_X29Y149 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y149 TILE_X -50048 TILEPROP VBRK_X29Y149 TILE_Y 221496 TILEPROP VBRK_X29Y149 TYPE VBRK TILEPROP VBRK_X29Y150 CLASS tile TILEPROP VBRK_X29Y150 COLUMN 29 TILEPROP VBRK_X29Y150 DEVICE_ID 0 TILEPROP VBRK_X29Y150 FIRST_SITE_ID 534 TILEPROP VBRK_X29Y150 GRID_POINT_X 29 TILEPROP VBRK_X29Y150 GRID_POINT_Y 6 TILEPROP VBRK_X29Y150 INDEX 719 TILEPROP VBRK_X29Y150 INT_TILE_X 9 TILEPROP VBRK_X29Y150 INT_TILE_Y 5 TILEPROP VBRK_X29Y150 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y150 IS_DCM_TILE 0 TILEPROP VBRK_X29Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y150 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y150 NAME VBRK_X29Y150 TILEPROP VBRK_X29Y150 NUM_ARCS 0 TILEPROP VBRK_X29Y150 NUM_SITES 0 TILEPROP VBRK_X29Y150 ROW 6 TILEPROP VBRK_X29Y150 SLR_REGION_ID 0 TILEPROP VBRK_X29Y150 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y150 TILE_TYPE VBRK TILEPROP VBRK_X29Y150 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y150 TILE_X -50048 TILEPROP VBRK_X29Y150 TILE_Y 224696 TILEPROP VBRK_X29Y150 TYPE VBRK TILEPROP VBRK_X29Y151 CLASS tile TILEPROP VBRK_X29Y151 COLUMN 29 TILEPROP VBRK_X29Y151 DEVICE_ID 0 TILEPROP VBRK_X29Y151 FIRST_SITE_ID 432 TILEPROP VBRK_X29Y151 GRID_POINT_X 29 TILEPROP VBRK_X29Y151 GRID_POINT_Y 5 TILEPROP VBRK_X29Y151 INDEX 604 TILEPROP VBRK_X29Y151 INT_TILE_X 9 TILEPROP VBRK_X29Y151 INT_TILE_Y 4 TILEPROP VBRK_X29Y151 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y151 IS_DCM_TILE 0 TILEPROP VBRK_X29Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y151 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y151 NAME VBRK_X29Y151 TILEPROP VBRK_X29Y151 NUM_ARCS 0 TILEPROP VBRK_X29Y151 NUM_SITES 0 TILEPROP VBRK_X29Y151 ROW 5 TILEPROP VBRK_X29Y151 SLR_REGION_ID 0 TILEPROP VBRK_X29Y151 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y151 TILE_TYPE VBRK TILEPROP VBRK_X29Y151 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y151 TILE_X -50048 TILEPROP VBRK_X29Y151 TILE_Y 227896 TILEPROP VBRK_X29Y151 TYPE VBRK TILEPROP VBRK_X29Y152 CLASS tile TILEPROP VBRK_X29Y152 COLUMN 29 TILEPROP VBRK_X29Y152 DEVICE_ID 0 TILEPROP VBRK_X29Y152 FIRST_SITE_ID 322 TILEPROP VBRK_X29Y152 GRID_POINT_X 29 TILEPROP VBRK_X29Y152 GRID_POINT_Y 4 TILEPROP VBRK_X29Y152 INDEX 489 TILEPROP VBRK_X29Y152 INT_TILE_X 9 TILEPROP VBRK_X29Y152 INT_TILE_Y 3 TILEPROP VBRK_X29Y152 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y152 IS_DCM_TILE 0 TILEPROP VBRK_X29Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y152 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y152 NAME VBRK_X29Y152 TILEPROP VBRK_X29Y152 NUM_ARCS 0 TILEPROP VBRK_X29Y152 NUM_SITES 0 TILEPROP VBRK_X29Y152 ROW 4 TILEPROP VBRK_X29Y152 SLR_REGION_ID 0 TILEPROP VBRK_X29Y152 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y152 TILE_TYPE VBRK TILEPROP VBRK_X29Y152 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y152 TILE_X -50048 TILEPROP VBRK_X29Y152 TILE_Y 231096 TILEPROP VBRK_X29Y152 TYPE VBRK TILEPROP VBRK_X29Y153 CLASS tile TILEPROP VBRK_X29Y153 COLUMN 29 TILEPROP VBRK_X29Y153 DEVICE_ID 0 TILEPROP VBRK_X29Y153 FIRST_SITE_ID 226 TILEPROP VBRK_X29Y153 GRID_POINT_X 29 TILEPROP VBRK_X29Y153 GRID_POINT_Y 3 TILEPROP VBRK_X29Y153 INDEX 374 TILEPROP VBRK_X29Y153 INT_TILE_X 9 TILEPROP VBRK_X29Y153 INT_TILE_Y 2 TILEPROP VBRK_X29Y153 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y153 IS_DCM_TILE 0 TILEPROP VBRK_X29Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y153 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y153 NAME VBRK_X29Y153 TILEPROP VBRK_X29Y153 NUM_ARCS 0 TILEPROP VBRK_X29Y153 NUM_SITES 0 TILEPROP VBRK_X29Y153 ROW 3 TILEPROP VBRK_X29Y153 SLR_REGION_ID 0 TILEPROP VBRK_X29Y153 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y153 TILE_TYPE VBRK TILEPROP VBRK_X29Y153 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y153 TILE_X -50048 TILEPROP VBRK_X29Y153 TILE_Y 234296 TILEPROP VBRK_X29Y153 TYPE VBRK TILEPROP VBRK_X29Y154 CLASS tile TILEPROP VBRK_X29Y154 COLUMN 29 TILEPROP VBRK_X29Y154 DEVICE_ID 0 TILEPROP VBRK_X29Y154 FIRST_SITE_ID 122 TILEPROP VBRK_X29Y154 GRID_POINT_X 29 TILEPROP VBRK_X29Y154 GRID_POINT_Y 2 TILEPROP VBRK_X29Y154 INDEX 259 TILEPROP VBRK_X29Y154 INT_TILE_X 9 TILEPROP VBRK_X29Y154 INT_TILE_Y 1 TILEPROP VBRK_X29Y154 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y154 IS_DCM_TILE 0 TILEPROP VBRK_X29Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y154 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y154 NAME VBRK_X29Y154 TILEPROP VBRK_X29Y154 NUM_ARCS 0 TILEPROP VBRK_X29Y154 NUM_SITES 0 TILEPROP VBRK_X29Y154 ROW 2 TILEPROP VBRK_X29Y154 SLR_REGION_ID 0 TILEPROP VBRK_X29Y154 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y154 TILE_TYPE VBRK TILEPROP VBRK_X29Y154 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y154 TILE_X -50048 TILEPROP VBRK_X29Y154 TILE_Y 237496 TILEPROP VBRK_X29Y154 TYPE VBRK TILEPROP VBRK_X29Y155 CLASS tile TILEPROP VBRK_X29Y155 COLUMN 29 TILEPROP VBRK_X29Y155 DEVICE_ID 0 TILEPROP VBRK_X29Y155 FIRST_SITE_ID 26 TILEPROP VBRK_X29Y155 GRID_POINT_X 29 TILEPROP VBRK_X29Y155 GRID_POINT_Y 1 TILEPROP VBRK_X29Y155 INDEX 144 TILEPROP VBRK_X29Y155 INT_TILE_X 9 TILEPROP VBRK_X29Y155 INT_TILE_Y 0 TILEPROP VBRK_X29Y155 IS_CENTER_TILE 0 TILEPROP VBRK_X29Y155 IS_DCM_TILE 0 TILEPROP VBRK_X29Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X29Y155 IS_GT_SITE_TILE 0 TILEPROP VBRK_X29Y155 NAME VBRK_X29Y155 TILEPROP VBRK_X29Y155 NUM_ARCS 0 TILEPROP VBRK_X29Y155 NUM_SITES 0 TILEPROP VBRK_X29Y155 ROW 1 TILEPROP VBRK_X29Y155 SLR_REGION_ID 0 TILEPROP VBRK_X29Y155 TILE_PATTERN_IDX 12 TILEPROP VBRK_X29Y155 TILE_TYPE VBRK TILEPROP VBRK_X29Y155 TILE_TYPE_INDEX 128 TILEPROP VBRK_X29Y155 TILE_X -50048 TILEPROP VBRK_X29Y155 TILE_Y 240696 TILEPROP VBRK_X29Y155 TYPE VBRK TILEPROP VBRK_X34Y1 CLASS tile TILEPROP VBRK_X34Y1 COLUMN 34 TILEPROP VBRK_X34Y1 DEVICE_ID 0 TILEPROP VBRK_X34Y1 FIRST_SITE_ID 15740 TILEPROP VBRK_X34Y1 GRID_POINT_X 34 TILEPROP VBRK_X34Y1 GRID_POINT_Y 155 TILEPROP VBRK_X34Y1 INDEX 17859 TILEPROP VBRK_X34Y1 INT_TILE_X 11 TILEPROP VBRK_X34Y1 INT_TILE_Y 149 TILEPROP VBRK_X34Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y1 IS_DCM_TILE 0 TILEPROP VBRK_X34Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y1 NAME VBRK_X34Y1 TILEPROP VBRK_X34Y1 NUM_ARCS 0 TILEPROP VBRK_X34Y1 NUM_SITES 0 TILEPROP VBRK_X34Y1 ROW 155 TILEPROP VBRK_X34Y1 SLR_REGION_ID 0 TILEPROP VBRK_X34Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y1 TILE_TYPE VBRK TILEPROP VBRK_X34Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y1 TILE_X -41680 TILEPROP VBRK_X34Y1 TILE_Y -239672 TILEPROP VBRK_X34Y1 TYPE VBRK TILEPROP VBRK_X34Y2 CLASS tile TILEPROP VBRK_X34Y2 COLUMN 34 TILEPROP VBRK_X34Y2 DEVICE_ID 0 TILEPROP VBRK_X34Y2 FIRST_SITE_ID 15629 TILEPROP VBRK_X34Y2 GRID_POINT_X 34 TILEPROP VBRK_X34Y2 GRID_POINT_Y 154 TILEPROP VBRK_X34Y2 INDEX 17744 TILEPROP VBRK_X34Y2 INT_TILE_X 11 TILEPROP VBRK_X34Y2 INT_TILE_Y 148 TILEPROP VBRK_X34Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y2 IS_DCM_TILE 0 TILEPROP VBRK_X34Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y2 NAME VBRK_X34Y2 TILEPROP VBRK_X34Y2 NUM_ARCS 0 TILEPROP VBRK_X34Y2 NUM_SITES 0 TILEPROP VBRK_X34Y2 ROW 154 TILEPROP VBRK_X34Y2 SLR_REGION_ID 0 TILEPROP VBRK_X34Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y2 TILE_TYPE VBRK TILEPROP VBRK_X34Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y2 TILE_X -41680 TILEPROP VBRK_X34Y2 TILE_Y -236472 TILEPROP VBRK_X34Y2 TYPE VBRK TILEPROP VBRK_X34Y3 CLASS tile TILEPROP VBRK_X34Y3 COLUMN 34 TILEPROP VBRK_X34Y3 DEVICE_ID 0 TILEPROP VBRK_X34Y3 FIRST_SITE_ID 15529 TILEPROP VBRK_X34Y3 GRID_POINT_X 34 TILEPROP VBRK_X34Y3 GRID_POINT_Y 153 TILEPROP VBRK_X34Y3 INDEX 17629 TILEPROP VBRK_X34Y3 INT_TILE_X 11 TILEPROP VBRK_X34Y3 INT_TILE_Y 147 TILEPROP VBRK_X34Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y3 IS_DCM_TILE 0 TILEPROP VBRK_X34Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y3 NAME VBRK_X34Y3 TILEPROP VBRK_X34Y3 NUM_ARCS 0 TILEPROP VBRK_X34Y3 NUM_SITES 0 TILEPROP VBRK_X34Y3 ROW 153 TILEPROP VBRK_X34Y3 SLR_REGION_ID 0 TILEPROP VBRK_X34Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y3 TILE_TYPE VBRK TILEPROP VBRK_X34Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y3 TILE_X -41680 TILEPROP VBRK_X34Y3 TILE_Y -233272 TILEPROP VBRK_X34Y3 TYPE VBRK TILEPROP VBRK_X34Y4 CLASS tile TILEPROP VBRK_X34Y4 COLUMN 34 TILEPROP VBRK_X34Y4 DEVICE_ID 0 TILEPROP VBRK_X34Y4 FIRST_SITE_ID 15429 TILEPROP VBRK_X34Y4 GRID_POINT_X 34 TILEPROP VBRK_X34Y4 GRID_POINT_Y 152 TILEPROP VBRK_X34Y4 INDEX 17514 TILEPROP VBRK_X34Y4 INT_TILE_X 11 TILEPROP VBRK_X34Y4 INT_TILE_Y 146 TILEPROP VBRK_X34Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y4 IS_DCM_TILE 0 TILEPROP VBRK_X34Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y4 NAME VBRK_X34Y4 TILEPROP VBRK_X34Y4 NUM_ARCS 0 TILEPROP VBRK_X34Y4 NUM_SITES 0 TILEPROP VBRK_X34Y4 ROW 152 TILEPROP VBRK_X34Y4 SLR_REGION_ID 0 TILEPROP VBRK_X34Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y4 TILE_TYPE VBRK TILEPROP VBRK_X34Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y4 TILE_X -41680 TILEPROP VBRK_X34Y4 TILE_Y -230072 TILEPROP VBRK_X34Y4 TYPE VBRK TILEPROP VBRK_X34Y5 CLASS tile TILEPROP VBRK_X34Y5 COLUMN 34 TILEPROP VBRK_X34Y5 DEVICE_ID 0 TILEPROP VBRK_X34Y5 FIRST_SITE_ID 15329 TILEPROP VBRK_X34Y5 GRID_POINT_X 34 TILEPROP VBRK_X34Y5 GRID_POINT_Y 151 TILEPROP VBRK_X34Y5 INDEX 17399 TILEPROP VBRK_X34Y5 INT_TILE_X 11 TILEPROP VBRK_X34Y5 INT_TILE_Y 145 TILEPROP VBRK_X34Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y5 IS_DCM_TILE 0 TILEPROP VBRK_X34Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y5 NAME VBRK_X34Y5 TILEPROP VBRK_X34Y5 NUM_ARCS 0 TILEPROP VBRK_X34Y5 NUM_SITES 0 TILEPROP VBRK_X34Y5 ROW 151 TILEPROP VBRK_X34Y5 SLR_REGION_ID 0 TILEPROP VBRK_X34Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y5 TILE_TYPE VBRK TILEPROP VBRK_X34Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y5 TILE_X -41680 TILEPROP VBRK_X34Y5 TILE_Y -226872 TILEPROP VBRK_X34Y5 TYPE VBRK TILEPROP VBRK_X34Y6 CLASS tile TILEPROP VBRK_X34Y6 COLUMN 34 TILEPROP VBRK_X34Y6 DEVICE_ID 0 TILEPROP VBRK_X34Y6 FIRST_SITE_ID 15220 TILEPROP VBRK_X34Y6 GRID_POINT_X 34 TILEPROP VBRK_X34Y6 GRID_POINT_Y 150 TILEPROP VBRK_X34Y6 INDEX 17284 TILEPROP VBRK_X34Y6 INT_TILE_X 11 TILEPROP VBRK_X34Y6 INT_TILE_Y 144 TILEPROP VBRK_X34Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y6 IS_DCM_TILE 0 TILEPROP VBRK_X34Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y6 NAME VBRK_X34Y6 TILEPROP VBRK_X34Y6 NUM_ARCS 0 TILEPROP VBRK_X34Y6 NUM_SITES 0 TILEPROP VBRK_X34Y6 ROW 150 TILEPROP VBRK_X34Y6 SLR_REGION_ID 0 TILEPROP VBRK_X34Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y6 TILE_TYPE VBRK TILEPROP VBRK_X34Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y6 TILE_X -41680 TILEPROP VBRK_X34Y6 TILE_Y -223672 TILEPROP VBRK_X34Y6 TYPE VBRK TILEPROP VBRK_X34Y7 CLASS tile TILEPROP VBRK_X34Y7 COLUMN 34 TILEPROP VBRK_X34Y7 DEVICE_ID 0 TILEPROP VBRK_X34Y7 FIRST_SITE_ID 15114 TILEPROP VBRK_X34Y7 GRID_POINT_X 34 TILEPROP VBRK_X34Y7 GRID_POINT_Y 149 TILEPROP VBRK_X34Y7 INDEX 17169 TILEPROP VBRK_X34Y7 INT_TILE_X 11 TILEPROP VBRK_X34Y7 INT_TILE_Y 143 TILEPROP VBRK_X34Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y7 IS_DCM_TILE 0 TILEPROP VBRK_X34Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y7 NAME VBRK_X34Y7 TILEPROP VBRK_X34Y7 NUM_ARCS 0 TILEPROP VBRK_X34Y7 NUM_SITES 0 TILEPROP VBRK_X34Y7 ROW 149 TILEPROP VBRK_X34Y7 SLR_REGION_ID 0 TILEPROP VBRK_X34Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y7 TILE_TYPE VBRK TILEPROP VBRK_X34Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y7 TILE_X -41680 TILEPROP VBRK_X34Y7 TILE_Y -220472 TILEPROP VBRK_X34Y7 TYPE VBRK TILEPROP VBRK_X34Y8 CLASS tile TILEPROP VBRK_X34Y8 COLUMN 34 TILEPROP VBRK_X34Y8 DEVICE_ID 0 TILEPROP VBRK_X34Y8 FIRST_SITE_ID 15012 TILEPROP VBRK_X34Y8 GRID_POINT_X 34 TILEPROP VBRK_X34Y8 GRID_POINT_Y 148 TILEPROP VBRK_X34Y8 INDEX 17054 TILEPROP VBRK_X34Y8 INT_TILE_X 11 TILEPROP VBRK_X34Y8 INT_TILE_Y 142 TILEPROP VBRK_X34Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y8 IS_DCM_TILE 0 TILEPROP VBRK_X34Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y8 NAME VBRK_X34Y8 TILEPROP VBRK_X34Y8 NUM_ARCS 0 TILEPROP VBRK_X34Y8 NUM_SITES 0 TILEPROP VBRK_X34Y8 ROW 148 TILEPROP VBRK_X34Y8 SLR_REGION_ID 0 TILEPROP VBRK_X34Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y8 TILE_TYPE VBRK TILEPROP VBRK_X34Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y8 TILE_X -41680 TILEPROP VBRK_X34Y8 TILE_Y -217272 TILEPROP VBRK_X34Y8 TYPE VBRK TILEPROP VBRK_X34Y9 CLASS tile TILEPROP VBRK_X34Y9 COLUMN 34 TILEPROP VBRK_X34Y9 DEVICE_ID 0 TILEPROP VBRK_X34Y9 FIRST_SITE_ID 14909 TILEPROP VBRK_X34Y9 GRID_POINT_X 34 TILEPROP VBRK_X34Y9 GRID_POINT_Y 147 TILEPROP VBRK_X34Y9 INDEX 16939 TILEPROP VBRK_X34Y9 INT_TILE_X 11 TILEPROP VBRK_X34Y9 INT_TILE_Y 141 TILEPROP VBRK_X34Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y9 IS_DCM_TILE 0 TILEPROP VBRK_X34Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y9 NAME VBRK_X34Y9 TILEPROP VBRK_X34Y9 NUM_ARCS 0 TILEPROP VBRK_X34Y9 NUM_SITES 0 TILEPROP VBRK_X34Y9 ROW 147 TILEPROP VBRK_X34Y9 SLR_REGION_ID 0 TILEPROP VBRK_X34Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y9 TILE_TYPE VBRK TILEPROP VBRK_X34Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y9 TILE_X -41680 TILEPROP VBRK_X34Y9 TILE_Y -214072 TILEPROP VBRK_X34Y9 TYPE VBRK TILEPROP VBRK_X34Y10 CLASS tile TILEPROP VBRK_X34Y10 COLUMN 34 TILEPROP VBRK_X34Y10 DEVICE_ID 0 TILEPROP VBRK_X34Y10 FIRST_SITE_ID 14808 TILEPROP VBRK_X34Y10 GRID_POINT_X 34 TILEPROP VBRK_X34Y10 GRID_POINT_Y 146 TILEPROP VBRK_X34Y10 INDEX 16824 TILEPROP VBRK_X34Y10 INT_TILE_X 11 TILEPROP VBRK_X34Y10 INT_TILE_Y 140 TILEPROP VBRK_X34Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y10 IS_DCM_TILE 0 TILEPROP VBRK_X34Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y10 NAME VBRK_X34Y10 TILEPROP VBRK_X34Y10 NUM_ARCS 0 TILEPROP VBRK_X34Y10 NUM_SITES 0 TILEPROP VBRK_X34Y10 ROW 146 TILEPROP VBRK_X34Y10 SLR_REGION_ID 0 TILEPROP VBRK_X34Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y10 TILE_TYPE VBRK TILEPROP VBRK_X34Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y10 TILE_X -41680 TILEPROP VBRK_X34Y10 TILE_Y -210872 TILEPROP VBRK_X34Y10 TYPE VBRK TILEPROP VBRK_X34Y11 CLASS tile TILEPROP VBRK_X34Y11 COLUMN 34 TILEPROP VBRK_X34Y11 DEVICE_ID 0 TILEPROP VBRK_X34Y11 FIRST_SITE_ID 14699 TILEPROP VBRK_X34Y11 GRID_POINT_X 34 TILEPROP VBRK_X34Y11 GRID_POINT_Y 145 TILEPROP VBRK_X34Y11 INDEX 16709 TILEPROP VBRK_X34Y11 INT_TILE_X 11 TILEPROP VBRK_X34Y11 INT_TILE_Y 139 TILEPROP VBRK_X34Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y11 IS_DCM_TILE 0 TILEPROP VBRK_X34Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y11 NAME VBRK_X34Y11 TILEPROP VBRK_X34Y11 NUM_ARCS 0 TILEPROP VBRK_X34Y11 NUM_SITES 0 TILEPROP VBRK_X34Y11 ROW 145 TILEPROP VBRK_X34Y11 SLR_REGION_ID 0 TILEPROP VBRK_X34Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y11 TILE_TYPE VBRK TILEPROP VBRK_X34Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y11 TILE_X -41680 TILEPROP VBRK_X34Y11 TILE_Y -207672 TILEPROP VBRK_X34Y11 TYPE VBRK TILEPROP VBRK_X34Y12 CLASS tile TILEPROP VBRK_X34Y12 COLUMN 34 TILEPROP VBRK_X34Y12 DEVICE_ID 0 TILEPROP VBRK_X34Y12 FIRST_SITE_ID 14593 TILEPROP VBRK_X34Y12 GRID_POINT_X 34 TILEPROP VBRK_X34Y12 GRID_POINT_Y 144 TILEPROP VBRK_X34Y12 INDEX 16594 TILEPROP VBRK_X34Y12 INT_TILE_X 11 TILEPROP VBRK_X34Y12 INT_TILE_Y 138 TILEPROP VBRK_X34Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y12 IS_DCM_TILE 0 TILEPROP VBRK_X34Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y12 NAME VBRK_X34Y12 TILEPROP VBRK_X34Y12 NUM_ARCS 0 TILEPROP VBRK_X34Y12 NUM_SITES 0 TILEPROP VBRK_X34Y12 ROW 144 TILEPROP VBRK_X34Y12 SLR_REGION_ID 0 TILEPROP VBRK_X34Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y12 TILE_TYPE VBRK TILEPROP VBRK_X34Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y12 TILE_X -41680 TILEPROP VBRK_X34Y12 TILE_Y -204472 TILEPROP VBRK_X34Y12 TYPE VBRK TILEPROP VBRK_X34Y13 CLASS tile TILEPROP VBRK_X34Y13 COLUMN 34 TILEPROP VBRK_X34Y13 DEVICE_ID 0 TILEPROP VBRK_X34Y13 FIRST_SITE_ID 14461 TILEPROP VBRK_X34Y13 GRID_POINT_X 34 TILEPROP VBRK_X34Y13 GRID_POINT_Y 143 TILEPROP VBRK_X34Y13 INDEX 16479 TILEPROP VBRK_X34Y13 INT_TILE_X 11 TILEPROP VBRK_X34Y13 INT_TILE_Y 137 TILEPROP VBRK_X34Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y13 IS_DCM_TILE 0 TILEPROP VBRK_X34Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y13 NAME VBRK_X34Y13 TILEPROP VBRK_X34Y13 NUM_ARCS 0 TILEPROP VBRK_X34Y13 NUM_SITES 0 TILEPROP VBRK_X34Y13 ROW 143 TILEPROP VBRK_X34Y13 SLR_REGION_ID 0 TILEPROP VBRK_X34Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y13 TILE_TYPE VBRK TILEPROP VBRK_X34Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y13 TILE_X -41680 TILEPROP VBRK_X34Y13 TILE_Y -201272 TILEPROP VBRK_X34Y13 TYPE VBRK TILEPROP VBRK_X34Y14 CLASS tile TILEPROP VBRK_X34Y14 COLUMN 34 TILEPROP VBRK_X34Y14 DEVICE_ID 0 TILEPROP VBRK_X34Y14 FIRST_SITE_ID 14361 TILEPROP VBRK_X34Y14 GRID_POINT_X 34 TILEPROP VBRK_X34Y14 GRID_POINT_Y 142 TILEPROP VBRK_X34Y14 INDEX 16364 TILEPROP VBRK_X34Y14 INT_TILE_X 11 TILEPROP VBRK_X34Y14 INT_TILE_Y 136 TILEPROP VBRK_X34Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y14 IS_DCM_TILE 0 TILEPROP VBRK_X34Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y14 NAME VBRK_X34Y14 TILEPROP VBRK_X34Y14 NUM_ARCS 0 TILEPROP VBRK_X34Y14 NUM_SITES 0 TILEPROP VBRK_X34Y14 ROW 142 TILEPROP VBRK_X34Y14 SLR_REGION_ID 0 TILEPROP VBRK_X34Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y14 TILE_TYPE VBRK TILEPROP VBRK_X34Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y14 TILE_X -41680 TILEPROP VBRK_X34Y14 TILE_Y -198072 TILEPROP VBRK_X34Y14 TYPE VBRK TILEPROP VBRK_X34Y15 CLASS tile TILEPROP VBRK_X34Y15 COLUMN 34 TILEPROP VBRK_X34Y15 DEVICE_ID 0 TILEPROP VBRK_X34Y15 FIRST_SITE_ID 14261 TILEPROP VBRK_X34Y15 GRID_POINT_X 34 TILEPROP VBRK_X34Y15 GRID_POINT_Y 141 TILEPROP VBRK_X34Y15 INDEX 16249 TILEPROP VBRK_X34Y15 INT_TILE_X 11 TILEPROP VBRK_X34Y15 INT_TILE_Y 135 TILEPROP VBRK_X34Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y15 IS_DCM_TILE 0 TILEPROP VBRK_X34Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y15 NAME VBRK_X34Y15 TILEPROP VBRK_X34Y15 NUM_ARCS 0 TILEPROP VBRK_X34Y15 NUM_SITES 0 TILEPROP VBRK_X34Y15 ROW 141 TILEPROP VBRK_X34Y15 SLR_REGION_ID 0 TILEPROP VBRK_X34Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y15 TILE_TYPE VBRK TILEPROP VBRK_X34Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y15 TILE_X -41680 TILEPROP VBRK_X34Y15 TILE_Y -194872 TILEPROP VBRK_X34Y15 TYPE VBRK TILEPROP VBRK_X34Y16 CLASS tile TILEPROP VBRK_X34Y16 COLUMN 34 TILEPROP VBRK_X34Y16 DEVICE_ID 0 TILEPROP VBRK_X34Y16 FIRST_SITE_ID 14152 TILEPROP VBRK_X34Y16 GRID_POINT_X 34 TILEPROP VBRK_X34Y16 GRID_POINT_Y 140 TILEPROP VBRK_X34Y16 INDEX 16134 TILEPROP VBRK_X34Y16 INT_TILE_X 11 TILEPROP VBRK_X34Y16 INT_TILE_Y 134 TILEPROP VBRK_X34Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y16 IS_DCM_TILE 0 TILEPROP VBRK_X34Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y16 NAME VBRK_X34Y16 TILEPROP VBRK_X34Y16 NUM_ARCS 0 TILEPROP VBRK_X34Y16 NUM_SITES 0 TILEPROP VBRK_X34Y16 ROW 140 TILEPROP VBRK_X34Y16 SLR_REGION_ID 0 TILEPROP VBRK_X34Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y16 TILE_TYPE VBRK TILEPROP VBRK_X34Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y16 TILE_X -41680 TILEPROP VBRK_X34Y16 TILE_Y -191672 TILEPROP VBRK_X34Y16 TYPE VBRK TILEPROP VBRK_X34Y17 CLASS tile TILEPROP VBRK_X34Y17 COLUMN 34 TILEPROP VBRK_X34Y17 DEVICE_ID 0 TILEPROP VBRK_X34Y17 FIRST_SITE_ID 14046 TILEPROP VBRK_X34Y17 GRID_POINT_X 34 TILEPROP VBRK_X34Y17 GRID_POINT_Y 139 TILEPROP VBRK_X34Y17 INDEX 16019 TILEPROP VBRK_X34Y17 INT_TILE_X 11 TILEPROP VBRK_X34Y17 INT_TILE_Y 133 TILEPROP VBRK_X34Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y17 IS_DCM_TILE 0 TILEPROP VBRK_X34Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y17 NAME VBRK_X34Y17 TILEPROP VBRK_X34Y17 NUM_ARCS 0 TILEPROP VBRK_X34Y17 NUM_SITES 0 TILEPROP VBRK_X34Y17 ROW 139 TILEPROP VBRK_X34Y17 SLR_REGION_ID 0 TILEPROP VBRK_X34Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y17 TILE_TYPE VBRK TILEPROP VBRK_X34Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y17 TILE_X -41680 TILEPROP VBRK_X34Y17 TILE_Y -188472 TILEPROP VBRK_X34Y17 TYPE VBRK TILEPROP VBRK_X34Y18 CLASS tile TILEPROP VBRK_X34Y18 COLUMN 34 TILEPROP VBRK_X34Y18 DEVICE_ID 0 TILEPROP VBRK_X34Y18 FIRST_SITE_ID 13941 TILEPROP VBRK_X34Y18 GRID_POINT_X 34 TILEPROP VBRK_X34Y18 GRID_POINT_Y 138 TILEPROP VBRK_X34Y18 INDEX 15904 TILEPROP VBRK_X34Y18 INT_TILE_X 11 TILEPROP VBRK_X34Y18 INT_TILE_Y 132 TILEPROP VBRK_X34Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y18 IS_DCM_TILE 0 TILEPROP VBRK_X34Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y18 NAME VBRK_X34Y18 TILEPROP VBRK_X34Y18 NUM_ARCS 0 TILEPROP VBRK_X34Y18 NUM_SITES 0 TILEPROP VBRK_X34Y18 ROW 138 TILEPROP VBRK_X34Y18 SLR_REGION_ID 0 TILEPROP VBRK_X34Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y18 TILE_TYPE VBRK TILEPROP VBRK_X34Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y18 TILE_X -41680 TILEPROP VBRK_X34Y18 TILE_Y -185272 TILEPROP VBRK_X34Y18 TYPE VBRK TILEPROP VBRK_X34Y19 CLASS tile TILEPROP VBRK_X34Y19 COLUMN 34 TILEPROP VBRK_X34Y19 DEVICE_ID 0 TILEPROP VBRK_X34Y19 FIRST_SITE_ID 13837 TILEPROP VBRK_X34Y19 GRID_POINT_X 34 TILEPROP VBRK_X34Y19 GRID_POINT_Y 137 TILEPROP VBRK_X34Y19 INDEX 15789 TILEPROP VBRK_X34Y19 INT_TILE_X 11 TILEPROP VBRK_X34Y19 INT_TILE_Y 131 TILEPROP VBRK_X34Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y19 IS_DCM_TILE 0 TILEPROP VBRK_X34Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y19 NAME VBRK_X34Y19 TILEPROP VBRK_X34Y19 NUM_ARCS 0 TILEPROP VBRK_X34Y19 NUM_SITES 0 TILEPROP VBRK_X34Y19 ROW 137 TILEPROP VBRK_X34Y19 SLR_REGION_ID 0 TILEPROP VBRK_X34Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y19 TILE_TYPE VBRK TILEPROP VBRK_X34Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y19 TILE_X -41680 TILEPROP VBRK_X34Y19 TILE_Y -182072 TILEPROP VBRK_X34Y19 TYPE VBRK TILEPROP VBRK_X34Y20 CLASS tile TILEPROP VBRK_X34Y20 COLUMN 34 TILEPROP VBRK_X34Y20 DEVICE_ID 0 TILEPROP VBRK_X34Y20 FIRST_SITE_ID 13735 TILEPROP VBRK_X34Y20 GRID_POINT_X 34 TILEPROP VBRK_X34Y20 GRID_POINT_Y 136 TILEPROP VBRK_X34Y20 INDEX 15674 TILEPROP VBRK_X34Y20 INT_TILE_X 11 TILEPROP VBRK_X34Y20 INT_TILE_Y 130 TILEPROP VBRK_X34Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y20 IS_DCM_TILE 0 TILEPROP VBRK_X34Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y20 NAME VBRK_X34Y20 TILEPROP VBRK_X34Y20 NUM_ARCS 0 TILEPROP VBRK_X34Y20 NUM_SITES 0 TILEPROP VBRK_X34Y20 ROW 136 TILEPROP VBRK_X34Y20 SLR_REGION_ID 0 TILEPROP VBRK_X34Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y20 TILE_TYPE VBRK TILEPROP VBRK_X34Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y20 TILE_X -41680 TILEPROP VBRK_X34Y20 TILE_Y -178872 TILEPROP VBRK_X34Y20 TYPE VBRK TILEPROP VBRK_X34Y21 CLASS tile TILEPROP VBRK_X34Y21 COLUMN 34 TILEPROP VBRK_X34Y21 DEVICE_ID 0 TILEPROP VBRK_X34Y21 FIRST_SITE_ID 13624 TILEPROP VBRK_X34Y21 GRID_POINT_X 34 TILEPROP VBRK_X34Y21 GRID_POINT_Y 135 TILEPROP VBRK_X34Y21 INDEX 15559 TILEPROP VBRK_X34Y21 INT_TILE_X 11 TILEPROP VBRK_X34Y21 INT_TILE_Y 129 TILEPROP VBRK_X34Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y21 IS_DCM_TILE 0 TILEPROP VBRK_X34Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y21 NAME VBRK_X34Y21 TILEPROP VBRK_X34Y21 NUM_ARCS 0 TILEPROP VBRK_X34Y21 NUM_SITES 0 TILEPROP VBRK_X34Y21 ROW 135 TILEPROP VBRK_X34Y21 SLR_REGION_ID 0 TILEPROP VBRK_X34Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y21 TILE_TYPE VBRK TILEPROP VBRK_X34Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y21 TILE_X -41680 TILEPROP VBRK_X34Y21 TILE_Y -175672 TILEPROP VBRK_X34Y21 TYPE VBRK TILEPROP VBRK_X34Y22 CLASS tile TILEPROP VBRK_X34Y22 COLUMN 34 TILEPROP VBRK_X34Y22 DEVICE_ID 0 TILEPROP VBRK_X34Y22 FIRST_SITE_ID 13518 TILEPROP VBRK_X34Y22 GRID_POINT_X 34 TILEPROP VBRK_X34Y22 GRID_POINT_Y 134 TILEPROP VBRK_X34Y22 INDEX 15444 TILEPROP VBRK_X34Y22 INT_TILE_X 11 TILEPROP VBRK_X34Y22 INT_TILE_Y 128 TILEPROP VBRK_X34Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y22 IS_DCM_TILE 0 TILEPROP VBRK_X34Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y22 NAME VBRK_X34Y22 TILEPROP VBRK_X34Y22 NUM_ARCS 0 TILEPROP VBRK_X34Y22 NUM_SITES 0 TILEPROP VBRK_X34Y22 ROW 134 TILEPROP VBRK_X34Y22 SLR_REGION_ID 0 TILEPROP VBRK_X34Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y22 TILE_TYPE VBRK TILEPROP VBRK_X34Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y22 TILE_X -41680 TILEPROP VBRK_X34Y22 TILE_Y -172472 TILEPROP VBRK_X34Y22 TYPE VBRK TILEPROP VBRK_X34Y23 CLASS tile TILEPROP VBRK_X34Y23 COLUMN 34 TILEPROP VBRK_X34Y23 DEVICE_ID 0 TILEPROP VBRK_X34Y23 FIRST_SITE_ID 13418 TILEPROP VBRK_X34Y23 GRID_POINT_X 34 TILEPROP VBRK_X34Y23 GRID_POINT_Y 133 TILEPROP VBRK_X34Y23 INDEX 15329 TILEPROP VBRK_X34Y23 INT_TILE_X 11 TILEPROP VBRK_X34Y23 INT_TILE_Y 127 TILEPROP VBRK_X34Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y23 IS_DCM_TILE 0 TILEPROP VBRK_X34Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y23 NAME VBRK_X34Y23 TILEPROP VBRK_X34Y23 NUM_ARCS 0 TILEPROP VBRK_X34Y23 NUM_SITES 0 TILEPROP VBRK_X34Y23 ROW 133 TILEPROP VBRK_X34Y23 SLR_REGION_ID 0 TILEPROP VBRK_X34Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y23 TILE_TYPE VBRK TILEPROP VBRK_X34Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y23 TILE_X -41680 TILEPROP VBRK_X34Y23 TILE_Y -169272 TILEPROP VBRK_X34Y23 TYPE VBRK TILEPROP VBRK_X34Y24 CLASS tile TILEPROP VBRK_X34Y24 COLUMN 34 TILEPROP VBRK_X34Y24 DEVICE_ID 0 TILEPROP VBRK_X34Y24 FIRST_SITE_ID 13318 TILEPROP VBRK_X34Y24 GRID_POINT_X 34 TILEPROP VBRK_X34Y24 GRID_POINT_Y 132 TILEPROP VBRK_X34Y24 INDEX 15214 TILEPROP VBRK_X34Y24 INT_TILE_X 11 TILEPROP VBRK_X34Y24 INT_TILE_Y 126 TILEPROP VBRK_X34Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y24 IS_DCM_TILE 0 TILEPROP VBRK_X34Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y24 NAME VBRK_X34Y24 TILEPROP VBRK_X34Y24 NUM_ARCS 0 TILEPROP VBRK_X34Y24 NUM_SITES 0 TILEPROP VBRK_X34Y24 ROW 132 TILEPROP VBRK_X34Y24 SLR_REGION_ID 0 TILEPROP VBRK_X34Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y24 TILE_TYPE VBRK TILEPROP VBRK_X34Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y24 TILE_X -41680 TILEPROP VBRK_X34Y24 TILE_Y -166072 TILEPROP VBRK_X34Y24 TYPE VBRK TILEPROP VBRK_X34Y25 CLASS tile TILEPROP VBRK_X34Y25 COLUMN 34 TILEPROP VBRK_X34Y25 DEVICE_ID 0 TILEPROP VBRK_X34Y25 FIRST_SITE_ID 13218 TILEPROP VBRK_X34Y25 GRID_POINT_X 34 TILEPROP VBRK_X34Y25 GRID_POINT_Y 131 TILEPROP VBRK_X34Y25 INDEX 15099 TILEPROP VBRK_X34Y25 INT_TILE_X 11 TILEPROP VBRK_X34Y25 INT_TILE_Y 125 TILEPROP VBRK_X34Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y25 IS_DCM_TILE 0 TILEPROP VBRK_X34Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y25 NAME VBRK_X34Y25 TILEPROP VBRK_X34Y25 NUM_ARCS 0 TILEPROP VBRK_X34Y25 NUM_SITES 0 TILEPROP VBRK_X34Y25 ROW 131 TILEPROP VBRK_X34Y25 SLR_REGION_ID 0 TILEPROP VBRK_X34Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y25 TILE_TYPE VBRK TILEPROP VBRK_X34Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y25 TILE_X -41680 TILEPROP VBRK_X34Y25 TILE_Y -162872 TILEPROP VBRK_X34Y25 TYPE VBRK TILEPROP VBRK_X34Y27 CLASS tile TILEPROP VBRK_X34Y27 COLUMN 34 TILEPROP VBRK_X34Y27 DEVICE_ID 0 TILEPROP VBRK_X34Y27 FIRST_SITE_ID 13026 TILEPROP VBRK_X34Y27 GRID_POINT_X 34 TILEPROP VBRK_X34Y27 GRID_POINT_Y 129 TILEPROP VBRK_X34Y27 INDEX 14869 TILEPROP VBRK_X34Y27 INT_TILE_X 11 TILEPROP VBRK_X34Y27 INT_TILE_Y 124 TILEPROP VBRK_X34Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y27 IS_DCM_TILE 0 TILEPROP VBRK_X34Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y27 NAME VBRK_X34Y27 TILEPROP VBRK_X34Y27 NUM_ARCS 0 TILEPROP VBRK_X34Y27 NUM_SITES 0 TILEPROP VBRK_X34Y27 ROW 129 TILEPROP VBRK_X34Y27 SLR_REGION_ID 0 TILEPROP VBRK_X34Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y27 TILE_TYPE VBRK TILEPROP VBRK_X34Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y27 TILE_X -41680 TILEPROP VBRK_X34Y27 TILE_Y -158648 TILEPROP VBRK_X34Y27 TYPE VBRK TILEPROP VBRK_X34Y28 CLASS tile TILEPROP VBRK_X34Y28 COLUMN 34 TILEPROP VBRK_X34Y28 DEVICE_ID 0 TILEPROP VBRK_X34Y28 FIRST_SITE_ID 12920 TILEPROP VBRK_X34Y28 GRID_POINT_X 34 TILEPROP VBRK_X34Y28 GRID_POINT_Y 128 TILEPROP VBRK_X34Y28 INDEX 14754 TILEPROP VBRK_X34Y28 INT_TILE_X 11 TILEPROP VBRK_X34Y28 INT_TILE_Y 123 TILEPROP VBRK_X34Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y28 IS_DCM_TILE 0 TILEPROP VBRK_X34Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y28 NAME VBRK_X34Y28 TILEPROP VBRK_X34Y28 NUM_ARCS 0 TILEPROP VBRK_X34Y28 NUM_SITES 0 TILEPROP VBRK_X34Y28 ROW 128 TILEPROP VBRK_X34Y28 SLR_REGION_ID 0 TILEPROP VBRK_X34Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y28 TILE_TYPE VBRK TILEPROP VBRK_X34Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y28 TILE_X -41680 TILEPROP VBRK_X34Y28 TILE_Y -155448 TILEPROP VBRK_X34Y28 TYPE VBRK TILEPROP VBRK_X34Y29 CLASS tile TILEPROP VBRK_X34Y29 COLUMN 34 TILEPROP VBRK_X34Y29 DEVICE_ID 0 TILEPROP VBRK_X34Y29 FIRST_SITE_ID 12820 TILEPROP VBRK_X34Y29 GRID_POINT_X 34 TILEPROP VBRK_X34Y29 GRID_POINT_Y 127 TILEPROP VBRK_X34Y29 INDEX 14639 TILEPROP VBRK_X34Y29 INT_TILE_X 11 TILEPROP VBRK_X34Y29 INT_TILE_Y 122 TILEPROP VBRK_X34Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y29 IS_DCM_TILE 0 TILEPROP VBRK_X34Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y29 NAME VBRK_X34Y29 TILEPROP VBRK_X34Y29 NUM_ARCS 0 TILEPROP VBRK_X34Y29 NUM_SITES 0 TILEPROP VBRK_X34Y29 ROW 127 TILEPROP VBRK_X34Y29 SLR_REGION_ID 0 TILEPROP VBRK_X34Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y29 TILE_TYPE VBRK TILEPROP VBRK_X34Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y29 TILE_X -41680 TILEPROP VBRK_X34Y29 TILE_Y -152248 TILEPROP VBRK_X34Y29 TYPE VBRK TILEPROP VBRK_X34Y30 CLASS tile TILEPROP VBRK_X34Y30 COLUMN 34 TILEPROP VBRK_X34Y30 DEVICE_ID 0 TILEPROP VBRK_X34Y30 FIRST_SITE_ID 12720 TILEPROP VBRK_X34Y30 GRID_POINT_X 34 TILEPROP VBRK_X34Y30 GRID_POINT_Y 126 TILEPROP VBRK_X34Y30 INDEX 14524 TILEPROP VBRK_X34Y30 INT_TILE_X 11 TILEPROP VBRK_X34Y30 INT_TILE_Y 121 TILEPROP VBRK_X34Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y30 IS_DCM_TILE 0 TILEPROP VBRK_X34Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y30 NAME VBRK_X34Y30 TILEPROP VBRK_X34Y30 NUM_ARCS 0 TILEPROP VBRK_X34Y30 NUM_SITES 0 TILEPROP VBRK_X34Y30 ROW 126 TILEPROP VBRK_X34Y30 SLR_REGION_ID 0 TILEPROP VBRK_X34Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y30 TILE_TYPE VBRK TILEPROP VBRK_X34Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y30 TILE_X -41680 TILEPROP VBRK_X34Y30 TILE_Y -149048 TILEPROP VBRK_X34Y30 TYPE VBRK TILEPROP VBRK_X34Y31 CLASS tile TILEPROP VBRK_X34Y31 COLUMN 34 TILEPROP VBRK_X34Y31 DEVICE_ID 0 TILEPROP VBRK_X34Y31 FIRST_SITE_ID 12614 TILEPROP VBRK_X34Y31 GRID_POINT_X 34 TILEPROP VBRK_X34Y31 GRID_POINT_Y 125 TILEPROP VBRK_X34Y31 INDEX 14409 TILEPROP VBRK_X34Y31 INT_TILE_X 11 TILEPROP VBRK_X34Y31 INT_TILE_Y 120 TILEPROP VBRK_X34Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y31 IS_DCM_TILE 0 TILEPROP VBRK_X34Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y31 NAME VBRK_X34Y31 TILEPROP VBRK_X34Y31 NUM_ARCS 0 TILEPROP VBRK_X34Y31 NUM_SITES 0 TILEPROP VBRK_X34Y31 ROW 125 TILEPROP VBRK_X34Y31 SLR_REGION_ID 0 TILEPROP VBRK_X34Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y31 TILE_TYPE VBRK TILEPROP VBRK_X34Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y31 TILE_X -41680 TILEPROP VBRK_X34Y31 TILE_Y -145848 TILEPROP VBRK_X34Y31 TYPE VBRK TILEPROP VBRK_X34Y32 CLASS tile TILEPROP VBRK_X34Y32 COLUMN 34 TILEPROP VBRK_X34Y32 DEVICE_ID 0 TILEPROP VBRK_X34Y32 FIRST_SITE_ID 12486 TILEPROP VBRK_X34Y32 GRID_POINT_X 34 TILEPROP VBRK_X34Y32 GRID_POINT_Y 124 TILEPROP VBRK_X34Y32 INDEX 14294 TILEPROP VBRK_X34Y32 INT_TILE_X 11 TILEPROP VBRK_X34Y32 INT_TILE_Y 119 TILEPROP VBRK_X34Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y32 IS_DCM_TILE 0 TILEPROP VBRK_X34Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y32 NAME VBRK_X34Y32 TILEPROP VBRK_X34Y32 NUM_ARCS 0 TILEPROP VBRK_X34Y32 NUM_SITES 0 TILEPROP VBRK_X34Y32 ROW 124 TILEPROP VBRK_X34Y32 SLR_REGION_ID 0 TILEPROP VBRK_X34Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y32 TILE_TYPE VBRK TILEPROP VBRK_X34Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y32 TILE_X -41680 TILEPROP VBRK_X34Y32 TILE_Y -142648 TILEPROP VBRK_X34Y32 TYPE VBRK TILEPROP VBRK_X34Y33 CLASS tile TILEPROP VBRK_X34Y33 COLUMN 34 TILEPROP VBRK_X34Y33 DEVICE_ID 0 TILEPROP VBRK_X34Y33 FIRST_SITE_ID 12378 TILEPROP VBRK_X34Y33 GRID_POINT_X 34 TILEPROP VBRK_X34Y33 GRID_POINT_Y 123 TILEPROP VBRK_X34Y33 INDEX 14179 TILEPROP VBRK_X34Y33 INT_TILE_X 11 TILEPROP VBRK_X34Y33 INT_TILE_Y 118 TILEPROP VBRK_X34Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y33 IS_DCM_TILE 0 TILEPROP VBRK_X34Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y33 NAME VBRK_X34Y33 TILEPROP VBRK_X34Y33 NUM_ARCS 0 TILEPROP VBRK_X34Y33 NUM_SITES 0 TILEPROP VBRK_X34Y33 ROW 123 TILEPROP VBRK_X34Y33 SLR_REGION_ID 0 TILEPROP VBRK_X34Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y33 TILE_TYPE VBRK TILEPROP VBRK_X34Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y33 TILE_X -41680 TILEPROP VBRK_X34Y33 TILE_Y -139448 TILEPROP VBRK_X34Y33 TYPE VBRK TILEPROP VBRK_X34Y34 CLASS tile TILEPROP VBRK_X34Y34 COLUMN 34 TILEPROP VBRK_X34Y34 DEVICE_ID 0 TILEPROP VBRK_X34Y34 FIRST_SITE_ID 12275 TILEPROP VBRK_X34Y34 GRID_POINT_X 34 TILEPROP VBRK_X34Y34 GRID_POINT_Y 122 TILEPROP VBRK_X34Y34 INDEX 14064 TILEPROP VBRK_X34Y34 INT_TILE_X 11 TILEPROP VBRK_X34Y34 INT_TILE_Y 117 TILEPROP VBRK_X34Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y34 IS_DCM_TILE 0 TILEPROP VBRK_X34Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y34 NAME VBRK_X34Y34 TILEPROP VBRK_X34Y34 NUM_ARCS 0 TILEPROP VBRK_X34Y34 NUM_SITES 0 TILEPROP VBRK_X34Y34 ROW 122 TILEPROP VBRK_X34Y34 SLR_REGION_ID 0 TILEPROP VBRK_X34Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y34 TILE_TYPE VBRK TILEPROP VBRK_X34Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y34 TILE_X -41680 TILEPROP VBRK_X34Y34 TILE_Y -136248 TILEPROP VBRK_X34Y34 TYPE VBRK TILEPROP VBRK_X34Y35 CLASS tile TILEPROP VBRK_X34Y35 COLUMN 34 TILEPROP VBRK_X34Y35 DEVICE_ID 0 TILEPROP VBRK_X34Y35 FIRST_SITE_ID 12175 TILEPROP VBRK_X34Y35 GRID_POINT_X 34 TILEPROP VBRK_X34Y35 GRID_POINT_Y 121 TILEPROP VBRK_X34Y35 INDEX 13949 TILEPROP VBRK_X34Y35 INT_TILE_X 11 TILEPROP VBRK_X34Y35 INT_TILE_Y 116 TILEPROP VBRK_X34Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y35 IS_DCM_TILE 0 TILEPROP VBRK_X34Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y35 NAME VBRK_X34Y35 TILEPROP VBRK_X34Y35 NUM_ARCS 0 TILEPROP VBRK_X34Y35 NUM_SITES 0 TILEPROP VBRK_X34Y35 ROW 121 TILEPROP VBRK_X34Y35 SLR_REGION_ID 0 TILEPROP VBRK_X34Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y35 TILE_TYPE VBRK TILEPROP VBRK_X34Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y35 TILE_X -41680 TILEPROP VBRK_X34Y35 TILE_Y -133048 TILEPROP VBRK_X34Y35 TYPE VBRK TILEPROP VBRK_X34Y36 CLASS tile TILEPROP VBRK_X34Y36 COLUMN 34 TILEPROP VBRK_X34Y36 DEVICE_ID 0 TILEPROP VBRK_X34Y36 FIRST_SITE_ID 12075 TILEPROP VBRK_X34Y36 GRID_POINT_X 34 TILEPROP VBRK_X34Y36 GRID_POINT_Y 120 TILEPROP VBRK_X34Y36 INDEX 13834 TILEPROP VBRK_X34Y36 INT_TILE_X 11 TILEPROP VBRK_X34Y36 INT_TILE_Y 115 TILEPROP VBRK_X34Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y36 IS_DCM_TILE 0 TILEPROP VBRK_X34Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y36 NAME VBRK_X34Y36 TILEPROP VBRK_X34Y36 NUM_ARCS 0 TILEPROP VBRK_X34Y36 NUM_SITES 0 TILEPROP VBRK_X34Y36 ROW 120 TILEPROP VBRK_X34Y36 SLR_REGION_ID 0 TILEPROP VBRK_X34Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y36 TILE_TYPE VBRK TILEPROP VBRK_X34Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y36 TILE_X -41680 TILEPROP VBRK_X34Y36 TILE_Y -129848 TILEPROP VBRK_X34Y36 TYPE VBRK TILEPROP VBRK_X34Y37 CLASS tile TILEPROP VBRK_X34Y37 COLUMN 34 TILEPROP VBRK_X34Y37 DEVICE_ID 0 TILEPROP VBRK_X34Y37 FIRST_SITE_ID 11966 TILEPROP VBRK_X34Y37 GRID_POINT_X 34 TILEPROP VBRK_X34Y37 GRID_POINT_Y 119 TILEPROP VBRK_X34Y37 INDEX 13719 TILEPROP VBRK_X34Y37 INT_TILE_X 11 TILEPROP VBRK_X34Y37 INT_TILE_Y 114 TILEPROP VBRK_X34Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y37 IS_DCM_TILE 0 TILEPROP VBRK_X34Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y37 NAME VBRK_X34Y37 TILEPROP VBRK_X34Y37 NUM_ARCS 0 TILEPROP VBRK_X34Y37 NUM_SITES 0 TILEPROP VBRK_X34Y37 ROW 119 TILEPROP VBRK_X34Y37 SLR_REGION_ID 0 TILEPROP VBRK_X34Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y37 TILE_TYPE VBRK TILEPROP VBRK_X34Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y37 TILE_X -41680 TILEPROP VBRK_X34Y37 TILE_Y -126648 TILEPROP VBRK_X34Y37 TYPE VBRK TILEPROP VBRK_X34Y38 CLASS tile TILEPROP VBRK_X34Y38 COLUMN 34 TILEPROP VBRK_X34Y38 DEVICE_ID 0 TILEPROP VBRK_X34Y38 FIRST_SITE_ID 11828 TILEPROP VBRK_X34Y38 GRID_POINT_X 34 TILEPROP VBRK_X34Y38 GRID_POINT_Y 118 TILEPROP VBRK_X34Y38 INDEX 13604 TILEPROP VBRK_X34Y38 INT_TILE_X 11 TILEPROP VBRK_X34Y38 INT_TILE_Y 113 TILEPROP VBRK_X34Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y38 IS_DCM_TILE 0 TILEPROP VBRK_X34Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y38 NAME VBRK_X34Y38 TILEPROP VBRK_X34Y38 NUM_ARCS 0 TILEPROP VBRK_X34Y38 NUM_SITES 0 TILEPROP VBRK_X34Y38 ROW 118 TILEPROP VBRK_X34Y38 SLR_REGION_ID 0 TILEPROP VBRK_X34Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y38 TILE_TYPE VBRK TILEPROP VBRK_X34Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y38 TILE_X -41680 TILEPROP VBRK_X34Y38 TILE_Y -123448 TILEPROP VBRK_X34Y38 TYPE VBRK TILEPROP VBRK_X34Y39 CLASS tile TILEPROP VBRK_X34Y39 COLUMN 34 TILEPROP VBRK_X34Y39 DEVICE_ID 0 TILEPROP VBRK_X34Y39 FIRST_SITE_ID 11728 TILEPROP VBRK_X34Y39 GRID_POINT_X 34 TILEPROP VBRK_X34Y39 GRID_POINT_Y 117 TILEPROP VBRK_X34Y39 INDEX 13489 TILEPROP VBRK_X34Y39 INT_TILE_X 11 TILEPROP VBRK_X34Y39 INT_TILE_Y 112 TILEPROP VBRK_X34Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y39 IS_DCM_TILE 0 TILEPROP VBRK_X34Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y39 NAME VBRK_X34Y39 TILEPROP VBRK_X34Y39 NUM_ARCS 0 TILEPROP VBRK_X34Y39 NUM_SITES 0 TILEPROP VBRK_X34Y39 ROW 117 TILEPROP VBRK_X34Y39 SLR_REGION_ID 0 TILEPROP VBRK_X34Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y39 TILE_TYPE VBRK TILEPROP VBRK_X34Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y39 TILE_X -41680 TILEPROP VBRK_X34Y39 TILE_Y -120248 TILEPROP VBRK_X34Y39 TYPE VBRK TILEPROP VBRK_X34Y40 CLASS tile TILEPROP VBRK_X34Y40 COLUMN 34 TILEPROP VBRK_X34Y40 DEVICE_ID 0 TILEPROP VBRK_X34Y40 FIRST_SITE_ID 11628 TILEPROP VBRK_X34Y40 GRID_POINT_X 34 TILEPROP VBRK_X34Y40 GRID_POINT_Y 116 TILEPROP VBRK_X34Y40 INDEX 13374 TILEPROP VBRK_X34Y40 INT_TILE_X 11 TILEPROP VBRK_X34Y40 INT_TILE_Y 111 TILEPROP VBRK_X34Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y40 IS_DCM_TILE 0 TILEPROP VBRK_X34Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y40 NAME VBRK_X34Y40 TILEPROP VBRK_X34Y40 NUM_ARCS 0 TILEPROP VBRK_X34Y40 NUM_SITES 0 TILEPROP VBRK_X34Y40 ROW 116 TILEPROP VBRK_X34Y40 SLR_REGION_ID 0 TILEPROP VBRK_X34Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y40 TILE_TYPE VBRK TILEPROP VBRK_X34Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y40 TILE_X -41680 TILEPROP VBRK_X34Y40 TILE_Y -117048 TILEPROP VBRK_X34Y40 TYPE VBRK TILEPROP VBRK_X34Y41 CLASS tile TILEPROP VBRK_X34Y41 COLUMN 34 TILEPROP VBRK_X34Y41 DEVICE_ID 0 TILEPROP VBRK_X34Y41 FIRST_SITE_ID 11528 TILEPROP VBRK_X34Y41 GRID_POINT_X 34 TILEPROP VBRK_X34Y41 GRID_POINT_Y 115 TILEPROP VBRK_X34Y41 INDEX 13259 TILEPROP VBRK_X34Y41 INT_TILE_X 11 TILEPROP VBRK_X34Y41 INT_TILE_Y 110 TILEPROP VBRK_X34Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y41 IS_DCM_TILE 0 TILEPROP VBRK_X34Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y41 NAME VBRK_X34Y41 TILEPROP VBRK_X34Y41 NUM_ARCS 0 TILEPROP VBRK_X34Y41 NUM_SITES 0 TILEPROP VBRK_X34Y41 ROW 115 TILEPROP VBRK_X34Y41 SLR_REGION_ID 0 TILEPROP VBRK_X34Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y41 TILE_TYPE VBRK TILEPROP VBRK_X34Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y41 TILE_X -41680 TILEPROP VBRK_X34Y41 TILE_Y -113848 TILEPROP VBRK_X34Y41 TYPE VBRK TILEPROP VBRK_X34Y42 CLASS tile TILEPROP VBRK_X34Y42 COLUMN 34 TILEPROP VBRK_X34Y42 DEVICE_ID 0 TILEPROP VBRK_X34Y42 FIRST_SITE_ID 11417 TILEPROP VBRK_X34Y42 GRID_POINT_X 34 TILEPROP VBRK_X34Y42 GRID_POINT_Y 114 TILEPROP VBRK_X34Y42 INDEX 13144 TILEPROP VBRK_X34Y42 INT_TILE_X 11 TILEPROP VBRK_X34Y42 INT_TILE_Y 109 TILEPROP VBRK_X34Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y42 IS_DCM_TILE 0 TILEPROP VBRK_X34Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y42 NAME VBRK_X34Y42 TILEPROP VBRK_X34Y42 NUM_ARCS 0 TILEPROP VBRK_X34Y42 NUM_SITES 0 TILEPROP VBRK_X34Y42 ROW 114 TILEPROP VBRK_X34Y42 SLR_REGION_ID 0 TILEPROP VBRK_X34Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y42 TILE_TYPE VBRK TILEPROP VBRK_X34Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y42 TILE_X -41680 TILEPROP VBRK_X34Y42 TILE_Y -110648 TILEPROP VBRK_X34Y42 TYPE VBRK TILEPROP VBRK_X34Y43 CLASS tile TILEPROP VBRK_X34Y43 COLUMN 34 TILEPROP VBRK_X34Y43 DEVICE_ID 0 TILEPROP VBRK_X34Y43 FIRST_SITE_ID 11310 TILEPROP VBRK_X34Y43 GRID_POINT_X 34 TILEPROP VBRK_X34Y43 GRID_POINT_Y 113 TILEPROP VBRK_X34Y43 INDEX 13029 TILEPROP VBRK_X34Y43 INT_TILE_X 11 TILEPROP VBRK_X34Y43 INT_TILE_Y 108 TILEPROP VBRK_X34Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y43 IS_DCM_TILE 0 TILEPROP VBRK_X34Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y43 NAME VBRK_X34Y43 TILEPROP VBRK_X34Y43 NUM_ARCS 0 TILEPROP VBRK_X34Y43 NUM_SITES 0 TILEPROP VBRK_X34Y43 ROW 113 TILEPROP VBRK_X34Y43 SLR_REGION_ID 0 TILEPROP VBRK_X34Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y43 TILE_TYPE VBRK TILEPROP VBRK_X34Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y43 TILE_X -41680 TILEPROP VBRK_X34Y43 TILE_Y -107448 TILEPROP VBRK_X34Y43 TYPE VBRK TILEPROP VBRK_X34Y44 CLASS tile TILEPROP VBRK_X34Y44 COLUMN 34 TILEPROP VBRK_X34Y44 DEVICE_ID 0 TILEPROP VBRK_X34Y44 FIRST_SITE_ID 11209 TILEPROP VBRK_X34Y44 GRID_POINT_X 34 TILEPROP VBRK_X34Y44 GRID_POINT_Y 112 TILEPROP VBRK_X34Y44 INDEX 12914 TILEPROP VBRK_X34Y44 INT_TILE_X 11 TILEPROP VBRK_X34Y44 INT_TILE_Y 107 TILEPROP VBRK_X34Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y44 IS_DCM_TILE 0 TILEPROP VBRK_X34Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y44 NAME VBRK_X34Y44 TILEPROP VBRK_X34Y44 NUM_ARCS 0 TILEPROP VBRK_X34Y44 NUM_SITES 0 TILEPROP VBRK_X34Y44 ROW 112 TILEPROP VBRK_X34Y44 SLR_REGION_ID 0 TILEPROP VBRK_X34Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y44 TILE_TYPE VBRK TILEPROP VBRK_X34Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y44 TILE_X -41680 TILEPROP VBRK_X34Y44 TILE_Y -104248 TILEPROP VBRK_X34Y44 TYPE VBRK TILEPROP VBRK_X34Y45 CLASS tile TILEPROP VBRK_X34Y45 COLUMN 34 TILEPROP VBRK_X34Y45 DEVICE_ID 0 TILEPROP VBRK_X34Y45 FIRST_SITE_ID 11106 TILEPROP VBRK_X34Y45 GRID_POINT_X 34 TILEPROP VBRK_X34Y45 GRID_POINT_Y 111 TILEPROP VBRK_X34Y45 INDEX 12799 TILEPROP VBRK_X34Y45 INT_TILE_X 11 TILEPROP VBRK_X34Y45 INT_TILE_Y 106 TILEPROP VBRK_X34Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y45 IS_DCM_TILE 0 TILEPROP VBRK_X34Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y45 NAME VBRK_X34Y45 TILEPROP VBRK_X34Y45 NUM_ARCS 0 TILEPROP VBRK_X34Y45 NUM_SITES 0 TILEPROP VBRK_X34Y45 ROW 111 TILEPROP VBRK_X34Y45 SLR_REGION_ID 0 TILEPROP VBRK_X34Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y45 TILE_TYPE VBRK TILEPROP VBRK_X34Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y45 TILE_X -41680 TILEPROP VBRK_X34Y45 TILE_Y -101048 TILEPROP VBRK_X34Y45 TYPE VBRK TILEPROP VBRK_X34Y46 CLASS tile TILEPROP VBRK_X34Y46 COLUMN 34 TILEPROP VBRK_X34Y46 DEVICE_ID 0 TILEPROP VBRK_X34Y46 FIRST_SITE_ID 11004 TILEPROP VBRK_X34Y46 GRID_POINT_X 34 TILEPROP VBRK_X34Y46 GRID_POINT_Y 110 TILEPROP VBRK_X34Y46 INDEX 12684 TILEPROP VBRK_X34Y46 INT_TILE_X 11 TILEPROP VBRK_X34Y46 INT_TILE_Y 105 TILEPROP VBRK_X34Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y46 IS_DCM_TILE 0 TILEPROP VBRK_X34Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y46 NAME VBRK_X34Y46 TILEPROP VBRK_X34Y46 NUM_ARCS 0 TILEPROP VBRK_X34Y46 NUM_SITES 0 TILEPROP VBRK_X34Y46 ROW 110 TILEPROP VBRK_X34Y46 SLR_REGION_ID 0 TILEPROP VBRK_X34Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y46 TILE_TYPE VBRK TILEPROP VBRK_X34Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y46 TILE_X -41680 TILEPROP VBRK_X34Y46 TILE_Y -97848 TILEPROP VBRK_X34Y46 TYPE VBRK TILEPROP VBRK_X34Y47 CLASS tile TILEPROP VBRK_X34Y47 COLUMN 34 TILEPROP VBRK_X34Y47 DEVICE_ID 0 TILEPROP VBRK_X34Y47 FIRST_SITE_ID 10894 TILEPROP VBRK_X34Y47 GRID_POINT_X 34 TILEPROP VBRK_X34Y47 GRID_POINT_Y 109 TILEPROP VBRK_X34Y47 INDEX 12569 TILEPROP VBRK_X34Y47 INT_TILE_X 11 TILEPROP VBRK_X34Y47 INT_TILE_Y 104 TILEPROP VBRK_X34Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y47 IS_DCM_TILE 0 TILEPROP VBRK_X34Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y47 NAME VBRK_X34Y47 TILEPROP VBRK_X34Y47 NUM_ARCS 0 TILEPROP VBRK_X34Y47 NUM_SITES 0 TILEPROP VBRK_X34Y47 ROW 109 TILEPROP VBRK_X34Y47 SLR_REGION_ID 0 TILEPROP VBRK_X34Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y47 TILE_TYPE VBRK TILEPROP VBRK_X34Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y47 TILE_X -41680 TILEPROP VBRK_X34Y47 TILE_Y -94648 TILEPROP VBRK_X34Y47 TYPE VBRK TILEPROP VBRK_X34Y48 CLASS tile TILEPROP VBRK_X34Y48 COLUMN 34 TILEPROP VBRK_X34Y48 DEVICE_ID 0 TILEPROP VBRK_X34Y48 FIRST_SITE_ID 10772 TILEPROP VBRK_X34Y48 GRID_POINT_X 34 TILEPROP VBRK_X34Y48 GRID_POINT_Y 108 TILEPROP VBRK_X34Y48 INDEX 12454 TILEPROP VBRK_X34Y48 INT_TILE_X 11 TILEPROP VBRK_X34Y48 INT_TILE_Y 103 TILEPROP VBRK_X34Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y48 IS_DCM_TILE 0 TILEPROP VBRK_X34Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y48 NAME VBRK_X34Y48 TILEPROP VBRK_X34Y48 NUM_ARCS 0 TILEPROP VBRK_X34Y48 NUM_SITES 0 TILEPROP VBRK_X34Y48 ROW 108 TILEPROP VBRK_X34Y48 SLR_REGION_ID 0 TILEPROP VBRK_X34Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y48 TILE_TYPE VBRK TILEPROP VBRK_X34Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y48 TILE_X -41680 TILEPROP VBRK_X34Y48 TILE_Y -91448 TILEPROP VBRK_X34Y48 TYPE VBRK TILEPROP VBRK_X34Y49 CLASS tile TILEPROP VBRK_X34Y49 COLUMN 34 TILEPROP VBRK_X34Y49 DEVICE_ID 0 TILEPROP VBRK_X34Y49 FIRST_SITE_ID 10672 TILEPROP VBRK_X34Y49 GRID_POINT_X 34 TILEPROP VBRK_X34Y49 GRID_POINT_Y 107 TILEPROP VBRK_X34Y49 INDEX 12339 TILEPROP VBRK_X34Y49 INT_TILE_X 11 TILEPROP VBRK_X34Y49 INT_TILE_Y 102 TILEPROP VBRK_X34Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y49 IS_DCM_TILE 0 TILEPROP VBRK_X34Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y49 NAME VBRK_X34Y49 TILEPROP VBRK_X34Y49 NUM_ARCS 0 TILEPROP VBRK_X34Y49 NUM_SITES 0 TILEPROP VBRK_X34Y49 ROW 107 TILEPROP VBRK_X34Y49 SLR_REGION_ID 0 TILEPROP VBRK_X34Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y49 TILE_TYPE VBRK TILEPROP VBRK_X34Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y49 TILE_X -41680 TILEPROP VBRK_X34Y49 TILE_Y -88248 TILEPROP VBRK_X34Y49 TYPE VBRK TILEPROP VBRK_X34Y50 CLASS tile TILEPROP VBRK_X34Y50 COLUMN 34 TILEPROP VBRK_X34Y50 DEVICE_ID 0 TILEPROP VBRK_X34Y50 FIRST_SITE_ID 10572 TILEPROP VBRK_X34Y50 GRID_POINT_X 34 TILEPROP VBRK_X34Y50 GRID_POINT_Y 106 TILEPROP VBRK_X34Y50 INDEX 12224 TILEPROP VBRK_X34Y50 INT_TILE_X 11 TILEPROP VBRK_X34Y50 INT_TILE_Y 101 TILEPROP VBRK_X34Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y50 IS_DCM_TILE 0 TILEPROP VBRK_X34Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y50 NAME VBRK_X34Y50 TILEPROP VBRK_X34Y50 NUM_ARCS 0 TILEPROP VBRK_X34Y50 NUM_SITES 0 TILEPROP VBRK_X34Y50 ROW 106 TILEPROP VBRK_X34Y50 SLR_REGION_ID 0 TILEPROP VBRK_X34Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y50 TILE_TYPE VBRK TILEPROP VBRK_X34Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y50 TILE_X -41680 TILEPROP VBRK_X34Y50 TILE_Y -85048 TILEPROP VBRK_X34Y50 TYPE VBRK TILEPROP VBRK_X34Y51 CLASS tile TILEPROP VBRK_X34Y51 COLUMN 34 TILEPROP VBRK_X34Y51 DEVICE_ID 0 TILEPROP VBRK_X34Y51 FIRST_SITE_ID 10476 TILEPROP VBRK_X34Y51 GRID_POINT_X 34 TILEPROP VBRK_X34Y51 GRID_POINT_Y 105 TILEPROP VBRK_X34Y51 INDEX 12109 TILEPROP VBRK_X34Y51 INT_TILE_X 11 TILEPROP VBRK_X34Y51 INT_TILE_Y 100 TILEPROP VBRK_X34Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y51 IS_DCM_TILE 0 TILEPROP VBRK_X34Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y51 NAME VBRK_X34Y51 TILEPROP VBRK_X34Y51 NUM_ARCS 0 TILEPROP VBRK_X34Y51 NUM_SITES 0 TILEPROP VBRK_X34Y51 ROW 105 TILEPROP VBRK_X34Y51 SLR_REGION_ID 0 TILEPROP VBRK_X34Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y51 TILE_TYPE VBRK TILEPROP VBRK_X34Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y51 TILE_X -41680 TILEPROP VBRK_X34Y51 TILE_Y -81848 TILEPROP VBRK_X34Y51 TYPE VBRK TILEPROP VBRK_X34Y53 CLASS tile TILEPROP VBRK_X34Y53 COLUMN 34 TILEPROP VBRK_X34Y53 DEVICE_ID 0 TILEPROP VBRK_X34Y53 FIRST_SITE_ID 10351 TILEPROP VBRK_X34Y53 GRID_POINT_X 34 TILEPROP VBRK_X34Y53 GRID_POINT_Y 103 TILEPROP VBRK_X34Y53 INDEX 11879 TILEPROP VBRK_X34Y53 INT_TILE_X 11 TILEPROP VBRK_X34Y53 INT_TILE_Y 99 TILEPROP VBRK_X34Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y53 IS_DCM_TILE 0 TILEPROP VBRK_X34Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y53 NAME VBRK_X34Y53 TILEPROP VBRK_X34Y53 NUM_ARCS 0 TILEPROP VBRK_X34Y53 NUM_SITES 0 TILEPROP VBRK_X34Y53 ROW 103 TILEPROP VBRK_X34Y53 SLR_REGION_ID 0 TILEPROP VBRK_X34Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y53 TILE_TYPE VBRK TILEPROP VBRK_X34Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y53 TILE_X -41680 TILEPROP VBRK_X34Y53 TILE_Y -78400 TILEPROP VBRK_X34Y53 TYPE VBRK TILEPROP VBRK_X34Y54 CLASS tile TILEPROP VBRK_X34Y54 COLUMN 34 TILEPROP VBRK_X34Y54 DEVICE_ID 0 TILEPROP VBRK_X34Y54 FIRST_SITE_ID 10241 TILEPROP VBRK_X34Y54 GRID_POINT_X 34 TILEPROP VBRK_X34Y54 GRID_POINT_Y 102 TILEPROP VBRK_X34Y54 INDEX 11764 TILEPROP VBRK_X34Y54 INT_TILE_X 11 TILEPROP VBRK_X34Y54 INT_TILE_Y 98 TILEPROP VBRK_X34Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y54 IS_DCM_TILE 0 TILEPROP VBRK_X34Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y54 NAME VBRK_X34Y54 TILEPROP VBRK_X34Y54 NUM_ARCS 0 TILEPROP VBRK_X34Y54 NUM_SITES 0 TILEPROP VBRK_X34Y54 ROW 102 TILEPROP VBRK_X34Y54 SLR_REGION_ID 0 TILEPROP VBRK_X34Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y54 TILE_TYPE VBRK TILEPROP VBRK_X34Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y54 TILE_X -41680 TILEPROP VBRK_X34Y54 TILE_Y -75200 TILEPROP VBRK_X34Y54 TYPE VBRK TILEPROP VBRK_X34Y55 CLASS tile TILEPROP VBRK_X34Y55 COLUMN 34 TILEPROP VBRK_X34Y55 DEVICE_ID 0 TILEPROP VBRK_X34Y55 FIRST_SITE_ID 10141 TILEPROP VBRK_X34Y55 GRID_POINT_X 34 TILEPROP VBRK_X34Y55 GRID_POINT_Y 101 TILEPROP VBRK_X34Y55 INDEX 11649 TILEPROP VBRK_X34Y55 INT_TILE_X 11 TILEPROP VBRK_X34Y55 INT_TILE_Y 97 TILEPROP VBRK_X34Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y55 IS_DCM_TILE 0 TILEPROP VBRK_X34Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y55 NAME VBRK_X34Y55 TILEPROP VBRK_X34Y55 NUM_ARCS 0 TILEPROP VBRK_X34Y55 NUM_SITES 0 TILEPROP VBRK_X34Y55 ROW 101 TILEPROP VBRK_X34Y55 SLR_REGION_ID 0 TILEPROP VBRK_X34Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y55 TILE_TYPE VBRK TILEPROP VBRK_X34Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y55 TILE_X -41680 TILEPROP VBRK_X34Y55 TILE_Y -72000 TILEPROP VBRK_X34Y55 TYPE VBRK TILEPROP VBRK_X34Y56 CLASS tile TILEPROP VBRK_X34Y56 COLUMN 34 TILEPROP VBRK_X34Y56 DEVICE_ID 0 TILEPROP VBRK_X34Y56 FIRST_SITE_ID 10041 TILEPROP VBRK_X34Y56 GRID_POINT_X 34 TILEPROP VBRK_X34Y56 GRID_POINT_Y 100 TILEPROP VBRK_X34Y56 INDEX 11534 TILEPROP VBRK_X34Y56 INT_TILE_X 11 TILEPROP VBRK_X34Y56 INT_TILE_Y 96 TILEPROP VBRK_X34Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y56 IS_DCM_TILE 0 TILEPROP VBRK_X34Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y56 NAME VBRK_X34Y56 TILEPROP VBRK_X34Y56 NUM_ARCS 0 TILEPROP VBRK_X34Y56 NUM_SITES 0 TILEPROP VBRK_X34Y56 ROW 100 TILEPROP VBRK_X34Y56 SLR_REGION_ID 0 TILEPROP VBRK_X34Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y56 TILE_TYPE VBRK TILEPROP VBRK_X34Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y56 TILE_X -41680 TILEPROP VBRK_X34Y56 TILE_Y -68800 TILEPROP VBRK_X34Y56 TYPE VBRK TILEPROP VBRK_X34Y57 CLASS tile TILEPROP VBRK_X34Y57 COLUMN 34 TILEPROP VBRK_X34Y57 DEVICE_ID 0 TILEPROP VBRK_X34Y57 FIRST_SITE_ID 9941 TILEPROP VBRK_X34Y57 GRID_POINT_X 34 TILEPROP VBRK_X34Y57 GRID_POINT_Y 99 TILEPROP VBRK_X34Y57 INDEX 11419 TILEPROP VBRK_X34Y57 INT_TILE_X 11 TILEPROP VBRK_X34Y57 INT_TILE_Y 95 TILEPROP VBRK_X34Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y57 IS_DCM_TILE 0 TILEPROP VBRK_X34Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y57 NAME VBRK_X34Y57 TILEPROP VBRK_X34Y57 NUM_ARCS 0 TILEPROP VBRK_X34Y57 NUM_SITES 0 TILEPROP VBRK_X34Y57 ROW 99 TILEPROP VBRK_X34Y57 SLR_REGION_ID 0 TILEPROP VBRK_X34Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y57 TILE_TYPE VBRK TILEPROP VBRK_X34Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y57 TILE_X -41680 TILEPROP VBRK_X34Y57 TILE_Y -65600 TILEPROP VBRK_X34Y57 TYPE VBRK TILEPROP VBRK_X34Y58 CLASS tile TILEPROP VBRK_X34Y58 COLUMN 34 TILEPROP VBRK_X34Y58 DEVICE_ID 0 TILEPROP VBRK_X34Y58 FIRST_SITE_ID 9832 TILEPROP VBRK_X34Y58 GRID_POINT_X 34 TILEPROP VBRK_X34Y58 GRID_POINT_Y 98 TILEPROP VBRK_X34Y58 INDEX 11304 TILEPROP VBRK_X34Y58 INT_TILE_X 11 TILEPROP VBRK_X34Y58 INT_TILE_Y 94 TILEPROP VBRK_X34Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y58 IS_DCM_TILE 0 TILEPROP VBRK_X34Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y58 NAME VBRK_X34Y58 TILEPROP VBRK_X34Y58 NUM_ARCS 0 TILEPROP VBRK_X34Y58 NUM_SITES 0 TILEPROP VBRK_X34Y58 ROW 98 TILEPROP VBRK_X34Y58 SLR_REGION_ID 0 TILEPROP VBRK_X34Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y58 TILE_TYPE VBRK TILEPROP VBRK_X34Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y58 TILE_X -41680 TILEPROP VBRK_X34Y58 TILE_Y -62400 TILEPROP VBRK_X34Y58 TYPE VBRK TILEPROP VBRK_X34Y59 CLASS tile TILEPROP VBRK_X34Y59 COLUMN 34 TILEPROP VBRK_X34Y59 DEVICE_ID 0 TILEPROP VBRK_X34Y59 FIRST_SITE_ID 9726 TILEPROP VBRK_X34Y59 GRID_POINT_X 34 TILEPROP VBRK_X34Y59 GRID_POINT_Y 97 TILEPROP VBRK_X34Y59 INDEX 11189 TILEPROP VBRK_X34Y59 INT_TILE_X 11 TILEPROP VBRK_X34Y59 INT_TILE_Y 93 TILEPROP VBRK_X34Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y59 IS_DCM_TILE 0 TILEPROP VBRK_X34Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y59 NAME VBRK_X34Y59 TILEPROP VBRK_X34Y59 NUM_ARCS 0 TILEPROP VBRK_X34Y59 NUM_SITES 0 TILEPROP VBRK_X34Y59 ROW 97 TILEPROP VBRK_X34Y59 SLR_REGION_ID 0 TILEPROP VBRK_X34Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y59 TILE_TYPE VBRK TILEPROP VBRK_X34Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y59 TILE_X -41680 TILEPROP VBRK_X34Y59 TILE_Y -59200 TILEPROP VBRK_X34Y59 TYPE VBRK TILEPROP VBRK_X34Y60 CLASS tile TILEPROP VBRK_X34Y60 COLUMN 34 TILEPROP VBRK_X34Y60 DEVICE_ID 0 TILEPROP VBRK_X34Y60 FIRST_SITE_ID 9624 TILEPROP VBRK_X34Y60 GRID_POINT_X 34 TILEPROP VBRK_X34Y60 GRID_POINT_Y 96 TILEPROP VBRK_X34Y60 INDEX 11074 TILEPROP VBRK_X34Y60 INT_TILE_X 11 TILEPROP VBRK_X34Y60 INT_TILE_Y 92 TILEPROP VBRK_X34Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y60 IS_DCM_TILE 0 TILEPROP VBRK_X34Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y60 NAME VBRK_X34Y60 TILEPROP VBRK_X34Y60 NUM_ARCS 0 TILEPROP VBRK_X34Y60 NUM_SITES 0 TILEPROP VBRK_X34Y60 ROW 96 TILEPROP VBRK_X34Y60 SLR_REGION_ID 0 TILEPROP VBRK_X34Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y60 TILE_TYPE VBRK TILEPROP VBRK_X34Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y60 TILE_X -41680 TILEPROP VBRK_X34Y60 TILE_Y -56000 TILEPROP VBRK_X34Y60 TYPE VBRK TILEPROP VBRK_X34Y61 CLASS tile TILEPROP VBRK_X34Y61 COLUMN 34 TILEPROP VBRK_X34Y61 DEVICE_ID 0 TILEPROP VBRK_X34Y61 FIRST_SITE_ID 9521 TILEPROP VBRK_X34Y61 GRID_POINT_X 34 TILEPROP VBRK_X34Y61 GRID_POINT_Y 95 TILEPROP VBRK_X34Y61 INDEX 10959 TILEPROP VBRK_X34Y61 INT_TILE_X 11 TILEPROP VBRK_X34Y61 INT_TILE_Y 91 TILEPROP VBRK_X34Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y61 IS_DCM_TILE 0 TILEPROP VBRK_X34Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y61 NAME VBRK_X34Y61 TILEPROP VBRK_X34Y61 NUM_ARCS 0 TILEPROP VBRK_X34Y61 NUM_SITES 0 TILEPROP VBRK_X34Y61 ROW 95 TILEPROP VBRK_X34Y61 SLR_REGION_ID 0 TILEPROP VBRK_X34Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y61 TILE_TYPE VBRK TILEPROP VBRK_X34Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y61 TILE_X -41680 TILEPROP VBRK_X34Y61 TILE_Y -52800 TILEPROP VBRK_X34Y61 TYPE VBRK TILEPROP VBRK_X34Y62 CLASS tile TILEPROP VBRK_X34Y62 COLUMN 34 TILEPROP VBRK_X34Y62 DEVICE_ID 0 TILEPROP VBRK_X34Y62 FIRST_SITE_ID 9420 TILEPROP VBRK_X34Y62 GRID_POINT_X 34 TILEPROP VBRK_X34Y62 GRID_POINT_Y 94 TILEPROP VBRK_X34Y62 INDEX 10844 TILEPROP VBRK_X34Y62 INT_TILE_X 11 TILEPROP VBRK_X34Y62 INT_TILE_Y 90 TILEPROP VBRK_X34Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y62 IS_DCM_TILE 0 TILEPROP VBRK_X34Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y62 NAME VBRK_X34Y62 TILEPROP VBRK_X34Y62 NUM_ARCS 0 TILEPROP VBRK_X34Y62 NUM_SITES 0 TILEPROP VBRK_X34Y62 ROW 94 TILEPROP VBRK_X34Y62 SLR_REGION_ID 0 TILEPROP VBRK_X34Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y62 TILE_TYPE VBRK TILEPROP VBRK_X34Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y62 TILE_X -41680 TILEPROP VBRK_X34Y62 TILE_Y -49600 TILEPROP VBRK_X34Y62 TYPE VBRK TILEPROP VBRK_X34Y63 CLASS tile TILEPROP VBRK_X34Y63 COLUMN 34 TILEPROP VBRK_X34Y63 DEVICE_ID 0 TILEPROP VBRK_X34Y63 FIRST_SITE_ID 9311 TILEPROP VBRK_X34Y63 GRID_POINT_X 34 TILEPROP VBRK_X34Y63 GRID_POINT_Y 93 TILEPROP VBRK_X34Y63 INDEX 10729 TILEPROP VBRK_X34Y63 INT_TILE_X 11 TILEPROP VBRK_X34Y63 INT_TILE_Y 89 TILEPROP VBRK_X34Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y63 IS_DCM_TILE 0 TILEPROP VBRK_X34Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y63 NAME VBRK_X34Y63 TILEPROP VBRK_X34Y63 NUM_ARCS 0 TILEPROP VBRK_X34Y63 NUM_SITES 0 TILEPROP VBRK_X34Y63 ROW 93 TILEPROP VBRK_X34Y63 SLR_REGION_ID 0 TILEPROP VBRK_X34Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y63 TILE_TYPE VBRK TILEPROP VBRK_X34Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y63 TILE_X -41680 TILEPROP VBRK_X34Y63 TILE_Y -46400 TILEPROP VBRK_X34Y63 TYPE VBRK TILEPROP VBRK_X34Y64 CLASS tile TILEPROP VBRK_X34Y64 COLUMN 34 TILEPROP VBRK_X34Y64 DEVICE_ID 0 TILEPROP VBRK_X34Y64 FIRST_SITE_ID 9205 TILEPROP VBRK_X34Y64 GRID_POINT_X 34 TILEPROP VBRK_X34Y64 GRID_POINT_Y 92 TILEPROP VBRK_X34Y64 INDEX 10614 TILEPROP VBRK_X34Y64 INT_TILE_X 11 TILEPROP VBRK_X34Y64 INT_TILE_Y 88 TILEPROP VBRK_X34Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y64 IS_DCM_TILE 0 TILEPROP VBRK_X34Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y64 NAME VBRK_X34Y64 TILEPROP VBRK_X34Y64 NUM_ARCS 0 TILEPROP VBRK_X34Y64 NUM_SITES 0 TILEPROP VBRK_X34Y64 ROW 92 TILEPROP VBRK_X34Y64 SLR_REGION_ID 0 TILEPROP VBRK_X34Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y64 TILE_TYPE VBRK TILEPROP VBRK_X34Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y64 TILE_X -41680 TILEPROP VBRK_X34Y64 TILE_Y -43200 TILEPROP VBRK_X34Y64 TYPE VBRK TILEPROP VBRK_X34Y65 CLASS tile TILEPROP VBRK_X34Y65 COLUMN 34 TILEPROP VBRK_X34Y65 DEVICE_ID 0 TILEPROP VBRK_X34Y65 FIRST_SITE_ID 9073 TILEPROP VBRK_X34Y65 GRID_POINT_X 34 TILEPROP VBRK_X34Y65 GRID_POINT_Y 91 TILEPROP VBRK_X34Y65 INDEX 10499 TILEPROP VBRK_X34Y65 INT_TILE_X 11 TILEPROP VBRK_X34Y65 INT_TILE_Y 87 TILEPROP VBRK_X34Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y65 IS_DCM_TILE 0 TILEPROP VBRK_X34Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y65 NAME VBRK_X34Y65 TILEPROP VBRK_X34Y65 NUM_ARCS 0 TILEPROP VBRK_X34Y65 NUM_SITES 0 TILEPROP VBRK_X34Y65 ROW 91 TILEPROP VBRK_X34Y65 SLR_REGION_ID 0 TILEPROP VBRK_X34Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y65 TILE_TYPE VBRK TILEPROP VBRK_X34Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y65 TILE_X -41680 TILEPROP VBRK_X34Y65 TILE_Y -40000 TILEPROP VBRK_X34Y65 TYPE VBRK TILEPROP VBRK_X34Y66 CLASS tile TILEPROP VBRK_X34Y66 COLUMN 34 TILEPROP VBRK_X34Y66 DEVICE_ID 0 TILEPROP VBRK_X34Y66 FIRST_SITE_ID 8973 TILEPROP VBRK_X34Y66 GRID_POINT_X 34 TILEPROP VBRK_X34Y66 GRID_POINT_Y 90 TILEPROP VBRK_X34Y66 INDEX 10384 TILEPROP VBRK_X34Y66 INT_TILE_X 11 TILEPROP VBRK_X34Y66 INT_TILE_Y 86 TILEPROP VBRK_X34Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y66 IS_DCM_TILE 0 TILEPROP VBRK_X34Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y66 NAME VBRK_X34Y66 TILEPROP VBRK_X34Y66 NUM_ARCS 0 TILEPROP VBRK_X34Y66 NUM_SITES 0 TILEPROP VBRK_X34Y66 ROW 90 TILEPROP VBRK_X34Y66 SLR_REGION_ID 0 TILEPROP VBRK_X34Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y66 TILE_TYPE VBRK TILEPROP VBRK_X34Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y66 TILE_X -41680 TILEPROP VBRK_X34Y66 TILE_Y -36800 TILEPROP VBRK_X34Y66 TYPE VBRK TILEPROP VBRK_X34Y67 CLASS tile TILEPROP VBRK_X34Y67 COLUMN 34 TILEPROP VBRK_X34Y67 DEVICE_ID 0 TILEPROP VBRK_X34Y67 FIRST_SITE_ID 8873 TILEPROP VBRK_X34Y67 GRID_POINT_X 34 TILEPROP VBRK_X34Y67 GRID_POINT_Y 89 TILEPROP VBRK_X34Y67 INDEX 10269 TILEPROP VBRK_X34Y67 INT_TILE_X 11 TILEPROP VBRK_X34Y67 INT_TILE_Y 85 TILEPROP VBRK_X34Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y67 IS_DCM_TILE 0 TILEPROP VBRK_X34Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y67 NAME VBRK_X34Y67 TILEPROP VBRK_X34Y67 NUM_ARCS 0 TILEPROP VBRK_X34Y67 NUM_SITES 0 TILEPROP VBRK_X34Y67 ROW 89 TILEPROP VBRK_X34Y67 SLR_REGION_ID 0 TILEPROP VBRK_X34Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y67 TILE_TYPE VBRK TILEPROP VBRK_X34Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y67 TILE_X -41680 TILEPROP VBRK_X34Y67 TILE_Y -33600 TILEPROP VBRK_X34Y67 TYPE VBRK TILEPROP VBRK_X34Y68 CLASS tile TILEPROP VBRK_X34Y68 COLUMN 34 TILEPROP VBRK_X34Y68 DEVICE_ID 0 TILEPROP VBRK_X34Y68 FIRST_SITE_ID 8764 TILEPROP VBRK_X34Y68 GRID_POINT_X 34 TILEPROP VBRK_X34Y68 GRID_POINT_Y 88 TILEPROP VBRK_X34Y68 INDEX 10154 TILEPROP VBRK_X34Y68 INT_TILE_X 11 TILEPROP VBRK_X34Y68 INT_TILE_Y 84 TILEPROP VBRK_X34Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y68 IS_DCM_TILE 0 TILEPROP VBRK_X34Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y68 NAME VBRK_X34Y68 TILEPROP VBRK_X34Y68 NUM_ARCS 0 TILEPROP VBRK_X34Y68 NUM_SITES 0 TILEPROP VBRK_X34Y68 ROW 88 TILEPROP VBRK_X34Y68 SLR_REGION_ID 0 TILEPROP VBRK_X34Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y68 TILE_TYPE VBRK TILEPROP VBRK_X34Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y68 TILE_X -41680 TILEPROP VBRK_X34Y68 TILE_Y -30400 TILEPROP VBRK_X34Y68 TYPE VBRK TILEPROP VBRK_X34Y69 CLASS tile TILEPROP VBRK_X34Y69 COLUMN 34 TILEPROP VBRK_X34Y69 DEVICE_ID 0 TILEPROP VBRK_X34Y69 FIRST_SITE_ID 8658 TILEPROP VBRK_X34Y69 GRID_POINT_X 34 TILEPROP VBRK_X34Y69 GRID_POINT_Y 87 TILEPROP VBRK_X34Y69 INDEX 10039 TILEPROP VBRK_X34Y69 INT_TILE_X 11 TILEPROP VBRK_X34Y69 INT_TILE_Y 83 TILEPROP VBRK_X34Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y69 IS_DCM_TILE 0 TILEPROP VBRK_X34Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y69 NAME VBRK_X34Y69 TILEPROP VBRK_X34Y69 NUM_ARCS 0 TILEPROP VBRK_X34Y69 NUM_SITES 0 TILEPROP VBRK_X34Y69 ROW 87 TILEPROP VBRK_X34Y69 SLR_REGION_ID 0 TILEPROP VBRK_X34Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y69 TILE_TYPE VBRK TILEPROP VBRK_X34Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y69 TILE_X -41680 TILEPROP VBRK_X34Y69 TILE_Y -27200 TILEPROP VBRK_X34Y69 TYPE VBRK TILEPROP VBRK_X34Y70 CLASS tile TILEPROP VBRK_X34Y70 COLUMN 34 TILEPROP VBRK_X34Y70 DEVICE_ID 0 TILEPROP VBRK_X34Y70 FIRST_SITE_ID 8554 TILEPROP VBRK_X34Y70 GRID_POINT_X 34 TILEPROP VBRK_X34Y70 GRID_POINT_Y 86 TILEPROP VBRK_X34Y70 INDEX 9924 TILEPROP VBRK_X34Y70 INT_TILE_X 11 TILEPROP VBRK_X34Y70 INT_TILE_Y 82 TILEPROP VBRK_X34Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y70 IS_DCM_TILE 0 TILEPROP VBRK_X34Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y70 NAME VBRK_X34Y70 TILEPROP VBRK_X34Y70 NUM_ARCS 0 TILEPROP VBRK_X34Y70 NUM_SITES 0 TILEPROP VBRK_X34Y70 ROW 86 TILEPROP VBRK_X34Y70 SLR_REGION_ID 0 TILEPROP VBRK_X34Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y70 TILE_TYPE VBRK TILEPROP VBRK_X34Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y70 TILE_X -41680 TILEPROP VBRK_X34Y70 TILE_Y -24000 TILEPROP VBRK_X34Y70 TYPE VBRK TILEPROP VBRK_X34Y71 CLASS tile TILEPROP VBRK_X34Y71 COLUMN 34 TILEPROP VBRK_X34Y71 DEVICE_ID 0 TILEPROP VBRK_X34Y71 FIRST_SITE_ID 8450 TILEPROP VBRK_X34Y71 GRID_POINT_X 34 TILEPROP VBRK_X34Y71 GRID_POINT_Y 85 TILEPROP VBRK_X34Y71 INDEX 9809 TILEPROP VBRK_X34Y71 INT_TILE_X 11 TILEPROP VBRK_X34Y71 INT_TILE_Y 81 TILEPROP VBRK_X34Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y71 IS_DCM_TILE 0 TILEPROP VBRK_X34Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y71 NAME VBRK_X34Y71 TILEPROP VBRK_X34Y71 NUM_ARCS 0 TILEPROP VBRK_X34Y71 NUM_SITES 0 TILEPROP VBRK_X34Y71 ROW 85 TILEPROP VBRK_X34Y71 SLR_REGION_ID 0 TILEPROP VBRK_X34Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y71 TILE_TYPE VBRK TILEPROP VBRK_X34Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y71 TILE_X -41680 TILEPROP VBRK_X34Y71 TILE_Y -20800 TILEPROP VBRK_X34Y71 TYPE VBRK TILEPROP VBRK_X34Y72 CLASS tile TILEPROP VBRK_X34Y72 COLUMN 34 TILEPROP VBRK_X34Y72 DEVICE_ID 0 TILEPROP VBRK_X34Y72 FIRST_SITE_ID 8348 TILEPROP VBRK_X34Y72 GRID_POINT_X 34 TILEPROP VBRK_X34Y72 GRID_POINT_Y 84 TILEPROP VBRK_X34Y72 INDEX 9694 TILEPROP VBRK_X34Y72 INT_TILE_X 11 TILEPROP VBRK_X34Y72 INT_TILE_Y 80 TILEPROP VBRK_X34Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y72 IS_DCM_TILE 0 TILEPROP VBRK_X34Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y72 NAME VBRK_X34Y72 TILEPROP VBRK_X34Y72 NUM_ARCS 0 TILEPROP VBRK_X34Y72 NUM_SITES 0 TILEPROP VBRK_X34Y72 ROW 84 TILEPROP VBRK_X34Y72 SLR_REGION_ID 0 TILEPROP VBRK_X34Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y72 TILE_TYPE VBRK TILEPROP VBRK_X34Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y72 TILE_X -41680 TILEPROP VBRK_X34Y72 TILE_Y -17600 TILEPROP VBRK_X34Y72 TYPE VBRK TILEPROP VBRK_X34Y73 CLASS tile TILEPROP VBRK_X34Y73 COLUMN 34 TILEPROP VBRK_X34Y73 DEVICE_ID 0 TILEPROP VBRK_X34Y73 FIRST_SITE_ID 8237 TILEPROP VBRK_X34Y73 GRID_POINT_X 34 TILEPROP VBRK_X34Y73 GRID_POINT_Y 83 TILEPROP VBRK_X34Y73 INDEX 9579 TILEPROP VBRK_X34Y73 INT_TILE_X 11 TILEPROP VBRK_X34Y73 INT_TILE_Y 79 TILEPROP VBRK_X34Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y73 IS_DCM_TILE 0 TILEPROP VBRK_X34Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y73 NAME VBRK_X34Y73 TILEPROP VBRK_X34Y73 NUM_ARCS 0 TILEPROP VBRK_X34Y73 NUM_SITES 0 TILEPROP VBRK_X34Y73 ROW 83 TILEPROP VBRK_X34Y73 SLR_REGION_ID 0 TILEPROP VBRK_X34Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y73 TILE_TYPE VBRK TILEPROP VBRK_X34Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y73 TILE_X -41680 TILEPROP VBRK_X34Y73 TILE_Y -14400 TILEPROP VBRK_X34Y73 TYPE VBRK TILEPROP VBRK_X34Y74 CLASS tile TILEPROP VBRK_X34Y74 COLUMN 34 TILEPROP VBRK_X34Y74 DEVICE_ID 0 TILEPROP VBRK_X34Y74 FIRST_SITE_ID 8131 TILEPROP VBRK_X34Y74 GRID_POINT_X 34 TILEPROP VBRK_X34Y74 GRID_POINT_Y 82 TILEPROP VBRK_X34Y74 INDEX 9464 TILEPROP VBRK_X34Y74 INT_TILE_X 11 TILEPROP VBRK_X34Y74 INT_TILE_Y 78 TILEPROP VBRK_X34Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y74 IS_DCM_TILE 0 TILEPROP VBRK_X34Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y74 NAME VBRK_X34Y74 TILEPROP VBRK_X34Y74 NUM_ARCS 0 TILEPROP VBRK_X34Y74 NUM_SITES 0 TILEPROP VBRK_X34Y74 ROW 82 TILEPROP VBRK_X34Y74 SLR_REGION_ID 0 TILEPROP VBRK_X34Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y74 TILE_TYPE VBRK TILEPROP VBRK_X34Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y74 TILE_X -41680 TILEPROP VBRK_X34Y74 TILE_Y -11200 TILEPROP VBRK_X34Y74 TYPE VBRK TILEPROP VBRK_X34Y75 CLASS tile TILEPROP VBRK_X34Y75 COLUMN 34 TILEPROP VBRK_X34Y75 DEVICE_ID 0 TILEPROP VBRK_X34Y75 FIRST_SITE_ID 8031 TILEPROP VBRK_X34Y75 GRID_POINT_X 34 TILEPROP VBRK_X34Y75 GRID_POINT_Y 81 TILEPROP VBRK_X34Y75 INDEX 9349 TILEPROP VBRK_X34Y75 INT_TILE_X 11 TILEPROP VBRK_X34Y75 INT_TILE_Y 77 TILEPROP VBRK_X34Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y75 IS_DCM_TILE 0 TILEPROP VBRK_X34Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y75 NAME VBRK_X34Y75 TILEPROP VBRK_X34Y75 NUM_ARCS 0 TILEPROP VBRK_X34Y75 NUM_SITES 0 TILEPROP VBRK_X34Y75 ROW 81 TILEPROP VBRK_X34Y75 SLR_REGION_ID 0 TILEPROP VBRK_X34Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y75 TILE_TYPE VBRK TILEPROP VBRK_X34Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y75 TILE_X -41680 TILEPROP VBRK_X34Y75 TILE_Y -8000 TILEPROP VBRK_X34Y75 TYPE VBRK TILEPROP VBRK_X34Y76 CLASS tile TILEPROP VBRK_X34Y76 COLUMN 34 TILEPROP VBRK_X34Y76 DEVICE_ID 0 TILEPROP VBRK_X34Y76 FIRST_SITE_ID 7931 TILEPROP VBRK_X34Y76 GRID_POINT_X 34 TILEPROP VBRK_X34Y76 GRID_POINT_Y 80 TILEPROP VBRK_X34Y76 INDEX 9234 TILEPROP VBRK_X34Y76 INT_TILE_X 11 TILEPROP VBRK_X34Y76 INT_TILE_Y 76 TILEPROP VBRK_X34Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y76 IS_DCM_TILE 0 TILEPROP VBRK_X34Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y76 NAME VBRK_X34Y76 TILEPROP VBRK_X34Y76 NUM_ARCS 0 TILEPROP VBRK_X34Y76 NUM_SITES 0 TILEPROP VBRK_X34Y76 ROW 80 TILEPROP VBRK_X34Y76 SLR_REGION_ID 0 TILEPROP VBRK_X34Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y76 TILE_TYPE VBRK TILEPROP VBRK_X34Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y76 TILE_X -41680 TILEPROP VBRK_X34Y76 TILE_Y -4800 TILEPROP VBRK_X34Y76 TYPE VBRK TILEPROP VBRK_X34Y77 CLASS tile TILEPROP VBRK_X34Y77 COLUMN 34 TILEPROP VBRK_X34Y77 DEVICE_ID 0 TILEPROP VBRK_X34Y77 FIRST_SITE_ID 7831 TILEPROP VBRK_X34Y77 GRID_POINT_X 34 TILEPROP VBRK_X34Y77 GRID_POINT_Y 79 TILEPROP VBRK_X34Y77 INDEX 9119 TILEPROP VBRK_X34Y77 INT_TILE_X 11 TILEPROP VBRK_X34Y77 INT_TILE_Y 75 TILEPROP VBRK_X34Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y77 IS_DCM_TILE 0 TILEPROP VBRK_X34Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y77 NAME VBRK_X34Y77 TILEPROP VBRK_X34Y77 NUM_ARCS 0 TILEPROP VBRK_X34Y77 NUM_SITES 0 TILEPROP VBRK_X34Y77 ROW 79 TILEPROP VBRK_X34Y77 SLR_REGION_ID 0 TILEPROP VBRK_X34Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y77 TILE_TYPE VBRK TILEPROP VBRK_X34Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y77 TILE_X -41680 TILEPROP VBRK_X34Y77 TILE_Y -1600 TILEPROP VBRK_X34Y77 TYPE VBRK TILEPROP VBRK_X34Y79 CLASS tile TILEPROP VBRK_X34Y79 COLUMN 34 TILEPROP VBRK_X34Y79 DEVICE_ID 0 TILEPROP VBRK_X34Y79 FIRST_SITE_ID 7636 TILEPROP VBRK_X34Y79 GRID_POINT_X 34 TILEPROP VBRK_X34Y79 GRID_POINT_Y 77 TILEPROP VBRK_X34Y79 INDEX 8889 TILEPROP VBRK_X34Y79 INT_TILE_X 11 TILEPROP VBRK_X34Y79 INT_TILE_Y 74 TILEPROP VBRK_X34Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y79 IS_DCM_TILE 0 TILEPROP VBRK_X34Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y79 NAME VBRK_X34Y79 TILEPROP VBRK_X34Y79 NUM_ARCS 0 TILEPROP VBRK_X34Y79 NUM_SITES 0 TILEPROP VBRK_X34Y79 ROW 77 TILEPROP VBRK_X34Y79 SLR_REGION_ID 0 TILEPROP VBRK_X34Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y79 TILE_TYPE VBRK TILEPROP VBRK_X34Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y79 TILE_X -41680 TILEPROP VBRK_X34Y79 TILE_Y 2624 TILEPROP VBRK_X34Y79 TYPE VBRK TILEPROP VBRK_X34Y80 CLASS tile TILEPROP VBRK_X34Y80 COLUMN 34 TILEPROP VBRK_X34Y80 DEVICE_ID 0 TILEPROP VBRK_X34Y80 FIRST_SITE_ID 7530 TILEPROP VBRK_X34Y80 GRID_POINT_X 34 TILEPROP VBRK_X34Y80 GRID_POINT_Y 76 TILEPROP VBRK_X34Y80 INDEX 8774 TILEPROP VBRK_X34Y80 INT_TILE_X 11 TILEPROP VBRK_X34Y80 INT_TILE_Y 73 TILEPROP VBRK_X34Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y80 IS_DCM_TILE 0 TILEPROP VBRK_X34Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y80 NAME VBRK_X34Y80 TILEPROP VBRK_X34Y80 NUM_ARCS 0 TILEPROP VBRK_X34Y80 NUM_SITES 0 TILEPROP VBRK_X34Y80 ROW 76 TILEPROP VBRK_X34Y80 SLR_REGION_ID 0 TILEPROP VBRK_X34Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y80 TILE_TYPE VBRK TILEPROP VBRK_X34Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y80 TILE_X -41680 TILEPROP VBRK_X34Y80 TILE_Y 5824 TILEPROP VBRK_X34Y80 TYPE VBRK TILEPROP VBRK_X34Y81 CLASS tile TILEPROP VBRK_X34Y81 COLUMN 34 TILEPROP VBRK_X34Y81 DEVICE_ID 0 TILEPROP VBRK_X34Y81 FIRST_SITE_ID 7430 TILEPROP VBRK_X34Y81 GRID_POINT_X 34 TILEPROP VBRK_X34Y81 GRID_POINT_Y 75 TILEPROP VBRK_X34Y81 INDEX 8659 TILEPROP VBRK_X34Y81 INT_TILE_X 11 TILEPROP VBRK_X34Y81 INT_TILE_Y 72 TILEPROP VBRK_X34Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y81 IS_DCM_TILE 0 TILEPROP VBRK_X34Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y81 NAME VBRK_X34Y81 TILEPROP VBRK_X34Y81 NUM_ARCS 0 TILEPROP VBRK_X34Y81 NUM_SITES 0 TILEPROP VBRK_X34Y81 ROW 75 TILEPROP VBRK_X34Y81 SLR_REGION_ID 0 TILEPROP VBRK_X34Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y81 TILE_TYPE VBRK TILEPROP VBRK_X34Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y81 TILE_X -41680 TILEPROP VBRK_X34Y81 TILE_Y 9024 TILEPROP VBRK_X34Y81 TYPE VBRK TILEPROP VBRK_X34Y82 CLASS tile TILEPROP VBRK_X34Y82 COLUMN 34 TILEPROP VBRK_X34Y82 DEVICE_ID 0 TILEPROP VBRK_X34Y82 FIRST_SITE_ID 7330 TILEPROP VBRK_X34Y82 GRID_POINT_X 34 TILEPROP VBRK_X34Y82 GRID_POINT_Y 74 TILEPROP VBRK_X34Y82 INDEX 8544 TILEPROP VBRK_X34Y82 INT_TILE_X 11 TILEPROP VBRK_X34Y82 INT_TILE_Y 71 TILEPROP VBRK_X34Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y82 IS_DCM_TILE 0 TILEPROP VBRK_X34Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y82 NAME VBRK_X34Y82 TILEPROP VBRK_X34Y82 NUM_ARCS 0 TILEPROP VBRK_X34Y82 NUM_SITES 0 TILEPROP VBRK_X34Y82 ROW 74 TILEPROP VBRK_X34Y82 SLR_REGION_ID 0 TILEPROP VBRK_X34Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y82 TILE_TYPE VBRK TILEPROP VBRK_X34Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y82 TILE_X -41680 TILEPROP VBRK_X34Y82 TILE_Y 12224 TILEPROP VBRK_X34Y82 TYPE VBRK TILEPROP VBRK_X34Y83 CLASS tile TILEPROP VBRK_X34Y83 COLUMN 34 TILEPROP VBRK_X34Y83 DEVICE_ID 0 TILEPROP VBRK_X34Y83 FIRST_SITE_ID 7224 TILEPROP VBRK_X34Y83 GRID_POINT_X 34 TILEPROP VBRK_X34Y83 GRID_POINT_Y 73 TILEPROP VBRK_X34Y83 INDEX 8429 TILEPROP VBRK_X34Y83 INT_TILE_X 11 TILEPROP VBRK_X34Y83 INT_TILE_Y 70 TILEPROP VBRK_X34Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y83 IS_DCM_TILE 0 TILEPROP VBRK_X34Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y83 NAME VBRK_X34Y83 TILEPROP VBRK_X34Y83 NUM_ARCS 0 TILEPROP VBRK_X34Y83 NUM_SITES 0 TILEPROP VBRK_X34Y83 ROW 73 TILEPROP VBRK_X34Y83 SLR_REGION_ID 0 TILEPROP VBRK_X34Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y83 TILE_TYPE VBRK TILEPROP VBRK_X34Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y83 TILE_X -41680 TILEPROP VBRK_X34Y83 TILE_Y 15424 TILEPROP VBRK_X34Y83 TYPE VBRK TILEPROP VBRK_X34Y84 CLASS tile TILEPROP VBRK_X34Y84 COLUMN 34 TILEPROP VBRK_X34Y84 DEVICE_ID 0 TILEPROP VBRK_X34Y84 FIRST_SITE_ID 7109 TILEPROP VBRK_X34Y84 GRID_POINT_X 34 TILEPROP VBRK_X34Y84 GRID_POINT_Y 72 TILEPROP VBRK_X34Y84 INDEX 8314 TILEPROP VBRK_X34Y84 INT_TILE_X 11 TILEPROP VBRK_X34Y84 INT_TILE_Y 69 TILEPROP VBRK_X34Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y84 IS_DCM_TILE 0 TILEPROP VBRK_X34Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y84 NAME VBRK_X34Y84 TILEPROP VBRK_X34Y84 NUM_ARCS 0 TILEPROP VBRK_X34Y84 NUM_SITES 0 TILEPROP VBRK_X34Y84 ROW 72 TILEPROP VBRK_X34Y84 SLR_REGION_ID 0 TILEPROP VBRK_X34Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y84 TILE_TYPE VBRK TILEPROP VBRK_X34Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y84 TILE_X -41680 TILEPROP VBRK_X34Y84 TILE_Y 18624 TILEPROP VBRK_X34Y84 TYPE VBRK TILEPROP VBRK_X34Y85 CLASS tile TILEPROP VBRK_X34Y85 COLUMN 34 TILEPROP VBRK_X34Y85 DEVICE_ID 0 TILEPROP VBRK_X34Y85 FIRST_SITE_ID 7001 TILEPROP VBRK_X34Y85 GRID_POINT_X 34 TILEPROP VBRK_X34Y85 GRID_POINT_Y 71 TILEPROP VBRK_X34Y85 INDEX 8199 TILEPROP VBRK_X34Y85 INT_TILE_X 11 TILEPROP VBRK_X34Y85 INT_TILE_Y 68 TILEPROP VBRK_X34Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y85 IS_DCM_TILE 0 TILEPROP VBRK_X34Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y85 NAME VBRK_X34Y85 TILEPROP VBRK_X34Y85 NUM_ARCS 0 TILEPROP VBRK_X34Y85 NUM_SITES 0 TILEPROP VBRK_X34Y85 ROW 71 TILEPROP VBRK_X34Y85 SLR_REGION_ID 0 TILEPROP VBRK_X34Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y85 TILE_TYPE VBRK TILEPROP VBRK_X34Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y85 TILE_X -41680 TILEPROP VBRK_X34Y85 TILE_Y 21824 TILEPROP VBRK_X34Y85 TYPE VBRK TILEPROP VBRK_X34Y86 CLASS tile TILEPROP VBRK_X34Y86 COLUMN 34 TILEPROP VBRK_X34Y86 DEVICE_ID 0 TILEPROP VBRK_X34Y86 FIRST_SITE_ID 6899 TILEPROP VBRK_X34Y86 GRID_POINT_X 34 TILEPROP VBRK_X34Y86 GRID_POINT_Y 70 TILEPROP VBRK_X34Y86 INDEX 8084 TILEPROP VBRK_X34Y86 INT_TILE_X 11 TILEPROP VBRK_X34Y86 INT_TILE_Y 67 TILEPROP VBRK_X34Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y86 IS_DCM_TILE 0 TILEPROP VBRK_X34Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y86 NAME VBRK_X34Y86 TILEPROP VBRK_X34Y86 NUM_ARCS 0 TILEPROP VBRK_X34Y86 NUM_SITES 0 TILEPROP VBRK_X34Y86 ROW 70 TILEPROP VBRK_X34Y86 SLR_REGION_ID 0 TILEPROP VBRK_X34Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y86 TILE_TYPE VBRK TILEPROP VBRK_X34Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y86 TILE_X -41680 TILEPROP VBRK_X34Y86 TILE_Y 25024 TILEPROP VBRK_X34Y86 TYPE VBRK TILEPROP VBRK_X34Y87 CLASS tile TILEPROP VBRK_X34Y87 COLUMN 34 TILEPROP VBRK_X34Y87 DEVICE_ID 0 TILEPROP VBRK_X34Y87 FIRST_SITE_ID 6799 TILEPROP VBRK_X34Y87 GRID_POINT_X 34 TILEPROP VBRK_X34Y87 GRID_POINT_Y 69 TILEPROP VBRK_X34Y87 INDEX 7969 TILEPROP VBRK_X34Y87 INT_TILE_X 11 TILEPROP VBRK_X34Y87 INT_TILE_Y 66 TILEPROP VBRK_X34Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y87 IS_DCM_TILE 0 TILEPROP VBRK_X34Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y87 NAME VBRK_X34Y87 TILEPROP VBRK_X34Y87 NUM_ARCS 0 TILEPROP VBRK_X34Y87 NUM_SITES 0 TILEPROP VBRK_X34Y87 ROW 69 TILEPROP VBRK_X34Y87 SLR_REGION_ID 0 TILEPROP VBRK_X34Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y87 TILE_TYPE VBRK TILEPROP VBRK_X34Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y87 TILE_X -41680 TILEPROP VBRK_X34Y87 TILE_Y 28224 TILEPROP VBRK_X34Y87 TYPE VBRK TILEPROP VBRK_X34Y88 CLASS tile TILEPROP VBRK_X34Y88 COLUMN 34 TILEPROP VBRK_X34Y88 DEVICE_ID 0 TILEPROP VBRK_X34Y88 FIRST_SITE_ID 6699 TILEPROP VBRK_X34Y88 GRID_POINT_X 34 TILEPROP VBRK_X34Y88 GRID_POINT_Y 68 TILEPROP VBRK_X34Y88 INDEX 7854 TILEPROP VBRK_X34Y88 INT_TILE_X 11 TILEPROP VBRK_X34Y88 INT_TILE_Y 65 TILEPROP VBRK_X34Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y88 IS_DCM_TILE 0 TILEPROP VBRK_X34Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y88 NAME VBRK_X34Y88 TILEPROP VBRK_X34Y88 NUM_ARCS 0 TILEPROP VBRK_X34Y88 NUM_SITES 0 TILEPROP VBRK_X34Y88 ROW 68 TILEPROP VBRK_X34Y88 SLR_REGION_ID 0 TILEPROP VBRK_X34Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y88 TILE_TYPE VBRK TILEPROP VBRK_X34Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y88 TILE_X -41680 TILEPROP VBRK_X34Y88 TILE_Y 31424 TILEPROP VBRK_X34Y88 TYPE VBRK TILEPROP VBRK_X34Y89 CLASS tile TILEPROP VBRK_X34Y89 COLUMN 34 TILEPROP VBRK_X34Y89 DEVICE_ID 0 TILEPROP VBRK_X34Y89 FIRST_SITE_ID 6590 TILEPROP VBRK_X34Y89 GRID_POINT_X 34 TILEPROP VBRK_X34Y89 GRID_POINT_Y 67 TILEPROP VBRK_X34Y89 INDEX 7739 TILEPROP VBRK_X34Y89 INT_TILE_X 11 TILEPROP VBRK_X34Y89 INT_TILE_Y 64 TILEPROP VBRK_X34Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y89 IS_DCM_TILE 0 TILEPROP VBRK_X34Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y89 NAME VBRK_X34Y89 TILEPROP VBRK_X34Y89 NUM_ARCS 0 TILEPROP VBRK_X34Y89 NUM_SITES 0 TILEPROP VBRK_X34Y89 ROW 67 TILEPROP VBRK_X34Y89 SLR_REGION_ID 0 TILEPROP VBRK_X34Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y89 TILE_TYPE VBRK TILEPROP VBRK_X34Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y89 TILE_X -41680 TILEPROP VBRK_X34Y89 TILE_Y 34624 TILEPROP VBRK_X34Y89 TYPE VBRK TILEPROP VBRK_X34Y90 CLASS tile TILEPROP VBRK_X34Y90 COLUMN 34 TILEPROP VBRK_X34Y90 DEVICE_ID 0 TILEPROP VBRK_X34Y90 FIRST_SITE_ID 6452 TILEPROP VBRK_X34Y90 GRID_POINT_X 34 TILEPROP VBRK_X34Y90 GRID_POINT_Y 66 TILEPROP VBRK_X34Y90 INDEX 7624 TILEPROP VBRK_X34Y90 INT_TILE_X 11 TILEPROP VBRK_X34Y90 INT_TILE_Y 63 TILEPROP VBRK_X34Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y90 IS_DCM_TILE 0 TILEPROP VBRK_X34Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y90 NAME VBRK_X34Y90 TILEPROP VBRK_X34Y90 NUM_ARCS 0 TILEPROP VBRK_X34Y90 NUM_SITES 0 TILEPROP VBRK_X34Y90 ROW 66 TILEPROP VBRK_X34Y90 SLR_REGION_ID 0 TILEPROP VBRK_X34Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y90 TILE_TYPE VBRK TILEPROP VBRK_X34Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y90 TILE_X -41680 TILEPROP VBRK_X34Y90 TILE_Y 37824 TILEPROP VBRK_X34Y90 TYPE VBRK TILEPROP VBRK_X34Y91 CLASS tile TILEPROP VBRK_X34Y91 COLUMN 34 TILEPROP VBRK_X34Y91 DEVICE_ID 0 TILEPROP VBRK_X34Y91 FIRST_SITE_ID 6352 TILEPROP VBRK_X34Y91 GRID_POINT_X 34 TILEPROP VBRK_X34Y91 GRID_POINT_Y 65 TILEPROP VBRK_X34Y91 INDEX 7509 TILEPROP VBRK_X34Y91 INT_TILE_X 11 TILEPROP VBRK_X34Y91 INT_TILE_Y 62 TILEPROP VBRK_X34Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y91 IS_DCM_TILE 0 TILEPROP VBRK_X34Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y91 NAME VBRK_X34Y91 TILEPROP VBRK_X34Y91 NUM_ARCS 0 TILEPROP VBRK_X34Y91 NUM_SITES 0 TILEPROP VBRK_X34Y91 ROW 65 TILEPROP VBRK_X34Y91 SLR_REGION_ID 0 TILEPROP VBRK_X34Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y91 TILE_TYPE VBRK TILEPROP VBRK_X34Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y91 TILE_X -41680 TILEPROP VBRK_X34Y91 TILE_Y 41024 TILEPROP VBRK_X34Y91 TYPE VBRK TILEPROP VBRK_X34Y92 CLASS tile TILEPROP VBRK_X34Y92 COLUMN 34 TILEPROP VBRK_X34Y92 DEVICE_ID 0 TILEPROP VBRK_X34Y92 FIRST_SITE_ID 6252 TILEPROP VBRK_X34Y92 GRID_POINT_X 34 TILEPROP VBRK_X34Y92 GRID_POINT_Y 64 TILEPROP VBRK_X34Y92 INDEX 7394 TILEPROP VBRK_X34Y92 INT_TILE_X 11 TILEPROP VBRK_X34Y92 INT_TILE_Y 61 TILEPROP VBRK_X34Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y92 IS_DCM_TILE 0 TILEPROP VBRK_X34Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y92 NAME VBRK_X34Y92 TILEPROP VBRK_X34Y92 NUM_ARCS 0 TILEPROP VBRK_X34Y92 NUM_SITES 0 TILEPROP VBRK_X34Y92 ROW 64 TILEPROP VBRK_X34Y92 SLR_REGION_ID 0 TILEPROP VBRK_X34Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y92 TILE_TYPE VBRK TILEPROP VBRK_X34Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y92 TILE_X -41680 TILEPROP VBRK_X34Y92 TILE_Y 44224 TILEPROP VBRK_X34Y92 TYPE VBRK TILEPROP VBRK_X34Y93 CLASS tile TILEPROP VBRK_X34Y93 COLUMN 34 TILEPROP VBRK_X34Y93 DEVICE_ID 0 TILEPROP VBRK_X34Y93 FIRST_SITE_ID 6152 TILEPROP VBRK_X34Y93 GRID_POINT_X 34 TILEPROP VBRK_X34Y93 GRID_POINT_Y 63 TILEPROP VBRK_X34Y93 INDEX 7279 TILEPROP VBRK_X34Y93 INT_TILE_X 11 TILEPROP VBRK_X34Y93 INT_TILE_Y 60 TILEPROP VBRK_X34Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y93 IS_DCM_TILE 0 TILEPROP VBRK_X34Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y93 NAME VBRK_X34Y93 TILEPROP VBRK_X34Y93 NUM_ARCS 0 TILEPROP VBRK_X34Y93 NUM_SITES 0 TILEPROP VBRK_X34Y93 ROW 63 TILEPROP VBRK_X34Y93 SLR_REGION_ID 0 TILEPROP VBRK_X34Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y93 TILE_TYPE VBRK TILEPROP VBRK_X34Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y93 TILE_X -41680 TILEPROP VBRK_X34Y93 TILE_Y 47424 TILEPROP VBRK_X34Y93 TYPE VBRK TILEPROP VBRK_X34Y94 CLASS tile TILEPROP VBRK_X34Y94 COLUMN 34 TILEPROP VBRK_X34Y94 DEVICE_ID 0 TILEPROP VBRK_X34Y94 FIRST_SITE_ID 6043 TILEPROP VBRK_X34Y94 GRID_POINT_X 34 TILEPROP VBRK_X34Y94 GRID_POINT_Y 62 TILEPROP VBRK_X34Y94 INDEX 7164 TILEPROP VBRK_X34Y94 INT_TILE_X 11 TILEPROP VBRK_X34Y94 INT_TILE_Y 59 TILEPROP VBRK_X34Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y94 IS_DCM_TILE 0 TILEPROP VBRK_X34Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y94 NAME VBRK_X34Y94 TILEPROP VBRK_X34Y94 NUM_ARCS 0 TILEPROP VBRK_X34Y94 NUM_SITES 0 TILEPROP VBRK_X34Y94 ROW 62 TILEPROP VBRK_X34Y94 SLR_REGION_ID 0 TILEPROP VBRK_X34Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y94 TILE_TYPE VBRK TILEPROP VBRK_X34Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y94 TILE_X -41680 TILEPROP VBRK_X34Y94 TILE_Y 50624 TILEPROP VBRK_X34Y94 TYPE VBRK TILEPROP VBRK_X34Y95 CLASS tile TILEPROP VBRK_X34Y95 COLUMN 34 TILEPROP VBRK_X34Y95 DEVICE_ID 0 TILEPROP VBRK_X34Y95 FIRST_SITE_ID 5937 TILEPROP VBRK_X34Y95 GRID_POINT_X 34 TILEPROP VBRK_X34Y95 GRID_POINT_Y 61 TILEPROP VBRK_X34Y95 INDEX 7049 TILEPROP VBRK_X34Y95 INT_TILE_X 11 TILEPROP VBRK_X34Y95 INT_TILE_Y 58 TILEPROP VBRK_X34Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y95 IS_DCM_TILE 0 TILEPROP VBRK_X34Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y95 NAME VBRK_X34Y95 TILEPROP VBRK_X34Y95 NUM_ARCS 0 TILEPROP VBRK_X34Y95 NUM_SITES 0 TILEPROP VBRK_X34Y95 ROW 61 TILEPROP VBRK_X34Y95 SLR_REGION_ID 0 TILEPROP VBRK_X34Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y95 TILE_TYPE VBRK TILEPROP VBRK_X34Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y95 TILE_X -41680 TILEPROP VBRK_X34Y95 TILE_Y 53824 TILEPROP VBRK_X34Y95 TYPE VBRK TILEPROP VBRK_X34Y96 CLASS tile TILEPROP VBRK_X34Y96 COLUMN 34 TILEPROP VBRK_X34Y96 DEVICE_ID 0 TILEPROP VBRK_X34Y96 FIRST_SITE_ID 5836 TILEPROP VBRK_X34Y96 GRID_POINT_X 34 TILEPROP VBRK_X34Y96 GRID_POINT_Y 60 TILEPROP VBRK_X34Y96 INDEX 6934 TILEPROP VBRK_X34Y96 INT_TILE_X 11 TILEPROP VBRK_X34Y96 INT_TILE_Y 57 TILEPROP VBRK_X34Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y96 IS_DCM_TILE 0 TILEPROP VBRK_X34Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y96 NAME VBRK_X34Y96 TILEPROP VBRK_X34Y96 NUM_ARCS 0 TILEPROP VBRK_X34Y96 NUM_SITES 0 TILEPROP VBRK_X34Y96 ROW 60 TILEPROP VBRK_X34Y96 SLR_REGION_ID 0 TILEPROP VBRK_X34Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y96 TILE_TYPE VBRK TILEPROP VBRK_X34Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y96 TILE_X -41680 TILEPROP VBRK_X34Y96 TILE_Y 57024 TILEPROP VBRK_X34Y96 TYPE VBRK TILEPROP VBRK_X34Y97 CLASS tile TILEPROP VBRK_X34Y97 COLUMN 34 TILEPROP VBRK_X34Y97 DEVICE_ID 0 TILEPROP VBRK_X34Y97 FIRST_SITE_ID 5733 TILEPROP VBRK_X34Y97 GRID_POINT_X 34 TILEPROP VBRK_X34Y97 GRID_POINT_Y 59 TILEPROP VBRK_X34Y97 INDEX 6819 TILEPROP VBRK_X34Y97 INT_TILE_X 11 TILEPROP VBRK_X34Y97 INT_TILE_Y 56 TILEPROP VBRK_X34Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y97 IS_DCM_TILE 0 TILEPROP VBRK_X34Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y97 NAME VBRK_X34Y97 TILEPROP VBRK_X34Y97 NUM_ARCS 0 TILEPROP VBRK_X34Y97 NUM_SITES 0 TILEPROP VBRK_X34Y97 ROW 59 TILEPROP VBRK_X34Y97 SLR_REGION_ID 0 TILEPROP VBRK_X34Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y97 TILE_TYPE VBRK TILEPROP VBRK_X34Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y97 TILE_X -41680 TILEPROP VBRK_X34Y97 TILE_Y 60224 TILEPROP VBRK_X34Y97 TYPE VBRK TILEPROP VBRK_X34Y98 CLASS tile TILEPROP VBRK_X34Y98 COLUMN 34 TILEPROP VBRK_X34Y98 DEVICE_ID 0 TILEPROP VBRK_X34Y98 FIRST_SITE_ID 5631 TILEPROP VBRK_X34Y98 GRID_POINT_X 34 TILEPROP VBRK_X34Y98 GRID_POINT_Y 58 TILEPROP VBRK_X34Y98 INDEX 6704 TILEPROP VBRK_X34Y98 INT_TILE_X 11 TILEPROP VBRK_X34Y98 INT_TILE_Y 55 TILEPROP VBRK_X34Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y98 IS_DCM_TILE 0 TILEPROP VBRK_X34Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y98 NAME VBRK_X34Y98 TILEPROP VBRK_X34Y98 NUM_ARCS 0 TILEPROP VBRK_X34Y98 NUM_SITES 0 TILEPROP VBRK_X34Y98 ROW 58 TILEPROP VBRK_X34Y98 SLR_REGION_ID 0 TILEPROP VBRK_X34Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y98 TILE_TYPE VBRK TILEPROP VBRK_X34Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y98 TILE_X -41680 TILEPROP VBRK_X34Y98 TILE_Y 63424 TILEPROP VBRK_X34Y98 TYPE VBRK TILEPROP VBRK_X34Y99 CLASS tile TILEPROP VBRK_X34Y99 COLUMN 34 TILEPROP VBRK_X34Y99 DEVICE_ID 0 TILEPROP VBRK_X34Y99 FIRST_SITE_ID 5522 TILEPROP VBRK_X34Y99 GRID_POINT_X 34 TILEPROP VBRK_X34Y99 GRID_POINT_Y 57 TILEPROP VBRK_X34Y99 INDEX 6589 TILEPROP VBRK_X34Y99 INT_TILE_X 11 TILEPROP VBRK_X34Y99 INT_TILE_Y 54 TILEPROP VBRK_X34Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y99 IS_DCM_TILE 0 TILEPROP VBRK_X34Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y99 NAME VBRK_X34Y99 TILEPROP VBRK_X34Y99 NUM_ARCS 0 TILEPROP VBRK_X34Y99 NUM_SITES 0 TILEPROP VBRK_X34Y99 ROW 57 TILEPROP VBRK_X34Y99 SLR_REGION_ID 0 TILEPROP VBRK_X34Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y99 TILE_TYPE VBRK TILEPROP VBRK_X34Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y99 TILE_X -41680 TILEPROP VBRK_X34Y99 TILE_Y 66624 TILEPROP VBRK_X34Y99 TYPE VBRK TILEPROP VBRK_X34Y100 CLASS tile TILEPROP VBRK_X34Y100 COLUMN 34 TILEPROP VBRK_X34Y100 DEVICE_ID 0 TILEPROP VBRK_X34Y100 FIRST_SITE_ID 5416 TILEPROP VBRK_X34Y100 GRID_POINT_X 34 TILEPROP VBRK_X34Y100 GRID_POINT_Y 56 TILEPROP VBRK_X34Y100 INDEX 6474 TILEPROP VBRK_X34Y100 INT_TILE_X 11 TILEPROP VBRK_X34Y100 INT_TILE_Y 53 TILEPROP VBRK_X34Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y100 IS_DCM_TILE 0 TILEPROP VBRK_X34Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y100 NAME VBRK_X34Y100 TILEPROP VBRK_X34Y100 NUM_ARCS 0 TILEPROP VBRK_X34Y100 NUM_SITES 0 TILEPROP VBRK_X34Y100 ROW 56 TILEPROP VBRK_X34Y100 SLR_REGION_ID 0 TILEPROP VBRK_X34Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y100 TILE_TYPE VBRK TILEPROP VBRK_X34Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y100 TILE_X -41680 TILEPROP VBRK_X34Y100 TILE_Y 69824 TILEPROP VBRK_X34Y100 TYPE VBRK TILEPROP VBRK_X34Y101 CLASS tile TILEPROP VBRK_X34Y101 COLUMN 34 TILEPROP VBRK_X34Y101 DEVICE_ID 0 TILEPROP VBRK_X34Y101 FIRST_SITE_ID 5316 TILEPROP VBRK_X34Y101 GRID_POINT_X 34 TILEPROP VBRK_X34Y101 GRID_POINT_Y 55 TILEPROP VBRK_X34Y101 INDEX 6359 TILEPROP VBRK_X34Y101 INT_TILE_X 11 TILEPROP VBRK_X34Y101 INT_TILE_Y 52 TILEPROP VBRK_X34Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y101 IS_DCM_TILE 0 TILEPROP VBRK_X34Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y101 NAME VBRK_X34Y101 TILEPROP VBRK_X34Y101 NUM_ARCS 0 TILEPROP VBRK_X34Y101 NUM_SITES 0 TILEPROP VBRK_X34Y101 ROW 55 TILEPROP VBRK_X34Y101 SLR_REGION_ID 0 TILEPROP VBRK_X34Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y101 TILE_TYPE VBRK TILEPROP VBRK_X34Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y101 TILE_X -41680 TILEPROP VBRK_X34Y101 TILE_Y 73024 TILEPROP VBRK_X34Y101 TYPE VBRK TILEPROP VBRK_X34Y102 CLASS tile TILEPROP VBRK_X34Y102 COLUMN 34 TILEPROP VBRK_X34Y102 DEVICE_ID 0 TILEPROP VBRK_X34Y102 FIRST_SITE_ID 5216 TILEPROP VBRK_X34Y102 GRID_POINT_X 34 TILEPROP VBRK_X34Y102 GRID_POINT_Y 54 TILEPROP VBRK_X34Y102 INDEX 6244 TILEPROP VBRK_X34Y102 INT_TILE_X 11 TILEPROP VBRK_X34Y102 INT_TILE_Y 51 TILEPROP VBRK_X34Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y102 IS_DCM_TILE 0 TILEPROP VBRK_X34Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y102 NAME VBRK_X34Y102 TILEPROP VBRK_X34Y102 NUM_ARCS 0 TILEPROP VBRK_X34Y102 NUM_SITES 0 TILEPROP VBRK_X34Y102 ROW 54 TILEPROP VBRK_X34Y102 SLR_REGION_ID 0 TILEPROP VBRK_X34Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y102 TILE_TYPE VBRK TILEPROP VBRK_X34Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y102 TILE_X -41680 TILEPROP VBRK_X34Y102 TILE_Y 76224 TILEPROP VBRK_X34Y102 TYPE VBRK TILEPROP VBRK_X34Y103 CLASS tile TILEPROP VBRK_X34Y103 COLUMN 34 TILEPROP VBRK_X34Y103 DEVICE_ID 0 TILEPROP VBRK_X34Y103 FIRST_SITE_ID 5120 TILEPROP VBRK_X34Y103 GRID_POINT_X 34 TILEPROP VBRK_X34Y103 GRID_POINT_Y 53 TILEPROP VBRK_X34Y103 INDEX 6129 TILEPROP VBRK_X34Y103 INT_TILE_X 11 TILEPROP VBRK_X34Y103 INT_TILE_Y 50 TILEPROP VBRK_X34Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y103 IS_DCM_TILE 0 TILEPROP VBRK_X34Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y103 NAME VBRK_X34Y103 TILEPROP VBRK_X34Y103 NUM_ARCS 0 TILEPROP VBRK_X34Y103 NUM_SITES 0 TILEPROP VBRK_X34Y103 ROW 53 TILEPROP VBRK_X34Y103 SLR_REGION_ID 0 TILEPROP VBRK_X34Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y103 TILE_TYPE VBRK TILEPROP VBRK_X34Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y103 TILE_X -41680 TILEPROP VBRK_X34Y103 TILE_Y 79424 TILEPROP VBRK_X34Y103 TYPE VBRK TILEPROP VBRK_X34Y105 CLASS tile TILEPROP VBRK_X34Y105 COLUMN 34 TILEPROP VBRK_X34Y105 DEVICE_ID 0 TILEPROP VBRK_X34Y105 FIRST_SITE_ID 5025 TILEPROP VBRK_X34Y105 GRID_POINT_X 34 TILEPROP VBRK_X34Y105 GRID_POINT_Y 51 TILEPROP VBRK_X34Y105 INDEX 5899 TILEPROP VBRK_X34Y105 INT_TILE_X 11 TILEPROP VBRK_X34Y105 INT_TILE_Y 49 TILEPROP VBRK_X34Y105 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y105 IS_DCM_TILE 0 TILEPROP VBRK_X34Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y105 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y105 NAME VBRK_X34Y105 TILEPROP VBRK_X34Y105 NUM_ARCS 0 TILEPROP VBRK_X34Y105 NUM_SITES 0 TILEPROP VBRK_X34Y105 ROW 51 TILEPROP VBRK_X34Y105 SLR_REGION_ID 0 TILEPROP VBRK_X34Y105 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y105 TILE_TYPE VBRK TILEPROP VBRK_X34Y105 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y105 TILE_X -41680 TILEPROP VBRK_X34Y105 TILE_Y 82872 TILEPROP VBRK_X34Y105 TYPE VBRK TILEPROP VBRK_X34Y106 CLASS tile TILEPROP VBRK_X34Y106 COLUMN 34 TILEPROP VBRK_X34Y106 DEVICE_ID 0 TILEPROP VBRK_X34Y106 FIRST_SITE_ID 4927 TILEPROP VBRK_X34Y106 GRID_POINT_X 34 TILEPROP VBRK_X34Y106 GRID_POINT_Y 50 TILEPROP VBRK_X34Y106 INDEX 5784 TILEPROP VBRK_X34Y106 INT_TILE_X 11 TILEPROP VBRK_X34Y106 INT_TILE_Y 48 TILEPROP VBRK_X34Y106 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y106 IS_DCM_TILE 0 TILEPROP VBRK_X34Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y106 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y106 NAME VBRK_X34Y106 TILEPROP VBRK_X34Y106 NUM_ARCS 0 TILEPROP VBRK_X34Y106 NUM_SITES 0 TILEPROP VBRK_X34Y106 ROW 50 TILEPROP VBRK_X34Y106 SLR_REGION_ID 0 TILEPROP VBRK_X34Y106 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y106 TILE_TYPE VBRK TILEPROP VBRK_X34Y106 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y106 TILE_X -41680 TILEPROP VBRK_X34Y106 TILE_Y 86072 TILEPROP VBRK_X34Y106 TYPE VBRK TILEPROP VBRK_X34Y107 CLASS tile TILEPROP VBRK_X34Y107 COLUMN 34 TILEPROP VBRK_X34Y107 DEVICE_ID 0 TILEPROP VBRK_X34Y107 FIRST_SITE_ID 4831 TILEPROP VBRK_X34Y107 GRID_POINT_X 34 TILEPROP VBRK_X34Y107 GRID_POINT_Y 49 TILEPROP VBRK_X34Y107 INDEX 5669 TILEPROP VBRK_X34Y107 INT_TILE_X 11 TILEPROP VBRK_X34Y107 INT_TILE_Y 47 TILEPROP VBRK_X34Y107 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y107 IS_DCM_TILE 0 TILEPROP VBRK_X34Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y107 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y107 NAME VBRK_X34Y107 TILEPROP VBRK_X34Y107 NUM_ARCS 0 TILEPROP VBRK_X34Y107 NUM_SITES 0 TILEPROP VBRK_X34Y107 ROW 49 TILEPROP VBRK_X34Y107 SLR_REGION_ID 0 TILEPROP VBRK_X34Y107 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y107 TILE_TYPE VBRK TILEPROP VBRK_X34Y107 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y107 TILE_X -41680 TILEPROP VBRK_X34Y107 TILE_Y 89272 TILEPROP VBRK_X34Y107 TYPE VBRK TILEPROP VBRK_X34Y108 CLASS tile TILEPROP VBRK_X34Y108 COLUMN 34 TILEPROP VBRK_X34Y108 DEVICE_ID 0 TILEPROP VBRK_X34Y108 FIRST_SITE_ID 4743 TILEPROP VBRK_X34Y108 GRID_POINT_X 34 TILEPROP VBRK_X34Y108 GRID_POINT_Y 48 TILEPROP VBRK_X34Y108 INDEX 5554 TILEPROP VBRK_X34Y108 INT_TILE_X 11 TILEPROP VBRK_X34Y108 INT_TILE_Y 46 TILEPROP VBRK_X34Y108 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y108 IS_DCM_TILE 0 TILEPROP VBRK_X34Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y108 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y108 NAME VBRK_X34Y108 TILEPROP VBRK_X34Y108 NUM_ARCS 0 TILEPROP VBRK_X34Y108 NUM_SITES 0 TILEPROP VBRK_X34Y108 ROW 48 TILEPROP VBRK_X34Y108 SLR_REGION_ID 0 TILEPROP VBRK_X34Y108 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y108 TILE_TYPE VBRK TILEPROP VBRK_X34Y108 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y108 TILE_X -41680 TILEPROP VBRK_X34Y108 TILE_Y 92472 TILEPROP VBRK_X34Y108 TYPE VBRK TILEPROP VBRK_X34Y109 CLASS tile TILEPROP VBRK_X34Y109 COLUMN 34 TILEPROP VBRK_X34Y109 DEVICE_ID 0 TILEPROP VBRK_X34Y109 FIRST_SITE_ID 4647 TILEPROP VBRK_X34Y109 GRID_POINT_X 34 TILEPROP VBRK_X34Y109 GRID_POINT_Y 47 TILEPROP VBRK_X34Y109 INDEX 5439 TILEPROP VBRK_X34Y109 INT_TILE_X 11 TILEPROP VBRK_X34Y109 INT_TILE_Y 45 TILEPROP VBRK_X34Y109 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y109 IS_DCM_TILE 0 TILEPROP VBRK_X34Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y109 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y109 NAME VBRK_X34Y109 TILEPROP VBRK_X34Y109 NUM_ARCS 0 TILEPROP VBRK_X34Y109 NUM_SITES 0 TILEPROP VBRK_X34Y109 ROW 47 TILEPROP VBRK_X34Y109 SLR_REGION_ID 0 TILEPROP VBRK_X34Y109 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y109 TILE_TYPE VBRK TILEPROP VBRK_X34Y109 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y109 TILE_X -41680 TILEPROP VBRK_X34Y109 TILE_Y 95672 TILEPROP VBRK_X34Y109 TYPE VBRK TILEPROP VBRK_X34Y110 CLASS tile TILEPROP VBRK_X34Y110 COLUMN 34 TILEPROP VBRK_X34Y110 DEVICE_ID 0 TILEPROP VBRK_X34Y110 FIRST_SITE_ID 4551 TILEPROP VBRK_X34Y110 GRID_POINT_X 34 TILEPROP VBRK_X34Y110 GRID_POINT_Y 46 TILEPROP VBRK_X34Y110 INDEX 5324 TILEPROP VBRK_X34Y110 INT_TILE_X 11 TILEPROP VBRK_X34Y110 INT_TILE_Y 44 TILEPROP VBRK_X34Y110 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y110 IS_DCM_TILE 0 TILEPROP VBRK_X34Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y110 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y110 NAME VBRK_X34Y110 TILEPROP VBRK_X34Y110 NUM_ARCS 0 TILEPROP VBRK_X34Y110 NUM_SITES 0 TILEPROP VBRK_X34Y110 ROW 46 TILEPROP VBRK_X34Y110 SLR_REGION_ID 0 TILEPROP VBRK_X34Y110 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y110 TILE_TYPE VBRK TILEPROP VBRK_X34Y110 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y110 TILE_X -41680 TILEPROP VBRK_X34Y110 TILE_Y 98872 TILEPROP VBRK_X34Y110 TYPE VBRK TILEPROP VBRK_X34Y111 CLASS tile TILEPROP VBRK_X34Y111 COLUMN 34 TILEPROP VBRK_X34Y111 DEVICE_ID 0 TILEPROP VBRK_X34Y111 FIRST_SITE_ID 4449 TILEPROP VBRK_X34Y111 GRID_POINT_X 34 TILEPROP VBRK_X34Y111 GRID_POINT_Y 45 TILEPROP VBRK_X34Y111 INDEX 5209 TILEPROP VBRK_X34Y111 INT_TILE_X 11 TILEPROP VBRK_X34Y111 INT_TILE_Y 43 TILEPROP VBRK_X34Y111 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y111 IS_DCM_TILE 0 TILEPROP VBRK_X34Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y111 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y111 NAME VBRK_X34Y111 TILEPROP VBRK_X34Y111 NUM_ARCS 0 TILEPROP VBRK_X34Y111 NUM_SITES 0 TILEPROP VBRK_X34Y111 ROW 45 TILEPROP VBRK_X34Y111 SLR_REGION_ID 0 TILEPROP VBRK_X34Y111 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y111 TILE_TYPE VBRK TILEPROP VBRK_X34Y111 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y111 TILE_X -41680 TILEPROP VBRK_X34Y111 TILE_Y 102072 TILEPROP VBRK_X34Y111 TYPE VBRK TILEPROP VBRK_X34Y112 CLASS tile TILEPROP VBRK_X34Y112 COLUMN 34 TILEPROP VBRK_X34Y112 DEVICE_ID 0 TILEPROP VBRK_X34Y112 FIRST_SITE_ID 4361 TILEPROP VBRK_X34Y112 GRID_POINT_X 34 TILEPROP VBRK_X34Y112 GRID_POINT_Y 44 TILEPROP VBRK_X34Y112 INDEX 5094 TILEPROP VBRK_X34Y112 INT_TILE_X 11 TILEPROP VBRK_X34Y112 INT_TILE_Y 42 TILEPROP VBRK_X34Y112 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y112 IS_DCM_TILE 0 TILEPROP VBRK_X34Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y112 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y112 NAME VBRK_X34Y112 TILEPROP VBRK_X34Y112 NUM_ARCS 0 TILEPROP VBRK_X34Y112 NUM_SITES 0 TILEPROP VBRK_X34Y112 ROW 44 TILEPROP VBRK_X34Y112 SLR_REGION_ID 0 TILEPROP VBRK_X34Y112 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y112 TILE_TYPE VBRK TILEPROP VBRK_X34Y112 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y112 TILE_X -41680 TILEPROP VBRK_X34Y112 TILE_Y 105272 TILEPROP VBRK_X34Y112 TYPE VBRK TILEPROP VBRK_X34Y113 CLASS tile TILEPROP VBRK_X34Y113 COLUMN 34 TILEPROP VBRK_X34Y113 DEVICE_ID 0 TILEPROP VBRK_X34Y113 FIRST_SITE_ID 4263 TILEPROP VBRK_X34Y113 GRID_POINT_X 34 TILEPROP VBRK_X34Y113 GRID_POINT_Y 43 TILEPROP VBRK_X34Y113 INDEX 4979 TILEPROP VBRK_X34Y113 INT_TILE_X 11 TILEPROP VBRK_X34Y113 INT_TILE_Y 41 TILEPROP VBRK_X34Y113 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y113 IS_DCM_TILE 0 TILEPROP VBRK_X34Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y113 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y113 NAME VBRK_X34Y113 TILEPROP VBRK_X34Y113 NUM_ARCS 0 TILEPROP VBRK_X34Y113 NUM_SITES 0 TILEPROP VBRK_X34Y113 ROW 43 TILEPROP VBRK_X34Y113 SLR_REGION_ID 0 TILEPROP VBRK_X34Y113 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y113 TILE_TYPE VBRK TILEPROP VBRK_X34Y113 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y113 TILE_X -41680 TILEPROP VBRK_X34Y113 TILE_Y 108472 TILEPROP VBRK_X34Y113 TYPE VBRK TILEPROP VBRK_X34Y114 CLASS tile TILEPROP VBRK_X34Y114 COLUMN 34 TILEPROP VBRK_X34Y114 DEVICE_ID 0 TILEPROP VBRK_X34Y114 FIRST_SITE_ID 4174 TILEPROP VBRK_X34Y114 GRID_POINT_X 34 TILEPROP VBRK_X34Y114 GRID_POINT_Y 42 TILEPROP VBRK_X34Y114 INDEX 4864 TILEPROP VBRK_X34Y114 INT_TILE_X 11 TILEPROP VBRK_X34Y114 INT_TILE_Y 40 TILEPROP VBRK_X34Y114 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y114 IS_DCM_TILE 0 TILEPROP VBRK_X34Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y114 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y114 NAME VBRK_X34Y114 TILEPROP VBRK_X34Y114 NUM_ARCS 0 TILEPROP VBRK_X34Y114 NUM_SITES 0 TILEPROP VBRK_X34Y114 ROW 42 TILEPROP VBRK_X34Y114 SLR_REGION_ID 0 TILEPROP VBRK_X34Y114 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y114 TILE_TYPE VBRK TILEPROP VBRK_X34Y114 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y114 TILE_X -41680 TILEPROP VBRK_X34Y114 TILE_Y 111672 TILEPROP VBRK_X34Y114 TYPE VBRK TILEPROP VBRK_X34Y115 CLASS tile TILEPROP VBRK_X34Y115 COLUMN 34 TILEPROP VBRK_X34Y115 DEVICE_ID 0 TILEPROP VBRK_X34Y115 FIRST_SITE_ID 4074 TILEPROP VBRK_X34Y115 GRID_POINT_X 34 TILEPROP VBRK_X34Y115 GRID_POINT_Y 41 TILEPROP VBRK_X34Y115 INDEX 4749 TILEPROP VBRK_X34Y115 INT_TILE_X 11 TILEPROP VBRK_X34Y115 INT_TILE_Y 39 TILEPROP VBRK_X34Y115 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y115 IS_DCM_TILE 0 TILEPROP VBRK_X34Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y115 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y115 NAME VBRK_X34Y115 TILEPROP VBRK_X34Y115 NUM_ARCS 0 TILEPROP VBRK_X34Y115 NUM_SITES 0 TILEPROP VBRK_X34Y115 ROW 41 TILEPROP VBRK_X34Y115 SLR_REGION_ID 0 TILEPROP VBRK_X34Y115 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y115 TILE_TYPE VBRK TILEPROP VBRK_X34Y115 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y115 TILE_X -41680 TILEPROP VBRK_X34Y115 TILE_Y 114872 TILEPROP VBRK_X34Y115 TYPE VBRK TILEPROP VBRK_X34Y116 CLASS tile TILEPROP VBRK_X34Y116 COLUMN 34 TILEPROP VBRK_X34Y116 DEVICE_ID 0 TILEPROP VBRK_X34Y116 FIRST_SITE_ID 3980 TILEPROP VBRK_X34Y116 GRID_POINT_X 34 TILEPROP VBRK_X34Y116 GRID_POINT_Y 40 TILEPROP VBRK_X34Y116 INDEX 4634 TILEPROP VBRK_X34Y116 INT_TILE_X 11 TILEPROP VBRK_X34Y116 INT_TILE_Y 38 TILEPROP VBRK_X34Y116 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y116 IS_DCM_TILE 0 TILEPROP VBRK_X34Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y116 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y116 NAME VBRK_X34Y116 TILEPROP VBRK_X34Y116 NUM_ARCS 0 TILEPROP VBRK_X34Y116 NUM_SITES 0 TILEPROP VBRK_X34Y116 ROW 40 TILEPROP VBRK_X34Y116 SLR_REGION_ID 0 TILEPROP VBRK_X34Y116 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y116 TILE_TYPE VBRK TILEPROP VBRK_X34Y116 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y116 TILE_X -41680 TILEPROP VBRK_X34Y116 TILE_Y 118072 TILEPROP VBRK_X34Y116 TYPE VBRK TILEPROP VBRK_X34Y117 CLASS tile TILEPROP VBRK_X34Y117 COLUMN 34 TILEPROP VBRK_X34Y117 DEVICE_ID 0 TILEPROP VBRK_X34Y117 FIRST_SITE_ID 3852 TILEPROP VBRK_X34Y117 GRID_POINT_X 34 TILEPROP VBRK_X34Y117 GRID_POINT_Y 39 TILEPROP VBRK_X34Y117 INDEX 4519 TILEPROP VBRK_X34Y117 INT_TILE_X 11 TILEPROP VBRK_X34Y117 INT_TILE_Y 37 TILEPROP VBRK_X34Y117 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y117 IS_DCM_TILE 0 TILEPROP VBRK_X34Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y117 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y117 NAME VBRK_X34Y117 TILEPROP VBRK_X34Y117 NUM_ARCS 0 TILEPROP VBRK_X34Y117 NUM_SITES 0 TILEPROP VBRK_X34Y117 ROW 39 TILEPROP VBRK_X34Y117 SLR_REGION_ID 0 TILEPROP VBRK_X34Y117 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y117 TILE_TYPE VBRK TILEPROP VBRK_X34Y117 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y117 TILE_X -41680 TILEPROP VBRK_X34Y117 TILE_Y 121272 TILEPROP VBRK_X34Y117 TYPE VBRK TILEPROP VBRK_X34Y118 CLASS tile TILEPROP VBRK_X34Y118 COLUMN 34 TILEPROP VBRK_X34Y118 DEVICE_ID 0 TILEPROP VBRK_X34Y118 FIRST_SITE_ID 3764 TILEPROP VBRK_X34Y118 GRID_POINT_X 34 TILEPROP VBRK_X34Y118 GRID_POINT_Y 38 TILEPROP VBRK_X34Y118 INDEX 4404 TILEPROP VBRK_X34Y118 INT_TILE_X 11 TILEPROP VBRK_X34Y118 INT_TILE_Y 36 TILEPROP VBRK_X34Y118 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y118 IS_DCM_TILE 0 TILEPROP VBRK_X34Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y118 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y118 NAME VBRK_X34Y118 TILEPROP VBRK_X34Y118 NUM_ARCS 0 TILEPROP VBRK_X34Y118 NUM_SITES 0 TILEPROP VBRK_X34Y118 ROW 38 TILEPROP VBRK_X34Y118 SLR_REGION_ID 0 TILEPROP VBRK_X34Y118 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y118 TILE_TYPE VBRK TILEPROP VBRK_X34Y118 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y118 TILE_X -41680 TILEPROP VBRK_X34Y118 TILE_Y 124472 TILEPROP VBRK_X34Y118 TYPE VBRK TILEPROP VBRK_X34Y119 CLASS tile TILEPROP VBRK_X34Y119 COLUMN 34 TILEPROP VBRK_X34Y119 DEVICE_ID 0 TILEPROP VBRK_X34Y119 FIRST_SITE_ID 3668 TILEPROP VBRK_X34Y119 GRID_POINT_X 34 TILEPROP VBRK_X34Y119 GRID_POINT_Y 37 TILEPROP VBRK_X34Y119 INDEX 4289 TILEPROP VBRK_X34Y119 INT_TILE_X 11 TILEPROP VBRK_X34Y119 INT_TILE_Y 35 TILEPROP VBRK_X34Y119 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y119 IS_DCM_TILE 0 TILEPROP VBRK_X34Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y119 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y119 NAME VBRK_X34Y119 TILEPROP VBRK_X34Y119 NUM_ARCS 0 TILEPROP VBRK_X34Y119 NUM_SITES 0 TILEPROP VBRK_X34Y119 ROW 37 TILEPROP VBRK_X34Y119 SLR_REGION_ID 0 TILEPROP VBRK_X34Y119 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y119 TILE_TYPE VBRK TILEPROP VBRK_X34Y119 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y119 TILE_X -41680 TILEPROP VBRK_X34Y119 TILE_Y 127672 TILEPROP VBRK_X34Y119 TYPE VBRK TILEPROP VBRK_X34Y120 CLASS tile TILEPROP VBRK_X34Y120 COLUMN 34 TILEPROP VBRK_X34Y120 DEVICE_ID 0 TILEPROP VBRK_X34Y120 FIRST_SITE_ID 3577 TILEPROP VBRK_X34Y120 GRID_POINT_X 34 TILEPROP VBRK_X34Y120 GRID_POINT_Y 36 TILEPROP VBRK_X34Y120 INDEX 4174 TILEPROP VBRK_X34Y120 INT_TILE_X 11 TILEPROP VBRK_X34Y120 INT_TILE_Y 34 TILEPROP VBRK_X34Y120 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y120 IS_DCM_TILE 0 TILEPROP VBRK_X34Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y120 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y120 NAME VBRK_X34Y120 TILEPROP VBRK_X34Y120 NUM_ARCS 0 TILEPROP VBRK_X34Y120 NUM_SITES 0 TILEPROP VBRK_X34Y120 ROW 36 TILEPROP VBRK_X34Y120 SLR_REGION_ID 0 TILEPROP VBRK_X34Y120 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y120 TILE_TYPE VBRK TILEPROP VBRK_X34Y120 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y120 TILE_X -41680 TILEPROP VBRK_X34Y120 TILE_Y 130872 TILEPROP VBRK_X34Y120 TYPE VBRK TILEPROP VBRK_X34Y121 CLASS tile TILEPROP VBRK_X34Y121 COLUMN 34 TILEPROP VBRK_X34Y121 DEVICE_ID 0 TILEPROP VBRK_X34Y121 FIRST_SITE_ID 3470 TILEPROP VBRK_X34Y121 GRID_POINT_X 34 TILEPROP VBRK_X34Y121 GRID_POINT_Y 35 TILEPROP VBRK_X34Y121 INDEX 4059 TILEPROP VBRK_X34Y121 INT_TILE_X 11 TILEPROP VBRK_X34Y121 INT_TILE_Y 33 TILEPROP VBRK_X34Y121 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y121 IS_DCM_TILE 0 TILEPROP VBRK_X34Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y121 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y121 NAME VBRK_X34Y121 TILEPROP VBRK_X34Y121 NUM_ARCS 0 TILEPROP VBRK_X34Y121 NUM_SITES 0 TILEPROP VBRK_X34Y121 ROW 35 TILEPROP VBRK_X34Y121 SLR_REGION_ID 0 TILEPROP VBRK_X34Y121 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y121 TILE_TYPE VBRK TILEPROP VBRK_X34Y121 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y121 TILE_X -41680 TILEPROP VBRK_X34Y121 TILE_Y 134072 TILEPROP VBRK_X34Y121 TYPE VBRK TILEPROP VBRK_X34Y122 CLASS tile TILEPROP VBRK_X34Y122 COLUMN 34 TILEPROP VBRK_X34Y122 DEVICE_ID 0 TILEPROP VBRK_X34Y122 FIRST_SITE_ID 3382 TILEPROP VBRK_X34Y122 GRID_POINT_X 34 TILEPROP VBRK_X34Y122 GRID_POINT_Y 34 TILEPROP VBRK_X34Y122 INDEX 3944 TILEPROP VBRK_X34Y122 INT_TILE_X 11 TILEPROP VBRK_X34Y122 INT_TILE_Y 32 TILEPROP VBRK_X34Y122 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y122 IS_DCM_TILE 0 TILEPROP VBRK_X34Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y122 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y122 NAME VBRK_X34Y122 TILEPROP VBRK_X34Y122 NUM_ARCS 0 TILEPROP VBRK_X34Y122 NUM_SITES 0 TILEPROP VBRK_X34Y122 ROW 34 TILEPROP VBRK_X34Y122 SLR_REGION_ID 0 TILEPROP VBRK_X34Y122 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y122 TILE_TYPE VBRK TILEPROP VBRK_X34Y122 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y122 TILE_X -41680 TILEPROP VBRK_X34Y122 TILE_Y 137272 TILEPROP VBRK_X34Y122 TYPE VBRK TILEPROP VBRK_X34Y123 CLASS tile TILEPROP VBRK_X34Y123 COLUMN 34 TILEPROP VBRK_X34Y123 DEVICE_ID 0 TILEPROP VBRK_X34Y123 FIRST_SITE_ID 3282 TILEPROP VBRK_X34Y123 GRID_POINT_X 34 TILEPROP VBRK_X34Y123 GRID_POINT_Y 33 TILEPROP VBRK_X34Y123 INDEX 3829 TILEPROP VBRK_X34Y123 INT_TILE_X 11 TILEPROP VBRK_X34Y123 INT_TILE_Y 31 TILEPROP VBRK_X34Y123 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y123 IS_DCM_TILE 0 TILEPROP VBRK_X34Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y123 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y123 NAME VBRK_X34Y123 TILEPROP VBRK_X34Y123 NUM_ARCS 0 TILEPROP VBRK_X34Y123 NUM_SITES 0 TILEPROP VBRK_X34Y123 ROW 33 TILEPROP VBRK_X34Y123 SLR_REGION_ID 0 TILEPROP VBRK_X34Y123 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y123 TILE_TYPE VBRK TILEPROP VBRK_X34Y123 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y123 TILE_X -41680 TILEPROP VBRK_X34Y123 TILE_Y 140472 TILEPROP VBRK_X34Y123 TYPE VBRK TILEPROP VBRK_X34Y124 CLASS tile TILEPROP VBRK_X34Y124 COLUMN 34 TILEPROP VBRK_X34Y124 DEVICE_ID 0 TILEPROP VBRK_X34Y124 FIRST_SITE_ID 3194 TILEPROP VBRK_X34Y124 GRID_POINT_X 34 TILEPROP VBRK_X34Y124 GRID_POINT_Y 32 TILEPROP VBRK_X34Y124 INDEX 3714 TILEPROP VBRK_X34Y124 INT_TILE_X 11 TILEPROP VBRK_X34Y124 INT_TILE_Y 30 TILEPROP VBRK_X34Y124 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y124 IS_DCM_TILE 0 TILEPROP VBRK_X34Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y124 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y124 NAME VBRK_X34Y124 TILEPROP VBRK_X34Y124 NUM_ARCS 0 TILEPROP VBRK_X34Y124 NUM_SITES 0 TILEPROP VBRK_X34Y124 ROW 32 TILEPROP VBRK_X34Y124 SLR_REGION_ID 0 TILEPROP VBRK_X34Y124 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y124 TILE_TYPE VBRK TILEPROP VBRK_X34Y124 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y124 TILE_X -41680 TILEPROP VBRK_X34Y124 TILE_Y 143672 TILEPROP VBRK_X34Y124 TYPE VBRK TILEPROP VBRK_X34Y125 CLASS tile TILEPROP VBRK_X34Y125 COLUMN 34 TILEPROP VBRK_X34Y125 DEVICE_ID 0 TILEPROP VBRK_X34Y125 FIRST_SITE_ID 3093 TILEPROP VBRK_X34Y125 GRID_POINT_X 34 TILEPROP VBRK_X34Y125 GRID_POINT_Y 31 TILEPROP VBRK_X34Y125 INDEX 3599 TILEPROP VBRK_X34Y125 INT_TILE_X 11 TILEPROP VBRK_X34Y125 INT_TILE_Y 29 TILEPROP VBRK_X34Y125 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y125 IS_DCM_TILE 0 TILEPROP VBRK_X34Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y125 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y125 NAME VBRK_X34Y125 TILEPROP VBRK_X34Y125 NUM_ARCS 0 TILEPROP VBRK_X34Y125 NUM_SITES 0 TILEPROP VBRK_X34Y125 ROW 31 TILEPROP VBRK_X34Y125 SLR_REGION_ID 0 TILEPROP VBRK_X34Y125 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y125 TILE_TYPE VBRK TILEPROP VBRK_X34Y125 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y125 TILE_X -41680 TILEPROP VBRK_X34Y125 TILE_Y 146872 TILEPROP VBRK_X34Y125 TYPE VBRK TILEPROP VBRK_X34Y126 CLASS tile TILEPROP VBRK_X34Y126 COLUMN 34 TILEPROP VBRK_X34Y126 DEVICE_ID 0 TILEPROP VBRK_X34Y126 FIRST_SITE_ID 2999 TILEPROP VBRK_X34Y126 GRID_POINT_X 34 TILEPROP VBRK_X34Y126 GRID_POINT_Y 30 TILEPROP VBRK_X34Y126 INDEX 3484 TILEPROP VBRK_X34Y126 INT_TILE_X 11 TILEPROP VBRK_X34Y126 INT_TILE_Y 28 TILEPROP VBRK_X34Y126 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y126 IS_DCM_TILE 0 TILEPROP VBRK_X34Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y126 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y126 NAME VBRK_X34Y126 TILEPROP VBRK_X34Y126 NUM_ARCS 0 TILEPROP VBRK_X34Y126 NUM_SITES 0 TILEPROP VBRK_X34Y126 ROW 30 TILEPROP VBRK_X34Y126 SLR_REGION_ID 0 TILEPROP VBRK_X34Y126 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y126 TILE_TYPE VBRK TILEPROP VBRK_X34Y126 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y126 TILE_X -41680 TILEPROP VBRK_X34Y126 TILE_Y 150072 TILEPROP VBRK_X34Y126 TYPE VBRK TILEPROP VBRK_X34Y127 CLASS tile TILEPROP VBRK_X34Y127 COLUMN 34 TILEPROP VBRK_X34Y127 DEVICE_ID 0 TILEPROP VBRK_X34Y127 FIRST_SITE_ID 2896 TILEPROP VBRK_X34Y127 GRID_POINT_X 34 TILEPROP VBRK_X34Y127 GRID_POINT_Y 29 TILEPROP VBRK_X34Y127 INDEX 3369 TILEPROP VBRK_X34Y127 INT_TILE_X 11 TILEPROP VBRK_X34Y127 INT_TILE_Y 27 TILEPROP VBRK_X34Y127 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y127 IS_DCM_TILE 0 TILEPROP VBRK_X34Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y127 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y127 NAME VBRK_X34Y127 TILEPROP VBRK_X34Y127 NUM_ARCS 0 TILEPROP VBRK_X34Y127 NUM_SITES 0 TILEPROP VBRK_X34Y127 ROW 29 TILEPROP VBRK_X34Y127 SLR_REGION_ID 0 TILEPROP VBRK_X34Y127 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y127 TILE_TYPE VBRK TILEPROP VBRK_X34Y127 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y127 TILE_X -41680 TILEPROP VBRK_X34Y127 TILE_Y 153272 TILEPROP VBRK_X34Y127 TYPE VBRK TILEPROP VBRK_X34Y128 CLASS tile TILEPROP VBRK_X34Y128 COLUMN 34 TILEPROP VBRK_X34Y128 DEVICE_ID 0 TILEPROP VBRK_X34Y128 FIRST_SITE_ID 2808 TILEPROP VBRK_X34Y128 GRID_POINT_X 34 TILEPROP VBRK_X34Y128 GRID_POINT_Y 28 TILEPROP VBRK_X34Y128 INDEX 3254 TILEPROP VBRK_X34Y128 INT_TILE_X 11 TILEPROP VBRK_X34Y128 INT_TILE_Y 26 TILEPROP VBRK_X34Y128 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y128 IS_DCM_TILE 0 TILEPROP VBRK_X34Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y128 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y128 NAME VBRK_X34Y128 TILEPROP VBRK_X34Y128 NUM_ARCS 0 TILEPROP VBRK_X34Y128 NUM_SITES 0 TILEPROP VBRK_X34Y128 ROW 28 TILEPROP VBRK_X34Y128 SLR_REGION_ID 0 TILEPROP VBRK_X34Y128 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y128 TILE_TYPE VBRK TILEPROP VBRK_X34Y128 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y128 TILE_X -41680 TILEPROP VBRK_X34Y128 TILE_Y 156472 TILEPROP VBRK_X34Y128 TYPE VBRK TILEPROP VBRK_X34Y129 CLASS tile TILEPROP VBRK_X34Y129 COLUMN 34 TILEPROP VBRK_X34Y129 DEVICE_ID 0 TILEPROP VBRK_X34Y129 FIRST_SITE_ID 2712 TILEPROP VBRK_X34Y129 GRID_POINT_X 34 TILEPROP VBRK_X34Y129 GRID_POINT_Y 27 TILEPROP VBRK_X34Y129 INDEX 3139 TILEPROP VBRK_X34Y129 INT_TILE_X 11 TILEPROP VBRK_X34Y129 INT_TILE_Y 25 TILEPROP VBRK_X34Y129 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y129 IS_DCM_TILE 0 TILEPROP VBRK_X34Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y129 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y129 NAME VBRK_X34Y129 TILEPROP VBRK_X34Y129 NUM_ARCS 0 TILEPROP VBRK_X34Y129 NUM_SITES 0 TILEPROP VBRK_X34Y129 ROW 27 TILEPROP VBRK_X34Y129 SLR_REGION_ID 0 TILEPROP VBRK_X34Y129 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y129 TILE_TYPE VBRK TILEPROP VBRK_X34Y129 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y129 TILE_X -41680 TILEPROP VBRK_X34Y129 TILE_Y 159672 TILEPROP VBRK_X34Y129 TYPE VBRK TILEPROP VBRK_X34Y131 CLASS tile TILEPROP VBRK_X34Y131 COLUMN 34 TILEPROP VBRK_X34Y131 DEVICE_ID 0 TILEPROP VBRK_X34Y131 FIRST_SITE_ID 2539 TILEPROP VBRK_X34Y131 GRID_POINT_X 34 TILEPROP VBRK_X34Y131 GRID_POINT_Y 25 TILEPROP VBRK_X34Y131 INDEX 2909 TILEPROP VBRK_X34Y131 INT_TILE_X 11 TILEPROP VBRK_X34Y131 INT_TILE_Y 24 TILEPROP VBRK_X34Y131 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y131 IS_DCM_TILE 0 TILEPROP VBRK_X34Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y131 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y131 NAME VBRK_X34Y131 TILEPROP VBRK_X34Y131 NUM_ARCS 0 TILEPROP VBRK_X34Y131 NUM_SITES 0 TILEPROP VBRK_X34Y131 ROW 25 TILEPROP VBRK_X34Y131 SLR_REGION_ID 0 TILEPROP VBRK_X34Y131 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y131 TILE_TYPE VBRK TILEPROP VBRK_X34Y131 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y131 TILE_X -41680 TILEPROP VBRK_X34Y131 TILE_Y 163896 TILEPROP VBRK_X34Y131 TYPE VBRK TILEPROP VBRK_X34Y132 CLASS tile TILEPROP VBRK_X34Y132 COLUMN 34 TILEPROP VBRK_X34Y132 DEVICE_ID 0 TILEPROP VBRK_X34Y132 FIRST_SITE_ID 2429 TILEPROP VBRK_X34Y132 GRID_POINT_X 34 TILEPROP VBRK_X34Y132 GRID_POINT_Y 24 TILEPROP VBRK_X34Y132 INDEX 2794 TILEPROP VBRK_X34Y132 INT_TILE_X 11 TILEPROP VBRK_X34Y132 INT_TILE_Y 23 TILEPROP VBRK_X34Y132 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y132 IS_DCM_TILE 0 TILEPROP VBRK_X34Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y132 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y132 NAME VBRK_X34Y132 TILEPROP VBRK_X34Y132 NUM_ARCS 0 TILEPROP VBRK_X34Y132 NUM_SITES 0 TILEPROP VBRK_X34Y132 ROW 24 TILEPROP VBRK_X34Y132 SLR_REGION_ID 0 TILEPROP VBRK_X34Y132 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y132 TILE_TYPE VBRK TILEPROP VBRK_X34Y132 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y132 TILE_X -41680 TILEPROP VBRK_X34Y132 TILE_Y 167096 TILEPROP VBRK_X34Y132 TYPE VBRK TILEPROP VBRK_X34Y133 CLASS tile TILEPROP VBRK_X34Y133 COLUMN 34 TILEPROP VBRK_X34Y133 DEVICE_ID 0 TILEPROP VBRK_X34Y133 FIRST_SITE_ID 2333 TILEPROP VBRK_X34Y133 GRID_POINT_X 34 TILEPROP VBRK_X34Y133 GRID_POINT_Y 23 TILEPROP VBRK_X34Y133 INDEX 2679 TILEPROP VBRK_X34Y133 INT_TILE_X 11 TILEPROP VBRK_X34Y133 INT_TILE_Y 22 TILEPROP VBRK_X34Y133 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y133 IS_DCM_TILE 0 TILEPROP VBRK_X34Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y133 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y133 NAME VBRK_X34Y133 TILEPROP VBRK_X34Y133 NUM_ARCS 0 TILEPROP VBRK_X34Y133 NUM_SITES 0 TILEPROP VBRK_X34Y133 ROW 23 TILEPROP VBRK_X34Y133 SLR_REGION_ID 0 TILEPROP VBRK_X34Y133 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y133 TILE_TYPE VBRK TILEPROP VBRK_X34Y133 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y133 TILE_X -41680 TILEPROP VBRK_X34Y133 TILE_Y 170296 TILEPROP VBRK_X34Y133 TYPE VBRK TILEPROP VBRK_X34Y134 CLASS tile TILEPROP VBRK_X34Y134 COLUMN 34 TILEPROP VBRK_X34Y134 DEVICE_ID 0 TILEPROP VBRK_X34Y134 FIRST_SITE_ID 2229 TILEPROP VBRK_X34Y134 GRID_POINT_X 34 TILEPROP VBRK_X34Y134 GRID_POINT_Y 22 TILEPROP VBRK_X34Y134 INDEX 2564 TILEPROP VBRK_X34Y134 INT_TILE_X 11 TILEPROP VBRK_X34Y134 INT_TILE_Y 21 TILEPROP VBRK_X34Y134 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y134 IS_DCM_TILE 0 TILEPROP VBRK_X34Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y134 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y134 NAME VBRK_X34Y134 TILEPROP VBRK_X34Y134 NUM_ARCS 0 TILEPROP VBRK_X34Y134 NUM_SITES 0 TILEPROP VBRK_X34Y134 ROW 22 TILEPROP VBRK_X34Y134 SLR_REGION_ID 0 TILEPROP VBRK_X34Y134 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y134 TILE_TYPE VBRK TILEPROP VBRK_X34Y134 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y134 TILE_X -41680 TILEPROP VBRK_X34Y134 TILE_Y 173496 TILEPROP VBRK_X34Y134 TYPE VBRK TILEPROP VBRK_X34Y135 CLASS tile TILEPROP VBRK_X34Y135 COLUMN 34 TILEPROP VBRK_X34Y135 DEVICE_ID 0 TILEPROP VBRK_X34Y135 FIRST_SITE_ID 2133 TILEPROP VBRK_X34Y135 GRID_POINT_X 34 TILEPROP VBRK_X34Y135 GRID_POINT_Y 21 TILEPROP VBRK_X34Y135 INDEX 2449 TILEPROP VBRK_X34Y135 INT_TILE_X 11 TILEPROP VBRK_X34Y135 INT_TILE_Y 20 TILEPROP VBRK_X34Y135 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y135 IS_DCM_TILE 0 TILEPROP VBRK_X34Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y135 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y135 NAME VBRK_X34Y135 TILEPROP VBRK_X34Y135 NUM_ARCS 0 TILEPROP VBRK_X34Y135 NUM_SITES 0 TILEPROP VBRK_X34Y135 ROW 21 TILEPROP VBRK_X34Y135 SLR_REGION_ID 0 TILEPROP VBRK_X34Y135 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y135 TILE_TYPE VBRK TILEPROP VBRK_X34Y135 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y135 TILE_X -41680 TILEPROP VBRK_X34Y135 TILE_Y 176696 TILEPROP VBRK_X34Y135 TYPE VBRK TILEPROP VBRK_X34Y136 CLASS tile TILEPROP VBRK_X34Y136 COLUMN 34 TILEPROP VBRK_X34Y136 DEVICE_ID 0 TILEPROP VBRK_X34Y136 FIRST_SITE_ID 2017 TILEPROP VBRK_X34Y136 GRID_POINT_X 34 TILEPROP VBRK_X34Y136 GRID_POINT_Y 20 TILEPROP VBRK_X34Y136 INDEX 2334 TILEPROP VBRK_X34Y136 INT_TILE_X 11 TILEPROP VBRK_X34Y136 INT_TILE_Y 19 TILEPROP VBRK_X34Y136 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y136 IS_DCM_TILE 0 TILEPROP VBRK_X34Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y136 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y136 NAME VBRK_X34Y136 TILEPROP VBRK_X34Y136 NUM_ARCS 0 TILEPROP VBRK_X34Y136 NUM_SITES 0 TILEPROP VBRK_X34Y136 ROW 20 TILEPROP VBRK_X34Y136 SLR_REGION_ID 0 TILEPROP VBRK_X34Y136 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y136 TILE_TYPE VBRK TILEPROP VBRK_X34Y136 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y136 TILE_X -41680 TILEPROP VBRK_X34Y136 TILE_Y 179896 TILEPROP VBRK_X34Y136 TYPE VBRK TILEPROP VBRK_X34Y137 CLASS tile TILEPROP VBRK_X34Y137 COLUMN 34 TILEPROP VBRK_X34Y137 DEVICE_ID 0 TILEPROP VBRK_X34Y137 FIRST_SITE_ID 1915 TILEPROP VBRK_X34Y137 GRID_POINT_X 34 TILEPROP VBRK_X34Y137 GRID_POINT_Y 19 TILEPROP VBRK_X34Y137 INDEX 2219 TILEPROP VBRK_X34Y137 INT_TILE_X 11 TILEPROP VBRK_X34Y137 INT_TILE_Y 18 TILEPROP VBRK_X34Y137 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y137 IS_DCM_TILE 0 TILEPROP VBRK_X34Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y137 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y137 NAME VBRK_X34Y137 TILEPROP VBRK_X34Y137 NUM_ARCS 0 TILEPROP VBRK_X34Y137 NUM_SITES 0 TILEPROP VBRK_X34Y137 ROW 19 TILEPROP VBRK_X34Y137 SLR_REGION_ID 0 TILEPROP VBRK_X34Y137 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y137 TILE_TYPE VBRK TILEPROP VBRK_X34Y137 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y137 TILE_X -41680 TILEPROP VBRK_X34Y137 TILE_Y 183096 TILEPROP VBRK_X34Y137 TYPE VBRK TILEPROP VBRK_X34Y138 CLASS tile TILEPROP VBRK_X34Y138 COLUMN 34 TILEPROP VBRK_X34Y138 DEVICE_ID 0 TILEPROP VBRK_X34Y138 FIRST_SITE_ID 1809 TILEPROP VBRK_X34Y138 GRID_POINT_X 34 TILEPROP VBRK_X34Y138 GRID_POINT_Y 18 TILEPROP VBRK_X34Y138 INDEX 2104 TILEPROP VBRK_X34Y138 INT_TILE_X 11 TILEPROP VBRK_X34Y138 INT_TILE_Y 17 TILEPROP VBRK_X34Y138 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y138 IS_DCM_TILE 0 TILEPROP VBRK_X34Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y138 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y138 NAME VBRK_X34Y138 TILEPROP VBRK_X34Y138 NUM_ARCS 0 TILEPROP VBRK_X34Y138 NUM_SITES 0 TILEPROP VBRK_X34Y138 ROW 18 TILEPROP VBRK_X34Y138 SLR_REGION_ID 0 TILEPROP VBRK_X34Y138 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y138 TILE_TYPE VBRK TILEPROP VBRK_X34Y138 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y138 TILE_X -41680 TILEPROP VBRK_X34Y138 TILE_Y 186296 TILEPROP VBRK_X34Y138 TYPE VBRK TILEPROP VBRK_X34Y139 CLASS tile TILEPROP VBRK_X34Y139 COLUMN 34 TILEPROP VBRK_X34Y139 DEVICE_ID 0 TILEPROP VBRK_X34Y139 FIRST_SITE_ID 1708 TILEPROP VBRK_X34Y139 GRID_POINT_X 34 TILEPROP VBRK_X34Y139 GRID_POINT_Y 17 TILEPROP VBRK_X34Y139 INDEX 1989 TILEPROP VBRK_X34Y139 INT_TILE_X 11 TILEPROP VBRK_X34Y139 INT_TILE_Y 16 TILEPROP VBRK_X34Y139 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y139 IS_DCM_TILE 0 TILEPROP VBRK_X34Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y139 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y139 NAME VBRK_X34Y139 TILEPROP VBRK_X34Y139 NUM_ARCS 0 TILEPROP VBRK_X34Y139 NUM_SITES 0 TILEPROP VBRK_X34Y139 ROW 17 TILEPROP VBRK_X34Y139 SLR_REGION_ID 0 TILEPROP VBRK_X34Y139 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y139 TILE_TYPE VBRK TILEPROP VBRK_X34Y139 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y139 TILE_X -41680 TILEPROP VBRK_X34Y139 TILE_Y 189496 TILEPROP VBRK_X34Y139 TYPE VBRK TILEPROP VBRK_X34Y140 CLASS tile TILEPROP VBRK_X34Y140 COLUMN 34 TILEPROP VBRK_X34Y140 DEVICE_ID 0 TILEPROP VBRK_X34Y140 FIRST_SITE_ID 1604 TILEPROP VBRK_X34Y140 GRID_POINT_X 34 TILEPROP VBRK_X34Y140 GRID_POINT_Y 16 TILEPROP VBRK_X34Y140 INDEX 1874 TILEPROP VBRK_X34Y140 INT_TILE_X 11 TILEPROP VBRK_X34Y140 INT_TILE_Y 15 TILEPROP VBRK_X34Y140 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y140 IS_DCM_TILE 0 TILEPROP VBRK_X34Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y140 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y140 NAME VBRK_X34Y140 TILEPROP VBRK_X34Y140 NUM_ARCS 0 TILEPROP VBRK_X34Y140 NUM_SITES 0 TILEPROP VBRK_X34Y140 ROW 16 TILEPROP VBRK_X34Y140 SLR_REGION_ID 0 TILEPROP VBRK_X34Y140 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y140 TILE_TYPE VBRK TILEPROP VBRK_X34Y140 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y140 TILE_X -41680 TILEPROP VBRK_X34Y140 TILE_Y 192696 TILEPROP VBRK_X34Y140 TYPE VBRK TILEPROP VBRK_X34Y141 CLASS tile TILEPROP VBRK_X34Y141 COLUMN 34 TILEPROP VBRK_X34Y141 DEVICE_ID 0 TILEPROP VBRK_X34Y141 FIRST_SITE_ID 1502 TILEPROP VBRK_X34Y141 GRID_POINT_X 34 TILEPROP VBRK_X34Y141 GRID_POINT_Y 15 TILEPROP VBRK_X34Y141 INDEX 1759 TILEPROP VBRK_X34Y141 INT_TILE_X 11 TILEPROP VBRK_X34Y141 INT_TILE_Y 14 TILEPROP VBRK_X34Y141 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y141 IS_DCM_TILE 0 TILEPROP VBRK_X34Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y141 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y141 NAME VBRK_X34Y141 TILEPROP VBRK_X34Y141 NUM_ARCS 0 TILEPROP VBRK_X34Y141 NUM_SITES 0 TILEPROP VBRK_X34Y141 ROW 15 TILEPROP VBRK_X34Y141 SLR_REGION_ID 0 TILEPROP VBRK_X34Y141 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y141 TILE_TYPE VBRK TILEPROP VBRK_X34Y141 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y141 TILE_X -41680 TILEPROP VBRK_X34Y141 TILE_Y 195896 TILEPROP VBRK_X34Y141 TYPE VBRK TILEPROP VBRK_X34Y142 CLASS tile TILEPROP VBRK_X34Y142 COLUMN 34 TILEPROP VBRK_X34Y142 DEVICE_ID 0 TILEPROP VBRK_X34Y142 FIRST_SITE_ID 1360 TILEPROP VBRK_X34Y142 GRID_POINT_X 34 TILEPROP VBRK_X34Y142 GRID_POINT_Y 14 TILEPROP VBRK_X34Y142 INDEX 1644 TILEPROP VBRK_X34Y142 INT_TILE_X 11 TILEPROP VBRK_X34Y142 INT_TILE_Y 13 TILEPROP VBRK_X34Y142 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y142 IS_DCM_TILE 0 TILEPROP VBRK_X34Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y142 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y142 NAME VBRK_X34Y142 TILEPROP VBRK_X34Y142 NUM_ARCS 0 TILEPROP VBRK_X34Y142 NUM_SITES 0 TILEPROP VBRK_X34Y142 ROW 14 TILEPROP VBRK_X34Y142 SLR_REGION_ID 0 TILEPROP VBRK_X34Y142 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y142 TILE_TYPE VBRK TILEPROP VBRK_X34Y142 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y142 TILE_X -41680 TILEPROP VBRK_X34Y142 TILE_Y 199096 TILEPROP VBRK_X34Y142 TYPE VBRK TILEPROP VBRK_X34Y143 CLASS tile TILEPROP VBRK_X34Y143 COLUMN 34 TILEPROP VBRK_X34Y143 DEVICE_ID 0 TILEPROP VBRK_X34Y143 FIRST_SITE_ID 1264 TILEPROP VBRK_X34Y143 GRID_POINT_X 34 TILEPROP VBRK_X34Y143 GRID_POINT_Y 13 TILEPROP VBRK_X34Y143 INDEX 1529 TILEPROP VBRK_X34Y143 INT_TILE_X 11 TILEPROP VBRK_X34Y143 INT_TILE_Y 12 TILEPROP VBRK_X34Y143 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y143 IS_DCM_TILE 0 TILEPROP VBRK_X34Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y143 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y143 NAME VBRK_X34Y143 TILEPROP VBRK_X34Y143 NUM_ARCS 0 TILEPROP VBRK_X34Y143 NUM_SITES 0 TILEPROP VBRK_X34Y143 ROW 13 TILEPROP VBRK_X34Y143 SLR_REGION_ID 0 TILEPROP VBRK_X34Y143 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y143 TILE_TYPE VBRK TILEPROP VBRK_X34Y143 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y143 TILE_X -41680 TILEPROP VBRK_X34Y143 TILE_Y 202296 TILEPROP VBRK_X34Y143 TYPE VBRK TILEPROP VBRK_X34Y144 CLASS tile TILEPROP VBRK_X34Y144 COLUMN 34 TILEPROP VBRK_X34Y144 DEVICE_ID 0 TILEPROP VBRK_X34Y144 FIRST_SITE_ID 1160 TILEPROP VBRK_X34Y144 GRID_POINT_X 34 TILEPROP VBRK_X34Y144 GRID_POINT_Y 12 TILEPROP VBRK_X34Y144 INDEX 1414 TILEPROP VBRK_X34Y144 INT_TILE_X 11 TILEPROP VBRK_X34Y144 INT_TILE_Y 11 TILEPROP VBRK_X34Y144 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y144 IS_DCM_TILE 0 TILEPROP VBRK_X34Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y144 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y144 NAME VBRK_X34Y144 TILEPROP VBRK_X34Y144 NUM_ARCS 0 TILEPROP VBRK_X34Y144 NUM_SITES 0 TILEPROP VBRK_X34Y144 ROW 12 TILEPROP VBRK_X34Y144 SLR_REGION_ID 0 TILEPROP VBRK_X34Y144 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y144 TILE_TYPE VBRK TILEPROP VBRK_X34Y144 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y144 TILE_X -41680 TILEPROP VBRK_X34Y144 TILE_Y 205496 TILEPROP VBRK_X34Y144 TYPE VBRK TILEPROP VBRK_X34Y145 CLASS tile TILEPROP VBRK_X34Y145 COLUMN 34 TILEPROP VBRK_X34Y145 DEVICE_ID 0 TILEPROP VBRK_X34Y145 FIRST_SITE_ID 1064 TILEPROP VBRK_X34Y145 GRID_POINT_X 34 TILEPROP VBRK_X34Y145 GRID_POINT_Y 11 TILEPROP VBRK_X34Y145 INDEX 1299 TILEPROP VBRK_X34Y145 INT_TILE_X 11 TILEPROP VBRK_X34Y145 INT_TILE_Y 10 TILEPROP VBRK_X34Y145 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y145 IS_DCM_TILE 0 TILEPROP VBRK_X34Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y145 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y145 NAME VBRK_X34Y145 TILEPROP VBRK_X34Y145 NUM_ARCS 0 TILEPROP VBRK_X34Y145 NUM_SITES 0 TILEPROP VBRK_X34Y145 ROW 11 TILEPROP VBRK_X34Y145 SLR_REGION_ID 0 TILEPROP VBRK_X34Y145 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y145 TILE_TYPE VBRK TILEPROP VBRK_X34Y145 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y145 TILE_X -41680 TILEPROP VBRK_X34Y145 TILE_Y 208696 TILEPROP VBRK_X34Y145 TYPE VBRK TILEPROP VBRK_X34Y146 CLASS tile TILEPROP VBRK_X34Y146 COLUMN 34 TILEPROP VBRK_X34Y146 DEVICE_ID 0 TILEPROP VBRK_X34Y146 FIRST_SITE_ID 954 TILEPROP VBRK_X34Y146 GRID_POINT_X 34 TILEPROP VBRK_X34Y146 GRID_POINT_Y 10 TILEPROP VBRK_X34Y146 INDEX 1184 TILEPROP VBRK_X34Y146 INT_TILE_X 11 TILEPROP VBRK_X34Y146 INT_TILE_Y 9 TILEPROP VBRK_X34Y146 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y146 IS_DCM_TILE 0 TILEPROP VBRK_X34Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y146 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y146 NAME VBRK_X34Y146 TILEPROP VBRK_X34Y146 NUM_ARCS 0 TILEPROP VBRK_X34Y146 NUM_SITES 0 TILEPROP VBRK_X34Y146 ROW 10 TILEPROP VBRK_X34Y146 SLR_REGION_ID 0 TILEPROP VBRK_X34Y146 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y146 TILE_TYPE VBRK TILEPROP VBRK_X34Y146 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y146 TILE_X -41680 TILEPROP VBRK_X34Y146 TILE_Y 211896 TILEPROP VBRK_X34Y146 TYPE VBRK TILEPROP VBRK_X34Y147 CLASS tile TILEPROP VBRK_X34Y147 COLUMN 34 TILEPROP VBRK_X34Y147 DEVICE_ID 0 TILEPROP VBRK_X34Y147 FIRST_SITE_ID 852 TILEPROP VBRK_X34Y147 GRID_POINT_X 34 TILEPROP VBRK_X34Y147 GRID_POINT_Y 9 TILEPROP VBRK_X34Y147 INDEX 1069 TILEPROP VBRK_X34Y147 INT_TILE_X 11 TILEPROP VBRK_X34Y147 INT_TILE_Y 8 TILEPROP VBRK_X34Y147 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y147 IS_DCM_TILE 0 TILEPROP VBRK_X34Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y147 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y147 NAME VBRK_X34Y147 TILEPROP VBRK_X34Y147 NUM_ARCS 0 TILEPROP VBRK_X34Y147 NUM_SITES 0 TILEPROP VBRK_X34Y147 ROW 9 TILEPROP VBRK_X34Y147 SLR_REGION_ID 0 TILEPROP VBRK_X34Y147 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y147 TILE_TYPE VBRK TILEPROP VBRK_X34Y147 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y147 TILE_X -41680 TILEPROP VBRK_X34Y147 TILE_Y 215096 TILEPROP VBRK_X34Y147 TYPE VBRK TILEPROP VBRK_X34Y148 CLASS tile TILEPROP VBRK_X34Y148 COLUMN 34 TILEPROP VBRK_X34Y148 DEVICE_ID 0 TILEPROP VBRK_X34Y148 FIRST_SITE_ID 748 TILEPROP VBRK_X34Y148 GRID_POINT_X 34 TILEPROP VBRK_X34Y148 GRID_POINT_Y 8 TILEPROP VBRK_X34Y148 INDEX 954 TILEPROP VBRK_X34Y148 INT_TILE_X 11 TILEPROP VBRK_X34Y148 INT_TILE_Y 7 TILEPROP VBRK_X34Y148 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y148 IS_DCM_TILE 0 TILEPROP VBRK_X34Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y148 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y148 NAME VBRK_X34Y148 TILEPROP VBRK_X34Y148 NUM_ARCS 0 TILEPROP VBRK_X34Y148 NUM_SITES 0 TILEPROP VBRK_X34Y148 ROW 8 TILEPROP VBRK_X34Y148 SLR_REGION_ID 0 TILEPROP VBRK_X34Y148 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y148 TILE_TYPE VBRK TILEPROP VBRK_X34Y148 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y148 TILE_X -41680 TILEPROP VBRK_X34Y148 TILE_Y 218296 TILEPROP VBRK_X34Y148 TYPE VBRK TILEPROP VBRK_X34Y149 CLASS tile TILEPROP VBRK_X34Y149 COLUMN 34 TILEPROP VBRK_X34Y149 DEVICE_ID 0 TILEPROP VBRK_X34Y149 FIRST_SITE_ID 651 TILEPROP VBRK_X34Y149 GRID_POINT_X 34 TILEPROP VBRK_X34Y149 GRID_POINT_Y 7 TILEPROP VBRK_X34Y149 INDEX 839 TILEPROP VBRK_X34Y149 INT_TILE_X 11 TILEPROP VBRK_X34Y149 INT_TILE_Y 6 TILEPROP VBRK_X34Y149 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y149 IS_DCM_TILE 0 TILEPROP VBRK_X34Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y149 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y149 NAME VBRK_X34Y149 TILEPROP VBRK_X34Y149 NUM_ARCS 0 TILEPROP VBRK_X34Y149 NUM_SITES 0 TILEPROP VBRK_X34Y149 ROW 7 TILEPROP VBRK_X34Y149 SLR_REGION_ID 0 TILEPROP VBRK_X34Y149 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y149 TILE_TYPE VBRK TILEPROP VBRK_X34Y149 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y149 TILE_X -41680 TILEPROP VBRK_X34Y149 TILE_Y 221496 TILEPROP VBRK_X34Y149 TYPE VBRK TILEPROP VBRK_X34Y150 CLASS tile TILEPROP VBRK_X34Y150 COLUMN 34 TILEPROP VBRK_X34Y150 DEVICE_ID 0 TILEPROP VBRK_X34Y150 FIRST_SITE_ID 540 TILEPROP VBRK_X34Y150 GRID_POINT_X 34 TILEPROP VBRK_X34Y150 GRID_POINT_Y 6 TILEPROP VBRK_X34Y150 INDEX 724 TILEPROP VBRK_X34Y150 INT_TILE_X 11 TILEPROP VBRK_X34Y150 INT_TILE_Y 5 TILEPROP VBRK_X34Y150 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y150 IS_DCM_TILE 0 TILEPROP VBRK_X34Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y150 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y150 NAME VBRK_X34Y150 TILEPROP VBRK_X34Y150 NUM_ARCS 0 TILEPROP VBRK_X34Y150 NUM_SITES 0 TILEPROP VBRK_X34Y150 ROW 6 TILEPROP VBRK_X34Y150 SLR_REGION_ID 0 TILEPROP VBRK_X34Y150 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y150 TILE_TYPE VBRK TILEPROP VBRK_X34Y150 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y150 TILE_X -41680 TILEPROP VBRK_X34Y150 TILE_Y 224696 TILEPROP VBRK_X34Y150 TYPE VBRK TILEPROP VBRK_X34Y151 CLASS tile TILEPROP VBRK_X34Y151 COLUMN 34 TILEPROP VBRK_X34Y151 DEVICE_ID 0 TILEPROP VBRK_X34Y151 FIRST_SITE_ID 438 TILEPROP VBRK_X34Y151 GRID_POINT_X 34 TILEPROP VBRK_X34Y151 GRID_POINT_Y 5 TILEPROP VBRK_X34Y151 INDEX 609 TILEPROP VBRK_X34Y151 INT_TILE_X 11 TILEPROP VBRK_X34Y151 INT_TILE_Y 4 TILEPROP VBRK_X34Y151 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y151 IS_DCM_TILE 0 TILEPROP VBRK_X34Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y151 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y151 NAME VBRK_X34Y151 TILEPROP VBRK_X34Y151 NUM_ARCS 0 TILEPROP VBRK_X34Y151 NUM_SITES 0 TILEPROP VBRK_X34Y151 ROW 5 TILEPROP VBRK_X34Y151 SLR_REGION_ID 0 TILEPROP VBRK_X34Y151 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y151 TILE_TYPE VBRK TILEPROP VBRK_X34Y151 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y151 TILE_X -41680 TILEPROP VBRK_X34Y151 TILE_Y 227896 TILEPROP VBRK_X34Y151 TYPE VBRK TILEPROP VBRK_X34Y152 CLASS tile TILEPROP VBRK_X34Y152 COLUMN 34 TILEPROP VBRK_X34Y152 DEVICE_ID 0 TILEPROP VBRK_X34Y152 FIRST_SITE_ID 328 TILEPROP VBRK_X34Y152 GRID_POINT_X 34 TILEPROP VBRK_X34Y152 GRID_POINT_Y 4 TILEPROP VBRK_X34Y152 INDEX 494 TILEPROP VBRK_X34Y152 INT_TILE_X 11 TILEPROP VBRK_X34Y152 INT_TILE_Y 3 TILEPROP VBRK_X34Y152 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y152 IS_DCM_TILE 0 TILEPROP VBRK_X34Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y152 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y152 NAME VBRK_X34Y152 TILEPROP VBRK_X34Y152 NUM_ARCS 0 TILEPROP VBRK_X34Y152 NUM_SITES 0 TILEPROP VBRK_X34Y152 ROW 4 TILEPROP VBRK_X34Y152 SLR_REGION_ID 0 TILEPROP VBRK_X34Y152 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y152 TILE_TYPE VBRK TILEPROP VBRK_X34Y152 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y152 TILE_X -41680 TILEPROP VBRK_X34Y152 TILE_Y 231096 TILEPROP VBRK_X34Y152 TYPE VBRK TILEPROP VBRK_X34Y153 CLASS tile TILEPROP VBRK_X34Y153 COLUMN 34 TILEPROP VBRK_X34Y153 DEVICE_ID 0 TILEPROP VBRK_X34Y153 FIRST_SITE_ID 232 TILEPROP VBRK_X34Y153 GRID_POINT_X 34 TILEPROP VBRK_X34Y153 GRID_POINT_Y 3 TILEPROP VBRK_X34Y153 INDEX 379 TILEPROP VBRK_X34Y153 INT_TILE_X 11 TILEPROP VBRK_X34Y153 INT_TILE_Y 2 TILEPROP VBRK_X34Y153 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y153 IS_DCM_TILE 0 TILEPROP VBRK_X34Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y153 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y153 NAME VBRK_X34Y153 TILEPROP VBRK_X34Y153 NUM_ARCS 0 TILEPROP VBRK_X34Y153 NUM_SITES 0 TILEPROP VBRK_X34Y153 ROW 3 TILEPROP VBRK_X34Y153 SLR_REGION_ID 0 TILEPROP VBRK_X34Y153 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y153 TILE_TYPE VBRK TILEPROP VBRK_X34Y153 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y153 TILE_X -41680 TILEPROP VBRK_X34Y153 TILE_Y 234296 TILEPROP VBRK_X34Y153 TYPE VBRK TILEPROP VBRK_X34Y154 CLASS tile TILEPROP VBRK_X34Y154 COLUMN 34 TILEPROP VBRK_X34Y154 DEVICE_ID 0 TILEPROP VBRK_X34Y154 FIRST_SITE_ID 128 TILEPROP VBRK_X34Y154 GRID_POINT_X 34 TILEPROP VBRK_X34Y154 GRID_POINT_Y 2 TILEPROP VBRK_X34Y154 INDEX 264 TILEPROP VBRK_X34Y154 INT_TILE_X 11 TILEPROP VBRK_X34Y154 INT_TILE_Y 1 TILEPROP VBRK_X34Y154 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y154 IS_DCM_TILE 0 TILEPROP VBRK_X34Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y154 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y154 NAME VBRK_X34Y154 TILEPROP VBRK_X34Y154 NUM_ARCS 0 TILEPROP VBRK_X34Y154 NUM_SITES 0 TILEPROP VBRK_X34Y154 ROW 2 TILEPROP VBRK_X34Y154 SLR_REGION_ID 0 TILEPROP VBRK_X34Y154 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y154 TILE_TYPE VBRK TILEPROP VBRK_X34Y154 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y154 TILE_X -41680 TILEPROP VBRK_X34Y154 TILE_Y 237496 TILEPROP VBRK_X34Y154 TYPE VBRK TILEPROP VBRK_X34Y155 CLASS tile TILEPROP VBRK_X34Y155 COLUMN 34 TILEPROP VBRK_X34Y155 DEVICE_ID 0 TILEPROP VBRK_X34Y155 FIRST_SITE_ID 32 TILEPROP VBRK_X34Y155 GRID_POINT_X 34 TILEPROP VBRK_X34Y155 GRID_POINT_Y 1 TILEPROP VBRK_X34Y155 INDEX 149 TILEPROP VBRK_X34Y155 INT_TILE_X 11 TILEPROP VBRK_X34Y155 INT_TILE_Y 0 TILEPROP VBRK_X34Y155 IS_CENTER_TILE 0 TILEPROP VBRK_X34Y155 IS_DCM_TILE 0 TILEPROP VBRK_X34Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X34Y155 IS_GT_SITE_TILE 0 TILEPROP VBRK_X34Y155 NAME VBRK_X34Y155 TILEPROP VBRK_X34Y155 NUM_ARCS 0 TILEPROP VBRK_X34Y155 NUM_SITES 0 TILEPROP VBRK_X34Y155 ROW 1 TILEPROP VBRK_X34Y155 SLR_REGION_ID 0 TILEPROP VBRK_X34Y155 TILE_PATTERN_IDX 12 TILEPROP VBRK_X34Y155 TILE_TYPE VBRK TILEPROP VBRK_X34Y155 TILE_TYPE_INDEX 128 TILEPROP VBRK_X34Y155 TILE_X -41680 TILEPROP VBRK_X34Y155 TILE_Y 240696 TILEPROP VBRK_X34Y155 TYPE VBRK TILEPROP VBRK_X61Y1 CLASS tile TILEPROP VBRK_X61Y1 COLUMN 61 TILEPROP VBRK_X61Y1 DEVICE_ID 0 TILEPROP VBRK_X61Y1 FIRST_SITE_ID 15754 TILEPROP VBRK_X61Y1 GRID_POINT_X 61 TILEPROP VBRK_X61Y1 GRID_POINT_Y 155 TILEPROP VBRK_X61Y1 INDEX 17886 TILEPROP VBRK_X61Y1 INT_TILE_X 23 TILEPROP VBRK_X61Y1 INT_TILE_Y 149 TILEPROP VBRK_X61Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y1 IS_DCM_TILE 0 TILEPROP VBRK_X61Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y1 NAME VBRK_X61Y1 TILEPROP VBRK_X61Y1 NUM_ARCS 0 TILEPROP VBRK_X61Y1 NUM_SITES 0 TILEPROP VBRK_X61Y1 ROW 155 TILEPROP VBRK_X61Y1 SLR_REGION_ID 0 TILEPROP VBRK_X61Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y1 TILE_TYPE VBRK TILEPROP VBRK_X61Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y1 TILE_X 10608 TILEPROP VBRK_X61Y1 TILE_Y -239672 TILEPROP VBRK_X61Y1 TYPE VBRK TILEPROP VBRK_X61Y2 CLASS tile TILEPROP VBRK_X61Y2 COLUMN 61 TILEPROP VBRK_X61Y2 DEVICE_ID 0 TILEPROP VBRK_X61Y2 FIRST_SITE_ID 15644 TILEPROP VBRK_X61Y2 GRID_POINT_X 61 TILEPROP VBRK_X61Y2 GRID_POINT_Y 154 TILEPROP VBRK_X61Y2 INDEX 17771 TILEPROP VBRK_X61Y2 INT_TILE_X 23 TILEPROP VBRK_X61Y2 INT_TILE_Y 148 TILEPROP VBRK_X61Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y2 IS_DCM_TILE 0 TILEPROP VBRK_X61Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y2 NAME VBRK_X61Y2 TILEPROP VBRK_X61Y2 NUM_ARCS 0 TILEPROP VBRK_X61Y2 NUM_SITES 0 TILEPROP VBRK_X61Y2 ROW 154 TILEPROP VBRK_X61Y2 SLR_REGION_ID 0 TILEPROP VBRK_X61Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y2 TILE_TYPE VBRK TILEPROP VBRK_X61Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y2 TILE_X 10608 TILEPROP VBRK_X61Y2 TILE_Y -236472 TILEPROP VBRK_X61Y2 TYPE VBRK TILEPROP VBRK_X61Y3 CLASS tile TILEPROP VBRK_X61Y3 COLUMN 61 TILEPROP VBRK_X61Y3 DEVICE_ID 0 TILEPROP VBRK_X61Y3 FIRST_SITE_ID 15543 TILEPROP VBRK_X61Y3 GRID_POINT_X 61 TILEPROP VBRK_X61Y3 GRID_POINT_Y 153 TILEPROP VBRK_X61Y3 INDEX 17656 TILEPROP VBRK_X61Y3 INT_TILE_X 23 TILEPROP VBRK_X61Y3 INT_TILE_Y 147 TILEPROP VBRK_X61Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y3 IS_DCM_TILE 0 TILEPROP VBRK_X61Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y3 NAME VBRK_X61Y3 TILEPROP VBRK_X61Y3 NUM_ARCS 0 TILEPROP VBRK_X61Y3 NUM_SITES 0 TILEPROP VBRK_X61Y3 ROW 153 TILEPROP VBRK_X61Y3 SLR_REGION_ID 0 TILEPROP VBRK_X61Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y3 TILE_TYPE VBRK TILEPROP VBRK_X61Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y3 TILE_X 10608 TILEPROP VBRK_X61Y3 TILE_Y -233272 TILEPROP VBRK_X61Y3 TYPE VBRK TILEPROP VBRK_X61Y4 CLASS tile TILEPROP VBRK_X61Y4 COLUMN 61 TILEPROP VBRK_X61Y4 DEVICE_ID 0 TILEPROP VBRK_X61Y4 FIRST_SITE_ID 15443 TILEPROP VBRK_X61Y4 GRID_POINT_X 61 TILEPROP VBRK_X61Y4 GRID_POINT_Y 152 TILEPROP VBRK_X61Y4 INDEX 17541 TILEPROP VBRK_X61Y4 INT_TILE_X 23 TILEPROP VBRK_X61Y4 INT_TILE_Y 146 TILEPROP VBRK_X61Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y4 IS_DCM_TILE 0 TILEPROP VBRK_X61Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y4 NAME VBRK_X61Y4 TILEPROP VBRK_X61Y4 NUM_ARCS 0 TILEPROP VBRK_X61Y4 NUM_SITES 0 TILEPROP VBRK_X61Y4 ROW 152 TILEPROP VBRK_X61Y4 SLR_REGION_ID 0 TILEPROP VBRK_X61Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y4 TILE_TYPE VBRK TILEPROP VBRK_X61Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y4 TILE_X 10608 TILEPROP VBRK_X61Y4 TILE_Y -230072 TILEPROP VBRK_X61Y4 TYPE VBRK TILEPROP VBRK_X61Y5 CLASS tile TILEPROP VBRK_X61Y5 COLUMN 61 TILEPROP VBRK_X61Y5 DEVICE_ID 0 TILEPROP VBRK_X61Y5 FIRST_SITE_ID 15343 TILEPROP VBRK_X61Y5 GRID_POINT_X 61 TILEPROP VBRK_X61Y5 GRID_POINT_Y 151 TILEPROP VBRK_X61Y5 INDEX 17426 TILEPROP VBRK_X61Y5 INT_TILE_X 23 TILEPROP VBRK_X61Y5 INT_TILE_Y 145 TILEPROP VBRK_X61Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y5 IS_DCM_TILE 0 TILEPROP VBRK_X61Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y5 NAME VBRK_X61Y5 TILEPROP VBRK_X61Y5 NUM_ARCS 0 TILEPROP VBRK_X61Y5 NUM_SITES 0 TILEPROP VBRK_X61Y5 ROW 151 TILEPROP VBRK_X61Y5 SLR_REGION_ID 0 TILEPROP VBRK_X61Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y5 TILE_TYPE VBRK TILEPROP VBRK_X61Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y5 TILE_X 10608 TILEPROP VBRK_X61Y5 TILE_Y -226872 TILEPROP VBRK_X61Y5 TYPE VBRK TILEPROP VBRK_X61Y6 CLASS tile TILEPROP VBRK_X61Y6 COLUMN 61 TILEPROP VBRK_X61Y6 DEVICE_ID 0 TILEPROP VBRK_X61Y6 FIRST_SITE_ID 15234 TILEPROP VBRK_X61Y6 GRID_POINT_X 61 TILEPROP VBRK_X61Y6 GRID_POINT_Y 150 TILEPROP VBRK_X61Y6 INDEX 17311 TILEPROP VBRK_X61Y6 INT_TILE_X 23 TILEPROP VBRK_X61Y6 INT_TILE_Y 144 TILEPROP VBRK_X61Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y6 IS_DCM_TILE 0 TILEPROP VBRK_X61Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y6 NAME VBRK_X61Y6 TILEPROP VBRK_X61Y6 NUM_ARCS 0 TILEPROP VBRK_X61Y6 NUM_SITES 0 TILEPROP VBRK_X61Y6 ROW 150 TILEPROP VBRK_X61Y6 SLR_REGION_ID 0 TILEPROP VBRK_X61Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y6 TILE_TYPE VBRK TILEPROP VBRK_X61Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y6 TILE_X 10608 TILEPROP VBRK_X61Y6 TILE_Y -223672 TILEPROP VBRK_X61Y6 TYPE VBRK TILEPROP VBRK_X61Y7 CLASS tile TILEPROP VBRK_X61Y7 COLUMN 61 TILEPROP VBRK_X61Y7 DEVICE_ID 0 TILEPROP VBRK_X61Y7 FIRST_SITE_ID 15128 TILEPROP VBRK_X61Y7 GRID_POINT_X 61 TILEPROP VBRK_X61Y7 GRID_POINT_Y 149 TILEPROP VBRK_X61Y7 INDEX 17196 TILEPROP VBRK_X61Y7 INT_TILE_X 23 TILEPROP VBRK_X61Y7 INT_TILE_Y 143 TILEPROP VBRK_X61Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y7 IS_DCM_TILE 0 TILEPROP VBRK_X61Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y7 NAME VBRK_X61Y7 TILEPROP VBRK_X61Y7 NUM_ARCS 0 TILEPROP VBRK_X61Y7 NUM_SITES 0 TILEPROP VBRK_X61Y7 ROW 149 TILEPROP VBRK_X61Y7 SLR_REGION_ID 0 TILEPROP VBRK_X61Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y7 TILE_TYPE VBRK TILEPROP VBRK_X61Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y7 TILE_X 10608 TILEPROP VBRK_X61Y7 TILE_Y -220472 TILEPROP VBRK_X61Y7 TYPE VBRK TILEPROP VBRK_X61Y8 CLASS tile TILEPROP VBRK_X61Y8 COLUMN 61 TILEPROP VBRK_X61Y8 DEVICE_ID 0 TILEPROP VBRK_X61Y8 FIRST_SITE_ID 15026 TILEPROP VBRK_X61Y8 GRID_POINT_X 61 TILEPROP VBRK_X61Y8 GRID_POINT_Y 148 TILEPROP VBRK_X61Y8 INDEX 17081 TILEPROP VBRK_X61Y8 INT_TILE_X 23 TILEPROP VBRK_X61Y8 INT_TILE_Y 142 TILEPROP VBRK_X61Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y8 IS_DCM_TILE 0 TILEPROP VBRK_X61Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y8 NAME VBRK_X61Y8 TILEPROP VBRK_X61Y8 NUM_ARCS 0 TILEPROP VBRK_X61Y8 NUM_SITES 0 TILEPROP VBRK_X61Y8 ROW 148 TILEPROP VBRK_X61Y8 SLR_REGION_ID 0 TILEPROP VBRK_X61Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y8 TILE_TYPE VBRK TILEPROP VBRK_X61Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y8 TILE_X 10608 TILEPROP VBRK_X61Y8 TILE_Y -217272 TILEPROP VBRK_X61Y8 TYPE VBRK TILEPROP VBRK_X61Y9 CLASS tile TILEPROP VBRK_X61Y9 COLUMN 61 TILEPROP VBRK_X61Y9 DEVICE_ID 0 TILEPROP VBRK_X61Y9 FIRST_SITE_ID 14923 TILEPROP VBRK_X61Y9 GRID_POINT_X 61 TILEPROP VBRK_X61Y9 GRID_POINT_Y 147 TILEPROP VBRK_X61Y9 INDEX 16966 TILEPROP VBRK_X61Y9 INT_TILE_X 23 TILEPROP VBRK_X61Y9 INT_TILE_Y 141 TILEPROP VBRK_X61Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y9 IS_DCM_TILE 0 TILEPROP VBRK_X61Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y9 NAME VBRK_X61Y9 TILEPROP VBRK_X61Y9 NUM_ARCS 0 TILEPROP VBRK_X61Y9 NUM_SITES 0 TILEPROP VBRK_X61Y9 ROW 147 TILEPROP VBRK_X61Y9 SLR_REGION_ID 0 TILEPROP VBRK_X61Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y9 TILE_TYPE VBRK TILEPROP VBRK_X61Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y9 TILE_X 10608 TILEPROP VBRK_X61Y9 TILE_Y -214072 TILEPROP VBRK_X61Y9 TYPE VBRK TILEPROP VBRK_X61Y10 CLASS tile TILEPROP VBRK_X61Y10 COLUMN 61 TILEPROP VBRK_X61Y10 DEVICE_ID 0 TILEPROP VBRK_X61Y10 FIRST_SITE_ID 14822 TILEPROP VBRK_X61Y10 GRID_POINT_X 61 TILEPROP VBRK_X61Y10 GRID_POINT_Y 146 TILEPROP VBRK_X61Y10 INDEX 16851 TILEPROP VBRK_X61Y10 INT_TILE_X 23 TILEPROP VBRK_X61Y10 INT_TILE_Y 140 TILEPROP VBRK_X61Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y10 IS_DCM_TILE 0 TILEPROP VBRK_X61Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y10 NAME VBRK_X61Y10 TILEPROP VBRK_X61Y10 NUM_ARCS 0 TILEPROP VBRK_X61Y10 NUM_SITES 0 TILEPROP VBRK_X61Y10 ROW 146 TILEPROP VBRK_X61Y10 SLR_REGION_ID 0 TILEPROP VBRK_X61Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y10 TILE_TYPE VBRK TILEPROP VBRK_X61Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y10 TILE_X 10608 TILEPROP VBRK_X61Y10 TILE_Y -210872 TILEPROP VBRK_X61Y10 TYPE VBRK TILEPROP VBRK_X61Y11 CLASS tile TILEPROP VBRK_X61Y11 COLUMN 61 TILEPROP VBRK_X61Y11 DEVICE_ID 0 TILEPROP VBRK_X61Y11 FIRST_SITE_ID 14713 TILEPROP VBRK_X61Y11 GRID_POINT_X 61 TILEPROP VBRK_X61Y11 GRID_POINT_Y 145 TILEPROP VBRK_X61Y11 INDEX 16736 TILEPROP VBRK_X61Y11 INT_TILE_X 23 TILEPROP VBRK_X61Y11 INT_TILE_Y 139 TILEPROP VBRK_X61Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y11 IS_DCM_TILE 0 TILEPROP VBRK_X61Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y11 NAME VBRK_X61Y11 TILEPROP VBRK_X61Y11 NUM_ARCS 0 TILEPROP VBRK_X61Y11 NUM_SITES 0 TILEPROP VBRK_X61Y11 ROW 145 TILEPROP VBRK_X61Y11 SLR_REGION_ID 0 TILEPROP VBRK_X61Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y11 TILE_TYPE VBRK TILEPROP VBRK_X61Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y11 TILE_X 10608 TILEPROP VBRK_X61Y11 TILE_Y -207672 TILEPROP VBRK_X61Y11 TYPE VBRK TILEPROP VBRK_X61Y12 CLASS tile TILEPROP VBRK_X61Y12 COLUMN 61 TILEPROP VBRK_X61Y12 DEVICE_ID 0 TILEPROP VBRK_X61Y12 FIRST_SITE_ID 14607 TILEPROP VBRK_X61Y12 GRID_POINT_X 61 TILEPROP VBRK_X61Y12 GRID_POINT_Y 144 TILEPROP VBRK_X61Y12 INDEX 16621 TILEPROP VBRK_X61Y12 INT_TILE_X 23 TILEPROP VBRK_X61Y12 INT_TILE_Y 138 TILEPROP VBRK_X61Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y12 IS_DCM_TILE 0 TILEPROP VBRK_X61Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y12 NAME VBRK_X61Y12 TILEPROP VBRK_X61Y12 NUM_ARCS 0 TILEPROP VBRK_X61Y12 NUM_SITES 0 TILEPROP VBRK_X61Y12 ROW 144 TILEPROP VBRK_X61Y12 SLR_REGION_ID 0 TILEPROP VBRK_X61Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y12 TILE_TYPE VBRK TILEPROP VBRK_X61Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y12 TILE_X 10608 TILEPROP VBRK_X61Y12 TILE_Y -204472 TILEPROP VBRK_X61Y12 TYPE VBRK TILEPROP VBRK_X61Y13 CLASS tile TILEPROP VBRK_X61Y13 COLUMN 61 TILEPROP VBRK_X61Y13 DEVICE_ID 0 TILEPROP VBRK_X61Y13 FIRST_SITE_ID 14507 TILEPROP VBRK_X61Y13 GRID_POINT_X 61 TILEPROP VBRK_X61Y13 GRID_POINT_Y 143 TILEPROP VBRK_X61Y13 INDEX 16506 TILEPROP VBRK_X61Y13 INT_TILE_X 23 TILEPROP VBRK_X61Y13 INT_TILE_Y 137 TILEPROP VBRK_X61Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y13 IS_DCM_TILE 0 TILEPROP VBRK_X61Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y13 NAME VBRK_X61Y13 TILEPROP VBRK_X61Y13 NUM_ARCS 0 TILEPROP VBRK_X61Y13 NUM_SITES 0 TILEPROP VBRK_X61Y13 ROW 143 TILEPROP VBRK_X61Y13 SLR_REGION_ID 0 TILEPROP VBRK_X61Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y13 TILE_TYPE VBRK TILEPROP VBRK_X61Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y13 TILE_X 10608 TILEPROP VBRK_X61Y13 TILE_Y -201272 TILEPROP VBRK_X61Y13 TYPE VBRK TILEPROP VBRK_X61Y14 CLASS tile TILEPROP VBRK_X61Y14 COLUMN 61 TILEPROP VBRK_X61Y14 DEVICE_ID 0 TILEPROP VBRK_X61Y14 FIRST_SITE_ID 14375 TILEPROP VBRK_X61Y14 GRID_POINT_X 61 TILEPROP VBRK_X61Y14 GRID_POINT_Y 142 TILEPROP VBRK_X61Y14 INDEX 16391 TILEPROP VBRK_X61Y14 INT_TILE_X 23 TILEPROP VBRK_X61Y14 INT_TILE_Y 136 TILEPROP VBRK_X61Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y14 IS_DCM_TILE 0 TILEPROP VBRK_X61Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y14 NAME VBRK_X61Y14 TILEPROP VBRK_X61Y14 NUM_ARCS 0 TILEPROP VBRK_X61Y14 NUM_SITES 0 TILEPROP VBRK_X61Y14 ROW 142 TILEPROP VBRK_X61Y14 SLR_REGION_ID 0 TILEPROP VBRK_X61Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y14 TILE_TYPE VBRK TILEPROP VBRK_X61Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y14 TILE_X 10608 TILEPROP VBRK_X61Y14 TILE_Y -198072 TILEPROP VBRK_X61Y14 TYPE VBRK TILEPROP VBRK_X61Y15 CLASS tile TILEPROP VBRK_X61Y15 COLUMN 61 TILEPROP VBRK_X61Y15 DEVICE_ID 0 TILEPROP VBRK_X61Y15 FIRST_SITE_ID 14275 TILEPROP VBRK_X61Y15 GRID_POINT_X 61 TILEPROP VBRK_X61Y15 GRID_POINT_Y 141 TILEPROP VBRK_X61Y15 INDEX 16276 TILEPROP VBRK_X61Y15 INT_TILE_X 23 TILEPROP VBRK_X61Y15 INT_TILE_Y 135 TILEPROP VBRK_X61Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y15 IS_DCM_TILE 0 TILEPROP VBRK_X61Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y15 NAME VBRK_X61Y15 TILEPROP VBRK_X61Y15 NUM_ARCS 0 TILEPROP VBRK_X61Y15 NUM_SITES 0 TILEPROP VBRK_X61Y15 ROW 141 TILEPROP VBRK_X61Y15 SLR_REGION_ID 0 TILEPROP VBRK_X61Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y15 TILE_TYPE VBRK TILEPROP VBRK_X61Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y15 TILE_X 10608 TILEPROP VBRK_X61Y15 TILE_Y -194872 TILEPROP VBRK_X61Y15 TYPE VBRK TILEPROP VBRK_X61Y16 CLASS tile TILEPROP VBRK_X61Y16 COLUMN 61 TILEPROP VBRK_X61Y16 DEVICE_ID 0 TILEPROP VBRK_X61Y16 FIRST_SITE_ID 14166 TILEPROP VBRK_X61Y16 GRID_POINT_X 61 TILEPROP VBRK_X61Y16 GRID_POINT_Y 140 TILEPROP VBRK_X61Y16 INDEX 16161 TILEPROP VBRK_X61Y16 INT_TILE_X 23 TILEPROP VBRK_X61Y16 INT_TILE_Y 134 TILEPROP VBRK_X61Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y16 IS_DCM_TILE 0 TILEPROP VBRK_X61Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y16 NAME VBRK_X61Y16 TILEPROP VBRK_X61Y16 NUM_ARCS 0 TILEPROP VBRK_X61Y16 NUM_SITES 0 TILEPROP VBRK_X61Y16 ROW 140 TILEPROP VBRK_X61Y16 SLR_REGION_ID 0 TILEPROP VBRK_X61Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y16 TILE_TYPE VBRK TILEPROP VBRK_X61Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y16 TILE_X 10608 TILEPROP VBRK_X61Y16 TILE_Y -191672 TILEPROP VBRK_X61Y16 TYPE VBRK TILEPROP VBRK_X61Y17 CLASS tile TILEPROP VBRK_X61Y17 COLUMN 61 TILEPROP VBRK_X61Y17 DEVICE_ID 0 TILEPROP VBRK_X61Y17 FIRST_SITE_ID 14060 TILEPROP VBRK_X61Y17 GRID_POINT_X 61 TILEPROP VBRK_X61Y17 GRID_POINT_Y 139 TILEPROP VBRK_X61Y17 INDEX 16046 TILEPROP VBRK_X61Y17 INT_TILE_X 23 TILEPROP VBRK_X61Y17 INT_TILE_Y 133 TILEPROP VBRK_X61Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y17 IS_DCM_TILE 0 TILEPROP VBRK_X61Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y17 NAME VBRK_X61Y17 TILEPROP VBRK_X61Y17 NUM_ARCS 0 TILEPROP VBRK_X61Y17 NUM_SITES 0 TILEPROP VBRK_X61Y17 ROW 139 TILEPROP VBRK_X61Y17 SLR_REGION_ID 0 TILEPROP VBRK_X61Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y17 TILE_TYPE VBRK TILEPROP VBRK_X61Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y17 TILE_X 10608 TILEPROP VBRK_X61Y17 TILE_Y -188472 TILEPROP VBRK_X61Y17 TYPE VBRK TILEPROP VBRK_X61Y18 CLASS tile TILEPROP VBRK_X61Y18 COLUMN 61 TILEPROP VBRK_X61Y18 DEVICE_ID 0 TILEPROP VBRK_X61Y18 FIRST_SITE_ID 13956 TILEPROP VBRK_X61Y18 GRID_POINT_X 61 TILEPROP VBRK_X61Y18 GRID_POINT_Y 138 TILEPROP VBRK_X61Y18 INDEX 15931 TILEPROP VBRK_X61Y18 INT_TILE_X 23 TILEPROP VBRK_X61Y18 INT_TILE_Y 132 TILEPROP VBRK_X61Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y18 IS_DCM_TILE 0 TILEPROP VBRK_X61Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y18 NAME VBRK_X61Y18 TILEPROP VBRK_X61Y18 NUM_ARCS 0 TILEPROP VBRK_X61Y18 NUM_SITES 0 TILEPROP VBRK_X61Y18 ROW 138 TILEPROP VBRK_X61Y18 SLR_REGION_ID 0 TILEPROP VBRK_X61Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y18 TILE_TYPE VBRK TILEPROP VBRK_X61Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y18 TILE_X 10608 TILEPROP VBRK_X61Y18 TILE_Y -185272 TILEPROP VBRK_X61Y18 TYPE VBRK TILEPROP VBRK_X61Y19 CLASS tile TILEPROP VBRK_X61Y19 COLUMN 61 TILEPROP VBRK_X61Y19 DEVICE_ID 0 TILEPROP VBRK_X61Y19 FIRST_SITE_ID 13851 TILEPROP VBRK_X61Y19 GRID_POINT_X 61 TILEPROP VBRK_X61Y19 GRID_POINT_Y 137 TILEPROP VBRK_X61Y19 INDEX 15816 TILEPROP VBRK_X61Y19 INT_TILE_X 23 TILEPROP VBRK_X61Y19 INT_TILE_Y 131 TILEPROP VBRK_X61Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y19 IS_DCM_TILE 0 TILEPROP VBRK_X61Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y19 NAME VBRK_X61Y19 TILEPROP VBRK_X61Y19 NUM_ARCS 0 TILEPROP VBRK_X61Y19 NUM_SITES 0 TILEPROP VBRK_X61Y19 ROW 137 TILEPROP VBRK_X61Y19 SLR_REGION_ID 0 TILEPROP VBRK_X61Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y19 TILE_TYPE VBRK TILEPROP VBRK_X61Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y19 TILE_X 10608 TILEPROP VBRK_X61Y19 TILE_Y -182072 TILEPROP VBRK_X61Y19 TYPE VBRK TILEPROP VBRK_X61Y20 CLASS tile TILEPROP VBRK_X61Y20 COLUMN 61 TILEPROP VBRK_X61Y20 DEVICE_ID 0 TILEPROP VBRK_X61Y20 FIRST_SITE_ID 13749 TILEPROP VBRK_X61Y20 GRID_POINT_X 61 TILEPROP VBRK_X61Y20 GRID_POINT_Y 136 TILEPROP VBRK_X61Y20 INDEX 15701 TILEPROP VBRK_X61Y20 INT_TILE_X 23 TILEPROP VBRK_X61Y20 INT_TILE_Y 130 TILEPROP VBRK_X61Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y20 IS_DCM_TILE 0 TILEPROP VBRK_X61Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y20 NAME VBRK_X61Y20 TILEPROP VBRK_X61Y20 NUM_ARCS 0 TILEPROP VBRK_X61Y20 NUM_SITES 0 TILEPROP VBRK_X61Y20 ROW 136 TILEPROP VBRK_X61Y20 SLR_REGION_ID 0 TILEPROP VBRK_X61Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y20 TILE_TYPE VBRK TILEPROP VBRK_X61Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y20 TILE_X 10608 TILEPROP VBRK_X61Y20 TILE_Y -178872 TILEPROP VBRK_X61Y20 TYPE VBRK TILEPROP VBRK_X61Y21 CLASS tile TILEPROP VBRK_X61Y21 COLUMN 61 TILEPROP VBRK_X61Y21 DEVICE_ID 0 TILEPROP VBRK_X61Y21 FIRST_SITE_ID 13638 TILEPROP VBRK_X61Y21 GRID_POINT_X 61 TILEPROP VBRK_X61Y21 GRID_POINT_Y 135 TILEPROP VBRK_X61Y21 INDEX 15586 TILEPROP VBRK_X61Y21 INT_TILE_X 23 TILEPROP VBRK_X61Y21 INT_TILE_Y 129 TILEPROP VBRK_X61Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y21 IS_DCM_TILE 0 TILEPROP VBRK_X61Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y21 NAME VBRK_X61Y21 TILEPROP VBRK_X61Y21 NUM_ARCS 0 TILEPROP VBRK_X61Y21 NUM_SITES 0 TILEPROP VBRK_X61Y21 ROW 135 TILEPROP VBRK_X61Y21 SLR_REGION_ID 0 TILEPROP VBRK_X61Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y21 TILE_TYPE VBRK TILEPROP VBRK_X61Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y21 TILE_X 10608 TILEPROP VBRK_X61Y21 TILE_Y -175672 TILEPROP VBRK_X61Y21 TYPE VBRK TILEPROP VBRK_X61Y22 CLASS tile TILEPROP VBRK_X61Y22 COLUMN 61 TILEPROP VBRK_X61Y22 DEVICE_ID 0 TILEPROP VBRK_X61Y22 FIRST_SITE_ID 13532 TILEPROP VBRK_X61Y22 GRID_POINT_X 61 TILEPROP VBRK_X61Y22 GRID_POINT_Y 134 TILEPROP VBRK_X61Y22 INDEX 15471 TILEPROP VBRK_X61Y22 INT_TILE_X 23 TILEPROP VBRK_X61Y22 INT_TILE_Y 128 TILEPROP VBRK_X61Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y22 IS_DCM_TILE 0 TILEPROP VBRK_X61Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y22 NAME VBRK_X61Y22 TILEPROP VBRK_X61Y22 NUM_ARCS 0 TILEPROP VBRK_X61Y22 NUM_SITES 0 TILEPROP VBRK_X61Y22 ROW 134 TILEPROP VBRK_X61Y22 SLR_REGION_ID 0 TILEPROP VBRK_X61Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y22 TILE_TYPE VBRK TILEPROP VBRK_X61Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y22 TILE_X 10608 TILEPROP VBRK_X61Y22 TILE_Y -172472 TILEPROP VBRK_X61Y22 TYPE VBRK TILEPROP VBRK_X61Y23 CLASS tile TILEPROP VBRK_X61Y23 COLUMN 61 TILEPROP VBRK_X61Y23 DEVICE_ID 0 TILEPROP VBRK_X61Y23 FIRST_SITE_ID 13432 TILEPROP VBRK_X61Y23 GRID_POINT_X 61 TILEPROP VBRK_X61Y23 GRID_POINT_Y 133 TILEPROP VBRK_X61Y23 INDEX 15356 TILEPROP VBRK_X61Y23 INT_TILE_X 23 TILEPROP VBRK_X61Y23 INT_TILE_Y 127 TILEPROP VBRK_X61Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y23 IS_DCM_TILE 0 TILEPROP VBRK_X61Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y23 NAME VBRK_X61Y23 TILEPROP VBRK_X61Y23 NUM_ARCS 0 TILEPROP VBRK_X61Y23 NUM_SITES 0 TILEPROP VBRK_X61Y23 ROW 133 TILEPROP VBRK_X61Y23 SLR_REGION_ID 0 TILEPROP VBRK_X61Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y23 TILE_TYPE VBRK TILEPROP VBRK_X61Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y23 TILE_X 10608 TILEPROP VBRK_X61Y23 TILE_Y -169272 TILEPROP VBRK_X61Y23 TYPE VBRK TILEPROP VBRK_X61Y24 CLASS tile TILEPROP VBRK_X61Y24 COLUMN 61 TILEPROP VBRK_X61Y24 DEVICE_ID 0 TILEPROP VBRK_X61Y24 FIRST_SITE_ID 13332 TILEPROP VBRK_X61Y24 GRID_POINT_X 61 TILEPROP VBRK_X61Y24 GRID_POINT_Y 132 TILEPROP VBRK_X61Y24 INDEX 15241 TILEPROP VBRK_X61Y24 INT_TILE_X 23 TILEPROP VBRK_X61Y24 INT_TILE_Y 126 TILEPROP VBRK_X61Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y24 IS_DCM_TILE 0 TILEPROP VBRK_X61Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y24 NAME VBRK_X61Y24 TILEPROP VBRK_X61Y24 NUM_ARCS 0 TILEPROP VBRK_X61Y24 NUM_SITES 0 TILEPROP VBRK_X61Y24 ROW 132 TILEPROP VBRK_X61Y24 SLR_REGION_ID 0 TILEPROP VBRK_X61Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y24 TILE_TYPE VBRK TILEPROP VBRK_X61Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y24 TILE_X 10608 TILEPROP VBRK_X61Y24 TILE_Y -166072 TILEPROP VBRK_X61Y24 TYPE VBRK TILEPROP VBRK_X61Y25 CLASS tile TILEPROP VBRK_X61Y25 COLUMN 61 TILEPROP VBRK_X61Y25 DEVICE_ID 0 TILEPROP VBRK_X61Y25 FIRST_SITE_ID 13232 TILEPROP VBRK_X61Y25 GRID_POINT_X 61 TILEPROP VBRK_X61Y25 GRID_POINT_Y 131 TILEPROP VBRK_X61Y25 INDEX 15126 TILEPROP VBRK_X61Y25 INT_TILE_X 23 TILEPROP VBRK_X61Y25 INT_TILE_Y 125 TILEPROP VBRK_X61Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y25 IS_DCM_TILE 0 TILEPROP VBRK_X61Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y25 NAME VBRK_X61Y25 TILEPROP VBRK_X61Y25 NUM_ARCS 0 TILEPROP VBRK_X61Y25 NUM_SITES 0 TILEPROP VBRK_X61Y25 ROW 131 TILEPROP VBRK_X61Y25 SLR_REGION_ID 0 TILEPROP VBRK_X61Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y25 TILE_TYPE VBRK TILEPROP VBRK_X61Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y25 TILE_X 10608 TILEPROP VBRK_X61Y25 TILE_Y -162872 TILEPROP VBRK_X61Y25 TYPE VBRK TILEPROP VBRK_X61Y27 CLASS tile TILEPROP VBRK_X61Y27 COLUMN 61 TILEPROP VBRK_X61Y27 DEVICE_ID 0 TILEPROP VBRK_X61Y27 FIRST_SITE_ID 13040 TILEPROP VBRK_X61Y27 GRID_POINT_X 61 TILEPROP VBRK_X61Y27 GRID_POINT_Y 129 TILEPROP VBRK_X61Y27 INDEX 14896 TILEPROP VBRK_X61Y27 INT_TILE_X 23 TILEPROP VBRK_X61Y27 INT_TILE_Y 124 TILEPROP VBRK_X61Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y27 IS_DCM_TILE 0 TILEPROP VBRK_X61Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y27 NAME VBRK_X61Y27 TILEPROP VBRK_X61Y27 NUM_ARCS 0 TILEPROP VBRK_X61Y27 NUM_SITES 0 TILEPROP VBRK_X61Y27 ROW 129 TILEPROP VBRK_X61Y27 SLR_REGION_ID 0 TILEPROP VBRK_X61Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y27 TILE_TYPE VBRK TILEPROP VBRK_X61Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y27 TILE_X 10608 TILEPROP VBRK_X61Y27 TILE_Y -158648 TILEPROP VBRK_X61Y27 TYPE VBRK TILEPROP VBRK_X61Y28 CLASS tile TILEPROP VBRK_X61Y28 COLUMN 61 TILEPROP VBRK_X61Y28 DEVICE_ID 0 TILEPROP VBRK_X61Y28 FIRST_SITE_ID 12934 TILEPROP VBRK_X61Y28 GRID_POINT_X 61 TILEPROP VBRK_X61Y28 GRID_POINT_Y 128 TILEPROP VBRK_X61Y28 INDEX 14781 TILEPROP VBRK_X61Y28 INT_TILE_X 23 TILEPROP VBRK_X61Y28 INT_TILE_Y 123 TILEPROP VBRK_X61Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y28 IS_DCM_TILE 0 TILEPROP VBRK_X61Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y28 NAME VBRK_X61Y28 TILEPROP VBRK_X61Y28 NUM_ARCS 0 TILEPROP VBRK_X61Y28 NUM_SITES 0 TILEPROP VBRK_X61Y28 ROW 128 TILEPROP VBRK_X61Y28 SLR_REGION_ID 0 TILEPROP VBRK_X61Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y28 TILE_TYPE VBRK TILEPROP VBRK_X61Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y28 TILE_X 10608 TILEPROP VBRK_X61Y28 TILE_Y -155448 TILEPROP VBRK_X61Y28 TYPE VBRK TILEPROP VBRK_X61Y29 CLASS tile TILEPROP VBRK_X61Y29 COLUMN 61 TILEPROP VBRK_X61Y29 DEVICE_ID 0 TILEPROP VBRK_X61Y29 FIRST_SITE_ID 12834 TILEPROP VBRK_X61Y29 GRID_POINT_X 61 TILEPROP VBRK_X61Y29 GRID_POINT_Y 127 TILEPROP VBRK_X61Y29 INDEX 14666 TILEPROP VBRK_X61Y29 INT_TILE_X 23 TILEPROP VBRK_X61Y29 INT_TILE_Y 122 TILEPROP VBRK_X61Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y29 IS_DCM_TILE 0 TILEPROP VBRK_X61Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y29 NAME VBRK_X61Y29 TILEPROP VBRK_X61Y29 NUM_ARCS 0 TILEPROP VBRK_X61Y29 NUM_SITES 0 TILEPROP VBRK_X61Y29 ROW 127 TILEPROP VBRK_X61Y29 SLR_REGION_ID 0 TILEPROP VBRK_X61Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y29 TILE_TYPE VBRK TILEPROP VBRK_X61Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y29 TILE_X 10608 TILEPROP VBRK_X61Y29 TILE_Y -152248 TILEPROP VBRK_X61Y29 TYPE VBRK TILEPROP VBRK_X61Y30 CLASS tile TILEPROP VBRK_X61Y30 COLUMN 61 TILEPROP VBRK_X61Y30 DEVICE_ID 0 TILEPROP VBRK_X61Y30 FIRST_SITE_ID 12734 TILEPROP VBRK_X61Y30 GRID_POINT_X 61 TILEPROP VBRK_X61Y30 GRID_POINT_Y 126 TILEPROP VBRK_X61Y30 INDEX 14551 TILEPROP VBRK_X61Y30 INT_TILE_X 23 TILEPROP VBRK_X61Y30 INT_TILE_Y 121 TILEPROP VBRK_X61Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y30 IS_DCM_TILE 0 TILEPROP VBRK_X61Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y30 NAME VBRK_X61Y30 TILEPROP VBRK_X61Y30 NUM_ARCS 0 TILEPROP VBRK_X61Y30 NUM_SITES 0 TILEPROP VBRK_X61Y30 ROW 126 TILEPROP VBRK_X61Y30 SLR_REGION_ID 0 TILEPROP VBRK_X61Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y30 TILE_TYPE VBRK TILEPROP VBRK_X61Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y30 TILE_X 10608 TILEPROP VBRK_X61Y30 TILE_Y -149048 TILEPROP VBRK_X61Y30 TYPE VBRK TILEPROP VBRK_X61Y31 CLASS tile TILEPROP VBRK_X61Y31 COLUMN 61 TILEPROP VBRK_X61Y31 DEVICE_ID 0 TILEPROP VBRK_X61Y31 FIRST_SITE_ID 12628 TILEPROP VBRK_X61Y31 GRID_POINT_X 61 TILEPROP VBRK_X61Y31 GRID_POINT_Y 125 TILEPROP VBRK_X61Y31 INDEX 14436 TILEPROP VBRK_X61Y31 INT_TILE_X 23 TILEPROP VBRK_X61Y31 INT_TILE_Y 120 TILEPROP VBRK_X61Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y31 IS_DCM_TILE 0 TILEPROP VBRK_X61Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y31 NAME VBRK_X61Y31 TILEPROP VBRK_X61Y31 NUM_ARCS 0 TILEPROP VBRK_X61Y31 NUM_SITES 0 TILEPROP VBRK_X61Y31 ROW 125 TILEPROP VBRK_X61Y31 SLR_REGION_ID 0 TILEPROP VBRK_X61Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y31 TILE_TYPE VBRK TILEPROP VBRK_X61Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y31 TILE_X 10608 TILEPROP VBRK_X61Y31 TILE_Y -145848 TILEPROP VBRK_X61Y31 TYPE VBRK TILEPROP VBRK_X61Y32 CLASS tile TILEPROP VBRK_X61Y32 COLUMN 61 TILEPROP VBRK_X61Y32 DEVICE_ID 0 TILEPROP VBRK_X61Y32 FIRST_SITE_ID 12513 TILEPROP VBRK_X61Y32 GRID_POINT_X 61 TILEPROP VBRK_X61Y32 GRID_POINT_Y 124 TILEPROP VBRK_X61Y32 INDEX 14321 TILEPROP VBRK_X61Y32 INT_TILE_X 23 TILEPROP VBRK_X61Y32 INT_TILE_Y 119 TILEPROP VBRK_X61Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y32 IS_DCM_TILE 0 TILEPROP VBRK_X61Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y32 NAME VBRK_X61Y32 TILEPROP VBRK_X61Y32 NUM_ARCS 0 TILEPROP VBRK_X61Y32 NUM_SITES 0 TILEPROP VBRK_X61Y32 ROW 124 TILEPROP VBRK_X61Y32 SLR_REGION_ID 0 TILEPROP VBRK_X61Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y32 TILE_TYPE VBRK TILEPROP VBRK_X61Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y32 TILE_X 10608 TILEPROP VBRK_X61Y32 TILE_Y -142648 TILEPROP VBRK_X61Y32 TYPE VBRK TILEPROP VBRK_X61Y33 CLASS tile TILEPROP VBRK_X61Y33 COLUMN 61 TILEPROP VBRK_X61Y33 DEVICE_ID 0 TILEPROP VBRK_X61Y33 FIRST_SITE_ID 12392 TILEPROP VBRK_X61Y33 GRID_POINT_X 61 TILEPROP VBRK_X61Y33 GRID_POINT_Y 123 TILEPROP VBRK_X61Y33 INDEX 14206 TILEPROP VBRK_X61Y33 INT_TILE_X 23 TILEPROP VBRK_X61Y33 INT_TILE_Y 118 TILEPROP VBRK_X61Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y33 IS_DCM_TILE 0 TILEPROP VBRK_X61Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y33 NAME VBRK_X61Y33 TILEPROP VBRK_X61Y33 NUM_ARCS 0 TILEPROP VBRK_X61Y33 NUM_SITES 0 TILEPROP VBRK_X61Y33 ROW 123 TILEPROP VBRK_X61Y33 SLR_REGION_ID 0 TILEPROP VBRK_X61Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y33 TILE_TYPE VBRK TILEPROP VBRK_X61Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y33 TILE_X 10608 TILEPROP VBRK_X61Y33 TILE_Y -139448 TILEPROP VBRK_X61Y33 TYPE VBRK TILEPROP VBRK_X61Y34 CLASS tile TILEPROP VBRK_X61Y34 COLUMN 61 TILEPROP VBRK_X61Y34 DEVICE_ID 0 TILEPROP VBRK_X61Y34 FIRST_SITE_ID 12290 TILEPROP VBRK_X61Y34 GRID_POINT_X 61 TILEPROP VBRK_X61Y34 GRID_POINT_Y 122 TILEPROP VBRK_X61Y34 INDEX 14091 TILEPROP VBRK_X61Y34 INT_TILE_X 23 TILEPROP VBRK_X61Y34 INT_TILE_Y 117 TILEPROP VBRK_X61Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y34 IS_DCM_TILE 0 TILEPROP VBRK_X61Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y34 NAME VBRK_X61Y34 TILEPROP VBRK_X61Y34 NUM_ARCS 0 TILEPROP VBRK_X61Y34 NUM_SITES 0 TILEPROP VBRK_X61Y34 ROW 122 TILEPROP VBRK_X61Y34 SLR_REGION_ID 0 TILEPROP VBRK_X61Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y34 TILE_TYPE VBRK TILEPROP VBRK_X61Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y34 TILE_X 10608 TILEPROP VBRK_X61Y34 TILE_Y -136248 TILEPROP VBRK_X61Y34 TYPE VBRK TILEPROP VBRK_X61Y35 CLASS tile TILEPROP VBRK_X61Y35 COLUMN 61 TILEPROP VBRK_X61Y35 DEVICE_ID 0 TILEPROP VBRK_X61Y35 FIRST_SITE_ID 12189 TILEPROP VBRK_X61Y35 GRID_POINT_X 61 TILEPROP VBRK_X61Y35 GRID_POINT_Y 121 TILEPROP VBRK_X61Y35 INDEX 13976 TILEPROP VBRK_X61Y35 INT_TILE_X 23 TILEPROP VBRK_X61Y35 INT_TILE_Y 116 TILEPROP VBRK_X61Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y35 IS_DCM_TILE 0 TILEPROP VBRK_X61Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y35 NAME VBRK_X61Y35 TILEPROP VBRK_X61Y35 NUM_ARCS 0 TILEPROP VBRK_X61Y35 NUM_SITES 0 TILEPROP VBRK_X61Y35 ROW 121 TILEPROP VBRK_X61Y35 SLR_REGION_ID 0 TILEPROP VBRK_X61Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y35 TILE_TYPE VBRK TILEPROP VBRK_X61Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y35 TILE_X 10608 TILEPROP VBRK_X61Y35 TILE_Y -133048 TILEPROP VBRK_X61Y35 TYPE VBRK TILEPROP VBRK_X61Y36 CLASS tile TILEPROP VBRK_X61Y36 COLUMN 61 TILEPROP VBRK_X61Y36 DEVICE_ID 0 TILEPROP VBRK_X61Y36 FIRST_SITE_ID 12089 TILEPROP VBRK_X61Y36 GRID_POINT_X 61 TILEPROP VBRK_X61Y36 GRID_POINT_Y 120 TILEPROP VBRK_X61Y36 INDEX 13861 TILEPROP VBRK_X61Y36 INT_TILE_X 23 TILEPROP VBRK_X61Y36 INT_TILE_Y 115 TILEPROP VBRK_X61Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y36 IS_DCM_TILE 0 TILEPROP VBRK_X61Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y36 NAME VBRK_X61Y36 TILEPROP VBRK_X61Y36 NUM_ARCS 0 TILEPROP VBRK_X61Y36 NUM_SITES 0 TILEPROP VBRK_X61Y36 ROW 120 TILEPROP VBRK_X61Y36 SLR_REGION_ID 0 TILEPROP VBRK_X61Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y36 TILE_TYPE VBRK TILEPROP VBRK_X61Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y36 TILE_X 10608 TILEPROP VBRK_X61Y36 TILE_Y -129848 TILEPROP VBRK_X61Y36 TYPE VBRK TILEPROP VBRK_X61Y37 CLASS tile TILEPROP VBRK_X61Y37 COLUMN 61 TILEPROP VBRK_X61Y37 DEVICE_ID 0 TILEPROP VBRK_X61Y37 FIRST_SITE_ID 11980 TILEPROP VBRK_X61Y37 GRID_POINT_X 61 TILEPROP VBRK_X61Y37 GRID_POINT_Y 119 TILEPROP VBRK_X61Y37 INDEX 13746 TILEPROP VBRK_X61Y37 INT_TILE_X 23 TILEPROP VBRK_X61Y37 INT_TILE_Y 114 TILEPROP VBRK_X61Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y37 IS_DCM_TILE 0 TILEPROP VBRK_X61Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y37 NAME VBRK_X61Y37 TILEPROP VBRK_X61Y37 NUM_ARCS 0 TILEPROP VBRK_X61Y37 NUM_SITES 0 TILEPROP VBRK_X61Y37 ROW 119 TILEPROP VBRK_X61Y37 SLR_REGION_ID 0 TILEPROP VBRK_X61Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y37 TILE_TYPE VBRK TILEPROP VBRK_X61Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y37 TILE_X 10608 TILEPROP VBRK_X61Y37 TILE_Y -126648 TILEPROP VBRK_X61Y37 TYPE VBRK TILEPROP VBRK_X61Y38 CLASS tile TILEPROP VBRK_X61Y38 COLUMN 61 TILEPROP VBRK_X61Y38 DEVICE_ID 0 TILEPROP VBRK_X61Y38 FIRST_SITE_ID 11874 TILEPROP VBRK_X61Y38 GRID_POINT_X 61 TILEPROP VBRK_X61Y38 GRID_POINT_Y 118 TILEPROP VBRK_X61Y38 INDEX 13631 TILEPROP VBRK_X61Y38 INT_TILE_X 23 TILEPROP VBRK_X61Y38 INT_TILE_Y 113 TILEPROP VBRK_X61Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y38 IS_DCM_TILE 0 TILEPROP VBRK_X61Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y38 NAME VBRK_X61Y38 TILEPROP VBRK_X61Y38 NUM_ARCS 0 TILEPROP VBRK_X61Y38 NUM_SITES 0 TILEPROP VBRK_X61Y38 ROW 118 TILEPROP VBRK_X61Y38 SLR_REGION_ID 0 TILEPROP VBRK_X61Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y38 TILE_TYPE VBRK TILEPROP VBRK_X61Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y38 TILE_X 10608 TILEPROP VBRK_X61Y38 TILE_Y -123448 TILEPROP VBRK_X61Y38 TYPE VBRK TILEPROP VBRK_X61Y39 CLASS tile TILEPROP VBRK_X61Y39 COLUMN 61 TILEPROP VBRK_X61Y39 DEVICE_ID 0 TILEPROP VBRK_X61Y39 FIRST_SITE_ID 11742 TILEPROP VBRK_X61Y39 GRID_POINT_X 61 TILEPROP VBRK_X61Y39 GRID_POINT_Y 117 TILEPROP VBRK_X61Y39 INDEX 13516 TILEPROP VBRK_X61Y39 INT_TILE_X 23 TILEPROP VBRK_X61Y39 INT_TILE_Y 112 TILEPROP VBRK_X61Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y39 IS_DCM_TILE 0 TILEPROP VBRK_X61Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y39 NAME VBRK_X61Y39 TILEPROP VBRK_X61Y39 NUM_ARCS 0 TILEPROP VBRK_X61Y39 NUM_SITES 0 TILEPROP VBRK_X61Y39 ROW 117 TILEPROP VBRK_X61Y39 SLR_REGION_ID 0 TILEPROP VBRK_X61Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y39 TILE_TYPE VBRK TILEPROP VBRK_X61Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y39 TILE_X 10608 TILEPROP VBRK_X61Y39 TILE_Y -120248 TILEPROP VBRK_X61Y39 TYPE VBRK TILEPROP VBRK_X61Y40 CLASS tile TILEPROP VBRK_X61Y40 COLUMN 61 TILEPROP VBRK_X61Y40 DEVICE_ID 0 TILEPROP VBRK_X61Y40 FIRST_SITE_ID 11642 TILEPROP VBRK_X61Y40 GRID_POINT_X 61 TILEPROP VBRK_X61Y40 GRID_POINT_Y 116 TILEPROP VBRK_X61Y40 INDEX 13401 TILEPROP VBRK_X61Y40 INT_TILE_X 23 TILEPROP VBRK_X61Y40 INT_TILE_Y 111 TILEPROP VBRK_X61Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y40 IS_DCM_TILE 0 TILEPROP VBRK_X61Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y40 NAME VBRK_X61Y40 TILEPROP VBRK_X61Y40 NUM_ARCS 0 TILEPROP VBRK_X61Y40 NUM_SITES 0 TILEPROP VBRK_X61Y40 ROW 116 TILEPROP VBRK_X61Y40 SLR_REGION_ID 0 TILEPROP VBRK_X61Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y40 TILE_TYPE VBRK TILEPROP VBRK_X61Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y40 TILE_X 10608 TILEPROP VBRK_X61Y40 TILE_Y -117048 TILEPROP VBRK_X61Y40 TYPE VBRK TILEPROP VBRK_X61Y41 CLASS tile TILEPROP VBRK_X61Y41 COLUMN 61 TILEPROP VBRK_X61Y41 DEVICE_ID 0 TILEPROP VBRK_X61Y41 FIRST_SITE_ID 11542 TILEPROP VBRK_X61Y41 GRID_POINT_X 61 TILEPROP VBRK_X61Y41 GRID_POINT_Y 115 TILEPROP VBRK_X61Y41 INDEX 13286 TILEPROP VBRK_X61Y41 INT_TILE_X 23 TILEPROP VBRK_X61Y41 INT_TILE_Y 110 TILEPROP VBRK_X61Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y41 IS_DCM_TILE 0 TILEPROP VBRK_X61Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y41 NAME VBRK_X61Y41 TILEPROP VBRK_X61Y41 NUM_ARCS 0 TILEPROP VBRK_X61Y41 NUM_SITES 0 TILEPROP VBRK_X61Y41 ROW 115 TILEPROP VBRK_X61Y41 SLR_REGION_ID 0 TILEPROP VBRK_X61Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y41 TILE_TYPE VBRK TILEPROP VBRK_X61Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y41 TILE_X 10608 TILEPROP VBRK_X61Y41 TILE_Y -113848 TILEPROP VBRK_X61Y41 TYPE VBRK TILEPROP VBRK_X61Y42 CLASS tile TILEPROP VBRK_X61Y42 COLUMN 61 TILEPROP VBRK_X61Y42 DEVICE_ID 0 TILEPROP VBRK_X61Y42 FIRST_SITE_ID 11433 TILEPROP VBRK_X61Y42 GRID_POINT_X 61 TILEPROP VBRK_X61Y42 GRID_POINT_Y 114 TILEPROP VBRK_X61Y42 INDEX 13171 TILEPROP VBRK_X61Y42 INT_TILE_X 23 TILEPROP VBRK_X61Y42 INT_TILE_Y 109 TILEPROP VBRK_X61Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y42 IS_DCM_TILE 0 TILEPROP VBRK_X61Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y42 NAME VBRK_X61Y42 TILEPROP VBRK_X61Y42 NUM_ARCS 0 TILEPROP VBRK_X61Y42 NUM_SITES 0 TILEPROP VBRK_X61Y42 ROW 114 TILEPROP VBRK_X61Y42 SLR_REGION_ID 0 TILEPROP VBRK_X61Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y42 TILE_TYPE VBRK TILEPROP VBRK_X61Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y42 TILE_X 10608 TILEPROP VBRK_X61Y42 TILE_Y -110648 TILEPROP VBRK_X61Y42 TYPE VBRK TILEPROP VBRK_X61Y43 CLASS tile TILEPROP VBRK_X61Y43 COLUMN 61 TILEPROP VBRK_X61Y43 DEVICE_ID 0 TILEPROP VBRK_X61Y43 FIRST_SITE_ID 11325 TILEPROP VBRK_X61Y43 GRID_POINT_X 61 TILEPROP VBRK_X61Y43 GRID_POINT_Y 113 TILEPROP VBRK_X61Y43 INDEX 13056 TILEPROP VBRK_X61Y43 INT_TILE_X 23 TILEPROP VBRK_X61Y43 INT_TILE_Y 108 TILEPROP VBRK_X61Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y43 IS_DCM_TILE 0 TILEPROP VBRK_X61Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y43 NAME VBRK_X61Y43 TILEPROP VBRK_X61Y43 NUM_ARCS 0 TILEPROP VBRK_X61Y43 NUM_SITES 0 TILEPROP VBRK_X61Y43 ROW 113 TILEPROP VBRK_X61Y43 SLR_REGION_ID 0 TILEPROP VBRK_X61Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y43 TILE_TYPE VBRK TILEPROP VBRK_X61Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y43 TILE_X 10608 TILEPROP VBRK_X61Y43 TILE_Y -107448 TILEPROP VBRK_X61Y43 TYPE VBRK TILEPROP VBRK_X61Y44 CLASS tile TILEPROP VBRK_X61Y44 COLUMN 61 TILEPROP VBRK_X61Y44 DEVICE_ID 0 TILEPROP VBRK_X61Y44 FIRST_SITE_ID 11223 TILEPROP VBRK_X61Y44 GRID_POINT_X 61 TILEPROP VBRK_X61Y44 GRID_POINT_Y 112 TILEPROP VBRK_X61Y44 INDEX 12941 TILEPROP VBRK_X61Y44 INT_TILE_X 23 TILEPROP VBRK_X61Y44 INT_TILE_Y 107 TILEPROP VBRK_X61Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y44 IS_DCM_TILE 0 TILEPROP VBRK_X61Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y44 NAME VBRK_X61Y44 TILEPROP VBRK_X61Y44 NUM_ARCS 0 TILEPROP VBRK_X61Y44 NUM_SITES 0 TILEPROP VBRK_X61Y44 ROW 112 TILEPROP VBRK_X61Y44 SLR_REGION_ID 0 TILEPROP VBRK_X61Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y44 TILE_TYPE VBRK TILEPROP VBRK_X61Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y44 TILE_X 10608 TILEPROP VBRK_X61Y44 TILE_Y -104248 TILEPROP VBRK_X61Y44 TYPE VBRK TILEPROP VBRK_X61Y45 CLASS tile TILEPROP VBRK_X61Y45 COLUMN 61 TILEPROP VBRK_X61Y45 DEVICE_ID 0 TILEPROP VBRK_X61Y45 FIRST_SITE_ID 11120 TILEPROP VBRK_X61Y45 GRID_POINT_X 61 TILEPROP VBRK_X61Y45 GRID_POINT_Y 111 TILEPROP VBRK_X61Y45 INDEX 12826 TILEPROP VBRK_X61Y45 INT_TILE_X 23 TILEPROP VBRK_X61Y45 INT_TILE_Y 106 TILEPROP VBRK_X61Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y45 IS_DCM_TILE 0 TILEPROP VBRK_X61Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y45 NAME VBRK_X61Y45 TILEPROP VBRK_X61Y45 NUM_ARCS 0 TILEPROP VBRK_X61Y45 NUM_SITES 0 TILEPROP VBRK_X61Y45 ROW 111 TILEPROP VBRK_X61Y45 SLR_REGION_ID 0 TILEPROP VBRK_X61Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y45 TILE_TYPE VBRK TILEPROP VBRK_X61Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y45 TILE_X 10608 TILEPROP VBRK_X61Y45 TILE_Y -101048 TILEPROP VBRK_X61Y45 TYPE VBRK TILEPROP VBRK_X61Y46 CLASS tile TILEPROP VBRK_X61Y46 COLUMN 61 TILEPROP VBRK_X61Y46 DEVICE_ID 0 TILEPROP VBRK_X61Y46 FIRST_SITE_ID 11018 TILEPROP VBRK_X61Y46 GRID_POINT_X 61 TILEPROP VBRK_X61Y46 GRID_POINT_Y 110 TILEPROP VBRK_X61Y46 INDEX 12711 TILEPROP VBRK_X61Y46 INT_TILE_X 23 TILEPROP VBRK_X61Y46 INT_TILE_Y 105 TILEPROP VBRK_X61Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y46 IS_DCM_TILE 0 TILEPROP VBRK_X61Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y46 NAME VBRK_X61Y46 TILEPROP VBRK_X61Y46 NUM_ARCS 0 TILEPROP VBRK_X61Y46 NUM_SITES 0 TILEPROP VBRK_X61Y46 ROW 110 TILEPROP VBRK_X61Y46 SLR_REGION_ID 0 TILEPROP VBRK_X61Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y46 TILE_TYPE VBRK TILEPROP VBRK_X61Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y46 TILE_X 10608 TILEPROP VBRK_X61Y46 TILE_Y -97848 TILEPROP VBRK_X61Y46 TYPE VBRK TILEPROP VBRK_X61Y47 CLASS tile TILEPROP VBRK_X61Y47 COLUMN 61 TILEPROP VBRK_X61Y47 DEVICE_ID 0 TILEPROP VBRK_X61Y47 FIRST_SITE_ID 10909 TILEPROP VBRK_X61Y47 GRID_POINT_X 61 TILEPROP VBRK_X61Y47 GRID_POINT_Y 109 TILEPROP VBRK_X61Y47 INDEX 12596 TILEPROP VBRK_X61Y47 INT_TILE_X 23 TILEPROP VBRK_X61Y47 INT_TILE_Y 104 TILEPROP VBRK_X61Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y47 IS_DCM_TILE 0 TILEPROP VBRK_X61Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y47 NAME VBRK_X61Y47 TILEPROP VBRK_X61Y47 NUM_ARCS 0 TILEPROP VBRK_X61Y47 NUM_SITES 0 TILEPROP VBRK_X61Y47 ROW 109 TILEPROP VBRK_X61Y47 SLR_REGION_ID 0 TILEPROP VBRK_X61Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y47 TILE_TYPE VBRK TILEPROP VBRK_X61Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y47 TILE_X 10608 TILEPROP VBRK_X61Y47 TILE_Y -94648 TILEPROP VBRK_X61Y47 TYPE VBRK TILEPROP VBRK_X61Y48 CLASS tile TILEPROP VBRK_X61Y48 COLUMN 61 TILEPROP VBRK_X61Y48 DEVICE_ID 0 TILEPROP VBRK_X61Y48 FIRST_SITE_ID 10802 TILEPROP VBRK_X61Y48 GRID_POINT_X 61 TILEPROP VBRK_X61Y48 GRID_POINT_Y 108 TILEPROP VBRK_X61Y48 INDEX 12481 TILEPROP VBRK_X61Y48 INT_TILE_X 23 TILEPROP VBRK_X61Y48 INT_TILE_Y 103 TILEPROP VBRK_X61Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y48 IS_DCM_TILE 0 TILEPROP VBRK_X61Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y48 NAME VBRK_X61Y48 TILEPROP VBRK_X61Y48 NUM_ARCS 0 TILEPROP VBRK_X61Y48 NUM_SITES 0 TILEPROP VBRK_X61Y48 ROW 108 TILEPROP VBRK_X61Y48 SLR_REGION_ID 0 TILEPROP VBRK_X61Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y48 TILE_TYPE VBRK TILEPROP VBRK_X61Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y48 TILE_X 10608 TILEPROP VBRK_X61Y48 TILE_Y -91448 TILEPROP VBRK_X61Y48 TYPE VBRK TILEPROP VBRK_X61Y49 CLASS tile TILEPROP VBRK_X61Y49 COLUMN 61 TILEPROP VBRK_X61Y49 DEVICE_ID 0 TILEPROP VBRK_X61Y49 FIRST_SITE_ID 10686 TILEPROP VBRK_X61Y49 GRID_POINT_X 61 TILEPROP VBRK_X61Y49 GRID_POINT_Y 107 TILEPROP VBRK_X61Y49 INDEX 12366 TILEPROP VBRK_X61Y49 INT_TILE_X 23 TILEPROP VBRK_X61Y49 INT_TILE_Y 102 TILEPROP VBRK_X61Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y49 IS_DCM_TILE 0 TILEPROP VBRK_X61Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y49 NAME VBRK_X61Y49 TILEPROP VBRK_X61Y49 NUM_ARCS 0 TILEPROP VBRK_X61Y49 NUM_SITES 0 TILEPROP VBRK_X61Y49 ROW 107 TILEPROP VBRK_X61Y49 SLR_REGION_ID 0 TILEPROP VBRK_X61Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y49 TILE_TYPE VBRK TILEPROP VBRK_X61Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y49 TILE_X 10608 TILEPROP VBRK_X61Y49 TILE_Y -88248 TILEPROP VBRK_X61Y49 TYPE VBRK TILEPROP VBRK_X61Y50 CLASS tile TILEPROP VBRK_X61Y50 COLUMN 61 TILEPROP VBRK_X61Y50 DEVICE_ID 0 TILEPROP VBRK_X61Y50 FIRST_SITE_ID 10586 TILEPROP VBRK_X61Y50 GRID_POINT_X 61 TILEPROP VBRK_X61Y50 GRID_POINT_Y 106 TILEPROP VBRK_X61Y50 INDEX 12251 TILEPROP VBRK_X61Y50 INT_TILE_X 23 TILEPROP VBRK_X61Y50 INT_TILE_Y 101 TILEPROP VBRK_X61Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y50 IS_DCM_TILE 0 TILEPROP VBRK_X61Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y50 NAME VBRK_X61Y50 TILEPROP VBRK_X61Y50 NUM_ARCS 0 TILEPROP VBRK_X61Y50 NUM_SITES 0 TILEPROP VBRK_X61Y50 ROW 106 TILEPROP VBRK_X61Y50 SLR_REGION_ID 0 TILEPROP VBRK_X61Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y50 TILE_TYPE VBRK TILEPROP VBRK_X61Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y50 TILE_X 10608 TILEPROP VBRK_X61Y50 TILE_Y -85048 TILEPROP VBRK_X61Y50 TYPE VBRK TILEPROP VBRK_X61Y51 CLASS tile TILEPROP VBRK_X61Y51 COLUMN 61 TILEPROP VBRK_X61Y51 DEVICE_ID 0 TILEPROP VBRK_X61Y51 FIRST_SITE_ID 10490 TILEPROP VBRK_X61Y51 GRID_POINT_X 61 TILEPROP VBRK_X61Y51 GRID_POINT_Y 105 TILEPROP VBRK_X61Y51 INDEX 12136 TILEPROP VBRK_X61Y51 INT_TILE_X 23 TILEPROP VBRK_X61Y51 INT_TILE_Y 100 TILEPROP VBRK_X61Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y51 IS_DCM_TILE 0 TILEPROP VBRK_X61Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y51 NAME VBRK_X61Y51 TILEPROP VBRK_X61Y51 NUM_ARCS 0 TILEPROP VBRK_X61Y51 NUM_SITES 0 TILEPROP VBRK_X61Y51 ROW 105 TILEPROP VBRK_X61Y51 SLR_REGION_ID 0 TILEPROP VBRK_X61Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y51 TILE_TYPE VBRK TILEPROP VBRK_X61Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y51 TILE_X 10608 TILEPROP VBRK_X61Y51 TILE_Y -81848 TILEPROP VBRK_X61Y51 TYPE VBRK TILEPROP VBRK_X61Y53 CLASS tile TILEPROP VBRK_X61Y53 COLUMN 61 TILEPROP VBRK_X61Y53 DEVICE_ID 0 TILEPROP VBRK_X61Y53 FIRST_SITE_ID 10381 TILEPROP VBRK_X61Y53 GRID_POINT_X 61 TILEPROP VBRK_X61Y53 GRID_POINT_Y 103 TILEPROP VBRK_X61Y53 INDEX 11906 TILEPROP VBRK_X61Y53 INT_TILE_X 23 TILEPROP VBRK_X61Y53 INT_TILE_Y 99 TILEPROP VBRK_X61Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y53 IS_DCM_TILE 0 TILEPROP VBRK_X61Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y53 NAME VBRK_X61Y53 TILEPROP VBRK_X61Y53 NUM_ARCS 0 TILEPROP VBRK_X61Y53 NUM_SITES 0 TILEPROP VBRK_X61Y53 ROW 103 TILEPROP VBRK_X61Y53 SLR_REGION_ID 0 TILEPROP VBRK_X61Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y53 TILE_TYPE VBRK TILEPROP VBRK_X61Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y53 TILE_X 10608 TILEPROP VBRK_X61Y53 TILE_Y -78400 TILEPROP VBRK_X61Y53 TYPE VBRK TILEPROP VBRK_X61Y54 CLASS tile TILEPROP VBRK_X61Y54 COLUMN 61 TILEPROP VBRK_X61Y54 DEVICE_ID 0 TILEPROP VBRK_X61Y54 FIRST_SITE_ID 10255 TILEPROP VBRK_X61Y54 GRID_POINT_X 61 TILEPROP VBRK_X61Y54 GRID_POINT_Y 102 TILEPROP VBRK_X61Y54 INDEX 11791 TILEPROP VBRK_X61Y54 INT_TILE_X 23 TILEPROP VBRK_X61Y54 INT_TILE_Y 98 TILEPROP VBRK_X61Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y54 IS_DCM_TILE 0 TILEPROP VBRK_X61Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y54 NAME VBRK_X61Y54 TILEPROP VBRK_X61Y54 NUM_ARCS 0 TILEPROP VBRK_X61Y54 NUM_SITES 0 TILEPROP VBRK_X61Y54 ROW 102 TILEPROP VBRK_X61Y54 SLR_REGION_ID 0 TILEPROP VBRK_X61Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y54 TILE_TYPE VBRK TILEPROP VBRK_X61Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y54 TILE_X 10608 TILEPROP VBRK_X61Y54 TILE_Y -75200 TILEPROP VBRK_X61Y54 TYPE VBRK TILEPROP VBRK_X61Y55 CLASS tile TILEPROP VBRK_X61Y55 COLUMN 61 TILEPROP VBRK_X61Y55 DEVICE_ID 0 TILEPROP VBRK_X61Y55 FIRST_SITE_ID 10155 TILEPROP VBRK_X61Y55 GRID_POINT_X 61 TILEPROP VBRK_X61Y55 GRID_POINT_Y 101 TILEPROP VBRK_X61Y55 INDEX 11676 TILEPROP VBRK_X61Y55 INT_TILE_X 23 TILEPROP VBRK_X61Y55 INT_TILE_Y 97 TILEPROP VBRK_X61Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y55 IS_DCM_TILE 0 TILEPROP VBRK_X61Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y55 NAME VBRK_X61Y55 TILEPROP VBRK_X61Y55 NUM_ARCS 0 TILEPROP VBRK_X61Y55 NUM_SITES 0 TILEPROP VBRK_X61Y55 ROW 101 TILEPROP VBRK_X61Y55 SLR_REGION_ID 0 TILEPROP VBRK_X61Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y55 TILE_TYPE VBRK TILEPROP VBRK_X61Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y55 TILE_X 10608 TILEPROP VBRK_X61Y55 TILE_Y -72000 TILEPROP VBRK_X61Y55 TYPE VBRK TILEPROP VBRK_X61Y56 CLASS tile TILEPROP VBRK_X61Y56 COLUMN 61 TILEPROP VBRK_X61Y56 DEVICE_ID 0 TILEPROP VBRK_X61Y56 FIRST_SITE_ID 10055 TILEPROP VBRK_X61Y56 GRID_POINT_X 61 TILEPROP VBRK_X61Y56 GRID_POINT_Y 100 TILEPROP VBRK_X61Y56 INDEX 11561 TILEPROP VBRK_X61Y56 INT_TILE_X 23 TILEPROP VBRK_X61Y56 INT_TILE_Y 96 TILEPROP VBRK_X61Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y56 IS_DCM_TILE 0 TILEPROP VBRK_X61Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y56 NAME VBRK_X61Y56 TILEPROP VBRK_X61Y56 NUM_ARCS 0 TILEPROP VBRK_X61Y56 NUM_SITES 0 TILEPROP VBRK_X61Y56 ROW 100 TILEPROP VBRK_X61Y56 SLR_REGION_ID 0 TILEPROP VBRK_X61Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y56 TILE_TYPE VBRK TILEPROP VBRK_X61Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y56 TILE_X 10608 TILEPROP VBRK_X61Y56 TILE_Y -68800 TILEPROP VBRK_X61Y56 TYPE VBRK TILEPROP VBRK_X61Y57 CLASS tile TILEPROP VBRK_X61Y57 COLUMN 61 TILEPROP VBRK_X61Y57 DEVICE_ID 0 TILEPROP VBRK_X61Y57 FIRST_SITE_ID 9955 TILEPROP VBRK_X61Y57 GRID_POINT_X 61 TILEPROP VBRK_X61Y57 GRID_POINT_Y 99 TILEPROP VBRK_X61Y57 INDEX 11446 TILEPROP VBRK_X61Y57 INT_TILE_X 23 TILEPROP VBRK_X61Y57 INT_TILE_Y 95 TILEPROP VBRK_X61Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y57 IS_DCM_TILE 0 TILEPROP VBRK_X61Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y57 NAME VBRK_X61Y57 TILEPROP VBRK_X61Y57 NUM_ARCS 0 TILEPROP VBRK_X61Y57 NUM_SITES 0 TILEPROP VBRK_X61Y57 ROW 99 TILEPROP VBRK_X61Y57 SLR_REGION_ID 0 TILEPROP VBRK_X61Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y57 TILE_TYPE VBRK TILEPROP VBRK_X61Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y57 TILE_X 10608 TILEPROP VBRK_X61Y57 TILE_Y -65600 TILEPROP VBRK_X61Y57 TYPE VBRK TILEPROP VBRK_X61Y58 CLASS tile TILEPROP VBRK_X61Y58 COLUMN 61 TILEPROP VBRK_X61Y58 DEVICE_ID 0 TILEPROP VBRK_X61Y58 FIRST_SITE_ID 9846 TILEPROP VBRK_X61Y58 GRID_POINT_X 61 TILEPROP VBRK_X61Y58 GRID_POINT_Y 98 TILEPROP VBRK_X61Y58 INDEX 11331 TILEPROP VBRK_X61Y58 INT_TILE_X 23 TILEPROP VBRK_X61Y58 INT_TILE_Y 94 TILEPROP VBRK_X61Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y58 IS_DCM_TILE 0 TILEPROP VBRK_X61Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y58 NAME VBRK_X61Y58 TILEPROP VBRK_X61Y58 NUM_ARCS 0 TILEPROP VBRK_X61Y58 NUM_SITES 0 TILEPROP VBRK_X61Y58 ROW 98 TILEPROP VBRK_X61Y58 SLR_REGION_ID 0 TILEPROP VBRK_X61Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y58 TILE_TYPE VBRK TILEPROP VBRK_X61Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y58 TILE_X 10608 TILEPROP VBRK_X61Y58 TILE_Y -62400 TILEPROP VBRK_X61Y58 TYPE VBRK TILEPROP VBRK_X61Y59 CLASS tile TILEPROP VBRK_X61Y59 COLUMN 61 TILEPROP VBRK_X61Y59 DEVICE_ID 0 TILEPROP VBRK_X61Y59 FIRST_SITE_ID 9740 TILEPROP VBRK_X61Y59 GRID_POINT_X 61 TILEPROP VBRK_X61Y59 GRID_POINT_Y 97 TILEPROP VBRK_X61Y59 INDEX 11216 TILEPROP VBRK_X61Y59 INT_TILE_X 23 TILEPROP VBRK_X61Y59 INT_TILE_Y 93 TILEPROP VBRK_X61Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y59 IS_DCM_TILE 0 TILEPROP VBRK_X61Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y59 NAME VBRK_X61Y59 TILEPROP VBRK_X61Y59 NUM_ARCS 0 TILEPROP VBRK_X61Y59 NUM_SITES 0 TILEPROP VBRK_X61Y59 ROW 97 TILEPROP VBRK_X61Y59 SLR_REGION_ID 0 TILEPROP VBRK_X61Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y59 TILE_TYPE VBRK TILEPROP VBRK_X61Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y59 TILE_X 10608 TILEPROP VBRK_X61Y59 TILE_Y -59200 TILEPROP VBRK_X61Y59 TYPE VBRK TILEPROP VBRK_X61Y60 CLASS tile TILEPROP VBRK_X61Y60 COLUMN 61 TILEPROP VBRK_X61Y60 DEVICE_ID 0 TILEPROP VBRK_X61Y60 FIRST_SITE_ID 9638 TILEPROP VBRK_X61Y60 GRID_POINT_X 61 TILEPROP VBRK_X61Y60 GRID_POINT_Y 96 TILEPROP VBRK_X61Y60 INDEX 11101 TILEPROP VBRK_X61Y60 INT_TILE_X 23 TILEPROP VBRK_X61Y60 INT_TILE_Y 92 TILEPROP VBRK_X61Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y60 IS_DCM_TILE 0 TILEPROP VBRK_X61Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y60 NAME VBRK_X61Y60 TILEPROP VBRK_X61Y60 NUM_ARCS 0 TILEPROP VBRK_X61Y60 NUM_SITES 0 TILEPROP VBRK_X61Y60 ROW 96 TILEPROP VBRK_X61Y60 SLR_REGION_ID 0 TILEPROP VBRK_X61Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y60 TILE_TYPE VBRK TILEPROP VBRK_X61Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y60 TILE_X 10608 TILEPROP VBRK_X61Y60 TILE_Y -56000 TILEPROP VBRK_X61Y60 TYPE VBRK TILEPROP VBRK_X61Y61 CLASS tile TILEPROP VBRK_X61Y61 COLUMN 61 TILEPROP VBRK_X61Y61 DEVICE_ID 0 TILEPROP VBRK_X61Y61 FIRST_SITE_ID 9535 TILEPROP VBRK_X61Y61 GRID_POINT_X 61 TILEPROP VBRK_X61Y61 GRID_POINT_Y 95 TILEPROP VBRK_X61Y61 INDEX 10986 TILEPROP VBRK_X61Y61 INT_TILE_X 23 TILEPROP VBRK_X61Y61 INT_TILE_Y 91 TILEPROP VBRK_X61Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y61 IS_DCM_TILE 0 TILEPROP VBRK_X61Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y61 NAME VBRK_X61Y61 TILEPROP VBRK_X61Y61 NUM_ARCS 0 TILEPROP VBRK_X61Y61 NUM_SITES 0 TILEPROP VBRK_X61Y61 ROW 95 TILEPROP VBRK_X61Y61 SLR_REGION_ID 0 TILEPROP VBRK_X61Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y61 TILE_TYPE VBRK TILEPROP VBRK_X61Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y61 TILE_X 10608 TILEPROP VBRK_X61Y61 TILE_Y -52800 TILEPROP VBRK_X61Y61 TYPE VBRK TILEPROP VBRK_X61Y62 CLASS tile TILEPROP VBRK_X61Y62 COLUMN 61 TILEPROP VBRK_X61Y62 DEVICE_ID 0 TILEPROP VBRK_X61Y62 FIRST_SITE_ID 9434 TILEPROP VBRK_X61Y62 GRID_POINT_X 61 TILEPROP VBRK_X61Y62 GRID_POINT_Y 94 TILEPROP VBRK_X61Y62 INDEX 10871 TILEPROP VBRK_X61Y62 INT_TILE_X 23 TILEPROP VBRK_X61Y62 INT_TILE_Y 90 TILEPROP VBRK_X61Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y62 IS_DCM_TILE 0 TILEPROP VBRK_X61Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y62 NAME VBRK_X61Y62 TILEPROP VBRK_X61Y62 NUM_ARCS 0 TILEPROP VBRK_X61Y62 NUM_SITES 0 TILEPROP VBRK_X61Y62 ROW 94 TILEPROP VBRK_X61Y62 SLR_REGION_ID 0 TILEPROP VBRK_X61Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y62 TILE_TYPE VBRK TILEPROP VBRK_X61Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y62 TILE_X 10608 TILEPROP VBRK_X61Y62 TILE_Y -49600 TILEPROP VBRK_X61Y62 TYPE VBRK TILEPROP VBRK_X61Y63 CLASS tile TILEPROP VBRK_X61Y63 COLUMN 61 TILEPROP VBRK_X61Y63 DEVICE_ID 0 TILEPROP VBRK_X61Y63 FIRST_SITE_ID 9325 TILEPROP VBRK_X61Y63 GRID_POINT_X 61 TILEPROP VBRK_X61Y63 GRID_POINT_Y 93 TILEPROP VBRK_X61Y63 INDEX 10756 TILEPROP VBRK_X61Y63 INT_TILE_X 23 TILEPROP VBRK_X61Y63 INT_TILE_Y 89 TILEPROP VBRK_X61Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y63 IS_DCM_TILE 0 TILEPROP VBRK_X61Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y63 NAME VBRK_X61Y63 TILEPROP VBRK_X61Y63 NUM_ARCS 0 TILEPROP VBRK_X61Y63 NUM_SITES 0 TILEPROP VBRK_X61Y63 ROW 93 TILEPROP VBRK_X61Y63 SLR_REGION_ID 0 TILEPROP VBRK_X61Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y63 TILE_TYPE VBRK TILEPROP VBRK_X61Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y63 TILE_X 10608 TILEPROP VBRK_X61Y63 TILE_Y -46400 TILEPROP VBRK_X61Y63 TYPE VBRK TILEPROP VBRK_X61Y64 CLASS tile TILEPROP VBRK_X61Y64 COLUMN 61 TILEPROP VBRK_X61Y64 DEVICE_ID 0 TILEPROP VBRK_X61Y64 FIRST_SITE_ID 9219 TILEPROP VBRK_X61Y64 GRID_POINT_X 61 TILEPROP VBRK_X61Y64 GRID_POINT_Y 92 TILEPROP VBRK_X61Y64 INDEX 10641 TILEPROP VBRK_X61Y64 INT_TILE_X 23 TILEPROP VBRK_X61Y64 INT_TILE_Y 88 TILEPROP VBRK_X61Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y64 IS_DCM_TILE 0 TILEPROP VBRK_X61Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y64 NAME VBRK_X61Y64 TILEPROP VBRK_X61Y64 NUM_ARCS 0 TILEPROP VBRK_X61Y64 NUM_SITES 0 TILEPROP VBRK_X61Y64 ROW 92 TILEPROP VBRK_X61Y64 SLR_REGION_ID 0 TILEPROP VBRK_X61Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y64 TILE_TYPE VBRK TILEPROP VBRK_X61Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y64 TILE_X 10608 TILEPROP VBRK_X61Y64 TILE_Y -43200 TILEPROP VBRK_X61Y64 TYPE VBRK TILEPROP VBRK_X61Y65 CLASS tile TILEPROP VBRK_X61Y65 COLUMN 61 TILEPROP VBRK_X61Y65 DEVICE_ID 0 TILEPROP VBRK_X61Y65 FIRST_SITE_ID 9119 TILEPROP VBRK_X61Y65 GRID_POINT_X 61 TILEPROP VBRK_X61Y65 GRID_POINT_Y 91 TILEPROP VBRK_X61Y65 INDEX 10526 TILEPROP VBRK_X61Y65 INT_TILE_X 23 TILEPROP VBRK_X61Y65 INT_TILE_Y 87 TILEPROP VBRK_X61Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y65 IS_DCM_TILE 0 TILEPROP VBRK_X61Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y65 NAME VBRK_X61Y65 TILEPROP VBRK_X61Y65 NUM_ARCS 0 TILEPROP VBRK_X61Y65 NUM_SITES 0 TILEPROP VBRK_X61Y65 ROW 91 TILEPROP VBRK_X61Y65 SLR_REGION_ID 0 TILEPROP VBRK_X61Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y65 TILE_TYPE VBRK TILEPROP VBRK_X61Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y65 TILE_X 10608 TILEPROP VBRK_X61Y65 TILE_Y -40000 TILEPROP VBRK_X61Y65 TYPE VBRK TILEPROP VBRK_X61Y66 CLASS tile TILEPROP VBRK_X61Y66 COLUMN 61 TILEPROP VBRK_X61Y66 DEVICE_ID 0 TILEPROP VBRK_X61Y66 FIRST_SITE_ID 8987 TILEPROP VBRK_X61Y66 GRID_POINT_X 61 TILEPROP VBRK_X61Y66 GRID_POINT_Y 90 TILEPROP VBRK_X61Y66 INDEX 10411 TILEPROP VBRK_X61Y66 INT_TILE_X 23 TILEPROP VBRK_X61Y66 INT_TILE_Y 86 TILEPROP VBRK_X61Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y66 IS_DCM_TILE 0 TILEPROP VBRK_X61Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y66 NAME VBRK_X61Y66 TILEPROP VBRK_X61Y66 NUM_ARCS 0 TILEPROP VBRK_X61Y66 NUM_SITES 0 TILEPROP VBRK_X61Y66 ROW 90 TILEPROP VBRK_X61Y66 SLR_REGION_ID 0 TILEPROP VBRK_X61Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y66 TILE_TYPE VBRK TILEPROP VBRK_X61Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y66 TILE_X 10608 TILEPROP VBRK_X61Y66 TILE_Y -36800 TILEPROP VBRK_X61Y66 TYPE VBRK TILEPROP VBRK_X61Y67 CLASS tile TILEPROP VBRK_X61Y67 COLUMN 61 TILEPROP VBRK_X61Y67 DEVICE_ID 0 TILEPROP VBRK_X61Y67 FIRST_SITE_ID 8887 TILEPROP VBRK_X61Y67 GRID_POINT_X 61 TILEPROP VBRK_X61Y67 GRID_POINT_Y 89 TILEPROP VBRK_X61Y67 INDEX 10296 TILEPROP VBRK_X61Y67 INT_TILE_X 23 TILEPROP VBRK_X61Y67 INT_TILE_Y 85 TILEPROP VBRK_X61Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y67 IS_DCM_TILE 0 TILEPROP VBRK_X61Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y67 NAME VBRK_X61Y67 TILEPROP VBRK_X61Y67 NUM_ARCS 0 TILEPROP VBRK_X61Y67 NUM_SITES 0 TILEPROP VBRK_X61Y67 ROW 89 TILEPROP VBRK_X61Y67 SLR_REGION_ID 0 TILEPROP VBRK_X61Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y67 TILE_TYPE VBRK TILEPROP VBRK_X61Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y67 TILE_X 10608 TILEPROP VBRK_X61Y67 TILE_Y -33600 TILEPROP VBRK_X61Y67 TYPE VBRK TILEPROP VBRK_X61Y68 CLASS tile TILEPROP VBRK_X61Y68 COLUMN 61 TILEPROP VBRK_X61Y68 DEVICE_ID 0 TILEPROP VBRK_X61Y68 FIRST_SITE_ID 8778 TILEPROP VBRK_X61Y68 GRID_POINT_X 61 TILEPROP VBRK_X61Y68 GRID_POINT_Y 88 TILEPROP VBRK_X61Y68 INDEX 10181 TILEPROP VBRK_X61Y68 INT_TILE_X 23 TILEPROP VBRK_X61Y68 INT_TILE_Y 84 TILEPROP VBRK_X61Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y68 IS_DCM_TILE 0 TILEPROP VBRK_X61Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y68 NAME VBRK_X61Y68 TILEPROP VBRK_X61Y68 NUM_ARCS 0 TILEPROP VBRK_X61Y68 NUM_SITES 0 TILEPROP VBRK_X61Y68 ROW 88 TILEPROP VBRK_X61Y68 SLR_REGION_ID 0 TILEPROP VBRK_X61Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y68 TILE_TYPE VBRK TILEPROP VBRK_X61Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y68 TILE_X 10608 TILEPROP VBRK_X61Y68 TILE_Y -30400 TILEPROP VBRK_X61Y68 TYPE VBRK TILEPROP VBRK_X61Y69 CLASS tile TILEPROP VBRK_X61Y69 COLUMN 61 TILEPROP VBRK_X61Y69 DEVICE_ID 0 TILEPROP VBRK_X61Y69 FIRST_SITE_ID 8672 TILEPROP VBRK_X61Y69 GRID_POINT_X 61 TILEPROP VBRK_X61Y69 GRID_POINT_Y 87 TILEPROP VBRK_X61Y69 INDEX 10066 TILEPROP VBRK_X61Y69 INT_TILE_X 23 TILEPROP VBRK_X61Y69 INT_TILE_Y 83 TILEPROP VBRK_X61Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y69 IS_DCM_TILE 0 TILEPROP VBRK_X61Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y69 NAME VBRK_X61Y69 TILEPROP VBRK_X61Y69 NUM_ARCS 0 TILEPROP VBRK_X61Y69 NUM_SITES 0 TILEPROP VBRK_X61Y69 ROW 87 TILEPROP VBRK_X61Y69 SLR_REGION_ID 0 TILEPROP VBRK_X61Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y69 TILE_TYPE VBRK TILEPROP VBRK_X61Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y69 TILE_X 10608 TILEPROP VBRK_X61Y69 TILE_Y -27200 TILEPROP VBRK_X61Y69 TYPE VBRK TILEPROP VBRK_X61Y70 CLASS tile TILEPROP VBRK_X61Y70 COLUMN 61 TILEPROP VBRK_X61Y70 DEVICE_ID 0 TILEPROP VBRK_X61Y70 FIRST_SITE_ID 8568 TILEPROP VBRK_X61Y70 GRID_POINT_X 61 TILEPROP VBRK_X61Y70 GRID_POINT_Y 86 TILEPROP VBRK_X61Y70 INDEX 9951 TILEPROP VBRK_X61Y70 INT_TILE_X 23 TILEPROP VBRK_X61Y70 INT_TILE_Y 82 TILEPROP VBRK_X61Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y70 IS_DCM_TILE 0 TILEPROP VBRK_X61Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y70 NAME VBRK_X61Y70 TILEPROP VBRK_X61Y70 NUM_ARCS 0 TILEPROP VBRK_X61Y70 NUM_SITES 0 TILEPROP VBRK_X61Y70 ROW 86 TILEPROP VBRK_X61Y70 SLR_REGION_ID 0 TILEPROP VBRK_X61Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y70 TILE_TYPE VBRK TILEPROP VBRK_X61Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y70 TILE_X 10608 TILEPROP VBRK_X61Y70 TILE_Y -24000 TILEPROP VBRK_X61Y70 TYPE VBRK TILEPROP VBRK_X61Y71 CLASS tile TILEPROP VBRK_X61Y71 COLUMN 61 TILEPROP VBRK_X61Y71 DEVICE_ID 0 TILEPROP VBRK_X61Y71 FIRST_SITE_ID 8464 TILEPROP VBRK_X61Y71 GRID_POINT_X 61 TILEPROP VBRK_X61Y71 GRID_POINT_Y 85 TILEPROP VBRK_X61Y71 INDEX 9836 TILEPROP VBRK_X61Y71 INT_TILE_X 23 TILEPROP VBRK_X61Y71 INT_TILE_Y 81 TILEPROP VBRK_X61Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y71 IS_DCM_TILE 0 TILEPROP VBRK_X61Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y71 NAME VBRK_X61Y71 TILEPROP VBRK_X61Y71 NUM_ARCS 0 TILEPROP VBRK_X61Y71 NUM_SITES 0 TILEPROP VBRK_X61Y71 ROW 85 TILEPROP VBRK_X61Y71 SLR_REGION_ID 0 TILEPROP VBRK_X61Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y71 TILE_TYPE VBRK TILEPROP VBRK_X61Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y71 TILE_X 10608 TILEPROP VBRK_X61Y71 TILE_Y -20800 TILEPROP VBRK_X61Y71 TYPE VBRK TILEPROP VBRK_X61Y72 CLASS tile TILEPROP VBRK_X61Y72 COLUMN 61 TILEPROP VBRK_X61Y72 DEVICE_ID 0 TILEPROP VBRK_X61Y72 FIRST_SITE_ID 8362 TILEPROP VBRK_X61Y72 GRID_POINT_X 61 TILEPROP VBRK_X61Y72 GRID_POINT_Y 84 TILEPROP VBRK_X61Y72 INDEX 9721 TILEPROP VBRK_X61Y72 INT_TILE_X 23 TILEPROP VBRK_X61Y72 INT_TILE_Y 80 TILEPROP VBRK_X61Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y72 IS_DCM_TILE 0 TILEPROP VBRK_X61Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y72 NAME VBRK_X61Y72 TILEPROP VBRK_X61Y72 NUM_ARCS 0 TILEPROP VBRK_X61Y72 NUM_SITES 0 TILEPROP VBRK_X61Y72 ROW 84 TILEPROP VBRK_X61Y72 SLR_REGION_ID 0 TILEPROP VBRK_X61Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y72 TILE_TYPE VBRK TILEPROP VBRK_X61Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y72 TILE_X 10608 TILEPROP VBRK_X61Y72 TILE_Y -17600 TILEPROP VBRK_X61Y72 TYPE VBRK TILEPROP VBRK_X61Y73 CLASS tile TILEPROP VBRK_X61Y73 COLUMN 61 TILEPROP VBRK_X61Y73 DEVICE_ID 0 TILEPROP VBRK_X61Y73 FIRST_SITE_ID 8251 TILEPROP VBRK_X61Y73 GRID_POINT_X 61 TILEPROP VBRK_X61Y73 GRID_POINT_Y 83 TILEPROP VBRK_X61Y73 INDEX 9606 TILEPROP VBRK_X61Y73 INT_TILE_X 23 TILEPROP VBRK_X61Y73 INT_TILE_Y 79 TILEPROP VBRK_X61Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y73 IS_DCM_TILE 0 TILEPROP VBRK_X61Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y73 NAME VBRK_X61Y73 TILEPROP VBRK_X61Y73 NUM_ARCS 0 TILEPROP VBRK_X61Y73 NUM_SITES 0 TILEPROP VBRK_X61Y73 ROW 83 TILEPROP VBRK_X61Y73 SLR_REGION_ID 0 TILEPROP VBRK_X61Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y73 TILE_TYPE VBRK TILEPROP VBRK_X61Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y73 TILE_X 10608 TILEPROP VBRK_X61Y73 TILE_Y -14400 TILEPROP VBRK_X61Y73 TYPE VBRK TILEPROP VBRK_X61Y74 CLASS tile TILEPROP VBRK_X61Y74 COLUMN 61 TILEPROP VBRK_X61Y74 DEVICE_ID 0 TILEPROP VBRK_X61Y74 FIRST_SITE_ID 8145 TILEPROP VBRK_X61Y74 GRID_POINT_X 61 TILEPROP VBRK_X61Y74 GRID_POINT_Y 82 TILEPROP VBRK_X61Y74 INDEX 9491 TILEPROP VBRK_X61Y74 INT_TILE_X 23 TILEPROP VBRK_X61Y74 INT_TILE_Y 78 TILEPROP VBRK_X61Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y74 IS_DCM_TILE 0 TILEPROP VBRK_X61Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y74 NAME VBRK_X61Y74 TILEPROP VBRK_X61Y74 NUM_ARCS 0 TILEPROP VBRK_X61Y74 NUM_SITES 0 TILEPROP VBRK_X61Y74 ROW 82 TILEPROP VBRK_X61Y74 SLR_REGION_ID 0 TILEPROP VBRK_X61Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y74 TILE_TYPE VBRK TILEPROP VBRK_X61Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y74 TILE_X 10608 TILEPROP VBRK_X61Y74 TILE_Y -11200 TILEPROP VBRK_X61Y74 TYPE VBRK TILEPROP VBRK_X61Y75 CLASS tile TILEPROP VBRK_X61Y75 COLUMN 61 TILEPROP VBRK_X61Y75 DEVICE_ID 0 TILEPROP VBRK_X61Y75 FIRST_SITE_ID 8045 TILEPROP VBRK_X61Y75 GRID_POINT_X 61 TILEPROP VBRK_X61Y75 GRID_POINT_Y 81 TILEPROP VBRK_X61Y75 INDEX 9376 TILEPROP VBRK_X61Y75 INT_TILE_X 23 TILEPROP VBRK_X61Y75 INT_TILE_Y 77 TILEPROP VBRK_X61Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y75 IS_DCM_TILE 0 TILEPROP VBRK_X61Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y75 NAME VBRK_X61Y75 TILEPROP VBRK_X61Y75 NUM_ARCS 0 TILEPROP VBRK_X61Y75 NUM_SITES 0 TILEPROP VBRK_X61Y75 ROW 81 TILEPROP VBRK_X61Y75 SLR_REGION_ID 0 TILEPROP VBRK_X61Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y75 TILE_TYPE VBRK TILEPROP VBRK_X61Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y75 TILE_X 10608 TILEPROP VBRK_X61Y75 TILE_Y -8000 TILEPROP VBRK_X61Y75 TYPE VBRK TILEPROP VBRK_X61Y76 CLASS tile TILEPROP VBRK_X61Y76 COLUMN 61 TILEPROP VBRK_X61Y76 DEVICE_ID 0 TILEPROP VBRK_X61Y76 FIRST_SITE_ID 7945 TILEPROP VBRK_X61Y76 GRID_POINT_X 61 TILEPROP VBRK_X61Y76 GRID_POINT_Y 80 TILEPROP VBRK_X61Y76 INDEX 9261 TILEPROP VBRK_X61Y76 INT_TILE_X 23 TILEPROP VBRK_X61Y76 INT_TILE_Y 76 TILEPROP VBRK_X61Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y76 IS_DCM_TILE 0 TILEPROP VBRK_X61Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y76 NAME VBRK_X61Y76 TILEPROP VBRK_X61Y76 NUM_ARCS 0 TILEPROP VBRK_X61Y76 NUM_SITES 0 TILEPROP VBRK_X61Y76 ROW 80 TILEPROP VBRK_X61Y76 SLR_REGION_ID 0 TILEPROP VBRK_X61Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y76 TILE_TYPE VBRK TILEPROP VBRK_X61Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y76 TILE_X 10608 TILEPROP VBRK_X61Y76 TILE_Y -4800 TILEPROP VBRK_X61Y76 TYPE VBRK TILEPROP VBRK_X61Y77 CLASS tile TILEPROP VBRK_X61Y77 COLUMN 61 TILEPROP VBRK_X61Y77 DEVICE_ID 0 TILEPROP VBRK_X61Y77 FIRST_SITE_ID 7845 TILEPROP VBRK_X61Y77 GRID_POINT_X 61 TILEPROP VBRK_X61Y77 GRID_POINT_Y 79 TILEPROP VBRK_X61Y77 INDEX 9146 TILEPROP VBRK_X61Y77 INT_TILE_X 23 TILEPROP VBRK_X61Y77 INT_TILE_Y 75 TILEPROP VBRK_X61Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y77 IS_DCM_TILE 0 TILEPROP VBRK_X61Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y77 NAME VBRK_X61Y77 TILEPROP VBRK_X61Y77 NUM_ARCS 0 TILEPROP VBRK_X61Y77 NUM_SITES 0 TILEPROP VBRK_X61Y77 ROW 79 TILEPROP VBRK_X61Y77 SLR_REGION_ID 0 TILEPROP VBRK_X61Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y77 TILE_TYPE VBRK TILEPROP VBRK_X61Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y77 TILE_X 10608 TILEPROP VBRK_X61Y77 TILE_Y -1600 TILEPROP VBRK_X61Y77 TYPE VBRK TILEPROP VBRK_X61Y79 CLASS tile TILEPROP VBRK_X61Y79 COLUMN 61 TILEPROP VBRK_X61Y79 DEVICE_ID 0 TILEPROP VBRK_X61Y79 FIRST_SITE_ID 7653 TILEPROP VBRK_X61Y79 GRID_POINT_X 61 TILEPROP VBRK_X61Y79 GRID_POINT_Y 77 TILEPROP VBRK_X61Y79 INDEX 8916 TILEPROP VBRK_X61Y79 INT_TILE_X 23 TILEPROP VBRK_X61Y79 INT_TILE_Y 74 TILEPROP VBRK_X61Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y79 IS_DCM_TILE 0 TILEPROP VBRK_X61Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y79 NAME VBRK_X61Y79 TILEPROP VBRK_X61Y79 NUM_ARCS 0 TILEPROP VBRK_X61Y79 NUM_SITES 0 TILEPROP VBRK_X61Y79 ROW 77 TILEPROP VBRK_X61Y79 SLR_REGION_ID 0 TILEPROP VBRK_X61Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y79 TILE_TYPE VBRK TILEPROP VBRK_X61Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y79 TILE_X 10608 TILEPROP VBRK_X61Y79 TILE_Y 2624 TILEPROP VBRK_X61Y79 TYPE VBRK TILEPROP VBRK_X61Y80 CLASS tile TILEPROP VBRK_X61Y80 COLUMN 61 TILEPROP VBRK_X61Y80 DEVICE_ID 0 TILEPROP VBRK_X61Y80 FIRST_SITE_ID 7544 TILEPROP VBRK_X61Y80 GRID_POINT_X 61 TILEPROP VBRK_X61Y80 GRID_POINT_Y 76 TILEPROP VBRK_X61Y80 INDEX 8801 TILEPROP VBRK_X61Y80 INT_TILE_X 23 TILEPROP VBRK_X61Y80 INT_TILE_Y 73 TILEPROP VBRK_X61Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y80 IS_DCM_TILE 0 TILEPROP VBRK_X61Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y80 NAME VBRK_X61Y80 TILEPROP VBRK_X61Y80 NUM_ARCS 0 TILEPROP VBRK_X61Y80 NUM_SITES 0 TILEPROP VBRK_X61Y80 ROW 76 TILEPROP VBRK_X61Y80 SLR_REGION_ID 0 TILEPROP VBRK_X61Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y80 TILE_TYPE VBRK TILEPROP VBRK_X61Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y80 TILE_X 10608 TILEPROP VBRK_X61Y80 TILE_Y 5824 TILEPROP VBRK_X61Y80 TYPE VBRK TILEPROP VBRK_X61Y81 CLASS tile TILEPROP VBRK_X61Y81 COLUMN 61 TILEPROP VBRK_X61Y81 DEVICE_ID 0 TILEPROP VBRK_X61Y81 FIRST_SITE_ID 7444 TILEPROP VBRK_X61Y81 GRID_POINT_X 61 TILEPROP VBRK_X61Y81 GRID_POINT_Y 75 TILEPROP VBRK_X61Y81 INDEX 8686 TILEPROP VBRK_X61Y81 INT_TILE_X 23 TILEPROP VBRK_X61Y81 INT_TILE_Y 72 TILEPROP VBRK_X61Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y81 IS_DCM_TILE 0 TILEPROP VBRK_X61Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y81 NAME VBRK_X61Y81 TILEPROP VBRK_X61Y81 NUM_ARCS 0 TILEPROP VBRK_X61Y81 NUM_SITES 0 TILEPROP VBRK_X61Y81 ROW 75 TILEPROP VBRK_X61Y81 SLR_REGION_ID 0 TILEPROP VBRK_X61Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y81 TILE_TYPE VBRK TILEPROP VBRK_X61Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y81 TILE_X 10608 TILEPROP VBRK_X61Y81 TILE_Y 9024 TILEPROP VBRK_X61Y81 TYPE VBRK TILEPROP VBRK_X61Y82 CLASS tile TILEPROP VBRK_X61Y82 COLUMN 61 TILEPROP VBRK_X61Y82 DEVICE_ID 0 TILEPROP VBRK_X61Y82 FIRST_SITE_ID 7344 TILEPROP VBRK_X61Y82 GRID_POINT_X 61 TILEPROP VBRK_X61Y82 GRID_POINT_Y 74 TILEPROP VBRK_X61Y82 INDEX 8571 TILEPROP VBRK_X61Y82 INT_TILE_X 23 TILEPROP VBRK_X61Y82 INT_TILE_Y 71 TILEPROP VBRK_X61Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y82 IS_DCM_TILE 0 TILEPROP VBRK_X61Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y82 NAME VBRK_X61Y82 TILEPROP VBRK_X61Y82 NUM_ARCS 0 TILEPROP VBRK_X61Y82 NUM_SITES 0 TILEPROP VBRK_X61Y82 ROW 74 TILEPROP VBRK_X61Y82 SLR_REGION_ID 0 TILEPROP VBRK_X61Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y82 TILE_TYPE VBRK TILEPROP VBRK_X61Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y82 TILE_X 10608 TILEPROP VBRK_X61Y82 TILE_Y 12224 TILEPROP VBRK_X61Y82 TYPE VBRK TILEPROP VBRK_X61Y83 CLASS tile TILEPROP VBRK_X61Y83 COLUMN 61 TILEPROP VBRK_X61Y83 DEVICE_ID 0 TILEPROP VBRK_X61Y83 FIRST_SITE_ID 7238 TILEPROP VBRK_X61Y83 GRID_POINT_X 61 TILEPROP VBRK_X61Y83 GRID_POINT_Y 73 TILEPROP VBRK_X61Y83 INDEX 8456 TILEPROP VBRK_X61Y83 INT_TILE_X 23 TILEPROP VBRK_X61Y83 INT_TILE_Y 70 TILEPROP VBRK_X61Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y83 IS_DCM_TILE 0 TILEPROP VBRK_X61Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y83 NAME VBRK_X61Y83 TILEPROP VBRK_X61Y83 NUM_ARCS 0 TILEPROP VBRK_X61Y83 NUM_SITES 0 TILEPROP VBRK_X61Y83 ROW 73 TILEPROP VBRK_X61Y83 SLR_REGION_ID 0 TILEPROP VBRK_X61Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y83 TILE_TYPE VBRK TILEPROP VBRK_X61Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y83 TILE_X 10608 TILEPROP VBRK_X61Y83 TILE_Y 15424 TILEPROP VBRK_X61Y83 TYPE VBRK TILEPROP VBRK_X61Y84 CLASS tile TILEPROP VBRK_X61Y84 COLUMN 61 TILEPROP VBRK_X61Y84 DEVICE_ID 0 TILEPROP VBRK_X61Y84 FIRST_SITE_ID 7123 TILEPROP VBRK_X61Y84 GRID_POINT_X 61 TILEPROP VBRK_X61Y84 GRID_POINT_Y 72 TILEPROP VBRK_X61Y84 INDEX 8341 TILEPROP VBRK_X61Y84 INT_TILE_X 23 TILEPROP VBRK_X61Y84 INT_TILE_Y 69 TILEPROP VBRK_X61Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y84 IS_DCM_TILE 0 TILEPROP VBRK_X61Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y84 NAME VBRK_X61Y84 TILEPROP VBRK_X61Y84 NUM_ARCS 0 TILEPROP VBRK_X61Y84 NUM_SITES 0 TILEPROP VBRK_X61Y84 ROW 72 TILEPROP VBRK_X61Y84 SLR_REGION_ID 0 TILEPROP VBRK_X61Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y84 TILE_TYPE VBRK TILEPROP VBRK_X61Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y84 TILE_X 10608 TILEPROP VBRK_X61Y84 TILE_Y 18624 TILEPROP VBRK_X61Y84 TYPE VBRK TILEPROP VBRK_X61Y85 CLASS tile TILEPROP VBRK_X61Y85 COLUMN 61 TILEPROP VBRK_X61Y85 DEVICE_ID 0 TILEPROP VBRK_X61Y85 FIRST_SITE_ID 7015 TILEPROP VBRK_X61Y85 GRID_POINT_X 61 TILEPROP VBRK_X61Y85 GRID_POINT_Y 71 TILEPROP VBRK_X61Y85 INDEX 8226 TILEPROP VBRK_X61Y85 INT_TILE_X 23 TILEPROP VBRK_X61Y85 INT_TILE_Y 68 TILEPROP VBRK_X61Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y85 IS_DCM_TILE 0 TILEPROP VBRK_X61Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y85 NAME VBRK_X61Y85 TILEPROP VBRK_X61Y85 NUM_ARCS 0 TILEPROP VBRK_X61Y85 NUM_SITES 0 TILEPROP VBRK_X61Y85 ROW 71 TILEPROP VBRK_X61Y85 SLR_REGION_ID 0 TILEPROP VBRK_X61Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y85 TILE_TYPE VBRK TILEPROP VBRK_X61Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y85 TILE_X 10608 TILEPROP VBRK_X61Y85 TILE_Y 21824 TILEPROP VBRK_X61Y85 TYPE VBRK TILEPROP VBRK_X61Y86 CLASS tile TILEPROP VBRK_X61Y86 COLUMN 61 TILEPROP VBRK_X61Y86 DEVICE_ID 0 TILEPROP VBRK_X61Y86 FIRST_SITE_ID 6913 TILEPROP VBRK_X61Y86 GRID_POINT_X 61 TILEPROP VBRK_X61Y86 GRID_POINT_Y 70 TILEPROP VBRK_X61Y86 INDEX 8111 TILEPROP VBRK_X61Y86 INT_TILE_X 23 TILEPROP VBRK_X61Y86 INT_TILE_Y 67 TILEPROP VBRK_X61Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y86 IS_DCM_TILE 0 TILEPROP VBRK_X61Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y86 NAME VBRK_X61Y86 TILEPROP VBRK_X61Y86 NUM_ARCS 0 TILEPROP VBRK_X61Y86 NUM_SITES 0 TILEPROP VBRK_X61Y86 ROW 70 TILEPROP VBRK_X61Y86 SLR_REGION_ID 0 TILEPROP VBRK_X61Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y86 TILE_TYPE VBRK TILEPROP VBRK_X61Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y86 TILE_X 10608 TILEPROP VBRK_X61Y86 TILE_Y 25024 TILEPROP VBRK_X61Y86 TYPE VBRK TILEPROP VBRK_X61Y87 CLASS tile TILEPROP VBRK_X61Y87 COLUMN 61 TILEPROP VBRK_X61Y87 DEVICE_ID 0 TILEPROP VBRK_X61Y87 FIRST_SITE_ID 6813 TILEPROP VBRK_X61Y87 GRID_POINT_X 61 TILEPROP VBRK_X61Y87 GRID_POINT_Y 69 TILEPROP VBRK_X61Y87 INDEX 7996 TILEPROP VBRK_X61Y87 INT_TILE_X 23 TILEPROP VBRK_X61Y87 INT_TILE_Y 66 TILEPROP VBRK_X61Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y87 IS_DCM_TILE 0 TILEPROP VBRK_X61Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y87 NAME VBRK_X61Y87 TILEPROP VBRK_X61Y87 NUM_ARCS 0 TILEPROP VBRK_X61Y87 NUM_SITES 0 TILEPROP VBRK_X61Y87 ROW 69 TILEPROP VBRK_X61Y87 SLR_REGION_ID 0 TILEPROP VBRK_X61Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y87 TILE_TYPE VBRK TILEPROP VBRK_X61Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y87 TILE_X 10608 TILEPROP VBRK_X61Y87 TILE_Y 28224 TILEPROP VBRK_X61Y87 TYPE VBRK TILEPROP VBRK_X61Y88 CLASS tile TILEPROP VBRK_X61Y88 COLUMN 61 TILEPROP VBRK_X61Y88 DEVICE_ID 0 TILEPROP VBRK_X61Y88 FIRST_SITE_ID 6713 TILEPROP VBRK_X61Y88 GRID_POINT_X 61 TILEPROP VBRK_X61Y88 GRID_POINT_Y 68 TILEPROP VBRK_X61Y88 INDEX 7881 TILEPROP VBRK_X61Y88 INT_TILE_X 23 TILEPROP VBRK_X61Y88 INT_TILE_Y 65 TILEPROP VBRK_X61Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y88 IS_DCM_TILE 0 TILEPROP VBRK_X61Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y88 NAME VBRK_X61Y88 TILEPROP VBRK_X61Y88 NUM_ARCS 0 TILEPROP VBRK_X61Y88 NUM_SITES 0 TILEPROP VBRK_X61Y88 ROW 68 TILEPROP VBRK_X61Y88 SLR_REGION_ID 0 TILEPROP VBRK_X61Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y88 TILE_TYPE VBRK TILEPROP VBRK_X61Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y88 TILE_X 10608 TILEPROP VBRK_X61Y88 TILE_Y 31424 TILEPROP VBRK_X61Y88 TYPE VBRK TILEPROP VBRK_X61Y89 CLASS tile TILEPROP VBRK_X61Y89 COLUMN 61 TILEPROP VBRK_X61Y89 DEVICE_ID 0 TILEPROP VBRK_X61Y89 FIRST_SITE_ID 6604 TILEPROP VBRK_X61Y89 GRID_POINT_X 61 TILEPROP VBRK_X61Y89 GRID_POINT_Y 67 TILEPROP VBRK_X61Y89 INDEX 7766 TILEPROP VBRK_X61Y89 INT_TILE_X 23 TILEPROP VBRK_X61Y89 INT_TILE_Y 64 TILEPROP VBRK_X61Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y89 IS_DCM_TILE 0 TILEPROP VBRK_X61Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y89 NAME VBRK_X61Y89 TILEPROP VBRK_X61Y89 NUM_ARCS 0 TILEPROP VBRK_X61Y89 NUM_SITES 0 TILEPROP VBRK_X61Y89 ROW 67 TILEPROP VBRK_X61Y89 SLR_REGION_ID 0 TILEPROP VBRK_X61Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y89 TILE_TYPE VBRK TILEPROP VBRK_X61Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y89 TILE_X 10608 TILEPROP VBRK_X61Y89 TILE_Y 34624 TILEPROP VBRK_X61Y89 TYPE VBRK TILEPROP VBRK_X61Y90 CLASS tile TILEPROP VBRK_X61Y90 COLUMN 61 TILEPROP VBRK_X61Y90 DEVICE_ID 0 TILEPROP VBRK_X61Y90 FIRST_SITE_ID 6498 TILEPROP VBRK_X61Y90 GRID_POINT_X 61 TILEPROP VBRK_X61Y90 GRID_POINT_Y 66 TILEPROP VBRK_X61Y90 INDEX 7651 TILEPROP VBRK_X61Y90 INT_TILE_X 23 TILEPROP VBRK_X61Y90 INT_TILE_Y 63 TILEPROP VBRK_X61Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y90 IS_DCM_TILE 0 TILEPROP VBRK_X61Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y90 NAME VBRK_X61Y90 TILEPROP VBRK_X61Y90 NUM_ARCS 0 TILEPROP VBRK_X61Y90 NUM_SITES 0 TILEPROP VBRK_X61Y90 ROW 66 TILEPROP VBRK_X61Y90 SLR_REGION_ID 0 TILEPROP VBRK_X61Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y90 TILE_TYPE VBRK TILEPROP VBRK_X61Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y90 TILE_X 10608 TILEPROP VBRK_X61Y90 TILE_Y 37824 TILEPROP VBRK_X61Y90 TYPE VBRK TILEPROP VBRK_X61Y91 CLASS tile TILEPROP VBRK_X61Y91 COLUMN 61 TILEPROP VBRK_X61Y91 DEVICE_ID 0 TILEPROP VBRK_X61Y91 FIRST_SITE_ID 6366 TILEPROP VBRK_X61Y91 GRID_POINT_X 61 TILEPROP VBRK_X61Y91 GRID_POINT_Y 65 TILEPROP VBRK_X61Y91 INDEX 7536 TILEPROP VBRK_X61Y91 INT_TILE_X 23 TILEPROP VBRK_X61Y91 INT_TILE_Y 62 TILEPROP VBRK_X61Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y91 IS_DCM_TILE 0 TILEPROP VBRK_X61Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y91 NAME VBRK_X61Y91 TILEPROP VBRK_X61Y91 NUM_ARCS 0 TILEPROP VBRK_X61Y91 NUM_SITES 0 TILEPROP VBRK_X61Y91 ROW 65 TILEPROP VBRK_X61Y91 SLR_REGION_ID 0 TILEPROP VBRK_X61Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y91 TILE_TYPE VBRK TILEPROP VBRK_X61Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y91 TILE_X 10608 TILEPROP VBRK_X61Y91 TILE_Y 41024 TILEPROP VBRK_X61Y91 TYPE VBRK TILEPROP VBRK_X61Y92 CLASS tile TILEPROP VBRK_X61Y92 COLUMN 61 TILEPROP VBRK_X61Y92 DEVICE_ID 0 TILEPROP VBRK_X61Y92 FIRST_SITE_ID 6266 TILEPROP VBRK_X61Y92 GRID_POINT_X 61 TILEPROP VBRK_X61Y92 GRID_POINT_Y 64 TILEPROP VBRK_X61Y92 INDEX 7421 TILEPROP VBRK_X61Y92 INT_TILE_X 23 TILEPROP VBRK_X61Y92 INT_TILE_Y 61 TILEPROP VBRK_X61Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y92 IS_DCM_TILE 0 TILEPROP VBRK_X61Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y92 NAME VBRK_X61Y92 TILEPROP VBRK_X61Y92 NUM_ARCS 0 TILEPROP VBRK_X61Y92 NUM_SITES 0 TILEPROP VBRK_X61Y92 ROW 64 TILEPROP VBRK_X61Y92 SLR_REGION_ID 0 TILEPROP VBRK_X61Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y92 TILE_TYPE VBRK TILEPROP VBRK_X61Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y92 TILE_X 10608 TILEPROP VBRK_X61Y92 TILE_Y 44224 TILEPROP VBRK_X61Y92 TYPE VBRK TILEPROP VBRK_X61Y93 CLASS tile TILEPROP VBRK_X61Y93 COLUMN 61 TILEPROP VBRK_X61Y93 DEVICE_ID 0 TILEPROP VBRK_X61Y93 FIRST_SITE_ID 6166 TILEPROP VBRK_X61Y93 GRID_POINT_X 61 TILEPROP VBRK_X61Y93 GRID_POINT_Y 63 TILEPROP VBRK_X61Y93 INDEX 7306 TILEPROP VBRK_X61Y93 INT_TILE_X 23 TILEPROP VBRK_X61Y93 INT_TILE_Y 60 TILEPROP VBRK_X61Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y93 IS_DCM_TILE 0 TILEPROP VBRK_X61Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y93 NAME VBRK_X61Y93 TILEPROP VBRK_X61Y93 NUM_ARCS 0 TILEPROP VBRK_X61Y93 NUM_SITES 0 TILEPROP VBRK_X61Y93 ROW 63 TILEPROP VBRK_X61Y93 SLR_REGION_ID 0 TILEPROP VBRK_X61Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y93 TILE_TYPE VBRK TILEPROP VBRK_X61Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y93 TILE_X 10608 TILEPROP VBRK_X61Y93 TILE_Y 47424 TILEPROP VBRK_X61Y93 TYPE VBRK TILEPROP VBRK_X61Y94 CLASS tile TILEPROP VBRK_X61Y94 COLUMN 61 TILEPROP VBRK_X61Y94 DEVICE_ID 0 TILEPROP VBRK_X61Y94 FIRST_SITE_ID 6057 TILEPROP VBRK_X61Y94 GRID_POINT_X 61 TILEPROP VBRK_X61Y94 GRID_POINT_Y 62 TILEPROP VBRK_X61Y94 INDEX 7191 TILEPROP VBRK_X61Y94 INT_TILE_X 23 TILEPROP VBRK_X61Y94 INT_TILE_Y 59 TILEPROP VBRK_X61Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y94 IS_DCM_TILE 0 TILEPROP VBRK_X61Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y94 NAME VBRK_X61Y94 TILEPROP VBRK_X61Y94 NUM_ARCS 0 TILEPROP VBRK_X61Y94 NUM_SITES 0 TILEPROP VBRK_X61Y94 ROW 62 TILEPROP VBRK_X61Y94 SLR_REGION_ID 0 TILEPROP VBRK_X61Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y94 TILE_TYPE VBRK TILEPROP VBRK_X61Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y94 TILE_X 10608 TILEPROP VBRK_X61Y94 TILE_Y 50624 TILEPROP VBRK_X61Y94 TYPE VBRK TILEPROP VBRK_X61Y95 CLASS tile TILEPROP VBRK_X61Y95 COLUMN 61 TILEPROP VBRK_X61Y95 DEVICE_ID 0 TILEPROP VBRK_X61Y95 FIRST_SITE_ID 5951 TILEPROP VBRK_X61Y95 GRID_POINT_X 61 TILEPROP VBRK_X61Y95 GRID_POINT_Y 61 TILEPROP VBRK_X61Y95 INDEX 7076 TILEPROP VBRK_X61Y95 INT_TILE_X 23 TILEPROP VBRK_X61Y95 INT_TILE_Y 58 TILEPROP VBRK_X61Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y95 IS_DCM_TILE 0 TILEPROP VBRK_X61Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y95 NAME VBRK_X61Y95 TILEPROP VBRK_X61Y95 NUM_ARCS 0 TILEPROP VBRK_X61Y95 NUM_SITES 0 TILEPROP VBRK_X61Y95 ROW 61 TILEPROP VBRK_X61Y95 SLR_REGION_ID 0 TILEPROP VBRK_X61Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y95 TILE_TYPE VBRK TILEPROP VBRK_X61Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y95 TILE_X 10608 TILEPROP VBRK_X61Y95 TILE_Y 53824 TILEPROP VBRK_X61Y95 TYPE VBRK TILEPROP VBRK_X61Y96 CLASS tile TILEPROP VBRK_X61Y96 COLUMN 61 TILEPROP VBRK_X61Y96 DEVICE_ID 0 TILEPROP VBRK_X61Y96 FIRST_SITE_ID 5850 TILEPROP VBRK_X61Y96 GRID_POINT_X 61 TILEPROP VBRK_X61Y96 GRID_POINT_Y 60 TILEPROP VBRK_X61Y96 INDEX 6961 TILEPROP VBRK_X61Y96 INT_TILE_X 23 TILEPROP VBRK_X61Y96 INT_TILE_Y 57 TILEPROP VBRK_X61Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y96 IS_DCM_TILE 0 TILEPROP VBRK_X61Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y96 NAME VBRK_X61Y96 TILEPROP VBRK_X61Y96 NUM_ARCS 0 TILEPROP VBRK_X61Y96 NUM_SITES 0 TILEPROP VBRK_X61Y96 ROW 60 TILEPROP VBRK_X61Y96 SLR_REGION_ID 0 TILEPROP VBRK_X61Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y96 TILE_TYPE VBRK TILEPROP VBRK_X61Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y96 TILE_X 10608 TILEPROP VBRK_X61Y96 TILE_Y 57024 TILEPROP VBRK_X61Y96 TYPE VBRK TILEPROP VBRK_X61Y97 CLASS tile TILEPROP VBRK_X61Y97 COLUMN 61 TILEPROP VBRK_X61Y97 DEVICE_ID 0 TILEPROP VBRK_X61Y97 FIRST_SITE_ID 5747 TILEPROP VBRK_X61Y97 GRID_POINT_X 61 TILEPROP VBRK_X61Y97 GRID_POINT_Y 59 TILEPROP VBRK_X61Y97 INDEX 6846 TILEPROP VBRK_X61Y97 INT_TILE_X 23 TILEPROP VBRK_X61Y97 INT_TILE_Y 56 TILEPROP VBRK_X61Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y97 IS_DCM_TILE 0 TILEPROP VBRK_X61Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y97 NAME VBRK_X61Y97 TILEPROP VBRK_X61Y97 NUM_ARCS 0 TILEPROP VBRK_X61Y97 NUM_SITES 0 TILEPROP VBRK_X61Y97 ROW 59 TILEPROP VBRK_X61Y97 SLR_REGION_ID 0 TILEPROP VBRK_X61Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y97 TILE_TYPE VBRK TILEPROP VBRK_X61Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y97 TILE_X 10608 TILEPROP VBRK_X61Y97 TILE_Y 60224 TILEPROP VBRK_X61Y97 TYPE VBRK TILEPROP VBRK_X61Y98 CLASS tile TILEPROP VBRK_X61Y98 COLUMN 61 TILEPROP VBRK_X61Y98 DEVICE_ID 0 TILEPROP VBRK_X61Y98 FIRST_SITE_ID 5645 TILEPROP VBRK_X61Y98 GRID_POINT_X 61 TILEPROP VBRK_X61Y98 GRID_POINT_Y 58 TILEPROP VBRK_X61Y98 INDEX 6731 TILEPROP VBRK_X61Y98 INT_TILE_X 23 TILEPROP VBRK_X61Y98 INT_TILE_Y 55 TILEPROP VBRK_X61Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y98 IS_DCM_TILE 0 TILEPROP VBRK_X61Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y98 NAME VBRK_X61Y98 TILEPROP VBRK_X61Y98 NUM_ARCS 0 TILEPROP VBRK_X61Y98 NUM_SITES 0 TILEPROP VBRK_X61Y98 ROW 58 TILEPROP VBRK_X61Y98 SLR_REGION_ID 0 TILEPROP VBRK_X61Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y98 TILE_TYPE VBRK TILEPROP VBRK_X61Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y98 TILE_X 10608 TILEPROP VBRK_X61Y98 TILE_Y 63424 TILEPROP VBRK_X61Y98 TYPE VBRK TILEPROP VBRK_X61Y99 CLASS tile TILEPROP VBRK_X61Y99 COLUMN 61 TILEPROP VBRK_X61Y99 DEVICE_ID 0 TILEPROP VBRK_X61Y99 FIRST_SITE_ID 5536 TILEPROP VBRK_X61Y99 GRID_POINT_X 61 TILEPROP VBRK_X61Y99 GRID_POINT_Y 57 TILEPROP VBRK_X61Y99 INDEX 6616 TILEPROP VBRK_X61Y99 INT_TILE_X 23 TILEPROP VBRK_X61Y99 INT_TILE_Y 54 TILEPROP VBRK_X61Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y99 IS_DCM_TILE 0 TILEPROP VBRK_X61Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y99 NAME VBRK_X61Y99 TILEPROP VBRK_X61Y99 NUM_ARCS 0 TILEPROP VBRK_X61Y99 NUM_SITES 0 TILEPROP VBRK_X61Y99 ROW 57 TILEPROP VBRK_X61Y99 SLR_REGION_ID 0 TILEPROP VBRK_X61Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y99 TILE_TYPE VBRK TILEPROP VBRK_X61Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y99 TILE_X 10608 TILEPROP VBRK_X61Y99 TILE_Y 66624 TILEPROP VBRK_X61Y99 TYPE VBRK TILEPROP VBRK_X61Y100 CLASS tile TILEPROP VBRK_X61Y100 COLUMN 61 TILEPROP VBRK_X61Y100 DEVICE_ID 0 TILEPROP VBRK_X61Y100 FIRST_SITE_ID 5430 TILEPROP VBRK_X61Y100 GRID_POINT_X 61 TILEPROP VBRK_X61Y100 GRID_POINT_Y 56 TILEPROP VBRK_X61Y100 INDEX 6501 TILEPROP VBRK_X61Y100 INT_TILE_X 23 TILEPROP VBRK_X61Y100 INT_TILE_Y 53 TILEPROP VBRK_X61Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y100 IS_DCM_TILE 0 TILEPROP VBRK_X61Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y100 NAME VBRK_X61Y100 TILEPROP VBRK_X61Y100 NUM_ARCS 0 TILEPROP VBRK_X61Y100 NUM_SITES 0 TILEPROP VBRK_X61Y100 ROW 56 TILEPROP VBRK_X61Y100 SLR_REGION_ID 0 TILEPROP VBRK_X61Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y100 TILE_TYPE VBRK TILEPROP VBRK_X61Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y100 TILE_X 10608 TILEPROP VBRK_X61Y100 TILE_Y 69824 TILEPROP VBRK_X61Y100 TYPE VBRK TILEPROP VBRK_X61Y101 CLASS tile TILEPROP VBRK_X61Y101 COLUMN 61 TILEPROP VBRK_X61Y101 DEVICE_ID 0 TILEPROP VBRK_X61Y101 FIRST_SITE_ID 5330 TILEPROP VBRK_X61Y101 GRID_POINT_X 61 TILEPROP VBRK_X61Y101 GRID_POINT_Y 55 TILEPROP VBRK_X61Y101 INDEX 6386 TILEPROP VBRK_X61Y101 INT_TILE_X 23 TILEPROP VBRK_X61Y101 INT_TILE_Y 52 TILEPROP VBRK_X61Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y101 IS_DCM_TILE 0 TILEPROP VBRK_X61Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y101 NAME VBRK_X61Y101 TILEPROP VBRK_X61Y101 NUM_ARCS 0 TILEPROP VBRK_X61Y101 NUM_SITES 0 TILEPROP VBRK_X61Y101 ROW 55 TILEPROP VBRK_X61Y101 SLR_REGION_ID 0 TILEPROP VBRK_X61Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y101 TILE_TYPE VBRK TILEPROP VBRK_X61Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y101 TILE_X 10608 TILEPROP VBRK_X61Y101 TILE_Y 73024 TILEPROP VBRK_X61Y101 TYPE VBRK TILEPROP VBRK_X61Y102 CLASS tile TILEPROP VBRK_X61Y102 COLUMN 61 TILEPROP VBRK_X61Y102 DEVICE_ID 0 TILEPROP VBRK_X61Y102 FIRST_SITE_ID 5230 TILEPROP VBRK_X61Y102 GRID_POINT_X 61 TILEPROP VBRK_X61Y102 GRID_POINT_Y 54 TILEPROP VBRK_X61Y102 INDEX 6271 TILEPROP VBRK_X61Y102 INT_TILE_X 23 TILEPROP VBRK_X61Y102 INT_TILE_Y 51 TILEPROP VBRK_X61Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y102 IS_DCM_TILE 0 TILEPROP VBRK_X61Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y102 NAME VBRK_X61Y102 TILEPROP VBRK_X61Y102 NUM_ARCS 0 TILEPROP VBRK_X61Y102 NUM_SITES 0 TILEPROP VBRK_X61Y102 ROW 54 TILEPROP VBRK_X61Y102 SLR_REGION_ID 0 TILEPROP VBRK_X61Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y102 TILE_TYPE VBRK TILEPROP VBRK_X61Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y102 TILE_X 10608 TILEPROP VBRK_X61Y102 TILE_Y 76224 TILEPROP VBRK_X61Y102 TYPE VBRK TILEPROP VBRK_X61Y103 CLASS tile TILEPROP VBRK_X61Y103 COLUMN 61 TILEPROP VBRK_X61Y103 DEVICE_ID 0 TILEPROP VBRK_X61Y103 FIRST_SITE_ID 5134 TILEPROP VBRK_X61Y103 GRID_POINT_X 61 TILEPROP VBRK_X61Y103 GRID_POINT_Y 53 TILEPROP VBRK_X61Y103 INDEX 6156 TILEPROP VBRK_X61Y103 INT_TILE_X 23 TILEPROP VBRK_X61Y103 INT_TILE_Y 50 TILEPROP VBRK_X61Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y103 IS_DCM_TILE 0 TILEPROP VBRK_X61Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y103 NAME VBRK_X61Y103 TILEPROP VBRK_X61Y103 NUM_ARCS 0 TILEPROP VBRK_X61Y103 NUM_SITES 0 TILEPROP VBRK_X61Y103 ROW 53 TILEPROP VBRK_X61Y103 SLR_REGION_ID 0 TILEPROP VBRK_X61Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y103 TILE_TYPE VBRK TILEPROP VBRK_X61Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y103 TILE_X 10608 TILEPROP VBRK_X61Y103 TILE_Y 79424 TILEPROP VBRK_X61Y103 TYPE VBRK TILEPROP VBRK_X61Y105 CLASS tile TILEPROP VBRK_X61Y105 COLUMN 61 TILEPROP VBRK_X61Y105 DEVICE_ID 0 TILEPROP VBRK_X61Y105 FIRST_SITE_ID 5057 TILEPROP VBRK_X61Y105 GRID_POINT_X 61 TILEPROP VBRK_X61Y105 GRID_POINT_Y 51 TILEPROP VBRK_X61Y105 INDEX 5926 TILEPROP VBRK_X61Y105 INT_TILE_X 23 TILEPROP VBRK_X61Y105 INT_TILE_Y 49 TILEPROP VBRK_X61Y105 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y105 IS_DCM_TILE 0 TILEPROP VBRK_X61Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y105 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y105 NAME VBRK_X61Y105 TILEPROP VBRK_X61Y105 NUM_ARCS 0 TILEPROP VBRK_X61Y105 NUM_SITES 0 TILEPROP VBRK_X61Y105 ROW 51 TILEPROP VBRK_X61Y105 SLR_REGION_ID 0 TILEPROP VBRK_X61Y105 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y105 TILE_TYPE VBRK TILEPROP VBRK_X61Y105 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y105 TILE_X 10608 TILEPROP VBRK_X61Y105 TILE_Y 82872 TILEPROP VBRK_X61Y105 TYPE VBRK TILEPROP VBRK_X61Y106 CLASS tile TILEPROP VBRK_X61Y106 COLUMN 61 TILEPROP VBRK_X61Y106 DEVICE_ID 0 TILEPROP VBRK_X61Y106 FIRST_SITE_ID 4959 TILEPROP VBRK_X61Y106 GRID_POINT_X 61 TILEPROP VBRK_X61Y106 GRID_POINT_Y 50 TILEPROP VBRK_X61Y106 INDEX 5811 TILEPROP VBRK_X61Y106 INT_TILE_X 23 TILEPROP VBRK_X61Y106 INT_TILE_Y 48 TILEPROP VBRK_X61Y106 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y106 IS_DCM_TILE 0 TILEPROP VBRK_X61Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y106 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y106 NAME VBRK_X61Y106 TILEPROP VBRK_X61Y106 NUM_ARCS 0 TILEPROP VBRK_X61Y106 NUM_SITES 0 TILEPROP VBRK_X61Y106 ROW 50 TILEPROP VBRK_X61Y106 SLR_REGION_ID 0 TILEPROP VBRK_X61Y106 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y106 TILE_TYPE VBRK TILEPROP VBRK_X61Y106 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y106 TILE_X 10608 TILEPROP VBRK_X61Y106 TILE_Y 86072 TILEPROP VBRK_X61Y106 TYPE VBRK TILEPROP VBRK_X61Y107 CLASS tile TILEPROP VBRK_X61Y107 COLUMN 61 TILEPROP VBRK_X61Y107 DEVICE_ID 0 TILEPROP VBRK_X61Y107 FIRST_SITE_ID 4863 TILEPROP VBRK_X61Y107 GRID_POINT_X 61 TILEPROP VBRK_X61Y107 GRID_POINT_Y 49 TILEPROP VBRK_X61Y107 INDEX 5696 TILEPROP VBRK_X61Y107 INT_TILE_X 23 TILEPROP VBRK_X61Y107 INT_TILE_Y 47 TILEPROP VBRK_X61Y107 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y107 IS_DCM_TILE 0 TILEPROP VBRK_X61Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y107 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y107 NAME VBRK_X61Y107 TILEPROP VBRK_X61Y107 NUM_ARCS 0 TILEPROP VBRK_X61Y107 NUM_SITES 0 TILEPROP VBRK_X61Y107 ROW 49 TILEPROP VBRK_X61Y107 SLR_REGION_ID 0 TILEPROP VBRK_X61Y107 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y107 TILE_TYPE VBRK TILEPROP VBRK_X61Y107 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y107 TILE_X 10608 TILEPROP VBRK_X61Y107 TILE_Y 89272 TILEPROP VBRK_X61Y107 TYPE VBRK TILEPROP VBRK_X61Y108 CLASS tile TILEPROP VBRK_X61Y108 COLUMN 61 TILEPROP VBRK_X61Y108 DEVICE_ID 0 TILEPROP VBRK_X61Y108 FIRST_SITE_ID 4775 TILEPROP VBRK_X61Y108 GRID_POINT_X 61 TILEPROP VBRK_X61Y108 GRID_POINT_Y 48 TILEPROP VBRK_X61Y108 INDEX 5581 TILEPROP VBRK_X61Y108 INT_TILE_X 23 TILEPROP VBRK_X61Y108 INT_TILE_Y 46 TILEPROP VBRK_X61Y108 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y108 IS_DCM_TILE 0 TILEPROP VBRK_X61Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y108 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y108 NAME VBRK_X61Y108 TILEPROP VBRK_X61Y108 NUM_ARCS 0 TILEPROP VBRK_X61Y108 NUM_SITES 0 TILEPROP VBRK_X61Y108 ROW 48 TILEPROP VBRK_X61Y108 SLR_REGION_ID 0 TILEPROP VBRK_X61Y108 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y108 TILE_TYPE VBRK TILEPROP VBRK_X61Y108 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y108 TILE_X 10608 TILEPROP VBRK_X61Y108 TILE_Y 92472 TILEPROP VBRK_X61Y108 TYPE VBRK TILEPROP VBRK_X61Y109 CLASS tile TILEPROP VBRK_X61Y109 COLUMN 61 TILEPROP VBRK_X61Y109 DEVICE_ID 0 TILEPROP VBRK_X61Y109 FIRST_SITE_ID 4679 TILEPROP VBRK_X61Y109 GRID_POINT_X 61 TILEPROP VBRK_X61Y109 GRID_POINT_Y 47 TILEPROP VBRK_X61Y109 INDEX 5466 TILEPROP VBRK_X61Y109 INT_TILE_X 23 TILEPROP VBRK_X61Y109 INT_TILE_Y 45 TILEPROP VBRK_X61Y109 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y109 IS_DCM_TILE 0 TILEPROP VBRK_X61Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y109 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y109 NAME VBRK_X61Y109 TILEPROP VBRK_X61Y109 NUM_ARCS 0 TILEPROP VBRK_X61Y109 NUM_SITES 0 TILEPROP VBRK_X61Y109 ROW 47 TILEPROP VBRK_X61Y109 SLR_REGION_ID 0 TILEPROP VBRK_X61Y109 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y109 TILE_TYPE VBRK TILEPROP VBRK_X61Y109 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y109 TILE_X 10608 TILEPROP VBRK_X61Y109 TILE_Y 95672 TILEPROP VBRK_X61Y109 TYPE VBRK TILEPROP VBRK_X61Y110 CLASS tile TILEPROP VBRK_X61Y110 COLUMN 61 TILEPROP VBRK_X61Y110 DEVICE_ID 0 TILEPROP VBRK_X61Y110 FIRST_SITE_ID 4583 TILEPROP VBRK_X61Y110 GRID_POINT_X 61 TILEPROP VBRK_X61Y110 GRID_POINT_Y 46 TILEPROP VBRK_X61Y110 INDEX 5351 TILEPROP VBRK_X61Y110 INT_TILE_X 23 TILEPROP VBRK_X61Y110 INT_TILE_Y 44 TILEPROP VBRK_X61Y110 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y110 IS_DCM_TILE 0 TILEPROP VBRK_X61Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y110 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y110 NAME VBRK_X61Y110 TILEPROP VBRK_X61Y110 NUM_ARCS 0 TILEPROP VBRK_X61Y110 NUM_SITES 0 TILEPROP VBRK_X61Y110 ROW 46 TILEPROP VBRK_X61Y110 SLR_REGION_ID 0 TILEPROP VBRK_X61Y110 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y110 TILE_TYPE VBRK TILEPROP VBRK_X61Y110 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y110 TILE_X 10608 TILEPROP VBRK_X61Y110 TILE_Y 98872 TILEPROP VBRK_X61Y110 TYPE VBRK TILEPROP VBRK_X61Y111 CLASS tile TILEPROP VBRK_X61Y111 COLUMN 61 TILEPROP VBRK_X61Y111 DEVICE_ID 0 TILEPROP VBRK_X61Y111 FIRST_SITE_ID 4481 TILEPROP VBRK_X61Y111 GRID_POINT_X 61 TILEPROP VBRK_X61Y111 GRID_POINT_Y 45 TILEPROP VBRK_X61Y111 INDEX 5236 TILEPROP VBRK_X61Y111 INT_TILE_X 23 TILEPROP VBRK_X61Y111 INT_TILE_Y 43 TILEPROP VBRK_X61Y111 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y111 IS_DCM_TILE 0 TILEPROP VBRK_X61Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y111 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y111 NAME VBRK_X61Y111 TILEPROP VBRK_X61Y111 NUM_ARCS 0 TILEPROP VBRK_X61Y111 NUM_SITES 0 TILEPROP VBRK_X61Y111 ROW 45 TILEPROP VBRK_X61Y111 SLR_REGION_ID 0 TILEPROP VBRK_X61Y111 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y111 TILE_TYPE VBRK TILEPROP VBRK_X61Y111 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y111 TILE_X 10608 TILEPROP VBRK_X61Y111 TILE_Y 102072 TILEPROP VBRK_X61Y111 TYPE VBRK TILEPROP VBRK_X61Y112 CLASS tile TILEPROP VBRK_X61Y112 COLUMN 61 TILEPROP VBRK_X61Y112 DEVICE_ID 0 TILEPROP VBRK_X61Y112 FIRST_SITE_ID 4393 TILEPROP VBRK_X61Y112 GRID_POINT_X 61 TILEPROP VBRK_X61Y112 GRID_POINT_Y 44 TILEPROP VBRK_X61Y112 INDEX 5121 TILEPROP VBRK_X61Y112 INT_TILE_X 23 TILEPROP VBRK_X61Y112 INT_TILE_Y 42 TILEPROP VBRK_X61Y112 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y112 IS_DCM_TILE 0 TILEPROP VBRK_X61Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y112 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y112 NAME VBRK_X61Y112 TILEPROP VBRK_X61Y112 NUM_ARCS 0 TILEPROP VBRK_X61Y112 NUM_SITES 0 TILEPROP VBRK_X61Y112 ROW 44 TILEPROP VBRK_X61Y112 SLR_REGION_ID 0 TILEPROP VBRK_X61Y112 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y112 TILE_TYPE VBRK TILEPROP VBRK_X61Y112 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y112 TILE_X 10608 TILEPROP VBRK_X61Y112 TILE_Y 105272 TILEPROP VBRK_X61Y112 TYPE VBRK TILEPROP VBRK_X61Y113 CLASS tile TILEPROP VBRK_X61Y113 COLUMN 61 TILEPROP VBRK_X61Y113 DEVICE_ID 0 TILEPROP VBRK_X61Y113 FIRST_SITE_ID 4295 TILEPROP VBRK_X61Y113 GRID_POINT_X 61 TILEPROP VBRK_X61Y113 GRID_POINT_Y 43 TILEPROP VBRK_X61Y113 INDEX 5006 TILEPROP VBRK_X61Y113 INT_TILE_X 23 TILEPROP VBRK_X61Y113 INT_TILE_Y 41 TILEPROP VBRK_X61Y113 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y113 IS_DCM_TILE 0 TILEPROP VBRK_X61Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y113 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y113 NAME VBRK_X61Y113 TILEPROP VBRK_X61Y113 NUM_ARCS 0 TILEPROP VBRK_X61Y113 NUM_SITES 0 TILEPROP VBRK_X61Y113 ROW 43 TILEPROP VBRK_X61Y113 SLR_REGION_ID 0 TILEPROP VBRK_X61Y113 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y113 TILE_TYPE VBRK TILEPROP VBRK_X61Y113 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y113 TILE_X 10608 TILEPROP VBRK_X61Y113 TILE_Y 108472 TILEPROP VBRK_X61Y113 TYPE VBRK TILEPROP VBRK_X61Y114 CLASS tile TILEPROP VBRK_X61Y114 COLUMN 61 TILEPROP VBRK_X61Y114 DEVICE_ID 0 TILEPROP VBRK_X61Y114 FIRST_SITE_ID 4206 TILEPROP VBRK_X61Y114 GRID_POINT_X 61 TILEPROP VBRK_X61Y114 GRID_POINT_Y 42 TILEPROP VBRK_X61Y114 INDEX 4891 TILEPROP VBRK_X61Y114 INT_TILE_X 23 TILEPROP VBRK_X61Y114 INT_TILE_Y 40 TILEPROP VBRK_X61Y114 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y114 IS_DCM_TILE 0 TILEPROP VBRK_X61Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y114 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y114 NAME VBRK_X61Y114 TILEPROP VBRK_X61Y114 NUM_ARCS 0 TILEPROP VBRK_X61Y114 NUM_SITES 0 TILEPROP VBRK_X61Y114 ROW 42 TILEPROP VBRK_X61Y114 SLR_REGION_ID 0 TILEPROP VBRK_X61Y114 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y114 TILE_TYPE VBRK TILEPROP VBRK_X61Y114 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y114 TILE_X 10608 TILEPROP VBRK_X61Y114 TILE_Y 111672 TILEPROP VBRK_X61Y114 TYPE VBRK TILEPROP VBRK_X61Y115 CLASS tile TILEPROP VBRK_X61Y115 COLUMN 61 TILEPROP VBRK_X61Y115 DEVICE_ID 0 TILEPROP VBRK_X61Y115 FIRST_SITE_ID 4106 TILEPROP VBRK_X61Y115 GRID_POINT_X 61 TILEPROP VBRK_X61Y115 GRID_POINT_Y 41 TILEPROP VBRK_X61Y115 INDEX 4776 TILEPROP VBRK_X61Y115 INT_TILE_X 23 TILEPROP VBRK_X61Y115 INT_TILE_Y 39 TILEPROP VBRK_X61Y115 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y115 IS_DCM_TILE 0 TILEPROP VBRK_X61Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y115 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y115 NAME VBRK_X61Y115 TILEPROP VBRK_X61Y115 NUM_ARCS 0 TILEPROP VBRK_X61Y115 NUM_SITES 0 TILEPROP VBRK_X61Y115 ROW 41 TILEPROP VBRK_X61Y115 SLR_REGION_ID 0 TILEPROP VBRK_X61Y115 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y115 TILE_TYPE VBRK TILEPROP VBRK_X61Y115 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y115 TILE_X 10608 TILEPROP VBRK_X61Y115 TILE_Y 114872 TILEPROP VBRK_X61Y115 TYPE VBRK TILEPROP VBRK_X61Y116 CLASS tile TILEPROP VBRK_X61Y116 COLUMN 61 TILEPROP VBRK_X61Y116 DEVICE_ID 0 TILEPROP VBRK_X61Y116 FIRST_SITE_ID 4012 TILEPROP VBRK_X61Y116 GRID_POINT_X 61 TILEPROP VBRK_X61Y116 GRID_POINT_Y 40 TILEPROP VBRK_X61Y116 INDEX 4661 TILEPROP VBRK_X61Y116 INT_TILE_X 23 TILEPROP VBRK_X61Y116 INT_TILE_Y 38 TILEPROP VBRK_X61Y116 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y116 IS_DCM_TILE 0 TILEPROP VBRK_X61Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y116 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y116 NAME VBRK_X61Y116 TILEPROP VBRK_X61Y116 NUM_ARCS 0 TILEPROP VBRK_X61Y116 NUM_SITES 0 TILEPROP VBRK_X61Y116 ROW 40 TILEPROP VBRK_X61Y116 SLR_REGION_ID 0 TILEPROP VBRK_X61Y116 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y116 TILE_TYPE VBRK TILEPROP VBRK_X61Y116 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y116 TILE_X 10608 TILEPROP VBRK_X61Y116 TILE_Y 118072 TILEPROP VBRK_X61Y116 TYPE VBRK TILEPROP VBRK_X61Y117 CLASS tile TILEPROP VBRK_X61Y117 COLUMN 61 TILEPROP VBRK_X61Y117 DEVICE_ID 0 TILEPROP VBRK_X61Y117 FIRST_SITE_ID 3916 TILEPROP VBRK_X61Y117 GRID_POINT_X 61 TILEPROP VBRK_X61Y117 GRID_POINT_Y 39 TILEPROP VBRK_X61Y117 INDEX 4546 TILEPROP VBRK_X61Y117 INT_TILE_X 23 TILEPROP VBRK_X61Y117 INT_TILE_Y 37 TILEPROP VBRK_X61Y117 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y117 IS_DCM_TILE 0 TILEPROP VBRK_X61Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y117 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y117 NAME VBRK_X61Y117 TILEPROP VBRK_X61Y117 NUM_ARCS 0 TILEPROP VBRK_X61Y117 NUM_SITES 0 TILEPROP VBRK_X61Y117 ROW 39 TILEPROP VBRK_X61Y117 SLR_REGION_ID 0 TILEPROP VBRK_X61Y117 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y117 TILE_TYPE VBRK TILEPROP VBRK_X61Y117 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y117 TILE_X 10608 TILEPROP VBRK_X61Y117 TILE_Y 121272 TILEPROP VBRK_X61Y117 TYPE VBRK TILEPROP VBRK_X61Y118 CLASS tile TILEPROP VBRK_X61Y118 COLUMN 61 TILEPROP VBRK_X61Y118 DEVICE_ID 0 TILEPROP VBRK_X61Y118 FIRST_SITE_ID 3796 TILEPROP VBRK_X61Y118 GRID_POINT_X 61 TILEPROP VBRK_X61Y118 GRID_POINT_Y 38 TILEPROP VBRK_X61Y118 INDEX 4431 TILEPROP VBRK_X61Y118 INT_TILE_X 23 TILEPROP VBRK_X61Y118 INT_TILE_Y 36 TILEPROP VBRK_X61Y118 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y118 IS_DCM_TILE 0 TILEPROP VBRK_X61Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y118 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y118 NAME VBRK_X61Y118 TILEPROP VBRK_X61Y118 NUM_ARCS 0 TILEPROP VBRK_X61Y118 NUM_SITES 0 TILEPROP VBRK_X61Y118 ROW 38 TILEPROP VBRK_X61Y118 SLR_REGION_ID 0 TILEPROP VBRK_X61Y118 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y118 TILE_TYPE VBRK TILEPROP VBRK_X61Y118 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y118 TILE_X 10608 TILEPROP VBRK_X61Y118 TILE_Y 124472 TILEPROP VBRK_X61Y118 TYPE VBRK TILEPROP VBRK_X61Y119 CLASS tile TILEPROP VBRK_X61Y119 COLUMN 61 TILEPROP VBRK_X61Y119 DEVICE_ID 0 TILEPROP VBRK_X61Y119 FIRST_SITE_ID 3700 TILEPROP VBRK_X61Y119 GRID_POINT_X 61 TILEPROP VBRK_X61Y119 GRID_POINT_Y 37 TILEPROP VBRK_X61Y119 INDEX 4316 TILEPROP VBRK_X61Y119 INT_TILE_X 23 TILEPROP VBRK_X61Y119 INT_TILE_Y 35 TILEPROP VBRK_X61Y119 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y119 IS_DCM_TILE 0 TILEPROP VBRK_X61Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y119 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y119 NAME VBRK_X61Y119 TILEPROP VBRK_X61Y119 NUM_ARCS 0 TILEPROP VBRK_X61Y119 NUM_SITES 0 TILEPROP VBRK_X61Y119 ROW 37 TILEPROP VBRK_X61Y119 SLR_REGION_ID 0 TILEPROP VBRK_X61Y119 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y119 TILE_TYPE VBRK TILEPROP VBRK_X61Y119 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y119 TILE_X 10608 TILEPROP VBRK_X61Y119 TILE_Y 127672 TILEPROP VBRK_X61Y119 TYPE VBRK TILEPROP VBRK_X61Y120 CLASS tile TILEPROP VBRK_X61Y120 COLUMN 61 TILEPROP VBRK_X61Y120 DEVICE_ID 0 TILEPROP VBRK_X61Y120 FIRST_SITE_ID 3609 TILEPROP VBRK_X61Y120 GRID_POINT_X 61 TILEPROP VBRK_X61Y120 GRID_POINT_Y 36 TILEPROP VBRK_X61Y120 INDEX 4201 TILEPROP VBRK_X61Y120 INT_TILE_X 23 TILEPROP VBRK_X61Y120 INT_TILE_Y 34 TILEPROP VBRK_X61Y120 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y120 IS_DCM_TILE 0 TILEPROP VBRK_X61Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y120 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y120 NAME VBRK_X61Y120 TILEPROP VBRK_X61Y120 NUM_ARCS 0 TILEPROP VBRK_X61Y120 NUM_SITES 0 TILEPROP VBRK_X61Y120 ROW 36 TILEPROP VBRK_X61Y120 SLR_REGION_ID 0 TILEPROP VBRK_X61Y120 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y120 TILE_TYPE VBRK TILEPROP VBRK_X61Y120 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y120 TILE_X 10608 TILEPROP VBRK_X61Y120 TILE_Y 130872 TILEPROP VBRK_X61Y120 TYPE VBRK TILEPROP VBRK_X61Y121 CLASS tile TILEPROP VBRK_X61Y121 COLUMN 61 TILEPROP VBRK_X61Y121 DEVICE_ID 0 TILEPROP VBRK_X61Y121 FIRST_SITE_ID 3502 TILEPROP VBRK_X61Y121 GRID_POINT_X 61 TILEPROP VBRK_X61Y121 GRID_POINT_Y 35 TILEPROP VBRK_X61Y121 INDEX 4086 TILEPROP VBRK_X61Y121 INT_TILE_X 23 TILEPROP VBRK_X61Y121 INT_TILE_Y 33 TILEPROP VBRK_X61Y121 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y121 IS_DCM_TILE 0 TILEPROP VBRK_X61Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y121 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y121 NAME VBRK_X61Y121 TILEPROP VBRK_X61Y121 NUM_ARCS 0 TILEPROP VBRK_X61Y121 NUM_SITES 0 TILEPROP VBRK_X61Y121 ROW 35 TILEPROP VBRK_X61Y121 SLR_REGION_ID 0 TILEPROP VBRK_X61Y121 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y121 TILE_TYPE VBRK TILEPROP VBRK_X61Y121 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y121 TILE_X 10608 TILEPROP VBRK_X61Y121 TILE_Y 134072 TILEPROP VBRK_X61Y121 TYPE VBRK TILEPROP VBRK_X61Y122 CLASS tile TILEPROP VBRK_X61Y122 COLUMN 61 TILEPROP VBRK_X61Y122 DEVICE_ID 0 TILEPROP VBRK_X61Y122 FIRST_SITE_ID 3414 TILEPROP VBRK_X61Y122 GRID_POINT_X 61 TILEPROP VBRK_X61Y122 GRID_POINT_Y 34 TILEPROP VBRK_X61Y122 INDEX 3971 TILEPROP VBRK_X61Y122 INT_TILE_X 23 TILEPROP VBRK_X61Y122 INT_TILE_Y 32 TILEPROP VBRK_X61Y122 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y122 IS_DCM_TILE 0 TILEPROP VBRK_X61Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y122 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y122 NAME VBRK_X61Y122 TILEPROP VBRK_X61Y122 NUM_ARCS 0 TILEPROP VBRK_X61Y122 NUM_SITES 0 TILEPROP VBRK_X61Y122 ROW 34 TILEPROP VBRK_X61Y122 SLR_REGION_ID 0 TILEPROP VBRK_X61Y122 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y122 TILE_TYPE VBRK TILEPROP VBRK_X61Y122 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y122 TILE_X 10608 TILEPROP VBRK_X61Y122 TILE_Y 137272 TILEPROP VBRK_X61Y122 TYPE VBRK TILEPROP VBRK_X61Y123 CLASS tile TILEPROP VBRK_X61Y123 COLUMN 61 TILEPROP VBRK_X61Y123 DEVICE_ID 0 TILEPROP VBRK_X61Y123 FIRST_SITE_ID 3314 TILEPROP VBRK_X61Y123 GRID_POINT_X 61 TILEPROP VBRK_X61Y123 GRID_POINT_Y 33 TILEPROP VBRK_X61Y123 INDEX 3856 TILEPROP VBRK_X61Y123 INT_TILE_X 23 TILEPROP VBRK_X61Y123 INT_TILE_Y 31 TILEPROP VBRK_X61Y123 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y123 IS_DCM_TILE 0 TILEPROP VBRK_X61Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y123 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y123 NAME VBRK_X61Y123 TILEPROP VBRK_X61Y123 NUM_ARCS 0 TILEPROP VBRK_X61Y123 NUM_SITES 0 TILEPROP VBRK_X61Y123 ROW 33 TILEPROP VBRK_X61Y123 SLR_REGION_ID 0 TILEPROP VBRK_X61Y123 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y123 TILE_TYPE VBRK TILEPROP VBRK_X61Y123 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y123 TILE_X 10608 TILEPROP VBRK_X61Y123 TILE_Y 140472 TILEPROP VBRK_X61Y123 TYPE VBRK TILEPROP VBRK_X61Y124 CLASS tile TILEPROP VBRK_X61Y124 COLUMN 61 TILEPROP VBRK_X61Y124 DEVICE_ID 0 TILEPROP VBRK_X61Y124 FIRST_SITE_ID 3226 TILEPROP VBRK_X61Y124 GRID_POINT_X 61 TILEPROP VBRK_X61Y124 GRID_POINT_Y 32 TILEPROP VBRK_X61Y124 INDEX 3741 TILEPROP VBRK_X61Y124 INT_TILE_X 23 TILEPROP VBRK_X61Y124 INT_TILE_Y 30 TILEPROP VBRK_X61Y124 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y124 IS_DCM_TILE 0 TILEPROP VBRK_X61Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y124 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y124 NAME VBRK_X61Y124 TILEPROP VBRK_X61Y124 NUM_ARCS 0 TILEPROP VBRK_X61Y124 NUM_SITES 0 TILEPROP VBRK_X61Y124 ROW 32 TILEPROP VBRK_X61Y124 SLR_REGION_ID 0 TILEPROP VBRK_X61Y124 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y124 TILE_TYPE VBRK TILEPROP VBRK_X61Y124 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y124 TILE_X 10608 TILEPROP VBRK_X61Y124 TILE_Y 143672 TILEPROP VBRK_X61Y124 TYPE VBRK TILEPROP VBRK_X61Y125 CLASS tile TILEPROP VBRK_X61Y125 COLUMN 61 TILEPROP VBRK_X61Y125 DEVICE_ID 0 TILEPROP VBRK_X61Y125 FIRST_SITE_ID 3125 TILEPROP VBRK_X61Y125 GRID_POINT_X 61 TILEPROP VBRK_X61Y125 GRID_POINT_Y 31 TILEPROP VBRK_X61Y125 INDEX 3626 TILEPROP VBRK_X61Y125 INT_TILE_X 23 TILEPROP VBRK_X61Y125 INT_TILE_Y 29 TILEPROP VBRK_X61Y125 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y125 IS_DCM_TILE 0 TILEPROP VBRK_X61Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y125 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y125 NAME VBRK_X61Y125 TILEPROP VBRK_X61Y125 NUM_ARCS 0 TILEPROP VBRK_X61Y125 NUM_SITES 0 TILEPROP VBRK_X61Y125 ROW 31 TILEPROP VBRK_X61Y125 SLR_REGION_ID 0 TILEPROP VBRK_X61Y125 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y125 TILE_TYPE VBRK TILEPROP VBRK_X61Y125 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y125 TILE_X 10608 TILEPROP VBRK_X61Y125 TILE_Y 146872 TILEPROP VBRK_X61Y125 TYPE VBRK TILEPROP VBRK_X61Y126 CLASS tile TILEPROP VBRK_X61Y126 COLUMN 61 TILEPROP VBRK_X61Y126 DEVICE_ID 0 TILEPROP VBRK_X61Y126 FIRST_SITE_ID 3031 TILEPROP VBRK_X61Y126 GRID_POINT_X 61 TILEPROP VBRK_X61Y126 GRID_POINT_Y 30 TILEPROP VBRK_X61Y126 INDEX 3511 TILEPROP VBRK_X61Y126 INT_TILE_X 23 TILEPROP VBRK_X61Y126 INT_TILE_Y 28 TILEPROP VBRK_X61Y126 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y126 IS_DCM_TILE 0 TILEPROP VBRK_X61Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y126 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y126 NAME VBRK_X61Y126 TILEPROP VBRK_X61Y126 NUM_ARCS 0 TILEPROP VBRK_X61Y126 NUM_SITES 0 TILEPROP VBRK_X61Y126 ROW 30 TILEPROP VBRK_X61Y126 SLR_REGION_ID 0 TILEPROP VBRK_X61Y126 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y126 TILE_TYPE VBRK TILEPROP VBRK_X61Y126 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y126 TILE_X 10608 TILEPROP VBRK_X61Y126 TILE_Y 150072 TILEPROP VBRK_X61Y126 TYPE VBRK TILEPROP VBRK_X61Y127 CLASS tile TILEPROP VBRK_X61Y127 COLUMN 61 TILEPROP VBRK_X61Y127 DEVICE_ID 0 TILEPROP VBRK_X61Y127 FIRST_SITE_ID 2928 TILEPROP VBRK_X61Y127 GRID_POINT_X 61 TILEPROP VBRK_X61Y127 GRID_POINT_Y 29 TILEPROP VBRK_X61Y127 INDEX 3396 TILEPROP VBRK_X61Y127 INT_TILE_X 23 TILEPROP VBRK_X61Y127 INT_TILE_Y 27 TILEPROP VBRK_X61Y127 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y127 IS_DCM_TILE 0 TILEPROP VBRK_X61Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y127 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y127 NAME VBRK_X61Y127 TILEPROP VBRK_X61Y127 NUM_ARCS 0 TILEPROP VBRK_X61Y127 NUM_SITES 0 TILEPROP VBRK_X61Y127 ROW 29 TILEPROP VBRK_X61Y127 SLR_REGION_ID 0 TILEPROP VBRK_X61Y127 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y127 TILE_TYPE VBRK TILEPROP VBRK_X61Y127 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y127 TILE_X 10608 TILEPROP VBRK_X61Y127 TILE_Y 153272 TILEPROP VBRK_X61Y127 TYPE VBRK TILEPROP VBRK_X61Y128 CLASS tile TILEPROP VBRK_X61Y128 COLUMN 61 TILEPROP VBRK_X61Y128 DEVICE_ID 0 TILEPROP VBRK_X61Y128 FIRST_SITE_ID 2840 TILEPROP VBRK_X61Y128 GRID_POINT_X 61 TILEPROP VBRK_X61Y128 GRID_POINT_Y 28 TILEPROP VBRK_X61Y128 INDEX 3281 TILEPROP VBRK_X61Y128 INT_TILE_X 23 TILEPROP VBRK_X61Y128 INT_TILE_Y 26 TILEPROP VBRK_X61Y128 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y128 IS_DCM_TILE 0 TILEPROP VBRK_X61Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y128 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y128 NAME VBRK_X61Y128 TILEPROP VBRK_X61Y128 NUM_ARCS 0 TILEPROP VBRK_X61Y128 NUM_SITES 0 TILEPROP VBRK_X61Y128 ROW 28 TILEPROP VBRK_X61Y128 SLR_REGION_ID 0 TILEPROP VBRK_X61Y128 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y128 TILE_TYPE VBRK TILEPROP VBRK_X61Y128 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y128 TILE_X 10608 TILEPROP VBRK_X61Y128 TILE_Y 156472 TILEPROP VBRK_X61Y128 TYPE VBRK TILEPROP VBRK_X61Y129 CLASS tile TILEPROP VBRK_X61Y129 COLUMN 61 TILEPROP VBRK_X61Y129 DEVICE_ID 0 TILEPROP VBRK_X61Y129 FIRST_SITE_ID 2744 TILEPROP VBRK_X61Y129 GRID_POINT_X 61 TILEPROP VBRK_X61Y129 GRID_POINT_Y 27 TILEPROP VBRK_X61Y129 INDEX 3166 TILEPROP VBRK_X61Y129 INT_TILE_X 23 TILEPROP VBRK_X61Y129 INT_TILE_Y 25 TILEPROP VBRK_X61Y129 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y129 IS_DCM_TILE 0 TILEPROP VBRK_X61Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y129 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y129 NAME VBRK_X61Y129 TILEPROP VBRK_X61Y129 NUM_ARCS 0 TILEPROP VBRK_X61Y129 NUM_SITES 0 TILEPROP VBRK_X61Y129 ROW 27 TILEPROP VBRK_X61Y129 SLR_REGION_ID 0 TILEPROP VBRK_X61Y129 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y129 TILE_TYPE VBRK TILEPROP VBRK_X61Y129 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y129 TILE_X 10608 TILEPROP VBRK_X61Y129 TILE_Y 159672 TILEPROP VBRK_X61Y129 TYPE VBRK TILEPROP VBRK_X61Y131 CLASS tile TILEPROP VBRK_X61Y131 COLUMN 61 TILEPROP VBRK_X61Y131 DEVICE_ID 0 TILEPROP VBRK_X61Y131 FIRST_SITE_ID 2571 TILEPROP VBRK_X61Y131 GRID_POINT_X 61 TILEPROP VBRK_X61Y131 GRID_POINT_Y 25 TILEPROP VBRK_X61Y131 INDEX 2936 TILEPROP VBRK_X61Y131 INT_TILE_X 23 TILEPROP VBRK_X61Y131 INT_TILE_Y 24 TILEPROP VBRK_X61Y131 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y131 IS_DCM_TILE 0 TILEPROP VBRK_X61Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y131 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y131 NAME VBRK_X61Y131 TILEPROP VBRK_X61Y131 NUM_ARCS 0 TILEPROP VBRK_X61Y131 NUM_SITES 0 TILEPROP VBRK_X61Y131 ROW 25 TILEPROP VBRK_X61Y131 SLR_REGION_ID 0 TILEPROP VBRK_X61Y131 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y131 TILE_TYPE VBRK TILEPROP VBRK_X61Y131 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y131 TILE_X 10608 TILEPROP VBRK_X61Y131 TILE_Y 163896 TILEPROP VBRK_X61Y131 TYPE VBRK TILEPROP VBRK_X61Y132 CLASS tile TILEPROP VBRK_X61Y132 COLUMN 61 TILEPROP VBRK_X61Y132 DEVICE_ID 0 TILEPROP VBRK_X61Y132 FIRST_SITE_ID 2461 TILEPROP VBRK_X61Y132 GRID_POINT_X 61 TILEPROP VBRK_X61Y132 GRID_POINT_Y 24 TILEPROP VBRK_X61Y132 INDEX 2821 TILEPROP VBRK_X61Y132 INT_TILE_X 23 TILEPROP VBRK_X61Y132 INT_TILE_Y 23 TILEPROP VBRK_X61Y132 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y132 IS_DCM_TILE 0 TILEPROP VBRK_X61Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y132 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y132 NAME VBRK_X61Y132 TILEPROP VBRK_X61Y132 NUM_ARCS 0 TILEPROP VBRK_X61Y132 NUM_SITES 0 TILEPROP VBRK_X61Y132 ROW 24 TILEPROP VBRK_X61Y132 SLR_REGION_ID 0 TILEPROP VBRK_X61Y132 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y132 TILE_TYPE VBRK TILEPROP VBRK_X61Y132 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y132 TILE_X 10608 TILEPROP VBRK_X61Y132 TILE_Y 167096 TILEPROP VBRK_X61Y132 TYPE VBRK TILEPROP VBRK_X61Y133 CLASS tile TILEPROP VBRK_X61Y133 COLUMN 61 TILEPROP VBRK_X61Y133 DEVICE_ID 0 TILEPROP VBRK_X61Y133 FIRST_SITE_ID 2365 TILEPROP VBRK_X61Y133 GRID_POINT_X 61 TILEPROP VBRK_X61Y133 GRID_POINT_Y 23 TILEPROP VBRK_X61Y133 INDEX 2706 TILEPROP VBRK_X61Y133 INT_TILE_X 23 TILEPROP VBRK_X61Y133 INT_TILE_Y 22 TILEPROP VBRK_X61Y133 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y133 IS_DCM_TILE 0 TILEPROP VBRK_X61Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y133 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y133 NAME VBRK_X61Y133 TILEPROP VBRK_X61Y133 NUM_ARCS 0 TILEPROP VBRK_X61Y133 NUM_SITES 0 TILEPROP VBRK_X61Y133 ROW 23 TILEPROP VBRK_X61Y133 SLR_REGION_ID 0 TILEPROP VBRK_X61Y133 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y133 TILE_TYPE VBRK TILEPROP VBRK_X61Y133 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y133 TILE_X 10608 TILEPROP VBRK_X61Y133 TILE_Y 170296 TILEPROP VBRK_X61Y133 TYPE VBRK TILEPROP VBRK_X61Y134 CLASS tile TILEPROP VBRK_X61Y134 COLUMN 61 TILEPROP VBRK_X61Y134 DEVICE_ID 0 TILEPROP VBRK_X61Y134 FIRST_SITE_ID 2261 TILEPROP VBRK_X61Y134 GRID_POINT_X 61 TILEPROP VBRK_X61Y134 GRID_POINT_Y 22 TILEPROP VBRK_X61Y134 INDEX 2591 TILEPROP VBRK_X61Y134 INT_TILE_X 23 TILEPROP VBRK_X61Y134 INT_TILE_Y 21 TILEPROP VBRK_X61Y134 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y134 IS_DCM_TILE 0 TILEPROP VBRK_X61Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y134 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y134 NAME VBRK_X61Y134 TILEPROP VBRK_X61Y134 NUM_ARCS 0 TILEPROP VBRK_X61Y134 NUM_SITES 0 TILEPROP VBRK_X61Y134 ROW 22 TILEPROP VBRK_X61Y134 SLR_REGION_ID 0 TILEPROP VBRK_X61Y134 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y134 TILE_TYPE VBRK TILEPROP VBRK_X61Y134 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y134 TILE_X 10608 TILEPROP VBRK_X61Y134 TILE_Y 173496 TILEPROP VBRK_X61Y134 TYPE VBRK TILEPROP VBRK_X61Y135 CLASS tile TILEPROP VBRK_X61Y135 COLUMN 61 TILEPROP VBRK_X61Y135 DEVICE_ID 0 TILEPROP VBRK_X61Y135 FIRST_SITE_ID 2165 TILEPROP VBRK_X61Y135 GRID_POINT_X 61 TILEPROP VBRK_X61Y135 GRID_POINT_Y 21 TILEPROP VBRK_X61Y135 INDEX 2476 TILEPROP VBRK_X61Y135 INT_TILE_X 23 TILEPROP VBRK_X61Y135 INT_TILE_Y 20 TILEPROP VBRK_X61Y135 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y135 IS_DCM_TILE 0 TILEPROP VBRK_X61Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y135 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y135 NAME VBRK_X61Y135 TILEPROP VBRK_X61Y135 NUM_ARCS 0 TILEPROP VBRK_X61Y135 NUM_SITES 0 TILEPROP VBRK_X61Y135 ROW 21 TILEPROP VBRK_X61Y135 SLR_REGION_ID 0 TILEPROP VBRK_X61Y135 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y135 TILE_TYPE VBRK TILEPROP VBRK_X61Y135 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y135 TILE_X 10608 TILEPROP VBRK_X61Y135 TILE_Y 176696 TILEPROP VBRK_X61Y135 TYPE VBRK TILEPROP VBRK_X61Y136 CLASS tile TILEPROP VBRK_X61Y136 COLUMN 61 TILEPROP VBRK_X61Y136 DEVICE_ID 0 TILEPROP VBRK_X61Y136 FIRST_SITE_ID 2049 TILEPROP VBRK_X61Y136 GRID_POINT_X 61 TILEPROP VBRK_X61Y136 GRID_POINT_Y 20 TILEPROP VBRK_X61Y136 INDEX 2361 TILEPROP VBRK_X61Y136 INT_TILE_X 23 TILEPROP VBRK_X61Y136 INT_TILE_Y 19 TILEPROP VBRK_X61Y136 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y136 IS_DCM_TILE 0 TILEPROP VBRK_X61Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y136 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y136 NAME VBRK_X61Y136 TILEPROP VBRK_X61Y136 NUM_ARCS 0 TILEPROP VBRK_X61Y136 NUM_SITES 0 TILEPROP VBRK_X61Y136 ROW 20 TILEPROP VBRK_X61Y136 SLR_REGION_ID 0 TILEPROP VBRK_X61Y136 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y136 TILE_TYPE VBRK TILEPROP VBRK_X61Y136 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y136 TILE_X 10608 TILEPROP VBRK_X61Y136 TILE_Y 179896 TILEPROP VBRK_X61Y136 TYPE VBRK TILEPROP VBRK_X61Y137 CLASS tile TILEPROP VBRK_X61Y137 COLUMN 61 TILEPROP VBRK_X61Y137 DEVICE_ID 0 TILEPROP VBRK_X61Y137 FIRST_SITE_ID 1947 TILEPROP VBRK_X61Y137 GRID_POINT_X 61 TILEPROP VBRK_X61Y137 GRID_POINT_Y 19 TILEPROP VBRK_X61Y137 INDEX 2246 TILEPROP VBRK_X61Y137 INT_TILE_X 23 TILEPROP VBRK_X61Y137 INT_TILE_Y 18 TILEPROP VBRK_X61Y137 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y137 IS_DCM_TILE 0 TILEPROP VBRK_X61Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y137 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y137 NAME VBRK_X61Y137 TILEPROP VBRK_X61Y137 NUM_ARCS 0 TILEPROP VBRK_X61Y137 NUM_SITES 0 TILEPROP VBRK_X61Y137 ROW 19 TILEPROP VBRK_X61Y137 SLR_REGION_ID 0 TILEPROP VBRK_X61Y137 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y137 TILE_TYPE VBRK TILEPROP VBRK_X61Y137 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y137 TILE_X 10608 TILEPROP VBRK_X61Y137 TILE_Y 183096 TILEPROP VBRK_X61Y137 TYPE VBRK TILEPROP VBRK_X61Y138 CLASS tile TILEPROP VBRK_X61Y138 COLUMN 61 TILEPROP VBRK_X61Y138 DEVICE_ID 0 TILEPROP VBRK_X61Y138 FIRST_SITE_ID 1841 TILEPROP VBRK_X61Y138 GRID_POINT_X 61 TILEPROP VBRK_X61Y138 GRID_POINT_Y 18 TILEPROP VBRK_X61Y138 INDEX 2131 TILEPROP VBRK_X61Y138 INT_TILE_X 23 TILEPROP VBRK_X61Y138 INT_TILE_Y 17 TILEPROP VBRK_X61Y138 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y138 IS_DCM_TILE 0 TILEPROP VBRK_X61Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y138 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y138 NAME VBRK_X61Y138 TILEPROP VBRK_X61Y138 NUM_ARCS 0 TILEPROP VBRK_X61Y138 NUM_SITES 0 TILEPROP VBRK_X61Y138 ROW 18 TILEPROP VBRK_X61Y138 SLR_REGION_ID 0 TILEPROP VBRK_X61Y138 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y138 TILE_TYPE VBRK TILEPROP VBRK_X61Y138 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y138 TILE_X 10608 TILEPROP VBRK_X61Y138 TILE_Y 186296 TILEPROP VBRK_X61Y138 TYPE VBRK TILEPROP VBRK_X61Y139 CLASS tile TILEPROP VBRK_X61Y139 COLUMN 61 TILEPROP VBRK_X61Y139 DEVICE_ID 0 TILEPROP VBRK_X61Y139 FIRST_SITE_ID 1740 TILEPROP VBRK_X61Y139 GRID_POINT_X 61 TILEPROP VBRK_X61Y139 GRID_POINT_Y 17 TILEPROP VBRK_X61Y139 INDEX 2016 TILEPROP VBRK_X61Y139 INT_TILE_X 23 TILEPROP VBRK_X61Y139 INT_TILE_Y 16 TILEPROP VBRK_X61Y139 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y139 IS_DCM_TILE 0 TILEPROP VBRK_X61Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y139 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y139 NAME VBRK_X61Y139 TILEPROP VBRK_X61Y139 NUM_ARCS 0 TILEPROP VBRK_X61Y139 NUM_SITES 0 TILEPROP VBRK_X61Y139 ROW 17 TILEPROP VBRK_X61Y139 SLR_REGION_ID 0 TILEPROP VBRK_X61Y139 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y139 TILE_TYPE VBRK TILEPROP VBRK_X61Y139 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y139 TILE_X 10608 TILEPROP VBRK_X61Y139 TILE_Y 189496 TILEPROP VBRK_X61Y139 TYPE VBRK TILEPROP VBRK_X61Y140 CLASS tile TILEPROP VBRK_X61Y140 COLUMN 61 TILEPROP VBRK_X61Y140 DEVICE_ID 0 TILEPROP VBRK_X61Y140 FIRST_SITE_ID 1636 TILEPROP VBRK_X61Y140 GRID_POINT_X 61 TILEPROP VBRK_X61Y140 GRID_POINT_Y 16 TILEPROP VBRK_X61Y140 INDEX 1901 TILEPROP VBRK_X61Y140 INT_TILE_X 23 TILEPROP VBRK_X61Y140 INT_TILE_Y 15 TILEPROP VBRK_X61Y140 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y140 IS_DCM_TILE 0 TILEPROP VBRK_X61Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y140 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y140 NAME VBRK_X61Y140 TILEPROP VBRK_X61Y140 NUM_ARCS 0 TILEPROP VBRK_X61Y140 NUM_SITES 0 TILEPROP VBRK_X61Y140 ROW 16 TILEPROP VBRK_X61Y140 SLR_REGION_ID 0 TILEPROP VBRK_X61Y140 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y140 TILE_TYPE VBRK TILEPROP VBRK_X61Y140 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y140 TILE_X 10608 TILEPROP VBRK_X61Y140 TILE_Y 192696 TILEPROP VBRK_X61Y140 TYPE VBRK TILEPROP VBRK_X61Y141 CLASS tile TILEPROP VBRK_X61Y141 COLUMN 61 TILEPROP VBRK_X61Y141 DEVICE_ID 0 TILEPROP VBRK_X61Y141 FIRST_SITE_ID 1534 TILEPROP VBRK_X61Y141 GRID_POINT_X 61 TILEPROP VBRK_X61Y141 GRID_POINT_Y 15 TILEPROP VBRK_X61Y141 INDEX 1786 TILEPROP VBRK_X61Y141 INT_TILE_X 23 TILEPROP VBRK_X61Y141 INT_TILE_Y 14 TILEPROP VBRK_X61Y141 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y141 IS_DCM_TILE 0 TILEPROP VBRK_X61Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y141 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y141 NAME VBRK_X61Y141 TILEPROP VBRK_X61Y141 NUM_ARCS 0 TILEPROP VBRK_X61Y141 NUM_SITES 0 TILEPROP VBRK_X61Y141 ROW 15 TILEPROP VBRK_X61Y141 SLR_REGION_ID 0 TILEPROP VBRK_X61Y141 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y141 TILE_TYPE VBRK TILEPROP VBRK_X61Y141 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y141 TILE_X 10608 TILEPROP VBRK_X61Y141 TILE_Y 195896 TILEPROP VBRK_X61Y141 TYPE VBRK TILEPROP VBRK_X61Y142 CLASS tile TILEPROP VBRK_X61Y142 COLUMN 61 TILEPROP VBRK_X61Y142 DEVICE_ID 0 TILEPROP VBRK_X61Y142 FIRST_SITE_ID 1424 TILEPROP VBRK_X61Y142 GRID_POINT_X 61 TILEPROP VBRK_X61Y142 GRID_POINT_Y 14 TILEPROP VBRK_X61Y142 INDEX 1671 TILEPROP VBRK_X61Y142 INT_TILE_X 23 TILEPROP VBRK_X61Y142 INT_TILE_Y 13 TILEPROP VBRK_X61Y142 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y142 IS_DCM_TILE 0 TILEPROP VBRK_X61Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y142 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y142 NAME VBRK_X61Y142 TILEPROP VBRK_X61Y142 NUM_ARCS 0 TILEPROP VBRK_X61Y142 NUM_SITES 0 TILEPROP VBRK_X61Y142 ROW 14 TILEPROP VBRK_X61Y142 SLR_REGION_ID 0 TILEPROP VBRK_X61Y142 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y142 TILE_TYPE VBRK TILEPROP VBRK_X61Y142 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y142 TILE_X 10608 TILEPROP VBRK_X61Y142 TILE_Y 199096 TILEPROP VBRK_X61Y142 TYPE VBRK TILEPROP VBRK_X61Y143 CLASS tile TILEPROP VBRK_X61Y143 COLUMN 61 TILEPROP VBRK_X61Y143 DEVICE_ID 0 TILEPROP VBRK_X61Y143 FIRST_SITE_ID 1296 TILEPROP VBRK_X61Y143 GRID_POINT_X 61 TILEPROP VBRK_X61Y143 GRID_POINT_Y 13 TILEPROP VBRK_X61Y143 INDEX 1556 TILEPROP VBRK_X61Y143 INT_TILE_X 23 TILEPROP VBRK_X61Y143 INT_TILE_Y 12 TILEPROP VBRK_X61Y143 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y143 IS_DCM_TILE 0 TILEPROP VBRK_X61Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y143 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y143 NAME VBRK_X61Y143 TILEPROP VBRK_X61Y143 NUM_ARCS 0 TILEPROP VBRK_X61Y143 NUM_SITES 0 TILEPROP VBRK_X61Y143 ROW 13 TILEPROP VBRK_X61Y143 SLR_REGION_ID 0 TILEPROP VBRK_X61Y143 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y143 TILE_TYPE VBRK TILEPROP VBRK_X61Y143 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y143 TILE_X 10608 TILEPROP VBRK_X61Y143 TILE_Y 202296 TILEPROP VBRK_X61Y143 TYPE VBRK TILEPROP VBRK_X61Y144 CLASS tile TILEPROP VBRK_X61Y144 COLUMN 61 TILEPROP VBRK_X61Y144 DEVICE_ID 0 TILEPROP VBRK_X61Y144 FIRST_SITE_ID 1192 TILEPROP VBRK_X61Y144 GRID_POINT_X 61 TILEPROP VBRK_X61Y144 GRID_POINT_Y 12 TILEPROP VBRK_X61Y144 INDEX 1441 TILEPROP VBRK_X61Y144 INT_TILE_X 23 TILEPROP VBRK_X61Y144 INT_TILE_Y 11 TILEPROP VBRK_X61Y144 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y144 IS_DCM_TILE 0 TILEPROP VBRK_X61Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y144 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y144 NAME VBRK_X61Y144 TILEPROP VBRK_X61Y144 NUM_ARCS 0 TILEPROP VBRK_X61Y144 NUM_SITES 0 TILEPROP VBRK_X61Y144 ROW 12 TILEPROP VBRK_X61Y144 SLR_REGION_ID 0 TILEPROP VBRK_X61Y144 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y144 TILE_TYPE VBRK TILEPROP VBRK_X61Y144 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y144 TILE_X 10608 TILEPROP VBRK_X61Y144 TILE_Y 205496 TILEPROP VBRK_X61Y144 TYPE VBRK TILEPROP VBRK_X61Y145 CLASS tile TILEPROP VBRK_X61Y145 COLUMN 61 TILEPROP VBRK_X61Y145 DEVICE_ID 0 TILEPROP VBRK_X61Y145 FIRST_SITE_ID 1096 TILEPROP VBRK_X61Y145 GRID_POINT_X 61 TILEPROP VBRK_X61Y145 GRID_POINT_Y 11 TILEPROP VBRK_X61Y145 INDEX 1326 TILEPROP VBRK_X61Y145 INT_TILE_X 23 TILEPROP VBRK_X61Y145 INT_TILE_Y 10 TILEPROP VBRK_X61Y145 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y145 IS_DCM_TILE 0 TILEPROP VBRK_X61Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y145 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y145 NAME VBRK_X61Y145 TILEPROP VBRK_X61Y145 NUM_ARCS 0 TILEPROP VBRK_X61Y145 NUM_SITES 0 TILEPROP VBRK_X61Y145 ROW 11 TILEPROP VBRK_X61Y145 SLR_REGION_ID 0 TILEPROP VBRK_X61Y145 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y145 TILE_TYPE VBRK TILEPROP VBRK_X61Y145 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y145 TILE_X 10608 TILEPROP VBRK_X61Y145 TILE_Y 208696 TILEPROP VBRK_X61Y145 TYPE VBRK TILEPROP VBRK_X61Y146 CLASS tile TILEPROP VBRK_X61Y146 COLUMN 61 TILEPROP VBRK_X61Y146 DEVICE_ID 0 TILEPROP VBRK_X61Y146 FIRST_SITE_ID 986 TILEPROP VBRK_X61Y146 GRID_POINT_X 61 TILEPROP VBRK_X61Y146 GRID_POINT_Y 10 TILEPROP VBRK_X61Y146 INDEX 1211 TILEPROP VBRK_X61Y146 INT_TILE_X 23 TILEPROP VBRK_X61Y146 INT_TILE_Y 9 TILEPROP VBRK_X61Y146 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y146 IS_DCM_TILE 0 TILEPROP VBRK_X61Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y146 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y146 NAME VBRK_X61Y146 TILEPROP VBRK_X61Y146 NUM_ARCS 0 TILEPROP VBRK_X61Y146 NUM_SITES 0 TILEPROP VBRK_X61Y146 ROW 10 TILEPROP VBRK_X61Y146 SLR_REGION_ID 0 TILEPROP VBRK_X61Y146 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y146 TILE_TYPE VBRK TILEPROP VBRK_X61Y146 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y146 TILE_X 10608 TILEPROP VBRK_X61Y146 TILE_Y 211896 TILEPROP VBRK_X61Y146 TYPE VBRK TILEPROP VBRK_X61Y147 CLASS tile TILEPROP VBRK_X61Y147 COLUMN 61 TILEPROP VBRK_X61Y147 DEVICE_ID 0 TILEPROP VBRK_X61Y147 FIRST_SITE_ID 884 TILEPROP VBRK_X61Y147 GRID_POINT_X 61 TILEPROP VBRK_X61Y147 GRID_POINT_Y 9 TILEPROP VBRK_X61Y147 INDEX 1096 TILEPROP VBRK_X61Y147 INT_TILE_X 23 TILEPROP VBRK_X61Y147 INT_TILE_Y 8 TILEPROP VBRK_X61Y147 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y147 IS_DCM_TILE 0 TILEPROP VBRK_X61Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y147 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y147 NAME VBRK_X61Y147 TILEPROP VBRK_X61Y147 NUM_ARCS 0 TILEPROP VBRK_X61Y147 NUM_SITES 0 TILEPROP VBRK_X61Y147 ROW 9 TILEPROP VBRK_X61Y147 SLR_REGION_ID 0 TILEPROP VBRK_X61Y147 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y147 TILE_TYPE VBRK TILEPROP VBRK_X61Y147 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y147 TILE_X 10608 TILEPROP VBRK_X61Y147 TILE_Y 215096 TILEPROP VBRK_X61Y147 TYPE VBRK TILEPROP VBRK_X61Y148 CLASS tile TILEPROP VBRK_X61Y148 COLUMN 61 TILEPROP VBRK_X61Y148 DEVICE_ID 0 TILEPROP VBRK_X61Y148 FIRST_SITE_ID 780 TILEPROP VBRK_X61Y148 GRID_POINT_X 61 TILEPROP VBRK_X61Y148 GRID_POINT_Y 8 TILEPROP VBRK_X61Y148 INDEX 981 TILEPROP VBRK_X61Y148 INT_TILE_X 23 TILEPROP VBRK_X61Y148 INT_TILE_Y 7 TILEPROP VBRK_X61Y148 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y148 IS_DCM_TILE 0 TILEPROP VBRK_X61Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y148 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y148 NAME VBRK_X61Y148 TILEPROP VBRK_X61Y148 NUM_ARCS 0 TILEPROP VBRK_X61Y148 NUM_SITES 0 TILEPROP VBRK_X61Y148 ROW 8 TILEPROP VBRK_X61Y148 SLR_REGION_ID 0 TILEPROP VBRK_X61Y148 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y148 TILE_TYPE VBRK TILEPROP VBRK_X61Y148 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y148 TILE_X 10608 TILEPROP VBRK_X61Y148 TILE_Y 218296 TILEPROP VBRK_X61Y148 TYPE VBRK TILEPROP VBRK_X61Y149 CLASS tile TILEPROP VBRK_X61Y149 COLUMN 61 TILEPROP VBRK_X61Y149 DEVICE_ID 0 TILEPROP VBRK_X61Y149 FIRST_SITE_ID 683 TILEPROP VBRK_X61Y149 GRID_POINT_X 61 TILEPROP VBRK_X61Y149 GRID_POINT_Y 7 TILEPROP VBRK_X61Y149 INDEX 866 TILEPROP VBRK_X61Y149 INT_TILE_X 23 TILEPROP VBRK_X61Y149 INT_TILE_Y 6 TILEPROP VBRK_X61Y149 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y149 IS_DCM_TILE 0 TILEPROP VBRK_X61Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y149 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y149 NAME VBRK_X61Y149 TILEPROP VBRK_X61Y149 NUM_ARCS 0 TILEPROP VBRK_X61Y149 NUM_SITES 0 TILEPROP VBRK_X61Y149 ROW 7 TILEPROP VBRK_X61Y149 SLR_REGION_ID 0 TILEPROP VBRK_X61Y149 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y149 TILE_TYPE VBRK TILEPROP VBRK_X61Y149 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y149 TILE_X 10608 TILEPROP VBRK_X61Y149 TILE_Y 221496 TILEPROP VBRK_X61Y149 TYPE VBRK TILEPROP VBRK_X61Y150 CLASS tile TILEPROP VBRK_X61Y150 COLUMN 61 TILEPROP VBRK_X61Y150 DEVICE_ID 0 TILEPROP VBRK_X61Y150 FIRST_SITE_ID 572 TILEPROP VBRK_X61Y150 GRID_POINT_X 61 TILEPROP VBRK_X61Y150 GRID_POINT_Y 6 TILEPROP VBRK_X61Y150 INDEX 751 TILEPROP VBRK_X61Y150 INT_TILE_X 23 TILEPROP VBRK_X61Y150 INT_TILE_Y 5 TILEPROP VBRK_X61Y150 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y150 IS_DCM_TILE 0 TILEPROP VBRK_X61Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y150 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y150 NAME VBRK_X61Y150 TILEPROP VBRK_X61Y150 NUM_ARCS 0 TILEPROP VBRK_X61Y150 NUM_SITES 0 TILEPROP VBRK_X61Y150 ROW 6 TILEPROP VBRK_X61Y150 SLR_REGION_ID 0 TILEPROP VBRK_X61Y150 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y150 TILE_TYPE VBRK TILEPROP VBRK_X61Y150 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y150 TILE_X 10608 TILEPROP VBRK_X61Y150 TILE_Y 224696 TILEPROP VBRK_X61Y150 TYPE VBRK TILEPROP VBRK_X61Y151 CLASS tile TILEPROP VBRK_X61Y151 COLUMN 61 TILEPROP VBRK_X61Y151 DEVICE_ID 0 TILEPROP VBRK_X61Y151 FIRST_SITE_ID 470 TILEPROP VBRK_X61Y151 GRID_POINT_X 61 TILEPROP VBRK_X61Y151 GRID_POINT_Y 5 TILEPROP VBRK_X61Y151 INDEX 636 TILEPROP VBRK_X61Y151 INT_TILE_X 23 TILEPROP VBRK_X61Y151 INT_TILE_Y 4 TILEPROP VBRK_X61Y151 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y151 IS_DCM_TILE 0 TILEPROP VBRK_X61Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y151 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y151 NAME VBRK_X61Y151 TILEPROP VBRK_X61Y151 NUM_ARCS 0 TILEPROP VBRK_X61Y151 NUM_SITES 0 TILEPROP VBRK_X61Y151 ROW 5 TILEPROP VBRK_X61Y151 SLR_REGION_ID 0 TILEPROP VBRK_X61Y151 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y151 TILE_TYPE VBRK TILEPROP VBRK_X61Y151 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y151 TILE_X 10608 TILEPROP VBRK_X61Y151 TILE_Y 227896 TILEPROP VBRK_X61Y151 TYPE VBRK TILEPROP VBRK_X61Y152 CLASS tile TILEPROP VBRK_X61Y152 COLUMN 61 TILEPROP VBRK_X61Y152 DEVICE_ID 0 TILEPROP VBRK_X61Y152 FIRST_SITE_ID 360 TILEPROP VBRK_X61Y152 GRID_POINT_X 61 TILEPROP VBRK_X61Y152 GRID_POINT_Y 4 TILEPROP VBRK_X61Y152 INDEX 521 TILEPROP VBRK_X61Y152 INT_TILE_X 23 TILEPROP VBRK_X61Y152 INT_TILE_Y 3 TILEPROP VBRK_X61Y152 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y152 IS_DCM_TILE 0 TILEPROP VBRK_X61Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y152 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y152 NAME VBRK_X61Y152 TILEPROP VBRK_X61Y152 NUM_ARCS 0 TILEPROP VBRK_X61Y152 NUM_SITES 0 TILEPROP VBRK_X61Y152 ROW 4 TILEPROP VBRK_X61Y152 SLR_REGION_ID 0 TILEPROP VBRK_X61Y152 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y152 TILE_TYPE VBRK TILEPROP VBRK_X61Y152 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y152 TILE_X 10608 TILEPROP VBRK_X61Y152 TILE_Y 231096 TILEPROP VBRK_X61Y152 TYPE VBRK TILEPROP VBRK_X61Y153 CLASS tile TILEPROP VBRK_X61Y153 COLUMN 61 TILEPROP VBRK_X61Y153 DEVICE_ID 0 TILEPROP VBRK_X61Y153 FIRST_SITE_ID 264 TILEPROP VBRK_X61Y153 GRID_POINT_X 61 TILEPROP VBRK_X61Y153 GRID_POINT_Y 3 TILEPROP VBRK_X61Y153 INDEX 406 TILEPROP VBRK_X61Y153 INT_TILE_X 23 TILEPROP VBRK_X61Y153 INT_TILE_Y 2 TILEPROP VBRK_X61Y153 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y153 IS_DCM_TILE 0 TILEPROP VBRK_X61Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y153 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y153 NAME VBRK_X61Y153 TILEPROP VBRK_X61Y153 NUM_ARCS 0 TILEPROP VBRK_X61Y153 NUM_SITES 0 TILEPROP VBRK_X61Y153 ROW 3 TILEPROP VBRK_X61Y153 SLR_REGION_ID 0 TILEPROP VBRK_X61Y153 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y153 TILE_TYPE VBRK TILEPROP VBRK_X61Y153 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y153 TILE_X 10608 TILEPROP VBRK_X61Y153 TILE_Y 234296 TILEPROP VBRK_X61Y153 TYPE VBRK TILEPROP VBRK_X61Y154 CLASS tile TILEPROP VBRK_X61Y154 COLUMN 61 TILEPROP VBRK_X61Y154 DEVICE_ID 0 TILEPROP VBRK_X61Y154 FIRST_SITE_ID 160 TILEPROP VBRK_X61Y154 GRID_POINT_X 61 TILEPROP VBRK_X61Y154 GRID_POINT_Y 2 TILEPROP VBRK_X61Y154 INDEX 291 TILEPROP VBRK_X61Y154 INT_TILE_X 23 TILEPROP VBRK_X61Y154 INT_TILE_Y 1 TILEPROP VBRK_X61Y154 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y154 IS_DCM_TILE 0 TILEPROP VBRK_X61Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y154 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y154 NAME VBRK_X61Y154 TILEPROP VBRK_X61Y154 NUM_ARCS 0 TILEPROP VBRK_X61Y154 NUM_SITES 0 TILEPROP VBRK_X61Y154 ROW 2 TILEPROP VBRK_X61Y154 SLR_REGION_ID 0 TILEPROP VBRK_X61Y154 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y154 TILE_TYPE VBRK TILEPROP VBRK_X61Y154 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y154 TILE_X 10608 TILEPROP VBRK_X61Y154 TILE_Y 237496 TILEPROP VBRK_X61Y154 TYPE VBRK TILEPROP VBRK_X61Y155 CLASS tile TILEPROP VBRK_X61Y155 COLUMN 61 TILEPROP VBRK_X61Y155 DEVICE_ID 0 TILEPROP VBRK_X61Y155 FIRST_SITE_ID 64 TILEPROP VBRK_X61Y155 GRID_POINT_X 61 TILEPROP VBRK_X61Y155 GRID_POINT_Y 1 TILEPROP VBRK_X61Y155 INDEX 176 TILEPROP VBRK_X61Y155 INT_TILE_X 23 TILEPROP VBRK_X61Y155 INT_TILE_Y 0 TILEPROP VBRK_X61Y155 IS_CENTER_TILE 0 TILEPROP VBRK_X61Y155 IS_DCM_TILE 0 TILEPROP VBRK_X61Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X61Y155 IS_GT_SITE_TILE 0 TILEPROP VBRK_X61Y155 NAME VBRK_X61Y155 TILEPROP VBRK_X61Y155 NUM_ARCS 0 TILEPROP VBRK_X61Y155 NUM_SITES 0 TILEPROP VBRK_X61Y155 ROW 1 TILEPROP VBRK_X61Y155 SLR_REGION_ID 0 TILEPROP VBRK_X61Y155 TILE_PATTERN_IDX 12 TILEPROP VBRK_X61Y155 TILE_TYPE VBRK TILEPROP VBRK_X61Y155 TILE_TYPE_INDEX 128 TILEPROP VBRK_X61Y155 TILE_X 10608 TILEPROP VBRK_X61Y155 TILE_Y 240696 TILEPROP VBRK_X61Y155 TYPE VBRK TILEPROP VBRK_X66Y1 CLASS tile TILEPROP VBRK_X66Y1 COLUMN 66 TILEPROP VBRK_X66Y1 DEVICE_ID 0 TILEPROP VBRK_X66Y1 FIRST_SITE_ID 15760 TILEPROP VBRK_X66Y1 GRID_POINT_X 66 TILEPROP VBRK_X66Y1 GRID_POINT_Y 155 TILEPROP VBRK_X66Y1 INDEX 17891 TILEPROP VBRK_X66Y1 INT_TILE_X 25 TILEPROP VBRK_X66Y1 INT_TILE_Y 149 TILEPROP VBRK_X66Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y1 IS_DCM_TILE 0 TILEPROP VBRK_X66Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y1 NAME VBRK_X66Y1 TILEPROP VBRK_X66Y1 NUM_ARCS 0 TILEPROP VBRK_X66Y1 NUM_SITES 0 TILEPROP VBRK_X66Y1 ROW 155 TILEPROP VBRK_X66Y1 SLR_REGION_ID 0 TILEPROP VBRK_X66Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y1 TILE_TYPE VBRK TILEPROP VBRK_X66Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y1 TILE_X 18976 TILEPROP VBRK_X66Y1 TILE_Y -239672 TILEPROP VBRK_X66Y1 TYPE VBRK TILEPROP VBRK_X66Y2 CLASS tile TILEPROP VBRK_X66Y2 COLUMN 66 TILEPROP VBRK_X66Y2 DEVICE_ID 0 TILEPROP VBRK_X66Y2 FIRST_SITE_ID 15650 TILEPROP VBRK_X66Y2 GRID_POINT_X 66 TILEPROP VBRK_X66Y2 GRID_POINT_Y 154 TILEPROP VBRK_X66Y2 INDEX 17776 TILEPROP VBRK_X66Y2 INT_TILE_X 25 TILEPROP VBRK_X66Y2 INT_TILE_Y 148 TILEPROP VBRK_X66Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y2 IS_DCM_TILE 0 TILEPROP VBRK_X66Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y2 NAME VBRK_X66Y2 TILEPROP VBRK_X66Y2 NUM_ARCS 0 TILEPROP VBRK_X66Y2 NUM_SITES 0 TILEPROP VBRK_X66Y2 ROW 154 TILEPROP VBRK_X66Y2 SLR_REGION_ID 0 TILEPROP VBRK_X66Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y2 TILE_TYPE VBRK TILEPROP VBRK_X66Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y2 TILE_X 18976 TILEPROP VBRK_X66Y2 TILE_Y -236472 TILEPROP VBRK_X66Y2 TYPE VBRK TILEPROP VBRK_X66Y3 CLASS tile TILEPROP VBRK_X66Y3 COLUMN 66 TILEPROP VBRK_X66Y3 DEVICE_ID 0 TILEPROP VBRK_X66Y3 FIRST_SITE_ID 15549 TILEPROP VBRK_X66Y3 GRID_POINT_X 66 TILEPROP VBRK_X66Y3 GRID_POINT_Y 153 TILEPROP VBRK_X66Y3 INDEX 17661 TILEPROP VBRK_X66Y3 INT_TILE_X 25 TILEPROP VBRK_X66Y3 INT_TILE_Y 147 TILEPROP VBRK_X66Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y3 IS_DCM_TILE 0 TILEPROP VBRK_X66Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y3 NAME VBRK_X66Y3 TILEPROP VBRK_X66Y3 NUM_ARCS 0 TILEPROP VBRK_X66Y3 NUM_SITES 0 TILEPROP VBRK_X66Y3 ROW 153 TILEPROP VBRK_X66Y3 SLR_REGION_ID 0 TILEPROP VBRK_X66Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y3 TILE_TYPE VBRK TILEPROP VBRK_X66Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y3 TILE_X 18976 TILEPROP VBRK_X66Y3 TILE_Y -233272 TILEPROP VBRK_X66Y3 TYPE VBRK TILEPROP VBRK_X66Y4 CLASS tile TILEPROP VBRK_X66Y4 COLUMN 66 TILEPROP VBRK_X66Y4 DEVICE_ID 0 TILEPROP VBRK_X66Y4 FIRST_SITE_ID 15449 TILEPROP VBRK_X66Y4 GRID_POINT_X 66 TILEPROP VBRK_X66Y4 GRID_POINT_Y 152 TILEPROP VBRK_X66Y4 INDEX 17546 TILEPROP VBRK_X66Y4 INT_TILE_X 25 TILEPROP VBRK_X66Y4 INT_TILE_Y 146 TILEPROP VBRK_X66Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y4 IS_DCM_TILE 0 TILEPROP VBRK_X66Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y4 NAME VBRK_X66Y4 TILEPROP VBRK_X66Y4 NUM_ARCS 0 TILEPROP VBRK_X66Y4 NUM_SITES 0 TILEPROP VBRK_X66Y4 ROW 152 TILEPROP VBRK_X66Y4 SLR_REGION_ID 0 TILEPROP VBRK_X66Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y4 TILE_TYPE VBRK TILEPROP VBRK_X66Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y4 TILE_X 18976 TILEPROP VBRK_X66Y4 TILE_Y -230072 TILEPROP VBRK_X66Y4 TYPE VBRK TILEPROP VBRK_X66Y5 CLASS tile TILEPROP VBRK_X66Y5 COLUMN 66 TILEPROP VBRK_X66Y5 DEVICE_ID 0 TILEPROP VBRK_X66Y5 FIRST_SITE_ID 15349 TILEPROP VBRK_X66Y5 GRID_POINT_X 66 TILEPROP VBRK_X66Y5 GRID_POINT_Y 151 TILEPROP VBRK_X66Y5 INDEX 17431 TILEPROP VBRK_X66Y5 INT_TILE_X 25 TILEPROP VBRK_X66Y5 INT_TILE_Y 145 TILEPROP VBRK_X66Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y5 IS_DCM_TILE 0 TILEPROP VBRK_X66Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y5 NAME VBRK_X66Y5 TILEPROP VBRK_X66Y5 NUM_ARCS 0 TILEPROP VBRK_X66Y5 NUM_SITES 0 TILEPROP VBRK_X66Y5 ROW 151 TILEPROP VBRK_X66Y5 SLR_REGION_ID 0 TILEPROP VBRK_X66Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y5 TILE_TYPE VBRK TILEPROP VBRK_X66Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y5 TILE_X 18976 TILEPROP VBRK_X66Y5 TILE_Y -226872 TILEPROP VBRK_X66Y5 TYPE VBRK TILEPROP VBRK_X66Y6 CLASS tile TILEPROP VBRK_X66Y6 COLUMN 66 TILEPROP VBRK_X66Y6 DEVICE_ID 0 TILEPROP VBRK_X66Y6 FIRST_SITE_ID 15240 TILEPROP VBRK_X66Y6 GRID_POINT_X 66 TILEPROP VBRK_X66Y6 GRID_POINT_Y 150 TILEPROP VBRK_X66Y6 INDEX 17316 TILEPROP VBRK_X66Y6 INT_TILE_X 25 TILEPROP VBRK_X66Y6 INT_TILE_Y 144 TILEPROP VBRK_X66Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y6 IS_DCM_TILE 0 TILEPROP VBRK_X66Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y6 NAME VBRK_X66Y6 TILEPROP VBRK_X66Y6 NUM_ARCS 0 TILEPROP VBRK_X66Y6 NUM_SITES 0 TILEPROP VBRK_X66Y6 ROW 150 TILEPROP VBRK_X66Y6 SLR_REGION_ID 0 TILEPROP VBRK_X66Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y6 TILE_TYPE VBRK TILEPROP VBRK_X66Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y6 TILE_X 18976 TILEPROP VBRK_X66Y6 TILE_Y -223672 TILEPROP VBRK_X66Y6 TYPE VBRK TILEPROP VBRK_X66Y7 CLASS tile TILEPROP VBRK_X66Y7 COLUMN 66 TILEPROP VBRK_X66Y7 DEVICE_ID 0 TILEPROP VBRK_X66Y7 FIRST_SITE_ID 15134 TILEPROP VBRK_X66Y7 GRID_POINT_X 66 TILEPROP VBRK_X66Y7 GRID_POINT_Y 149 TILEPROP VBRK_X66Y7 INDEX 17201 TILEPROP VBRK_X66Y7 INT_TILE_X 25 TILEPROP VBRK_X66Y7 INT_TILE_Y 143 TILEPROP VBRK_X66Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y7 IS_DCM_TILE 0 TILEPROP VBRK_X66Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y7 NAME VBRK_X66Y7 TILEPROP VBRK_X66Y7 NUM_ARCS 0 TILEPROP VBRK_X66Y7 NUM_SITES 0 TILEPROP VBRK_X66Y7 ROW 149 TILEPROP VBRK_X66Y7 SLR_REGION_ID 0 TILEPROP VBRK_X66Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y7 TILE_TYPE VBRK TILEPROP VBRK_X66Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y7 TILE_X 18976 TILEPROP VBRK_X66Y7 TILE_Y -220472 TILEPROP VBRK_X66Y7 TYPE VBRK TILEPROP VBRK_X66Y8 CLASS tile TILEPROP VBRK_X66Y8 COLUMN 66 TILEPROP VBRK_X66Y8 DEVICE_ID 0 TILEPROP VBRK_X66Y8 FIRST_SITE_ID 15032 TILEPROP VBRK_X66Y8 GRID_POINT_X 66 TILEPROP VBRK_X66Y8 GRID_POINT_Y 148 TILEPROP VBRK_X66Y8 INDEX 17086 TILEPROP VBRK_X66Y8 INT_TILE_X 25 TILEPROP VBRK_X66Y8 INT_TILE_Y 142 TILEPROP VBRK_X66Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y8 IS_DCM_TILE 0 TILEPROP VBRK_X66Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y8 NAME VBRK_X66Y8 TILEPROP VBRK_X66Y8 NUM_ARCS 0 TILEPROP VBRK_X66Y8 NUM_SITES 0 TILEPROP VBRK_X66Y8 ROW 148 TILEPROP VBRK_X66Y8 SLR_REGION_ID 0 TILEPROP VBRK_X66Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y8 TILE_TYPE VBRK TILEPROP VBRK_X66Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y8 TILE_X 18976 TILEPROP VBRK_X66Y8 TILE_Y -217272 TILEPROP VBRK_X66Y8 TYPE VBRK TILEPROP VBRK_X66Y9 CLASS tile TILEPROP VBRK_X66Y9 COLUMN 66 TILEPROP VBRK_X66Y9 DEVICE_ID 0 TILEPROP VBRK_X66Y9 FIRST_SITE_ID 14929 TILEPROP VBRK_X66Y9 GRID_POINT_X 66 TILEPROP VBRK_X66Y9 GRID_POINT_Y 147 TILEPROP VBRK_X66Y9 INDEX 16971 TILEPROP VBRK_X66Y9 INT_TILE_X 25 TILEPROP VBRK_X66Y9 INT_TILE_Y 141 TILEPROP VBRK_X66Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y9 IS_DCM_TILE 0 TILEPROP VBRK_X66Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y9 NAME VBRK_X66Y9 TILEPROP VBRK_X66Y9 NUM_ARCS 0 TILEPROP VBRK_X66Y9 NUM_SITES 0 TILEPROP VBRK_X66Y9 ROW 147 TILEPROP VBRK_X66Y9 SLR_REGION_ID 0 TILEPROP VBRK_X66Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y9 TILE_TYPE VBRK TILEPROP VBRK_X66Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y9 TILE_X 18976 TILEPROP VBRK_X66Y9 TILE_Y -214072 TILEPROP VBRK_X66Y9 TYPE VBRK TILEPROP VBRK_X66Y10 CLASS tile TILEPROP VBRK_X66Y10 COLUMN 66 TILEPROP VBRK_X66Y10 DEVICE_ID 0 TILEPROP VBRK_X66Y10 FIRST_SITE_ID 14828 TILEPROP VBRK_X66Y10 GRID_POINT_X 66 TILEPROP VBRK_X66Y10 GRID_POINT_Y 146 TILEPROP VBRK_X66Y10 INDEX 16856 TILEPROP VBRK_X66Y10 INT_TILE_X 25 TILEPROP VBRK_X66Y10 INT_TILE_Y 140 TILEPROP VBRK_X66Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y10 IS_DCM_TILE 0 TILEPROP VBRK_X66Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y10 NAME VBRK_X66Y10 TILEPROP VBRK_X66Y10 NUM_ARCS 0 TILEPROP VBRK_X66Y10 NUM_SITES 0 TILEPROP VBRK_X66Y10 ROW 146 TILEPROP VBRK_X66Y10 SLR_REGION_ID 0 TILEPROP VBRK_X66Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y10 TILE_TYPE VBRK TILEPROP VBRK_X66Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y10 TILE_X 18976 TILEPROP VBRK_X66Y10 TILE_Y -210872 TILEPROP VBRK_X66Y10 TYPE VBRK TILEPROP VBRK_X66Y11 CLASS tile TILEPROP VBRK_X66Y11 COLUMN 66 TILEPROP VBRK_X66Y11 DEVICE_ID 0 TILEPROP VBRK_X66Y11 FIRST_SITE_ID 14719 TILEPROP VBRK_X66Y11 GRID_POINT_X 66 TILEPROP VBRK_X66Y11 GRID_POINT_Y 145 TILEPROP VBRK_X66Y11 INDEX 16741 TILEPROP VBRK_X66Y11 INT_TILE_X 25 TILEPROP VBRK_X66Y11 INT_TILE_Y 139 TILEPROP VBRK_X66Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y11 IS_DCM_TILE 0 TILEPROP VBRK_X66Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y11 NAME VBRK_X66Y11 TILEPROP VBRK_X66Y11 NUM_ARCS 0 TILEPROP VBRK_X66Y11 NUM_SITES 0 TILEPROP VBRK_X66Y11 ROW 145 TILEPROP VBRK_X66Y11 SLR_REGION_ID 0 TILEPROP VBRK_X66Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y11 TILE_TYPE VBRK TILEPROP VBRK_X66Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y11 TILE_X 18976 TILEPROP VBRK_X66Y11 TILE_Y -207672 TILEPROP VBRK_X66Y11 TYPE VBRK TILEPROP VBRK_X66Y12 CLASS tile TILEPROP VBRK_X66Y12 COLUMN 66 TILEPROP VBRK_X66Y12 DEVICE_ID 0 TILEPROP VBRK_X66Y12 FIRST_SITE_ID 14613 TILEPROP VBRK_X66Y12 GRID_POINT_X 66 TILEPROP VBRK_X66Y12 GRID_POINT_Y 144 TILEPROP VBRK_X66Y12 INDEX 16626 TILEPROP VBRK_X66Y12 INT_TILE_X 25 TILEPROP VBRK_X66Y12 INT_TILE_Y 138 TILEPROP VBRK_X66Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y12 IS_DCM_TILE 0 TILEPROP VBRK_X66Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y12 NAME VBRK_X66Y12 TILEPROP VBRK_X66Y12 NUM_ARCS 0 TILEPROP VBRK_X66Y12 NUM_SITES 0 TILEPROP VBRK_X66Y12 ROW 144 TILEPROP VBRK_X66Y12 SLR_REGION_ID 0 TILEPROP VBRK_X66Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y12 TILE_TYPE VBRK TILEPROP VBRK_X66Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y12 TILE_X 18976 TILEPROP VBRK_X66Y12 TILE_Y -204472 TILEPROP VBRK_X66Y12 TYPE VBRK TILEPROP VBRK_X66Y13 CLASS tile TILEPROP VBRK_X66Y13 COLUMN 66 TILEPROP VBRK_X66Y13 DEVICE_ID 0 TILEPROP VBRK_X66Y13 FIRST_SITE_ID 14513 TILEPROP VBRK_X66Y13 GRID_POINT_X 66 TILEPROP VBRK_X66Y13 GRID_POINT_Y 143 TILEPROP VBRK_X66Y13 INDEX 16511 TILEPROP VBRK_X66Y13 INT_TILE_X 25 TILEPROP VBRK_X66Y13 INT_TILE_Y 137 TILEPROP VBRK_X66Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y13 IS_DCM_TILE 0 TILEPROP VBRK_X66Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y13 NAME VBRK_X66Y13 TILEPROP VBRK_X66Y13 NUM_ARCS 0 TILEPROP VBRK_X66Y13 NUM_SITES 0 TILEPROP VBRK_X66Y13 ROW 143 TILEPROP VBRK_X66Y13 SLR_REGION_ID 0 TILEPROP VBRK_X66Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y13 TILE_TYPE VBRK TILEPROP VBRK_X66Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y13 TILE_X 18976 TILEPROP VBRK_X66Y13 TILE_Y -201272 TILEPROP VBRK_X66Y13 TYPE VBRK TILEPROP VBRK_X66Y14 CLASS tile TILEPROP VBRK_X66Y14 COLUMN 66 TILEPROP VBRK_X66Y14 DEVICE_ID 0 TILEPROP VBRK_X66Y14 FIRST_SITE_ID 14381 TILEPROP VBRK_X66Y14 GRID_POINT_X 66 TILEPROP VBRK_X66Y14 GRID_POINT_Y 142 TILEPROP VBRK_X66Y14 INDEX 16396 TILEPROP VBRK_X66Y14 INT_TILE_X 25 TILEPROP VBRK_X66Y14 INT_TILE_Y 136 TILEPROP VBRK_X66Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y14 IS_DCM_TILE 0 TILEPROP VBRK_X66Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y14 NAME VBRK_X66Y14 TILEPROP VBRK_X66Y14 NUM_ARCS 0 TILEPROP VBRK_X66Y14 NUM_SITES 0 TILEPROP VBRK_X66Y14 ROW 142 TILEPROP VBRK_X66Y14 SLR_REGION_ID 0 TILEPROP VBRK_X66Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y14 TILE_TYPE VBRK TILEPROP VBRK_X66Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y14 TILE_X 18976 TILEPROP VBRK_X66Y14 TILE_Y -198072 TILEPROP VBRK_X66Y14 TYPE VBRK TILEPROP VBRK_X66Y15 CLASS tile TILEPROP VBRK_X66Y15 COLUMN 66 TILEPROP VBRK_X66Y15 DEVICE_ID 0 TILEPROP VBRK_X66Y15 FIRST_SITE_ID 14281 TILEPROP VBRK_X66Y15 GRID_POINT_X 66 TILEPROP VBRK_X66Y15 GRID_POINT_Y 141 TILEPROP VBRK_X66Y15 INDEX 16281 TILEPROP VBRK_X66Y15 INT_TILE_X 25 TILEPROP VBRK_X66Y15 INT_TILE_Y 135 TILEPROP VBRK_X66Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y15 IS_DCM_TILE 0 TILEPROP VBRK_X66Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y15 NAME VBRK_X66Y15 TILEPROP VBRK_X66Y15 NUM_ARCS 0 TILEPROP VBRK_X66Y15 NUM_SITES 0 TILEPROP VBRK_X66Y15 ROW 141 TILEPROP VBRK_X66Y15 SLR_REGION_ID 0 TILEPROP VBRK_X66Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y15 TILE_TYPE VBRK TILEPROP VBRK_X66Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y15 TILE_X 18976 TILEPROP VBRK_X66Y15 TILE_Y -194872 TILEPROP VBRK_X66Y15 TYPE VBRK TILEPROP VBRK_X66Y16 CLASS tile TILEPROP VBRK_X66Y16 COLUMN 66 TILEPROP VBRK_X66Y16 DEVICE_ID 0 TILEPROP VBRK_X66Y16 FIRST_SITE_ID 14172 TILEPROP VBRK_X66Y16 GRID_POINT_X 66 TILEPROP VBRK_X66Y16 GRID_POINT_Y 140 TILEPROP VBRK_X66Y16 INDEX 16166 TILEPROP VBRK_X66Y16 INT_TILE_X 25 TILEPROP VBRK_X66Y16 INT_TILE_Y 134 TILEPROP VBRK_X66Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y16 IS_DCM_TILE 0 TILEPROP VBRK_X66Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y16 NAME VBRK_X66Y16 TILEPROP VBRK_X66Y16 NUM_ARCS 0 TILEPROP VBRK_X66Y16 NUM_SITES 0 TILEPROP VBRK_X66Y16 ROW 140 TILEPROP VBRK_X66Y16 SLR_REGION_ID 0 TILEPROP VBRK_X66Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y16 TILE_TYPE VBRK TILEPROP VBRK_X66Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y16 TILE_X 18976 TILEPROP VBRK_X66Y16 TILE_Y -191672 TILEPROP VBRK_X66Y16 TYPE VBRK TILEPROP VBRK_X66Y17 CLASS tile TILEPROP VBRK_X66Y17 COLUMN 66 TILEPROP VBRK_X66Y17 DEVICE_ID 0 TILEPROP VBRK_X66Y17 FIRST_SITE_ID 14066 TILEPROP VBRK_X66Y17 GRID_POINT_X 66 TILEPROP VBRK_X66Y17 GRID_POINT_Y 139 TILEPROP VBRK_X66Y17 INDEX 16051 TILEPROP VBRK_X66Y17 INT_TILE_X 25 TILEPROP VBRK_X66Y17 INT_TILE_Y 133 TILEPROP VBRK_X66Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y17 IS_DCM_TILE 0 TILEPROP VBRK_X66Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y17 NAME VBRK_X66Y17 TILEPROP VBRK_X66Y17 NUM_ARCS 0 TILEPROP VBRK_X66Y17 NUM_SITES 0 TILEPROP VBRK_X66Y17 ROW 139 TILEPROP VBRK_X66Y17 SLR_REGION_ID 0 TILEPROP VBRK_X66Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y17 TILE_TYPE VBRK TILEPROP VBRK_X66Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y17 TILE_X 18976 TILEPROP VBRK_X66Y17 TILE_Y -188472 TILEPROP VBRK_X66Y17 TYPE VBRK TILEPROP VBRK_X66Y18 CLASS tile TILEPROP VBRK_X66Y18 COLUMN 66 TILEPROP VBRK_X66Y18 DEVICE_ID 0 TILEPROP VBRK_X66Y18 FIRST_SITE_ID 13962 TILEPROP VBRK_X66Y18 GRID_POINT_X 66 TILEPROP VBRK_X66Y18 GRID_POINT_Y 138 TILEPROP VBRK_X66Y18 INDEX 15936 TILEPROP VBRK_X66Y18 INT_TILE_X 25 TILEPROP VBRK_X66Y18 INT_TILE_Y 132 TILEPROP VBRK_X66Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y18 IS_DCM_TILE 0 TILEPROP VBRK_X66Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y18 NAME VBRK_X66Y18 TILEPROP VBRK_X66Y18 NUM_ARCS 0 TILEPROP VBRK_X66Y18 NUM_SITES 0 TILEPROP VBRK_X66Y18 ROW 138 TILEPROP VBRK_X66Y18 SLR_REGION_ID 0 TILEPROP VBRK_X66Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y18 TILE_TYPE VBRK TILEPROP VBRK_X66Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y18 TILE_X 18976 TILEPROP VBRK_X66Y18 TILE_Y -185272 TILEPROP VBRK_X66Y18 TYPE VBRK TILEPROP VBRK_X66Y19 CLASS tile TILEPROP VBRK_X66Y19 COLUMN 66 TILEPROP VBRK_X66Y19 DEVICE_ID 0 TILEPROP VBRK_X66Y19 FIRST_SITE_ID 13857 TILEPROP VBRK_X66Y19 GRID_POINT_X 66 TILEPROP VBRK_X66Y19 GRID_POINT_Y 137 TILEPROP VBRK_X66Y19 INDEX 15821 TILEPROP VBRK_X66Y19 INT_TILE_X 25 TILEPROP VBRK_X66Y19 INT_TILE_Y 131 TILEPROP VBRK_X66Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y19 IS_DCM_TILE 0 TILEPROP VBRK_X66Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y19 NAME VBRK_X66Y19 TILEPROP VBRK_X66Y19 NUM_ARCS 0 TILEPROP VBRK_X66Y19 NUM_SITES 0 TILEPROP VBRK_X66Y19 ROW 137 TILEPROP VBRK_X66Y19 SLR_REGION_ID 0 TILEPROP VBRK_X66Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y19 TILE_TYPE VBRK TILEPROP VBRK_X66Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y19 TILE_X 18976 TILEPROP VBRK_X66Y19 TILE_Y -182072 TILEPROP VBRK_X66Y19 TYPE VBRK TILEPROP VBRK_X66Y20 CLASS tile TILEPROP VBRK_X66Y20 COLUMN 66 TILEPROP VBRK_X66Y20 DEVICE_ID 0 TILEPROP VBRK_X66Y20 FIRST_SITE_ID 13755 TILEPROP VBRK_X66Y20 GRID_POINT_X 66 TILEPROP VBRK_X66Y20 GRID_POINT_Y 136 TILEPROP VBRK_X66Y20 INDEX 15706 TILEPROP VBRK_X66Y20 INT_TILE_X 25 TILEPROP VBRK_X66Y20 INT_TILE_Y 130 TILEPROP VBRK_X66Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y20 IS_DCM_TILE 0 TILEPROP VBRK_X66Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y20 NAME VBRK_X66Y20 TILEPROP VBRK_X66Y20 NUM_ARCS 0 TILEPROP VBRK_X66Y20 NUM_SITES 0 TILEPROP VBRK_X66Y20 ROW 136 TILEPROP VBRK_X66Y20 SLR_REGION_ID 0 TILEPROP VBRK_X66Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y20 TILE_TYPE VBRK TILEPROP VBRK_X66Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y20 TILE_X 18976 TILEPROP VBRK_X66Y20 TILE_Y -178872 TILEPROP VBRK_X66Y20 TYPE VBRK TILEPROP VBRK_X66Y21 CLASS tile TILEPROP VBRK_X66Y21 COLUMN 66 TILEPROP VBRK_X66Y21 DEVICE_ID 0 TILEPROP VBRK_X66Y21 FIRST_SITE_ID 13644 TILEPROP VBRK_X66Y21 GRID_POINT_X 66 TILEPROP VBRK_X66Y21 GRID_POINT_Y 135 TILEPROP VBRK_X66Y21 INDEX 15591 TILEPROP VBRK_X66Y21 INT_TILE_X 25 TILEPROP VBRK_X66Y21 INT_TILE_Y 129 TILEPROP VBRK_X66Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y21 IS_DCM_TILE 0 TILEPROP VBRK_X66Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y21 NAME VBRK_X66Y21 TILEPROP VBRK_X66Y21 NUM_ARCS 0 TILEPROP VBRK_X66Y21 NUM_SITES 0 TILEPROP VBRK_X66Y21 ROW 135 TILEPROP VBRK_X66Y21 SLR_REGION_ID 0 TILEPROP VBRK_X66Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y21 TILE_TYPE VBRK TILEPROP VBRK_X66Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y21 TILE_X 18976 TILEPROP VBRK_X66Y21 TILE_Y -175672 TILEPROP VBRK_X66Y21 TYPE VBRK TILEPROP VBRK_X66Y22 CLASS tile TILEPROP VBRK_X66Y22 COLUMN 66 TILEPROP VBRK_X66Y22 DEVICE_ID 0 TILEPROP VBRK_X66Y22 FIRST_SITE_ID 13538 TILEPROP VBRK_X66Y22 GRID_POINT_X 66 TILEPROP VBRK_X66Y22 GRID_POINT_Y 134 TILEPROP VBRK_X66Y22 INDEX 15476 TILEPROP VBRK_X66Y22 INT_TILE_X 25 TILEPROP VBRK_X66Y22 INT_TILE_Y 128 TILEPROP VBRK_X66Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y22 IS_DCM_TILE 0 TILEPROP VBRK_X66Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y22 NAME VBRK_X66Y22 TILEPROP VBRK_X66Y22 NUM_ARCS 0 TILEPROP VBRK_X66Y22 NUM_SITES 0 TILEPROP VBRK_X66Y22 ROW 134 TILEPROP VBRK_X66Y22 SLR_REGION_ID 0 TILEPROP VBRK_X66Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y22 TILE_TYPE VBRK TILEPROP VBRK_X66Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y22 TILE_X 18976 TILEPROP VBRK_X66Y22 TILE_Y -172472 TILEPROP VBRK_X66Y22 TYPE VBRK TILEPROP VBRK_X66Y23 CLASS tile TILEPROP VBRK_X66Y23 COLUMN 66 TILEPROP VBRK_X66Y23 DEVICE_ID 0 TILEPROP VBRK_X66Y23 FIRST_SITE_ID 13438 TILEPROP VBRK_X66Y23 GRID_POINT_X 66 TILEPROP VBRK_X66Y23 GRID_POINT_Y 133 TILEPROP VBRK_X66Y23 INDEX 15361 TILEPROP VBRK_X66Y23 INT_TILE_X 25 TILEPROP VBRK_X66Y23 INT_TILE_Y 127 TILEPROP VBRK_X66Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y23 IS_DCM_TILE 0 TILEPROP VBRK_X66Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y23 NAME VBRK_X66Y23 TILEPROP VBRK_X66Y23 NUM_ARCS 0 TILEPROP VBRK_X66Y23 NUM_SITES 0 TILEPROP VBRK_X66Y23 ROW 133 TILEPROP VBRK_X66Y23 SLR_REGION_ID 0 TILEPROP VBRK_X66Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y23 TILE_TYPE VBRK TILEPROP VBRK_X66Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y23 TILE_X 18976 TILEPROP VBRK_X66Y23 TILE_Y -169272 TILEPROP VBRK_X66Y23 TYPE VBRK TILEPROP VBRK_X66Y24 CLASS tile TILEPROP VBRK_X66Y24 COLUMN 66 TILEPROP VBRK_X66Y24 DEVICE_ID 0 TILEPROP VBRK_X66Y24 FIRST_SITE_ID 13338 TILEPROP VBRK_X66Y24 GRID_POINT_X 66 TILEPROP VBRK_X66Y24 GRID_POINT_Y 132 TILEPROP VBRK_X66Y24 INDEX 15246 TILEPROP VBRK_X66Y24 INT_TILE_X 25 TILEPROP VBRK_X66Y24 INT_TILE_Y 126 TILEPROP VBRK_X66Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y24 IS_DCM_TILE 0 TILEPROP VBRK_X66Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y24 NAME VBRK_X66Y24 TILEPROP VBRK_X66Y24 NUM_ARCS 0 TILEPROP VBRK_X66Y24 NUM_SITES 0 TILEPROP VBRK_X66Y24 ROW 132 TILEPROP VBRK_X66Y24 SLR_REGION_ID 0 TILEPROP VBRK_X66Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y24 TILE_TYPE VBRK TILEPROP VBRK_X66Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y24 TILE_X 18976 TILEPROP VBRK_X66Y24 TILE_Y -166072 TILEPROP VBRK_X66Y24 TYPE VBRK TILEPROP VBRK_X66Y25 CLASS tile TILEPROP VBRK_X66Y25 COLUMN 66 TILEPROP VBRK_X66Y25 DEVICE_ID 0 TILEPROP VBRK_X66Y25 FIRST_SITE_ID 13238 TILEPROP VBRK_X66Y25 GRID_POINT_X 66 TILEPROP VBRK_X66Y25 GRID_POINT_Y 131 TILEPROP VBRK_X66Y25 INDEX 15131 TILEPROP VBRK_X66Y25 INT_TILE_X 25 TILEPROP VBRK_X66Y25 INT_TILE_Y 125 TILEPROP VBRK_X66Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y25 IS_DCM_TILE 0 TILEPROP VBRK_X66Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y25 NAME VBRK_X66Y25 TILEPROP VBRK_X66Y25 NUM_ARCS 0 TILEPROP VBRK_X66Y25 NUM_SITES 0 TILEPROP VBRK_X66Y25 ROW 131 TILEPROP VBRK_X66Y25 SLR_REGION_ID 0 TILEPROP VBRK_X66Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y25 TILE_TYPE VBRK TILEPROP VBRK_X66Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y25 TILE_X 18976 TILEPROP VBRK_X66Y25 TILE_Y -162872 TILEPROP VBRK_X66Y25 TYPE VBRK TILEPROP VBRK_X66Y27 CLASS tile TILEPROP VBRK_X66Y27 COLUMN 66 TILEPROP VBRK_X66Y27 DEVICE_ID 0 TILEPROP VBRK_X66Y27 FIRST_SITE_ID 13046 TILEPROP VBRK_X66Y27 GRID_POINT_X 66 TILEPROP VBRK_X66Y27 GRID_POINT_Y 129 TILEPROP VBRK_X66Y27 INDEX 14901 TILEPROP VBRK_X66Y27 INT_TILE_X 25 TILEPROP VBRK_X66Y27 INT_TILE_Y 124 TILEPROP VBRK_X66Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y27 IS_DCM_TILE 0 TILEPROP VBRK_X66Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y27 NAME VBRK_X66Y27 TILEPROP VBRK_X66Y27 NUM_ARCS 0 TILEPROP VBRK_X66Y27 NUM_SITES 0 TILEPROP VBRK_X66Y27 ROW 129 TILEPROP VBRK_X66Y27 SLR_REGION_ID 0 TILEPROP VBRK_X66Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y27 TILE_TYPE VBRK TILEPROP VBRK_X66Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y27 TILE_X 18976 TILEPROP VBRK_X66Y27 TILE_Y -158648 TILEPROP VBRK_X66Y27 TYPE VBRK TILEPROP VBRK_X66Y28 CLASS tile TILEPROP VBRK_X66Y28 COLUMN 66 TILEPROP VBRK_X66Y28 DEVICE_ID 0 TILEPROP VBRK_X66Y28 FIRST_SITE_ID 12940 TILEPROP VBRK_X66Y28 GRID_POINT_X 66 TILEPROP VBRK_X66Y28 GRID_POINT_Y 128 TILEPROP VBRK_X66Y28 INDEX 14786 TILEPROP VBRK_X66Y28 INT_TILE_X 25 TILEPROP VBRK_X66Y28 INT_TILE_Y 123 TILEPROP VBRK_X66Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y28 IS_DCM_TILE 0 TILEPROP VBRK_X66Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y28 NAME VBRK_X66Y28 TILEPROP VBRK_X66Y28 NUM_ARCS 0 TILEPROP VBRK_X66Y28 NUM_SITES 0 TILEPROP VBRK_X66Y28 ROW 128 TILEPROP VBRK_X66Y28 SLR_REGION_ID 0 TILEPROP VBRK_X66Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y28 TILE_TYPE VBRK TILEPROP VBRK_X66Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y28 TILE_X 18976 TILEPROP VBRK_X66Y28 TILE_Y -155448 TILEPROP VBRK_X66Y28 TYPE VBRK TILEPROP VBRK_X66Y29 CLASS tile TILEPROP VBRK_X66Y29 COLUMN 66 TILEPROP VBRK_X66Y29 DEVICE_ID 0 TILEPROP VBRK_X66Y29 FIRST_SITE_ID 12840 TILEPROP VBRK_X66Y29 GRID_POINT_X 66 TILEPROP VBRK_X66Y29 GRID_POINT_Y 127 TILEPROP VBRK_X66Y29 INDEX 14671 TILEPROP VBRK_X66Y29 INT_TILE_X 25 TILEPROP VBRK_X66Y29 INT_TILE_Y 122 TILEPROP VBRK_X66Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y29 IS_DCM_TILE 0 TILEPROP VBRK_X66Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y29 NAME VBRK_X66Y29 TILEPROP VBRK_X66Y29 NUM_ARCS 0 TILEPROP VBRK_X66Y29 NUM_SITES 0 TILEPROP VBRK_X66Y29 ROW 127 TILEPROP VBRK_X66Y29 SLR_REGION_ID 0 TILEPROP VBRK_X66Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y29 TILE_TYPE VBRK TILEPROP VBRK_X66Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y29 TILE_X 18976 TILEPROP VBRK_X66Y29 TILE_Y -152248 TILEPROP VBRK_X66Y29 TYPE VBRK TILEPROP VBRK_X66Y30 CLASS tile TILEPROP VBRK_X66Y30 COLUMN 66 TILEPROP VBRK_X66Y30 DEVICE_ID 0 TILEPROP VBRK_X66Y30 FIRST_SITE_ID 12740 TILEPROP VBRK_X66Y30 GRID_POINT_X 66 TILEPROP VBRK_X66Y30 GRID_POINT_Y 126 TILEPROP VBRK_X66Y30 INDEX 14556 TILEPROP VBRK_X66Y30 INT_TILE_X 25 TILEPROP VBRK_X66Y30 INT_TILE_Y 121 TILEPROP VBRK_X66Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y30 IS_DCM_TILE 0 TILEPROP VBRK_X66Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y30 NAME VBRK_X66Y30 TILEPROP VBRK_X66Y30 NUM_ARCS 0 TILEPROP VBRK_X66Y30 NUM_SITES 0 TILEPROP VBRK_X66Y30 ROW 126 TILEPROP VBRK_X66Y30 SLR_REGION_ID 0 TILEPROP VBRK_X66Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y30 TILE_TYPE VBRK TILEPROP VBRK_X66Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y30 TILE_X 18976 TILEPROP VBRK_X66Y30 TILE_Y -149048 TILEPROP VBRK_X66Y30 TYPE VBRK TILEPROP VBRK_X66Y31 CLASS tile TILEPROP VBRK_X66Y31 COLUMN 66 TILEPROP VBRK_X66Y31 DEVICE_ID 0 TILEPROP VBRK_X66Y31 FIRST_SITE_ID 12634 TILEPROP VBRK_X66Y31 GRID_POINT_X 66 TILEPROP VBRK_X66Y31 GRID_POINT_Y 125 TILEPROP VBRK_X66Y31 INDEX 14441 TILEPROP VBRK_X66Y31 INT_TILE_X 25 TILEPROP VBRK_X66Y31 INT_TILE_Y 120 TILEPROP VBRK_X66Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y31 IS_DCM_TILE 0 TILEPROP VBRK_X66Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y31 NAME VBRK_X66Y31 TILEPROP VBRK_X66Y31 NUM_ARCS 0 TILEPROP VBRK_X66Y31 NUM_SITES 0 TILEPROP VBRK_X66Y31 ROW 125 TILEPROP VBRK_X66Y31 SLR_REGION_ID 0 TILEPROP VBRK_X66Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y31 TILE_TYPE VBRK TILEPROP VBRK_X66Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y31 TILE_X 18976 TILEPROP VBRK_X66Y31 TILE_Y -145848 TILEPROP VBRK_X66Y31 TYPE VBRK TILEPROP VBRK_X66Y32 CLASS tile TILEPROP VBRK_X66Y32 COLUMN 66 TILEPROP VBRK_X66Y32 DEVICE_ID 0 TILEPROP VBRK_X66Y32 FIRST_SITE_ID 12519 TILEPROP VBRK_X66Y32 GRID_POINT_X 66 TILEPROP VBRK_X66Y32 GRID_POINT_Y 124 TILEPROP VBRK_X66Y32 INDEX 14326 TILEPROP VBRK_X66Y32 INT_TILE_X 25 TILEPROP VBRK_X66Y32 INT_TILE_Y 119 TILEPROP VBRK_X66Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y32 IS_DCM_TILE 0 TILEPROP VBRK_X66Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y32 NAME VBRK_X66Y32 TILEPROP VBRK_X66Y32 NUM_ARCS 0 TILEPROP VBRK_X66Y32 NUM_SITES 0 TILEPROP VBRK_X66Y32 ROW 124 TILEPROP VBRK_X66Y32 SLR_REGION_ID 0 TILEPROP VBRK_X66Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y32 TILE_TYPE VBRK TILEPROP VBRK_X66Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y32 TILE_X 18976 TILEPROP VBRK_X66Y32 TILE_Y -142648 TILEPROP VBRK_X66Y32 TYPE VBRK TILEPROP VBRK_X66Y33 CLASS tile TILEPROP VBRK_X66Y33 COLUMN 66 TILEPROP VBRK_X66Y33 DEVICE_ID 0 TILEPROP VBRK_X66Y33 FIRST_SITE_ID 12398 TILEPROP VBRK_X66Y33 GRID_POINT_X 66 TILEPROP VBRK_X66Y33 GRID_POINT_Y 123 TILEPROP VBRK_X66Y33 INDEX 14211 TILEPROP VBRK_X66Y33 INT_TILE_X 25 TILEPROP VBRK_X66Y33 INT_TILE_Y 118 TILEPROP VBRK_X66Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y33 IS_DCM_TILE 0 TILEPROP VBRK_X66Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y33 NAME VBRK_X66Y33 TILEPROP VBRK_X66Y33 NUM_ARCS 0 TILEPROP VBRK_X66Y33 NUM_SITES 0 TILEPROP VBRK_X66Y33 ROW 123 TILEPROP VBRK_X66Y33 SLR_REGION_ID 0 TILEPROP VBRK_X66Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y33 TILE_TYPE VBRK TILEPROP VBRK_X66Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y33 TILE_X 18976 TILEPROP VBRK_X66Y33 TILE_Y -139448 TILEPROP VBRK_X66Y33 TYPE VBRK TILEPROP VBRK_X66Y34 CLASS tile TILEPROP VBRK_X66Y34 COLUMN 66 TILEPROP VBRK_X66Y34 DEVICE_ID 0 TILEPROP VBRK_X66Y34 FIRST_SITE_ID 12296 TILEPROP VBRK_X66Y34 GRID_POINT_X 66 TILEPROP VBRK_X66Y34 GRID_POINT_Y 122 TILEPROP VBRK_X66Y34 INDEX 14096 TILEPROP VBRK_X66Y34 INT_TILE_X 25 TILEPROP VBRK_X66Y34 INT_TILE_Y 117 TILEPROP VBRK_X66Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y34 IS_DCM_TILE 0 TILEPROP VBRK_X66Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y34 NAME VBRK_X66Y34 TILEPROP VBRK_X66Y34 NUM_ARCS 0 TILEPROP VBRK_X66Y34 NUM_SITES 0 TILEPROP VBRK_X66Y34 ROW 122 TILEPROP VBRK_X66Y34 SLR_REGION_ID 0 TILEPROP VBRK_X66Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y34 TILE_TYPE VBRK TILEPROP VBRK_X66Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y34 TILE_X 18976 TILEPROP VBRK_X66Y34 TILE_Y -136248 TILEPROP VBRK_X66Y34 TYPE VBRK TILEPROP VBRK_X66Y35 CLASS tile TILEPROP VBRK_X66Y35 COLUMN 66 TILEPROP VBRK_X66Y35 DEVICE_ID 0 TILEPROP VBRK_X66Y35 FIRST_SITE_ID 12195 TILEPROP VBRK_X66Y35 GRID_POINT_X 66 TILEPROP VBRK_X66Y35 GRID_POINT_Y 121 TILEPROP VBRK_X66Y35 INDEX 13981 TILEPROP VBRK_X66Y35 INT_TILE_X 25 TILEPROP VBRK_X66Y35 INT_TILE_Y 116 TILEPROP VBRK_X66Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y35 IS_DCM_TILE 0 TILEPROP VBRK_X66Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y35 NAME VBRK_X66Y35 TILEPROP VBRK_X66Y35 NUM_ARCS 0 TILEPROP VBRK_X66Y35 NUM_SITES 0 TILEPROP VBRK_X66Y35 ROW 121 TILEPROP VBRK_X66Y35 SLR_REGION_ID 0 TILEPROP VBRK_X66Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y35 TILE_TYPE VBRK TILEPROP VBRK_X66Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y35 TILE_X 18976 TILEPROP VBRK_X66Y35 TILE_Y -133048 TILEPROP VBRK_X66Y35 TYPE VBRK TILEPROP VBRK_X66Y36 CLASS tile TILEPROP VBRK_X66Y36 COLUMN 66 TILEPROP VBRK_X66Y36 DEVICE_ID 0 TILEPROP VBRK_X66Y36 FIRST_SITE_ID 12095 TILEPROP VBRK_X66Y36 GRID_POINT_X 66 TILEPROP VBRK_X66Y36 GRID_POINT_Y 120 TILEPROP VBRK_X66Y36 INDEX 13866 TILEPROP VBRK_X66Y36 INT_TILE_X 25 TILEPROP VBRK_X66Y36 INT_TILE_Y 115 TILEPROP VBRK_X66Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y36 IS_DCM_TILE 0 TILEPROP VBRK_X66Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y36 NAME VBRK_X66Y36 TILEPROP VBRK_X66Y36 NUM_ARCS 0 TILEPROP VBRK_X66Y36 NUM_SITES 0 TILEPROP VBRK_X66Y36 ROW 120 TILEPROP VBRK_X66Y36 SLR_REGION_ID 0 TILEPROP VBRK_X66Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y36 TILE_TYPE VBRK TILEPROP VBRK_X66Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y36 TILE_X 18976 TILEPROP VBRK_X66Y36 TILE_Y -129848 TILEPROP VBRK_X66Y36 TYPE VBRK TILEPROP VBRK_X66Y37 CLASS tile TILEPROP VBRK_X66Y37 COLUMN 66 TILEPROP VBRK_X66Y37 DEVICE_ID 0 TILEPROP VBRK_X66Y37 FIRST_SITE_ID 11986 TILEPROP VBRK_X66Y37 GRID_POINT_X 66 TILEPROP VBRK_X66Y37 GRID_POINT_Y 119 TILEPROP VBRK_X66Y37 INDEX 13751 TILEPROP VBRK_X66Y37 INT_TILE_X 25 TILEPROP VBRK_X66Y37 INT_TILE_Y 114 TILEPROP VBRK_X66Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y37 IS_DCM_TILE 0 TILEPROP VBRK_X66Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y37 NAME VBRK_X66Y37 TILEPROP VBRK_X66Y37 NUM_ARCS 0 TILEPROP VBRK_X66Y37 NUM_SITES 0 TILEPROP VBRK_X66Y37 ROW 119 TILEPROP VBRK_X66Y37 SLR_REGION_ID 0 TILEPROP VBRK_X66Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y37 TILE_TYPE VBRK TILEPROP VBRK_X66Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y37 TILE_X 18976 TILEPROP VBRK_X66Y37 TILE_Y -126648 TILEPROP VBRK_X66Y37 TYPE VBRK TILEPROP VBRK_X66Y38 CLASS tile TILEPROP VBRK_X66Y38 COLUMN 66 TILEPROP VBRK_X66Y38 DEVICE_ID 0 TILEPROP VBRK_X66Y38 FIRST_SITE_ID 11880 TILEPROP VBRK_X66Y38 GRID_POINT_X 66 TILEPROP VBRK_X66Y38 GRID_POINT_Y 118 TILEPROP VBRK_X66Y38 INDEX 13636 TILEPROP VBRK_X66Y38 INT_TILE_X 25 TILEPROP VBRK_X66Y38 INT_TILE_Y 113 TILEPROP VBRK_X66Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y38 IS_DCM_TILE 0 TILEPROP VBRK_X66Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y38 NAME VBRK_X66Y38 TILEPROP VBRK_X66Y38 NUM_ARCS 0 TILEPROP VBRK_X66Y38 NUM_SITES 0 TILEPROP VBRK_X66Y38 ROW 118 TILEPROP VBRK_X66Y38 SLR_REGION_ID 0 TILEPROP VBRK_X66Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y38 TILE_TYPE VBRK TILEPROP VBRK_X66Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y38 TILE_X 18976 TILEPROP VBRK_X66Y38 TILE_Y -123448 TILEPROP VBRK_X66Y38 TYPE VBRK TILEPROP VBRK_X66Y39 CLASS tile TILEPROP VBRK_X66Y39 COLUMN 66 TILEPROP VBRK_X66Y39 DEVICE_ID 0 TILEPROP VBRK_X66Y39 FIRST_SITE_ID 11748 TILEPROP VBRK_X66Y39 GRID_POINT_X 66 TILEPROP VBRK_X66Y39 GRID_POINT_Y 117 TILEPROP VBRK_X66Y39 INDEX 13521 TILEPROP VBRK_X66Y39 INT_TILE_X 25 TILEPROP VBRK_X66Y39 INT_TILE_Y 112 TILEPROP VBRK_X66Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y39 IS_DCM_TILE 0 TILEPROP VBRK_X66Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y39 NAME VBRK_X66Y39 TILEPROP VBRK_X66Y39 NUM_ARCS 0 TILEPROP VBRK_X66Y39 NUM_SITES 0 TILEPROP VBRK_X66Y39 ROW 117 TILEPROP VBRK_X66Y39 SLR_REGION_ID 0 TILEPROP VBRK_X66Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y39 TILE_TYPE VBRK TILEPROP VBRK_X66Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y39 TILE_X 18976 TILEPROP VBRK_X66Y39 TILE_Y -120248 TILEPROP VBRK_X66Y39 TYPE VBRK TILEPROP VBRK_X66Y40 CLASS tile TILEPROP VBRK_X66Y40 COLUMN 66 TILEPROP VBRK_X66Y40 DEVICE_ID 0 TILEPROP VBRK_X66Y40 FIRST_SITE_ID 11648 TILEPROP VBRK_X66Y40 GRID_POINT_X 66 TILEPROP VBRK_X66Y40 GRID_POINT_Y 116 TILEPROP VBRK_X66Y40 INDEX 13406 TILEPROP VBRK_X66Y40 INT_TILE_X 25 TILEPROP VBRK_X66Y40 INT_TILE_Y 111 TILEPROP VBRK_X66Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y40 IS_DCM_TILE 0 TILEPROP VBRK_X66Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y40 NAME VBRK_X66Y40 TILEPROP VBRK_X66Y40 NUM_ARCS 0 TILEPROP VBRK_X66Y40 NUM_SITES 0 TILEPROP VBRK_X66Y40 ROW 116 TILEPROP VBRK_X66Y40 SLR_REGION_ID 0 TILEPROP VBRK_X66Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y40 TILE_TYPE VBRK TILEPROP VBRK_X66Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y40 TILE_X 18976 TILEPROP VBRK_X66Y40 TILE_Y -117048 TILEPROP VBRK_X66Y40 TYPE VBRK TILEPROP VBRK_X66Y41 CLASS tile TILEPROP VBRK_X66Y41 COLUMN 66 TILEPROP VBRK_X66Y41 DEVICE_ID 0 TILEPROP VBRK_X66Y41 FIRST_SITE_ID 11548 TILEPROP VBRK_X66Y41 GRID_POINT_X 66 TILEPROP VBRK_X66Y41 GRID_POINT_Y 115 TILEPROP VBRK_X66Y41 INDEX 13291 TILEPROP VBRK_X66Y41 INT_TILE_X 25 TILEPROP VBRK_X66Y41 INT_TILE_Y 110 TILEPROP VBRK_X66Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y41 IS_DCM_TILE 0 TILEPROP VBRK_X66Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y41 NAME VBRK_X66Y41 TILEPROP VBRK_X66Y41 NUM_ARCS 0 TILEPROP VBRK_X66Y41 NUM_SITES 0 TILEPROP VBRK_X66Y41 ROW 115 TILEPROP VBRK_X66Y41 SLR_REGION_ID 0 TILEPROP VBRK_X66Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y41 TILE_TYPE VBRK TILEPROP VBRK_X66Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y41 TILE_X 18976 TILEPROP VBRK_X66Y41 TILE_Y -113848 TILEPROP VBRK_X66Y41 TYPE VBRK TILEPROP VBRK_X66Y42 CLASS tile TILEPROP VBRK_X66Y42 COLUMN 66 TILEPROP VBRK_X66Y42 DEVICE_ID 0 TILEPROP VBRK_X66Y42 FIRST_SITE_ID 11439 TILEPROP VBRK_X66Y42 GRID_POINT_X 66 TILEPROP VBRK_X66Y42 GRID_POINT_Y 114 TILEPROP VBRK_X66Y42 INDEX 13176 TILEPROP VBRK_X66Y42 INT_TILE_X 25 TILEPROP VBRK_X66Y42 INT_TILE_Y 109 TILEPROP VBRK_X66Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y42 IS_DCM_TILE 0 TILEPROP VBRK_X66Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y42 NAME VBRK_X66Y42 TILEPROP VBRK_X66Y42 NUM_ARCS 0 TILEPROP VBRK_X66Y42 NUM_SITES 0 TILEPROP VBRK_X66Y42 ROW 114 TILEPROP VBRK_X66Y42 SLR_REGION_ID 0 TILEPROP VBRK_X66Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y42 TILE_TYPE VBRK TILEPROP VBRK_X66Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y42 TILE_X 18976 TILEPROP VBRK_X66Y42 TILE_Y -110648 TILEPROP VBRK_X66Y42 TYPE VBRK TILEPROP VBRK_X66Y43 CLASS tile TILEPROP VBRK_X66Y43 COLUMN 66 TILEPROP VBRK_X66Y43 DEVICE_ID 0 TILEPROP VBRK_X66Y43 FIRST_SITE_ID 11331 TILEPROP VBRK_X66Y43 GRID_POINT_X 66 TILEPROP VBRK_X66Y43 GRID_POINT_Y 113 TILEPROP VBRK_X66Y43 INDEX 13061 TILEPROP VBRK_X66Y43 INT_TILE_X 25 TILEPROP VBRK_X66Y43 INT_TILE_Y 108 TILEPROP VBRK_X66Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y43 IS_DCM_TILE 0 TILEPROP VBRK_X66Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y43 NAME VBRK_X66Y43 TILEPROP VBRK_X66Y43 NUM_ARCS 0 TILEPROP VBRK_X66Y43 NUM_SITES 0 TILEPROP VBRK_X66Y43 ROW 113 TILEPROP VBRK_X66Y43 SLR_REGION_ID 0 TILEPROP VBRK_X66Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y43 TILE_TYPE VBRK TILEPROP VBRK_X66Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y43 TILE_X 18976 TILEPROP VBRK_X66Y43 TILE_Y -107448 TILEPROP VBRK_X66Y43 TYPE VBRK TILEPROP VBRK_X66Y44 CLASS tile TILEPROP VBRK_X66Y44 COLUMN 66 TILEPROP VBRK_X66Y44 DEVICE_ID 0 TILEPROP VBRK_X66Y44 FIRST_SITE_ID 11229 TILEPROP VBRK_X66Y44 GRID_POINT_X 66 TILEPROP VBRK_X66Y44 GRID_POINT_Y 112 TILEPROP VBRK_X66Y44 INDEX 12946 TILEPROP VBRK_X66Y44 INT_TILE_X 25 TILEPROP VBRK_X66Y44 INT_TILE_Y 107 TILEPROP VBRK_X66Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y44 IS_DCM_TILE 0 TILEPROP VBRK_X66Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y44 NAME VBRK_X66Y44 TILEPROP VBRK_X66Y44 NUM_ARCS 0 TILEPROP VBRK_X66Y44 NUM_SITES 0 TILEPROP VBRK_X66Y44 ROW 112 TILEPROP VBRK_X66Y44 SLR_REGION_ID 0 TILEPROP VBRK_X66Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y44 TILE_TYPE VBRK TILEPROP VBRK_X66Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y44 TILE_X 18976 TILEPROP VBRK_X66Y44 TILE_Y -104248 TILEPROP VBRK_X66Y44 TYPE VBRK TILEPROP VBRK_X66Y45 CLASS tile TILEPROP VBRK_X66Y45 COLUMN 66 TILEPROP VBRK_X66Y45 DEVICE_ID 0 TILEPROP VBRK_X66Y45 FIRST_SITE_ID 11126 TILEPROP VBRK_X66Y45 GRID_POINT_X 66 TILEPROP VBRK_X66Y45 GRID_POINT_Y 111 TILEPROP VBRK_X66Y45 INDEX 12831 TILEPROP VBRK_X66Y45 INT_TILE_X 25 TILEPROP VBRK_X66Y45 INT_TILE_Y 106 TILEPROP VBRK_X66Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y45 IS_DCM_TILE 0 TILEPROP VBRK_X66Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y45 NAME VBRK_X66Y45 TILEPROP VBRK_X66Y45 NUM_ARCS 0 TILEPROP VBRK_X66Y45 NUM_SITES 0 TILEPROP VBRK_X66Y45 ROW 111 TILEPROP VBRK_X66Y45 SLR_REGION_ID 0 TILEPROP VBRK_X66Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y45 TILE_TYPE VBRK TILEPROP VBRK_X66Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y45 TILE_X 18976 TILEPROP VBRK_X66Y45 TILE_Y -101048 TILEPROP VBRK_X66Y45 TYPE VBRK TILEPROP VBRK_X66Y46 CLASS tile TILEPROP VBRK_X66Y46 COLUMN 66 TILEPROP VBRK_X66Y46 DEVICE_ID 0 TILEPROP VBRK_X66Y46 FIRST_SITE_ID 11024 TILEPROP VBRK_X66Y46 GRID_POINT_X 66 TILEPROP VBRK_X66Y46 GRID_POINT_Y 110 TILEPROP VBRK_X66Y46 INDEX 12716 TILEPROP VBRK_X66Y46 INT_TILE_X 25 TILEPROP VBRK_X66Y46 INT_TILE_Y 105 TILEPROP VBRK_X66Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y46 IS_DCM_TILE 0 TILEPROP VBRK_X66Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y46 NAME VBRK_X66Y46 TILEPROP VBRK_X66Y46 NUM_ARCS 0 TILEPROP VBRK_X66Y46 NUM_SITES 0 TILEPROP VBRK_X66Y46 ROW 110 TILEPROP VBRK_X66Y46 SLR_REGION_ID 0 TILEPROP VBRK_X66Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y46 TILE_TYPE VBRK TILEPROP VBRK_X66Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y46 TILE_X 18976 TILEPROP VBRK_X66Y46 TILE_Y -97848 TILEPROP VBRK_X66Y46 TYPE VBRK TILEPROP VBRK_X66Y47 CLASS tile TILEPROP VBRK_X66Y47 COLUMN 66 TILEPROP VBRK_X66Y47 DEVICE_ID 0 TILEPROP VBRK_X66Y47 FIRST_SITE_ID 10915 TILEPROP VBRK_X66Y47 GRID_POINT_X 66 TILEPROP VBRK_X66Y47 GRID_POINT_Y 109 TILEPROP VBRK_X66Y47 INDEX 12601 TILEPROP VBRK_X66Y47 INT_TILE_X 25 TILEPROP VBRK_X66Y47 INT_TILE_Y 104 TILEPROP VBRK_X66Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y47 IS_DCM_TILE 0 TILEPROP VBRK_X66Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y47 NAME VBRK_X66Y47 TILEPROP VBRK_X66Y47 NUM_ARCS 0 TILEPROP VBRK_X66Y47 NUM_SITES 0 TILEPROP VBRK_X66Y47 ROW 109 TILEPROP VBRK_X66Y47 SLR_REGION_ID 0 TILEPROP VBRK_X66Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y47 TILE_TYPE VBRK TILEPROP VBRK_X66Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y47 TILE_X 18976 TILEPROP VBRK_X66Y47 TILE_Y -94648 TILEPROP VBRK_X66Y47 TYPE VBRK TILEPROP VBRK_X66Y48 CLASS tile TILEPROP VBRK_X66Y48 COLUMN 66 TILEPROP VBRK_X66Y48 DEVICE_ID 0 TILEPROP VBRK_X66Y48 FIRST_SITE_ID 10808 TILEPROP VBRK_X66Y48 GRID_POINT_X 66 TILEPROP VBRK_X66Y48 GRID_POINT_Y 108 TILEPROP VBRK_X66Y48 INDEX 12486 TILEPROP VBRK_X66Y48 INT_TILE_X 25 TILEPROP VBRK_X66Y48 INT_TILE_Y 103 TILEPROP VBRK_X66Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y48 IS_DCM_TILE 0 TILEPROP VBRK_X66Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y48 NAME VBRK_X66Y48 TILEPROP VBRK_X66Y48 NUM_ARCS 0 TILEPROP VBRK_X66Y48 NUM_SITES 0 TILEPROP VBRK_X66Y48 ROW 108 TILEPROP VBRK_X66Y48 SLR_REGION_ID 0 TILEPROP VBRK_X66Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y48 TILE_TYPE VBRK TILEPROP VBRK_X66Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y48 TILE_X 18976 TILEPROP VBRK_X66Y48 TILE_Y -91448 TILEPROP VBRK_X66Y48 TYPE VBRK TILEPROP VBRK_X66Y49 CLASS tile TILEPROP VBRK_X66Y49 COLUMN 66 TILEPROP VBRK_X66Y49 DEVICE_ID 0 TILEPROP VBRK_X66Y49 FIRST_SITE_ID 10692 TILEPROP VBRK_X66Y49 GRID_POINT_X 66 TILEPROP VBRK_X66Y49 GRID_POINT_Y 107 TILEPROP VBRK_X66Y49 INDEX 12371 TILEPROP VBRK_X66Y49 INT_TILE_X 25 TILEPROP VBRK_X66Y49 INT_TILE_Y 102 TILEPROP VBRK_X66Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y49 IS_DCM_TILE 0 TILEPROP VBRK_X66Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y49 NAME VBRK_X66Y49 TILEPROP VBRK_X66Y49 NUM_ARCS 0 TILEPROP VBRK_X66Y49 NUM_SITES 0 TILEPROP VBRK_X66Y49 ROW 107 TILEPROP VBRK_X66Y49 SLR_REGION_ID 0 TILEPROP VBRK_X66Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y49 TILE_TYPE VBRK TILEPROP VBRK_X66Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y49 TILE_X 18976 TILEPROP VBRK_X66Y49 TILE_Y -88248 TILEPROP VBRK_X66Y49 TYPE VBRK TILEPROP VBRK_X66Y50 CLASS tile TILEPROP VBRK_X66Y50 COLUMN 66 TILEPROP VBRK_X66Y50 DEVICE_ID 0 TILEPROP VBRK_X66Y50 FIRST_SITE_ID 10592 TILEPROP VBRK_X66Y50 GRID_POINT_X 66 TILEPROP VBRK_X66Y50 GRID_POINT_Y 106 TILEPROP VBRK_X66Y50 INDEX 12256 TILEPROP VBRK_X66Y50 INT_TILE_X 25 TILEPROP VBRK_X66Y50 INT_TILE_Y 101 TILEPROP VBRK_X66Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y50 IS_DCM_TILE 0 TILEPROP VBRK_X66Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y50 NAME VBRK_X66Y50 TILEPROP VBRK_X66Y50 NUM_ARCS 0 TILEPROP VBRK_X66Y50 NUM_SITES 0 TILEPROP VBRK_X66Y50 ROW 106 TILEPROP VBRK_X66Y50 SLR_REGION_ID 0 TILEPROP VBRK_X66Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y50 TILE_TYPE VBRK TILEPROP VBRK_X66Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y50 TILE_X 18976 TILEPROP VBRK_X66Y50 TILE_Y -85048 TILEPROP VBRK_X66Y50 TYPE VBRK TILEPROP VBRK_X66Y51 CLASS tile TILEPROP VBRK_X66Y51 COLUMN 66 TILEPROP VBRK_X66Y51 DEVICE_ID 0 TILEPROP VBRK_X66Y51 FIRST_SITE_ID 10496 TILEPROP VBRK_X66Y51 GRID_POINT_X 66 TILEPROP VBRK_X66Y51 GRID_POINT_Y 105 TILEPROP VBRK_X66Y51 INDEX 12141 TILEPROP VBRK_X66Y51 INT_TILE_X 25 TILEPROP VBRK_X66Y51 INT_TILE_Y 100 TILEPROP VBRK_X66Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y51 IS_DCM_TILE 0 TILEPROP VBRK_X66Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y51 NAME VBRK_X66Y51 TILEPROP VBRK_X66Y51 NUM_ARCS 0 TILEPROP VBRK_X66Y51 NUM_SITES 0 TILEPROP VBRK_X66Y51 ROW 105 TILEPROP VBRK_X66Y51 SLR_REGION_ID 0 TILEPROP VBRK_X66Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y51 TILE_TYPE VBRK TILEPROP VBRK_X66Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y51 TILE_X 18976 TILEPROP VBRK_X66Y51 TILE_Y -81848 TILEPROP VBRK_X66Y51 TYPE VBRK TILEPROP VBRK_X66Y53 CLASS tile TILEPROP VBRK_X66Y53 COLUMN 66 TILEPROP VBRK_X66Y53 DEVICE_ID 0 TILEPROP VBRK_X66Y53 FIRST_SITE_ID 10387 TILEPROP VBRK_X66Y53 GRID_POINT_X 66 TILEPROP VBRK_X66Y53 GRID_POINT_Y 103 TILEPROP VBRK_X66Y53 INDEX 11911 TILEPROP VBRK_X66Y53 INT_TILE_X 25 TILEPROP VBRK_X66Y53 INT_TILE_Y 99 TILEPROP VBRK_X66Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y53 IS_DCM_TILE 0 TILEPROP VBRK_X66Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y53 NAME VBRK_X66Y53 TILEPROP VBRK_X66Y53 NUM_ARCS 0 TILEPROP VBRK_X66Y53 NUM_SITES 0 TILEPROP VBRK_X66Y53 ROW 103 TILEPROP VBRK_X66Y53 SLR_REGION_ID 0 TILEPROP VBRK_X66Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y53 TILE_TYPE VBRK TILEPROP VBRK_X66Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y53 TILE_X 18976 TILEPROP VBRK_X66Y53 TILE_Y -78400 TILEPROP VBRK_X66Y53 TYPE VBRK TILEPROP VBRK_X66Y54 CLASS tile TILEPROP VBRK_X66Y54 COLUMN 66 TILEPROP VBRK_X66Y54 DEVICE_ID 0 TILEPROP VBRK_X66Y54 FIRST_SITE_ID 10261 TILEPROP VBRK_X66Y54 GRID_POINT_X 66 TILEPROP VBRK_X66Y54 GRID_POINT_Y 102 TILEPROP VBRK_X66Y54 INDEX 11796 TILEPROP VBRK_X66Y54 INT_TILE_X 25 TILEPROP VBRK_X66Y54 INT_TILE_Y 98 TILEPROP VBRK_X66Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y54 IS_DCM_TILE 0 TILEPROP VBRK_X66Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y54 NAME VBRK_X66Y54 TILEPROP VBRK_X66Y54 NUM_ARCS 0 TILEPROP VBRK_X66Y54 NUM_SITES 0 TILEPROP VBRK_X66Y54 ROW 102 TILEPROP VBRK_X66Y54 SLR_REGION_ID 0 TILEPROP VBRK_X66Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y54 TILE_TYPE VBRK TILEPROP VBRK_X66Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y54 TILE_X 18976 TILEPROP VBRK_X66Y54 TILE_Y -75200 TILEPROP VBRK_X66Y54 TYPE VBRK TILEPROP VBRK_X66Y55 CLASS tile TILEPROP VBRK_X66Y55 COLUMN 66 TILEPROP VBRK_X66Y55 DEVICE_ID 0 TILEPROP VBRK_X66Y55 FIRST_SITE_ID 10161 TILEPROP VBRK_X66Y55 GRID_POINT_X 66 TILEPROP VBRK_X66Y55 GRID_POINT_Y 101 TILEPROP VBRK_X66Y55 INDEX 11681 TILEPROP VBRK_X66Y55 INT_TILE_X 25 TILEPROP VBRK_X66Y55 INT_TILE_Y 97 TILEPROP VBRK_X66Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y55 IS_DCM_TILE 0 TILEPROP VBRK_X66Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y55 NAME VBRK_X66Y55 TILEPROP VBRK_X66Y55 NUM_ARCS 0 TILEPROP VBRK_X66Y55 NUM_SITES 0 TILEPROP VBRK_X66Y55 ROW 101 TILEPROP VBRK_X66Y55 SLR_REGION_ID 0 TILEPROP VBRK_X66Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y55 TILE_TYPE VBRK TILEPROP VBRK_X66Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y55 TILE_X 18976 TILEPROP VBRK_X66Y55 TILE_Y -72000 TILEPROP VBRK_X66Y55 TYPE VBRK TILEPROP VBRK_X66Y56 CLASS tile TILEPROP VBRK_X66Y56 COLUMN 66 TILEPROP VBRK_X66Y56 DEVICE_ID 0 TILEPROP VBRK_X66Y56 FIRST_SITE_ID 10061 TILEPROP VBRK_X66Y56 GRID_POINT_X 66 TILEPROP VBRK_X66Y56 GRID_POINT_Y 100 TILEPROP VBRK_X66Y56 INDEX 11566 TILEPROP VBRK_X66Y56 INT_TILE_X 25 TILEPROP VBRK_X66Y56 INT_TILE_Y 96 TILEPROP VBRK_X66Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y56 IS_DCM_TILE 0 TILEPROP VBRK_X66Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y56 NAME VBRK_X66Y56 TILEPROP VBRK_X66Y56 NUM_ARCS 0 TILEPROP VBRK_X66Y56 NUM_SITES 0 TILEPROP VBRK_X66Y56 ROW 100 TILEPROP VBRK_X66Y56 SLR_REGION_ID 0 TILEPROP VBRK_X66Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y56 TILE_TYPE VBRK TILEPROP VBRK_X66Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y56 TILE_X 18976 TILEPROP VBRK_X66Y56 TILE_Y -68800 TILEPROP VBRK_X66Y56 TYPE VBRK TILEPROP VBRK_X66Y57 CLASS tile TILEPROP VBRK_X66Y57 COLUMN 66 TILEPROP VBRK_X66Y57 DEVICE_ID 0 TILEPROP VBRK_X66Y57 FIRST_SITE_ID 9961 TILEPROP VBRK_X66Y57 GRID_POINT_X 66 TILEPROP VBRK_X66Y57 GRID_POINT_Y 99 TILEPROP VBRK_X66Y57 INDEX 11451 TILEPROP VBRK_X66Y57 INT_TILE_X 25 TILEPROP VBRK_X66Y57 INT_TILE_Y 95 TILEPROP VBRK_X66Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y57 IS_DCM_TILE 0 TILEPROP VBRK_X66Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y57 NAME VBRK_X66Y57 TILEPROP VBRK_X66Y57 NUM_ARCS 0 TILEPROP VBRK_X66Y57 NUM_SITES 0 TILEPROP VBRK_X66Y57 ROW 99 TILEPROP VBRK_X66Y57 SLR_REGION_ID 0 TILEPROP VBRK_X66Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y57 TILE_TYPE VBRK TILEPROP VBRK_X66Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y57 TILE_X 18976 TILEPROP VBRK_X66Y57 TILE_Y -65600 TILEPROP VBRK_X66Y57 TYPE VBRK TILEPROP VBRK_X66Y58 CLASS tile TILEPROP VBRK_X66Y58 COLUMN 66 TILEPROP VBRK_X66Y58 DEVICE_ID 0 TILEPROP VBRK_X66Y58 FIRST_SITE_ID 9852 TILEPROP VBRK_X66Y58 GRID_POINT_X 66 TILEPROP VBRK_X66Y58 GRID_POINT_Y 98 TILEPROP VBRK_X66Y58 INDEX 11336 TILEPROP VBRK_X66Y58 INT_TILE_X 25 TILEPROP VBRK_X66Y58 INT_TILE_Y 94 TILEPROP VBRK_X66Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y58 IS_DCM_TILE 0 TILEPROP VBRK_X66Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y58 NAME VBRK_X66Y58 TILEPROP VBRK_X66Y58 NUM_ARCS 0 TILEPROP VBRK_X66Y58 NUM_SITES 0 TILEPROP VBRK_X66Y58 ROW 98 TILEPROP VBRK_X66Y58 SLR_REGION_ID 0 TILEPROP VBRK_X66Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y58 TILE_TYPE VBRK TILEPROP VBRK_X66Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y58 TILE_X 18976 TILEPROP VBRK_X66Y58 TILE_Y -62400 TILEPROP VBRK_X66Y58 TYPE VBRK TILEPROP VBRK_X66Y59 CLASS tile TILEPROP VBRK_X66Y59 COLUMN 66 TILEPROP VBRK_X66Y59 DEVICE_ID 0 TILEPROP VBRK_X66Y59 FIRST_SITE_ID 9746 TILEPROP VBRK_X66Y59 GRID_POINT_X 66 TILEPROP VBRK_X66Y59 GRID_POINT_Y 97 TILEPROP VBRK_X66Y59 INDEX 11221 TILEPROP VBRK_X66Y59 INT_TILE_X 25 TILEPROP VBRK_X66Y59 INT_TILE_Y 93 TILEPROP VBRK_X66Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y59 IS_DCM_TILE 0 TILEPROP VBRK_X66Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y59 NAME VBRK_X66Y59 TILEPROP VBRK_X66Y59 NUM_ARCS 0 TILEPROP VBRK_X66Y59 NUM_SITES 0 TILEPROP VBRK_X66Y59 ROW 97 TILEPROP VBRK_X66Y59 SLR_REGION_ID 0 TILEPROP VBRK_X66Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y59 TILE_TYPE VBRK TILEPROP VBRK_X66Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y59 TILE_X 18976 TILEPROP VBRK_X66Y59 TILE_Y -59200 TILEPROP VBRK_X66Y59 TYPE VBRK TILEPROP VBRK_X66Y60 CLASS tile TILEPROP VBRK_X66Y60 COLUMN 66 TILEPROP VBRK_X66Y60 DEVICE_ID 0 TILEPROP VBRK_X66Y60 FIRST_SITE_ID 9644 TILEPROP VBRK_X66Y60 GRID_POINT_X 66 TILEPROP VBRK_X66Y60 GRID_POINT_Y 96 TILEPROP VBRK_X66Y60 INDEX 11106 TILEPROP VBRK_X66Y60 INT_TILE_X 25 TILEPROP VBRK_X66Y60 INT_TILE_Y 92 TILEPROP VBRK_X66Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y60 IS_DCM_TILE 0 TILEPROP VBRK_X66Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y60 NAME VBRK_X66Y60 TILEPROP VBRK_X66Y60 NUM_ARCS 0 TILEPROP VBRK_X66Y60 NUM_SITES 0 TILEPROP VBRK_X66Y60 ROW 96 TILEPROP VBRK_X66Y60 SLR_REGION_ID 0 TILEPROP VBRK_X66Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y60 TILE_TYPE VBRK TILEPROP VBRK_X66Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y60 TILE_X 18976 TILEPROP VBRK_X66Y60 TILE_Y -56000 TILEPROP VBRK_X66Y60 TYPE VBRK TILEPROP VBRK_X66Y61 CLASS tile TILEPROP VBRK_X66Y61 COLUMN 66 TILEPROP VBRK_X66Y61 DEVICE_ID 0 TILEPROP VBRK_X66Y61 FIRST_SITE_ID 9541 TILEPROP VBRK_X66Y61 GRID_POINT_X 66 TILEPROP VBRK_X66Y61 GRID_POINT_Y 95 TILEPROP VBRK_X66Y61 INDEX 10991 TILEPROP VBRK_X66Y61 INT_TILE_X 25 TILEPROP VBRK_X66Y61 INT_TILE_Y 91 TILEPROP VBRK_X66Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y61 IS_DCM_TILE 0 TILEPROP VBRK_X66Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y61 NAME VBRK_X66Y61 TILEPROP VBRK_X66Y61 NUM_ARCS 0 TILEPROP VBRK_X66Y61 NUM_SITES 0 TILEPROP VBRK_X66Y61 ROW 95 TILEPROP VBRK_X66Y61 SLR_REGION_ID 0 TILEPROP VBRK_X66Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y61 TILE_TYPE VBRK TILEPROP VBRK_X66Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y61 TILE_X 18976 TILEPROP VBRK_X66Y61 TILE_Y -52800 TILEPROP VBRK_X66Y61 TYPE VBRK TILEPROP VBRK_X66Y62 CLASS tile TILEPROP VBRK_X66Y62 COLUMN 66 TILEPROP VBRK_X66Y62 DEVICE_ID 0 TILEPROP VBRK_X66Y62 FIRST_SITE_ID 9440 TILEPROP VBRK_X66Y62 GRID_POINT_X 66 TILEPROP VBRK_X66Y62 GRID_POINT_Y 94 TILEPROP VBRK_X66Y62 INDEX 10876 TILEPROP VBRK_X66Y62 INT_TILE_X 25 TILEPROP VBRK_X66Y62 INT_TILE_Y 90 TILEPROP VBRK_X66Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y62 IS_DCM_TILE 0 TILEPROP VBRK_X66Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y62 NAME VBRK_X66Y62 TILEPROP VBRK_X66Y62 NUM_ARCS 0 TILEPROP VBRK_X66Y62 NUM_SITES 0 TILEPROP VBRK_X66Y62 ROW 94 TILEPROP VBRK_X66Y62 SLR_REGION_ID 0 TILEPROP VBRK_X66Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y62 TILE_TYPE VBRK TILEPROP VBRK_X66Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y62 TILE_X 18976 TILEPROP VBRK_X66Y62 TILE_Y -49600 TILEPROP VBRK_X66Y62 TYPE VBRK TILEPROP VBRK_X66Y63 CLASS tile TILEPROP VBRK_X66Y63 COLUMN 66 TILEPROP VBRK_X66Y63 DEVICE_ID 0 TILEPROP VBRK_X66Y63 FIRST_SITE_ID 9331 TILEPROP VBRK_X66Y63 GRID_POINT_X 66 TILEPROP VBRK_X66Y63 GRID_POINT_Y 93 TILEPROP VBRK_X66Y63 INDEX 10761 TILEPROP VBRK_X66Y63 INT_TILE_X 25 TILEPROP VBRK_X66Y63 INT_TILE_Y 89 TILEPROP VBRK_X66Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y63 IS_DCM_TILE 0 TILEPROP VBRK_X66Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y63 NAME VBRK_X66Y63 TILEPROP VBRK_X66Y63 NUM_ARCS 0 TILEPROP VBRK_X66Y63 NUM_SITES 0 TILEPROP VBRK_X66Y63 ROW 93 TILEPROP VBRK_X66Y63 SLR_REGION_ID 0 TILEPROP VBRK_X66Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y63 TILE_TYPE VBRK TILEPROP VBRK_X66Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y63 TILE_X 18976 TILEPROP VBRK_X66Y63 TILE_Y -46400 TILEPROP VBRK_X66Y63 TYPE VBRK TILEPROP VBRK_X66Y64 CLASS tile TILEPROP VBRK_X66Y64 COLUMN 66 TILEPROP VBRK_X66Y64 DEVICE_ID 0 TILEPROP VBRK_X66Y64 FIRST_SITE_ID 9225 TILEPROP VBRK_X66Y64 GRID_POINT_X 66 TILEPROP VBRK_X66Y64 GRID_POINT_Y 92 TILEPROP VBRK_X66Y64 INDEX 10646 TILEPROP VBRK_X66Y64 INT_TILE_X 25 TILEPROP VBRK_X66Y64 INT_TILE_Y 88 TILEPROP VBRK_X66Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y64 IS_DCM_TILE 0 TILEPROP VBRK_X66Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y64 NAME VBRK_X66Y64 TILEPROP VBRK_X66Y64 NUM_ARCS 0 TILEPROP VBRK_X66Y64 NUM_SITES 0 TILEPROP VBRK_X66Y64 ROW 92 TILEPROP VBRK_X66Y64 SLR_REGION_ID 0 TILEPROP VBRK_X66Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y64 TILE_TYPE VBRK TILEPROP VBRK_X66Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y64 TILE_X 18976 TILEPROP VBRK_X66Y64 TILE_Y -43200 TILEPROP VBRK_X66Y64 TYPE VBRK TILEPROP VBRK_X66Y65 CLASS tile TILEPROP VBRK_X66Y65 COLUMN 66 TILEPROP VBRK_X66Y65 DEVICE_ID 0 TILEPROP VBRK_X66Y65 FIRST_SITE_ID 9125 TILEPROP VBRK_X66Y65 GRID_POINT_X 66 TILEPROP VBRK_X66Y65 GRID_POINT_Y 91 TILEPROP VBRK_X66Y65 INDEX 10531 TILEPROP VBRK_X66Y65 INT_TILE_X 25 TILEPROP VBRK_X66Y65 INT_TILE_Y 87 TILEPROP VBRK_X66Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y65 IS_DCM_TILE 0 TILEPROP VBRK_X66Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y65 NAME VBRK_X66Y65 TILEPROP VBRK_X66Y65 NUM_ARCS 0 TILEPROP VBRK_X66Y65 NUM_SITES 0 TILEPROP VBRK_X66Y65 ROW 91 TILEPROP VBRK_X66Y65 SLR_REGION_ID 0 TILEPROP VBRK_X66Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y65 TILE_TYPE VBRK TILEPROP VBRK_X66Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y65 TILE_X 18976 TILEPROP VBRK_X66Y65 TILE_Y -40000 TILEPROP VBRK_X66Y65 TYPE VBRK TILEPROP VBRK_X66Y66 CLASS tile TILEPROP VBRK_X66Y66 COLUMN 66 TILEPROP VBRK_X66Y66 DEVICE_ID 0 TILEPROP VBRK_X66Y66 FIRST_SITE_ID 8993 TILEPROP VBRK_X66Y66 GRID_POINT_X 66 TILEPROP VBRK_X66Y66 GRID_POINT_Y 90 TILEPROP VBRK_X66Y66 INDEX 10416 TILEPROP VBRK_X66Y66 INT_TILE_X 25 TILEPROP VBRK_X66Y66 INT_TILE_Y 86 TILEPROP VBRK_X66Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y66 IS_DCM_TILE 0 TILEPROP VBRK_X66Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y66 NAME VBRK_X66Y66 TILEPROP VBRK_X66Y66 NUM_ARCS 0 TILEPROP VBRK_X66Y66 NUM_SITES 0 TILEPROP VBRK_X66Y66 ROW 90 TILEPROP VBRK_X66Y66 SLR_REGION_ID 0 TILEPROP VBRK_X66Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y66 TILE_TYPE VBRK TILEPROP VBRK_X66Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y66 TILE_X 18976 TILEPROP VBRK_X66Y66 TILE_Y -36800 TILEPROP VBRK_X66Y66 TYPE VBRK TILEPROP VBRK_X66Y67 CLASS tile TILEPROP VBRK_X66Y67 COLUMN 66 TILEPROP VBRK_X66Y67 DEVICE_ID 0 TILEPROP VBRK_X66Y67 FIRST_SITE_ID 8893 TILEPROP VBRK_X66Y67 GRID_POINT_X 66 TILEPROP VBRK_X66Y67 GRID_POINT_Y 89 TILEPROP VBRK_X66Y67 INDEX 10301 TILEPROP VBRK_X66Y67 INT_TILE_X 25 TILEPROP VBRK_X66Y67 INT_TILE_Y 85 TILEPROP VBRK_X66Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y67 IS_DCM_TILE 0 TILEPROP VBRK_X66Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y67 NAME VBRK_X66Y67 TILEPROP VBRK_X66Y67 NUM_ARCS 0 TILEPROP VBRK_X66Y67 NUM_SITES 0 TILEPROP VBRK_X66Y67 ROW 89 TILEPROP VBRK_X66Y67 SLR_REGION_ID 0 TILEPROP VBRK_X66Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y67 TILE_TYPE VBRK TILEPROP VBRK_X66Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y67 TILE_X 18976 TILEPROP VBRK_X66Y67 TILE_Y -33600 TILEPROP VBRK_X66Y67 TYPE VBRK TILEPROP VBRK_X66Y68 CLASS tile TILEPROP VBRK_X66Y68 COLUMN 66 TILEPROP VBRK_X66Y68 DEVICE_ID 0 TILEPROP VBRK_X66Y68 FIRST_SITE_ID 8784 TILEPROP VBRK_X66Y68 GRID_POINT_X 66 TILEPROP VBRK_X66Y68 GRID_POINT_Y 88 TILEPROP VBRK_X66Y68 INDEX 10186 TILEPROP VBRK_X66Y68 INT_TILE_X 25 TILEPROP VBRK_X66Y68 INT_TILE_Y 84 TILEPROP VBRK_X66Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y68 IS_DCM_TILE 0 TILEPROP VBRK_X66Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y68 NAME VBRK_X66Y68 TILEPROP VBRK_X66Y68 NUM_ARCS 0 TILEPROP VBRK_X66Y68 NUM_SITES 0 TILEPROP VBRK_X66Y68 ROW 88 TILEPROP VBRK_X66Y68 SLR_REGION_ID 0 TILEPROP VBRK_X66Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y68 TILE_TYPE VBRK TILEPROP VBRK_X66Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y68 TILE_X 18976 TILEPROP VBRK_X66Y68 TILE_Y -30400 TILEPROP VBRK_X66Y68 TYPE VBRK TILEPROP VBRK_X66Y69 CLASS tile TILEPROP VBRK_X66Y69 COLUMN 66 TILEPROP VBRK_X66Y69 DEVICE_ID 0 TILEPROP VBRK_X66Y69 FIRST_SITE_ID 8678 TILEPROP VBRK_X66Y69 GRID_POINT_X 66 TILEPROP VBRK_X66Y69 GRID_POINT_Y 87 TILEPROP VBRK_X66Y69 INDEX 10071 TILEPROP VBRK_X66Y69 INT_TILE_X 25 TILEPROP VBRK_X66Y69 INT_TILE_Y 83 TILEPROP VBRK_X66Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y69 IS_DCM_TILE 0 TILEPROP VBRK_X66Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y69 NAME VBRK_X66Y69 TILEPROP VBRK_X66Y69 NUM_ARCS 0 TILEPROP VBRK_X66Y69 NUM_SITES 0 TILEPROP VBRK_X66Y69 ROW 87 TILEPROP VBRK_X66Y69 SLR_REGION_ID 0 TILEPROP VBRK_X66Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y69 TILE_TYPE VBRK TILEPROP VBRK_X66Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y69 TILE_X 18976 TILEPROP VBRK_X66Y69 TILE_Y -27200 TILEPROP VBRK_X66Y69 TYPE VBRK TILEPROP VBRK_X66Y70 CLASS tile TILEPROP VBRK_X66Y70 COLUMN 66 TILEPROP VBRK_X66Y70 DEVICE_ID 0 TILEPROP VBRK_X66Y70 FIRST_SITE_ID 8574 TILEPROP VBRK_X66Y70 GRID_POINT_X 66 TILEPROP VBRK_X66Y70 GRID_POINT_Y 86 TILEPROP VBRK_X66Y70 INDEX 9956 TILEPROP VBRK_X66Y70 INT_TILE_X 25 TILEPROP VBRK_X66Y70 INT_TILE_Y 82 TILEPROP VBRK_X66Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y70 IS_DCM_TILE 0 TILEPROP VBRK_X66Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y70 NAME VBRK_X66Y70 TILEPROP VBRK_X66Y70 NUM_ARCS 0 TILEPROP VBRK_X66Y70 NUM_SITES 0 TILEPROP VBRK_X66Y70 ROW 86 TILEPROP VBRK_X66Y70 SLR_REGION_ID 0 TILEPROP VBRK_X66Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y70 TILE_TYPE VBRK TILEPROP VBRK_X66Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y70 TILE_X 18976 TILEPROP VBRK_X66Y70 TILE_Y -24000 TILEPROP VBRK_X66Y70 TYPE VBRK TILEPROP VBRK_X66Y71 CLASS tile TILEPROP VBRK_X66Y71 COLUMN 66 TILEPROP VBRK_X66Y71 DEVICE_ID 0 TILEPROP VBRK_X66Y71 FIRST_SITE_ID 8470 TILEPROP VBRK_X66Y71 GRID_POINT_X 66 TILEPROP VBRK_X66Y71 GRID_POINT_Y 85 TILEPROP VBRK_X66Y71 INDEX 9841 TILEPROP VBRK_X66Y71 INT_TILE_X 25 TILEPROP VBRK_X66Y71 INT_TILE_Y 81 TILEPROP VBRK_X66Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y71 IS_DCM_TILE 0 TILEPROP VBRK_X66Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y71 NAME VBRK_X66Y71 TILEPROP VBRK_X66Y71 NUM_ARCS 0 TILEPROP VBRK_X66Y71 NUM_SITES 0 TILEPROP VBRK_X66Y71 ROW 85 TILEPROP VBRK_X66Y71 SLR_REGION_ID 0 TILEPROP VBRK_X66Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y71 TILE_TYPE VBRK TILEPROP VBRK_X66Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y71 TILE_X 18976 TILEPROP VBRK_X66Y71 TILE_Y -20800 TILEPROP VBRK_X66Y71 TYPE VBRK TILEPROP VBRK_X66Y72 CLASS tile TILEPROP VBRK_X66Y72 COLUMN 66 TILEPROP VBRK_X66Y72 DEVICE_ID 0 TILEPROP VBRK_X66Y72 FIRST_SITE_ID 8368 TILEPROP VBRK_X66Y72 GRID_POINT_X 66 TILEPROP VBRK_X66Y72 GRID_POINT_Y 84 TILEPROP VBRK_X66Y72 INDEX 9726 TILEPROP VBRK_X66Y72 INT_TILE_X 25 TILEPROP VBRK_X66Y72 INT_TILE_Y 80 TILEPROP VBRK_X66Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y72 IS_DCM_TILE 0 TILEPROP VBRK_X66Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y72 NAME VBRK_X66Y72 TILEPROP VBRK_X66Y72 NUM_ARCS 0 TILEPROP VBRK_X66Y72 NUM_SITES 0 TILEPROP VBRK_X66Y72 ROW 84 TILEPROP VBRK_X66Y72 SLR_REGION_ID 0 TILEPROP VBRK_X66Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y72 TILE_TYPE VBRK TILEPROP VBRK_X66Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y72 TILE_X 18976 TILEPROP VBRK_X66Y72 TILE_Y -17600 TILEPROP VBRK_X66Y72 TYPE VBRK TILEPROP VBRK_X66Y73 CLASS tile TILEPROP VBRK_X66Y73 COLUMN 66 TILEPROP VBRK_X66Y73 DEVICE_ID 0 TILEPROP VBRK_X66Y73 FIRST_SITE_ID 8257 TILEPROP VBRK_X66Y73 GRID_POINT_X 66 TILEPROP VBRK_X66Y73 GRID_POINT_Y 83 TILEPROP VBRK_X66Y73 INDEX 9611 TILEPROP VBRK_X66Y73 INT_TILE_X 25 TILEPROP VBRK_X66Y73 INT_TILE_Y 79 TILEPROP VBRK_X66Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y73 IS_DCM_TILE 0 TILEPROP VBRK_X66Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y73 NAME VBRK_X66Y73 TILEPROP VBRK_X66Y73 NUM_ARCS 0 TILEPROP VBRK_X66Y73 NUM_SITES 0 TILEPROP VBRK_X66Y73 ROW 83 TILEPROP VBRK_X66Y73 SLR_REGION_ID 0 TILEPROP VBRK_X66Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y73 TILE_TYPE VBRK TILEPROP VBRK_X66Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y73 TILE_X 18976 TILEPROP VBRK_X66Y73 TILE_Y -14400 TILEPROP VBRK_X66Y73 TYPE VBRK TILEPROP VBRK_X66Y74 CLASS tile TILEPROP VBRK_X66Y74 COLUMN 66 TILEPROP VBRK_X66Y74 DEVICE_ID 0 TILEPROP VBRK_X66Y74 FIRST_SITE_ID 8151 TILEPROP VBRK_X66Y74 GRID_POINT_X 66 TILEPROP VBRK_X66Y74 GRID_POINT_Y 82 TILEPROP VBRK_X66Y74 INDEX 9496 TILEPROP VBRK_X66Y74 INT_TILE_X 25 TILEPROP VBRK_X66Y74 INT_TILE_Y 78 TILEPROP VBRK_X66Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y74 IS_DCM_TILE 0 TILEPROP VBRK_X66Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y74 NAME VBRK_X66Y74 TILEPROP VBRK_X66Y74 NUM_ARCS 0 TILEPROP VBRK_X66Y74 NUM_SITES 0 TILEPROP VBRK_X66Y74 ROW 82 TILEPROP VBRK_X66Y74 SLR_REGION_ID 0 TILEPROP VBRK_X66Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y74 TILE_TYPE VBRK TILEPROP VBRK_X66Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y74 TILE_X 18976 TILEPROP VBRK_X66Y74 TILE_Y -11200 TILEPROP VBRK_X66Y74 TYPE VBRK TILEPROP VBRK_X66Y75 CLASS tile TILEPROP VBRK_X66Y75 COLUMN 66 TILEPROP VBRK_X66Y75 DEVICE_ID 0 TILEPROP VBRK_X66Y75 FIRST_SITE_ID 8051 TILEPROP VBRK_X66Y75 GRID_POINT_X 66 TILEPROP VBRK_X66Y75 GRID_POINT_Y 81 TILEPROP VBRK_X66Y75 INDEX 9381 TILEPROP VBRK_X66Y75 INT_TILE_X 25 TILEPROP VBRK_X66Y75 INT_TILE_Y 77 TILEPROP VBRK_X66Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y75 IS_DCM_TILE 0 TILEPROP VBRK_X66Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y75 NAME VBRK_X66Y75 TILEPROP VBRK_X66Y75 NUM_ARCS 0 TILEPROP VBRK_X66Y75 NUM_SITES 0 TILEPROP VBRK_X66Y75 ROW 81 TILEPROP VBRK_X66Y75 SLR_REGION_ID 0 TILEPROP VBRK_X66Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y75 TILE_TYPE VBRK TILEPROP VBRK_X66Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y75 TILE_X 18976 TILEPROP VBRK_X66Y75 TILE_Y -8000 TILEPROP VBRK_X66Y75 TYPE VBRK TILEPROP VBRK_X66Y76 CLASS tile TILEPROP VBRK_X66Y76 COLUMN 66 TILEPROP VBRK_X66Y76 DEVICE_ID 0 TILEPROP VBRK_X66Y76 FIRST_SITE_ID 7951 TILEPROP VBRK_X66Y76 GRID_POINT_X 66 TILEPROP VBRK_X66Y76 GRID_POINT_Y 80 TILEPROP VBRK_X66Y76 INDEX 9266 TILEPROP VBRK_X66Y76 INT_TILE_X 25 TILEPROP VBRK_X66Y76 INT_TILE_Y 76 TILEPROP VBRK_X66Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y76 IS_DCM_TILE 0 TILEPROP VBRK_X66Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y76 NAME VBRK_X66Y76 TILEPROP VBRK_X66Y76 NUM_ARCS 0 TILEPROP VBRK_X66Y76 NUM_SITES 0 TILEPROP VBRK_X66Y76 ROW 80 TILEPROP VBRK_X66Y76 SLR_REGION_ID 0 TILEPROP VBRK_X66Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y76 TILE_TYPE VBRK TILEPROP VBRK_X66Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y76 TILE_X 18976 TILEPROP VBRK_X66Y76 TILE_Y -4800 TILEPROP VBRK_X66Y76 TYPE VBRK TILEPROP VBRK_X66Y77 CLASS tile TILEPROP VBRK_X66Y77 COLUMN 66 TILEPROP VBRK_X66Y77 DEVICE_ID 0 TILEPROP VBRK_X66Y77 FIRST_SITE_ID 7851 TILEPROP VBRK_X66Y77 GRID_POINT_X 66 TILEPROP VBRK_X66Y77 GRID_POINT_Y 79 TILEPROP VBRK_X66Y77 INDEX 9151 TILEPROP VBRK_X66Y77 INT_TILE_X 25 TILEPROP VBRK_X66Y77 INT_TILE_Y 75 TILEPROP VBRK_X66Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y77 IS_DCM_TILE 0 TILEPROP VBRK_X66Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y77 NAME VBRK_X66Y77 TILEPROP VBRK_X66Y77 NUM_ARCS 0 TILEPROP VBRK_X66Y77 NUM_SITES 0 TILEPROP VBRK_X66Y77 ROW 79 TILEPROP VBRK_X66Y77 SLR_REGION_ID 0 TILEPROP VBRK_X66Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y77 TILE_TYPE VBRK TILEPROP VBRK_X66Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y77 TILE_X 18976 TILEPROP VBRK_X66Y77 TILE_Y -1600 TILEPROP VBRK_X66Y77 TYPE VBRK TILEPROP VBRK_X66Y79 CLASS tile TILEPROP VBRK_X66Y79 COLUMN 66 TILEPROP VBRK_X66Y79 DEVICE_ID 0 TILEPROP VBRK_X66Y79 FIRST_SITE_ID 7659 TILEPROP VBRK_X66Y79 GRID_POINT_X 66 TILEPROP VBRK_X66Y79 GRID_POINT_Y 77 TILEPROP VBRK_X66Y79 INDEX 8921 TILEPROP VBRK_X66Y79 INT_TILE_X 25 TILEPROP VBRK_X66Y79 INT_TILE_Y 74 TILEPROP VBRK_X66Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y79 IS_DCM_TILE 0 TILEPROP VBRK_X66Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y79 NAME VBRK_X66Y79 TILEPROP VBRK_X66Y79 NUM_ARCS 0 TILEPROP VBRK_X66Y79 NUM_SITES 0 TILEPROP VBRK_X66Y79 ROW 77 TILEPROP VBRK_X66Y79 SLR_REGION_ID 0 TILEPROP VBRK_X66Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y79 TILE_TYPE VBRK TILEPROP VBRK_X66Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y79 TILE_X 18976 TILEPROP VBRK_X66Y79 TILE_Y 2624 TILEPROP VBRK_X66Y79 TYPE VBRK TILEPROP VBRK_X66Y80 CLASS tile TILEPROP VBRK_X66Y80 COLUMN 66 TILEPROP VBRK_X66Y80 DEVICE_ID 0 TILEPROP VBRK_X66Y80 FIRST_SITE_ID 7550 TILEPROP VBRK_X66Y80 GRID_POINT_X 66 TILEPROP VBRK_X66Y80 GRID_POINT_Y 76 TILEPROP VBRK_X66Y80 INDEX 8806 TILEPROP VBRK_X66Y80 INT_TILE_X 25 TILEPROP VBRK_X66Y80 INT_TILE_Y 73 TILEPROP VBRK_X66Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y80 IS_DCM_TILE 0 TILEPROP VBRK_X66Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y80 NAME VBRK_X66Y80 TILEPROP VBRK_X66Y80 NUM_ARCS 0 TILEPROP VBRK_X66Y80 NUM_SITES 0 TILEPROP VBRK_X66Y80 ROW 76 TILEPROP VBRK_X66Y80 SLR_REGION_ID 0 TILEPROP VBRK_X66Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y80 TILE_TYPE VBRK TILEPROP VBRK_X66Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y80 TILE_X 18976 TILEPROP VBRK_X66Y80 TILE_Y 5824 TILEPROP VBRK_X66Y80 TYPE VBRK TILEPROP VBRK_X66Y81 CLASS tile TILEPROP VBRK_X66Y81 COLUMN 66 TILEPROP VBRK_X66Y81 DEVICE_ID 0 TILEPROP VBRK_X66Y81 FIRST_SITE_ID 7450 TILEPROP VBRK_X66Y81 GRID_POINT_X 66 TILEPROP VBRK_X66Y81 GRID_POINT_Y 75 TILEPROP VBRK_X66Y81 INDEX 8691 TILEPROP VBRK_X66Y81 INT_TILE_X 25 TILEPROP VBRK_X66Y81 INT_TILE_Y 72 TILEPROP VBRK_X66Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y81 IS_DCM_TILE 0 TILEPROP VBRK_X66Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y81 NAME VBRK_X66Y81 TILEPROP VBRK_X66Y81 NUM_ARCS 0 TILEPROP VBRK_X66Y81 NUM_SITES 0 TILEPROP VBRK_X66Y81 ROW 75 TILEPROP VBRK_X66Y81 SLR_REGION_ID 0 TILEPROP VBRK_X66Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y81 TILE_TYPE VBRK TILEPROP VBRK_X66Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y81 TILE_X 18976 TILEPROP VBRK_X66Y81 TILE_Y 9024 TILEPROP VBRK_X66Y81 TYPE VBRK TILEPROP VBRK_X66Y82 CLASS tile TILEPROP VBRK_X66Y82 COLUMN 66 TILEPROP VBRK_X66Y82 DEVICE_ID 0 TILEPROP VBRK_X66Y82 FIRST_SITE_ID 7350 TILEPROP VBRK_X66Y82 GRID_POINT_X 66 TILEPROP VBRK_X66Y82 GRID_POINT_Y 74 TILEPROP VBRK_X66Y82 INDEX 8576 TILEPROP VBRK_X66Y82 INT_TILE_X 25 TILEPROP VBRK_X66Y82 INT_TILE_Y 71 TILEPROP VBRK_X66Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y82 IS_DCM_TILE 0 TILEPROP VBRK_X66Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y82 NAME VBRK_X66Y82 TILEPROP VBRK_X66Y82 NUM_ARCS 0 TILEPROP VBRK_X66Y82 NUM_SITES 0 TILEPROP VBRK_X66Y82 ROW 74 TILEPROP VBRK_X66Y82 SLR_REGION_ID 0 TILEPROP VBRK_X66Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y82 TILE_TYPE VBRK TILEPROP VBRK_X66Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y82 TILE_X 18976 TILEPROP VBRK_X66Y82 TILE_Y 12224 TILEPROP VBRK_X66Y82 TYPE VBRK TILEPROP VBRK_X66Y83 CLASS tile TILEPROP VBRK_X66Y83 COLUMN 66 TILEPROP VBRK_X66Y83 DEVICE_ID 0 TILEPROP VBRK_X66Y83 FIRST_SITE_ID 7244 TILEPROP VBRK_X66Y83 GRID_POINT_X 66 TILEPROP VBRK_X66Y83 GRID_POINT_Y 73 TILEPROP VBRK_X66Y83 INDEX 8461 TILEPROP VBRK_X66Y83 INT_TILE_X 25 TILEPROP VBRK_X66Y83 INT_TILE_Y 70 TILEPROP VBRK_X66Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y83 IS_DCM_TILE 0 TILEPROP VBRK_X66Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y83 NAME VBRK_X66Y83 TILEPROP VBRK_X66Y83 NUM_ARCS 0 TILEPROP VBRK_X66Y83 NUM_SITES 0 TILEPROP VBRK_X66Y83 ROW 73 TILEPROP VBRK_X66Y83 SLR_REGION_ID 0 TILEPROP VBRK_X66Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y83 TILE_TYPE VBRK TILEPROP VBRK_X66Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y83 TILE_X 18976 TILEPROP VBRK_X66Y83 TILE_Y 15424 TILEPROP VBRK_X66Y83 TYPE VBRK TILEPROP VBRK_X66Y84 CLASS tile TILEPROP VBRK_X66Y84 COLUMN 66 TILEPROP VBRK_X66Y84 DEVICE_ID 0 TILEPROP VBRK_X66Y84 FIRST_SITE_ID 7129 TILEPROP VBRK_X66Y84 GRID_POINT_X 66 TILEPROP VBRK_X66Y84 GRID_POINT_Y 72 TILEPROP VBRK_X66Y84 INDEX 8346 TILEPROP VBRK_X66Y84 INT_TILE_X 25 TILEPROP VBRK_X66Y84 INT_TILE_Y 69 TILEPROP VBRK_X66Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y84 IS_DCM_TILE 0 TILEPROP VBRK_X66Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y84 NAME VBRK_X66Y84 TILEPROP VBRK_X66Y84 NUM_ARCS 0 TILEPROP VBRK_X66Y84 NUM_SITES 0 TILEPROP VBRK_X66Y84 ROW 72 TILEPROP VBRK_X66Y84 SLR_REGION_ID 0 TILEPROP VBRK_X66Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y84 TILE_TYPE VBRK TILEPROP VBRK_X66Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y84 TILE_X 18976 TILEPROP VBRK_X66Y84 TILE_Y 18624 TILEPROP VBRK_X66Y84 TYPE VBRK TILEPROP VBRK_X66Y85 CLASS tile TILEPROP VBRK_X66Y85 COLUMN 66 TILEPROP VBRK_X66Y85 DEVICE_ID 0 TILEPROP VBRK_X66Y85 FIRST_SITE_ID 7021 TILEPROP VBRK_X66Y85 GRID_POINT_X 66 TILEPROP VBRK_X66Y85 GRID_POINT_Y 71 TILEPROP VBRK_X66Y85 INDEX 8231 TILEPROP VBRK_X66Y85 INT_TILE_X 25 TILEPROP VBRK_X66Y85 INT_TILE_Y 68 TILEPROP VBRK_X66Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y85 IS_DCM_TILE 0 TILEPROP VBRK_X66Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y85 NAME VBRK_X66Y85 TILEPROP VBRK_X66Y85 NUM_ARCS 0 TILEPROP VBRK_X66Y85 NUM_SITES 0 TILEPROP VBRK_X66Y85 ROW 71 TILEPROP VBRK_X66Y85 SLR_REGION_ID 0 TILEPROP VBRK_X66Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y85 TILE_TYPE VBRK TILEPROP VBRK_X66Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y85 TILE_X 18976 TILEPROP VBRK_X66Y85 TILE_Y 21824 TILEPROP VBRK_X66Y85 TYPE VBRK TILEPROP VBRK_X66Y86 CLASS tile TILEPROP VBRK_X66Y86 COLUMN 66 TILEPROP VBRK_X66Y86 DEVICE_ID 0 TILEPROP VBRK_X66Y86 FIRST_SITE_ID 6919 TILEPROP VBRK_X66Y86 GRID_POINT_X 66 TILEPROP VBRK_X66Y86 GRID_POINT_Y 70 TILEPROP VBRK_X66Y86 INDEX 8116 TILEPROP VBRK_X66Y86 INT_TILE_X 25 TILEPROP VBRK_X66Y86 INT_TILE_Y 67 TILEPROP VBRK_X66Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y86 IS_DCM_TILE 0 TILEPROP VBRK_X66Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y86 NAME VBRK_X66Y86 TILEPROP VBRK_X66Y86 NUM_ARCS 0 TILEPROP VBRK_X66Y86 NUM_SITES 0 TILEPROP VBRK_X66Y86 ROW 70 TILEPROP VBRK_X66Y86 SLR_REGION_ID 0 TILEPROP VBRK_X66Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y86 TILE_TYPE VBRK TILEPROP VBRK_X66Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y86 TILE_X 18976 TILEPROP VBRK_X66Y86 TILE_Y 25024 TILEPROP VBRK_X66Y86 TYPE VBRK TILEPROP VBRK_X66Y87 CLASS tile TILEPROP VBRK_X66Y87 COLUMN 66 TILEPROP VBRK_X66Y87 DEVICE_ID 0 TILEPROP VBRK_X66Y87 FIRST_SITE_ID 6819 TILEPROP VBRK_X66Y87 GRID_POINT_X 66 TILEPROP VBRK_X66Y87 GRID_POINT_Y 69 TILEPROP VBRK_X66Y87 INDEX 8001 TILEPROP VBRK_X66Y87 INT_TILE_X 25 TILEPROP VBRK_X66Y87 INT_TILE_Y 66 TILEPROP VBRK_X66Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y87 IS_DCM_TILE 0 TILEPROP VBRK_X66Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y87 NAME VBRK_X66Y87 TILEPROP VBRK_X66Y87 NUM_ARCS 0 TILEPROP VBRK_X66Y87 NUM_SITES 0 TILEPROP VBRK_X66Y87 ROW 69 TILEPROP VBRK_X66Y87 SLR_REGION_ID 0 TILEPROP VBRK_X66Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y87 TILE_TYPE VBRK TILEPROP VBRK_X66Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y87 TILE_X 18976 TILEPROP VBRK_X66Y87 TILE_Y 28224 TILEPROP VBRK_X66Y87 TYPE VBRK TILEPROP VBRK_X66Y88 CLASS tile TILEPROP VBRK_X66Y88 COLUMN 66 TILEPROP VBRK_X66Y88 DEVICE_ID 0 TILEPROP VBRK_X66Y88 FIRST_SITE_ID 6719 TILEPROP VBRK_X66Y88 GRID_POINT_X 66 TILEPROP VBRK_X66Y88 GRID_POINT_Y 68 TILEPROP VBRK_X66Y88 INDEX 7886 TILEPROP VBRK_X66Y88 INT_TILE_X 25 TILEPROP VBRK_X66Y88 INT_TILE_Y 65 TILEPROP VBRK_X66Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y88 IS_DCM_TILE 0 TILEPROP VBRK_X66Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y88 NAME VBRK_X66Y88 TILEPROP VBRK_X66Y88 NUM_ARCS 0 TILEPROP VBRK_X66Y88 NUM_SITES 0 TILEPROP VBRK_X66Y88 ROW 68 TILEPROP VBRK_X66Y88 SLR_REGION_ID 0 TILEPROP VBRK_X66Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y88 TILE_TYPE VBRK TILEPROP VBRK_X66Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y88 TILE_X 18976 TILEPROP VBRK_X66Y88 TILE_Y 31424 TILEPROP VBRK_X66Y88 TYPE VBRK TILEPROP VBRK_X66Y89 CLASS tile TILEPROP VBRK_X66Y89 COLUMN 66 TILEPROP VBRK_X66Y89 DEVICE_ID 0 TILEPROP VBRK_X66Y89 FIRST_SITE_ID 6610 TILEPROP VBRK_X66Y89 GRID_POINT_X 66 TILEPROP VBRK_X66Y89 GRID_POINT_Y 67 TILEPROP VBRK_X66Y89 INDEX 7771 TILEPROP VBRK_X66Y89 INT_TILE_X 25 TILEPROP VBRK_X66Y89 INT_TILE_Y 64 TILEPROP VBRK_X66Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y89 IS_DCM_TILE 0 TILEPROP VBRK_X66Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y89 NAME VBRK_X66Y89 TILEPROP VBRK_X66Y89 NUM_ARCS 0 TILEPROP VBRK_X66Y89 NUM_SITES 0 TILEPROP VBRK_X66Y89 ROW 67 TILEPROP VBRK_X66Y89 SLR_REGION_ID 0 TILEPROP VBRK_X66Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y89 TILE_TYPE VBRK TILEPROP VBRK_X66Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y89 TILE_X 18976 TILEPROP VBRK_X66Y89 TILE_Y 34624 TILEPROP VBRK_X66Y89 TYPE VBRK TILEPROP VBRK_X66Y90 CLASS tile TILEPROP VBRK_X66Y90 COLUMN 66 TILEPROP VBRK_X66Y90 DEVICE_ID 0 TILEPROP VBRK_X66Y90 FIRST_SITE_ID 6504 TILEPROP VBRK_X66Y90 GRID_POINT_X 66 TILEPROP VBRK_X66Y90 GRID_POINT_Y 66 TILEPROP VBRK_X66Y90 INDEX 7656 TILEPROP VBRK_X66Y90 INT_TILE_X 25 TILEPROP VBRK_X66Y90 INT_TILE_Y 63 TILEPROP VBRK_X66Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y90 IS_DCM_TILE 0 TILEPROP VBRK_X66Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y90 NAME VBRK_X66Y90 TILEPROP VBRK_X66Y90 NUM_ARCS 0 TILEPROP VBRK_X66Y90 NUM_SITES 0 TILEPROP VBRK_X66Y90 ROW 66 TILEPROP VBRK_X66Y90 SLR_REGION_ID 0 TILEPROP VBRK_X66Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y90 TILE_TYPE VBRK TILEPROP VBRK_X66Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y90 TILE_X 18976 TILEPROP VBRK_X66Y90 TILE_Y 37824 TILEPROP VBRK_X66Y90 TYPE VBRK TILEPROP VBRK_X66Y91 CLASS tile TILEPROP VBRK_X66Y91 COLUMN 66 TILEPROP VBRK_X66Y91 DEVICE_ID 0 TILEPROP VBRK_X66Y91 FIRST_SITE_ID 6372 TILEPROP VBRK_X66Y91 GRID_POINT_X 66 TILEPROP VBRK_X66Y91 GRID_POINT_Y 65 TILEPROP VBRK_X66Y91 INDEX 7541 TILEPROP VBRK_X66Y91 INT_TILE_X 25 TILEPROP VBRK_X66Y91 INT_TILE_Y 62 TILEPROP VBRK_X66Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y91 IS_DCM_TILE 0 TILEPROP VBRK_X66Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y91 NAME VBRK_X66Y91 TILEPROP VBRK_X66Y91 NUM_ARCS 0 TILEPROP VBRK_X66Y91 NUM_SITES 0 TILEPROP VBRK_X66Y91 ROW 65 TILEPROP VBRK_X66Y91 SLR_REGION_ID 0 TILEPROP VBRK_X66Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y91 TILE_TYPE VBRK TILEPROP VBRK_X66Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y91 TILE_X 18976 TILEPROP VBRK_X66Y91 TILE_Y 41024 TILEPROP VBRK_X66Y91 TYPE VBRK TILEPROP VBRK_X66Y92 CLASS tile TILEPROP VBRK_X66Y92 COLUMN 66 TILEPROP VBRK_X66Y92 DEVICE_ID 0 TILEPROP VBRK_X66Y92 FIRST_SITE_ID 6272 TILEPROP VBRK_X66Y92 GRID_POINT_X 66 TILEPROP VBRK_X66Y92 GRID_POINT_Y 64 TILEPROP VBRK_X66Y92 INDEX 7426 TILEPROP VBRK_X66Y92 INT_TILE_X 25 TILEPROP VBRK_X66Y92 INT_TILE_Y 61 TILEPROP VBRK_X66Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y92 IS_DCM_TILE 0 TILEPROP VBRK_X66Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y92 NAME VBRK_X66Y92 TILEPROP VBRK_X66Y92 NUM_ARCS 0 TILEPROP VBRK_X66Y92 NUM_SITES 0 TILEPROP VBRK_X66Y92 ROW 64 TILEPROP VBRK_X66Y92 SLR_REGION_ID 0 TILEPROP VBRK_X66Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y92 TILE_TYPE VBRK TILEPROP VBRK_X66Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y92 TILE_X 18976 TILEPROP VBRK_X66Y92 TILE_Y 44224 TILEPROP VBRK_X66Y92 TYPE VBRK TILEPROP VBRK_X66Y93 CLASS tile TILEPROP VBRK_X66Y93 COLUMN 66 TILEPROP VBRK_X66Y93 DEVICE_ID 0 TILEPROP VBRK_X66Y93 FIRST_SITE_ID 6172 TILEPROP VBRK_X66Y93 GRID_POINT_X 66 TILEPROP VBRK_X66Y93 GRID_POINT_Y 63 TILEPROP VBRK_X66Y93 INDEX 7311 TILEPROP VBRK_X66Y93 INT_TILE_X 25 TILEPROP VBRK_X66Y93 INT_TILE_Y 60 TILEPROP VBRK_X66Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y93 IS_DCM_TILE 0 TILEPROP VBRK_X66Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y93 NAME VBRK_X66Y93 TILEPROP VBRK_X66Y93 NUM_ARCS 0 TILEPROP VBRK_X66Y93 NUM_SITES 0 TILEPROP VBRK_X66Y93 ROW 63 TILEPROP VBRK_X66Y93 SLR_REGION_ID 0 TILEPROP VBRK_X66Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y93 TILE_TYPE VBRK TILEPROP VBRK_X66Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y93 TILE_X 18976 TILEPROP VBRK_X66Y93 TILE_Y 47424 TILEPROP VBRK_X66Y93 TYPE VBRK TILEPROP VBRK_X66Y94 CLASS tile TILEPROP VBRK_X66Y94 COLUMN 66 TILEPROP VBRK_X66Y94 DEVICE_ID 0 TILEPROP VBRK_X66Y94 FIRST_SITE_ID 6063 TILEPROP VBRK_X66Y94 GRID_POINT_X 66 TILEPROP VBRK_X66Y94 GRID_POINT_Y 62 TILEPROP VBRK_X66Y94 INDEX 7196 TILEPROP VBRK_X66Y94 INT_TILE_X 25 TILEPROP VBRK_X66Y94 INT_TILE_Y 59 TILEPROP VBRK_X66Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y94 IS_DCM_TILE 0 TILEPROP VBRK_X66Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y94 NAME VBRK_X66Y94 TILEPROP VBRK_X66Y94 NUM_ARCS 0 TILEPROP VBRK_X66Y94 NUM_SITES 0 TILEPROP VBRK_X66Y94 ROW 62 TILEPROP VBRK_X66Y94 SLR_REGION_ID 0 TILEPROP VBRK_X66Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y94 TILE_TYPE VBRK TILEPROP VBRK_X66Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y94 TILE_X 18976 TILEPROP VBRK_X66Y94 TILE_Y 50624 TILEPROP VBRK_X66Y94 TYPE VBRK TILEPROP VBRK_X66Y95 CLASS tile TILEPROP VBRK_X66Y95 COLUMN 66 TILEPROP VBRK_X66Y95 DEVICE_ID 0 TILEPROP VBRK_X66Y95 FIRST_SITE_ID 5957 TILEPROP VBRK_X66Y95 GRID_POINT_X 66 TILEPROP VBRK_X66Y95 GRID_POINT_Y 61 TILEPROP VBRK_X66Y95 INDEX 7081 TILEPROP VBRK_X66Y95 INT_TILE_X 25 TILEPROP VBRK_X66Y95 INT_TILE_Y 58 TILEPROP VBRK_X66Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y95 IS_DCM_TILE 0 TILEPROP VBRK_X66Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y95 NAME VBRK_X66Y95 TILEPROP VBRK_X66Y95 NUM_ARCS 0 TILEPROP VBRK_X66Y95 NUM_SITES 0 TILEPROP VBRK_X66Y95 ROW 61 TILEPROP VBRK_X66Y95 SLR_REGION_ID 0 TILEPROP VBRK_X66Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y95 TILE_TYPE VBRK TILEPROP VBRK_X66Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y95 TILE_X 18976 TILEPROP VBRK_X66Y95 TILE_Y 53824 TILEPROP VBRK_X66Y95 TYPE VBRK TILEPROP VBRK_X66Y96 CLASS tile TILEPROP VBRK_X66Y96 COLUMN 66 TILEPROP VBRK_X66Y96 DEVICE_ID 0 TILEPROP VBRK_X66Y96 FIRST_SITE_ID 5856 TILEPROP VBRK_X66Y96 GRID_POINT_X 66 TILEPROP VBRK_X66Y96 GRID_POINT_Y 60 TILEPROP VBRK_X66Y96 INDEX 6966 TILEPROP VBRK_X66Y96 INT_TILE_X 25 TILEPROP VBRK_X66Y96 INT_TILE_Y 57 TILEPROP VBRK_X66Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y96 IS_DCM_TILE 0 TILEPROP VBRK_X66Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y96 NAME VBRK_X66Y96 TILEPROP VBRK_X66Y96 NUM_ARCS 0 TILEPROP VBRK_X66Y96 NUM_SITES 0 TILEPROP VBRK_X66Y96 ROW 60 TILEPROP VBRK_X66Y96 SLR_REGION_ID 0 TILEPROP VBRK_X66Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y96 TILE_TYPE VBRK TILEPROP VBRK_X66Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y96 TILE_X 18976 TILEPROP VBRK_X66Y96 TILE_Y 57024 TILEPROP VBRK_X66Y96 TYPE VBRK TILEPROP VBRK_X66Y97 CLASS tile TILEPROP VBRK_X66Y97 COLUMN 66 TILEPROP VBRK_X66Y97 DEVICE_ID 0 TILEPROP VBRK_X66Y97 FIRST_SITE_ID 5753 TILEPROP VBRK_X66Y97 GRID_POINT_X 66 TILEPROP VBRK_X66Y97 GRID_POINT_Y 59 TILEPROP VBRK_X66Y97 INDEX 6851 TILEPROP VBRK_X66Y97 INT_TILE_X 25 TILEPROP VBRK_X66Y97 INT_TILE_Y 56 TILEPROP VBRK_X66Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y97 IS_DCM_TILE 0 TILEPROP VBRK_X66Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y97 NAME VBRK_X66Y97 TILEPROP VBRK_X66Y97 NUM_ARCS 0 TILEPROP VBRK_X66Y97 NUM_SITES 0 TILEPROP VBRK_X66Y97 ROW 59 TILEPROP VBRK_X66Y97 SLR_REGION_ID 0 TILEPROP VBRK_X66Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y97 TILE_TYPE VBRK TILEPROP VBRK_X66Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y97 TILE_X 18976 TILEPROP VBRK_X66Y97 TILE_Y 60224 TILEPROP VBRK_X66Y97 TYPE VBRK TILEPROP VBRK_X66Y98 CLASS tile TILEPROP VBRK_X66Y98 COLUMN 66 TILEPROP VBRK_X66Y98 DEVICE_ID 0 TILEPROP VBRK_X66Y98 FIRST_SITE_ID 5651 TILEPROP VBRK_X66Y98 GRID_POINT_X 66 TILEPROP VBRK_X66Y98 GRID_POINT_Y 58 TILEPROP VBRK_X66Y98 INDEX 6736 TILEPROP VBRK_X66Y98 INT_TILE_X 25 TILEPROP VBRK_X66Y98 INT_TILE_Y 55 TILEPROP VBRK_X66Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y98 IS_DCM_TILE 0 TILEPROP VBRK_X66Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y98 NAME VBRK_X66Y98 TILEPROP VBRK_X66Y98 NUM_ARCS 0 TILEPROP VBRK_X66Y98 NUM_SITES 0 TILEPROP VBRK_X66Y98 ROW 58 TILEPROP VBRK_X66Y98 SLR_REGION_ID 0 TILEPROP VBRK_X66Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y98 TILE_TYPE VBRK TILEPROP VBRK_X66Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y98 TILE_X 18976 TILEPROP VBRK_X66Y98 TILE_Y 63424 TILEPROP VBRK_X66Y98 TYPE VBRK TILEPROP VBRK_X66Y99 CLASS tile TILEPROP VBRK_X66Y99 COLUMN 66 TILEPROP VBRK_X66Y99 DEVICE_ID 0 TILEPROP VBRK_X66Y99 FIRST_SITE_ID 5542 TILEPROP VBRK_X66Y99 GRID_POINT_X 66 TILEPROP VBRK_X66Y99 GRID_POINT_Y 57 TILEPROP VBRK_X66Y99 INDEX 6621 TILEPROP VBRK_X66Y99 INT_TILE_X 25 TILEPROP VBRK_X66Y99 INT_TILE_Y 54 TILEPROP VBRK_X66Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y99 IS_DCM_TILE 0 TILEPROP VBRK_X66Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y99 NAME VBRK_X66Y99 TILEPROP VBRK_X66Y99 NUM_ARCS 0 TILEPROP VBRK_X66Y99 NUM_SITES 0 TILEPROP VBRK_X66Y99 ROW 57 TILEPROP VBRK_X66Y99 SLR_REGION_ID 0 TILEPROP VBRK_X66Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y99 TILE_TYPE VBRK TILEPROP VBRK_X66Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y99 TILE_X 18976 TILEPROP VBRK_X66Y99 TILE_Y 66624 TILEPROP VBRK_X66Y99 TYPE VBRK TILEPROP VBRK_X66Y100 CLASS tile TILEPROP VBRK_X66Y100 COLUMN 66 TILEPROP VBRK_X66Y100 DEVICE_ID 0 TILEPROP VBRK_X66Y100 FIRST_SITE_ID 5436 TILEPROP VBRK_X66Y100 GRID_POINT_X 66 TILEPROP VBRK_X66Y100 GRID_POINT_Y 56 TILEPROP VBRK_X66Y100 INDEX 6506 TILEPROP VBRK_X66Y100 INT_TILE_X 25 TILEPROP VBRK_X66Y100 INT_TILE_Y 53 TILEPROP VBRK_X66Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y100 IS_DCM_TILE 0 TILEPROP VBRK_X66Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y100 NAME VBRK_X66Y100 TILEPROP VBRK_X66Y100 NUM_ARCS 0 TILEPROP VBRK_X66Y100 NUM_SITES 0 TILEPROP VBRK_X66Y100 ROW 56 TILEPROP VBRK_X66Y100 SLR_REGION_ID 0 TILEPROP VBRK_X66Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y100 TILE_TYPE VBRK TILEPROP VBRK_X66Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y100 TILE_X 18976 TILEPROP VBRK_X66Y100 TILE_Y 69824 TILEPROP VBRK_X66Y100 TYPE VBRK TILEPROP VBRK_X66Y101 CLASS tile TILEPROP VBRK_X66Y101 COLUMN 66 TILEPROP VBRK_X66Y101 DEVICE_ID 0 TILEPROP VBRK_X66Y101 FIRST_SITE_ID 5336 TILEPROP VBRK_X66Y101 GRID_POINT_X 66 TILEPROP VBRK_X66Y101 GRID_POINT_Y 55 TILEPROP VBRK_X66Y101 INDEX 6391 TILEPROP VBRK_X66Y101 INT_TILE_X 25 TILEPROP VBRK_X66Y101 INT_TILE_Y 52 TILEPROP VBRK_X66Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y101 IS_DCM_TILE 0 TILEPROP VBRK_X66Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y101 NAME VBRK_X66Y101 TILEPROP VBRK_X66Y101 NUM_ARCS 0 TILEPROP VBRK_X66Y101 NUM_SITES 0 TILEPROP VBRK_X66Y101 ROW 55 TILEPROP VBRK_X66Y101 SLR_REGION_ID 0 TILEPROP VBRK_X66Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y101 TILE_TYPE VBRK TILEPROP VBRK_X66Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y101 TILE_X 18976 TILEPROP VBRK_X66Y101 TILE_Y 73024 TILEPROP VBRK_X66Y101 TYPE VBRK TILEPROP VBRK_X66Y102 CLASS tile TILEPROP VBRK_X66Y102 COLUMN 66 TILEPROP VBRK_X66Y102 DEVICE_ID 0 TILEPROP VBRK_X66Y102 FIRST_SITE_ID 5236 TILEPROP VBRK_X66Y102 GRID_POINT_X 66 TILEPROP VBRK_X66Y102 GRID_POINT_Y 54 TILEPROP VBRK_X66Y102 INDEX 6276 TILEPROP VBRK_X66Y102 INT_TILE_X 25 TILEPROP VBRK_X66Y102 INT_TILE_Y 51 TILEPROP VBRK_X66Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y102 IS_DCM_TILE 0 TILEPROP VBRK_X66Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y102 NAME VBRK_X66Y102 TILEPROP VBRK_X66Y102 NUM_ARCS 0 TILEPROP VBRK_X66Y102 NUM_SITES 0 TILEPROP VBRK_X66Y102 ROW 54 TILEPROP VBRK_X66Y102 SLR_REGION_ID 0 TILEPROP VBRK_X66Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y102 TILE_TYPE VBRK TILEPROP VBRK_X66Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y102 TILE_X 18976 TILEPROP VBRK_X66Y102 TILE_Y 76224 TILEPROP VBRK_X66Y102 TYPE VBRK TILEPROP VBRK_X66Y103 CLASS tile TILEPROP VBRK_X66Y103 COLUMN 66 TILEPROP VBRK_X66Y103 DEVICE_ID 0 TILEPROP VBRK_X66Y103 FIRST_SITE_ID 5140 TILEPROP VBRK_X66Y103 GRID_POINT_X 66 TILEPROP VBRK_X66Y103 GRID_POINT_Y 53 TILEPROP VBRK_X66Y103 INDEX 6161 TILEPROP VBRK_X66Y103 INT_TILE_X 25 TILEPROP VBRK_X66Y103 INT_TILE_Y 50 TILEPROP VBRK_X66Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y103 IS_DCM_TILE 0 TILEPROP VBRK_X66Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y103 NAME VBRK_X66Y103 TILEPROP VBRK_X66Y103 NUM_ARCS 0 TILEPROP VBRK_X66Y103 NUM_SITES 0 TILEPROP VBRK_X66Y103 ROW 53 TILEPROP VBRK_X66Y103 SLR_REGION_ID 0 TILEPROP VBRK_X66Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y103 TILE_TYPE VBRK TILEPROP VBRK_X66Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y103 TILE_X 18976 TILEPROP VBRK_X66Y103 TILE_Y 79424 TILEPROP VBRK_X66Y103 TYPE VBRK TILEPROP VBRK_X66Y105 CLASS tile TILEPROP VBRK_X66Y105 COLUMN 66 TILEPROP VBRK_X66Y105 DEVICE_ID 0 TILEPROP VBRK_X66Y105 FIRST_SITE_ID 5063 TILEPROP VBRK_X66Y105 GRID_POINT_X 66 TILEPROP VBRK_X66Y105 GRID_POINT_Y 51 TILEPROP VBRK_X66Y105 INDEX 5931 TILEPROP VBRK_X66Y105 INT_TILE_X 25 TILEPROP VBRK_X66Y105 INT_TILE_Y 49 TILEPROP VBRK_X66Y105 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y105 IS_DCM_TILE 0 TILEPROP VBRK_X66Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y105 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y105 NAME VBRK_X66Y105 TILEPROP VBRK_X66Y105 NUM_ARCS 0 TILEPROP VBRK_X66Y105 NUM_SITES 0 TILEPROP VBRK_X66Y105 ROW 51 TILEPROP VBRK_X66Y105 SLR_REGION_ID 0 TILEPROP VBRK_X66Y105 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y105 TILE_TYPE VBRK TILEPROP VBRK_X66Y105 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y105 TILE_X 18976 TILEPROP VBRK_X66Y105 TILE_Y 82872 TILEPROP VBRK_X66Y105 TYPE VBRK TILEPROP VBRK_X66Y106 CLASS tile TILEPROP VBRK_X66Y106 COLUMN 66 TILEPROP VBRK_X66Y106 DEVICE_ID 0 TILEPROP VBRK_X66Y106 FIRST_SITE_ID 4965 TILEPROP VBRK_X66Y106 GRID_POINT_X 66 TILEPROP VBRK_X66Y106 GRID_POINT_Y 50 TILEPROP VBRK_X66Y106 INDEX 5816 TILEPROP VBRK_X66Y106 INT_TILE_X 25 TILEPROP VBRK_X66Y106 INT_TILE_Y 48 TILEPROP VBRK_X66Y106 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y106 IS_DCM_TILE 0 TILEPROP VBRK_X66Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y106 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y106 NAME VBRK_X66Y106 TILEPROP VBRK_X66Y106 NUM_ARCS 0 TILEPROP VBRK_X66Y106 NUM_SITES 0 TILEPROP VBRK_X66Y106 ROW 50 TILEPROP VBRK_X66Y106 SLR_REGION_ID 0 TILEPROP VBRK_X66Y106 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y106 TILE_TYPE VBRK TILEPROP VBRK_X66Y106 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y106 TILE_X 18976 TILEPROP VBRK_X66Y106 TILE_Y 86072 TILEPROP VBRK_X66Y106 TYPE VBRK TILEPROP VBRK_X66Y107 CLASS tile TILEPROP VBRK_X66Y107 COLUMN 66 TILEPROP VBRK_X66Y107 DEVICE_ID 0 TILEPROP VBRK_X66Y107 FIRST_SITE_ID 4869 TILEPROP VBRK_X66Y107 GRID_POINT_X 66 TILEPROP VBRK_X66Y107 GRID_POINT_Y 49 TILEPROP VBRK_X66Y107 INDEX 5701 TILEPROP VBRK_X66Y107 INT_TILE_X 25 TILEPROP VBRK_X66Y107 INT_TILE_Y 47 TILEPROP VBRK_X66Y107 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y107 IS_DCM_TILE 0 TILEPROP VBRK_X66Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y107 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y107 NAME VBRK_X66Y107 TILEPROP VBRK_X66Y107 NUM_ARCS 0 TILEPROP VBRK_X66Y107 NUM_SITES 0 TILEPROP VBRK_X66Y107 ROW 49 TILEPROP VBRK_X66Y107 SLR_REGION_ID 0 TILEPROP VBRK_X66Y107 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y107 TILE_TYPE VBRK TILEPROP VBRK_X66Y107 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y107 TILE_X 18976 TILEPROP VBRK_X66Y107 TILE_Y 89272 TILEPROP VBRK_X66Y107 TYPE VBRK TILEPROP VBRK_X66Y108 CLASS tile TILEPROP VBRK_X66Y108 COLUMN 66 TILEPROP VBRK_X66Y108 DEVICE_ID 0 TILEPROP VBRK_X66Y108 FIRST_SITE_ID 4781 TILEPROP VBRK_X66Y108 GRID_POINT_X 66 TILEPROP VBRK_X66Y108 GRID_POINT_Y 48 TILEPROP VBRK_X66Y108 INDEX 5586 TILEPROP VBRK_X66Y108 INT_TILE_X 25 TILEPROP VBRK_X66Y108 INT_TILE_Y 46 TILEPROP VBRK_X66Y108 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y108 IS_DCM_TILE 0 TILEPROP VBRK_X66Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y108 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y108 NAME VBRK_X66Y108 TILEPROP VBRK_X66Y108 NUM_ARCS 0 TILEPROP VBRK_X66Y108 NUM_SITES 0 TILEPROP VBRK_X66Y108 ROW 48 TILEPROP VBRK_X66Y108 SLR_REGION_ID 0 TILEPROP VBRK_X66Y108 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y108 TILE_TYPE VBRK TILEPROP VBRK_X66Y108 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y108 TILE_X 18976 TILEPROP VBRK_X66Y108 TILE_Y 92472 TILEPROP VBRK_X66Y108 TYPE VBRK TILEPROP VBRK_X66Y109 CLASS tile TILEPROP VBRK_X66Y109 COLUMN 66 TILEPROP VBRK_X66Y109 DEVICE_ID 0 TILEPROP VBRK_X66Y109 FIRST_SITE_ID 4685 TILEPROP VBRK_X66Y109 GRID_POINT_X 66 TILEPROP VBRK_X66Y109 GRID_POINT_Y 47 TILEPROP VBRK_X66Y109 INDEX 5471 TILEPROP VBRK_X66Y109 INT_TILE_X 25 TILEPROP VBRK_X66Y109 INT_TILE_Y 45 TILEPROP VBRK_X66Y109 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y109 IS_DCM_TILE 0 TILEPROP VBRK_X66Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y109 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y109 NAME VBRK_X66Y109 TILEPROP VBRK_X66Y109 NUM_ARCS 0 TILEPROP VBRK_X66Y109 NUM_SITES 0 TILEPROP VBRK_X66Y109 ROW 47 TILEPROP VBRK_X66Y109 SLR_REGION_ID 0 TILEPROP VBRK_X66Y109 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y109 TILE_TYPE VBRK TILEPROP VBRK_X66Y109 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y109 TILE_X 18976 TILEPROP VBRK_X66Y109 TILE_Y 95672 TILEPROP VBRK_X66Y109 TYPE VBRK TILEPROP VBRK_X66Y110 CLASS tile TILEPROP VBRK_X66Y110 COLUMN 66 TILEPROP VBRK_X66Y110 DEVICE_ID 0 TILEPROP VBRK_X66Y110 FIRST_SITE_ID 4589 TILEPROP VBRK_X66Y110 GRID_POINT_X 66 TILEPROP VBRK_X66Y110 GRID_POINT_Y 46 TILEPROP VBRK_X66Y110 INDEX 5356 TILEPROP VBRK_X66Y110 INT_TILE_X 25 TILEPROP VBRK_X66Y110 INT_TILE_Y 44 TILEPROP VBRK_X66Y110 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y110 IS_DCM_TILE 0 TILEPROP VBRK_X66Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y110 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y110 NAME VBRK_X66Y110 TILEPROP VBRK_X66Y110 NUM_ARCS 0 TILEPROP VBRK_X66Y110 NUM_SITES 0 TILEPROP VBRK_X66Y110 ROW 46 TILEPROP VBRK_X66Y110 SLR_REGION_ID 0 TILEPROP VBRK_X66Y110 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y110 TILE_TYPE VBRK TILEPROP VBRK_X66Y110 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y110 TILE_X 18976 TILEPROP VBRK_X66Y110 TILE_Y 98872 TILEPROP VBRK_X66Y110 TYPE VBRK TILEPROP VBRK_X66Y111 CLASS tile TILEPROP VBRK_X66Y111 COLUMN 66 TILEPROP VBRK_X66Y111 DEVICE_ID 0 TILEPROP VBRK_X66Y111 FIRST_SITE_ID 4487 TILEPROP VBRK_X66Y111 GRID_POINT_X 66 TILEPROP VBRK_X66Y111 GRID_POINT_Y 45 TILEPROP VBRK_X66Y111 INDEX 5241 TILEPROP VBRK_X66Y111 INT_TILE_X 25 TILEPROP VBRK_X66Y111 INT_TILE_Y 43 TILEPROP VBRK_X66Y111 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y111 IS_DCM_TILE 0 TILEPROP VBRK_X66Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y111 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y111 NAME VBRK_X66Y111 TILEPROP VBRK_X66Y111 NUM_ARCS 0 TILEPROP VBRK_X66Y111 NUM_SITES 0 TILEPROP VBRK_X66Y111 ROW 45 TILEPROP VBRK_X66Y111 SLR_REGION_ID 0 TILEPROP VBRK_X66Y111 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y111 TILE_TYPE VBRK TILEPROP VBRK_X66Y111 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y111 TILE_X 18976 TILEPROP VBRK_X66Y111 TILE_Y 102072 TILEPROP VBRK_X66Y111 TYPE VBRK TILEPROP VBRK_X66Y112 CLASS tile TILEPROP VBRK_X66Y112 COLUMN 66 TILEPROP VBRK_X66Y112 DEVICE_ID 0 TILEPROP VBRK_X66Y112 FIRST_SITE_ID 4399 TILEPROP VBRK_X66Y112 GRID_POINT_X 66 TILEPROP VBRK_X66Y112 GRID_POINT_Y 44 TILEPROP VBRK_X66Y112 INDEX 5126 TILEPROP VBRK_X66Y112 INT_TILE_X 25 TILEPROP VBRK_X66Y112 INT_TILE_Y 42 TILEPROP VBRK_X66Y112 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y112 IS_DCM_TILE 0 TILEPROP VBRK_X66Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y112 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y112 NAME VBRK_X66Y112 TILEPROP VBRK_X66Y112 NUM_ARCS 0 TILEPROP VBRK_X66Y112 NUM_SITES 0 TILEPROP VBRK_X66Y112 ROW 44 TILEPROP VBRK_X66Y112 SLR_REGION_ID 0 TILEPROP VBRK_X66Y112 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y112 TILE_TYPE VBRK TILEPROP VBRK_X66Y112 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y112 TILE_X 18976 TILEPROP VBRK_X66Y112 TILE_Y 105272 TILEPROP VBRK_X66Y112 TYPE VBRK TILEPROP VBRK_X66Y113 CLASS tile TILEPROP VBRK_X66Y113 COLUMN 66 TILEPROP VBRK_X66Y113 DEVICE_ID 0 TILEPROP VBRK_X66Y113 FIRST_SITE_ID 4301 TILEPROP VBRK_X66Y113 GRID_POINT_X 66 TILEPROP VBRK_X66Y113 GRID_POINT_Y 43 TILEPROP VBRK_X66Y113 INDEX 5011 TILEPROP VBRK_X66Y113 INT_TILE_X 25 TILEPROP VBRK_X66Y113 INT_TILE_Y 41 TILEPROP VBRK_X66Y113 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y113 IS_DCM_TILE 0 TILEPROP VBRK_X66Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y113 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y113 NAME VBRK_X66Y113 TILEPROP VBRK_X66Y113 NUM_ARCS 0 TILEPROP VBRK_X66Y113 NUM_SITES 0 TILEPROP VBRK_X66Y113 ROW 43 TILEPROP VBRK_X66Y113 SLR_REGION_ID 0 TILEPROP VBRK_X66Y113 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y113 TILE_TYPE VBRK TILEPROP VBRK_X66Y113 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y113 TILE_X 18976 TILEPROP VBRK_X66Y113 TILE_Y 108472 TILEPROP VBRK_X66Y113 TYPE VBRK TILEPROP VBRK_X66Y114 CLASS tile TILEPROP VBRK_X66Y114 COLUMN 66 TILEPROP VBRK_X66Y114 DEVICE_ID 0 TILEPROP VBRK_X66Y114 FIRST_SITE_ID 4212 TILEPROP VBRK_X66Y114 GRID_POINT_X 66 TILEPROP VBRK_X66Y114 GRID_POINT_Y 42 TILEPROP VBRK_X66Y114 INDEX 4896 TILEPROP VBRK_X66Y114 INT_TILE_X 25 TILEPROP VBRK_X66Y114 INT_TILE_Y 40 TILEPROP VBRK_X66Y114 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y114 IS_DCM_TILE 0 TILEPROP VBRK_X66Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y114 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y114 NAME VBRK_X66Y114 TILEPROP VBRK_X66Y114 NUM_ARCS 0 TILEPROP VBRK_X66Y114 NUM_SITES 0 TILEPROP VBRK_X66Y114 ROW 42 TILEPROP VBRK_X66Y114 SLR_REGION_ID 0 TILEPROP VBRK_X66Y114 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y114 TILE_TYPE VBRK TILEPROP VBRK_X66Y114 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y114 TILE_X 18976 TILEPROP VBRK_X66Y114 TILE_Y 111672 TILEPROP VBRK_X66Y114 TYPE VBRK TILEPROP VBRK_X66Y115 CLASS tile TILEPROP VBRK_X66Y115 COLUMN 66 TILEPROP VBRK_X66Y115 DEVICE_ID 0 TILEPROP VBRK_X66Y115 FIRST_SITE_ID 4112 TILEPROP VBRK_X66Y115 GRID_POINT_X 66 TILEPROP VBRK_X66Y115 GRID_POINT_Y 41 TILEPROP VBRK_X66Y115 INDEX 4781 TILEPROP VBRK_X66Y115 INT_TILE_X 25 TILEPROP VBRK_X66Y115 INT_TILE_Y 39 TILEPROP VBRK_X66Y115 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y115 IS_DCM_TILE 0 TILEPROP VBRK_X66Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y115 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y115 NAME VBRK_X66Y115 TILEPROP VBRK_X66Y115 NUM_ARCS 0 TILEPROP VBRK_X66Y115 NUM_SITES 0 TILEPROP VBRK_X66Y115 ROW 41 TILEPROP VBRK_X66Y115 SLR_REGION_ID 0 TILEPROP VBRK_X66Y115 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y115 TILE_TYPE VBRK TILEPROP VBRK_X66Y115 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y115 TILE_X 18976 TILEPROP VBRK_X66Y115 TILE_Y 114872 TILEPROP VBRK_X66Y115 TYPE VBRK TILEPROP VBRK_X66Y116 CLASS tile TILEPROP VBRK_X66Y116 COLUMN 66 TILEPROP VBRK_X66Y116 DEVICE_ID 0 TILEPROP VBRK_X66Y116 FIRST_SITE_ID 4018 TILEPROP VBRK_X66Y116 GRID_POINT_X 66 TILEPROP VBRK_X66Y116 GRID_POINT_Y 40 TILEPROP VBRK_X66Y116 INDEX 4666 TILEPROP VBRK_X66Y116 INT_TILE_X 25 TILEPROP VBRK_X66Y116 INT_TILE_Y 38 TILEPROP VBRK_X66Y116 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y116 IS_DCM_TILE 0 TILEPROP VBRK_X66Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y116 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y116 NAME VBRK_X66Y116 TILEPROP VBRK_X66Y116 NUM_ARCS 0 TILEPROP VBRK_X66Y116 NUM_SITES 0 TILEPROP VBRK_X66Y116 ROW 40 TILEPROP VBRK_X66Y116 SLR_REGION_ID 0 TILEPROP VBRK_X66Y116 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y116 TILE_TYPE VBRK TILEPROP VBRK_X66Y116 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y116 TILE_X 18976 TILEPROP VBRK_X66Y116 TILE_Y 118072 TILEPROP VBRK_X66Y116 TYPE VBRK TILEPROP VBRK_X66Y117 CLASS tile TILEPROP VBRK_X66Y117 COLUMN 66 TILEPROP VBRK_X66Y117 DEVICE_ID 0 TILEPROP VBRK_X66Y117 FIRST_SITE_ID 3922 TILEPROP VBRK_X66Y117 GRID_POINT_X 66 TILEPROP VBRK_X66Y117 GRID_POINT_Y 39 TILEPROP VBRK_X66Y117 INDEX 4551 TILEPROP VBRK_X66Y117 INT_TILE_X 25 TILEPROP VBRK_X66Y117 INT_TILE_Y 37 TILEPROP VBRK_X66Y117 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y117 IS_DCM_TILE 0 TILEPROP VBRK_X66Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y117 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y117 NAME VBRK_X66Y117 TILEPROP VBRK_X66Y117 NUM_ARCS 0 TILEPROP VBRK_X66Y117 NUM_SITES 0 TILEPROP VBRK_X66Y117 ROW 39 TILEPROP VBRK_X66Y117 SLR_REGION_ID 0 TILEPROP VBRK_X66Y117 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y117 TILE_TYPE VBRK TILEPROP VBRK_X66Y117 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y117 TILE_X 18976 TILEPROP VBRK_X66Y117 TILE_Y 121272 TILEPROP VBRK_X66Y117 TYPE VBRK TILEPROP VBRK_X66Y118 CLASS tile TILEPROP VBRK_X66Y118 COLUMN 66 TILEPROP VBRK_X66Y118 DEVICE_ID 0 TILEPROP VBRK_X66Y118 FIRST_SITE_ID 3802 TILEPROP VBRK_X66Y118 GRID_POINT_X 66 TILEPROP VBRK_X66Y118 GRID_POINT_Y 38 TILEPROP VBRK_X66Y118 INDEX 4436 TILEPROP VBRK_X66Y118 INT_TILE_X 25 TILEPROP VBRK_X66Y118 INT_TILE_Y 36 TILEPROP VBRK_X66Y118 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y118 IS_DCM_TILE 0 TILEPROP VBRK_X66Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y118 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y118 NAME VBRK_X66Y118 TILEPROP VBRK_X66Y118 NUM_ARCS 0 TILEPROP VBRK_X66Y118 NUM_SITES 0 TILEPROP VBRK_X66Y118 ROW 38 TILEPROP VBRK_X66Y118 SLR_REGION_ID 0 TILEPROP VBRK_X66Y118 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y118 TILE_TYPE VBRK TILEPROP VBRK_X66Y118 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y118 TILE_X 18976 TILEPROP VBRK_X66Y118 TILE_Y 124472 TILEPROP VBRK_X66Y118 TYPE VBRK TILEPROP VBRK_X66Y119 CLASS tile TILEPROP VBRK_X66Y119 COLUMN 66 TILEPROP VBRK_X66Y119 DEVICE_ID 0 TILEPROP VBRK_X66Y119 FIRST_SITE_ID 3706 TILEPROP VBRK_X66Y119 GRID_POINT_X 66 TILEPROP VBRK_X66Y119 GRID_POINT_Y 37 TILEPROP VBRK_X66Y119 INDEX 4321 TILEPROP VBRK_X66Y119 INT_TILE_X 25 TILEPROP VBRK_X66Y119 INT_TILE_Y 35 TILEPROP VBRK_X66Y119 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y119 IS_DCM_TILE 0 TILEPROP VBRK_X66Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y119 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y119 NAME VBRK_X66Y119 TILEPROP VBRK_X66Y119 NUM_ARCS 0 TILEPROP VBRK_X66Y119 NUM_SITES 0 TILEPROP VBRK_X66Y119 ROW 37 TILEPROP VBRK_X66Y119 SLR_REGION_ID 0 TILEPROP VBRK_X66Y119 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y119 TILE_TYPE VBRK TILEPROP VBRK_X66Y119 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y119 TILE_X 18976 TILEPROP VBRK_X66Y119 TILE_Y 127672 TILEPROP VBRK_X66Y119 TYPE VBRK TILEPROP VBRK_X66Y120 CLASS tile TILEPROP VBRK_X66Y120 COLUMN 66 TILEPROP VBRK_X66Y120 DEVICE_ID 0 TILEPROP VBRK_X66Y120 FIRST_SITE_ID 3615 TILEPROP VBRK_X66Y120 GRID_POINT_X 66 TILEPROP VBRK_X66Y120 GRID_POINT_Y 36 TILEPROP VBRK_X66Y120 INDEX 4206 TILEPROP VBRK_X66Y120 INT_TILE_X 25 TILEPROP VBRK_X66Y120 INT_TILE_Y 34 TILEPROP VBRK_X66Y120 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y120 IS_DCM_TILE 0 TILEPROP VBRK_X66Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y120 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y120 NAME VBRK_X66Y120 TILEPROP VBRK_X66Y120 NUM_ARCS 0 TILEPROP VBRK_X66Y120 NUM_SITES 0 TILEPROP VBRK_X66Y120 ROW 36 TILEPROP VBRK_X66Y120 SLR_REGION_ID 0 TILEPROP VBRK_X66Y120 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y120 TILE_TYPE VBRK TILEPROP VBRK_X66Y120 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y120 TILE_X 18976 TILEPROP VBRK_X66Y120 TILE_Y 130872 TILEPROP VBRK_X66Y120 TYPE VBRK TILEPROP VBRK_X66Y121 CLASS tile TILEPROP VBRK_X66Y121 COLUMN 66 TILEPROP VBRK_X66Y121 DEVICE_ID 0 TILEPROP VBRK_X66Y121 FIRST_SITE_ID 3508 TILEPROP VBRK_X66Y121 GRID_POINT_X 66 TILEPROP VBRK_X66Y121 GRID_POINT_Y 35 TILEPROP VBRK_X66Y121 INDEX 4091 TILEPROP VBRK_X66Y121 INT_TILE_X 25 TILEPROP VBRK_X66Y121 INT_TILE_Y 33 TILEPROP VBRK_X66Y121 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y121 IS_DCM_TILE 0 TILEPROP VBRK_X66Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y121 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y121 NAME VBRK_X66Y121 TILEPROP VBRK_X66Y121 NUM_ARCS 0 TILEPROP VBRK_X66Y121 NUM_SITES 0 TILEPROP VBRK_X66Y121 ROW 35 TILEPROP VBRK_X66Y121 SLR_REGION_ID 0 TILEPROP VBRK_X66Y121 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y121 TILE_TYPE VBRK TILEPROP VBRK_X66Y121 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y121 TILE_X 18976 TILEPROP VBRK_X66Y121 TILE_Y 134072 TILEPROP VBRK_X66Y121 TYPE VBRK TILEPROP VBRK_X66Y122 CLASS tile TILEPROP VBRK_X66Y122 COLUMN 66 TILEPROP VBRK_X66Y122 DEVICE_ID 0 TILEPROP VBRK_X66Y122 FIRST_SITE_ID 3420 TILEPROP VBRK_X66Y122 GRID_POINT_X 66 TILEPROP VBRK_X66Y122 GRID_POINT_Y 34 TILEPROP VBRK_X66Y122 INDEX 3976 TILEPROP VBRK_X66Y122 INT_TILE_X 25 TILEPROP VBRK_X66Y122 INT_TILE_Y 32 TILEPROP VBRK_X66Y122 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y122 IS_DCM_TILE 0 TILEPROP VBRK_X66Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y122 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y122 NAME VBRK_X66Y122 TILEPROP VBRK_X66Y122 NUM_ARCS 0 TILEPROP VBRK_X66Y122 NUM_SITES 0 TILEPROP VBRK_X66Y122 ROW 34 TILEPROP VBRK_X66Y122 SLR_REGION_ID 0 TILEPROP VBRK_X66Y122 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y122 TILE_TYPE VBRK TILEPROP VBRK_X66Y122 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y122 TILE_X 18976 TILEPROP VBRK_X66Y122 TILE_Y 137272 TILEPROP VBRK_X66Y122 TYPE VBRK TILEPROP VBRK_X66Y123 CLASS tile TILEPROP VBRK_X66Y123 COLUMN 66 TILEPROP VBRK_X66Y123 DEVICE_ID 0 TILEPROP VBRK_X66Y123 FIRST_SITE_ID 3320 TILEPROP VBRK_X66Y123 GRID_POINT_X 66 TILEPROP VBRK_X66Y123 GRID_POINT_Y 33 TILEPROP VBRK_X66Y123 INDEX 3861 TILEPROP VBRK_X66Y123 INT_TILE_X 25 TILEPROP VBRK_X66Y123 INT_TILE_Y 31 TILEPROP VBRK_X66Y123 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y123 IS_DCM_TILE 0 TILEPROP VBRK_X66Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y123 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y123 NAME VBRK_X66Y123 TILEPROP VBRK_X66Y123 NUM_ARCS 0 TILEPROP VBRK_X66Y123 NUM_SITES 0 TILEPROP VBRK_X66Y123 ROW 33 TILEPROP VBRK_X66Y123 SLR_REGION_ID 0 TILEPROP VBRK_X66Y123 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y123 TILE_TYPE VBRK TILEPROP VBRK_X66Y123 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y123 TILE_X 18976 TILEPROP VBRK_X66Y123 TILE_Y 140472 TILEPROP VBRK_X66Y123 TYPE VBRK TILEPROP VBRK_X66Y124 CLASS tile TILEPROP VBRK_X66Y124 COLUMN 66 TILEPROP VBRK_X66Y124 DEVICE_ID 0 TILEPROP VBRK_X66Y124 FIRST_SITE_ID 3232 TILEPROP VBRK_X66Y124 GRID_POINT_X 66 TILEPROP VBRK_X66Y124 GRID_POINT_Y 32 TILEPROP VBRK_X66Y124 INDEX 3746 TILEPROP VBRK_X66Y124 INT_TILE_X 25 TILEPROP VBRK_X66Y124 INT_TILE_Y 30 TILEPROP VBRK_X66Y124 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y124 IS_DCM_TILE 0 TILEPROP VBRK_X66Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y124 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y124 NAME VBRK_X66Y124 TILEPROP VBRK_X66Y124 NUM_ARCS 0 TILEPROP VBRK_X66Y124 NUM_SITES 0 TILEPROP VBRK_X66Y124 ROW 32 TILEPROP VBRK_X66Y124 SLR_REGION_ID 0 TILEPROP VBRK_X66Y124 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y124 TILE_TYPE VBRK TILEPROP VBRK_X66Y124 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y124 TILE_X 18976 TILEPROP VBRK_X66Y124 TILE_Y 143672 TILEPROP VBRK_X66Y124 TYPE VBRK TILEPROP VBRK_X66Y125 CLASS tile TILEPROP VBRK_X66Y125 COLUMN 66 TILEPROP VBRK_X66Y125 DEVICE_ID 0 TILEPROP VBRK_X66Y125 FIRST_SITE_ID 3131 TILEPROP VBRK_X66Y125 GRID_POINT_X 66 TILEPROP VBRK_X66Y125 GRID_POINT_Y 31 TILEPROP VBRK_X66Y125 INDEX 3631 TILEPROP VBRK_X66Y125 INT_TILE_X 25 TILEPROP VBRK_X66Y125 INT_TILE_Y 29 TILEPROP VBRK_X66Y125 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y125 IS_DCM_TILE 0 TILEPROP VBRK_X66Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y125 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y125 NAME VBRK_X66Y125 TILEPROP VBRK_X66Y125 NUM_ARCS 0 TILEPROP VBRK_X66Y125 NUM_SITES 0 TILEPROP VBRK_X66Y125 ROW 31 TILEPROP VBRK_X66Y125 SLR_REGION_ID 0 TILEPROP VBRK_X66Y125 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y125 TILE_TYPE VBRK TILEPROP VBRK_X66Y125 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y125 TILE_X 18976 TILEPROP VBRK_X66Y125 TILE_Y 146872 TILEPROP VBRK_X66Y125 TYPE VBRK TILEPROP VBRK_X66Y126 CLASS tile TILEPROP VBRK_X66Y126 COLUMN 66 TILEPROP VBRK_X66Y126 DEVICE_ID 0 TILEPROP VBRK_X66Y126 FIRST_SITE_ID 3037 TILEPROP VBRK_X66Y126 GRID_POINT_X 66 TILEPROP VBRK_X66Y126 GRID_POINT_Y 30 TILEPROP VBRK_X66Y126 INDEX 3516 TILEPROP VBRK_X66Y126 INT_TILE_X 25 TILEPROP VBRK_X66Y126 INT_TILE_Y 28 TILEPROP VBRK_X66Y126 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y126 IS_DCM_TILE 0 TILEPROP VBRK_X66Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y126 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y126 NAME VBRK_X66Y126 TILEPROP VBRK_X66Y126 NUM_ARCS 0 TILEPROP VBRK_X66Y126 NUM_SITES 0 TILEPROP VBRK_X66Y126 ROW 30 TILEPROP VBRK_X66Y126 SLR_REGION_ID 0 TILEPROP VBRK_X66Y126 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y126 TILE_TYPE VBRK TILEPROP VBRK_X66Y126 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y126 TILE_X 18976 TILEPROP VBRK_X66Y126 TILE_Y 150072 TILEPROP VBRK_X66Y126 TYPE VBRK TILEPROP VBRK_X66Y127 CLASS tile TILEPROP VBRK_X66Y127 COLUMN 66 TILEPROP VBRK_X66Y127 DEVICE_ID 0 TILEPROP VBRK_X66Y127 FIRST_SITE_ID 2934 TILEPROP VBRK_X66Y127 GRID_POINT_X 66 TILEPROP VBRK_X66Y127 GRID_POINT_Y 29 TILEPROP VBRK_X66Y127 INDEX 3401 TILEPROP VBRK_X66Y127 INT_TILE_X 25 TILEPROP VBRK_X66Y127 INT_TILE_Y 27 TILEPROP VBRK_X66Y127 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y127 IS_DCM_TILE 0 TILEPROP VBRK_X66Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y127 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y127 NAME VBRK_X66Y127 TILEPROP VBRK_X66Y127 NUM_ARCS 0 TILEPROP VBRK_X66Y127 NUM_SITES 0 TILEPROP VBRK_X66Y127 ROW 29 TILEPROP VBRK_X66Y127 SLR_REGION_ID 0 TILEPROP VBRK_X66Y127 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y127 TILE_TYPE VBRK TILEPROP VBRK_X66Y127 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y127 TILE_X 18976 TILEPROP VBRK_X66Y127 TILE_Y 153272 TILEPROP VBRK_X66Y127 TYPE VBRK TILEPROP VBRK_X66Y128 CLASS tile TILEPROP VBRK_X66Y128 COLUMN 66 TILEPROP VBRK_X66Y128 DEVICE_ID 0 TILEPROP VBRK_X66Y128 FIRST_SITE_ID 2846 TILEPROP VBRK_X66Y128 GRID_POINT_X 66 TILEPROP VBRK_X66Y128 GRID_POINT_Y 28 TILEPROP VBRK_X66Y128 INDEX 3286 TILEPROP VBRK_X66Y128 INT_TILE_X 25 TILEPROP VBRK_X66Y128 INT_TILE_Y 26 TILEPROP VBRK_X66Y128 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y128 IS_DCM_TILE 0 TILEPROP VBRK_X66Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y128 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y128 NAME VBRK_X66Y128 TILEPROP VBRK_X66Y128 NUM_ARCS 0 TILEPROP VBRK_X66Y128 NUM_SITES 0 TILEPROP VBRK_X66Y128 ROW 28 TILEPROP VBRK_X66Y128 SLR_REGION_ID 0 TILEPROP VBRK_X66Y128 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y128 TILE_TYPE VBRK TILEPROP VBRK_X66Y128 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y128 TILE_X 18976 TILEPROP VBRK_X66Y128 TILE_Y 156472 TILEPROP VBRK_X66Y128 TYPE VBRK TILEPROP VBRK_X66Y129 CLASS tile TILEPROP VBRK_X66Y129 COLUMN 66 TILEPROP VBRK_X66Y129 DEVICE_ID 0 TILEPROP VBRK_X66Y129 FIRST_SITE_ID 2750 TILEPROP VBRK_X66Y129 GRID_POINT_X 66 TILEPROP VBRK_X66Y129 GRID_POINT_Y 27 TILEPROP VBRK_X66Y129 INDEX 3171 TILEPROP VBRK_X66Y129 INT_TILE_X 25 TILEPROP VBRK_X66Y129 INT_TILE_Y 25 TILEPROP VBRK_X66Y129 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y129 IS_DCM_TILE 0 TILEPROP VBRK_X66Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y129 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y129 NAME VBRK_X66Y129 TILEPROP VBRK_X66Y129 NUM_ARCS 0 TILEPROP VBRK_X66Y129 NUM_SITES 0 TILEPROP VBRK_X66Y129 ROW 27 TILEPROP VBRK_X66Y129 SLR_REGION_ID 0 TILEPROP VBRK_X66Y129 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y129 TILE_TYPE VBRK TILEPROP VBRK_X66Y129 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y129 TILE_X 18976 TILEPROP VBRK_X66Y129 TILE_Y 159672 TILEPROP VBRK_X66Y129 TYPE VBRK TILEPROP VBRK_X66Y131 CLASS tile TILEPROP VBRK_X66Y131 COLUMN 66 TILEPROP VBRK_X66Y131 DEVICE_ID 0 TILEPROP VBRK_X66Y131 FIRST_SITE_ID 2577 TILEPROP VBRK_X66Y131 GRID_POINT_X 66 TILEPROP VBRK_X66Y131 GRID_POINT_Y 25 TILEPROP VBRK_X66Y131 INDEX 2941 TILEPROP VBRK_X66Y131 INT_TILE_X 25 TILEPROP VBRK_X66Y131 INT_TILE_Y 24 TILEPROP VBRK_X66Y131 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y131 IS_DCM_TILE 0 TILEPROP VBRK_X66Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y131 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y131 NAME VBRK_X66Y131 TILEPROP VBRK_X66Y131 NUM_ARCS 0 TILEPROP VBRK_X66Y131 NUM_SITES 0 TILEPROP VBRK_X66Y131 ROW 25 TILEPROP VBRK_X66Y131 SLR_REGION_ID 0 TILEPROP VBRK_X66Y131 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y131 TILE_TYPE VBRK TILEPROP VBRK_X66Y131 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y131 TILE_X 18976 TILEPROP VBRK_X66Y131 TILE_Y 163896 TILEPROP VBRK_X66Y131 TYPE VBRK TILEPROP VBRK_X66Y132 CLASS tile TILEPROP VBRK_X66Y132 COLUMN 66 TILEPROP VBRK_X66Y132 DEVICE_ID 0 TILEPROP VBRK_X66Y132 FIRST_SITE_ID 2467 TILEPROP VBRK_X66Y132 GRID_POINT_X 66 TILEPROP VBRK_X66Y132 GRID_POINT_Y 24 TILEPROP VBRK_X66Y132 INDEX 2826 TILEPROP VBRK_X66Y132 INT_TILE_X 25 TILEPROP VBRK_X66Y132 INT_TILE_Y 23 TILEPROP VBRK_X66Y132 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y132 IS_DCM_TILE 0 TILEPROP VBRK_X66Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y132 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y132 NAME VBRK_X66Y132 TILEPROP VBRK_X66Y132 NUM_ARCS 0 TILEPROP VBRK_X66Y132 NUM_SITES 0 TILEPROP VBRK_X66Y132 ROW 24 TILEPROP VBRK_X66Y132 SLR_REGION_ID 0 TILEPROP VBRK_X66Y132 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y132 TILE_TYPE VBRK TILEPROP VBRK_X66Y132 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y132 TILE_X 18976 TILEPROP VBRK_X66Y132 TILE_Y 167096 TILEPROP VBRK_X66Y132 TYPE VBRK TILEPROP VBRK_X66Y133 CLASS tile TILEPROP VBRK_X66Y133 COLUMN 66 TILEPROP VBRK_X66Y133 DEVICE_ID 0 TILEPROP VBRK_X66Y133 FIRST_SITE_ID 2371 TILEPROP VBRK_X66Y133 GRID_POINT_X 66 TILEPROP VBRK_X66Y133 GRID_POINT_Y 23 TILEPROP VBRK_X66Y133 INDEX 2711 TILEPROP VBRK_X66Y133 INT_TILE_X 25 TILEPROP VBRK_X66Y133 INT_TILE_Y 22 TILEPROP VBRK_X66Y133 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y133 IS_DCM_TILE 0 TILEPROP VBRK_X66Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y133 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y133 NAME VBRK_X66Y133 TILEPROP VBRK_X66Y133 NUM_ARCS 0 TILEPROP VBRK_X66Y133 NUM_SITES 0 TILEPROP VBRK_X66Y133 ROW 23 TILEPROP VBRK_X66Y133 SLR_REGION_ID 0 TILEPROP VBRK_X66Y133 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y133 TILE_TYPE VBRK TILEPROP VBRK_X66Y133 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y133 TILE_X 18976 TILEPROP VBRK_X66Y133 TILE_Y 170296 TILEPROP VBRK_X66Y133 TYPE VBRK TILEPROP VBRK_X66Y134 CLASS tile TILEPROP VBRK_X66Y134 COLUMN 66 TILEPROP VBRK_X66Y134 DEVICE_ID 0 TILEPROP VBRK_X66Y134 FIRST_SITE_ID 2267 TILEPROP VBRK_X66Y134 GRID_POINT_X 66 TILEPROP VBRK_X66Y134 GRID_POINT_Y 22 TILEPROP VBRK_X66Y134 INDEX 2596 TILEPROP VBRK_X66Y134 INT_TILE_X 25 TILEPROP VBRK_X66Y134 INT_TILE_Y 21 TILEPROP VBRK_X66Y134 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y134 IS_DCM_TILE 0 TILEPROP VBRK_X66Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y134 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y134 NAME VBRK_X66Y134 TILEPROP VBRK_X66Y134 NUM_ARCS 0 TILEPROP VBRK_X66Y134 NUM_SITES 0 TILEPROP VBRK_X66Y134 ROW 22 TILEPROP VBRK_X66Y134 SLR_REGION_ID 0 TILEPROP VBRK_X66Y134 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y134 TILE_TYPE VBRK TILEPROP VBRK_X66Y134 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y134 TILE_X 18976 TILEPROP VBRK_X66Y134 TILE_Y 173496 TILEPROP VBRK_X66Y134 TYPE VBRK TILEPROP VBRK_X66Y135 CLASS tile TILEPROP VBRK_X66Y135 COLUMN 66 TILEPROP VBRK_X66Y135 DEVICE_ID 0 TILEPROP VBRK_X66Y135 FIRST_SITE_ID 2171 TILEPROP VBRK_X66Y135 GRID_POINT_X 66 TILEPROP VBRK_X66Y135 GRID_POINT_Y 21 TILEPROP VBRK_X66Y135 INDEX 2481 TILEPROP VBRK_X66Y135 INT_TILE_X 25 TILEPROP VBRK_X66Y135 INT_TILE_Y 20 TILEPROP VBRK_X66Y135 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y135 IS_DCM_TILE 0 TILEPROP VBRK_X66Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y135 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y135 NAME VBRK_X66Y135 TILEPROP VBRK_X66Y135 NUM_ARCS 0 TILEPROP VBRK_X66Y135 NUM_SITES 0 TILEPROP VBRK_X66Y135 ROW 21 TILEPROP VBRK_X66Y135 SLR_REGION_ID 0 TILEPROP VBRK_X66Y135 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y135 TILE_TYPE VBRK TILEPROP VBRK_X66Y135 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y135 TILE_X 18976 TILEPROP VBRK_X66Y135 TILE_Y 176696 TILEPROP VBRK_X66Y135 TYPE VBRK TILEPROP VBRK_X66Y136 CLASS tile TILEPROP VBRK_X66Y136 COLUMN 66 TILEPROP VBRK_X66Y136 DEVICE_ID 0 TILEPROP VBRK_X66Y136 FIRST_SITE_ID 2055 TILEPROP VBRK_X66Y136 GRID_POINT_X 66 TILEPROP VBRK_X66Y136 GRID_POINT_Y 20 TILEPROP VBRK_X66Y136 INDEX 2366 TILEPROP VBRK_X66Y136 INT_TILE_X 25 TILEPROP VBRK_X66Y136 INT_TILE_Y 19 TILEPROP VBRK_X66Y136 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y136 IS_DCM_TILE 0 TILEPROP VBRK_X66Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y136 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y136 NAME VBRK_X66Y136 TILEPROP VBRK_X66Y136 NUM_ARCS 0 TILEPROP VBRK_X66Y136 NUM_SITES 0 TILEPROP VBRK_X66Y136 ROW 20 TILEPROP VBRK_X66Y136 SLR_REGION_ID 0 TILEPROP VBRK_X66Y136 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y136 TILE_TYPE VBRK TILEPROP VBRK_X66Y136 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y136 TILE_X 18976 TILEPROP VBRK_X66Y136 TILE_Y 179896 TILEPROP VBRK_X66Y136 TYPE VBRK TILEPROP VBRK_X66Y137 CLASS tile TILEPROP VBRK_X66Y137 COLUMN 66 TILEPROP VBRK_X66Y137 DEVICE_ID 0 TILEPROP VBRK_X66Y137 FIRST_SITE_ID 1953 TILEPROP VBRK_X66Y137 GRID_POINT_X 66 TILEPROP VBRK_X66Y137 GRID_POINT_Y 19 TILEPROP VBRK_X66Y137 INDEX 2251 TILEPROP VBRK_X66Y137 INT_TILE_X 25 TILEPROP VBRK_X66Y137 INT_TILE_Y 18 TILEPROP VBRK_X66Y137 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y137 IS_DCM_TILE 0 TILEPROP VBRK_X66Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y137 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y137 NAME VBRK_X66Y137 TILEPROP VBRK_X66Y137 NUM_ARCS 0 TILEPROP VBRK_X66Y137 NUM_SITES 0 TILEPROP VBRK_X66Y137 ROW 19 TILEPROP VBRK_X66Y137 SLR_REGION_ID 0 TILEPROP VBRK_X66Y137 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y137 TILE_TYPE VBRK TILEPROP VBRK_X66Y137 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y137 TILE_X 18976 TILEPROP VBRK_X66Y137 TILE_Y 183096 TILEPROP VBRK_X66Y137 TYPE VBRK TILEPROP VBRK_X66Y138 CLASS tile TILEPROP VBRK_X66Y138 COLUMN 66 TILEPROP VBRK_X66Y138 DEVICE_ID 0 TILEPROP VBRK_X66Y138 FIRST_SITE_ID 1847 TILEPROP VBRK_X66Y138 GRID_POINT_X 66 TILEPROP VBRK_X66Y138 GRID_POINT_Y 18 TILEPROP VBRK_X66Y138 INDEX 2136 TILEPROP VBRK_X66Y138 INT_TILE_X 25 TILEPROP VBRK_X66Y138 INT_TILE_Y 17 TILEPROP VBRK_X66Y138 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y138 IS_DCM_TILE 0 TILEPROP VBRK_X66Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y138 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y138 NAME VBRK_X66Y138 TILEPROP VBRK_X66Y138 NUM_ARCS 0 TILEPROP VBRK_X66Y138 NUM_SITES 0 TILEPROP VBRK_X66Y138 ROW 18 TILEPROP VBRK_X66Y138 SLR_REGION_ID 0 TILEPROP VBRK_X66Y138 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y138 TILE_TYPE VBRK TILEPROP VBRK_X66Y138 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y138 TILE_X 18976 TILEPROP VBRK_X66Y138 TILE_Y 186296 TILEPROP VBRK_X66Y138 TYPE VBRK TILEPROP VBRK_X66Y139 CLASS tile TILEPROP VBRK_X66Y139 COLUMN 66 TILEPROP VBRK_X66Y139 DEVICE_ID 0 TILEPROP VBRK_X66Y139 FIRST_SITE_ID 1746 TILEPROP VBRK_X66Y139 GRID_POINT_X 66 TILEPROP VBRK_X66Y139 GRID_POINT_Y 17 TILEPROP VBRK_X66Y139 INDEX 2021 TILEPROP VBRK_X66Y139 INT_TILE_X 25 TILEPROP VBRK_X66Y139 INT_TILE_Y 16 TILEPROP VBRK_X66Y139 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y139 IS_DCM_TILE 0 TILEPROP VBRK_X66Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y139 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y139 NAME VBRK_X66Y139 TILEPROP VBRK_X66Y139 NUM_ARCS 0 TILEPROP VBRK_X66Y139 NUM_SITES 0 TILEPROP VBRK_X66Y139 ROW 17 TILEPROP VBRK_X66Y139 SLR_REGION_ID 0 TILEPROP VBRK_X66Y139 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y139 TILE_TYPE VBRK TILEPROP VBRK_X66Y139 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y139 TILE_X 18976 TILEPROP VBRK_X66Y139 TILE_Y 189496 TILEPROP VBRK_X66Y139 TYPE VBRK TILEPROP VBRK_X66Y140 CLASS tile TILEPROP VBRK_X66Y140 COLUMN 66 TILEPROP VBRK_X66Y140 DEVICE_ID 0 TILEPROP VBRK_X66Y140 FIRST_SITE_ID 1642 TILEPROP VBRK_X66Y140 GRID_POINT_X 66 TILEPROP VBRK_X66Y140 GRID_POINT_Y 16 TILEPROP VBRK_X66Y140 INDEX 1906 TILEPROP VBRK_X66Y140 INT_TILE_X 25 TILEPROP VBRK_X66Y140 INT_TILE_Y 15 TILEPROP VBRK_X66Y140 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y140 IS_DCM_TILE 0 TILEPROP VBRK_X66Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y140 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y140 NAME VBRK_X66Y140 TILEPROP VBRK_X66Y140 NUM_ARCS 0 TILEPROP VBRK_X66Y140 NUM_SITES 0 TILEPROP VBRK_X66Y140 ROW 16 TILEPROP VBRK_X66Y140 SLR_REGION_ID 0 TILEPROP VBRK_X66Y140 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y140 TILE_TYPE VBRK TILEPROP VBRK_X66Y140 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y140 TILE_X 18976 TILEPROP VBRK_X66Y140 TILE_Y 192696 TILEPROP VBRK_X66Y140 TYPE VBRK TILEPROP VBRK_X66Y141 CLASS tile TILEPROP VBRK_X66Y141 COLUMN 66 TILEPROP VBRK_X66Y141 DEVICE_ID 0 TILEPROP VBRK_X66Y141 FIRST_SITE_ID 1540 TILEPROP VBRK_X66Y141 GRID_POINT_X 66 TILEPROP VBRK_X66Y141 GRID_POINT_Y 15 TILEPROP VBRK_X66Y141 INDEX 1791 TILEPROP VBRK_X66Y141 INT_TILE_X 25 TILEPROP VBRK_X66Y141 INT_TILE_Y 14 TILEPROP VBRK_X66Y141 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y141 IS_DCM_TILE 0 TILEPROP VBRK_X66Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y141 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y141 NAME VBRK_X66Y141 TILEPROP VBRK_X66Y141 NUM_ARCS 0 TILEPROP VBRK_X66Y141 NUM_SITES 0 TILEPROP VBRK_X66Y141 ROW 15 TILEPROP VBRK_X66Y141 SLR_REGION_ID 0 TILEPROP VBRK_X66Y141 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y141 TILE_TYPE VBRK TILEPROP VBRK_X66Y141 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y141 TILE_X 18976 TILEPROP VBRK_X66Y141 TILE_Y 195896 TILEPROP VBRK_X66Y141 TYPE VBRK TILEPROP VBRK_X66Y142 CLASS tile TILEPROP VBRK_X66Y142 COLUMN 66 TILEPROP VBRK_X66Y142 DEVICE_ID 0 TILEPROP VBRK_X66Y142 FIRST_SITE_ID 1430 TILEPROP VBRK_X66Y142 GRID_POINT_X 66 TILEPROP VBRK_X66Y142 GRID_POINT_Y 14 TILEPROP VBRK_X66Y142 INDEX 1676 TILEPROP VBRK_X66Y142 INT_TILE_X 25 TILEPROP VBRK_X66Y142 INT_TILE_Y 13 TILEPROP VBRK_X66Y142 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y142 IS_DCM_TILE 0 TILEPROP VBRK_X66Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y142 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y142 NAME VBRK_X66Y142 TILEPROP VBRK_X66Y142 NUM_ARCS 0 TILEPROP VBRK_X66Y142 NUM_SITES 0 TILEPROP VBRK_X66Y142 ROW 14 TILEPROP VBRK_X66Y142 SLR_REGION_ID 0 TILEPROP VBRK_X66Y142 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y142 TILE_TYPE VBRK TILEPROP VBRK_X66Y142 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y142 TILE_X 18976 TILEPROP VBRK_X66Y142 TILE_Y 199096 TILEPROP VBRK_X66Y142 TYPE VBRK TILEPROP VBRK_X66Y143 CLASS tile TILEPROP VBRK_X66Y143 COLUMN 66 TILEPROP VBRK_X66Y143 DEVICE_ID 0 TILEPROP VBRK_X66Y143 FIRST_SITE_ID 1302 TILEPROP VBRK_X66Y143 GRID_POINT_X 66 TILEPROP VBRK_X66Y143 GRID_POINT_Y 13 TILEPROP VBRK_X66Y143 INDEX 1561 TILEPROP VBRK_X66Y143 INT_TILE_X 25 TILEPROP VBRK_X66Y143 INT_TILE_Y 12 TILEPROP VBRK_X66Y143 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y143 IS_DCM_TILE 0 TILEPROP VBRK_X66Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y143 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y143 NAME VBRK_X66Y143 TILEPROP VBRK_X66Y143 NUM_ARCS 0 TILEPROP VBRK_X66Y143 NUM_SITES 0 TILEPROP VBRK_X66Y143 ROW 13 TILEPROP VBRK_X66Y143 SLR_REGION_ID 0 TILEPROP VBRK_X66Y143 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y143 TILE_TYPE VBRK TILEPROP VBRK_X66Y143 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y143 TILE_X 18976 TILEPROP VBRK_X66Y143 TILE_Y 202296 TILEPROP VBRK_X66Y143 TYPE VBRK TILEPROP VBRK_X66Y144 CLASS tile TILEPROP VBRK_X66Y144 COLUMN 66 TILEPROP VBRK_X66Y144 DEVICE_ID 0 TILEPROP VBRK_X66Y144 FIRST_SITE_ID 1198 TILEPROP VBRK_X66Y144 GRID_POINT_X 66 TILEPROP VBRK_X66Y144 GRID_POINT_Y 12 TILEPROP VBRK_X66Y144 INDEX 1446 TILEPROP VBRK_X66Y144 INT_TILE_X 25 TILEPROP VBRK_X66Y144 INT_TILE_Y 11 TILEPROP VBRK_X66Y144 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y144 IS_DCM_TILE 0 TILEPROP VBRK_X66Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y144 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y144 NAME VBRK_X66Y144 TILEPROP VBRK_X66Y144 NUM_ARCS 0 TILEPROP VBRK_X66Y144 NUM_SITES 0 TILEPROP VBRK_X66Y144 ROW 12 TILEPROP VBRK_X66Y144 SLR_REGION_ID 0 TILEPROP VBRK_X66Y144 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y144 TILE_TYPE VBRK TILEPROP VBRK_X66Y144 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y144 TILE_X 18976 TILEPROP VBRK_X66Y144 TILE_Y 205496 TILEPROP VBRK_X66Y144 TYPE VBRK TILEPROP VBRK_X66Y145 CLASS tile TILEPROP VBRK_X66Y145 COLUMN 66 TILEPROP VBRK_X66Y145 DEVICE_ID 0 TILEPROP VBRK_X66Y145 FIRST_SITE_ID 1102 TILEPROP VBRK_X66Y145 GRID_POINT_X 66 TILEPROP VBRK_X66Y145 GRID_POINT_Y 11 TILEPROP VBRK_X66Y145 INDEX 1331 TILEPROP VBRK_X66Y145 INT_TILE_X 25 TILEPROP VBRK_X66Y145 INT_TILE_Y 10 TILEPROP VBRK_X66Y145 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y145 IS_DCM_TILE 0 TILEPROP VBRK_X66Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y145 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y145 NAME VBRK_X66Y145 TILEPROP VBRK_X66Y145 NUM_ARCS 0 TILEPROP VBRK_X66Y145 NUM_SITES 0 TILEPROP VBRK_X66Y145 ROW 11 TILEPROP VBRK_X66Y145 SLR_REGION_ID 0 TILEPROP VBRK_X66Y145 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y145 TILE_TYPE VBRK TILEPROP VBRK_X66Y145 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y145 TILE_X 18976 TILEPROP VBRK_X66Y145 TILE_Y 208696 TILEPROP VBRK_X66Y145 TYPE VBRK TILEPROP VBRK_X66Y146 CLASS tile TILEPROP VBRK_X66Y146 COLUMN 66 TILEPROP VBRK_X66Y146 DEVICE_ID 0 TILEPROP VBRK_X66Y146 FIRST_SITE_ID 992 TILEPROP VBRK_X66Y146 GRID_POINT_X 66 TILEPROP VBRK_X66Y146 GRID_POINT_Y 10 TILEPROP VBRK_X66Y146 INDEX 1216 TILEPROP VBRK_X66Y146 INT_TILE_X 25 TILEPROP VBRK_X66Y146 INT_TILE_Y 9 TILEPROP VBRK_X66Y146 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y146 IS_DCM_TILE 0 TILEPROP VBRK_X66Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y146 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y146 NAME VBRK_X66Y146 TILEPROP VBRK_X66Y146 NUM_ARCS 0 TILEPROP VBRK_X66Y146 NUM_SITES 0 TILEPROP VBRK_X66Y146 ROW 10 TILEPROP VBRK_X66Y146 SLR_REGION_ID 0 TILEPROP VBRK_X66Y146 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y146 TILE_TYPE VBRK TILEPROP VBRK_X66Y146 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y146 TILE_X 18976 TILEPROP VBRK_X66Y146 TILE_Y 211896 TILEPROP VBRK_X66Y146 TYPE VBRK TILEPROP VBRK_X66Y147 CLASS tile TILEPROP VBRK_X66Y147 COLUMN 66 TILEPROP VBRK_X66Y147 DEVICE_ID 0 TILEPROP VBRK_X66Y147 FIRST_SITE_ID 890 TILEPROP VBRK_X66Y147 GRID_POINT_X 66 TILEPROP VBRK_X66Y147 GRID_POINT_Y 9 TILEPROP VBRK_X66Y147 INDEX 1101 TILEPROP VBRK_X66Y147 INT_TILE_X 25 TILEPROP VBRK_X66Y147 INT_TILE_Y 8 TILEPROP VBRK_X66Y147 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y147 IS_DCM_TILE 0 TILEPROP VBRK_X66Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y147 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y147 NAME VBRK_X66Y147 TILEPROP VBRK_X66Y147 NUM_ARCS 0 TILEPROP VBRK_X66Y147 NUM_SITES 0 TILEPROP VBRK_X66Y147 ROW 9 TILEPROP VBRK_X66Y147 SLR_REGION_ID 0 TILEPROP VBRK_X66Y147 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y147 TILE_TYPE VBRK TILEPROP VBRK_X66Y147 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y147 TILE_X 18976 TILEPROP VBRK_X66Y147 TILE_Y 215096 TILEPROP VBRK_X66Y147 TYPE VBRK TILEPROP VBRK_X66Y148 CLASS tile TILEPROP VBRK_X66Y148 COLUMN 66 TILEPROP VBRK_X66Y148 DEVICE_ID 0 TILEPROP VBRK_X66Y148 FIRST_SITE_ID 786 TILEPROP VBRK_X66Y148 GRID_POINT_X 66 TILEPROP VBRK_X66Y148 GRID_POINT_Y 8 TILEPROP VBRK_X66Y148 INDEX 986 TILEPROP VBRK_X66Y148 INT_TILE_X 25 TILEPROP VBRK_X66Y148 INT_TILE_Y 7 TILEPROP VBRK_X66Y148 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y148 IS_DCM_TILE 0 TILEPROP VBRK_X66Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y148 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y148 NAME VBRK_X66Y148 TILEPROP VBRK_X66Y148 NUM_ARCS 0 TILEPROP VBRK_X66Y148 NUM_SITES 0 TILEPROP VBRK_X66Y148 ROW 8 TILEPROP VBRK_X66Y148 SLR_REGION_ID 0 TILEPROP VBRK_X66Y148 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y148 TILE_TYPE VBRK TILEPROP VBRK_X66Y148 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y148 TILE_X 18976 TILEPROP VBRK_X66Y148 TILE_Y 218296 TILEPROP VBRK_X66Y148 TYPE VBRK TILEPROP VBRK_X66Y149 CLASS tile TILEPROP VBRK_X66Y149 COLUMN 66 TILEPROP VBRK_X66Y149 DEVICE_ID 0 TILEPROP VBRK_X66Y149 FIRST_SITE_ID 689 TILEPROP VBRK_X66Y149 GRID_POINT_X 66 TILEPROP VBRK_X66Y149 GRID_POINT_Y 7 TILEPROP VBRK_X66Y149 INDEX 871 TILEPROP VBRK_X66Y149 INT_TILE_X 25 TILEPROP VBRK_X66Y149 INT_TILE_Y 6 TILEPROP VBRK_X66Y149 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y149 IS_DCM_TILE 0 TILEPROP VBRK_X66Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y149 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y149 NAME VBRK_X66Y149 TILEPROP VBRK_X66Y149 NUM_ARCS 0 TILEPROP VBRK_X66Y149 NUM_SITES 0 TILEPROP VBRK_X66Y149 ROW 7 TILEPROP VBRK_X66Y149 SLR_REGION_ID 0 TILEPROP VBRK_X66Y149 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y149 TILE_TYPE VBRK TILEPROP VBRK_X66Y149 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y149 TILE_X 18976 TILEPROP VBRK_X66Y149 TILE_Y 221496 TILEPROP VBRK_X66Y149 TYPE VBRK TILEPROP VBRK_X66Y150 CLASS tile TILEPROP VBRK_X66Y150 COLUMN 66 TILEPROP VBRK_X66Y150 DEVICE_ID 0 TILEPROP VBRK_X66Y150 FIRST_SITE_ID 578 TILEPROP VBRK_X66Y150 GRID_POINT_X 66 TILEPROP VBRK_X66Y150 GRID_POINT_Y 6 TILEPROP VBRK_X66Y150 INDEX 756 TILEPROP VBRK_X66Y150 INT_TILE_X 25 TILEPROP VBRK_X66Y150 INT_TILE_Y 5 TILEPROP VBRK_X66Y150 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y150 IS_DCM_TILE 0 TILEPROP VBRK_X66Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y150 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y150 NAME VBRK_X66Y150 TILEPROP VBRK_X66Y150 NUM_ARCS 0 TILEPROP VBRK_X66Y150 NUM_SITES 0 TILEPROP VBRK_X66Y150 ROW 6 TILEPROP VBRK_X66Y150 SLR_REGION_ID 0 TILEPROP VBRK_X66Y150 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y150 TILE_TYPE VBRK TILEPROP VBRK_X66Y150 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y150 TILE_X 18976 TILEPROP VBRK_X66Y150 TILE_Y 224696 TILEPROP VBRK_X66Y150 TYPE VBRK TILEPROP VBRK_X66Y151 CLASS tile TILEPROP VBRK_X66Y151 COLUMN 66 TILEPROP VBRK_X66Y151 DEVICE_ID 0 TILEPROP VBRK_X66Y151 FIRST_SITE_ID 476 TILEPROP VBRK_X66Y151 GRID_POINT_X 66 TILEPROP VBRK_X66Y151 GRID_POINT_Y 5 TILEPROP VBRK_X66Y151 INDEX 641 TILEPROP VBRK_X66Y151 INT_TILE_X 25 TILEPROP VBRK_X66Y151 INT_TILE_Y 4 TILEPROP VBRK_X66Y151 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y151 IS_DCM_TILE 0 TILEPROP VBRK_X66Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y151 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y151 NAME VBRK_X66Y151 TILEPROP VBRK_X66Y151 NUM_ARCS 0 TILEPROP VBRK_X66Y151 NUM_SITES 0 TILEPROP VBRK_X66Y151 ROW 5 TILEPROP VBRK_X66Y151 SLR_REGION_ID 0 TILEPROP VBRK_X66Y151 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y151 TILE_TYPE VBRK TILEPROP VBRK_X66Y151 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y151 TILE_X 18976 TILEPROP VBRK_X66Y151 TILE_Y 227896 TILEPROP VBRK_X66Y151 TYPE VBRK TILEPROP VBRK_X66Y152 CLASS tile TILEPROP VBRK_X66Y152 COLUMN 66 TILEPROP VBRK_X66Y152 DEVICE_ID 0 TILEPROP VBRK_X66Y152 FIRST_SITE_ID 366 TILEPROP VBRK_X66Y152 GRID_POINT_X 66 TILEPROP VBRK_X66Y152 GRID_POINT_Y 4 TILEPROP VBRK_X66Y152 INDEX 526 TILEPROP VBRK_X66Y152 INT_TILE_X 25 TILEPROP VBRK_X66Y152 INT_TILE_Y 3 TILEPROP VBRK_X66Y152 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y152 IS_DCM_TILE 0 TILEPROP VBRK_X66Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y152 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y152 NAME VBRK_X66Y152 TILEPROP VBRK_X66Y152 NUM_ARCS 0 TILEPROP VBRK_X66Y152 NUM_SITES 0 TILEPROP VBRK_X66Y152 ROW 4 TILEPROP VBRK_X66Y152 SLR_REGION_ID 0 TILEPROP VBRK_X66Y152 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y152 TILE_TYPE VBRK TILEPROP VBRK_X66Y152 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y152 TILE_X 18976 TILEPROP VBRK_X66Y152 TILE_Y 231096 TILEPROP VBRK_X66Y152 TYPE VBRK TILEPROP VBRK_X66Y153 CLASS tile TILEPROP VBRK_X66Y153 COLUMN 66 TILEPROP VBRK_X66Y153 DEVICE_ID 0 TILEPROP VBRK_X66Y153 FIRST_SITE_ID 270 TILEPROP VBRK_X66Y153 GRID_POINT_X 66 TILEPROP VBRK_X66Y153 GRID_POINT_Y 3 TILEPROP VBRK_X66Y153 INDEX 411 TILEPROP VBRK_X66Y153 INT_TILE_X 25 TILEPROP VBRK_X66Y153 INT_TILE_Y 2 TILEPROP VBRK_X66Y153 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y153 IS_DCM_TILE 0 TILEPROP VBRK_X66Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y153 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y153 NAME VBRK_X66Y153 TILEPROP VBRK_X66Y153 NUM_ARCS 0 TILEPROP VBRK_X66Y153 NUM_SITES 0 TILEPROP VBRK_X66Y153 ROW 3 TILEPROP VBRK_X66Y153 SLR_REGION_ID 0 TILEPROP VBRK_X66Y153 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y153 TILE_TYPE VBRK TILEPROP VBRK_X66Y153 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y153 TILE_X 18976 TILEPROP VBRK_X66Y153 TILE_Y 234296 TILEPROP VBRK_X66Y153 TYPE VBRK TILEPROP VBRK_X66Y154 CLASS tile TILEPROP VBRK_X66Y154 COLUMN 66 TILEPROP VBRK_X66Y154 DEVICE_ID 0 TILEPROP VBRK_X66Y154 FIRST_SITE_ID 166 TILEPROP VBRK_X66Y154 GRID_POINT_X 66 TILEPROP VBRK_X66Y154 GRID_POINT_Y 2 TILEPROP VBRK_X66Y154 INDEX 296 TILEPROP VBRK_X66Y154 INT_TILE_X 25 TILEPROP VBRK_X66Y154 INT_TILE_Y 1 TILEPROP VBRK_X66Y154 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y154 IS_DCM_TILE 0 TILEPROP VBRK_X66Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y154 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y154 NAME VBRK_X66Y154 TILEPROP VBRK_X66Y154 NUM_ARCS 0 TILEPROP VBRK_X66Y154 NUM_SITES 0 TILEPROP VBRK_X66Y154 ROW 2 TILEPROP VBRK_X66Y154 SLR_REGION_ID 0 TILEPROP VBRK_X66Y154 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y154 TILE_TYPE VBRK TILEPROP VBRK_X66Y154 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y154 TILE_X 18976 TILEPROP VBRK_X66Y154 TILE_Y 237496 TILEPROP VBRK_X66Y154 TYPE VBRK TILEPROP VBRK_X66Y155 CLASS tile TILEPROP VBRK_X66Y155 COLUMN 66 TILEPROP VBRK_X66Y155 DEVICE_ID 0 TILEPROP VBRK_X66Y155 FIRST_SITE_ID 70 TILEPROP VBRK_X66Y155 GRID_POINT_X 66 TILEPROP VBRK_X66Y155 GRID_POINT_Y 1 TILEPROP VBRK_X66Y155 INDEX 181 TILEPROP VBRK_X66Y155 INT_TILE_X 25 TILEPROP VBRK_X66Y155 INT_TILE_Y 0 TILEPROP VBRK_X66Y155 IS_CENTER_TILE 0 TILEPROP VBRK_X66Y155 IS_DCM_TILE 0 TILEPROP VBRK_X66Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X66Y155 IS_GT_SITE_TILE 0 TILEPROP VBRK_X66Y155 NAME VBRK_X66Y155 TILEPROP VBRK_X66Y155 NUM_ARCS 0 TILEPROP VBRK_X66Y155 NUM_SITES 0 TILEPROP VBRK_X66Y155 ROW 1 TILEPROP VBRK_X66Y155 SLR_REGION_ID 0 TILEPROP VBRK_X66Y155 TILE_PATTERN_IDX 12 TILEPROP VBRK_X66Y155 TILE_TYPE VBRK TILEPROP VBRK_X66Y155 TILE_TYPE_INDEX 128 TILEPROP VBRK_X66Y155 TILE_X 18976 TILEPROP VBRK_X66Y155 TILE_Y 240696 TILEPROP VBRK_X66Y155 TYPE VBRK TILEPROP VBRK_X80Y1 CLASS tile TILEPROP VBRK_X80Y1 COLUMN 80 TILEPROP VBRK_X80Y1 DEVICE_ID 0 TILEPROP VBRK_X80Y1 FIRST_SITE_ID 15779 TILEPROP VBRK_X80Y1 GRID_POINT_X 80 TILEPROP VBRK_X80Y1 GRID_POINT_Y 155 TILEPROP VBRK_X80Y1 INDEX 17905 TILEPROP VBRK_X80Y1 INT_TILE_X 31 TILEPROP VBRK_X80Y1 INT_TILE_Y 149 TILEPROP VBRK_X80Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y1 IS_DCM_TILE 0 TILEPROP VBRK_X80Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y1 NAME VBRK_X80Y1 TILEPROP VBRK_X80Y1 NUM_ARCS 0 TILEPROP VBRK_X80Y1 NUM_SITES 0 TILEPROP VBRK_X80Y1 ROW 155 TILEPROP VBRK_X80Y1 SLR_REGION_ID 0 TILEPROP VBRK_X80Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y1 TILE_TYPE VBRK TILEPROP VBRK_X80Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y1 TILE_X 47696 TILEPROP VBRK_X80Y1 TILE_Y -239672 TILEPROP VBRK_X80Y1 TYPE VBRK TILEPROP VBRK_X80Y2 CLASS tile TILEPROP VBRK_X80Y2 COLUMN 80 TILEPROP VBRK_X80Y2 DEVICE_ID 0 TILEPROP VBRK_X80Y2 FIRST_SITE_ID 15666 TILEPROP VBRK_X80Y2 GRID_POINT_X 80 TILEPROP VBRK_X80Y2 GRID_POINT_Y 154 TILEPROP VBRK_X80Y2 INDEX 17790 TILEPROP VBRK_X80Y2 INT_TILE_X 31 TILEPROP VBRK_X80Y2 INT_TILE_Y 148 TILEPROP VBRK_X80Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y2 IS_DCM_TILE 0 TILEPROP VBRK_X80Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y2 NAME VBRK_X80Y2 TILEPROP VBRK_X80Y2 NUM_ARCS 0 TILEPROP VBRK_X80Y2 NUM_SITES 0 TILEPROP VBRK_X80Y2 ROW 154 TILEPROP VBRK_X80Y2 SLR_REGION_ID 0 TILEPROP VBRK_X80Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y2 TILE_TYPE VBRK TILEPROP VBRK_X80Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y2 TILE_X 47696 TILEPROP VBRK_X80Y2 TILE_Y -236472 TILEPROP VBRK_X80Y2 TYPE VBRK TILEPROP VBRK_X80Y3 CLASS tile TILEPROP VBRK_X80Y3 COLUMN 80 TILEPROP VBRK_X80Y3 DEVICE_ID 0 TILEPROP VBRK_X80Y3 FIRST_SITE_ID 15565 TILEPROP VBRK_X80Y3 GRID_POINT_X 80 TILEPROP VBRK_X80Y3 GRID_POINT_Y 153 TILEPROP VBRK_X80Y3 INDEX 17675 TILEPROP VBRK_X80Y3 INT_TILE_X 31 TILEPROP VBRK_X80Y3 INT_TILE_Y 147 TILEPROP VBRK_X80Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y3 IS_DCM_TILE 0 TILEPROP VBRK_X80Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y3 NAME VBRK_X80Y3 TILEPROP VBRK_X80Y3 NUM_ARCS 0 TILEPROP VBRK_X80Y3 NUM_SITES 0 TILEPROP VBRK_X80Y3 ROW 153 TILEPROP VBRK_X80Y3 SLR_REGION_ID 0 TILEPROP VBRK_X80Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y3 TILE_TYPE VBRK TILEPROP VBRK_X80Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y3 TILE_X 47696 TILEPROP VBRK_X80Y3 TILE_Y -233272 TILEPROP VBRK_X80Y3 TYPE VBRK TILEPROP VBRK_X80Y4 CLASS tile TILEPROP VBRK_X80Y4 COLUMN 80 TILEPROP VBRK_X80Y4 DEVICE_ID 0 TILEPROP VBRK_X80Y4 FIRST_SITE_ID 15465 TILEPROP VBRK_X80Y4 GRID_POINT_X 80 TILEPROP VBRK_X80Y4 GRID_POINT_Y 152 TILEPROP VBRK_X80Y4 INDEX 17560 TILEPROP VBRK_X80Y4 INT_TILE_X 31 TILEPROP VBRK_X80Y4 INT_TILE_Y 146 TILEPROP VBRK_X80Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y4 IS_DCM_TILE 0 TILEPROP VBRK_X80Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y4 NAME VBRK_X80Y4 TILEPROP VBRK_X80Y4 NUM_ARCS 0 TILEPROP VBRK_X80Y4 NUM_SITES 0 TILEPROP VBRK_X80Y4 ROW 152 TILEPROP VBRK_X80Y4 SLR_REGION_ID 0 TILEPROP VBRK_X80Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y4 TILE_TYPE VBRK TILEPROP VBRK_X80Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y4 TILE_X 47696 TILEPROP VBRK_X80Y4 TILE_Y -230072 TILEPROP VBRK_X80Y4 TYPE VBRK TILEPROP VBRK_X80Y5 CLASS tile TILEPROP VBRK_X80Y5 COLUMN 80 TILEPROP VBRK_X80Y5 DEVICE_ID 0 TILEPROP VBRK_X80Y5 FIRST_SITE_ID 15365 TILEPROP VBRK_X80Y5 GRID_POINT_X 80 TILEPROP VBRK_X80Y5 GRID_POINT_Y 151 TILEPROP VBRK_X80Y5 INDEX 17445 TILEPROP VBRK_X80Y5 INT_TILE_X 31 TILEPROP VBRK_X80Y5 INT_TILE_Y 145 TILEPROP VBRK_X80Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y5 IS_DCM_TILE 0 TILEPROP VBRK_X80Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y5 NAME VBRK_X80Y5 TILEPROP VBRK_X80Y5 NUM_ARCS 0 TILEPROP VBRK_X80Y5 NUM_SITES 0 TILEPROP VBRK_X80Y5 ROW 151 TILEPROP VBRK_X80Y5 SLR_REGION_ID 0 TILEPROP VBRK_X80Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y5 TILE_TYPE VBRK TILEPROP VBRK_X80Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y5 TILE_X 47696 TILEPROP VBRK_X80Y5 TILE_Y -226872 TILEPROP VBRK_X80Y5 TYPE VBRK TILEPROP VBRK_X80Y6 CLASS tile TILEPROP VBRK_X80Y6 COLUMN 80 TILEPROP VBRK_X80Y6 DEVICE_ID 0 TILEPROP VBRK_X80Y6 FIRST_SITE_ID 15259 TILEPROP VBRK_X80Y6 GRID_POINT_X 80 TILEPROP VBRK_X80Y6 GRID_POINT_Y 150 TILEPROP VBRK_X80Y6 INDEX 17330 TILEPROP VBRK_X80Y6 INT_TILE_X 31 TILEPROP VBRK_X80Y6 INT_TILE_Y 144 TILEPROP VBRK_X80Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y6 IS_DCM_TILE 0 TILEPROP VBRK_X80Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y6 NAME VBRK_X80Y6 TILEPROP VBRK_X80Y6 NUM_ARCS 0 TILEPROP VBRK_X80Y6 NUM_SITES 0 TILEPROP VBRK_X80Y6 ROW 150 TILEPROP VBRK_X80Y6 SLR_REGION_ID 0 TILEPROP VBRK_X80Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y6 TILE_TYPE VBRK TILEPROP VBRK_X80Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y6 TILE_X 47696 TILEPROP VBRK_X80Y6 TILE_Y -223672 TILEPROP VBRK_X80Y6 TYPE VBRK TILEPROP VBRK_X80Y7 CLASS tile TILEPROP VBRK_X80Y7 COLUMN 80 TILEPROP VBRK_X80Y7 DEVICE_ID 0 TILEPROP VBRK_X80Y7 FIRST_SITE_ID 15150 TILEPROP VBRK_X80Y7 GRID_POINT_X 80 TILEPROP VBRK_X80Y7 GRID_POINT_Y 149 TILEPROP VBRK_X80Y7 INDEX 17215 TILEPROP VBRK_X80Y7 INT_TILE_X 31 TILEPROP VBRK_X80Y7 INT_TILE_Y 143 TILEPROP VBRK_X80Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y7 IS_DCM_TILE 0 TILEPROP VBRK_X80Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y7 NAME VBRK_X80Y7 TILEPROP VBRK_X80Y7 NUM_ARCS 0 TILEPROP VBRK_X80Y7 NUM_SITES 0 TILEPROP VBRK_X80Y7 ROW 149 TILEPROP VBRK_X80Y7 SLR_REGION_ID 0 TILEPROP VBRK_X80Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y7 TILE_TYPE VBRK TILEPROP VBRK_X80Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y7 TILE_X 47696 TILEPROP VBRK_X80Y7 TILE_Y -220472 TILEPROP VBRK_X80Y7 TYPE VBRK TILEPROP VBRK_X80Y8 CLASS tile TILEPROP VBRK_X80Y8 COLUMN 80 TILEPROP VBRK_X80Y8 DEVICE_ID 0 TILEPROP VBRK_X80Y8 FIRST_SITE_ID 15048 TILEPROP VBRK_X80Y8 GRID_POINT_X 80 TILEPROP VBRK_X80Y8 GRID_POINT_Y 148 TILEPROP VBRK_X80Y8 INDEX 17100 TILEPROP VBRK_X80Y8 INT_TILE_X 31 TILEPROP VBRK_X80Y8 INT_TILE_Y 142 TILEPROP VBRK_X80Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y8 IS_DCM_TILE 0 TILEPROP VBRK_X80Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y8 NAME VBRK_X80Y8 TILEPROP VBRK_X80Y8 NUM_ARCS 0 TILEPROP VBRK_X80Y8 NUM_SITES 0 TILEPROP VBRK_X80Y8 ROW 148 TILEPROP VBRK_X80Y8 SLR_REGION_ID 0 TILEPROP VBRK_X80Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y8 TILE_TYPE VBRK TILEPROP VBRK_X80Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y8 TILE_X 47696 TILEPROP VBRK_X80Y8 TILE_Y -217272 TILEPROP VBRK_X80Y8 TYPE VBRK TILEPROP VBRK_X80Y9 CLASS tile TILEPROP VBRK_X80Y9 COLUMN 80 TILEPROP VBRK_X80Y9 DEVICE_ID 0 TILEPROP VBRK_X80Y9 FIRST_SITE_ID 14945 TILEPROP VBRK_X80Y9 GRID_POINT_X 80 TILEPROP VBRK_X80Y9 GRID_POINT_Y 147 TILEPROP VBRK_X80Y9 INDEX 16985 TILEPROP VBRK_X80Y9 INT_TILE_X 31 TILEPROP VBRK_X80Y9 INT_TILE_Y 141 TILEPROP VBRK_X80Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y9 IS_DCM_TILE 0 TILEPROP VBRK_X80Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y9 NAME VBRK_X80Y9 TILEPROP VBRK_X80Y9 NUM_ARCS 0 TILEPROP VBRK_X80Y9 NUM_SITES 0 TILEPROP VBRK_X80Y9 ROW 147 TILEPROP VBRK_X80Y9 SLR_REGION_ID 0 TILEPROP VBRK_X80Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y9 TILE_TYPE VBRK TILEPROP VBRK_X80Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y9 TILE_X 47696 TILEPROP VBRK_X80Y9 TILE_Y -214072 TILEPROP VBRK_X80Y9 TYPE VBRK TILEPROP VBRK_X80Y10 CLASS tile TILEPROP VBRK_X80Y10 COLUMN 80 TILEPROP VBRK_X80Y10 DEVICE_ID 0 TILEPROP VBRK_X80Y10 FIRST_SITE_ID 14844 TILEPROP VBRK_X80Y10 GRID_POINT_X 80 TILEPROP VBRK_X80Y10 GRID_POINT_Y 146 TILEPROP VBRK_X80Y10 INDEX 16870 TILEPROP VBRK_X80Y10 INT_TILE_X 31 TILEPROP VBRK_X80Y10 INT_TILE_Y 140 TILEPROP VBRK_X80Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y10 IS_DCM_TILE 0 TILEPROP VBRK_X80Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y10 NAME VBRK_X80Y10 TILEPROP VBRK_X80Y10 NUM_ARCS 0 TILEPROP VBRK_X80Y10 NUM_SITES 0 TILEPROP VBRK_X80Y10 ROW 146 TILEPROP VBRK_X80Y10 SLR_REGION_ID 0 TILEPROP VBRK_X80Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y10 TILE_TYPE VBRK TILEPROP VBRK_X80Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y10 TILE_X 47696 TILEPROP VBRK_X80Y10 TILE_Y -210872 TILEPROP VBRK_X80Y10 TYPE VBRK TILEPROP VBRK_X80Y11 CLASS tile TILEPROP VBRK_X80Y11 COLUMN 80 TILEPROP VBRK_X80Y11 DEVICE_ID 0 TILEPROP VBRK_X80Y11 FIRST_SITE_ID 14738 TILEPROP VBRK_X80Y11 GRID_POINT_X 80 TILEPROP VBRK_X80Y11 GRID_POINT_Y 145 TILEPROP VBRK_X80Y11 INDEX 16755 TILEPROP VBRK_X80Y11 INT_TILE_X 31 TILEPROP VBRK_X80Y11 INT_TILE_Y 139 TILEPROP VBRK_X80Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y11 IS_DCM_TILE 0 TILEPROP VBRK_X80Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y11 NAME VBRK_X80Y11 TILEPROP VBRK_X80Y11 NUM_ARCS 0 TILEPROP VBRK_X80Y11 NUM_SITES 0 TILEPROP VBRK_X80Y11 ROW 145 TILEPROP VBRK_X80Y11 SLR_REGION_ID 0 TILEPROP VBRK_X80Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y11 TILE_TYPE VBRK TILEPROP VBRK_X80Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y11 TILE_X 47696 TILEPROP VBRK_X80Y11 TILE_Y -207672 TILEPROP VBRK_X80Y11 TYPE VBRK TILEPROP VBRK_X80Y12 CLASS tile TILEPROP VBRK_X80Y12 COLUMN 80 TILEPROP VBRK_X80Y12 DEVICE_ID 0 TILEPROP VBRK_X80Y12 FIRST_SITE_ID 14629 TILEPROP VBRK_X80Y12 GRID_POINT_X 80 TILEPROP VBRK_X80Y12 GRID_POINT_Y 144 TILEPROP VBRK_X80Y12 INDEX 16640 TILEPROP VBRK_X80Y12 INT_TILE_X 31 TILEPROP VBRK_X80Y12 INT_TILE_Y 138 TILEPROP VBRK_X80Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y12 IS_DCM_TILE 0 TILEPROP VBRK_X80Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y12 NAME VBRK_X80Y12 TILEPROP VBRK_X80Y12 NUM_ARCS 0 TILEPROP VBRK_X80Y12 NUM_SITES 0 TILEPROP VBRK_X80Y12 ROW 144 TILEPROP VBRK_X80Y12 SLR_REGION_ID 0 TILEPROP VBRK_X80Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y12 TILE_TYPE VBRK TILEPROP VBRK_X80Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y12 TILE_X 47696 TILEPROP VBRK_X80Y12 TILE_Y -204472 TILEPROP VBRK_X80Y12 TYPE VBRK TILEPROP VBRK_X80Y13 CLASS tile TILEPROP VBRK_X80Y13 COLUMN 80 TILEPROP VBRK_X80Y13 DEVICE_ID 0 TILEPROP VBRK_X80Y13 FIRST_SITE_ID 14529 TILEPROP VBRK_X80Y13 GRID_POINT_X 80 TILEPROP VBRK_X80Y13 GRID_POINT_Y 143 TILEPROP VBRK_X80Y13 INDEX 16525 TILEPROP VBRK_X80Y13 INT_TILE_X 31 TILEPROP VBRK_X80Y13 INT_TILE_Y 137 TILEPROP VBRK_X80Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y13 IS_DCM_TILE 0 TILEPROP VBRK_X80Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y13 NAME VBRK_X80Y13 TILEPROP VBRK_X80Y13 NUM_ARCS 0 TILEPROP VBRK_X80Y13 NUM_SITES 0 TILEPROP VBRK_X80Y13 ROW 143 TILEPROP VBRK_X80Y13 SLR_REGION_ID 0 TILEPROP VBRK_X80Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y13 TILE_TYPE VBRK TILEPROP VBRK_X80Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y13 TILE_X 47696 TILEPROP VBRK_X80Y13 TILE_Y -201272 TILEPROP VBRK_X80Y13 TYPE VBRK TILEPROP VBRK_X80Y14 CLASS tile TILEPROP VBRK_X80Y14 COLUMN 80 TILEPROP VBRK_X80Y14 DEVICE_ID 0 TILEPROP VBRK_X80Y14 FIRST_SITE_ID 14397 TILEPROP VBRK_X80Y14 GRID_POINT_X 80 TILEPROP VBRK_X80Y14 GRID_POINT_Y 142 TILEPROP VBRK_X80Y14 INDEX 16410 TILEPROP VBRK_X80Y14 INT_TILE_X 31 TILEPROP VBRK_X80Y14 INT_TILE_Y 136 TILEPROP VBRK_X80Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y14 IS_DCM_TILE 0 TILEPROP VBRK_X80Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y14 NAME VBRK_X80Y14 TILEPROP VBRK_X80Y14 NUM_ARCS 0 TILEPROP VBRK_X80Y14 NUM_SITES 0 TILEPROP VBRK_X80Y14 ROW 142 TILEPROP VBRK_X80Y14 SLR_REGION_ID 0 TILEPROP VBRK_X80Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y14 TILE_TYPE VBRK TILEPROP VBRK_X80Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y14 TILE_X 47696 TILEPROP VBRK_X80Y14 TILE_Y -198072 TILEPROP VBRK_X80Y14 TYPE VBRK TILEPROP VBRK_X80Y15 CLASS tile TILEPROP VBRK_X80Y15 COLUMN 80 TILEPROP VBRK_X80Y15 DEVICE_ID 0 TILEPROP VBRK_X80Y15 FIRST_SITE_ID 14297 TILEPROP VBRK_X80Y15 GRID_POINT_X 80 TILEPROP VBRK_X80Y15 GRID_POINT_Y 141 TILEPROP VBRK_X80Y15 INDEX 16295 TILEPROP VBRK_X80Y15 INT_TILE_X 31 TILEPROP VBRK_X80Y15 INT_TILE_Y 135 TILEPROP VBRK_X80Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y15 IS_DCM_TILE 0 TILEPROP VBRK_X80Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y15 NAME VBRK_X80Y15 TILEPROP VBRK_X80Y15 NUM_ARCS 0 TILEPROP VBRK_X80Y15 NUM_SITES 0 TILEPROP VBRK_X80Y15 ROW 141 TILEPROP VBRK_X80Y15 SLR_REGION_ID 0 TILEPROP VBRK_X80Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y15 TILE_TYPE VBRK TILEPROP VBRK_X80Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y15 TILE_X 47696 TILEPROP VBRK_X80Y15 TILE_Y -194872 TILEPROP VBRK_X80Y15 TYPE VBRK TILEPROP VBRK_X80Y16 CLASS tile TILEPROP VBRK_X80Y16 COLUMN 80 TILEPROP VBRK_X80Y16 DEVICE_ID 0 TILEPROP VBRK_X80Y16 FIRST_SITE_ID 14191 TILEPROP VBRK_X80Y16 GRID_POINT_X 80 TILEPROP VBRK_X80Y16 GRID_POINT_Y 140 TILEPROP VBRK_X80Y16 INDEX 16180 TILEPROP VBRK_X80Y16 INT_TILE_X 31 TILEPROP VBRK_X80Y16 INT_TILE_Y 134 TILEPROP VBRK_X80Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y16 IS_DCM_TILE 0 TILEPROP VBRK_X80Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y16 NAME VBRK_X80Y16 TILEPROP VBRK_X80Y16 NUM_ARCS 0 TILEPROP VBRK_X80Y16 NUM_SITES 0 TILEPROP VBRK_X80Y16 ROW 140 TILEPROP VBRK_X80Y16 SLR_REGION_ID 0 TILEPROP VBRK_X80Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y16 TILE_TYPE VBRK TILEPROP VBRK_X80Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y16 TILE_X 47696 TILEPROP VBRK_X80Y16 TILE_Y -191672 TILEPROP VBRK_X80Y16 TYPE VBRK TILEPROP VBRK_X80Y17 CLASS tile TILEPROP VBRK_X80Y17 COLUMN 80 TILEPROP VBRK_X80Y17 DEVICE_ID 0 TILEPROP VBRK_X80Y17 FIRST_SITE_ID 14082 TILEPROP VBRK_X80Y17 GRID_POINT_X 80 TILEPROP VBRK_X80Y17 GRID_POINT_Y 139 TILEPROP VBRK_X80Y17 INDEX 16065 TILEPROP VBRK_X80Y17 INT_TILE_X 31 TILEPROP VBRK_X80Y17 INT_TILE_Y 133 TILEPROP VBRK_X80Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y17 IS_DCM_TILE 0 TILEPROP VBRK_X80Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y17 NAME VBRK_X80Y17 TILEPROP VBRK_X80Y17 NUM_ARCS 0 TILEPROP VBRK_X80Y17 NUM_SITES 0 TILEPROP VBRK_X80Y17 ROW 139 TILEPROP VBRK_X80Y17 SLR_REGION_ID 0 TILEPROP VBRK_X80Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y17 TILE_TYPE VBRK TILEPROP VBRK_X80Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y17 TILE_X 47696 TILEPROP VBRK_X80Y17 TILE_Y -188472 TILEPROP VBRK_X80Y17 TYPE VBRK TILEPROP VBRK_X80Y18 CLASS tile TILEPROP VBRK_X80Y18 COLUMN 80 TILEPROP VBRK_X80Y18 DEVICE_ID 0 TILEPROP VBRK_X80Y18 FIRST_SITE_ID 13978 TILEPROP VBRK_X80Y18 GRID_POINT_X 80 TILEPROP VBRK_X80Y18 GRID_POINT_Y 138 TILEPROP VBRK_X80Y18 INDEX 15950 TILEPROP VBRK_X80Y18 INT_TILE_X 31 TILEPROP VBRK_X80Y18 INT_TILE_Y 132 TILEPROP VBRK_X80Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y18 IS_DCM_TILE 0 TILEPROP VBRK_X80Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y18 NAME VBRK_X80Y18 TILEPROP VBRK_X80Y18 NUM_ARCS 0 TILEPROP VBRK_X80Y18 NUM_SITES 0 TILEPROP VBRK_X80Y18 ROW 138 TILEPROP VBRK_X80Y18 SLR_REGION_ID 0 TILEPROP VBRK_X80Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y18 TILE_TYPE VBRK TILEPROP VBRK_X80Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y18 TILE_X 47696 TILEPROP VBRK_X80Y18 TILE_Y -185272 TILEPROP VBRK_X80Y18 TYPE VBRK TILEPROP VBRK_X80Y19 CLASS tile TILEPROP VBRK_X80Y19 COLUMN 80 TILEPROP VBRK_X80Y19 DEVICE_ID 0 TILEPROP VBRK_X80Y19 FIRST_SITE_ID 13873 TILEPROP VBRK_X80Y19 GRID_POINT_X 80 TILEPROP VBRK_X80Y19 GRID_POINT_Y 137 TILEPROP VBRK_X80Y19 INDEX 15835 TILEPROP VBRK_X80Y19 INT_TILE_X 31 TILEPROP VBRK_X80Y19 INT_TILE_Y 131 TILEPROP VBRK_X80Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y19 IS_DCM_TILE 0 TILEPROP VBRK_X80Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y19 NAME VBRK_X80Y19 TILEPROP VBRK_X80Y19 NUM_ARCS 0 TILEPROP VBRK_X80Y19 NUM_SITES 0 TILEPROP VBRK_X80Y19 ROW 137 TILEPROP VBRK_X80Y19 SLR_REGION_ID 0 TILEPROP VBRK_X80Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y19 TILE_TYPE VBRK TILEPROP VBRK_X80Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y19 TILE_X 47696 TILEPROP VBRK_X80Y19 TILE_Y -182072 TILEPROP VBRK_X80Y19 TYPE VBRK TILEPROP VBRK_X80Y20 CLASS tile TILEPROP VBRK_X80Y20 COLUMN 80 TILEPROP VBRK_X80Y20 DEVICE_ID 0 TILEPROP VBRK_X80Y20 FIRST_SITE_ID 13771 TILEPROP VBRK_X80Y20 GRID_POINT_X 80 TILEPROP VBRK_X80Y20 GRID_POINT_Y 136 TILEPROP VBRK_X80Y20 INDEX 15720 TILEPROP VBRK_X80Y20 INT_TILE_X 31 TILEPROP VBRK_X80Y20 INT_TILE_Y 130 TILEPROP VBRK_X80Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y20 IS_DCM_TILE 0 TILEPROP VBRK_X80Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y20 NAME VBRK_X80Y20 TILEPROP VBRK_X80Y20 NUM_ARCS 0 TILEPROP VBRK_X80Y20 NUM_SITES 0 TILEPROP VBRK_X80Y20 ROW 136 TILEPROP VBRK_X80Y20 SLR_REGION_ID 0 TILEPROP VBRK_X80Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y20 TILE_TYPE VBRK TILEPROP VBRK_X80Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y20 TILE_X 47696 TILEPROP VBRK_X80Y20 TILE_Y -178872 TILEPROP VBRK_X80Y20 TYPE VBRK TILEPROP VBRK_X80Y21 CLASS tile TILEPROP VBRK_X80Y21 COLUMN 80 TILEPROP VBRK_X80Y21 DEVICE_ID 0 TILEPROP VBRK_X80Y21 FIRST_SITE_ID 13663 TILEPROP VBRK_X80Y21 GRID_POINT_X 80 TILEPROP VBRK_X80Y21 GRID_POINT_Y 135 TILEPROP VBRK_X80Y21 INDEX 15605 TILEPROP VBRK_X80Y21 INT_TILE_X 31 TILEPROP VBRK_X80Y21 INT_TILE_Y 129 TILEPROP VBRK_X80Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y21 IS_DCM_TILE 0 TILEPROP VBRK_X80Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y21 NAME VBRK_X80Y21 TILEPROP VBRK_X80Y21 NUM_ARCS 0 TILEPROP VBRK_X80Y21 NUM_SITES 0 TILEPROP VBRK_X80Y21 ROW 135 TILEPROP VBRK_X80Y21 SLR_REGION_ID 0 TILEPROP VBRK_X80Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y21 TILE_TYPE VBRK TILEPROP VBRK_X80Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y21 TILE_X 47696 TILEPROP VBRK_X80Y21 TILE_Y -175672 TILEPROP VBRK_X80Y21 TYPE VBRK TILEPROP VBRK_X80Y22 CLASS tile TILEPROP VBRK_X80Y22 COLUMN 80 TILEPROP VBRK_X80Y22 DEVICE_ID 0 TILEPROP VBRK_X80Y22 FIRST_SITE_ID 13554 TILEPROP VBRK_X80Y22 GRID_POINT_X 80 TILEPROP VBRK_X80Y22 GRID_POINT_Y 134 TILEPROP VBRK_X80Y22 INDEX 15490 TILEPROP VBRK_X80Y22 INT_TILE_X 31 TILEPROP VBRK_X80Y22 INT_TILE_Y 128 TILEPROP VBRK_X80Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y22 IS_DCM_TILE 0 TILEPROP VBRK_X80Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y22 NAME VBRK_X80Y22 TILEPROP VBRK_X80Y22 NUM_ARCS 0 TILEPROP VBRK_X80Y22 NUM_SITES 0 TILEPROP VBRK_X80Y22 ROW 134 TILEPROP VBRK_X80Y22 SLR_REGION_ID 0 TILEPROP VBRK_X80Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y22 TILE_TYPE VBRK TILEPROP VBRK_X80Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y22 TILE_X 47696 TILEPROP VBRK_X80Y22 TILE_Y -172472 TILEPROP VBRK_X80Y22 TYPE VBRK TILEPROP VBRK_X80Y23 CLASS tile TILEPROP VBRK_X80Y23 COLUMN 80 TILEPROP VBRK_X80Y23 DEVICE_ID 0 TILEPROP VBRK_X80Y23 FIRST_SITE_ID 13454 TILEPROP VBRK_X80Y23 GRID_POINT_X 80 TILEPROP VBRK_X80Y23 GRID_POINT_Y 133 TILEPROP VBRK_X80Y23 INDEX 15375 TILEPROP VBRK_X80Y23 INT_TILE_X 31 TILEPROP VBRK_X80Y23 INT_TILE_Y 127 TILEPROP VBRK_X80Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y23 IS_DCM_TILE 0 TILEPROP VBRK_X80Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y23 NAME VBRK_X80Y23 TILEPROP VBRK_X80Y23 NUM_ARCS 0 TILEPROP VBRK_X80Y23 NUM_SITES 0 TILEPROP VBRK_X80Y23 ROW 133 TILEPROP VBRK_X80Y23 SLR_REGION_ID 0 TILEPROP VBRK_X80Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y23 TILE_TYPE VBRK TILEPROP VBRK_X80Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y23 TILE_X 47696 TILEPROP VBRK_X80Y23 TILE_Y -169272 TILEPROP VBRK_X80Y23 TYPE VBRK TILEPROP VBRK_X80Y24 CLASS tile TILEPROP VBRK_X80Y24 COLUMN 80 TILEPROP VBRK_X80Y24 DEVICE_ID 0 TILEPROP VBRK_X80Y24 FIRST_SITE_ID 13354 TILEPROP VBRK_X80Y24 GRID_POINT_X 80 TILEPROP VBRK_X80Y24 GRID_POINT_Y 132 TILEPROP VBRK_X80Y24 INDEX 15260 TILEPROP VBRK_X80Y24 INT_TILE_X 31 TILEPROP VBRK_X80Y24 INT_TILE_Y 126 TILEPROP VBRK_X80Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y24 IS_DCM_TILE 0 TILEPROP VBRK_X80Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y24 NAME VBRK_X80Y24 TILEPROP VBRK_X80Y24 NUM_ARCS 0 TILEPROP VBRK_X80Y24 NUM_SITES 0 TILEPROP VBRK_X80Y24 ROW 132 TILEPROP VBRK_X80Y24 SLR_REGION_ID 0 TILEPROP VBRK_X80Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y24 TILE_TYPE VBRK TILEPROP VBRK_X80Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y24 TILE_X 47696 TILEPROP VBRK_X80Y24 TILE_Y -166072 TILEPROP VBRK_X80Y24 TYPE VBRK TILEPROP VBRK_X80Y25 CLASS tile TILEPROP VBRK_X80Y25 COLUMN 80 TILEPROP VBRK_X80Y25 DEVICE_ID 0 TILEPROP VBRK_X80Y25 FIRST_SITE_ID 13254 TILEPROP VBRK_X80Y25 GRID_POINT_X 80 TILEPROP VBRK_X80Y25 GRID_POINT_Y 131 TILEPROP VBRK_X80Y25 INDEX 15145 TILEPROP VBRK_X80Y25 INT_TILE_X 31 TILEPROP VBRK_X80Y25 INT_TILE_Y 125 TILEPROP VBRK_X80Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y25 IS_DCM_TILE 0 TILEPROP VBRK_X80Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y25 NAME VBRK_X80Y25 TILEPROP VBRK_X80Y25 NUM_ARCS 0 TILEPROP VBRK_X80Y25 NUM_SITES 0 TILEPROP VBRK_X80Y25 ROW 131 TILEPROP VBRK_X80Y25 SLR_REGION_ID 0 TILEPROP VBRK_X80Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y25 TILE_TYPE VBRK TILEPROP VBRK_X80Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y25 TILE_X 47696 TILEPROP VBRK_X80Y25 TILE_Y -162872 TILEPROP VBRK_X80Y25 TYPE VBRK TILEPROP VBRK_X80Y27 CLASS tile TILEPROP VBRK_X80Y27 COLUMN 80 TILEPROP VBRK_X80Y27 DEVICE_ID 0 TILEPROP VBRK_X80Y27 FIRST_SITE_ID 13065 TILEPROP VBRK_X80Y27 GRID_POINT_X 80 TILEPROP VBRK_X80Y27 GRID_POINT_Y 129 TILEPROP VBRK_X80Y27 INDEX 14915 TILEPROP VBRK_X80Y27 INT_TILE_X 31 TILEPROP VBRK_X80Y27 INT_TILE_Y 124 TILEPROP VBRK_X80Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y27 IS_DCM_TILE 0 TILEPROP VBRK_X80Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y27 NAME VBRK_X80Y27 TILEPROP VBRK_X80Y27 NUM_ARCS 0 TILEPROP VBRK_X80Y27 NUM_SITES 0 TILEPROP VBRK_X80Y27 ROW 129 TILEPROP VBRK_X80Y27 SLR_REGION_ID 0 TILEPROP VBRK_X80Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y27 TILE_TYPE VBRK TILEPROP VBRK_X80Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y27 TILE_X 47696 TILEPROP VBRK_X80Y27 TILE_Y -158648 TILEPROP VBRK_X80Y27 TYPE VBRK TILEPROP VBRK_X80Y28 CLASS tile TILEPROP VBRK_X80Y28 COLUMN 80 TILEPROP VBRK_X80Y28 DEVICE_ID 0 TILEPROP VBRK_X80Y28 FIRST_SITE_ID 12956 TILEPROP VBRK_X80Y28 GRID_POINT_X 80 TILEPROP VBRK_X80Y28 GRID_POINT_Y 128 TILEPROP VBRK_X80Y28 INDEX 14800 TILEPROP VBRK_X80Y28 INT_TILE_X 31 TILEPROP VBRK_X80Y28 INT_TILE_Y 123 TILEPROP VBRK_X80Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y28 IS_DCM_TILE 0 TILEPROP VBRK_X80Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y28 NAME VBRK_X80Y28 TILEPROP VBRK_X80Y28 NUM_ARCS 0 TILEPROP VBRK_X80Y28 NUM_SITES 0 TILEPROP VBRK_X80Y28 ROW 128 TILEPROP VBRK_X80Y28 SLR_REGION_ID 0 TILEPROP VBRK_X80Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y28 TILE_TYPE VBRK TILEPROP VBRK_X80Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y28 TILE_X 47696 TILEPROP VBRK_X80Y28 TILE_Y -155448 TILEPROP VBRK_X80Y28 TYPE VBRK TILEPROP VBRK_X80Y29 CLASS tile TILEPROP VBRK_X80Y29 COLUMN 80 TILEPROP VBRK_X80Y29 DEVICE_ID 0 TILEPROP VBRK_X80Y29 FIRST_SITE_ID 12856 TILEPROP VBRK_X80Y29 GRID_POINT_X 80 TILEPROP VBRK_X80Y29 GRID_POINT_Y 127 TILEPROP VBRK_X80Y29 INDEX 14685 TILEPROP VBRK_X80Y29 INT_TILE_X 31 TILEPROP VBRK_X80Y29 INT_TILE_Y 122 TILEPROP VBRK_X80Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y29 IS_DCM_TILE 0 TILEPROP VBRK_X80Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y29 NAME VBRK_X80Y29 TILEPROP VBRK_X80Y29 NUM_ARCS 0 TILEPROP VBRK_X80Y29 NUM_SITES 0 TILEPROP VBRK_X80Y29 ROW 127 TILEPROP VBRK_X80Y29 SLR_REGION_ID 0 TILEPROP VBRK_X80Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y29 TILE_TYPE VBRK TILEPROP VBRK_X80Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y29 TILE_X 47696 TILEPROP VBRK_X80Y29 TILE_Y -152248 TILEPROP VBRK_X80Y29 TYPE VBRK TILEPROP VBRK_X80Y30 CLASS tile TILEPROP VBRK_X80Y30 COLUMN 80 TILEPROP VBRK_X80Y30 DEVICE_ID 0 TILEPROP VBRK_X80Y30 FIRST_SITE_ID 12756 TILEPROP VBRK_X80Y30 GRID_POINT_X 80 TILEPROP VBRK_X80Y30 GRID_POINT_Y 126 TILEPROP VBRK_X80Y30 INDEX 14570 TILEPROP VBRK_X80Y30 INT_TILE_X 31 TILEPROP VBRK_X80Y30 INT_TILE_Y 121 TILEPROP VBRK_X80Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y30 IS_DCM_TILE 0 TILEPROP VBRK_X80Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y30 NAME VBRK_X80Y30 TILEPROP VBRK_X80Y30 NUM_ARCS 0 TILEPROP VBRK_X80Y30 NUM_SITES 0 TILEPROP VBRK_X80Y30 ROW 126 TILEPROP VBRK_X80Y30 SLR_REGION_ID 0 TILEPROP VBRK_X80Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y30 TILE_TYPE VBRK TILEPROP VBRK_X80Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y30 TILE_X 47696 TILEPROP VBRK_X80Y30 TILE_Y -149048 TILEPROP VBRK_X80Y30 TYPE VBRK TILEPROP VBRK_X80Y31 CLASS tile TILEPROP VBRK_X80Y31 COLUMN 80 TILEPROP VBRK_X80Y31 DEVICE_ID 0 TILEPROP VBRK_X80Y31 FIRST_SITE_ID 12650 TILEPROP VBRK_X80Y31 GRID_POINT_X 80 TILEPROP VBRK_X80Y31 GRID_POINT_Y 125 TILEPROP VBRK_X80Y31 INDEX 14455 TILEPROP VBRK_X80Y31 INT_TILE_X 31 TILEPROP VBRK_X80Y31 INT_TILE_Y 120 TILEPROP VBRK_X80Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y31 IS_DCM_TILE 0 TILEPROP VBRK_X80Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y31 NAME VBRK_X80Y31 TILEPROP VBRK_X80Y31 NUM_ARCS 0 TILEPROP VBRK_X80Y31 NUM_SITES 0 TILEPROP VBRK_X80Y31 ROW 125 TILEPROP VBRK_X80Y31 SLR_REGION_ID 0 TILEPROP VBRK_X80Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y31 TILE_TYPE VBRK TILEPROP VBRK_X80Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y31 TILE_X 47696 TILEPROP VBRK_X80Y31 TILE_Y -145848 TILEPROP VBRK_X80Y31 TYPE VBRK TILEPROP VBRK_X80Y32 CLASS tile TILEPROP VBRK_X80Y32 COLUMN 80 TILEPROP VBRK_X80Y32 DEVICE_ID 0 TILEPROP VBRK_X80Y32 FIRST_SITE_ID 12538 TILEPROP VBRK_X80Y32 GRID_POINT_X 80 TILEPROP VBRK_X80Y32 GRID_POINT_Y 124 TILEPROP VBRK_X80Y32 INDEX 14340 TILEPROP VBRK_X80Y32 INT_TILE_X 31 TILEPROP VBRK_X80Y32 INT_TILE_Y 119 TILEPROP VBRK_X80Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y32 IS_DCM_TILE 0 TILEPROP VBRK_X80Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y32 NAME VBRK_X80Y32 TILEPROP VBRK_X80Y32 NUM_ARCS 0 TILEPROP VBRK_X80Y32 NUM_SITES 0 TILEPROP VBRK_X80Y32 ROW 124 TILEPROP VBRK_X80Y32 SLR_REGION_ID 0 TILEPROP VBRK_X80Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y32 TILE_TYPE VBRK TILEPROP VBRK_X80Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y32 TILE_X 47696 TILEPROP VBRK_X80Y32 TILE_Y -142648 TILEPROP VBRK_X80Y32 TYPE VBRK TILEPROP VBRK_X80Y33 CLASS tile TILEPROP VBRK_X80Y33 COLUMN 80 TILEPROP VBRK_X80Y33 DEVICE_ID 0 TILEPROP VBRK_X80Y33 FIRST_SITE_ID 12414 TILEPROP VBRK_X80Y33 GRID_POINT_X 80 TILEPROP VBRK_X80Y33 GRID_POINT_Y 123 TILEPROP VBRK_X80Y33 INDEX 14225 TILEPROP VBRK_X80Y33 INT_TILE_X 31 TILEPROP VBRK_X80Y33 INT_TILE_Y 118 TILEPROP VBRK_X80Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y33 IS_DCM_TILE 0 TILEPROP VBRK_X80Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y33 NAME VBRK_X80Y33 TILEPROP VBRK_X80Y33 NUM_ARCS 0 TILEPROP VBRK_X80Y33 NUM_SITES 0 TILEPROP VBRK_X80Y33 ROW 123 TILEPROP VBRK_X80Y33 SLR_REGION_ID 0 TILEPROP VBRK_X80Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y33 TILE_TYPE VBRK TILEPROP VBRK_X80Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y33 TILE_X 47696 TILEPROP VBRK_X80Y33 TILE_Y -139448 TILEPROP VBRK_X80Y33 TYPE VBRK TILEPROP VBRK_X80Y34 CLASS tile TILEPROP VBRK_X80Y34 COLUMN 80 TILEPROP VBRK_X80Y34 DEVICE_ID 0 TILEPROP VBRK_X80Y34 FIRST_SITE_ID 12312 TILEPROP VBRK_X80Y34 GRID_POINT_X 80 TILEPROP VBRK_X80Y34 GRID_POINT_Y 122 TILEPROP VBRK_X80Y34 INDEX 14110 TILEPROP VBRK_X80Y34 INT_TILE_X 31 TILEPROP VBRK_X80Y34 INT_TILE_Y 117 TILEPROP VBRK_X80Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y34 IS_DCM_TILE 0 TILEPROP VBRK_X80Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y34 NAME VBRK_X80Y34 TILEPROP VBRK_X80Y34 NUM_ARCS 0 TILEPROP VBRK_X80Y34 NUM_SITES 0 TILEPROP VBRK_X80Y34 ROW 122 TILEPROP VBRK_X80Y34 SLR_REGION_ID 0 TILEPROP VBRK_X80Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y34 TILE_TYPE VBRK TILEPROP VBRK_X80Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y34 TILE_X 47696 TILEPROP VBRK_X80Y34 TILE_Y -136248 TILEPROP VBRK_X80Y34 TYPE VBRK TILEPROP VBRK_X80Y35 CLASS tile TILEPROP VBRK_X80Y35 COLUMN 80 TILEPROP VBRK_X80Y35 DEVICE_ID 0 TILEPROP VBRK_X80Y35 FIRST_SITE_ID 12211 TILEPROP VBRK_X80Y35 GRID_POINT_X 80 TILEPROP VBRK_X80Y35 GRID_POINT_Y 121 TILEPROP VBRK_X80Y35 INDEX 13995 TILEPROP VBRK_X80Y35 INT_TILE_X 31 TILEPROP VBRK_X80Y35 INT_TILE_Y 116 TILEPROP VBRK_X80Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y35 IS_DCM_TILE 0 TILEPROP VBRK_X80Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y35 NAME VBRK_X80Y35 TILEPROP VBRK_X80Y35 NUM_ARCS 0 TILEPROP VBRK_X80Y35 NUM_SITES 0 TILEPROP VBRK_X80Y35 ROW 121 TILEPROP VBRK_X80Y35 SLR_REGION_ID 0 TILEPROP VBRK_X80Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y35 TILE_TYPE VBRK TILEPROP VBRK_X80Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y35 TILE_X 47696 TILEPROP VBRK_X80Y35 TILE_Y -133048 TILEPROP VBRK_X80Y35 TYPE VBRK TILEPROP VBRK_X80Y36 CLASS tile TILEPROP VBRK_X80Y36 COLUMN 80 TILEPROP VBRK_X80Y36 DEVICE_ID 0 TILEPROP VBRK_X80Y36 FIRST_SITE_ID 12111 TILEPROP VBRK_X80Y36 GRID_POINT_X 80 TILEPROP VBRK_X80Y36 GRID_POINT_Y 120 TILEPROP VBRK_X80Y36 INDEX 13880 TILEPROP VBRK_X80Y36 INT_TILE_X 31 TILEPROP VBRK_X80Y36 INT_TILE_Y 115 TILEPROP VBRK_X80Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y36 IS_DCM_TILE 0 TILEPROP VBRK_X80Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y36 NAME VBRK_X80Y36 TILEPROP VBRK_X80Y36 NUM_ARCS 0 TILEPROP VBRK_X80Y36 NUM_SITES 0 TILEPROP VBRK_X80Y36 ROW 120 TILEPROP VBRK_X80Y36 SLR_REGION_ID 0 TILEPROP VBRK_X80Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y36 TILE_TYPE VBRK TILEPROP VBRK_X80Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y36 TILE_X 47696 TILEPROP VBRK_X80Y36 TILE_Y -129848 TILEPROP VBRK_X80Y36 TYPE VBRK TILEPROP VBRK_X80Y37 CLASS tile TILEPROP VBRK_X80Y37 COLUMN 80 TILEPROP VBRK_X80Y37 DEVICE_ID 0 TILEPROP VBRK_X80Y37 FIRST_SITE_ID 12005 TILEPROP VBRK_X80Y37 GRID_POINT_X 80 TILEPROP VBRK_X80Y37 GRID_POINT_Y 119 TILEPROP VBRK_X80Y37 INDEX 13765 TILEPROP VBRK_X80Y37 INT_TILE_X 31 TILEPROP VBRK_X80Y37 INT_TILE_Y 114 TILEPROP VBRK_X80Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y37 IS_DCM_TILE 0 TILEPROP VBRK_X80Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y37 NAME VBRK_X80Y37 TILEPROP VBRK_X80Y37 NUM_ARCS 0 TILEPROP VBRK_X80Y37 NUM_SITES 0 TILEPROP VBRK_X80Y37 ROW 119 TILEPROP VBRK_X80Y37 SLR_REGION_ID 0 TILEPROP VBRK_X80Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y37 TILE_TYPE VBRK TILEPROP VBRK_X80Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y37 TILE_X 47696 TILEPROP VBRK_X80Y37 TILE_Y -126648 TILEPROP VBRK_X80Y37 TYPE VBRK TILEPROP VBRK_X80Y38 CLASS tile TILEPROP VBRK_X80Y38 COLUMN 80 TILEPROP VBRK_X80Y38 DEVICE_ID 0 TILEPROP VBRK_X80Y38 FIRST_SITE_ID 11896 TILEPROP VBRK_X80Y38 GRID_POINT_X 80 TILEPROP VBRK_X80Y38 GRID_POINT_Y 118 TILEPROP VBRK_X80Y38 INDEX 13650 TILEPROP VBRK_X80Y38 INT_TILE_X 31 TILEPROP VBRK_X80Y38 INT_TILE_Y 113 TILEPROP VBRK_X80Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y38 IS_DCM_TILE 0 TILEPROP VBRK_X80Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y38 NAME VBRK_X80Y38 TILEPROP VBRK_X80Y38 NUM_ARCS 0 TILEPROP VBRK_X80Y38 NUM_SITES 0 TILEPROP VBRK_X80Y38 ROW 118 TILEPROP VBRK_X80Y38 SLR_REGION_ID 0 TILEPROP VBRK_X80Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y38 TILE_TYPE VBRK TILEPROP VBRK_X80Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y38 TILE_X 47696 TILEPROP VBRK_X80Y38 TILE_Y -123448 TILEPROP VBRK_X80Y38 TYPE VBRK TILEPROP VBRK_X80Y39 CLASS tile TILEPROP VBRK_X80Y39 COLUMN 80 TILEPROP VBRK_X80Y39 DEVICE_ID 0 TILEPROP VBRK_X80Y39 FIRST_SITE_ID 11764 TILEPROP VBRK_X80Y39 GRID_POINT_X 80 TILEPROP VBRK_X80Y39 GRID_POINT_Y 117 TILEPROP VBRK_X80Y39 INDEX 13535 TILEPROP VBRK_X80Y39 INT_TILE_X 31 TILEPROP VBRK_X80Y39 INT_TILE_Y 112 TILEPROP VBRK_X80Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y39 IS_DCM_TILE 0 TILEPROP VBRK_X80Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y39 NAME VBRK_X80Y39 TILEPROP VBRK_X80Y39 NUM_ARCS 0 TILEPROP VBRK_X80Y39 NUM_SITES 0 TILEPROP VBRK_X80Y39 ROW 117 TILEPROP VBRK_X80Y39 SLR_REGION_ID 0 TILEPROP VBRK_X80Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y39 TILE_TYPE VBRK TILEPROP VBRK_X80Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y39 TILE_X 47696 TILEPROP VBRK_X80Y39 TILE_Y -120248 TILEPROP VBRK_X80Y39 TYPE VBRK TILEPROP VBRK_X80Y40 CLASS tile TILEPROP VBRK_X80Y40 COLUMN 80 TILEPROP VBRK_X80Y40 DEVICE_ID 0 TILEPROP VBRK_X80Y40 FIRST_SITE_ID 11664 TILEPROP VBRK_X80Y40 GRID_POINT_X 80 TILEPROP VBRK_X80Y40 GRID_POINT_Y 116 TILEPROP VBRK_X80Y40 INDEX 13420 TILEPROP VBRK_X80Y40 INT_TILE_X 31 TILEPROP VBRK_X80Y40 INT_TILE_Y 111 TILEPROP VBRK_X80Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y40 IS_DCM_TILE 0 TILEPROP VBRK_X80Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y40 NAME VBRK_X80Y40 TILEPROP VBRK_X80Y40 NUM_ARCS 0 TILEPROP VBRK_X80Y40 NUM_SITES 0 TILEPROP VBRK_X80Y40 ROW 116 TILEPROP VBRK_X80Y40 SLR_REGION_ID 0 TILEPROP VBRK_X80Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y40 TILE_TYPE VBRK TILEPROP VBRK_X80Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y40 TILE_X 47696 TILEPROP VBRK_X80Y40 TILE_Y -117048 TILEPROP VBRK_X80Y40 TYPE VBRK TILEPROP VBRK_X80Y41 CLASS tile TILEPROP VBRK_X80Y41 COLUMN 80 TILEPROP VBRK_X80Y41 DEVICE_ID 0 TILEPROP VBRK_X80Y41 FIRST_SITE_ID 11564 TILEPROP VBRK_X80Y41 GRID_POINT_X 80 TILEPROP VBRK_X80Y41 GRID_POINT_Y 115 TILEPROP VBRK_X80Y41 INDEX 13305 TILEPROP VBRK_X80Y41 INT_TILE_X 31 TILEPROP VBRK_X80Y41 INT_TILE_Y 110 TILEPROP VBRK_X80Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y41 IS_DCM_TILE 0 TILEPROP VBRK_X80Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y41 NAME VBRK_X80Y41 TILEPROP VBRK_X80Y41 NUM_ARCS 0 TILEPROP VBRK_X80Y41 NUM_SITES 0 TILEPROP VBRK_X80Y41 ROW 115 TILEPROP VBRK_X80Y41 SLR_REGION_ID 0 TILEPROP VBRK_X80Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y41 TILE_TYPE VBRK TILEPROP VBRK_X80Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y41 TILE_X 47696 TILEPROP VBRK_X80Y41 TILE_Y -113848 TILEPROP VBRK_X80Y41 TYPE VBRK TILEPROP VBRK_X80Y42 CLASS tile TILEPROP VBRK_X80Y42 COLUMN 80 TILEPROP VBRK_X80Y42 DEVICE_ID 0 TILEPROP VBRK_X80Y42 FIRST_SITE_ID 11458 TILEPROP VBRK_X80Y42 GRID_POINT_X 80 TILEPROP VBRK_X80Y42 GRID_POINT_Y 114 TILEPROP VBRK_X80Y42 INDEX 13190 TILEPROP VBRK_X80Y42 INT_TILE_X 31 TILEPROP VBRK_X80Y42 INT_TILE_Y 109 TILEPROP VBRK_X80Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y42 IS_DCM_TILE 0 TILEPROP VBRK_X80Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y42 NAME VBRK_X80Y42 TILEPROP VBRK_X80Y42 NUM_ARCS 0 TILEPROP VBRK_X80Y42 NUM_SITES 0 TILEPROP VBRK_X80Y42 ROW 114 TILEPROP VBRK_X80Y42 SLR_REGION_ID 0 TILEPROP VBRK_X80Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y42 TILE_TYPE VBRK TILEPROP VBRK_X80Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y42 TILE_X 47696 TILEPROP VBRK_X80Y42 TILE_Y -110648 TILEPROP VBRK_X80Y42 TYPE VBRK TILEPROP VBRK_X80Y43 CLASS tile TILEPROP VBRK_X80Y43 COLUMN 80 TILEPROP VBRK_X80Y43 DEVICE_ID 0 TILEPROP VBRK_X80Y43 FIRST_SITE_ID 11347 TILEPROP VBRK_X80Y43 GRID_POINT_X 80 TILEPROP VBRK_X80Y43 GRID_POINT_Y 113 TILEPROP VBRK_X80Y43 INDEX 13075 TILEPROP VBRK_X80Y43 INT_TILE_X 31 TILEPROP VBRK_X80Y43 INT_TILE_Y 108 TILEPROP VBRK_X80Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y43 IS_DCM_TILE 0 TILEPROP VBRK_X80Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y43 NAME VBRK_X80Y43 TILEPROP VBRK_X80Y43 NUM_ARCS 0 TILEPROP VBRK_X80Y43 NUM_SITES 0 TILEPROP VBRK_X80Y43 ROW 113 TILEPROP VBRK_X80Y43 SLR_REGION_ID 0 TILEPROP VBRK_X80Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y43 TILE_TYPE VBRK TILEPROP VBRK_X80Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y43 TILE_X 47696 TILEPROP VBRK_X80Y43 TILE_Y -107448 TILEPROP VBRK_X80Y43 TYPE VBRK TILEPROP VBRK_X80Y44 CLASS tile TILEPROP VBRK_X80Y44 COLUMN 80 TILEPROP VBRK_X80Y44 DEVICE_ID 0 TILEPROP VBRK_X80Y44 FIRST_SITE_ID 11245 TILEPROP VBRK_X80Y44 GRID_POINT_X 80 TILEPROP VBRK_X80Y44 GRID_POINT_Y 112 TILEPROP VBRK_X80Y44 INDEX 12960 TILEPROP VBRK_X80Y44 INT_TILE_X 31 TILEPROP VBRK_X80Y44 INT_TILE_Y 107 TILEPROP VBRK_X80Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y44 IS_DCM_TILE 0 TILEPROP VBRK_X80Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y44 NAME VBRK_X80Y44 TILEPROP VBRK_X80Y44 NUM_ARCS 0 TILEPROP VBRK_X80Y44 NUM_SITES 0 TILEPROP VBRK_X80Y44 ROW 112 TILEPROP VBRK_X80Y44 SLR_REGION_ID 0 TILEPROP VBRK_X80Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y44 TILE_TYPE VBRK TILEPROP VBRK_X80Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y44 TILE_X 47696 TILEPROP VBRK_X80Y44 TILE_Y -104248 TILEPROP VBRK_X80Y44 TYPE VBRK TILEPROP VBRK_X80Y45 CLASS tile TILEPROP VBRK_X80Y45 COLUMN 80 TILEPROP VBRK_X80Y45 DEVICE_ID 0 TILEPROP VBRK_X80Y45 FIRST_SITE_ID 11142 TILEPROP VBRK_X80Y45 GRID_POINT_X 80 TILEPROP VBRK_X80Y45 GRID_POINT_Y 111 TILEPROP VBRK_X80Y45 INDEX 12845 TILEPROP VBRK_X80Y45 INT_TILE_X 31 TILEPROP VBRK_X80Y45 INT_TILE_Y 106 TILEPROP VBRK_X80Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y45 IS_DCM_TILE 0 TILEPROP VBRK_X80Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y45 NAME VBRK_X80Y45 TILEPROP VBRK_X80Y45 NUM_ARCS 0 TILEPROP VBRK_X80Y45 NUM_SITES 0 TILEPROP VBRK_X80Y45 ROW 111 TILEPROP VBRK_X80Y45 SLR_REGION_ID 0 TILEPROP VBRK_X80Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y45 TILE_TYPE VBRK TILEPROP VBRK_X80Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y45 TILE_X 47696 TILEPROP VBRK_X80Y45 TILE_Y -101048 TILEPROP VBRK_X80Y45 TYPE VBRK TILEPROP VBRK_X80Y46 CLASS tile TILEPROP VBRK_X80Y46 COLUMN 80 TILEPROP VBRK_X80Y46 DEVICE_ID 0 TILEPROP VBRK_X80Y46 FIRST_SITE_ID 11040 TILEPROP VBRK_X80Y46 GRID_POINT_X 80 TILEPROP VBRK_X80Y46 GRID_POINT_Y 110 TILEPROP VBRK_X80Y46 INDEX 12730 TILEPROP VBRK_X80Y46 INT_TILE_X 31 TILEPROP VBRK_X80Y46 INT_TILE_Y 105 TILEPROP VBRK_X80Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y46 IS_DCM_TILE 0 TILEPROP VBRK_X80Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y46 NAME VBRK_X80Y46 TILEPROP VBRK_X80Y46 NUM_ARCS 0 TILEPROP VBRK_X80Y46 NUM_SITES 0 TILEPROP VBRK_X80Y46 ROW 110 TILEPROP VBRK_X80Y46 SLR_REGION_ID 0 TILEPROP VBRK_X80Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y46 TILE_TYPE VBRK TILEPROP VBRK_X80Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y46 TILE_X 47696 TILEPROP VBRK_X80Y46 TILE_Y -97848 TILEPROP VBRK_X80Y46 TYPE VBRK TILEPROP VBRK_X80Y47 CLASS tile TILEPROP VBRK_X80Y47 COLUMN 80 TILEPROP VBRK_X80Y47 DEVICE_ID 0 TILEPROP VBRK_X80Y47 FIRST_SITE_ID 10934 TILEPROP VBRK_X80Y47 GRID_POINT_X 80 TILEPROP VBRK_X80Y47 GRID_POINT_Y 109 TILEPROP VBRK_X80Y47 INDEX 12615 TILEPROP VBRK_X80Y47 INT_TILE_X 31 TILEPROP VBRK_X80Y47 INT_TILE_Y 104 TILEPROP VBRK_X80Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y47 IS_DCM_TILE 0 TILEPROP VBRK_X80Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y47 NAME VBRK_X80Y47 TILEPROP VBRK_X80Y47 NUM_ARCS 0 TILEPROP VBRK_X80Y47 NUM_SITES 0 TILEPROP VBRK_X80Y47 ROW 109 TILEPROP VBRK_X80Y47 SLR_REGION_ID 0 TILEPROP VBRK_X80Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y47 TILE_TYPE VBRK TILEPROP VBRK_X80Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y47 TILE_X 47696 TILEPROP VBRK_X80Y47 TILE_Y -94648 TILEPROP VBRK_X80Y47 TYPE VBRK TILEPROP VBRK_X80Y48 CLASS tile TILEPROP VBRK_X80Y48 COLUMN 80 TILEPROP VBRK_X80Y48 DEVICE_ID 0 TILEPROP VBRK_X80Y48 FIRST_SITE_ID 10824 TILEPROP VBRK_X80Y48 GRID_POINT_X 80 TILEPROP VBRK_X80Y48 GRID_POINT_Y 108 TILEPROP VBRK_X80Y48 INDEX 12500 TILEPROP VBRK_X80Y48 INT_TILE_X 31 TILEPROP VBRK_X80Y48 INT_TILE_Y 103 TILEPROP VBRK_X80Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y48 IS_DCM_TILE 0 TILEPROP VBRK_X80Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y48 NAME VBRK_X80Y48 TILEPROP VBRK_X80Y48 NUM_ARCS 0 TILEPROP VBRK_X80Y48 NUM_SITES 0 TILEPROP VBRK_X80Y48 ROW 108 TILEPROP VBRK_X80Y48 SLR_REGION_ID 0 TILEPROP VBRK_X80Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y48 TILE_TYPE VBRK TILEPROP VBRK_X80Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y48 TILE_X 47696 TILEPROP VBRK_X80Y48 TILE_Y -91448 TILEPROP VBRK_X80Y48 TYPE VBRK TILEPROP VBRK_X80Y49 CLASS tile TILEPROP VBRK_X80Y49 COLUMN 80 TILEPROP VBRK_X80Y49 DEVICE_ID 0 TILEPROP VBRK_X80Y49 FIRST_SITE_ID 10708 TILEPROP VBRK_X80Y49 GRID_POINT_X 80 TILEPROP VBRK_X80Y49 GRID_POINT_Y 107 TILEPROP VBRK_X80Y49 INDEX 12385 TILEPROP VBRK_X80Y49 INT_TILE_X 31 TILEPROP VBRK_X80Y49 INT_TILE_Y 102 TILEPROP VBRK_X80Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y49 IS_DCM_TILE 0 TILEPROP VBRK_X80Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y49 NAME VBRK_X80Y49 TILEPROP VBRK_X80Y49 NUM_ARCS 0 TILEPROP VBRK_X80Y49 NUM_SITES 0 TILEPROP VBRK_X80Y49 ROW 107 TILEPROP VBRK_X80Y49 SLR_REGION_ID 0 TILEPROP VBRK_X80Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y49 TILE_TYPE VBRK TILEPROP VBRK_X80Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y49 TILE_X 47696 TILEPROP VBRK_X80Y49 TILE_Y -88248 TILEPROP VBRK_X80Y49 TYPE VBRK TILEPROP VBRK_X80Y50 CLASS tile TILEPROP VBRK_X80Y50 COLUMN 80 TILEPROP VBRK_X80Y50 DEVICE_ID 0 TILEPROP VBRK_X80Y50 FIRST_SITE_ID 10608 TILEPROP VBRK_X80Y50 GRID_POINT_X 80 TILEPROP VBRK_X80Y50 GRID_POINT_Y 106 TILEPROP VBRK_X80Y50 INDEX 12270 TILEPROP VBRK_X80Y50 INT_TILE_X 31 TILEPROP VBRK_X80Y50 INT_TILE_Y 101 TILEPROP VBRK_X80Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y50 IS_DCM_TILE 0 TILEPROP VBRK_X80Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y50 NAME VBRK_X80Y50 TILEPROP VBRK_X80Y50 NUM_ARCS 0 TILEPROP VBRK_X80Y50 NUM_SITES 0 TILEPROP VBRK_X80Y50 ROW 106 TILEPROP VBRK_X80Y50 SLR_REGION_ID 0 TILEPROP VBRK_X80Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y50 TILE_TYPE VBRK TILEPROP VBRK_X80Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y50 TILE_X 47696 TILEPROP VBRK_X80Y50 TILE_Y -85048 TILEPROP VBRK_X80Y50 TYPE VBRK TILEPROP VBRK_X80Y51 CLASS tile TILEPROP VBRK_X80Y51 COLUMN 80 TILEPROP VBRK_X80Y51 DEVICE_ID 0 TILEPROP VBRK_X80Y51 FIRST_SITE_ID 10512 TILEPROP VBRK_X80Y51 GRID_POINT_X 80 TILEPROP VBRK_X80Y51 GRID_POINT_Y 105 TILEPROP VBRK_X80Y51 INDEX 12155 TILEPROP VBRK_X80Y51 INT_TILE_X 31 TILEPROP VBRK_X80Y51 INT_TILE_Y 100 TILEPROP VBRK_X80Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y51 IS_DCM_TILE 0 TILEPROP VBRK_X80Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y51 NAME VBRK_X80Y51 TILEPROP VBRK_X80Y51 NUM_ARCS 0 TILEPROP VBRK_X80Y51 NUM_SITES 0 TILEPROP VBRK_X80Y51 ROW 105 TILEPROP VBRK_X80Y51 SLR_REGION_ID 0 TILEPROP VBRK_X80Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y51 TILE_TYPE VBRK TILEPROP VBRK_X80Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y51 TILE_X 47696 TILEPROP VBRK_X80Y51 TILE_Y -81848 TILEPROP VBRK_X80Y51 TYPE VBRK TILEPROP VBRK_X80Y53 CLASS tile TILEPROP VBRK_X80Y53 COLUMN 80 TILEPROP VBRK_X80Y53 DEVICE_ID 0 TILEPROP VBRK_X80Y53 FIRST_SITE_ID 10406 TILEPROP VBRK_X80Y53 GRID_POINT_X 80 TILEPROP VBRK_X80Y53 GRID_POINT_Y 103 TILEPROP VBRK_X80Y53 INDEX 11925 TILEPROP VBRK_X80Y53 INT_TILE_X 31 TILEPROP VBRK_X80Y53 INT_TILE_Y 99 TILEPROP VBRK_X80Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y53 IS_DCM_TILE 0 TILEPROP VBRK_X80Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y53 NAME VBRK_X80Y53 TILEPROP VBRK_X80Y53 NUM_ARCS 0 TILEPROP VBRK_X80Y53 NUM_SITES 0 TILEPROP VBRK_X80Y53 ROW 103 TILEPROP VBRK_X80Y53 SLR_REGION_ID 0 TILEPROP VBRK_X80Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y53 TILE_TYPE VBRK TILEPROP VBRK_X80Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y53 TILE_X 47696 TILEPROP VBRK_X80Y53 TILE_Y -78400 TILEPROP VBRK_X80Y53 TYPE VBRK TILEPROP VBRK_X80Y54 CLASS tile TILEPROP VBRK_X80Y54 COLUMN 80 TILEPROP VBRK_X80Y54 DEVICE_ID 0 TILEPROP VBRK_X80Y54 FIRST_SITE_ID 10277 TILEPROP VBRK_X80Y54 GRID_POINT_X 80 TILEPROP VBRK_X80Y54 GRID_POINT_Y 102 TILEPROP VBRK_X80Y54 INDEX 11810 TILEPROP VBRK_X80Y54 INT_TILE_X 31 TILEPROP VBRK_X80Y54 INT_TILE_Y 98 TILEPROP VBRK_X80Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y54 IS_DCM_TILE 0 TILEPROP VBRK_X80Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y54 NAME VBRK_X80Y54 TILEPROP VBRK_X80Y54 NUM_ARCS 0 TILEPROP VBRK_X80Y54 NUM_SITES 0 TILEPROP VBRK_X80Y54 ROW 102 TILEPROP VBRK_X80Y54 SLR_REGION_ID 0 TILEPROP VBRK_X80Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y54 TILE_TYPE VBRK TILEPROP VBRK_X80Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y54 TILE_X 47696 TILEPROP VBRK_X80Y54 TILE_Y -75200 TILEPROP VBRK_X80Y54 TYPE VBRK TILEPROP VBRK_X80Y55 CLASS tile TILEPROP VBRK_X80Y55 COLUMN 80 TILEPROP VBRK_X80Y55 DEVICE_ID 0 TILEPROP VBRK_X80Y55 FIRST_SITE_ID 10177 TILEPROP VBRK_X80Y55 GRID_POINT_X 80 TILEPROP VBRK_X80Y55 GRID_POINT_Y 101 TILEPROP VBRK_X80Y55 INDEX 11695 TILEPROP VBRK_X80Y55 INT_TILE_X 31 TILEPROP VBRK_X80Y55 INT_TILE_Y 97 TILEPROP VBRK_X80Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y55 IS_DCM_TILE 0 TILEPROP VBRK_X80Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y55 NAME VBRK_X80Y55 TILEPROP VBRK_X80Y55 NUM_ARCS 0 TILEPROP VBRK_X80Y55 NUM_SITES 0 TILEPROP VBRK_X80Y55 ROW 101 TILEPROP VBRK_X80Y55 SLR_REGION_ID 0 TILEPROP VBRK_X80Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y55 TILE_TYPE VBRK TILEPROP VBRK_X80Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y55 TILE_X 47696 TILEPROP VBRK_X80Y55 TILE_Y -72000 TILEPROP VBRK_X80Y55 TYPE VBRK TILEPROP VBRK_X80Y56 CLASS tile TILEPROP VBRK_X80Y56 COLUMN 80 TILEPROP VBRK_X80Y56 DEVICE_ID 0 TILEPROP VBRK_X80Y56 FIRST_SITE_ID 10077 TILEPROP VBRK_X80Y56 GRID_POINT_X 80 TILEPROP VBRK_X80Y56 GRID_POINT_Y 100 TILEPROP VBRK_X80Y56 INDEX 11580 TILEPROP VBRK_X80Y56 INT_TILE_X 31 TILEPROP VBRK_X80Y56 INT_TILE_Y 96 TILEPROP VBRK_X80Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y56 IS_DCM_TILE 0 TILEPROP VBRK_X80Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y56 NAME VBRK_X80Y56 TILEPROP VBRK_X80Y56 NUM_ARCS 0 TILEPROP VBRK_X80Y56 NUM_SITES 0 TILEPROP VBRK_X80Y56 ROW 100 TILEPROP VBRK_X80Y56 SLR_REGION_ID 0 TILEPROP VBRK_X80Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y56 TILE_TYPE VBRK TILEPROP VBRK_X80Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y56 TILE_X 47696 TILEPROP VBRK_X80Y56 TILE_Y -68800 TILEPROP VBRK_X80Y56 TYPE VBRK TILEPROP VBRK_X80Y57 CLASS tile TILEPROP VBRK_X80Y57 COLUMN 80 TILEPROP VBRK_X80Y57 DEVICE_ID 0 TILEPROP VBRK_X80Y57 FIRST_SITE_ID 9977 TILEPROP VBRK_X80Y57 GRID_POINT_X 80 TILEPROP VBRK_X80Y57 GRID_POINT_Y 99 TILEPROP VBRK_X80Y57 INDEX 11465 TILEPROP VBRK_X80Y57 INT_TILE_X 31 TILEPROP VBRK_X80Y57 INT_TILE_Y 95 TILEPROP VBRK_X80Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y57 IS_DCM_TILE 0 TILEPROP VBRK_X80Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y57 NAME VBRK_X80Y57 TILEPROP VBRK_X80Y57 NUM_ARCS 0 TILEPROP VBRK_X80Y57 NUM_SITES 0 TILEPROP VBRK_X80Y57 ROW 99 TILEPROP VBRK_X80Y57 SLR_REGION_ID 0 TILEPROP VBRK_X80Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y57 TILE_TYPE VBRK TILEPROP VBRK_X80Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y57 TILE_X 47696 TILEPROP VBRK_X80Y57 TILE_Y -65600 TILEPROP VBRK_X80Y57 TYPE VBRK TILEPROP VBRK_X80Y58 CLASS tile TILEPROP VBRK_X80Y58 COLUMN 80 TILEPROP VBRK_X80Y58 DEVICE_ID 0 TILEPROP VBRK_X80Y58 FIRST_SITE_ID 9871 TILEPROP VBRK_X80Y58 GRID_POINT_X 80 TILEPROP VBRK_X80Y58 GRID_POINT_Y 98 TILEPROP VBRK_X80Y58 INDEX 11350 TILEPROP VBRK_X80Y58 INT_TILE_X 31 TILEPROP VBRK_X80Y58 INT_TILE_Y 94 TILEPROP VBRK_X80Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y58 IS_DCM_TILE 0 TILEPROP VBRK_X80Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y58 NAME VBRK_X80Y58 TILEPROP VBRK_X80Y58 NUM_ARCS 0 TILEPROP VBRK_X80Y58 NUM_SITES 0 TILEPROP VBRK_X80Y58 ROW 98 TILEPROP VBRK_X80Y58 SLR_REGION_ID 0 TILEPROP VBRK_X80Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y58 TILE_TYPE VBRK TILEPROP VBRK_X80Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y58 TILE_X 47696 TILEPROP VBRK_X80Y58 TILE_Y -62400 TILEPROP VBRK_X80Y58 TYPE VBRK TILEPROP VBRK_X80Y59 CLASS tile TILEPROP VBRK_X80Y59 COLUMN 80 TILEPROP VBRK_X80Y59 DEVICE_ID 0 TILEPROP VBRK_X80Y59 FIRST_SITE_ID 9762 TILEPROP VBRK_X80Y59 GRID_POINT_X 80 TILEPROP VBRK_X80Y59 GRID_POINT_Y 97 TILEPROP VBRK_X80Y59 INDEX 11235 TILEPROP VBRK_X80Y59 INT_TILE_X 31 TILEPROP VBRK_X80Y59 INT_TILE_Y 93 TILEPROP VBRK_X80Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y59 IS_DCM_TILE 0 TILEPROP VBRK_X80Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y59 NAME VBRK_X80Y59 TILEPROP VBRK_X80Y59 NUM_ARCS 0 TILEPROP VBRK_X80Y59 NUM_SITES 0 TILEPROP VBRK_X80Y59 ROW 97 TILEPROP VBRK_X80Y59 SLR_REGION_ID 0 TILEPROP VBRK_X80Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y59 TILE_TYPE VBRK TILEPROP VBRK_X80Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y59 TILE_X 47696 TILEPROP VBRK_X80Y59 TILE_Y -59200 TILEPROP VBRK_X80Y59 TYPE VBRK TILEPROP VBRK_X80Y60 CLASS tile TILEPROP VBRK_X80Y60 COLUMN 80 TILEPROP VBRK_X80Y60 DEVICE_ID 0 TILEPROP VBRK_X80Y60 FIRST_SITE_ID 9660 TILEPROP VBRK_X80Y60 GRID_POINT_X 80 TILEPROP VBRK_X80Y60 GRID_POINT_Y 96 TILEPROP VBRK_X80Y60 INDEX 11120 TILEPROP VBRK_X80Y60 INT_TILE_X 31 TILEPROP VBRK_X80Y60 INT_TILE_Y 92 TILEPROP VBRK_X80Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y60 IS_DCM_TILE 0 TILEPROP VBRK_X80Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y60 NAME VBRK_X80Y60 TILEPROP VBRK_X80Y60 NUM_ARCS 0 TILEPROP VBRK_X80Y60 NUM_SITES 0 TILEPROP VBRK_X80Y60 ROW 96 TILEPROP VBRK_X80Y60 SLR_REGION_ID 0 TILEPROP VBRK_X80Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y60 TILE_TYPE VBRK TILEPROP VBRK_X80Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y60 TILE_X 47696 TILEPROP VBRK_X80Y60 TILE_Y -56000 TILEPROP VBRK_X80Y60 TYPE VBRK TILEPROP VBRK_X80Y61 CLASS tile TILEPROP VBRK_X80Y61 COLUMN 80 TILEPROP VBRK_X80Y61 DEVICE_ID 0 TILEPROP VBRK_X80Y61 FIRST_SITE_ID 9557 TILEPROP VBRK_X80Y61 GRID_POINT_X 80 TILEPROP VBRK_X80Y61 GRID_POINT_Y 95 TILEPROP VBRK_X80Y61 INDEX 11005 TILEPROP VBRK_X80Y61 INT_TILE_X 31 TILEPROP VBRK_X80Y61 INT_TILE_Y 91 TILEPROP VBRK_X80Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y61 IS_DCM_TILE 0 TILEPROP VBRK_X80Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y61 NAME VBRK_X80Y61 TILEPROP VBRK_X80Y61 NUM_ARCS 0 TILEPROP VBRK_X80Y61 NUM_SITES 0 TILEPROP VBRK_X80Y61 ROW 95 TILEPROP VBRK_X80Y61 SLR_REGION_ID 0 TILEPROP VBRK_X80Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y61 TILE_TYPE VBRK TILEPROP VBRK_X80Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y61 TILE_X 47696 TILEPROP VBRK_X80Y61 TILE_Y -52800 TILEPROP VBRK_X80Y61 TYPE VBRK TILEPROP VBRK_X80Y62 CLASS tile TILEPROP VBRK_X80Y62 COLUMN 80 TILEPROP VBRK_X80Y62 DEVICE_ID 0 TILEPROP VBRK_X80Y62 FIRST_SITE_ID 9456 TILEPROP VBRK_X80Y62 GRID_POINT_X 80 TILEPROP VBRK_X80Y62 GRID_POINT_Y 94 TILEPROP VBRK_X80Y62 INDEX 10890 TILEPROP VBRK_X80Y62 INT_TILE_X 31 TILEPROP VBRK_X80Y62 INT_TILE_Y 90 TILEPROP VBRK_X80Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y62 IS_DCM_TILE 0 TILEPROP VBRK_X80Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y62 NAME VBRK_X80Y62 TILEPROP VBRK_X80Y62 NUM_ARCS 0 TILEPROP VBRK_X80Y62 NUM_SITES 0 TILEPROP VBRK_X80Y62 ROW 94 TILEPROP VBRK_X80Y62 SLR_REGION_ID 0 TILEPROP VBRK_X80Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y62 TILE_TYPE VBRK TILEPROP VBRK_X80Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y62 TILE_X 47696 TILEPROP VBRK_X80Y62 TILE_Y -49600 TILEPROP VBRK_X80Y62 TYPE VBRK TILEPROP VBRK_X80Y63 CLASS tile TILEPROP VBRK_X80Y63 COLUMN 80 TILEPROP VBRK_X80Y63 DEVICE_ID 0 TILEPROP VBRK_X80Y63 FIRST_SITE_ID 9350 TILEPROP VBRK_X80Y63 GRID_POINT_X 80 TILEPROP VBRK_X80Y63 GRID_POINT_Y 93 TILEPROP VBRK_X80Y63 INDEX 10775 TILEPROP VBRK_X80Y63 INT_TILE_X 31 TILEPROP VBRK_X80Y63 INT_TILE_Y 89 TILEPROP VBRK_X80Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y63 IS_DCM_TILE 0 TILEPROP VBRK_X80Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y63 NAME VBRK_X80Y63 TILEPROP VBRK_X80Y63 NUM_ARCS 0 TILEPROP VBRK_X80Y63 NUM_SITES 0 TILEPROP VBRK_X80Y63 ROW 93 TILEPROP VBRK_X80Y63 SLR_REGION_ID 0 TILEPROP VBRK_X80Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y63 TILE_TYPE VBRK TILEPROP VBRK_X80Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y63 TILE_X 47696 TILEPROP VBRK_X80Y63 TILE_Y -46400 TILEPROP VBRK_X80Y63 TYPE VBRK TILEPROP VBRK_X80Y64 CLASS tile TILEPROP VBRK_X80Y64 COLUMN 80 TILEPROP VBRK_X80Y64 DEVICE_ID 0 TILEPROP VBRK_X80Y64 FIRST_SITE_ID 9241 TILEPROP VBRK_X80Y64 GRID_POINT_X 80 TILEPROP VBRK_X80Y64 GRID_POINT_Y 92 TILEPROP VBRK_X80Y64 INDEX 10660 TILEPROP VBRK_X80Y64 INT_TILE_X 31 TILEPROP VBRK_X80Y64 INT_TILE_Y 88 TILEPROP VBRK_X80Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y64 IS_DCM_TILE 0 TILEPROP VBRK_X80Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y64 NAME VBRK_X80Y64 TILEPROP VBRK_X80Y64 NUM_ARCS 0 TILEPROP VBRK_X80Y64 NUM_SITES 0 TILEPROP VBRK_X80Y64 ROW 92 TILEPROP VBRK_X80Y64 SLR_REGION_ID 0 TILEPROP VBRK_X80Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y64 TILE_TYPE VBRK TILEPROP VBRK_X80Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y64 TILE_X 47696 TILEPROP VBRK_X80Y64 TILE_Y -43200 TILEPROP VBRK_X80Y64 TYPE VBRK TILEPROP VBRK_X80Y65 CLASS tile TILEPROP VBRK_X80Y65 COLUMN 80 TILEPROP VBRK_X80Y65 DEVICE_ID 0 TILEPROP VBRK_X80Y65 FIRST_SITE_ID 9141 TILEPROP VBRK_X80Y65 GRID_POINT_X 80 TILEPROP VBRK_X80Y65 GRID_POINT_Y 91 TILEPROP VBRK_X80Y65 INDEX 10545 TILEPROP VBRK_X80Y65 INT_TILE_X 31 TILEPROP VBRK_X80Y65 INT_TILE_Y 87 TILEPROP VBRK_X80Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y65 IS_DCM_TILE 0 TILEPROP VBRK_X80Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y65 NAME VBRK_X80Y65 TILEPROP VBRK_X80Y65 NUM_ARCS 0 TILEPROP VBRK_X80Y65 NUM_SITES 0 TILEPROP VBRK_X80Y65 ROW 91 TILEPROP VBRK_X80Y65 SLR_REGION_ID 0 TILEPROP VBRK_X80Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y65 TILE_TYPE VBRK TILEPROP VBRK_X80Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y65 TILE_X 47696 TILEPROP VBRK_X80Y65 TILE_Y -40000 TILEPROP VBRK_X80Y65 TYPE VBRK TILEPROP VBRK_X80Y66 CLASS tile TILEPROP VBRK_X80Y66 COLUMN 80 TILEPROP VBRK_X80Y66 DEVICE_ID 0 TILEPROP VBRK_X80Y66 FIRST_SITE_ID 9009 TILEPROP VBRK_X80Y66 GRID_POINT_X 80 TILEPROP VBRK_X80Y66 GRID_POINT_Y 90 TILEPROP VBRK_X80Y66 INDEX 10430 TILEPROP VBRK_X80Y66 INT_TILE_X 31 TILEPROP VBRK_X80Y66 INT_TILE_Y 86 TILEPROP VBRK_X80Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y66 IS_DCM_TILE 0 TILEPROP VBRK_X80Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y66 NAME VBRK_X80Y66 TILEPROP VBRK_X80Y66 NUM_ARCS 0 TILEPROP VBRK_X80Y66 NUM_SITES 0 TILEPROP VBRK_X80Y66 ROW 90 TILEPROP VBRK_X80Y66 SLR_REGION_ID 0 TILEPROP VBRK_X80Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y66 TILE_TYPE VBRK TILEPROP VBRK_X80Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y66 TILE_X 47696 TILEPROP VBRK_X80Y66 TILE_Y -36800 TILEPROP VBRK_X80Y66 TYPE VBRK TILEPROP VBRK_X80Y67 CLASS tile TILEPROP VBRK_X80Y67 COLUMN 80 TILEPROP VBRK_X80Y67 DEVICE_ID 0 TILEPROP VBRK_X80Y67 FIRST_SITE_ID 8909 TILEPROP VBRK_X80Y67 GRID_POINT_X 80 TILEPROP VBRK_X80Y67 GRID_POINT_Y 89 TILEPROP VBRK_X80Y67 INDEX 10315 TILEPROP VBRK_X80Y67 INT_TILE_X 31 TILEPROP VBRK_X80Y67 INT_TILE_Y 85 TILEPROP VBRK_X80Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y67 IS_DCM_TILE 0 TILEPROP VBRK_X80Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y67 NAME VBRK_X80Y67 TILEPROP VBRK_X80Y67 NUM_ARCS 0 TILEPROP VBRK_X80Y67 NUM_SITES 0 TILEPROP VBRK_X80Y67 ROW 89 TILEPROP VBRK_X80Y67 SLR_REGION_ID 0 TILEPROP VBRK_X80Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y67 TILE_TYPE VBRK TILEPROP VBRK_X80Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y67 TILE_X 47696 TILEPROP VBRK_X80Y67 TILE_Y -33600 TILEPROP VBRK_X80Y67 TYPE VBRK TILEPROP VBRK_X80Y68 CLASS tile TILEPROP VBRK_X80Y68 COLUMN 80 TILEPROP VBRK_X80Y68 DEVICE_ID 0 TILEPROP VBRK_X80Y68 FIRST_SITE_ID 8803 TILEPROP VBRK_X80Y68 GRID_POINT_X 80 TILEPROP VBRK_X80Y68 GRID_POINT_Y 88 TILEPROP VBRK_X80Y68 INDEX 10200 TILEPROP VBRK_X80Y68 INT_TILE_X 31 TILEPROP VBRK_X80Y68 INT_TILE_Y 84 TILEPROP VBRK_X80Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y68 IS_DCM_TILE 0 TILEPROP VBRK_X80Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y68 NAME VBRK_X80Y68 TILEPROP VBRK_X80Y68 NUM_ARCS 0 TILEPROP VBRK_X80Y68 NUM_SITES 0 TILEPROP VBRK_X80Y68 ROW 88 TILEPROP VBRK_X80Y68 SLR_REGION_ID 0 TILEPROP VBRK_X80Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y68 TILE_TYPE VBRK TILEPROP VBRK_X80Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y68 TILE_X 47696 TILEPROP VBRK_X80Y68 TILE_Y -30400 TILEPROP VBRK_X80Y68 TYPE VBRK TILEPROP VBRK_X80Y69 CLASS tile TILEPROP VBRK_X80Y69 COLUMN 80 TILEPROP VBRK_X80Y69 DEVICE_ID 0 TILEPROP VBRK_X80Y69 FIRST_SITE_ID 8694 TILEPROP VBRK_X80Y69 GRID_POINT_X 80 TILEPROP VBRK_X80Y69 GRID_POINT_Y 87 TILEPROP VBRK_X80Y69 INDEX 10085 TILEPROP VBRK_X80Y69 INT_TILE_X 31 TILEPROP VBRK_X80Y69 INT_TILE_Y 83 TILEPROP VBRK_X80Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y69 IS_DCM_TILE 0 TILEPROP VBRK_X80Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y69 NAME VBRK_X80Y69 TILEPROP VBRK_X80Y69 NUM_ARCS 0 TILEPROP VBRK_X80Y69 NUM_SITES 0 TILEPROP VBRK_X80Y69 ROW 87 TILEPROP VBRK_X80Y69 SLR_REGION_ID 0 TILEPROP VBRK_X80Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y69 TILE_TYPE VBRK TILEPROP VBRK_X80Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y69 TILE_X 47696 TILEPROP VBRK_X80Y69 TILE_Y -27200 TILEPROP VBRK_X80Y69 TYPE VBRK TILEPROP VBRK_X80Y70 CLASS tile TILEPROP VBRK_X80Y70 COLUMN 80 TILEPROP VBRK_X80Y70 DEVICE_ID 0 TILEPROP VBRK_X80Y70 FIRST_SITE_ID 8590 TILEPROP VBRK_X80Y70 GRID_POINT_X 80 TILEPROP VBRK_X80Y70 GRID_POINT_Y 86 TILEPROP VBRK_X80Y70 INDEX 9970 TILEPROP VBRK_X80Y70 INT_TILE_X 31 TILEPROP VBRK_X80Y70 INT_TILE_Y 82 TILEPROP VBRK_X80Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y70 IS_DCM_TILE 0 TILEPROP VBRK_X80Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y70 NAME VBRK_X80Y70 TILEPROP VBRK_X80Y70 NUM_ARCS 0 TILEPROP VBRK_X80Y70 NUM_SITES 0 TILEPROP VBRK_X80Y70 ROW 86 TILEPROP VBRK_X80Y70 SLR_REGION_ID 0 TILEPROP VBRK_X80Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y70 TILE_TYPE VBRK TILEPROP VBRK_X80Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y70 TILE_X 47696 TILEPROP VBRK_X80Y70 TILE_Y -24000 TILEPROP VBRK_X80Y70 TYPE VBRK TILEPROP VBRK_X80Y71 CLASS tile TILEPROP VBRK_X80Y71 COLUMN 80 TILEPROP VBRK_X80Y71 DEVICE_ID 0 TILEPROP VBRK_X80Y71 FIRST_SITE_ID 8486 TILEPROP VBRK_X80Y71 GRID_POINT_X 80 TILEPROP VBRK_X80Y71 GRID_POINT_Y 85 TILEPROP VBRK_X80Y71 INDEX 9855 TILEPROP VBRK_X80Y71 INT_TILE_X 31 TILEPROP VBRK_X80Y71 INT_TILE_Y 81 TILEPROP VBRK_X80Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y71 IS_DCM_TILE 0 TILEPROP VBRK_X80Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y71 NAME VBRK_X80Y71 TILEPROP VBRK_X80Y71 NUM_ARCS 0 TILEPROP VBRK_X80Y71 NUM_SITES 0 TILEPROP VBRK_X80Y71 ROW 85 TILEPROP VBRK_X80Y71 SLR_REGION_ID 0 TILEPROP VBRK_X80Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y71 TILE_TYPE VBRK TILEPROP VBRK_X80Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y71 TILE_X 47696 TILEPROP VBRK_X80Y71 TILE_Y -20800 TILEPROP VBRK_X80Y71 TYPE VBRK TILEPROP VBRK_X80Y72 CLASS tile TILEPROP VBRK_X80Y72 COLUMN 80 TILEPROP VBRK_X80Y72 DEVICE_ID 0 TILEPROP VBRK_X80Y72 FIRST_SITE_ID 8384 TILEPROP VBRK_X80Y72 GRID_POINT_X 80 TILEPROP VBRK_X80Y72 GRID_POINT_Y 84 TILEPROP VBRK_X80Y72 INDEX 9740 TILEPROP VBRK_X80Y72 INT_TILE_X 31 TILEPROP VBRK_X80Y72 INT_TILE_Y 80 TILEPROP VBRK_X80Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y72 IS_DCM_TILE 0 TILEPROP VBRK_X80Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y72 NAME VBRK_X80Y72 TILEPROP VBRK_X80Y72 NUM_ARCS 0 TILEPROP VBRK_X80Y72 NUM_SITES 0 TILEPROP VBRK_X80Y72 ROW 84 TILEPROP VBRK_X80Y72 SLR_REGION_ID 0 TILEPROP VBRK_X80Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y72 TILE_TYPE VBRK TILEPROP VBRK_X80Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y72 TILE_X 47696 TILEPROP VBRK_X80Y72 TILE_Y -17600 TILEPROP VBRK_X80Y72 TYPE VBRK TILEPROP VBRK_X80Y73 CLASS tile TILEPROP VBRK_X80Y73 COLUMN 80 TILEPROP VBRK_X80Y73 DEVICE_ID 0 TILEPROP VBRK_X80Y73 FIRST_SITE_ID 8276 TILEPROP VBRK_X80Y73 GRID_POINT_X 80 TILEPROP VBRK_X80Y73 GRID_POINT_Y 83 TILEPROP VBRK_X80Y73 INDEX 9625 TILEPROP VBRK_X80Y73 INT_TILE_X 31 TILEPROP VBRK_X80Y73 INT_TILE_Y 79 TILEPROP VBRK_X80Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y73 IS_DCM_TILE 0 TILEPROP VBRK_X80Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y73 NAME VBRK_X80Y73 TILEPROP VBRK_X80Y73 NUM_ARCS 0 TILEPROP VBRK_X80Y73 NUM_SITES 0 TILEPROP VBRK_X80Y73 ROW 83 TILEPROP VBRK_X80Y73 SLR_REGION_ID 0 TILEPROP VBRK_X80Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y73 TILE_TYPE VBRK TILEPROP VBRK_X80Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y73 TILE_X 47696 TILEPROP VBRK_X80Y73 TILE_Y -14400 TILEPROP VBRK_X80Y73 TYPE VBRK TILEPROP VBRK_X80Y74 CLASS tile TILEPROP VBRK_X80Y74 COLUMN 80 TILEPROP VBRK_X80Y74 DEVICE_ID 0 TILEPROP VBRK_X80Y74 FIRST_SITE_ID 8167 TILEPROP VBRK_X80Y74 GRID_POINT_X 80 TILEPROP VBRK_X80Y74 GRID_POINT_Y 82 TILEPROP VBRK_X80Y74 INDEX 9510 TILEPROP VBRK_X80Y74 INT_TILE_X 31 TILEPROP VBRK_X80Y74 INT_TILE_Y 78 TILEPROP VBRK_X80Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y74 IS_DCM_TILE 0 TILEPROP VBRK_X80Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y74 NAME VBRK_X80Y74 TILEPROP VBRK_X80Y74 NUM_ARCS 0 TILEPROP VBRK_X80Y74 NUM_SITES 0 TILEPROP VBRK_X80Y74 ROW 82 TILEPROP VBRK_X80Y74 SLR_REGION_ID 0 TILEPROP VBRK_X80Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y74 TILE_TYPE VBRK TILEPROP VBRK_X80Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y74 TILE_X 47696 TILEPROP VBRK_X80Y74 TILE_Y -11200 TILEPROP VBRK_X80Y74 TYPE VBRK TILEPROP VBRK_X80Y75 CLASS tile TILEPROP VBRK_X80Y75 COLUMN 80 TILEPROP VBRK_X80Y75 DEVICE_ID 0 TILEPROP VBRK_X80Y75 FIRST_SITE_ID 8067 TILEPROP VBRK_X80Y75 GRID_POINT_X 80 TILEPROP VBRK_X80Y75 GRID_POINT_Y 81 TILEPROP VBRK_X80Y75 INDEX 9395 TILEPROP VBRK_X80Y75 INT_TILE_X 31 TILEPROP VBRK_X80Y75 INT_TILE_Y 77 TILEPROP VBRK_X80Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y75 IS_DCM_TILE 0 TILEPROP VBRK_X80Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y75 NAME VBRK_X80Y75 TILEPROP VBRK_X80Y75 NUM_ARCS 0 TILEPROP VBRK_X80Y75 NUM_SITES 0 TILEPROP VBRK_X80Y75 ROW 81 TILEPROP VBRK_X80Y75 SLR_REGION_ID 0 TILEPROP VBRK_X80Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y75 TILE_TYPE VBRK TILEPROP VBRK_X80Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y75 TILE_X 47696 TILEPROP VBRK_X80Y75 TILE_Y -8000 TILEPROP VBRK_X80Y75 TYPE VBRK TILEPROP VBRK_X80Y76 CLASS tile TILEPROP VBRK_X80Y76 COLUMN 80 TILEPROP VBRK_X80Y76 DEVICE_ID 0 TILEPROP VBRK_X80Y76 FIRST_SITE_ID 7967 TILEPROP VBRK_X80Y76 GRID_POINT_X 80 TILEPROP VBRK_X80Y76 GRID_POINT_Y 80 TILEPROP VBRK_X80Y76 INDEX 9280 TILEPROP VBRK_X80Y76 INT_TILE_X 31 TILEPROP VBRK_X80Y76 INT_TILE_Y 76 TILEPROP VBRK_X80Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y76 IS_DCM_TILE 0 TILEPROP VBRK_X80Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y76 NAME VBRK_X80Y76 TILEPROP VBRK_X80Y76 NUM_ARCS 0 TILEPROP VBRK_X80Y76 NUM_SITES 0 TILEPROP VBRK_X80Y76 ROW 80 TILEPROP VBRK_X80Y76 SLR_REGION_ID 0 TILEPROP VBRK_X80Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y76 TILE_TYPE VBRK TILEPROP VBRK_X80Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y76 TILE_X 47696 TILEPROP VBRK_X80Y76 TILE_Y -4800 TILEPROP VBRK_X80Y76 TYPE VBRK TILEPROP VBRK_X80Y77 CLASS tile TILEPROP VBRK_X80Y77 COLUMN 80 TILEPROP VBRK_X80Y77 DEVICE_ID 0 TILEPROP VBRK_X80Y77 FIRST_SITE_ID 7867 TILEPROP VBRK_X80Y77 GRID_POINT_X 80 TILEPROP VBRK_X80Y77 GRID_POINT_Y 79 TILEPROP VBRK_X80Y77 INDEX 9165 TILEPROP VBRK_X80Y77 INT_TILE_X 31 TILEPROP VBRK_X80Y77 INT_TILE_Y 75 TILEPROP VBRK_X80Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y77 IS_DCM_TILE 0 TILEPROP VBRK_X80Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y77 NAME VBRK_X80Y77 TILEPROP VBRK_X80Y77 NUM_ARCS 0 TILEPROP VBRK_X80Y77 NUM_SITES 0 TILEPROP VBRK_X80Y77 ROW 79 TILEPROP VBRK_X80Y77 SLR_REGION_ID 0 TILEPROP VBRK_X80Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y77 TILE_TYPE VBRK TILEPROP VBRK_X80Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y77 TILE_X 47696 TILEPROP VBRK_X80Y77 TILE_Y -1600 TILEPROP VBRK_X80Y77 TYPE VBRK TILEPROP VBRK_X80Y79 CLASS tile TILEPROP VBRK_X80Y79 COLUMN 80 TILEPROP VBRK_X80Y79 DEVICE_ID 0 TILEPROP VBRK_X80Y79 FIRST_SITE_ID 7678 TILEPROP VBRK_X80Y79 GRID_POINT_X 80 TILEPROP VBRK_X80Y79 GRID_POINT_Y 77 TILEPROP VBRK_X80Y79 INDEX 8935 TILEPROP VBRK_X80Y79 INT_TILE_X 31 TILEPROP VBRK_X80Y79 INT_TILE_Y 74 TILEPROP VBRK_X80Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y79 IS_DCM_TILE 0 TILEPROP VBRK_X80Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y79 NAME VBRK_X80Y79 TILEPROP VBRK_X80Y79 NUM_ARCS 0 TILEPROP VBRK_X80Y79 NUM_SITES 0 TILEPROP VBRK_X80Y79 ROW 77 TILEPROP VBRK_X80Y79 SLR_REGION_ID 0 TILEPROP VBRK_X80Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y79 TILE_TYPE VBRK TILEPROP VBRK_X80Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y79 TILE_X 47696 TILEPROP VBRK_X80Y79 TILE_Y 2624 TILEPROP VBRK_X80Y79 TYPE VBRK TILEPROP VBRK_X80Y80 CLASS tile TILEPROP VBRK_X80Y80 COLUMN 80 TILEPROP VBRK_X80Y80 DEVICE_ID 0 TILEPROP VBRK_X80Y80 FIRST_SITE_ID 7566 TILEPROP VBRK_X80Y80 GRID_POINT_X 80 TILEPROP VBRK_X80Y80 GRID_POINT_Y 76 TILEPROP VBRK_X80Y80 INDEX 8820 TILEPROP VBRK_X80Y80 INT_TILE_X 31 TILEPROP VBRK_X80Y80 INT_TILE_Y 73 TILEPROP VBRK_X80Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y80 IS_DCM_TILE 0 TILEPROP VBRK_X80Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y80 NAME VBRK_X80Y80 TILEPROP VBRK_X80Y80 NUM_ARCS 0 TILEPROP VBRK_X80Y80 NUM_SITES 0 TILEPROP VBRK_X80Y80 ROW 76 TILEPROP VBRK_X80Y80 SLR_REGION_ID 0 TILEPROP VBRK_X80Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y80 TILE_TYPE VBRK TILEPROP VBRK_X80Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y80 TILE_X 47696 TILEPROP VBRK_X80Y80 TILE_Y 5824 TILEPROP VBRK_X80Y80 TYPE VBRK TILEPROP VBRK_X80Y81 CLASS tile TILEPROP VBRK_X80Y81 COLUMN 80 TILEPROP VBRK_X80Y81 DEVICE_ID 0 TILEPROP VBRK_X80Y81 FIRST_SITE_ID 7466 TILEPROP VBRK_X80Y81 GRID_POINT_X 80 TILEPROP VBRK_X80Y81 GRID_POINT_Y 75 TILEPROP VBRK_X80Y81 INDEX 8705 TILEPROP VBRK_X80Y81 INT_TILE_X 31 TILEPROP VBRK_X80Y81 INT_TILE_Y 72 TILEPROP VBRK_X80Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y81 IS_DCM_TILE 0 TILEPROP VBRK_X80Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y81 NAME VBRK_X80Y81 TILEPROP VBRK_X80Y81 NUM_ARCS 0 TILEPROP VBRK_X80Y81 NUM_SITES 0 TILEPROP VBRK_X80Y81 ROW 75 TILEPROP VBRK_X80Y81 SLR_REGION_ID 0 TILEPROP VBRK_X80Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y81 TILE_TYPE VBRK TILEPROP VBRK_X80Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y81 TILE_X 47696 TILEPROP VBRK_X80Y81 TILE_Y 9024 TILEPROP VBRK_X80Y81 TYPE VBRK TILEPROP VBRK_X80Y82 CLASS tile TILEPROP VBRK_X80Y82 COLUMN 80 TILEPROP VBRK_X80Y82 DEVICE_ID 0 TILEPROP VBRK_X80Y82 FIRST_SITE_ID 7366 TILEPROP VBRK_X80Y82 GRID_POINT_X 80 TILEPROP VBRK_X80Y82 GRID_POINT_Y 74 TILEPROP VBRK_X80Y82 INDEX 8590 TILEPROP VBRK_X80Y82 INT_TILE_X 31 TILEPROP VBRK_X80Y82 INT_TILE_Y 71 TILEPROP VBRK_X80Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y82 IS_DCM_TILE 0 TILEPROP VBRK_X80Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y82 NAME VBRK_X80Y82 TILEPROP VBRK_X80Y82 NUM_ARCS 0 TILEPROP VBRK_X80Y82 NUM_SITES 0 TILEPROP VBRK_X80Y82 ROW 74 TILEPROP VBRK_X80Y82 SLR_REGION_ID 0 TILEPROP VBRK_X80Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y82 TILE_TYPE VBRK TILEPROP VBRK_X80Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y82 TILE_X 47696 TILEPROP VBRK_X80Y82 TILE_Y 12224 TILEPROP VBRK_X80Y82 TYPE VBRK TILEPROP VBRK_X80Y83 CLASS tile TILEPROP VBRK_X80Y83 COLUMN 80 TILEPROP VBRK_X80Y83 DEVICE_ID 0 TILEPROP VBRK_X80Y83 FIRST_SITE_ID 7260 TILEPROP VBRK_X80Y83 GRID_POINT_X 80 TILEPROP VBRK_X80Y83 GRID_POINT_Y 73 TILEPROP VBRK_X80Y83 INDEX 8475 TILEPROP VBRK_X80Y83 INT_TILE_X 31 TILEPROP VBRK_X80Y83 INT_TILE_Y 70 TILEPROP VBRK_X80Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y83 IS_DCM_TILE 0 TILEPROP VBRK_X80Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y83 NAME VBRK_X80Y83 TILEPROP VBRK_X80Y83 NUM_ARCS 0 TILEPROP VBRK_X80Y83 NUM_SITES 0 TILEPROP VBRK_X80Y83 ROW 73 TILEPROP VBRK_X80Y83 SLR_REGION_ID 0 TILEPROP VBRK_X80Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y83 TILE_TYPE VBRK TILEPROP VBRK_X80Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y83 TILE_X 47696 TILEPROP VBRK_X80Y83 TILE_Y 15424 TILEPROP VBRK_X80Y83 TYPE VBRK TILEPROP VBRK_X80Y84 CLASS tile TILEPROP VBRK_X80Y84 COLUMN 80 TILEPROP VBRK_X80Y84 DEVICE_ID 0 TILEPROP VBRK_X80Y84 FIRST_SITE_ID 7148 TILEPROP VBRK_X80Y84 GRID_POINT_X 80 TILEPROP VBRK_X80Y84 GRID_POINT_Y 72 TILEPROP VBRK_X80Y84 INDEX 8360 TILEPROP VBRK_X80Y84 INT_TILE_X 31 TILEPROP VBRK_X80Y84 INT_TILE_Y 69 TILEPROP VBRK_X80Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y84 IS_DCM_TILE 0 TILEPROP VBRK_X80Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y84 NAME VBRK_X80Y84 TILEPROP VBRK_X80Y84 NUM_ARCS 0 TILEPROP VBRK_X80Y84 NUM_SITES 0 TILEPROP VBRK_X80Y84 ROW 72 TILEPROP VBRK_X80Y84 SLR_REGION_ID 0 TILEPROP VBRK_X80Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y84 TILE_TYPE VBRK TILEPROP VBRK_X80Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y84 TILE_X 47696 TILEPROP VBRK_X80Y84 TILE_Y 18624 TILEPROP VBRK_X80Y84 TYPE VBRK TILEPROP VBRK_X80Y85 CLASS tile TILEPROP VBRK_X80Y85 COLUMN 80 TILEPROP VBRK_X80Y85 DEVICE_ID 0 TILEPROP VBRK_X80Y85 FIRST_SITE_ID 7037 TILEPROP VBRK_X80Y85 GRID_POINT_X 80 TILEPROP VBRK_X80Y85 GRID_POINT_Y 71 TILEPROP VBRK_X80Y85 INDEX 8245 TILEPROP VBRK_X80Y85 INT_TILE_X 31 TILEPROP VBRK_X80Y85 INT_TILE_Y 68 TILEPROP VBRK_X80Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y85 IS_DCM_TILE 0 TILEPROP VBRK_X80Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y85 NAME VBRK_X80Y85 TILEPROP VBRK_X80Y85 NUM_ARCS 0 TILEPROP VBRK_X80Y85 NUM_SITES 0 TILEPROP VBRK_X80Y85 ROW 71 TILEPROP VBRK_X80Y85 SLR_REGION_ID 0 TILEPROP VBRK_X80Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y85 TILE_TYPE VBRK TILEPROP VBRK_X80Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y85 TILE_X 47696 TILEPROP VBRK_X80Y85 TILE_Y 21824 TILEPROP VBRK_X80Y85 TYPE VBRK TILEPROP VBRK_X80Y86 CLASS tile TILEPROP VBRK_X80Y86 COLUMN 80 TILEPROP VBRK_X80Y86 DEVICE_ID 0 TILEPROP VBRK_X80Y86 FIRST_SITE_ID 6935 TILEPROP VBRK_X80Y86 GRID_POINT_X 80 TILEPROP VBRK_X80Y86 GRID_POINT_Y 70 TILEPROP VBRK_X80Y86 INDEX 8130 TILEPROP VBRK_X80Y86 INT_TILE_X 31 TILEPROP VBRK_X80Y86 INT_TILE_Y 67 TILEPROP VBRK_X80Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y86 IS_DCM_TILE 0 TILEPROP VBRK_X80Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y86 NAME VBRK_X80Y86 TILEPROP VBRK_X80Y86 NUM_ARCS 0 TILEPROP VBRK_X80Y86 NUM_SITES 0 TILEPROP VBRK_X80Y86 ROW 70 TILEPROP VBRK_X80Y86 SLR_REGION_ID 0 TILEPROP VBRK_X80Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y86 TILE_TYPE VBRK TILEPROP VBRK_X80Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y86 TILE_X 47696 TILEPROP VBRK_X80Y86 TILE_Y 25024 TILEPROP VBRK_X80Y86 TYPE VBRK TILEPROP VBRK_X80Y87 CLASS tile TILEPROP VBRK_X80Y87 COLUMN 80 TILEPROP VBRK_X80Y87 DEVICE_ID 0 TILEPROP VBRK_X80Y87 FIRST_SITE_ID 6835 TILEPROP VBRK_X80Y87 GRID_POINT_X 80 TILEPROP VBRK_X80Y87 GRID_POINT_Y 69 TILEPROP VBRK_X80Y87 INDEX 8015 TILEPROP VBRK_X80Y87 INT_TILE_X 31 TILEPROP VBRK_X80Y87 INT_TILE_Y 66 TILEPROP VBRK_X80Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y87 IS_DCM_TILE 0 TILEPROP VBRK_X80Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y87 NAME VBRK_X80Y87 TILEPROP VBRK_X80Y87 NUM_ARCS 0 TILEPROP VBRK_X80Y87 NUM_SITES 0 TILEPROP VBRK_X80Y87 ROW 69 TILEPROP VBRK_X80Y87 SLR_REGION_ID 0 TILEPROP VBRK_X80Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y87 TILE_TYPE VBRK TILEPROP VBRK_X80Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y87 TILE_X 47696 TILEPROP VBRK_X80Y87 TILE_Y 28224 TILEPROP VBRK_X80Y87 TYPE VBRK TILEPROP VBRK_X80Y88 CLASS tile TILEPROP VBRK_X80Y88 COLUMN 80 TILEPROP VBRK_X80Y88 DEVICE_ID 0 TILEPROP VBRK_X80Y88 FIRST_SITE_ID 6735 TILEPROP VBRK_X80Y88 GRID_POINT_X 80 TILEPROP VBRK_X80Y88 GRID_POINT_Y 68 TILEPROP VBRK_X80Y88 INDEX 7900 TILEPROP VBRK_X80Y88 INT_TILE_X 31 TILEPROP VBRK_X80Y88 INT_TILE_Y 65 TILEPROP VBRK_X80Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y88 IS_DCM_TILE 0 TILEPROP VBRK_X80Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y88 NAME VBRK_X80Y88 TILEPROP VBRK_X80Y88 NUM_ARCS 0 TILEPROP VBRK_X80Y88 NUM_SITES 0 TILEPROP VBRK_X80Y88 ROW 68 TILEPROP VBRK_X80Y88 SLR_REGION_ID 0 TILEPROP VBRK_X80Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y88 TILE_TYPE VBRK TILEPROP VBRK_X80Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y88 TILE_X 47696 TILEPROP VBRK_X80Y88 TILE_Y 31424 TILEPROP VBRK_X80Y88 TYPE VBRK TILEPROP VBRK_X80Y89 CLASS tile TILEPROP VBRK_X80Y89 COLUMN 80 TILEPROP VBRK_X80Y89 DEVICE_ID 0 TILEPROP VBRK_X80Y89 FIRST_SITE_ID 6629 TILEPROP VBRK_X80Y89 GRID_POINT_X 80 TILEPROP VBRK_X80Y89 GRID_POINT_Y 67 TILEPROP VBRK_X80Y89 INDEX 7785 TILEPROP VBRK_X80Y89 INT_TILE_X 31 TILEPROP VBRK_X80Y89 INT_TILE_Y 64 TILEPROP VBRK_X80Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y89 IS_DCM_TILE 0 TILEPROP VBRK_X80Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y89 NAME VBRK_X80Y89 TILEPROP VBRK_X80Y89 NUM_ARCS 0 TILEPROP VBRK_X80Y89 NUM_SITES 0 TILEPROP VBRK_X80Y89 ROW 67 TILEPROP VBRK_X80Y89 SLR_REGION_ID 0 TILEPROP VBRK_X80Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y89 TILE_TYPE VBRK TILEPROP VBRK_X80Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y89 TILE_X 47696 TILEPROP VBRK_X80Y89 TILE_Y 34624 TILEPROP VBRK_X80Y89 TYPE VBRK TILEPROP VBRK_X80Y90 CLASS tile TILEPROP VBRK_X80Y90 COLUMN 80 TILEPROP VBRK_X80Y90 DEVICE_ID 0 TILEPROP VBRK_X80Y90 FIRST_SITE_ID 6520 TILEPROP VBRK_X80Y90 GRID_POINT_X 80 TILEPROP VBRK_X80Y90 GRID_POINT_Y 66 TILEPROP VBRK_X80Y90 INDEX 7670 TILEPROP VBRK_X80Y90 INT_TILE_X 31 TILEPROP VBRK_X80Y90 INT_TILE_Y 63 TILEPROP VBRK_X80Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y90 IS_DCM_TILE 0 TILEPROP VBRK_X80Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y90 NAME VBRK_X80Y90 TILEPROP VBRK_X80Y90 NUM_ARCS 0 TILEPROP VBRK_X80Y90 NUM_SITES 0 TILEPROP VBRK_X80Y90 ROW 66 TILEPROP VBRK_X80Y90 SLR_REGION_ID 0 TILEPROP VBRK_X80Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y90 TILE_TYPE VBRK TILEPROP VBRK_X80Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y90 TILE_X 47696 TILEPROP VBRK_X80Y90 TILE_Y 37824 TILEPROP VBRK_X80Y90 TYPE VBRK TILEPROP VBRK_X80Y91 CLASS tile TILEPROP VBRK_X80Y91 COLUMN 80 TILEPROP VBRK_X80Y91 DEVICE_ID 0 TILEPROP VBRK_X80Y91 FIRST_SITE_ID 6388 TILEPROP VBRK_X80Y91 GRID_POINT_X 80 TILEPROP VBRK_X80Y91 GRID_POINT_Y 65 TILEPROP VBRK_X80Y91 INDEX 7555 TILEPROP VBRK_X80Y91 INT_TILE_X 31 TILEPROP VBRK_X80Y91 INT_TILE_Y 62 TILEPROP VBRK_X80Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y91 IS_DCM_TILE 0 TILEPROP VBRK_X80Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y91 NAME VBRK_X80Y91 TILEPROP VBRK_X80Y91 NUM_ARCS 0 TILEPROP VBRK_X80Y91 NUM_SITES 0 TILEPROP VBRK_X80Y91 ROW 65 TILEPROP VBRK_X80Y91 SLR_REGION_ID 0 TILEPROP VBRK_X80Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y91 TILE_TYPE VBRK TILEPROP VBRK_X80Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y91 TILE_X 47696 TILEPROP VBRK_X80Y91 TILE_Y 41024 TILEPROP VBRK_X80Y91 TYPE VBRK TILEPROP VBRK_X80Y92 CLASS tile TILEPROP VBRK_X80Y92 COLUMN 80 TILEPROP VBRK_X80Y92 DEVICE_ID 0 TILEPROP VBRK_X80Y92 FIRST_SITE_ID 6288 TILEPROP VBRK_X80Y92 GRID_POINT_X 80 TILEPROP VBRK_X80Y92 GRID_POINT_Y 64 TILEPROP VBRK_X80Y92 INDEX 7440 TILEPROP VBRK_X80Y92 INT_TILE_X 31 TILEPROP VBRK_X80Y92 INT_TILE_Y 61 TILEPROP VBRK_X80Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y92 IS_DCM_TILE 0 TILEPROP VBRK_X80Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y92 NAME VBRK_X80Y92 TILEPROP VBRK_X80Y92 NUM_ARCS 0 TILEPROP VBRK_X80Y92 NUM_SITES 0 TILEPROP VBRK_X80Y92 ROW 64 TILEPROP VBRK_X80Y92 SLR_REGION_ID 0 TILEPROP VBRK_X80Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y92 TILE_TYPE VBRK TILEPROP VBRK_X80Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y92 TILE_X 47696 TILEPROP VBRK_X80Y92 TILE_Y 44224 TILEPROP VBRK_X80Y92 TYPE VBRK TILEPROP VBRK_X80Y93 CLASS tile TILEPROP VBRK_X80Y93 COLUMN 80 TILEPROP VBRK_X80Y93 DEVICE_ID 0 TILEPROP VBRK_X80Y93 FIRST_SITE_ID 6188 TILEPROP VBRK_X80Y93 GRID_POINT_X 80 TILEPROP VBRK_X80Y93 GRID_POINT_Y 63 TILEPROP VBRK_X80Y93 INDEX 7325 TILEPROP VBRK_X80Y93 INT_TILE_X 31 TILEPROP VBRK_X80Y93 INT_TILE_Y 60 TILEPROP VBRK_X80Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y93 IS_DCM_TILE 0 TILEPROP VBRK_X80Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y93 NAME VBRK_X80Y93 TILEPROP VBRK_X80Y93 NUM_ARCS 0 TILEPROP VBRK_X80Y93 NUM_SITES 0 TILEPROP VBRK_X80Y93 ROW 63 TILEPROP VBRK_X80Y93 SLR_REGION_ID 0 TILEPROP VBRK_X80Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y93 TILE_TYPE VBRK TILEPROP VBRK_X80Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y93 TILE_X 47696 TILEPROP VBRK_X80Y93 TILE_Y 47424 TILEPROP VBRK_X80Y93 TYPE VBRK TILEPROP VBRK_X80Y94 CLASS tile TILEPROP VBRK_X80Y94 COLUMN 80 TILEPROP VBRK_X80Y94 DEVICE_ID 0 TILEPROP VBRK_X80Y94 FIRST_SITE_ID 6082 TILEPROP VBRK_X80Y94 GRID_POINT_X 80 TILEPROP VBRK_X80Y94 GRID_POINT_Y 62 TILEPROP VBRK_X80Y94 INDEX 7210 TILEPROP VBRK_X80Y94 INT_TILE_X 31 TILEPROP VBRK_X80Y94 INT_TILE_Y 59 TILEPROP VBRK_X80Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y94 IS_DCM_TILE 0 TILEPROP VBRK_X80Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y94 NAME VBRK_X80Y94 TILEPROP VBRK_X80Y94 NUM_ARCS 0 TILEPROP VBRK_X80Y94 NUM_SITES 0 TILEPROP VBRK_X80Y94 ROW 62 TILEPROP VBRK_X80Y94 SLR_REGION_ID 0 TILEPROP VBRK_X80Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y94 TILE_TYPE VBRK TILEPROP VBRK_X80Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y94 TILE_X 47696 TILEPROP VBRK_X80Y94 TILE_Y 50624 TILEPROP VBRK_X80Y94 TYPE VBRK TILEPROP VBRK_X80Y95 CLASS tile TILEPROP VBRK_X80Y95 COLUMN 80 TILEPROP VBRK_X80Y95 DEVICE_ID 0 TILEPROP VBRK_X80Y95 FIRST_SITE_ID 5973 TILEPROP VBRK_X80Y95 GRID_POINT_X 80 TILEPROP VBRK_X80Y95 GRID_POINT_Y 61 TILEPROP VBRK_X80Y95 INDEX 7095 TILEPROP VBRK_X80Y95 INT_TILE_X 31 TILEPROP VBRK_X80Y95 INT_TILE_Y 58 TILEPROP VBRK_X80Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y95 IS_DCM_TILE 0 TILEPROP VBRK_X80Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y95 NAME VBRK_X80Y95 TILEPROP VBRK_X80Y95 NUM_ARCS 0 TILEPROP VBRK_X80Y95 NUM_SITES 0 TILEPROP VBRK_X80Y95 ROW 61 TILEPROP VBRK_X80Y95 SLR_REGION_ID 0 TILEPROP VBRK_X80Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y95 TILE_TYPE VBRK TILEPROP VBRK_X80Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y95 TILE_X 47696 TILEPROP VBRK_X80Y95 TILE_Y 53824 TILEPROP VBRK_X80Y95 TYPE VBRK TILEPROP VBRK_X80Y96 CLASS tile TILEPROP VBRK_X80Y96 COLUMN 80 TILEPROP VBRK_X80Y96 DEVICE_ID 0 TILEPROP VBRK_X80Y96 FIRST_SITE_ID 5872 TILEPROP VBRK_X80Y96 GRID_POINT_X 80 TILEPROP VBRK_X80Y96 GRID_POINT_Y 60 TILEPROP VBRK_X80Y96 INDEX 6980 TILEPROP VBRK_X80Y96 INT_TILE_X 31 TILEPROP VBRK_X80Y96 INT_TILE_Y 57 TILEPROP VBRK_X80Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y96 IS_DCM_TILE 0 TILEPROP VBRK_X80Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y96 NAME VBRK_X80Y96 TILEPROP VBRK_X80Y96 NUM_ARCS 0 TILEPROP VBRK_X80Y96 NUM_SITES 0 TILEPROP VBRK_X80Y96 ROW 60 TILEPROP VBRK_X80Y96 SLR_REGION_ID 0 TILEPROP VBRK_X80Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y96 TILE_TYPE VBRK TILEPROP VBRK_X80Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y96 TILE_X 47696 TILEPROP VBRK_X80Y96 TILE_Y 57024 TILEPROP VBRK_X80Y96 TYPE VBRK TILEPROP VBRK_X80Y97 CLASS tile TILEPROP VBRK_X80Y97 COLUMN 80 TILEPROP VBRK_X80Y97 DEVICE_ID 0 TILEPROP VBRK_X80Y97 FIRST_SITE_ID 5769 TILEPROP VBRK_X80Y97 GRID_POINT_X 80 TILEPROP VBRK_X80Y97 GRID_POINT_Y 59 TILEPROP VBRK_X80Y97 INDEX 6865 TILEPROP VBRK_X80Y97 INT_TILE_X 31 TILEPROP VBRK_X80Y97 INT_TILE_Y 56 TILEPROP VBRK_X80Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y97 IS_DCM_TILE 0 TILEPROP VBRK_X80Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y97 NAME VBRK_X80Y97 TILEPROP VBRK_X80Y97 NUM_ARCS 0 TILEPROP VBRK_X80Y97 NUM_SITES 0 TILEPROP VBRK_X80Y97 ROW 59 TILEPROP VBRK_X80Y97 SLR_REGION_ID 0 TILEPROP VBRK_X80Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y97 TILE_TYPE VBRK TILEPROP VBRK_X80Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y97 TILE_X 47696 TILEPROP VBRK_X80Y97 TILE_Y 60224 TILEPROP VBRK_X80Y97 TYPE VBRK TILEPROP VBRK_X80Y98 CLASS tile TILEPROP VBRK_X80Y98 COLUMN 80 TILEPROP VBRK_X80Y98 DEVICE_ID 0 TILEPROP VBRK_X80Y98 FIRST_SITE_ID 5667 TILEPROP VBRK_X80Y98 GRID_POINT_X 80 TILEPROP VBRK_X80Y98 GRID_POINT_Y 58 TILEPROP VBRK_X80Y98 INDEX 6750 TILEPROP VBRK_X80Y98 INT_TILE_X 31 TILEPROP VBRK_X80Y98 INT_TILE_Y 55 TILEPROP VBRK_X80Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y98 IS_DCM_TILE 0 TILEPROP VBRK_X80Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y98 NAME VBRK_X80Y98 TILEPROP VBRK_X80Y98 NUM_ARCS 0 TILEPROP VBRK_X80Y98 NUM_SITES 0 TILEPROP VBRK_X80Y98 ROW 58 TILEPROP VBRK_X80Y98 SLR_REGION_ID 0 TILEPROP VBRK_X80Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y98 TILE_TYPE VBRK TILEPROP VBRK_X80Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y98 TILE_X 47696 TILEPROP VBRK_X80Y98 TILE_Y 63424 TILEPROP VBRK_X80Y98 TYPE VBRK TILEPROP VBRK_X80Y99 CLASS tile TILEPROP VBRK_X80Y99 COLUMN 80 TILEPROP VBRK_X80Y99 DEVICE_ID 0 TILEPROP VBRK_X80Y99 FIRST_SITE_ID 5561 TILEPROP VBRK_X80Y99 GRID_POINT_X 80 TILEPROP VBRK_X80Y99 GRID_POINT_Y 57 TILEPROP VBRK_X80Y99 INDEX 6635 TILEPROP VBRK_X80Y99 INT_TILE_X 31 TILEPROP VBRK_X80Y99 INT_TILE_Y 54 TILEPROP VBRK_X80Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y99 IS_DCM_TILE 0 TILEPROP VBRK_X80Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y99 NAME VBRK_X80Y99 TILEPROP VBRK_X80Y99 NUM_ARCS 0 TILEPROP VBRK_X80Y99 NUM_SITES 0 TILEPROP VBRK_X80Y99 ROW 57 TILEPROP VBRK_X80Y99 SLR_REGION_ID 0 TILEPROP VBRK_X80Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y99 TILE_TYPE VBRK TILEPROP VBRK_X80Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y99 TILE_X 47696 TILEPROP VBRK_X80Y99 TILE_Y 66624 TILEPROP VBRK_X80Y99 TYPE VBRK TILEPROP VBRK_X80Y100 CLASS tile TILEPROP VBRK_X80Y100 COLUMN 80 TILEPROP VBRK_X80Y100 DEVICE_ID 0 TILEPROP VBRK_X80Y100 FIRST_SITE_ID 5452 TILEPROP VBRK_X80Y100 GRID_POINT_X 80 TILEPROP VBRK_X80Y100 GRID_POINT_Y 56 TILEPROP VBRK_X80Y100 INDEX 6520 TILEPROP VBRK_X80Y100 INT_TILE_X 31 TILEPROP VBRK_X80Y100 INT_TILE_Y 53 TILEPROP VBRK_X80Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y100 IS_DCM_TILE 0 TILEPROP VBRK_X80Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y100 NAME VBRK_X80Y100 TILEPROP VBRK_X80Y100 NUM_ARCS 0 TILEPROP VBRK_X80Y100 NUM_SITES 0 TILEPROP VBRK_X80Y100 ROW 56 TILEPROP VBRK_X80Y100 SLR_REGION_ID 0 TILEPROP VBRK_X80Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y100 TILE_TYPE VBRK TILEPROP VBRK_X80Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y100 TILE_X 47696 TILEPROP VBRK_X80Y100 TILE_Y 69824 TILEPROP VBRK_X80Y100 TYPE VBRK TILEPROP VBRK_X80Y101 CLASS tile TILEPROP VBRK_X80Y101 COLUMN 80 TILEPROP VBRK_X80Y101 DEVICE_ID 0 TILEPROP VBRK_X80Y101 FIRST_SITE_ID 5352 TILEPROP VBRK_X80Y101 GRID_POINT_X 80 TILEPROP VBRK_X80Y101 GRID_POINT_Y 55 TILEPROP VBRK_X80Y101 INDEX 6405 TILEPROP VBRK_X80Y101 INT_TILE_X 31 TILEPROP VBRK_X80Y101 INT_TILE_Y 52 TILEPROP VBRK_X80Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y101 IS_DCM_TILE 0 TILEPROP VBRK_X80Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y101 NAME VBRK_X80Y101 TILEPROP VBRK_X80Y101 NUM_ARCS 0 TILEPROP VBRK_X80Y101 NUM_SITES 0 TILEPROP VBRK_X80Y101 ROW 55 TILEPROP VBRK_X80Y101 SLR_REGION_ID 0 TILEPROP VBRK_X80Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y101 TILE_TYPE VBRK TILEPROP VBRK_X80Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y101 TILE_X 47696 TILEPROP VBRK_X80Y101 TILE_Y 73024 TILEPROP VBRK_X80Y101 TYPE VBRK TILEPROP VBRK_X80Y102 CLASS tile TILEPROP VBRK_X80Y102 COLUMN 80 TILEPROP VBRK_X80Y102 DEVICE_ID 0 TILEPROP VBRK_X80Y102 FIRST_SITE_ID 5252 TILEPROP VBRK_X80Y102 GRID_POINT_X 80 TILEPROP VBRK_X80Y102 GRID_POINT_Y 54 TILEPROP VBRK_X80Y102 INDEX 6290 TILEPROP VBRK_X80Y102 INT_TILE_X 31 TILEPROP VBRK_X80Y102 INT_TILE_Y 51 TILEPROP VBRK_X80Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y102 IS_DCM_TILE 0 TILEPROP VBRK_X80Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y102 NAME VBRK_X80Y102 TILEPROP VBRK_X80Y102 NUM_ARCS 0 TILEPROP VBRK_X80Y102 NUM_SITES 0 TILEPROP VBRK_X80Y102 ROW 54 TILEPROP VBRK_X80Y102 SLR_REGION_ID 0 TILEPROP VBRK_X80Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y102 TILE_TYPE VBRK TILEPROP VBRK_X80Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y102 TILE_X 47696 TILEPROP VBRK_X80Y102 TILE_Y 76224 TILEPROP VBRK_X80Y102 TYPE VBRK TILEPROP VBRK_X80Y103 CLASS tile TILEPROP VBRK_X80Y103 COLUMN 80 TILEPROP VBRK_X80Y103 DEVICE_ID 0 TILEPROP VBRK_X80Y103 FIRST_SITE_ID 5156 TILEPROP VBRK_X80Y103 GRID_POINT_X 80 TILEPROP VBRK_X80Y103 GRID_POINT_Y 53 TILEPROP VBRK_X80Y103 INDEX 6175 TILEPROP VBRK_X80Y103 INT_TILE_X 31 TILEPROP VBRK_X80Y103 INT_TILE_Y 50 TILEPROP VBRK_X80Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y103 IS_DCM_TILE 0 TILEPROP VBRK_X80Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y103 NAME VBRK_X80Y103 TILEPROP VBRK_X80Y103 NUM_ARCS 0 TILEPROP VBRK_X80Y103 NUM_SITES 0 TILEPROP VBRK_X80Y103 ROW 53 TILEPROP VBRK_X80Y103 SLR_REGION_ID 0 TILEPROP VBRK_X80Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y103 TILE_TYPE VBRK TILEPROP VBRK_X80Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y103 TILE_X 47696 TILEPROP VBRK_X80Y103 TILE_Y 79424 TILEPROP VBRK_X80Y103 TYPE VBRK TILEPROP VBRK_X80Y105 CLASS tile TILEPROP VBRK_X80Y105 COLUMN 80 TILEPROP VBRK_X80Y105 DEVICE_ID 0 TILEPROP VBRK_X80Y105 FIRST_SITE_ID 5071 TILEPROP VBRK_X80Y105 GRID_POINT_X 80 TILEPROP VBRK_X80Y105 GRID_POINT_Y 51 TILEPROP VBRK_X80Y105 INDEX 5945 TILEPROP VBRK_X80Y105 INT_TILE_X 31 TILEPROP VBRK_X80Y105 INT_TILE_Y 49 TILEPROP VBRK_X80Y105 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y105 IS_DCM_TILE 0 TILEPROP VBRK_X80Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y105 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y105 NAME VBRK_X80Y105 TILEPROP VBRK_X80Y105 NUM_ARCS 0 TILEPROP VBRK_X80Y105 NUM_SITES 0 TILEPROP VBRK_X80Y105 ROW 51 TILEPROP VBRK_X80Y105 SLR_REGION_ID 0 TILEPROP VBRK_X80Y105 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y105 TILE_TYPE VBRK TILEPROP VBRK_X80Y105 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y105 TILE_X 47696 TILEPROP VBRK_X80Y105 TILE_Y 82872 TILEPROP VBRK_X80Y105 TYPE VBRK TILEPROP VBRK_X80Y106 CLASS tile TILEPROP VBRK_X80Y106 COLUMN 80 TILEPROP VBRK_X80Y106 DEVICE_ID 0 TILEPROP VBRK_X80Y106 FIRST_SITE_ID 4973 TILEPROP VBRK_X80Y106 GRID_POINT_X 80 TILEPROP VBRK_X80Y106 GRID_POINT_Y 50 TILEPROP VBRK_X80Y106 INDEX 5830 TILEPROP VBRK_X80Y106 INT_TILE_X 31 TILEPROP VBRK_X80Y106 INT_TILE_Y 48 TILEPROP VBRK_X80Y106 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y106 IS_DCM_TILE 0 TILEPROP VBRK_X80Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y106 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y106 NAME VBRK_X80Y106 TILEPROP VBRK_X80Y106 NUM_ARCS 0 TILEPROP VBRK_X80Y106 NUM_SITES 0 TILEPROP VBRK_X80Y106 ROW 50 TILEPROP VBRK_X80Y106 SLR_REGION_ID 0 TILEPROP VBRK_X80Y106 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y106 TILE_TYPE VBRK TILEPROP VBRK_X80Y106 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y106 TILE_X 47696 TILEPROP VBRK_X80Y106 TILE_Y 86072 TILEPROP VBRK_X80Y106 TYPE VBRK TILEPROP VBRK_X80Y107 CLASS tile TILEPROP VBRK_X80Y107 COLUMN 80 TILEPROP VBRK_X80Y107 DEVICE_ID 0 TILEPROP VBRK_X80Y107 FIRST_SITE_ID 4877 TILEPROP VBRK_X80Y107 GRID_POINT_X 80 TILEPROP VBRK_X80Y107 GRID_POINT_Y 49 TILEPROP VBRK_X80Y107 INDEX 5715 TILEPROP VBRK_X80Y107 INT_TILE_X 31 TILEPROP VBRK_X80Y107 INT_TILE_Y 47 TILEPROP VBRK_X80Y107 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y107 IS_DCM_TILE 0 TILEPROP VBRK_X80Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y107 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y107 NAME VBRK_X80Y107 TILEPROP VBRK_X80Y107 NUM_ARCS 0 TILEPROP VBRK_X80Y107 NUM_SITES 0 TILEPROP VBRK_X80Y107 ROW 49 TILEPROP VBRK_X80Y107 SLR_REGION_ID 0 TILEPROP VBRK_X80Y107 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y107 TILE_TYPE VBRK TILEPROP VBRK_X80Y107 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y107 TILE_X 47696 TILEPROP VBRK_X80Y107 TILE_Y 89272 TILEPROP VBRK_X80Y107 TYPE VBRK TILEPROP VBRK_X80Y108 CLASS tile TILEPROP VBRK_X80Y108 COLUMN 80 TILEPROP VBRK_X80Y108 DEVICE_ID 0 TILEPROP VBRK_X80Y108 FIRST_SITE_ID 4789 TILEPROP VBRK_X80Y108 GRID_POINT_X 80 TILEPROP VBRK_X80Y108 GRID_POINT_Y 48 TILEPROP VBRK_X80Y108 INDEX 5600 TILEPROP VBRK_X80Y108 INT_TILE_X 31 TILEPROP VBRK_X80Y108 INT_TILE_Y 46 TILEPROP VBRK_X80Y108 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y108 IS_DCM_TILE 0 TILEPROP VBRK_X80Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y108 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y108 NAME VBRK_X80Y108 TILEPROP VBRK_X80Y108 NUM_ARCS 0 TILEPROP VBRK_X80Y108 NUM_SITES 0 TILEPROP VBRK_X80Y108 ROW 48 TILEPROP VBRK_X80Y108 SLR_REGION_ID 0 TILEPROP VBRK_X80Y108 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y108 TILE_TYPE VBRK TILEPROP VBRK_X80Y108 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y108 TILE_X 47696 TILEPROP VBRK_X80Y108 TILE_Y 92472 TILEPROP VBRK_X80Y108 TYPE VBRK TILEPROP VBRK_X80Y109 CLASS tile TILEPROP VBRK_X80Y109 COLUMN 80 TILEPROP VBRK_X80Y109 DEVICE_ID 0 TILEPROP VBRK_X80Y109 FIRST_SITE_ID 4693 TILEPROP VBRK_X80Y109 GRID_POINT_X 80 TILEPROP VBRK_X80Y109 GRID_POINT_Y 47 TILEPROP VBRK_X80Y109 INDEX 5485 TILEPROP VBRK_X80Y109 INT_TILE_X 31 TILEPROP VBRK_X80Y109 INT_TILE_Y 45 TILEPROP VBRK_X80Y109 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y109 IS_DCM_TILE 0 TILEPROP VBRK_X80Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y109 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y109 NAME VBRK_X80Y109 TILEPROP VBRK_X80Y109 NUM_ARCS 0 TILEPROP VBRK_X80Y109 NUM_SITES 0 TILEPROP VBRK_X80Y109 ROW 47 TILEPROP VBRK_X80Y109 SLR_REGION_ID 0 TILEPROP VBRK_X80Y109 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y109 TILE_TYPE VBRK TILEPROP VBRK_X80Y109 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y109 TILE_X 47696 TILEPROP VBRK_X80Y109 TILE_Y 95672 TILEPROP VBRK_X80Y109 TYPE VBRK TILEPROP VBRK_X80Y110 CLASS tile TILEPROP VBRK_X80Y110 COLUMN 80 TILEPROP VBRK_X80Y110 DEVICE_ID 0 TILEPROP VBRK_X80Y110 FIRST_SITE_ID 4597 TILEPROP VBRK_X80Y110 GRID_POINT_X 80 TILEPROP VBRK_X80Y110 GRID_POINT_Y 46 TILEPROP VBRK_X80Y110 INDEX 5370 TILEPROP VBRK_X80Y110 INT_TILE_X 31 TILEPROP VBRK_X80Y110 INT_TILE_Y 44 TILEPROP VBRK_X80Y110 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y110 IS_DCM_TILE 0 TILEPROP VBRK_X80Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y110 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y110 NAME VBRK_X80Y110 TILEPROP VBRK_X80Y110 NUM_ARCS 0 TILEPROP VBRK_X80Y110 NUM_SITES 0 TILEPROP VBRK_X80Y110 ROW 46 TILEPROP VBRK_X80Y110 SLR_REGION_ID 0 TILEPROP VBRK_X80Y110 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y110 TILE_TYPE VBRK TILEPROP VBRK_X80Y110 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y110 TILE_X 47696 TILEPROP VBRK_X80Y110 TILE_Y 98872 TILEPROP VBRK_X80Y110 TYPE VBRK TILEPROP VBRK_X80Y111 CLASS tile TILEPROP VBRK_X80Y111 COLUMN 80 TILEPROP VBRK_X80Y111 DEVICE_ID 0 TILEPROP VBRK_X80Y111 FIRST_SITE_ID 4495 TILEPROP VBRK_X80Y111 GRID_POINT_X 80 TILEPROP VBRK_X80Y111 GRID_POINT_Y 45 TILEPROP VBRK_X80Y111 INDEX 5255 TILEPROP VBRK_X80Y111 INT_TILE_X 31 TILEPROP VBRK_X80Y111 INT_TILE_Y 43 TILEPROP VBRK_X80Y111 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y111 IS_DCM_TILE 0 TILEPROP VBRK_X80Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y111 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y111 NAME VBRK_X80Y111 TILEPROP VBRK_X80Y111 NUM_ARCS 0 TILEPROP VBRK_X80Y111 NUM_SITES 0 TILEPROP VBRK_X80Y111 ROW 45 TILEPROP VBRK_X80Y111 SLR_REGION_ID 0 TILEPROP VBRK_X80Y111 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y111 TILE_TYPE VBRK TILEPROP VBRK_X80Y111 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y111 TILE_X 47696 TILEPROP VBRK_X80Y111 TILE_Y 102072 TILEPROP VBRK_X80Y111 TYPE VBRK TILEPROP VBRK_X80Y112 CLASS tile TILEPROP VBRK_X80Y112 COLUMN 80 TILEPROP VBRK_X80Y112 DEVICE_ID 0 TILEPROP VBRK_X80Y112 FIRST_SITE_ID 4407 TILEPROP VBRK_X80Y112 GRID_POINT_X 80 TILEPROP VBRK_X80Y112 GRID_POINT_Y 44 TILEPROP VBRK_X80Y112 INDEX 5140 TILEPROP VBRK_X80Y112 INT_TILE_X 31 TILEPROP VBRK_X80Y112 INT_TILE_Y 42 TILEPROP VBRK_X80Y112 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y112 IS_DCM_TILE 0 TILEPROP VBRK_X80Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y112 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y112 NAME VBRK_X80Y112 TILEPROP VBRK_X80Y112 NUM_ARCS 0 TILEPROP VBRK_X80Y112 NUM_SITES 0 TILEPROP VBRK_X80Y112 ROW 44 TILEPROP VBRK_X80Y112 SLR_REGION_ID 0 TILEPROP VBRK_X80Y112 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y112 TILE_TYPE VBRK TILEPROP VBRK_X80Y112 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y112 TILE_X 47696 TILEPROP VBRK_X80Y112 TILE_Y 105272 TILEPROP VBRK_X80Y112 TYPE VBRK TILEPROP VBRK_X80Y113 CLASS tile TILEPROP VBRK_X80Y113 COLUMN 80 TILEPROP VBRK_X80Y113 DEVICE_ID 0 TILEPROP VBRK_X80Y113 FIRST_SITE_ID 4309 TILEPROP VBRK_X80Y113 GRID_POINT_X 80 TILEPROP VBRK_X80Y113 GRID_POINT_Y 43 TILEPROP VBRK_X80Y113 INDEX 5025 TILEPROP VBRK_X80Y113 INT_TILE_X 31 TILEPROP VBRK_X80Y113 INT_TILE_Y 41 TILEPROP VBRK_X80Y113 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y113 IS_DCM_TILE 0 TILEPROP VBRK_X80Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y113 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y113 NAME VBRK_X80Y113 TILEPROP VBRK_X80Y113 NUM_ARCS 0 TILEPROP VBRK_X80Y113 NUM_SITES 0 TILEPROP VBRK_X80Y113 ROW 43 TILEPROP VBRK_X80Y113 SLR_REGION_ID 0 TILEPROP VBRK_X80Y113 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y113 TILE_TYPE VBRK TILEPROP VBRK_X80Y113 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y113 TILE_X 47696 TILEPROP VBRK_X80Y113 TILE_Y 108472 TILEPROP VBRK_X80Y113 TYPE VBRK TILEPROP VBRK_X80Y114 CLASS tile TILEPROP VBRK_X80Y114 COLUMN 80 TILEPROP VBRK_X80Y114 DEVICE_ID 0 TILEPROP VBRK_X80Y114 FIRST_SITE_ID 4220 TILEPROP VBRK_X80Y114 GRID_POINT_X 80 TILEPROP VBRK_X80Y114 GRID_POINT_Y 42 TILEPROP VBRK_X80Y114 INDEX 4910 TILEPROP VBRK_X80Y114 INT_TILE_X 31 TILEPROP VBRK_X80Y114 INT_TILE_Y 40 TILEPROP VBRK_X80Y114 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y114 IS_DCM_TILE 0 TILEPROP VBRK_X80Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y114 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y114 NAME VBRK_X80Y114 TILEPROP VBRK_X80Y114 NUM_ARCS 0 TILEPROP VBRK_X80Y114 NUM_SITES 0 TILEPROP VBRK_X80Y114 ROW 42 TILEPROP VBRK_X80Y114 SLR_REGION_ID 0 TILEPROP VBRK_X80Y114 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y114 TILE_TYPE VBRK TILEPROP VBRK_X80Y114 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y114 TILE_X 47696 TILEPROP VBRK_X80Y114 TILE_Y 111672 TILEPROP VBRK_X80Y114 TYPE VBRK TILEPROP VBRK_X80Y115 CLASS tile TILEPROP VBRK_X80Y115 COLUMN 80 TILEPROP VBRK_X80Y115 DEVICE_ID 0 TILEPROP VBRK_X80Y115 FIRST_SITE_ID 4121 TILEPROP VBRK_X80Y115 GRID_POINT_X 80 TILEPROP VBRK_X80Y115 GRID_POINT_Y 41 TILEPROP VBRK_X80Y115 INDEX 4795 TILEPROP VBRK_X80Y115 INT_TILE_X 31 TILEPROP VBRK_X80Y115 INT_TILE_Y 39 TILEPROP VBRK_X80Y115 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y115 IS_DCM_TILE 0 TILEPROP VBRK_X80Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y115 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y115 NAME VBRK_X80Y115 TILEPROP VBRK_X80Y115 NUM_ARCS 0 TILEPROP VBRK_X80Y115 NUM_SITES 0 TILEPROP VBRK_X80Y115 ROW 41 TILEPROP VBRK_X80Y115 SLR_REGION_ID 0 TILEPROP VBRK_X80Y115 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y115 TILE_TYPE VBRK TILEPROP VBRK_X80Y115 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y115 TILE_X 47696 TILEPROP VBRK_X80Y115 TILE_Y 114872 TILEPROP VBRK_X80Y115 TYPE VBRK TILEPROP VBRK_X80Y116 CLASS tile TILEPROP VBRK_X80Y116 COLUMN 80 TILEPROP VBRK_X80Y116 DEVICE_ID 0 TILEPROP VBRK_X80Y116 FIRST_SITE_ID 4026 TILEPROP VBRK_X80Y116 GRID_POINT_X 80 TILEPROP VBRK_X80Y116 GRID_POINT_Y 40 TILEPROP VBRK_X80Y116 INDEX 4680 TILEPROP VBRK_X80Y116 INT_TILE_X 31 TILEPROP VBRK_X80Y116 INT_TILE_Y 38 TILEPROP VBRK_X80Y116 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y116 IS_DCM_TILE 0 TILEPROP VBRK_X80Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y116 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y116 NAME VBRK_X80Y116 TILEPROP VBRK_X80Y116 NUM_ARCS 0 TILEPROP VBRK_X80Y116 NUM_SITES 0 TILEPROP VBRK_X80Y116 ROW 40 TILEPROP VBRK_X80Y116 SLR_REGION_ID 0 TILEPROP VBRK_X80Y116 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y116 TILE_TYPE VBRK TILEPROP VBRK_X80Y116 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y116 TILE_X 47696 TILEPROP VBRK_X80Y116 TILE_Y 118072 TILEPROP VBRK_X80Y116 TYPE VBRK TILEPROP VBRK_X80Y117 CLASS tile TILEPROP VBRK_X80Y117 COLUMN 80 TILEPROP VBRK_X80Y117 DEVICE_ID 0 TILEPROP VBRK_X80Y117 FIRST_SITE_ID 3930 TILEPROP VBRK_X80Y117 GRID_POINT_X 80 TILEPROP VBRK_X80Y117 GRID_POINT_Y 39 TILEPROP VBRK_X80Y117 INDEX 4565 TILEPROP VBRK_X80Y117 INT_TILE_X 31 TILEPROP VBRK_X80Y117 INT_TILE_Y 37 TILEPROP VBRK_X80Y117 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y117 IS_DCM_TILE 0 TILEPROP VBRK_X80Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y117 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y117 NAME VBRK_X80Y117 TILEPROP VBRK_X80Y117 NUM_ARCS 0 TILEPROP VBRK_X80Y117 NUM_SITES 0 TILEPROP VBRK_X80Y117 ROW 39 TILEPROP VBRK_X80Y117 SLR_REGION_ID 0 TILEPROP VBRK_X80Y117 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y117 TILE_TYPE VBRK TILEPROP VBRK_X80Y117 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y117 TILE_X 47696 TILEPROP VBRK_X80Y117 TILE_Y 121272 TILEPROP VBRK_X80Y117 TYPE VBRK TILEPROP VBRK_X80Y118 CLASS tile TILEPROP VBRK_X80Y118 COLUMN 80 TILEPROP VBRK_X80Y118 DEVICE_ID 0 TILEPROP VBRK_X80Y118 FIRST_SITE_ID 3810 TILEPROP VBRK_X80Y118 GRID_POINT_X 80 TILEPROP VBRK_X80Y118 GRID_POINT_Y 38 TILEPROP VBRK_X80Y118 INDEX 4450 TILEPROP VBRK_X80Y118 INT_TILE_X 31 TILEPROP VBRK_X80Y118 INT_TILE_Y 36 TILEPROP VBRK_X80Y118 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y118 IS_DCM_TILE 0 TILEPROP VBRK_X80Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y118 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y118 NAME VBRK_X80Y118 TILEPROP VBRK_X80Y118 NUM_ARCS 0 TILEPROP VBRK_X80Y118 NUM_SITES 0 TILEPROP VBRK_X80Y118 ROW 38 TILEPROP VBRK_X80Y118 SLR_REGION_ID 0 TILEPROP VBRK_X80Y118 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y118 TILE_TYPE VBRK TILEPROP VBRK_X80Y118 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y118 TILE_X 47696 TILEPROP VBRK_X80Y118 TILE_Y 124472 TILEPROP VBRK_X80Y118 TYPE VBRK TILEPROP VBRK_X80Y119 CLASS tile TILEPROP VBRK_X80Y119 COLUMN 80 TILEPROP VBRK_X80Y119 DEVICE_ID 0 TILEPROP VBRK_X80Y119 FIRST_SITE_ID 3714 TILEPROP VBRK_X80Y119 GRID_POINT_X 80 TILEPROP VBRK_X80Y119 GRID_POINT_Y 37 TILEPROP VBRK_X80Y119 INDEX 4335 TILEPROP VBRK_X80Y119 INT_TILE_X 31 TILEPROP VBRK_X80Y119 INT_TILE_Y 35 TILEPROP VBRK_X80Y119 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y119 IS_DCM_TILE 0 TILEPROP VBRK_X80Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y119 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y119 NAME VBRK_X80Y119 TILEPROP VBRK_X80Y119 NUM_ARCS 0 TILEPROP VBRK_X80Y119 NUM_SITES 0 TILEPROP VBRK_X80Y119 ROW 37 TILEPROP VBRK_X80Y119 SLR_REGION_ID 0 TILEPROP VBRK_X80Y119 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y119 TILE_TYPE VBRK TILEPROP VBRK_X80Y119 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y119 TILE_X 47696 TILEPROP VBRK_X80Y119 TILE_Y 127672 TILEPROP VBRK_X80Y119 TYPE VBRK TILEPROP VBRK_X80Y120 CLASS tile TILEPROP VBRK_X80Y120 COLUMN 80 TILEPROP VBRK_X80Y120 DEVICE_ID 0 TILEPROP VBRK_X80Y120 FIRST_SITE_ID 3623 TILEPROP VBRK_X80Y120 GRID_POINT_X 80 TILEPROP VBRK_X80Y120 GRID_POINT_Y 36 TILEPROP VBRK_X80Y120 INDEX 4220 TILEPROP VBRK_X80Y120 INT_TILE_X 31 TILEPROP VBRK_X80Y120 INT_TILE_Y 34 TILEPROP VBRK_X80Y120 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y120 IS_DCM_TILE 0 TILEPROP VBRK_X80Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y120 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y120 NAME VBRK_X80Y120 TILEPROP VBRK_X80Y120 NUM_ARCS 0 TILEPROP VBRK_X80Y120 NUM_SITES 0 TILEPROP VBRK_X80Y120 ROW 36 TILEPROP VBRK_X80Y120 SLR_REGION_ID 0 TILEPROP VBRK_X80Y120 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y120 TILE_TYPE VBRK TILEPROP VBRK_X80Y120 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y120 TILE_X 47696 TILEPROP VBRK_X80Y120 TILE_Y 130872 TILEPROP VBRK_X80Y120 TYPE VBRK TILEPROP VBRK_X80Y121 CLASS tile TILEPROP VBRK_X80Y121 COLUMN 80 TILEPROP VBRK_X80Y121 DEVICE_ID 0 TILEPROP VBRK_X80Y121 FIRST_SITE_ID 3516 TILEPROP VBRK_X80Y121 GRID_POINT_X 80 TILEPROP VBRK_X80Y121 GRID_POINT_Y 35 TILEPROP VBRK_X80Y121 INDEX 4105 TILEPROP VBRK_X80Y121 INT_TILE_X 31 TILEPROP VBRK_X80Y121 INT_TILE_Y 33 TILEPROP VBRK_X80Y121 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y121 IS_DCM_TILE 0 TILEPROP VBRK_X80Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y121 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y121 NAME VBRK_X80Y121 TILEPROP VBRK_X80Y121 NUM_ARCS 0 TILEPROP VBRK_X80Y121 NUM_SITES 0 TILEPROP VBRK_X80Y121 ROW 35 TILEPROP VBRK_X80Y121 SLR_REGION_ID 0 TILEPROP VBRK_X80Y121 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y121 TILE_TYPE VBRK TILEPROP VBRK_X80Y121 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y121 TILE_X 47696 TILEPROP VBRK_X80Y121 TILE_Y 134072 TILEPROP VBRK_X80Y121 TYPE VBRK TILEPROP VBRK_X80Y122 CLASS tile TILEPROP VBRK_X80Y122 COLUMN 80 TILEPROP VBRK_X80Y122 DEVICE_ID 0 TILEPROP VBRK_X80Y122 FIRST_SITE_ID 3428 TILEPROP VBRK_X80Y122 GRID_POINT_X 80 TILEPROP VBRK_X80Y122 GRID_POINT_Y 34 TILEPROP VBRK_X80Y122 INDEX 3990 TILEPROP VBRK_X80Y122 INT_TILE_X 31 TILEPROP VBRK_X80Y122 INT_TILE_Y 32 TILEPROP VBRK_X80Y122 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y122 IS_DCM_TILE 0 TILEPROP VBRK_X80Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y122 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y122 NAME VBRK_X80Y122 TILEPROP VBRK_X80Y122 NUM_ARCS 0 TILEPROP VBRK_X80Y122 NUM_SITES 0 TILEPROP VBRK_X80Y122 ROW 34 TILEPROP VBRK_X80Y122 SLR_REGION_ID 0 TILEPROP VBRK_X80Y122 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y122 TILE_TYPE VBRK TILEPROP VBRK_X80Y122 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y122 TILE_X 47696 TILEPROP VBRK_X80Y122 TILE_Y 137272 TILEPROP VBRK_X80Y122 TYPE VBRK TILEPROP VBRK_X80Y123 CLASS tile TILEPROP VBRK_X80Y123 COLUMN 80 TILEPROP VBRK_X80Y123 DEVICE_ID 0 TILEPROP VBRK_X80Y123 FIRST_SITE_ID 3328 TILEPROP VBRK_X80Y123 GRID_POINT_X 80 TILEPROP VBRK_X80Y123 GRID_POINT_Y 33 TILEPROP VBRK_X80Y123 INDEX 3875 TILEPROP VBRK_X80Y123 INT_TILE_X 31 TILEPROP VBRK_X80Y123 INT_TILE_Y 31 TILEPROP VBRK_X80Y123 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y123 IS_DCM_TILE 0 TILEPROP VBRK_X80Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y123 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y123 NAME VBRK_X80Y123 TILEPROP VBRK_X80Y123 NUM_ARCS 0 TILEPROP VBRK_X80Y123 NUM_SITES 0 TILEPROP VBRK_X80Y123 ROW 33 TILEPROP VBRK_X80Y123 SLR_REGION_ID 0 TILEPROP VBRK_X80Y123 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y123 TILE_TYPE VBRK TILEPROP VBRK_X80Y123 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y123 TILE_X 47696 TILEPROP VBRK_X80Y123 TILE_Y 140472 TILEPROP VBRK_X80Y123 TYPE VBRK TILEPROP VBRK_X80Y124 CLASS tile TILEPROP VBRK_X80Y124 COLUMN 80 TILEPROP VBRK_X80Y124 DEVICE_ID 0 TILEPROP VBRK_X80Y124 FIRST_SITE_ID 3240 TILEPROP VBRK_X80Y124 GRID_POINT_X 80 TILEPROP VBRK_X80Y124 GRID_POINT_Y 32 TILEPROP VBRK_X80Y124 INDEX 3760 TILEPROP VBRK_X80Y124 INT_TILE_X 31 TILEPROP VBRK_X80Y124 INT_TILE_Y 30 TILEPROP VBRK_X80Y124 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y124 IS_DCM_TILE 0 TILEPROP VBRK_X80Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y124 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y124 NAME VBRK_X80Y124 TILEPROP VBRK_X80Y124 NUM_ARCS 0 TILEPROP VBRK_X80Y124 NUM_SITES 0 TILEPROP VBRK_X80Y124 ROW 32 TILEPROP VBRK_X80Y124 SLR_REGION_ID 0 TILEPROP VBRK_X80Y124 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y124 TILE_TYPE VBRK TILEPROP VBRK_X80Y124 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y124 TILE_X 47696 TILEPROP VBRK_X80Y124 TILE_Y 143672 TILEPROP VBRK_X80Y124 TYPE VBRK TILEPROP VBRK_X80Y125 CLASS tile TILEPROP VBRK_X80Y125 COLUMN 80 TILEPROP VBRK_X80Y125 DEVICE_ID 0 TILEPROP VBRK_X80Y125 FIRST_SITE_ID 3139 TILEPROP VBRK_X80Y125 GRID_POINT_X 80 TILEPROP VBRK_X80Y125 GRID_POINT_Y 31 TILEPROP VBRK_X80Y125 INDEX 3645 TILEPROP VBRK_X80Y125 INT_TILE_X 31 TILEPROP VBRK_X80Y125 INT_TILE_Y 29 TILEPROP VBRK_X80Y125 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y125 IS_DCM_TILE 0 TILEPROP VBRK_X80Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y125 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y125 NAME VBRK_X80Y125 TILEPROP VBRK_X80Y125 NUM_ARCS 0 TILEPROP VBRK_X80Y125 NUM_SITES 0 TILEPROP VBRK_X80Y125 ROW 31 TILEPROP VBRK_X80Y125 SLR_REGION_ID 0 TILEPROP VBRK_X80Y125 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y125 TILE_TYPE VBRK TILEPROP VBRK_X80Y125 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y125 TILE_X 47696 TILEPROP VBRK_X80Y125 TILE_Y 146872 TILEPROP VBRK_X80Y125 TYPE VBRK TILEPROP VBRK_X80Y126 CLASS tile TILEPROP VBRK_X80Y126 COLUMN 80 TILEPROP VBRK_X80Y126 DEVICE_ID 0 TILEPROP VBRK_X80Y126 FIRST_SITE_ID 3045 TILEPROP VBRK_X80Y126 GRID_POINT_X 80 TILEPROP VBRK_X80Y126 GRID_POINT_Y 30 TILEPROP VBRK_X80Y126 INDEX 3530 TILEPROP VBRK_X80Y126 INT_TILE_X 31 TILEPROP VBRK_X80Y126 INT_TILE_Y 28 TILEPROP VBRK_X80Y126 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y126 IS_DCM_TILE 0 TILEPROP VBRK_X80Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y126 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y126 NAME VBRK_X80Y126 TILEPROP VBRK_X80Y126 NUM_ARCS 0 TILEPROP VBRK_X80Y126 NUM_SITES 0 TILEPROP VBRK_X80Y126 ROW 30 TILEPROP VBRK_X80Y126 SLR_REGION_ID 0 TILEPROP VBRK_X80Y126 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y126 TILE_TYPE VBRK TILEPROP VBRK_X80Y126 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y126 TILE_X 47696 TILEPROP VBRK_X80Y126 TILE_Y 150072 TILEPROP VBRK_X80Y126 TYPE VBRK TILEPROP VBRK_X80Y127 CLASS tile TILEPROP VBRK_X80Y127 COLUMN 80 TILEPROP VBRK_X80Y127 DEVICE_ID 0 TILEPROP VBRK_X80Y127 FIRST_SITE_ID 2942 TILEPROP VBRK_X80Y127 GRID_POINT_X 80 TILEPROP VBRK_X80Y127 GRID_POINT_Y 29 TILEPROP VBRK_X80Y127 INDEX 3415 TILEPROP VBRK_X80Y127 INT_TILE_X 31 TILEPROP VBRK_X80Y127 INT_TILE_Y 27 TILEPROP VBRK_X80Y127 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y127 IS_DCM_TILE 0 TILEPROP VBRK_X80Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y127 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y127 NAME VBRK_X80Y127 TILEPROP VBRK_X80Y127 NUM_ARCS 0 TILEPROP VBRK_X80Y127 NUM_SITES 0 TILEPROP VBRK_X80Y127 ROW 29 TILEPROP VBRK_X80Y127 SLR_REGION_ID 0 TILEPROP VBRK_X80Y127 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y127 TILE_TYPE VBRK TILEPROP VBRK_X80Y127 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y127 TILE_X 47696 TILEPROP VBRK_X80Y127 TILE_Y 153272 TILEPROP VBRK_X80Y127 TYPE VBRK TILEPROP VBRK_X80Y128 CLASS tile TILEPROP VBRK_X80Y128 COLUMN 80 TILEPROP VBRK_X80Y128 DEVICE_ID 0 TILEPROP VBRK_X80Y128 FIRST_SITE_ID 2854 TILEPROP VBRK_X80Y128 GRID_POINT_X 80 TILEPROP VBRK_X80Y128 GRID_POINT_Y 28 TILEPROP VBRK_X80Y128 INDEX 3300 TILEPROP VBRK_X80Y128 INT_TILE_X 31 TILEPROP VBRK_X80Y128 INT_TILE_Y 26 TILEPROP VBRK_X80Y128 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y128 IS_DCM_TILE 0 TILEPROP VBRK_X80Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y128 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y128 NAME VBRK_X80Y128 TILEPROP VBRK_X80Y128 NUM_ARCS 0 TILEPROP VBRK_X80Y128 NUM_SITES 0 TILEPROP VBRK_X80Y128 ROW 28 TILEPROP VBRK_X80Y128 SLR_REGION_ID 0 TILEPROP VBRK_X80Y128 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y128 TILE_TYPE VBRK TILEPROP VBRK_X80Y128 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y128 TILE_X 47696 TILEPROP VBRK_X80Y128 TILE_Y 156472 TILEPROP VBRK_X80Y128 TYPE VBRK TILEPROP VBRK_X80Y129 CLASS tile TILEPROP VBRK_X80Y129 COLUMN 80 TILEPROP VBRK_X80Y129 DEVICE_ID 0 TILEPROP VBRK_X80Y129 FIRST_SITE_ID 2758 TILEPROP VBRK_X80Y129 GRID_POINT_X 80 TILEPROP VBRK_X80Y129 GRID_POINT_Y 27 TILEPROP VBRK_X80Y129 INDEX 3185 TILEPROP VBRK_X80Y129 INT_TILE_X 31 TILEPROP VBRK_X80Y129 INT_TILE_Y 25 TILEPROP VBRK_X80Y129 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y129 IS_DCM_TILE 0 TILEPROP VBRK_X80Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y129 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y129 NAME VBRK_X80Y129 TILEPROP VBRK_X80Y129 NUM_ARCS 0 TILEPROP VBRK_X80Y129 NUM_SITES 0 TILEPROP VBRK_X80Y129 ROW 27 TILEPROP VBRK_X80Y129 SLR_REGION_ID 0 TILEPROP VBRK_X80Y129 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y129 TILE_TYPE VBRK TILEPROP VBRK_X80Y129 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y129 TILE_X 47696 TILEPROP VBRK_X80Y129 TILE_Y 159672 TILEPROP VBRK_X80Y129 TYPE VBRK TILEPROP VBRK_X80Y131 CLASS tile TILEPROP VBRK_X80Y131 COLUMN 80 TILEPROP VBRK_X80Y131 DEVICE_ID 0 TILEPROP VBRK_X80Y131 FIRST_SITE_ID 2596 TILEPROP VBRK_X80Y131 GRID_POINT_X 80 TILEPROP VBRK_X80Y131 GRID_POINT_Y 25 TILEPROP VBRK_X80Y131 INDEX 2955 TILEPROP VBRK_X80Y131 INT_TILE_X 31 TILEPROP VBRK_X80Y131 INT_TILE_Y 24 TILEPROP VBRK_X80Y131 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y131 IS_DCM_TILE 0 TILEPROP VBRK_X80Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y131 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y131 NAME VBRK_X80Y131 TILEPROP VBRK_X80Y131 NUM_ARCS 0 TILEPROP VBRK_X80Y131 NUM_SITES 0 TILEPROP VBRK_X80Y131 ROW 25 TILEPROP VBRK_X80Y131 SLR_REGION_ID 0 TILEPROP VBRK_X80Y131 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y131 TILE_TYPE VBRK TILEPROP VBRK_X80Y131 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y131 TILE_X 47696 TILEPROP VBRK_X80Y131 TILE_Y 163896 TILEPROP VBRK_X80Y131 TYPE VBRK TILEPROP VBRK_X80Y132 CLASS tile TILEPROP VBRK_X80Y132 COLUMN 80 TILEPROP VBRK_X80Y132 DEVICE_ID 0 TILEPROP VBRK_X80Y132 FIRST_SITE_ID 2483 TILEPROP VBRK_X80Y132 GRID_POINT_X 80 TILEPROP VBRK_X80Y132 GRID_POINT_Y 24 TILEPROP VBRK_X80Y132 INDEX 2840 TILEPROP VBRK_X80Y132 INT_TILE_X 31 TILEPROP VBRK_X80Y132 INT_TILE_Y 23 TILEPROP VBRK_X80Y132 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y132 IS_DCM_TILE 0 TILEPROP VBRK_X80Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y132 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y132 NAME VBRK_X80Y132 TILEPROP VBRK_X80Y132 NUM_ARCS 0 TILEPROP VBRK_X80Y132 NUM_SITES 0 TILEPROP VBRK_X80Y132 ROW 24 TILEPROP VBRK_X80Y132 SLR_REGION_ID 0 TILEPROP VBRK_X80Y132 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y132 TILE_TYPE VBRK TILEPROP VBRK_X80Y132 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y132 TILE_X 47696 TILEPROP VBRK_X80Y132 TILE_Y 167096 TILEPROP VBRK_X80Y132 TYPE VBRK TILEPROP VBRK_X80Y133 CLASS tile TILEPROP VBRK_X80Y133 COLUMN 80 TILEPROP VBRK_X80Y133 DEVICE_ID 0 TILEPROP VBRK_X80Y133 FIRST_SITE_ID 2387 TILEPROP VBRK_X80Y133 GRID_POINT_X 80 TILEPROP VBRK_X80Y133 GRID_POINT_Y 23 TILEPROP VBRK_X80Y133 INDEX 2725 TILEPROP VBRK_X80Y133 INT_TILE_X 31 TILEPROP VBRK_X80Y133 INT_TILE_Y 22 TILEPROP VBRK_X80Y133 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y133 IS_DCM_TILE 0 TILEPROP VBRK_X80Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y133 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y133 NAME VBRK_X80Y133 TILEPROP VBRK_X80Y133 NUM_ARCS 0 TILEPROP VBRK_X80Y133 NUM_SITES 0 TILEPROP VBRK_X80Y133 ROW 23 TILEPROP VBRK_X80Y133 SLR_REGION_ID 0 TILEPROP VBRK_X80Y133 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y133 TILE_TYPE VBRK TILEPROP VBRK_X80Y133 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y133 TILE_X 47696 TILEPROP VBRK_X80Y133 TILE_Y 170296 TILEPROP VBRK_X80Y133 TYPE VBRK TILEPROP VBRK_X80Y134 CLASS tile TILEPROP VBRK_X80Y134 COLUMN 80 TILEPROP VBRK_X80Y134 DEVICE_ID 0 TILEPROP VBRK_X80Y134 FIRST_SITE_ID 2283 TILEPROP VBRK_X80Y134 GRID_POINT_X 80 TILEPROP VBRK_X80Y134 GRID_POINT_Y 22 TILEPROP VBRK_X80Y134 INDEX 2610 TILEPROP VBRK_X80Y134 INT_TILE_X 31 TILEPROP VBRK_X80Y134 INT_TILE_Y 21 TILEPROP VBRK_X80Y134 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y134 IS_DCM_TILE 0 TILEPROP VBRK_X80Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y134 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y134 NAME VBRK_X80Y134 TILEPROP VBRK_X80Y134 NUM_ARCS 0 TILEPROP VBRK_X80Y134 NUM_SITES 0 TILEPROP VBRK_X80Y134 ROW 22 TILEPROP VBRK_X80Y134 SLR_REGION_ID 0 TILEPROP VBRK_X80Y134 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y134 TILE_TYPE VBRK TILEPROP VBRK_X80Y134 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y134 TILE_X 47696 TILEPROP VBRK_X80Y134 TILE_Y 173496 TILEPROP VBRK_X80Y134 TYPE VBRK TILEPROP VBRK_X80Y135 CLASS tile TILEPROP VBRK_X80Y135 COLUMN 80 TILEPROP VBRK_X80Y135 DEVICE_ID 0 TILEPROP VBRK_X80Y135 FIRST_SITE_ID 2187 TILEPROP VBRK_X80Y135 GRID_POINT_X 80 TILEPROP VBRK_X80Y135 GRID_POINT_Y 21 TILEPROP VBRK_X80Y135 INDEX 2495 TILEPROP VBRK_X80Y135 INT_TILE_X 31 TILEPROP VBRK_X80Y135 INT_TILE_Y 20 TILEPROP VBRK_X80Y135 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y135 IS_DCM_TILE 0 TILEPROP VBRK_X80Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y135 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y135 NAME VBRK_X80Y135 TILEPROP VBRK_X80Y135 NUM_ARCS 0 TILEPROP VBRK_X80Y135 NUM_SITES 0 TILEPROP VBRK_X80Y135 ROW 21 TILEPROP VBRK_X80Y135 SLR_REGION_ID 0 TILEPROP VBRK_X80Y135 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y135 TILE_TYPE VBRK TILEPROP VBRK_X80Y135 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y135 TILE_X 47696 TILEPROP VBRK_X80Y135 TILE_Y 176696 TILEPROP VBRK_X80Y135 TYPE VBRK TILEPROP VBRK_X80Y136 CLASS tile TILEPROP VBRK_X80Y136 COLUMN 80 TILEPROP VBRK_X80Y136 DEVICE_ID 0 TILEPROP VBRK_X80Y136 FIRST_SITE_ID 2074 TILEPROP VBRK_X80Y136 GRID_POINT_X 80 TILEPROP VBRK_X80Y136 GRID_POINT_Y 20 TILEPROP VBRK_X80Y136 INDEX 2380 TILEPROP VBRK_X80Y136 INT_TILE_X 31 TILEPROP VBRK_X80Y136 INT_TILE_Y 19 TILEPROP VBRK_X80Y136 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y136 IS_DCM_TILE 0 TILEPROP VBRK_X80Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y136 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y136 NAME VBRK_X80Y136 TILEPROP VBRK_X80Y136 NUM_ARCS 0 TILEPROP VBRK_X80Y136 NUM_SITES 0 TILEPROP VBRK_X80Y136 ROW 20 TILEPROP VBRK_X80Y136 SLR_REGION_ID 0 TILEPROP VBRK_X80Y136 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y136 TILE_TYPE VBRK TILEPROP VBRK_X80Y136 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y136 TILE_X 47696 TILEPROP VBRK_X80Y136 TILE_Y 179896 TILEPROP VBRK_X80Y136 TYPE VBRK TILEPROP VBRK_X80Y137 CLASS tile TILEPROP VBRK_X80Y137 COLUMN 80 TILEPROP VBRK_X80Y137 DEVICE_ID 0 TILEPROP VBRK_X80Y137 FIRST_SITE_ID 1969 TILEPROP VBRK_X80Y137 GRID_POINT_X 80 TILEPROP VBRK_X80Y137 GRID_POINT_Y 19 TILEPROP VBRK_X80Y137 INDEX 2265 TILEPROP VBRK_X80Y137 INT_TILE_X 31 TILEPROP VBRK_X80Y137 INT_TILE_Y 18 TILEPROP VBRK_X80Y137 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y137 IS_DCM_TILE 0 TILEPROP VBRK_X80Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y137 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y137 NAME VBRK_X80Y137 TILEPROP VBRK_X80Y137 NUM_ARCS 0 TILEPROP VBRK_X80Y137 NUM_SITES 0 TILEPROP VBRK_X80Y137 ROW 19 TILEPROP VBRK_X80Y137 SLR_REGION_ID 0 TILEPROP VBRK_X80Y137 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y137 TILE_TYPE VBRK TILEPROP VBRK_X80Y137 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y137 TILE_X 47696 TILEPROP VBRK_X80Y137 TILE_Y 183096 TILEPROP VBRK_X80Y137 TYPE VBRK TILEPROP VBRK_X80Y138 CLASS tile TILEPROP VBRK_X80Y138 COLUMN 80 TILEPROP VBRK_X80Y138 DEVICE_ID 0 TILEPROP VBRK_X80Y138 FIRST_SITE_ID 1863 TILEPROP VBRK_X80Y138 GRID_POINT_X 80 TILEPROP VBRK_X80Y138 GRID_POINT_Y 18 TILEPROP VBRK_X80Y138 INDEX 2150 TILEPROP VBRK_X80Y138 INT_TILE_X 31 TILEPROP VBRK_X80Y138 INT_TILE_Y 17 TILEPROP VBRK_X80Y138 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y138 IS_DCM_TILE 0 TILEPROP VBRK_X80Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y138 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y138 NAME VBRK_X80Y138 TILEPROP VBRK_X80Y138 NUM_ARCS 0 TILEPROP VBRK_X80Y138 NUM_SITES 0 TILEPROP VBRK_X80Y138 ROW 18 TILEPROP VBRK_X80Y138 SLR_REGION_ID 0 TILEPROP VBRK_X80Y138 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y138 TILE_TYPE VBRK TILEPROP VBRK_X80Y138 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y138 TILE_X 47696 TILEPROP VBRK_X80Y138 TILE_Y 186296 TILEPROP VBRK_X80Y138 TYPE VBRK TILEPROP VBRK_X80Y139 CLASS tile TILEPROP VBRK_X80Y139 COLUMN 80 TILEPROP VBRK_X80Y139 DEVICE_ID 0 TILEPROP VBRK_X80Y139 FIRST_SITE_ID 1762 TILEPROP VBRK_X80Y139 GRID_POINT_X 80 TILEPROP VBRK_X80Y139 GRID_POINT_Y 17 TILEPROP VBRK_X80Y139 INDEX 2035 TILEPROP VBRK_X80Y139 INT_TILE_X 31 TILEPROP VBRK_X80Y139 INT_TILE_Y 16 TILEPROP VBRK_X80Y139 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y139 IS_DCM_TILE 0 TILEPROP VBRK_X80Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y139 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y139 NAME VBRK_X80Y139 TILEPROP VBRK_X80Y139 NUM_ARCS 0 TILEPROP VBRK_X80Y139 NUM_SITES 0 TILEPROP VBRK_X80Y139 ROW 17 TILEPROP VBRK_X80Y139 SLR_REGION_ID 0 TILEPROP VBRK_X80Y139 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y139 TILE_TYPE VBRK TILEPROP VBRK_X80Y139 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y139 TILE_X 47696 TILEPROP VBRK_X80Y139 TILE_Y 189496 TILEPROP VBRK_X80Y139 TYPE VBRK TILEPROP VBRK_X80Y140 CLASS tile TILEPROP VBRK_X80Y140 COLUMN 80 TILEPROP VBRK_X80Y140 DEVICE_ID 0 TILEPROP VBRK_X80Y140 FIRST_SITE_ID 1658 TILEPROP VBRK_X80Y140 GRID_POINT_X 80 TILEPROP VBRK_X80Y140 GRID_POINT_Y 16 TILEPROP VBRK_X80Y140 INDEX 1920 TILEPROP VBRK_X80Y140 INT_TILE_X 31 TILEPROP VBRK_X80Y140 INT_TILE_Y 15 TILEPROP VBRK_X80Y140 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y140 IS_DCM_TILE 0 TILEPROP VBRK_X80Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y140 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y140 NAME VBRK_X80Y140 TILEPROP VBRK_X80Y140 NUM_ARCS 0 TILEPROP VBRK_X80Y140 NUM_SITES 0 TILEPROP VBRK_X80Y140 ROW 16 TILEPROP VBRK_X80Y140 SLR_REGION_ID 0 TILEPROP VBRK_X80Y140 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y140 TILE_TYPE VBRK TILEPROP VBRK_X80Y140 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y140 TILE_X 47696 TILEPROP VBRK_X80Y140 TILE_Y 192696 TILEPROP VBRK_X80Y140 TYPE VBRK TILEPROP VBRK_X80Y141 CLASS tile TILEPROP VBRK_X80Y141 COLUMN 80 TILEPROP VBRK_X80Y141 DEVICE_ID 0 TILEPROP VBRK_X80Y141 FIRST_SITE_ID 1559 TILEPROP VBRK_X80Y141 GRID_POINT_X 80 TILEPROP VBRK_X80Y141 GRID_POINT_Y 15 TILEPROP VBRK_X80Y141 INDEX 1805 TILEPROP VBRK_X80Y141 INT_TILE_X 31 TILEPROP VBRK_X80Y141 INT_TILE_Y 14 TILEPROP VBRK_X80Y141 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y141 IS_DCM_TILE 0 TILEPROP VBRK_X80Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y141 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y141 NAME VBRK_X80Y141 TILEPROP VBRK_X80Y141 NUM_ARCS 0 TILEPROP VBRK_X80Y141 NUM_SITES 0 TILEPROP VBRK_X80Y141 ROW 15 TILEPROP VBRK_X80Y141 SLR_REGION_ID 0 TILEPROP VBRK_X80Y141 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y141 TILE_TYPE VBRK TILEPROP VBRK_X80Y141 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y141 TILE_X 47696 TILEPROP VBRK_X80Y141 TILE_Y 195896 TILEPROP VBRK_X80Y141 TYPE VBRK TILEPROP VBRK_X80Y142 CLASS tile TILEPROP VBRK_X80Y142 COLUMN 80 TILEPROP VBRK_X80Y142 DEVICE_ID 0 TILEPROP VBRK_X80Y142 FIRST_SITE_ID 1446 TILEPROP VBRK_X80Y142 GRID_POINT_X 80 TILEPROP VBRK_X80Y142 GRID_POINT_Y 14 TILEPROP VBRK_X80Y142 INDEX 1690 TILEPROP VBRK_X80Y142 INT_TILE_X 31 TILEPROP VBRK_X80Y142 INT_TILE_Y 13 TILEPROP VBRK_X80Y142 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y142 IS_DCM_TILE 0 TILEPROP VBRK_X80Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y142 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y142 NAME VBRK_X80Y142 TILEPROP VBRK_X80Y142 NUM_ARCS 0 TILEPROP VBRK_X80Y142 NUM_SITES 0 TILEPROP VBRK_X80Y142 ROW 14 TILEPROP VBRK_X80Y142 SLR_REGION_ID 0 TILEPROP VBRK_X80Y142 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y142 TILE_TYPE VBRK TILEPROP VBRK_X80Y142 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y142 TILE_X 47696 TILEPROP VBRK_X80Y142 TILE_Y 199096 TILEPROP VBRK_X80Y142 TYPE VBRK TILEPROP VBRK_X80Y143 CLASS tile TILEPROP VBRK_X80Y143 COLUMN 80 TILEPROP VBRK_X80Y143 DEVICE_ID 0 TILEPROP VBRK_X80Y143 FIRST_SITE_ID 1318 TILEPROP VBRK_X80Y143 GRID_POINT_X 80 TILEPROP VBRK_X80Y143 GRID_POINT_Y 13 TILEPROP VBRK_X80Y143 INDEX 1575 TILEPROP VBRK_X80Y143 INT_TILE_X 31 TILEPROP VBRK_X80Y143 INT_TILE_Y 12 TILEPROP VBRK_X80Y143 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y143 IS_DCM_TILE 0 TILEPROP VBRK_X80Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y143 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y143 NAME VBRK_X80Y143 TILEPROP VBRK_X80Y143 NUM_ARCS 0 TILEPROP VBRK_X80Y143 NUM_SITES 0 TILEPROP VBRK_X80Y143 ROW 13 TILEPROP VBRK_X80Y143 SLR_REGION_ID 0 TILEPROP VBRK_X80Y143 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y143 TILE_TYPE VBRK TILEPROP VBRK_X80Y143 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y143 TILE_X 47696 TILEPROP VBRK_X80Y143 TILE_Y 202296 TILEPROP VBRK_X80Y143 TYPE VBRK TILEPROP VBRK_X80Y144 CLASS tile TILEPROP VBRK_X80Y144 COLUMN 80 TILEPROP VBRK_X80Y144 DEVICE_ID 0 TILEPROP VBRK_X80Y144 FIRST_SITE_ID 1214 TILEPROP VBRK_X80Y144 GRID_POINT_X 80 TILEPROP VBRK_X80Y144 GRID_POINT_Y 12 TILEPROP VBRK_X80Y144 INDEX 1460 TILEPROP VBRK_X80Y144 INT_TILE_X 31 TILEPROP VBRK_X80Y144 INT_TILE_Y 11 TILEPROP VBRK_X80Y144 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y144 IS_DCM_TILE 0 TILEPROP VBRK_X80Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y144 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y144 NAME VBRK_X80Y144 TILEPROP VBRK_X80Y144 NUM_ARCS 0 TILEPROP VBRK_X80Y144 NUM_SITES 0 TILEPROP VBRK_X80Y144 ROW 12 TILEPROP VBRK_X80Y144 SLR_REGION_ID 0 TILEPROP VBRK_X80Y144 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y144 TILE_TYPE VBRK TILEPROP VBRK_X80Y144 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y144 TILE_X 47696 TILEPROP VBRK_X80Y144 TILE_Y 205496 TILEPROP VBRK_X80Y144 TYPE VBRK TILEPROP VBRK_X80Y145 CLASS tile TILEPROP VBRK_X80Y145 COLUMN 80 TILEPROP VBRK_X80Y145 DEVICE_ID 0 TILEPROP VBRK_X80Y145 FIRST_SITE_ID 1118 TILEPROP VBRK_X80Y145 GRID_POINT_X 80 TILEPROP VBRK_X80Y145 GRID_POINT_Y 11 TILEPROP VBRK_X80Y145 INDEX 1345 TILEPROP VBRK_X80Y145 INT_TILE_X 31 TILEPROP VBRK_X80Y145 INT_TILE_Y 10 TILEPROP VBRK_X80Y145 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y145 IS_DCM_TILE 0 TILEPROP VBRK_X80Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y145 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y145 NAME VBRK_X80Y145 TILEPROP VBRK_X80Y145 NUM_ARCS 0 TILEPROP VBRK_X80Y145 NUM_SITES 0 TILEPROP VBRK_X80Y145 ROW 11 TILEPROP VBRK_X80Y145 SLR_REGION_ID 0 TILEPROP VBRK_X80Y145 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y145 TILE_TYPE VBRK TILEPROP VBRK_X80Y145 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y145 TILE_X 47696 TILEPROP VBRK_X80Y145 TILE_Y 208696 TILEPROP VBRK_X80Y145 TYPE VBRK TILEPROP VBRK_X80Y146 CLASS tile TILEPROP VBRK_X80Y146 COLUMN 80 TILEPROP VBRK_X80Y146 DEVICE_ID 0 TILEPROP VBRK_X80Y146 FIRST_SITE_ID 1011 TILEPROP VBRK_X80Y146 GRID_POINT_X 80 TILEPROP VBRK_X80Y146 GRID_POINT_Y 10 TILEPROP VBRK_X80Y146 INDEX 1230 TILEPROP VBRK_X80Y146 INT_TILE_X 31 TILEPROP VBRK_X80Y146 INT_TILE_Y 9 TILEPROP VBRK_X80Y146 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y146 IS_DCM_TILE 0 TILEPROP VBRK_X80Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y146 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y146 NAME VBRK_X80Y146 TILEPROP VBRK_X80Y146 NUM_ARCS 0 TILEPROP VBRK_X80Y146 NUM_SITES 0 TILEPROP VBRK_X80Y146 ROW 10 TILEPROP VBRK_X80Y146 SLR_REGION_ID 0 TILEPROP VBRK_X80Y146 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y146 TILE_TYPE VBRK TILEPROP VBRK_X80Y146 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y146 TILE_X 47696 TILEPROP VBRK_X80Y146 TILE_Y 211896 TILEPROP VBRK_X80Y146 TYPE VBRK TILEPROP VBRK_X80Y147 CLASS tile TILEPROP VBRK_X80Y147 COLUMN 80 TILEPROP VBRK_X80Y147 DEVICE_ID 0 TILEPROP VBRK_X80Y147 FIRST_SITE_ID 906 TILEPROP VBRK_X80Y147 GRID_POINT_X 80 TILEPROP VBRK_X80Y147 GRID_POINT_Y 9 TILEPROP VBRK_X80Y147 INDEX 1115 TILEPROP VBRK_X80Y147 INT_TILE_X 31 TILEPROP VBRK_X80Y147 INT_TILE_Y 8 TILEPROP VBRK_X80Y147 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y147 IS_DCM_TILE 0 TILEPROP VBRK_X80Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y147 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y147 NAME VBRK_X80Y147 TILEPROP VBRK_X80Y147 NUM_ARCS 0 TILEPROP VBRK_X80Y147 NUM_SITES 0 TILEPROP VBRK_X80Y147 ROW 9 TILEPROP VBRK_X80Y147 SLR_REGION_ID 0 TILEPROP VBRK_X80Y147 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y147 TILE_TYPE VBRK TILEPROP VBRK_X80Y147 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y147 TILE_X 47696 TILEPROP VBRK_X80Y147 TILE_Y 215096 TILEPROP VBRK_X80Y147 TYPE VBRK TILEPROP VBRK_X80Y148 CLASS tile TILEPROP VBRK_X80Y148 COLUMN 80 TILEPROP VBRK_X80Y148 DEVICE_ID 0 TILEPROP VBRK_X80Y148 FIRST_SITE_ID 802 TILEPROP VBRK_X80Y148 GRID_POINT_X 80 TILEPROP VBRK_X80Y148 GRID_POINT_Y 8 TILEPROP VBRK_X80Y148 INDEX 1000 TILEPROP VBRK_X80Y148 INT_TILE_X 31 TILEPROP VBRK_X80Y148 INT_TILE_Y 7 TILEPROP VBRK_X80Y148 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y148 IS_DCM_TILE 0 TILEPROP VBRK_X80Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y148 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y148 NAME VBRK_X80Y148 TILEPROP VBRK_X80Y148 NUM_ARCS 0 TILEPROP VBRK_X80Y148 NUM_SITES 0 TILEPROP VBRK_X80Y148 ROW 8 TILEPROP VBRK_X80Y148 SLR_REGION_ID 0 TILEPROP VBRK_X80Y148 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y148 TILE_TYPE VBRK TILEPROP VBRK_X80Y148 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y148 TILE_X 47696 TILEPROP VBRK_X80Y148 TILE_Y 218296 TILEPROP VBRK_X80Y148 TYPE VBRK TILEPROP VBRK_X80Y149 CLASS tile TILEPROP VBRK_X80Y149 COLUMN 80 TILEPROP VBRK_X80Y149 DEVICE_ID 0 TILEPROP VBRK_X80Y149 FIRST_SITE_ID 705 TILEPROP VBRK_X80Y149 GRID_POINT_X 80 TILEPROP VBRK_X80Y149 GRID_POINT_Y 7 TILEPROP VBRK_X80Y149 INDEX 885 TILEPROP VBRK_X80Y149 INT_TILE_X 31 TILEPROP VBRK_X80Y149 INT_TILE_Y 6 TILEPROP VBRK_X80Y149 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y149 IS_DCM_TILE 0 TILEPROP VBRK_X80Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y149 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y149 NAME VBRK_X80Y149 TILEPROP VBRK_X80Y149 NUM_ARCS 0 TILEPROP VBRK_X80Y149 NUM_SITES 0 TILEPROP VBRK_X80Y149 ROW 7 TILEPROP VBRK_X80Y149 SLR_REGION_ID 0 TILEPROP VBRK_X80Y149 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y149 TILE_TYPE VBRK TILEPROP VBRK_X80Y149 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y149 TILE_X 47696 TILEPROP VBRK_X80Y149 TILE_Y 221496 TILEPROP VBRK_X80Y149 TYPE VBRK TILEPROP VBRK_X80Y150 CLASS tile TILEPROP VBRK_X80Y150 COLUMN 80 TILEPROP VBRK_X80Y150 DEVICE_ID 0 TILEPROP VBRK_X80Y150 FIRST_SITE_ID 594 TILEPROP VBRK_X80Y150 GRID_POINT_X 80 TILEPROP VBRK_X80Y150 GRID_POINT_Y 6 TILEPROP VBRK_X80Y150 INDEX 770 TILEPROP VBRK_X80Y150 INT_TILE_X 31 TILEPROP VBRK_X80Y150 INT_TILE_Y 5 TILEPROP VBRK_X80Y150 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y150 IS_DCM_TILE 0 TILEPROP VBRK_X80Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y150 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y150 NAME VBRK_X80Y150 TILEPROP VBRK_X80Y150 NUM_ARCS 0 TILEPROP VBRK_X80Y150 NUM_SITES 0 TILEPROP VBRK_X80Y150 ROW 6 TILEPROP VBRK_X80Y150 SLR_REGION_ID 0 TILEPROP VBRK_X80Y150 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y150 TILE_TYPE VBRK TILEPROP VBRK_X80Y150 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y150 TILE_X 47696 TILEPROP VBRK_X80Y150 TILE_Y 224696 TILEPROP VBRK_X80Y150 TYPE VBRK TILEPROP VBRK_X80Y151 CLASS tile TILEPROP VBRK_X80Y151 COLUMN 80 TILEPROP VBRK_X80Y151 DEVICE_ID 0 TILEPROP VBRK_X80Y151 FIRST_SITE_ID 495 TILEPROP VBRK_X80Y151 GRID_POINT_X 80 TILEPROP VBRK_X80Y151 GRID_POINT_Y 5 TILEPROP VBRK_X80Y151 INDEX 655 TILEPROP VBRK_X80Y151 INT_TILE_X 31 TILEPROP VBRK_X80Y151 INT_TILE_Y 4 TILEPROP VBRK_X80Y151 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y151 IS_DCM_TILE 0 TILEPROP VBRK_X80Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y151 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y151 NAME VBRK_X80Y151 TILEPROP VBRK_X80Y151 NUM_ARCS 0 TILEPROP VBRK_X80Y151 NUM_SITES 0 TILEPROP VBRK_X80Y151 ROW 5 TILEPROP VBRK_X80Y151 SLR_REGION_ID 0 TILEPROP VBRK_X80Y151 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y151 TILE_TYPE VBRK TILEPROP VBRK_X80Y151 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y151 TILE_X 47696 TILEPROP VBRK_X80Y151 TILE_Y 227896 TILEPROP VBRK_X80Y151 TYPE VBRK TILEPROP VBRK_X80Y152 CLASS tile TILEPROP VBRK_X80Y152 COLUMN 80 TILEPROP VBRK_X80Y152 DEVICE_ID 0 TILEPROP VBRK_X80Y152 FIRST_SITE_ID 382 TILEPROP VBRK_X80Y152 GRID_POINT_X 80 TILEPROP VBRK_X80Y152 GRID_POINT_Y 4 TILEPROP VBRK_X80Y152 INDEX 540 TILEPROP VBRK_X80Y152 INT_TILE_X 31 TILEPROP VBRK_X80Y152 INT_TILE_Y 3 TILEPROP VBRK_X80Y152 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y152 IS_DCM_TILE 0 TILEPROP VBRK_X80Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y152 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y152 NAME VBRK_X80Y152 TILEPROP VBRK_X80Y152 NUM_ARCS 0 TILEPROP VBRK_X80Y152 NUM_SITES 0 TILEPROP VBRK_X80Y152 ROW 4 TILEPROP VBRK_X80Y152 SLR_REGION_ID 0 TILEPROP VBRK_X80Y152 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y152 TILE_TYPE VBRK TILEPROP VBRK_X80Y152 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y152 TILE_X 47696 TILEPROP VBRK_X80Y152 TILE_Y 231096 TILEPROP VBRK_X80Y152 TYPE VBRK TILEPROP VBRK_X80Y153 CLASS tile TILEPROP VBRK_X80Y153 COLUMN 80 TILEPROP VBRK_X80Y153 DEVICE_ID 0 TILEPROP VBRK_X80Y153 FIRST_SITE_ID 286 TILEPROP VBRK_X80Y153 GRID_POINT_X 80 TILEPROP VBRK_X80Y153 GRID_POINT_Y 3 TILEPROP VBRK_X80Y153 INDEX 425 TILEPROP VBRK_X80Y153 INT_TILE_X 31 TILEPROP VBRK_X80Y153 INT_TILE_Y 2 TILEPROP VBRK_X80Y153 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y153 IS_DCM_TILE 0 TILEPROP VBRK_X80Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y153 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y153 NAME VBRK_X80Y153 TILEPROP VBRK_X80Y153 NUM_ARCS 0 TILEPROP VBRK_X80Y153 NUM_SITES 0 TILEPROP VBRK_X80Y153 ROW 3 TILEPROP VBRK_X80Y153 SLR_REGION_ID 0 TILEPROP VBRK_X80Y153 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y153 TILE_TYPE VBRK TILEPROP VBRK_X80Y153 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y153 TILE_X 47696 TILEPROP VBRK_X80Y153 TILE_Y 234296 TILEPROP VBRK_X80Y153 TYPE VBRK TILEPROP VBRK_X80Y154 CLASS tile TILEPROP VBRK_X80Y154 COLUMN 80 TILEPROP VBRK_X80Y154 DEVICE_ID 0 TILEPROP VBRK_X80Y154 FIRST_SITE_ID 182 TILEPROP VBRK_X80Y154 GRID_POINT_X 80 TILEPROP VBRK_X80Y154 GRID_POINT_Y 2 TILEPROP VBRK_X80Y154 INDEX 310 TILEPROP VBRK_X80Y154 INT_TILE_X 31 TILEPROP VBRK_X80Y154 INT_TILE_Y 1 TILEPROP VBRK_X80Y154 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y154 IS_DCM_TILE 0 TILEPROP VBRK_X80Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y154 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y154 NAME VBRK_X80Y154 TILEPROP VBRK_X80Y154 NUM_ARCS 0 TILEPROP VBRK_X80Y154 NUM_SITES 0 TILEPROP VBRK_X80Y154 ROW 2 TILEPROP VBRK_X80Y154 SLR_REGION_ID 0 TILEPROP VBRK_X80Y154 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y154 TILE_TYPE VBRK TILEPROP VBRK_X80Y154 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y154 TILE_X 47696 TILEPROP VBRK_X80Y154 TILE_Y 237496 TILEPROP VBRK_X80Y154 TYPE VBRK TILEPROP VBRK_X80Y155 CLASS tile TILEPROP VBRK_X80Y155 COLUMN 80 TILEPROP VBRK_X80Y155 DEVICE_ID 0 TILEPROP VBRK_X80Y155 FIRST_SITE_ID 86 TILEPROP VBRK_X80Y155 GRID_POINT_X 80 TILEPROP VBRK_X80Y155 GRID_POINT_Y 1 TILEPROP VBRK_X80Y155 INDEX 195 TILEPROP VBRK_X80Y155 INT_TILE_X 31 TILEPROP VBRK_X80Y155 INT_TILE_Y 0 TILEPROP VBRK_X80Y155 IS_CENTER_TILE 0 TILEPROP VBRK_X80Y155 IS_DCM_TILE 0 TILEPROP VBRK_X80Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X80Y155 IS_GT_SITE_TILE 0 TILEPROP VBRK_X80Y155 NAME VBRK_X80Y155 TILEPROP VBRK_X80Y155 NUM_ARCS 0 TILEPROP VBRK_X80Y155 NUM_SITES 0 TILEPROP VBRK_X80Y155 ROW 1 TILEPROP VBRK_X80Y155 SLR_REGION_ID 0 TILEPROP VBRK_X80Y155 TILE_PATTERN_IDX 12 TILEPROP VBRK_X80Y155 TILE_TYPE VBRK TILEPROP VBRK_X80Y155 TILE_TYPE_INDEX 128 TILEPROP VBRK_X80Y155 TILE_X 47696 TILEPROP VBRK_X80Y155 TILE_Y 240696 TILEPROP VBRK_X80Y155 TYPE VBRK TILEPROP VBRK_X85Y1 CLASS tile TILEPROP VBRK_X85Y1 COLUMN 85 TILEPROP VBRK_X85Y1 DEVICE_ID 0 TILEPROP VBRK_X85Y1 FIRST_SITE_ID 15785 TILEPROP VBRK_X85Y1 GRID_POINT_X 85 TILEPROP VBRK_X85Y1 GRID_POINT_Y 155 TILEPROP VBRK_X85Y1 INDEX 17910 TILEPROP VBRK_X85Y1 INT_TILE_X 33 TILEPROP VBRK_X85Y1 INT_TILE_Y 149 TILEPROP VBRK_X85Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y1 IS_DCM_TILE 0 TILEPROP VBRK_X85Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y1 NAME VBRK_X85Y1 TILEPROP VBRK_X85Y1 NUM_ARCS 0 TILEPROP VBRK_X85Y1 NUM_SITES 0 TILEPROP VBRK_X85Y1 ROW 155 TILEPROP VBRK_X85Y1 SLR_REGION_ID 0 TILEPROP VBRK_X85Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y1 TILE_TYPE VBRK TILEPROP VBRK_X85Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y1 TILE_X 56064 TILEPROP VBRK_X85Y1 TILE_Y -239672 TILEPROP VBRK_X85Y1 TYPE VBRK TILEPROP VBRK_X85Y2 CLASS tile TILEPROP VBRK_X85Y2 COLUMN 85 TILEPROP VBRK_X85Y2 DEVICE_ID 0 TILEPROP VBRK_X85Y2 FIRST_SITE_ID 15672 TILEPROP VBRK_X85Y2 GRID_POINT_X 85 TILEPROP VBRK_X85Y2 GRID_POINT_Y 154 TILEPROP VBRK_X85Y2 INDEX 17795 TILEPROP VBRK_X85Y2 INT_TILE_X 33 TILEPROP VBRK_X85Y2 INT_TILE_Y 148 TILEPROP VBRK_X85Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y2 IS_DCM_TILE 0 TILEPROP VBRK_X85Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y2 NAME VBRK_X85Y2 TILEPROP VBRK_X85Y2 NUM_ARCS 0 TILEPROP VBRK_X85Y2 NUM_SITES 0 TILEPROP VBRK_X85Y2 ROW 154 TILEPROP VBRK_X85Y2 SLR_REGION_ID 0 TILEPROP VBRK_X85Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y2 TILE_TYPE VBRK TILEPROP VBRK_X85Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y2 TILE_X 56064 TILEPROP VBRK_X85Y2 TILE_Y -236472 TILEPROP VBRK_X85Y2 TYPE VBRK TILEPROP VBRK_X85Y3 CLASS tile TILEPROP VBRK_X85Y3 COLUMN 85 TILEPROP VBRK_X85Y3 DEVICE_ID 0 TILEPROP VBRK_X85Y3 FIRST_SITE_ID 15571 TILEPROP VBRK_X85Y3 GRID_POINT_X 85 TILEPROP VBRK_X85Y3 GRID_POINT_Y 153 TILEPROP VBRK_X85Y3 INDEX 17680 TILEPROP VBRK_X85Y3 INT_TILE_X 33 TILEPROP VBRK_X85Y3 INT_TILE_Y 147 TILEPROP VBRK_X85Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y3 IS_DCM_TILE 0 TILEPROP VBRK_X85Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y3 NAME VBRK_X85Y3 TILEPROP VBRK_X85Y3 NUM_ARCS 0 TILEPROP VBRK_X85Y3 NUM_SITES 0 TILEPROP VBRK_X85Y3 ROW 153 TILEPROP VBRK_X85Y3 SLR_REGION_ID 0 TILEPROP VBRK_X85Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y3 TILE_TYPE VBRK TILEPROP VBRK_X85Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y3 TILE_X 56064 TILEPROP VBRK_X85Y3 TILE_Y -233272 TILEPROP VBRK_X85Y3 TYPE VBRK TILEPROP VBRK_X85Y4 CLASS tile TILEPROP VBRK_X85Y4 COLUMN 85 TILEPROP VBRK_X85Y4 DEVICE_ID 0 TILEPROP VBRK_X85Y4 FIRST_SITE_ID 15471 TILEPROP VBRK_X85Y4 GRID_POINT_X 85 TILEPROP VBRK_X85Y4 GRID_POINT_Y 152 TILEPROP VBRK_X85Y4 INDEX 17565 TILEPROP VBRK_X85Y4 INT_TILE_X 33 TILEPROP VBRK_X85Y4 INT_TILE_Y 146 TILEPROP VBRK_X85Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y4 IS_DCM_TILE 0 TILEPROP VBRK_X85Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y4 NAME VBRK_X85Y4 TILEPROP VBRK_X85Y4 NUM_ARCS 0 TILEPROP VBRK_X85Y4 NUM_SITES 0 TILEPROP VBRK_X85Y4 ROW 152 TILEPROP VBRK_X85Y4 SLR_REGION_ID 0 TILEPROP VBRK_X85Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y4 TILE_TYPE VBRK TILEPROP VBRK_X85Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y4 TILE_X 56064 TILEPROP VBRK_X85Y4 TILE_Y -230072 TILEPROP VBRK_X85Y4 TYPE VBRK TILEPROP VBRK_X85Y5 CLASS tile TILEPROP VBRK_X85Y5 COLUMN 85 TILEPROP VBRK_X85Y5 DEVICE_ID 0 TILEPROP VBRK_X85Y5 FIRST_SITE_ID 15371 TILEPROP VBRK_X85Y5 GRID_POINT_X 85 TILEPROP VBRK_X85Y5 GRID_POINT_Y 151 TILEPROP VBRK_X85Y5 INDEX 17450 TILEPROP VBRK_X85Y5 INT_TILE_X 33 TILEPROP VBRK_X85Y5 INT_TILE_Y 145 TILEPROP VBRK_X85Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y5 IS_DCM_TILE 0 TILEPROP VBRK_X85Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y5 NAME VBRK_X85Y5 TILEPROP VBRK_X85Y5 NUM_ARCS 0 TILEPROP VBRK_X85Y5 NUM_SITES 0 TILEPROP VBRK_X85Y5 ROW 151 TILEPROP VBRK_X85Y5 SLR_REGION_ID 0 TILEPROP VBRK_X85Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y5 TILE_TYPE VBRK TILEPROP VBRK_X85Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y5 TILE_X 56064 TILEPROP VBRK_X85Y5 TILE_Y -226872 TILEPROP VBRK_X85Y5 TYPE VBRK TILEPROP VBRK_X85Y6 CLASS tile TILEPROP VBRK_X85Y6 COLUMN 85 TILEPROP VBRK_X85Y6 DEVICE_ID 0 TILEPROP VBRK_X85Y6 FIRST_SITE_ID 15265 TILEPROP VBRK_X85Y6 GRID_POINT_X 85 TILEPROP VBRK_X85Y6 GRID_POINT_Y 150 TILEPROP VBRK_X85Y6 INDEX 17335 TILEPROP VBRK_X85Y6 INT_TILE_X 33 TILEPROP VBRK_X85Y6 INT_TILE_Y 144 TILEPROP VBRK_X85Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y6 IS_DCM_TILE 0 TILEPROP VBRK_X85Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y6 NAME VBRK_X85Y6 TILEPROP VBRK_X85Y6 NUM_ARCS 0 TILEPROP VBRK_X85Y6 NUM_SITES 0 TILEPROP VBRK_X85Y6 ROW 150 TILEPROP VBRK_X85Y6 SLR_REGION_ID 0 TILEPROP VBRK_X85Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y6 TILE_TYPE VBRK TILEPROP VBRK_X85Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y6 TILE_X 56064 TILEPROP VBRK_X85Y6 TILE_Y -223672 TILEPROP VBRK_X85Y6 TYPE VBRK TILEPROP VBRK_X85Y7 CLASS tile TILEPROP VBRK_X85Y7 COLUMN 85 TILEPROP VBRK_X85Y7 DEVICE_ID 0 TILEPROP VBRK_X85Y7 FIRST_SITE_ID 15156 TILEPROP VBRK_X85Y7 GRID_POINT_X 85 TILEPROP VBRK_X85Y7 GRID_POINT_Y 149 TILEPROP VBRK_X85Y7 INDEX 17220 TILEPROP VBRK_X85Y7 INT_TILE_X 33 TILEPROP VBRK_X85Y7 INT_TILE_Y 143 TILEPROP VBRK_X85Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y7 IS_DCM_TILE 0 TILEPROP VBRK_X85Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y7 NAME VBRK_X85Y7 TILEPROP VBRK_X85Y7 NUM_ARCS 0 TILEPROP VBRK_X85Y7 NUM_SITES 0 TILEPROP VBRK_X85Y7 ROW 149 TILEPROP VBRK_X85Y7 SLR_REGION_ID 0 TILEPROP VBRK_X85Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y7 TILE_TYPE VBRK TILEPROP VBRK_X85Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y7 TILE_X 56064 TILEPROP VBRK_X85Y7 TILE_Y -220472 TILEPROP VBRK_X85Y7 TYPE VBRK TILEPROP VBRK_X85Y8 CLASS tile TILEPROP VBRK_X85Y8 COLUMN 85 TILEPROP VBRK_X85Y8 DEVICE_ID 0 TILEPROP VBRK_X85Y8 FIRST_SITE_ID 15054 TILEPROP VBRK_X85Y8 GRID_POINT_X 85 TILEPROP VBRK_X85Y8 GRID_POINT_Y 148 TILEPROP VBRK_X85Y8 INDEX 17105 TILEPROP VBRK_X85Y8 INT_TILE_X 33 TILEPROP VBRK_X85Y8 INT_TILE_Y 142 TILEPROP VBRK_X85Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y8 IS_DCM_TILE 0 TILEPROP VBRK_X85Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y8 NAME VBRK_X85Y8 TILEPROP VBRK_X85Y8 NUM_ARCS 0 TILEPROP VBRK_X85Y8 NUM_SITES 0 TILEPROP VBRK_X85Y8 ROW 148 TILEPROP VBRK_X85Y8 SLR_REGION_ID 0 TILEPROP VBRK_X85Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y8 TILE_TYPE VBRK TILEPROP VBRK_X85Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y8 TILE_X 56064 TILEPROP VBRK_X85Y8 TILE_Y -217272 TILEPROP VBRK_X85Y8 TYPE VBRK TILEPROP VBRK_X85Y9 CLASS tile TILEPROP VBRK_X85Y9 COLUMN 85 TILEPROP VBRK_X85Y9 DEVICE_ID 0 TILEPROP VBRK_X85Y9 FIRST_SITE_ID 14951 TILEPROP VBRK_X85Y9 GRID_POINT_X 85 TILEPROP VBRK_X85Y9 GRID_POINT_Y 147 TILEPROP VBRK_X85Y9 INDEX 16990 TILEPROP VBRK_X85Y9 INT_TILE_X 33 TILEPROP VBRK_X85Y9 INT_TILE_Y 141 TILEPROP VBRK_X85Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y9 IS_DCM_TILE 0 TILEPROP VBRK_X85Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y9 NAME VBRK_X85Y9 TILEPROP VBRK_X85Y9 NUM_ARCS 0 TILEPROP VBRK_X85Y9 NUM_SITES 0 TILEPROP VBRK_X85Y9 ROW 147 TILEPROP VBRK_X85Y9 SLR_REGION_ID 0 TILEPROP VBRK_X85Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y9 TILE_TYPE VBRK TILEPROP VBRK_X85Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y9 TILE_X 56064 TILEPROP VBRK_X85Y9 TILE_Y -214072 TILEPROP VBRK_X85Y9 TYPE VBRK TILEPROP VBRK_X85Y10 CLASS tile TILEPROP VBRK_X85Y10 COLUMN 85 TILEPROP VBRK_X85Y10 DEVICE_ID 0 TILEPROP VBRK_X85Y10 FIRST_SITE_ID 14850 TILEPROP VBRK_X85Y10 GRID_POINT_X 85 TILEPROP VBRK_X85Y10 GRID_POINT_Y 146 TILEPROP VBRK_X85Y10 INDEX 16875 TILEPROP VBRK_X85Y10 INT_TILE_X 33 TILEPROP VBRK_X85Y10 INT_TILE_Y 140 TILEPROP VBRK_X85Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y10 IS_DCM_TILE 0 TILEPROP VBRK_X85Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y10 NAME VBRK_X85Y10 TILEPROP VBRK_X85Y10 NUM_ARCS 0 TILEPROP VBRK_X85Y10 NUM_SITES 0 TILEPROP VBRK_X85Y10 ROW 146 TILEPROP VBRK_X85Y10 SLR_REGION_ID 0 TILEPROP VBRK_X85Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y10 TILE_TYPE VBRK TILEPROP VBRK_X85Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y10 TILE_X 56064 TILEPROP VBRK_X85Y10 TILE_Y -210872 TILEPROP VBRK_X85Y10 TYPE VBRK TILEPROP VBRK_X85Y11 CLASS tile TILEPROP VBRK_X85Y11 COLUMN 85 TILEPROP VBRK_X85Y11 DEVICE_ID 0 TILEPROP VBRK_X85Y11 FIRST_SITE_ID 14744 TILEPROP VBRK_X85Y11 GRID_POINT_X 85 TILEPROP VBRK_X85Y11 GRID_POINT_Y 145 TILEPROP VBRK_X85Y11 INDEX 16760 TILEPROP VBRK_X85Y11 INT_TILE_X 33 TILEPROP VBRK_X85Y11 INT_TILE_Y 139 TILEPROP VBRK_X85Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y11 IS_DCM_TILE 0 TILEPROP VBRK_X85Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y11 NAME VBRK_X85Y11 TILEPROP VBRK_X85Y11 NUM_ARCS 0 TILEPROP VBRK_X85Y11 NUM_SITES 0 TILEPROP VBRK_X85Y11 ROW 145 TILEPROP VBRK_X85Y11 SLR_REGION_ID 0 TILEPROP VBRK_X85Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y11 TILE_TYPE VBRK TILEPROP VBRK_X85Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y11 TILE_X 56064 TILEPROP VBRK_X85Y11 TILE_Y -207672 TILEPROP VBRK_X85Y11 TYPE VBRK TILEPROP VBRK_X85Y12 CLASS tile TILEPROP VBRK_X85Y12 COLUMN 85 TILEPROP VBRK_X85Y12 DEVICE_ID 0 TILEPROP VBRK_X85Y12 FIRST_SITE_ID 14635 TILEPROP VBRK_X85Y12 GRID_POINT_X 85 TILEPROP VBRK_X85Y12 GRID_POINT_Y 144 TILEPROP VBRK_X85Y12 INDEX 16645 TILEPROP VBRK_X85Y12 INT_TILE_X 33 TILEPROP VBRK_X85Y12 INT_TILE_Y 138 TILEPROP VBRK_X85Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y12 IS_DCM_TILE 0 TILEPROP VBRK_X85Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y12 NAME VBRK_X85Y12 TILEPROP VBRK_X85Y12 NUM_ARCS 0 TILEPROP VBRK_X85Y12 NUM_SITES 0 TILEPROP VBRK_X85Y12 ROW 144 TILEPROP VBRK_X85Y12 SLR_REGION_ID 0 TILEPROP VBRK_X85Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y12 TILE_TYPE VBRK TILEPROP VBRK_X85Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y12 TILE_X 56064 TILEPROP VBRK_X85Y12 TILE_Y -204472 TILEPROP VBRK_X85Y12 TYPE VBRK TILEPROP VBRK_X85Y13 CLASS tile TILEPROP VBRK_X85Y13 COLUMN 85 TILEPROP VBRK_X85Y13 DEVICE_ID 0 TILEPROP VBRK_X85Y13 FIRST_SITE_ID 14535 TILEPROP VBRK_X85Y13 GRID_POINT_X 85 TILEPROP VBRK_X85Y13 GRID_POINT_Y 143 TILEPROP VBRK_X85Y13 INDEX 16530 TILEPROP VBRK_X85Y13 INT_TILE_X 33 TILEPROP VBRK_X85Y13 INT_TILE_Y 137 TILEPROP VBRK_X85Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y13 IS_DCM_TILE 0 TILEPROP VBRK_X85Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y13 NAME VBRK_X85Y13 TILEPROP VBRK_X85Y13 NUM_ARCS 0 TILEPROP VBRK_X85Y13 NUM_SITES 0 TILEPROP VBRK_X85Y13 ROW 143 TILEPROP VBRK_X85Y13 SLR_REGION_ID 0 TILEPROP VBRK_X85Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y13 TILE_TYPE VBRK TILEPROP VBRK_X85Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y13 TILE_X 56064 TILEPROP VBRK_X85Y13 TILE_Y -201272 TILEPROP VBRK_X85Y13 TYPE VBRK TILEPROP VBRK_X85Y14 CLASS tile TILEPROP VBRK_X85Y14 COLUMN 85 TILEPROP VBRK_X85Y14 DEVICE_ID 0 TILEPROP VBRK_X85Y14 FIRST_SITE_ID 14403 TILEPROP VBRK_X85Y14 GRID_POINT_X 85 TILEPROP VBRK_X85Y14 GRID_POINT_Y 142 TILEPROP VBRK_X85Y14 INDEX 16415 TILEPROP VBRK_X85Y14 INT_TILE_X 33 TILEPROP VBRK_X85Y14 INT_TILE_Y 136 TILEPROP VBRK_X85Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y14 IS_DCM_TILE 0 TILEPROP VBRK_X85Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y14 NAME VBRK_X85Y14 TILEPROP VBRK_X85Y14 NUM_ARCS 0 TILEPROP VBRK_X85Y14 NUM_SITES 0 TILEPROP VBRK_X85Y14 ROW 142 TILEPROP VBRK_X85Y14 SLR_REGION_ID 0 TILEPROP VBRK_X85Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y14 TILE_TYPE VBRK TILEPROP VBRK_X85Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y14 TILE_X 56064 TILEPROP VBRK_X85Y14 TILE_Y -198072 TILEPROP VBRK_X85Y14 TYPE VBRK TILEPROP VBRK_X85Y15 CLASS tile TILEPROP VBRK_X85Y15 COLUMN 85 TILEPROP VBRK_X85Y15 DEVICE_ID 0 TILEPROP VBRK_X85Y15 FIRST_SITE_ID 14303 TILEPROP VBRK_X85Y15 GRID_POINT_X 85 TILEPROP VBRK_X85Y15 GRID_POINT_Y 141 TILEPROP VBRK_X85Y15 INDEX 16300 TILEPROP VBRK_X85Y15 INT_TILE_X 33 TILEPROP VBRK_X85Y15 INT_TILE_Y 135 TILEPROP VBRK_X85Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y15 IS_DCM_TILE 0 TILEPROP VBRK_X85Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y15 NAME VBRK_X85Y15 TILEPROP VBRK_X85Y15 NUM_ARCS 0 TILEPROP VBRK_X85Y15 NUM_SITES 0 TILEPROP VBRK_X85Y15 ROW 141 TILEPROP VBRK_X85Y15 SLR_REGION_ID 0 TILEPROP VBRK_X85Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y15 TILE_TYPE VBRK TILEPROP VBRK_X85Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y15 TILE_X 56064 TILEPROP VBRK_X85Y15 TILE_Y -194872 TILEPROP VBRK_X85Y15 TYPE VBRK TILEPROP VBRK_X85Y16 CLASS tile TILEPROP VBRK_X85Y16 COLUMN 85 TILEPROP VBRK_X85Y16 DEVICE_ID 0 TILEPROP VBRK_X85Y16 FIRST_SITE_ID 14197 TILEPROP VBRK_X85Y16 GRID_POINT_X 85 TILEPROP VBRK_X85Y16 GRID_POINT_Y 140 TILEPROP VBRK_X85Y16 INDEX 16185 TILEPROP VBRK_X85Y16 INT_TILE_X 33 TILEPROP VBRK_X85Y16 INT_TILE_Y 134 TILEPROP VBRK_X85Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y16 IS_DCM_TILE 0 TILEPROP VBRK_X85Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y16 NAME VBRK_X85Y16 TILEPROP VBRK_X85Y16 NUM_ARCS 0 TILEPROP VBRK_X85Y16 NUM_SITES 0 TILEPROP VBRK_X85Y16 ROW 140 TILEPROP VBRK_X85Y16 SLR_REGION_ID 0 TILEPROP VBRK_X85Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y16 TILE_TYPE VBRK TILEPROP VBRK_X85Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y16 TILE_X 56064 TILEPROP VBRK_X85Y16 TILE_Y -191672 TILEPROP VBRK_X85Y16 TYPE VBRK TILEPROP VBRK_X85Y17 CLASS tile TILEPROP VBRK_X85Y17 COLUMN 85 TILEPROP VBRK_X85Y17 DEVICE_ID 0 TILEPROP VBRK_X85Y17 FIRST_SITE_ID 14088 TILEPROP VBRK_X85Y17 GRID_POINT_X 85 TILEPROP VBRK_X85Y17 GRID_POINT_Y 139 TILEPROP VBRK_X85Y17 INDEX 16070 TILEPROP VBRK_X85Y17 INT_TILE_X 33 TILEPROP VBRK_X85Y17 INT_TILE_Y 133 TILEPROP VBRK_X85Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y17 IS_DCM_TILE 0 TILEPROP VBRK_X85Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y17 NAME VBRK_X85Y17 TILEPROP VBRK_X85Y17 NUM_ARCS 0 TILEPROP VBRK_X85Y17 NUM_SITES 0 TILEPROP VBRK_X85Y17 ROW 139 TILEPROP VBRK_X85Y17 SLR_REGION_ID 0 TILEPROP VBRK_X85Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y17 TILE_TYPE VBRK TILEPROP VBRK_X85Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y17 TILE_X 56064 TILEPROP VBRK_X85Y17 TILE_Y -188472 TILEPROP VBRK_X85Y17 TYPE VBRK TILEPROP VBRK_X85Y18 CLASS tile TILEPROP VBRK_X85Y18 COLUMN 85 TILEPROP VBRK_X85Y18 DEVICE_ID 0 TILEPROP VBRK_X85Y18 FIRST_SITE_ID 13984 TILEPROP VBRK_X85Y18 GRID_POINT_X 85 TILEPROP VBRK_X85Y18 GRID_POINT_Y 138 TILEPROP VBRK_X85Y18 INDEX 15955 TILEPROP VBRK_X85Y18 INT_TILE_X 33 TILEPROP VBRK_X85Y18 INT_TILE_Y 132 TILEPROP VBRK_X85Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y18 IS_DCM_TILE 0 TILEPROP VBRK_X85Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y18 NAME VBRK_X85Y18 TILEPROP VBRK_X85Y18 NUM_ARCS 0 TILEPROP VBRK_X85Y18 NUM_SITES 0 TILEPROP VBRK_X85Y18 ROW 138 TILEPROP VBRK_X85Y18 SLR_REGION_ID 0 TILEPROP VBRK_X85Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y18 TILE_TYPE VBRK TILEPROP VBRK_X85Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y18 TILE_X 56064 TILEPROP VBRK_X85Y18 TILE_Y -185272 TILEPROP VBRK_X85Y18 TYPE VBRK TILEPROP VBRK_X85Y19 CLASS tile TILEPROP VBRK_X85Y19 COLUMN 85 TILEPROP VBRK_X85Y19 DEVICE_ID 0 TILEPROP VBRK_X85Y19 FIRST_SITE_ID 13879 TILEPROP VBRK_X85Y19 GRID_POINT_X 85 TILEPROP VBRK_X85Y19 GRID_POINT_Y 137 TILEPROP VBRK_X85Y19 INDEX 15840 TILEPROP VBRK_X85Y19 INT_TILE_X 33 TILEPROP VBRK_X85Y19 INT_TILE_Y 131 TILEPROP VBRK_X85Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y19 IS_DCM_TILE 0 TILEPROP VBRK_X85Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y19 NAME VBRK_X85Y19 TILEPROP VBRK_X85Y19 NUM_ARCS 0 TILEPROP VBRK_X85Y19 NUM_SITES 0 TILEPROP VBRK_X85Y19 ROW 137 TILEPROP VBRK_X85Y19 SLR_REGION_ID 0 TILEPROP VBRK_X85Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y19 TILE_TYPE VBRK TILEPROP VBRK_X85Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y19 TILE_X 56064 TILEPROP VBRK_X85Y19 TILE_Y -182072 TILEPROP VBRK_X85Y19 TYPE VBRK TILEPROP VBRK_X85Y20 CLASS tile TILEPROP VBRK_X85Y20 COLUMN 85 TILEPROP VBRK_X85Y20 DEVICE_ID 0 TILEPROP VBRK_X85Y20 FIRST_SITE_ID 13777 TILEPROP VBRK_X85Y20 GRID_POINT_X 85 TILEPROP VBRK_X85Y20 GRID_POINT_Y 136 TILEPROP VBRK_X85Y20 INDEX 15725 TILEPROP VBRK_X85Y20 INT_TILE_X 33 TILEPROP VBRK_X85Y20 INT_TILE_Y 130 TILEPROP VBRK_X85Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y20 IS_DCM_TILE 0 TILEPROP VBRK_X85Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y20 NAME VBRK_X85Y20 TILEPROP VBRK_X85Y20 NUM_ARCS 0 TILEPROP VBRK_X85Y20 NUM_SITES 0 TILEPROP VBRK_X85Y20 ROW 136 TILEPROP VBRK_X85Y20 SLR_REGION_ID 0 TILEPROP VBRK_X85Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y20 TILE_TYPE VBRK TILEPROP VBRK_X85Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y20 TILE_X 56064 TILEPROP VBRK_X85Y20 TILE_Y -178872 TILEPROP VBRK_X85Y20 TYPE VBRK TILEPROP VBRK_X85Y21 CLASS tile TILEPROP VBRK_X85Y21 COLUMN 85 TILEPROP VBRK_X85Y21 DEVICE_ID 0 TILEPROP VBRK_X85Y21 FIRST_SITE_ID 13669 TILEPROP VBRK_X85Y21 GRID_POINT_X 85 TILEPROP VBRK_X85Y21 GRID_POINT_Y 135 TILEPROP VBRK_X85Y21 INDEX 15610 TILEPROP VBRK_X85Y21 INT_TILE_X 33 TILEPROP VBRK_X85Y21 INT_TILE_Y 129 TILEPROP VBRK_X85Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y21 IS_DCM_TILE 0 TILEPROP VBRK_X85Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y21 NAME VBRK_X85Y21 TILEPROP VBRK_X85Y21 NUM_ARCS 0 TILEPROP VBRK_X85Y21 NUM_SITES 0 TILEPROP VBRK_X85Y21 ROW 135 TILEPROP VBRK_X85Y21 SLR_REGION_ID 0 TILEPROP VBRK_X85Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y21 TILE_TYPE VBRK TILEPROP VBRK_X85Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y21 TILE_X 56064 TILEPROP VBRK_X85Y21 TILE_Y -175672 TILEPROP VBRK_X85Y21 TYPE VBRK TILEPROP VBRK_X85Y22 CLASS tile TILEPROP VBRK_X85Y22 COLUMN 85 TILEPROP VBRK_X85Y22 DEVICE_ID 0 TILEPROP VBRK_X85Y22 FIRST_SITE_ID 13560 TILEPROP VBRK_X85Y22 GRID_POINT_X 85 TILEPROP VBRK_X85Y22 GRID_POINT_Y 134 TILEPROP VBRK_X85Y22 INDEX 15495 TILEPROP VBRK_X85Y22 INT_TILE_X 33 TILEPROP VBRK_X85Y22 INT_TILE_Y 128 TILEPROP VBRK_X85Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y22 IS_DCM_TILE 0 TILEPROP VBRK_X85Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y22 NAME VBRK_X85Y22 TILEPROP VBRK_X85Y22 NUM_ARCS 0 TILEPROP VBRK_X85Y22 NUM_SITES 0 TILEPROP VBRK_X85Y22 ROW 134 TILEPROP VBRK_X85Y22 SLR_REGION_ID 0 TILEPROP VBRK_X85Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y22 TILE_TYPE VBRK TILEPROP VBRK_X85Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y22 TILE_X 56064 TILEPROP VBRK_X85Y22 TILE_Y -172472 TILEPROP VBRK_X85Y22 TYPE VBRK TILEPROP VBRK_X85Y23 CLASS tile TILEPROP VBRK_X85Y23 COLUMN 85 TILEPROP VBRK_X85Y23 DEVICE_ID 0 TILEPROP VBRK_X85Y23 FIRST_SITE_ID 13460 TILEPROP VBRK_X85Y23 GRID_POINT_X 85 TILEPROP VBRK_X85Y23 GRID_POINT_Y 133 TILEPROP VBRK_X85Y23 INDEX 15380 TILEPROP VBRK_X85Y23 INT_TILE_X 33 TILEPROP VBRK_X85Y23 INT_TILE_Y 127 TILEPROP VBRK_X85Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y23 IS_DCM_TILE 0 TILEPROP VBRK_X85Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y23 NAME VBRK_X85Y23 TILEPROP VBRK_X85Y23 NUM_ARCS 0 TILEPROP VBRK_X85Y23 NUM_SITES 0 TILEPROP VBRK_X85Y23 ROW 133 TILEPROP VBRK_X85Y23 SLR_REGION_ID 0 TILEPROP VBRK_X85Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y23 TILE_TYPE VBRK TILEPROP VBRK_X85Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y23 TILE_X 56064 TILEPROP VBRK_X85Y23 TILE_Y -169272 TILEPROP VBRK_X85Y23 TYPE VBRK TILEPROP VBRK_X85Y24 CLASS tile TILEPROP VBRK_X85Y24 COLUMN 85 TILEPROP VBRK_X85Y24 DEVICE_ID 0 TILEPROP VBRK_X85Y24 FIRST_SITE_ID 13360 TILEPROP VBRK_X85Y24 GRID_POINT_X 85 TILEPROP VBRK_X85Y24 GRID_POINT_Y 132 TILEPROP VBRK_X85Y24 INDEX 15265 TILEPROP VBRK_X85Y24 INT_TILE_X 33 TILEPROP VBRK_X85Y24 INT_TILE_Y 126 TILEPROP VBRK_X85Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y24 IS_DCM_TILE 0 TILEPROP VBRK_X85Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y24 NAME VBRK_X85Y24 TILEPROP VBRK_X85Y24 NUM_ARCS 0 TILEPROP VBRK_X85Y24 NUM_SITES 0 TILEPROP VBRK_X85Y24 ROW 132 TILEPROP VBRK_X85Y24 SLR_REGION_ID 0 TILEPROP VBRK_X85Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y24 TILE_TYPE VBRK TILEPROP VBRK_X85Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y24 TILE_X 56064 TILEPROP VBRK_X85Y24 TILE_Y -166072 TILEPROP VBRK_X85Y24 TYPE VBRK TILEPROP VBRK_X85Y25 CLASS tile TILEPROP VBRK_X85Y25 COLUMN 85 TILEPROP VBRK_X85Y25 DEVICE_ID 0 TILEPROP VBRK_X85Y25 FIRST_SITE_ID 13260 TILEPROP VBRK_X85Y25 GRID_POINT_X 85 TILEPROP VBRK_X85Y25 GRID_POINT_Y 131 TILEPROP VBRK_X85Y25 INDEX 15150 TILEPROP VBRK_X85Y25 INT_TILE_X 33 TILEPROP VBRK_X85Y25 INT_TILE_Y 125 TILEPROP VBRK_X85Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y25 IS_DCM_TILE 0 TILEPROP VBRK_X85Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y25 NAME VBRK_X85Y25 TILEPROP VBRK_X85Y25 NUM_ARCS 0 TILEPROP VBRK_X85Y25 NUM_SITES 0 TILEPROP VBRK_X85Y25 ROW 131 TILEPROP VBRK_X85Y25 SLR_REGION_ID 0 TILEPROP VBRK_X85Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y25 TILE_TYPE VBRK TILEPROP VBRK_X85Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y25 TILE_X 56064 TILEPROP VBRK_X85Y25 TILE_Y -162872 TILEPROP VBRK_X85Y25 TYPE VBRK TILEPROP VBRK_X85Y27 CLASS tile TILEPROP VBRK_X85Y27 COLUMN 85 TILEPROP VBRK_X85Y27 DEVICE_ID 0 TILEPROP VBRK_X85Y27 FIRST_SITE_ID 13071 TILEPROP VBRK_X85Y27 GRID_POINT_X 85 TILEPROP VBRK_X85Y27 GRID_POINT_Y 129 TILEPROP VBRK_X85Y27 INDEX 14920 TILEPROP VBRK_X85Y27 INT_TILE_X 33 TILEPROP VBRK_X85Y27 INT_TILE_Y 124 TILEPROP VBRK_X85Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y27 IS_DCM_TILE 0 TILEPROP VBRK_X85Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y27 NAME VBRK_X85Y27 TILEPROP VBRK_X85Y27 NUM_ARCS 0 TILEPROP VBRK_X85Y27 NUM_SITES 0 TILEPROP VBRK_X85Y27 ROW 129 TILEPROP VBRK_X85Y27 SLR_REGION_ID 0 TILEPROP VBRK_X85Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y27 TILE_TYPE VBRK TILEPROP VBRK_X85Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y27 TILE_X 56064 TILEPROP VBRK_X85Y27 TILE_Y -158648 TILEPROP VBRK_X85Y27 TYPE VBRK TILEPROP VBRK_X85Y28 CLASS tile TILEPROP VBRK_X85Y28 COLUMN 85 TILEPROP VBRK_X85Y28 DEVICE_ID 0 TILEPROP VBRK_X85Y28 FIRST_SITE_ID 12962 TILEPROP VBRK_X85Y28 GRID_POINT_X 85 TILEPROP VBRK_X85Y28 GRID_POINT_Y 128 TILEPROP VBRK_X85Y28 INDEX 14805 TILEPROP VBRK_X85Y28 INT_TILE_X 33 TILEPROP VBRK_X85Y28 INT_TILE_Y 123 TILEPROP VBRK_X85Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y28 IS_DCM_TILE 0 TILEPROP VBRK_X85Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y28 NAME VBRK_X85Y28 TILEPROP VBRK_X85Y28 NUM_ARCS 0 TILEPROP VBRK_X85Y28 NUM_SITES 0 TILEPROP VBRK_X85Y28 ROW 128 TILEPROP VBRK_X85Y28 SLR_REGION_ID 0 TILEPROP VBRK_X85Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y28 TILE_TYPE VBRK TILEPROP VBRK_X85Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y28 TILE_X 56064 TILEPROP VBRK_X85Y28 TILE_Y -155448 TILEPROP VBRK_X85Y28 TYPE VBRK TILEPROP VBRK_X85Y29 CLASS tile TILEPROP VBRK_X85Y29 COLUMN 85 TILEPROP VBRK_X85Y29 DEVICE_ID 0 TILEPROP VBRK_X85Y29 FIRST_SITE_ID 12862 TILEPROP VBRK_X85Y29 GRID_POINT_X 85 TILEPROP VBRK_X85Y29 GRID_POINT_Y 127 TILEPROP VBRK_X85Y29 INDEX 14690 TILEPROP VBRK_X85Y29 INT_TILE_X 33 TILEPROP VBRK_X85Y29 INT_TILE_Y 122 TILEPROP VBRK_X85Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y29 IS_DCM_TILE 0 TILEPROP VBRK_X85Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y29 NAME VBRK_X85Y29 TILEPROP VBRK_X85Y29 NUM_ARCS 0 TILEPROP VBRK_X85Y29 NUM_SITES 0 TILEPROP VBRK_X85Y29 ROW 127 TILEPROP VBRK_X85Y29 SLR_REGION_ID 0 TILEPROP VBRK_X85Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y29 TILE_TYPE VBRK TILEPROP VBRK_X85Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y29 TILE_X 56064 TILEPROP VBRK_X85Y29 TILE_Y -152248 TILEPROP VBRK_X85Y29 TYPE VBRK TILEPROP VBRK_X85Y30 CLASS tile TILEPROP VBRK_X85Y30 COLUMN 85 TILEPROP VBRK_X85Y30 DEVICE_ID 0 TILEPROP VBRK_X85Y30 FIRST_SITE_ID 12762 TILEPROP VBRK_X85Y30 GRID_POINT_X 85 TILEPROP VBRK_X85Y30 GRID_POINT_Y 126 TILEPROP VBRK_X85Y30 INDEX 14575 TILEPROP VBRK_X85Y30 INT_TILE_X 33 TILEPROP VBRK_X85Y30 INT_TILE_Y 121 TILEPROP VBRK_X85Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y30 IS_DCM_TILE 0 TILEPROP VBRK_X85Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y30 NAME VBRK_X85Y30 TILEPROP VBRK_X85Y30 NUM_ARCS 0 TILEPROP VBRK_X85Y30 NUM_SITES 0 TILEPROP VBRK_X85Y30 ROW 126 TILEPROP VBRK_X85Y30 SLR_REGION_ID 0 TILEPROP VBRK_X85Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y30 TILE_TYPE VBRK TILEPROP VBRK_X85Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y30 TILE_X 56064 TILEPROP VBRK_X85Y30 TILE_Y -149048 TILEPROP VBRK_X85Y30 TYPE VBRK TILEPROP VBRK_X85Y31 CLASS tile TILEPROP VBRK_X85Y31 COLUMN 85 TILEPROP VBRK_X85Y31 DEVICE_ID 0 TILEPROP VBRK_X85Y31 FIRST_SITE_ID 12656 TILEPROP VBRK_X85Y31 GRID_POINT_X 85 TILEPROP VBRK_X85Y31 GRID_POINT_Y 125 TILEPROP VBRK_X85Y31 INDEX 14460 TILEPROP VBRK_X85Y31 INT_TILE_X 33 TILEPROP VBRK_X85Y31 INT_TILE_Y 120 TILEPROP VBRK_X85Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y31 IS_DCM_TILE 0 TILEPROP VBRK_X85Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y31 NAME VBRK_X85Y31 TILEPROP VBRK_X85Y31 NUM_ARCS 0 TILEPROP VBRK_X85Y31 NUM_SITES 0 TILEPROP VBRK_X85Y31 ROW 125 TILEPROP VBRK_X85Y31 SLR_REGION_ID 0 TILEPROP VBRK_X85Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y31 TILE_TYPE VBRK TILEPROP VBRK_X85Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y31 TILE_X 56064 TILEPROP VBRK_X85Y31 TILE_Y -145848 TILEPROP VBRK_X85Y31 TYPE VBRK TILEPROP VBRK_X85Y32 CLASS tile TILEPROP VBRK_X85Y32 COLUMN 85 TILEPROP VBRK_X85Y32 DEVICE_ID 0 TILEPROP VBRK_X85Y32 FIRST_SITE_ID 12544 TILEPROP VBRK_X85Y32 GRID_POINT_X 85 TILEPROP VBRK_X85Y32 GRID_POINT_Y 124 TILEPROP VBRK_X85Y32 INDEX 14345 TILEPROP VBRK_X85Y32 INT_TILE_X 33 TILEPROP VBRK_X85Y32 INT_TILE_Y 119 TILEPROP VBRK_X85Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y32 IS_DCM_TILE 0 TILEPROP VBRK_X85Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y32 NAME VBRK_X85Y32 TILEPROP VBRK_X85Y32 NUM_ARCS 0 TILEPROP VBRK_X85Y32 NUM_SITES 0 TILEPROP VBRK_X85Y32 ROW 124 TILEPROP VBRK_X85Y32 SLR_REGION_ID 0 TILEPROP VBRK_X85Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y32 TILE_TYPE VBRK TILEPROP VBRK_X85Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y32 TILE_X 56064 TILEPROP VBRK_X85Y32 TILE_Y -142648 TILEPROP VBRK_X85Y32 TYPE VBRK TILEPROP VBRK_X85Y33 CLASS tile TILEPROP VBRK_X85Y33 COLUMN 85 TILEPROP VBRK_X85Y33 DEVICE_ID 0 TILEPROP VBRK_X85Y33 FIRST_SITE_ID 12420 TILEPROP VBRK_X85Y33 GRID_POINT_X 85 TILEPROP VBRK_X85Y33 GRID_POINT_Y 123 TILEPROP VBRK_X85Y33 INDEX 14230 TILEPROP VBRK_X85Y33 INT_TILE_X 33 TILEPROP VBRK_X85Y33 INT_TILE_Y 118 TILEPROP VBRK_X85Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y33 IS_DCM_TILE 0 TILEPROP VBRK_X85Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y33 NAME VBRK_X85Y33 TILEPROP VBRK_X85Y33 NUM_ARCS 0 TILEPROP VBRK_X85Y33 NUM_SITES 0 TILEPROP VBRK_X85Y33 ROW 123 TILEPROP VBRK_X85Y33 SLR_REGION_ID 0 TILEPROP VBRK_X85Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y33 TILE_TYPE VBRK TILEPROP VBRK_X85Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y33 TILE_X 56064 TILEPROP VBRK_X85Y33 TILE_Y -139448 TILEPROP VBRK_X85Y33 TYPE VBRK TILEPROP VBRK_X85Y34 CLASS tile TILEPROP VBRK_X85Y34 COLUMN 85 TILEPROP VBRK_X85Y34 DEVICE_ID 0 TILEPROP VBRK_X85Y34 FIRST_SITE_ID 12318 TILEPROP VBRK_X85Y34 GRID_POINT_X 85 TILEPROP VBRK_X85Y34 GRID_POINT_Y 122 TILEPROP VBRK_X85Y34 INDEX 14115 TILEPROP VBRK_X85Y34 INT_TILE_X 33 TILEPROP VBRK_X85Y34 INT_TILE_Y 117 TILEPROP VBRK_X85Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y34 IS_DCM_TILE 0 TILEPROP VBRK_X85Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y34 NAME VBRK_X85Y34 TILEPROP VBRK_X85Y34 NUM_ARCS 0 TILEPROP VBRK_X85Y34 NUM_SITES 0 TILEPROP VBRK_X85Y34 ROW 122 TILEPROP VBRK_X85Y34 SLR_REGION_ID 0 TILEPROP VBRK_X85Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y34 TILE_TYPE VBRK TILEPROP VBRK_X85Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y34 TILE_X 56064 TILEPROP VBRK_X85Y34 TILE_Y -136248 TILEPROP VBRK_X85Y34 TYPE VBRK TILEPROP VBRK_X85Y35 CLASS tile TILEPROP VBRK_X85Y35 COLUMN 85 TILEPROP VBRK_X85Y35 DEVICE_ID 0 TILEPROP VBRK_X85Y35 FIRST_SITE_ID 12217 TILEPROP VBRK_X85Y35 GRID_POINT_X 85 TILEPROP VBRK_X85Y35 GRID_POINT_Y 121 TILEPROP VBRK_X85Y35 INDEX 14000 TILEPROP VBRK_X85Y35 INT_TILE_X 33 TILEPROP VBRK_X85Y35 INT_TILE_Y 116 TILEPROP VBRK_X85Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y35 IS_DCM_TILE 0 TILEPROP VBRK_X85Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y35 NAME VBRK_X85Y35 TILEPROP VBRK_X85Y35 NUM_ARCS 0 TILEPROP VBRK_X85Y35 NUM_SITES 0 TILEPROP VBRK_X85Y35 ROW 121 TILEPROP VBRK_X85Y35 SLR_REGION_ID 0 TILEPROP VBRK_X85Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y35 TILE_TYPE VBRK TILEPROP VBRK_X85Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y35 TILE_X 56064 TILEPROP VBRK_X85Y35 TILE_Y -133048 TILEPROP VBRK_X85Y35 TYPE VBRK TILEPROP VBRK_X85Y36 CLASS tile TILEPROP VBRK_X85Y36 COLUMN 85 TILEPROP VBRK_X85Y36 DEVICE_ID 0 TILEPROP VBRK_X85Y36 FIRST_SITE_ID 12117 TILEPROP VBRK_X85Y36 GRID_POINT_X 85 TILEPROP VBRK_X85Y36 GRID_POINT_Y 120 TILEPROP VBRK_X85Y36 INDEX 13885 TILEPROP VBRK_X85Y36 INT_TILE_X 33 TILEPROP VBRK_X85Y36 INT_TILE_Y 115 TILEPROP VBRK_X85Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y36 IS_DCM_TILE 0 TILEPROP VBRK_X85Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y36 NAME VBRK_X85Y36 TILEPROP VBRK_X85Y36 NUM_ARCS 0 TILEPROP VBRK_X85Y36 NUM_SITES 0 TILEPROP VBRK_X85Y36 ROW 120 TILEPROP VBRK_X85Y36 SLR_REGION_ID 0 TILEPROP VBRK_X85Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y36 TILE_TYPE VBRK TILEPROP VBRK_X85Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y36 TILE_X 56064 TILEPROP VBRK_X85Y36 TILE_Y -129848 TILEPROP VBRK_X85Y36 TYPE VBRK TILEPROP VBRK_X85Y37 CLASS tile TILEPROP VBRK_X85Y37 COLUMN 85 TILEPROP VBRK_X85Y37 DEVICE_ID 0 TILEPROP VBRK_X85Y37 FIRST_SITE_ID 12011 TILEPROP VBRK_X85Y37 GRID_POINT_X 85 TILEPROP VBRK_X85Y37 GRID_POINT_Y 119 TILEPROP VBRK_X85Y37 INDEX 13770 TILEPROP VBRK_X85Y37 INT_TILE_X 33 TILEPROP VBRK_X85Y37 INT_TILE_Y 114 TILEPROP VBRK_X85Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y37 IS_DCM_TILE 0 TILEPROP VBRK_X85Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y37 NAME VBRK_X85Y37 TILEPROP VBRK_X85Y37 NUM_ARCS 0 TILEPROP VBRK_X85Y37 NUM_SITES 0 TILEPROP VBRK_X85Y37 ROW 119 TILEPROP VBRK_X85Y37 SLR_REGION_ID 0 TILEPROP VBRK_X85Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y37 TILE_TYPE VBRK TILEPROP VBRK_X85Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y37 TILE_X 56064 TILEPROP VBRK_X85Y37 TILE_Y -126648 TILEPROP VBRK_X85Y37 TYPE VBRK TILEPROP VBRK_X85Y38 CLASS tile TILEPROP VBRK_X85Y38 COLUMN 85 TILEPROP VBRK_X85Y38 DEVICE_ID 0 TILEPROP VBRK_X85Y38 FIRST_SITE_ID 11902 TILEPROP VBRK_X85Y38 GRID_POINT_X 85 TILEPROP VBRK_X85Y38 GRID_POINT_Y 118 TILEPROP VBRK_X85Y38 INDEX 13655 TILEPROP VBRK_X85Y38 INT_TILE_X 33 TILEPROP VBRK_X85Y38 INT_TILE_Y 113 TILEPROP VBRK_X85Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y38 IS_DCM_TILE 0 TILEPROP VBRK_X85Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y38 NAME VBRK_X85Y38 TILEPROP VBRK_X85Y38 NUM_ARCS 0 TILEPROP VBRK_X85Y38 NUM_SITES 0 TILEPROP VBRK_X85Y38 ROW 118 TILEPROP VBRK_X85Y38 SLR_REGION_ID 0 TILEPROP VBRK_X85Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y38 TILE_TYPE VBRK TILEPROP VBRK_X85Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y38 TILE_X 56064 TILEPROP VBRK_X85Y38 TILE_Y -123448 TILEPROP VBRK_X85Y38 TYPE VBRK TILEPROP VBRK_X85Y39 CLASS tile TILEPROP VBRK_X85Y39 COLUMN 85 TILEPROP VBRK_X85Y39 DEVICE_ID 0 TILEPROP VBRK_X85Y39 FIRST_SITE_ID 11770 TILEPROP VBRK_X85Y39 GRID_POINT_X 85 TILEPROP VBRK_X85Y39 GRID_POINT_Y 117 TILEPROP VBRK_X85Y39 INDEX 13540 TILEPROP VBRK_X85Y39 INT_TILE_X 33 TILEPROP VBRK_X85Y39 INT_TILE_Y 112 TILEPROP VBRK_X85Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y39 IS_DCM_TILE 0 TILEPROP VBRK_X85Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y39 NAME VBRK_X85Y39 TILEPROP VBRK_X85Y39 NUM_ARCS 0 TILEPROP VBRK_X85Y39 NUM_SITES 0 TILEPROP VBRK_X85Y39 ROW 117 TILEPROP VBRK_X85Y39 SLR_REGION_ID 0 TILEPROP VBRK_X85Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y39 TILE_TYPE VBRK TILEPROP VBRK_X85Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y39 TILE_X 56064 TILEPROP VBRK_X85Y39 TILE_Y -120248 TILEPROP VBRK_X85Y39 TYPE VBRK TILEPROP VBRK_X85Y40 CLASS tile TILEPROP VBRK_X85Y40 COLUMN 85 TILEPROP VBRK_X85Y40 DEVICE_ID 0 TILEPROP VBRK_X85Y40 FIRST_SITE_ID 11670 TILEPROP VBRK_X85Y40 GRID_POINT_X 85 TILEPROP VBRK_X85Y40 GRID_POINT_Y 116 TILEPROP VBRK_X85Y40 INDEX 13425 TILEPROP VBRK_X85Y40 INT_TILE_X 33 TILEPROP VBRK_X85Y40 INT_TILE_Y 111 TILEPROP VBRK_X85Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y40 IS_DCM_TILE 0 TILEPROP VBRK_X85Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y40 NAME VBRK_X85Y40 TILEPROP VBRK_X85Y40 NUM_ARCS 0 TILEPROP VBRK_X85Y40 NUM_SITES 0 TILEPROP VBRK_X85Y40 ROW 116 TILEPROP VBRK_X85Y40 SLR_REGION_ID 0 TILEPROP VBRK_X85Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y40 TILE_TYPE VBRK TILEPROP VBRK_X85Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y40 TILE_X 56064 TILEPROP VBRK_X85Y40 TILE_Y -117048 TILEPROP VBRK_X85Y40 TYPE VBRK TILEPROP VBRK_X85Y41 CLASS tile TILEPROP VBRK_X85Y41 COLUMN 85 TILEPROP VBRK_X85Y41 DEVICE_ID 0 TILEPROP VBRK_X85Y41 FIRST_SITE_ID 11570 TILEPROP VBRK_X85Y41 GRID_POINT_X 85 TILEPROP VBRK_X85Y41 GRID_POINT_Y 115 TILEPROP VBRK_X85Y41 INDEX 13310 TILEPROP VBRK_X85Y41 INT_TILE_X 33 TILEPROP VBRK_X85Y41 INT_TILE_Y 110 TILEPROP VBRK_X85Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y41 IS_DCM_TILE 0 TILEPROP VBRK_X85Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y41 NAME VBRK_X85Y41 TILEPROP VBRK_X85Y41 NUM_ARCS 0 TILEPROP VBRK_X85Y41 NUM_SITES 0 TILEPROP VBRK_X85Y41 ROW 115 TILEPROP VBRK_X85Y41 SLR_REGION_ID 0 TILEPROP VBRK_X85Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y41 TILE_TYPE VBRK TILEPROP VBRK_X85Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y41 TILE_X 56064 TILEPROP VBRK_X85Y41 TILE_Y -113848 TILEPROP VBRK_X85Y41 TYPE VBRK TILEPROP VBRK_X85Y42 CLASS tile TILEPROP VBRK_X85Y42 COLUMN 85 TILEPROP VBRK_X85Y42 DEVICE_ID 0 TILEPROP VBRK_X85Y42 FIRST_SITE_ID 11464 TILEPROP VBRK_X85Y42 GRID_POINT_X 85 TILEPROP VBRK_X85Y42 GRID_POINT_Y 114 TILEPROP VBRK_X85Y42 INDEX 13195 TILEPROP VBRK_X85Y42 INT_TILE_X 33 TILEPROP VBRK_X85Y42 INT_TILE_Y 109 TILEPROP VBRK_X85Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y42 IS_DCM_TILE 0 TILEPROP VBRK_X85Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y42 NAME VBRK_X85Y42 TILEPROP VBRK_X85Y42 NUM_ARCS 0 TILEPROP VBRK_X85Y42 NUM_SITES 0 TILEPROP VBRK_X85Y42 ROW 114 TILEPROP VBRK_X85Y42 SLR_REGION_ID 0 TILEPROP VBRK_X85Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y42 TILE_TYPE VBRK TILEPROP VBRK_X85Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y42 TILE_X 56064 TILEPROP VBRK_X85Y42 TILE_Y -110648 TILEPROP VBRK_X85Y42 TYPE VBRK TILEPROP VBRK_X85Y43 CLASS tile TILEPROP VBRK_X85Y43 COLUMN 85 TILEPROP VBRK_X85Y43 DEVICE_ID 0 TILEPROP VBRK_X85Y43 FIRST_SITE_ID 11353 TILEPROP VBRK_X85Y43 GRID_POINT_X 85 TILEPROP VBRK_X85Y43 GRID_POINT_Y 113 TILEPROP VBRK_X85Y43 INDEX 13080 TILEPROP VBRK_X85Y43 INT_TILE_X 33 TILEPROP VBRK_X85Y43 INT_TILE_Y 108 TILEPROP VBRK_X85Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y43 IS_DCM_TILE 0 TILEPROP VBRK_X85Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y43 NAME VBRK_X85Y43 TILEPROP VBRK_X85Y43 NUM_ARCS 0 TILEPROP VBRK_X85Y43 NUM_SITES 0 TILEPROP VBRK_X85Y43 ROW 113 TILEPROP VBRK_X85Y43 SLR_REGION_ID 0 TILEPROP VBRK_X85Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y43 TILE_TYPE VBRK TILEPROP VBRK_X85Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y43 TILE_X 56064 TILEPROP VBRK_X85Y43 TILE_Y -107448 TILEPROP VBRK_X85Y43 TYPE VBRK TILEPROP VBRK_X85Y44 CLASS tile TILEPROP VBRK_X85Y44 COLUMN 85 TILEPROP VBRK_X85Y44 DEVICE_ID 0 TILEPROP VBRK_X85Y44 FIRST_SITE_ID 11251 TILEPROP VBRK_X85Y44 GRID_POINT_X 85 TILEPROP VBRK_X85Y44 GRID_POINT_Y 112 TILEPROP VBRK_X85Y44 INDEX 12965 TILEPROP VBRK_X85Y44 INT_TILE_X 33 TILEPROP VBRK_X85Y44 INT_TILE_Y 107 TILEPROP VBRK_X85Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y44 IS_DCM_TILE 0 TILEPROP VBRK_X85Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y44 NAME VBRK_X85Y44 TILEPROP VBRK_X85Y44 NUM_ARCS 0 TILEPROP VBRK_X85Y44 NUM_SITES 0 TILEPROP VBRK_X85Y44 ROW 112 TILEPROP VBRK_X85Y44 SLR_REGION_ID 0 TILEPROP VBRK_X85Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y44 TILE_TYPE VBRK TILEPROP VBRK_X85Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y44 TILE_X 56064 TILEPROP VBRK_X85Y44 TILE_Y -104248 TILEPROP VBRK_X85Y44 TYPE VBRK TILEPROP VBRK_X85Y45 CLASS tile TILEPROP VBRK_X85Y45 COLUMN 85 TILEPROP VBRK_X85Y45 DEVICE_ID 0 TILEPROP VBRK_X85Y45 FIRST_SITE_ID 11148 TILEPROP VBRK_X85Y45 GRID_POINT_X 85 TILEPROP VBRK_X85Y45 GRID_POINT_Y 111 TILEPROP VBRK_X85Y45 INDEX 12850 TILEPROP VBRK_X85Y45 INT_TILE_X 33 TILEPROP VBRK_X85Y45 INT_TILE_Y 106 TILEPROP VBRK_X85Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y45 IS_DCM_TILE 0 TILEPROP VBRK_X85Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y45 NAME VBRK_X85Y45 TILEPROP VBRK_X85Y45 NUM_ARCS 0 TILEPROP VBRK_X85Y45 NUM_SITES 0 TILEPROP VBRK_X85Y45 ROW 111 TILEPROP VBRK_X85Y45 SLR_REGION_ID 0 TILEPROP VBRK_X85Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y45 TILE_TYPE VBRK TILEPROP VBRK_X85Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y45 TILE_X 56064 TILEPROP VBRK_X85Y45 TILE_Y -101048 TILEPROP VBRK_X85Y45 TYPE VBRK TILEPROP VBRK_X85Y46 CLASS tile TILEPROP VBRK_X85Y46 COLUMN 85 TILEPROP VBRK_X85Y46 DEVICE_ID 0 TILEPROP VBRK_X85Y46 FIRST_SITE_ID 11046 TILEPROP VBRK_X85Y46 GRID_POINT_X 85 TILEPROP VBRK_X85Y46 GRID_POINT_Y 110 TILEPROP VBRK_X85Y46 INDEX 12735 TILEPROP VBRK_X85Y46 INT_TILE_X 33 TILEPROP VBRK_X85Y46 INT_TILE_Y 105 TILEPROP VBRK_X85Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y46 IS_DCM_TILE 0 TILEPROP VBRK_X85Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y46 NAME VBRK_X85Y46 TILEPROP VBRK_X85Y46 NUM_ARCS 0 TILEPROP VBRK_X85Y46 NUM_SITES 0 TILEPROP VBRK_X85Y46 ROW 110 TILEPROP VBRK_X85Y46 SLR_REGION_ID 0 TILEPROP VBRK_X85Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y46 TILE_TYPE VBRK TILEPROP VBRK_X85Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y46 TILE_X 56064 TILEPROP VBRK_X85Y46 TILE_Y -97848 TILEPROP VBRK_X85Y46 TYPE VBRK TILEPROP VBRK_X85Y47 CLASS tile TILEPROP VBRK_X85Y47 COLUMN 85 TILEPROP VBRK_X85Y47 DEVICE_ID 0 TILEPROP VBRK_X85Y47 FIRST_SITE_ID 10940 TILEPROP VBRK_X85Y47 GRID_POINT_X 85 TILEPROP VBRK_X85Y47 GRID_POINT_Y 109 TILEPROP VBRK_X85Y47 INDEX 12620 TILEPROP VBRK_X85Y47 INT_TILE_X 33 TILEPROP VBRK_X85Y47 INT_TILE_Y 104 TILEPROP VBRK_X85Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y47 IS_DCM_TILE 0 TILEPROP VBRK_X85Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y47 NAME VBRK_X85Y47 TILEPROP VBRK_X85Y47 NUM_ARCS 0 TILEPROP VBRK_X85Y47 NUM_SITES 0 TILEPROP VBRK_X85Y47 ROW 109 TILEPROP VBRK_X85Y47 SLR_REGION_ID 0 TILEPROP VBRK_X85Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y47 TILE_TYPE VBRK TILEPROP VBRK_X85Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y47 TILE_X 56064 TILEPROP VBRK_X85Y47 TILE_Y -94648 TILEPROP VBRK_X85Y47 TYPE VBRK TILEPROP VBRK_X85Y48 CLASS tile TILEPROP VBRK_X85Y48 COLUMN 85 TILEPROP VBRK_X85Y48 DEVICE_ID 0 TILEPROP VBRK_X85Y48 FIRST_SITE_ID 10830 TILEPROP VBRK_X85Y48 GRID_POINT_X 85 TILEPROP VBRK_X85Y48 GRID_POINT_Y 108 TILEPROP VBRK_X85Y48 INDEX 12505 TILEPROP VBRK_X85Y48 INT_TILE_X 33 TILEPROP VBRK_X85Y48 INT_TILE_Y 103 TILEPROP VBRK_X85Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y48 IS_DCM_TILE 0 TILEPROP VBRK_X85Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y48 NAME VBRK_X85Y48 TILEPROP VBRK_X85Y48 NUM_ARCS 0 TILEPROP VBRK_X85Y48 NUM_SITES 0 TILEPROP VBRK_X85Y48 ROW 108 TILEPROP VBRK_X85Y48 SLR_REGION_ID 0 TILEPROP VBRK_X85Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y48 TILE_TYPE VBRK TILEPROP VBRK_X85Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y48 TILE_X 56064 TILEPROP VBRK_X85Y48 TILE_Y -91448 TILEPROP VBRK_X85Y48 TYPE VBRK TILEPROP VBRK_X85Y49 CLASS tile TILEPROP VBRK_X85Y49 COLUMN 85 TILEPROP VBRK_X85Y49 DEVICE_ID 0 TILEPROP VBRK_X85Y49 FIRST_SITE_ID 10714 TILEPROP VBRK_X85Y49 GRID_POINT_X 85 TILEPROP VBRK_X85Y49 GRID_POINT_Y 107 TILEPROP VBRK_X85Y49 INDEX 12390 TILEPROP VBRK_X85Y49 INT_TILE_X 33 TILEPROP VBRK_X85Y49 INT_TILE_Y 102 TILEPROP VBRK_X85Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y49 IS_DCM_TILE 0 TILEPROP VBRK_X85Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y49 NAME VBRK_X85Y49 TILEPROP VBRK_X85Y49 NUM_ARCS 0 TILEPROP VBRK_X85Y49 NUM_SITES 0 TILEPROP VBRK_X85Y49 ROW 107 TILEPROP VBRK_X85Y49 SLR_REGION_ID 0 TILEPROP VBRK_X85Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y49 TILE_TYPE VBRK TILEPROP VBRK_X85Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y49 TILE_X 56064 TILEPROP VBRK_X85Y49 TILE_Y -88248 TILEPROP VBRK_X85Y49 TYPE VBRK TILEPROP VBRK_X85Y50 CLASS tile TILEPROP VBRK_X85Y50 COLUMN 85 TILEPROP VBRK_X85Y50 DEVICE_ID 0 TILEPROP VBRK_X85Y50 FIRST_SITE_ID 10614 TILEPROP VBRK_X85Y50 GRID_POINT_X 85 TILEPROP VBRK_X85Y50 GRID_POINT_Y 106 TILEPROP VBRK_X85Y50 INDEX 12275 TILEPROP VBRK_X85Y50 INT_TILE_X 33 TILEPROP VBRK_X85Y50 INT_TILE_Y 101 TILEPROP VBRK_X85Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y50 IS_DCM_TILE 0 TILEPROP VBRK_X85Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y50 NAME VBRK_X85Y50 TILEPROP VBRK_X85Y50 NUM_ARCS 0 TILEPROP VBRK_X85Y50 NUM_SITES 0 TILEPROP VBRK_X85Y50 ROW 106 TILEPROP VBRK_X85Y50 SLR_REGION_ID 0 TILEPROP VBRK_X85Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y50 TILE_TYPE VBRK TILEPROP VBRK_X85Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y50 TILE_X 56064 TILEPROP VBRK_X85Y50 TILE_Y -85048 TILEPROP VBRK_X85Y50 TYPE VBRK TILEPROP VBRK_X85Y51 CLASS tile TILEPROP VBRK_X85Y51 COLUMN 85 TILEPROP VBRK_X85Y51 DEVICE_ID 0 TILEPROP VBRK_X85Y51 FIRST_SITE_ID 10518 TILEPROP VBRK_X85Y51 GRID_POINT_X 85 TILEPROP VBRK_X85Y51 GRID_POINT_Y 105 TILEPROP VBRK_X85Y51 INDEX 12160 TILEPROP VBRK_X85Y51 INT_TILE_X 33 TILEPROP VBRK_X85Y51 INT_TILE_Y 100 TILEPROP VBRK_X85Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y51 IS_DCM_TILE 0 TILEPROP VBRK_X85Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y51 NAME VBRK_X85Y51 TILEPROP VBRK_X85Y51 NUM_ARCS 0 TILEPROP VBRK_X85Y51 NUM_SITES 0 TILEPROP VBRK_X85Y51 ROW 105 TILEPROP VBRK_X85Y51 SLR_REGION_ID 0 TILEPROP VBRK_X85Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y51 TILE_TYPE VBRK TILEPROP VBRK_X85Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y51 TILE_X 56064 TILEPROP VBRK_X85Y51 TILE_Y -81848 TILEPROP VBRK_X85Y51 TYPE VBRK TILEPROP VBRK_X85Y53 CLASS tile TILEPROP VBRK_X85Y53 COLUMN 85 TILEPROP VBRK_X85Y53 DEVICE_ID 0 TILEPROP VBRK_X85Y53 FIRST_SITE_ID 10412 TILEPROP VBRK_X85Y53 GRID_POINT_X 85 TILEPROP VBRK_X85Y53 GRID_POINT_Y 103 TILEPROP VBRK_X85Y53 INDEX 11930 TILEPROP VBRK_X85Y53 INT_TILE_X 33 TILEPROP VBRK_X85Y53 INT_TILE_Y 99 TILEPROP VBRK_X85Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y53 IS_DCM_TILE 0 TILEPROP VBRK_X85Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y53 NAME VBRK_X85Y53 TILEPROP VBRK_X85Y53 NUM_ARCS 0 TILEPROP VBRK_X85Y53 NUM_SITES 0 TILEPROP VBRK_X85Y53 ROW 103 TILEPROP VBRK_X85Y53 SLR_REGION_ID 0 TILEPROP VBRK_X85Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y53 TILE_TYPE VBRK TILEPROP VBRK_X85Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y53 TILE_X 56064 TILEPROP VBRK_X85Y53 TILE_Y -78400 TILEPROP VBRK_X85Y53 TYPE VBRK TILEPROP VBRK_X85Y54 CLASS tile TILEPROP VBRK_X85Y54 COLUMN 85 TILEPROP VBRK_X85Y54 DEVICE_ID 0 TILEPROP VBRK_X85Y54 FIRST_SITE_ID 10283 TILEPROP VBRK_X85Y54 GRID_POINT_X 85 TILEPROP VBRK_X85Y54 GRID_POINT_Y 102 TILEPROP VBRK_X85Y54 INDEX 11815 TILEPROP VBRK_X85Y54 INT_TILE_X 33 TILEPROP VBRK_X85Y54 INT_TILE_Y 98 TILEPROP VBRK_X85Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y54 IS_DCM_TILE 0 TILEPROP VBRK_X85Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y54 NAME VBRK_X85Y54 TILEPROP VBRK_X85Y54 NUM_ARCS 0 TILEPROP VBRK_X85Y54 NUM_SITES 0 TILEPROP VBRK_X85Y54 ROW 102 TILEPROP VBRK_X85Y54 SLR_REGION_ID 0 TILEPROP VBRK_X85Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y54 TILE_TYPE VBRK TILEPROP VBRK_X85Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y54 TILE_X 56064 TILEPROP VBRK_X85Y54 TILE_Y -75200 TILEPROP VBRK_X85Y54 TYPE VBRK TILEPROP VBRK_X85Y55 CLASS tile TILEPROP VBRK_X85Y55 COLUMN 85 TILEPROP VBRK_X85Y55 DEVICE_ID 0 TILEPROP VBRK_X85Y55 FIRST_SITE_ID 10183 TILEPROP VBRK_X85Y55 GRID_POINT_X 85 TILEPROP VBRK_X85Y55 GRID_POINT_Y 101 TILEPROP VBRK_X85Y55 INDEX 11700 TILEPROP VBRK_X85Y55 INT_TILE_X 33 TILEPROP VBRK_X85Y55 INT_TILE_Y 97 TILEPROP VBRK_X85Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y55 IS_DCM_TILE 0 TILEPROP VBRK_X85Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y55 NAME VBRK_X85Y55 TILEPROP VBRK_X85Y55 NUM_ARCS 0 TILEPROP VBRK_X85Y55 NUM_SITES 0 TILEPROP VBRK_X85Y55 ROW 101 TILEPROP VBRK_X85Y55 SLR_REGION_ID 0 TILEPROP VBRK_X85Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y55 TILE_TYPE VBRK TILEPROP VBRK_X85Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y55 TILE_X 56064 TILEPROP VBRK_X85Y55 TILE_Y -72000 TILEPROP VBRK_X85Y55 TYPE VBRK TILEPROP VBRK_X85Y56 CLASS tile TILEPROP VBRK_X85Y56 COLUMN 85 TILEPROP VBRK_X85Y56 DEVICE_ID 0 TILEPROP VBRK_X85Y56 FIRST_SITE_ID 10083 TILEPROP VBRK_X85Y56 GRID_POINT_X 85 TILEPROP VBRK_X85Y56 GRID_POINT_Y 100 TILEPROP VBRK_X85Y56 INDEX 11585 TILEPROP VBRK_X85Y56 INT_TILE_X 33 TILEPROP VBRK_X85Y56 INT_TILE_Y 96 TILEPROP VBRK_X85Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y56 IS_DCM_TILE 0 TILEPROP VBRK_X85Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y56 NAME VBRK_X85Y56 TILEPROP VBRK_X85Y56 NUM_ARCS 0 TILEPROP VBRK_X85Y56 NUM_SITES 0 TILEPROP VBRK_X85Y56 ROW 100 TILEPROP VBRK_X85Y56 SLR_REGION_ID 0 TILEPROP VBRK_X85Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y56 TILE_TYPE VBRK TILEPROP VBRK_X85Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y56 TILE_X 56064 TILEPROP VBRK_X85Y56 TILE_Y -68800 TILEPROP VBRK_X85Y56 TYPE VBRK TILEPROP VBRK_X85Y57 CLASS tile TILEPROP VBRK_X85Y57 COLUMN 85 TILEPROP VBRK_X85Y57 DEVICE_ID 0 TILEPROP VBRK_X85Y57 FIRST_SITE_ID 9983 TILEPROP VBRK_X85Y57 GRID_POINT_X 85 TILEPROP VBRK_X85Y57 GRID_POINT_Y 99 TILEPROP VBRK_X85Y57 INDEX 11470 TILEPROP VBRK_X85Y57 INT_TILE_X 33 TILEPROP VBRK_X85Y57 INT_TILE_Y 95 TILEPROP VBRK_X85Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y57 IS_DCM_TILE 0 TILEPROP VBRK_X85Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y57 NAME VBRK_X85Y57 TILEPROP VBRK_X85Y57 NUM_ARCS 0 TILEPROP VBRK_X85Y57 NUM_SITES 0 TILEPROP VBRK_X85Y57 ROW 99 TILEPROP VBRK_X85Y57 SLR_REGION_ID 0 TILEPROP VBRK_X85Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y57 TILE_TYPE VBRK TILEPROP VBRK_X85Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y57 TILE_X 56064 TILEPROP VBRK_X85Y57 TILE_Y -65600 TILEPROP VBRK_X85Y57 TYPE VBRK TILEPROP VBRK_X85Y58 CLASS tile TILEPROP VBRK_X85Y58 COLUMN 85 TILEPROP VBRK_X85Y58 DEVICE_ID 0 TILEPROP VBRK_X85Y58 FIRST_SITE_ID 9877 TILEPROP VBRK_X85Y58 GRID_POINT_X 85 TILEPROP VBRK_X85Y58 GRID_POINT_Y 98 TILEPROP VBRK_X85Y58 INDEX 11355 TILEPROP VBRK_X85Y58 INT_TILE_X 33 TILEPROP VBRK_X85Y58 INT_TILE_Y 94 TILEPROP VBRK_X85Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y58 IS_DCM_TILE 0 TILEPROP VBRK_X85Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y58 NAME VBRK_X85Y58 TILEPROP VBRK_X85Y58 NUM_ARCS 0 TILEPROP VBRK_X85Y58 NUM_SITES 0 TILEPROP VBRK_X85Y58 ROW 98 TILEPROP VBRK_X85Y58 SLR_REGION_ID 0 TILEPROP VBRK_X85Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y58 TILE_TYPE VBRK TILEPROP VBRK_X85Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y58 TILE_X 56064 TILEPROP VBRK_X85Y58 TILE_Y -62400 TILEPROP VBRK_X85Y58 TYPE VBRK TILEPROP VBRK_X85Y59 CLASS tile TILEPROP VBRK_X85Y59 COLUMN 85 TILEPROP VBRK_X85Y59 DEVICE_ID 0 TILEPROP VBRK_X85Y59 FIRST_SITE_ID 9768 TILEPROP VBRK_X85Y59 GRID_POINT_X 85 TILEPROP VBRK_X85Y59 GRID_POINT_Y 97 TILEPROP VBRK_X85Y59 INDEX 11240 TILEPROP VBRK_X85Y59 INT_TILE_X 33 TILEPROP VBRK_X85Y59 INT_TILE_Y 93 TILEPROP VBRK_X85Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y59 IS_DCM_TILE 0 TILEPROP VBRK_X85Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y59 NAME VBRK_X85Y59 TILEPROP VBRK_X85Y59 NUM_ARCS 0 TILEPROP VBRK_X85Y59 NUM_SITES 0 TILEPROP VBRK_X85Y59 ROW 97 TILEPROP VBRK_X85Y59 SLR_REGION_ID 0 TILEPROP VBRK_X85Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y59 TILE_TYPE VBRK TILEPROP VBRK_X85Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y59 TILE_X 56064 TILEPROP VBRK_X85Y59 TILE_Y -59200 TILEPROP VBRK_X85Y59 TYPE VBRK TILEPROP VBRK_X85Y60 CLASS tile TILEPROP VBRK_X85Y60 COLUMN 85 TILEPROP VBRK_X85Y60 DEVICE_ID 0 TILEPROP VBRK_X85Y60 FIRST_SITE_ID 9666 TILEPROP VBRK_X85Y60 GRID_POINT_X 85 TILEPROP VBRK_X85Y60 GRID_POINT_Y 96 TILEPROP VBRK_X85Y60 INDEX 11125 TILEPROP VBRK_X85Y60 INT_TILE_X 33 TILEPROP VBRK_X85Y60 INT_TILE_Y 92 TILEPROP VBRK_X85Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y60 IS_DCM_TILE 0 TILEPROP VBRK_X85Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y60 NAME VBRK_X85Y60 TILEPROP VBRK_X85Y60 NUM_ARCS 0 TILEPROP VBRK_X85Y60 NUM_SITES 0 TILEPROP VBRK_X85Y60 ROW 96 TILEPROP VBRK_X85Y60 SLR_REGION_ID 0 TILEPROP VBRK_X85Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y60 TILE_TYPE VBRK TILEPROP VBRK_X85Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y60 TILE_X 56064 TILEPROP VBRK_X85Y60 TILE_Y -56000 TILEPROP VBRK_X85Y60 TYPE VBRK TILEPROP VBRK_X85Y61 CLASS tile TILEPROP VBRK_X85Y61 COLUMN 85 TILEPROP VBRK_X85Y61 DEVICE_ID 0 TILEPROP VBRK_X85Y61 FIRST_SITE_ID 9563 TILEPROP VBRK_X85Y61 GRID_POINT_X 85 TILEPROP VBRK_X85Y61 GRID_POINT_Y 95 TILEPROP VBRK_X85Y61 INDEX 11010 TILEPROP VBRK_X85Y61 INT_TILE_X 33 TILEPROP VBRK_X85Y61 INT_TILE_Y 91 TILEPROP VBRK_X85Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y61 IS_DCM_TILE 0 TILEPROP VBRK_X85Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y61 NAME VBRK_X85Y61 TILEPROP VBRK_X85Y61 NUM_ARCS 0 TILEPROP VBRK_X85Y61 NUM_SITES 0 TILEPROP VBRK_X85Y61 ROW 95 TILEPROP VBRK_X85Y61 SLR_REGION_ID 0 TILEPROP VBRK_X85Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y61 TILE_TYPE VBRK TILEPROP VBRK_X85Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y61 TILE_X 56064 TILEPROP VBRK_X85Y61 TILE_Y -52800 TILEPROP VBRK_X85Y61 TYPE VBRK TILEPROP VBRK_X85Y62 CLASS tile TILEPROP VBRK_X85Y62 COLUMN 85 TILEPROP VBRK_X85Y62 DEVICE_ID 0 TILEPROP VBRK_X85Y62 FIRST_SITE_ID 9462 TILEPROP VBRK_X85Y62 GRID_POINT_X 85 TILEPROP VBRK_X85Y62 GRID_POINT_Y 94 TILEPROP VBRK_X85Y62 INDEX 10895 TILEPROP VBRK_X85Y62 INT_TILE_X 33 TILEPROP VBRK_X85Y62 INT_TILE_Y 90 TILEPROP VBRK_X85Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y62 IS_DCM_TILE 0 TILEPROP VBRK_X85Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y62 NAME VBRK_X85Y62 TILEPROP VBRK_X85Y62 NUM_ARCS 0 TILEPROP VBRK_X85Y62 NUM_SITES 0 TILEPROP VBRK_X85Y62 ROW 94 TILEPROP VBRK_X85Y62 SLR_REGION_ID 0 TILEPROP VBRK_X85Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y62 TILE_TYPE VBRK TILEPROP VBRK_X85Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y62 TILE_X 56064 TILEPROP VBRK_X85Y62 TILE_Y -49600 TILEPROP VBRK_X85Y62 TYPE VBRK TILEPROP VBRK_X85Y63 CLASS tile TILEPROP VBRK_X85Y63 COLUMN 85 TILEPROP VBRK_X85Y63 DEVICE_ID 0 TILEPROP VBRK_X85Y63 FIRST_SITE_ID 9356 TILEPROP VBRK_X85Y63 GRID_POINT_X 85 TILEPROP VBRK_X85Y63 GRID_POINT_Y 93 TILEPROP VBRK_X85Y63 INDEX 10780 TILEPROP VBRK_X85Y63 INT_TILE_X 33 TILEPROP VBRK_X85Y63 INT_TILE_Y 89 TILEPROP VBRK_X85Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y63 IS_DCM_TILE 0 TILEPROP VBRK_X85Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y63 NAME VBRK_X85Y63 TILEPROP VBRK_X85Y63 NUM_ARCS 0 TILEPROP VBRK_X85Y63 NUM_SITES 0 TILEPROP VBRK_X85Y63 ROW 93 TILEPROP VBRK_X85Y63 SLR_REGION_ID 0 TILEPROP VBRK_X85Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y63 TILE_TYPE VBRK TILEPROP VBRK_X85Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y63 TILE_X 56064 TILEPROP VBRK_X85Y63 TILE_Y -46400 TILEPROP VBRK_X85Y63 TYPE VBRK TILEPROP VBRK_X85Y64 CLASS tile TILEPROP VBRK_X85Y64 COLUMN 85 TILEPROP VBRK_X85Y64 DEVICE_ID 0 TILEPROP VBRK_X85Y64 FIRST_SITE_ID 9247 TILEPROP VBRK_X85Y64 GRID_POINT_X 85 TILEPROP VBRK_X85Y64 GRID_POINT_Y 92 TILEPROP VBRK_X85Y64 INDEX 10665 TILEPROP VBRK_X85Y64 INT_TILE_X 33 TILEPROP VBRK_X85Y64 INT_TILE_Y 88 TILEPROP VBRK_X85Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y64 IS_DCM_TILE 0 TILEPROP VBRK_X85Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y64 NAME VBRK_X85Y64 TILEPROP VBRK_X85Y64 NUM_ARCS 0 TILEPROP VBRK_X85Y64 NUM_SITES 0 TILEPROP VBRK_X85Y64 ROW 92 TILEPROP VBRK_X85Y64 SLR_REGION_ID 0 TILEPROP VBRK_X85Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y64 TILE_TYPE VBRK TILEPROP VBRK_X85Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y64 TILE_X 56064 TILEPROP VBRK_X85Y64 TILE_Y -43200 TILEPROP VBRK_X85Y64 TYPE VBRK TILEPROP VBRK_X85Y65 CLASS tile TILEPROP VBRK_X85Y65 COLUMN 85 TILEPROP VBRK_X85Y65 DEVICE_ID 0 TILEPROP VBRK_X85Y65 FIRST_SITE_ID 9147 TILEPROP VBRK_X85Y65 GRID_POINT_X 85 TILEPROP VBRK_X85Y65 GRID_POINT_Y 91 TILEPROP VBRK_X85Y65 INDEX 10550 TILEPROP VBRK_X85Y65 INT_TILE_X 33 TILEPROP VBRK_X85Y65 INT_TILE_Y 87 TILEPROP VBRK_X85Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y65 IS_DCM_TILE 0 TILEPROP VBRK_X85Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y65 NAME VBRK_X85Y65 TILEPROP VBRK_X85Y65 NUM_ARCS 0 TILEPROP VBRK_X85Y65 NUM_SITES 0 TILEPROP VBRK_X85Y65 ROW 91 TILEPROP VBRK_X85Y65 SLR_REGION_ID 0 TILEPROP VBRK_X85Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y65 TILE_TYPE VBRK TILEPROP VBRK_X85Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y65 TILE_X 56064 TILEPROP VBRK_X85Y65 TILE_Y -40000 TILEPROP VBRK_X85Y65 TYPE VBRK TILEPROP VBRK_X85Y66 CLASS tile TILEPROP VBRK_X85Y66 COLUMN 85 TILEPROP VBRK_X85Y66 DEVICE_ID 0 TILEPROP VBRK_X85Y66 FIRST_SITE_ID 9015 TILEPROP VBRK_X85Y66 GRID_POINT_X 85 TILEPROP VBRK_X85Y66 GRID_POINT_Y 90 TILEPROP VBRK_X85Y66 INDEX 10435 TILEPROP VBRK_X85Y66 INT_TILE_X 33 TILEPROP VBRK_X85Y66 INT_TILE_Y 86 TILEPROP VBRK_X85Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y66 IS_DCM_TILE 0 TILEPROP VBRK_X85Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y66 NAME VBRK_X85Y66 TILEPROP VBRK_X85Y66 NUM_ARCS 0 TILEPROP VBRK_X85Y66 NUM_SITES 0 TILEPROP VBRK_X85Y66 ROW 90 TILEPROP VBRK_X85Y66 SLR_REGION_ID 0 TILEPROP VBRK_X85Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y66 TILE_TYPE VBRK TILEPROP VBRK_X85Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y66 TILE_X 56064 TILEPROP VBRK_X85Y66 TILE_Y -36800 TILEPROP VBRK_X85Y66 TYPE VBRK TILEPROP VBRK_X85Y67 CLASS tile TILEPROP VBRK_X85Y67 COLUMN 85 TILEPROP VBRK_X85Y67 DEVICE_ID 0 TILEPROP VBRK_X85Y67 FIRST_SITE_ID 8915 TILEPROP VBRK_X85Y67 GRID_POINT_X 85 TILEPROP VBRK_X85Y67 GRID_POINT_Y 89 TILEPROP VBRK_X85Y67 INDEX 10320 TILEPROP VBRK_X85Y67 INT_TILE_X 33 TILEPROP VBRK_X85Y67 INT_TILE_Y 85 TILEPROP VBRK_X85Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y67 IS_DCM_TILE 0 TILEPROP VBRK_X85Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y67 NAME VBRK_X85Y67 TILEPROP VBRK_X85Y67 NUM_ARCS 0 TILEPROP VBRK_X85Y67 NUM_SITES 0 TILEPROP VBRK_X85Y67 ROW 89 TILEPROP VBRK_X85Y67 SLR_REGION_ID 0 TILEPROP VBRK_X85Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y67 TILE_TYPE VBRK TILEPROP VBRK_X85Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y67 TILE_X 56064 TILEPROP VBRK_X85Y67 TILE_Y -33600 TILEPROP VBRK_X85Y67 TYPE VBRK TILEPROP VBRK_X85Y68 CLASS tile TILEPROP VBRK_X85Y68 COLUMN 85 TILEPROP VBRK_X85Y68 DEVICE_ID 0 TILEPROP VBRK_X85Y68 FIRST_SITE_ID 8809 TILEPROP VBRK_X85Y68 GRID_POINT_X 85 TILEPROP VBRK_X85Y68 GRID_POINT_Y 88 TILEPROP VBRK_X85Y68 INDEX 10205 TILEPROP VBRK_X85Y68 INT_TILE_X 33 TILEPROP VBRK_X85Y68 INT_TILE_Y 84 TILEPROP VBRK_X85Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y68 IS_DCM_TILE 0 TILEPROP VBRK_X85Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y68 NAME VBRK_X85Y68 TILEPROP VBRK_X85Y68 NUM_ARCS 0 TILEPROP VBRK_X85Y68 NUM_SITES 0 TILEPROP VBRK_X85Y68 ROW 88 TILEPROP VBRK_X85Y68 SLR_REGION_ID 0 TILEPROP VBRK_X85Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y68 TILE_TYPE VBRK TILEPROP VBRK_X85Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y68 TILE_X 56064 TILEPROP VBRK_X85Y68 TILE_Y -30400 TILEPROP VBRK_X85Y68 TYPE VBRK TILEPROP VBRK_X85Y69 CLASS tile TILEPROP VBRK_X85Y69 COLUMN 85 TILEPROP VBRK_X85Y69 DEVICE_ID 0 TILEPROP VBRK_X85Y69 FIRST_SITE_ID 8700 TILEPROP VBRK_X85Y69 GRID_POINT_X 85 TILEPROP VBRK_X85Y69 GRID_POINT_Y 87 TILEPROP VBRK_X85Y69 INDEX 10090 TILEPROP VBRK_X85Y69 INT_TILE_X 33 TILEPROP VBRK_X85Y69 INT_TILE_Y 83 TILEPROP VBRK_X85Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y69 IS_DCM_TILE 0 TILEPROP VBRK_X85Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y69 NAME VBRK_X85Y69 TILEPROP VBRK_X85Y69 NUM_ARCS 0 TILEPROP VBRK_X85Y69 NUM_SITES 0 TILEPROP VBRK_X85Y69 ROW 87 TILEPROP VBRK_X85Y69 SLR_REGION_ID 0 TILEPROP VBRK_X85Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y69 TILE_TYPE VBRK TILEPROP VBRK_X85Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y69 TILE_X 56064 TILEPROP VBRK_X85Y69 TILE_Y -27200 TILEPROP VBRK_X85Y69 TYPE VBRK TILEPROP VBRK_X85Y70 CLASS tile TILEPROP VBRK_X85Y70 COLUMN 85 TILEPROP VBRK_X85Y70 DEVICE_ID 0 TILEPROP VBRK_X85Y70 FIRST_SITE_ID 8596 TILEPROP VBRK_X85Y70 GRID_POINT_X 85 TILEPROP VBRK_X85Y70 GRID_POINT_Y 86 TILEPROP VBRK_X85Y70 INDEX 9975 TILEPROP VBRK_X85Y70 INT_TILE_X 33 TILEPROP VBRK_X85Y70 INT_TILE_Y 82 TILEPROP VBRK_X85Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y70 IS_DCM_TILE 0 TILEPROP VBRK_X85Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y70 NAME VBRK_X85Y70 TILEPROP VBRK_X85Y70 NUM_ARCS 0 TILEPROP VBRK_X85Y70 NUM_SITES 0 TILEPROP VBRK_X85Y70 ROW 86 TILEPROP VBRK_X85Y70 SLR_REGION_ID 0 TILEPROP VBRK_X85Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y70 TILE_TYPE VBRK TILEPROP VBRK_X85Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y70 TILE_X 56064 TILEPROP VBRK_X85Y70 TILE_Y -24000 TILEPROP VBRK_X85Y70 TYPE VBRK TILEPROP VBRK_X85Y71 CLASS tile TILEPROP VBRK_X85Y71 COLUMN 85 TILEPROP VBRK_X85Y71 DEVICE_ID 0 TILEPROP VBRK_X85Y71 FIRST_SITE_ID 8492 TILEPROP VBRK_X85Y71 GRID_POINT_X 85 TILEPROP VBRK_X85Y71 GRID_POINT_Y 85 TILEPROP VBRK_X85Y71 INDEX 9860 TILEPROP VBRK_X85Y71 INT_TILE_X 33 TILEPROP VBRK_X85Y71 INT_TILE_Y 81 TILEPROP VBRK_X85Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y71 IS_DCM_TILE 0 TILEPROP VBRK_X85Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y71 NAME VBRK_X85Y71 TILEPROP VBRK_X85Y71 NUM_ARCS 0 TILEPROP VBRK_X85Y71 NUM_SITES 0 TILEPROP VBRK_X85Y71 ROW 85 TILEPROP VBRK_X85Y71 SLR_REGION_ID 0 TILEPROP VBRK_X85Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y71 TILE_TYPE VBRK TILEPROP VBRK_X85Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y71 TILE_X 56064 TILEPROP VBRK_X85Y71 TILE_Y -20800 TILEPROP VBRK_X85Y71 TYPE VBRK TILEPROP VBRK_X85Y72 CLASS tile TILEPROP VBRK_X85Y72 COLUMN 85 TILEPROP VBRK_X85Y72 DEVICE_ID 0 TILEPROP VBRK_X85Y72 FIRST_SITE_ID 8390 TILEPROP VBRK_X85Y72 GRID_POINT_X 85 TILEPROP VBRK_X85Y72 GRID_POINT_Y 84 TILEPROP VBRK_X85Y72 INDEX 9745 TILEPROP VBRK_X85Y72 INT_TILE_X 33 TILEPROP VBRK_X85Y72 INT_TILE_Y 80 TILEPROP VBRK_X85Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y72 IS_DCM_TILE 0 TILEPROP VBRK_X85Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y72 NAME VBRK_X85Y72 TILEPROP VBRK_X85Y72 NUM_ARCS 0 TILEPROP VBRK_X85Y72 NUM_SITES 0 TILEPROP VBRK_X85Y72 ROW 84 TILEPROP VBRK_X85Y72 SLR_REGION_ID 0 TILEPROP VBRK_X85Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y72 TILE_TYPE VBRK TILEPROP VBRK_X85Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y72 TILE_X 56064 TILEPROP VBRK_X85Y72 TILE_Y -17600 TILEPROP VBRK_X85Y72 TYPE VBRK TILEPROP VBRK_X85Y73 CLASS tile TILEPROP VBRK_X85Y73 COLUMN 85 TILEPROP VBRK_X85Y73 DEVICE_ID 0 TILEPROP VBRK_X85Y73 FIRST_SITE_ID 8282 TILEPROP VBRK_X85Y73 GRID_POINT_X 85 TILEPROP VBRK_X85Y73 GRID_POINT_Y 83 TILEPROP VBRK_X85Y73 INDEX 9630 TILEPROP VBRK_X85Y73 INT_TILE_X 33 TILEPROP VBRK_X85Y73 INT_TILE_Y 79 TILEPROP VBRK_X85Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y73 IS_DCM_TILE 0 TILEPROP VBRK_X85Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y73 NAME VBRK_X85Y73 TILEPROP VBRK_X85Y73 NUM_ARCS 0 TILEPROP VBRK_X85Y73 NUM_SITES 0 TILEPROP VBRK_X85Y73 ROW 83 TILEPROP VBRK_X85Y73 SLR_REGION_ID 0 TILEPROP VBRK_X85Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y73 TILE_TYPE VBRK TILEPROP VBRK_X85Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y73 TILE_X 56064 TILEPROP VBRK_X85Y73 TILE_Y -14400 TILEPROP VBRK_X85Y73 TYPE VBRK TILEPROP VBRK_X85Y74 CLASS tile TILEPROP VBRK_X85Y74 COLUMN 85 TILEPROP VBRK_X85Y74 DEVICE_ID 0 TILEPROP VBRK_X85Y74 FIRST_SITE_ID 8173 TILEPROP VBRK_X85Y74 GRID_POINT_X 85 TILEPROP VBRK_X85Y74 GRID_POINT_Y 82 TILEPROP VBRK_X85Y74 INDEX 9515 TILEPROP VBRK_X85Y74 INT_TILE_X 33 TILEPROP VBRK_X85Y74 INT_TILE_Y 78 TILEPROP VBRK_X85Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y74 IS_DCM_TILE 0 TILEPROP VBRK_X85Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y74 NAME VBRK_X85Y74 TILEPROP VBRK_X85Y74 NUM_ARCS 0 TILEPROP VBRK_X85Y74 NUM_SITES 0 TILEPROP VBRK_X85Y74 ROW 82 TILEPROP VBRK_X85Y74 SLR_REGION_ID 0 TILEPROP VBRK_X85Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y74 TILE_TYPE VBRK TILEPROP VBRK_X85Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y74 TILE_X 56064 TILEPROP VBRK_X85Y74 TILE_Y -11200 TILEPROP VBRK_X85Y74 TYPE VBRK TILEPROP VBRK_X85Y75 CLASS tile TILEPROP VBRK_X85Y75 COLUMN 85 TILEPROP VBRK_X85Y75 DEVICE_ID 0 TILEPROP VBRK_X85Y75 FIRST_SITE_ID 8073 TILEPROP VBRK_X85Y75 GRID_POINT_X 85 TILEPROP VBRK_X85Y75 GRID_POINT_Y 81 TILEPROP VBRK_X85Y75 INDEX 9400 TILEPROP VBRK_X85Y75 INT_TILE_X 33 TILEPROP VBRK_X85Y75 INT_TILE_Y 77 TILEPROP VBRK_X85Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y75 IS_DCM_TILE 0 TILEPROP VBRK_X85Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y75 NAME VBRK_X85Y75 TILEPROP VBRK_X85Y75 NUM_ARCS 0 TILEPROP VBRK_X85Y75 NUM_SITES 0 TILEPROP VBRK_X85Y75 ROW 81 TILEPROP VBRK_X85Y75 SLR_REGION_ID 0 TILEPROP VBRK_X85Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y75 TILE_TYPE VBRK TILEPROP VBRK_X85Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y75 TILE_X 56064 TILEPROP VBRK_X85Y75 TILE_Y -8000 TILEPROP VBRK_X85Y75 TYPE VBRK TILEPROP VBRK_X85Y76 CLASS tile TILEPROP VBRK_X85Y76 COLUMN 85 TILEPROP VBRK_X85Y76 DEVICE_ID 0 TILEPROP VBRK_X85Y76 FIRST_SITE_ID 7973 TILEPROP VBRK_X85Y76 GRID_POINT_X 85 TILEPROP VBRK_X85Y76 GRID_POINT_Y 80 TILEPROP VBRK_X85Y76 INDEX 9285 TILEPROP VBRK_X85Y76 INT_TILE_X 33 TILEPROP VBRK_X85Y76 INT_TILE_Y 76 TILEPROP VBRK_X85Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y76 IS_DCM_TILE 0 TILEPROP VBRK_X85Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y76 NAME VBRK_X85Y76 TILEPROP VBRK_X85Y76 NUM_ARCS 0 TILEPROP VBRK_X85Y76 NUM_SITES 0 TILEPROP VBRK_X85Y76 ROW 80 TILEPROP VBRK_X85Y76 SLR_REGION_ID 0 TILEPROP VBRK_X85Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y76 TILE_TYPE VBRK TILEPROP VBRK_X85Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y76 TILE_X 56064 TILEPROP VBRK_X85Y76 TILE_Y -4800 TILEPROP VBRK_X85Y76 TYPE VBRK TILEPROP VBRK_X85Y77 CLASS tile TILEPROP VBRK_X85Y77 COLUMN 85 TILEPROP VBRK_X85Y77 DEVICE_ID 0 TILEPROP VBRK_X85Y77 FIRST_SITE_ID 7873 TILEPROP VBRK_X85Y77 GRID_POINT_X 85 TILEPROP VBRK_X85Y77 GRID_POINT_Y 79 TILEPROP VBRK_X85Y77 INDEX 9170 TILEPROP VBRK_X85Y77 INT_TILE_X 33 TILEPROP VBRK_X85Y77 INT_TILE_Y 75 TILEPROP VBRK_X85Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y77 IS_DCM_TILE 0 TILEPROP VBRK_X85Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y77 NAME VBRK_X85Y77 TILEPROP VBRK_X85Y77 NUM_ARCS 0 TILEPROP VBRK_X85Y77 NUM_SITES 0 TILEPROP VBRK_X85Y77 ROW 79 TILEPROP VBRK_X85Y77 SLR_REGION_ID 0 TILEPROP VBRK_X85Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y77 TILE_TYPE VBRK TILEPROP VBRK_X85Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y77 TILE_X 56064 TILEPROP VBRK_X85Y77 TILE_Y -1600 TILEPROP VBRK_X85Y77 TYPE VBRK TILEPROP VBRK_X85Y79 CLASS tile TILEPROP VBRK_X85Y79 COLUMN 85 TILEPROP VBRK_X85Y79 DEVICE_ID 0 TILEPROP VBRK_X85Y79 FIRST_SITE_ID 7684 TILEPROP VBRK_X85Y79 GRID_POINT_X 85 TILEPROP VBRK_X85Y79 GRID_POINT_Y 77 TILEPROP VBRK_X85Y79 INDEX 8940 TILEPROP VBRK_X85Y79 INT_TILE_X 33 TILEPROP VBRK_X85Y79 INT_TILE_Y 74 TILEPROP VBRK_X85Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y79 IS_DCM_TILE 0 TILEPROP VBRK_X85Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y79 NAME VBRK_X85Y79 TILEPROP VBRK_X85Y79 NUM_ARCS 0 TILEPROP VBRK_X85Y79 NUM_SITES 0 TILEPROP VBRK_X85Y79 ROW 77 TILEPROP VBRK_X85Y79 SLR_REGION_ID 0 TILEPROP VBRK_X85Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y79 TILE_TYPE VBRK TILEPROP VBRK_X85Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y79 TILE_X 56064 TILEPROP VBRK_X85Y79 TILE_Y 2624 TILEPROP VBRK_X85Y79 TYPE VBRK TILEPROP VBRK_X85Y80 CLASS tile TILEPROP VBRK_X85Y80 COLUMN 85 TILEPROP VBRK_X85Y80 DEVICE_ID 0 TILEPROP VBRK_X85Y80 FIRST_SITE_ID 7572 TILEPROP VBRK_X85Y80 GRID_POINT_X 85 TILEPROP VBRK_X85Y80 GRID_POINT_Y 76 TILEPROP VBRK_X85Y80 INDEX 8825 TILEPROP VBRK_X85Y80 INT_TILE_X 33 TILEPROP VBRK_X85Y80 INT_TILE_Y 73 TILEPROP VBRK_X85Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y80 IS_DCM_TILE 0 TILEPROP VBRK_X85Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y80 NAME VBRK_X85Y80 TILEPROP VBRK_X85Y80 NUM_ARCS 0 TILEPROP VBRK_X85Y80 NUM_SITES 0 TILEPROP VBRK_X85Y80 ROW 76 TILEPROP VBRK_X85Y80 SLR_REGION_ID 0 TILEPROP VBRK_X85Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y80 TILE_TYPE VBRK TILEPROP VBRK_X85Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y80 TILE_X 56064 TILEPROP VBRK_X85Y80 TILE_Y 5824 TILEPROP VBRK_X85Y80 TYPE VBRK TILEPROP VBRK_X85Y81 CLASS tile TILEPROP VBRK_X85Y81 COLUMN 85 TILEPROP VBRK_X85Y81 DEVICE_ID 0 TILEPROP VBRK_X85Y81 FIRST_SITE_ID 7472 TILEPROP VBRK_X85Y81 GRID_POINT_X 85 TILEPROP VBRK_X85Y81 GRID_POINT_Y 75 TILEPROP VBRK_X85Y81 INDEX 8710 TILEPROP VBRK_X85Y81 INT_TILE_X 33 TILEPROP VBRK_X85Y81 INT_TILE_Y 72 TILEPROP VBRK_X85Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y81 IS_DCM_TILE 0 TILEPROP VBRK_X85Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y81 NAME VBRK_X85Y81 TILEPROP VBRK_X85Y81 NUM_ARCS 0 TILEPROP VBRK_X85Y81 NUM_SITES 0 TILEPROP VBRK_X85Y81 ROW 75 TILEPROP VBRK_X85Y81 SLR_REGION_ID 0 TILEPROP VBRK_X85Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y81 TILE_TYPE VBRK TILEPROP VBRK_X85Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y81 TILE_X 56064 TILEPROP VBRK_X85Y81 TILE_Y 9024 TILEPROP VBRK_X85Y81 TYPE VBRK TILEPROP VBRK_X85Y82 CLASS tile TILEPROP VBRK_X85Y82 COLUMN 85 TILEPROP VBRK_X85Y82 DEVICE_ID 0 TILEPROP VBRK_X85Y82 FIRST_SITE_ID 7372 TILEPROP VBRK_X85Y82 GRID_POINT_X 85 TILEPROP VBRK_X85Y82 GRID_POINT_Y 74 TILEPROP VBRK_X85Y82 INDEX 8595 TILEPROP VBRK_X85Y82 INT_TILE_X 33 TILEPROP VBRK_X85Y82 INT_TILE_Y 71 TILEPROP VBRK_X85Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y82 IS_DCM_TILE 0 TILEPROP VBRK_X85Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y82 NAME VBRK_X85Y82 TILEPROP VBRK_X85Y82 NUM_ARCS 0 TILEPROP VBRK_X85Y82 NUM_SITES 0 TILEPROP VBRK_X85Y82 ROW 74 TILEPROP VBRK_X85Y82 SLR_REGION_ID 0 TILEPROP VBRK_X85Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y82 TILE_TYPE VBRK TILEPROP VBRK_X85Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y82 TILE_X 56064 TILEPROP VBRK_X85Y82 TILE_Y 12224 TILEPROP VBRK_X85Y82 TYPE VBRK TILEPROP VBRK_X85Y83 CLASS tile TILEPROP VBRK_X85Y83 COLUMN 85 TILEPROP VBRK_X85Y83 DEVICE_ID 0 TILEPROP VBRK_X85Y83 FIRST_SITE_ID 7266 TILEPROP VBRK_X85Y83 GRID_POINT_X 85 TILEPROP VBRK_X85Y83 GRID_POINT_Y 73 TILEPROP VBRK_X85Y83 INDEX 8480 TILEPROP VBRK_X85Y83 INT_TILE_X 33 TILEPROP VBRK_X85Y83 INT_TILE_Y 70 TILEPROP VBRK_X85Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y83 IS_DCM_TILE 0 TILEPROP VBRK_X85Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y83 NAME VBRK_X85Y83 TILEPROP VBRK_X85Y83 NUM_ARCS 0 TILEPROP VBRK_X85Y83 NUM_SITES 0 TILEPROP VBRK_X85Y83 ROW 73 TILEPROP VBRK_X85Y83 SLR_REGION_ID 0 TILEPROP VBRK_X85Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y83 TILE_TYPE VBRK TILEPROP VBRK_X85Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y83 TILE_X 56064 TILEPROP VBRK_X85Y83 TILE_Y 15424 TILEPROP VBRK_X85Y83 TYPE VBRK TILEPROP VBRK_X85Y84 CLASS tile TILEPROP VBRK_X85Y84 COLUMN 85 TILEPROP VBRK_X85Y84 DEVICE_ID 0 TILEPROP VBRK_X85Y84 FIRST_SITE_ID 7154 TILEPROP VBRK_X85Y84 GRID_POINT_X 85 TILEPROP VBRK_X85Y84 GRID_POINT_Y 72 TILEPROP VBRK_X85Y84 INDEX 8365 TILEPROP VBRK_X85Y84 INT_TILE_X 33 TILEPROP VBRK_X85Y84 INT_TILE_Y 69 TILEPROP VBRK_X85Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y84 IS_DCM_TILE 0 TILEPROP VBRK_X85Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y84 NAME VBRK_X85Y84 TILEPROP VBRK_X85Y84 NUM_ARCS 0 TILEPROP VBRK_X85Y84 NUM_SITES 0 TILEPROP VBRK_X85Y84 ROW 72 TILEPROP VBRK_X85Y84 SLR_REGION_ID 0 TILEPROP VBRK_X85Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y84 TILE_TYPE VBRK TILEPROP VBRK_X85Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y84 TILE_X 56064 TILEPROP VBRK_X85Y84 TILE_Y 18624 TILEPROP VBRK_X85Y84 TYPE VBRK TILEPROP VBRK_X85Y85 CLASS tile TILEPROP VBRK_X85Y85 COLUMN 85 TILEPROP VBRK_X85Y85 DEVICE_ID 0 TILEPROP VBRK_X85Y85 FIRST_SITE_ID 7043 TILEPROP VBRK_X85Y85 GRID_POINT_X 85 TILEPROP VBRK_X85Y85 GRID_POINT_Y 71 TILEPROP VBRK_X85Y85 INDEX 8250 TILEPROP VBRK_X85Y85 INT_TILE_X 33 TILEPROP VBRK_X85Y85 INT_TILE_Y 68 TILEPROP VBRK_X85Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y85 IS_DCM_TILE 0 TILEPROP VBRK_X85Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y85 NAME VBRK_X85Y85 TILEPROP VBRK_X85Y85 NUM_ARCS 0 TILEPROP VBRK_X85Y85 NUM_SITES 0 TILEPROP VBRK_X85Y85 ROW 71 TILEPROP VBRK_X85Y85 SLR_REGION_ID 0 TILEPROP VBRK_X85Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y85 TILE_TYPE VBRK TILEPROP VBRK_X85Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y85 TILE_X 56064 TILEPROP VBRK_X85Y85 TILE_Y 21824 TILEPROP VBRK_X85Y85 TYPE VBRK TILEPROP VBRK_X85Y86 CLASS tile TILEPROP VBRK_X85Y86 COLUMN 85 TILEPROP VBRK_X85Y86 DEVICE_ID 0 TILEPROP VBRK_X85Y86 FIRST_SITE_ID 6941 TILEPROP VBRK_X85Y86 GRID_POINT_X 85 TILEPROP VBRK_X85Y86 GRID_POINT_Y 70 TILEPROP VBRK_X85Y86 INDEX 8135 TILEPROP VBRK_X85Y86 INT_TILE_X 33 TILEPROP VBRK_X85Y86 INT_TILE_Y 67 TILEPROP VBRK_X85Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y86 IS_DCM_TILE 0 TILEPROP VBRK_X85Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y86 NAME VBRK_X85Y86 TILEPROP VBRK_X85Y86 NUM_ARCS 0 TILEPROP VBRK_X85Y86 NUM_SITES 0 TILEPROP VBRK_X85Y86 ROW 70 TILEPROP VBRK_X85Y86 SLR_REGION_ID 0 TILEPROP VBRK_X85Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y86 TILE_TYPE VBRK TILEPROP VBRK_X85Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y86 TILE_X 56064 TILEPROP VBRK_X85Y86 TILE_Y 25024 TILEPROP VBRK_X85Y86 TYPE VBRK TILEPROP VBRK_X85Y87 CLASS tile TILEPROP VBRK_X85Y87 COLUMN 85 TILEPROP VBRK_X85Y87 DEVICE_ID 0 TILEPROP VBRK_X85Y87 FIRST_SITE_ID 6841 TILEPROP VBRK_X85Y87 GRID_POINT_X 85 TILEPROP VBRK_X85Y87 GRID_POINT_Y 69 TILEPROP VBRK_X85Y87 INDEX 8020 TILEPROP VBRK_X85Y87 INT_TILE_X 33 TILEPROP VBRK_X85Y87 INT_TILE_Y 66 TILEPROP VBRK_X85Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y87 IS_DCM_TILE 0 TILEPROP VBRK_X85Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y87 NAME VBRK_X85Y87 TILEPROP VBRK_X85Y87 NUM_ARCS 0 TILEPROP VBRK_X85Y87 NUM_SITES 0 TILEPROP VBRK_X85Y87 ROW 69 TILEPROP VBRK_X85Y87 SLR_REGION_ID 0 TILEPROP VBRK_X85Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y87 TILE_TYPE VBRK TILEPROP VBRK_X85Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y87 TILE_X 56064 TILEPROP VBRK_X85Y87 TILE_Y 28224 TILEPROP VBRK_X85Y87 TYPE VBRK TILEPROP VBRK_X85Y88 CLASS tile TILEPROP VBRK_X85Y88 COLUMN 85 TILEPROP VBRK_X85Y88 DEVICE_ID 0 TILEPROP VBRK_X85Y88 FIRST_SITE_ID 6741 TILEPROP VBRK_X85Y88 GRID_POINT_X 85 TILEPROP VBRK_X85Y88 GRID_POINT_Y 68 TILEPROP VBRK_X85Y88 INDEX 7905 TILEPROP VBRK_X85Y88 INT_TILE_X 33 TILEPROP VBRK_X85Y88 INT_TILE_Y 65 TILEPROP VBRK_X85Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y88 IS_DCM_TILE 0 TILEPROP VBRK_X85Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y88 NAME VBRK_X85Y88 TILEPROP VBRK_X85Y88 NUM_ARCS 0 TILEPROP VBRK_X85Y88 NUM_SITES 0 TILEPROP VBRK_X85Y88 ROW 68 TILEPROP VBRK_X85Y88 SLR_REGION_ID 0 TILEPROP VBRK_X85Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y88 TILE_TYPE VBRK TILEPROP VBRK_X85Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y88 TILE_X 56064 TILEPROP VBRK_X85Y88 TILE_Y 31424 TILEPROP VBRK_X85Y88 TYPE VBRK TILEPROP VBRK_X85Y89 CLASS tile TILEPROP VBRK_X85Y89 COLUMN 85 TILEPROP VBRK_X85Y89 DEVICE_ID 0 TILEPROP VBRK_X85Y89 FIRST_SITE_ID 6635 TILEPROP VBRK_X85Y89 GRID_POINT_X 85 TILEPROP VBRK_X85Y89 GRID_POINT_Y 67 TILEPROP VBRK_X85Y89 INDEX 7790 TILEPROP VBRK_X85Y89 INT_TILE_X 33 TILEPROP VBRK_X85Y89 INT_TILE_Y 64 TILEPROP VBRK_X85Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y89 IS_DCM_TILE 0 TILEPROP VBRK_X85Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y89 NAME VBRK_X85Y89 TILEPROP VBRK_X85Y89 NUM_ARCS 0 TILEPROP VBRK_X85Y89 NUM_SITES 0 TILEPROP VBRK_X85Y89 ROW 67 TILEPROP VBRK_X85Y89 SLR_REGION_ID 0 TILEPROP VBRK_X85Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y89 TILE_TYPE VBRK TILEPROP VBRK_X85Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y89 TILE_X 56064 TILEPROP VBRK_X85Y89 TILE_Y 34624 TILEPROP VBRK_X85Y89 TYPE VBRK TILEPROP VBRK_X85Y90 CLASS tile TILEPROP VBRK_X85Y90 COLUMN 85 TILEPROP VBRK_X85Y90 DEVICE_ID 0 TILEPROP VBRK_X85Y90 FIRST_SITE_ID 6526 TILEPROP VBRK_X85Y90 GRID_POINT_X 85 TILEPROP VBRK_X85Y90 GRID_POINT_Y 66 TILEPROP VBRK_X85Y90 INDEX 7675 TILEPROP VBRK_X85Y90 INT_TILE_X 33 TILEPROP VBRK_X85Y90 INT_TILE_Y 63 TILEPROP VBRK_X85Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y90 IS_DCM_TILE 0 TILEPROP VBRK_X85Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y90 NAME VBRK_X85Y90 TILEPROP VBRK_X85Y90 NUM_ARCS 0 TILEPROP VBRK_X85Y90 NUM_SITES 0 TILEPROP VBRK_X85Y90 ROW 66 TILEPROP VBRK_X85Y90 SLR_REGION_ID 0 TILEPROP VBRK_X85Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y90 TILE_TYPE VBRK TILEPROP VBRK_X85Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y90 TILE_X 56064 TILEPROP VBRK_X85Y90 TILE_Y 37824 TILEPROP VBRK_X85Y90 TYPE VBRK TILEPROP VBRK_X85Y91 CLASS tile TILEPROP VBRK_X85Y91 COLUMN 85 TILEPROP VBRK_X85Y91 DEVICE_ID 0 TILEPROP VBRK_X85Y91 FIRST_SITE_ID 6394 TILEPROP VBRK_X85Y91 GRID_POINT_X 85 TILEPROP VBRK_X85Y91 GRID_POINT_Y 65 TILEPROP VBRK_X85Y91 INDEX 7560 TILEPROP VBRK_X85Y91 INT_TILE_X 33 TILEPROP VBRK_X85Y91 INT_TILE_Y 62 TILEPROP VBRK_X85Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y91 IS_DCM_TILE 0 TILEPROP VBRK_X85Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y91 NAME VBRK_X85Y91 TILEPROP VBRK_X85Y91 NUM_ARCS 0 TILEPROP VBRK_X85Y91 NUM_SITES 0 TILEPROP VBRK_X85Y91 ROW 65 TILEPROP VBRK_X85Y91 SLR_REGION_ID 0 TILEPROP VBRK_X85Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y91 TILE_TYPE VBRK TILEPROP VBRK_X85Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y91 TILE_X 56064 TILEPROP VBRK_X85Y91 TILE_Y 41024 TILEPROP VBRK_X85Y91 TYPE VBRK TILEPROP VBRK_X85Y92 CLASS tile TILEPROP VBRK_X85Y92 COLUMN 85 TILEPROP VBRK_X85Y92 DEVICE_ID 0 TILEPROP VBRK_X85Y92 FIRST_SITE_ID 6294 TILEPROP VBRK_X85Y92 GRID_POINT_X 85 TILEPROP VBRK_X85Y92 GRID_POINT_Y 64 TILEPROP VBRK_X85Y92 INDEX 7445 TILEPROP VBRK_X85Y92 INT_TILE_X 33 TILEPROP VBRK_X85Y92 INT_TILE_Y 61 TILEPROP VBRK_X85Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y92 IS_DCM_TILE 0 TILEPROP VBRK_X85Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y92 NAME VBRK_X85Y92 TILEPROP VBRK_X85Y92 NUM_ARCS 0 TILEPROP VBRK_X85Y92 NUM_SITES 0 TILEPROP VBRK_X85Y92 ROW 64 TILEPROP VBRK_X85Y92 SLR_REGION_ID 0 TILEPROP VBRK_X85Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y92 TILE_TYPE VBRK TILEPROP VBRK_X85Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y92 TILE_X 56064 TILEPROP VBRK_X85Y92 TILE_Y 44224 TILEPROP VBRK_X85Y92 TYPE VBRK TILEPROP VBRK_X85Y93 CLASS tile TILEPROP VBRK_X85Y93 COLUMN 85 TILEPROP VBRK_X85Y93 DEVICE_ID 0 TILEPROP VBRK_X85Y93 FIRST_SITE_ID 6194 TILEPROP VBRK_X85Y93 GRID_POINT_X 85 TILEPROP VBRK_X85Y93 GRID_POINT_Y 63 TILEPROP VBRK_X85Y93 INDEX 7330 TILEPROP VBRK_X85Y93 INT_TILE_X 33 TILEPROP VBRK_X85Y93 INT_TILE_Y 60 TILEPROP VBRK_X85Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y93 IS_DCM_TILE 0 TILEPROP VBRK_X85Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y93 NAME VBRK_X85Y93 TILEPROP VBRK_X85Y93 NUM_ARCS 0 TILEPROP VBRK_X85Y93 NUM_SITES 0 TILEPROP VBRK_X85Y93 ROW 63 TILEPROP VBRK_X85Y93 SLR_REGION_ID 0 TILEPROP VBRK_X85Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y93 TILE_TYPE VBRK TILEPROP VBRK_X85Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y93 TILE_X 56064 TILEPROP VBRK_X85Y93 TILE_Y 47424 TILEPROP VBRK_X85Y93 TYPE VBRK TILEPROP VBRK_X85Y94 CLASS tile TILEPROP VBRK_X85Y94 COLUMN 85 TILEPROP VBRK_X85Y94 DEVICE_ID 0 TILEPROP VBRK_X85Y94 FIRST_SITE_ID 6088 TILEPROP VBRK_X85Y94 GRID_POINT_X 85 TILEPROP VBRK_X85Y94 GRID_POINT_Y 62 TILEPROP VBRK_X85Y94 INDEX 7215 TILEPROP VBRK_X85Y94 INT_TILE_X 33 TILEPROP VBRK_X85Y94 INT_TILE_Y 59 TILEPROP VBRK_X85Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y94 IS_DCM_TILE 0 TILEPROP VBRK_X85Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y94 NAME VBRK_X85Y94 TILEPROP VBRK_X85Y94 NUM_ARCS 0 TILEPROP VBRK_X85Y94 NUM_SITES 0 TILEPROP VBRK_X85Y94 ROW 62 TILEPROP VBRK_X85Y94 SLR_REGION_ID 0 TILEPROP VBRK_X85Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y94 TILE_TYPE VBRK TILEPROP VBRK_X85Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y94 TILE_X 56064 TILEPROP VBRK_X85Y94 TILE_Y 50624 TILEPROP VBRK_X85Y94 TYPE VBRK TILEPROP VBRK_X85Y95 CLASS tile TILEPROP VBRK_X85Y95 COLUMN 85 TILEPROP VBRK_X85Y95 DEVICE_ID 0 TILEPROP VBRK_X85Y95 FIRST_SITE_ID 5979 TILEPROP VBRK_X85Y95 GRID_POINT_X 85 TILEPROP VBRK_X85Y95 GRID_POINT_Y 61 TILEPROP VBRK_X85Y95 INDEX 7100 TILEPROP VBRK_X85Y95 INT_TILE_X 33 TILEPROP VBRK_X85Y95 INT_TILE_Y 58 TILEPROP VBRK_X85Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y95 IS_DCM_TILE 0 TILEPROP VBRK_X85Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y95 NAME VBRK_X85Y95 TILEPROP VBRK_X85Y95 NUM_ARCS 0 TILEPROP VBRK_X85Y95 NUM_SITES 0 TILEPROP VBRK_X85Y95 ROW 61 TILEPROP VBRK_X85Y95 SLR_REGION_ID 0 TILEPROP VBRK_X85Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y95 TILE_TYPE VBRK TILEPROP VBRK_X85Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y95 TILE_X 56064 TILEPROP VBRK_X85Y95 TILE_Y 53824 TILEPROP VBRK_X85Y95 TYPE VBRK TILEPROP VBRK_X85Y96 CLASS tile TILEPROP VBRK_X85Y96 COLUMN 85 TILEPROP VBRK_X85Y96 DEVICE_ID 0 TILEPROP VBRK_X85Y96 FIRST_SITE_ID 5878 TILEPROP VBRK_X85Y96 GRID_POINT_X 85 TILEPROP VBRK_X85Y96 GRID_POINT_Y 60 TILEPROP VBRK_X85Y96 INDEX 6985 TILEPROP VBRK_X85Y96 INT_TILE_X 33 TILEPROP VBRK_X85Y96 INT_TILE_Y 57 TILEPROP VBRK_X85Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y96 IS_DCM_TILE 0 TILEPROP VBRK_X85Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y96 NAME VBRK_X85Y96 TILEPROP VBRK_X85Y96 NUM_ARCS 0 TILEPROP VBRK_X85Y96 NUM_SITES 0 TILEPROP VBRK_X85Y96 ROW 60 TILEPROP VBRK_X85Y96 SLR_REGION_ID 0 TILEPROP VBRK_X85Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y96 TILE_TYPE VBRK TILEPROP VBRK_X85Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y96 TILE_X 56064 TILEPROP VBRK_X85Y96 TILE_Y 57024 TILEPROP VBRK_X85Y96 TYPE VBRK TILEPROP VBRK_X85Y97 CLASS tile TILEPROP VBRK_X85Y97 COLUMN 85 TILEPROP VBRK_X85Y97 DEVICE_ID 0 TILEPROP VBRK_X85Y97 FIRST_SITE_ID 5775 TILEPROP VBRK_X85Y97 GRID_POINT_X 85 TILEPROP VBRK_X85Y97 GRID_POINT_Y 59 TILEPROP VBRK_X85Y97 INDEX 6870 TILEPROP VBRK_X85Y97 INT_TILE_X 33 TILEPROP VBRK_X85Y97 INT_TILE_Y 56 TILEPROP VBRK_X85Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y97 IS_DCM_TILE 0 TILEPROP VBRK_X85Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y97 NAME VBRK_X85Y97 TILEPROP VBRK_X85Y97 NUM_ARCS 0 TILEPROP VBRK_X85Y97 NUM_SITES 0 TILEPROP VBRK_X85Y97 ROW 59 TILEPROP VBRK_X85Y97 SLR_REGION_ID 0 TILEPROP VBRK_X85Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y97 TILE_TYPE VBRK TILEPROP VBRK_X85Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y97 TILE_X 56064 TILEPROP VBRK_X85Y97 TILE_Y 60224 TILEPROP VBRK_X85Y97 TYPE VBRK TILEPROP VBRK_X85Y98 CLASS tile TILEPROP VBRK_X85Y98 COLUMN 85 TILEPROP VBRK_X85Y98 DEVICE_ID 0 TILEPROP VBRK_X85Y98 FIRST_SITE_ID 5673 TILEPROP VBRK_X85Y98 GRID_POINT_X 85 TILEPROP VBRK_X85Y98 GRID_POINT_Y 58 TILEPROP VBRK_X85Y98 INDEX 6755 TILEPROP VBRK_X85Y98 INT_TILE_X 33 TILEPROP VBRK_X85Y98 INT_TILE_Y 55 TILEPROP VBRK_X85Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y98 IS_DCM_TILE 0 TILEPROP VBRK_X85Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y98 NAME VBRK_X85Y98 TILEPROP VBRK_X85Y98 NUM_ARCS 0 TILEPROP VBRK_X85Y98 NUM_SITES 0 TILEPROP VBRK_X85Y98 ROW 58 TILEPROP VBRK_X85Y98 SLR_REGION_ID 0 TILEPROP VBRK_X85Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y98 TILE_TYPE VBRK TILEPROP VBRK_X85Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y98 TILE_X 56064 TILEPROP VBRK_X85Y98 TILE_Y 63424 TILEPROP VBRK_X85Y98 TYPE VBRK TILEPROP VBRK_X85Y99 CLASS tile TILEPROP VBRK_X85Y99 COLUMN 85 TILEPROP VBRK_X85Y99 DEVICE_ID 0 TILEPROP VBRK_X85Y99 FIRST_SITE_ID 5567 TILEPROP VBRK_X85Y99 GRID_POINT_X 85 TILEPROP VBRK_X85Y99 GRID_POINT_Y 57 TILEPROP VBRK_X85Y99 INDEX 6640 TILEPROP VBRK_X85Y99 INT_TILE_X 33 TILEPROP VBRK_X85Y99 INT_TILE_Y 54 TILEPROP VBRK_X85Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y99 IS_DCM_TILE 0 TILEPROP VBRK_X85Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y99 NAME VBRK_X85Y99 TILEPROP VBRK_X85Y99 NUM_ARCS 0 TILEPROP VBRK_X85Y99 NUM_SITES 0 TILEPROP VBRK_X85Y99 ROW 57 TILEPROP VBRK_X85Y99 SLR_REGION_ID 0 TILEPROP VBRK_X85Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y99 TILE_TYPE VBRK TILEPROP VBRK_X85Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y99 TILE_X 56064 TILEPROP VBRK_X85Y99 TILE_Y 66624 TILEPROP VBRK_X85Y99 TYPE VBRK TILEPROP VBRK_X85Y100 CLASS tile TILEPROP VBRK_X85Y100 COLUMN 85 TILEPROP VBRK_X85Y100 DEVICE_ID 0 TILEPROP VBRK_X85Y100 FIRST_SITE_ID 5458 TILEPROP VBRK_X85Y100 GRID_POINT_X 85 TILEPROP VBRK_X85Y100 GRID_POINT_Y 56 TILEPROP VBRK_X85Y100 INDEX 6525 TILEPROP VBRK_X85Y100 INT_TILE_X 33 TILEPROP VBRK_X85Y100 INT_TILE_Y 53 TILEPROP VBRK_X85Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y100 IS_DCM_TILE 0 TILEPROP VBRK_X85Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y100 NAME VBRK_X85Y100 TILEPROP VBRK_X85Y100 NUM_ARCS 0 TILEPROP VBRK_X85Y100 NUM_SITES 0 TILEPROP VBRK_X85Y100 ROW 56 TILEPROP VBRK_X85Y100 SLR_REGION_ID 0 TILEPROP VBRK_X85Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y100 TILE_TYPE VBRK TILEPROP VBRK_X85Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y100 TILE_X 56064 TILEPROP VBRK_X85Y100 TILE_Y 69824 TILEPROP VBRK_X85Y100 TYPE VBRK TILEPROP VBRK_X85Y101 CLASS tile TILEPROP VBRK_X85Y101 COLUMN 85 TILEPROP VBRK_X85Y101 DEVICE_ID 0 TILEPROP VBRK_X85Y101 FIRST_SITE_ID 5358 TILEPROP VBRK_X85Y101 GRID_POINT_X 85 TILEPROP VBRK_X85Y101 GRID_POINT_Y 55 TILEPROP VBRK_X85Y101 INDEX 6410 TILEPROP VBRK_X85Y101 INT_TILE_X 33 TILEPROP VBRK_X85Y101 INT_TILE_Y 52 TILEPROP VBRK_X85Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y101 IS_DCM_TILE 0 TILEPROP VBRK_X85Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y101 NAME VBRK_X85Y101 TILEPROP VBRK_X85Y101 NUM_ARCS 0 TILEPROP VBRK_X85Y101 NUM_SITES 0 TILEPROP VBRK_X85Y101 ROW 55 TILEPROP VBRK_X85Y101 SLR_REGION_ID 0 TILEPROP VBRK_X85Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y101 TILE_TYPE VBRK TILEPROP VBRK_X85Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y101 TILE_X 56064 TILEPROP VBRK_X85Y101 TILE_Y 73024 TILEPROP VBRK_X85Y101 TYPE VBRK TILEPROP VBRK_X85Y102 CLASS tile TILEPROP VBRK_X85Y102 COLUMN 85 TILEPROP VBRK_X85Y102 DEVICE_ID 0 TILEPROP VBRK_X85Y102 FIRST_SITE_ID 5258 TILEPROP VBRK_X85Y102 GRID_POINT_X 85 TILEPROP VBRK_X85Y102 GRID_POINT_Y 54 TILEPROP VBRK_X85Y102 INDEX 6295 TILEPROP VBRK_X85Y102 INT_TILE_X 33 TILEPROP VBRK_X85Y102 INT_TILE_Y 51 TILEPROP VBRK_X85Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y102 IS_DCM_TILE 0 TILEPROP VBRK_X85Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y102 NAME VBRK_X85Y102 TILEPROP VBRK_X85Y102 NUM_ARCS 0 TILEPROP VBRK_X85Y102 NUM_SITES 0 TILEPROP VBRK_X85Y102 ROW 54 TILEPROP VBRK_X85Y102 SLR_REGION_ID 0 TILEPROP VBRK_X85Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y102 TILE_TYPE VBRK TILEPROP VBRK_X85Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y102 TILE_X 56064 TILEPROP VBRK_X85Y102 TILE_Y 76224 TILEPROP VBRK_X85Y102 TYPE VBRK TILEPROP VBRK_X85Y103 CLASS tile TILEPROP VBRK_X85Y103 COLUMN 85 TILEPROP VBRK_X85Y103 DEVICE_ID 0 TILEPROP VBRK_X85Y103 FIRST_SITE_ID 5162 TILEPROP VBRK_X85Y103 GRID_POINT_X 85 TILEPROP VBRK_X85Y103 GRID_POINT_Y 53 TILEPROP VBRK_X85Y103 INDEX 6180 TILEPROP VBRK_X85Y103 INT_TILE_X 33 TILEPROP VBRK_X85Y103 INT_TILE_Y 50 TILEPROP VBRK_X85Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y103 IS_DCM_TILE 0 TILEPROP VBRK_X85Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y103 NAME VBRK_X85Y103 TILEPROP VBRK_X85Y103 NUM_ARCS 0 TILEPROP VBRK_X85Y103 NUM_SITES 0 TILEPROP VBRK_X85Y103 ROW 53 TILEPROP VBRK_X85Y103 SLR_REGION_ID 0 TILEPROP VBRK_X85Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y103 TILE_TYPE VBRK TILEPROP VBRK_X85Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y103 TILE_X 56064 TILEPROP VBRK_X85Y103 TILE_Y 79424 TILEPROP VBRK_X85Y103 TYPE VBRK TILEPROP VBRK_X85Y105 CLASS tile TILEPROP VBRK_X85Y105 COLUMN 85 TILEPROP VBRK_X85Y105 DEVICE_ID 0 TILEPROP VBRK_X85Y105 FIRST_SITE_ID 5077 TILEPROP VBRK_X85Y105 GRID_POINT_X 85 TILEPROP VBRK_X85Y105 GRID_POINT_Y 51 TILEPROP VBRK_X85Y105 INDEX 5950 TILEPROP VBRK_X85Y105 INT_TILE_X 33 TILEPROP VBRK_X85Y105 INT_TILE_Y 49 TILEPROP VBRK_X85Y105 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y105 IS_DCM_TILE 0 TILEPROP VBRK_X85Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y105 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y105 NAME VBRK_X85Y105 TILEPROP VBRK_X85Y105 NUM_ARCS 0 TILEPROP VBRK_X85Y105 NUM_SITES 0 TILEPROP VBRK_X85Y105 ROW 51 TILEPROP VBRK_X85Y105 SLR_REGION_ID 0 TILEPROP VBRK_X85Y105 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y105 TILE_TYPE VBRK TILEPROP VBRK_X85Y105 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y105 TILE_X 56064 TILEPROP VBRK_X85Y105 TILE_Y 82872 TILEPROP VBRK_X85Y105 TYPE VBRK TILEPROP VBRK_X85Y106 CLASS tile TILEPROP VBRK_X85Y106 COLUMN 85 TILEPROP VBRK_X85Y106 DEVICE_ID 0 TILEPROP VBRK_X85Y106 FIRST_SITE_ID 4979 TILEPROP VBRK_X85Y106 GRID_POINT_X 85 TILEPROP VBRK_X85Y106 GRID_POINT_Y 50 TILEPROP VBRK_X85Y106 INDEX 5835 TILEPROP VBRK_X85Y106 INT_TILE_X 33 TILEPROP VBRK_X85Y106 INT_TILE_Y 48 TILEPROP VBRK_X85Y106 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y106 IS_DCM_TILE 0 TILEPROP VBRK_X85Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y106 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y106 NAME VBRK_X85Y106 TILEPROP VBRK_X85Y106 NUM_ARCS 0 TILEPROP VBRK_X85Y106 NUM_SITES 0 TILEPROP VBRK_X85Y106 ROW 50 TILEPROP VBRK_X85Y106 SLR_REGION_ID 0 TILEPROP VBRK_X85Y106 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y106 TILE_TYPE VBRK TILEPROP VBRK_X85Y106 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y106 TILE_X 56064 TILEPROP VBRK_X85Y106 TILE_Y 86072 TILEPROP VBRK_X85Y106 TYPE VBRK TILEPROP VBRK_X85Y107 CLASS tile TILEPROP VBRK_X85Y107 COLUMN 85 TILEPROP VBRK_X85Y107 DEVICE_ID 0 TILEPROP VBRK_X85Y107 FIRST_SITE_ID 4883 TILEPROP VBRK_X85Y107 GRID_POINT_X 85 TILEPROP VBRK_X85Y107 GRID_POINT_Y 49 TILEPROP VBRK_X85Y107 INDEX 5720 TILEPROP VBRK_X85Y107 INT_TILE_X 33 TILEPROP VBRK_X85Y107 INT_TILE_Y 47 TILEPROP VBRK_X85Y107 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y107 IS_DCM_TILE 0 TILEPROP VBRK_X85Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y107 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y107 NAME VBRK_X85Y107 TILEPROP VBRK_X85Y107 NUM_ARCS 0 TILEPROP VBRK_X85Y107 NUM_SITES 0 TILEPROP VBRK_X85Y107 ROW 49 TILEPROP VBRK_X85Y107 SLR_REGION_ID 0 TILEPROP VBRK_X85Y107 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y107 TILE_TYPE VBRK TILEPROP VBRK_X85Y107 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y107 TILE_X 56064 TILEPROP VBRK_X85Y107 TILE_Y 89272 TILEPROP VBRK_X85Y107 TYPE VBRK TILEPROP VBRK_X85Y108 CLASS tile TILEPROP VBRK_X85Y108 COLUMN 85 TILEPROP VBRK_X85Y108 DEVICE_ID 0 TILEPROP VBRK_X85Y108 FIRST_SITE_ID 4795 TILEPROP VBRK_X85Y108 GRID_POINT_X 85 TILEPROP VBRK_X85Y108 GRID_POINT_Y 48 TILEPROP VBRK_X85Y108 INDEX 5605 TILEPROP VBRK_X85Y108 INT_TILE_X 33 TILEPROP VBRK_X85Y108 INT_TILE_Y 46 TILEPROP VBRK_X85Y108 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y108 IS_DCM_TILE 0 TILEPROP VBRK_X85Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y108 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y108 NAME VBRK_X85Y108 TILEPROP VBRK_X85Y108 NUM_ARCS 0 TILEPROP VBRK_X85Y108 NUM_SITES 0 TILEPROP VBRK_X85Y108 ROW 48 TILEPROP VBRK_X85Y108 SLR_REGION_ID 0 TILEPROP VBRK_X85Y108 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y108 TILE_TYPE VBRK TILEPROP VBRK_X85Y108 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y108 TILE_X 56064 TILEPROP VBRK_X85Y108 TILE_Y 92472 TILEPROP VBRK_X85Y108 TYPE VBRK TILEPROP VBRK_X85Y109 CLASS tile TILEPROP VBRK_X85Y109 COLUMN 85 TILEPROP VBRK_X85Y109 DEVICE_ID 0 TILEPROP VBRK_X85Y109 FIRST_SITE_ID 4699 TILEPROP VBRK_X85Y109 GRID_POINT_X 85 TILEPROP VBRK_X85Y109 GRID_POINT_Y 47 TILEPROP VBRK_X85Y109 INDEX 5490 TILEPROP VBRK_X85Y109 INT_TILE_X 33 TILEPROP VBRK_X85Y109 INT_TILE_Y 45 TILEPROP VBRK_X85Y109 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y109 IS_DCM_TILE 0 TILEPROP VBRK_X85Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y109 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y109 NAME VBRK_X85Y109 TILEPROP VBRK_X85Y109 NUM_ARCS 0 TILEPROP VBRK_X85Y109 NUM_SITES 0 TILEPROP VBRK_X85Y109 ROW 47 TILEPROP VBRK_X85Y109 SLR_REGION_ID 0 TILEPROP VBRK_X85Y109 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y109 TILE_TYPE VBRK TILEPROP VBRK_X85Y109 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y109 TILE_X 56064 TILEPROP VBRK_X85Y109 TILE_Y 95672 TILEPROP VBRK_X85Y109 TYPE VBRK TILEPROP VBRK_X85Y110 CLASS tile TILEPROP VBRK_X85Y110 COLUMN 85 TILEPROP VBRK_X85Y110 DEVICE_ID 0 TILEPROP VBRK_X85Y110 FIRST_SITE_ID 4603 TILEPROP VBRK_X85Y110 GRID_POINT_X 85 TILEPROP VBRK_X85Y110 GRID_POINT_Y 46 TILEPROP VBRK_X85Y110 INDEX 5375 TILEPROP VBRK_X85Y110 INT_TILE_X 33 TILEPROP VBRK_X85Y110 INT_TILE_Y 44 TILEPROP VBRK_X85Y110 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y110 IS_DCM_TILE 0 TILEPROP VBRK_X85Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y110 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y110 NAME VBRK_X85Y110 TILEPROP VBRK_X85Y110 NUM_ARCS 0 TILEPROP VBRK_X85Y110 NUM_SITES 0 TILEPROP VBRK_X85Y110 ROW 46 TILEPROP VBRK_X85Y110 SLR_REGION_ID 0 TILEPROP VBRK_X85Y110 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y110 TILE_TYPE VBRK TILEPROP VBRK_X85Y110 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y110 TILE_X 56064 TILEPROP VBRK_X85Y110 TILE_Y 98872 TILEPROP VBRK_X85Y110 TYPE VBRK TILEPROP VBRK_X85Y111 CLASS tile TILEPROP VBRK_X85Y111 COLUMN 85 TILEPROP VBRK_X85Y111 DEVICE_ID 0 TILEPROP VBRK_X85Y111 FIRST_SITE_ID 4501 TILEPROP VBRK_X85Y111 GRID_POINT_X 85 TILEPROP VBRK_X85Y111 GRID_POINT_Y 45 TILEPROP VBRK_X85Y111 INDEX 5260 TILEPROP VBRK_X85Y111 INT_TILE_X 33 TILEPROP VBRK_X85Y111 INT_TILE_Y 43 TILEPROP VBRK_X85Y111 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y111 IS_DCM_TILE 0 TILEPROP VBRK_X85Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y111 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y111 NAME VBRK_X85Y111 TILEPROP VBRK_X85Y111 NUM_ARCS 0 TILEPROP VBRK_X85Y111 NUM_SITES 0 TILEPROP VBRK_X85Y111 ROW 45 TILEPROP VBRK_X85Y111 SLR_REGION_ID 0 TILEPROP VBRK_X85Y111 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y111 TILE_TYPE VBRK TILEPROP VBRK_X85Y111 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y111 TILE_X 56064 TILEPROP VBRK_X85Y111 TILE_Y 102072 TILEPROP VBRK_X85Y111 TYPE VBRK TILEPROP VBRK_X85Y112 CLASS tile TILEPROP VBRK_X85Y112 COLUMN 85 TILEPROP VBRK_X85Y112 DEVICE_ID 0 TILEPROP VBRK_X85Y112 FIRST_SITE_ID 4413 TILEPROP VBRK_X85Y112 GRID_POINT_X 85 TILEPROP VBRK_X85Y112 GRID_POINT_Y 44 TILEPROP VBRK_X85Y112 INDEX 5145 TILEPROP VBRK_X85Y112 INT_TILE_X 33 TILEPROP VBRK_X85Y112 INT_TILE_Y 42 TILEPROP VBRK_X85Y112 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y112 IS_DCM_TILE 0 TILEPROP VBRK_X85Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y112 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y112 NAME VBRK_X85Y112 TILEPROP VBRK_X85Y112 NUM_ARCS 0 TILEPROP VBRK_X85Y112 NUM_SITES 0 TILEPROP VBRK_X85Y112 ROW 44 TILEPROP VBRK_X85Y112 SLR_REGION_ID 0 TILEPROP VBRK_X85Y112 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y112 TILE_TYPE VBRK TILEPROP VBRK_X85Y112 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y112 TILE_X 56064 TILEPROP VBRK_X85Y112 TILE_Y 105272 TILEPROP VBRK_X85Y112 TYPE VBRK TILEPROP VBRK_X85Y113 CLASS tile TILEPROP VBRK_X85Y113 COLUMN 85 TILEPROP VBRK_X85Y113 DEVICE_ID 0 TILEPROP VBRK_X85Y113 FIRST_SITE_ID 4315 TILEPROP VBRK_X85Y113 GRID_POINT_X 85 TILEPROP VBRK_X85Y113 GRID_POINT_Y 43 TILEPROP VBRK_X85Y113 INDEX 5030 TILEPROP VBRK_X85Y113 INT_TILE_X 33 TILEPROP VBRK_X85Y113 INT_TILE_Y 41 TILEPROP VBRK_X85Y113 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y113 IS_DCM_TILE 0 TILEPROP VBRK_X85Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y113 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y113 NAME VBRK_X85Y113 TILEPROP VBRK_X85Y113 NUM_ARCS 0 TILEPROP VBRK_X85Y113 NUM_SITES 0 TILEPROP VBRK_X85Y113 ROW 43 TILEPROP VBRK_X85Y113 SLR_REGION_ID 0 TILEPROP VBRK_X85Y113 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y113 TILE_TYPE VBRK TILEPROP VBRK_X85Y113 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y113 TILE_X 56064 TILEPROP VBRK_X85Y113 TILE_Y 108472 TILEPROP VBRK_X85Y113 TYPE VBRK TILEPROP VBRK_X85Y114 CLASS tile TILEPROP VBRK_X85Y114 COLUMN 85 TILEPROP VBRK_X85Y114 DEVICE_ID 0 TILEPROP VBRK_X85Y114 FIRST_SITE_ID 4226 TILEPROP VBRK_X85Y114 GRID_POINT_X 85 TILEPROP VBRK_X85Y114 GRID_POINT_Y 42 TILEPROP VBRK_X85Y114 INDEX 4915 TILEPROP VBRK_X85Y114 INT_TILE_X 33 TILEPROP VBRK_X85Y114 INT_TILE_Y 40 TILEPROP VBRK_X85Y114 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y114 IS_DCM_TILE 0 TILEPROP VBRK_X85Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y114 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y114 NAME VBRK_X85Y114 TILEPROP VBRK_X85Y114 NUM_ARCS 0 TILEPROP VBRK_X85Y114 NUM_SITES 0 TILEPROP VBRK_X85Y114 ROW 42 TILEPROP VBRK_X85Y114 SLR_REGION_ID 0 TILEPROP VBRK_X85Y114 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y114 TILE_TYPE VBRK TILEPROP VBRK_X85Y114 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y114 TILE_X 56064 TILEPROP VBRK_X85Y114 TILE_Y 111672 TILEPROP VBRK_X85Y114 TYPE VBRK TILEPROP VBRK_X85Y115 CLASS tile TILEPROP VBRK_X85Y115 COLUMN 85 TILEPROP VBRK_X85Y115 DEVICE_ID 0 TILEPROP VBRK_X85Y115 FIRST_SITE_ID 4127 TILEPROP VBRK_X85Y115 GRID_POINT_X 85 TILEPROP VBRK_X85Y115 GRID_POINT_Y 41 TILEPROP VBRK_X85Y115 INDEX 4800 TILEPROP VBRK_X85Y115 INT_TILE_X 33 TILEPROP VBRK_X85Y115 INT_TILE_Y 39 TILEPROP VBRK_X85Y115 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y115 IS_DCM_TILE 0 TILEPROP VBRK_X85Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y115 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y115 NAME VBRK_X85Y115 TILEPROP VBRK_X85Y115 NUM_ARCS 0 TILEPROP VBRK_X85Y115 NUM_SITES 0 TILEPROP VBRK_X85Y115 ROW 41 TILEPROP VBRK_X85Y115 SLR_REGION_ID 0 TILEPROP VBRK_X85Y115 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y115 TILE_TYPE VBRK TILEPROP VBRK_X85Y115 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y115 TILE_X 56064 TILEPROP VBRK_X85Y115 TILE_Y 114872 TILEPROP VBRK_X85Y115 TYPE VBRK TILEPROP VBRK_X85Y116 CLASS tile TILEPROP VBRK_X85Y116 COLUMN 85 TILEPROP VBRK_X85Y116 DEVICE_ID 0 TILEPROP VBRK_X85Y116 FIRST_SITE_ID 4032 TILEPROP VBRK_X85Y116 GRID_POINT_X 85 TILEPROP VBRK_X85Y116 GRID_POINT_Y 40 TILEPROP VBRK_X85Y116 INDEX 4685 TILEPROP VBRK_X85Y116 INT_TILE_X 33 TILEPROP VBRK_X85Y116 INT_TILE_Y 38 TILEPROP VBRK_X85Y116 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y116 IS_DCM_TILE 0 TILEPROP VBRK_X85Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y116 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y116 NAME VBRK_X85Y116 TILEPROP VBRK_X85Y116 NUM_ARCS 0 TILEPROP VBRK_X85Y116 NUM_SITES 0 TILEPROP VBRK_X85Y116 ROW 40 TILEPROP VBRK_X85Y116 SLR_REGION_ID 0 TILEPROP VBRK_X85Y116 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y116 TILE_TYPE VBRK TILEPROP VBRK_X85Y116 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y116 TILE_X 56064 TILEPROP VBRK_X85Y116 TILE_Y 118072 TILEPROP VBRK_X85Y116 TYPE VBRK TILEPROP VBRK_X85Y117 CLASS tile TILEPROP VBRK_X85Y117 COLUMN 85 TILEPROP VBRK_X85Y117 DEVICE_ID 0 TILEPROP VBRK_X85Y117 FIRST_SITE_ID 3936 TILEPROP VBRK_X85Y117 GRID_POINT_X 85 TILEPROP VBRK_X85Y117 GRID_POINT_Y 39 TILEPROP VBRK_X85Y117 INDEX 4570 TILEPROP VBRK_X85Y117 INT_TILE_X 33 TILEPROP VBRK_X85Y117 INT_TILE_Y 37 TILEPROP VBRK_X85Y117 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y117 IS_DCM_TILE 0 TILEPROP VBRK_X85Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y117 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y117 NAME VBRK_X85Y117 TILEPROP VBRK_X85Y117 NUM_ARCS 0 TILEPROP VBRK_X85Y117 NUM_SITES 0 TILEPROP VBRK_X85Y117 ROW 39 TILEPROP VBRK_X85Y117 SLR_REGION_ID 0 TILEPROP VBRK_X85Y117 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y117 TILE_TYPE VBRK TILEPROP VBRK_X85Y117 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y117 TILE_X 56064 TILEPROP VBRK_X85Y117 TILE_Y 121272 TILEPROP VBRK_X85Y117 TYPE VBRK TILEPROP VBRK_X85Y118 CLASS tile TILEPROP VBRK_X85Y118 COLUMN 85 TILEPROP VBRK_X85Y118 DEVICE_ID 0 TILEPROP VBRK_X85Y118 FIRST_SITE_ID 3816 TILEPROP VBRK_X85Y118 GRID_POINT_X 85 TILEPROP VBRK_X85Y118 GRID_POINT_Y 38 TILEPROP VBRK_X85Y118 INDEX 4455 TILEPROP VBRK_X85Y118 INT_TILE_X 33 TILEPROP VBRK_X85Y118 INT_TILE_Y 36 TILEPROP VBRK_X85Y118 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y118 IS_DCM_TILE 0 TILEPROP VBRK_X85Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y118 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y118 NAME VBRK_X85Y118 TILEPROP VBRK_X85Y118 NUM_ARCS 0 TILEPROP VBRK_X85Y118 NUM_SITES 0 TILEPROP VBRK_X85Y118 ROW 38 TILEPROP VBRK_X85Y118 SLR_REGION_ID 0 TILEPROP VBRK_X85Y118 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y118 TILE_TYPE VBRK TILEPROP VBRK_X85Y118 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y118 TILE_X 56064 TILEPROP VBRK_X85Y118 TILE_Y 124472 TILEPROP VBRK_X85Y118 TYPE VBRK TILEPROP VBRK_X85Y119 CLASS tile TILEPROP VBRK_X85Y119 COLUMN 85 TILEPROP VBRK_X85Y119 DEVICE_ID 0 TILEPROP VBRK_X85Y119 FIRST_SITE_ID 3720 TILEPROP VBRK_X85Y119 GRID_POINT_X 85 TILEPROP VBRK_X85Y119 GRID_POINT_Y 37 TILEPROP VBRK_X85Y119 INDEX 4340 TILEPROP VBRK_X85Y119 INT_TILE_X 33 TILEPROP VBRK_X85Y119 INT_TILE_Y 35 TILEPROP VBRK_X85Y119 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y119 IS_DCM_TILE 0 TILEPROP VBRK_X85Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y119 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y119 NAME VBRK_X85Y119 TILEPROP VBRK_X85Y119 NUM_ARCS 0 TILEPROP VBRK_X85Y119 NUM_SITES 0 TILEPROP VBRK_X85Y119 ROW 37 TILEPROP VBRK_X85Y119 SLR_REGION_ID 0 TILEPROP VBRK_X85Y119 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y119 TILE_TYPE VBRK TILEPROP VBRK_X85Y119 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y119 TILE_X 56064 TILEPROP VBRK_X85Y119 TILE_Y 127672 TILEPROP VBRK_X85Y119 TYPE VBRK TILEPROP VBRK_X85Y120 CLASS tile TILEPROP VBRK_X85Y120 COLUMN 85 TILEPROP VBRK_X85Y120 DEVICE_ID 0 TILEPROP VBRK_X85Y120 FIRST_SITE_ID 3629 TILEPROP VBRK_X85Y120 GRID_POINT_X 85 TILEPROP VBRK_X85Y120 GRID_POINT_Y 36 TILEPROP VBRK_X85Y120 INDEX 4225 TILEPROP VBRK_X85Y120 INT_TILE_X 33 TILEPROP VBRK_X85Y120 INT_TILE_Y 34 TILEPROP VBRK_X85Y120 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y120 IS_DCM_TILE 0 TILEPROP VBRK_X85Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y120 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y120 NAME VBRK_X85Y120 TILEPROP VBRK_X85Y120 NUM_ARCS 0 TILEPROP VBRK_X85Y120 NUM_SITES 0 TILEPROP VBRK_X85Y120 ROW 36 TILEPROP VBRK_X85Y120 SLR_REGION_ID 0 TILEPROP VBRK_X85Y120 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y120 TILE_TYPE VBRK TILEPROP VBRK_X85Y120 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y120 TILE_X 56064 TILEPROP VBRK_X85Y120 TILE_Y 130872 TILEPROP VBRK_X85Y120 TYPE VBRK TILEPROP VBRK_X85Y121 CLASS tile TILEPROP VBRK_X85Y121 COLUMN 85 TILEPROP VBRK_X85Y121 DEVICE_ID 0 TILEPROP VBRK_X85Y121 FIRST_SITE_ID 3522 TILEPROP VBRK_X85Y121 GRID_POINT_X 85 TILEPROP VBRK_X85Y121 GRID_POINT_Y 35 TILEPROP VBRK_X85Y121 INDEX 4110 TILEPROP VBRK_X85Y121 INT_TILE_X 33 TILEPROP VBRK_X85Y121 INT_TILE_Y 33 TILEPROP VBRK_X85Y121 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y121 IS_DCM_TILE 0 TILEPROP VBRK_X85Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y121 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y121 NAME VBRK_X85Y121 TILEPROP VBRK_X85Y121 NUM_ARCS 0 TILEPROP VBRK_X85Y121 NUM_SITES 0 TILEPROP VBRK_X85Y121 ROW 35 TILEPROP VBRK_X85Y121 SLR_REGION_ID 0 TILEPROP VBRK_X85Y121 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y121 TILE_TYPE VBRK TILEPROP VBRK_X85Y121 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y121 TILE_X 56064 TILEPROP VBRK_X85Y121 TILE_Y 134072 TILEPROP VBRK_X85Y121 TYPE VBRK TILEPROP VBRK_X85Y122 CLASS tile TILEPROP VBRK_X85Y122 COLUMN 85 TILEPROP VBRK_X85Y122 DEVICE_ID 0 TILEPROP VBRK_X85Y122 FIRST_SITE_ID 3434 TILEPROP VBRK_X85Y122 GRID_POINT_X 85 TILEPROP VBRK_X85Y122 GRID_POINT_Y 34 TILEPROP VBRK_X85Y122 INDEX 3995 TILEPROP VBRK_X85Y122 INT_TILE_X 33 TILEPROP VBRK_X85Y122 INT_TILE_Y 32 TILEPROP VBRK_X85Y122 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y122 IS_DCM_TILE 0 TILEPROP VBRK_X85Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y122 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y122 NAME VBRK_X85Y122 TILEPROP VBRK_X85Y122 NUM_ARCS 0 TILEPROP VBRK_X85Y122 NUM_SITES 0 TILEPROP VBRK_X85Y122 ROW 34 TILEPROP VBRK_X85Y122 SLR_REGION_ID 0 TILEPROP VBRK_X85Y122 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y122 TILE_TYPE VBRK TILEPROP VBRK_X85Y122 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y122 TILE_X 56064 TILEPROP VBRK_X85Y122 TILE_Y 137272 TILEPROP VBRK_X85Y122 TYPE VBRK TILEPROP VBRK_X85Y123 CLASS tile TILEPROP VBRK_X85Y123 COLUMN 85 TILEPROP VBRK_X85Y123 DEVICE_ID 0 TILEPROP VBRK_X85Y123 FIRST_SITE_ID 3334 TILEPROP VBRK_X85Y123 GRID_POINT_X 85 TILEPROP VBRK_X85Y123 GRID_POINT_Y 33 TILEPROP VBRK_X85Y123 INDEX 3880 TILEPROP VBRK_X85Y123 INT_TILE_X 33 TILEPROP VBRK_X85Y123 INT_TILE_Y 31 TILEPROP VBRK_X85Y123 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y123 IS_DCM_TILE 0 TILEPROP VBRK_X85Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y123 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y123 NAME VBRK_X85Y123 TILEPROP VBRK_X85Y123 NUM_ARCS 0 TILEPROP VBRK_X85Y123 NUM_SITES 0 TILEPROP VBRK_X85Y123 ROW 33 TILEPROP VBRK_X85Y123 SLR_REGION_ID 0 TILEPROP VBRK_X85Y123 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y123 TILE_TYPE VBRK TILEPROP VBRK_X85Y123 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y123 TILE_X 56064 TILEPROP VBRK_X85Y123 TILE_Y 140472 TILEPROP VBRK_X85Y123 TYPE VBRK TILEPROP VBRK_X85Y124 CLASS tile TILEPROP VBRK_X85Y124 COLUMN 85 TILEPROP VBRK_X85Y124 DEVICE_ID 0 TILEPROP VBRK_X85Y124 FIRST_SITE_ID 3246 TILEPROP VBRK_X85Y124 GRID_POINT_X 85 TILEPROP VBRK_X85Y124 GRID_POINT_Y 32 TILEPROP VBRK_X85Y124 INDEX 3765 TILEPROP VBRK_X85Y124 INT_TILE_X 33 TILEPROP VBRK_X85Y124 INT_TILE_Y 30 TILEPROP VBRK_X85Y124 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y124 IS_DCM_TILE 0 TILEPROP VBRK_X85Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y124 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y124 NAME VBRK_X85Y124 TILEPROP VBRK_X85Y124 NUM_ARCS 0 TILEPROP VBRK_X85Y124 NUM_SITES 0 TILEPROP VBRK_X85Y124 ROW 32 TILEPROP VBRK_X85Y124 SLR_REGION_ID 0 TILEPROP VBRK_X85Y124 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y124 TILE_TYPE VBRK TILEPROP VBRK_X85Y124 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y124 TILE_X 56064 TILEPROP VBRK_X85Y124 TILE_Y 143672 TILEPROP VBRK_X85Y124 TYPE VBRK TILEPROP VBRK_X85Y125 CLASS tile TILEPROP VBRK_X85Y125 COLUMN 85 TILEPROP VBRK_X85Y125 DEVICE_ID 0 TILEPROP VBRK_X85Y125 FIRST_SITE_ID 3145 TILEPROP VBRK_X85Y125 GRID_POINT_X 85 TILEPROP VBRK_X85Y125 GRID_POINT_Y 31 TILEPROP VBRK_X85Y125 INDEX 3650 TILEPROP VBRK_X85Y125 INT_TILE_X 33 TILEPROP VBRK_X85Y125 INT_TILE_Y 29 TILEPROP VBRK_X85Y125 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y125 IS_DCM_TILE 0 TILEPROP VBRK_X85Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y125 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y125 NAME VBRK_X85Y125 TILEPROP VBRK_X85Y125 NUM_ARCS 0 TILEPROP VBRK_X85Y125 NUM_SITES 0 TILEPROP VBRK_X85Y125 ROW 31 TILEPROP VBRK_X85Y125 SLR_REGION_ID 0 TILEPROP VBRK_X85Y125 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y125 TILE_TYPE VBRK TILEPROP VBRK_X85Y125 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y125 TILE_X 56064 TILEPROP VBRK_X85Y125 TILE_Y 146872 TILEPROP VBRK_X85Y125 TYPE VBRK TILEPROP VBRK_X85Y126 CLASS tile TILEPROP VBRK_X85Y126 COLUMN 85 TILEPROP VBRK_X85Y126 DEVICE_ID 0 TILEPROP VBRK_X85Y126 FIRST_SITE_ID 3051 TILEPROP VBRK_X85Y126 GRID_POINT_X 85 TILEPROP VBRK_X85Y126 GRID_POINT_Y 30 TILEPROP VBRK_X85Y126 INDEX 3535 TILEPROP VBRK_X85Y126 INT_TILE_X 33 TILEPROP VBRK_X85Y126 INT_TILE_Y 28 TILEPROP VBRK_X85Y126 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y126 IS_DCM_TILE 0 TILEPROP VBRK_X85Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y126 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y126 NAME VBRK_X85Y126 TILEPROP VBRK_X85Y126 NUM_ARCS 0 TILEPROP VBRK_X85Y126 NUM_SITES 0 TILEPROP VBRK_X85Y126 ROW 30 TILEPROP VBRK_X85Y126 SLR_REGION_ID 0 TILEPROP VBRK_X85Y126 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y126 TILE_TYPE VBRK TILEPROP VBRK_X85Y126 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y126 TILE_X 56064 TILEPROP VBRK_X85Y126 TILE_Y 150072 TILEPROP VBRK_X85Y126 TYPE VBRK TILEPROP VBRK_X85Y127 CLASS tile TILEPROP VBRK_X85Y127 COLUMN 85 TILEPROP VBRK_X85Y127 DEVICE_ID 0 TILEPROP VBRK_X85Y127 FIRST_SITE_ID 2948 TILEPROP VBRK_X85Y127 GRID_POINT_X 85 TILEPROP VBRK_X85Y127 GRID_POINT_Y 29 TILEPROP VBRK_X85Y127 INDEX 3420 TILEPROP VBRK_X85Y127 INT_TILE_X 33 TILEPROP VBRK_X85Y127 INT_TILE_Y 27 TILEPROP VBRK_X85Y127 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y127 IS_DCM_TILE 0 TILEPROP VBRK_X85Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y127 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y127 NAME VBRK_X85Y127 TILEPROP VBRK_X85Y127 NUM_ARCS 0 TILEPROP VBRK_X85Y127 NUM_SITES 0 TILEPROP VBRK_X85Y127 ROW 29 TILEPROP VBRK_X85Y127 SLR_REGION_ID 0 TILEPROP VBRK_X85Y127 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y127 TILE_TYPE VBRK TILEPROP VBRK_X85Y127 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y127 TILE_X 56064 TILEPROP VBRK_X85Y127 TILE_Y 153272 TILEPROP VBRK_X85Y127 TYPE VBRK TILEPROP VBRK_X85Y128 CLASS tile TILEPROP VBRK_X85Y128 COLUMN 85 TILEPROP VBRK_X85Y128 DEVICE_ID 0 TILEPROP VBRK_X85Y128 FIRST_SITE_ID 2860 TILEPROP VBRK_X85Y128 GRID_POINT_X 85 TILEPROP VBRK_X85Y128 GRID_POINT_Y 28 TILEPROP VBRK_X85Y128 INDEX 3305 TILEPROP VBRK_X85Y128 INT_TILE_X 33 TILEPROP VBRK_X85Y128 INT_TILE_Y 26 TILEPROP VBRK_X85Y128 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y128 IS_DCM_TILE 0 TILEPROP VBRK_X85Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y128 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y128 NAME VBRK_X85Y128 TILEPROP VBRK_X85Y128 NUM_ARCS 0 TILEPROP VBRK_X85Y128 NUM_SITES 0 TILEPROP VBRK_X85Y128 ROW 28 TILEPROP VBRK_X85Y128 SLR_REGION_ID 0 TILEPROP VBRK_X85Y128 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y128 TILE_TYPE VBRK TILEPROP VBRK_X85Y128 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y128 TILE_X 56064 TILEPROP VBRK_X85Y128 TILE_Y 156472 TILEPROP VBRK_X85Y128 TYPE VBRK TILEPROP VBRK_X85Y129 CLASS tile TILEPROP VBRK_X85Y129 COLUMN 85 TILEPROP VBRK_X85Y129 DEVICE_ID 0 TILEPROP VBRK_X85Y129 FIRST_SITE_ID 2764 TILEPROP VBRK_X85Y129 GRID_POINT_X 85 TILEPROP VBRK_X85Y129 GRID_POINT_Y 27 TILEPROP VBRK_X85Y129 INDEX 3190 TILEPROP VBRK_X85Y129 INT_TILE_X 33 TILEPROP VBRK_X85Y129 INT_TILE_Y 25 TILEPROP VBRK_X85Y129 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y129 IS_DCM_TILE 0 TILEPROP VBRK_X85Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y129 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y129 NAME VBRK_X85Y129 TILEPROP VBRK_X85Y129 NUM_ARCS 0 TILEPROP VBRK_X85Y129 NUM_SITES 0 TILEPROP VBRK_X85Y129 ROW 27 TILEPROP VBRK_X85Y129 SLR_REGION_ID 0 TILEPROP VBRK_X85Y129 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y129 TILE_TYPE VBRK TILEPROP VBRK_X85Y129 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y129 TILE_X 56064 TILEPROP VBRK_X85Y129 TILE_Y 159672 TILEPROP VBRK_X85Y129 TYPE VBRK TILEPROP VBRK_X85Y131 CLASS tile TILEPROP VBRK_X85Y131 COLUMN 85 TILEPROP VBRK_X85Y131 DEVICE_ID 0 TILEPROP VBRK_X85Y131 FIRST_SITE_ID 2602 TILEPROP VBRK_X85Y131 GRID_POINT_X 85 TILEPROP VBRK_X85Y131 GRID_POINT_Y 25 TILEPROP VBRK_X85Y131 INDEX 2960 TILEPROP VBRK_X85Y131 INT_TILE_X 33 TILEPROP VBRK_X85Y131 INT_TILE_Y 24 TILEPROP VBRK_X85Y131 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y131 IS_DCM_TILE 0 TILEPROP VBRK_X85Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y131 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y131 NAME VBRK_X85Y131 TILEPROP VBRK_X85Y131 NUM_ARCS 0 TILEPROP VBRK_X85Y131 NUM_SITES 0 TILEPROP VBRK_X85Y131 ROW 25 TILEPROP VBRK_X85Y131 SLR_REGION_ID 0 TILEPROP VBRK_X85Y131 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y131 TILE_TYPE VBRK TILEPROP VBRK_X85Y131 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y131 TILE_X 56064 TILEPROP VBRK_X85Y131 TILE_Y 163896 TILEPROP VBRK_X85Y131 TYPE VBRK TILEPROP VBRK_X85Y132 CLASS tile TILEPROP VBRK_X85Y132 COLUMN 85 TILEPROP VBRK_X85Y132 DEVICE_ID 0 TILEPROP VBRK_X85Y132 FIRST_SITE_ID 2489 TILEPROP VBRK_X85Y132 GRID_POINT_X 85 TILEPROP VBRK_X85Y132 GRID_POINT_Y 24 TILEPROP VBRK_X85Y132 INDEX 2845 TILEPROP VBRK_X85Y132 INT_TILE_X 33 TILEPROP VBRK_X85Y132 INT_TILE_Y 23 TILEPROP VBRK_X85Y132 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y132 IS_DCM_TILE 0 TILEPROP VBRK_X85Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y132 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y132 NAME VBRK_X85Y132 TILEPROP VBRK_X85Y132 NUM_ARCS 0 TILEPROP VBRK_X85Y132 NUM_SITES 0 TILEPROP VBRK_X85Y132 ROW 24 TILEPROP VBRK_X85Y132 SLR_REGION_ID 0 TILEPROP VBRK_X85Y132 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y132 TILE_TYPE VBRK TILEPROP VBRK_X85Y132 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y132 TILE_X 56064 TILEPROP VBRK_X85Y132 TILE_Y 167096 TILEPROP VBRK_X85Y132 TYPE VBRK TILEPROP VBRK_X85Y133 CLASS tile TILEPROP VBRK_X85Y133 COLUMN 85 TILEPROP VBRK_X85Y133 DEVICE_ID 0 TILEPROP VBRK_X85Y133 FIRST_SITE_ID 2393 TILEPROP VBRK_X85Y133 GRID_POINT_X 85 TILEPROP VBRK_X85Y133 GRID_POINT_Y 23 TILEPROP VBRK_X85Y133 INDEX 2730 TILEPROP VBRK_X85Y133 INT_TILE_X 33 TILEPROP VBRK_X85Y133 INT_TILE_Y 22 TILEPROP VBRK_X85Y133 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y133 IS_DCM_TILE 0 TILEPROP VBRK_X85Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y133 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y133 NAME VBRK_X85Y133 TILEPROP VBRK_X85Y133 NUM_ARCS 0 TILEPROP VBRK_X85Y133 NUM_SITES 0 TILEPROP VBRK_X85Y133 ROW 23 TILEPROP VBRK_X85Y133 SLR_REGION_ID 0 TILEPROP VBRK_X85Y133 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y133 TILE_TYPE VBRK TILEPROP VBRK_X85Y133 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y133 TILE_X 56064 TILEPROP VBRK_X85Y133 TILE_Y 170296 TILEPROP VBRK_X85Y133 TYPE VBRK TILEPROP VBRK_X85Y134 CLASS tile TILEPROP VBRK_X85Y134 COLUMN 85 TILEPROP VBRK_X85Y134 DEVICE_ID 0 TILEPROP VBRK_X85Y134 FIRST_SITE_ID 2289 TILEPROP VBRK_X85Y134 GRID_POINT_X 85 TILEPROP VBRK_X85Y134 GRID_POINT_Y 22 TILEPROP VBRK_X85Y134 INDEX 2615 TILEPROP VBRK_X85Y134 INT_TILE_X 33 TILEPROP VBRK_X85Y134 INT_TILE_Y 21 TILEPROP VBRK_X85Y134 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y134 IS_DCM_TILE 0 TILEPROP VBRK_X85Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y134 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y134 NAME VBRK_X85Y134 TILEPROP VBRK_X85Y134 NUM_ARCS 0 TILEPROP VBRK_X85Y134 NUM_SITES 0 TILEPROP VBRK_X85Y134 ROW 22 TILEPROP VBRK_X85Y134 SLR_REGION_ID 0 TILEPROP VBRK_X85Y134 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y134 TILE_TYPE VBRK TILEPROP VBRK_X85Y134 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y134 TILE_X 56064 TILEPROP VBRK_X85Y134 TILE_Y 173496 TILEPROP VBRK_X85Y134 TYPE VBRK TILEPROP VBRK_X85Y135 CLASS tile TILEPROP VBRK_X85Y135 COLUMN 85 TILEPROP VBRK_X85Y135 DEVICE_ID 0 TILEPROP VBRK_X85Y135 FIRST_SITE_ID 2193 TILEPROP VBRK_X85Y135 GRID_POINT_X 85 TILEPROP VBRK_X85Y135 GRID_POINT_Y 21 TILEPROP VBRK_X85Y135 INDEX 2500 TILEPROP VBRK_X85Y135 INT_TILE_X 33 TILEPROP VBRK_X85Y135 INT_TILE_Y 20 TILEPROP VBRK_X85Y135 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y135 IS_DCM_TILE 0 TILEPROP VBRK_X85Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y135 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y135 NAME VBRK_X85Y135 TILEPROP VBRK_X85Y135 NUM_ARCS 0 TILEPROP VBRK_X85Y135 NUM_SITES 0 TILEPROP VBRK_X85Y135 ROW 21 TILEPROP VBRK_X85Y135 SLR_REGION_ID 0 TILEPROP VBRK_X85Y135 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y135 TILE_TYPE VBRK TILEPROP VBRK_X85Y135 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y135 TILE_X 56064 TILEPROP VBRK_X85Y135 TILE_Y 176696 TILEPROP VBRK_X85Y135 TYPE VBRK TILEPROP VBRK_X85Y136 CLASS tile TILEPROP VBRK_X85Y136 COLUMN 85 TILEPROP VBRK_X85Y136 DEVICE_ID 0 TILEPROP VBRK_X85Y136 FIRST_SITE_ID 2080 TILEPROP VBRK_X85Y136 GRID_POINT_X 85 TILEPROP VBRK_X85Y136 GRID_POINT_Y 20 TILEPROP VBRK_X85Y136 INDEX 2385 TILEPROP VBRK_X85Y136 INT_TILE_X 33 TILEPROP VBRK_X85Y136 INT_TILE_Y 19 TILEPROP VBRK_X85Y136 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y136 IS_DCM_TILE 0 TILEPROP VBRK_X85Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y136 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y136 NAME VBRK_X85Y136 TILEPROP VBRK_X85Y136 NUM_ARCS 0 TILEPROP VBRK_X85Y136 NUM_SITES 0 TILEPROP VBRK_X85Y136 ROW 20 TILEPROP VBRK_X85Y136 SLR_REGION_ID 0 TILEPROP VBRK_X85Y136 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y136 TILE_TYPE VBRK TILEPROP VBRK_X85Y136 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y136 TILE_X 56064 TILEPROP VBRK_X85Y136 TILE_Y 179896 TILEPROP VBRK_X85Y136 TYPE VBRK TILEPROP VBRK_X85Y137 CLASS tile TILEPROP VBRK_X85Y137 COLUMN 85 TILEPROP VBRK_X85Y137 DEVICE_ID 0 TILEPROP VBRK_X85Y137 FIRST_SITE_ID 1975 TILEPROP VBRK_X85Y137 GRID_POINT_X 85 TILEPROP VBRK_X85Y137 GRID_POINT_Y 19 TILEPROP VBRK_X85Y137 INDEX 2270 TILEPROP VBRK_X85Y137 INT_TILE_X 33 TILEPROP VBRK_X85Y137 INT_TILE_Y 18 TILEPROP VBRK_X85Y137 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y137 IS_DCM_TILE 0 TILEPROP VBRK_X85Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y137 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y137 NAME VBRK_X85Y137 TILEPROP VBRK_X85Y137 NUM_ARCS 0 TILEPROP VBRK_X85Y137 NUM_SITES 0 TILEPROP VBRK_X85Y137 ROW 19 TILEPROP VBRK_X85Y137 SLR_REGION_ID 0 TILEPROP VBRK_X85Y137 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y137 TILE_TYPE VBRK TILEPROP VBRK_X85Y137 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y137 TILE_X 56064 TILEPROP VBRK_X85Y137 TILE_Y 183096 TILEPROP VBRK_X85Y137 TYPE VBRK TILEPROP VBRK_X85Y138 CLASS tile TILEPROP VBRK_X85Y138 COLUMN 85 TILEPROP VBRK_X85Y138 DEVICE_ID 0 TILEPROP VBRK_X85Y138 FIRST_SITE_ID 1869 TILEPROP VBRK_X85Y138 GRID_POINT_X 85 TILEPROP VBRK_X85Y138 GRID_POINT_Y 18 TILEPROP VBRK_X85Y138 INDEX 2155 TILEPROP VBRK_X85Y138 INT_TILE_X 33 TILEPROP VBRK_X85Y138 INT_TILE_Y 17 TILEPROP VBRK_X85Y138 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y138 IS_DCM_TILE 0 TILEPROP VBRK_X85Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y138 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y138 NAME VBRK_X85Y138 TILEPROP VBRK_X85Y138 NUM_ARCS 0 TILEPROP VBRK_X85Y138 NUM_SITES 0 TILEPROP VBRK_X85Y138 ROW 18 TILEPROP VBRK_X85Y138 SLR_REGION_ID 0 TILEPROP VBRK_X85Y138 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y138 TILE_TYPE VBRK TILEPROP VBRK_X85Y138 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y138 TILE_X 56064 TILEPROP VBRK_X85Y138 TILE_Y 186296 TILEPROP VBRK_X85Y138 TYPE VBRK TILEPROP VBRK_X85Y139 CLASS tile TILEPROP VBRK_X85Y139 COLUMN 85 TILEPROP VBRK_X85Y139 DEVICE_ID 0 TILEPROP VBRK_X85Y139 FIRST_SITE_ID 1768 TILEPROP VBRK_X85Y139 GRID_POINT_X 85 TILEPROP VBRK_X85Y139 GRID_POINT_Y 17 TILEPROP VBRK_X85Y139 INDEX 2040 TILEPROP VBRK_X85Y139 INT_TILE_X 33 TILEPROP VBRK_X85Y139 INT_TILE_Y 16 TILEPROP VBRK_X85Y139 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y139 IS_DCM_TILE 0 TILEPROP VBRK_X85Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y139 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y139 NAME VBRK_X85Y139 TILEPROP VBRK_X85Y139 NUM_ARCS 0 TILEPROP VBRK_X85Y139 NUM_SITES 0 TILEPROP VBRK_X85Y139 ROW 17 TILEPROP VBRK_X85Y139 SLR_REGION_ID 0 TILEPROP VBRK_X85Y139 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y139 TILE_TYPE VBRK TILEPROP VBRK_X85Y139 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y139 TILE_X 56064 TILEPROP VBRK_X85Y139 TILE_Y 189496 TILEPROP VBRK_X85Y139 TYPE VBRK TILEPROP VBRK_X85Y140 CLASS tile TILEPROP VBRK_X85Y140 COLUMN 85 TILEPROP VBRK_X85Y140 DEVICE_ID 0 TILEPROP VBRK_X85Y140 FIRST_SITE_ID 1664 TILEPROP VBRK_X85Y140 GRID_POINT_X 85 TILEPROP VBRK_X85Y140 GRID_POINT_Y 16 TILEPROP VBRK_X85Y140 INDEX 1925 TILEPROP VBRK_X85Y140 INT_TILE_X 33 TILEPROP VBRK_X85Y140 INT_TILE_Y 15 TILEPROP VBRK_X85Y140 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y140 IS_DCM_TILE 0 TILEPROP VBRK_X85Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y140 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y140 NAME VBRK_X85Y140 TILEPROP VBRK_X85Y140 NUM_ARCS 0 TILEPROP VBRK_X85Y140 NUM_SITES 0 TILEPROP VBRK_X85Y140 ROW 16 TILEPROP VBRK_X85Y140 SLR_REGION_ID 0 TILEPROP VBRK_X85Y140 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y140 TILE_TYPE VBRK TILEPROP VBRK_X85Y140 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y140 TILE_X 56064 TILEPROP VBRK_X85Y140 TILE_Y 192696 TILEPROP VBRK_X85Y140 TYPE VBRK TILEPROP VBRK_X85Y141 CLASS tile TILEPROP VBRK_X85Y141 COLUMN 85 TILEPROP VBRK_X85Y141 DEVICE_ID 0 TILEPROP VBRK_X85Y141 FIRST_SITE_ID 1565 TILEPROP VBRK_X85Y141 GRID_POINT_X 85 TILEPROP VBRK_X85Y141 GRID_POINT_Y 15 TILEPROP VBRK_X85Y141 INDEX 1810 TILEPROP VBRK_X85Y141 INT_TILE_X 33 TILEPROP VBRK_X85Y141 INT_TILE_Y 14 TILEPROP VBRK_X85Y141 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y141 IS_DCM_TILE 0 TILEPROP VBRK_X85Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y141 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y141 NAME VBRK_X85Y141 TILEPROP VBRK_X85Y141 NUM_ARCS 0 TILEPROP VBRK_X85Y141 NUM_SITES 0 TILEPROP VBRK_X85Y141 ROW 15 TILEPROP VBRK_X85Y141 SLR_REGION_ID 0 TILEPROP VBRK_X85Y141 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y141 TILE_TYPE VBRK TILEPROP VBRK_X85Y141 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y141 TILE_X 56064 TILEPROP VBRK_X85Y141 TILE_Y 195896 TILEPROP VBRK_X85Y141 TYPE VBRK TILEPROP VBRK_X85Y142 CLASS tile TILEPROP VBRK_X85Y142 COLUMN 85 TILEPROP VBRK_X85Y142 DEVICE_ID 0 TILEPROP VBRK_X85Y142 FIRST_SITE_ID 1452 TILEPROP VBRK_X85Y142 GRID_POINT_X 85 TILEPROP VBRK_X85Y142 GRID_POINT_Y 14 TILEPROP VBRK_X85Y142 INDEX 1695 TILEPROP VBRK_X85Y142 INT_TILE_X 33 TILEPROP VBRK_X85Y142 INT_TILE_Y 13 TILEPROP VBRK_X85Y142 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y142 IS_DCM_TILE 0 TILEPROP VBRK_X85Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y142 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y142 NAME VBRK_X85Y142 TILEPROP VBRK_X85Y142 NUM_ARCS 0 TILEPROP VBRK_X85Y142 NUM_SITES 0 TILEPROP VBRK_X85Y142 ROW 14 TILEPROP VBRK_X85Y142 SLR_REGION_ID 0 TILEPROP VBRK_X85Y142 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y142 TILE_TYPE VBRK TILEPROP VBRK_X85Y142 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y142 TILE_X 56064 TILEPROP VBRK_X85Y142 TILE_Y 199096 TILEPROP VBRK_X85Y142 TYPE VBRK TILEPROP VBRK_X85Y143 CLASS tile TILEPROP VBRK_X85Y143 COLUMN 85 TILEPROP VBRK_X85Y143 DEVICE_ID 0 TILEPROP VBRK_X85Y143 FIRST_SITE_ID 1324 TILEPROP VBRK_X85Y143 GRID_POINT_X 85 TILEPROP VBRK_X85Y143 GRID_POINT_Y 13 TILEPROP VBRK_X85Y143 INDEX 1580 TILEPROP VBRK_X85Y143 INT_TILE_X 33 TILEPROP VBRK_X85Y143 INT_TILE_Y 12 TILEPROP VBRK_X85Y143 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y143 IS_DCM_TILE 0 TILEPROP VBRK_X85Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y143 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y143 NAME VBRK_X85Y143 TILEPROP VBRK_X85Y143 NUM_ARCS 0 TILEPROP VBRK_X85Y143 NUM_SITES 0 TILEPROP VBRK_X85Y143 ROW 13 TILEPROP VBRK_X85Y143 SLR_REGION_ID 0 TILEPROP VBRK_X85Y143 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y143 TILE_TYPE VBRK TILEPROP VBRK_X85Y143 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y143 TILE_X 56064 TILEPROP VBRK_X85Y143 TILE_Y 202296 TILEPROP VBRK_X85Y143 TYPE VBRK TILEPROP VBRK_X85Y144 CLASS tile TILEPROP VBRK_X85Y144 COLUMN 85 TILEPROP VBRK_X85Y144 DEVICE_ID 0 TILEPROP VBRK_X85Y144 FIRST_SITE_ID 1220 TILEPROP VBRK_X85Y144 GRID_POINT_X 85 TILEPROP VBRK_X85Y144 GRID_POINT_Y 12 TILEPROP VBRK_X85Y144 INDEX 1465 TILEPROP VBRK_X85Y144 INT_TILE_X 33 TILEPROP VBRK_X85Y144 INT_TILE_Y 11 TILEPROP VBRK_X85Y144 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y144 IS_DCM_TILE 0 TILEPROP VBRK_X85Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y144 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y144 NAME VBRK_X85Y144 TILEPROP VBRK_X85Y144 NUM_ARCS 0 TILEPROP VBRK_X85Y144 NUM_SITES 0 TILEPROP VBRK_X85Y144 ROW 12 TILEPROP VBRK_X85Y144 SLR_REGION_ID 0 TILEPROP VBRK_X85Y144 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y144 TILE_TYPE VBRK TILEPROP VBRK_X85Y144 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y144 TILE_X 56064 TILEPROP VBRK_X85Y144 TILE_Y 205496 TILEPROP VBRK_X85Y144 TYPE VBRK TILEPROP VBRK_X85Y145 CLASS tile TILEPROP VBRK_X85Y145 COLUMN 85 TILEPROP VBRK_X85Y145 DEVICE_ID 0 TILEPROP VBRK_X85Y145 FIRST_SITE_ID 1124 TILEPROP VBRK_X85Y145 GRID_POINT_X 85 TILEPROP VBRK_X85Y145 GRID_POINT_Y 11 TILEPROP VBRK_X85Y145 INDEX 1350 TILEPROP VBRK_X85Y145 INT_TILE_X 33 TILEPROP VBRK_X85Y145 INT_TILE_Y 10 TILEPROP VBRK_X85Y145 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y145 IS_DCM_TILE 0 TILEPROP VBRK_X85Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y145 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y145 NAME VBRK_X85Y145 TILEPROP VBRK_X85Y145 NUM_ARCS 0 TILEPROP VBRK_X85Y145 NUM_SITES 0 TILEPROP VBRK_X85Y145 ROW 11 TILEPROP VBRK_X85Y145 SLR_REGION_ID 0 TILEPROP VBRK_X85Y145 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y145 TILE_TYPE VBRK TILEPROP VBRK_X85Y145 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y145 TILE_X 56064 TILEPROP VBRK_X85Y145 TILE_Y 208696 TILEPROP VBRK_X85Y145 TYPE VBRK TILEPROP VBRK_X85Y146 CLASS tile TILEPROP VBRK_X85Y146 COLUMN 85 TILEPROP VBRK_X85Y146 DEVICE_ID 0 TILEPROP VBRK_X85Y146 FIRST_SITE_ID 1017 TILEPROP VBRK_X85Y146 GRID_POINT_X 85 TILEPROP VBRK_X85Y146 GRID_POINT_Y 10 TILEPROP VBRK_X85Y146 INDEX 1235 TILEPROP VBRK_X85Y146 INT_TILE_X 33 TILEPROP VBRK_X85Y146 INT_TILE_Y 9 TILEPROP VBRK_X85Y146 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y146 IS_DCM_TILE 0 TILEPROP VBRK_X85Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y146 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y146 NAME VBRK_X85Y146 TILEPROP VBRK_X85Y146 NUM_ARCS 0 TILEPROP VBRK_X85Y146 NUM_SITES 0 TILEPROP VBRK_X85Y146 ROW 10 TILEPROP VBRK_X85Y146 SLR_REGION_ID 0 TILEPROP VBRK_X85Y146 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y146 TILE_TYPE VBRK TILEPROP VBRK_X85Y146 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y146 TILE_X 56064 TILEPROP VBRK_X85Y146 TILE_Y 211896 TILEPROP VBRK_X85Y146 TYPE VBRK TILEPROP VBRK_X85Y147 CLASS tile TILEPROP VBRK_X85Y147 COLUMN 85 TILEPROP VBRK_X85Y147 DEVICE_ID 0 TILEPROP VBRK_X85Y147 FIRST_SITE_ID 912 TILEPROP VBRK_X85Y147 GRID_POINT_X 85 TILEPROP VBRK_X85Y147 GRID_POINT_Y 9 TILEPROP VBRK_X85Y147 INDEX 1120 TILEPROP VBRK_X85Y147 INT_TILE_X 33 TILEPROP VBRK_X85Y147 INT_TILE_Y 8 TILEPROP VBRK_X85Y147 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y147 IS_DCM_TILE 0 TILEPROP VBRK_X85Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y147 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y147 NAME VBRK_X85Y147 TILEPROP VBRK_X85Y147 NUM_ARCS 0 TILEPROP VBRK_X85Y147 NUM_SITES 0 TILEPROP VBRK_X85Y147 ROW 9 TILEPROP VBRK_X85Y147 SLR_REGION_ID 0 TILEPROP VBRK_X85Y147 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y147 TILE_TYPE VBRK TILEPROP VBRK_X85Y147 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y147 TILE_X 56064 TILEPROP VBRK_X85Y147 TILE_Y 215096 TILEPROP VBRK_X85Y147 TYPE VBRK TILEPROP VBRK_X85Y148 CLASS tile TILEPROP VBRK_X85Y148 COLUMN 85 TILEPROP VBRK_X85Y148 DEVICE_ID 0 TILEPROP VBRK_X85Y148 FIRST_SITE_ID 808 TILEPROP VBRK_X85Y148 GRID_POINT_X 85 TILEPROP VBRK_X85Y148 GRID_POINT_Y 8 TILEPROP VBRK_X85Y148 INDEX 1005 TILEPROP VBRK_X85Y148 INT_TILE_X 33 TILEPROP VBRK_X85Y148 INT_TILE_Y 7 TILEPROP VBRK_X85Y148 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y148 IS_DCM_TILE 0 TILEPROP VBRK_X85Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y148 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y148 NAME VBRK_X85Y148 TILEPROP VBRK_X85Y148 NUM_ARCS 0 TILEPROP VBRK_X85Y148 NUM_SITES 0 TILEPROP VBRK_X85Y148 ROW 8 TILEPROP VBRK_X85Y148 SLR_REGION_ID 0 TILEPROP VBRK_X85Y148 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y148 TILE_TYPE VBRK TILEPROP VBRK_X85Y148 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y148 TILE_X 56064 TILEPROP VBRK_X85Y148 TILE_Y 218296 TILEPROP VBRK_X85Y148 TYPE VBRK TILEPROP VBRK_X85Y149 CLASS tile TILEPROP VBRK_X85Y149 COLUMN 85 TILEPROP VBRK_X85Y149 DEVICE_ID 0 TILEPROP VBRK_X85Y149 FIRST_SITE_ID 711 TILEPROP VBRK_X85Y149 GRID_POINT_X 85 TILEPROP VBRK_X85Y149 GRID_POINT_Y 7 TILEPROP VBRK_X85Y149 INDEX 890 TILEPROP VBRK_X85Y149 INT_TILE_X 33 TILEPROP VBRK_X85Y149 INT_TILE_Y 6 TILEPROP VBRK_X85Y149 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y149 IS_DCM_TILE 0 TILEPROP VBRK_X85Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y149 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y149 NAME VBRK_X85Y149 TILEPROP VBRK_X85Y149 NUM_ARCS 0 TILEPROP VBRK_X85Y149 NUM_SITES 0 TILEPROP VBRK_X85Y149 ROW 7 TILEPROP VBRK_X85Y149 SLR_REGION_ID 0 TILEPROP VBRK_X85Y149 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y149 TILE_TYPE VBRK TILEPROP VBRK_X85Y149 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y149 TILE_X 56064 TILEPROP VBRK_X85Y149 TILE_Y 221496 TILEPROP VBRK_X85Y149 TYPE VBRK TILEPROP VBRK_X85Y150 CLASS tile TILEPROP VBRK_X85Y150 COLUMN 85 TILEPROP VBRK_X85Y150 DEVICE_ID 0 TILEPROP VBRK_X85Y150 FIRST_SITE_ID 600 TILEPROP VBRK_X85Y150 GRID_POINT_X 85 TILEPROP VBRK_X85Y150 GRID_POINT_Y 6 TILEPROP VBRK_X85Y150 INDEX 775 TILEPROP VBRK_X85Y150 INT_TILE_X 33 TILEPROP VBRK_X85Y150 INT_TILE_Y 5 TILEPROP VBRK_X85Y150 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y150 IS_DCM_TILE 0 TILEPROP VBRK_X85Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y150 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y150 NAME VBRK_X85Y150 TILEPROP VBRK_X85Y150 NUM_ARCS 0 TILEPROP VBRK_X85Y150 NUM_SITES 0 TILEPROP VBRK_X85Y150 ROW 6 TILEPROP VBRK_X85Y150 SLR_REGION_ID 0 TILEPROP VBRK_X85Y150 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y150 TILE_TYPE VBRK TILEPROP VBRK_X85Y150 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y150 TILE_X 56064 TILEPROP VBRK_X85Y150 TILE_Y 224696 TILEPROP VBRK_X85Y150 TYPE VBRK TILEPROP VBRK_X85Y151 CLASS tile TILEPROP VBRK_X85Y151 COLUMN 85 TILEPROP VBRK_X85Y151 DEVICE_ID 0 TILEPROP VBRK_X85Y151 FIRST_SITE_ID 501 TILEPROP VBRK_X85Y151 GRID_POINT_X 85 TILEPROP VBRK_X85Y151 GRID_POINT_Y 5 TILEPROP VBRK_X85Y151 INDEX 660 TILEPROP VBRK_X85Y151 INT_TILE_X 33 TILEPROP VBRK_X85Y151 INT_TILE_Y 4 TILEPROP VBRK_X85Y151 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y151 IS_DCM_TILE 0 TILEPROP VBRK_X85Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y151 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y151 NAME VBRK_X85Y151 TILEPROP VBRK_X85Y151 NUM_ARCS 0 TILEPROP VBRK_X85Y151 NUM_SITES 0 TILEPROP VBRK_X85Y151 ROW 5 TILEPROP VBRK_X85Y151 SLR_REGION_ID 0 TILEPROP VBRK_X85Y151 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y151 TILE_TYPE VBRK TILEPROP VBRK_X85Y151 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y151 TILE_X 56064 TILEPROP VBRK_X85Y151 TILE_Y 227896 TILEPROP VBRK_X85Y151 TYPE VBRK TILEPROP VBRK_X85Y152 CLASS tile TILEPROP VBRK_X85Y152 COLUMN 85 TILEPROP VBRK_X85Y152 DEVICE_ID 0 TILEPROP VBRK_X85Y152 FIRST_SITE_ID 388 TILEPROP VBRK_X85Y152 GRID_POINT_X 85 TILEPROP VBRK_X85Y152 GRID_POINT_Y 4 TILEPROP VBRK_X85Y152 INDEX 545 TILEPROP VBRK_X85Y152 INT_TILE_X 33 TILEPROP VBRK_X85Y152 INT_TILE_Y 3 TILEPROP VBRK_X85Y152 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y152 IS_DCM_TILE 0 TILEPROP VBRK_X85Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y152 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y152 NAME VBRK_X85Y152 TILEPROP VBRK_X85Y152 NUM_ARCS 0 TILEPROP VBRK_X85Y152 NUM_SITES 0 TILEPROP VBRK_X85Y152 ROW 4 TILEPROP VBRK_X85Y152 SLR_REGION_ID 0 TILEPROP VBRK_X85Y152 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y152 TILE_TYPE VBRK TILEPROP VBRK_X85Y152 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y152 TILE_X 56064 TILEPROP VBRK_X85Y152 TILE_Y 231096 TILEPROP VBRK_X85Y152 TYPE VBRK TILEPROP VBRK_X85Y153 CLASS tile TILEPROP VBRK_X85Y153 COLUMN 85 TILEPROP VBRK_X85Y153 DEVICE_ID 0 TILEPROP VBRK_X85Y153 FIRST_SITE_ID 292 TILEPROP VBRK_X85Y153 GRID_POINT_X 85 TILEPROP VBRK_X85Y153 GRID_POINT_Y 3 TILEPROP VBRK_X85Y153 INDEX 430 TILEPROP VBRK_X85Y153 INT_TILE_X 33 TILEPROP VBRK_X85Y153 INT_TILE_Y 2 TILEPROP VBRK_X85Y153 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y153 IS_DCM_TILE 0 TILEPROP VBRK_X85Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y153 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y153 NAME VBRK_X85Y153 TILEPROP VBRK_X85Y153 NUM_ARCS 0 TILEPROP VBRK_X85Y153 NUM_SITES 0 TILEPROP VBRK_X85Y153 ROW 3 TILEPROP VBRK_X85Y153 SLR_REGION_ID 0 TILEPROP VBRK_X85Y153 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y153 TILE_TYPE VBRK TILEPROP VBRK_X85Y153 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y153 TILE_X 56064 TILEPROP VBRK_X85Y153 TILE_Y 234296 TILEPROP VBRK_X85Y153 TYPE VBRK TILEPROP VBRK_X85Y154 CLASS tile TILEPROP VBRK_X85Y154 COLUMN 85 TILEPROP VBRK_X85Y154 DEVICE_ID 0 TILEPROP VBRK_X85Y154 FIRST_SITE_ID 188 TILEPROP VBRK_X85Y154 GRID_POINT_X 85 TILEPROP VBRK_X85Y154 GRID_POINT_Y 2 TILEPROP VBRK_X85Y154 INDEX 315 TILEPROP VBRK_X85Y154 INT_TILE_X 33 TILEPROP VBRK_X85Y154 INT_TILE_Y 1 TILEPROP VBRK_X85Y154 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y154 IS_DCM_TILE 0 TILEPROP VBRK_X85Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y154 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y154 NAME VBRK_X85Y154 TILEPROP VBRK_X85Y154 NUM_ARCS 0 TILEPROP VBRK_X85Y154 NUM_SITES 0 TILEPROP VBRK_X85Y154 ROW 2 TILEPROP VBRK_X85Y154 SLR_REGION_ID 0 TILEPROP VBRK_X85Y154 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y154 TILE_TYPE VBRK TILEPROP VBRK_X85Y154 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y154 TILE_X 56064 TILEPROP VBRK_X85Y154 TILE_Y 237496 TILEPROP VBRK_X85Y154 TYPE VBRK TILEPROP VBRK_X85Y155 CLASS tile TILEPROP VBRK_X85Y155 COLUMN 85 TILEPROP VBRK_X85Y155 DEVICE_ID 0 TILEPROP VBRK_X85Y155 FIRST_SITE_ID 92 TILEPROP VBRK_X85Y155 GRID_POINT_X 85 TILEPROP VBRK_X85Y155 GRID_POINT_Y 1 TILEPROP VBRK_X85Y155 INDEX 200 TILEPROP VBRK_X85Y155 INT_TILE_X 33 TILEPROP VBRK_X85Y155 INT_TILE_Y 0 TILEPROP VBRK_X85Y155 IS_CENTER_TILE 0 TILEPROP VBRK_X85Y155 IS_DCM_TILE 0 TILEPROP VBRK_X85Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X85Y155 IS_GT_SITE_TILE 0 TILEPROP VBRK_X85Y155 NAME VBRK_X85Y155 TILEPROP VBRK_X85Y155 NUM_ARCS 0 TILEPROP VBRK_X85Y155 NUM_SITES 0 TILEPROP VBRK_X85Y155 ROW 1 TILEPROP VBRK_X85Y155 SLR_REGION_ID 0 TILEPROP VBRK_X85Y155 TILE_PATTERN_IDX 12 TILEPROP VBRK_X85Y155 TILE_TYPE VBRK TILEPROP VBRK_X85Y155 TILE_TYPE_INDEX 128 TILEPROP VBRK_X85Y155 TILE_X 56064 TILEPROP VBRK_X85Y155 TILE_Y 240696 TILEPROP VBRK_X85Y155 TYPE VBRK TILEPROP VBRK_X96Y1 CLASS tile TILEPROP VBRK_X96Y1 COLUMN 96 TILEPROP VBRK_X96Y1 DEVICE_ID 0 TILEPROP VBRK_X96Y1 FIRST_SITE_ID 15799 TILEPROP VBRK_X96Y1 GRID_POINT_X 96 TILEPROP VBRK_X96Y1 GRID_POINT_Y 155 TILEPROP VBRK_X96Y1 INDEX 17921 TILEPROP VBRK_X96Y1 INT_TILE_X 37 TILEPROP VBRK_X96Y1 INT_TILE_Y 149 TILEPROP VBRK_X96Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y1 IS_DCM_TILE 0 TILEPROP VBRK_X96Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y1 NAME VBRK_X96Y1 TILEPROP VBRK_X96Y1 NUM_ARCS 0 TILEPROP VBRK_X96Y1 NUM_SITES 0 TILEPROP VBRK_X96Y1 ROW 155 TILEPROP VBRK_X96Y1 SLR_REGION_ID 0 TILEPROP VBRK_X96Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y1 TILE_TYPE VBRK TILEPROP VBRK_X96Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y1 TILE_X 76934 TILEPROP VBRK_X96Y1 TILE_Y -239672 TILEPROP VBRK_X96Y1 TYPE VBRK TILEPROP VBRK_X96Y2 CLASS tile TILEPROP VBRK_X96Y2 COLUMN 96 TILEPROP VBRK_X96Y2 DEVICE_ID 0 TILEPROP VBRK_X96Y2 FIRST_SITE_ID 15680 TILEPROP VBRK_X96Y2 GRID_POINT_X 96 TILEPROP VBRK_X96Y2 GRID_POINT_Y 154 TILEPROP VBRK_X96Y2 INDEX 17806 TILEPROP VBRK_X96Y2 INT_TILE_X 37 TILEPROP VBRK_X96Y2 INT_TILE_Y 148 TILEPROP VBRK_X96Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y2 IS_DCM_TILE 0 TILEPROP VBRK_X96Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y2 NAME VBRK_X96Y2 TILEPROP VBRK_X96Y2 NUM_ARCS 0 TILEPROP VBRK_X96Y2 NUM_SITES 0 TILEPROP VBRK_X96Y2 ROW 154 TILEPROP VBRK_X96Y2 SLR_REGION_ID 0 TILEPROP VBRK_X96Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y2 TILE_TYPE VBRK TILEPROP VBRK_X96Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y2 TILE_X 76934 TILEPROP VBRK_X96Y2 TILE_Y -236472 TILEPROP VBRK_X96Y2 TYPE VBRK TILEPROP VBRK_X96Y3 CLASS tile TILEPROP VBRK_X96Y3 COLUMN 96 TILEPROP VBRK_X96Y3 DEVICE_ID 0 TILEPROP VBRK_X96Y3 FIRST_SITE_ID 15579 TILEPROP VBRK_X96Y3 GRID_POINT_X 96 TILEPROP VBRK_X96Y3 GRID_POINT_Y 153 TILEPROP VBRK_X96Y3 INDEX 17691 TILEPROP VBRK_X96Y3 INT_TILE_X 37 TILEPROP VBRK_X96Y3 INT_TILE_Y 147 TILEPROP VBRK_X96Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y3 IS_DCM_TILE 0 TILEPROP VBRK_X96Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y3 NAME VBRK_X96Y3 TILEPROP VBRK_X96Y3 NUM_ARCS 0 TILEPROP VBRK_X96Y3 NUM_SITES 0 TILEPROP VBRK_X96Y3 ROW 153 TILEPROP VBRK_X96Y3 SLR_REGION_ID 0 TILEPROP VBRK_X96Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y3 TILE_TYPE VBRK TILEPROP VBRK_X96Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y3 TILE_X 76934 TILEPROP VBRK_X96Y3 TILE_Y -233272 TILEPROP VBRK_X96Y3 TYPE VBRK TILEPROP VBRK_X96Y4 CLASS tile TILEPROP VBRK_X96Y4 COLUMN 96 TILEPROP VBRK_X96Y4 DEVICE_ID 0 TILEPROP VBRK_X96Y4 FIRST_SITE_ID 15479 TILEPROP VBRK_X96Y4 GRID_POINT_X 96 TILEPROP VBRK_X96Y4 GRID_POINT_Y 152 TILEPROP VBRK_X96Y4 INDEX 17576 TILEPROP VBRK_X96Y4 INT_TILE_X 37 TILEPROP VBRK_X96Y4 INT_TILE_Y 146 TILEPROP VBRK_X96Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y4 IS_DCM_TILE 0 TILEPROP VBRK_X96Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y4 NAME VBRK_X96Y4 TILEPROP VBRK_X96Y4 NUM_ARCS 0 TILEPROP VBRK_X96Y4 NUM_SITES 0 TILEPROP VBRK_X96Y4 ROW 152 TILEPROP VBRK_X96Y4 SLR_REGION_ID 0 TILEPROP VBRK_X96Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y4 TILE_TYPE VBRK TILEPROP VBRK_X96Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y4 TILE_X 76934 TILEPROP VBRK_X96Y4 TILE_Y -230072 TILEPROP VBRK_X96Y4 TYPE VBRK TILEPROP VBRK_X96Y5 CLASS tile TILEPROP VBRK_X96Y5 COLUMN 96 TILEPROP VBRK_X96Y5 DEVICE_ID 0 TILEPROP VBRK_X96Y5 FIRST_SITE_ID 15379 TILEPROP VBRK_X96Y5 GRID_POINT_X 96 TILEPROP VBRK_X96Y5 GRID_POINT_Y 151 TILEPROP VBRK_X96Y5 INDEX 17461 TILEPROP VBRK_X96Y5 INT_TILE_X 37 TILEPROP VBRK_X96Y5 INT_TILE_Y 145 TILEPROP VBRK_X96Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y5 IS_DCM_TILE 0 TILEPROP VBRK_X96Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y5 NAME VBRK_X96Y5 TILEPROP VBRK_X96Y5 NUM_ARCS 0 TILEPROP VBRK_X96Y5 NUM_SITES 0 TILEPROP VBRK_X96Y5 ROW 151 TILEPROP VBRK_X96Y5 SLR_REGION_ID 0 TILEPROP VBRK_X96Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y5 TILE_TYPE VBRK TILEPROP VBRK_X96Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y5 TILE_X 76934 TILEPROP VBRK_X96Y5 TILE_Y -226872 TILEPROP VBRK_X96Y5 TYPE VBRK TILEPROP VBRK_X96Y6 CLASS tile TILEPROP VBRK_X96Y6 COLUMN 96 TILEPROP VBRK_X96Y6 DEVICE_ID 0 TILEPROP VBRK_X96Y6 FIRST_SITE_ID 15279 TILEPROP VBRK_X96Y6 GRID_POINT_X 96 TILEPROP VBRK_X96Y6 GRID_POINT_Y 150 TILEPROP VBRK_X96Y6 INDEX 17346 TILEPROP VBRK_X96Y6 INT_TILE_X 37 TILEPROP VBRK_X96Y6 INT_TILE_Y 144 TILEPROP VBRK_X96Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y6 IS_DCM_TILE 0 TILEPROP VBRK_X96Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y6 NAME VBRK_X96Y6 TILEPROP VBRK_X96Y6 NUM_ARCS 0 TILEPROP VBRK_X96Y6 NUM_SITES 0 TILEPROP VBRK_X96Y6 ROW 150 TILEPROP VBRK_X96Y6 SLR_REGION_ID 0 TILEPROP VBRK_X96Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y6 TILE_TYPE VBRK TILEPROP VBRK_X96Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y6 TILE_X 76934 TILEPROP VBRK_X96Y6 TILE_Y -223672 TILEPROP VBRK_X96Y6 TYPE VBRK TILEPROP VBRK_X96Y7 CLASS tile TILEPROP VBRK_X96Y7 COLUMN 96 TILEPROP VBRK_X96Y7 DEVICE_ID 0 TILEPROP VBRK_X96Y7 FIRST_SITE_ID 15164 TILEPROP VBRK_X96Y7 GRID_POINT_X 96 TILEPROP VBRK_X96Y7 GRID_POINT_Y 149 TILEPROP VBRK_X96Y7 INDEX 17231 TILEPROP VBRK_X96Y7 INT_TILE_X 37 TILEPROP VBRK_X96Y7 INT_TILE_Y 143 TILEPROP VBRK_X96Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y7 IS_DCM_TILE 0 TILEPROP VBRK_X96Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y7 NAME VBRK_X96Y7 TILEPROP VBRK_X96Y7 NUM_ARCS 0 TILEPROP VBRK_X96Y7 NUM_SITES 0 TILEPROP VBRK_X96Y7 ROW 149 TILEPROP VBRK_X96Y7 SLR_REGION_ID 0 TILEPROP VBRK_X96Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y7 TILE_TYPE VBRK TILEPROP VBRK_X96Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y7 TILE_X 76934 TILEPROP VBRK_X96Y7 TILE_Y -220472 TILEPROP VBRK_X96Y7 TYPE VBRK TILEPROP VBRK_X96Y8 CLASS tile TILEPROP VBRK_X96Y8 COLUMN 96 TILEPROP VBRK_X96Y8 DEVICE_ID 0 TILEPROP VBRK_X96Y8 FIRST_SITE_ID 15062 TILEPROP VBRK_X96Y8 GRID_POINT_X 96 TILEPROP VBRK_X96Y8 GRID_POINT_Y 148 TILEPROP VBRK_X96Y8 INDEX 17116 TILEPROP VBRK_X96Y8 INT_TILE_X 37 TILEPROP VBRK_X96Y8 INT_TILE_Y 142 TILEPROP VBRK_X96Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y8 IS_DCM_TILE 0 TILEPROP VBRK_X96Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y8 NAME VBRK_X96Y8 TILEPROP VBRK_X96Y8 NUM_ARCS 0 TILEPROP VBRK_X96Y8 NUM_SITES 0 TILEPROP VBRK_X96Y8 ROW 148 TILEPROP VBRK_X96Y8 SLR_REGION_ID 0 TILEPROP VBRK_X96Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y8 TILE_TYPE VBRK TILEPROP VBRK_X96Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y8 TILE_X 76934 TILEPROP VBRK_X96Y8 TILE_Y -217272 TILEPROP VBRK_X96Y8 TYPE VBRK TILEPROP VBRK_X96Y9 CLASS tile TILEPROP VBRK_X96Y9 COLUMN 96 TILEPROP VBRK_X96Y9 DEVICE_ID 0 TILEPROP VBRK_X96Y9 FIRST_SITE_ID 14959 TILEPROP VBRK_X96Y9 GRID_POINT_X 96 TILEPROP VBRK_X96Y9 GRID_POINT_Y 147 TILEPROP VBRK_X96Y9 INDEX 17001 TILEPROP VBRK_X96Y9 INT_TILE_X 37 TILEPROP VBRK_X96Y9 INT_TILE_Y 141 TILEPROP VBRK_X96Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y9 IS_DCM_TILE 0 TILEPROP VBRK_X96Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y9 NAME VBRK_X96Y9 TILEPROP VBRK_X96Y9 NUM_ARCS 0 TILEPROP VBRK_X96Y9 NUM_SITES 0 TILEPROP VBRK_X96Y9 ROW 147 TILEPROP VBRK_X96Y9 SLR_REGION_ID 0 TILEPROP VBRK_X96Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y9 TILE_TYPE VBRK TILEPROP VBRK_X96Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y9 TILE_X 76934 TILEPROP VBRK_X96Y9 TILE_Y -214072 TILEPROP VBRK_X96Y9 TYPE VBRK TILEPROP VBRK_X96Y10 CLASS tile TILEPROP VBRK_X96Y10 COLUMN 96 TILEPROP VBRK_X96Y10 DEVICE_ID 0 TILEPROP VBRK_X96Y10 FIRST_SITE_ID 14858 TILEPROP VBRK_X96Y10 GRID_POINT_X 96 TILEPROP VBRK_X96Y10 GRID_POINT_Y 146 TILEPROP VBRK_X96Y10 INDEX 16886 TILEPROP VBRK_X96Y10 INT_TILE_X 37 TILEPROP VBRK_X96Y10 INT_TILE_Y 140 TILEPROP VBRK_X96Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y10 IS_DCM_TILE 0 TILEPROP VBRK_X96Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y10 NAME VBRK_X96Y10 TILEPROP VBRK_X96Y10 NUM_ARCS 0 TILEPROP VBRK_X96Y10 NUM_SITES 0 TILEPROP VBRK_X96Y10 ROW 146 TILEPROP VBRK_X96Y10 SLR_REGION_ID 0 TILEPROP VBRK_X96Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y10 TILE_TYPE VBRK TILEPROP VBRK_X96Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y10 TILE_X 76934 TILEPROP VBRK_X96Y10 TILE_Y -210872 TILEPROP VBRK_X96Y10 TYPE VBRK TILEPROP VBRK_X96Y11 CLASS tile TILEPROP VBRK_X96Y11 COLUMN 96 TILEPROP VBRK_X96Y11 DEVICE_ID 0 TILEPROP VBRK_X96Y11 FIRST_SITE_ID 14758 TILEPROP VBRK_X96Y11 GRID_POINT_X 96 TILEPROP VBRK_X96Y11 GRID_POINT_Y 145 TILEPROP VBRK_X96Y11 INDEX 16771 TILEPROP VBRK_X96Y11 INT_TILE_X 37 TILEPROP VBRK_X96Y11 INT_TILE_Y 139 TILEPROP VBRK_X96Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y11 IS_DCM_TILE 0 TILEPROP VBRK_X96Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y11 NAME VBRK_X96Y11 TILEPROP VBRK_X96Y11 NUM_ARCS 0 TILEPROP VBRK_X96Y11 NUM_SITES 0 TILEPROP VBRK_X96Y11 ROW 145 TILEPROP VBRK_X96Y11 SLR_REGION_ID 0 TILEPROP VBRK_X96Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y11 TILE_TYPE VBRK TILEPROP VBRK_X96Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y11 TILE_X 76934 TILEPROP VBRK_X96Y11 TILE_Y -207672 TILEPROP VBRK_X96Y11 TYPE VBRK TILEPROP VBRK_X96Y12 CLASS tile TILEPROP VBRK_X96Y12 COLUMN 96 TILEPROP VBRK_X96Y12 DEVICE_ID 0 TILEPROP VBRK_X96Y12 FIRST_SITE_ID 14643 TILEPROP VBRK_X96Y12 GRID_POINT_X 96 TILEPROP VBRK_X96Y12 GRID_POINT_Y 144 TILEPROP VBRK_X96Y12 INDEX 16656 TILEPROP VBRK_X96Y12 INT_TILE_X 37 TILEPROP VBRK_X96Y12 INT_TILE_Y 138 TILEPROP VBRK_X96Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y12 IS_DCM_TILE 0 TILEPROP VBRK_X96Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y12 NAME VBRK_X96Y12 TILEPROP VBRK_X96Y12 NUM_ARCS 0 TILEPROP VBRK_X96Y12 NUM_SITES 0 TILEPROP VBRK_X96Y12 ROW 144 TILEPROP VBRK_X96Y12 SLR_REGION_ID 0 TILEPROP VBRK_X96Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y12 TILE_TYPE VBRK TILEPROP VBRK_X96Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y12 TILE_X 76934 TILEPROP VBRK_X96Y12 TILE_Y -204472 TILEPROP VBRK_X96Y12 TYPE VBRK TILEPROP VBRK_X96Y13 CLASS tile TILEPROP VBRK_X96Y13 COLUMN 96 TILEPROP VBRK_X96Y13 DEVICE_ID 0 TILEPROP VBRK_X96Y13 FIRST_SITE_ID 14543 TILEPROP VBRK_X96Y13 GRID_POINT_X 96 TILEPROP VBRK_X96Y13 GRID_POINT_Y 143 TILEPROP VBRK_X96Y13 INDEX 16541 TILEPROP VBRK_X96Y13 INT_TILE_X 37 TILEPROP VBRK_X96Y13 INT_TILE_Y 137 TILEPROP VBRK_X96Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y13 IS_DCM_TILE 0 TILEPROP VBRK_X96Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y13 NAME VBRK_X96Y13 TILEPROP VBRK_X96Y13 NUM_ARCS 0 TILEPROP VBRK_X96Y13 NUM_SITES 0 TILEPROP VBRK_X96Y13 ROW 143 TILEPROP VBRK_X96Y13 SLR_REGION_ID 0 TILEPROP VBRK_X96Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y13 TILE_TYPE VBRK TILEPROP VBRK_X96Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y13 TILE_X 76934 TILEPROP VBRK_X96Y13 TILE_Y -201272 TILEPROP VBRK_X96Y13 TYPE VBRK TILEPROP VBRK_X96Y14 CLASS tile TILEPROP VBRK_X96Y14 COLUMN 96 TILEPROP VBRK_X96Y14 DEVICE_ID 0 TILEPROP VBRK_X96Y14 FIRST_SITE_ID 14411 TILEPROP VBRK_X96Y14 GRID_POINT_X 96 TILEPROP VBRK_X96Y14 GRID_POINT_Y 142 TILEPROP VBRK_X96Y14 INDEX 16426 TILEPROP VBRK_X96Y14 INT_TILE_X 37 TILEPROP VBRK_X96Y14 INT_TILE_Y 136 TILEPROP VBRK_X96Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y14 IS_DCM_TILE 0 TILEPROP VBRK_X96Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y14 NAME VBRK_X96Y14 TILEPROP VBRK_X96Y14 NUM_ARCS 0 TILEPROP VBRK_X96Y14 NUM_SITES 0 TILEPROP VBRK_X96Y14 ROW 142 TILEPROP VBRK_X96Y14 SLR_REGION_ID 0 TILEPROP VBRK_X96Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y14 TILE_TYPE VBRK TILEPROP VBRK_X96Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y14 TILE_X 76934 TILEPROP VBRK_X96Y14 TILE_Y -198072 TILEPROP VBRK_X96Y14 TYPE VBRK TILEPROP VBRK_X96Y15 CLASS tile TILEPROP VBRK_X96Y15 COLUMN 96 TILEPROP VBRK_X96Y15 DEVICE_ID 0 TILEPROP VBRK_X96Y15 FIRST_SITE_ID 14311 TILEPROP VBRK_X96Y15 GRID_POINT_X 96 TILEPROP VBRK_X96Y15 GRID_POINT_Y 141 TILEPROP VBRK_X96Y15 INDEX 16311 TILEPROP VBRK_X96Y15 INT_TILE_X 37 TILEPROP VBRK_X96Y15 INT_TILE_Y 135 TILEPROP VBRK_X96Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y15 IS_DCM_TILE 0 TILEPROP VBRK_X96Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y15 NAME VBRK_X96Y15 TILEPROP VBRK_X96Y15 NUM_ARCS 0 TILEPROP VBRK_X96Y15 NUM_SITES 0 TILEPROP VBRK_X96Y15 ROW 141 TILEPROP VBRK_X96Y15 SLR_REGION_ID 0 TILEPROP VBRK_X96Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y15 TILE_TYPE VBRK TILEPROP VBRK_X96Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y15 TILE_X 76934 TILEPROP VBRK_X96Y15 TILE_Y -194872 TILEPROP VBRK_X96Y15 TYPE VBRK TILEPROP VBRK_X96Y16 CLASS tile TILEPROP VBRK_X96Y16 COLUMN 96 TILEPROP VBRK_X96Y16 DEVICE_ID 0 TILEPROP VBRK_X96Y16 FIRST_SITE_ID 14211 TILEPROP VBRK_X96Y16 GRID_POINT_X 96 TILEPROP VBRK_X96Y16 GRID_POINT_Y 140 TILEPROP VBRK_X96Y16 INDEX 16196 TILEPROP VBRK_X96Y16 INT_TILE_X 37 TILEPROP VBRK_X96Y16 INT_TILE_Y 134 TILEPROP VBRK_X96Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y16 IS_DCM_TILE 0 TILEPROP VBRK_X96Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y16 NAME VBRK_X96Y16 TILEPROP VBRK_X96Y16 NUM_ARCS 0 TILEPROP VBRK_X96Y16 NUM_SITES 0 TILEPROP VBRK_X96Y16 ROW 140 TILEPROP VBRK_X96Y16 SLR_REGION_ID 0 TILEPROP VBRK_X96Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y16 TILE_TYPE VBRK TILEPROP VBRK_X96Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y16 TILE_X 76934 TILEPROP VBRK_X96Y16 TILE_Y -191672 TILEPROP VBRK_X96Y16 TYPE VBRK TILEPROP VBRK_X96Y17 CLASS tile TILEPROP VBRK_X96Y17 COLUMN 96 TILEPROP VBRK_X96Y17 DEVICE_ID 0 TILEPROP VBRK_X96Y17 FIRST_SITE_ID 14096 TILEPROP VBRK_X96Y17 GRID_POINT_X 96 TILEPROP VBRK_X96Y17 GRID_POINT_Y 139 TILEPROP VBRK_X96Y17 INDEX 16081 TILEPROP VBRK_X96Y17 INT_TILE_X 37 TILEPROP VBRK_X96Y17 INT_TILE_Y 133 TILEPROP VBRK_X96Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y17 IS_DCM_TILE 0 TILEPROP VBRK_X96Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y17 NAME VBRK_X96Y17 TILEPROP VBRK_X96Y17 NUM_ARCS 0 TILEPROP VBRK_X96Y17 NUM_SITES 0 TILEPROP VBRK_X96Y17 ROW 139 TILEPROP VBRK_X96Y17 SLR_REGION_ID 0 TILEPROP VBRK_X96Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y17 TILE_TYPE VBRK TILEPROP VBRK_X96Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y17 TILE_X 76934 TILEPROP VBRK_X96Y17 TILE_Y -188472 TILEPROP VBRK_X96Y17 TYPE VBRK TILEPROP VBRK_X96Y18 CLASS tile TILEPROP VBRK_X96Y18 COLUMN 96 TILEPROP VBRK_X96Y18 DEVICE_ID 0 TILEPROP VBRK_X96Y18 FIRST_SITE_ID 13992 TILEPROP VBRK_X96Y18 GRID_POINT_X 96 TILEPROP VBRK_X96Y18 GRID_POINT_Y 138 TILEPROP VBRK_X96Y18 INDEX 15966 TILEPROP VBRK_X96Y18 INT_TILE_X 37 TILEPROP VBRK_X96Y18 INT_TILE_Y 132 TILEPROP VBRK_X96Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y18 IS_DCM_TILE 0 TILEPROP VBRK_X96Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y18 NAME VBRK_X96Y18 TILEPROP VBRK_X96Y18 NUM_ARCS 0 TILEPROP VBRK_X96Y18 NUM_SITES 0 TILEPROP VBRK_X96Y18 ROW 138 TILEPROP VBRK_X96Y18 SLR_REGION_ID 0 TILEPROP VBRK_X96Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y18 TILE_TYPE VBRK TILEPROP VBRK_X96Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y18 TILE_X 76934 TILEPROP VBRK_X96Y18 TILE_Y -185272 TILEPROP VBRK_X96Y18 TYPE VBRK TILEPROP VBRK_X96Y19 CLASS tile TILEPROP VBRK_X96Y19 COLUMN 96 TILEPROP VBRK_X96Y19 DEVICE_ID 0 TILEPROP VBRK_X96Y19 FIRST_SITE_ID 13887 TILEPROP VBRK_X96Y19 GRID_POINT_X 96 TILEPROP VBRK_X96Y19 GRID_POINT_Y 137 TILEPROP VBRK_X96Y19 INDEX 15851 TILEPROP VBRK_X96Y19 INT_TILE_X 37 TILEPROP VBRK_X96Y19 INT_TILE_Y 131 TILEPROP VBRK_X96Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y19 IS_DCM_TILE 0 TILEPROP VBRK_X96Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y19 NAME VBRK_X96Y19 TILEPROP VBRK_X96Y19 NUM_ARCS 0 TILEPROP VBRK_X96Y19 NUM_SITES 0 TILEPROP VBRK_X96Y19 ROW 137 TILEPROP VBRK_X96Y19 SLR_REGION_ID 0 TILEPROP VBRK_X96Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y19 TILE_TYPE VBRK TILEPROP VBRK_X96Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y19 TILE_X 76934 TILEPROP VBRK_X96Y19 TILE_Y -182072 TILEPROP VBRK_X96Y19 TYPE VBRK TILEPROP VBRK_X96Y20 CLASS tile TILEPROP VBRK_X96Y20 COLUMN 96 TILEPROP VBRK_X96Y20 DEVICE_ID 0 TILEPROP VBRK_X96Y20 FIRST_SITE_ID 13785 TILEPROP VBRK_X96Y20 GRID_POINT_X 96 TILEPROP VBRK_X96Y20 GRID_POINT_Y 136 TILEPROP VBRK_X96Y20 INDEX 15736 TILEPROP VBRK_X96Y20 INT_TILE_X 37 TILEPROP VBRK_X96Y20 INT_TILE_Y 130 TILEPROP VBRK_X96Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y20 IS_DCM_TILE 0 TILEPROP VBRK_X96Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y20 NAME VBRK_X96Y20 TILEPROP VBRK_X96Y20 NUM_ARCS 0 TILEPROP VBRK_X96Y20 NUM_SITES 0 TILEPROP VBRK_X96Y20 ROW 136 TILEPROP VBRK_X96Y20 SLR_REGION_ID 0 TILEPROP VBRK_X96Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y20 TILE_TYPE VBRK TILEPROP VBRK_X96Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y20 TILE_X 76934 TILEPROP VBRK_X96Y20 TILE_Y -178872 TILEPROP VBRK_X96Y20 TYPE VBRK TILEPROP VBRK_X96Y21 CLASS tile TILEPROP VBRK_X96Y21 COLUMN 96 TILEPROP VBRK_X96Y21 DEVICE_ID 0 TILEPROP VBRK_X96Y21 FIRST_SITE_ID 13683 TILEPROP VBRK_X96Y21 GRID_POINT_X 96 TILEPROP VBRK_X96Y21 GRID_POINT_Y 135 TILEPROP VBRK_X96Y21 INDEX 15621 TILEPROP VBRK_X96Y21 INT_TILE_X 37 TILEPROP VBRK_X96Y21 INT_TILE_Y 129 TILEPROP VBRK_X96Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y21 IS_DCM_TILE 0 TILEPROP VBRK_X96Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y21 NAME VBRK_X96Y21 TILEPROP VBRK_X96Y21 NUM_ARCS 0 TILEPROP VBRK_X96Y21 NUM_SITES 0 TILEPROP VBRK_X96Y21 ROW 135 TILEPROP VBRK_X96Y21 SLR_REGION_ID 0 TILEPROP VBRK_X96Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y21 TILE_TYPE VBRK TILEPROP VBRK_X96Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y21 TILE_X 76934 TILEPROP VBRK_X96Y21 TILE_Y -175672 TILEPROP VBRK_X96Y21 TYPE VBRK TILEPROP VBRK_X96Y22 CLASS tile TILEPROP VBRK_X96Y22 COLUMN 96 TILEPROP VBRK_X96Y22 DEVICE_ID 0 TILEPROP VBRK_X96Y22 FIRST_SITE_ID 13568 TILEPROP VBRK_X96Y22 GRID_POINT_X 96 TILEPROP VBRK_X96Y22 GRID_POINT_Y 134 TILEPROP VBRK_X96Y22 INDEX 15506 TILEPROP VBRK_X96Y22 INT_TILE_X 37 TILEPROP VBRK_X96Y22 INT_TILE_Y 128 TILEPROP VBRK_X96Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y22 IS_DCM_TILE 0 TILEPROP VBRK_X96Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y22 NAME VBRK_X96Y22 TILEPROP VBRK_X96Y22 NUM_ARCS 0 TILEPROP VBRK_X96Y22 NUM_SITES 0 TILEPROP VBRK_X96Y22 ROW 134 TILEPROP VBRK_X96Y22 SLR_REGION_ID 0 TILEPROP VBRK_X96Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y22 TILE_TYPE VBRK TILEPROP VBRK_X96Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y22 TILE_X 76934 TILEPROP VBRK_X96Y22 TILE_Y -172472 TILEPROP VBRK_X96Y22 TYPE VBRK TILEPROP VBRK_X96Y23 CLASS tile TILEPROP VBRK_X96Y23 COLUMN 96 TILEPROP VBRK_X96Y23 DEVICE_ID 0 TILEPROP VBRK_X96Y23 FIRST_SITE_ID 13468 TILEPROP VBRK_X96Y23 GRID_POINT_X 96 TILEPROP VBRK_X96Y23 GRID_POINT_Y 133 TILEPROP VBRK_X96Y23 INDEX 15391 TILEPROP VBRK_X96Y23 INT_TILE_X 37 TILEPROP VBRK_X96Y23 INT_TILE_Y 127 TILEPROP VBRK_X96Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y23 IS_DCM_TILE 0 TILEPROP VBRK_X96Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y23 NAME VBRK_X96Y23 TILEPROP VBRK_X96Y23 NUM_ARCS 0 TILEPROP VBRK_X96Y23 NUM_SITES 0 TILEPROP VBRK_X96Y23 ROW 133 TILEPROP VBRK_X96Y23 SLR_REGION_ID 0 TILEPROP VBRK_X96Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y23 TILE_TYPE VBRK TILEPROP VBRK_X96Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y23 TILE_X 76934 TILEPROP VBRK_X96Y23 TILE_Y -169272 TILEPROP VBRK_X96Y23 TYPE VBRK TILEPROP VBRK_X96Y24 CLASS tile TILEPROP VBRK_X96Y24 COLUMN 96 TILEPROP VBRK_X96Y24 DEVICE_ID 0 TILEPROP VBRK_X96Y24 FIRST_SITE_ID 13368 TILEPROP VBRK_X96Y24 GRID_POINT_X 96 TILEPROP VBRK_X96Y24 GRID_POINT_Y 132 TILEPROP VBRK_X96Y24 INDEX 15276 TILEPROP VBRK_X96Y24 INT_TILE_X 37 TILEPROP VBRK_X96Y24 INT_TILE_Y 126 TILEPROP VBRK_X96Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y24 IS_DCM_TILE 0 TILEPROP VBRK_X96Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y24 NAME VBRK_X96Y24 TILEPROP VBRK_X96Y24 NUM_ARCS 0 TILEPROP VBRK_X96Y24 NUM_SITES 0 TILEPROP VBRK_X96Y24 ROW 132 TILEPROP VBRK_X96Y24 SLR_REGION_ID 0 TILEPROP VBRK_X96Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y24 TILE_TYPE VBRK TILEPROP VBRK_X96Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y24 TILE_X 76934 TILEPROP VBRK_X96Y24 TILE_Y -166072 TILEPROP VBRK_X96Y24 TYPE VBRK TILEPROP VBRK_X96Y25 CLASS tile TILEPROP VBRK_X96Y25 COLUMN 96 TILEPROP VBRK_X96Y25 DEVICE_ID 0 TILEPROP VBRK_X96Y25 FIRST_SITE_ID 13268 TILEPROP VBRK_X96Y25 GRID_POINT_X 96 TILEPROP VBRK_X96Y25 GRID_POINT_Y 131 TILEPROP VBRK_X96Y25 INDEX 15161 TILEPROP VBRK_X96Y25 INT_TILE_X 37 TILEPROP VBRK_X96Y25 INT_TILE_Y 125 TILEPROP VBRK_X96Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y25 IS_DCM_TILE 0 TILEPROP VBRK_X96Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y25 NAME VBRK_X96Y25 TILEPROP VBRK_X96Y25 NUM_ARCS 0 TILEPROP VBRK_X96Y25 NUM_SITES 0 TILEPROP VBRK_X96Y25 ROW 131 TILEPROP VBRK_X96Y25 SLR_REGION_ID 0 TILEPROP VBRK_X96Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y25 TILE_TYPE VBRK TILEPROP VBRK_X96Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y25 TILE_X 76934 TILEPROP VBRK_X96Y25 TILE_Y -162872 TILEPROP VBRK_X96Y25 TYPE VBRK TILEPROP VBRK_X96Y27 CLASS tile TILEPROP VBRK_X96Y27 COLUMN 96 TILEPROP VBRK_X96Y27 DEVICE_ID 0 TILEPROP VBRK_X96Y27 FIRST_SITE_ID 13085 TILEPROP VBRK_X96Y27 GRID_POINT_X 96 TILEPROP VBRK_X96Y27 GRID_POINT_Y 129 TILEPROP VBRK_X96Y27 INDEX 14931 TILEPROP VBRK_X96Y27 INT_TILE_X 37 TILEPROP VBRK_X96Y27 INT_TILE_Y 124 TILEPROP VBRK_X96Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y27 IS_DCM_TILE 0 TILEPROP VBRK_X96Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y27 NAME VBRK_X96Y27 TILEPROP VBRK_X96Y27 NUM_ARCS 0 TILEPROP VBRK_X96Y27 NUM_SITES 0 TILEPROP VBRK_X96Y27 ROW 129 TILEPROP VBRK_X96Y27 SLR_REGION_ID 0 TILEPROP VBRK_X96Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y27 TILE_TYPE VBRK TILEPROP VBRK_X96Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y27 TILE_X 76934 TILEPROP VBRK_X96Y27 TILE_Y -158648 TILEPROP VBRK_X96Y27 TYPE VBRK TILEPROP VBRK_X96Y28 CLASS tile TILEPROP VBRK_X96Y28 COLUMN 96 TILEPROP VBRK_X96Y28 DEVICE_ID 0 TILEPROP VBRK_X96Y28 FIRST_SITE_ID 12970 TILEPROP VBRK_X96Y28 GRID_POINT_X 96 TILEPROP VBRK_X96Y28 GRID_POINT_Y 128 TILEPROP VBRK_X96Y28 INDEX 14816 TILEPROP VBRK_X96Y28 INT_TILE_X 37 TILEPROP VBRK_X96Y28 INT_TILE_Y 123 TILEPROP VBRK_X96Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y28 IS_DCM_TILE 0 TILEPROP VBRK_X96Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y28 NAME VBRK_X96Y28 TILEPROP VBRK_X96Y28 NUM_ARCS 0 TILEPROP VBRK_X96Y28 NUM_SITES 0 TILEPROP VBRK_X96Y28 ROW 128 TILEPROP VBRK_X96Y28 SLR_REGION_ID 0 TILEPROP VBRK_X96Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y28 TILE_TYPE VBRK TILEPROP VBRK_X96Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y28 TILE_X 76934 TILEPROP VBRK_X96Y28 TILE_Y -155448 TILEPROP VBRK_X96Y28 TYPE VBRK TILEPROP VBRK_X96Y29 CLASS tile TILEPROP VBRK_X96Y29 COLUMN 96 TILEPROP VBRK_X96Y29 DEVICE_ID 0 TILEPROP VBRK_X96Y29 FIRST_SITE_ID 12870 TILEPROP VBRK_X96Y29 GRID_POINT_X 96 TILEPROP VBRK_X96Y29 GRID_POINT_Y 127 TILEPROP VBRK_X96Y29 INDEX 14701 TILEPROP VBRK_X96Y29 INT_TILE_X 37 TILEPROP VBRK_X96Y29 INT_TILE_Y 122 TILEPROP VBRK_X96Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y29 IS_DCM_TILE 0 TILEPROP VBRK_X96Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y29 NAME VBRK_X96Y29 TILEPROP VBRK_X96Y29 NUM_ARCS 0 TILEPROP VBRK_X96Y29 NUM_SITES 0 TILEPROP VBRK_X96Y29 ROW 127 TILEPROP VBRK_X96Y29 SLR_REGION_ID 0 TILEPROP VBRK_X96Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y29 TILE_TYPE VBRK TILEPROP VBRK_X96Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y29 TILE_X 76934 TILEPROP VBRK_X96Y29 TILE_Y -152248 TILEPROP VBRK_X96Y29 TYPE VBRK TILEPROP VBRK_X96Y30 CLASS tile TILEPROP VBRK_X96Y30 COLUMN 96 TILEPROP VBRK_X96Y30 DEVICE_ID 0 TILEPROP VBRK_X96Y30 FIRST_SITE_ID 12770 TILEPROP VBRK_X96Y30 GRID_POINT_X 96 TILEPROP VBRK_X96Y30 GRID_POINT_Y 126 TILEPROP VBRK_X96Y30 INDEX 14586 TILEPROP VBRK_X96Y30 INT_TILE_X 37 TILEPROP VBRK_X96Y30 INT_TILE_Y 121 TILEPROP VBRK_X96Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y30 IS_DCM_TILE 0 TILEPROP VBRK_X96Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y30 NAME VBRK_X96Y30 TILEPROP VBRK_X96Y30 NUM_ARCS 0 TILEPROP VBRK_X96Y30 NUM_SITES 0 TILEPROP VBRK_X96Y30 ROW 126 TILEPROP VBRK_X96Y30 SLR_REGION_ID 0 TILEPROP VBRK_X96Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y30 TILE_TYPE VBRK TILEPROP VBRK_X96Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y30 TILE_X 76934 TILEPROP VBRK_X96Y30 TILE_Y -149048 TILEPROP VBRK_X96Y30 TYPE VBRK TILEPROP VBRK_X96Y31 CLASS tile TILEPROP VBRK_X96Y31 COLUMN 96 TILEPROP VBRK_X96Y31 DEVICE_ID 0 TILEPROP VBRK_X96Y31 FIRST_SITE_ID 12664 TILEPROP VBRK_X96Y31 GRID_POINT_X 96 TILEPROP VBRK_X96Y31 GRID_POINT_Y 125 TILEPROP VBRK_X96Y31 INDEX 14471 TILEPROP VBRK_X96Y31 INT_TILE_X 37 TILEPROP VBRK_X96Y31 INT_TILE_Y 120 TILEPROP VBRK_X96Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y31 IS_DCM_TILE 0 TILEPROP VBRK_X96Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y31 NAME VBRK_X96Y31 TILEPROP VBRK_X96Y31 NUM_ARCS 0 TILEPROP VBRK_X96Y31 NUM_SITES 0 TILEPROP VBRK_X96Y31 ROW 125 TILEPROP VBRK_X96Y31 SLR_REGION_ID 0 TILEPROP VBRK_X96Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y31 TILE_TYPE VBRK TILEPROP VBRK_X96Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y31 TILE_X 76934 TILEPROP VBRK_X96Y31 TILE_Y -145848 TILEPROP VBRK_X96Y31 TYPE VBRK TILEPROP VBRK_X96Y32 CLASS tile TILEPROP VBRK_X96Y32 COLUMN 96 TILEPROP VBRK_X96Y32 DEVICE_ID 0 TILEPROP VBRK_X96Y32 FIRST_SITE_ID 12558 TILEPROP VBRK_X96Y32 GRID_POINT_X 96 TILEPROP VBRK_X96Y32 GRID_POINT_Y 124 TILEPROP VBRK_X96Y32 INDEX 14356 TILEPROP VBRK_X96Y32 INT_TILE_X 37 TILEPROP VBRK_X96Y32 INT_TILE_Y 119 TILEPROP VBRK_X96Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y32 IS_DCM_TILE 0 TILEPROP VBRK_X96Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y32 NAME VBRK_X96Y32 TILEPROP VBRK_X96Y32 NUM_ARCS 0 TILEPROP VBRK_X96Y32 NUM_SITES 0 TILEPROP VBRK_X96Y32 ROW 124 TILEPROP VBRK_X96Y32 SLR_REGION_ID 0 TILEPROP VBRK_X96Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y32 TILE_TYPE VBRK TILEPROP VBRK_X96Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y32 TILE_X 76934 TILEPROP VBRK_X96Y32 TILE_Y -142648 TILEPROP VBRK_X96Y32 TYPE VBRK TILEPROP VBRK_X96Y33 CLASS tile TILEPROP VBRK_X96Y33 COLUMN 96 TILEPROP VBRK_X96Y33 DEVICE_ID 0 TILEPROP VBRK_X96Y33 FIRST_SITE_ID 12428 TILEPROP VBRK_X96Y33 GRID_POINT_X 96 TILEPROP VBRK_X96Y33 GRID_POINT_Y 123 TILEPROP VBRK_X96Y33 INDEX 14241 TILEPROP VBRK_X96Y33 INT_TILE_X 37 TILEPROP VBRK_X96Y33 INT_TILE_Y 118 TILEPROP VBRK_X96Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y33 IS_DCM_TILE 0 TILEPROP VBRK_X96Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y33 NAME VBRK_X96Y33 TILEPROP VBRK_X96Y33 NUM_ARCS 0 TILEPROP VBRK_X96Y33 NUM_SITES 0 TILEPROP VBRK_X96Y33 ROW 123 TILEPROP VBRK_X96Y33 SLR_REGION_ID 0 TILEPROP VBRK_X96Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y33 TILE_TYPE VBRK TILEPROP VBRK_X96Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y33 TILE_X 76934 TILEPROP VBRK_X96Y33 TILE_Y -139448 TILEPROP VBRK_X96Y33 TYPE VBRK TILEPROP VBRK_X96Y34 CLASS tile TILEPROP VBRK_X96Y34 COLUMN 96 TILEPROP VBRK_X96Y34 DEVICE_ID 0 TILEPROP VBRK_X96Y34 FIRST_SITE_ID 12326 TILEPROP VBRK_X96Y34 GRID_POINT_X 96 TILEPROP VBRK_X96Y34 GRID_POINT_Y 122 TILEPROP VBRK_X96Y34 INDEX 14126 TILEPROP VBRK_X96Y34 INT_TILE_X 37 TILEPROP VBRK_X96Y34 INT_TILE_Y 117 TILEPROP VBRK_X96Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y34 IS_DCM_TILE 0 TILEPROP VBRK_X96Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y34 NAME VBRK_X96Y34 TILEPROP VBRK_X96Y34 NUM_ARCS 0 TILEPROP VBRK_X96Y34 NUM_SITES 0 TILEPROP VBRK_X96Y34 ROW 122 TILEPROP VBRK_X96Y34 SLR_REGION_ID 0 TILEPROP VBRK_X96Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y34 TILE_TYPE VBRK TILEPROP VBRK_X96Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y34 TILE_X 76934 TILEPROP VBRK_X96Y34 TILE_Y -136248 TILEPROP VBRK_X96Y34 TYPE VBRK TILEPROP VBRK_X96Y35 CLASS tile TILEPROP VBRK_X96Y35 COLUMN 96 TILEPROP VBRK_X96Y35 DEVICE_ID 0 TILEPROP VBRK_X96Y35 FIRST_SITE_ID 12225 TILEPROP VBRK_X96Y35 GRID_POINT_X 96 TILEPROP VBRK_X96Y35 GRID_POINT_Y 121 TILEPROP VBRK_X96Y35 INDEX 14011 TILEPROP VBRK_X96Y35 INT_TILE_X 37 TILEPROP VBRK_X96Y35 INT_TILE_Y 116 TILEPROP VBRK_X96Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y35 IS_DCM_TILE 0 TILEPROP VBRK_X96Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y35 NAME VBRK_X96Y35 TILEPROP VBRK_X96Y35 NUM_ARCS 0 TILEPROP VBRK_X96Y35 NUM_SITES 0 TILEPROP VBRK_X96Y35 ROW 121 TILEPROP VBRK_X96Y35 SLR_REGION_ID 0 TILEPROP VBRK_X96Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y35 TILE_TYPE VBRK TILEPROP VBRK_X96Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y35 TILE_X 76934 TILEPROP VBRK_X96Y35 TILE_Y -133048 TILEPROP VBRK_X96Y35 TYPE VBRK TILEPROP VBRK_X96Y36 CLASS tile TILEPROP VBRK_X96Y36 COLUMN 96 TILEPROP VBRK_X96Y36 DEVICE_ID 0 TILEPROP VBRK_X96Y36 FIRST_SITE_ID 12125 TILEPROP VBRK_X96Y36 GRID_POINT_X 96 TILEPROP VBRK_X96Y36 GRID_POINT_Y 120 TILEPROP VBRK_X96Y36 INDEX 13896 TILEPROP VBRK_X96Y36 INT_TILE_X 37 TILEPROP VBRK_X96Y36 INT_TILE_Y 115 TILEPROP VBRK_X96Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y36 IS_DCM_TILE 0 TILEPROP VBRK_X96Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y36 NAME VBRK_X96Y36 TILEPROP VBRK_X96Y36 NUM_ARCS 0 TILEPROP VBRK_X96Y36 NUM_SITES 0 TILEPROP VBRK_X96Y36 ROW 120 TILEPROP VBRK_X96Y36 SLR_REGION_ID 0 TILEPROP VBRK_X96Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y36 TILE_TYPE VBRK TILEPROP VBRK_X96Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y36 TILE_X 76934 TILEPROP VBRK_X96Y36 TILE_Y -129848 TILEPROP VBRK_X96Y36 TYPE VBRK TILEPROP VBRK_X96Y37 CLASS tile TILEPROP VBRK_X96Y37 COLUMN 96 TILEPROP VBRK_X96Y37 DEVICE_ID 0 TILEPROP VBRK_X96Y37 FIRST_SITE_ID 12025 TILEPROP VBRK_X96Y37 GRID_POINT_X 96 TILEPROP VBRK_X96Y37 GRID_POINT_Y 119 TILEPROP VBRK_X96Y37 INDEX 13781 TILEPROP VBRK_X96Y37 INT_TILE_X 37 TILEPROP VBRK_X96Y37 INT_TILE_Y 114 TILEPROP VBRK_X96Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y37 IS_DCM_TILE 0 TILEPROP VBRK_X96Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y37 NAME VBRK_X96Y37 TILEPROP VBRK_X96Y37 NUM_ARCS 0 TILEPROP VBRK_X96Y37 NUM_SITES 0 TILEPROP VBRK_X96Y37 ROW 119 TILEPROP VBRK_X96Y37 SLR_REGION_ID 0 TILEPROP VBRK_X96Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y37 TILE_TYPE VBRK TILEPROP VBRK_X96Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y37 TILE_X 76934 TILEPROP VBRK_X96Y37 TILE_Y -126648 TILEPROP VBRK_X96Y37 TYPE VBRK TILEPROP VBRK_X96Y38 CLASS tile TILEPROP VBRK_X96Y38 COLUMN 96 TILEPROP VBRK_X96Y38 DEVICE_ID 0 TILEPROP VBRK_X96Y38 FIRST_SITE_ID 11910 TILEPROP VBRK_X96Y38 GRID_POINT_X 96 TILEPROP VBRK_X96Y38 GRID_POINT_Y 118 TILEPROP VBRK_X96Y38 INDEX 13666 TILEPROP VBRK_X96Y38 INT_TILE_X 37 TILEPROP VBRK_X96Y38 INT_TILE_Y 113 TILEPROP VBRK_X96Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y38 IS_DCM_TILE 0 TILEPROP VBRK_X96Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y38 NAME VBRK_X96Y38 TILEPROP VBRK_X96Y38 NUM_ARCS 0 TILEPROP VBRK_X96Y38 NUM_SITES 0 TILEPROP VBRK_X96Y38 ROW 118 TILEPROP VBRK_X96Y38 SLR_REGION_ID 0 TILEPROP VBRK_X96Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y38 TILE_TYPE VBRK TILEPROP VBRK_X96Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y38 TILE_X 76934 TILEPROP VBRK_X96Y38 TILE_Y -123448 TILEPROP VBRK_X96Y38 TYPE VBRK TILEPROP VBRK_X96Y39 CLASS tile TILEPROP VBRK_X96Y39 COLUMN 96 TILEPROP VBRK_X96Y39 DEVICE_ID 0 TILEPROP VBRK_X96Y39 FIRST_SITE_ID 11778 TILEPROP VBRK_X96Y39 GRID_POINT_X 96 TILEPROP VBRK_X96Y39 GRID_POINT_Y 117 TILEPROP VBRK_X96Y39 INDEX 13551 TILEPROP VBRK_X96Y39 INT_TILE_X 37 TILEPROP VBRK_X96Y39 INT_TILE_Y 112 TILEPROP VBRK_X96Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y39 IS_DCM_TILE 0 TILEPROP VBRK_X96Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y39 NAME VBRK_X96Y39 TILEPROP VBRK_X96Y39 NUM_ARCS 0 TILEPROP VBRK_X96Y39 NUM_SITES 0 TILEPROP VBRK_X96Y39 ROW 117 TILEPROP VBRK_X96Y39 SLR_REGION_ID 0 TILEPROP VBRK_X96Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y39 TILE_TYPE VBRK TILEPROP VBRK_X96Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y39 TILE_X 76934 TILEPROP VBRK_X96Y39 TILE_Y -120248 TILEPROP VBRK_X96Y39 TYPE VBRK TILEPROP VBRK_X96Y40 CLASS tile TILEPROP VBRK_X96Y40 COLUMN 96 TILEPROP VBRK_X96Y40 DEVICE_ID 0 TILEPROP VBRK_X96Y40 FIRST_SITE_ID 11678 TILEPROP VBRK_X96Y40 GRID_POINT_X 96 TILEPROP VBRK_X96Y40 GRID_POINT_Y 116 TILEPROP VBRK_X96Y40 INDEX 13436 TILEPROP VBRK_X96Y40 INT_TILE_X 37 TILEPROP VBRK_X96Y40 INT_TILE_Y 111 TILEPROP VBRK_X96Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y40 IS_DCM_TILE 0 TILEPROP VBRK_X96Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y40 NAME VBRK_X96Y40 TILEPROP VBRK_X96Y40 NUM_ARCS 0 TILEPROP VBRK_X96Y40 NUM_SITES 0 TILEPROP VBRK_X96Y40 ROW 116 TILEPROP VBRK_X96Y40 SLR_REGION_ID 0 TILEPROP VBRK_X96Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y40 TILE_TYPE VBRK TILEPROP VBRK_X96Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y40 TILE_X 76934 TILEPROP VBRK_X96Y40 TILE_Y -117048 TILEPROP VBRK_X96Y40 TYPE VBRK TILEPROP VBRK_X96Y41 CLASS tile TILEPROP VBRK_X96Y41 COLUMN 96 TILEPROP VBRK_X96Y41 DEVICE_ID 0 TILEPROP VBRK_X96Y41 FIRST_SITE_ID 11578 TILEPROP VBRK_X96Y41 GRID_POINT_X 96 TILEPROP VBRK_X96Y41 GRID_POINT_Y 115 TILEPROP VBRK_X96Y41 INDEX 13321 TILEPROP VBRK_X96Y41 INT_TILE_X 37 TILEPROP VBRK_X96Y41 INT_TILE_Y 110 TILEPROP VBRK_X96Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y41 IS_DCM_TILE 0 TILEPROP VBRK_X96Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y41 NAME VBRK_X96Y41 TILEPROP VBRK_X96Y41 NUM_ARCS 0 TILEPROP VBRK_X96Y41 NUM_SITES 0 TILEPROP VBRK_X96Y41 ROW 115 TILEPROP VBRK_X96Y41 SLR_REGION_ID 0 TILEPROP VBRK_X96Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y41 TILE_TYPE VBRK TILEPROP VBRK_X96Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y41 TILE_X 76934 TILEPROP VBRK_X96Y41 TILE_Y -113848 TILEPROP VBRK_X96Y41 TYPE VBRK TILEPROP VBRK_X96Y42 CLASS tile TILEPROP VBRK_X96Y42 COLUMN 96 TILEPROP VBRK_X96Y42 DEVICE_ID 0 TILEPROP VBRK_X96Y42 FIRST_SITE_ID 11478 TILEPROP VBRK_X96Y42 GRID_POINT_X 96 TILEPROP VBRK_X96Y42 GRID_POINT_Y 114 TILEPROP VBRK_X96Y42 INDEX 13206 TILEPROP VBRK_X96Y42 INT_TILE_X 37 TILEPROP VBRK_X96Y42 INT_TILE_Y 109 TILEPROP VBRK_X96Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y42 IS_DCM_TILE 0 TILEPROP VBRK_X96Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y42 NAME VBRK_X96Y42 TILEPROP VBRK_X96Y42 NUM_ARCS 0 TILEPROP VBRK_X96Y42 NUM_SITES 0 TILEPROP VBRK_X96Y42 ROW 114 TILEPROP VBRK_X96Y42 SLR_REGION_ID 0 TILEPROP VBRK_X96Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y42 TILE_TYPE VBRK TILEPROP VBRK_X96Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y42 TILE_X 76934 TILEPROP VBRK_X96Y42 TILE_Y -110648 TILEPROP VBRK_X96Y42 TYPE VBRK TILEPROP VBRK_X96Y43 CLASS tile TILEPROP VBRK_X96Y43 COLUMN 96 TILEPROP VBRK_X96Y43 DEVICE_ID 0 TILEPROP VBRK_X96Y43 FIRST_SITE_ID 11361 TILEPROP VBRK_X96Y43 GRID_POINT_X 96 TILEPROP VBRK_X96Y43 GRID_POINT_Y 113 TILEPROP VBRK_X96Y43 INDEX 13091 TILEPROP VBRK_X96Y43 INT_TILE_X 37 TILEPROP VBRK_X96Y43 INT_TILE_Y 108 TILEPROP VBRK_X96Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y43 IS_DCM_TILE 0 TILEPROP VBRK_X96Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y43 NAME VBRK_X96Y43 TILEPROP VBRK_X96Y43 NUM_ARCS 0 TILEPROP VBRK_X96Y43 NUM_SITES 0 TILEPROP VBRK_X96Y43 ROW 113 TILEPROP VBRK_X96Y43 SLR_REGION_ID 0 TILEPROP VBRK_X96Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y43 TILE_TYPE VBRK TILEPROP VBRK_X96Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y43 TILE_X 76934 TILEPROP VBRK_X96Y43 TILE_Y -107448 TILEPROP VBRK_X96Y43 TYPE VBRK TILEPROP VBRK_X96Y44 CLASS tile TILEPROP VBRK_X96Y44 COLUMN 96 TILEPROP VBRK_X96Y44 DEVICE_ID 0 TILEPROP VBRK_X96Y44 FIRST_SITE_ID 11259 TILEPROP VBRK_X96Y44 GRID_POINT_X 96 TILEPROP VBRK_X96Y44 GRID_POINT_Y 112 TILEPROP VBRK_X96Y44 INDEX 12976 TILEPROP VBRK_X96Y44 INT_TILE_X 37 TILEPROP VBRK_X96Y44 INT_TILE_Y 107 TILEPROP VBRK_X96Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y44 IS_DCM_TILE 0 TILEPROP VBRK_X96Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y44 NAME VBRK_X96Y44 TILEPROP VBRK_X96Y44 NUM_ARCS 0 TILEPROP VBRK_X96Y44 NUM_SITES 0 TILEPROP VBRK_X96Y44 ROW 112 TILEPROP VBRK_X96Y44 SLR_REGION_ID 0 TILEPROP VBRK_X96Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y44 TILE_TYPE VBRK TILEPROP VBRK_X96Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y44 TILE_X 76934 TILEPROP VBRK_X96Y44 TILE_Y -104248 TILEPROP VBRK_X96Y44 TYPE VBRK TILEPROP VBRK_X96Y45 CLASS tile TILEPROP VBRK_X96Y45 COLUMN 96 TILEPROP VBRK_X96Y45 DEVICE_ID 0 TILEPROP VBRK_X96Y45 FIRST_SITE_ID 11156 TILEPROP VBRK_X96Y45 GRID_POINT_X 96 TILEPROP VBRK_X96Y45 GRID_POINT_Y 111 TILEPROP VBRK_X96Y45 INDEX 12861 TILEPROP VBRK_X96Y45 INT_TILE_X 37 TILEPROP VBRK_X96Y45 INT_TILE_Y 106 TILEPROP VBRK_X96Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y45 IS_DCM_TILE 0 TILEPROP VBRK_X96Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y45 NAME VBRK_X96Y45 TILEPROP VBRK_X96Y45 NUM_ARCS 0 TILEPROP VBRK_X96Y45 NUM_SITES 0 TILEPROP VBRK_X96Y45 ROW 111 TILEPROP VBRK_X96Y45 SLR_REGION_ID 0 TILEPROP VBRK_X96Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y45 TILE_TYPE VBRK TILEPROP VBRK_X96Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y45 TILE_X 76934 TILEPROP VBRK_X96Y45 TILE_Y -101048 TILEPROP VBRK_X96Y45 TYPE VBRK TILEPROP VBRK_X96Y46 CLASS tile TILEPROP VBRK_X96Y46 COLUMN 96 TILEPROP VBRK_X96Y46 DEVICE_ID 0 TILEPROP VBRK_X96Y46 FIRST_SITE_ID 11054 TILEPROP VBRK_X96Y46 GRID_POINT_X 96 TILEPROP VBRK_X96Y46 GRID_POINT_Y 110 TILEPROP VBRK_X96Y46 INDEX 12746 TILEPROP VBRK_X96Y46 INT_TILE_X 37 TILEPROP VBRK_X96Y46 INT_TILE_Y 105 TILEPROP VBRK_X96Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y46 IS_DCM_TILE 0 TILEPROP VBRK_X96Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y46 NAME VBRK_X96Y46 TILEPROP VBRK_X96Y46 NUM_ARCS 0 TILEPROP VBRK_X96Y46 NUM_SITES 0 TILEPROP VBRK_X96Y46 ROW 110 TILEPROP VBRK_X96Y46 SLR_REGION_ID 0 TILEPROP VBRK_X96Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y46 TILE_TYPE VBRK TILEPROP VBRK_X96Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y46 TILE_X 76934 TILEPROP VBRK_X96Y46 TILE_Y -97848 TILEPROP VBRK_X96Y46 TYPE VBRK TILEPROP VBRK_X96Y47 CLASS tile TILEPROP VBRK_X96Y47 COLUMN 96 TILEPROP VBRK_X96Y47 DEVICE_ID 0 TILEPROP VBRK_X96Y47 FIRST_SITE_ID 10954 TILEPROP VBRK_X96Y47 GRID_POINT_X 96 TILEPROP VBRK_X96Y47 GRID_POINT_Y 109 TILEPROP VBRK_X96Y47 INDEX 12631 TILEPROP VBRK_X96Y47 INT_TILE_X 37 TILEPROP VBRK_X96Y47 INT_TILE_Y 104 TILEPROP VBRK_X96Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y47 IS_DCM_TILE 0 TILEPROP VBRK_X96Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y47 NAME VBRK_X96Y47 TILEPROP VBRK_X96Y47 NUM_ARCS 0 TILEPROP VBRK_X96Y47 NUM_SITES 0 TILEPROP VBRK_X96Y47 ROW 109 TILEPROP VBRK_X96Y47 SLR_REGION_ID 0 TILEPROP VBRK_X96Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y47 TILE_TYPE VBRK TILEPROP VBRK_X96Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y47 TILE_X 76934 TILEPROP VBRK_X96Y47 TILE_Y -94648 TILEPROP VBRK_X96Y47 TYPE VBRK TILEPROP VBRK_X96Y48 CLASS tile TILEPROP VBRK_X96Y48 COLUMN 96 TILEPROP VBRK_X96Y48 DEVICE_ID 0 TILEPROP VBRK_X96Y48 FIRST_SITE_ID 10838 TILEPROP VBRK_X96Y48 GRID_POINT_X 96 TILEPROP VBRK_X96Y48 GRID_POINT_Y 108 TILEPROP VBRK_X96Y48 INDEX 12516 TILEPROP VBRK_X96Y48 INT_TILE_X 37 TILEPROP VBRK_X96Y48 INT_TILE_Y 103 TILEPROP VBRK_X96Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y48 IS_DCM_TILE 0 TILEPROP VBRK_X96Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y48 NAME VBRK_X96Y48 TILEPROP VBRK_X96Y48 NUM_ARCS 0 TILEPROP VBRK_X96Y48 NUM_SITES 0 TILEPROP VBRK_X96Y48 ROW 108 TILEPROP VBRK_X96Y48 SLR_REGION_ID 0 TILEPROP VBRK_X96Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y48 TILE_TYPE VBRK TILEPROP VBRK_X96Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y48 TILE_X 76934 TILEPROP VBRK_X96Y48 TILE_Y -91448 TILEPROP VBRK_X96Y48 TYPE VBRK TILEPROP VBRK_X96Y49 CLASS tile TILEPROP VBRK_X96Y49 COLUMN 96 TILEPROP VBRK_X96Y49 DEVICE_ID 0 TILEPROP VBRK_X96Y49 FIRST_SITE_ID 10722 TILEPROP VBRK_X96Y49 GRID_POINT_X 96 TILEPROP VBRK_X96Y49 GRID_POINT_Y 107 TILEPROP VBRK_X96Y49 INDEX 12401 TILEPROP VBRK_X96Y49 INT_TILE_X 37 TILEPROP VBRK_X96Y49 INT_TILE_Y 102 TILEPROP VBRK_X96Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y49 IS_DCM_TILE 0 TILEPROP VBRK_X96Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y49 NAME VBRK_X96Y49 TILEPROP VBRK_X96Y49 NUM_ARCS 0 TILEPROP VBRK_X96Y49 NUM_SITES 0 TILEPROP VBRK_X96Y49 ROW 107 TILEPROP VBRK_X96Y49 SLR_REGION_ID 0 TILEPROP VBRK_X96Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y49 TILE_TYPE VBRK TILEPROP VBRK_X96Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y49 TILE_X 76934 TILEPROP VBRK_X96Y49 TILE_Y -88248 TILEPROP VBRK_X96Y49 TYPE VBRK TILEPROP VBRK_X96Y50 CLASS tile TILEPROP VBRK_X96Y50 COLUMN 96 TILEPROP VBRK_X96Y50 DEVICE_ID 0 TILEPROP VBRK_X96Y50 FIRST_SITE_ID 10622 TILEPROP VBRK_X96Y50 GRID_POINT_X 96 TILEPROP VBRK_X96Y50 GRID_POINT_Y 106 TILEPROP VBRK_X96Y50 INDEX 12286 TILEPROP VBRK_X96Y50 INT_TILE_X 37 TILEPROP VBRK_X96Y50 INT_TILE_Y 101 TILEPROP VBRK_X96Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y50 IS_DCM_TILE 0 TILEPROP VBRK_X96Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y50 NAME VBRK_X96Y50 TILEPROP VBRK_X96Y50 NUM_ARCS 0 TILEPROP VBRK_X96Y50 NUM_SITES 0 TILEPROP VBRK_X96Y50 ROW 106 TILEPROP VBRK_X96Y50 SLR_REGION_ID 0 TILEPROP VBRK_X96Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y50 TILE_TYPE VBRK TILEPROP VBRK_X96Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y50 TILE_X 76934 TILEPROP VBRK_X96Y50 TILE_Y -85048 TILEPROP VBRK_X96Y50 TYPE VBRK TILEPROP VBRK_X96Y51 CLASS tile TILEPROP VBRK_X96Y51 COLUMN 96 TILEPROP VBRK_X96Y51 DEVICE_ID 0 TILEPROP VBRK_X96Y51 FIRST_SITE_ID 10526 TILEPROP VBRK_X96Y51 GRID_POINT_X 96 TILEPROP VBRK_X96Y51 GRID_POINT_Y 105 TILEPROP VBRK_X96Y51 INDEX 12171 TILEPROP VBRK_X96Y51 INT_TILE_X 37 TILEPROP VBRK_X96Y51 INT_TILE_Y 100 TILEPROP VBRK_X96Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y51 IS_DCM_TILE 0 TILEPROP VBRK_X96Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y51 NAME VBRK_X96Y51 TILEPROP VBRK_X96Y51 NUM_ARCS 0 TILEPROP VBRK_X96Y51 NUM_SITES 0 TILEPROP VBRK_X96Y51 ROW 105 TILEPROP VBRK_X96Y51 SLR_REGION_ID 0 TILEPROP VBRK_X96Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y51 TILE_TYPE VBRK TILEPROP VBRK_X96Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y51 TILE_X 76934 TILEPROP VBRK_X96Y51 TILE_Y -81848 TILEPROP VBRK_X96Y51 TYPE VBRK TILEPROP VBRK_X96Y53 CLASS tile TILEPROP VBRK_X96Y53 COLUMN 96 TILEPROP VBRK_X96Y53 DEVICE_ID 0 TILEPROP VBRK_X96Y53 FIRST_SITE_ID 10426 TILEPROP VBRK_X96Y53 GRID_POINT_X 96 TILEPROP VBRK_X96Y53 GRID_POINT_Y 103 TILEPROP VBRK_X96Y53 INDEX 11941 TILEPROP VBRK_X96Y53 INT_TILE_X 37 TILEPROP VBRK_X96Y53 INT_TILE_Y 99 TILEPROP VBRK_X96Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y53 IS_DCM_TILE 0 TILEPROP VBRK_X96Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y53 NAME VBRK_X96Y53 TILEPROP VBRK_X96Y53 NUM_ARCS 0 TILEPROP VBRK_X96Y53 NUM_SITES 0 TILEPROP VBRK_X96Y53 ROW 103 TILEPROP VBRK_X96Y53 SLR_REGION_ID 0 TILEPROP VBRK_X96Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y53 TILE_TYPE VBRK TILEPROP VBRK_X96Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y53 TILE_X 76934 TILEPROP VBRK_X96Y53 TILE_Y -78400 TILEPROP VBRK_X96Y53 TYPE VBRK TILEPROP VBRK_X96Y54 CLASS tile TILEPROP VBRK_X96Y54 COLUMN 96 TILEPROP VBRK_X96Y54 DEVICE_ID 0 TILEPROP VBRK_X96Y54 FIRST_SITE_ID 10291 TILEPROP VBRK_X96Y54 GRID_POINT_X 96 TILEPROP VBRK_X96Y54 GRID_POINT_Y 102 TILEPROP VBRK_X96Y54 INDEX 11826 TILEPROP VBRK_X96Y54 INT_TILE_X 37 TILEPROP VBRK_X96Y54 INT_TILE_Y 98 TILEPROP VBRK_X96Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y54 IS_DCM_TILE 0 TILEPROP VBRK_X96Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y54 NAME VBRK_X96Y54 TILEPROP VBRK_X96Y54 NUM_ARCS 0 TILEPROP VBRK_X96Y54 NUM_SITES 0 TILEPROP VBRK_X96Y54 ROW 102 TILEPROP VBRK_X96Y54 SLR_REGION_ID 0 TILEPROP VBRK_X96Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y54 TILE_TYPE VBRK TILEPROP VBRK_X96Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y54 TILE_X 76934 TILEPROP VBRK_X96Y54 TILE_Y -75200 TILEPROP VBRK_X96Y54 TYPE VBRK TILEPROP VBRK_X96Y55 CLASS tile TILEPROP VBRK_X96Y55 COLUMN 96 TILEPROP VBRK_X96Y55 DEVICE_ID 0 TILEPROP VBRK_X96Y55 FIRST_SITE_ID 10191 TILEPROP VBRK_X96Y55 GRID_POINT_X 96 TILEPROP VBRK_X96Y55 GRID_POINT_Y 101 TILEPROP VBRK_X96Y55 INDEX 11711 TILEPROP VBRK_X96Y55 INT_TILE_X 37 TILEPROP VBRK_X96Y55 INT_TILE_Y 97 TILEPROP VBRK_X96Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y55 IS_DCM_TILE 0 TILEPROP VBRK_X96Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y55 NAME VBRK_X96Y55 TILEPROP VBRK_X96Y55 NUM_ARCS 0 TILEPROP VBRK_X96Y55 NUM_SITES 0 TILEPROP VBRK_X96Y55 ROW 101 TILEPROP VBRK_X96Y55 SLR_REGION_ID 0 TILEPROP VBRK_X96Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y55 TILE_TYPE VBRK TILEPROP VBRK_X96Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y55 TILE_X 76934 TILEPROP VBRK_X96Y55 TILE_Y -72000 TILEPROP VBRK_X96Y55 TYPE VBRK TILEPROP VBRK_X96Y56 CLASS tile TILEPROP VBRK_X96Y56 COLUMN 96 TILEPROP VBRK_X96Y56 DEVICE_ID 0 TILEPROP VBRK_X96Y56 FIRST_SITE_ID 10091 TILEPROP VBRK_X96Y56 GRID_POINT_X 96 TILEPROP VBRK_X96Y56 GRID_POINT_Y 100 TILEPROP VBRK_X96Y56 INDEX 11596 TILEPROP VBRK_X96Y56 INT_TILE_X 37 TILEPROP VBRK_X96Y56 INT_TILE_Y 96 TILEPROP VBRK_X96Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y56 IS_DCM_TILE 0 TILEPROP VBRK_X96Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y56 NAME VBRK_X96Y56 TILEPROP VBRK_X96Y56 NUM_ARCS 0 TILEPROP VBRK_X96Y56 NUM_SITES 0 TILEPROP VBRK_X96Y56 ROW 100 TILEPROP VBRK_X96Y56 SLR_REGION_ID 0 TILEPROP VBRK_X96Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y56 TILE_TYPE VBRK TILEPROP VBRK_X96Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y56 TILE_X 76934 TILEPROP VBRK_X96Y56 TILE_Y -68800 TILEPROP VBRK_X96Y56 TYPE VBRK TILEPROP VBRK_X96Y57 CLASS tile TILEPROP VBRK_X96Y57 COLUMN 96 TILEPROP VBRK_X96Y57 DEVICE_ID 0 TILEPROP VBRK_X96Y57 FIRST_SITE_ID 9991 TILEPROP VBRK_X96Y57 GRID_POINT_X 96 TILEPROP VBRK_X96Y57 GRID_POINT_Y 99 TILEPROP VBRK_X96Y57 INDEX 11481 TILEPROP VBRK_X96Y57 INT_TILE_X 37 TILEPROP VBRK_X96Y57 INT_TILE_Y 95 TILEPROP VBRK_X96Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y57 IS_DCM_TILE 0 TILEPROP VBRK_X96Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y57 NAME VBRK_X96Y57 TILEPROP VBRK_X96Y57 NUM_ARCS 0 TILEPROP VBRK_X96Y57 NUM_SITES 0 TILEPROP VBRK_X96Y57 ROW 99 TILEPROP VBRK_X96Y57 SLR_REGION_ID 0 TILEPROP VBRK_X96Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y57 TILE_TYPE VBRK TILEPROP VBRK_X96Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y57 TILE_X 76934 TILEPROP VBRK_X96Y57 TILE_Y -65600 TILEPROP VBRK_X96Y57 TYPE VBRK TILEPROP VBRK_X96Y58 CLASS tile TILEPROP VBRK_X96Y58 COLUMN 96 TILEPROP VBRK_X96Y58 DEVICE_ID 0 TILEPROP VBRK_X96Y58 FIRST_SITE_ID 9891 TILEPROP VBRK_X96Y58 GRID_POINT_X 96 TILEPROP VBRK_X96Y58 GRID_POINT_Y 98 TILEPROP VBRK_X96Y58 INDEX 11366 TILEPROP VBRK_X96Y58 INT_TILE_X 37 TILEPROP VBRK_X96Y58 INT_TILE_Y 94 TILEPROP VBRK_X96Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y58 IS_DCM_TILE 0 TILEPROP VBRK_X96Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y58 NAME VBRK_X96Y58 TILEPROP VBRK_X96Y58 NUM_ARCS 0 TILEPROP VBRK_X96Y58 NUM_SITES 0 TILEPROP VBRK_X96Y58 ROW 98 TILEPROP VBRK_X96Y58 SLR_REGION_ID 0 TILEPROP VBRK_X96Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y58 TILE_TYPE VBRK TILEPROP VBRK_X96Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y58 TILE_X 76934 TILEPROP VBRK_X96Y58 TILE_Y -62400 TILEPROP VBRK_X96Y58 TYPE VBRK TILEPROP VBRK_X96Y59 CLASS tile TILEPROP VBRK_X96Y59 COLUMN 96 TILEPROP VBRK_X96Y59 DEVICE_ID 0 TILEPROP VBRK_X96Y59 FIRST_SITE_ID 9776 TILEPROP VBRK_X96Y59 GRID_POINT_X 96 TILEPROP VBRK_X96Y59 GRID_POINT_Y 97 TILEPROP VBRK_X96Y59 INDEX 11251 TILEPROP VBRK_X96Y59 INT_TILE_X 37 TILEPROP VBRK_X96Y59 INT_TILE_Y 93 TILEPROP VBRK_X96Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y59 IS_DCM_TILE 0 TILEPROP VBRK_X96Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y59 NAME VBRK_X96Y59 TILEPROP VBRK_X96Y59 NUM_ARCS 0 TILEPROP VBRK_X96Y59 NUM_SITES 0 TILEPROP VBRK_X96Y59 ROW 97 TILEPROP VBRK_X96Y59 SLR_REGION_ID 0 TILEPROP VBRK_X96Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y59 TILE_TYPE VBRK TILEPROP VBRK_X96Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y59 TILE_X 76934 TILEPROP VBRK_X96Y59 TILE_Y -59200 TILEPROP VBRK_X96Y59 TYPE VBRK TILEPROP VBRK_X96Y60 CLASS tile TILEPROP VBRK_X96Y60 COLUMN 96 TILEPROP VBRK_X96Y60 DEVICE_ID 0 TILEPROP VBRK_X96Y60 FIRST_SITE_ID 9674 TILEPROP VBRK_X96Y60 GRID_POINT_X 96 TILEPROP VBRK_X96Y60 GRID_POINT_Y 96 TILEPROP VBRK_X96Y60 INDEX 11136 TILEPROP VBRK_X96Y60 INT_TILE_X 37 TILEPROP VBRK_X96Y60 INT_TILE_Y 92 TILEPROP VBRK_X96Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y60 IS_DCM_TILE 0 TILEPROP VBRK_X96Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y60 NAME VBRK_X96Y60 TILEPROP VBRK_X96Y60 NUM_ARCS 0 TILEPROP VBRK_X96Y60 NUM_SITES 0 TILEPROP VBRK_X96Y60 ROW 96 TILEPROP VBRK_X96Y60 SLR_REGION_ID 0 TILEPROP VBRK_X96Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y60 TILE_TYPE VBRK TILEPROP VBRK_X96Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y60 TILE_X 76934 TILEPROP VBRK_X96Y60 TILE_Y -56000 TILEPROP VBRK_X96Y60 TYPE VBRK TILEPROP VBRK_X96Y61 CLASS tile TILEPROP VBRK_X96Y61 COLUMN 96 TILEPROP VBRK_X96Y61 DEVICE_ID 0 TILEPROP VBRK_X96Y61 FIRST_SITE_ID 9571 TILEPROP VBRK_X96Y61 GRID_POINT_X 96 TILEPROP VBRK_X96Y61 GRID_POINT_Y 95 TILEPROP VBRK_X96Y61 INDEX 11021 TILEPROP VBRK_X96Y61 INT_TILE_X 37 TILEPROP VBRK_X96Y61 INT_TILE_Y 91 TILEPROP VBRK_X96Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y61 IS_DCM_TILE 0 TILEPROP VBRK_X96Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y61 NAME VBRK_X96Y61 TILEPROP VBRK_X96Y61 NUM_ARCS 0 TILEPROP VBRK_X96Y61 NUM_SITES 0 TILEPROP VBRK_X96Y61 ROW 95 TILEPROP VBRK_X96Y61 SLR_REGION_ID 0 TILEPROP VBRK_X96Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y61 TILE_TYPE VBRK TILEPROP VBRK_X96Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y61 TILE_X 76934 TILEPROP VBRK_X96Y61 TILE_Y -52800 TILEPROP VBRK_X96Y61 TYPE VBRK TILEPROP VBRK_X96Y62 CLASS tile TILEPROP VBRK_X96Y62 COLUMN 96 TILEPROP VBRK_X96Y62 DEVICE_ID 0 TILEPROP VBRK_X96Y62 FIRST_SITE_ID 9470 TILEPROP VBRK_X96Y62 GRID_POINT_X 96 TILEPROP VBRK_X96Y62 GRID_POINT_Y 94 TILEPROP VBRK_X96Y62 INDEX 10906 TILEPROP VBRK_X96Y62 INT_TILE_X 37 TILEPROP VBRK_X96Y62 INT_TILE_Y 90 TILEPROP VBRK_X96Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y62 IS_DCM_TILE 0 TILEPROP VBRK_X96Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y62 NAME VBRK_X96Y62 TILEPROP VBRK_X96Y62 NUM_ARCS 0 TILEPROP VBRK_X96Y62 NUM_SITES 0 TILEPROP VBRK_X96Y62 ROW 94 TILEPROP VBRK_X96Y62 SLR_REGION_ID 0 TILEPROP VBRK_X96Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y62 TILE_TYPE VBRK TILEPROP VBRK_X96Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y62 TILE_X 76934 TILEPROP VBRK_X96Y62 TILE_Y -49600 TILEPROP VBRK_X96Y62 TYPE VBRK TILEPROP VBRK_X96Y63 CLASS tile TILEPROP VBRK_X96Y63 COLUMN 96 TILEPROP VBRK_X96Y63 DEVICE_ID 0 TILEPROP VBRK_X96Y63 FIRST_SITE_ID 9370 TILEPROP VBRK_X96Y63 GRID_POINT_X 96 TILEPROP VBRK_X96Y63 GRID_POINT_Y 93 TILEPROP VBRK_X96Y63 INDEX 10791 TILEPROP VBRK_X96Y63 INT_TILE_X 37 TILEPROP VBRK_X96Y63 INT_TILE_Y 89 TILEPROP VBRK_X96Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y63 IS_DCM_TILE 0 TILEPROP VBRK_X96Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y63 NAME VBRK_X96Y63 TILEPROP VBRK_X96Y63 NUM_ARCS 0 TILEPROP VBRK_X96Y63 NUM_SITES 0 TILEPROP VBRK_X96Y63 ROW 93 TILEPROP VBRK_X96Y63 SLR_REGION_ID 0 TILEPROP VBRK_X96Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y63 TILE_TYPE VBRK TILEPROP VBRK_X96Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y63 TILE_X 76934 TILEPROP VBRK_X96Y63 TILE_Y -46400 TILEPROP VBRK_X96Y63 TYPE VBRK TILEPROP VBRK_X96Y64 CLASS tile TILEPROP VBRK_X96Y64 COLUMN 96 TILEPROP VBRK_X96Y64 DEVICE_ID 0 TILEPROP VBRK_X96Y64 FIRST_SITE_ID 9255 TILEPROP VBRK_X96Y64 GRID_POINT_X 96 TILEPROP VBRK_X96Y64 GRID_POINT_Y 92 TILEPROP VBRK_X96Y64 INDEX 10676 TILEPROP VBRK_X96Y64 INT_TILE_X 37 TILEPROP VBRK_X96Y64 INT_TILE_Y 88 TILEPROP VBRK_X96Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y64 IS_DCM_TILE 0 TILEPROP VBRK_X96Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y64 NAME VBRK_X96Y64 TILEPROP VBRK_X96Y64 NUM_ARCS 0 TILEPROP VBRK_X96Y64 NUM_SITES 0 TILEPROP VBRK_X96Y64 ROW 92 TILEPROP VBRK_X96Y64 SLR_REGION_ID 0 TILEPROP VBRK_X96Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y64 TILE_TYPE VBRK TILEPROP VBRK_X96Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y64 TILE_X 76934 TILEPROP VBRK_X96Y64 TILE_Y -43200 TILEPROP VBRK_X96Y64 TYPE VBRK TILEPROP VBRK_X96Y65 CLASS tile TILEPROP VBRK_X96Y65 COLUMN 96 TILEPROP VBRK_X96Y65 DEVICE_ID 0 TILEPROP VBRK_X96Y65 FIRST_SITE_ID 9155 TILEPROP VBRK_X96Y65 GRID_POINT_X 96 TILEPROP VBRK_X96Y65 GRID_POINT_Y 91 TILEPROP VBRK_X96Y65 INDEX 10561 TILEPROP VBRK_X96Y65 INT_TILE_X 37 TILEPROP VBRK_X96Y65 INT_TILE_Y 87 TILEPROP VBRK_X96Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y65 IS_DCM_TILE 0 TILEPROP VBRK_X96Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y65 NAME VBRK_X96Y65 TILEPROP VBRK_X96Y65 NUM_ARCS 0 TILEPROP VBRK_X96Y65 NUM_SITES 0 TILEPROP VBRK_X96Y65 ROW 91 TILEPROP VBRK_X96Y65 SLR_REGION_ID 0 TILEPROP VBRK_X96Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y65 TILE_TYPE VBRK TILEPROP VBRK_X96Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y65 TILE_X 76934 TILEPROP VBRK_X96Y65 TILE_Y -40000 TILEPROP VBRK_X96Y65 TYPE VBRK TILEPROP VBRK_X96Y66 CLASS tile TILEPROP VBRK_X96Y66 COLUMN 96 TILEPROP VBRK_X96Y66 DEVICE_ID 0 TILEPROP VBRK_X96Y66 FIRST_SITE_ID 9023 TILEPROP VBRK_X96Y66 GRID_POINT_X 96 TILEPROP VBRK_X96Y66 GRID_POINT_Y 90 TILEPROP VBRK_X96Y66 INDEX 10446 TILEPROP VBRK_X96Y66 INT_TILE_X 37 TILEPROP VBRK_X96Y66 INT_TILE_Y 86 TILEPROP VBRK_X96Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y66 IS_DCM_TILE 0 TILEPROP VBRK_X96Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y66 NAME VBRK_X96Y66 TILEPROP VBRK_X96Y66 NUM_ARCS 0 TILEPROP VBRK_X96Y66 NUM_SITES 0 TILEPROP VBRK_X96Y66 ROW 90 TILEPROP VBRK_X96Y66 SLR_REGION_ID 0 TILEPROP VBRK_X96Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y66 TILE_TYPE VBRK TILEPROP VBRK_X96Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y66 TILE_X 76934 TILEPROP VBRK_X96Y66 TILE_Y -36800 TILEPROP VBRK_X96Y66 TYPE VBRK TILEPROP VBRK_X96Y67 CLASS tile TILEPROP VBRK_X96Y67 COLUMN 96 TILEPROP VBRK_X96Y67 DEVICE_ID 0 TILEPROP VBRK_X96Y67 FIRST_SITE_ID 8923 TILEPROP VBRK_X96Y67 GRID_POINT_X 96 TILEPROP VBRK_X96Y67 GRID_POINT_Y 89 TILEPROP VBRK_X96Y67 INDEX 10331 TILEPROP VBRK_X96Y67 INT_TILE_X 37 TILEPROP VBRK_X96Y67 INT_TILE_Y 85 TILEPROP VBRK_X96Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y67 IS_DCM_TILE 0 TILEPROP VBRK_X96Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y67 NAME VBRK_X96Y67 TILEPROP VBRK_X96Y67 NUM_ARCS 0 TILEPROP VBRK_X96Y67 NUM_SITES 0 TILEPROP VBRK_X96Y67 ROW 89 TILEPROP VBRK_X96Y67 SLR_REGION_ID 0 TILEPROP VBRK_X96Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y67 TILE_TYPE VBRK TILEPROP VBRK_X96Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y67 TILE_X 76934 TILEPROP VBRK_X96Y67 TILE_Y -33600 TILEPROP VBRK_X96Y67 TYPE VBRK TILEPROP VBRK_X96Y68 CLASS tile TILEPROP VBRK_X96Y68 COLUMN 96 TILEPROP VBRK_X96Y68 DEVICE_ID 0 TILEPROP VBRK_X96Y68 FIRST_SITE_ID 8823 TILEPROP VBRK_X96Y68 GRID_POINT_X 96 TILEPROP VBRK_X96Y68 GRID_POINT_Y 88 TILEPROP VBRK_X96Y68 INDEX 10216 TILEPROP VBRK_X96Y68 INT_TILE_X 37 TILEPROP VBRK_X96Y68 INT_TILE_Y 84 TILEPROP VBRK_X96Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y68 IS_DCM_TILE 0 TILEPROP VBRK_X96Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y68 NAME VBRK_X96Y68 TILEPROP VBRK_X96Y68 NUM_ARCS 0 TILEPROP VBRK_X96Y68 NUM_SITES 0 TILEPROP VBRK_X96Y68 ROW 88 TILEPROP VBRK_X96Y68 SLR_REGION_ID 0 TILEPROP VBRK_X96Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y68 TILE_TYPE VBRK TILEPROP VBRK_X96Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y68 TILE_X 76934 TILEPROP VBRK_X96Y68 TILE_Y -30400 TILEPROP VBRK_X96Y68 TYPE VBRK TILEPROP VBRK_X96Y69 CLASS tile TILEPROP VBRK_X96Y69 COLUMN 96 TILEPROP VBRK_X96Y69 DEVICE_ID 0 TILEPROP VBRK_X96Y69 FIRST_SITE_ID 8708 TILEPROP VBRK_X96Y69 GRID_POINT_X 96 TILEPROP VBRK_X96Y69 GRID_POINT_Y 87 TILEPROP VBRK_X96Y69 INDEX 10101 TILEPROP VBRK_X96Y69 INT_TILE_X 37 TILEPROP VBRK_X96Y69 INT_TILE_Y 83 TILEPROP VBRK_X96Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y69 IS_DCM_TILE 0 TILEPROP VBRK_X96Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y69 NAME VBRK_X96Y69 TILEPROP VBRK_X96Y69 NUM_ARCS 0 TILEPROP VBRK_X96Y69 NUM_SITES 0 TILEPROP VBRK_X96Y69 ROW 87 TILEPROP VBRK_X96Y69 SLR_REGION_ID 0 TILEPROP VBRK_X96Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y69 TILE_TYPE VBRK TILEPROP VBRK_X96Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y69 TILE_X 76934 TILEPROP VBRK_X96Y69 TILE_Y -27200 TILEPROP VBRK_X96Y69 TYPE VBRK TILEPROP VBRK_X96Y70 CLASS tile TILEPROP VBRK_X96Y70 COLUMN 96 TILEPROP VBRK_X96Y70 DEVICE_ID 0 TILEPROP VBRK_X96Y70 FIRST_SITE_ID 8604 TILEPROP VBRK_X96Y70 GRID_POINT_X 96 TILEPROP VBRK_X96Y70 GRID_POINT_Y 86 TILEPROP VBRK_X96Y70 INDEX 9986 TILEPROP VBRK_X96Y70 INT_TILE_X 37 TILEPROP VBRK_X96Y70 INT_TILE_Y 82 TILEPROP VBRK_X96Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y70 IS_DCM_TILE 0 TILEPROP VBRK_X96Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y70 NAME VBRK_X96Y70 TILEPROP VBRK_X96Y70 NUM_ARCS 0 TILEPROP VBRK_X96Y70 NUM_SITES 0 TILEPROP VBRK_X96Y70 ROW 86 TILEPROP VBRK_X96Y70 SLR_REGION_ID 0 TILEPROP VBRK_X96Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y70 TILE_TYPE VBRK TILEPROP VBRK_X96Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y70 TILE_X 76934 TILEPROP VBRK_X96Y70 TILE_Y -24000 TILEPROP VBRK_X96Y70 TYPE VBRK TILEPROP VBRK_X96Y71 CLASS tile TILEPROP VBRK_X96Y71 COLUMN 96 TILEPROP VBRK_X96Y71 DEVICE_ID 0 TILEPROP VBRK_X96Y71 FIRST_SITE_ID 8500 TILEPROP VBRK_X96Y71 GRID_POINT_X 96 TILEPROP VBRK_X96Y71 GRID_POINT_Y 85 TILEPROP VBRK_X96Y71 INDEX 9871 TILEPROP VBRK_X96Y71 INT_TILE_X 37 TILEPROP VBRK_X96Y71 INT_TILE_Y 81 TILEPROP VBRK_X96Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y71 IS_DCM_TILE 0 TILEPROP VBRK_X96Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y71 NAME VBRK_X96Y71 TILEPROP VBRK_X96Y71 NUM_ARCS 0 TILEPROP VBRK_X96Y71 NUM_SITES 0 TILEPROP VBRK_X96Y71 ROW 85 TILEPROP VBRK_X96Y71 SLR_REGION_ID 0 TILEPROP VBRK_X96Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y71 TILE_TYPE VBRK TILEPROP VBRK_X96Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y71 TILE_X 76934 TILEPROP VBRK_X96Y71 TILE_Y -20800 TILEPROP VBRK_X96Y71 TYPE VBRK TILEPROP VBRK_X96Y72 CLASS tile TILEPROP VBRK_X96Y72 COLUMN 96 TILEPROP VBRK_X96Y72 DEVICE_ID 0 TILEPROP VBRK_X96Y72 FIRST_SITE_ID 8398 TILEPROP VBRK_X96Y72 GRID_POINT_X 96 TILEPROP VBRK_X96Y72 GRID_POINT_Y 84 TILEPROP VBRK_X96Y72 INDEX 9756 TILEPROP VBRK_X96Y72 INT_TILE_X 37 TILEPROP VBRK_X96Y72 INT_TILE_Y 80 TILEPROP VBRK_X96Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y72 IS_DCM_TILE 0 TILEPROP VBRK_X96Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y72 NAME VBRK_X96Y72 TILEPROP VBRK_X96Y72 NUM_ARCS 0 TILEPROP VBRK_X96Y72 NUM_SITES 0 TILEPROP VBRK_X96Y72 ROW 84 TILEPROP VBRK_X96Y72 SLR_REGION_ID 0 TILEPROP VBRK_X96Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y72 TILE_TYPE VBRK TILEPROP VBRK_X96Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y72 TILE_X 76934 TILEPROP VBRK_X96Y72 TILE_Y -17600 TILEPROP VBRK_X96Y72 TYPE VBRK TILEPROP VBRK_X96Y73 CLASS tile TILEPROP VBRK_X96Y73 COLUMN 96 TILEPROP VBRK_X96Y73 DEVICE_ID 0 TILEPROP VBRK_X96Y73 FIRST_SITE_ID 8296 TILEPROP VBRK_X96Y73 GRID_POINT_X 96 TILEPROP VBRK_X96Y73 GRID_POINT_Y 83 TILEPROP VBRK_X96Y73 INDEX 9641 TILEPROP VBRK_X96Y73 INT_TILE_X 37 TILEPROP VBRK_X96Y73 INT_TILE_Y 79 TILEPROP VBRK_X96Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y73 IS_DCM_TILE 0 TILEPROP VBRK_X96Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y73 NAME VBRK_X96Y73 TILEPROP VBRK_X96Y73 NUM_ARCS 0 TILEPROP VBRK_X96Y73 NUM_SITES 0 TILEPROP VBRK_X96Y73 ROW 83 TILEPROP VBRK_X96Y73 SLR_REGION_ID 0 TILEPROP VBRK_X96Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y73 TILE_TYPE VBRK TILEPROP VBRK_X96Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y73 TILE_X 76934 TILEPROP VBRK_X96Y73 TILE_Y -14400 TILEPROP VBRK_X96Y73 TYPE VBRK TILEPROP VBRK_X96Y74 CLASS tile TILEPROP VBRK_X96Y74 COLUMN 96 TILEPROP VBRK_X96Y74 DEVICE_ID 0 TILEPROP VBRK_X96Y74 FIRST_SITE_ID 8181 TILEPROP VBRK_X96Y74 GRID_POINT_X 96 TILEPROP VBRK_X96Y74 GRID_POINT_Y 82 TILEPROP VBRK_X96Y74 INDEX 9526 TILEPROP VBRK_X96Y74 INT_TILE_X 37 TILEPROP VBRK_X96Y74 INT_TILE_Y 78 TILEPROP VBRK_X96Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y74 IS_DCM_TILE 0 TILEPROP VBRK_X96Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y74 NAME VBRK_X96Y74 TILEPROP VBRK_X96Y74 NUM_ARCS 0 TILEPROP VBRK_X96Y74 NUM_SITES 0 TILEPROP VBRK_X96Y74 ROW 82 TILEPROP VBRK_X96Y74 SLR_REGION_ID 0 TILEPROP VBRK_X96Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y74 TILE_TYPE VBRK TILEPROP VBRK_X96Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y74 TILE_X 76934 TILEPROP VBRK_X96Y74 TILE_Y -11200 TILEPROP VBRK_X96Y74 TYPE VBRK TILEPROP VBRK_X96Y75 CLASS tile TILEPROP VBRK_X96Y75 COLUMN 96 TILEPROP VBRK_X96Y75 DEVICE_ID 0 TILEPROP VBRK_X96Y75 FIRST_SITE_ID 8081 TILEPROP VBRK_X96Y75 GRID_POINT_X 96 TILEPROP VBRK_X96Y75 GRID_POINT_Y 81 TILEPROP VBRK_X96Y75 INDEX 9411 TILEPROP VBRK_X96Y75 INT_TILE_X 37 TILEPROP VBRK_X96Y75 INT_TILE_Y 77 TILEPROP VBRK_X96Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y75 IS_DCM_TILE 0 TILEPROP VBRK_X96Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y75 NAME VBRK_X96Y75 TILEPROP VBRK_X96Y75 NUM_ARCS 0 TILEPROP VBRK_X96Y75 NUM_SITES 0 TILEPROP VBRK_X96Y75 ROW 81 TILEPROP VBRK_X96Y75 SLR_REGION_ID 0 TILEPROP VBRK_X96Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y75 TILE_TYPE VBRK TILEPROP VBRK_X96Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y75 TILE_X 76934 TILEPROP VBRK_X96Y75 TILE_Y -8000 TILEPROP VBRK_X96Y75 TYPE VBRK TILEPROP VBRK_X96Y76 CLASS tile TILEPROP VBRK_X96Y76 COLUMN 96 TILEPROP VBRK_X96Y76 DEVICE_ID 0 TILEPROP VBRK_X96Y76 FIRST_SITE_ID 7981 TILEPROP VBRK_X96Y76 GRID_POINT_X 96 TILEPROP VBRK_X96Y76 GRID_POINT_Y 80 TILEPROP VBRK_X96Y76 INDEX 9296 TILEPROP VBRK_X96Y76 INT_TILE_X 37 TILEPROP VBRK_X96Y76 INT_TILE_Y 76 TILEPROP VBRK_X96Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y76 IS_DCM_TILE 0 TILEPROP VBRK_X96Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y76 NAME VBRK_X96Y76 TILEPROP VBRK_X96Y76 NUM_ARCS 0 TILEPROP VBRK_X96Y76 NUM_SITES 0 TILEPROP VBRK_X96Y76 ROW 80 TILEPROP VBRK_X96Y76 SLR_REGION_ID 0 TILEPROP VBRK_X96Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y76 TILE_TYPE VBRK TILEPROP VBRK_X96Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y76 TILE_X 76934 TILEPROP VBRK_X96Y76 TILE_Y -4800 TILEPROP VBRK_X96Y76 TYPE VBRK TILEPROP VBRK_X96Y77 CLASS tile TILEPROP VBRK_X96Y77 COLUMN 96 TILEPROP VBRK_X96Y77 DEVICE_ID 0 TILEPROP VBRK_X96Y77 FIRST_SITE_ID 7881 TILEPROP VBRK_X96Y77 GRID_POINT_X 96 TILEPROP VBRK_X96Y77 GRID_POINT_Y 79 TILEPROP VBRK_X96Y77 INDEX 9181 TILEPROP VBRK_X96Y77 INT_TILE_X 37 TILEPROP VBRK_X96Y77 INT_TILE_Y 75 TILEPROP VBRK_X96Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y77 IS_DCM_TILE 0 TILEPROP VBRK_X96Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y77 NAME VBRK_X96Y77 TILEPROP VBRK_X96Y77 NUM_ARCS 0 TILEPROP VBRK_X96Y77 NUM_SITES 0 TILEPROP VBRK_X96Y77 ROW 79 TILEPROP VBRK_X96Y77 SLR_REGION_ID 0 TILEPROP VBRK_X96Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y77 TILE_TYPE VBRK TILEPROP VBRK_X96Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y77 TILE_X 76934 TILEPROP VBRK_X96Y77 TILE_Y -1600 TILEPROP VBRK_X96Y77 TYPE VBRK TILEPROP VBRK_X96Y79 CLASS tile TILEPROP VBRK_X96Y79 COLUMN 96 TILEPROP VBRK_X96Y79 DEVICE_ID 0 TILEPROP VBRK_X96Y79 FIRST_SITE_ID 7698 TILEPROP VBRK_X96Y79 GRID_POINT_X 96 TILEPROP VBRK_X96Y79 GRID_POINT_Y 77 TILEPROP VBRK_X96Y79 INDEX 8951 TILEPROP VBRK_X96Y79 INT_TILE_X 37 TILEPROP VBRK_X96Y79 INT_TILE_Y 74 TILEPROP VBRK_X96Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y79 IS_DCM_TILE 0 TILEPROP VBRK_X96Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y79 NAME VBRK_X96Y79 TILEPROP VBRK_X96Y79 NUM_ARCS 0 TILEPROP VBRK_X96Y79 NUM_SITES 0 TILEPROP VBRK_X96Y79 ROW 77 TILEPROP VBRK_X96Y79 SLR_REGION_ID 0 TILEPROP VBRK_X96Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y79 TILE_TYPE VBRK TILEPROP VBRK_X96Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y79 TILE_X 76934 TILEPROP VBRK_X96Y79 TILE_Y 2624 TILEPROP VBRK_X96Y79 TYPE VBRK TILEPROP VBRK_X96Y80 CLASS tile TILEPROP VBRK_X96Y80 COLUMN 96 TILEPROP VBRK_X96Y80 DEVICE_ID 0 TILEPROP VBRK_X96Y80 FIRST_SITE_ID 7580 TILEPROP VBRK_X96Y80 GRID_POINT_X 96 TILEPROP VBRK_X96Y80 GRID_POINT_Y 76 TILEPROP VBRK_X96Y80 INDEX 8836 TILEPROP VBRK_X96Y80 INT_TILE_X 37 TILEPROP VBRK_X96Y80 INT_TILE_Y 73 TILEPROP VBRK_X96Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y80 IS_DCM_TILE 0 TILEPROP VBRK_X96Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y80 NAME VBRK_X96Y80 TILEPROP VBRK_X96Y80 NUM_ARCS 0 TILEPROP VBRK_X96Y80 NUM_SITES 0 TILEPROP VBRK_X96Y80 ROW 76 TILEPROP VBRK_X96Y80 SLR_REGION_ID 0 TILEPROP VBRK_X96Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y80 TILE_TYPE VBRK TILEPROP VBRK_X96Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y80 TILE_X 76934 TILEPROP VBRK_X96Y80 TILE_Y 5824 TILEPROP VBRK_X96Y80 TYPE VBRK TILEPROP VBRK_X96Y81 CLASS tile TILEPROP VBRK_X96Y81 COLUMN 96 TILEPROP VBRK_X96Y81 DEVICE_ID 0 TILEPROP VBRK_X96Y81 FIRST_SITE_ID 7480 TILEPROP VBRK_X96Y81 GRID_POINT_X 96 TILEPROP VBRK_X96Y81 GRID_POINT_Y 75 TILEPROP VBRK_X96Y81 INDEX 8721 TILEPROP VBRK_X96Y81 INT_TILE_X 37 TILEPROP VBRK_X96Y81 INT_TILE_Y 72 TILEPROP VBRK_X96Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y81 IS_DCM_TILE 0 TILEPROP VBRK_X96Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y81 NAME VBRK_X96Y81 TILEPROP VBRK_X96Y81 NUM_ARCS 0 TILEPROP VBRK_X96Y81 NUM_SITES 0 TILEPROP VBRK_X96Y81 ROW 75 TILEPROP VBRK_X96Y81 SLR_REGION_ID 0 TILEPROP VBRK_X96Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y81 TILE_TYPE VBRK TILEPROP VBRK_X96Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y81 TILE_X 76934 TILEPROP VBRK_X96Y81 TILE_Y 9024 TILEPROP VBRK_X96Y81 TYPE VBRK TILEPROP VBRK_X96Y82 CLASS tile TILEPROP VBRK_X96Y82 COLUMN 96 TILEPROP VBRK_X96Y82 DEVICE_ID 0 TILEPROP VBRK_X96Y82 FIRST_SITE_ID 7380 TILEPROP VBRK_X96Y82 GRID_POINT_X 96 TILEPROP VBRK_X96Y82 GRID_POINT_Y 74 TILEPROP VBRK_X96Y82 INDEX 8606 TILEPROP VBRK_X96Y82 INT_TILE_X 37 TILEPROP VBRK_X96Y82 INT_TILE_Y 71 TILEPROP VBRK_X96Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y82 IS_DCM_TILE 0 TILEPROP VBRK_X96Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y82 NAME VBRK_X96Y82 TILEPROP VBRK_X96Y82 NUM_ARCS 0 TILEPROP VBRK_X96Y82 NUM_SITES 0 TILEPROP VBRK_X96Y82 ROW 74 TILEPROP VBRK_X96Y82 SLR_REGION_ID 0 TILEPROP VBRK_X96Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y82 TILE_TYPE VBRK TILEPROP VBRK_X96Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y82 TILE_X 76934 TILEPROP VBRK_X96Y82 TILE_Y 12224 TILEPROP VBRK_X96Y82 TYPE VBRK TILEPROP VBRK_X96Y83 CLASS tile TILEPROP VBRK_X96Y83 COLUMN 96 TILEPROP VBRK_X96Y83 DEVICE_ID 0 TILEPROP VBRK_X96Y83 FIRST_SITE_ID 7274 TILEPROP VBRK_X96Y83 GRID_POINT_X 96 TILEPROP VBRK_X96Y83 GRID_POINT_Y 73 TILEPROP VBRK_X96Y83 INDEX 8491 TILEPROP VBRK_X96Y83 INT_TILE_X 37 TILEPROP VBRK_X96Y83 INT_TILE_Y 70 TILEPROP VBRK_X96Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y83 IS_DCM_TILE 0 TILEPROP VBRK_X96Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y83 NAME VBRK_X96Y83 TILEPROP VBRK_X96Y83 NUM_ARCS 0 TILEPROP VBRK_X96Y83 NUM_SITES 0 TILEPROP VBRK_X96Y83 ROW 73 TILEPROP VBRK_X96Y83 SLR_REGION_ID 0 TILEPROP VBRK_X96Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y83 TILE_TYPE VBRK TILEPROP VBRK_X96Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y83 TILE_X 76934 TILEPROP VBRK_X96Y83 TILE_Y 15424 TILEPROP VBRK_X96Y83 TYPE VBRK TILEPROP VBRK_X96Y84 CLASS tile TILEPROP VBRK_X96Y84 COLUMN 96 TILEPROP VBRK_X96Y84 DEVICE_ID 0 TILEPROP VBRK_X96Y84 FIRST_SITE_ID 7168 TILEPROP VBRK_X96Y84 GRID_POINT_X 96 TILEPROP VBRK_X96Y84 GRID_POINT_Y 72 TILEPROP VBRK_X96Y84 INDEX 8376 TILEPROP VBRK_X96Y84 INT_TILE_X 37 TILEPROP VBRK_X96Y84 INT_TILE_Y 69 TILEPROP VBRK_X96Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y84 IS_DCM_TILE 0 TILEPROP VBRK_X96Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y84 NAME VBRK_X96Y84 TILEPROP VBRK_X96Y84 NUM_ARCS 0 TILEPROP VBRK_X96Y84 NUM_SITES 0 TILEPROP VBRK_X96Y84 ROW 72 TILEPROP VBRK_X96Y84 SLR_REGION_ID 0 TILEPROP VBRK_X96Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y84 TILE_TYPE VBRK TILEPROP VBRK_X96Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y84 TILE_X 76934 TILEPROP VBRK_X96Y84 TILE_Y 18624 TILEPROP VBRK_X96Y84 TYPE VBRK TILEPROP VBRK_X96Y85 CLASS tile TILEPROP VBRK_X96Y85 COLUMN 96 TILEPROP VBRK_X96Y85 DEVICE_ID 0 TILEPROP VBRK_X96Y85 FIRST_SITE_ID 7051 TILEPROP VBRK_X96Y85 GRID_POINT_X 96 TILEPROP VBRK_X96Y85 GRID_POINT_Y 71 TILEPROP VBRK_X96Y85 INDEX 8261 TILEPROP VBRK_X96Y85 INT_TILE_X 37 TILEPROP VBRK_X96Y85 INT_TILE_Y 68 TILEPROP VBRK_X96Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y85 IS_DCM_TILE 0 TILEPROP VBRK_X96Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y85 NAME VBRK_X96Y85 TILEPROP VBRK_X96Y85 NUM_ARCS 0 TILEPROP VBRK_X96Y85 NUM_SITES 0 TILEPROP VBRK_X96Y85 ROW 71 TILEPROP VBRK_X96Y85 SLR_REGION_ID 0 TILEPROP VBRK_X96Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y85 TILE_TYPE VBRK TILEPROP VBRK_X96Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y85 TILE_X 76934 TILEPROP VBRK_X96Y85 TILE_Y 21824 TILEPROP VBRK_X96Y85 TYPE VBRK TILEPROP VBRK_X96Y86 CLASS tile TILEPROP VBRK_X96Y86 COLUMN 96 TILEPROP VBRK_X96Y86 DEVICE_ID 0 TILEPROP VBRK_X96Y86 FIRST_SITE_ID 6949 TILEPROP VBRK_X96Y86 GRID_POINT_X 96 TILEPROP VBRK_X96Y86 GRID_POINT_Y 70 TILEPROP VBRK_X96Y86 INDEX 8146 TILEPROP VBRK_X96Y86 INT_TILE_X 37 TILEPROP VBRK_X96Y86 INT_TILE_Y 67 TILEPROP VBRK_X96Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y86 IS_DCM_TILE 0 TILEPROP VBRK_X96Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y86 NAME VBRK_X96Y86 TILEPROP VBRK_X96Y86 NUM_ARCS 0 TILEPROP VBRK_X96Y86 NUM_SITES 0 TILEPROP VBRK_X96Y86 ROW 70 TILEPROP VBRK_X96Y86 SLR_REGION_ID 0 TILEPROP VBRK_X96Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y86 TILE_TYPE VBRK TILEPROP VBRK_X96Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y86 TILE_X 76934 TILEPROP VBRK_X96Y86 TILE_Y 25024 TILEPROP VBRK_X96Y86 TYPE VBRK TILEPROP VBRK_X96Y87 CLASS tile TILEPROP VBRK_X96Y87 COLUMN 96 TILEPROP VBRK_X96Y87 DEVICE_ID 0 TILEPROP VBRK_X96Y87 FIRST_SITE_ID 6849 TILEPROP VBRK_X96Y87 GRID_POINT_X 96 TILEPROP VBRK_X96Y87 GRID_POINT_Y 69 TILEPROP VBRK_X96Y87 INDEX 8031 TILEPROP VBRK_X96Y87 INT_TILE_X 37 TILEPROP VBRK_X96Y87 INT_TILE_Y 66 TILEPROP VBRK_X96Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y87 IS_DCM_TILE 0 TILEPROP VBRK_X96Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y87 NAME VBRK_X96Y87 TILEPROP VBRK_X96Y87 NUM_ARCS 0 TILEPROP VBRK_X96Y87 NUM_SITES 0 TILEPROP VBRK_X96Y87 ROW 69 TILEPROP VBRK_X96Y87 SLR_REGION_ID 0 TILEPROP VBRK_X96Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y87 TILE_TYPE VBRK TILEPROP VBRK_X96Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y87 TILE_X 76934 TILEPROP VBRK_X96Y87 TILE_Y 28224 TILEPROP VBRK_X96Y87 TYPE VBRK TILEPROP VBRK_X96Y88 CLASS tile TILEPROP VBRK_X96Y88 COLUMN 96 TILEPROP VBRK_X96Y88 DEVICE_ID 0 TILEPROP VBRK_X96Y88 FIRST_SITE_ID 6749 TILEPROP VBRK_X96Y88 GRID_POINT_X 96 TILEPROP VBRK_X96Y88 GRID_POINT_Y 68 TILEPROP VBRK_X96Y88 INDEX 7916 TILEPROP VBRK_X96Y88 INT_TILE_X 37 TILEPROP VBRK_X96Y88 INT_TILE_Y 65 TILEPROP VBRK_X96Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y88 IS_DCM_TILE 0 TILEPROP VBRK_X96Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y88 NAME VBRK_X96Y88 TILEPROP VBRK_X96Y88 NUM_ARCS 0 TILEPROP VBRK_X96Y88 NUM_SITES 0 TILEPROP VBRK_X96Y88 ROW 68 TILEPROP VBRK_X96Y88 SLR_REGION_ID 0 TILEPROP VBRK_X96Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y88 TILE_TYPE VBRK TILEPROP VBRK_X96Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y88 TILE_X 76934 TILEPROP VBRK_X96Y88 TILE_Y 31424 TILEPROP VBRK_X96Y88 TYPE VBRK TILEPROP VBRK_X96Y89 CLASS tile TILEPROP VBRK_X96Y89 COLUMN 96 TILEPROP VBRK_X96Y89 DEVICE_ID 0 TILEPROP VBRK_X96Y89 FIRST_SITE_ID 6649 TILEPROP VBRK_X96Y89 GRID_POINT_X 96 TILEPROP VBRK_X96Y89 GRID_POINT_Y 67 TILEPROP VBRK_X96Y89 INDEX 7801 TILEPROP VBRK_X96Y89 INT_TILE_X 37 TILEPROP VBRK_X96Y89 INT_TILE_Y 64 TILEPROP VBRK_X96Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y89 IS_DCM_TILE 0 TILEPROP VBRK_X96Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y89 NAME VBRK_X96Y89 TILEPROP VBRK_X96Y89 NUM_ARCS 0 TILEPROP VBRK_X96Y89 NUM_SITES 0 TILEPROP VBRK_X96Y89 ROW 67 TILEPROP VBRK_X96Y89 SLR_REGION_ID 0 TILEPROP VBRK_X96Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y89 TILE_TYPE VBRK TILEPROP VBRK_X96Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y89 TILE_X 76934 TILEPROP VBRK_X96Y89 TILE_Y 34624 TILEPROP VBRK_X96Y89 TYPE VBRK TILEPROP VBRK_X96Y90 CLASS tile TILEPROP VBRK_X96Y90 COLUMN 96 TILEPROP VBRK_X96Y90 DEVICE_ID 0 TILEPROP VBRK_X96Y90 FIRST_SITE_ID 6534 TILEPROP VBRK_X96Y90 GRID_POINT_X 96 TILEPROP VBRK_X96Y90 GRID_POINT_Y 66 TILEPROP VBRK_X96Y90 INDEX 7686 TILEPROP VBRK_X96Y90 INT_TILE_X 37 TILEPROP VBRK_X96Y90 INT_TILE_Y 63 TILEPROP VBRK_X96Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y90 IS_DCM_TILE 0 TILEPROP VBRK_X96Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y90 NAME VBRK_X96Y90 TILEPROP VBRK_X96Y90 NUM_ARCS 0 TILEPROP VBRK_X96Y90 NUM_SITES 0 TILEPROP VBRK_X96Y90 ROW 66 TILEPROP VBRK_X96Y90 SLR_REGION_ID 0 TILEPROP VBRK_X96Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y90 TILE_TYPE VBRK TILEPROP VBRK_X96Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y90 TILE_X 76934 TILEPROP VBRK_X96Y90 TILE_Y 37824 TILEPROP VBRK_X96Y90 TYPE VBRK TILEPROP VBRK_X96Y91 CLASS tile TILEPROP VBRK_X96Y91 COLUMN 96 TILEPROP VBRK_X96Y91 DEVICE_ID 0 TILEPROP VBRK_X96Y91 FIRST_SITE_ID 6402 TILEPROP VBRK_X96Y91 GRID_POINT_X 96 TILEPROP VBRK_X96Y91 GRID_POINT_Y 65 TILEPROP VBRK_X96Y91 INDEX 7571 TILEPROP VBRK_X96Y91 INT_TILE_X 37 TILEPROP VBRK_X96Y91 INT_TILE_Y 62 TILEPROP VBRK_X96Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y91 IS_DCM_TILE 0 TILEPROP VBRK_X96Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y91 NAME VBRK_X96Y91 TILEPROP VBRK_X96Y91 NUM_ARCS 0 TILEPROP VBRK_X96Y91 NUM_SITES 0 TILEPROP VBRK_X96Y91 ROW 65 TILEPROP VBRK_X96Y91 SLR_REGION_ID 0 TILEPROP VBRK_X96Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y91 TILE_TYPE VBRK TILEPROP VBRK_X96Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y91 TILE_X 76934 TILEPROP VBRK_X96Y91 TILE_Y 41024 TILEPROP VBRK_X96Y91 TYPE VBRK TILEPROP VBRK_X96Y92 CLASS tile TILEPROP VBRK_X96Y92 COLUMN 96 TILEPROP VBRK_X96Y92 DEVICE_ID 0 TILEPROP VBRK_X96Y92 FIRST_SITE_ID 6302 TILEPROP VBRK_X96Y92 GRID_POINT_X 96 TILEPROP VBRK_X96Y92 GRID_POINT_Y 64 TILEPROP VBRK_X96Y92 INDEX 7456 TILEPROP VBRK_X96Y92 INT_TILE_X 37 TILEPROP VBRK_X96Y92 INT_TILE_Y 61 TILEPROP VBRK_X96Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y92 IS_DCM_TILE 0 TILEPROP VBRK_X96Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y92 NAME VBRK_X96Y92 TILEPROP VBRK_X96Y92 NUM_ARCS 0 TILEPROP VBRK_X96Y92 NUM_SITES 0 TILEPROP VBRK_X96Y92 ROW 64 TILEPROP VBRK_X96Y92 SLR_REGION_ID 0 TILEPROP VBRK_X96Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y92 TILE_TYPE VBRK TILEPROP VBRK_X96Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y92 TILE_X 76934 TILEPROP VBRK_X96Y92 TILE_Y 44224 TILEPROP VBRK_X96Y92 TYPE VBRK TILEPROP VBRK_X96Y93 CLASS tile TILEPROP VBRK_X96Y93 COLUMN 96 TILEPROP VBRK_X96Y93 DEVICE_ID 0 TILEPROP VBRK_X96Y93 FIRST_SITE_ID 6202 TILEPROP VBRK_X96Y93 GRID_POINT_X 96 TILEPROP VBRK_X96Y93 GRID_POINT_Y 63 TILEPROP VBRK_X96Y93 INDEX 7341 TILEPROP VBRK_X96Y93 INT_TILE_X 37 TILEPROP VBRK_X96Y93 INT_TILE_Y 60 TILEPROP VBRK_X96Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y93 IS_DCM_TILE 0 TILEPROP VBRK_X96Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y93 NAME VBRK_X96Y93 TILEPROP VBRK_X96Y93 NUM_ARCS 0 TILEPROP VBRK_X96Y93 NUM_SITES 0 TILEPROP VBRK_X96Y93 ROW 63 TILEPROP VBRK_X96Y93 SLR_REGION_ID 0 TILEPROP VBRK_X96Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y93 TILE_TYPE VBRK TILEPROP VBRK_X96Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y93 TILE_X 76934 TILEPROP VBRK_X96Y93 TILE_Y 47424 TILEPROP VBRK_X96Y93 TYPE VBRK TILEPROP VBRK_X96Y94 CLASS tile TILEPROP VBRK_X96Y94 COLUMN 96 TILEPROP VBRK_X96Y94 DEVICE_ID 0 TILEPROP VBRK_X96Y94 FIRST_SITE_ID 6102 TILEPROP VBRK_X96Y94 GRID_POINT_X 96 TILEPROP VBRK_X96Y94 GRID_POINT_Y 62 TILEPROP VBRK_X96Y94 INDEX 7226 TILEPROP VBRK_X96Y94 INT_TILE_X 37 TILEPROP VBRK_X96Y94 INT_TILE_Y 59 TILEPROP VBRK_X96Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y94 IS_DCM_TILE 0 TILEPROP VBRK_X96Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y94 NAME VBRK_X96Y94 TILEPROP VBRK_X96Y94 NUM_ARCS 0 TILEPROP VBRK_X96Y94 NUM_SITES 0 TILEPROP VBRK_X96Y94 ROW 62 TILEPROP VBRK_X96Y94 SLR_REGION_ID 0 TILEPROP VBRK_X96Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y94 TILE_TYPE VBRK TILEPROP VBRK_X96Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y94 TILE_X 76934 TILEPROP VBRK_X96Y94 TILE_Y 50624 TILEPROP VBRK_X96Y94 TYPE VBRK TILEPROP VBRK_X96Y95 CLASS tile TILEPROP VBRK_X96Y95 COLUMN 96 TILEPROP VBRK_X96Y95 DEVICE_ID 0 TILEPROP VBRK_X96Y95 FIRST_SITE_ID 5987 TILEPROP VBRK_X96Y95 GRID_POINT_X 96 TILEPROP VBRK_X96Y95 GRID_POINT_Y 61 TILEPROP VBRK_X96Y95 INDEX 7111 TILEPROP VBRK_X96Y95 INT_TILE_X 37 TILEPROP VBRK_X96Y95 INT_TILE_Y 58 TILEPROP VBRK_X96Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y95 IS_DCM_TILE 0 TILEPROP VBRK_X96Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y95 NAME VBRK_X96Y95 TILEPROP VBRK_X96Y95 NUM_ARCS 0 TILEPROP VBRK_X96Y95 NUM_SITES 0 TILEPROP VBRK_X96Y95 ROW 61 TILEPROP VBRK_X96Y95 SLR_REGION_ID 0 TILEPROP VBRK_X96Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y95 TILE_TYPE VBRK TILEPROP VBRK_X96Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y95 TILE_X 76934 TILEPROP VBRK_X96Y95 TILE_Y 53824 TILEPROP VBRK_X96Y95 TYPE VBRK TILEPROP VBRK_X96Y96 CLASS tile TILEPROP VBRK_X96Y96 COLUMN 96 TILEPROP VBRK_X96Y96 DEVICE_ID 0 TILEPROP VBRK_X96Y96 FIRST_SITE_ID 5886 TILEPROP VBRK_X96Y96 GRID_POINT_X 96 TILEPROP VBRK_X96Y96 GRID_POINT_Y 60 TILEPROP VBRK_X96Y96 INDEX 6996 TILEPROP VBRK_X96Y96 INT_TILE_X 37 TILEPROP VBRK_X96Y96 INT_TILE_Y 57 TILEPROP VBRK_X96Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y96 IS_DCM_TILE 0 TILEPROP VBRK_X96Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y96 NAME VBRK_X96Y96 TILEPROP VBRK_X96Y96 NUM_ARCS 0 TILEPROP VBRK_X96Y96 NUM_SITES 0 TILEPROP VBRK_X96Y96 ROW 60 TILEPROP VBRK_X96Y96 SLR_REGION_ID 0 TILEPROP VBRK_X96Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y96 TILE_TYPE VBRK TILEPROP VBRK_X96Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y96 TILE_X 76934 TILEPROP VBRK_X96Y96 TILE_Y 57024 TILEPROP VBRK_X96Y96 TYPE VBRK TILEPROP VBRK_X96Y97 CLASS tile TILEPROP VBRK_X96Y97 COLUMN 96 TILEPROP VBRK_X96Y97 DEVICE_ID 0 TILEPROP VBRK_X96Y97 FIRST_SITE_ID 5783 TILEPROP VBRK_X96Y97 GRID_POINT_X 96 TILEPROP VBRK_X96Y97 GRID_POINT_Y 59 TILEPROP VBRK_X96Y97 INDEX 6881 TILEPROP VBRK_X96Y97 INT_TILE_X 37 TILEPROP VBRK_X96Y97 INT_TILE_Y 56 TILEPROP VBRK_X96Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y97 IS_DCM_TILE 0 TILEPROP VBRK_X96Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y97 NAME VBRK_X96Y97 TILEPROP VBRK_X96Y97 NUM_ARCS 0 TILEPROP VBRK_X96Y97 NUM_SITES 0 TILEPROP VBRK_X96Y97 ROW 59 TILEPROP VBRK_X96Y97 SLR_REGION_ID 0 TILEPROP VBRK_X96Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y97 TILE_TYPE VBRK TILEPROP VBRK_X96Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y97 TILE_X 76934 TILEPROP VBRK_X96Y97 TILE_Y 60224 TILEPROP VBRK_X96Y97 TYPE VBRK TILEPROP VBRK_X96Y98 CLASS tile TILEPROP VBRK_X96Y98 COLUMN 96 TILEPROP VBRK_X96Y98 DEVICE_ID 0 TILEPROP VBRK_X96Y98 FIRST_SITE_ID 5681 TILEPROP VBRK_X96Y98 GRID_POINT_X 96 TILEPROP VBRK_X96Y98 GRID_POINT_Y 58 TILEPROP VBRK_X96Y98 INDEX 6766 TILEPROP VBRK_X96Y98 INT_TILE_X 37 TILEPROP VBRK_X96Y98 INT_TILE_Y 55 TILEPROP VBRK_X96Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y98 IS_DCM_TILE 0 TILEPROP VBRK_X96Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y98 NAME VBRK_X96Y98 TILEPROP VBRK_X96Y98 NUM_ARCS 0 TILEPROP VBRK_X96Y98 NUM_SITES 0 TILEPROP VBRK_X96Y98 ROW 58 TILEPROP VBRK_X96Y98 SLR_REGION_ID 0 TILEPROP VBRK_X96Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y98 TILE_TYPE VBRK TILEPROP VBRK_X96Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y98 TILE_X 76934 TILEPROP VBRK_X96Y98 TILE_Y 63424 TILEPROP VBRK_X96Y98 TYPE VBRK TILEPROP VBRK_X96Y99 CLASS tile TILEPROP VBRK_X96Y99 COLUMN 96 TILEPROP VBRK_X96Y99 DEVICE_ID 0 TILEPROP VBRK_X96Y99 FIRST_SITE_ID 5581 TILEPROP VBRK_X96Y99 GRID_POINT_X 96 TILEPROP VBRK_X96Y99 GRID_POINT_Y 57 TILEPROP VBRK_X96Y99 INDEX 6651 TILEPROP VBRK_X96Y99 INT_TILE_X 37 TILEPROP VBRK_X96Y99 INT_TILE_Y 54 TILEPROP VBRK_X96Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y99 IS_DCM_TILE 0 TILEPROP VBRK_X96Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y99 NAME VBRK_X96Y99 TILEPROP VBRK_X96Y99 NUM_ARCS 0 TILEPROP VBRK_X96Y99 NUM_SITES 0 TILEPROP VBRK_X96Y99 ROW 57 TILEPROP VBRK_X96Y99 SLR_REGION_ID 0 TILEPROP VBRK_X96Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y99 TILE_TYPE VBRK TILEPROP VBRK_X96Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y99 TILE_X 76934 TILEPROP VBRK_X96Y99 TILE_Y 66624 TILEPROP VBRK_X96Y99 TYPE VBRK TILEPROP VBRK_X96Y100 CLASS tile TILEPROP VBRK_X96Y100 COLUMN 96 TILEPROP VBRK_X96Y100 DEVICE_ID 0 TILEPROP VBRK_X96Y100 FIRST_SITE_ID 5466 TILEPROP VBRK_X96Y100 GRID_POINT_X 96 TILEPROP VBRK_X96Y100 GRID_POINT_Y 56 TILEPROP VBRK_X96Y100 INDEX 6536 TILEPROP VBRK_X96Y100 INT_TILE_X 37 TILEPROP VBRK_X96Y100 INT_TILE_Y 53 TILEPROP VBRK_X96Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y100 IS_DCM_TILE 0 TILEPROP VBRK_X96Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y100 NAME VBRK_X96Y100 TILEPROP VBRK_X96Y100 NUM_ARCS 0 TILEPROP VBRK_X96Y100 NUM_SITES 0 TILEPROP VBRK_X96Y100 ROW 56 TILEPROP VBRK_X96Y100 SLR_REGION_ID 0 TILEPROP VBRK_X96Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y100 TILE_TYPE VBRK TILEPROP VBRK_X96Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y100 TILE_X 76934 TILEPROP VBRK_X96Y100 TILE_Y 69824 TILEPROP VBRK_X96Y100 TYPE VBRK TILEPROP VBRK_X96Y101 CLASS tile TILEPROP VBRK_X96Y101 COLUMN 96 TILEPROP VBRK_X96Y101 DEVICE_ID 0 TILEPROP VBRK_X96Y101 FIRST_SITE_ID 5366 TILEPROP VBRK_X96Y101 GRID_POINT_X 96 TILEPROP VBRK_X96Y101 GRID_POINT_Y 55 TILEPROP VBRK_X96Y101 INDEX 6421 TILEPROP VBRK_X96Y101 INT_TILE_X 37 TILEPROP VBRK_X96Y101 INT_TILE_Y 52 TILEPROP VBRK_X96Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y101 IS_DCM_TILE 0 TILEPROP VBRK_X96Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y101 NAME VBRK_X96Y101 TILEPROP VBRK_X96Y101 NUM_ARCS 0 TILEPROP VBRK_X96Y101 NUM_SITES 0 TILEPROP VBRK_X96Y101 ROW 55 TILEPROP VBRK_X96Y101 SLR_REGION_ID 0 TILEPROP VBRK_X96Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y101 TILE_TYPE VBRK TILEPROP VBRK_X96Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y101 TILE_X 76934 TILEPROP VBRK_X96Y101 TILE_Y 73024 TILEPROP VBRK_X96Y101 TYPE VBRK TILEPROP VBRK_X96Y102 CLASS tile TILEPROP VBRK_X96Y102 COLUMN 96 TILEPROP VBRK_X96Y102 DEVICE_ID 0 TILEPROP VBRK_X96Y102 FIRST_SITE_ID 5266 TILEPROP VBRK_X96Y102 GRID_POINT_X 96 TILEPROP VBRK_X96Y102 GRID_POINT_Y 54 TILEPROP VBRK_X96Y102 INDEX 6306 TILEPROP VBRK_X96Y102 INT_TILE_X 37 TILEPROP VBRK_X96Y102 INT_TILE_Y 51 TILEPROP VBRK_X96Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y102 IS_DCM_TILE 0 TILEPROP VBRK_X96Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y102 NAME VBRK_X96Y102 TILEPROP VBRK_X96Y102 NUM_ARCS 0 TILEPROP VBRK_X96Y102 NUM_SITES 0 TILEPROP VBRK_X96Y102 ROW 54 TILEPROP VBRK_X96Y102 SLR_REGION_ID 0 TILEPROP VBRK_X96Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y102 TILE_TYPE VBRK TILEPROP VBRK_X96Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y102 TILE_X 76934 TILEPROP VBRK_X96Y102 TILE_Y 76224 TILEPROP VBRK_X96Y102 TYPE VBRK TILEPROP VBRK_X96Y103 CLASS tile TILEPROP VBRK_X96Y103 COLUMN 96 TILEPROP VBRK_X96Y103 DEVICE_ID 0 TILEPROP VBRK_X96Y103 FIRST_SITE_ID 5170 TILEPROP VBRK_X96Y103 GRID_POINT_X 96 TILEPROP VBRK_X96Y103 GRID_POINT_Y 53 TILEPROP VBRK_X96Y103 INDEX 6191 TILEPROP VBRK_X96Y103 INT_TILE_X 37 TILEPROP VBRK_X96Y103 INT_TILE_Y 50 TILEPROP VBRK_X96Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X96Y103 IS_DCM_TILE 0 TILEPROP VBRK_X96Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X96Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X96Y103 NAME VBRK_X96Y103 TILEPROP VBRK_X96Y103 NUM_ARCS 0 TILEPROP VBRK_X96Y103 NUM_SITES 0 TILEPROP VBRK_X96Y103 ROW 53 TILEPROP VBRK_X96Y103 SLR_REGION_ID 0 TILEPROP VBRK_X96Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X96Y103 TILE_TYPE VBRK TILEPROP VBRK_X96Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X96Y103 TILE_X 76934 TILEPROP VBRK_X96Y103 TILE_Y 79424 TILEPROP VBRK_X96Y103 TYPE VBRK TILEPROP VBRK_X9Y1 CLASS tile TILEPROP VBRK_X9Y1 COLUMN 9 TILEPROP VBRK_X9Y1 DEVICE_ID 0 TILEPROP VBRK_X9Y1 FIRST_SITE_ID 15708 TILEPROP VBRK_X9Y1 GRID_POINT_X 9 TILEPROP VBRK_X9Y1 GRID_POINT_Y 155 TILEPROP VBRK_X9Y1 INDEX 17834 TILEPROP VBRK_X9Y1 INT_TILE_X 1 TILEPROP VBRK_X9Y1 INT_TILE_Y 149 TILEPROP VBRK_X9Y1 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y1 IS_DCM_TILE 0 TILEPROP VBRK_X9Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y1 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y1 NAME VBRK_X9Y1 TILEPROP VBRK_X9Y1 NUM_ARCS 0 TILEPROP VBRK_X9Y1 NUM_SITES 0 TILEPROP VBRK_X9Y1 ROW 155 TILEPROP VBRK_X9Y1 SLR_REGION_ID 0 TILEPROP VBRK_X9Y1 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y1 TILE_TYPE VBRK TILEPROP VBRK_X9Y1 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y1 TILE_X -87590 TILEPROP VBRK_X9Y1 TILE_Y -239672 TILEPROP VBRK_X9Y1 TYPE VBRK TILEPROP VBRK_X9Y2 CLASS tile TILEPROP VBRK_X9Y2 COLUMN 9 TILEPROP VBRK_X9Y2 DEVICE_ID 0 TILEPROP VBRK_X9Y2 FIRST_SITE_ID 15603 TILEPROP VBRK_X9Y2 GRID_POINT_X 9 TILEPROP VBRK_X9Y2 GRID_POINT_Y 154 TILEPROP VBRK_X9Y2 INDEX 17719 TILEPROP VBRK_X9Y2 INT_TILE_X 1 TILEPROP VBRK_X9Y2 INT_TILE_Y 148 TILEPROP VBRK_X9Y2 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y2 IS_DCM_TILE 0 TILEPROP VBRK_X9Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y2 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y2 NAME VBRK_X9Y2 TILEPROP VBRK_X9Y2 NUM_ARCS 0 TILEPROP VBRK_X9Y2 NUM_SITES 0 TILEPROP VBRK_X9Y2 ROW 154 TILEPROP VBRK_X9Y2 SLR_REGION_ID 0 TILEPROP VBRK_X9Y2 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y2 TILE_TYPE VBRK TILEPROP VBRK_X9Y2 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y2 TILE_X -87590 TILEPROP VBRK_X9Y2 TILE_Y -236472 TILEPROP VBRK_X9Y2 TYPE VBRK TILEPROP VBRK_X9Y3 CLASS tile TILEPROP VBRK_X9Y3 COLUMN 9 TILEPROP VBRK_X9Y3 DEVICE_ID 0 TILEPROP VBRK_X9Y3 FIRST_SITE_ID 15503 TILEPROP VBRK_X9Y3 GRID_POINT_X 9 TILEPROP VBRK_X9Y3 GRID_POINT_Y 153 TILEPROP VBRK_X9Y3 INDEX 17604 TILEPROP VBRK_X9Y3 INT_TILE_X 1 TILEPROP VBRK_X9Y3 INT_TILE_Y 147 TILEPROP VBRK_X9Y3 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y3 IS_DCM_TILE 0 TILEPROP VBRK_X9Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y3 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y3 NAME VBRK_X9Y3 TILEPROP VBRK_X9Y3 NUM_ARCS 0 TILEPROP VBRK_X9Y3 NUM_SITES 0 TILEPROP VBRK_X9Y3 ROW 153 TILEPROP VBRK_X9Y3 SLR_REGION_ID 0 TILEPROP VBRK_X9Y3 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y3 TILE_TYPE VBRK TILEPROP VBRK_X9Y3 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y3 TILE_X -87590 TILEPROP VBRK_X9Y3 TILE_Y -233272 TILEPROP VBRK_X9Y3 TYPE VBRK TILEPROP VBRK_X9Y4 CLASS tile TILEPROP VBRK_X9Y4 COLUMN 9 TILEPROP VBRK_X9Y4 DEVICE_ID 0 TILEPROP VBRK_X9Y4 FIRST_SITE_ID 15403 TILEPROP VBRK_X9Y4 GRID_POINT_X 9 TILEPROP VBRK_X9Y4 GRID_POINT_Y 152 TILEPROP VBRK_X9Y4 INDEX 17489 TILEPROP VBRK_X9Y4 INT_TILE_X 1 TILEPROP VBRK_X9Y4 INT_TILE_Y 146 TILEPROP VBRK_X9Y4 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y4 IS_DCM_TILE 0 TILEPROP VBRK_X9Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y4 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y4 NAME VBRK_X9Y4 TILEPROP VBRK_X9Y4 NUM_ARCS 0 TILEPROP VBRK_X9Y4 NUM_SITES 0 TILEPROP VBRK_X9Y4 ROW 152 TILEPROP VBRK_X9Y4 SLR_REGION_ID 0 TILEPROP VBRK_X9Y4 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y4 TILE_TYPE VBRK TILEPROP VBRK_X9Y4 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y4 TILE_X -87590 TILEPROP VBRK_X9Y4 TILE_Y -230072 TILEPROP VBRK_X9Y4 TYPE VBRK TILEPROP VBRK_X9Y5 CLASS tile TILEPROP VBRK_X9Y5 COLUMN 9 TILEPROP VBRK_X9Y5 DEVICE_ID 0 TILEPROP VBRK_X9Y5 FIRST_SITE_ID 15303 TILEPROP VBRK_X9Y5 GRID_POINT_X 9 TILEPROP VBRK_X9Y5 GRID_POINT_Y 151 TILEPROP VBRK_X9Y5 INDEX 17374 TILEPROP VBRK_X9Y5 INT_TILE_X 1 TILEPROP VBRK_X9Y5 INT_TILE_Y 145 TILEPROP VBRK_X9Y5 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y5 IS_DCM_TILE 0 TILEPROP VBRK_X9Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y5 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y5 NAME VBRK_X9Y5 TILEPROP VBRK_X9Y5 NUM_ARCS 0 TILEPROP VBRK_X9Y5 NUM_SITES 0 TILEPROP VBRK_X9Y5 ROW 151 TILEPROP VBRK_X9Y5 SLR_REGION_ID 0 TILEPROP VBRK_X9Y5 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y5 TILE_TYPE VBRK TILEPROP VBRK_X9Y5 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y5 TILE_X -87590 TILEPROP VBRK_X9Y5 TILE_Y -226872 TILEPROP VBRK_X9Y5 TYPE VBRK TILEPROP VBRK_X9Y6 CLASS tile TILEPROP VBRK_X9Y6 COLUMN 9 TILEPROP VBRK_X9Y6 DEVICE_ID 0 TILEPROP VBRK_X9Y6 FIRST_SITE_ID 15188 TILEPROP VBRK_X9Y6 GRID_POINT_X 9 TILEPROP VBRK_X9Y6 GRID_POINT_Y 150 TILEPROP VBRK_X9Y6 INDEX 17259 TILEPROP VBRK_X9Y6 INT_TILE_X 1 TILEPROP VBRK_X9Y6 INT_TILE_Y 144 TILEPROP VBRK_X9Y6 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y6 IS_DCM_TILE 0 TILEPROP VBRK_X9Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y6 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y6 NAME VBRK_X9Y6 TILEPROP VBRK_X9Y6 NUM_ARCS 0 TILEPROP VBRK_X9Y6 NUM_SITES 0 TILEPROP VBRK_X9Y6 ROW 150 TILEPROP VBRK_X9Y6 SLR_REGION_ID 0 TILEPROP VBRK_X9Y6 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y6 TILE_TYPE VBRK TILEPROP VBRK_X9Y6 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y6 TILE_X -87590 TILEPROP VBRK_X9Y6 TILE_Y -223672 TILEPROP VBRK_X9Y6 TYPE VBRK TILEPROP VBRK_X9Y7 CLASS tile TILEPROP VBRK_X9Y7 COLUMN 9 TILEPROP VBRK_X9Y7 DEVICE_ID 0 TILEPROP VBRK_X9Y7 FIRST_SITE_ID 15088 TILEPROP VBRK_X9Y7 GRID_POINT_X 9 TILEPROP VBRK_X9Y7 GRID_POINT_Y 149 TILEPROP VBRK_X9Y7 INDEX 17144 TILEPROP VBRK_X9Y7 INT_TILE_X 1 TILEPROP VBRK_X9Y7 INT_TILE_Y 143 TILEPROP VBRK_X9Y7 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y7 IS_DCM_TILE 0 TILEPROP VBRK_X9Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y7 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y7 NAME VBRK_X9Y7 TILEPROP VBRK_X9Y7 NUM_ARCS 0 TILEPROP VBRK_X9Y7 NUM_SITES 0 TILEPROP VBRK_X9Y7 ROW 149 TILEPROP VBRK_X9Y7 SLR_REGION_ID 0 TILEPROP VBRK_X9Y7 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y7 TILE_TYPE VBRK TILEPROP VBRK_X9Y7 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y7 TILE_X -87590 TILEPROP VBRK_X9Y7 TILE_Y -220472 TILEPROP VBRK_X9Y7 TYPE VBRK TILEPROP VBRK_X9Y8 CLASS tile TILEPROP VBRK_X9Y8 COLUMN 9 TILEPROP VBRK_X9Y8 DEVICE_ID 0 TILEPROP VBRK_X9Y8 FIRST_SITE_ID 14986 TILEPROP VBRK_X9Y8 GRID_POINT_X 9 TILEPROP VBRK_X9Y8 GRID_POINT_Y 148 TILEPROP VBRK_X9Y8 INDEX 17029 TILEPROP VBRK_X9Y8 INT_TILE_X 1 TILEPROP VBRK_X9Y8 INT_TILE_Y 142 TILEPROP VBRK_X9Y8 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y8 IS_DCM_TILE 0 TILEPROP VBRK_X9Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y8 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y8 NAME VBRK_X9Y8 TILEPROP VBRK_X9Y8 NUM_ARCS 0 TILEPROP VBRK_X9Y8 NUM_SITES 0 TILEPROP VBRK_X9Y8 ROW 148 TILEPROP VBRK_X9Y8 SLR_REGION_ID 0 TILEPROP VBRK_X9Y8 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y8 TILE_TYPE VBRK TILEPROP VBRK_X9Y8 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y8 TILE_X -87590 TILEPROP VBRK_X9Y8 TILE_Y -217272 TILEPROP VBRK_X9Y8 TYPE VBRK TILEPROP VBRK_X9Y9 CLASS tile TILEPROP VBRK_X9Y9 COLUMN 9 TILEPROP VBRK_X9Y9 DEVICE_ID 0 TILEPROP VBRK_X9Y9 FIRST_SITE_ID 14883 TILEPROP VBRK_X9Y9 GRID_POINT_X 9 TILEPROP VBRK_X9Y9 GRID_POINT_Y 147 TILEPROP VBRK_X9Y9 INDEX 16914 TILEPROP VBRK_X9Y9 INT_TILE_X 1 TILEPROP VBRK_X9Y9 INT_TILE_Y 141 TILEPROP VBRK_X9Y9 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y9 IS_DCM_TILE 0 TILEPROP VBRK_X9Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y9 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y9 NAME VBRK_X9Y9 TILEPROP VBRK_X9Y9 NUM_ARCS 0 TILEPROP VBRK_X9Y9 NUM_SITES 0 TILEPROP VBRK_X9Y9 ROW 147 TILEPROP VBRK_X9Y9 SLR_REGION_ID 0 TILEPROP VBRK_X9Y9 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y9 TILE_TYPE VBRK TILEPROP VBRK_X9Y9 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y9 TILE_X -87590 TILEPROP VBRK_X9Y9 TILE_Y -214072 TILEPROP VBRK_X9Y9 TYPE VBRK TILEPROP VBRK_X9Y10 CLASS tile TILEPROP VBRK_X9Y10 COLUMN 9 TILEPROP VBRK_X9Y10 DEVICE_ID 0 TILEPROP VBRK_X9Y10 FIRST_SITE_ID 14782 TILEPROP VBRK_X9Y10 GRID_POINT_X 9 TILEPROP VBRK_X9Y10 GRID_POINT_Y 146 TILEPROP VBRK_X9Y10 INDEX 16799 TILEPROP VBRK_X9Y10 INT_TILE_X 1 TILEPROP VBRK_X9Y10 INT_TILE_Y 140 TILEPROP VBRK_X9Y10 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y10 IS_DCM_TILE 0 TILEPROP VBRK_X9Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y10 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y10 NAME VBRK_X9Y10 TILEPROP VBRK_X9Y10 NUM_ARCS 0 TILEPROP VBRK_X9Y10 NUM_SITES 0 TILEPROP VBRK_X9Y10 ROW 146 TILEPROP VBRK_X9Y10 SLR_REGION_ID 0 TILEPROP VBRK_X9Y10 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y10 TILE_TYPE VBRK TILEPROP VBRK_X9Y10 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y10 TILE_X -87590 TILEPROP VBRK_X9Y10 TILE_Y -210872 TILEPROP VBRK_X9Y10 TYPE VBRK TILEPROP VBRK_X9Y11 CLASS tile TILEPROP VBRK_X9Y11 COLUMN 9 TILEPROP VBRK_X9Y11 DEVICE_ID 0 TILEPROP VBRK_X9Y11 FIRST_SITE_ID 14667 TILEPROP VBRK_X9Y11 GRID_POINT_X 9 TILEPROP VBRK_X9Y11 GRID_POINT_Y 145 TILEPROP VBRK_X9Y11 INDEX 16684 TILEPROP VBRK_X9Y11 INT_TILE_X 1 TILEPROP VBRK_X9Y11 INT_TILE_Y 139 TILEPROP VBRK_X9Y11 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y11 IS_DCM_TILE 0 TILEPROP VBRK_X9Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y11 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y11 NAME VBRK_X9Y11 TILEPROP VBRK_X9Y11 NUM_ARCS 0 TILEPROP VBRK_X9Y11 NUM_SITES 0 TILEPROP VBRK_X9Y11 ROW 145 TILEPROP VBRK_X9Y11 SLR_REGION_ID 0 TILEPROP VBRK_X9Y11 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y11 TILE_TYPE VBRK TILEPROP VBRK_X9Y11 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y11 TILE_X -87590 TILEPROP VBRK_X9Y11 TILE_Y -207672 TILEPROP VBRK_X9Y11 TYPE VBRK TILEPROP VBRK_X9Y12 CLASS tile TILEPROP VBRK_X9Y12 COLUMN 9 TILEPROP VBRK_X9Y12 DEVICE_ID 0 TILEPROP VBRK_X9Y12 FIRST_SITE_ID 14567 TILEPROP VBRK_X9Y12 GRID_POINT_X 9 TILEPROP VBRK_X9Y12 GRID_POINT_Y 144 TILEPROP VBRK_X9Y12 INDEX 16569 TILEPROP VBRK_X9Y12 INT_TILE_X 1 TILEPROP VBRK_X9Y12 INT_TILE_Y 138 TILEPROP VBRK_X9Y12 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y12 IS_DCM_TILE 0 TILEPROP VBRK_X9Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y12 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y12 NAME VBRK_X9Y12 TILEPROP VBRK_X9Y12 NUM_ARCS 0 TILEPROP VBRK_X9Y12 NUM_SITES 0 TILEPROP VBRK_X9Y12 ROW 144 TILEPROP VBRK_X9Y12 SLR_REGION_ID 0 TILEPROP VBRK_X9Y12 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y12 TILE_TYPE VBRK TILEPROP VBRK_X9Y12 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y12 TILE_X -87590 TILEPROP VBRK_X9Y12 TILE_Y -204472 TILEPROP VBRK_X9Y12 TYPE VBRK TILEPROP VBRK_X9Y13 CLASS tile TILEPROP VBRK_X9Y13 COLUMN 9 TILEPROP VBRK_X9Y13 DEVICE_ID 0 TILEPROP VBRK_X9Y13 FIRST_SITE_ID 14435 TILEPROP VBRK_X9Y13 GRID_POINT_X 9 TILEPROP VBRK_X9Y13 GRID_POINT_Y 143 TILEPROP VBRK_X9Y13 INDEX 16454 TILEPROP VBRK_X9Y13 INT_TILE_X 1 TILEPROP VBRK_X9Y13 INT_TILE_Y 137 TILEPROP VBRK_X9Y13 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y13 IS_DCM_TILE 0 TILEPROP VBRK_X9Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y13 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y13 NAME VBRK_X9Y13 TILEPROP VBRK_X9Y13 NUM_ARCS 0 TILEPROP VBRK_X9Y13 NUM_SITES 0 TILEPROP VBRK_X9Y13 ROW 143 TILEPROP VBRK_X9Y13 SLR_REGION_ID 0 TILEPROP VBRK_X9Y13 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y13 TILE_TYPE VBRK TILEPROP VBRK_X9Y13 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y13 TILE_X -87590 TILEPROP VBRK_X9Y13 TILE_Y -201272 TILEPROP VBRK_X9Y13 TYPE VBRK TILEPROP VBRK_X9Y14 CLASS tile TILEPROP VBRK_X9Y14 COLUMN 9 TILEPROP VBRK_X9Y14 DEVICE_ID 0 TILEPROP VBRK_X9Y14 FIRST_SITE_ID 14335 TILEPROP VBRK_X9Y14 GRID_POINT_X 9 TILEPROP VBRK_X9Y14 GRID_POINT_Y 142 TILEPROP VBRK_X9Y14 INDEX 16339 TILEPROP VBRK_X9Y14 INT_TILE_X 1 TILEPROP VBRK_X9Y14 INT_TILE_Y 136 TILEPROP VBRK_X9Y14 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y14 IS_DCM_TILE 0 TILEPROP VBRK_X9Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y14 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y14 NAME VBRK_X9Y14 TILEPROP VBRK_X9Y14 NUM_ARCS 0 TILEPROP VBRK_X9Y14 NUM_SITES 0 TILEPROP VBRK_X9Y14 ROW 142 TILEPROP VBRK_X9Y14 SLR_REGION_ID 0 TILEPROP VBRK_X9Y14 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y14 TILE_TYPE VBRK TILEPROP VBRK_X9Y14 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y14 TILE_X -87590 TILEPROP VBRK_X9Y14 TILE_Y -198072 TILEPROP VBRK_X9Y14 TYPE VBRK TILEPROP VBRK_X9Y15 CLASS tile TILEPROP VBRK_X9Y15 COLUMN 9 TILEPROP VBRK_X9Y15 DEVICE_ID 0 TILEPROP VBRK_X9Y15 FIRST_SITE_ID 14235 TILEPROP VBRK_X9Y15 GRID_POINT_X 9 TILEPROP VBRK_X9Y15 GRID_POINT_Y 141 TILEPROP VBRK_X9Y15 INDEX 16224 TILEPROP VBRK_X9Y15 INT_TILE_X 1 TILEPROP VBRK_X9Y15 INT_TILE_Y 135 TILEPROP VBRK_X9Y15 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y15 IS_DCM_TILE 0 TILEPROP VBRK_X9Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y15 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y15 NAME VBRK_X9Y15 TILEPROP VBRK_X9Y15 NUM_ARCS 0 TILEPROP VBRK_X9Y15 NUM_SITES 0 TILEPROP VBRK_X9Y15 ROW 141 TILEPROP VBRK_X9Y15 SLR_REGION_ID 0 TILEPROP VBRK_X9Y15 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y15 TILE_TYPE VBRK TILEPROP VBRK_X9Y15 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y15 TILE_X -87590 TILEPROP VBRK_X9Y15 TILE_Y -194872 TILEPROP VBRK_X9Y15 TYPE VBRK TILEPROP VBRK_X9Y16 CLASS tile TILEPROP VBRK_X9Y16 COLUMN 9 TILEPROP VBRK_X9Y16 DEVICE_ID 0 TILEPROP VBRK_X9Y16 FIRST_SITE_ID 14120 TILEPROP VBRK_X9Y16 GRID_POINT_X 9 TILEPROP VBRK_X9Y16 GRID_POINT_Y 140 TILEPROP VBRK_X9Y16 INDEX 16109 TILEPROP VBRK_X9Y16 INT_TILE_X 1 TILEPROP VBRK_X9Y16 INT_TILE_Y 134 TILEPROP VBRK_X9Y16 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y16 IS_DCM_TILE 0 TILEPROP VBRK_X9Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y16 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y16 NAME VBRK_X9Y16 TILEPROP VBRK_X9Y16 NUM_ARCS 0 TILEPROP VBRK_X9Y16 NUM_SITES 0 TILEPROP VBRK_X9Y16 ROW 140 TILEPROP VBRK_X9Y16 SLR_REGION_ID 0 TILEPROP VBRK_X9Y16 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y16 TILE_TYPE VBRK TILEPROP VBRK_X9Y16 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y16 TILE_X -87590 TILEPROP VBRK_X9Y16 TILE_Y -191672 TILEPROP VBRK_X9Y16 TYPE VBRK TILEPROP VBRK_X9Y17 CLASS tile TILEPROP VBRK_X9Y17 COLUMN 9 TILEPROP VBRK_X9Y17 DEVICE_ID 0 TILEPROP VBRK_X9Y17 FIRST_SITE_ID 14020 TILEPROP VBRK_X9Y17 GRID_POINT_X 9 TILEPROP VBRK_X9Y17 GRID_POINT_Y 139 TILEPROP VBRK_X9Y17 INDEX 15994 TILEPROP VBRK_X9Y17 INT_TILE_X 1 TILEPROP VBRK_X9Y17 INT_TILE_Y 133 TILEPROP VBRK_X9Y17 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y17 IS_DCM_TILE 0 TILEPROP VBRK_X9Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y17 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y17 NAME VBRK_X9Y17 TILEPROP VBRK_X9Y17 NUM_ARCS 0 TILEPROP VBRK_X9Y17 NUM_SITES 0 TILEPROP VBRK_X9Y17 ROW 139 TILEPROP VBRK_X9Y17 SLR_REGION_ID 0 TILEPROP VBRK_X9Y17 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y17 TILE_TYPE VBRK TILEPROP VBRK_X9Y17 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y17 TILE_X -87590 TILEPROP VBRK_X9Y17 TILE_Y -188472 TILEPROP VBRK_X9Y17 TYPE VBRK TILEPROP VBRK_X9Y18 CLASS tile TILEPROP VBRK_X9Y18 COLUMN 9 TILEPROP VBRK_X9Y18 DEVICE_ID 0 TILEPROP VBRK_X9Y18 FIRST_SITE_ID 13915 TILEPROP VBRK_X9Y18 GRID_POINT_X 9 TILEPROP VBRK_X9Y18 GRID_POINT_Y 138 TILEPROP VBRK_X9Y18 INDEX 15879 TILEPROP VBRK_X9Y18 INT_TILE_X 1 TILEPROP VBRK_X9Y18 INT_TILE_Y 132 TILEPROP VBRK_X9Y18 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y18 IS_DCM_TILE 0 TILEPROP VBRK_X9Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y18 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y18 NAME VBRK_X9Y18 TILEPROP VBRK_X9Y18 NUM_ARCS 0 TILEPROP VBRK_X9Y18 NUM_SITES 0 TILEPROP VBRK_X9Y18 ROW 138 TILEPROP VBRK_X9Y18 SLR_REGION_ID 0 TILEPROP VBRK_X9Y18 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y18 TILE_TYPE VBRK TILEPROP VBRK_X9Y18 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y18 TILE_X -87590 TILEPROP VBRK_X9Y18 TILE_Y -185272 TILEPROP VBRK_X9Y18 TYPE VBRK TILEPROP VBRK_X9Y19 CLASS tile TILEPROP VBRK_X9Y19 COLUMN 9 TILEPROP VBRK_X9Y19 DEVICE_ID 0 TILEPROP VBRK_X9Y19 FIRST_SITE_ID 13811 TILEPROP VBRK_X9Y19 GRID_POINT_X 9 TILEPROP VBRK_X9Y19 GRID_POINT_Y 137 TILEPROP VBRK_X9Y19 INDEX 15764 TILEPROP VBRK_X9Y19 INT_TILE_X 1 TILEPROP VBRK_X9Y19 INT_TILE_Y 131 TILEPROP VBRK_X9Y19 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y19 IS_DCM_TILE 0 TILEPROP VBRK_X9Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y19 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y19 NAME VBRK_X9Y19 TILEPROP VBRK_X9Y19 NUM_ARCS 0 TILEPROP VBRK_X9Y19 NUM_SITES 0 TILEPROP VBRK_X9Y19 ROW 137 TILEPROP VBRK_X9Y19 SLR_REGION_ID 0 TILEPROP VBRK_X9Y19 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y19 TILE_TYPE VBRK TILEPROP VBRK_X9Y19 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y19 TILE_X -87590 TILEPROP VBRK_X9Y19 TILE_Y -182072 TILEPROP VBRK_X9Y19 TYPE VBRK TILEPROP VBRK_X9Y20 CLASS tile TILEPROP VBRK_X9Y20 COLUMN 9 TILEPROP VBRK_X9Y20 DEVICE_ID 0 TILEPROP VBRK_X9Y20 FIRST_SITE_ID 13709 TILEPROP VBRK_X9Y20 GRID_POINT_X 9 TILEPROP VBRK_X9Y20 GRID_POINT_Y 136 TILEPROP VBRK_X9Y20 INDEX 15649 TILEPROP VBRK_X9Y20 INT_TILE_X 1 TILEPROP VBRK_X9Y20 INT_TILE_Y 130 TILEPROP VBRK_X9Y20 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y20 IS_DCM_TILE 0 TILEPROP VBRK_X9Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y20 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y20 NAME VBRK_X9Y20 TILEPROP VBRK_X9Y20 NUM_ARCS 0 TILEPROP VBRK_X9Y20 NUM_SITES 0 TILEPROP VBRK_X9Y20 ROW 136 TILEPROP VBRK_X9Y20 SLR_REGION_ID 0 TILEPROP VBRK_X9Y20 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y20 TILE_TYPE VBRK TILEPROP VBRK_X9Y20 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y20 TILE_X -87590 TILEPROP VBRK_X9Y20 TILE_Y -178872 TILEPROP VBRK_X9Y20 TYPE VBRK TILEPROP VBRK_X9Y21 CLASS tile TILEPROP VBRK_X9Y21 COLUMN 9 TILEPROP VBRK_X9Y21 DEVICE_ID 0 TILEPROP VBRK_X9Y21 FIRST_SITE_ID 13592 TILEPROP VBRK_X9Y21 GRID_POINT_X 9 TILEPROP VBRK_X9Y21 GRID_POINT_Y 135 TILEPROP VBRK_X9Y21 INDEX 15534 TILEPROP VBRK_X9Y21 INT_TILE_X 1 TILEPROP VBRK_X9Y21 INT_TILE_Y 129 TILEPROP VBRK_X9Y21 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y21 IS_DCM_TILE 0 TILEPROP VBRK_X9Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y21 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y21 NAME VBRK_X9Y21 TILEPROP VBRK_X9Y21 NUM_ARCS 0 TILEPROP VBRK_X9Y21 NUM_SITES 0 TILEPROP VBRK_X9Y21 ROW 135 TILEPROP VBRK_X9Y21 SLR_REGION_ID 0 TILEPROP VBRK_X9Y21 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y21 TILE_TYPE VBRK TILEPROP VBRK_X9Y21 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y21 TILE_X -87590 TILEPROP VBRK_X9Y21 TILE_Y -175672 TILEPROP VBRK_X9Y21 TYPE VBRK TILEPROP VBRK_X9Y22 CLASS tile TILEPROP VBRK_X9Y22 COLUMN 9 TILEPROP VBRK_X9Y22 DEVICE_ID 0 TILEPROP VBRK_X9Y22 FIRST_SITE_ID 13492 TILEPROP VBRK_X9Y22 GRID_POINT_X 9 TILEPROP VBRK_X9Y22 GRID_POINT_Y 134 TILEPROP VBRK_X9Y22 INDEX 15419 TILEPROP VBRK_X9Y22 INT_TILE_X 1 TILEPROP VBRK_X9Y22 INT_TILE_Y 128 TILEPROP VBRK_X9Y22 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y22 IS_DCM_TILE 0 TILEPROP VBRK_X9Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y22 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y22 NAME VBRK_X9Y22 TILEPROP VBRK_X9Y22 NUM_ARCS 0 TILEPROP VBRK_X9Y22 NUM_SITES 0 TILEPROP VBRK_X9Y22 ROW 134 TILEPROP VBRK_X9Y22 SLR_REGION_ID 0 TILEPROP VBRK_X9Y22 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y22 TILE_TYPE VBRK TILEPROP VBRK_X9Y22 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y22 TILE_X -87590 TILEPROP VBRK_X9Y22 TILE_Y -172472 TILEPROP VBRK_X9Y22 TYPE VBRK TILEPROP VBRK_X9Y23 CLASS tile TILEPROP VBRK_X9Y23 COLUMN 9 TILEPROP VBRK_X9Y23 DEVICE_ID 0 TILEPROP VBRK_X9Y23 FIRST_SITE_ID 13392 TILEPROP VBRK_X9Y23 GRID_POINT_X 9 TILEPROP VBRK_X9Y23 GRID_POINT_Y 133 TILEPROP VBRK_X9Y23 INDEX 15304 TILEPROP VBRK_X9Y23 INT_TILE_X 1 TILEPROP VBRK_X9Y23 INT_TILE_Y 127 TILEPROP VBRK_X9Y23 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y23 IS_DCM_TILE 0 TILEPROP VBRK_X9Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y23 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y23 NAME VBRK_X9Y23 TILEPROP VBRK_X9Y23 NUM_ARCS 0 TILEPROP VBRK_X9Y23 NUM_SITES 0 TILEPROP VBRK_X9Y23 ROW 133 TILEPROP VBRK_X9Y23 SLR_REGION_ID 0 TILEPROP VBRK_X9Y23 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y23 TILE_TYPE VBRK TILEPROP VBRK_X9Y23 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y23 TILE_X -87590 TILEPROP VBRK_X9Y23 TILE_Y -169272 TILEPROP VBRK_X9Y23 TYPE VBRK TILEPROP VBRK_X9Y24 CLASS tile TILEPROP VBRK_X9Y24 COLUMN 9 TILEPROP VBRK_X9Y24 DEVICE_ID 0 TILEPROP VBRK_X9Y24 FIRST_SITE_ID 13292 TILEPROP VBRK_X9Y24 GRID_POINT_X 9 TILEPROP VBRK_X9Y24 GRID_POINT_Y 132 TILEPROP VBRK_X9Y24 INDEX 15189 TILEPROP VBRK_X9Y24 INT_TILE_X 1 TILEPROP VBRK_X9Y24 INT_TILE_Y 126 TILEPROP VBRK_X9Y24 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y24 IS_DCM_TILE 0 TILEPROP VBRK_X9Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y24 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y24 NAME VBRK_X9Y24 TILEPROP VBRK_X9Y24 NUM_ARCS 0 TILEPROP VBRK_X9Y24 NUM_SITES 0 TILEPROP VBRK_X9Y24 ROW 132 TILEPROP VBRK_X9Y24 SLR_REGION_ID 0 TILEPROP VBRK_X9Y24 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y24 TILE_TYPE VBRK TILEPROP VBRK_X9Y24 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y24 TILE_X -87590 TILEPROP VBRK_X9Y24 TILE_Y -166072 TILEPROP VBRK_X9Y24 TYPE VBRK TILEPROP VBRK_X9Y25 CLASS tile TILEPROP VBRK_X9Y25 COLUMN 9 TILEPROP VBRK_X9Y25 DEVICE_ID 0 TILEPROP VBRK_X9Y25 FIRST_SITE_ID 13192 TILEPROP VBRK_X9Y25 GRID_POINT_X 9 TILEPROP VBRK_X9Y25 GRID_POINT_Y 131 TILEPROP VBRK_X9Y25 INDEX 15074 TILEPROP VBRK_X9Y25 INT_TILE_X 1 TILEPROP VBRK_X9Y25 INT_TILE_Y 125 TILEPROP VBRK_X9Y25 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y25 IS_DCM_TILE 0 TILEPROP VBRK_X9Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y25 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y25 NAME VBRK_X9Y25 TILEPROP VBRK_X9Y25 NUM_ARCS 0 TILEPROP VBRK_X9Y25 NUM_SITES 0 TILEPROP VBRK_X9Y25 ROW 131 TILEPROP VBRK_X9Y25 SLR_REGION_ID 0 TILEPROP VBRK_X9Y25 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y25 TILE_TYPE VBRK TILEPROP VBRK_X9Y25 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y25 TILE_X -87590 TILEPROP VBRK_X9Y25 TILE_Y -162872 TILEPROP VBRK_X9Y25 TYPE VBRK TILEPROP VBRK_X9Y27 CLASS tile TILEPROP VBRK_X9Y27 COLUMN 9 TILEPROP VBRK_X9Y27 DEVICE_ID 0 TILEPROP VBRK_X9Y27 FIRST_SITE_ID 12994 TILEPROP VBRK_X9Y27 GRID_POINT_X 9 TILEPROP VBRK_X9Y27 GRID_POINT_Y 129 TILEPROP VBRK_X9Y27 INDEX 14844 TILEPROP VBRK_X9Y27 INT_TILE_X 1 TILEPROP VBRK_X9Y27 INT_TILE_Y 124 TILEPROP VBRK_X9Y27 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y27 IS_DCM_TILE 0 TILEPROP VBRK_X9Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y27 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y27 NAME VBRK_X9Y27 TILEPROP VBRK_X9Y27 NUM_ARCS 0 TILEPROP VBRK_X9Y27 NUM_SITES 0 TILEPROP VBRK_X9Y27 ROW 129 TILEPROP VBRK_X9Y27 SLR_REGION_ID 0 TILEPROP VBRK_X9Y27 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y27 TILE_TYPE VBRK TILEPROP VBRK_X9Y27 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y27 TILE_X -87590 TILEPROP VBRK_X9Y27 TILE_Y -158648 TILEPROP VBRK_X9Y27 TYPE VBRK TILEPROP VBRK_X9Y28 CLASS tile TILEPROP VBRK_X9Y28 COLUMN 9 TILEPROP VBRK_X9Y28 DEVICE_ID 0 TILEPROP VBRK_X9Y28 FIRST_SITE_ID 12894 TILEPROP VBRK_X9Y28 GRID_POINT_X 9 TILEPROP VBRK_X9Y28 GRID_POINT_Y 128 TILEPROP VBRK_X9Y28 INDEX 14729 TILEPROP VBRK_X9Y28 INT_TILE_X 1 TILEPROP VBRK_X9Y28 INT_TILE_Y 123 TILEPROP VBRK_X9Y28 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y28 IS_DCM_TILE 0 TILEPROP VBRK_X9Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y28 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y28 NAME VBRK_X9Y28 TILEPROP VBRK_X9Y28 NUM_ARCS 0 TILEPROP VBRK_X9Y28 NUM_SITES 0 TILEPROP VBRK_X9Y28 ROW 128 TILEPROP VBRK_X9Y28 SLR_REGION_ID 0 TILEPROP VBRK_X9Y28 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y28 TILE_TYPE VBRK TILEPROP VBRK_X9Y28 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y28 TILE_X -87590 TILEPROP VBRK_X9Y28 TILE_Y -155448 TILEPROP VBRK_X9Y28 TYPE VBRK TILEPROP VBRK_X9Y29 CLASS tile TILEPROP VBRK_X9Y29 COLUMN 9 TILEPROP VBRK_X9Y29 DEVICE_ID 0 TILEPROP VBRK_X9Y29 FIRST_SITE_ID 12794 TILEPROP VBRK_X9Y29 GRID_POINT_X 9 TILEPROP VBRK_X9Y29 GRID_POINT_Y 127 TILEPROP VBRK_X9Y29 INDEX 14614 TILEPROP VBRK_X9Y29 INT_TILE_X 1 TILEPROP VBRK_X9Y29 INT_TILE_Y 122 TILEPROP VBRK_X9Y29 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y29 IS_DCM_TILE 0 TILEPROP VBRK_X9Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y29 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y29 NAME VBRK_X9Y29 TILEPROP VBRK_X9Y29 NUM_ARCS 0 TILEPROP VBRK_X9Y29 NUM_SITES 0 TILEPROP VBRK_X9Y29 ROW 127 TILEPROP VBRK_X9Y29 SLR_REGION_ID 0 TILEPROP VBRK_X9Y29 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y29 TILE_TYPE VBRK TILEPROP VBRK_X9Y29 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y29 TILE_X -87590 TILEPROP VBRK_X9Y29 TILE_Y -152248 TILEPROP VBRK_X9Y29 TYPE VBRK TILEPROP VBRK_X9Y30 CLASS tile TILEPROP VBRK_X9Y30 COLUMN 9 TILEPROP VBRK_X9Y30 DEVICE_ID 0 TILEPROP VBRK_X9Y30 FIRST_SITE_ID 12694 TILEPROP VBRK_X9Y30 GRID_POINT_X 9 TILEPROP VBRK_X9Y30 GRID_POINT_Y 126 TILEPROP VBRK_X9Y30 INDEX 14499 TILEPROP VBRK_X9Y30 INT_TILE_X 1 TILEPROP VBRK_X9Y30 INT_TILE_Y 121 TILEPROP VBRK_X9Y30 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y30 IS_DCM_TILE 0 TILEPROP VBRK_X9Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y30 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y30 NAME VBRK_X9Y30 TILEPROP VBRK_X9Y30 NUM_ARCS 0 TILEPROP VBRK_X9Y30 NUM_SITES 0 TILEPROP VBRK_X9Y30 ROW 126 TILEPROP VBRK_X9Y30 SLR_REGION_ID 0 TILEPROP VBRK_X9Y30 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y30 TILE_TYPE VBRK TILEPROP VBRK_X9Y30 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y30 TILE_X -87590 TILEPROP VBRK_X9Y30 TILE_Y -149048 TILEPROP VBRK_X9Y30 TYPE VBRK TILEPROP VBRK_X9Y31 CLASS tile TILEPROP VBRK_X9Y31 COLUMN 9 TILEPROP VBRK_X9Y31 DEVICE_ID 0 TILEPROP VBRK_X9Y31 FIRST_SITE_ID 12588 TILEPROP VBRK_X9Y31 GRID_POINT_X 9 TILEPROP VBRK_X9Y31 GRID_POINT_Y 125 TILEPROP VBRK_X9Y31 INDEX 14384 TILEPROP VBRK_X9Y31 INT_TILE_X 1 TILEPROP VBRK_X9Y31 INT_TILE_Y 120 TILEPROP VBRK_X9Y31 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y31 IS_DCM_TILE 0 TILEPROP VBRK_X9Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y31 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y31 NAME VBRK_X9Y31 TILEPROP VBRK_X9Y31 NUM_ARCS 0 TILEPROP VBRK_X9Y31 NUM_SITES 0 TILEPROP VBRK_X9Y31 ROW 125 TILEPROP VBRK_X9Y31 SLR_REGION_ID 0 TILEPROP VBRK_X9Y31 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y31 TILE_TYPE VBRK TILEPROP VBRK_X9Y31 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y31 TILE_X -87590 TILEPROP VBRK_X9Y31 TILE_Y -145848 TILEPROP VBRK_X9Y31 TYPE VBRK TILEPROP VBRK_X9Y32 CLASS tile TILEPROP VBRK_X9Y32 COLUMN 9 TILEPROP VBRK_X9Y32 DEVICE_ID 0 TILEPROP VBRK_X9Y32 FIRST_SITE_ID 12454 TILEPROP VBRK_X9Y32 GRID_POINT_X 9 TILEPROP VBRK_X9Y32 GRID_POINT_Y 124 TILEPROP VBRK_X9Y32 INDEX 14269 TILEPROP VBRK_X9Y32 INT_TILE_X 1 TILEPROP VBRK_X9Y32 INT_TILE_Y 119 TILEPROP VBRK_X9Y32 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y32 IS_DCM_TILE 0 TILEPROP VBRK_X9Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y32 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y32 NAME VBRK_X9Y32 TILEPROP VBRK_X9Y32 NUM_ARCS 0 TILEPROP VBRK_X9Y32 NUM_SITES 0 TILEPROP VBRK_X9Y32 ROW 124 TILEPROP VBRK_X9Y32 SLR_REGION_ID 0 TILEPROP VBRK_X9Y32 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y32 TILE_TYPE VBRK TILEPROP VBRK_X9Y32 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y32 TILE_X -87590 TILEPROP VBRK_X9Y32 TILE_Y -142648 TILEPROP VBRK_X9Y32 TYPE VBRK TILEPROP VBRK_X9Y33 CLASS tile TILEPROP VBRK_X9Y33 COLUMN 9 TILEPROP VBRK_X9Y33 DEVICE_ID 0 TILEPROP VBRK_X9Y33 FIRST_SITE_ID 12352 TILEPROP VBRK_X9Y33 GRID_POINT_X 9 TILEPROP VBRK_X9Y33 GRID_POINT_Y 123 TILEPROP VBRK_X9Y33 INDEX 14154 TILEPROP VBRK_X9Y33 INT_TILE_X 1 TILEPROP VBRK_X9Y33 INT_TILE_Y 118 TILEPROP VBRK_X9Y33 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y33 IS_DCM_TILE 0 TILEPROP VBRK_X9Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y33 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y33 NAME VBRK_X9Y33 TILEPROP VBRK_X9Y33 NUM_ARCS 0 TILEPROP VBRK_X9Y33 NUM_SITES 0 TILEPROP VBRK_X9Y33 ROW 123 TILEPROP VBRK_X9Y33 SLR_REGION_ID 0 TILEPROP VBRK_X9Y33 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y33 TILE_TYPE VBRK TILEPROP VBRK_X9Y33 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y33 TILE_X -87590 TILEPROP VBRK_X9Y33 TILE_Y -139448 TILEPROP VBRK_X9Y33 TYPE VBRK TILEPROP VBRK_X9Y34 CLASS tile TILEPROP VBRK_X9Y34 COLUMN 9 TILEPROP VBRK_X9Y34 DEVICE_ID 0 TILEPROP VBRK_X9Y34 FIRST_SITE_ID 12249 TILEPROP VBRK_X9Y34 GRID_POINT_X 9 TILEPROP VBRK_X9Y34 GRID_POINT_Y 122 TILEPROP VBRK_X9Y34 INDEX 14039 TILEPROP VBRK_X9Y34 INT_TILE_X 1 TILEPROP VBRK_X9Y34 INT_TILE_Y 117 TILEPROP VBRK_X9Y34 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y34 IS_DCM_TILE 0 TILEPROP VBRK_X9Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y34 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y34 NAME VBRK_X9Y34 TILEPROP VBRK_X9Y34 NUM_ARCS 0 TILEPROP VBRK_X9Y34 NUM_SITES 0 TILEPROP VBRK_X9Y34 ROW 122 TILEPROP VBRK_X9Y34 SLR_REGION_ID 0 TILEPROP VBRK_X9Y34 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y34 TILE_TYPE VBRK TILEPROP VBRK_X9Y34 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y34 TILE_X -87590 TILEPROP VBRK_X9Y34 TILE_Y -136248 TILEPROP VBRK_X9Y34 TYPE VBRK TILEPROP VBRK_X9Y35 CLASS tile TILEPROP VBRK_X9Y35 COLUMN 9 TILEPROP VBRK_X9Y35 DEVICE_ID 0 TILEPROP VBRK_X9Y35 FIRST_SITE_ID 12149 TILEPROP VBRK_X9Y35 GRID_POINT_X 9 TILEPROP VBRK_X9Y35 GRID_POINT_Y 121 TILEPROP VBRK_X9Y35 INDEX 13924 TILEPROP VBRK_X9Y35 INT_TILE_X 1 TILEPROP VBRK_X9Y35 INT_TILE_Y 116 TILEPROP VBRK_X9Y35 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y35 IS_DCM_TILE 0 TILEPROP VBRK_X9Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y35 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y35 NAME VBRK_X9Y35 TILEPROP VBRK_X9Y35 NUM_ARCS 0 TILEPROP VBRK_X9Y35 NUM_SITES 0 TILEPROP VBRK_X9Y35 ROW 121 TILEPROP VBRK_X9Y35 SLR_REGION_ID 0 TILEPROP VBRK_X9Y35 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y35 TILE_TYPE VBRK TILEPROP VBRK_X9Y35 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y35 TILE_X -87590 TILEPROP VBRK_X9Y35 TILE_Y -133048 TILEPROP VBRK_X9Y35 TYPE VBRK TILEPROP VBRK_X9Y36 CLASS tile TILEPROP VBRK_X9Y36 COLUMN 9 TILEPROP VBRK_X9Y36 DEVICE_ID 0 TILEPROP VBRK_X9Y36 FIRST_SITE_ID 12049 TILEPROP VBRK_X9Y36 GRID_POINT_X 9 TILEPROP VBRK_X9Y36 GRID_POINT_Y 120 TILEPROP VBRK_X9Y36 INDEX 13809 TILEPROP VBRK_X9Y36 INT_TILE_X 1 TILEPROP VBRK_X9Y36 INT_TILE_Y 115 TILEPROP VBRK_X9Y36 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y36 IS_DCM_TILE 0 TILEPROP VBRK_X9Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y36 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y36 NAME VBRK_X9Y36 TILEPROP VBRK_X9Y36 NUM_ARCS 0 TILEPROP VBRK_X9Y36 NUM_SITES 0 TILEPROP VBRK_X9Y36 ROW 120 TILEPROP VBRK_X9Y36 SLR_REGION_ID 0 TILEPROP VBRK_X9Y36 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y36 TILE_TYPE VBRK TILEPROP VBRK_X9Y36 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y36 TILE_X -87590 TILEPROP VBRK_X9Y36 TILE_Y -129848 TILEPROP VBRK_X9Y36 TYPE VBRK TILEPROP VBRK_X9Y37 CLASS tile TILEPROP VBRK_X9Y37 COLUMN 9 TILEPROP VBRK_X9Y37 DEVICE_ID 0 TILEPROP VBRK_X9Y37 FIRST_SITE_ID 11934 TILEPROP VBRK_X9Y37 GRID_POINT_X 9 TILEPROP VBRK_X9Y37 GRID_POINT_Y 119 TILEPROP VBRK_X9Y37 INDEX 13694 TILEPROP VBRK_X9Y37 INT_TILE_X 1 TILEPROP VBRK_X9Y37 INT_TILE_Y 114 TILEPROP VBRK_X9Y37 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y37 IS_DCM_TILE 0 TILEPROP VBRK_X9Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y37 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y37 NAME VBRK_X9Y37 TILEPROP VBRK_X9Y37 NUM_ARCS 0 TILEPROP VBRK_X9Y37 NUM_SITES 0 TILEPROP VBRK_X9Y37 ROW 119 TILEPROP VBRK_X9Y37 SLR_REGION_ID 0 TILEPROP VBRK_X9Y37 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y37 TILE_TYPE VBRK TILEPROP VBRK_X9Y37 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y37 TILE_X -87590 TILEPROP VBRK_X9Y37 TILE_Y -126648 TILEPROP VBRK_X9Y37 TYPE VBRK TILEPROP VBRK_X9Y38 CLASS tile TILEPROP VBRK_X9Y38 COLUMN 9 TILEPROP VBRK_X9Y38 DEVICE_ID 0 TILEPROP VBRK_X9Y38 FIRST_SITE_ID 11802 TILEPROP VBRK_X9Y38 GRID_POINT_X 9 TILEPROP VBRK_X9Y38 GRID_POINT_Y 118 TILEPROP VBRK_X9Y38 INDEX 13579 TILEPROP VBRK_X9Y38 INT_TILE_X 1 TILEPROP VBRK_X9Y38 INT_TILE_Y 113 TILEPROP VBRK_X9Y38 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y38 IS_DCM_TILE 0 TILEPROP VBRK_X9Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y38 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y38 NAME VBRK_X9Y38 TILEPROP VBRK_X9Y38 NUM_ARCS 0 TILEPROP VBRK_X9Y38 NUM_SITES 0 TILEPROP VBRK_X9Y38 ROW 118 TILEPROP VBRK_X9Y38 SLR_REGION_ID 0 TILEPROP VBRK_X9Y38 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y38 TILE_TYPE VBRK TILEPROP VBRK_X9Y38 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y38 TILE_X -87590 TILEPROP VBRK_X9Y38 TILE_Y -123448 TILEPROP VBRK_X9Y38 TYPE VBRK TILEPROP VBRK_X9Y39 CLASS tile TILEPROP VBRK_X9Y39 COLUMN 9 TILEPROP VBRK_X9Y39 DEVICE_ID 0 TILEPROP VBRK_X9Y39 FIRST_SITE_ID 11702 TILEPROP VBRK_X9Y39 GRID_POINT_X 9 TILEPROP VBRK_X9Y39 GRID_POINT_Y 117 TILEPROP VBRK_X9Y39 INDEX 13464 TILEPROP VBRK_X9Y39 INT_TILE_X 1 TILEPROP VBRK_X9Y39 INT_TILE_Y 112 TILEPROP VBRK_X9Y39 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y39 IS_DCM_TILE 0 TILEPROP VBRK_X9Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y39 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y39 NAME VBRK_X9Y39 TILEPROP VBRK_X9Y39 NUM_ARCS 0 TILEPROP VBRK_X9Y39 NUM_SITES 0 TILEPROP VBRK_X9Y39 ROW 117 TILEPROP VBRK_X9Y39 SLR_REGION_ID 0 TILEPROP VBRK_X9Y39 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y39 TILE_TYPE VBRK TILEPROP VBRK_X9Y39 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y39 TILE_X -87590 TILEPROP VBRK_X9Y39 TILE_Y -120248 TILEPROP VBRK_X9Y39 TYPE VBRK TILEPROP VBRK_X9Y40 CLASS tile TILEPROP VBRK_X9Y40 COLUMN 9 TILEPROP VBRK_X9Y40 DEVICE_ID 0 TILEPROP VBRK_X9Y40 FIRST_SITE_ID 11602 TILEPROP VBRK_X9Y40 GRID_POINT_X 9 TILEPROP VBRK_X9Y40 GRID_POINT_Y 116 TILEPROP VBRK_X9Y40 INDEX 13349 TILEPROP VBRK_X9Y40 INT_TILE_X 1 TILEPROP VBRK_X9Y40 INT_TILE_Y 111 TILEPROP VBRK_X9Y40 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y40 IS_DCM_TILE 0 TILEPROP VBRK_X9Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y40 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y40 NAME VBRK_X9Y40 TILEPROP VBRK_X9Y40 NUM_ARCS 0 TILEPROP VBRK_X9Y40 NUM_SITES 0 TILEPROP VBRK_X9Y40 ROW 116 TILEPROP VBRK_X9Y40 SLR_REGION_ID 0 TILEPROP VBRK_X9Y40 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y40 TILE_TYPE VBRK TILEPROP VBRK_X9Y40 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y40 TILE_X -87590 TILEPROP VBRK_X9Y40 TILE_Y -117048 TILEPROP VBRK_X9Y40 TYPE VBRK TILEPROP VBRK_X9Y41 CLASS tile TILEPROP VBRK_X9Y41 COLUMN 9 TILEPROP VBRK_X9Y41 DEVICE_ID 0 TILEPROP VBRK_X9Y41 FIRST_SITE_ID 11502 TILEPROP VBRK_X9Y41 GRID_POINT_X 9 TILEPROP VBRK_X9Y41 GRID_POINT_Y 115 TILEPROP VBRK_X9Y41 INDEX 13234 TILEPROP VBRK_X9Y41 INT_TILE_X 1 TILEPROP VBRK_X9Y41 INT_TILE_Y 110 TILEPROP VBRK_X9Y41 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y41 IS_DCM_TILE 0 TILEPROP VBRK_X9Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y41 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y41 NAME VBRK_X9Y41 TILEPROP VBRK_X9Y41 NUM_ARCS 0 TILEPROP VBRK_X9Y41 NUM_SITES 0 TILEPROP VBRK_X9Y41 ROW 115 TILEPROP VBRK_X9Y41 SLR_REGION_ID 0 TILEPROP VBRK_X9Y41 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y41 TILE_TYPE VBRK TILEPROP VBRK_X9Y41 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y41 TILE_X -87590 TILEPROP VBRK_X9Y41 TILE_Y -113848 TILEPROP VBRK_X9Y41 TYPE VBRK TILEPROP VBRK_X9Y42 CLASS tile TILEPROP VBRK_X9Y42 COLUMN 9 TILEPROP VBRK_X9Y42 DEVICE_ID 0 TILEPROP VBRK_X9Y42 FIRST_SITE_ID 11385 TILEPROP VBRK_X9Y42 GRID_POINT_X 9 TILEPROP VBRK_X9Y42 GRID_POINT_Y 114 TILEPROP VBRK_X9Y42 INDEX 13119 TILEPROP VBRK_X9Y42 INT_TILE_X 1 TILEPROP VBRK_X9Y42 INT_TILE_Y 109 TILEPROP VBRK_X9Y42 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y42 IS_DCM_TILE 0 TILEPROP VBRK_X9Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y42 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y42 NAME VBRK_X9Y42 TILEPROP VBRK_X9Y42 NUM_ARCS 0 TILEPROP VBRK_X9Y42 NUM_SITES 0 TILEPROP VBRK_X9Y42 ROW 114 TILEPROP VBRK_X9Y42 SLR_REGION_ID 0 TILEPROP VBRK_X9Y42 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y42 TILE_TYPE VBRK TILEPROP VBRK_X9Y42 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y42 TILE_X -87590 TILEPROP VBRK_X9Y42 TILE_Y -110648 TILEPROP VBRK_X9Y42 TYPE VBRK TILEPROP VBRK_X9Y43 CLASS tile TILEPROP VBRK_X9Y43 COLUMN 9 TILEPROP VBRK_X9Y43 DEVICE_ID 0 TILEPROP VBRK_X9Y43 FIRST_SITE_ID 11284 TILEPROP VBRK_X9Y43 GRID_POINT_X 9 TILEPROP VBRK_X9Y43 GRID_POINT_Y 113 TILEPROP VBRK_X9Y43 INDEX 13004 TILEPROP VBRK_X9Y43 INT_TILE_X 1 TILEPROP VBRK_X9Y43 INT_TILE_Y 108 TILEPROP VBRK_X9Y43 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y43 IS_DCM_TILE 0 TILEPROP VBRK_X9Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y43 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y43 NAME VBRK_X9Y43 TILEPROP VBRK_X9Y43 NUM_ARCS 0 TILEPROP VBRK_X9Y43 NUM_SITES 0 TILEPROP VBRK_X9Y43 ROW 113 TILEPROP VBRK_X9Y43 SLR_REGION_ID 0 TILEPROP VBRK_X9Y43 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y43 TILE_TYPE VBRK TILEPROP VBRK_X9Y43 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y43 TILE_X -87590 TILEPROP VBRK_X9Y43 TILE_Y -107448 TILEPROP VBRK_X9Y43 TYPE VBRK TILEPROP VBRK_X9Y44 CLASS tile TILEPROP VBRK_X9Y44 COLUMN 9 TILEPROP VBRK_X9Y44 DEVICE_ID 0 TILEPROP VBRK_X9Y44 FIRST_SITE_ID 11183 TILEPROP VBRK_X9Y44 GRID_POINT_X 9 TILEPROP VBRK_X9Y44 GRID_POINT_Y 112 TILEPROP VBRK_X9Y44 INDEX 12889 TILEPROP VBRK_X9Y44 INT_TILE_X 1 TILEPROP VBRK_X9Y44 INT_TILE_Y 107 TILEPROP VBRK_X9Y44 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y44 IS_DCM_TILE 0 TILEPROP VBRK_X9Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y44 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y44 NAME VBRK_X9Y44 TILEPROP VBRK_X9Y44 NUM_ARCS 0 TILEPROP VBRK_X9Y44 NUM_SITES 0 TILEPROP VBRK_X9Y44 ROW 112 TILEPROP VBRK_X9Y44 SLR_REGION_ID 0 TILEPROP VBRK_X9Y44 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y44 TILE_TYPE VBRK TILEPROP VBRK_X9Y44 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y44 TILE_X -87590 TILEPROP VBRK_X9Y44 TILE_Y -104248 TILEPROP VBRK_X9Y44 TYPE VBRK TILEPROP VBRK_X9Y45 CLASS tile TILEPROP VBRK_X9Y45 COLUMN 9 TILEPROP VBRK_X9Y45 DEVICE_ID 0 TILEPROP VBRK_X9Y45 FIRST_SITE_ID 11080 TILEPROP VBRK_X9Y45 GRID_POINT_X 9 TILEPROP VBRK_X9Y45 GRID_POINT_Y 111 TILEPROP VBRK_X9Y45 INDEX 12774 TILEPROP VBRK_X9Y45 INT_TILE_X 1 TILEPROP VBRK_X9Y45 INT_TILE_Y 106 TILEPROP VBRK_X9Y45 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y45 IS_DCM_TILE 0 TILEPROP VBRK_X9Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y45 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y45 NAME VBRK_X9Y45 TILEPROP VBRK_X9Y45 NUM_ARCS 0 TILEPROP VBRK_X9Y45 NUM_SITES 0 TILEPROP VBRK_X9Y45 ROW 111 TILEPROP VBRK_X9Y45 SLR_REGION_ID 0 TILEPROP VBRK_X9Y45 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y45 TILE_TYPE VBRK TILEPROP VBRK_X9Y45 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y45 TILE_X -87590 TILEPROP VBRK_X9Y45 TILE_Y -101048 TILEPROP VBRK_X9Y45 TYPE VBRK TILEPROP VBRK_X9Y46 CLASS tile TILEPROP VBRK_X9Y46 COLUMN 9 TILEPROP VBRK_X9Y46 DEVICE_ID 0 TILEPROP VBRK_X9Y46 FIRST_SITE_ID 10978 TILEPROP VBRK_X9Y46 GRID_POINT_X 9 TILEPROP VBRK_X9Y46 GRID_POINT_Y 110 TILEPROP VBRK_X9Y46 INDEX 12659 TILEPROP VBRK_X9Y46 INT_TILE_X 1 TILEPROP VBRK_X9Y46 INT_TILE_Y 105 TILEPROP VBRK_X9Y46 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y46 IS_DCM_TILE 0 TILEPROP VBRK_X9Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y46 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y46 NAME VBRK_X9Y46 TILEPROP VBRK_X9Y46 NUM_ARCS 0 TILEPROP VBRK_X9Y46 NUM_SITES 0 TILEPROP VBRK_X9Y46 ROW 110 TILEPROP VBRK_X9Y46 SLR_REGION_ID 0 TILEPROP VBRK_X9Y46 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y46 TILE_TYPE VBRK TILEPROP VBRK_X9Y46 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y46 TILE_X -87590 TILEPROP VBRK_X9Y46 TILE_Y -97848 TILEPROP VBRK_X9Y46 TYPE VBRK TILEPROP VBRK_X9Y47 CLASS tile TILEPROP VBRK_X9Y47 COLUMN 9 TILEPROP VBRK_X9Y47 DEVICE_ID 0 TILEPROP VBRK_X9Y47 FIRST_SITE_ID 10862 TILEPROP VBRK_X9Y47 GRID_POINT_X 9 TILEPROP VBRK_X9Y47 GRID_POINT_Y 109 TILEPROP VBRK_X9Y47 INDEX 12544 TILEPROP VBRK_X9Y47 INT_TILE_X 1 TILEPROP VBRK_X9Y47 INT_TILE_Y 104 TILEPROP VBRK_X9Y47 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y47 IS_DCM_TILE 0 TILEPROP VBRK_X9Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y47 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y47 NAME VBRK_X9Y47 TILEPROP VBRK_X9Y47 NUM_ARCS 0 TILEPROP VBRK_X9Y47 NUM_SITES 0 TILEPROP VBRK_X9Y47 ROW 109 TILEPROP VBRK_X9Y47 SLR_REGION_ID 0 TILEPROP VBRK_X9Y47 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y47 TILE_TYPE VBRK TILEPROP VBRK_X9Y47 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y47 TILE_X -87590 TILEPROP VBRK_X9Y47 TILE_Y -94648 TILEPROP VBRK_X9Y47 TYPE VBRK TILEPROP VBRK_X9Y48 CLASS tile TILEPROP VBRK_X9Y48 COLUMN 9 TILEPROP VBRK_X9Y48 DEVICE_ID 0 TILEPROP VBRK_X9Y48 FIRST_SITE_ID 10746 TILEPROP VBRK_X9Y48 GRID_POINT_X 9 TILEPROP VBRK_X9Y48 GRID_POINT_Y 108 TILEPROP VBRK_X9Y48 INDEX 12429 TILEPROP VBRK_X9Y48 INT_TILE_X 1 TILEPROP VBRK_X9Y48 INT_TILE_Y 103 TILEPROP VBRK_X9Y48 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y48 IS_DCM_TILE 0 TILEPROP VBRK_X9Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y48 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y48 NAME VBRK_X9Y48 TILEPROP VBRK_X9Y48 NUM_ARCS 0 TILEPROP VBRK_X9Y48 NUM_SITES 0 TILEPROP VBRK_X9Y48 ROW 108 TILEPROP VBRK_X9Y48 SLR_REGION_ID 0 TILEPROP VBRK_X9Y48 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y48 TILE_TYPE VBRK TILEPROP VBRK_X9Y48 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y48 TILE_X -87590 TILEPROP VBRK_X9Y48 TILE_Y -91448 TILEPROP VBRK_X9Y48 TYPE VBRK TILEPROP VBRK_X9Y49 CLASS tile TILEPROP VBRK_X9Y49 COLUMN 9 TILEPROP VBRK_X9Y49 DEVICE_ID 0 TILEPROP VBRK_X9Y49 FIRST_SITE_ID 10646 TILEPROP VBRK_X9Y49 GRID_POINT_X 9 TILEPROP VBRK_X9Y49 GRID_POINT_Y 107 TILEPROP VBRK_X9Y49 INDEX 12314 TILEPROP VBRK_X9Y49 INT_TILE_X 1 TILEPROP VBRK_X9Y49 INT_TILE_Y 102 TILEPROP VBRK_X9Y49 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y49 IS_DCM_TILE 0 TILEPROP VBRK_X9Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y49 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y49 NAME VBRK_X9Y49 TILEPROP VBRK_X9Y49 NUM_ARCS 0 TILEPROP VBRK_X9Y49 NUM_SITES 0 TILEPROP VBRK_X9Y49 ROW 107 TILEPROP VBRK_X9Y49 SLR_REGION_ID 0 TILEPROP VBRK_X9Y49 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y49 TILE_TYPE VBRK TILEPROP VBRK_X9Y49 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y49 TILE_X -87590 TILEPROP VBRK_X9Y49 TILE_Y -88248 TILEPROP VBRK_X9Y49 TYPE VBRK TILEPROP VBRK_X9Y50 CLASS tile TILEPROP VBRK_X9Y50 COLUMN 9 TILEPROP VBRK_X9Y50 DEVICE_ID 0 TILEPROP VBRK_X9Y50 FIRST_SITE_ID 10546 TILEPROP VBRK_X9Y50 GRID_POINT_X 9 TILEPROP VBRK_X9Y50 GRID_POINT_Y 106 TILEPROP VBRK_X9Y50 INDEX 12199 TILEPROP VBRK_X9Y50 INT_TILE_X 1 TILEPROP VBRK_X9Y50 INT_TILE_Y 101 TILEPROP VBRK_X9Y50 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y50 IS_DCM_TILE 0 TILEPROP VBRK_X9Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y50 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y50 NAME VBRK_X9Y50 TILEPROP VBRK_X9Y50 NUM_ARCS 0 TILEPROP VBRK_X9Y50 NUM_SITES 0 TILEPROP VBRK_X9Y50 ROW 106 TILEPROP VBRK_X9Y50 SLR_REGION_ID 0 TILEPROP VBRK_X9Y50 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y50 TILE_TYPE VBRK TILEPROP VBRK_X9Y50 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y50 TILE_X -87590 TILEPROP VBRK_X9Y50 TILE_Y -85048 TILEPROP VBRK_X9Y50 TYPE VBRK TILEPROP VBRK_X9Y51 CLASS tile TILEPROP VBRK_X9Y51 COLUMN 9 TILEPROP VBRK_X9Y51 DEVICE_ID 0 TILEPROP VBRK_X9Y51 FIRST_SITE_ID 10450 TILEPROP VBRK_X9Y51 GRID_POINT_X 9 TILEPROP VBRK_X9Y51 GRID_POINT_Y 105 TILEPROP VBRK_X9Y51 INDEX 12084 TILEPROP VBRK_X9Y51 INT_TILE_X 1 TILEPROP VBRK_X9Y51 INT_TILE_Y 100 TILEPROP VBRK_X9Y51 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y51 IS_DCM_TILE 0 TILEPROP VBRK_X9Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y51 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y51 NAME VBRK_X9Y51 TILEPROP VBRK_X9Y51 NUM_ARCS 0 TILEPROP VBRK_X9Y51 NUM_SITES 0 TILEPROP VBRK_X9Y51 ROW 105 TILEPROP VBRK_X9Y51 SLR_REGION_ID 0 TILEPROP VBRK_X9Y51 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y51 TILE_TYPE VBRK TILEPROP VBRK_X9Y51 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y51 TILE_X -87590 TILEPROP VBRK_X9Y51 TILE_Y -81848 TILEPROP VBRK_X9Y51 TYPE VBRK TILEPROP VBRK_X9Y53 CLASS tile TILEPROP VBRK_X9Y53 COLUMN 9 TILEPROP VBRK_X9Y53 DEVICE_ID 0 TILEPROP VBRK_X9Y53 FIRST_SITE_ID 10319 TILEPROP VBRK_X9Y53 GRID_POINT_X 9 TILEPROP VBRK_X9Y53 GRID_POINT_Y 103 TILEPROP VBRK_X9Y53 INDEX 11854 TILEPROP VBRK_X9Y53 INT_TILE_X 1 TILEPROP VBRK_X9Y53 INT_TILE_Y 99 TILEPROP VBRK_X9Y53 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y53 IS_DCM_TILE 0 TILEPROP VBRK_X9Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y53 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y53 NAME VBRK_X9Y53 TILEPROP VBRK_X9Y53 NUM_ARCS 0 TILEPROP VBRK_X9Y53 NUM_SITES 0 TILEPROP VBRK_X9Y53 ROW 103 TILEPROP VBRK_X9Y53 SLR_REGION_ID 0 TILEPROP VBRK_X9Y53 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y53 TILE_TYPE VBRK TILEPROP VBRK_X9Y53 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y53 TILE_X -87590 TILEPROP VBRK_X9Y53 TILE_Y -78400 TILEPROP VBRK_X9Y53 TYPE VBRK TILEPROP VBRK_X9Y54 CLASS tile TILEPROP VBRK_X9Y54 COLUMN 9 TILEPROP VBRK_X9Y54 DEVICE_ID 0 TILEPROP VBRK_X9Y54 FIRST_SITE_ID 10215 TILEPROP VBRK_X9Y54 GRID_POINT_X 9 TILEPROP VBRK_X9Y54 GRID_POINT_Y 102 TILEPROP VBRK_X9Y54 INDEX 11739 TILEPROP VBRK_X9Y54 INT_TILE_X 1 TILEPROP VBRK_X9Y54 INT_TILE_Y 98 TILEPROP VBRK_X9Y54 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y54 IS_DCM_TILE 0 TILEPROP VBRK_X9Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y54 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y54 NAME VBRK_X9Y54 TILEPROP VBRK_X9Y54 NUM_ARCS 0 TILEPROP VBRK_X9Y54 NUM_SITES 0 TILEPROP VBRK_X9Y54 ROW 102 TILEPROP VBRK_X9Y54 SLR_REGION_ID 0 TILEPROP VBRK_X9Y54 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y54 TILE_TYPE VBRK TILEPROP VBRK_X9Y54 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y54 TILE_X -87590 TILEPROP VBRK_X9Y54 TILE_Y -75200 TILEPROP VBRK_X9Y54 TYPE VBRK TILEPROP VBRK_X9Y55 CLASS tile TILEPROP VBRK_X9Y55 COLUMN 9 TILEPROP VBRK_X9Y55 DEVICE_ID 0 TILEPROP VBRK_X9Y55 FIRST_SITE_ID 10115 TILEPROP VBRK_X9Y55 GRID_POINT_X 9 TILEPROP VBRK_X9Y55 GRID_POINT_Y 101 TILEPROP VBRK_X9Y55 INDEX 11624 TILEPROP VBRK_X9Y55 INT_TILE_X 1 TILEPROP VBRK_X9Y55 INT_TILE_Y 97 TILEPROP VBRK_X9Y55 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y55 IS_DCM_TILE 0 TILEPROP VBRK_X9Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y55 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y55 NAME VBRK_X9Y55 TILEPROP VBRK_X9Y55 NUM_ARCS 0 TILEPROP VBRK_X9Y55 NUM_SITES 0 TILEPROP VBRK_X9Y55 ROW 101 TILEPROP VBRK_X9Y55 SLR_REGION_ID 0 TILEPROP VBRK_X9Y55 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y55 TILE_TYPE VBRK TILEPROP VBRK_X9Y55 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y55 TILE_X -87590 TILEPROP VBRK_X9Y55 TILE_Y -72000 TILEPROP VBRK_X9Y55 TYPE VBRK TILEPROP VBRK_X9Y56 CLASS tile TILEPROP VBRK_X9Y56 COLUMN 9 TILEPROP VBRK_X9Y56 DEVICE_ID 0 TILEPROP VBRK_X9Y56 FIRST_SITE_ID 10015 TILEPROP VBRK_X9Y56 GRID_POINT_X 9 TILEPROP VBRK_X9Y56 GRID_POINT_Y 100 TILEPROP VBRK_X9Y56 INDEX 11509 TILEPROP VBRK_X9Y56 INT_TILE_X 1 TILEPROP VBRK_X9Y56 INT_TILE_Y 96 TILEPROP VBRK_X9Y56 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y56 IS_DCM_TILE 0 TILEPROP VBRK_X9Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y56 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y56 NAME VBRK_X9Y56 TILEPROP VBRK_X9Y56 NUM_ARCS 0 TILEPROP VBRK_X9Y56 NUM_SITES 0 TILEPROP VBRK_X9Y56 ROW 100 TILEPROP VBRK_X9Y56 SLR_REGION_ID 0 TILEPROP VBRK_X9Y56 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y56 TILE_TYPE VBRK TILEPROP VBRK_X9Y56 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y56 TILE_X -87590 TILEPROP VBRK_X9Y56 TILE_Y -68800 TILEPROP VBRK_X9Y56 TYPE VBRK TILEPROP VBRK_X9Y57 CLASS tile TILEPROP VBRK_X9Y57 COLUMN 9 TILEPROP VBRK_X9Y57 DEVICE_ID 0 TILEPROP VBRK_X9Y57 FIRST_SITE_ID 9915 TILEPROP VBRK_X9Y57 GRID_POINT_X 9 TILEPROP VBRK_X9Y57 GRID_POINT_Y 99 TILEPROP VBRK_X9Y57 INDEX 11394 TILEPROP VBRK_X9Y57 INT_TILE_X 1 TILEPROP VBRK_X9Y57 INT_TILE_Y 95 TILEPROP VBRK_X9Y57 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y57 IS_DCM_TILE 0 TILEPROP VBRK_X9Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y57 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y57 NAME VBRK_X9Y57 TILEPROP VBRK_X9Y57 NUM_ARCS 0 TILEPROP VBRK_X9Y57 NUM_SITES 0 TILEPROP VBRK_X9Y57 ROW 99 TILEPROP VBRK_X9Y57 SLR_REGION_ID 0 TILEPROP VBRK_X9Y57 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y57 TILE_TYPE VBRK TILEPROP VBRK_X9Y57 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y57 TILE_X -87590 TILEPROP VBRK_X9Y57 TILE_Y -65600 TILEPROP VBRK_X9Y57 TYPE VBRK TILEPROP VBRK_X9Y58 CLASS tile TILEPROP VBRK_X9Y58 COLUMN 9 TILEPROP VBRK_X9Y58 DEVICE_ID 0 TILEPROP VBRK_X9Y58 FIRST_SITE_ID 9800 TILEPROP VBRK_X9Y58 GRID_POINT_X 9 TILEPROP VBRK_X9Y58 GRID_POINT_Y 98 TILEPROP VBRK_X9Y58 INDEX 11279 TILEPROP VBRK_X9Y58 INT_TILE_X 1 TILEPROP VBRK_X9Y58 INT_TILE_Y 94 TILEPROP VBRK_X9Y58 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y58 IS_DCM_TILE 0 TILEPROP VBRK_X9Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y58 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y58 NAME VBRK_X9Y58 TILEPROP VBRK_X9Y58 NUM_ARCS 0 TILEPROP VBRK_X9Y58 NUM_SITES 0 TILEPROP VBRK_X9Y58 ROW 98 TILEPROP VBRK_X9Y58 SLR_REGION_ID 0 TILEPROP VBRK_X9Y58 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y58 TILE_TYPE VBRK TILEPROP VBRK_X9Y58 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y58 TILE_X -87590 TILEPROP VBRK_X9Y58 TILE_Y -62400 TILEPROP VBRK_X9Y58 TYPE VBRK TILEPROP VBRK_X9Y59 CLASS tile TILEPROP VBRK_X9Y59 COLUMN 9 TILEPROP VBRK_X9Y59 DEVICE_ID 0 TILEPROP VBRK_X9Y59 FIRST_SITE_ID 9700 TILEPROP VBRK_X9Y59 GRID_POINT_X 9 TILEPROP VBRK_X9Y59 GRID_POINT_Y 97 TILEPROP VBRK_X9Y59 INDEX 11164 TILEPROP VBRK_X9Y59 INT_TILE_X 1 TILEPROP VBRK_X9Y59 INT_TILE_Y 93 TILEPROP VBRK_X9Y59 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y59 IS_DCM_TILE 0 TILEPROP VBRK_X9Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y59 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y59 NAME VBRK_X9Y59 TILEPROP VBRK_X9Y59 NUM_ARCS 0 TILEPROP VBRK_X9Y59 NUM_SITES 0 TILEPROP VBRK_X9Y59 ROW 97 TILEPROP VBRK_X9Y59 SLR_REGION_ID 0 TILEPROP VBRK_X9Y59 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y59 TILE_TYPE VBRK TILEPROP VBRK_X9Y59 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y59 TILE_X -87590 TILEPROP VBRK_X9Y59 TILE_Y -59200 TILEPROP VBRK_X9Y59 TYPE VBRK TILEPROP VBRK_X9Y60 CLASS tile TILEPROP VBRK_X9Y60 COLUMN 9 TILEPROP VBRK_X9Y60 DEVICE_ID 0 TILEPROP VBRK_X9Y60 FIRST_SITE_ID 9598 TILEPROP VBRK_X9Y60 GRID_POINT_X 9 TILEPROP VBRK_X9Y60 GRID_POINT_Y 96 TILEPROP VBRK_X9Y60 INDEX 11049 TILEPROP VBRK_X9Y60 INT_TILE_X 1 TILEPROP VBRK_X9Y60 INT_TILE_Y 92 TILEPROP VBRK_X9Y60 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y60 IS_DCM_TILE 0 TILEPROP VBRK_X9Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y60 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y60 NAME VBRK_X9Y60 TILEPROP VBRK_X9Y60 NUM_ARCS 0 TILEPROP VBRK_X9Y60 NUM_SITES 0 TILEPROP VBRK_X9Y60 ROW 96 TILEPROP VBRK_X9Y60 SLR_REGION_ID 0 TILEPROP VBRK_X9Y60 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y60 TILE_TYPE VBRK TILEPROP VBRK_X9Y60 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y60 TILE_X -87590 TILEPROP VBRK_X9Y60 TILE_Y -56000 TILEPROP VBRK_X9Y60 TYPE VBRK TILEPROP VBRK_X9Y61 CLASS tile TILEPROP VBRK_X9Y61 COLUMN 9 TILEPROP VBRK_X9Y61 DEVICE_ID 0 TILEPROP VBRK_X9Y61 FIRST_SITE_ID 9495 TILEPROP VBRK_X9Y61 GRID_POINT_X 9 TILEPROP VBRK_X9Y61 GRID_POINT_Y 95 TILEPROP VBRK_X9Y61 INDEX 10934 TILEPROP VBRK_X9Y61 INT_TILE_X 1 TILEPROP VBRK_X9Y61 INT_TILE_Y 91 TILEPROP VBRK_X9Y61 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y61 IS_DCM_TILE 0 TILEPROP VBRK_X9Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y61 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y61 NAME VBRK_X9Y61 TILEPROP VBRK_X9Y61 NUM_ARCS 0 TILEPROP VBRK_X9Y61 NUM_SITES 0 TILEPROP VBRK_X9Y61 ROW 95 TILEPROP VBRK_X9Y61 SLR_REGION_ID 0 TILEPROP VBRK_X9Y61 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y61 TILE_TYPE VBRK TILEPROP VBRK_X9Y61 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y61 TILE_X -87590 TILEPROP VBRK_X9Y61 TILE_Y -52800 TILEPROP VBRK_X9Y61 TYPE VBRK TILEPROP VBRK_X9Y62 CLASS tile TILEPROP VBRK_X9Y62 COLUMN 9 TILEPROP VBRK_X9Y62 DEVICE_ID 0 TILEPROP VBRK_X9Y62 FIRST_SITE_ID 9394 TILEPROP VBRK_X9Y62 GRID_POINT_X 9 TILEPROP VBRK_X9Y62 GRID_POINT_Y 94 TILEPROP VBRK_X9Y62 INDEX 10819 TILEPROP VBRK_X9Y62 INT_TILE_X 1 TILEPROP VBRK_X9Y62 INT_TILE_Y 90 TILEPROP VBRK_X9Y62 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y62 IS_DCM_TILE 0 TILEPROP VBRK_X9Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y62 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y62 NAME VBRK_X9Y62 TILEPROP VBRK_X9Y62 NUM_ARCS 0 TILEPROP VBRK_X9Y62 NUM_SITES 0 TILEPROP VBRK_X9Y62 ROW 94 TILEPROP VBRK_X9Y62 SLR_REGION_ID 0 TILEPROP VBRK_X9Y62 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y62 TILE_TYPE VBRK TILEPROP VBRK_X9Y62 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y62 TILE_X -87590 TILEPROP VBRK_X9Y62 TILE_Y -49600 TILEPROP VBRK_X9Y62 TYPE VBRK TILEPROP VBRK_X9Y63 CLASS tile TILEPROP VBRK_X9Y63 COLUMN 9 TILEPROP VBRK_X9Y63 DEVICE_ID 0 TILEPROP VBRK_X9Y63 FIRST_SITE_ID 9279 TILEPROP VBRK_X9Y63 GRID_POINT_X 9 TILEPROP VBRK_X9Y63 GRID_POINT_Y 93 TILEPROP VBRK_X9Y63 INDEX 10704 TILEPROP VBRK_X9Y63 INT_TILE_X 1 TILEPROP VBRK_X9Y63 INT_TILE_Y 89 TILEPROP VBRK_X9Y63 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y63 IS_DCM_TILE 0 TILEPROP VBRK_X9Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y63 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y63 NAME VBRK_X9Y63 TILEPROP VBRK_X9Y63 NUM_ARCS 0 TILEPROP VBRK_X9Y63 NUM_SITES 0 TILEPROP VBRK_X9Y63 ROW 93 TILEPROP VBRK_X9Y63 SLR_REGION_ID 0 TILEPROP VBRK_X9Y63 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y63 TILE_TYPE VBRK TILEPROP VBRK_X9Y63 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y63 TILE_X -87590 TILEPROP VBRK_X9Y63 TILE_Y -46400 TILEPROP VBRK_X9Y63 TYPE VBRK TILEPROP VBRK_X9Y64 CLASS tile TILEPROP VBRK_X9Y64 COLUMN 9 TILEPROP VBRK_X9Y64 DEVICE_ID 0 TILEPROP VBRK_X9Y64 FIRST_SITE_ID 9179 TILEPROP VBRK_X9Y64 GRID_POINT_X 9 TILEPROP VBRK_X9Y64 GRID_POINT_Y 92 TILEPROP VBRK_X9Y64 INDEX 10589 TILEPROP VBRK_X9Y64 INT_TILE_X 1 TILEPROP VBRK_X9Y64 INT_TILE_Y 88 TILEPROP VBRK_X9Y64 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y64 IS_DCM_TILE 0 TILEPROP VBRK_X9Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y64 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y64 NAME VBRK_X9Y64 TILEPROP VBRK_X9Y64 NUM_ARCS 0 TILEPROP VBRK_X9Y64 NUM_SITES 0 TILEPROP VBRK_X9Y64 ROW 92 TILEPROP VBRK_X9Y64 SLR_REGION_ID 0 TILEPROP VBRK_X9Y64 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y64 TILE_TYPE VBRK TILEPROP VBRK_X9Y64 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y64 TILE_X -87590 TILEPROP VBRK_X9Y64 TILE_Y -43200 TILEPROP VBRK_X9Y64 TYPE VBRK TILEPROP VBRK_X9Y65 CLASS tile TILEPROP VBRK_X9Y65 COLUMN 9 TILEPROP VBRK_X9Y65 DEVICE_ID 0 TILEPROP VBRK_X9Y65 FIRST_SITE_ID 9047 TILEPROP VBRK_X9Y65 GRID_POINT_X 9 TILEPROP VBRK_X9Y65 GRID_POINT_Y 91 TILEPROP VBRK_X9Y65 INDEX 10474 TILEPROP VBRK_X9Y65 INT_TILE_X 1 TILEPROP VBRK_X9Y65 INT_TILE_Y 87 TILEPROP VBRK_X9Y65 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y65 IS_DCM_TILE 0 TILEPROP VBRK_X9Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y65 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y65 NAME VBRK_X9Y65 TILEPROP VBRK_X9Y65 NUM_ARCS 0 TILEPROP VBRK_X9Y65 NUM_SITES 0 TILEPROP VBRK_X9Y65 ROW 91 TILEPROP VBRK_X9Y65 SLR_REGION_ID 0 TILEPROP VBRK_X9Y65 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y65 TILE_TYPE VBRK TILEPROP VBRK_X9Y65 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y65 TILE_X -87590 TILEPROP VBRK_X9Y65 TILE_Y -40000 TILEPROP VBRK_X9Y65 TYPE VBRK TILEPROP VBRK_X9Y66 CLASS tile TILEPROP VBRK_X9Y66 COLUMN 9 TILEPROP VBRK_X9Y66 DEVICE_ID 0 TILEPROP VBRK_X9Y66 FIRST_SITE_ID 8947 TILEPROP VBRK_X9Y66 GRID_POINT_X 9 TILEPROP VBRK_X9Y66 GRID_POINT_Y 90 TILEPROP VBRK_X9Y66 INDEX 10359 TILEPROP VBRK_X9Y66 INT_TILE_X 1 TILEPROP VBRK_X9Y66 INT_TILE_Y 86 TILEPROP VBRK_X9Y66 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y66 IS_DCM_TILE 0 TILEPROP VBRK_X9Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y66 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y66 NAME VBRK_X9Y66 TILEPROP VBRK_X9Y66 NUM_ARCS 0 TILEPROP VBRK_X9Y66 NUM_SITES 0 TILEPROP VBRK_X9Y66 ROW 90 TILEPROP VBRK_X9Y66 SLR_REGION_ID 0 TILEPROP VBRK_X9Y66 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y66 TILE_TYPE VBRK TILEPROP VBRK_X9Y66 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y66 TILE_X -87590 TILEPROP VBRK_X9Y66 TILE_Y -36800 TILEPROP VBRK_X9Y66 TYPE VBRK TILEPROP VBRK_X9Y67 CLASS tile TILEPROP VBRK_X9Y67 COLUMN 9 TILEPROP VBRK_X9Y67 DEVICE_ID 0 TILEPROP VBRK_X9Y67 FIRST_SITE_ID 8847 TILEPROP VBRK_X9Y67 GRID_POINT_X 9 TILEPROP VBRK_X9Y67 GRID_POINT_Y 89 TILEPROP VBRK_X9Y67 INDEX 10244 TILEPROP VBRK_X9Y67 INT_TILE_X 1 TILEPROP VBRK_X9Y67 INT_TILE_Y 85 TILEPROP VBRK_X9Y67 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y67 IS_DCM_TILE 0 TILEPROP VBRK_X9Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y67 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y67 NAME VBRK_X9Y67 TILEPROP VBRK_X9Y67 NUM_ARCS 0 TILEPROP VBRK_X9Y67 NUM_SITES 0 TILEPROP VBRK_X9Y67 ROW 89 TILEPROP VBRK_X9Y67 SLR_REGION_ID 0 TILEPROP VBRK_X9Y67 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y67 TILE_TYPE VBRK TILEPROP VBRK_X9Y67 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y67 TILE_X -87590 TILEPROP VBRK_X9Y67 TILE_Y -33600 TILEPROP VBRK_X9Y67 TYPE VBRK TILEPROP VBRK_X9Y68 CLASS tile TILEPROP VBRK_X9Y68 COLUMN 9 TILEPROP VBRK_X9Y68 DEVICE_ID 0 TILEPROP VBRK_X9Y68 FIRST_SITE_ID 8732 TILEPROP VBRK_X9Y68 GRID_POINT_X 9 TILEPROP VBRK_X9Y68 GRID_POINT_Y 88 TILEPROP VBRK_X9Y68 INDEX 10129 TILEPROP VBRK_X9Y68 INT_TILE_X 1 TILEPROP VBRK_X9Y68 INT_TILE_Y 84 TILEPROP VBRK_X9Y68 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y68 IS_DCM_TILE 0 TILEPROP VBRK_X9Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y68 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y68 NAME VBRK_X9Y68 TILEPROP VBRK_X9Y68 NUM_ARCS 0 TILEPROP VBRK_X9Y68 NUM_SITES 0 TILEPROP VBRK_X9Y68 ROW 88 TILEPROP VBRK_X9Y68 SLR_REGION_ID 0 TILEPROP VBRK_X9Y68 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y68 TILE_TYPE VBRK TILEPROP VBRK_X9Y68 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y68 TILE_X -87590 TILEPROP VBRK_X9Y68 TILE_Y -30400 TILEPROP VBRK_X9Y68 TYPE VBRK TILEPROP VBRK_X9Y69 CLASS tile TILEPROP VBRK_X9Y69 COLUMN 9 TILEPROP VBRK_X9Y69 DEVICE_ID 0 TILEPROP VBRK_X9Y69 FIRST_SITE_ID 8632 TILEPROP VBRK_X9Y69 GRID_POINT_X 9 TILEPROP VBRK_X9Y69 GRID_POINT_Y 87 TILEPROP VBRK_X9Y69 INDEX 10014 TILEPROP VBRK_X9Y69 INT_TILE_X 1 TILEPROP VBRK_X9Y69 INT_TILE_Y 83 TILEPROP VBRK_X9Y69 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y69 IS_DCM_TILE 0 TILEPROP VBRK_X9Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y69 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y69 NAME VBRK_X9Y69 TILEPROP VBRK_X9Y69 NUM_ARCS 0 TILEPROP VBRK_X9Y69 NUM_SITES 0 TILEPROP VBRK_X9Y69 ROW 87 TILEPROP VBRK_X9Y69 SLR_REGION_ID 0 TILEPROP VBRK_X9Y69 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y69 TILE_TYPE VBRK TILEPROP VBRK_X9Y69 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y69 TILE_X -87590 TILEPROP VBRK_X9Y69 TILE_Y -27200 TILEPROP VBRK_X9Y69 TYPE VBRK TILEPROP VBRK_X9Y70 CLASS tile TILEPROP VBRK_X9Y70 COLUMN 9 TILEPROP VBRK_X9Y70 DEVICE_ID 0 TILEPROP VBRK_X9Y70 FIRST_SITE_ID 8528 TILEPROP VBRK_X9Y70 GRID_POINT_X 9 TILEPROP VBRK_X9Y70 GRID_POINT_Y 86 TILEPROP VBRK_X9Y70 INDEX 9899 TILEPROP VBRK_X9Y70 INT_TILE_X 1 TILEPROP VBRK_X9Y70 INT_TILE_Y 82 TILEPROP VBRK_X9Y70 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y70 IS_DCM_TILE 0 TILEPROP VBRK_X9Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y70 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y70 NAME VBRK_X9Y70 TILEPROP VBRK_X9Y70 NUM_ARCS 0 TILEPROP VBRK_X9Y70 NUM_SITES 0 TILEPROP VBRK_X9Y70 ROW 86 TILEPROP VBRK_X9Y70 SLR_REGION_ID 0 TILEPROP VBRK_X9Y70 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y70 TILE_TYPE VBRK TILEPROP VBRK_X9Y70 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y70 TILE_X -87590 TILEPROP VBRK_X9Y70 TILE_Y -24000 TILEPROP VBRK_X9Y70 TYPE VBRK TILEPROP VBRK_X9Y71 CLASS tile TILEPROP VBRK_X9Y71 COLUMN 9 TILEPROP VBRK_X9Y71 DEVICE_ID 0 TILEPROP VBRK_X9Y71 FIRST_SITE_ID 8424 TILEPROP VBRK_X9Y71 GRID_POINT_X 9 TILEPROP VBRK_X9Y71 GRID_POINT_Y 85 TILEPROP VBRK_X9Y71 INDEX 9784 TILEPROP VBRK_X9Y71 INT_TILE_X 1 TILEPROP VBRK_X9Y71 INT_TILE_Y 81 TILEPROP VBRK_X9Y71 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y71 IS_DCM_TILE 0 TILEPROP VBRK_X9Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y71 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y71 NAME VBRK_X9Y71 TILEPROP VBRK_X9Y71 NUM_ARCS 0 TILEPROP VBRK_X9Y71 NUM_SITES 0 TILEPROP VBRK_X9Y71 ROW 85 TILEPROP VBRK_X9Y71 SLR_REGION_ID 0 TILEPROP VBRK_X9Y71 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y71 TILE_TYPE VBRK TILEPROP VBRK_X9Y71 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y71 TILE_X -87590 TILEPROP VBRK_X9Y71 TILE_Y -20800 TILEPROP VBRK_X9Y71 TYPE VBRK TILEPROP VBRK_X9Y72 CLASS tile TILEPROP VBRK_X9Y72 COLUMN 9 TILEPROP VBRK_X9Y72 DEVICE_ID 0 TILEPROP VBRK_X9Y72 FIRST_SITE_ID 8322 TILEPROP VBRK_X9Y72 GRID_POINT_X 9 TILEPROP VBRK_X9Y72 GRID_POINT_Y 84 TILEPROP VBRK_X9Y72 INDEX 9669 TILEPROP VBRK_X9Y72 INT_TILE_X 1 TILEPROP VBRK_X9Y72 INT_TILE_Y 80 TILEPROP VBRK_X9Y72 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y72 IS_DCM_TILE 0 TILEPROP VBRK_X9Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y72 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y72 NAME VBRK_X9Y72 TILEPROP VBRK_X9Y72 NUM_ARCS 0 TILEPROP VBRK_X9Y72 NUM_SITES 0 TILEPROP VBRK_X9Y72 ROW 84 TILEPROP VBRK_X9Y72 SLR_REGION_ID 0 TILEPROP VBRK_X9Y72 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y72 TILE_TYPE VBRK TILEPROP VBRK_X9Y72 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y72 TILE_X -87590 TILEPROP VBRK_X9Y72 TILE_Y -17600 TILEPROP VBRK_X9Y72 TYPE VBRK TILEPROP VBRK_X9Y73 CLASS tile TILEPROP VBRK_X9Y73 COLUMN 9 TILEPROP VBRK_X9Y73 DEVICE_ID 0 TILEPROP VBRK_X9Y73 FIRST_SITE_ID 8205 TILEPROP VBRK_X9Y73 GRID_POINT_X 9 TILEPROP VBRK_X9Y73 GRID_POINT_Y 83 TILEPROP VBRK_X9Y73 INDEX 9554 TILEPROP VBRK_X9Y73 INT_TILE_X 1 TILEPROP VBRK_X9Y73 INT_TILE_Y 79 TILEPROP VBRK_X9Y73 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y73 IS_DCM_TILE 0 TILEPROP VBRK_X9Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y73 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y73 NAME VBRK_X9Y73 TILEPROP VBRK_X9Y73 NUM_ARCS 0 TILEPROP VBRK_X9Y73 NUM_SITES 0 TILEPROP VBRK_X9Y73 ROW 83 TILEPROP VBRK_X9Y73 SLR_REGION_ID 0 TILEPROP VBRK_X9Y73 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y73 TILE_TYPE VBRK TILEPROP VBRK_X9Y73 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y73 TILE_X -87590 TILEPROP VBRK_X9Y73 TILE_Y -14400 TILEPROP VBRK_X9Y73 TYPE VBRK TILEPROP VBRK_X9Y74 CLASS tile TILEPROP VBRK_X9Y74 COLUMN 9 TILEPROP VBRK_X9Y74 DEVICE_ID 0 TILEPROP VBRK_X9Y74 FIRST_SITE_ID 8105 TILEPROP VBRK_X9Y74 GRID_POINT_X 9 TILEPROP VBRK_X9Y74 GRID_POINT_Y 82 TILEPROP VBRK_X9Y74 INDEX 9439 TILEPROP VBRK_X9Y74 INT_TILE_X 1 TILEPROP VBRK_X9Y74 INT_TILE_Y 78 TILEPROP VBRK_X9Y74 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y74 IS_DCM_TILE 0 TILEPROP VBRK_X9Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y74 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y74 NAME VBRK_X9Y74 TILEPROP VBRK_X9Y74 NUM_ARCS 0 TILEPROP VBRK_X9Y74 NUM_SITES 0 TILEPROP VBRK_X9Y74 ROW 82 TILEPROP VBRK_X9Y74 SLR_REGION_ID 0 TILEPROP VBRK_X9Y74 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y74 TILE_TYPE VBRK TILEPROP VBRK_X9Y74 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y74 TILE_X -87590 TILEPROP VBRK_X9Y74 TILE_Y -11200 TILEPROP VBRK_X9Y74 TYPE VBRK TILEPROP VBRK_X9Y75 CLASS tile TILEPROP VBRK_X9Y75 COLUMN 9 TILEPROP VBRK_X9Y75 DEVICE_ID 0 TILEPROP VBRK_X9Y75 FIRST_SITE_ID 8005 TILEPROP VBRK_X9Y75 GRID_POINT_X 9 TILEPROP VBRK_X9Y75 GRID_POINT_Y 81 TILEPROP VBRK_X9Y75 INDEX 9324 TILEPROP VBRK_X9Y75 INT_TILE_X 1 TILEPROP VBRK_X9Y75 INT_TILE_Y 77 TILEPROP VBRK_X9Y75 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y75 IS_DCM_TILE 0 TILEPROP VBRK_X9Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y75 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y75 NAME VBRK_X9Y75 TILEPROP VBRK_X9Y75 NUM_ARCS 0 TILEPROP VBRK_X9Y75 NUM_SITES 0 TILEPROP VBRK_X9Y75 ROW 81 TILEPROP VBRK_X9Y75 SLR_REGION_ID 0 TILEPROP VBRK_X9Y75 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y75 TILE_TYPE VBRK TILEPROP VBRK_X9Y75 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y75 TILE_X -87590 TILEPROP VBRK_X9Y75 TILE_Y -8000 TILEPROP VBRK_X9Y75 TYPE VBRK TILEPROP VBRK_X9Y76 CLASS tile TILEPROP VBRK_X9Y76 COLUMN 9 TILEPROP VBRK_X9Y76 DEVICE_ID 0 TILEPROP VBRK_X9Y76 FIRST_SITE_ID 7905 TILEPROP VBRK_X9Y76 GRID_POINT_X 9 TILEPROP VBRK_X9Y76 GRID_POINT_Y 80 TILEPROP VBRK_X9Y76 INDEX 9209 TILEPROP VBRK_X9Y76 INT_TILE_X 1 TILEPROP VBRK_X9Y76 INT_TILE_Y 76 TILEPROP VBRK_X9Y76 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y76 IS_DCM_TILE 0 TILEPROP VBRK_X9Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y76 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y76 NAME VBRK_X9Y76 TILEPROP VBRK_X9Y76 NUM_ARCS 0 TILEPROP VBRK_X9Y76 NUM_SITES 0 TILEPROP VBRK_X9Y76 ROW 80 TILEPROP VBRK_X9Y76 SLR_REGION_ID 0 TILEPROP VBRK_X9Y76 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y76 TILE_TYPE VBRK TILEPROP VBRK_X9Y76 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y76 TILE_X -87590 TILEPROP VBRK_X9Y76 TILE_Y -4800 TILEPROP VBRK_X9Y76 TYPE VBRK TILEPROP VBRK_X9Y77 CLASS tile TILEPROP VBRK_X9Y77 COLUMN 9 TILEPROP VBRK_X9Y77 DEVICE_ID 0 TILEPROP VBRK_X9Y77 FIRST_SITE_ID 7805 TILEPROP VBRK_X9Y77 GRID_POINT_X 9 TILEPROP VBRK_X9Y77 GRID_POINT_Y 79 TILEPROP VBRK_X9Y77 INDEX 9094 TILEPROP VBRK_X9Y77 INT_TILE_X 1 TILEPROP VBRK_X9Y77 INT_TILE_Y 75 TILEPROP VBRK_X9Y77 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y77 IS_DCM_TILE 0 TILEPROP VBRK_X9Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y77 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y77 NAME VBRK_X9Y77 TILEPROP VBRK_X9Y77 NUM_ARCS 0 TILEPROP VBRK_X9Y77 NUM_SITES 0 TILEPROP VBRK_X9Y77 ROW 79 TILEPROP VBRK_X9Y77 SLR_REGION_ID 0 TILEPROP VBRK_X9Y77 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y77 TILE_TYPE VBRK TILEPROP VBRK_X9Y77 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y77 TILE_X -87590 TILEPROP VBRK_X9Y77 TILE_Y -1600 TILEPROP VBRK_X9Y77 TYPE VBRK TILEPROP VBRK_X9Y79 CLASS tile TILEPROP VBRK_X9Y79 COLUMN 9 TILEPROP VBRK_X9Y79 DEVICE_ID 0 TILEPROP VBRK_X9Y79 FIRST_SITE_ID 7604 TILEPROP VBRK_X9Y79 GRID_POINT_X 9 TILEPROP VBRK_X9Y79 GRID_POINT_Y 77 TILEPROP VBRK_X9Y79 INDEX 8864 TILEPROP VBRK_X9Y79 INT_TILE_X 1 TILEPROP VBRK_X9Y79 INT_TILE_Y 74 TILEPROP VBRK_X9Y79 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y79 IS_DCM_TILE 0 TILEPROP VBRK_X9Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y79 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y79 NAME VBRK_X9Y79 TILEPROP VBRK_X9Y79 NUM_ARCS 0 TILEPROP VBRK_X9Y79 NUM_SITES 0 TILEPROP VBRK_X9Y79 ROW 77 TILEPROP VBRK_X9Y79 SLR_REGION_ID 0 TILEPROP VBRK_X9Y79 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y79 TILE_TYPE VBRK TILEPROP VBRK_X9Y79 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y79 TILE_X -87590 TILEPROP VBRK_X9Y79 TILE_Y 2624 TILEPROP VBRK_X9Y79 TYPE VBRK TILEPROP VBRK_X9Y80 CLASS tile TILEPROP VBRK_X9Y80 COLUMN 9 TILEPROP VBRK_X9Y80 DEVICE_ID 0 TILEPROP VBRK_X9Y80 FIRST_SITE_ID 7504 TILEPROP VBRK_X9Y80 GRID_POINT_X 9 TILEPROP VBRK_X9Y80 GRID_POINT_Y 76 TILEPROP VBRK_X9Y80 INDEX 8749 TILEPROP VBRK_X9Y80 INT_TILE_X 1 TILEPROP VBRK_X9Y80 INT_TILE_Y 73 TILEPROP VBRK_X9Y80 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y80 IS_DCM_TILE 0 TILEPROP VBRK_X9Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y80 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y80 NAME VBRK_X9Y80 TILEPROP VBRK_X9Y80 NUM_ARCS 0 TILEPROP VBRK_X9Y80 NUM_SITES 0 TILEPROP VBRK_X9Y80 ROW 76 TILEPROP VBRK_X9Y80 SLR_REGION_ID 0 TILEPROP VBRK_X9Y80 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y80 TILE_TYPE VBRK TILEPROP VBRK_X9Y80 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y80 TILE_X -87590 TILEPROP VBRK_X9Y80 TILE_Y 5824 TILEPROP VBRK_X9Y80 TYPE VBRK TILEPROP VBRK_X9Y81 CLASS tile TILEPROP VBRK_X9Y81 COLUMN 9 TILEPROP VBRK_X9Y81 DEVICE_ID 0 TILEPROP VBRK_X9Y81 FIRST_SITE_ID 7404 TILEPROP VBRK_X9Y81 GRID_POINT_X 9 TILEPROP VBRK_X9Y81 GRID_POINT_Y 75 TILEPROP VBRK_X9Y81 INDEX 8634 TILEPROP VBRK_X9Y81 INT_TILE_X 1 TILEPROP VBRK_X9Y81 INT_TILE_Y 72 TILEPROP VBRK_X9Y81 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y81 IS_DCM_TILE 0 TILEPROP VBRK_X9Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y81 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y81 NAME VBRK_X9Y81 TILEPROP VBRK_X9Y81 NUM_ARCS 0 TILEPROP VBRK_X9Y81 NUM_SITES 0 TILEPROP VBRK_X9Y81 ROW 75 TILEPROP VBRK_X9Y81 SLR_REGION_ID 0 TILEPROP VBRK_X9Y81 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y81 TILE_TYPE VBRK TILEPROP VBRK_X9Y81 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y81 TILE_X -87590 TILEPROP VBRK_X9Y81 TILE_Y 9024 TILEPROP VBRK_X9Y81 TYPE VBRK TILEPROP VBRK_X9Y82 CLASS tile TILEPROP VBRK_X9Y82 COLUMN 9 TILEPROP VBRK_X9Y82 DEVICE_ID 0 TILEPROP VBRK_X9Y82 FIRST_SITE_ID 7304 TILEPROP VBRK_X9Y82 GRID_POINT_X 9 TILEPROP VBRK_X9Y82 GRID_POINT_Y 74 TILEPROP VBRK_X9Y82 INDEX 8519 TILEPROP VBRK_X9Y82 INT_TILE_X 1 TILEPROP VBRK_X9Y82 INT_TILE_Y 71 TILEPROP VBRK_X9Y82 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y82 IS_DCM_TILE 0 TILEPROP VBRK_X9Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y82 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y82 NAME VBRK_X9Y82 TILEPROP VBRK_X9Y82 NUM_ARCS 0 TILEPROP VBRK_X9Y82 NUM_SITES 0 TILEPROP VBRK_X9Y82 ROW 74 TILEPROP VBRK_X9Y82 SLR_REGION_ID 0 TILEPROP VBRK_X9Y82 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y82 TILE_TYPE VBRK TILEPROP VBRK_X9Y82 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y82 TILE_X -87590 TILEPROP VBRK_X9Y82 TILE_Y 12224 TILEPROP VBRK_X9Y82 TYPE VBRK TILEPROP VBRK_X9Y83 CLASS tile TILEPROP VBRK_X9Y83 COLUMN 9 TILEPROP VBRK_X9Y83 DEVICE_ID 0 TILEPROP VBRK_X9Y83 FIRST_SITE_ID 7198 TILEPROP VBRK_X9Y83 GRID_POINT_X 9 TILEPROP VBRK_X9Y83 GRID_POINT_Y 73 TILEPROP VBRK_X9Y83 INDEX 8404 TILEPROP VBRK_X9Y83 INT_TILE_X 1 TILEPROP VBRK_X9Y83 INT_TILE_Y 70 TILEPROP VBRK_X9Y83 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y83 IS_DCM_TILE 0 TILEPROP VBRK_X9Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y83 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y83 NAME VBRK_X9Y83 TILEPROP VBRK_X9Y83 NUM_ARCS 0 TILEPROP VBRK_X9Y83 NUM_SITES 0 TILEPROP VBRK_X9Y83 ROW 73 TILEPROP VBRK_X9Y83 SLR_REGION_ID 0 TILEPROP VBRK_X9Y83 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y83 TILE_TYPE VBRK TILEPROP VBRK_X9Y83 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y83 TILE_X -87590 TILEPROP VBRK_X9Y83 TILE_Y 15424 TILEPROP VBRK_X9Y83 TYPE VBRK TILEPROP VBRK_X9Y84 CLASS tile TILEPROP VBRK_X9Y84 COLUMN 9 TILEPROP VBRK_X9Y84 DEVICE_ID 0 TILEPROP VBRK_X9Y84 FIRST_SITE_ID 7077 TILEPROP VBRK_X9Y84 GRID_POINT_X 9 TILEPROP VBRK_X9Y84 GRID_POINT_Y 72 TILEPROP VBRK_X9Y84 INDEX 8289 TILEPROP VBRK_X9Y84 INT_TILE_X 1 TILEPROP VBRK_X9Y84 INT_TILE_Y 69 TILEPROP VBRK_X9Y84 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y84 IS_DCM_TILE 0 TILEPROP VBRK_X9Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y84 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y84 NAME VBRK_X9Y84 TILEPROP VBRK_X9Y84 NUM_ARCS 0 TILEPROP VBRK_X9Y84 NUM_SITES 0 TILEPROP VBRK_X9Y84 ROW 72 TILEPROP VBRK_X9Y84 SLR_REGION_ID 0 TILEPROP VBRK_X9Y84 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y84 TILE_TYPE VBRK TILEPROP VBRK_X9Y84 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y84 TILE_X -87590 TILEPROP VBRK_X9Y84 TILE_Y 18624 TILEPROP VBRK_X9Y84 TYPE VBRK TILEPROP VBRK_X9Y85 CLASS tile TILEPROP VBRK_X9Y85 COLUMN 9 TILEPROP VBRK_X9Y85 DEVICE_ID 0 TILEPROP VBRK_X9Y85 FIRST_SITE_ID 6975 TILEPROP VBRK_X9Y85 GRID_POINT_X 9 TILEPROP VBRK_X9Y85 GRID_POINT_Y 71 TILEPROP VBRK_X9Y85 INDEX 8174 TILEPROP VBRK_X9Y85 INT_TILE_X 1 TILEPROP VBRK_X9Y85 INT_TILE_Y 68 TILEPROP VBRK_X9Y85 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y85 IS_DCM_TILE 0 TILEPROP VBRK_X9Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y85 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y85 NAME VBRK_X9Y85 TILEPROP VBRK_X9Y85 NUM_ARCS 0 TILEPROP VBRK_X9Y85 NUM_SITES 0 TILEPROP VBRK_X9Y85 ROW 71 TILEPROP VBRK_X9Y85 SLR_REGION_ID 0 TILEPROP VBRK_X9Y85 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y85 TILE_TYPE VBRK TILEPROP VBRK_X9Y85 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y85 TILE_X -87590 TILEPROP VBRK_X9Y85 TILE_Y 21824 TILEPROP VBRK_X9Y85 TYPE VBRK TILEPROP VBRK_X9Y86 CLASS tile TILEPROP VBRK_X9Y86 COLUMN 9 TILEPROP VBRK_X9Y86 DEVICE_ID 0 TILEPROP VBRK_X9Y86 FIRST_SITE_ID 6873 TILEPROP VBRK_X9Y86 GRID_POINT_X 9 TILEPROP VBRK_X9Y86 GRID_POINT_Y 70 TILEPROP VBRK_X9Y86 INDEX 8059 TILEPROP VBRK_X9Y86 INT_TILE_X 1 TILEPROP VBRK_X9Y86 INT_TILE_Y 67 TILEPROP VBRK_X9Y86 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y86 IS_DCM_TILE 0 TILEPROP VBRK_X9Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y86 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y86 NAME VBRK_X9Y86 TILEPROP VBRK_X9Y86 NUM_ARCS 0 TILEPROP VBRK_X9Y86 NUM_SITES 0 TILEPROP VBRK_X9Y86 ROW 70 TILEPROP VBRK_X9Y86 SLR_REGION_ID 0 TILEPROP VBRK_X9Y86 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y86 TILE_TYPE VBRK TILEPROP VBRK_X9Y86 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y86 TILE_X -87590 TILEPROP VBRK_X9Y86 TILE_Y 25024 TILEPROP VBRK_X9Y86 TYPE VBRK TILEPROP VBRK_X9Y87 CLASS tile TILEPROP VBRK_X9Y87 COLUMN 9 TILEPROP VBRK_X9Y87 DEVICE_ID 0 TILEPROP VBRK_X9Y87 FIRST_SITE_ID 6773 TILEPROP VBRK_X9Y87 GRID_POINT_X 9 TILEPROP VBRK_X9Y87 GRID_POINT_Y 69 TILEPROP VBRK_X9Y87 INDEX 7944 TILEPROP VBRK_X9Y87 INT_TILE_X 1 TILEPROP VBRK_X9Y87 INT_TILE_Y 66 TILEPROP VBRK_X9Y87 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y87 IS_DCM_TILE 0 TILEPROP VBRK_X9Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y87 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y87 NAME VBRK_X9Y87 TILEPROP VBRK_X9Y87 NUM_ARCS 0 TILEPROP VBRK_X9Y87 NUM_SITES 0 TILEPROP VBRK_X9Y87 ROW 69 TILEPROP VBRK_X9Y87 SLR_REGION_ID 0 TILEPROP VBRK_X9Y87 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y87 TILE_TYPE VBRK TILEPROP VBRK_X9Y87 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y87 TILE_X -87590 TILEPROP VBRK_X9Y87 TILE_Y 28224 TILEPROP VBRK_X9Y87 TYPE VBRK TILEPROP VBRK_X9Y88 CLASS tile TILEPROP VBRK_X9Y88 COLUMN 9 TILEPROP VBRK_X9Y88 DEVICE_ID 0 TILEPROP VBRK_X9Y88 FIRST_SITE_ID 6673 TILEPROP VBRK_X9Y88 GRID_POINT_X 9 TILEPROP VBRK_X9Y88 GRID_POINT_Y 68 TILEPROP VBRK_X9Y88 INDEX 7829 TILEPROP VBRK_X9Y88 INT_TILE_X 1 TILEPROP VBRK_X9Y88 INT_TILE_Y 65 TILEPROP VBRK_X9Y88 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y88 IS_DCM_TILE 0 TILEPROP VBRK_X9Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y88 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y88 NAME VBRK_X9Y88 TILEPROP VBRK_X9Y88 NUM_ARCS 0 TILEPROP VBRK_X9Y88 NUM_SITES 0 TILEPROP VBRK_X9Y88 ROW 68 TILEPROP VBRK_X9Y88 SLR_REGION_ID 0 TILEPROP VBRK_X9Y88 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y88 TILE_TYPE VBRK TILEPROP VBRK_X9Y88 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y88 TILE_X -87590 TILEPROP VBRK_X9Y88 TILE_Y 31424 TILEPROP VBRK_X9Y88 TYPE VBRK TILEPROP VBRK_X9Y89 CLASS tile TILEPROP VBRK_X9Y89 COLUMN 9 TILEPROP VBRK_X9Y89 DEVICE_ID 0 TILEPROP VBRK_X9Y89 FIRST_SITE_ID 6558 TILEPROP VBRK_X9Y89 GRID_POINT_X 9 TILEPROP VBRK_X9Y89 GRID_POINT_Y 67 TILEPROP VBRK_X9Y89 INDEX 7714 TILEPROP VBRK_X9Y89 INT_TILE_X 1 TILEPROP VBRK_X9Y89 INT_TILE_Y 64 TILEPROP VBRK_X9Y89 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y89 IS_DCM_TILE 0 TILEPROP VBRK_X9Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y89 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y89 NAME VBRK_X9Y89 TILEPROP VBRK_X9Y89 NUM_ARCS 0 TILEPROP VBRK_X9Y89 NUM_SITES 0 TILEPROP VBRK_X9Y89 ROW 67 TILEPROP VBRK_X9Y89 SLR_REGION_ID 0 TILEPROP VBRK_X9Y89 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y89 TILE_TYPE VBRK TILEPROP VBRK_X9Y89 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y89 TILE_X -87590 TILEPROP VBRK_X9Y89 TILE_Y 34624 TILEPROP VBRK_X9Y89 TYPE VBRK TILEPROP VBRK_X9Y90 CLASS tile TILEPROP VBRK_X9Y90 COLUMN 9 TILEPROP VBRK_X9Y90 DEVICE_ID 0 TILEPROP VBRK_X9Y90 FIRST_SITE_ID 6426 TILEPROP VBRK_X9Y90 GRID_POINT_X 9 TILEPROP VBRK_X9Y90 GRID_POINT_Y 66 TILEPROP VBRK_X9Y90 INDEX 7599 TILEPROP VBRK_X9Y90 INT_TILE_X 1 TILEPROP VBRK_X9Y90 INT_TILE_Y 63 TILEPROP VBRK_X9Y90 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y90 IS_DCM_TILE 0 TILEPROP VBRK_X9Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y90 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y90 NAME VBRK_X9Y90 TILEPROP VBRK_X9Y90 NUM_ARCS 0 TILEPROP VBRK_X9Y90 NUM_SITES 0 TILEPROP VBRK_X9Y90 ROW 66 TILEPROP VBRK_X9Y90 SLR_REGION_ID 0 TILEPROP VBRK_X9Y90 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y90 TILE_TYPE VBRK TILEPROP VBRK_X9Y90 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y90 TILE_X -87590 TILEPROP VBRK_X9Y90 TILE_Y 37824 TILEPROP VBRK_X9Y90 TYPE VBRK TILEPROP VBRK_X9Y91 CLASS tile TILEPROP VBRK_X9Y91 COLUMN 9 TILEPROP VBRK_X9Y91 DEVICE_ID 0 TILEPROP VBRK_X9Y91 FIRST_SITE_ID 6326 TILEPROP VBRK_X9Y91 GRID_POINT_X 9 TILEPROP VBRK_X9Y91 GRID_POINT_Y 65 TILEPROP VBRK_X9Y91 INDEX 7484 TILEPROP VBRK_X9Y91 INT_TILE_X 1 TILEPROP VBRK_X9Y91 INT_TILE_Y 62 TILEPROP VBRK_X9Y91 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y91 IS_DCM_TILE 0 TILEPROP VBRK_X9Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y91 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y91 NAME VBRK_X9Y91 TILEPROP VBRK_X9Y91 NUM_ARCS 0 TILEPROP VBRK_X9Y91 NUM_SITES 0 TILEPROP VBRK_X9Y91 ROW 65 TILEPROP VBRK_X9Y91 SLR_REGION_ID 0 TILEPROP VBRK_X9Y91 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y91 TILE_TYPE VBRK TILEPROP VBRK_X9Y91 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y91 TILE_X -87590 TILEPROP VBRK_X9Y91 TILE_Y 41024 TILEPROP VBRK_X9Y91 TYPE VBRK TILEPROP VBRK_X9Y92 CLASS tile TILEPROP VBRK_X9Y92 COLUMN 9 TILEPROP VBRK_X9Y92 DEVICE_ID 0 TILEPROP VBRK_X9Y92 FIRST_SITE_ID 6226 TILEPROP VBRK_X9Y92 GRID_POINT_X 9 TILEPROP VBRK_X9Y92 GRID_POINT_Y 64 TILEPROP VBRK_X9Y92 INDEX 7369 TILEPROP VBRK_X9Y92 INT_TILE_X 1 TILEPROP VBRK_X9Y92 INT_TILE_Y 61 TILEPROP VBRK_X9Y92 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y92 IS_DCM_TILE 0 TILEPROP VBRK_X9Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y92 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y92 NAME VBRK_X9Y92 TILEPROP VBRK_X9Y92 NUM_ARCS 0 TILEPROP VBRK_X9Y92 NUM_SITES 0 TILEPROP VBRK_X9Y92 ROW 64 TILEPROP VBRK_X9Y92 SLR_REGION_ID 0 TILEPROP VBRK_X9Y92 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y92 TILE_TYPE VBRK TILEPROP VBRK_X9Y92 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y92 TILE_X -87590 TILEPROP VBRK_X9Y92 TILE_Y 44224 TILEPROP VBRK_X9Y92 TYPE VBRK TILEPROP VBRK_X9Y93 CLASS tile TILEPROP VBRK_X9Y93 COLUMN 9 TILEPROP VBRK_X9Y93 DEVICE_ID 0 TILEPROP VBRK_X9Y93 FIRST_SITE_ID 6126 TILEPROP VBRK_X9Y93 GRID_POINT_X 9 TILEPROP VBRK_X9Y93 GRID_POINT_Y 63 TILEPROP VBRK_X9Y93 INDEX 7254 TILEPROP VBRK_X9Y93 INT_TILE_X 1 TILEPROP VBRK_X9Y93 INT_TILE_Y 60 TILEPROP VBRK_X9Y93 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y93 IS_DCM_TILE 0 TILEPROP VBRK_X9Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y93 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y93 NAME VBRK_X9Y93 TILEPROP VBRK_X9Y93 NUM_ARCS 0 TILEPROP VBRK_X9Y93 NUM_SITES 0 TILEPROP VBRK_X9Y93 ROW 63 TILEPROP VBRK_X9Y93 SLR_REGION_ID 0 TILEPROP VBRK_X9Y93 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y93 TILE_TYPE VBRK TILEPROP VBRK_X9Y93 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y93 TILE_X -87590 TILEPROP VBRK_X9Y93 TILE_Y 47424 TILEPROP VBRK_X9Y93 TYPE VBRK TILEPROP VBRK_X9Y94 CLASS tile TILEPROP VBRK_X9Y94 COLUMN 9 TILEPROP VBRK_X9Y94 DEVICE_ID 0 TILEPROP VBRK_X9Y94 FIRST_SITE_ID 6011 TILEPROP VBRK_X9Y94 GRID_POINT_X 9 TILEPROP VBRK_X9Y94 GRID_POINT_Y 62 TILEPROP VBRK_X9Y94 INDEX 7139 TILEPROP VBRK_X9Y94 INT_TILE_X 1 TILEPROP VBRK_X9Y94 INT_TILE_Y 59 TILEPROP VBRK_X9Y94 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y94 IS_DCM_TILE 0 TILEPROP VBRK_X9Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y94 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y94 NAME VBRK_X9Y94 TILEPROP VBRK_X9Y94 NUM_ARCS 0 TILEPROP VBRK_X9Y94 NUM_SITES 0 TILEPROP VBRK_X9Y94 ROW 62 TILEPROP VBRK_X9Y94 SLR_REGION_ID 0 TILEPROP VBRK_X9Y94 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y94 TILE_TYPE VBRK TILEPROP VBRK_X9Y94 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y94 TILE_X -87590 TILEPROP VBRK_X9Y94 TILE_Y 50624 TILEPROP VBRK_X9Y94 TYPE VBRK TILEPROP VBRK_X9Y95 CLASS tile TILEPROP VBRK_X9Y95 COLUMN 9 TILEPROP VBRK_X9Y95 DEVICE_ID 0 TILEPROP VBRK_X9Y95 FIRST_SITE_ID 5911 TILEPROP VBRK_X9Y95 GRID_POINT_X 9 TILEPROP VBRK_X9Y95 GRID_POINT_Y 61 TILEPROP VBRK_X9Y95 INDEX 7024 TILEPROP VBRK_X9Y95 INT_TILE_X 1 TILEPROP VBRK_X9Y95 INT_TILE_Y 58 TILEPROP VBRK_X9Y95 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y95 IS_DCM_TILE 0 TILEPROP VBRK_X9Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y95 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y95 NAME VBRK_X9Y95 TILEPROP VBRK_X9Y95 NUM_ARCS 0 TILEPROP VBRK_X9Y95 NUM_SITES 0 TILEPROP VBRK_X9Y95 ROW 61 TILEPROP VBRK_X9Y95 SLR_REGION_ID 0 TILEPROP VBRK_X9Y95 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y95 TILE_TYPE VBRK TILEPROP VBRK_X9Y95 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y95 TILE_X -87590 TILEPROP VBRK_X9Y95 TILE_Y 53824 TILEPROP VBRK_X9Y95 TYPE VBRK TILEPROP VBRK_X9Y96 CLASS tile TILEPROP VBRK_X9Y96 COLUMN 9 TILEPROP VBRK_X9Y96 DEVICE_ID 0 TILEPROP VBRK_X9Y96 FIRST_SITE_ID 5810 TILEPROP VBRK_X9Y96 GRID_POINT_X 9 TILEPROP VBRK_X9Y96 GRID_POINT_Y 60 TILEPROP VBRK_X9Y96 INDEX 6909 TILEPROP VBRK_X9Y96 INT_TILE_X 1 TILEPROP VBRK_X9Y96 INT_TILE_Y 57 TILEPROP VBRK_X9Y96 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y96 IS_DCM_TILE 0 TILEPROP VBRK_X9Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y96 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y96 NAME VBRK_X9Y96 TILEPROP VBRK_X9Y96 NUM_ARCS 0 TILEPROP VBRK_X9Y96 NUM_SITES 0 TILEPROP VBRK_X9Y96 ROW 60 TILEPROP VBRK_X9Y96 SLR_REGION_ID 0 TILEPROP VBRK_X9Y96 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y96 TILE_TYPE VBRK TILEPROP VBRK_X9Y96 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y96 TILE_X -87590 TILEPROP VBRK_X9Y96 TILE_Y 57024 TILEPROP VBRK_X9Y96 TYPE VBRK TILEPROP VBRK_X9Y97 CLASS tile TILEPROP VBRK_X9Y97 COLUMN 9 TILEPROP VBRK_X9Y97 DEVICE_ID 0 TILEPROP VBRK_X9Y97 FIRST_SITE_ID 5707 TILEPROP VBRK_X9Y97 GRID_POINT_X 9 TILEPROP VBRK_X9Y97 GRID_POINT_Y 59 TILEPROP VBRK_X9Y97 INDEX 6794 TILEPROP VBRK_X9Y97 INT_TILE_X 1 TILEPROP VBRK_X9Y97 INT_TILE_Y 56 TILEPROP VBRK_X9Y97 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y97 IS_DCM_TILE 0 TILEPROP VBRK_X9Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y97 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y97 NAME VBRK_X9Y97 TILEPROP VBRK_X9Y97 NUM_ARCS 0 TILEPROP VBRK_X9Y97 NUM_SITES 0 TILEPROP VBRK_X9Y97 ROW 59 TILEPROP VBRK_X9Y97 SLR_REGION_ID 0 TILEPROP VBRK_X9Y97 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y97 TILE_TYPE VBRK TILEPROP VBRK_X9Y97 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y97 TILE_X -87590 TILEPROP VBRK_X9Y97 TILE_Y 60224 TILEPROP VBRK_X9Y97 TYPE VBRK TILEPROP VBRK_X9Y98 CLASS tile TILEPROP VBRK_X9Y98 COLUMN 9 TILEPROP VBRK_X9Y98 DEVICE_ID 0 TILEPROP VBRK_X9Y98 FIRST_SITE_ID 5605 TILEPROP VBRK_X9Y98 GRID_POINT_X 9 TILEPROP VBRK_X9Y98 GRID_POINT_Y 58 TILEPROP VBRK_X9Y98 INDEX 6679 TILEPROP VBRK_X9Y98 INT_TILE_X 1 TILEPROP VBRK_X9Y98 INT_TILE_Y 55 TILEPROP VBRK_X9Y98 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y98 IS_DCM_TILE 0 TILEPROP VBRK_X9Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y98 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y98 NAME VBRK_X9Y98 TILEPROP VBRK_X9Y98 NUM_ARCS 0 TILEPROP VBRK_X9Y98 NUM_SITES 0 TILEPROP VBRK_X9Y98 ROW 58 TILEPROP VBRK_X9Y98 SLR_REGION_ID 0 TILEPROP VBRK_X9Y98 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y98 TILE_TYPE VBRK TILEPROP VBRK_X9Y98 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y98 TILE_X -87590 TILEPROP VBRK_X9Y98 TILE_Y 63424 TILEPROP VBRK_X9Y98 TYPE VBRK TILEPROP VBRK_X9Y99 CLASS tile TILEPROP VBRK_X9Y99 COLUMN 9 TILEPROP VBRK_X9Y99 DEVICE_ID 0 TILEPROP VBRK_X9Y99 FIRST_SITE_ID 5490 TILEPROP VBRK_X9Y99 GRID_POINT_X 9 TILEPROP VBRK_X9Y99 GRID_POINT_Y 57 TILEPROP VBRK_X9Y99 INDEX 6564 TILEPROP VBRK_X9Y99 INT_TILE_X 1 TILEPROP VBRK_X9Y99 INT_TILE_Y 54 TILEPROP VBRK_X9Y99 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y99 IS_DCM_TILE 0 TILEPROP VBRK_X9Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y99 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y99 NAME VBRK_X9Y99 TILEPROP VBRK_X9Y99 NUM_ARCS 0 TILEPROP VBRK_X9Y99 NUM_SITES 0 TILEPROP VBRK_X9Y99 ROW 57 TILEPROP VBRK_X9Y99 SLR_REGION_ID 0 TILEPROP VBRK_X9Y99 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y99 TILE_TYPE VBRK TILEPROP VBRK_X9Y99 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y99 TILE_X -87590 TILEPROP VBRK_X9Y99 TILE_Y 66624 TILEPROP VBRK_X9Y99 TYPE VBRK TILEPROP VBRK_X9Y100 CLASS tile TILEPROP VBRK_X9Y100 COLUMN 9 TILEPROP VBRK_X9Y100 DEVICE_ID 0 TILEPROP VBRK_X9Y100 FIRST_SITE_ID 5390 TILEPROP VBRK_X9Y100 GRID_POINT_X 9 TILEPROP VBRK_X9Y100 GRID_POINT_Y 56 TILEPROP VBRK_X9Y100 INDEX 6449 TILEPROP VBRK_X9Y100 INT_TILE_X 1 TILEPROP VBRK_X9Y100 INT_TILE_Y 53 TILEPROP VBRK_X9Y100 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y100 IS_DCM_TILE 0 TILEPROP VBRK_X9Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y100 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y100 NAME VBRK_X9Y100 TILEPROP VBRK_X9Y100 NUM_ARCS 0 TILEPROP VBRK_X9Y100 NUM_SITES 0 TILEPROP VBRK_X9Y100 ROW 56 TILEPROP VBRK_X9Y100 SLR_REGION_ID 0 TILEPROP VBRK_X9Y100 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y100 TILE_TYPE VBRK TILEPROP VBRK_X9Y100 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y100 TILE_X -87590 TILEPROP VBRK_X9Y100 TILE_Y 69824 TILEPROP VBRK_X9Y100 TYPE VBRK TILEPROP VBRK_X9Y101 CLASS tile TILEPROP VBRK_X9Y101 COLUMN 9 TILEPROP VBRK_X9Y101 DEVICE_ID 0 TILEPROP VBRK_X9Y101 FIRST_SITE_ID 5290 TILEPROP VBRK_X9Y101 GRID_POINT_X 9 TILEPROP VBRK_X9Y101 GRID_POINT_Y 55 TILEPROP VBRK_X9Y101 INDEX 6334 TILEPROP VBRK_X9Y101 INT_TILE_X 1 TILEPROP VBRK_X9Y101 INT_TILE_Y 52 TILEPROP VBRK_X9Y101 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y101 IS_DCM_TILE 0 TILEPROP VBRK_X9Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y101 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y101 NAME VBRK_X9Y101 TILEPROP VBRK_X9Y101 NUM_ARCS 0 TILEPROP VBRK_X9Y101 NUM_SITES 0 TILEPROP VBRK_X9Y101 ROW 55 TILEPROP VBRK_X9Y101 SLR_REGION_ID 0 TILEPROP VBRK_X9Y101 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y101 TILE_TYPE VBRK TILEPROP VBRK_X9Y101 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y101 TILE_X -87590 TILEPROP VBRK_X9Y101 TILE_Y 73024 TILEPROP VBRK_X9Y101 TYPE VBRK TILEPROP VBRK_X9Y102 CLASS tile TILEPROP VBRK_X9Y102 COLUMN 9 TILEPROP VBRK_X9Y102 DEVICE_ID 0 TILEPROP VBRK_X9Y102 FIRST_SITE_ID 5190 TILEPROP VBRK_X9Y102 GRID_POINT_X 9 TILEPROP VBRK_X9Y102 GRID_POINT_Y 54 TILEPROP VBRK_X9Y102 INDEX 6219 TILEPROP VBRK_X9Y102 INT_TILE_X 1 TILEPROP VBRK_X9Y102 INT_TILE_Y 51 TILEPROP VBRK_X9Y102 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y102 IS_DCM_TILE 0 TILEPROP VBRK_X9Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y102 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y102 NAME VBRK_X9Y102 TILEPROP VBRK_X9Y102 NUM_ARCS 0 TILEPROP VBRK_X9Y102 NUM_SITES 0 TILEPROP VBRK_X9Y102 ROW 54 TILEPROP VBRK_X9Y102 SLR_REGION_ID 0 TILEPROP VBRK_X9Y102 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y102 TILE_TYPE VBRK TILEPROP VBRK_X9Y102 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y102 TILE_X -87590 TILEPROP VBRK_X9Y102 TILE_Y 76224 TILEPROP VBRK_X9Y102 TYPE VBRK TILEPROP VBRK_X9Y103 CLASS tile TILEPROP VBRK_X9Y103 COLUMN 9 TILEPROP VBRK_X9Y103 DEVICE_ID 0 TILEPROP VBRK_X9Y103 FIRST_SITE_ID 5094 TILEPROP VBRK_X9Y103 GRID_POINT_X 9 TILEPROP VBRK_X9Y103 GRID_POINT_Y 53 TILEPROP VBRK_X9Y103 INDEX 6104 TILEPROP VBRK_X9Y103 INT_TILE_X 1 TILEPROP VBRK_X9Y103 INT_TILE_Y 50 TILEPROP VBRK_X9Y103 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y103 IS_DCM_TILE 0 TILEPROP VBRK_X9Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y103 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y103 NAME VBRK_X9Y103 TILEPROP VBRK_X9Y103 NUM_ARCS 0 TILEPROP VBRK_X9Y103 NUM_SITES 0 TILEPROP VBRK_X9Y103 ROW 53 TILEPROP VBRK_X9Y103 SLR_REGION_ID 0 TILEPROP VBRK_X9Y103 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y103 TILE_TYPE VBRK TILEPROP VBRK_X9Y103 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y103 TILE_X -87590 TILEPROP VBRK_X9Y103 TILE_Y 79424 TILEPROP VBRK_X9Y103 TYPE VBRK TILEPROP VBRK_X9Y105 CLASS tile TILEPROP VBRK_X9Y105 COLUMN 9 TILEPROP VBRK_X9Y105 DEVICE_ID 0 TILEPROP VBRK_X9Y105 FIRST_SITE_ID 4993 TILEPROP VBRK_X9Y105 GRID_POINT_X 9 TILEPROP VBRK_X9Y105 GRID_POINT_Y 51 TILEPROP VBRK_X9Y105 INDEX 5874 TILEPROP VBRK_X9Y105 INT_TILE_X 1 TILEPROP VBRK_X9Y105 INT_TILE_Y 49 TILEPROP VBRK_X9Y105 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y105 IS_DCM_TILE 0 TILEPROP VBRK_X9Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y105 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y105 NAME VBRK_X9Y105 TILEPROP VBRK_X9Y105 NUM_ARCS 0 TILEPROP VBRK_X9Y105 NUM_SITES 0 TILEPROP VBRK_X9Y105 ROW 51 TILEPROP VBRK_X9Y105 SLR_REGION_ID 0 TILEPROP VBRK_X9Y105 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y105 TILE_TYPE VBRK TILEPROP VBRK_X9Y105 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y105 TILE_X -87590 TILEPROP VBRK_X9Y105 TILE_Y 82872 TILEPROP VBRK_X9Y105 TYPE VBRK TILEPROP VBRK_X9Y106 CLASS tile TILEPROP VBRK_X9Y106 COLUMN 9 TILEPROP VBRK_X9Y106 DEVICE_ID 0 TILEPROP VBRK_X9Y106 FIRST_SITE_ID 4901 TILEPROP VBRK_X9Y106 GRID_POINT_X 9 TILEPROP VBRK_X9Y106 GRID_POINT_Y 50 TILEPROP VBRK_X9Y106 INDEX 5759 TILEPROP VBRK_X9Y106 INT_TILE_X 1 TILEPROP VBRK_X9Y106 INT_TILE_Y 48 TILEPROP VBRK_X9Y106 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y106 IS_DCM_TILE 0 TILEPROP VBRK_X9Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y106 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y106 NAME VBRK_X9Y106 TILEPROP VBRK_X9Y106 NUM_ARCS 0 TILEPROP VBRK_X9Y106 NUM_SITES 0 TILEPROP VBRK_X9Y106 ROW 50 TILEPROP VBRK_X9Y106 SLR_REGION_ID 0 TILEPROP VBRK_X9Y106 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y106 TILE_TYPE VBRK TILEPROP VBRK_X9Y106 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y106 TILE_X -87590 TILEPROP VBRK_X9Y106 TILE_Y 86072 TILEPROP VBRK_X9Y106 TYPE VBRK TILEPROP VBRK_X9Y107 CLASS tile TILEPROP VBRK_X9Y107 COLUMN 9 TILEPROP VBRK_X9Y107 DEVICE_ID 0 TILEPROP VBRK_X9Y107 FIRST_SITE_ID 4805 TILEPROP VBRK_X9Y107 GRID_POINT_X 9 TILEPROP VBRK_X9Y107 GRID_POINT_Y 49 TILEPROP VBRK_X9Y107 INDEX 5644 TILEPROP VBRK_X9Y107 INT_TILE_X 1 TILEPROP VBRK_X9Y107 INT_TILE_Y 47 TILEPROP VBRK_X9Y107 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y107 IS_DCM_TILE 0 TILEPROP VBRK_X9Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y107 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y107 NAME VBRK_X9Y107 TILEPROP VBRK_X9Y107 NUM_ARCS 0 TILEPROP VBRK_X9Y107 NUM_SITES 0 TILEPROP VBRK_X9Y107 ROW 49 TILEPROP VBRK_X9Y107 SLR_REGION_ID 0 TILEPROP VBRK_X9Y107 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y107 TILE_TYPE VBRK TILEPROP VBRK_X9Y107 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y107 TILE_X -87590 TILEPROP VBRK_X9Y107 TILE_Y 89272 TILEPROP VBRK_X9Y107 TYPE VBRK TILEPROP VBRK_X9Y108 CLASS tile TILEPROP VBRK_X9Y108 COLUMN 9 TILEPROP VBRK_X9Y108 DEVICE_ID 0 TILEPROP VBRK_X9Y108 FIRST_SITE_ID 4717 TILEPROP VBRK_X9Y108 GRID_POINT_X 9 TILEPROP VBRK_X9Y108 GRID_POINT_Y 48 TILEPROP VBRK_X9Y108 INDEX 5529 TILEPROP VBRK_X9Y108 INT_TILE_X 1 TILEPROP VBRK_X9Y108 INT_TILE_Y 46 TILEPROP VBRK_X9Y108 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y108 IS_DCM_TILE 0 TILEPROP VBRK_X9Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y108 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y108 NAME VBRK_X9Y108 TILEPROP VBRK_X9Y108 NUM_ARCS 0 TILEPROP VBRK_X9Y108 NUM_SITES 0 TILEPROP VBRK_X9Y108 ROW 48 TILEPROP VBRK_X9Y108 SLR_REGION_ID 0 TILEPROP VBRK_X9Y108 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y108 TILE_TYPE VBRK TILEPROP VBRK_X9Y108 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y108 TILE_X -87590 TILEPROP VBRK_X9Y108 TILE_Y 92472 TILEPROP VBRK_X9Y108 TYPE VBRK TILEPROP VBRK_X9Y109 CLASS tile TILEPROP VBRK_X9Y109 COLUMN 9 TILEPROP VBRK_X9Y109 DEVICE_ID 0 TILEPROP VBRK_X9Y109 FIRST_SITE_ID 4621 TILEPROP VBRK_X9Y109 GRID_POINT_X 9 TILEPROP VBRK_X9Y109 GRID_POINT_Y 47 TILEPROP VBRK_X9Y109 INDEX 5414 TILEPROP VBRK_X9Y109 INT_TILE_X 1 TILEPROP VBRK_X9Y109 INT_TILE_Y 45 TILEPROP VBRK_X9Y109 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y109 IS_DCM_TILE 0 TILEPROP VBRK_X9Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y109 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y109 NAME VBRK_X9Y109 TILEPROP VBRK_X9Y109 NUM_ARCS 0 TILEPROP VBRK_X9Y109 NUM_SITES 0 TILEPROP VBRK_X9Y109 ROW 47 TILEPROP VBRK_X9Y109 SLR_REGION_ID 0 TILEPROP VBRK_X9Y109 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y109 TILE_TYPE VBRK TILEPROP VBRK_X9Y109 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y109 TILE_X -87590 TILEPROP VBRK_X9Y109 TILE_Y 95672 TILEPROP VBRK_X9Y109 TYPE VBRK TILEPROP VBRK_X9Y110 CLASS tile TILEPROP VBRK_X9Y110 COLUMN 9 TILEPROP VBRK_X9Y110 DEVICE_ID 0 TILEPROP VBRK_X9Y110 FIRST_SITE_ID 4519 TILEPROP VBRK_X9Y110 GRID_POINT_X 9 TILEPROP VBRK_X9Y110 GRID_POINT_Y 46 TILEPROP VBRK_X9Y110 INDEX 5299 TILEPROP VBRK_X9Y110 INT_TILE_X 1 TILEPROP VBRK_X9Y110 INT_TILE_Y 44 TILEPROP VBRK_X9Y110 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y110 IS_DCM_TILE 0 TILEPROP VBRK_X9Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y110 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y110 NAME VBRK_X9Y110 TILEPROP VBRK_X9Y110 NUM_ARCS 0 TILEPROP VBRK_X9Y110 NUM_SITES 0 TILEPROP VBRK_X9Y110 ROW 46 TILEPROP VBRK_X9Y110 SLR_REGION_ID 0 TILEPROP VBRK_X9Y110 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y110 TILE_TYPE VBRK TILEPROP VBRK_X9Y110 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y110 TILE_X -87590 TILEPROP VBRK_X9Y110 TILE_Y 98872 TILEPROP VBRK_X9Y110 TYPE VBRK TILEPROP VBRK_X9Y111 CLASS tile TILEPROP VBRK_X9Y111 COLUMN 9 TILEPROP VBRK_X9Y111 DEVICE_ID 0 TILEPROP VBRK_X9Y111 FIRST_SITE_ID 4423 TILEPROP VBRK_X9Y111 GRID_POINT_X 9 TILEPROP VBRK_X9Y111 GRID_POINT_Y 45 TILEPROP VBRK_X9Y111 INDEX 5184 TILEPROP VBRK_X9Y111 INT_TILE_X 1 TILEPROP VBRK_X9Y111 INT_TILE_Y 43 TILEPROP VBRK_X9Y111 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y111 IS_DCM_TILE 0 TILEPROP VBRK_X9Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y111 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y111 NAME VBRK_X9Y111 TILEPROP VBRK_X9Y111 NUM_ARCS 0 TILEPROP VBRK_X9Y111 NUM_SITES 0 TILEPROP VBRK_X9Y111 ROW 45 TILEPROP VBRK_X9Y111 SLR_REGION_ID 0 TILEPROP VBRK_X9Y111 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y111 TILE_TYPE VBRK TILEPROP VBRK_X9Y111 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y111 TILE_X -87590 TILEPROP VBRK_X9Y111 TILE_Y 102072 TILEPROP VBRK_X9Y111 TYPE VBRK TILEPROP VBRK_X9Y112 CLASS tile TILEPROP VBRK_X9Y112 COLUMN 9 TILEPROP VBRK_X9Y112 DEVICE_ID 0 TILEPROP VBRK_X9Y112 FIRST_SITE_ID 4335 TILEPROP VBRK_X9Y112 GRID_POINT_X 9 TILEPROP VBRK_X9Y112 GRID_POINT_Y 44 TILEPROP VBRK_X9Y112 INDEX 5069 TILEPROP VBRK_X9Y112 INT_TILE_X 1 TILEPROP VBRK_X9Y112 INT_TILE_Y 42 TILEPROP VBRK_X9Y112 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y112 IS_DCM_TILE 0 TILEPROP VBRK_X9Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y112 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y112 NAME VBRK_X9Y112 TILEPROP VBRK_X9Y112 NUM_ARCS 0 TILEPROP VBRK_X9Y112 NUM_SITES 0 TILEPROP VBRK_X9Y112 ROW 44 TILEPROP VBRK_X9Y112 SLR_REGION_ID 0 TILEPROP VBRK_X9Y112 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y112 TILE_TYPE VBRK TILEPROP VBRK_X9Y112 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y112 TILE_X -87590 TILEPROP VBRK_X9Y112 TILE_Y 105272 TILEPROP VBRK_X9Y112 TYPE VBRK TILEPROP VBRK_X9Y113 CLASS tile TILEPROP VBRK_X9Y113 COLUMN 9 TILEPROP VBRK_X9Y113 DEVICE_ID 0 TILEPROP VBRK_X9Y113 FIRST_SITE_ID 4237 TILEPROP VBRK_X9Y113 GRID_POINT_X 9 TILEPROP VBRK_X9Y113 GRID_POINT_Y 43 TILEPROP VBRK_X9Y113 INDEX 4954 TILEPROP VBRK_X9Y113 INT_TILE_X 1 TILEPROP VBRK_X9Y113 INT_TILE_Y 41 TILEPROP VBRK_X9Y113 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y113 IS_DCM_TILE 0 TILEPROP VBRK_X9Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y113 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y113 NAME VBRK_X9Y113 TILEPROP VBRK_X9Y113 NUM_ARCS 0 TILEPROP VBRK_X9Y113 NUM_SITES 0 TILEPROP VBRK_X9Y113 ROW 43 TILEPROP VBRK_X9Y113 SLR_REGION_ID 0 TILEPROP VBRK_X9Y113 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y113 TILE_TYPE VBRK TILEPROP VBRK_X9Y113 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y113 TILE_X -87590 TILEPROP VBRK_X9Y113 TILE_Y 108472 TILEPROP VBRK_X9Y113 TYPE VBRK TILEPROP VBRK_X9Y114 CLASS tile TILEPROP VBRK_X9Y114 COLUMN 9 TILEPROP VBRK_X9Y114 DEVICE_ID 0 TILEPROP VBRK_X9Y114 FIRST_SITE_ID 4148 TILEPROP VBRK_X9Y114 GRID_POINT_X 9 TILEPROP VBRK_X9Y114 GRID_POINT_Y 42 TILEPROP VBRK_X9Y114 INDEX 4839 TILEPROP VBRK_X9Y114 INT_TILE_X 1 TILEPROP VBRK_X9Y114 INT_TILE_Y 40 TILEPROP VBRK_X9Y114 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y114 IS_DCM_TILE 0 TILEPROP VBRK_X9Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y114 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y114 NAME VBRK_X9Y114 TILEPROP VBRK_X9Y114 NUM_ARCS 0 TILEPROP VBRK_X9Y114 NUM_SITES 0 TILEPROP VBRK_X9Y114 ROW 42 TILEPROP VBRK_X9Y114 SLR_REGION_ID 0 TILEPROP VBRK_X9Y114 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y114 TILE_TYPE VBRK TILEPROP VBRK_X9Y114 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y114 TILE_X -87590 TILEPROP VBRK_X9Y114 TILE_Y 111672 TILEPROP VBRK_X9Y114 TYPE VBRK TILEPROP VBRK_X9Y115 CLASS tile TILEPROP VBRK_X9Y115 COLUMN 9 TILEPROP VBRK_X9Y115 DEVICE_ID 0 TILEPROP VBRK_X9Y115 FIRST_SITE_ID 4042 TILEPROP VBRK_X9Y115 GRID_POINT_X 9 TILEPROP VBRK_X9Y115 GRID_POINT_Y 41 TILEPROP VBRK_X9Y115 INDEX 4724 TILEPROP VBRK_X9Y115 INT_TILE_X 1 TILEPROP VBRK_X9Y115 INT_TILE_Y 39 TILEPROP VBRK_X9Y115 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y115 IS_DCM_TILE 0 TILEPROP VBRK_X9Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y115 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y115 NAME VBRK_X9Y115 TILEPROP VBRK_X9Y115 NUM_ARCS 0 TILEPROP VBRK_X9Y115 NUM_SITES 0 TILEPROP VBRK_X9Y115 ROW 41 TILEPROP VBRK_X9Y115 SLR_REGION_ID 0 TILEPROP VBRK_X9Y115 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y115 TILE_TYPE VBRK TILEPROP VBRK_X9Y115 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y115 TILE_X -87590 TILEPROP VBRK_X9Y115 TILE_Y 114872 TILEPROP VBRK_X9Y115 TYPE VBRK TILEPROP VBRK_X9Y116 CLASS tile TILEPROP VBRK_X9Y116 COLUMN 9 TILEPROP VBRK_X9Y116 DEVICE_ID 0 TILEPROP VBRK_X9Y116 FIRST_SITE_ID 3954 TILEPROP VBRK_X9Y116 GRID_POINT_X 9 TILEPROP VBRK_X9Y116 GRID_POINT_Y 40 TILEPROP VBRK_X9Y116 INDEX 4609 TILEPROP VBRK_X9Y116 INT_TILE_X 1 TILEPROP VBRK_X9Y116 INT_TILE_Y 38 TILEPROP VBRK_X9Y116 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y116 IS_DCM_TILE 0 TILEPROP VBRK_X9Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y116 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y116 NAME VBRK_X9Y116 TILEPROP VBRK_X9Y116 NUM_ARCS 0 TILEPROP VBRK_X9Y116 NUM_SITES 0 TILEPROP VBRK_X9Y116 ROW 40 TILEPROP VBRK_X9Y116 SLR_REGION_ID 0 TILEPROP VBRK_X9Y116 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y116 TILE_TYPE VBRK TILEPROP VBRK_X9Y116 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y116 TILE_X -87590 TILEPROP VBRK_X9Y116 TILE_Y 118072 TILEPROP VBRK_X9Y116 TYPE VBRK TILEPROP VBRK_X9Y117 CLASS tile TILEPROP VBRK_X9Y117 COLUMN 9 TILEPROP VBRK_X9Y117 DEVICE_ID 0 TILEPROP VBRK_X9Y117 FIRST_SITE_ID 3826 TILEPROP VBRK_X9Y117 GRID_POINT_X 9 TILEPROP VBRK_X9Y117 GRID_POINT_Y 39 TILEPROP VBRK_X9Y117 INDEX 4494 TILEPROP VBRK_X9Y117 INT_TILE_X 1 TILEPROP VBRK_X9Y117 INT_TILE_Y 37 TILEPROP VBRK_X9Y117 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y117 IS_DCM_TILE 0 TILEPROP VBRK_X9Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y117 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y117 NAME VBRK_X9Y117 TILEPROP VBRK_X9Y117 NUM_ARCS 0 TILEPROP VBRK_X9Y117 NUM_SITES 0 TILEPROP VBRK_X9Y117 ROW 39 TILEPROP VBRK_X9Y117 SLR_REGION_ID 0 TILEPROP VBRK_X9Y117 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y117 TILE_TYPE VBRK TILEPROP VBRK_X9Y117 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y117 TILE_X -87590 TILEPROP VBRK_X9Y117 TILE_Y 121272 TILEPROP VBRK_X9Y117 TYPE VBRK TILEPROP VBRK_X9Y118 CLASS tile TILEPROP VBRK_X9Y118 COLUMN 9 TILEPROP VBRK_X9Y118 DEVICE_ID 0 TILEPROP VBRK_X9Y118 FIRST_SITE_ID 3738 TILEPROP VBRK_X9Y118 GRID_POINT_X 9 TILEPROP VBRK_X9Y118 GRID_POINT_Y 38 TILEPROP VBRK_X9Y118 INDEX 4379 TILEPROP VBRK_X9Y118 INT_TILE_X 1 TILEPROP VBRK_X9Y118 INT_TILE_Y 36 TILEPROP VBRK_X9Y118 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y118 IS_DCM_TILE 0 TILEPROP VBRK_X9Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y118 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y118 NAME VBRK_X9Y118 TILEPROP VBRK_X9Y118 NUM_ARCS 0 TILEPROP VBRK_X9Y118 NUM_SITES 0 TILEPROP VBRK_X9Y118 ROW 38 TILEPROP VBRK_X9Y118 SLR_REGION_ID 0 TILEPROP VBRK_X9Y118 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y118 TILE_TYPE VBRK TILEPROP VBRK_X9Y118 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y118 TILE_X -87590 TILEPROP VBRK_X9Y118 TILE_Y 124472 TILEPROP VBRK_X9Y118 TYPE VBRK TILEPROP VBRK_X9Y119 CLASS tile TILEPROP VBRK_X9Y119 COLUMN 9 TILEPROP VBRK_X9Y119 DEVICE_ID 0 TILEPROP VBRK_X9Y119 FIRST_SITE_ID 3642 TILEPROP VBRK_X9Y119 GRID_POINT_X 9 TILEPROP VBRK_X9Y119 GRID_POINT_Y 37 TILEPROP VBRK_X9Y119 INDEX 4264 TILEPROP VBRK_X9Y119 INT_TILE_X 1 TILEPROP VBRK_X9Y119 INT_TILE_Y 35 TILEPROP VBRK_X9Y119 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y119 IS_DCM_TILE 0 TILEPROP VBRK_X9Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y119 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y119 NAME VBRK_X9Y119 TILEPROP VBRK_X9Y119 NUM_ARCS 0 TILEPROP VBRK_X9Y119 NUM_SITES 0 TILEPROP VBRK_X9Y119 ROW 37 TILEPROP VBRK_X9Y119 SLR_REGION_ID 0 TILEPROP VBRK_X9Y119 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y119 TILE_TYPE VBRK TILEPROP VBRK_X9Y119 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y119 TILE_X -87590 TILEPROP VBRK_X9Y119 TILE_Y 127672 TILEPROP VBRK_X9Y119 TYPE VBRK TILEPROP VBRK_X9Y120 CLASS tile TILEPROP VBRK_X9Y120 COLUMN 9 TILEPROP VBRK_X9Y120 DEVICE_ID 0 TILEPROP VBRK_X9Y120 FIRST_SITE_ID 3545 TILEPROP VBRK_X9Y120 GRID_POINT_X 9 TILEPROP VBRK_X9Y120 GRID_POINT_Y 36 TILEPROP VBRK_X9Y120 INDEX 4149 TILEPROP VBRK_X9Y120 INT_TILE_X 1 TILEPROP VBRK_X9Y120 INT_TILE_Y 34 TILEPROP VBRK_X9Y120 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y120 IS_DCM_TILE 0 TILEPROP VBRK_X9Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y120 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y120 NAME VBRK_X9Y120 TILEPROP VBRK_X9Y120 NUM_ARCS 0 TILEPROP VBRK_X9Y120 NUM_SITES 0 TILEPROP VBRK_X9Y120 ROW 36 TILEPROP VBRK_X9Y120 SLR_REGION_ID 0 TILEPROP VBRK_X9Y120 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y120 TILE_TYPE VBRK TILEPROP VBRK_X9Y120 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y120 TILE_X -87590 TILEPROP VBRK_X9Y120 TILE_Y 130872 TILEPROP VBRK_X9Y120 TYPE VBRK TILEPROP VBRK_X9Y121 CLASS tile TILEPROP VBRK_X9Y121 COLUMN 9 TILEPROP VBRK_X9Y121 DEVICE_ID 0 TILEPROP VBRK_X9Y121 FIRST_SITE_ID 3444 TILEPROP VBRK_X9Y121 GRID_POINT_X 9 TILEPROP VBRK_X9Y121 GRID_POINT_Y 35 TILEPROP VBRK_X9Y121 INDEX 4034 TILEPROP VBRK_X9Y121 INT_TILE_X 1 TILEPROP VBRK_X9Y121 INT_TILE_Y 33 TILEPROP VBRK_X9Y121 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y121 IS_DCM_TILE 0 TILEPROP VBRK_X9Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y121 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y121 NAME VBRK_X9Y121 TILEPROP VBRK_X9Y121 NUM_ARCS 0 TILEPROP VBRK_X9Y121 NUM_SITES 0 TILEPROP VBRK_X9Y121 ROW 35 TILEPROP VBRK_X9Y121 SLR_REGION_ID 0 TILEPROP VBRK_X9Y121 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y121 TILE_TYPE VBRK TILEPROP VBRK_X9Y121 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y121 TILE_X -87590 TILEPROP VBRK_X9Y121 TILE_Y 134072 TILEPROP VBRK_X9Y121 TYPE VBRK TILEPROP VBRK_X9Y122 CLASS tile TILEPROP VBRK_X9Y122 COLUMN 9 TILEPROP VBRK_X9Y122 DEVICE_ID 0 TILEPROP VBRK_X9Y122 FIRST_SITE_ID 3356 TILEPROP VBRK_X9Y122 GRID_POINT_X 9 TILEPROP VBRK_X9Y122 GRID_POINT_Y 34 TILEPROP VBRK_X9Y122 INDEX 3919 TILEPROP VBRK_X9Y122 INT_TILE_X 1 TILEPROP VBRK_X9Y122 INT_TILE_Y 32 TILEPROP VBRK_X9Y122 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y122 IS_DCM_TILE 0 TILEPROP VBRK_X9Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y122 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y122 NAME VBRK_X9Y122 TILEPROP VBRK_X9Y122 NUM_ARCS 0 TILEPROP VBRK_X9Y122 NUM_SITES 0 TILEPROP VBRK_X9Y122 ROW 34 TILEPROP VBRK_X9Y122 SLR_REGION_ID 0 TILEPROP VBRK_X9Y122 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y122 TILE_TYPE VBRK TILEPROP VBRK_X9Y122 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y122 TILE_X -87590 TILEPROP VBRK_X9Y122 TILE_Y 137272 TILEPROP VBRK_X9Y122 TYPE VBRK TILEPROP VBRK_X9Y123 CLASS tile TILEPROP VBRK_X9Y123 COLUMN 9 TILEPROP VBRK_X9Y123 DEVICE_ID 0 TILEPROP VBRK_X9Y123 FIRST_SITE_ID 3256 TILEPROP VBRK_X9Y123 GRID_POINT_X 9 TILEPROP VBRK_X9Y123 GRID_POINT_Y 33 TILEPROP VBRK_X9Y123 INDEX 3804 TILEPROP VBRK_X9Y123 INT_TILE_X 1 TILEPROP VBRK_X9Y123 INT_TILE_Y 31 TILEPROP VBRK_X9Y123 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y123 IS_DCM_TILE 0 TILEPROP VBRK_X9Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y123 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y123 NAME VBRK_X9Y123 TILEPROP VBRK_X9Y123 NUM_ARCS 0 TILEPROP VBRK_X9Y123 NUM_SITES 0 TILEPROP VBRK_X9Y123 ROW 33 TILEPROP VBRK_X9Y123 SLR_REGION_ID 0 TILEPROP VBRK_X9Y123 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y123 TILE_TYPE VBRK TILEPROP VBRK_X9Y123 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y123 TILE_X -87590 TILEPROP VBRK_X9Y123 TILE_Y 140472 TILEPROP VBRK_X9Y123 TYPE VBRK TILEPROP VBRK_X9Y124 CLASS tile TILEPROP VBRK_X9Y124 COLUMN 9 TILEPROP VBRK_X9Y124 DEVICE_ID 0 TILEPROP VBRK_X9Y124 FIRST_SITE_ID 3168 TILEPROP VBRK_X9Y124 GRID_POINT_X 9 TILEPROP VBRK_X9Y124 GRID_POINT_Y 32 TILEPROP VBRK_X9Y124 INDEX 3689 TILEPROP VBRK_X9Y124 INT_TILE_X 1 TILEPROP VBRK_X9Y124 INT_TILE_Y 30 TILEPROP VBRK_X9Y124 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y124 IS_DCM_TILE 0 TILEPROP VBRK_X9Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y124 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y124 NAME VBRK_X9Y124 TILEPROP VBRK_X9Y124 NUM_ARCS 0 TILEPROP VBRK_X9Y124 NUM_SITES 0 TILEPROP VBRK_X9Y124 ROW 32 TILEPROP VBRK_X9Y124 SLR_REGION_ID 0 TILEPROP VBRK_X9Y124 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y124 TILE_TYPE VBRK TILEPROP VBRK_X9Y124 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y124 TILE_X -87590 TILEPROP VBRK_X9Y124 TILE_Y 143672 TILEPROP VBRK_X9Y124 TYPE VBRK TILEPROP VBRK_X9Y125 CLASS tile TILEPROP VBRK_X9Y125 COLUMN 9 TILEPROP VBRK_X9Y125 DEVICE_ID 0 TILEPROP VBRK_X9Y125 FIRST_SITE_ID 3061 TILEPROP VBRK_X9Y125 GRID_POINT_X 9 TILEPROP VBRK_X9Y125 GRID_POINT_Y 31 TILEPROP VBRK_X9Y125 INDEX 3574 TILEPROP VBRK_X9Y125 INT_TILE_X 1 TILEPROP VBRK_X9Y125 INT_TILE_Y 29 TILEPROP VBRK_X9Y125 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y125 IS_DCM_TILE 0 TILEPROP VBRK_X9Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y125 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y125 NAME VBRK_X9Y125 TILEPROP VBRK_X9Y125 NUM_ARCS 0 TILEPROP VBRK_X9Y125 NUM_SITES 0 TILEPROP VBRK_X9Y125 ROW 31 TILEPROP VBRK_X9Y125 SLR_REGION_ID 0 TILEPROP VBRK_X9Y125 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y125 TILE_TYPE VBRK TILEPROP VBRK_X9Y125 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y125 TILE_X -87590 TILEPROP VBRK_X9Y125 TILE_Y 146872 TILEPROP VBRK_X9Y125 TYPE VBRK TILEPROP VBRK_X9Y126 CLASS tile TILEPROP VBRK_X9Y126 COLUMN 9 TILEPROP VBRK_X9Y126 DEVICE_ID 0 TILEPROP VBRK_X9Y126 FIRST_SITE_ID 2973 TILEPROP VBRK_X9Y126 GRID_POINT_X 9 TILEPROP VBRK_X9Y126 GRID_POINT_Y 30 TILEPROP VBRK_X9Y126 INDEX 3459 TILEPROP VBRK_X9Y126 INT_TILE_X 1 TILEPROP VBRK_X9Y126 INT_TILE_Y 28 TILEPROP VBRK_X9Y126 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y126 IS_DCM_TILE 0 TILEPROP VBRK_X9Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y126 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y126 NAME VBRK_X9Y126 TILEPROP VBRK_X9Y126 NUM_ARCS 0 TILEPROP VBRK_X9Y126 NUM_SITES 0 TILEPROP VBRK_X9Y126 ROW 30 TILEPROP VBRK_X9Y126 SLR_REGION_ID 0 TILEPROP VBRK_X9Y126 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y126 TILE_TYPE VBRK TILEPROP VBRK_X9Y126 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y126 TILE_X -87590 TILEPROP VBRK_X9Y126 TILE_Y 150072 TILEPROP VBRK_X9Y126 TYPE VBRK TILEPROP VBRK_X9Y127 CLASS tile TILEPROP VBRK_X9Y127 COLUMN 9 TILEPROP VBRK_X9Y127 DEVICE_ID 0 TILEPROP VBRK_X9Y127 FIRST_SITE_ID 2870 TILEPROP VBRK_X9Y127 GRID_POINT_X 9 TILEPROP VBRK_X9Y127 GRID_POINT_Y 29 TILEPROP VBRK_X9Y127 INDEX 3344 TILEPROP VBRK_X9Y127 INT_TILE_X 1 TILEPROP VBRK_X9Y127 INT_TILE_Y 27 TILEPROP VBRK_X9Y127 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y127 IS_DCM_TILE 0 TILEPROP VBRK_X9Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y127 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y127 NAME VBRK_X9Y127 TILEPROP VBRK_X9Y127 NUM_ARCS 0 TILEPROP VBRK_X9Y127 NUM_SITES 0 TILEPROP VBRK_X9Y127 ROW 29 TILEPROP VBRK_X9Y127 SLR_REGION_ID 0 TILEPROP VBRK_X9Y127 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y127 TILE_TYPE VBRK TILEPROP VBRK_X9Y127 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y127 TILE_X -87590 TILEPROP VBRK_X9Y127 TILE_Y 153272 TILEPROP VBRK_X9Y127 TYPE VBRK TILEPROP VBRK_X9Y128 CLASS tile TILEPROP VBRK_X9Y128 COLUMN 9 TILEPROP VBRK_X9Y128 DEVICE_ID 0 TILEPROP VBRK_X9Y128 FIRST_SITE_ID 2782 TILEPROP VBRK_X9Y128 GRID_POINT_X 9 TILEPROP VBRK_X9Y128 GRID_POINT_Y 28 TILEPROP VBRK_X9Y128 INDEX 3229 TILEPROP VBRK_X9Y128 INT_TILE_X 1 TILEPROP VBRK_X9Y128 INT_TILE_Y 26 TILEPROP VBRK_X9Y128 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y128 IS_DCM_TILE 0 TILEPROP VBRK_X9Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y128 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y128 NAME VBRK_X9Y128 TILEPROP VBRK_X9Y128 NUM_ARCS 0 TILEPROP VBRK_X9Y128 NUM_SITES 0 TILEPROP VBRK_X9Y128 ROW 28 TILEPROP VBRK_X9Y128 SLR_REGION_ID 0 TILEPROP VBRK_X9Y128 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y128 TILE_TYPE VBRK TILEPROP VBRK_X9Y128 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y128 TILE_X -87590 TILEPROP VBRK_X9Y128 TILE_Y 156472 TILEPROP VBRK_X9Y128 TYPE VBRK TILEPROP VBRK_X9Y129 CLASS tile TILEPROP VBRK_X9Y129 COLUMN 9 TILEPROP VBRK_X9Y129 DEVICE_ID 0 TILEPROP VBRK_X9Y129 FIRST_SITE_ID 2686 TILEPROP VBRK_X9Y129 GRID_POINT_X 9 TILEPROP VBRK_X9Y129 GRID_POINT_Y 27 TILEPROP VBRK_X9Y129 INDEX 3114 TILEPROP VBRK_X9Y129 INT_TILE_X 1 TILEPROP VBRK_X9Y129 INT_TILE_Y 25 TILEPROP VBRK_X9Y129 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y129 IS_DCM_TILE 0 TILEPROP VBRK_X9Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y129 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y129 NAME VBRK_X9Y129 TILEPROP VBRK_X9Y129 NUM_ARCS 0 TILEPROP VBRK_X9Y129 NUM_SITES 0 TILEPROP VBRK_X9Y129 ROW 27 TILEPROP VBRK_X9Y129 SLR_REGION_ID 0 TILEPROP VBRK_X9Y129 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y129 TILE_TYPE VBRK TILEPROP VBRK_X9Y129 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y129 TILE_X -87590 TILEPROP VBRK_X9Y129 TILE_Y 159672 TILEPROP VBRK_X9Y129 TYPE VBRK TILEPROP VBRK_X9Y131 CLASS tile TILEPROP VBRK_X9Y131 COLUMN 9 TILEPROP VBRK_X9Y131 DEVICE_ID 0 TILEPROP VBRK_X9Y131 FIRST_SITE_ID 2507 TILEPROP VBRK_X9Y131 GRID_POINT_X 9 TILEPROP VBRK_X9Y131 GRID_POINT_Y 25 TILEPROP VBRK_X9Y131 INDEX 2884 TILEPROP VBRK_X9Y131 INT_TILE_X 1 TILEPROP VBRK_X9Y131 INT_TILE_Y 24 TILEPROP VBRK_X9Y131 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y131 IS_DCM_TILE 0 TILEPROP VBRK_X9Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y131 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y131 NAME VBRK_X9Y131 TILEPROP VBRK_X9Y131 NUM_ARCS 0 TILEPROP VBRK_X9Y131 NUM_SITES 0 TILEPROP VBRK_X9Y131 ROW 25 TILEPROP VBRK_X9Y131 SLR_REGION_ID 0 TILEPROP VBRK_X9Y131 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y131 TILE_TYPE VBRK TILEPROP VBRK_X9Y131 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y131 TILE_X -87590 TILEPROP VBRK_X9Y131 TILE_Y 163896 TILEPROP VBRK_X9Y131 TYPE VBRK TILEPROP VBRK_X9Y132 CLASS tile TILEPROP VBRK_X9Y132 COLUMN 9 TILEPROP VBRK_X9Y132 DEVICE_ID 0 TILEPROP VBRK_X9Y132 FIRST_SITE_ID 2403 TILEPROP VBRK_X9Y132 GRID_POINT_X 9 TILEPROP VBRK_X9Y132 GRID_POINT_Y 24 TILEPROP VBRK_X9Y132 INDEX 2769 TILEPROP VBRK_X9Y132 INT_TILE_X 1 TILEPROP VBRK_X9Y132 INT_TILE_Y 23 TILEPROP VBRK_X9Y132 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y132 IS_DCM_TILE 0 TILEPROP VBRK_X9Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y132 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y132 NAME VBRK_X9Y132 TILEPROP VBRK_X9Y132 NUM_ARCS 0 TILEPROP VBRK_X9Y132 NUM_SITES 0 TILEPROP VBRK_X9Y132 ROW 24 TILEPROP VBRK_X9Y132 SLR_REGION_ID 0 TILEPROP VBRK_X9Y132 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y132 TILE_TYPE VBRK TILEPROP VBRK_X9Y132 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y132 TILE_X -87590 TILEPROP VBRK_X9Y132 TILE_Y 167096 TILEPROP VBRK_X9Y132 TYPE VBRK TILEPROP VBRK_X9Y133 CLASS tile TILEPROP VBRK_X9Y133 COLUMN 9 TILEPROP VBRK_X9Y133 DEVICE_ID 0 TILEPROP VBRK_X9Y133 FIRST_SITE_ID 2307 TILEPROP VBRK_X9Y133 GRID_POINT_X 9 TILEPROP VBRK_X9Y133 GRID_POINT_Y 23 TILEPROP VBRK_X9Y133 INDEX 2654 TILEPROP VBRK_X9Y133 INT_TILE_X 1 TILEPROP VBRK_X9Y133 INT_TILE_Y 22 TILEPROP VBRK_X9Y133 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y133 IS_DCM_TILE 0 TILEPROP VBRK_X9Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y133 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y133 NAME VBRK_X9Y133 TILEPROP VBRK_X9Y133 NUM_ARCS 0 TILEPROP VBRK_X9Y133 NUM_SITES 0 TILEPROP VBRK_X9Y133 ROW 23 TILEPROP VBRK_X9Y133 SLR_REGION_ID 0 TILEPROP VBRK_X9Y133 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y133 TILE_TYPE VBRK TILEPROP VBRK_X9Y133 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y133 TILE_X -87590 TILEPROP VBRK_X9Y133 TILE_Y 170296 TILEPROP VBRK_X9Y133 TYPE VBRK TILEPROP VBRK_X9Y134 CLASS tile TILEPROP VBRK_X9Y134 COLUMN 9 TILEPROP VBRK_X9Y134 DEVICE_ID 0 TILEPROP VBRK_X9Y134 FIRST_SITE_ID 2203 TILEPROP VBRK_X9Y134 GRID_POINT_X 9 TILEPROP VBRK_X9Y134 GRID_POINT_Y 22 TILEPROP VBRK_X9Y134 INDEX 2539 TILEPROP VBRK_X9Y134 INT_TILE_X 1 TILEPROP VBRK_X9Y134 INT_TILE_Y 21 TILEPROP VBRK_X9Y134 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y134 IS_DCM_TILE 0 TILEPROP VBRK_X9Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y134 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y134 NAME VBRK_X9Y134 TILEPROP VBRK_X9Y134 NUM_ARCS 0 TILEPROP VBRK_X9Y134 NUM_SITES 0 TILEPROP VBRK_X9Y134 ROW 22 TILEPROP VBRK_X9Y134 SLR_REGION_ID 0 TILEPROP VBRK_X9Y134 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y134 TILE_TYPE VBRK TILEPROP VBRK_X9Y134 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y134 TILE_X -87590 TILEPROP VBRK_X9Y134 TILE_Y 173496 TILEPROP VBRK_X9Y134 TYPE VBRK TILEPROP VBRK_X9Y135 CLASS tile TILEPROP VBRK_X9Y135 COLUMN 9 TILEPROP VBRK_X9Y135 DEVICE_ID 0 TILEPROP VBRK_X9Y135 FIRST_SITE_ID 2107 TILEPROP VBRK_X9Y135 GRID_POINT_X 9 TILEPROP VBRK_X9Y135 GRID_POINT_Y 21 TILEPROP VBRK_X9Y135 INDEX 2424 TILEPROP VBRK_X9Y135 INT_TILE_X 1 TILEPROP VBRK_X9Y135 INT_TILE_Y 20 TILEPROP VBRK_X9Y135 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y135 IS_DCM_TILE 0 TILEPROP VBRK_X9Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y135 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y135 NAME VBRK_X9Y135 TILEPROP VBRK_X9Y135 NUM_ARCS 0 TILEPROP VBRK_X9Y135 NUM_SITES 0 TILEPROP VBRK_X9Y135 ROW 21 TILEPROP VBRK_X9Y135 SLR_REGION_ID 0 TILEPROP VBRK_X9Y135 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y135 TILE_TYPE VBRK TILEPROP VBRK_X9Y135 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y135 TILE_X -87590 TILEPROP VBRK_X9Y135 TILE_Y 176696 TILEPROP VBRK_X9Y135 TYPE VBRK TILEPROP VBRK_X9Y136 CLASS tile TILEPROP VBRK_X9Y136 COLUMN 9 TILEPROP VBRK_X9Y136 DEVICE_ID 0 TILEPROP VBRK_X9Y136 FIRST_SITE_ID 1985 TILEPROP VBRK_X9Y136 GRID_POINT_X 9 TILEPROP VBRK_X9Y136 GRID_POINT_Y 20 TILEPROP VBRK_X9Y136 INDEX 2309 TILEPROP VBRK_X9Y136 INT_TILE_X 1 TILEPROP VBRK_X9Y136 INT_TILE_Y 19 TILEPROP VBRK_X9Y136 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y136 IS_DCM_TILE 0 TILEPROP VBRK_X9Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y136 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y136 NAME VBRK_X9Y136 TILEPROP VBRK_X9Y136 NUM_ARCS 0 TILEPROP VBRK_X9Y136 NUM_SITES 0 TILEPROP VBRK_X9Y136 ROW 20 TILEPROP VBRK_X9Y136 SLR_REGION_ID 0 TILEPROP VBRK_X9Y136 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y136 TILE_TYPE VBRK TILEPROP VBRK_X9Y136 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y136 TILE_X -87590 TILEPROP VBRK_X9Y136 TILE_Y 179896 TILEPROP VBRK_X9Y136 TYPE VBRK TILEPROP VBRK_X9Y137 CLASS tile TILEPROP VBRK_X9Y137 COLUMN 9 TILEPROP VBRK_X9Y137 DEVICE_ID 0 TILEPROP VBRK_X9Y137 FIRST_SITE_ID 1889 TILEPROP VBRK_X9Y137 GRID_POINT_X 9 TILEPROP VBRK_X9Y137 GRID_POINT_Y 19 TILEPROP VBRK_X9Y137 INDEX 2194 TILEPROP VBRK_X9Y137 INT_TILE_X 1 TILEPROP VBRK_X9Y137 INT_TILE_Y 18 TILEPROP VBRK_X9Y137 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y137 IS_DCM_TILE 0 TILEPROP VBRK_X9Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y137 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y137 NAME VBRK_X9Y137 TILEPROP VBRK_X9Y137 NUM_ARCS 0 TILEPROP VBRK_X9Y137 NUM_SITES 0 TILEPROP VBRK_X9Y137 ROW 19 TILEPROP VBRK_X9Y137 SLR_REGION_ID 0 TILEPROP VBRK_X9Y137 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y137 TILE_TYPE VBRK TILEPROP VBRK_X9Y137 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y137 TILE_X -87590 TILEPROP VBRK_X9Y137 TILE_Y 183096 TILEPROP VBRK_X9Y137 TYPE VBRK TILEPROP VBRK_X9Y138 CLASS tile TILEPROP VBRK_X9Y138 COLUMN 9 TILEPROP VBRK_X9Y138 DEVICE_ID 0 TILEPROP VBRK_X9Y138 FIRST_SITE_ID 1783 TILEPROP VBRK_X9Y138 GRID_POINT_X 9 TILEPROP VBRK_X9Y138 GRID_POINT_Y 18 TILEPROP VBRK_X9Y138 INDEX 2079 TILEPROP VBRK_X9Y138 INT_TILE_X 1 TILEPROP VBRK_X9Y138 INT_TILE_Y 17 TILEPROP VBRK_X9Y138 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y138 IS_DCM_TILE 0 TILEPROP VBRK_X9Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y138 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y138 NAME VBRK_X9Y138 TILEPROP VBRK_X9Y138 NUM_ARCS 0 TILEPROP VBRK_X9Y138 NUM_SITES 0 TILEPROP VBRK_X9Y138 ROW 18 TILEPROP VBRK_X9Y138 SLR_REGION_ID 0 TILEPROP VBRK_X9Y138 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y138 TILE_TYPE VBRK TILEPROP VBRK_X9Y138 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y138 TILE_X -87590 TILEPROP VBRK_X9Y138 TILE_Y 186296 TILEPROP VBRK_X9Y138 TYPE VBRK TILEPROP VBRK_X9Y139 CLASS tile TILEPROP VBRK_X9Y139 COLUMN 9 TILEPROP VBRK_X9Y139 DEVICE_ID 0 TILEPROP VBRK_X9Y139 FIRST_SITE_ID 1682 TILEPROP VBRK_X9Y139 GRID_POINT_X 9 TILEPROP VBRK_X9Y139 GRID_POINT_Y 17 TILEPROP VBRK_X9Y139 INDEX 1964 TILEPROP VBRK_X9Y139 INT_TILE_X 1 TILEPROP VBRK_X9Y139 INT_TILE_Y 16 TILEPROP VBRK_X9Y139 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y139 IS_DCM_TILE 0 TILEPROP VBRK_X9Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y139 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y139 NAME VBRK_X9Y139 TILEPROP VBRK_X9Y139 NUM_ARCS 0 TILEPROP VBRK_X9Y139 NUM_SITES 0 TILEPROP VBRK_X9Y139 ROW 17 TILEPROP VBRK_X9Y139 SLR_REGION_ID 0 TILEPROP VBRK_X9Y139 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y139 TILE_TYPE VBRK TILEPROP VBRK_X9Y139 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y139 TILE_X -87590 TILEPROP VBRK_X9Y139 TILE_Y 189496 TILEPROP VBRK_X9Y139 TYPE VBRK TILEPROP VBRK_X9Y140 CLASS tile TILEPROP VBRK_X9Y140 COLUMN 9 TILEPROP VBRK_X9Y140 DEVICE_ID 0 TILEPROP VBRK_X9Y140 FIRST_SITE_ID 1578 TILEPROP VBRK_X9Y140 GRID_POINT_X 9 TILEPROP VBRK_X9Y140 GRID_POINT_Y 16 TILEPROP VBRK_X9Y140 INDEX 1849 TILEPROP VBRK_X9Y140 INT_TILE_X 1 TILEPROP VBRK_X9Y140 INT_TILE_Y 15 TILEPROP VBRK_X9Y140 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y140 IS_DCM_TILE 0 TILEPROP VBRK_X9Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y140 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y140 NAME VBRK_X9Y140 TILEPROP VBRK_X9Y140 NUM_ARCS 0 TILEPROP VBRK_X9Y140 NUM_SITES 0 TILEPROP VBRK_X9Y140 ROW 16 TILEPROP VBRK_X9Y140 SLR_REGION_ID 0 TILEPROP VBRK_X9Y140 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y140 TILE_TYPE VBRK TILEPROP VBRK_X9Y140 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y140 TILE_X -87590 TILEPROP VBRK_X9Y140 TILE_Y 192696 TILEPROP VBRK_X9Y140 TYPE VBRK TILEPROP VBRK_X9Y141 CLASS tile TILEPROP VBRK_X9Y141 COLUMN 9 TILEPROP VBRK_X9Y141 DEVICE_ID 0 TILEPROP VBRK_X9Y141 FIRST_SITE_ID 1470 TILEPROP VBRK_X9Y141 GRID_POINT_X 9 TILEPROP VBRK_X9Y141 GRID_POINT_Y 15 TILEPROP VBRK_X9Y141 INDEX 1734 TILEPROP VBRK_X9Y141 INT_TILE_X 1 TILEPROP VBRK_X9Y141 INT_TILE_Y 14 TILEPROP VBRK_X9Y141 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y141 IS_DCM_TILE 0 TILEPROP VBRK_X9Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y141 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y141 NAME VBRK_X9Y141 TILEPROP VBRK_X9Y141 NUM_ARCS 0 TILEPROP VBRK_X9Y141 NUM_SITES 0 TILEPROP VBRK_X9Y141 ROW 15 TILEPROP VBRK_X9Y141 SLR_REGION_ID 0 TILEPROP VBRK_X9Y141 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y141 TILE_TYPE VBRK TILEPROP VBRK_X9Y141 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y141 TILE_X -87590 TILEPROP VBRK_X9Y141 TILE_Y 195896 TILEPROP VBRK_X9Y141 TYPE VBRK TILEPROP VBRK_X9Y142 CLASS tile TILEPROP VBRK_X9Y142 COLUMN 9 TILEPROP VBRK_X9Y142 DEVICE_ID 0 TILEPROP VBRK_X9Y142 FIRST_SITE_ID 1334 TILEPROP VBRK_X9Y142 GRID_POINT_X 9 TILEPROP VBRK_X9Y142 GRID_POINT_Y 14 TILEPROP VBRK_X9Y142 INDEX 1619 TILEPROP VBRK_X9Y142 INT_TILE_X 1 TILEPROP VBRK_X9Y142 INT_TILE_Y 13 TILEPROP VBRK_X9Y142 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y142 IS_DCM_TILE 0 TILEPROP VBRK_X9Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y142 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y142 NAME VBRK_X9Y142 TILEPROP VBRK_X9Y142 NUM_ARCS 0 TILEPROP VBRK_X9Y142 NUM_SITES 0 TILEPROP VBRK_X9Y142 ROW 14 TILEPROP VBRK_X9Y142 SLR_REGION_ID 0 TILEPROP VBRK_X9Y142 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y142 TILE_TYPE VBRK TILEPROP VBRK_X9Y142 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y142 TILE_X -87590 TILEPROP VBRK_X9Y142 TILE_Y 199096 TILEPROP VBRK_X9Y142 TYPE VBRK TILEPROP VBRK_X9Y143 CLASS tile TILEPROP VBRK_X9Y143 COLUMN 9 TILEPROP VBRK_X9Y143 DEVICE_ID 0 TILEPROP VBRK_X9Y143 FIRST_SITE_ID 1238 TILEPROP VBRK_X9Y143 GRID_POINT_X 9 TILEPROP VBRK_X9Y143 GRID_POINT_Y 13 TILEPROP VBRK_X9Y143 INDEX 1504 TILEPROP VBRK_X9Y143 INT_TILE_X 1 TILEPROP VBRK_X9Y143 INT_TILE_Y 12 TILEPROP VBRK_X9Y143 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y143 IS_DCM_TILE 0 TILEPROP VBRK_X9Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y143 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y143 NAME VBRK_X9Y143 TILEPROP VBRK_X9Y143 NUM_ARCS 0 TILEPROP VBRK_X9Y143 NUM_SITES 0 TILEPROP VBRK_X9Y143 ROW 13 TILEPROP VBRK_X9Y143 SLR_REGION_ID 0 TILEPROP VBRK_X9Y143 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y143 TILE_TYPE VBRK TILEPROP VBRK_X9Y143 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y143 TILE_X -87590 TILEPROP VBRK_X9Y143 TILE_Y 202296 TILEPROP VBRK_X9Y143 TYPE VBRK TILEPROP VBRK_X9Y144 CLASS tile TILEPROP VBRK_X9Y144 COLUMN 9 TILEPROP VBRK_X9Y144 DEVICE_ID 0 TILEPROP VBRK_X9Y144 FIRST_SITE_ID 1134 TILEPROP VBRK_X9Y144 GRID_POINT_X 9 TILEPROP VBRK_X9Y144 GRID_POINT_Y 12 TILEPROP VBRK_X9Y144 INDEX 1389 TILEPROP VBRK_X9Y144 INT_TILE_X 1 TILEPROP VBRK_X9Y144 INT_TILE_Y 11 TILEPROP VBRK_X9Y144 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y144 IS_DCM_TILE 0 TILEPROP VBRK_X9Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y144 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y144 NAME VBRK_X9Y144 TILEPROP VBRK_X9Y144 NUM_ARCS 0 TILEPROP VBRK_X9Y144 NUM_SITES 0 TILEPROP VBRK_X9Y144 ROW 12 TILEPROP VBRK_X9Y144 SLR_REGION_ID 0 TILEPROP VBRK_X9Y144 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y144 TILE_TYPE VBRK TILEPROP VBRK_X9Y144 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y144 TILE_X -87590 TILEPROP VBRK_X9Y144 TILE_Y 205496 TILEPROP VBRK_X9Y144 TYPE VBRK TILEPROP VBRK_X9Y145 CLASS tile TILEPROP VBRK_X9Y145 COLUMN 9 TILEPROP VBRK_X9Y145 DEVICE_ID 0 TILEPROP VBRK_X9Y145 FIRST_SITE_ID 1038 TILEPROP VBRK_X9Y145 GRID_POINT_X 9 TILEPROP VBRK_X9Y145 GRID_POINT_Y 11 TILEPROP VBRK_X9Y145 INDEX 1274 TILEPROP VBRK_X9Y145 INT_TILE_X 1 TILEPROP VBRK_X9Y145 INT_TILE_Y 10 TILEPROP VBRK_X9Y145 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y145 IS_DCM_TILE 0 TILEPROP VBRK_X9Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y145 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y145 NAME VBRK_X9Y145 TILEPROP VBRK_X9Y145 NUM_ARCS 0 TILEPROP VBRK_X9Y145 NUM_SITES 0 TILEPROP VBRK_X9Y145 ROW 11 TILEPROP VBRK_X9Y145 SLR_REGION_ID 0 TILEPROP VBRK_X9Y145 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y145 TILE_TYPE VBRK TILEPROP VBRK_X9Y145 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y145 TILE_X -87590 TILEPROP VBRK_X9Y145 TILE_Y 208696 TILEPROP VBRK_X9Y145 TYPE VBRK TILEPROP VBRK_X9Y146 CLASS tile TILEPROP VBRK_X9Y146 COLUMN 9 TILEPROP VBRK_X9Y146 DEVICE_ID 0 TILEPROP VBRK_X9Y146 FIRST_SITE_ID 922 TILEPROP VBRK_X9Y146 GRID_POINT_X 9 TILEPROP VBRK_X9Y146 GRID_POINT_Y 10 TILEPROP VBRK_X9Y146 INDEX 1159 TILEPROP VBRK_X9Y146 INT_TILE_X 1 TILEPROP VBRK_X9Y146 INT_TILE_Y 9 TILEPROP VBRK_X9Y146 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y146 IS_DCM_TILE 0 TILEPROP VBRK_X9Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y146 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y146 NAME VBRK_X9Y146 TILEPROP VBRK_X9Y146 NUM_ARCS 0 TILEPROP VBRK_X9Y146 NUM_SITES 0 TILEPROP VBRK_X9Y146 ROW 10 TILEPROP VBRK_X9Y146 SLR_REGION_ID 0 TILEPROP VBRK_X9Y146 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y146 TILE_TYPE VBRK TILEPROP VBRK_X9Y146 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y146 TILE_X -87590 TILEPROP VBRK_X9Y146 TILE_Y 211896 TILEPROP VBRK_X9Y146 TYPE VBRK TILEPROP VBRK_X9Y147 CLASS tile TILEPROP VBRK_X9Y147 COLUMN 9 TILEPROP VBRK_X9Y147 DEVICE_ID 0 TILEPROP VBRK_X9Y147 FIRST_SITE_ID 826 TILEPROP VBRK_X9Y147 GRID_POINT_X 9 TILEPROP VBRK_X9Y147 GRID_POINT_Y 9 TILEPROP VBRK_X9Y147 INDEX 1044 TILEPROP VBRK_X9Y147 INT_TILE_X 1 TILEPROP VBRK_X9Y147 INT_TILE_Y 8 TILEPROP VBRK_X9Y147 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y147 IS_DCM_TILE 0 TILEPROP VBRK_X9Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y147 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y147 NAME VBRK_X9Y147 TILEPROP VBRK_X9Y147 NUM_ARCS 0 TILEPROP VBRK_X9Y147 NUM_SITES 0 TILEPROP VBRK_X9Y147 ROW 9 TILEPROP VBRK_X9Y147 SLR_REGION_ID 0 TILEPROP VBRK_X9Y147 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y147 TILE_TYPE VBRK TILEPROP VBRK_X9Y147 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y147 TILE_X -87590 TILEPROP VBRK_X9Y147 TILE_Y 215096 TILEPROP VBRK_X9Y147 TYPE VBRK TILEPROP VBRK_X9Y148 CLASS tile TILEPROP VBRK_X9Y148 COLUMN 9 TILEPROP VBRK_X9Y148 DEVICE_ID 0 TILEPROP VBRK_X9Y148 FIRST_SITE_ID 722 TILEPROP VBRK_X9Y148 GRID_POINT_X 9 TILEPROP VBRK_X9Y148 GRID_POINT_Y 8 TILEPROP VBRK_X9Y148 INDEX 929 TILEPROP VBRK_X9Y148 INT_TILE_X 1 TILEPROP VBRK_X9Y148 INT_TILE_Y 7 TILEPROP VBRK_X9Y148 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y148 IS_DCM_TILE 0 TILEPROP VBRK_X9Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y148 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y148 NAME VBRK_X9Y148 TILEPROP VBRK_X9Y148 NUM_ARCS 0 TILEPROP VBRK_X9Y148 NUM_SITES 0 TILEPROP VBRK_X9Y148 ROW 8 TILEPROP VBRK_X9Y148 SLR_REGION_ID 0 TILEPROP VBRK_X9Y148 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y148 TILE_TYPE VBRK TILEPROP VBRK_X9Y148 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y148 TILE_X -87590 TILEPROP VBRK_X9Y148 TILE_Y 218296 TILEPROP VBRK_X9Y148 TYPE VBRK TILEPROP VBRK_X9Y149 CLASS tile TILEPROP VBRK_X9Y149 COLUMN 9 TILEPROP VBRK_X9Y149 DEVICE_ID 0 TILEPROP VBRK_X9Y149 FIRST_SITE_ID 625 TILEPROP VBRK_X9Y149 GRID_POINT_X 9 TILEPROP VBRK_X9Y149 GRID_POINT_Y 7 TILEPROP VBRK_X9Y149 INDEX 814 TILEPROP VBRK_X9Y149 INT_TILE_X 1 TILEPROP VBRK_X9Y149 INT_TILE_Y 6 TILEPROP VBRK_X9Y149 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y149 IS_DCM_TILE 0 TILEPROP VBRK_X9Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y149 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y149 NAME VBRK_X9Y149 TILEPROP VBRK_X9Y149 NUM_ARCS 0 TILEPROP VBRK_X9Y149 NUM_SITES 0 TILEPROP VBRK_X9Y149 ROW 7 TILEPROP VBRK_X9Y149 SLR_REGION_ID 0 TILEPROP VBRK_X9Y149 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y149 TILE_TYPE VBRK TILEPROP VBRK_X9Y149 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y149 TILE_X -87590 TILEPROP VBRK_X9Y149 TILE_Y 221496 TILEPROP VBRK_X9Y149 TYPE VBRK TILEPROP VBRK_X9Y150 CLASS tile TILEPROP VBRK_X9Y150 COLUMN 9 TILEPROP VBRK_X9Y150 DEVICE_ID 0 TILEPROP VBRK_X9Y150 FIRST_SITE_ID 514 TILEPROP VBRK_X9Y150 GRID_POINT_X 9 TILEPROP VBRK_X9Y150 GRID_POINT_Y 6 TILEPROP VBRK_X9Y150 INDEX 699 TILEPROP VBRK_X9Y150 INT_TILE_X 1 TILEPROP VBRK_X9Y150 INT_TILE_Y 5 TILEPROP VBRK_X9Y150 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y150 IS_DCM_TILE 0 TILEPROP VBRK_X9Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y150 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y150 NAME VBRK_X9Y150 TILEPROP VBRK_X9Y150 NUM_ARCS 0 TILEPROP VBRK_X9Y150 NUM_SITES 0 TILEPROP VBRK_X9Y150 ROW 6 TILEPROP VBRK_X9Y150 SLR_REGION_ID 0 TILEPROP VBRK_X9Y150 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y150 TILE_TYPE VBRK TILEPROP VBRK_X9Y150 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y150 TILE_X -87590 TILEPROP VBRK_X9Y150 TILE_Y 224696 TILEPROP VBRK_X9Y150 TYPE VBRK TILEPROP VBRK_X9Y151 CLASS tile TILEPROP VBRK_X9Y151 COLUMN 9 TILEPROP VBRK_X9Y151 DEVICE_ID 0 TILEPROP VBRK_X9Y151 FIRST_SITE_ID 406 TILEPROP VBRK_X9Y151 GRID_POINT_X 9 TILEPROP VBRK_X9Y151 GRID_POINT_Y 5 TILEPROP VBRK_X9Y151 INDEX 584 TILEPROP VBRK_X9Y151 INT_TILE_X 1 TILEPROP VBRK_X9Y151 INT_TILE_Y 4 TILEPROP VBRK_X9Y151 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y151 IS_DCM_TILE 0 TILEPROP VBRK_X9Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y151 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y151 NAME VBRK_X9Y151 TILEPROP VBRK_X9Y151 NUM_ARCS 0 TILEPROP VBRK_X9Y151 NUM_SITES 0 TILEPROP VBRK_X9Y151 ROW 5 TILEPROP VBRK_X9Y151 SLR_REGION_ID 0 TILEPROP VBRK_X9Y151 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y151 TILE_TYPE VBRK TILEPROP VBRK_X9Y151 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y151 TILE_X -87590 TILEPROP VBRK_X9Y151 TILE_Y 227896 TILEPROP VBRK_X9Y151 TYPE VBRK TILEPROP VBRK_X9Y152 CLASS tile TILEPROP VBRK_X9Y152 COLUMN 9 TILEPROP VBRK_X9Y152 DEVICE_ID 0 TILEPROP VBRK_X9Y152 FIRST_SITE_ID 302 TILEPROP VBRK_X9Y152 GRID_POINT_X 9 TILEPROP VBRK_X9Y152 GRID_POINT_Y 4 TILEPROP VBRK_X9Y152 INDEX 469 TILEPROP VBRK_X9Y152 INT_TILE_X 1 TILEPROP VBRK_X9Y152 INT_TILE_Y 3 TILEPROP VBRK_X9Y152 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y152 IS_DCM_TILE 0 TILEPROP VBRK_X9Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y152 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y152 NAME VBRK_X9Y152 TILEPROP VBRK_X9Y152 NUM_ARCS 0 TILEPROP VBRK_X9Y152 NUM_SITES 0 TILEPROP VBRK_X9Y152 ROW 4 TILEPROP VBRK_X9Y152 SLR_REGION_ID 0 TILEPROP VBRK_X9Y152 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y152 TILE_TYPE VBRK TILEPROP VBRK_X9Y152 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y152 TILE_X -87590 TILEPROP VBRK_X9Y152 TILE_Y 231096 TILEPROP VBRK_X9Y152 TYPE VBRK TILEPROP VBRK_X9Y153 CLASS tile TILEPROP VBRK_X9Y153 COLUMN 9 TILEPROP VBRK_X9Y153 DEVICE_ID 0 TILEPROP VBRK_X9Y153 FIRST_SITE_ID 206 TILEPROP VBRK_X9Y153 GRID_POINT_X 9 TILEPROP VBRK_X9Y153 GRID_POINT_Y 3 TILEPROP VBRK_X9Y153 INDEX 354 TILEPROP VBRK_X9Y153 INT_TILE_X 1 TILEPROP VBRK_X9Y153 INT_TILE_Y 2 TILEPROP VBRK_X9Y153 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y153 IS_DCM_TILE 0 TILEPROP VBRK_X9Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y153 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y153 NAME VBRK_X9Y153 TILEPROP VBRK_X9Y153 NUM_ARCS 0 TILEPROP VBRK_X9Y153 NUM_SITES 0 TILEPROP VBRK_X9Y153 ROW 3 TILEPROP VBRK_X9Y153 SLR_REGION_ID 0 TILEPROP VBRK_X9Y153 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y153 TILE_TYPE VBRK TILEPROP VBRK_X9Y153 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y153 TILE_X -87590 TILEPROP VBRK_X9Y153 TILE_Y 234296 TILEPROP VBRK_X9Y153 TYPE VBRK TILEPROP VBRK_X9Y154 CLASS tile TILEPROP VBRK_X9Y154 COLUMN 9 TILEPROP VBRK_X9Y154 DEVICE_ID 0 TILEPROP VBRK_X9Y154 FIRST_SITE_ID 102 TILEPROP VBRK_X9Y154 GRID_POINT_X 9 TILEPROP VBRK_X9Y154 GRID_POINT_Y 2 TILEPROP VBRK_X9Y154 INDEX 239 TILEPROP VBRK_X9Y154 INT_TILE_X 1 TILEPROP VBRK_X9Y154 INT_TILE_Y 1 TILEPROP VBRK_X9Y154 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y154 IS_DCM_TILE 0 TILEPROP VBRK_X9Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y154 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y154 NAME VBRK_X9Y154 TILEPROP VBRK_X9Y154 NUM_ARCS 0 TILEPROP VBRK_X9Y154 NUM_SITES 0 TILEPROP VBRK_X9Y154 ROW 2 TILEPROP VBRK_X9Y154 SLR_REGION_ID 0 TILEPROP VBRK_X9Y154 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y154 TILE_TYPE VBRK TILEPROP VBRK_X9Y154 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y154 TILE_X -87590 TILEPROP VBRK_X9Y154 TILE_Y 237496 TILEPROP VBRK_X9Y154 TYPE VBRK TILEPROP VBRK_X9Y155 CLASS tile TILEPROP VBRK_X9Y155 COLUMN 9 TILEPROP VBRK_X9Y155 DEVICE_ID 0 TILEPROP VBRK_X9Y155 FIRST_SITE_ID 6 TILEPROP VBRK_X9Y155 GRID_POINT_X 9 TILEPROP VBRK_X9Y155 GRID_POINT_Y 1 TILEPROP VBRK_X9Y155 INDEX 124 TILEPROP VBRK_X9Y155 INT_TILE_X 1 TILEPROP VBRK_X9Y155 INT_TILE_Y 0 TILEPROP VBRK_X9Y155 IS_CENTER_TILE 0 TILEPROP VBRK_X9Y155 IS_DCM_TILE 0 TILEPROP VBRK_X9Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VBRK_X9Y155 IS_GT_SITE_TILE 0 TILEPROP VBRK_X9Y155 NAME VBRK_X9Y155 TILEPROP VBRK_X9Y155 NUM_ARCS 0 TILEPROP VBRK_X9Y155 NUM_SITES 0 TILEPROP VBRK_X9Y155 ROW 1 TILEPROP VBRK_X9Y155 SLR_REGION_ID 0 TILEPROP VBRK_X9Y155 TILE_PATTERN_IDX 12 TILEPROP VBRK_X9Y155 TILE_TYPE VBRK TILEPROP VBRK_X9Y155 TILE_TYPE_INDEX 128 TILEPROP VBRK_X9Y155 TILE_X -87590 TILEPROP VBRK_X9Y155 TILE_Y 240696 TILEPROP VBRK_X9Y155 TYPE VBRK TILEPROP VFRAME_X47Y1 CLASS tile TILEPROP VFRAME_X47Y1 COLUMN 47 TILEPROP VFRAME_X47Y1 DEVICE_ID 0 TILEPROP VFRAME_X47Y1 FIRST_SITE_ID 15740 TILEPROP VFRAME_X47Y1 GRID_POINT_X 47 TILEPROP VFRAME_X47Y1 GRID_POINT_Y 155 TILEPROP VFRAME_X47Y1 INDEX 17872 TILEPROP VFRAME_X47Y1 INT_TILE_X -1 TILEPROP VFRAME_X47Y1 INT_TILE_Y -1 TILEPROP VFRAME_X47Y1 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y1 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y1 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y1 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y1 NAME VFRAME_X47Y1 TILEPROP VFRAME_X47Y1 NUM_ARCS 0 TILEPROP VFRAME_X47Y1 NUM_SITES 0 TILEPROP VFRAME_X47Y1 ROW 155 TILEPROP VFRAME_X47Y1 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y1 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y1 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y1 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y1 TILE_X -16704 TILEPROP VFRAME_X47Y1 TILE_Y -239672 TILEPROP VFRAME_X47Y1 TYPE VFRAME TILEPROP VFRAME_X47Y2 CLASS tile TILEPROP VFRAME_X47Y2 COLUMN 47 TILEPROP VFRAME_X47Y2 DEVICE_ID 0 TILEPROP VFRAME_X47Y2 FIRST_SITE_ID 15629 TILEPROP VFRAME_X47Y2 GRID_POINT_X 47 TILEPROP VFRAME_X47Y2 GRID_POINT_Y 154 TILEPROP VFRAME_X47Y2 INDEX 17757 TILEPROP VFRAME_X47Y2 INT_TILE_X -1 TILEPROP VFRAME_X47Y2 INT_TILE_Y -1 TILEPROP VFRAME_X47Y2 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y2 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y2 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y2 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y2 NAME VFRAME_X47Y2 TILEPROP VFRAME_X47Y2 NUM_ARCS 0 TILEPROP VFRAME_X47Y2 NUM_SITES 0 TILEPROP VFRAME_X47Y2 ROW 154 TILEPROP VFRAME_X47Y2 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y2 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y2 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y2 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y2 TILE_X -16704 TILEPROP VFRAME_X47Y2 TILE_Y -236472 TILEPROP VFRAME_X47Y2 TYPE VFRAME TILEPROP VFRAME_X47Y3 CLASS tile TILEPROP VFRAME_X47Y3 COLUMN 47 TILEPROP VFRAME_X47Y3 DEVICE_ID 0 TILEPROP VFRAME_X47Y3 FIRST_SITE_ID 15529 TILEPROP VFRAME_X47Y3 GRID_POINT_X 47 TILEPROP VFRAME_X47Y3 GRID_POINT_Y 153 TILEPROP VFRAME_X47Y3 INDEX 17642 TILEPROP VFRAME_X47Y3 INT_TILE_X -1 TILEPROP VFRAME_X47Y3 INT_TILE_Y -1 TILEPROP VFRAME_X47Y3 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y3 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y3 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y3 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y3 NAME VFRAME_X47Y3 TILEPROP VFRAME_X47Y3 NUM_ARCS 0 TILEPROP VFRAME_X47Y3 NUM_SITES 0 TILEPROP VFRAME_X47Y3 ROW 153 TILEPROP VFRAME_X47Y3 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y3 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y3 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y3 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y3 TILE_X -16704 TILEPROP VFRAME_X47Y3 TILE_Y -233272 TILEPROP VFRAME_X47Y3 TYPE VFRAME TILEPROP VFRAME_X47Y4 CLASS tile TILEPROP VFRAME_X47Y4 COLUMN 47 TILEPROP VFRAME_X47Y4 DEVICE_ID 0 TILEPROP VFRAME_X47Y4 FIRST_SITE_ID 15429 TILEPROP VFRAME_X47Y4 GRID_POINT_X 47 TILEPROP VFRAME_X47Y4 GRID_POINT_Y 152 TILEPROP VFRAME_X47Y4 INDEX 17527 TILEPROP VFRAME_X47Y4 INT_TILE_X -1 TILEPROP VFRAME_X47Y4 INT_TILE_Y -1 TILEPROP VFRAME_X47Y4 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y4 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y4 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y4 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y4 NAME VFRAME_X47Y4 TILEPROP VFRAME_X47Y4 NUM_ARCS 0 TILEPROP VFRAME_X47Y4 NUM_SITES 0 TILEPROP VFRAME_X47Y4 ROW 152 TILEPROP VFRAME_X47Y4 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y4 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y4 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y4 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y4 TILE_X -16704 TILEPROP VFRAME_X47Y4 TILE_Y -230072 TILEPROP VFRAME_X47Y4 TYPE VFRAME TILEPROP VFRAME_X47Y5 CLASS tile TILEPROP VFRAME_X47Y5 COLUMN 47 TILEPROP VFRAME_X47Y5 DEVICE_ID 0 TILEPROP VFRAME_X47Y5 FIRST_SITE_ID 15329 TILEPROP VFRAME_X47Y5 GRID_POINT_X 47 TILEPROP VFRAME_X47Y5 GRID_POINT_Y 151 TILEPROP VFRAME_X47Y5 INDEX 17412 TILEPROP VFRAME_X47Y5 INT_TILE_X -1 TILEPROP VFRAME_X47Y5 INT_TILE_Y -1 TILEPROP VFRAME_X47Y5 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y5 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y5 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y5 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y5 NAME VFRAME_X47Y5 TILEPROP VFRAME_X47Y5 NUM_ARCS 0 TILEPROP VFRAME_X47Y5 NUM_SITES 0 TILEPROP VFRAME_X47Y5 ROW 151 TILEPROP VFRAME_X47Y5 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y5 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y5 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y5 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y5 TILE_X -16704 TILEPROP VFRAME_X47Y5 TILE_Y -226872 TILEPROP VFRAME_X47Y5 TYPE VFRAME TILEPROP VFRAME_X47Y6 CLASS tile TILEPROP VFRAME_X47Y6 COLUMN 47 TILEPROP VFRAME_X47Y6 DEVICE_ID 0 TILEPROP VFRAME_X47Y6 FIRST_SITE_ID 15220 TILEPROP VFRAME_X47Y6 GRID_POINT_X 47 TILEPROP VFRAME_X47Y6 GRID_POINT_Y 150 TILEPROP VFRAME_X47Y6 INDEX 17297 TILEPROP VFRAME_X47Y6 INT_TILE_X -1 TILEPROP VFRAME_X47Y6 INT_TILE_Y -1 TILEPROP VFRAME_X47Y6 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y6 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y6 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y6 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y6 NAME VFRAME_X47Y6 TILEPROP VFRAME_X47Y6 NUM_ARCS 0 TILEPROP VFRAME_X47Y6 NUM_SITES 0 TILEPROP VFRAME_X47Y6 ROW 150 TILEPROP VFRAME_X47Y6 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y6 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y6 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y6 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y6 TILE_X -16704 TILEPROP VFRAME_X47Y6 TILE_Y -223672 TILEPROP VFRAME_X47Y6 TYPE VFRAME TILEPROP VFRAME_X47Y7 CLASS tile TILEPROP VFRAME_X47Y7 COLUMN 47 TILEPROP VFRAME_X47Y7 DEVICE_ID 0 TILEPROP VFRAME_X47Y7 FIRST_SITE_ID 15114 TILEPROP VFRAME_X47Y7 GRID_POINT_X 47 TILEPROP VFRAME_X47Y7 GRID_POINT_Y 149 TILEPROP VFRAME_X47Y7 INDEX 17182 TILEPROP VFRAME_X47Y7 INT_TILE_X -1 TILEPROP VFRAME_X47Y7 INT_TILE_Y -1 TILEPROP VFRAME_X47Y7 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y7 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y7 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y7 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y7 NAME VFRAME_X47Y7 TILEPROP VFRAME_X47Y7 NUM_ARCS 0 TILEPROP VFRAME_X47Y7 NUM_SITES 0 TILEPROP VFRAME_X47Y7 ROW 149 TILEPROP VFRAME_X47Y7 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y7 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y7 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y7 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y7 TILE_X -16704 TILEPROP VFRAME_X47Y7 TILE_Y -220472 TILEPROP VFRAME_X47Y7 TYPE VFRAME TILEPROP VFRAME_X47Y8 CLASS tile TILEPROP VFRAME_X47Y8 COLUMN 47 TILEPROP VFRAME_X47Y8 DEVICE_ID 0 TILEPROP VFRAME_X47Y8 FIRST_SITE_ID 15012 TILEPROP VFRAME_X47Y8 GRID_POINT_X 47 TILEPROP VFRAME_X47Y8 GRID_POINT_Y 148 TILEPROP VFRAME_X47Y8 INDEX 17067 TILEPROP VFRAME_X47Y8 INT_TILE_X -1 TILEPROP VFRAME_X47Y8 INT_TILE_Y -1 TILEPROP VFRAME_X47Y8 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y8 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y8 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y8 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y8 NAME VFRAME_X47Y8 TILEPROP VFRAME_X47Y8 NUM_ARCS 0 TILEPROP VFRAME_X47Y8 NUM_SITES 0 TILEPROP VFRAME_X47Y8 ROW 148 TILEPROP VFRAME_X47Y8 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y8 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y8 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y8 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y8 TILE_X -16704 TILEPROP VFRAME_X47Y8 TILE_Y -217272 TILEPROP VFRAME_X47Y8 TYPE VFRAME TILEPROP VFRAME_X47Y9 CLASS tile TILEPROP VFRAME_X47Y9 COLUMN 47 TILEPROP VFRAME_X47Y9 DEVICE_ID 0 TILEPROP VFRAME_X47Y9 FIRST_SITE_ID 14909 TILEPROP VFRAME_X47Y9 GRID_POINT_X 47 TILEPROP VFRAME_X47Y9 GRID_POINT_Y 147 TILEPROP VFRAME_X47Y9 INDEX 16952 TILEPROP VFRAME_X47Y9 INT_TILE_X -1 TILEPROP VFRAME_X47Y9 INT_TILE_Y -1 TILEPROP VFRAME_X47Y9 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y9 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y9 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y9 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y9 NAME VFRAME_X47Y9 TILEPROP VFRAME_X47Y9 NUM_ARCS 0 TILEPROP VFRAME_X47Y9 NUM_SITES 0 TILEPROP VFRAME_X47Y9 ROW 147 TILEPROP VFRAME_X47Y9 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y9 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y9 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y9 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y9 TILE_X -16704 TILEPROP VFRAME_X47Y9 TILE_Y -214072 TILEPROP VFRAME_X47Y9 TYPE VFRAME TILEPROP VFRAME_X47Y10 CLASS tile TILEPROP VFRAME_X47Y10 COLUMN 47 TILEPROP VFRAME_X47Y10 DEVICE_ID 0 TILEPROP VFRAME_X47Y10 FIRST_SITE_ID 14808 TILEPROP VFRAME_X47Y10 GRID_POINT_X 47 TILEPROP VFRAME_X47Y10 GRID_POINT_Y 146 TILEPROP VFRAME_X47Y10 INDEX 16837 TILEPROP VFRAME_X47Y10 INT_TILE_X -1 TILEPROP VFRAME_X47Y10 INT_TILE_Y -1 TILEPROP VFRAME_X47Y10 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y10 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y10 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y10 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y10 NAME VFRAME_X47Y10 TILEPROP VFRAME_X47Y10 NUM_ARCS 0 TILEPROP VFRAME_X47Y10 NUM_SITES 0 TILEPROP VFRAME_X47Y10 ROW 146 TILEPROP VFRAME_X47Y10 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y10 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y10 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y10 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y10 TILE_X -16704 TILEPROP VFRAME_X47Y10 TILE_Y -210872 TILEPROP VFRAME_X47Y10 TYPE VFRAME TILEPROP VFRAME_X47Y11 CLASS tile TILEPROP VFRAME_X47Y11 COLUMN 47 TILEPROP VFRAME_X47Y11 DEVICE_ID 0 TILEPROP VFRAME_X47Y11 FIRST_SITE_ID 14699 TILEPROP VFRAME_X47Y11 GRID_POINT_X 47 TILEPROP VFRAME_X47Y11 GRID_POINT_Y 145 TILEPROP VFRAME_X47Y11 INDEX 16722 TILEPROP VFRAME_X47Y11 INT_TILE_X -1 TILEPROP VFRAME_X47Y11 INT_TILE_Y -1 TILEPROP VFRAME_X47Y11 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y11 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y11 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y11 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y11 NAME VFRAME_X47Y11 TILEPROP VFRAME_X47Y11 NUM_ARCS 0 TILEPROP VFRAME_X47Y11 NUM_SITES 0 TILEPROP VFRAME_X47Y11 ROW 145 TILEPROP VFRAME_X47Y11 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y11 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y11 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y11 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y11 TILE_X -16704 TILEPROP VFRAME_X47Y11 TILE_Y -207672 TILEPROP VFRAME_X47Y11 TYPE VFRAME TILEPROP VFRAME_X47Y12 CLASS tile TILEPROP VFRAME_X47Y12 COLUMN 47 TILEPROP VFRAME_X47Y12 DEVICE_ID 0 TILEPROP VFRAME_X47Y12 FIRST_SITE_ID 14593 TILEPROP VFRAME_X47Y12 GRID_POINT_X 47 TILEPROP VFRAME_X47Y12 GRID_POINT_Y 144 TILEPROP VFRAME_X47Y12 INDEX 16607 TILEPROP VFRAME_X47Y12 INT_TILE_X -1 TILEPROP VFRAME_X47Y12 INT_TILE_Y -1 TILEPROP VFRAME_X47Y12 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y12 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y12 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y12 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y12 NAME VFRAME_X47Y12 TILEPROP VFRAME_X47Y12 NUM_ARCS 0 TILEPROP VFRAME_X47Y12 NUM_SITES 0 TILEPROP VFRAME_X47Y12 ROW 144 TILEPROP VFRAME_X47Y12 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y12 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y12 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y12 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y12 TILE_X -16704 TILEPROP VFRAME_X47Y12 TILE_Y -204472 TILEPROP VFRAME_X47Y12 TYPE VFRAME TILEPROP VFRAME_X47Y13 CLASS tile TILEPROP VFRAME_X47Y13 COLUMN 47 TILEPROP VFRAME_X47Y13 DEVICE_ID 0 TILEPROP VFRAME_X47Y13 FIRST_SITE_ID 14461 TILEPROP VFRAME_X47Y13 GRID_POINT_X 47 TILEPROP VFRAME_X47Y13 GRID_POINT_Y 143 TILEPROP VFRAME_X47Y13 INDEX 16492 TILEPROP VFRAME_X47Y13 INT_TILE_X -1 TILEPROP VFRAME_X47Y13 INT_TILE_Y -1 TILEPROP VFRAME_X47Y13 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y13 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y13 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y13 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y13 NAME VFRAME_X47Y13 TILEPROP VFRAME_X47Y13 NUM_ARCS 0 TILEPROP VFRAME_X47Y13 NUM_SITES 0 TILEPROP VFRAME_X47Y13 ROW 143 TILEPROP VFRAME_X47Y13 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y13 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y13 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y13 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y13 TILE_X -16704 TILEPROP VFRAME_X47Y13 TILE_Y -201272 TILEPROP VFRAME_X47Y13 TYPE VFRAME TILEPROP VFRAME_X47Y14 CLASS tile TILEPROP VFRAME_X47Y14 COLUMN 47 TILEPROP VFRAME_X47Y14 DEVICE_ID 0 TILEPROP VFRAME_X47Y14 FIRST_SITE_ID 14361 TILEPROP VFRAME_X47Y14 GRID_POINT_X 47 TILEPROP VFRAME_X47Y14 GRID_POINT_Y 142 TILEPROP VFRAME_X47Y14 INDEX 16377 TILEPROP VFRAME_X47Y14 INT_TILE_X -1 TILEPROP VFRAME_X47Y14 INT_TILE_Y -1 TILEPROP VFRAME_X47Y14 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y14 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y14 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y14 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y14 NAME VFRAME_X47Y14 TILEPROP VFRAME_X47Y14 NUM_ARCS 0 TILEPROP VFRAME_X47Y14 NUM_SITES 0 TILEPROP VFRAME_X47Y14 ROW 142 TILEPROP VFRAME_X47Y14 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y14 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y14 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y14 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y14 TILE_X -16704 TILEPROP VFRAME_X47Y14 TILE_Y -198072 TILEPROP VFRAME_X47Y14 TYPE VFRAME TILEPROP VFRAME_X47Y15 CLASS tile TILEPROP VFRAME_X47Y15 COLUMN 47 TILEPROP VFRAME_X47Y15 DEVICE_ID 0 TILEPROP VFRAME_X47Y15 FIRST_SITE_ID 14261 TILEPROP VFRAME_X47Y15 GRID_POINT_X 47 TILEPROP VFRAME_X47Y15 GRID_POINT_Y 141 TILEPROP VFRAME_X47Y15 INDEX 16262 TILEPROP VFRAME_X47Y15 INT_TILE_X -1 TILEPROP VFRAME_X47Y15 INT_TILE_Y -1 TILEPROP VFRAME_X47Y15 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y15 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y15 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y15 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y15 NAME VFRAME_X47Y15 TILEPROP VFRAME_X47Y15 NUM_ARCS 0 TILEPROP VFRAME_X47Y15 NUM_SITES 0 TILEPROP VFRAME_X47Y15 ROW 141 TILEPROP VFRAME_X47Y15 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y15 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y15 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y15 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y15 TILE_X -16704 TILEPROP VFRAME_X47Y15 TILE_Y -194872 TILEPROP VFRAME_X47Y15 TYPE VFRAME TILEPROP VFRAME_X47Y16 CLASS tile TILEPROP VFRAME_X47Y16 COLUMN 47 TILEPROP VFRAME_X47Y16 DEVICE_ID 0 TILEPROP VFRAME_X47Y16 FIRST_SITE_ID 14152 TILEPROP VFRAME_X47Y16 GRID_POINT_X 47 TILEPROP VFRAME_X47Y16 GRID_POINT_Y 140 TILEPROP VFRAME_X47Y16 INDEX 16147 TILEPROP VFRAME_X47Y16 INT_TILE_X -1 TILEPROP VFRAME_X47Y16 INT_TILE_Y -1 TILEPROP VFRAME_X47Y16 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y16 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y16 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y16 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y16 NAME VFRAME_X47Y16 TILEPROP VFRAME_X47Y16 NUM_ARCS 0 TILEPROP VFRAME_X47Y16 NUM_SITES 0 TILEPROP VFRAME_X47Y16 ROW 140 TILEPROP VFRAME_X47Y16 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y16 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y16 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y16 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y16 TILE_X -16704 TILEPROP VFRAME_X47Y16 TILE_Y -191672 TILEPROP VFRAME_X47Y16 TYPE VFRAME TILEPROP VFRAME_X47Y17 CLASS tile TILEPROP VFRAME_X47Y17 COLUMN 47 TILEPROP VFRAME_X47Y17 DEVICE_ID 0 TILEPROP VFRAME_X47Y17 FIRST_SITE_ID 14046 TILEPROP VFRAME_X47Y17 GRID_POINT_X 47 TILEPROP VFRAME_X47Y17 GRID_POINT_Y 139 TILEPROP VFRAME_X47Y17 INDEX 16032 TILEPROP VFRAME_X47Y17 INT_TILE_X -1 TILEPROP VFRAME_X47Y17 INT_TILE_Y -1 TILEPROP VFRAME_X47Y17 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y17 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y17 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y17 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y17 NAME VFRAME_X47Y17 TILEPROP VFRAME_X47Y17 NUM_ARCS 0 TILEPROP VFRAME_X47Y17 NUM_SITES 0 TILEPROP VFRAME_X47Y17 ROW 139 TILEPROP VFRAME_X47Y17 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y17 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y17 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y17 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y17 TILE_X -16704 TILEPROP VFRAME_X47Y17 TILE_Y -188472 TILEPROP VFRAME_X47Y17 TYPE VFRAME TILEPROP VFRAME_X47Y18 CLASS tile TILEPROP VFRAME_X47Y18 COLUMN 47 TILEPROP VFRAME_X47Y18 DEVICE_ID 0 TILEPROP VFRAME_X47Y18 FIRST_SITE_ID 13941 TILEPROP VFRAME_X47Y18 GRID_POINT_X 47 TILEPROP VFRAME_X47Y18 GRID_POINT_Y 138 TILEPROP VFRAME_X47Y18 INDEX 15917 TILEPROP VFRAME_X47Y18 INT_TILE_X -1 TILEPROP VFRAME_X47Y18 INT_TILE_Y -1 TILEPROP VFRAME_X47Y18 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y18 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y18 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y18 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y18 NAME VFRAME_X47Y18 TILEPROP VFRAME_X47Y18 NUM_ARCS 0 TILEPROP VFRAME_X47Y18 NUM_SITES 0 TILEPROP VFRAME_X47Y18 ROW 138 TILEPROP VFRAME_X47Y18 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y18 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y18 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y18 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y18 TILE_X -16704 TILEPROP VFRAME_X47Y18 TILE_Y -185272 TILEPROP VFRAME_X47Y18 TYPE VFRAME TILEPROP VFRAME_X47Y19 CLASS tile TILEPROP VFRAME_X47Y19 COLUMN 47 TILEPROP VFRAME_X47Y19 DEVICE_ID 0 TILEPROP VFRAME_X47Y19 FIRST_SITE_ID 13837 TILEPROP VFRAME_X47Y19 GRID_POINT_X 47 TILEPROP VFRAME_X47Y19 GRID_POINT_Y 137 TILEPROP VFRAME_X47Y19 INDEX 15802 TILEPROP VFRAME_X47Y19 INT_TILE_X -1 TILEPROP VFRAME_X47Y19 INT_TILE_Y -1 TILEPROP VFRAME_X47Y19 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y19 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y19 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y19 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y19 NAME VFRAME_X47Y19 TILEPROP VFRAME_X47Y19 NUM_ARCS 0 TILEPROP VFRAME_X47Y19 NUM_SITES 0 TILEPROP VFRAME_X47Y19 ROW 137 TILEPROP VFRAME_X47Y19 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y19 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y19 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y19 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y19 TILE_X -16704 TILEPROP VFRAME_X47Y19 TILE_Y -182072 TILEPROP VFRAME_X47Y19 TYPE VFRAME TILEPROP VFRAME_X47Y20 CLASS tile TILEPROP VFRAME_X47Y20 COLUMN 47 TILEPROP VFRAME_X47Y20 DEVICE_ID 0 TILEPROP VFRAME_X47Y20 FIRST_SITE_ID 13735 TILEPROP VFRAME_X47Y20 GRID_POINT_X 47 TILEPROP VFRAME_X47Y20 GRID_POINT_Y 136 TILEPROP VFRAME_X47Y20 INDEX 15687 TILEPROP VFRAME_X47Y20 INT_TILE_X -1 TILEPROP VFRAME_X47Y20 INT_TILE_Y -1 TILEPROP VFRAME_X47Y20 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y20 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y20 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y20 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y20 NAME VFRAME_X47Y20 TILEPROP VFRAME_X47Y20 NUM_ARCS 0 TILEPROP VFRAME_X47Y20 NUM_SITES 0 TILEPROP VFRAME_X47Y20 ROW 136 TILEPROP VFRAME_X47Y20 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y20 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y20 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y20 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y20 TILE_X -16704 TILEPROP VFRAME_X47Y20 TILE_Y -178872 TILEPROP VFRAME_X47Y20 TYPE VFRAME TILEPROP VFRAME_X47Y21 CLASS tile TILEPROP VFRAME_X47Y21 COLUMN 47 TILEPROP VFRAME_X47Y21 DEVICE_ID 0 TILEPROP VFRAME_X47Y21 FIRST_SITE_ID 13624 TILEPROP VFRAME_X47Y21 GRID_POINT_X 47 TILEPROP VFRAME_X47Y21 GRID_POINT_Y 135 TILEPROP VFRAME_X47Y21 INDEX 15572 TILEPROP VFRAME_X47Y21 INT_TILE_X -1 TILEPROP VFRAME_X47Y21 INT_TILE_Y -1 TILEPROP VFRAME_X47Y21 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y21 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y21 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y21 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y21 NAME VFRAME_X47Y21 TILEPROP VFRAME_X47Y21 NUM_ARCS 0 TILEPROP VFRAME_X47Y21 NUM_SITES 0 TILEPROP VFRAME_X47Y21 ROW 135 TILEPROP VFRAME_X47Y21 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y21 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y21 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y21 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y21 TILE_X -16704 TILEPROP VFRAME_X47Y21 TILE_Y -175672 TILEPROP VFRAME_X47Y21 TYPE VFRAME TILEPROP VFRAME_X47Y22 CLASS tile TILEPROP VFRAME_X47Y22 COLUMN 47 TILEPROP VFRAME_X47Y22 DEVICE_ID 0 TILEPROP VFRAME_X47Y22 FIRST_SITE_ID 13518 TILEPROP VFRAME_X47Y22 GRID_POINT_X 47 TILEPROP VFRAME_X47Y22 GRID_POINT_Y 134 TILEPROP VFRAME_X47Y22 INDEX 15457 TILEPROP VFRAME_X47Y22 INT_TILE_X -1 TILEPROP VFRAME_X47Y22 INT_TILE_Y -1 TILEPROP VFRAME_X47Y22 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y22 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y22 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y22 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y22 NAME VFRAME_X47Y22 TILEPROP VFRAME_X47Y22 NUM_ARCS 0 TILEPROP VFRAME_X47Y22 NUM_SITES 0 TILEPROP VFRAME_X47Y22 ROW 134 TILEPROP VFRAME_X47Y22 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y22 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y22 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y22 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y22 TILE_X -16704 TILEPROP VFRAME_X47Y22 TILE_Y -172472 TILEPROP VFRAME_X47Y22 TYPE VFRAME TILEPROP VFRAME_X47Y23 CLASS tile TILEPROP VFRAME_X47Y23 COLUMN 47 TILEPROP VFRAME_X47Y23 DEVICE_ID 0 TILEPROP VFRAME_X47Y23 FIRST_SITE_ID 13418 TILEPROP VFRAME_X47Y23 GRID_POINT_X 47 TILEPROP VFRAME_X47Y23 GRID_POINT_Y 133 TILEPROP VFRAME_X47Y23 INDEX 15342 TILEPROP VFRAME_X47Y23 INT_TILE_X -1 TILEPROP VFRAME_X47Y23 INT_TILE_Y -1 TILEPROP VFRAME_X47Y23 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y23 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y23 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y23 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y23 NAME VFRAME_X47Y23 TILEPROP VFRAME_X47Y23 NUM_ARCS 0 TILEPROP VFRAME_X47Y23 NUM_SITES 0 TILEPROP VFRAME_X47Y23 ROW 133 TILEPROP VFRAME_X47Y23 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y23 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y23 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y23 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y23 TILE_X -16704 TILEPROP VFRAME_X47Y23 TILE_Y -169272 TILEPROP VFRAME_X47Y23 TYPE VFRAME TILEPROP VFRAME_X47Y24 CLASS tile TILEPROP VFRAME_X47Y24 COLUMN 47 TILEPROP VFRAME_X47Y24 DEVICE_ID 0 TILEPROP VFRAME_X47Y24 FIRST_SITE_ID 13318 TILEPROP VFRAME_X47Y24 GRID_POINT_X 47 TILEPROP VFRAME_X47Y24 GRID_POINT_Y 132 TILEPROP VFRAME_X47Y24 INDEX 15227 TILEPROP VFRAME_X47Y24 INT_TILE_X -1 TILEPROP VFRAME_X47Y24 INT_TILE_Y -1 TILEPROP VFRAME_X47Y24 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y24 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y24 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y24 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y24 NAME VFRAME_X47Y24 TILEPROP VFRAME_X47Y24 NUM_ARCS 0 TILEPROP VFRAME_X47Y24 NUM_SITES 0 TILEPROP VFRAME_X47Y24 ROW 132 TILEPROP VFRAME_X47Y24 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y24 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y24 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y24 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y24 TILE_X -16704 TILEPROP VFRAME_X47Y24 TILE_Y -166072 TILEPROP VFRAME_X47Y24 TYPE VFRAME TILEPROP VFRAME_X47Y25 CLASS tile TILEPROP VFRAME_X47Y25 COLUMN 47 TILEPROP VFRAME_X47Y25 DEVICE_ID 0 TILEPROP VFRAME_X47Y25 FIRST_SITE_ID 13218 TILEPROP VFRAME_X47Y25 GRID_POINT_X 47 TILEPROP VFRAME_X47Y25 GRID_POINT_Y 131 TILEPROP VFRAME_X47Y25 INDEX 15112 TILEPROP VFRAME_X47Y25 INT_TILE_X -1 TILEPROP VFRAME_X47Y25 INT_TILE_Y -1 TILEPROP VFRAME_X47Y25 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y25 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y25 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y25 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y25 NAME VFRAME_X47Y25 TILEPROP VFRAME_X47Y25 NUM_ARCS 0 TILEPROP VFRAME_X47Y25 NUM_SITES 0 TILEPROP VFRAME_X47Y25 ROW 131 TILEPROP VFRAME_X47Y25 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y25 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y25 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y25 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y25 TILE_X -16704 TILEPROP VFRAME_X47Y25 TILE_Y -162872 TILEPROP VFRAME_X47Y25 TYPE VFRAME TILEPROP VFRAME_X47Y27 CLASS tile TILEPROP VFRAME_X47Y27 COLUMN 47 TILEPROP VFRAME_X47Y27 DEVICE_ID 0 TILEPROP VFRAME_X47Y27 FIRST_SITE_ID 13026 TILEPROP VFRAME_X47Y27 GRID_POINT_X 47 TILEPROP VFRAME_X47Y27 GRID_POINT_Y 129 TILEPROP VFRAME_X47Y27 INDEX 14882 TILEPROP VFRAME_X47Y27 INT_TILE_X -1 TILEPROP VFRAME_X47Y27 INT_TILE_Y -1 TILEPROP VFRAME_X47Y27 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y27 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y27 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y27 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y27 NAME VFRAME_X47Y27 TILEPROP VFRAME_X47Y27 NUM_ARCS 0 TILEPROP VFRAME_X47Y27 NUM_SITES 0 TILEPROP VFRAME_X47Y27 ROW 129 TILEPROP VFRAME_X47Y27 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y27 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y27 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y27 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y27 TILE_X -16704 TILEPROP VFRAME_X47Y27 TILE_Y -158648 TILEPROP VFRAME_X47Y27 TYPE VFRAME TILEPROP VFRAME_X47Y28 CLASS tile TILEPROP VFRAME_X47Y28 COLUMN 47 TILEPROP VFRAME_X47Y28 DEVICE_ID 0 TILEPROP VFRAME_X47Y28 FIRST_SITE_ID 12920 TILEPROP VFRAME_X47Y28 GRID_POINT_X 47 TILEPROP VFRAME_X47Y28 GRID_POINT_Y 128 TILEPROP VFRAME_X47Y28 INDEX 14767 TILEPROP VFRAME_X47Y28 INT_TILE_X -1 TILEPROP VFRAME_X47Y28 INT_TILE_Y -1 TILEPROP VFRAME_X47Y28 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y28 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y28 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y28 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y28 NAME VFRAME_X47Y28 TILEPROP VFRAME_X47Y28 NUM_ARCS 0 TILEPROP VFRAME_X47Y28 NUM_SITES 0 TILEPROP VFRAME_X47Y28 ROW 128 TILEPROP VFRAME_X47Y28 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y28 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y28 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y28 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y28 TILE_X -16704 TILEPROP VFRAME_X47Y28 TILE_Y -155448 TILEPROP VFRAME_X47Y28 TYPE VFRAME TILEPROP VFRAME_X47Y29 CLASS tile TILEPROP VFRAME_X47Y29 COLUMN 47 TILEPROP VFRAME_X47Y29 DEVICE_ID 0 TILEPROP VFRAME_X47Y29 FIRST_SITE_ID 12820 TILEPROP VFRAME_X47Y29 GRID_POINT_X 47 TILEPROP VFRAME_X47Y29 GRID_POINT_Y 127 TILEPROP VFRAME_X47Y29 INDEX 14652 TILEPROP VFRAME_X47Y29 INT_TILE_X -1 TILEPROP VFRAME_X47Y29 INT_TILE_Y -1 TILEPROP VFRAME_X47Y29 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y29 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y29 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y29 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y29 NAME VFRAME_X47Y29 TILEPROP VFRAME_X47Y29 NUM_ARCS 0 TILEPROP VFRAME_X47Y29 NUM_SITES 0 TILEPROP VFRAME_X47Y29 ROW 127 TILEPROP VFRAME_X47Y29 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y29 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y29 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y29 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y29 TILE_X -16704 TILEPROP VFRAME_X47Y29 TILE_Y -152248 TILEPROP VFRAME_X47Y29 TYPE VFRAME TILEPROP VFRAME_X47Y30 CLASS tile TILEPROP VFRAME_X47Y30 COLUMN 47 TILEPROP VFRAME_X47Y30 DEVICE_ID 0 TILEPROP VFRAME_X47Y30 FIRST_SITE_ID 12720 TILEPROP VFRAME_X47Y30 GRID_POINT_X 47 TILEPROP VFRAME_X47Y30 GRID_POINT_Y 126 TILEPROP VFRAME_X47Y30 INDEX 14537 TILEPROP VFRAME_X47Y30 INT_TILE_X -1 TILEPROP VFRAME_X47Y30 INT_TILE_Y -1 TILEPROP VFRAME_X47Y30 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y30 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y30 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y30 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y30 NAME VFRAME_X47Y30 TILEPROP VFRAME_X47Y30 NUM_ARCS 0 TILEPROP VFRAME_X47Y30 NUM_SITES 0 TILEPROP VFRAME_X47Y30 ROW 126 TILEPROP VFRAME_X47Y30 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y30 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y30 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y30 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y30 TILE_X -16704 TILEPROP VFRAME_X47Y30 TILE_Y -149048 TILEPROP VFRAME_X47Y30 TYPE VFRAME TILEPROP VFRAME_X47Y31 CLASS tile TILEPROP VFRAME_X47Y31 COLUMN 47 TILEPROP VFRAME_X47Y31 DEVICE_ID 0 TILEPROP VFRAME_X47Y31 FIRST_SITE_ID 12614 TILEPROP VFRAME_X47Y31 GRID_POINT_X 47 TILEPROP VFRAME_X47Y31 GRID_POINT_Y 125 TILEPROP VFRAME_X47Y31 INDEX 14422 TILEPROP VFRAME_X47Y31 INT_TILE_X -1 TILEPROP VFRAME_X47Y31 INT_TILE_Y -1 TILEPROP VFRAME_X47Y31 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y31 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y31 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y31 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y31 NAME VFRAME_X47Y31 TILEPROP VFRAME_X47Y31 NUM_ARCS 0 TILEPROP VFRAME_X47Y31 NUM_SITES 0 TILEPROP VFRAME_X47Y31 ROW 125 TILEPROP VFRAME_X47Y31 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y31 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y31 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y31 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y31 TILE_X -16704 TILEPROP VFRAME_X47Y31 TILE_Y -145848 TILEPROP VFRAME_X47Y31 TYPE VFRAME TILEPROP VFRAME_X47Y32 CLASS tile TILEPROP VFRAME_X47Y32 COLUMN 47 TILEPROP VFRAME_X47Y32 DEVICE_ID 0 TILEPROP VFRAME_X47Y32 FIRST_SITE_ID 12499 TILEPROP VFRAME_X47Y32 GRID_POINT_X 47 TILEPROP VFRAME_X47Y32 GRID_POINT_Y 124 TILEPROP VFRAME_X47Y32 INDEX 14307 TILEPROP VFRAME_X47Y32 INT_TILE_X -1 TILEPROP VFRAME_X47Y32 INT_TILE_Y -1 TILEPROP VFRAME_X47Y32 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y32 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y32 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y32 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y32 NAME VFRAME_X47Y32 TILEPROP VFRAME_X47Y32 NUM_ARCS 0 TILEPROP VFRAME_X47Y32 NUM_SITES 0 TILEPROP VFRAME_X47Y32 ROW 124 TILEPROP VFRAME_X47Y32 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y32 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y32 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y32 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y32 TILE_X -16704 TILEPROP VFRAME_X47Y32 TILE_Y -142648 TILEPROP VFRAME_X47Y32 TYPE VFRAME TILEPROP VFRAME_X47Y33 CLASS tile TILEPROP VFRAME_X47Y33 COLUMN 47 TILEPROP VFRAME_X47Y33 DEVICE_ID 0 TILEPROP VFRAME_X47Y33 FIRST_SITE_ID 12378 TILEPROP VFRAME_X47Y33 GRID_POINT_X 47 TILEPROP VFRAME_X47Y33 GRID_POINT_Y 123 TILEPROP VFRAME_X47Y33 INDEX 14192 TILEPROP VFRAME_X47Y33 INT_TILE_X -1 TILEPROP VFRAME_X47Y33 INT_TILE_Y -1 TILEPROP VFRAME_X47Y33 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y33 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y33 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y33 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y33 NAME VFRAME_X47Y33 TILEPROP VFRAME_X47Y33 NUM_ARCS 0 TILEPROP VFRAME_X47Y33 NUM_SITES 0 TILEPROP VFRAME_X47Y33 ROW 123 TILEPROP VFRAME_X47Y33 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y33 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y33 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y33 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y33 TILE_X -16704 TILEPROP VFRAME_X47Y33 TILE_Y -139448 TILEPROP VFRAME_X47Y33 TYPE VFRAME TILEPROP VFRAME_X47Y34 CLASS tile TILEPROP VFRAME_X47Y34 COLUMN 47 TILEPROP VFRAME_X47Y34 DEVICE_ID 0 TILEPROP VFRAME_X47Y34 FIRST_SITE_ID 12275 TILEPROP VFRAME_X47Y34 GRID_POINT_X 47 TILEPROP VFRAME_X47Y34 GRID_POINT_Y 122 TILEPROP VFRAME_X47Y34 INDEX 14077 TILEPROP VFRAME_X47Y34 INT_TILE_X -1 TILEPROP VFRAME_X47Y34 INT_TILE_Y -1 TILEPROP VFRAME_X47Y34 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y34 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y34 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y34 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y34 NAME VFRAME_X47Y34 TILEPROP VFRAME_X47Y34 NUM_ARCS 0 TILEPROP VFRAME_X47Y34 NUM_SITES 0 TILEPROP VFRAME_X47Y34 ROW 122 TILEPROP VFRAME_X47Y34 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y34 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y34 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y34 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y34 TILE_X -16704 TILEPROP VFRAME_X47Y34 TILE_Y -136248 TILEPROP VFRAME_X47Y34 TYPE VFRAME TILEPROP VFRAME_X47Y35 CLASS tile TILEPROP VFRAME_X47Y35 COLUMN 47 TILEPROP VFRAME_X47Y35 DEVICE_ID 0 TILEPROP VFRAME_X47Y35 FIRST_SITE_ID 12175 TILEPROP VFRAME_X47Y35 GRID_POINT_X 47 TILEPROP VFRAME_X47Y35 GRID_POINT_Y 121 TILEPROP VFRAME_X47Y35 INDEX 13962 TILEPROP VFRAME_X47Y35 INT_TILE_X -1 TILEPROP VFRAME_X47Y35 INT_TILE_Y -1 TILEPROP VFRAME_X47Y35 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y35 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y35 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y35 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y35 NAME VFRAME_X47Y35 TILEPROP VFRAME_X47Y35 NUM_ARCS 0 TILEPROP VFRAME_X47Y35 NUM_SITES 0 TILEPROP VFRAME_X47Y35 ROW 121 TILEPROP VFRAME_X47Y35 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y35 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y35 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y35 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y35 TILE_X -16704 TILEPROP VFRAME_X47Y35 TILE_Y -133048 TILEPROP VFRAME_X47Y35 TYPE VFRAME TILEPROP VFRAME_X47Y36 CLASS tile TILEPROP VFRAME_X47Y36 COLUMN 47 TILEPROP VFRAME_X47Y36 DEVICE_ID 0 TILEPROP VFRAME_X47Y36 FIRST_SITE_ID 12075 TILEPROP VFRAME_X47Y36 GRID_POINT_X 47 TILEPROP VFRAME_X47Y36 GRID_POINT_Y 120 TILEPROP VFRAME_X47Y36 INDEX 13847 TILEPROP VFRAME_X47Y36 INT_TILE_X -1 TILEPROP VFRAME_X47Y36 INT_TILE_Y -1 TILEPROP VFRAME_X47Y36 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y36 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y36 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y36 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y36 NAME VFRAME_X47Y36 TILEPROP VFRAME_X47Y36 NUM_ARCS 0 TILEPROP VFRAME_X47Y36 NUM_SITES 0 TILEPROP VFRAME_X47Y36 ROW 120 TILEPROP VFRAME_X47Y36 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y36 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y36 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y36 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y36 TILE_X -16704 TILEPROP VFRAME_X47Y36 TILE_Y -129848 TILEPROP VFRAME_X47Y36 TYPE VFRAME TILEPROP VFRAME_X47Y37 CLASS tile TILEPROP VFRAME_X47Y37 COLUMN 47 TILEPROP VFRAME_X47Y37 DEVICE_ID 0 TILEPROP VFRAME_X47Y37 FIRST_SITE_ID 11966 TILEPROP VFRAME_X47Y37 GRID_POINT_X 47 TILEPROP VFRAME_X47Y37 GRID_POINT_Y 119 TILEPROP VFRAME_X47Y37 INDEX 13732 TILEPROP VFRAME_X47Y37 INT_TILE_X -1 TILEPROP VFRAME_X47Y37 INT_TILE_Y -1 TILEPROP VFRAME_X47Y37 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y37 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y37 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y37 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y37 NAME VFRAME_X47Y37 TILEPROP VFRAME_X47Y37 NUM_ARCS 0 TILEPROP VFRAME_X47Y37 NUM_SITES 0 TILEPROP VFRAME_X47Y37 ROW 119 TILEPROP VFRAME_X47Y37 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y37 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y37 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y37 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y37 TILE_X -16704 TILEPROP VFRAME_X47Y37 TILE_Y -126648 TILEPROP VFRAME_X47Y37 TYPE VFRAME TILEPROP VFRAME_X47Y38 CLASS tile TILEPROP VFRAME_X47Y38 COLUMN 47 TILEPROP VFRAME_X47Y38 DEVICE_ID 0 TILEPROP VFRAME_X47Y38 FIRST_SITE_ID 11828 TILEPROP VFRAME_X47Y38 GRID_POINT_X 47 TILEPROP VFRAME_X47Y38 GRID_POINT_Y 118 TILEPROP VFRAME_X47Y38 INDEX 13617 TILEPROP VFRAME_X47Y38 INT_TILE_X -1 TILEPROP VFRAME_X47Y38 INT_TILE_Y -1 TILEPROP VFRAME_X47Y38 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y38 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y38 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y38 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y38 NAME VFRAME_X47Y38 TILEPROP VFRAME_X47Y38 NUM_ARCS 0 TILEPROP VFRAME_X47Y38 NUM_SITES 0 TILEPROP VFRAME_X47Y38 ROW 118 TILEPROP VFRAME_X47Y38 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y38 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y38 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y38 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y38 TILE_X -16704 TILEPROP VFRAME_X47Y38 TILE_Y -123448 TILEPROP VFRAME_X47Y38 TYPE VFRAME TILEPROP VFRAME_X47Y39 CLASS tile TILEPROP VFRAME_X47Y39 COLUMN 47 TILEPROP VFRAME_X47Y39 DEVICE_ID 0 TILEPROP VFRAME_X47Y39 FIRST_SITE_ID 11728 TILEPROP VFRAME_X47Y39 GRID_POINT_X 47 TILEPROP VFRAME_X47Y39 GRID_POINT_Y 117 TILEPROP VFRAME_X47Y39 INDEX 13502 TILEPROP VFRAME_X47Y39 INT_TILE_X -1 TILEPROP VFRAME_X47Y39 INT_TILE_Y -1 TILEPROP VFRAME_X47Y39 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y39 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y39 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y39 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y39 NAME VFRAME_X47Y39 TILEPROP VFRAME_X47Y39 NUM_ARCS 0 TILEPROP VFRAME_X47Y39 NUM_SITES 0 TILEPROP VFRAME_X47Y39 ROW 117 TILEPROP VFRAME_X47Y39 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y39 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y39 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y39 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y39 TILE_X -16704 TILEPROP VFRAME_X47Y39 TILE_Y -120248 TILEPROP VFRAME_X47Y39 TYPE VFRAME TILEPROP VFRAME_X47Y40 CLASS tile TILEPROP VFRAME_X47Y40 COLUMN 47 TILEPROP VFRAME_X47Y40 DEVICE_ID 0 TILEPROP VFRAME_X47Y40 FIRST_SITE_ID 11628 TILEPROP VFRAME_X47Y40 GRID_POINT_X 47 TILEPROP VFRAME_X47Y40 GRID_POINT_Y 116 TILEPROP VFRAME_X47Y40 INDEX 13387 TILEPROP VFRAME_X47Y40 INT_TILE_X -1 TILEPROP VFRAME_X47Y40 INT_TILE_Y -1 TILEPROP VFRAME_X47Y40 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y40 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y40 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y40 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y40 NAME VFRAME_X47Y40 TILEPROP VFRAME_X47Y40 NUM_ARCS 0 TILEPROP VFRAME_X47Y40 NUM_SITES 0 TILEPROP VFRAME_X47Y40 ROW 116 TILEPROP VFRAME_X47Y40 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y40 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y40 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y40 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y40 TILE_X -16704 TILEPROP VFRAME_X47Y40 TILE_Y -117048 TILEPROP VFRAME_X47Y40 TYPE VFRAME TILEPROP VFRAME_X47Y41 CLASS tile TILEPROP VFRAME_X47Y41 COLUMN 47 TILEPROP VFRAME_X47Y41 DEVICE_ID 0 TILEPROP VFRAME_X47Y41 FIRST_SITE_ID 11528 TILEPROP VFRAME_X47Y41 GRID_POINT_X 47 TILEPROP VFRAME_X47Y41 GRID_POINT_Y 115 TILEPROP VFRAME_X47Y41 INDEX 13272 TILEPROP VFRAME_X47Y41 INT_TILE_X -1 TILEPROP VFRAME_X47Y41 INT_TILE_Y -1 TILEPROP VFRAME_X47Y41 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y41 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y41 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y41 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y41 NAME VFRAME_X47Y41 TILEPROP VFRAME_X47Y41 NUM_ARCS 0 TILEPROP VFRAME_X47Y41 NUM_SITES 0 TILEPROP VFRAME_X47Y41 ROW 115 TILEPROP VFRAME_X47Y41 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y41 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y41 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y41 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y41 TILE_X -16704 TILEPROP VFRAME_X47Y41 TILE_Y -113848 TILEPROP VFRAME_X47Y41 TYPE VFRAME TILEPROP VFRAME_X47Y42 CLASS tile TILEPROP VFRAME_X47Y42 COLUMN 47 TILEPROP VFRAME_X47Y42 DEVICE_ID 0 TILEPROP VFRAME_X47Y42 FIRST_SITE_ID 11419 TILEPROP VFRAME_X47Y42 GRID_POINT_X 47 TILEPROP VFRAME_X47Y42 GRID_POINT_Y 114 TILEPROP VFRAME_X47Y42 INDEX 13157 TILEPROP VFRAME_X47Y42 INT_TILE_X -1 TILEPROP VFRAME_X47Y42 INT_TILE_Y -1 TILEPROP VFRAME_X47Y42 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y42 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y42 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y42 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y42 NAME VFRAME_X47Y42 TILEPROP VFRAME_X47Y42 NUM_ARCS 0 TILEPROP VFRAME_X47Y42 NUM_SITES 0 TILEPROP VFRAME_X47Y42 ROW 114 TILEPROP VFRAME_X47Y42 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y42 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y42 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y42 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y42 TILE_X -16704 TILEPROP VFRAME_X47Y42 TILE_Y -110648 TILEPROP VFRAME_X47Y42 TYPE VFRAME TILEPROP VFRAME_X47Y43 CLASS tile TILEPROP VFRAME_X47Y43 COLUMN 47 TILEPROP VFRAME_X47Y43 DEVICE_ID 0 TILEPROP VFRAME_X47Y43 FIRST_SITE_ID 11310 TILEPROP VFRAME_X47Y43 GRID_POINT_X 47 TILEPROP VFRAME_X47Y43 GRID_POINT_Y 113 TILEPROP VFRAME_X47Y43 INDEX 13042 TILEPROP VFRAME_X47Y43 INT_TILE_X -1 TILEPROP VFRAME_X47Y43 INT_TILE_Y -1 TILEPROP VFRAME_X47Y43 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y43 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y43 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y43 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y43 NAME VFRAME_X47Y43 TILEPROP VFRAME_X47Y43 NUM_ARCS 0 TILEPROP VFRAME_X47Y43 NUM_SITES 0 TILEPROP VFRAME_X47Y43 ROW 113 TILEPROP VFRAME_X47Y43 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y43 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y43 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y43 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y43 TILE_X -16704 TILEPROP VFRAME_X47Y43 TILE_Y -107448 TILEPROP VFRAME_X47Y43 TYPE VFRAME TILEPROP VFRAME_X47Y44 CLASS tile TILEPROP VFRAME_X47Y44 COLUMN 47 TILEPROP VFRAME_X47Y44 DEVICE_ID 0 TILEPROP VFRAME_X47Y44 FIRST_SITE_ID 11209 TILEPROP VFRAME_X47Y44 GRID_POINT_X 47 TILEPROP VFRAME_X47Y44 GRID_POINT_Y 112 TILEPROP VFRAME_X47Y44 INDEX 12927 TILEPROP VFRAME_X47Y44 INT_TILE_X -1 TILEPROP VFRAME_X47Y44 INT_TILE_Y -1 TILEPROP VFRAME_X47Y44 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y44 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y44 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y44 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y44 NAME VFRAME_X47Y44 TILEPROP VFRAME_X47Y44 NUM_ARCS 0 TILEPROP VFRAME_X47Y44 NUM_SITES 0 TILEPROP VFRAME_X47Y44 ROW 112 TILEPROP VFRAME_X47Y44 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y44 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y44 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y44 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y44 TILE_X -16704 TILEPROP VFRAME_X47Y44 TILE_Y -104248 TILEPROP VFRAME_X47Y44 TYPE VFRAME TILEPROP VFRAME_X47Y45 CLASS tile TILEPROP VFRAME_X47Y45 COLUMN 47 TILEPROP VFRAME_X47Y45 DEVICE_ID 0 TILEPROP VFRAME_X47Y45 FIRST_SITE_ID 11106 TILEPROP VFRAME_X47Y45 GRID_POINT_X 47 TILEPROP VFRAME_X47Y45 GRID_POINT_Y 111 TILEPROP VFRAME_X47Y45 INDEX 12812 TILEPROP VFRAME_X47Y45 INT_TILE_X -1 TILEPROP VFRAME_X47Y45 INT_TILE_Y -1 TILEPROP VFRAME_X47Y45 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y45 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y45 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y45 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y45 NAME VFRAME_X47Y45 TILEPROP VFRAME_X47Y45 NUM_ARCS 0 TILEPROP VFRAME_X47Y45 NUM_SITES 0 TILEPROP VFRAME_X47Y45 ROW 111 TILEPROP VFRAME_X47Y45 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y45 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y45 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y45 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y45 TILE_X -16704 TILEPROP VFRAME_X47Y45 TILE_Y -101048 TILEPROP VFRAME_X47Y45 TYPE VFRAME TILEPROP VFRAME_X47Y46 CLASS tile TILEPROP VFRAME_X47Y46 COLUMN 47 TILEPROP VFRAME_X47Y46 DEVICE_ID 0 TILEPROP VFRAME_X47Y46 FIRST_SITE_ID 11004 TILEPROP VFRAME_X47Y46 GRID_POINT_X 47 TILEPROP VFRAME_X47Y46 GRID_POINT_Y 110 TILEPROP VFRAME_X47Y46 INDEX 12697 TILEPROP VFRAME_X47Y46 INT_TILE_X -1 TILEPROP VFRAME_X47Y46 INT_TILE_Y -1 TILEPROP VFRAME_X47Y46 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y46 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y46 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y46 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y46 NAME VFRAME_X47Y46 TILEPROP VFRAME_X47Y46 NUM_ARCS 0 TILEPROP VFRAME_X47Y46 NUM_SITES 0 TILEPROP VFRAME_X47Y46 ROW 110 TILEPROP VFRAME_X47Y46 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y46 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y46 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y46 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y46 TILE_X -16704 TILEPROP VFRAME_X47Y46 TILE_Y -97848 TILEPROP VFRAME_X47Y46 TYPE VFRAME TILEPROP VFRAME_X47Y47 CLASS tile TILEPROP VFRAME_X47Y47 COLUMN 47 TILEPROP VFRAME_X47Y47 DEVICE_ID 0 TILEPROP VFRAME_X47Y47 FIRST_SITE_ID 10894 TILEPROP VFRAME_X47Y47 GRID_POINT_X 47 TILEPROP VFRAME_X47Y47 GRID_POINT_Y 109 TILEPROP VFRAME_X47Y47 INDEX 12582 TILEPROP VFRAME_X47Y47 INT_TILE_X -1 TILEPROP VFRAME_X47Y47 INT_TILE_Y -1 TILEPROP VFRAME_X47Y47 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y47 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y47 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y47 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y47 NAME VFRAME_X47Y47 TILEPROP VFRAME_X47Y47 NUM_ARCS 0 TILEPROP VFRAME_X47Y47 NUM_SITES 0 TILEPROP VFRAME_X47Y47 ROW 109 TILEPROP VFRAME_X47Y47 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y47 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y47 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y47 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y47 TILE_X -16704 TILEPROP VFRAME_X47Y47 TILE_Y -94648 TILEPROP VFRAME_X47Y47 TYPE VFRAME TILEPROP VFRAME_X47Y48 CLASS tile TILEPROP VFRAME_X47Y48 COLUMN 47 TILEPROP VFRAME_X47Y48 DEVICE_ID 0 TILEPROP VFRAME_X47Y48 FIRST_SITE_ID 10772 TILEPROP VFRAME_X47Y48 GRID_POINT_X 47 TILEPROP VFRAME_X47Y48 GRID_POINT_Y 108 TILEPROP VFRAME_X47Y48 INDEX 12467 TILEPROP VFRAME_X47Y48 INT_TILE_X -1 TILEPROP VFRAME_X47Y48 INT_TILE_Y -1 TILEPROP VFRAME_X47Y48 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y48 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y48 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y48 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y48 NAME VFRAME_X47Y48 TILEPROP VFRAME_X47Y48 NUM_ARCS 0 TILEPROP VFRAME_X47Y48 NUM_SITES 0 TILEPROP VFRAME_X47Y48 ROW 108 TILEPROP VFRAME_X47Y48 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y48 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y48 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y48 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y48 TILE_X -16704 TILEPROP VFRAME_X47Y48 TILE_Y -91448 TILEPROP VFRAME_X47Y48 TYPE VFRAME TILEPROP VFRAME_X47Y49 CLASS tile TILEPROP VFRAME_X47Y49 COLUMN 47 TILEPROP VFRAME_X47Y49 DEVICE_ID 0 TILEPROP VFRAME_X47Y49 FIRST_SITE_ID 10672 TILEPROP VFRAME_X47Y49 GRID_POINT_X 47 TILEPROP VFRAME_X47Y49 GRID_POINT_Y 107 TILEPROP VFRAME_X47Y49 INDEX 12352 TILEPROP VFRAME_X47Y49 INT_TILE_X -1 TILEPROP VFRAME_X47Y49 INT_TILE_Y -1 TILEPROP VFRAME_X47Y49 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y49 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y49 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y49 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y49 NAME VFRAME_X47Y49 TILEPROP VFRAME_X47Y49 NUM_ARCS 0 TILEPROP VFRAME_X47Y49 NUM_SITES 0 TILEPROP VFRAME_X47Y49 ROW 107 TILEPROP VFRAME_X47Y49 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y49 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y49 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y49 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y49 TILE_X -16704 TILEPROP VFRAME_X47Y49 TILE_Y -88248 TILEPROP VFRAME_X47Y49 TYPE VFRAME TILEPROP VFRAME_X47Y50 CLASS tile TILEPROP VFRAME_X47Y50 COLUMN 47 TILEPROP VFRAME_X47Y50 DEVICE_ID 0 TILEPROP VFRAME_X47Y50 FIRST_SITE_ID 10572 TILEPROP VFRAME_X47Y50 GRID_POINT_X 47 TILEPROP VFRAME_X47Y50 GRID_POINT_Y 106 TILEPROP VFRAME_X47Y50 INDEX 12237 TILEPROP VFRAME_X47Y50 INT_TILE_X -1 TILEPROP VFRAME_X47Y50 INT_TILE_Y -1 TILEPROP VFRAME_X47Y50 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y50 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y50 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y50 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y50 NAME VFRAME_X47Y50 TILEPROP VFRAME_X47Y50 NUM_ARCS 0 TILEPROP VFRAME_X47Y50 NUM_SITES 0 TILEPROP VFRAME_X47Y50 ROW 106 TILEPROP VFRAME_X47Y50 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y50 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y50 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y50 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y50 TILE_X -16704 TILEPROP VFRAME_X47Y50 TILE_Y -85048 TILEPROP VFRAME_X47Y50 TYPE VFRAME TILEPROP VFRAME_X47Y51 CLASS tile TILEPROP VFRAME_X47Y51 COLUMN 47 TILEPROP VFRAME_X47Y51 DEVICE_ID 0 TILEPROP VFRAME_X47Y51 FIRST_SITE_ID 10476 TILEPROP VFRAME_X47Y51 GRID_POINT_X 47 TILEPROP VFRAME_X47Y51 GRID_POINT_Y 105 TILEPROP VFRAME_X47Y51 INDEX 12122 TILEPROP VFRAME_X47Y51 INT_TILE_X -1 TILEPROP VFRAME_X47Y51 INT_TILE_Y -1 TILEPROP VFRAME_X47Y51 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y51 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y51 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y51 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y51 NAME VFRAME_X47Y51 TILEPROP VFRAME_X47Y51 NUM_ARCS 0 TILEPROP VFRAME_X47Y51 NUM_SITES 0 TILEPROP VFRAME_X47Y51 ROW 105 TILEPROP VFRAME_X47Y51 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y51 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y51 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y51 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y51 TILE_X -16704 TILEPROP VFRAME_X47Y51 TILE_Y -81848 TILEPROP VFRAME_X47Y51 TYPE VFRAME TILEPROP VFRAME_X47Y53 CLASS tile TILEPROP VFRAME_X47Y53 COLUMN 47 TILEPROP VFRAME_X47Y53 DEVICE_ID 0 TILEPROP VFRAME_X47Y53 FIRST_SITE_ID 10351 TILEPROP VFRAME_X47Y53 GRID_POINT_X 47 TILEPROP VFRAME_X47Y53 GRID_POINT_Y 103 TILEPROP VFRAME_X47Y53 INDEX 11892 TILEPROP VFRAME_X47Y53 INT_TILE_X -1 TILEPROP VFRAME_X47Y53 INT_TILE_Y -1 TILEPROP VFRAME_X47Y53 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y53 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y53 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y53 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y53 NAME VFRAME_X47Y53 TILEPROP VFRAME_X47Y53 NUM_ARCS 0 TILEPROP VFRAME_X47Y53 NUM_SITES 0 TILEPROP VFRAME_X47Y53 ROW 103 TILEPROP VFRAME_X47Y53 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y53 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y53 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y53 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y53 TILE_X -16704 TILEPROP VFRAME_X47Y53 TILE_Y -78400 TILEPROP VFRAME_X47Y53 TYPE VFRAME TILEPROP VFRAME_X47Y54 CLASS tile TILEPROP VFRAME_X47Y54 COLUMN 47 TILEPROP VFRAME_X47Y54 DEVICE_ID 0 TILEPROP VFRAME_X47Y54 FIRST_SITE_ID 10241 TILEPROP VFRAME_X47Y54 GRID_POINT_X 47 TILEPROP VFRAME_X47Y54 GRID_POINT_Y 102 TILEPROP VFRAME_X47Y54 INDEX 11777 TILEPROP VFRAME_X47Y54 INT_TILE_X -1 TILEPROP VFRAME_X47Y54 INT_TILE_Y -1 TILEPROP VFRAME_X47Y54 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y54 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y54 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y54 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y54 NAME VFRAME_X47Y54 TILEPROP VFRAME_X47Y54 NUM_ARCS 0 TILEPROP VFRAME_X47Y54 NUM_SITES 0 TILEPROP VFRAME_X47Y54 ROW 102 TILEPROP VFRAME_X47Y54 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y54 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y54 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y54 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y54 TILE_X -16704 TILEPROP VFRAME_X47Y54 TILE_Y -75200 TILEPROP VFRAME_X47Y54 TYPE VFRAME TILEPROP VFRAME_X47Y55 CLASS tile TILEPROP VFRAME_X47Y55 COLUMN 47 TILEPROP VFRAME_X47Y55 DEVICE_ID 0 TILEPROP VFRAME_X47Y55 FIRST_SITE_ID 10141 TILEPROP VFRAME_X47Y55 GRID_POINT_X 47 TILEPROP VFRAME_X47Y55 GRID_POINT_Y 101 TILEPROP VFRAME_X47Y55 INDEX 11662 TILEPROP VFRAME_X47Y55 INT_TILE_X -1 TILEPROP VFRAME_X47Y55 INT_TILE_Y -1 TILEPROP VFRAME_X47Y55 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y55 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y55 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y55 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y55 NAME VFRAME_X47Y55 TILEPROP VFRAME_X47Y55 NUM_ARCS 0 TILEPROP VFRAME_X47Y55 NUM_SITES 0 TILEPROP VFRAME_X47Y55 ROW 101 TILEPROP VFRAME_X47Y55 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y55 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y55 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y55 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y55 TILE_X -16704 TILEPROP VFRAME_X47Y55 TILE_Y -72000 TILEPROP VFRAME_X47Y55 TYPE VFRAME TILEPROP VFRAME_X47Y56 CLASS tile TILEPROP VFRAME_X47Y56 COLUMN 47 TILEPROP VFRAME_X47Y56 DEVICE_ID 0 TILEPROP VFRAME_X47Y56 FIRST_SITE_ID 10041 TILEPROP VFRAME_X47Y56 GRID_POINT_X 47 TILEPROP VFRAME_X47Y56 GRID_POINT_Y 100 TILEPROP VFRAME_X47Y56 INDEX 11547 TILEPROP VFRAME_X47Y56 INT_TILE_X -1 TILEPROP VFRAME_X47Y56 INT_TILE_Y -1 TILEPROP VFRAME_X47Y56 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y56 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y56 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y56 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y56 NAME VFRAME_X47Y56 TILEPROP VFRAME_X47Y56 NUM_ARCS 0 TILEPROP VFRAME_X47Y56 NUM_SITES 0 TILEPROP VFRAME_X47Y56 ROW 100 TILEPROP VFRAME_X47Y56 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y56 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y56 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y56 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y56 TILE_X -16704 TILEPROP VFRAME_X47Y56 TILE_Y -68800 TILEPROP VFRAME_X47Y56 TYPE VFRAME TILEPROP VFRAME_X47Y57 CLASS tile TILEPROP VFRAME_X47Y57 COLUMN 47 TILEPROP VFRAME_X47Y57 DEVICE_ID 0 TILEPROP VFRAME_X47Y57 FIRST_SITE_ID 9941 TILEPROP VFRAME_X47Y57 GRID_POINT_X 47 TILEPROP VFRAME_X47Y57 GRID_POINT_Y 99 TILEPROP VFRAME_X47Y57 INDEX 11432 TILEPROP VFRAME_X47Y57 INT_TILE_X -1 TILEPROP VFRAME_X47Y57 INT_TILE_Y -1 TILEPROP VFRAME_X47Y57 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y57 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y57 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y57 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y57 NAME VFRAME_X47Y57 TILEPROP VFRAME_X47Y57 NUM_ARCS 0 TILEPROP VFRAME_X47Y57 NUM_SITES 0 TILEPROP VFRAME_X47Y57 ROW 99 TILEPROP VFRAME_X47Y57 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y57 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y57 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y57 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y57 TILE_X -16704 TILEPROP VFRAME_X47Y57 TILE_Y -65600 TILEPROP VFRAME_X47Y57 TYPE VFRAME TILEPROP VFRAME_X47Y58 CLASS tile TILEPROP VFRAME_X47Y58 COLUMN 47 TILEPROP VFRAME_X47Y58 DEVICE_ID 0 TILEPROP VFRAME_X47Y58 FIRST_SITE_ID 9832 TILEPROP VFRAME_X47Y58 GRID_POINT_X 47 TILEPROP VFRAME_X47Y58 GRID_POINT_Y 98 TILEPROP VFRAME_X47Y58 INDEX 11317 TILEPROP VFRAME_X47Y58 INT_TILE_X -1 TILEPROP VFRAME_X47Y58 INT_TILE_Y -1 TILEPROP VFRAME_X47Y58 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y58 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y58 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y58 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y58 NAME VFRAME_X47Y58 TILEPROP VFRAME_X47Y58 NUM_ARCS 0 TILEPROP VFRAME_X47Y58 NUM_SITES 0 TILEPROP VFRAME_X47Y58 ROW 98 TILEPROP VFRAME_X47Y58 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y58 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y58 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y58 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y58 TILE_X -16704 TILEPROP VFRAME_X47Y58 TILE_Y -62400 TILEPROP VFRAME_X47Y58 TYPE VFRAME TILEPROP VFRAME_X47Y59 CLASS tile TILEPROP VFRAME_X47Y59 COLUMN 47 TILEPROP VFRAME_X47Y59 DEVICE_ID 0 TILEPROP VFRAME_X47Y59 FIRST_SITE_ID 9726 TILEPROP VFRAME_X47Y59 GRID_POINT_X 47 TILEPROP VFRAME_X47Y59 GRID_POINT_Y 97 TILEPROP VFRAME_X47Y59 INDEX 11202 TILEPROP VFRAME_X47Y59 INT_TILE_X -1 TILEPROP VFRAME_X47Y59 INT_TILE_Y -1 TILEPROP VFRAME_X47Y59 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y59 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y59 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y59 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y59 NAME VFRAME_X47Y59 TILEPROP VFRAME_X47Y59 NUM_ARCS 0 TILEPROP VFRAME_X47Y59 NUM_SITES 0 TILEPROP VFRAME_X47Y59 ROW 97 TILEPROP VFRAME_X47Y59 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y59 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y59 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y59 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y59 TILE_X -16704 TILEPROP VFRAME_X47Y59 TILE_Y -59200 TILEPROP VFRAME_X47Y59 TYPE VFRAME TILEPROP VFRAME_X47Y60 CLASS tile TILEPROP VFRAME_X47Y60 COLUMN 47 TILEPROP VFRAME_X47Y60 DEVICE_ID 0 TILEPROP VFRAME_X47Y60 FIRST_SITE_ID 9624 TILEPROP VFRAME_X47Y60 GRID_POINT_X 47 TILEPROP VFRAME_X47Y60 GRID_POINT_Y 96 TILEPROP VFRAME_X47Y60 INDEX 11087 TILEPROP VFRAME_X47Y60 INT_TILE_X -1 TILEPROP VFRAME_X47Y60 INT_TILE_Y -1 TILEPROP VFRAME_X47Y60 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y60 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y60 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y60 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y60 NAME VFRAME_X47Y60 TILEPROP VFRAME_X47Y60 NUM_ARCS 0 TILEPROP VFRAME_X47Y60 NUM_SITES 0 TILEPROP VFRAME_X47Y60 ROW 96 TILEPROP VFRAME_X47Y60 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y60 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y60 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y60 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y60 TILE_X -16704 TILEPROP VFRAME_X47Y60 TILE_Y -56000 TILEPROP VFRAME_X47Y60 TYPE VFRAME TILEPROP VFRAME_X47Y61 CLASS tile TILEPROP VFRAME_X47Y61 COLUMN 47 TILEPROP VFRAME_X47Y61 DEVICE_ID 0 TILEPROP VFRAME_X47Y61 FIRST_SITE_ID 9521 TILEPROP VFRAME_X47Y61 GRID_POINT_X 47 TILEPROP VFRAME_X47Y61 GRID_POINT_Y 95 TILEPROP VFRAME_X47Y61 INDEX 10972 TILEPROP VFRAME_X47Y61 INT_TILE_X -1 TILEPROP VFRAME_X47Y61 INT_TILE_Y -1 TILEPROP VFRAME_X47Y61 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y61 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y61 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y61 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y61 NAME VFRAME_X47Y61 TILEPROP VFRAME_X47Y61 NUM_ARCS 0 TILEPROP VFRAME_X47Y61 NUM_SITES 0 TILEPROP VFRAME_X47Y61 ROW 95 TILEPROP VFRAME_X47Y61 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y61 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y61 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y61 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y61 TILE_X -16704 TILEPROP VFRAME_X47Y61 TILE_Y -52800 TILEPROP VFRAME_X47Y61 TYPE VFRAME TILEPROP VFRAME_X47Y62 CLASS tile TILEPROP VFRAME_X47Y62 COLUMN 47 TILEPROP VFRAME_X47Y62 DEVICE_ID 0 TILEPROP VFRAME_X47Y62 FIRST_SITE_ID 9420 TILEPROP VFRAME_X47Y62 GRID_POINT_X 47 TILEPROP VFRAME_X47Y62 GRID_POINT_Y 94 TILEPROP VFRAME_X47Y62 INDEX 10857 TILEPROP VFRAME_X47Y62 INT_TILE_X -1 TILEPROP VFRAME_X47Y62 INT_TILE_Y -1 TILEPROP VFRAME_X47Y62 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y62 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y62 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y62 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y62 NAME VFRAME_X47Y62 TILEPROP VFRAME_X47Y62 NUM_ARCS 0 TILEPROP VFRAME_X47Y62 NUM_SITES 0 TILEPROP VFRAME_X47Y62 ROW 94 TILEPROP VFRAME_X47Y62 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y62 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y62 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y62 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y62 TILE_X -16704 TILEPROP VFRAME_X47Y62 TILE_Y -49600 TILEPROP VFRAME_X47Y62 TYPE VFRAME TILEPROP VFRAME_X47Y63 CLASS tile TILEPROP VFRAME_X47Y63 COLUMN 47 TILEPROP VFRAME_X47Y63 DEVICE_ID 0 TILEPROP VFRAME_X47Y63 FIRST_SITE_ID 9311 TILEPROP VFRAME_X47Y63 GRID_POINT_X 47 TILEPROP VFRAME_X47Y63 GRID_POINT_Y 93 TILEPROP VFRAME_X47Y63 INDEX 10742 TILEPROP VFRAME_X47Y63 INT_TILE_X -1 TILEPROP VFRAME_X47Y63 INT_TILE_Y -1 TILEPROP VFRAME_X47Y63 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y63 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y63 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y63 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y63 NAME VFRAME_X47Y63 TILEPROP VFRAME_X47Y63 NUM_ARCS 0 TILEPROP VFRAME_X47Y63 NUM_SITES 0 TILEPROP VFRAME_X47Y63 ROW 93 TILEPROP VFRAME_X47Y63 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y63 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y63 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y63 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y63 TILE_X -16704 TILEPROP VFRAME_X47Y63 TILE_Y -46400 TILEPROP VFRAME_X47Y63 TYPE VFRAME TILEPROP VFRAME_X47Y64 CLASS tile TILEPROP VFRAME_X47Y64 COLUMN 47 TILEPROP VFRAME_X47Y64 DEVICE_ID 0 TILEPROP VFRAME_X47Y64 FIRST_SITE_ID 9205 TILEPROP VFRAME_X47Y64 GRID_POINT_X 47 TILEPROP VFRAME_X47Y64 GRID_POINT_Y 92 TILEPROP VFRAME_X47Y64 INDEX 10627 TILEPROP VFRAME_X47Y64 INT_TILE_X -1 TILEPROP VFRAME_X47Y64 INT_TILE_Y -1 TILEPROP VFRAME_X47Y64 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y64 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y64 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y64 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y64 NAME VFRAME_X47Y64 TILEPROP VFRAME_X47Y64 NUM_ARCS 0 TILEPROP VFRAME_X47Y64 NUM_SITES 0 TILEPROP VFRAME_X47Y64 ROW 92 TILEPROP VFRAME_X47Y64 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y64 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y64 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y64 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y64 TILE_X -16704 TILEPROP VFRAME_X47Y64 TILE_Y -43200 TILEPROP VFRAME_X47Y64 TYPE VFRAME TILEPROP VFRAME_X47Y65 CLASS tile TILEPROP VFRAME_X47Y65 COLUMN 47 TILEPROP VFRAME_X47Y65 DEVICE_ID 0 TILEPROP VFRAME_X47Y65 FIRST_SITE_ID 9073 TILEPROP VFRAME_X47Y65 GRID_POINT_X 47 TILEPROP VFRAME_X47Y65 GRID_POINT_Y 91 TILEPROP VFRAME_X47Y65 INDEX 10512 TILEPROP VFRAME_X47Y65 INT_TILE_X -1 TILEPROP VFRAME_X47Y65 INT_TILE_Y -1 TILEPROP VFRAME_X47Y65 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y65 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y65 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y65 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y65 NAME VFRAME_X47Y65 TILEPROP VFRAME_X47Y65 NUM_ARCS 0 TILEPROP VFRAME_X47Y65 NUM_SITES 0 TILEPROP VFRAME_X47Y65 ROW 91 TILEPROP VFRAME_X47Y65 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y65 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y65 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y65 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y65 TILE_X -16704 TILEPROP VFRAME_X47Y65 TILE_Y -40000 TILEPROP VFRAME_X47Y65 TYPE VFRAME TILEPROP VFRAME_X47Y66 CLASS tile TILEPROP VFRAME_X47Y66 COLUMN 47 TILEPROP VFRAME_X47Y66 DEVICE_ID 0 TILEPROP VFRAME_X47Y66 FIRST_SITE_ID 8973 TILEPROP VFRAME_X47Y66 GRID_POINT_X 47 TILEPROP VFRAME_X47Y66 GRID_POINT_Y 90 TILEPROP VFRAME_X47Y66 INDEX 10397 TILEPROP VFRAME_X47Y66 INT_TILE_X -1 TILEPROP VFRAME_X47Y66 INT_TILE_Y -1 TILEPROP VFRAME_X47Y66 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y66 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y66 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y66 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y66 NAME VFRAME_X47Y66 TILEPROP VFRAME_X47Y66 NUM_ARCS 0 TILEPROP VFRAME_X47Y66 NUM_SITES 0 TILEPROP VFRAME_X47Y66 ROW 90 TILEPROP VFRAME_X47Y66 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y66 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y66 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y66 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y66 TILE_X -16704 TILEPROP VFRAME_X47Y66 TILE_Y -36800 TILEPROP VFRAME_X47Y66 TYPE VFRAME TILEPROP VFRAME_X47Y67 CLASS tile TILEPROP VFRAME_X47Y67 COLUMN 47 TILEPROP VFRAME_X47Y67 DEVICE_ID 0 TILEPROP VFRAME_X47Y67 FIRST_SITE_ID 8873 TILEPROP VFRAME_X47Y67 GRID_POINT_X 47 TILEPROP VFRAME_X47Y67 GRID_POINT_Y 89 TILEPROP VFRAME_X47Y67 INDEX 10282 TILEPROP VFRAME_X47Y67 INT_TILE_X -1 TILEPROP VFRAME_X47Y67 INT_TILE_Y -1 TILEPROP VFRAME_X47Y67 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y67 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y67 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y67 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y67 NAME VFRAME_X47Y67 TILEPROP VFRAME_X47Y67 NUM_ARCS 0 TILEPROP VFRAME_X47Y67 NUM_SITES 0 TILEPROP VFRAME_X47Y67 ROW 89 TILEPROP VFRAME_X47Y67 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y67 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y67 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y67 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y67 TILE_X -16704 TILEPROP VFRAME_X47Y67 TILE_Y -33600 TILEPROP VFRAME_X47Y67 TYPE VFRAME TILEPROP VFRAME_X47Y68 CLASS tile TILEPROP VFRAME_X47Y68 COLUMN 47 TILEPROP VFRAME_X47Y68 DEVICE_ID 0 TILEPROP VFRAME_X47Y68 FIRST_SITE_ID 8764 TILEPROP VFRAME_X47Y68 GRID_POINT_X 47 TILEPROP VFRAME_X47Y68 GRID_POINT_Y 88 TILEPROP VFRAME_X47Y68 INDEX 10167 TILEPROP VFRAME_X47Y68 INT_TILE_X -1 TILEPROP VFRAME_X47Y68 INT_TILE_Y -1 TILEPROP VFRAME_X47Y68 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y68 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y68 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y68 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y68 NAME VFRAME_X47Y68 TILEPROP VFRAME_X47Y68 NUM_ARCS 0 TILEPROP VFRAME_X47Y68 NUM_SITES 0 TILEPROP VFRAME_X47Y68 ROW 88 TILEPROP VFRAME_X47Y68 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y68 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y68 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y68 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y68 TILE_X -16704 TILEPROP VFRAME_X47Y68 TILE_Y -30400 TILEPROP VFRAME_X47Y68 TYPE VFRAME TILEPROP VFRAME_X47Y69 CLASS tile TILEPROP VFRAME_X47Y69 COLUMN 47 TILEPROP VFRAME_X47Y69 DEVICE_ID 0 TILEPROP VFRAME_X47Y69 FIRST_SITE_ID 8658 TILEPROP VFRAME_X47Y69 GRID_POINT_X 47 TILEPROP VFRAME_X47Y69 GRID_POINT_Y 87 TILEPROP VFRAME_X47Y69 INDEX 10052 TILEPROP VFRAME_X47Y69 INT_TILE_X -1 TILEPROP VFRAME_X47Y69 INT_TILE_Y -1 TILEPROP VFRAME_X47Y69 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y69 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y69 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y69 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y69 NAME VFRAME_X47Y69 TILEPROP VFRAME_X47Y69 NUM_ARCS 0 TILEPROP VFRAME_X47Y69 NUM_SITES 0 TILEPROP VFRAME_X47Y69 ROW 87 TILEPROP VFRAME_X47Y69 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y69 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y69 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y69 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y69 TILE_X -16704 TILEPROP VFRAME_X47Y69 TILE_Y -27200 TILEPROP VFRAME_X47Y69 TYPE VFRAME TILEPROP VFRAME_X47Y70 CLASS tile TILEPROP VFRAME_X47Y70 COLUMN 47 TILEPROP VFRAME_X47Y70 DEVICE_ID 0 TILEPROP VFRAME_X47Y70 FIRST_SITE_ID 8554 TILEPROP VFRAME_X47Y70 GRID_POINT_X 47 TILEPROP VFRAME_X47Y70 GRID_POINT_Y 86 TILEPROP VFRAME_X47Y70 INDEX 9937 TILEPROP VFRAME_X47Y70 INT_TILE_X -1 TILEPROP VFRAME_X47Y70 INT_TILE_Y -1 TILEPROP VFRAME_X47Y70 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y70 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y70 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y70 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y70 NAME VFRAME_X47Y70 TILEPROP VFRAME_X47Y70 NUM_ARCS 0 TILEPROP VFRAME_X47Y70 NUM_SITES 0 TILEPROP VFRAME_X47Y70 ROW 86 TILEPROP VFRAME_X47Y70 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y70 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y70 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y70 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y70 TILE_X -16704 TILEPROP VFRAME_X47Y70 TILE_Y -24000 TILEPROP VFRAME_X47Y70 TYPE VFRAME TILEPROP VFRAME_X47Y71 CLASS tile TILEPROP VFRAME_X47Y71 COLUMN 47 TILEPROP VFRAME_X47Y71 DEVICE_ID 0 TILEPROP VFRAME_X47Y71 FIRST_SITE_ID 8450 TILEPROP VFRAME_X47Y71 GRID_POINT_X 47 TILEPROP VFRAME_X47Y71 GRID_POINT_Y 85 TILEPROP VFRAME_X47Y71 INDEX 9822 TILEPROP VFRAME_X47Y71 INT_TILE_X -1 TILEPROP VFRAME_X47Y71 INT_TILE_Y -1 TILEPROP VFRAME_X47Y71 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y71 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y71 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y71 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y71 NAME VFRAME_X47Y71 TILEPROP VFRAME_X47Y71 NUM_ARCS 0 TILEPROP VFRAME_X47Y71 NUM_SITES 0 TILEPROP VFRAME_X47Y71 ROW 85 TILEPROP VFRAME_X47Y71 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y71 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y71 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y71 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y71 TILE_X -16704 TILEPROP VFRAME_X47Y71 TILE_Y -20800 TILEPROP VFRAME_X47Y71 TYPE VFRAME TILEPROP VFRAME_X47Y72 CLASS tile TILEPROP VFRAME_X47Y72 COLUMN 47 TILEPROP VFRAME_X47Y72 DEVICE_ID 0 TILEPROP VFRAME_X47Y72 FIRST_SITE_ID 8348 TILEPROP VFRAME_X47Y72 GRID_POINT_X 47 TILEPROP VFRAME_X47Y72 GRID_POINT_Y 84 TILEPROP VFRAME_X47Y72 INDEX 9707 TILEPROP VFRAME_X47Y72 INT_TILE_X -1 TILEPROP VFRAME_X47Y72 INT_TILE_Y -1 TILEPROP VFRAME_X47Y72 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y72 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y72 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y72 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y72 NAME VFRAME_X47Y72 TILEPROP VFRAME_X47Y72 NUM_ARCS 0 TILEPROP VFRAME_X47Y72 NUM_SITES 0 TILEPROP VFRAME_X47Y72 ROW 84 TILEPROP VFRAME_X47Y72 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y72 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y72 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y72 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y72 TILE_X -16704 TILEPROP VFRAME_X47Y72 TILE_Y -17600 TILEPROP VFRAME_X47Y72 TYPE VFRAME TILEPROP VFRAME_X47Y73 CLASS tile TILEPROP VFRAME_X47Y73 COLUMN 47 TILEPROP VFRAME_X47Y73 DEVICE_ID 0 TILEPROP VFRAME_X47Y73 FIRST_SITE_ID 8237 TILEPROP VFRAME_X47Y73 GRID_POINT_X 47 TILEPROP VFRAME_X47Y73 GRID_POINT_Y 83 TILEPROP VFRAME_X47Y73 INDEX 9592 TILEPROP VFRAME_X47Y73 INT_TILE_X -1 TILEPROP VFRAME_X47Y73 INT_TILE_Y -1 TILEPROP VFRAME_X47Y73 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y73 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y73 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y73 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y73 NAME VFRAME_X47Y73 TILEPROP VFRAME_X47Y73 NUM_ARCS 0 TILEPROP VFRAME_X47Y73 NUM_SITES 0 TILEPROP VFRAME_X47Y73 ROW 83 TILEPROP VFRAME_X47Y73 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y73 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y73 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y73 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y73 TILE_X -16704 TILEPROP VFRAME_X47Y73 TILE_Y -14400 TILEPROP VFRAME_X47Y73 TYPE VFRAME TILEPROP VFRAME_X47Y74 CLASS tile TILEPROP VFRAME_X47Y74 COLUMN 47 TILEPROP VFRAME_X47Y74 DEVICE_ID 0 TILEPROP VFRAME_X47Y74 FIRST_SITE_ID 8131 TILEPROP VFRAME_X47Y74 GRID_POINT_X 47 TILEPROP VFRAME_X47Y74 GRID_POINT_Y 82 TILEPROP VFRAME_X47Y74 INDEX 9477 TILEPROP VFRAME_X47Y74 INT_TILE_X -1 TILEPROP VFRAME_X47Y74 INT_TILE_Y -1 TILEPROP VFRAME_X47Y74 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y74 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y74 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y74 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y74 NAME VFRAME_X47Y74 TILEPROP VFRAME_X47Y74 NUM_ARCS 0 TILEPROP VFRAME_X47Y74 NUM_SITES 0 TILEPROP VFRAME_X47Y74 ROW 82 TILEPROP VFRAME_X47Y74 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y74 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y74 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y74 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y74 TILE_X -16704 TILEPROP VFRAME_X47Y74 TILE_Y -11200 TILEPROP VFRAME_X47Y74 TYPE VFRAME TILEPROP VFRAME_X47Y75 CLASS tile TILEPROP VFRAME_X47Y75 COLUMN 47 TILEPROP VFRAME_X47Y75 DEVICE_ID 0 TILEPROP VFRAME_X47Y75 FIRST_SITE_ID 8031 TILEPROP VFRAME_X47Y75 GRID_POINT_X 47 TILEPROP VFRAME_X47Y75 GRID_POINT_Y 81 TILEPROP VFRAME_X47Y75 INDEX 9362 TILEPROP VFRAME_X47Y75 INT_TILE_X -1 TILEPROP VFRAME_X47Y75 INT_TILE_Y -1 TILEPROP VFRAME_X47Y75 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y75 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y75 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y75 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y75 NAME VFRAME_X47Y75 TILEPROP VFRAME_X47Y75 NUM_ARCS 0 TILEPROP VFRAME_X47Y75 NUM_SITES 0 TILEPROP VFRAME_X47Y75 ROW 81 TILEPROP VFRAME_X47Y75 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y75 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y75 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y75 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y75 TILE_X -16704 TILEPROP VFRAME_X47Y75 TILE_Y -8000 TILEPROP VFRAME_X47Y75 TYPE VFRAME TILEPROP VFRAME_X47Y76 CLASS tile TILEPROP VFRAME_X47Y76 COLUMN 47 TILEPROP VFRAME_X47Y76 DEVICE_ID 0 TILEPROP VFRAME_X47Y76 FIRST_SITE_ID 7931 TILEPROP VFRAME_X47Y76 GRID_POINT_X 47 TILEPROP VFRAME_X47Y76 GRID_POINT_Y 80 TILEPROP VFRAME_X47Y76 INDEX 9247 TILEPROP VFRAME_X47Y76 INT_TILE_X -1 TILEPROP VFRAME_X47Y76 INT_TILE_Y -1 TILEPROP VFRAME_X47Y76 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y76 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y76 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y76 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y76 NAME VFRAME_X47Y76 TILEPROP VFRAME_X47Y76 NUM_ARCS 0 TILEPROP VFRAME_X47Y76 NUM_SITES 0 TILEPROP VFRAME_X47Y76 ROW 80 TILEPROP VFRAME_X47Y76 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y76 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y76 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y76 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y76 TILE_X -16704 TILEPROP VFRAME_X47Y76 TILE_Y -4800 TILEPROP VFRAME_X47Y76 TYPE VFRAME TILEPROP VFRAME_X47Y77 CLASS tile TILEPROP VFRAME_X47Y77 COLUMN 47 TILEPROP VFRAME_X47Y77 DEVICE_ID 0 TILEPROP VFRAME_X47Y77 FIRST_SITE_ID 7831 TILEPROP VFRAME_X47Y77 GRID_POINT_X 47 TILEPROP VFRAME_X47Y77 GRID_POINT_Y 79 TILEPROP VFRAME_X47Y77 INDEX 9132 TILEPROP VFRAME_X47Y77 INT_TILE_X -1 TILEPROP VFRAME_X47Y77 INT_TILE_Y -1 TILEPROP VFRAME_X47Y77 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y77 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y77 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y77 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y77 NAME VFRAME_X47Y77 TILEPROP VFRAME_X47Y77 NUM_ARCS 0 TILEPROP VFRAME_X47Y77 NUM_SITES 0 TILEPROP VFRAME_X47Y77 ROW 79 TILEPROP VFRAME_X47Y77 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y77 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y77 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y77 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y77 TILE_X -16704 TILEPROP VFRAME_X47Y77 TILE_Y -1600 TILEPROP VFRAME_X47Y77 TYPE VFRAME TILEPROP VFRAME_X47Y79 CLASS tile TILEPROP VFRAME_X47Y79 COLUMN 47 TILEPROP VFRAME_X47Y79 DEVICE_ID 0 TILEPROP VFRAME_X47Y79 FIRST_SITE_ID 7639 TILEPROP VFRAME_X47Y79 GRID_POINT_X 47 TILEPROP VFRAME_X47Y79 GRID_POINT_Y 77 TILEPROP VFRAME_X47Y79 INDEX 8902 TILEPROP VFRAME_X47Y79 INT_TILE_X -1 TILEPROP VFRAME_X47Y79 INT_TILE_Y -1 TILEPROP VFRAME_X47Y79 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y79 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y79 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y79 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y79 NAME VFRAME_X47Y79 TILEPROP VFRAME_X47Y79 NUM_ARCS 0 TILEPROP VFRAME_X47Y79 NUM_SITES 0 TILEPROP VFRAME_X47Y79 ROW 77 TILEPROP VFRAME_X47Y79 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y79 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y79 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y79 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y79 TILE_X -16704 TILEPROP VFRAME_X47Y79 TILE_Y 2624 TILEPROP VFRAME_X47Y79 TYPE VFRAME TILEPROP VFRAME_X47Y80 CLASS tile TILEPROP VFRAME_X47Y80 COLUMN 47 TILEPROP VFRAME_X47Y80 DEVICE_ID 0 TILEPROP VFRAME_X47Y80 FIRST_SITE_ID 7530 TILEPROP VFRAME_X47Y80 GRID_POINT_X 47 TILEPROP VFRAME_X47Y80 GRID_POINT_Y 76 TILEPROP VFRAME_X47Y80 INDEX 8787 TILEPROP VFRAME_X47Y80 INT_TILE_X -1 TILEPROP VFRAME_X47Y80 INT_TILE_Y -1 TILEPROP VFRAME_X47Y80 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y80 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y80 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y80 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y80 NAME VFRAME_X47Y80 TILEPROP VFRAME_X47Y80 NUM_ARCS 0 TILEPROP VFRAME_X47Y80 NUM_SITES 0 TILEPROP VFRAME_X47Y80 ROW 76 TILEPROP VFRAME_X47Y80 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y80 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y80 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y80 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y80 TILE_X -16704 TILEPROP VFRAME_X47Y80 TILE_Y 5824 TILEPROP VFRAME_X47Y80 TYPE VFRAME TILEPROP VFRAME_X47Y81 CLASS tile TILEPROP VFRAME_X47Y81 COLUMN 47 TILEPROP VFRAME_X47Y81 DEVICE_ID 0 TILEPROP VFRAME_X47Y81 FIRST_SITE_ID 7430 TILEPROP VFRAME_X47Y81 GRID_POINT_X 47 TILEPROP VFRAME_X47Y81 GRID_POINT_Y 75 TILEPROP VFRAME_X47Y81 INDEX 8672 TILEPROP VFRAME_X47Y81 INT_TILE_X -1 TILEPROP VFRAME_X47Y81 INT_TILE_Y -1 TILEPROP VFRAME_X47Y81 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y81 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y81 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y81 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y81 NAME VFRAME_X47Y81 TILEPROP VFRAME_X47Y81 NUM_ARCS 0 TILEPROP VFRAME_X47Y81 NUM_SITES 0 TILEPROP VFRAME_X47Y81 ROW 75 TILEPROP VFRAME_X47Y81 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y81 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y81 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y81 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y81 TILE_X -16704 TILEPROP VFRAME_X47Y81 TILE_Y 9024 TILEPROP VFRAME_X47Y81 TYPE VFRAME TILEPROP VFRAME_X47Y82 CLASS tile TILEPROP VFRAME_X47Y82 COLUMN 47 TILEPROP VFRAME_X47Y82 DEVICE_ID 0 TILEPROP VFRAME_X47Y82 FIRST_SITE_ID 7330 TILEPROP VFRAME_X47Y82 GRID_POINT_X 47 TILEPROP VFRAME_X47Y82 GRID_POINT_Y 74 TILEPROP VFRAME_X47Y82 INDEX 8557 TILEPROP VFRAME_X47Y82 INT_TILE_X -1 TILEPROP VFRAME_X47Y82 INT_TILE_Y -1 TILEPROP VFRAME_X47Y82 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y82 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y82 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y82 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y82 NAME VFRAME_X47Y82 TILEPROP VFRAME_X47Y82 NUM_ARCS 0 TILEPROP VFRAME_X47Y82 NUM_SITES 0 TILEPROP VFRAME_X47Y82 ROW 74 TILEPROP VFRAME_X47Y82 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y82 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y82 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y82 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y82 TILE_X -16704 TILEPROP VFRAME_X47Y82 TILE_Y 12224 TILEPROP VFRAME_X47Y82 TYPE VFRAME TILEPROP VFRAME_X47Y83 CLASS tile TILEPROP VFRAME_X47Y83 COLUMN 47 TILEPROP VFRAME_X47Y83 DEVICE_ID 0 TILEPROP VFRAME_X47Y83 FIRST_SITE_ID 7224 TILEPROP VFRAME_X47Y83 GRID_POINT_X 47 TILEPROP VFRAME_X47Y83 GRID_POINT_Y 73 TILEPROP VFRAME_X47Y83 INDEX 8442 TILEPROP VFRAME_X47Y83 INT_TILE_X -1 TILEPROP VFRAME_X47Y83 INT_TILE_Y -1 TILEPROP VFRAME_X47Y83 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y83 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y83 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y83 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y83 NAME VFRAME_X47Y83 TILEPROP VFRAME_X47Y83 NUM_ARCS 0 TILEPROP VFRAME_X47Y83 NUM_SITES 0 TILEPROP VFRAME_X47Y83 ROW 73 TILEPROP VFRAME_X47Y83 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y83 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y83 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y83 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y83 TILE_X -16704 TILEPROP VFRAME_X47Y83 TILE_Y 15424 TILEPROP VFRAME_X47Y83 TYPE VFRAME TILEPROP VFRAME_X47Y84 CLASS tile TILEPROP VFRAME_X47Y84 COLUMN 47 TILEPROP VFRAME_X47Y84 DEVICE_ID 0 TILEPROP VFRAME_X47Y84 FIRST_SITE_ID 7109 TILEPROP VFRAME_X47Y84 GRID_POINT_X 47 TILEPROP VFRAME_X47Y84 GRID_POINT_Y 72 TILEPROP VFRAME_X47Y84 INDEX 8327 TILEPROP VFRAME_X47Y84 INT_TILE_X -1 TILEPROP VFRAME_X47Y84 INT_TILE_Y -1 TILEPROP VFRAME_X47Y84 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y84 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y84 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y84 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y84 NAME VFRAME_X47Y84 TILEPROP VFRAME_X47Y84 NUM_ARCS 0 TILEPROP VFRAME_X47Y84 NUM_SITES 0 TILEPROP VFRAME_X47Y84 ROW 72 TILEPROP VFRAME_X47Y84 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y84 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y84 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y84 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y84 TILE_X -16704 TILEPROP VFRAME_X47Y84 TILE_Y 18624 TILEPROP VFRAME_X47Y84 TYPE VFRAME TILEPROP VFRAME_X47Y85 CLASS tile TILEPROP VFRAME_X47Y85 COLUMN 47 TILEPROP VFRAME_X47Y85 DEVICE_ID 0 TILEPROP VFRAME_X47Y85 FIRST_SITE_ID 7001 TILEPROP VFRAME_X47Y85 GRID_POINT_X 47 TILEPROP VFRAME_X47Y85 GRID_POINT_Y 71 TILEPROP VFRAME_X47Y85 INDEX 8212 TILEPROP VFRAME_X47Y85 INT_TILE_X -1 TILEPROP VFRAME_X47Y85 INT_TILE_Y -1 TILEPROP VFRAME_X47Y85 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y85 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y85 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y85 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y85 NAME VFRAME_X47Y85 TILEPROP VFRAME_X47Y85 NUM_ARCS 0 TILEPROP VFRAME_X47Y85 NUM_SITES 0 TILEPROP VFRAME_X47Y85 ROW 71 TILEPROP VFRAME_X47Y85 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y85 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y85 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y85 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y85 TILE_X -16704 TILEPROP VFRAME_X47Y85 TILE_Y 21824 TILEPROP VFRAME_X47Y85 TYPE VFRAME TILEPROP VFRAME_X47Y86 CLASS tile TILEPROP VFRAME_X47Y86 COLUMN 47 TILEPROP VFRAME_X47Y86 DEVICE_ID 0 TILEPROP VFRAME_X47Y86 FIRST_SITE_ID 6899 TILEPROP VFRAME_X47Y86 GRID_POINT_X 47 TILEPROP VFRAME_X47Y86 GRID_POINT_Y 70 TILEPROP VFRAME_X47Y86 INDEX 8097 TILEPROP VFRAME_X47Y86 INT_TILE_X -1 TILEPROP VFRAME_X47Y86 INT_TILE_Y -1 TILEPROP VFRAME_X47Y86 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y86 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y86 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y86 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y86 NAME VFRAME_X47Y86 TILEPROP VFRAME_X47Y86 NUM_ARCS 0 TILEPROP VFRAME_X47Y86 NUM_SITES 0 TILEPROP VFRAME_X47Y86 ROW 70 TILEPROP VFRAME_X47Y86 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y86 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y86 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y86 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y86 TILE_X -16704 TILEPROP VFRAME_X47Y86 TILE_Y 25024 TILEPROP VFRAME_X47Y86 TYPE VFRAME TILEPROP VFRAME_X47Y87 CLASS tile TILEPROP VFRAME_X47Y87 COLUMN 47 TILEPROP VFRAME_X47Y87 DEVICE_ID 0 TILEPROP VFRAME_X47Y87 FIRST_SITE_ID 6799 TILEPROP VFRAME_X47Y87 GRID_POINT_X 47 TILEPROP VFRAME_X47Y87 GRID_POINT_Y 69 TILEPROP VFRAME_X47Y87 INDEX 7982 TILEPROP VFRAME_X47Y87 INT_TILE_X -1 TILEPROP VFRAME_X47Y87 INT_TILE_Y -1 TILEPROP VFRAME_X47Y87 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y87 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y87 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y87 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y87 NAME VFRAME_X47Y87 TILEPROP VFRAME_X47Y87 NUM_ARCS 0 TILEPROP VFRAME_X47Y87 NUM_SITES 0 TILEPROP VFRAME_X47Y87 ROW 69 TILEPROP VFRAME_X47Y87 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y87 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y87 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y87 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y87 TILE_X -16704 TILEPROP VFRAME_X47Y87 TILE_Y 28224 TILEPROP VFRAME_X47Y87 TYPE VFRAME TILEPROP VFRAME_X47Y88 CLASS tile TILEPROP VFRAME_X47Y88 COLUMN 47 TILEPROP VFRAME_X47Y88 DEVICE_ID 0 TILEPROP VFRAME_X47Y88 FIRST_SITE_ID 6699 TILEPROP VFRAME_X47Y88 GRID_POINT_X 47 TILEPROP VFRAME_X47Y88 GRID_POINT_Y 68 TILEPROP VFRAME_X47Y88 INDEX 7867 TILEPROP VFRAME_X47Y88 INT_TILE_X -1 TILEPROP VFRAME_X47Y88 INT_TILE_Y -1 TILEPROP VFRAME_X47Y88 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y88 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y88 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y88 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y88 NAME VFRAME_X47Y88 TILEPROP VFRAME_X47Y88 NUM_ARCS 0 TILEPROP VFRAME_X47Y88 NUM_SITES 0 TILEPROP VFRAME_X47Y88 ROW 68 TILEPROP VFRAME_X47Y88 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y88 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y88 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y88 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y88 TILE_X -16704 TILEPROP VFRAME_X47Y88 TILE_Y 31424 TILEPROP VFRAME_X47Y88 TYPE VFRAME TILEPROP VFRAME_X47Y89 CLASS tile TILEPROP VFRAME_X47Y89 COLUMN 47 TILEPROP VFRAME_X47Y89 DEVICE_ID 0 TILEPROP VFRAME_X47Y89 FIRST_SITE_ID 6590 TILEPROP VFRAME_X47Y89 GRID_POINT_X 47 TILEPROP VFRAME_X47Y89 GRID_POINT_Y 67 TILEPROP VFRAME_X47Y89 INDEX 7752 TILEPROP VFRAME_X47Y89 INT_TILE_X -1 TILEPROP VFRAME_X47Y89 INT_TILE_Y -1 TILEPROP VFRAME_X47Y89 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y89 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y89 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y89 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y89 NAME VFRAME_X47Y89 TILEPROP VFRAME_X47Y89 NUM_ARCS 0 TILEPROP VFRAME_X47Y89 NUM_SITES 0 TILEPROP VFRAME_X47Y89 ROW 67 TILEPROP VFRAME_X47Y89 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y89 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y89 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y89 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y89 TILE_X -16704 TILEPROP VFRAME_X47Y89 TILE_Y 34624 TILEPROP VFRAME_X47Y89 TYPE VFRAME TILEPROP VFRAME_X47Y90 CLASS tile TILEPROP VFRAME_X47Y90 COLUMN 47 TILEPROP VFRAME_X47Y90 DEVICE_ID 0 TILEPROP VFRAME_X47Y90 FIRST_SITE_ID 6452 TILEPROP VFRAME_X47Y90 GRID_POINT_X 47 TILEPROP VFRAME_X47Y90 GRID_POINT_Y 66 TILEPROP VFRAME_X47Y90 INDEX 7637 TILEPROP VFRAME_X47Y90 INT_TILE_X -1 TILEPROP VFRAME_X47Y90 INT_TILE_Y -1 TILEPROP VFRAME_X47Y90 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y90 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y90 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y90 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y90 NAME VFRAME_X47Y90 TILEPROP VFRAME_X47Y90 NUM_ARCS 0 TILEPROP VFRAME_X47Y90 NUM_SITES 0 TILEPROP VFRAME_X47Y90 ROW 66 TILEPROP VFRAME_X47Y90 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y90 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y90 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y90 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y90 TILE_X -16704 TILEPROP VFRAME_X47Y90 TILE_Y 37824 TILEPROP VFRAME_X47Y90 TYPE VFRAME TILEPROP VFRAME_X47Y91 CLASS tile TILEPROP VFRAME_X47Y91 COLUMN 47 TILEPROP VFRAME_X47Y91 DEVICE_ID 0 TILEPROP VFRAME_X47Y91 FIRST_SITE_ID 6352 TILEPROP VFRAME_X47Y91 GRID_POINT_X 47 TILEPROP VFRAME_X47Y91 GRID_POINT_Y 65 TILEPROP VFRAME_X47Y91 INDEX 7522 TILEPROP VFRAME_X47Y91 INT_TILE_X -1 TILEPROP VFRAME_X47Y91 INT_TILE_Y -1 TILEPROP VFRAME_X47Y91 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y91 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y91 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y91 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y91 NAME VFRAME_X47Y91 TILEPROP VFRAME_X47Y91 NUM_ARCS 0 TILEPROP VFRAME_X47Y91 NUM_SITES 0 TILEPROP VFRAME_X47Y91 ROW 65 TILEPROP VFRAME_X47Y91 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y91 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y91 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y91 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y91 TILE_X -16704 TILEPROP VFRAME_X47Y91 TILE_Y 41024 TILEPROP VFRAME_X47Y91 TYPE VFRAME TILEPROP VFRAME_X47Y92 CLASS tile TILEPROP VFRAME_X47Y92 COLUMN 47 TILEPROP VFRAME_X47Y92 DEVICE_ID 0 TILEPROP VFRAME_X47Y92 FIRST_SITE_ID 6252 TILEPROP VFRAME_X47Y92 GRID_POINT_X 47 TILEPROP VFRAME_X47Y92 GRID_POINT_Y 64 TILEPROP VFRAME_X47Y92 INDEX 7407 TILEPROP VFRAME_X47Y92 INT_TILE_X -1 TILEPROP VFRAME_X47Y92 INT_TILE_Y -1 TILEPROP VFRAME_X47Y92 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y92 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y92 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y92 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y92 NAME VFRAME_X47Y92 TILEPROP VFRAME_X47Y92 NUM_ARCS 0 TILEPROP VFRAME_X47Y92 NUM_SITES 0 TILEPROP VFRAME_X47Y92 ROW 64 TILEPROP VFRAME_X47Y92 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y92 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y92 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y92 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y92 TILE_X -16704 TILEPROP VFRAME_X47Y92 TILE_Y 44224 TILEPROP VFRAME_X47Y92 TYPE VFRAME TILEPROP VFRAME_X47Y93 CLASS tile TILEPROP VFRAME_X47Y93 COLUMN 47 TILEPROP VFRAME_X47Y93 DEVICE_ID 0 TILEPROP VFRAME_X47Y93 FIRST_SITE_ID 6152 TILEPROP VFRAME_X47Y93 GRID_POINT_X 47 TILEPROP VFRAME_X47Y93 GRID_POINT_Y 63 TILEPROP VFRAME_X47Y93 INDEX 7292 TILEPROP VFRAME_X47Y93 INT_TILE_X -1 TILEPROP VFRAME_X47Y93 INT_TILE_Y -1 TILEPROP VFRAME_X47Y93 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y93 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y93 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y93 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y93 NAME VFRAME_X47Y93 TILEPROP VFRAME_X47Y93 NUM_ARCS 0 TILEPROP VFRAME_X47Y93 NUM_SITES 0 TILEPROP VFRAME_X47Y93 ROW 63 TILEPROP VFRAME_X47Y93 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y93 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y93 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y93 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y93 TILE_X -16704 TILEPROP VFRAME_X47Y93 TILE_Y 47424 TILEPROP VFRAME_X47Y93 TYPE VFRAME TILEPROP VFRAME_X47Y94 CLASS tile TILEPROP VFRAME_X47Y94 COLUMN 47 TILEPROP VFRAME_X47Y94 DEVICE_ID 0 TILEPROP VFRAME_X47Y94 FIRST_SITE_ID 6043 TILEPROP VFRAME_X47Y94 GRID_POINT_X 47 TILEPROP VFRAME_X47Y94 GRID_POINT_Y 62 TILEPROP VFRAME_X47Y94 INDEX 7177 TILEPROP VFRAME_X47Y94 INT_TILE_X -1 TILEPROP VFRAME_X47Y94 INT_TILE_Y -1 TILEPROP VFRAME_X47Y94 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y94 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y94 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y94 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y94 NAME VFRAME_X47Y94 TILEPROP VFRAME_X47Y94 NUM_ARCS 0 TILEPROP VFRAME_X47Y94 NUM_SITES 0 TILEPROP VFRAME_X47Y94 ROW 62 TILEPROP VFRAME_X47Y94 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y94 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y94 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y94 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y94 TILE_X -16704 TILEPROP VFRAME_X47Y94 TILE_Y 50624 TILEPROP VFRAME_X47Y94 TYPE VFRAME TILEPROP VFRAME_X47Y95 CLASS tile TILEPROP VFRAME_X47Y95 COLUMN 47 TILEPROP VFRAME_X47Y95 DEVICE_ID 0 TILEPROP VFRAME_X47Y95 FIRST_SITE_ID 5937 TILEPROP VFRAME_X47Y95 GRID_POINT_X 47 TILEPROP VFRAME_X47Y95 GRID_POINT_Y 61 TILEPROP VFRAME_X47Y95 INDEX 7062 TILEPROP VFRAME_X47Y95 INT_TILE_X -1 TILEPROP VFRAME_X47Y95 INT_TILE_Y -1 TILEPROP VFRAME_X47Y95 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y95 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y95 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y95 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y95 NAME VFRAME_X47Y95 TILEPROP VFRAME_X47Y95 NUM_ARCS 0 TILEPROP VFRAME_X47Y95 NUM_SITES 0 TILEPROP VFRAME_X47Y95 ROW 61 TILEPROP VFRAME_X47Y95 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y95 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y95 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y95 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y95 TILE_X -16704 TILEPROP VFRAME_X47Y95 TILE_Y 53824 TILEPROP VFRAME_X47Y95 TYPE VFRAME TILEPROP VFRAME_X47Y96 CLASS tile TILEPROP VFRAME_X47Y96 COLUMN 47 TILEPROP VFRAME_X47Y96 DEVICE_ID 0 TILEPROP VFRAME_X47Y96 FIRST_SITE_ID 5836 TILEPROP VFRAME_X47Y96 GRID_POINT_X 47 TILEPROP VFRAME_X47Y96 GRID_POINT_Y 60 TILEPROP VFRAME_X47Y96 INDEX 6947 TILEPROP VFRAME_X47Y96 INT_TILE_X -1 TILEPROP VFRAME_X47Y96 INT_TILE_Y -1 TILEPROP VFRAME_X47Y96 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y96 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y96 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y96 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y96 NAME VFRAME_X47Y96 TILEPROP VFRAME_X47Y96 NUM_ARCS 0 TILEPROP VFRAME_X47Y96 NUM_SITES 0 TILEPROP VFRAME_X47Y96 ROW 60 TILEPROP VFRAME_X47Y96 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y96 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y96 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y96 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y96 TILE_X -16704 TILEPROP VFRAME_X47Y96 TILE_Y 57024 TILEPROP VFRAME_X47Y96 TYPE VFRAME TILEPROP VFRAME_X47Y97 CLASS tile TILEPROP VFRAME_X47Y97 COLUMN 47 TILEPROP VFRAME_X47Y97 DEVICE_ID 0 TILEPROP VFRAME_X47Y97 FIRST_SITE_ID 5733 TILEPROP VFRAME_X47Y97 GRID_POINT_X 47 TILEPROP VFRAME_X47Y97 GRID_POINT_Y 59 TILEPROP VFRAME_X47Y97 INDEX 6832 TILEPROP VFRAME_X47Y97 INT_TILE_X -1 TILEPROP VFRAME_X47Y97 INT_TILE_Y -1 TILEPROP VFRAME_X47Y97 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y97 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y97 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y97 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y97 NAME VFRAME_X47Y97 TILEPROP VFRAME_X47Y97 NUM_ARCS 0 TILEPROP VFRAME_X47Y97 NUM_SITES 0 TILEPROP VFRAME_X47Y97 ROW 59 TILEPROP VFRAME_X47Y97 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y97 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y97 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y97 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y97 TILE_X -16704 TILEPROP VFRAME_X47Y97 TILE_Y 60224 TILEPROP VFRAME_X47Y97 TYPE VFRAME TILEPROP VFRAME_X47Y98 CLASS tile TILEPROP VFRAME_X47Y98 COLUMN 47 TILEPROP VFRAME_X47Y98 DEVICE_ID 0 TILEPROP VFRAME_X47Y98 FIRST_SITE_ID 5631 TILEPROP VFRAME_X47Y98 GRID_POINT_X 47 TILEPROP VFRAME_X47Y98 GRID_POINT_Y 58 TILEPROP VFRAME_X47Y98 INDEX 6717 TILEPROP VFRAME_X47Y98 INT_TILE_X -1 TILEPROP VFRAME_X47Y98 INT_TILE_Y -1 TILEPROP VFRAME_X47Y98 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y98 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y98 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y98 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y98 NAME VFRAME_X47Y98 TILEPROP VFRAME_X47Y98 NUM_ARCS 0 TILEPROP VFRAME_X47Y98 NUM_SITES 0 TILEPROP VFRAME_X47Y98 ROW 58 TILEPROP VFRAME_X47Y98 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y98 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y98 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y98 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y98 TILE_X -16704 TILEPROP VFRAME_X47Y98 TILE_Y 63424 TILEPROP VFRAME_X47Y98 TYPE VFRAME TILEPROP VFRAME_X47Y99 CLASS tile TILEPROP VFRAME_X47Y99 COLUMN 47 TILEPROP VFRAME_X47Y99 DEVICE_ID 0 TILEPROP VFRAME_X47Y99 FIRST_SITE_ID 5522 TILEPROP VFRAME_X47Y99 GRID_POINT_X 47 TILEPROP VFRAME_X47Y99 GRID_POINT_Y 57 TILEPROP VFRAME_X47Y99 INDEX 6602 TILEPROP VFRAME_X47Y99 INT_TILE_X -1 TILEPROP VFRAME_X47Y99 INT_TILE_Y -1 TILEPROP VFRAME_X47Y99 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y99 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y99 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y99 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y99 NAME VFRAME_X47Y99 TILEPROP VFRAME_X47Y99 NUM_ARCS 0 TILEPROP VFRAME_X47Y99 NUM_SITES 0 TILEPROP VFRAME_X47Y99 ROW 57 TILEPROP VFRAME_X47Y99 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y99 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y99 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y99 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y99 TILE_X -16704 TILEPROP VFRAME_X47Y99 TILE_Y 66624 TILEPROP VFRAME_X47Y99 TYPE VFRAME TILEPROP VFRAME_X47Y100 CLASS tile TILEPROP VFRAME_X47Y100 COLUMN 47 TILEPROP VFRAME_X47Y100 DEVICE_ID 0 TILEPROP VFRAME_X47Y100 FIRST_SITE_ID 5416 TILEPROP VFRAME_X47Y100 GRID_POINT_X 47 TILEPROP VFRAME_X47Y100 GRID_POINT_Y 56 TILEPROP VFRAME_X47Y100 INDEX 6487 TILEPROP VFRAME_X47Y100 INT_TILE_X -1 TILEPROP VFRAME_X47Y100 INT_TILE_Y -1 TILEPROP VFRAME_X47Y100 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y100 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y100 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y100 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y100 NAME VFRAME_X47Y100 TILEPROP VFRAME_X47Y100 NUM_ARCS 0 TILEPROP VFRAME_X47Y100 NUM_SITES 0 TILEPROP VFRAME_X47Y100 ROW 56 TILEPROP VFRAME_X47Y100 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y100 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y100 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y100 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y100 TILE_X -16704 TILEPROP VFRAME_X47Y100 TILE_Y 69824 TILEPROP VFRAME_X47Y100 TYPE VFRAME TILEPROP VFRAME_X47Y101 CLASS tile TILEPROP VFRAME_X47Y101 COLUMN 47 TILEPROP VFRAME_X47Y101 DEVICE_ID 0 TILEPROP VFRAME_X47Y101 FIRST_SITE_ID 5316 TILEPROP VFRAME_X47Y101 GRID_POINT_X 47 TILEPROP VFRAME_X47Y101 GRID_POINT_Y 55 TILEPROP VFRAME_X47Y101 INDEX 6372 TILEPROP VFRAME_X47Y101 INT_TILE_X -1 TILEPROP VFRAME_X47Y101 INT_TILE_Y -1 TILEPROP VFRAME_X47Y101 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y101 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y101 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y101 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y101 NAME VFRAME_X47Y101 TILEPROP VFRAME_X47Y101 NUM_ARCS 0 TILEPROP VFRAME_X47Y101 NUM_SITES 0 TILEPROP VFRAME_X47Y101 ROW 55 TILEPROP VFRAME_X47Y101 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y101 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y101 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y101 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y101 TILE_X -16704 TILEPROP VFRAME_X47Y101 TILE_Y 73024 TILEPROP VFRAME_X47Y101 TYPE VFRAME TILEPROP VFRAME_X47Y102 CLASS tile TILEPROP VFRAME_X47Y102 COLUMN 47 TILEPROP VFRAME_X47Y102 DEVICE_ID 0 TILEPROP VFRAME_X47Y102 FIRST_SITE_ID 5216 TILEPROP VFRAME_X47Y102 GRID_POINT_X 47 TILEPROP VFRAME_X47Y102 GRID_POINT_Y 54 TILEPROP VFRAME_X47Y102 INDEX 6257 TILEPROP VFRAME_X47Y102 INT_TILE_X -1 TILEPROP VFRAME_X47Y102 INT_TILE_Y -1 TILEPROP VFRAME_X47Y102 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y102 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y102 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y102 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y102 NAME VFRAME_X47Y102 TILEPROP VFRAME_X47Y102 NUM_ARCS 0 TILEPROP VFRAME_X47Y102 NUM_SITES 0 TILEPROP VFRAME_X47Y102 ROW 54 TILEPROP VFRAME_X47Y102 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y102 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y102 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y102 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y102 TILE_X -16704 TILEPROP VFRAME_X47Y102 TILE_Y 76224 TILEPROP VFRAME_X47Y102 TYPE VFRAME TILEPROP VFRAME_X47Y103 CLASS tile TILEPROP VFRAME_X47Y103 COLUMN 47 TILEPROP VFRAME_X47Y103 DEVICE_ID 0 TILEPROP VFRAME_X47Y103 FIRST_SITE_ID 5120 TILEPROP VFRAME_X47Y103 GRID_POINT_X 47 TILEPROP VFRAME_X47Y103 GRID_POINT_Y 53 TILEPROP VFRAME_X47Y103 INDEX 6142 TILEPROP VFRAME_X47Y103 INT_TILE_X -1 TILEPROP VFRAME_X47Y103 INT_TILE_Y -1 TILEPROP VFRAME_X47Y103 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y103 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y103 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y103 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y103 NAME VFRAME_X47Y103 TILEPROP VFRAME_X47Y103 NUM_ARCS 0 TILEPROP VFRAME_X47Y103 NUM_SITES 0 TILEPROP VFRAME_X47Y103 ROW 53 TILEPROP VFRAME_X47Y103 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y103 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y103 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y103 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y103 TILE_X -16704 TILEPROP VFRAME_X47Y103 TILE_Y 79424 TILEPROP VFRAME_X47Y103 TYPE VFRAME TILEPROP VFRAME_X47Y105 CLASS tile TILEPROP VFRAME_X47Y105 COLUMN 47 TILEPROP VFRAME_X47Y105 DEVICE_ID 0 TILEPROP VFRAME_X47Y105 FIRST_SITE_ID 5043 TILEPROP VFRAME_X47Y105 GRID_POINT_X 47 TILEPROP VFRAME_X47Y105 GRID_POINT_Y 51 TILEPROP VFRAME_X47Y105 INDEX 5912 TILEPROP VFRAME_X47Y105 INT_TILE_X 17 TILEPROP VFRAME_X47Y105 INT_TILE_Y 49 TILEPROP VFRAME_X47Y105 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y105 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y105 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y105 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y105 NAME VFRAME_X47Y105 TILEPROP VFRAME_X47Y105 NUM_ARCS 0 TILEPROP VFRAME_X47Y105 NUM_SITES 0 TILEPROP VFRAME_X47Y105 ROW 51 TILEPROP VFRAME_X47Y105 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y105 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y105 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y105 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y105 TILE_X -16704 TILEPROP VFRAME_X47Y105 TILE_Y 82872 TILEPROP VFRAME_X47Y105 TYPE VFRAME TILEPROP VFRAME_X47Y106 CLASS tile TILEPROP VFRAME_X47Y106 COLUMN 47 TILEPROP VFRAME_X47Y106 DEVICE_ID 0 TILEPROP VFRAME_X47Y106 FIRST_SITE_ID 4945 TILEPROP VFRAME_X47Y106 GRID_POINT_X 47 TILEPROP VFRAME_X47Y106 GRID_POINT_Y 50 TILEPROP VFRAME_X47Y106 INDEX 5797 TILEPROP VFRAME_X47Y106 INT_TILE_X 17 TILEPROP VFRAME_X47Y106 INT_TILE_Y 48 TILEPROP VFRAME_X47Y106 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y106 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y106 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y106 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y106 NAME VFRAME_X47Y106 TILEPROP VFRAME_X47Y106 NUM_ARCS 0 TILEPROP VFRAME_X47Y106 NUM_SITES 0 TILEPROP VFRAME_X47Y106 ROW 50 TILEPROP VFRAME_X47Y106 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y106 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y106 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y106 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y106 TILE_X -16704 TILEPROP VFRAME_X47Y106 TILE_Y 86072 TILEPROP VFRAME_X47Y106 TYPE VFRAME TILEPROP VFRAME_X47Y107 CLASS tile TILEPROP VFRAME_X47Y107 COLUMN 47 TILEPROP VFRAME_X47Y107 DEVICE_ID 0 TILEPROP VFRAME_X47Y107 FIRST_SITE_ID 4849 TILEPROP VFRAME_X47Y107 GRID_POINT_X 47 TILEPROP VFRAME_X47Y107 GRID_POINT_Y 49 TILEPROP VFRAME_X47Y107 INDEX 5682 TILEPROP VFRAME_X47Y107 INT_TILE_X 17 TILEPROP VFRAME_X47Y107 INT_TILE_Y 47 TILEPROP VFRAME_X47Y107 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y107 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y107 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y107 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y107 NAME VFRAME_X47Y107 TILEPROP VFRAME_X47Y107 NUM_ARCS 0 TILEPROP VFRAME_X47Y107 NUM_SITES 0 TILEPROP VFRAME_X47Y107 ROW 49 TILEPROP VFRAME_X47Y107 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y107 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y107 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y107 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y107 TILE_X -16704 TILEPROP VFRAME_X47Y107 TILE_Y 89272 TILEPROP VFRAME_X47Y107 TYPE VFRAME TILEPROP VFRAME_X47Y108 CLASS tile TILEPROP VFRAME_X47Y108 COLUMN 47 TILEPROP VFRAME_X47Y108 DEVICE_ID 0 TILEPROP VFRAME_X47Y108 FIRST_SITE_ID 4761 TILEPROP VFRAME_X47Y108 GRID_POINT_X 47 TILEPROP VFRAME_X47Y108 GRID_POINT_Y 48 TILEPROP VFRAME_X47Y108 INDEX 5567 TILEPROP VFRAME_X47Y108 INT_TILE_X 17 TILEPROP VFRAME_X47Y108 INT_TILE_Y 46 TILEPROP VFRAME_X47Y108 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y108 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y108 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y108 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y108 NAME VFRAME_X47Y108 TILEPROP VFRAME_X47Y108 NUM_ARCS 0 TILEPROP VFRAME_X47Y108 NUM_SITES 0 TILEPROP VFRAME_X47Y108 ROW 48 TILEPROP VFRAME_X47Y108 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y108 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y108 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y108 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y108 TILE_X -16704 TILEPROP VFRAME_X47Y108 TILE_Y 92472 TILEPROP VFRAME_X47Y108 TYPE VFRAME TILEPROP VFRAME_X47Y109 CLASS tile TILEPROP VFRAME_X47Y109 COLUMN 47 TILEPROP VFRAME_X47Y109 DEVICE_ID 0 TILEPROP VFRAME_X47Y109 FIRST_SITE_ID 4665 TILEPROP VFRAME_X47Y109 GRID_POINT_X 47 TILEPROP VFRAME_X47Y109 GRID_POINT_Y 47 TILEPROP VFRAME_X47Y109 INDEX 5452 TILEPROP VFRAME_X47Y109 INT_TILE_X 17 TILEPROP VFRAME_X47Y109 INT_TILE_Y 45 TILEPROP VFRAME_X47Y109 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y109 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y109 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y109 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y109 NAME VFRAME_X47Y109 TILEPROP VFRAME_X47Y109 NUM_ARCS 0 TILEPROP VFRAME_X47Y109 NUM_SITES 0 TILEPROP VFRAME_X47Y109 ROW 47 TILEPROP VFRAME_X47Y109 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y109 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y109 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y109 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y109 TILE_X -16704 TILEPROP VFRAME_X47Y109 TILE_Y 95672 TILEPROP VFRAME_X47Y109 TYPE VFRAME TILEPROP VFRAME_X47Y110 CLASS tile TILEPROP VFRAME_X47Y110 COLUMN 47 TILEPROP VFRAME_X47Y110 DEVICE_ID 0 TILEPROP VFRAME_X47Y110 FIRST_SITE_ID 4569 TILEPROP VFRAME_X47Y110 GRID_POINT_X 47 TILEPROP VFRAME_X47Y110 GRID_POINT_Y 46 TILEPROP VFRAME_X47Y110 INDEX 5337 TILEPROP VFRAME_X47Y110 INT_TILE_X 17 TILEPROP VFRAME_X47Y110 INT_TILE_Y 44 TILEPROP VFRAME_X47Y110 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y110 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y110 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y110 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y110 NAME VFRAME_X47Y110 TILEPROP VFRAME_X47Y110 NUM_ARCS 0 TILEPROP VFRAME_X47Y110 NUM_SITES 0 TILEPROP VFRAME_X47Y110 ROW 46 TILEPROP VFRAME_X47Y110 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y110 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y110 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y110 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y110 TILE_X -16704 TILEPROP VFRAME_X47Y110 TILE_Y 98872 TILEPROP VFRAME_X47Y110 TYPE VFRAME TILEPROP VFRAME_X47Y111 CLASS tile TILEPROP VFRAME_X47Y111 COLUMN 47 TILEPROP VFRAME_X47Y111 DEVICE_ID 0 TILEPROP VFRAME_X47Y111 FIRST_SITE_ID 4467 TILEPROP VFRAME_X47Y111 GRID_POINT_X 47 TILEPROP VFRAME_X47Y111 GRID_POINT_Y 45 TILEPROP VFRAME_X47Y111 INDEX 5222 TILEPROP VFRAME_X47Y111 INT_TILE_X 17 TILEPROP VFRAME_X47Y111 INT_TILE_Y 43 TILEPROP VFRAME_X47Y111 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y111 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y111 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y111 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y111 NAME VFRAME_X47Y111 TILEPROP VFRAME_X47Y111 NUM_ARCS 0 TILEPROP VFRAME_X47Y111 NUM_SITES 0 TILEPROP VFRAME_X47Y111 ROW 45 TILEPROP VFRAME_X47Y111 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y111 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y111 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y111 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y111 TILE_X -16704 TILEPROP VFRAME_X47Y111 TILE_Y 102072 TILEPROP VFRAME_X47Y111 TYPE VFRAME TILEPROP VFRAME_X47Y112 CLASS tile TILEPROP VFRAME_X47Y112 COLUMN 47 TILEPROP VFRAME_X47Y112 DEVICE_ID 0 TILEPROP VFRAME_X47Y112 FIRST_SITE_ID 4379 TILEPROP VFRAME_X47Y112 GRID_POINT_X 47 TILEPROP VFRAME_X47Y112 GRID_POINT_Y 44 TILEPROP VFRAME_X47Y112 INDEX 5107 TILEPROP VFRAME_X47Y112 INT_TILE_X 17 TILEPROP VFRAME_X47Y112 INT_TILE_Y 42 TILEPROP VFRAME_X47Y112 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y112 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y112 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y112 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y112 NAME VFRAME_X47Y112 TILEPROP VFRAME_X47Y112 NUM_ARCS 0 TILEPROP VFRAME_X47Y112 NUM_SITES 0 TILEPROP VFRAME_X47Y112 ROW 44 TILEPROP VFRAME_X47Y112 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y112 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y112 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y112 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y112 TILE_X -16704 TILEPROP VFRAME_X47Y112 TILE_Y 105272 TILEPROP VFRAME_X47Y112 TYPE VFRAME TILEPROP VFRAME_X47Y113 CLASS tile TILEPROP VFRAME_X47Y113 COLUMN 47 TILEPROP VFRAME_X47Y113 DEVICE_ID 0 TILEPROP VFRAME_X47Y113 FIRST_SITE_ID 4281 TILEPROP VFRAME_X47Y113 GRID_POINT_X 47 TILEPROP VFRAME_X47Y113 GRID_POINT_Y 43 TILEPROP VFRAME_X47Y113 INDEX 4992 TILEPROP VFRAME_X47Y113 INT_TILE_X 17 TILEPROP VFRAME_X47Y113 INT_TILE_Y 41 TILEPROP VFRAME_X47Y113 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y113 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y113 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y113 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y113 NAME VFRAME_X47Y113 TILEPROP VFRAME_X47Y113 NUM_ARCS 0 TILEPROP VFRAME_X47Y113 NUM_SITES 0 TILEPROP VFRAME_X47Y113 ROW 43 TILEPROP VFRAME_X47Y113 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y113 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y113 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y113 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y113 TILE_X -16704 TILEPROP VFRAME_X47Y113 TILE_Y 108472 TILEPROP VFRAME_X47Y113 TYPE VFRAME TILEPROP VFRAME_X47Y114 CLASS tile TILEPROP VFRAME_X47Y114 COLUMN 47 TILEPROP VFRAME_X47Y114 DEVICE_ID 0 TILEPROP VFRAME_X47Y114 FIRST_SITE_ID 4192 TILEPROP VFRAME_X47Y114 GRID_POINT_X 47 TILEPROP VFRAME_X47Y114 GRID_POINT_Y 42 TILEPROP VFRAME_X47Y114 INDEX 4877 TILEPROP VFRAME_X47Y114 INT_TILE_X 17 TILEPROP VFRAME_X47Y114 INT_TILE_Y 40 TILEPROP VFRAME_X47Y114 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y114 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y114 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y114 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y114 NAME VFRAME_X47Y114 TILEPROP VFRAME_X47Y114 NUM_ARCS 0 TILEPROP VFRAME_X47Y114 NUM_SITES 0 TILEPROP VFRAME_X47Y114 ROW 42 TILEPROP VFRAME_X47Y114 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y114 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y114 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y114 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y114 TILE_X -16704 TILEPROP VFRAME_X47Y114 TILE_Y 111672 TILEPROP VFRAME_X47Y114 TYPE VFRAME TILEPROP VFRAME_X47Y115 CLASS tile TILEPROP VFRAME_X47Y115 COLUMN 47 TILEPROP VFRAME_X47Y115 DEVICE_ID 0 TILEPROP VFRAME_X47Y115 FIRST_SITE_ID 4092 TILEPROP VFRAME_X47Y115 GRID_POINT_X 47 TILEPROP VFRAME_X47Y115 GRID_POINT_Y 41 TILEPROP VFRAME_X47Y115 INDEX 4762 TILEPROP VFRAME_X47Y115 INT_TILE_X 17 TILEPROP VFRAME_X47Y115 INT_TILE_Y 39 TILEPROP VFRAME_X47Y115 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y115 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y115 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y115 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y115 NAME VFRAME_X47Y115 TILEPROP VFRAME_X47Y115 NUM_ARCS 0 TILEPROP VFRAME_X47Y115 NUM_SITES 0 TILEPROP VFRAME_X47Y115 ROW 41 TILEPROP VFRAME_X47Y115 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y115 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y115 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y115 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y115 TILE_X -16704 TILEPROP VFRAME_X47Y115 TILE_Y 114872 TILEPROP VFRAME_X47Y115 TYPE VFRAME TILEPROP VFRAME_X47Y116 CLASS tile TILEPROP VFRAME_X47Y116 COLUMN 47 TILEPROP VFRAME_X47Y116 DEVICE_ID 0 TILEPROP VFRAME_X47Y116 FIRST_SITE_ID 3998 TILEPROP VFRAME_X47Y116 GRID_POINT_X 47 TILEPROP VFRAME_X47Y116 GRID_POINT_Y 40 TILEPROP VFRAME_X47Y116 INDEX 4647 TILEPROP VFRAME_X47Y116 INT_TILE_X 17 TILEPROP VFRAME_X47Y116 INT_TILE_Y 38 TILEPROP VFRAME_X47Y116 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y116 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y116 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y116 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y116 NAME VFRAME_X47Y116 TILEPROP VFRAME_X47Y116 NUM_ARCS 0 TILEPROP VFRAME_X47Y116 NUM_SITES 0 TILEPROP VFRAME_X47Y116 ROW 40 TILEPROP VFRAME_X47Y116 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y116 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y116 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y116 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y116 TILE_X -16704 TILEPROP VFRAME_X47Y116 TILE_Y 118072 TILEPROP VFRAME_X47Y116 TYPE VFRAME TILEPROP VFRAME_X47Y117 CLASS tile TILEPROP VFRAME_X47Y117 COLUMN 47 TILEPROP VFRAME_X47Y117 DEVICE_ID 0 TILEPROP VFRAME_X47Y117 FIRST_SITE_ID 3870 TILEPROP VFRAME_X47Y117 GRID_POINT_X 47 TILEPROP VFRAME_X47Y117 GRID_POINT_Y 39 TILEPROP VFRAME_X47Y117 INDEX 4532 TILEPROP VFRAME_X47Y117 INT_TILE_X 17 TILEPROP VFRAME_X47Y117 INT_TILE_Y 37 TILEPROP VFRAME_X47Y117 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y117 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y117 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y117 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y117 NAME VFRAME_X47Y117 TILEPROP VFRAME_X47Y117 NUM_ARCS 0 TILEPROP VFRAME_X47Y117 NUM_SITES 0 TILEPROP VFRAME_X47Y117 ROW 39 TILEPROP VFRAME_X47Y117 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y117 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y117 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y117 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y117 TILE_X -16704 TILEPROP VFRAME_X47Y117 TILE_Y 121272 TILEPROP VFRAME_X47Y117 TYPE VFRAME TILEPROP VFRAME_X47Y118 CLASS tile TILEPROP VFRAME_X47Y118 COLUMN 47 TILEPROP VFRAME_X47Y118 DEVICE_ID 0 TILEPROP VFRAME_X47Y118 FIRST_SITE_ID 3782 TILEPROP VFRAME_X47Y118 GRID_POINT_X 47 TILEPROP VFRAME_X47Y118 GRID_POINT_Y 38 TILEPROP VFRAME_X47Y118 INDEX 4417 TILEPROP VFRAME_X47Y118 INT_TILE_X 17 TILEPROP VFRAME_X47Y118 INT_TILE_Y 36 TILEPROP VFRAME_X47Y118 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y118 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y118 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y118 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y118 NAME VFRAME_X47Y118 TILEPROP VFRAME_X47Y118 NUM_ARCS 0 TILEPROP VFRAME_X47Y118 NUM_SITES 0 TILEPROP VFRAME_X47Y118 ROW 38 TILEPROP VFRAME_X47Y118 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y118 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y118 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y118 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y118 TILE_X -16704 TILEPROP VFRAME_X47Y118 TILE_Y 124472 TILEPROP VFRAME_X47Y118 TYPE VFRAME TILEPROP VFRAME_X47Y119 CLASS tile TILEPROP VFRAME_X47Y119 COLUMN 47 TILEPROP VFRAME_X47Y119 DEVICE_ID 0 TILEPROP VFRAME_X47Y119 FIRST_SITE_ID 3686 TILEPROP VFRAME_X47Y119 GRID_POINT_X 47 TILEPROP VFRAME_X47Y119 GRID_POINT_Y 37 TILEPROP VFRAME_X47Y119 INDEX 4302 TILEPROP VFRAME_X47Y119 INT_TILE_X 17 TILEPROP VFRAME_X47Y119 INT_TILE_Y 35 TILEPROP VFRAME_X47Y119 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y119 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y119 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y119 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y119 NAME VFRAME_X47Y119 TILEPROP VFRAME_X47Y119 NUM_ARCS 0 TILEPROP VFRAME_X47Y119 NUM_SITES 0 TILEPROP VFRAME_X47Y119 ROW 37 TILEPROP VFRAME_X47Y119 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y119 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y119 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y119 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y119 TILE_X -16704 TILEPROP VFRAME_X47Y119 TILE_Y 127672 TILEPROP VFRAME_X47Y119 TYPE VFRAME TILEPROP VFRAME_X47Y120 CLASS tile TILEPROP VFRAME_X47Y120 COLUMN 47 TILEPROP VFRAME_X47Y120 DEVICE_ID 0 TILEPROP VFRAME_X47Y120 FIRST_SITE_ID 3595 TILEPROP VFRAME_X47Y120 GRID_POINT_X 47 TILEPROP VFRAME_X47Y120 GRID_POINT_Y 36 TILEPROP VFRAME_X47Y120 INDEX 4187 TILEPROP VFRAME_X47Y120 INT_TILE_X 17 TILEPROP VFRAME_X47Y120 INT_TILE_Y 34 TILEPROP VFRAME_X47Y120 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y120 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y120 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y120 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y120 NAME VFRAME_X47Y120 TILEPROP VFRAME_X47Y120 NUM_ARCS 0 TILEPROP VFRAME_X47Y120 NUM_SITES 0 TILEPROP VFRAME_X47Y120 ROW 36 TILEPROP VFRAME_X47Y120 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y120 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y120 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y120 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y120 TILE_X -16704 TILEPROP VFRAME_X47Y120 TILE_Y 130872 TILEPROP VFRAME_X47Y120 TYPE VFRAME TILEPROP VFRAME_X47Y121 CLASS tile TILEPROP VFRAME_X47Y121 COLUMN 47 TILEPROP VFRAME_X47Y121 DEVICE_ID 0 TILEPROP VFRAME_X47Y121 FIRST_SITE_ID 3488 TILEPROP VFRAME_X47Y121 GRID_POINT_X 47 TILEPROP VFRAME_X47Y121 GRID_POINT_Y 35 TILEPROP VFRAME_X47Y121 INDEX 4072 TILEPROP VFRAME_X47Y121 INT_TILE_X 17 TILEPROP VFRAME_X47Y121 INT_TILE_Y 33 TILEPROP VFRAME_X47Y121 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y121 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y121 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y121 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y121 NAME VFRAME_X47Y121 TILEPROP VFRAME_X47Y121 NUM_ARCS 0 TILEPROP VFRAME_X47Y121 NUM_SITES 0 TILEPROP VFRAME_X47Y121 ROW 35 TILEPROP VFRAME_X47Y121 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y121 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y121 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y121 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y121 TILE_X -16704 TILEPROP VFRAME_X47Y121 TILE_Y 134072 TILEPROP VFRAME_X47Y121 TYPE VFRAME TILEPROP VFRAME_X47Y122 CLASS tile TILEPROP VFRAME_X47Y122 COLUMN 47 TILEPROP VFRAME_X47Y122 DEVICE_ID 0 TILEPROP VFRAME_X47Y122 FIRST_SITE_ID 3400 TILEPROP VFRAME_X47Y122 GRID_POINT_X 47 TILEPROP VFRAME_X47Y122 GRID_POINT_Y 34 TILEPROP VFRAME_X47Y122 INDEX 3957 TILEPROP VFRAME_X47Y122 INT_TILE_X 17 TILEPROP VFRAME_X47Y122 INT_TILE_Y 32 TILEPROP VFRAME_X47Y122 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y122 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y122 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y122 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y122 NAME VFRAME_X47Y122 TILEPROP VFRAME_X47Y122 NUM_ARCS 0 TILEPROP VFRAME_X47Y122 NUM_SITES 0 TILEPROP VFRAME_X47Y122 ROW 34 TILEPROP VFRAME_X47Y122 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y122 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y122 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y122 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y122 TILE_X -16704 TILEPROP VFRAME_X47Y122 TILE_Y 137272 TILEPROP VFRAME_X47Y122 TYPE VFRAME TILEPROP VFRAME_X47Y123 CLASS tile TILEPROP VFRAME_X47Y123 COLUMN 47 TILEPROP VFRAME_X47Y123 DEVICE_ID 0 TILEPROP VFRAME_X47Y123 FIRST_SITE_ID 3300 TILEPROP VFRAME_X47Y123 GRID_POINT_X 47 TILEPROP VFRAME_X47Y123 GRID_POINT_Y 33 TILEPROP VFRAME_X47Y123 INDEX 3842 TILEPROP VFRAME_X47Y123 INT_TILE_X 17 TILEPROP VFRAME_X47Y123 INT_TILE_Y 31 TILEPROP VFRAME_X47Y123 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y123 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y123 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y123 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y123 NAME VFRAME_X47Y123 TILEPROP VFRAME_X47Y123 NUM_ARCS 0 TILEPROP VFRAME_X47Y123 NUM_SITES 0 TILEPROP VFRAME_X47Y123 ROW 33 TILEPROP VFRAME_X47Y123 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y123 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y123 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y123 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y123 TILE_X -16704 TILEPROP VFRAME_X47Y123 TILE_Y 140472 TILEPROP VFRAME_X47Y123 TYPE VFRAME TILEPROP VFRAME_X47Y124 CLASS tile TILEPROP VFRAME_X47Y124 COLUMN 47 TILEPROP VFRAME_X47Y124 DEVICE_ID 0 TILEPROP VFRAME_X47Y124 FIRST_SITE_ID 3212 TILEPROP VFRAME_X47Y124 GRID_POINT_X 47 TILEPROP VFRAME_X47Y124 GRID_POINT_Y 32 TILEPROP VFRAME_X47Y124 INDEX 3727 TILEPROP VFRAME_X47Y124 INT_TILE_X 17 TILEPROP VFRAME_X47Y124 INT_TILE_Y 30 TILEPROP VFRAME_X47Y124 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y124 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y124 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y124 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y124 NAME VFRAME_X47Y124 TILEPROP VFRAME_X47Y124 NUM_ARCS 0 TILEPROP VFRAME_X47Y124 NUM_SITES 0 TILEPROP VFRAME_X47Y124 ROW 32 TILEPROP VFRAME_X47Y124 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y124 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y124 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y124 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y124 TILE_X -16704 TILEPROP VFRAME_X47Y124 TILE_Y 143672 TILEPROP VFRAME_X47Y124 TYPE VFRAME TILEPROP VFRAME_X47Y125 CLASS tile TILEPROP VFRAME_X47Y125 COLUMN 47 TILEPROP VFRAME_X47Y125 DEVICE_ID 0 TILEPROP VFRAME_X47Y125 FIRST_SITE_ID 3111 TILEPROP VFRAME_X47Y125 GRID_POINT_X 47 TILEPROP VFRAME_X47Y125 GRID_POINT_Y 31 TILEPROP VFRAME_X47Y125 INDEX 3612 TILEPROP VFRAME_X47Y125 INT_TILE_X 17 TILEPROP VFRAME_X47Y125 INT_TILE_Y 29 TILEPROP VFRAME_X47Y125 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y125 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y125 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y125 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y125 NAME VFRAME_X47Y125 TILEPROP VFRAME_X47Y125 NUM_ARCS 0 TILEPROP VFRAME_X47Y125 NUM_SITES 0 TILEPROP VFRAME_X47Y125 ROW 31 TILEPROP VFRAME_X47Y125 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y125 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y125 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y125 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y125 TILE_X -16704 TILEPROP VFRAME_X47Y125 TILE_Y 146872 TILEPROP VFRAME_X47Y125 TYPE VFRAME TILEPROP VFRAME_X47Y126 CLASS tile TILEPROP VFRAME_X47Y126 COLUMN 47 TILEPROP VFRAME_X47Y126 DEVICE_ID 0 TILEPROP VFRAME_X47Y126 FIRST_SITE_ID 3017 TILEPROP VFRAME_X47Y126 GRID_POINT_X 47 TILEPROP VFRAME_X47Y126 GRID_POINT_Y 30 TILEPROP VFRAME_X47Y126 INDEX 3497 TILEPROP VFRAME_X47Y126 INT_TILE_X 17 TILEPROP VFRAME_X47Y126 INT_TILE_Y 28 TILEPROP VFRAME_X47Y126 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y126 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y126 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y126 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y126 NAME VFRAME_X47Y126 TILEPROP VFRAME_X47Y126 NUM_ARCS 0 TILEPROP VFRAME_X47Y126 NUM_SITES 0 TILEPROP VFRAME_X47Y126 ROW 30 TILEPROP VFRAME_X47Y126 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y126 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y126 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y126 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y126 TILE_X -16704 TILEPROP VFRAME_X47Y126 TILE_Y 150072 TILEPROP VFRAME_X47Y126 TYPE VFRAME TILEPROP VFRAME_X47Y127 CLASS tile TILEPROP VFRAME_X47Y127 COLUMN 47 TILEPROP VFRAME_X47Y127 DEVICE_ID 0 TILEPROP VFRAME_X47Y127 FIRST_SITE_ID 2914 TILEPROP VFRAME_X47Y127 GRID_POINT_X 47 TILEPROP VFRAME_X47Y127 GRID_POINT_Y 29 TILEPROP VFRAME_X47Y127 INDEX 3382 TILEPROP VFRAME_X47Y127 INT_TILE_X 17 TILEPROP VFRAME_X47Y127 INT_TILE_Y 27 TILEPROP VFRAME_X47Y127 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y127 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y127 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y127 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y127 NAME VFRAME_X47Y127 TILEPROP VFRAME_X47Y127 NUM_ARCS 0 TILEPROP VFRAME_X47Y127 NUM_SITES 0 TILEPROP VFRAME_X47Y127 ROW 29 TILEPROP VFRAME_X47Y127 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y127 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y127 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y127 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y127 TILE_X -16704 TILEPROP VFRAME_X47Y127 TILE_Y 153272 TILEPROP VFRAME_X47Y127 TYPE VFRAME TILEPROP VFRAME_X47Y128 CLASS tile TILEPROP VFRAME_X47Y128 COLUMN 47 TILEPROP VFRAME_X47Y128 DEVICE_ID 0 TILEPROP VFRAME_X47Y128 FIRST_SITE_ID 2826 TILEPROP VFRAME_X47Y128 GRID_POINT_X 47 TILEPROP VFRAME_X47Y128 GRID_POINT_Y 28 TILEPROP VFRAME_X47Y128 INDEX 3267 TILEPROP VFRAME_X47Y128 INT_TILE_X 17 TILEPROP VFRAME_X47Y128 INT_TILE_Y 26 TILEPROP VFRAME_X47Y128 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y128 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y128 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y128 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y128 NAME VFRAME_X47Y128 TILEPROP VFRAME_X47Y128 NUM_ARCS 0 TILEPROP VFRAME_X47Y128 NUM_SITES 0 TILEPROP VFRAME_X47Y128 ROW 28 TILEPROP VFRAME_X47Y128 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y128 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y128 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y128 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y128 TILE_X -16704 TILEPROP VFRAME_X47Y128 TILE_Y 156472 TILEPROP VFRAME_X47Y128 TYPE VFRAME TILEPROP VFRAME_X47Y129 CLASS tile TILEPROP VFRAME_X47Y129 COLUMN 47 TILEPROP VFRAME_X47Y129 DEVICE_ID 0 TILEPROP VFRAME_X47Y129 FIRST_SITE_ID 2730 TILEPROP VFRAME_X47Y129 GRID_POINT_X 47 TILEPROP VFRAME_X47Y129 GRID_POINT_Y 27 TILEPROP VFRAME_X47Y129 INDEX 3152 TILEPROP VFRAME_X47Y129 INT_TILE_X 17 TILEPROP VFRAME_X47Y129 INT_TILE_Y 25 TILEPROP VFRAME_X47Y129 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y129 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y129 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y129 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y129 NAME VFRAME_X47Y129 TILEPROP VFRAME_X47Y129 NUM_ARCS 0 TILEPROP VFRAME_X47Y129 NUM_SITES 0 TILEPROP VFRAME_X47Y129 ROW 27 TILEPROP VFRAME_X47Y129 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y129 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y129 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y129 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y129 TILE_X -16704 TILEPROP VFRAME_X47Y129 TILE_Y 159672 TILEPROP VFRAME_X47Y129 TYPE VFRAME TILEPROP VFRAME_X47Y131 CLASS tile TILEPROP VFRAME_X47Y131 COLUMN 47 TILEPROP VFRAME_X47Y131 DEVICE_ID 0 TILEPROP VFRAME_X47Y131 FIRST_SITE_ID 2557 TILEPROP VFRAME_X47Y131 GRID_POINT_X 47 TILEPROP VFRAME_X47Y131 GRID_POINT_Y 25 TILEPROP VFRAME_X47Y131 INDEX 2922 TILEPROP VFRAME_X47Y131 INT_TILE_X 17 TILEPROP VFRAME_X47Y131 INT_TILE_Y 24 TILEPROP VFRAME_X47Y131 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y131 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y131 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y131 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y131 NAME VFRAME_X47Y131 TILEPROP VFRAME_X47Y131 NUM_ARCS 0 TILEPROP VFRAME_X47Y131 NUM_SITES 0 TILEPROP VFRAME_X47Y131 ROW 25 TILEPROP VFRAME_X47Y131 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y131 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y131 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y131 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y131 TILE_X -16704 TILEPROP VFRAME_X47Y131 TILE_Y 163896 TILEPROP VFRAME_X47Y131 TYPE VFRAME TILEPROP VFRAME_X47Y132 CLASS tile TILEPROP VFRAME_X47Y132 COLUMN 47 TILEPROP VFRAME_X47Y132 DEVICE_ID 0 TILEPROP VFRAME_X47Y132 FIRST_SITE_ID 2447 TILEPROP VFRAME_X47Y132 GRID_POINT_X 47 TILEPROP VFRAME_X47Y132 GRID_POINT_Y 24 TILEPROP VFRAME_X47Y132 INDEX 2807 TILEPROP VFRAME_X47Y132 INT_TILE_X 17 TILEPROP VFRAME_X47Y132 INT_TILE_Y 23 TILEPROP VFRAME_X47Y132 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y132 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y132 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y132 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y132 NAME VFRAME_X47Y132 TILEPROP VFRAME_X47Y132 NUM_ARCS 0 TILEPROP VFRAME_X47Y132 NUM_SITES 0 TILEPROP VFRAME_X47Y132 ROW 24 TILEPROP VFRAME_X47Y132 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y132 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y132 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y132 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y132 TILE_X -16704 TILEPROP VFRAME_X47Y132 TILE_Y 167096 TILEPROP VFRAME_X47Y132 TYPE VFRAME TILEPROP VFRAME_X47Y133 CLASS tile TILEPROP VFRAME_X47Y133 COLUMN 47 TILEPROP VFRAME_X47Y133 DEVICE_ID 0 TILEPROP VFRAME_X47Y133 FIRST_SITE_ID 2351 TILEPROP VFRAME_X47Y133 GRID_POINT_X 47 TILEPROP VFRAME_X47Y133 GRID_POINT_Y 23 TILEPROP VFRAME_X47Y133 INDEX 2692 TILEPROP VFRAME_X47Y133 INT_TILE_X 17 TILEPROP VFRAME_X47Y133 INT_TILE_Y 22 TILEPROP VFRAME_X47Y133 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y133 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y133 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y133 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y133 NAME VFRAME_X47Y133 TILEPROP VFRAME_X47Y133 NUM_ARCS 0 TILEPROP VFRAME_X47Y133 NUM_SITES 0 TILEPROP VFRAME_X47Y133 ROW 23 TILEPROP VFRAME_X47Y133 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y133 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y133 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y133 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y133 TILE_X -16704 TILEPROP VFRAME_X47Y133 TILE_Y 170296 TILEPROP VFRAME_X47Y133 TYPE VFRAME TILEPROP VFRAME_X47Y134 CLASS tile TILEPROP VFRAME_X47Y134 COLUMN 47 TILEPROP VFRAME_X47Y134 DEVICE_ID 0 TILEPROP VFRAME_X47Y134 FIRST_SITE_ID 2247 TILEPROP VFRAME_X47Y134 GRID_POINT_X 47 TILEPROP VFRAME_X47Y134 GRID_POINT_Y 22 TILEPROP VFRAME_X47Y134 INDEX 2577 TILEPROP VFRAME_X47Y134 INT_TILE_X 17 TILEPROP VFRAME_X47Y134 INT_TILE_Y 21 TILEPROP VFRAME_X47Y134 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y134 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y134 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y134 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y134 NAME VFRAME_X47Y134 TILEPROP VFRAME_X47Y134 NUM_ARCS 0 TILEPROP VFRAME_X47Y134 NUM_SITES 0 TILEPROP VFRAME_X47Y134 ROW 22 TILEPROP VFRAME_X47Y134 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y134 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y134 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y134 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y134 TILE_X -16704 TILEPROP VFRAME_X47Y134 TILE_Y 173496 TILEPROP VFRAME_X47Y134 TYPE VFRAME TILEPROP VFRAME_X47Y135 CLASS tile TILEPROP VFRAME_X47Y135 COLUMN 47 TILEPROP VFRAME_X47Y135 DEVICE_ID 0 TILEPROP VFRAME_X47Y135 FIRST_SITE_ID 2151 TILEPROP VFRAME_X47Y135 GRID_POINT_X 47 TILEPROP VFRAME_X47Y135 GRID_POINT_Y 21 TILEPROP VFRAME_X47Y135 INDEX 2462 TILEPROP VFRAME_X47Y135 INT_TILE_X 17 TILEPROP VFRAME_X47Y135 INT_TILE_Y 20 TILEPROP VFRAME_X47Y135 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y135 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y135 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y135 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y135 NAME VFRAME_X47Y135 TILEPROP VFRAME_X47Y135 NUM_ARCS 0 TILEPROP VFRAME_X47Y135 NUM_SITES 0 TILEPROP VFRAME_X47Y135 ROW 21 TILEPROP VFRAME_X47Y135 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y135 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y135 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y135 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y135 TILE_X -16704 TILEPROP VFRAME_X47Y135 TILE_Y 176696 TILEPROP VFRAME_X47Y135 TYPE VFRAME TILEPROP VFRAME_X47Y136 CLASS tile TILEPROP VFRAME_X47Y136 COLUMN 47 TILEPROP VFRAME_X47Y136 DEVICE_ID 0 TILEPROP VFRAME_X47Y136 FIRST_SITE_ID 2035 TILEPROP VFRAME_X47Y136 GRID_POINT_X 47 TILEPROP VFRAME_X47Y136 GRID_POINT_Y 20 TILEPROP VFRAME_X47Y136 INDEX 2347 TILEPROP VFRAME_X47Y136 INT_TILE_X 17 TILEPROP VFRAME_X47Y136 INT_TILE_Y 19 TILEPROP VFRAME_X47Y136 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y136 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y136 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y136 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y136 NAME VFRAME_X47Y136 TILEPROP VFRAME_X47Y136 NUM_ARCS 0 TILEPROP VFRAME_X47Y136 NUM_SITES 0 TILEPROP VFRAME_X47Y136 ROW 20 TILEPROP VFRAME_X47Y136 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y136 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y136 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y136 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y136 TILE_X -16704 TILEPROP VFRAME_X47Y136 TILE_Y 179896 TILEPROP VFRAME_X47Y136 TYPE VFRAME TILEPROP VFRAME_X47Y137 CLASS tile TILEPROP VFRAME_X47Y137 COLUMN 47 TILEPROP VFRAME_X47Y137 DEVICE_ID 0 TILEPROP VFRAME_X47Y137 FIRST_SITE_ID 1933 TILEPROP VFRAME_X47Y137 GRID_POINT_X 47 TILEPROP VFRAME_X47Y137 GRID_POINT_Y 19 TILEPROP VFRAME_X47Y137 INDEX 2232 TILEPROP VFRAME_X47Y137 INT_TILE_X 17 TILEPROP VFRAME_X47Y137 INT_TILE_Y 18 TILEPROP VFRAME_X47Y137 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y137 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y137 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y137 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y137 NAME VFRAME_X47Y137 TILEPROP VFRAME_X47Y137 NUM_ARCS 0 TILEPROP VFRAME_X47Y137 NUM_SITES 0 TILEPROP VFRAME_X47Y137 ROW 19 TILEPROP VFRAME_X47Y137 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y137 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y137 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y137 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y137 TILE_X -16704 TILEPROP VFRAME_X47Y137 TILE_Y 183096 TILEPROP VFRAME_X47Y137 TYPE VFRAME TILEPROP VFRAME_X47Y138 CLASS tile TILEPROP VFRAME_X47Y138 COLUMN 47 TILEPROP VFRAME_X47Y138 DEVICE_ID 0 TILEPROP VFRAME_X47Y138 FIRST_SITE_ID 1827 TILEPROP VFRAME_X47Y138 GRID_POINT_X 47 TILEPROP VFRAME_X47Y138 GRID_POINT_Y 18 TILEPROP VFRAME_X47Y138 INDEX 2117 TILEPROP VFRAME_X47Y138 INT_TILE_X 17 TILEPROP VFRAME_X47Y138 INT_TILE_Y 17 TILEPROP VFRAME_X47Y138 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y138 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y138 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y138 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y138 NAME VFRAME_X47Y138 TILEPROP VFRAME_X47Y138 NUM_ARCS 0 TILEPROP VFRAME_X47Y138 NUM_SITES 0 TILEPROP VFRAME_X47Y138 ROW 18 TILEPROP VFRAME_X47Y138 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y138 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y138 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y138 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y138 TILE_X -16704 TILEPROP VFRAME_X47Y138 TILE_Y 186296 TILEPROP VFRAME_X47Y138 TYPE VFRAME TILEPROP VFRAME_X47Y139 CLASS tile TILEPROP VFRAME_X47Y139 COLUMN 47 TILEPROP VFRAME_X47Y139 DEVICE_ID 0 TILEPROP VFRAME_X47Y139 FIRST_SITE_ID 1726 TILEPROP VFRAME_X47Y139 GRID_POINT_X 47 TILEPROP VFRAME_X47Y139 GRID_POINT_Y 17 TILEPROP VFRAME_X47Y139 INDEX 2002 TILEPROP VFRAME_X47Y139 INT_TILE_X 17 TILEPROP VFRAME_X47Y139 INT_TILE_Y 16 TILEPROP VFRAME_X47Y139 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y139 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y139 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y139 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y139 NAME VFRAME_X47Y139 TILEPROP VFRAME_X47Y139 NUM_ARCS 0 TILEPROP VFRAME_X47Y139 NUM_SITES 0 TILEPROP VFRAME_X47Y139 ROW 17 TILEPROP VFRAME_X47Y139 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y139 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y139 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y139 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y139 TILE_X -16704 TILEPROP VFRAME_X47Y139 TILE_Y 189496 TILEPROP VFRAME_X47Y139 TYPE VFRAME TILEPROP VFRAME_X47Y140 CLASS tile TILEPROP VFRAME_X47Y140 COLUMN 47 TILEPROP VFRAME_X47Y140 DEVICE_ID 0 TILEPROP VFRAME_X47Y140 FIRST_SITE_ID 1622 TILEPROP VFRAME_X47Y140 GRID_POINT_X 47 TILEPROP VFRAME_X47Y140 GRID_POINT_Y 16 TILEPROP VFRAME_X47Y140 INDEX 1887 TILEPROP VFRAME_X47Y140 INT_TILE_X 17 TILEPROP VFRAME_X47Y140 INT_TILE_Y 15 TILEPROP VFRAME_X47Y140 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y140 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y140 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y140 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y140 NAME VFRAME_X47Y140 TILEPROP VFRAME_X47Y140 NUM_ARCS 0 TILEPROP VFRAME_X47Y140 NUM_SITES 0 TILEPROP VFRAME_X47Y140 ROW 16 TILEPROP VFRAME_X47Y140 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y140 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y140 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y140 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y140 TILE_X -16704 TILEPROP VFRAME_X47Y140 TILE_Y 192696 TILEPROP VFRAME_X47Y140 TYPE VFRAME TILEPROP VFRAME_X47Y141 CLASS tile TILEPROP VFRAME_X47Y141 COLUMN 47 TILEPROP VFRAME_X47Y141 DEVICE_ID 0 TILEPROP VFRAME_X47Y141 FIRST_SITE_ID 1520 TILEPROP VFRAME_X47Y141 GRID_POINT_X 47 TILEPROP VFRAME_X47Y141 GRID_POINT_Y 15 TILEPROP VFRAME_X47Y141 INDEX 1772 TILEPROP VFRAME_X47Y141 INT_TILE_X 17 TILEPROP VFRAME_X47Y141 INT_TILE_Y 14 TILEPROP VFRAME_X47Y141 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y141 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y141 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y141 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y141 NAME VFRAME_X47Y141 TILEPROP VFRAME_X47Y141 NUM_ARCS 0 TILEPROP VFRAME_X47Y141 NUM_SITES 0 TILEPROP VFRAME_X47Y141 ROW 15 TILEPROP VFRAME_X47Y141 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y141 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y141 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y141 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y141 TILE_X -16704 TILEPROP VFRAME_X47Y141 TILE_Y 195896 TILEPROP VFRAME_X47Y141 TYPE VFRAME TILEPROP VFRAME_X47Y142 CLASS tile TILEPROP VFRAME_X47Y142 COLUMN 47 TILEPROP VFRAME_X47Y142 DEVICE_ID 0 TILEPROP VFRAME_X47Y142 FIRST_SITE_ID 1378 TILEPROP VFRAME_X47Y142 GRID_POINT_X 47 TILEPROP VFRAME_X47Y142 GRID_POINT_Y 14 TILEPROP VFRAME_X47Y142 INDEX 1657 TILEPROP VFRAME_X47Y142 INT_TILE_X 17 TILEPROP VFRAME_X47Y142 INT_TILE_Y 13 TILEPROP VFRAME_X47Y142 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y142 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y142 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y142 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y142 NAME VFRAME_X47Y142 TILEPROP VFRAME_X47Y142 NUM_ARCS 0 TILEPROP VFRAME_X47Y142 NUM_SITES 0 TILEPROP VFRAME_X47Y142 ROW 14 TILEPROP VFRAME_X47Y142 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y142 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y142 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y142 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y142 TILE_X -16704 TILEPROP VFRAME_X47Y142 TILE_Y 199096 TILEPROP VFRAME_X47Y142 TYPE VFRAME TILEPROP VFRAME_X47Y143 CLASS tile TILEPROP VFRAME_X47Y143 COLUMN 47 TILEPROP VFRAME_X47Y143 DEVICE_ID 0 TILEPROP VFRAME_X47Y143 FIRST_SITE_ID 1282 TILEPROP VFRAME_X47Y143 GRID_POINT_X 47 TILEPROP VFRAME_X47Y143 GRID_POINT_Y 13 TILEPROP VFRAME_X47Y143 INDEX 1542 TILEPROP VFRAME_X47Y143 INT_TILE_X 17 TILEPROP VFRAME_X47Y143 INT_TILE_Y 12 TILEPROP VFRAME_X47Y143 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y143 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y143 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y143 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y143 NAME VFRAME_X47Y143 TILEPROP VFRAME_X47Y143 NUM_ARCS 0 TILEPROP VFRAME_X47Y143 NUM_SITES 0 TILEPROP VFRAME_X47Y143 ROW 13 TILEPROP VFRAME_X47Y143 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y143 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y143 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y143 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y143 TILE_X -16704 TILEPROP VFRAME_X47Y143 TILE_Y 202296 TILEPROP VFRAME_X47Y143 TYPE VFRAME TILEPROP VFRAME_X47Y144 CLASS tile TILEPROP VFRAME_X47Y144 COLUMN 47 TILEPROP VFRAME_X47Y144 DEVICE_ID 0 TILEPROP VFRAME_X47Y144 FIRST_SITE_ID 1178 TILEPROP VFRAME_X47Y144 GRID_POINT_X 47 TILEPROP VFRAME_X47Y144 GRID_POINT_Y 12 TILEPROP VFRAME_X47Y144 INDEX 1427 TILEPROP VFRAME_X47Y144 INT_TILE_X 17 TILEPROP VFRAME_X47Y144 INT_TILE_Y 11 TILEPROP VFRAME_X47Y144 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y144 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y144 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y144 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y144 NAME VFRAME_X47Y144 TILEPROP VFRAME_X47Y144 NUM_ARCS 0 TILEPROP VFRAME_X47Y144 NUM_SITES 0 TILEPROP VFRAME_X47Y144 ROW 12 TILEPROP VFRAME_X47Y144 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y144 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y144 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y144 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y144 TILE_X -16704 TILEPROP VFRAME_X47Y144 TILE_Y 205496 TILEPROP VFRAME_X47Y144 TYPE VFRAME TILEPROP VFRAME_X47Y145 CLASS tile TILEPROP VFRAME_X47Y145 COLUMN 47 TILEPROP VFRAME_X47Y145 DEVICE_ID 0 TILEPROP VFRAME_X47Y145 FIRST_SITE_ID 1082 TILEPROP VFRAME_X47Y145 GRID_POINT_X 47 TILEPROP VFRAME_X47Y145 GRID_POINT_Y 11 TILEPROP VFRAME_X47Y145 INDEX 1312 TILEPROP VFRAME_X47Y145 INT_TILE_X 17 TILEPROP VFRAME_X47Y145 INT_TILE_Y 10 TILEPROP VFRAME_X47Y145 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y145 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y145 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y145 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y145 NAME VFRAME_X47Y145 TILEPROP VFRAME_X47Y145 NUM_ARCS 0 TILEPROP VFRAME_X47Y145 NUM_SITES 0 TILEPROP VFRAME_X47Y145 ROW 11 TILEPROP VFRAME_X47Y145 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y145 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y145 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y145 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y145 TILE_X -16704 TILEPROP VFRAME_X47Y145 TILE_Y 208696 TILEPROP VFRAME_X47Y145 TYPE VFRAME TILEPROP VFRAME_X47Y146 CLASS tile TILEPROP VFRAME_X47Y146 COLUMN 47 TILEPROP VFRAME_X47Y146 DEVICE_ID 0 TILEPROP VFRAME_X47Y146 FIRST_SITE_ID 972 TILEPROP VFRAME_X47Y146 GRID_POINT_X 47 TILEPROP VFRAME_X47Y146 GRID_POINT_Y 10 TILEPROP VFRAME_X47Y146 INDEX 1197 TILEPROP VFRAME_X47Y146 INT_TILE_X 17 TILEPROP VFRAME_X47Y146 INT_TILE_Y 9 TILEPROP VFRAME_X47Y146 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y146 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y146 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y146 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y146 NAME VFRAME_X47Y146 TILEPROP VFRAME_X47Y146 NUM_ARCS 0 TILEPROP VFRAME_X47Y146 NUM_SITES 0 TILEPROP VFRAME_X47Y146 ROW 10 TILEPROP VFRAME_X47Y146 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y146 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y146 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y146 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y146 TILE_X -16704 TILEPROP VFRAME_X47Y146 TILE_Y 211896 TILEPROP VFRAME_X47Y146 TYPE VFRAME TILEPROP VFRAME_X47Y147 CLASS tile TILEPROP VFRAME_X47Y147 COLUMN 47 TILEPROP VFRAME_X47Y147 DEVICE_ID 0 TILEPROP VFRAME_X47Y147 FIRST_SITE_ID 870 TILEPROP VFRAME_X47Y147 GRID_POINT_X 47 TILEPROP VFRAME_X47Y147 GRID_POINT_Y 9 TILEPROP VFRAME_X47Y147 INDEX 1082 TILEPROP VFRAME_X47Y147 INT_TILE_X 17 TILEPROP VFRAME_X47Y147 INT_TILE_Y 8 TILEPROP VFRAME_X47Y147 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y147 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y147 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y147 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y147 NAME VFRAME_X47Y147 TILEPROP VFRAME_X47Y147 NUM_ARCS 0 TILEPROP VFRAME_X47Y147 NUM_SITES 0 TILEPROP VFRAME_X47Y147 ROW 9 TILEPROP VFRAME_X47Y147 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y147 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y147 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y147 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y147 TILE_X -16704 TILEPROP VFRAME_X47Y147 TILE_Y 215096 TILEPROP VFRAME_X47Y147 TYPE VFRAME TILEPROP VFRAME_X47Y148 CLASS tile TILEPROP VFRAME_X47Y148 COLUMN 47 TILEPROP VFRAME_X47Y148 DEVICE_ID 0 TILEPROP VFRAME_X47Y148 FIRST_SITE_ID 766 TILEPROP VFRAME_X47Y148 GRID_POINT_X 47 TILEPROP VFRAME_X47Y148 GRID_POINT_Y 8 TILEPROP VFRAME_X47Y148 INDEX 967 TILEPROP VFRAME_X47Y148 INT_TILE_X 17 TILEPROP VFRAME_X47Y148 INT_TILE_Y 7 TILEPROP VFRAME_X47Y148 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y148 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y148 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y148 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y148 NAME VFRAME_X47Y148 TILEPROP VFRAME_X47Y148 NUM_ARCS 0 TILEPROP VFRAME_X47Y148 NUM_SITES 0 TILEPROP VFRAME_X47Y148 ROW 8 TILEPROP VFRAME_X47Y148 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y148 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y148 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y148 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y148 TILE_X -16704 TILEPROP VFRAME_X47Y148 TILE_Y 218296 TILEPROP VFRAME_X47Y148 TYPE VFRAME TILEPROP VFRAME_X47Y149 CLASS tile TILEPROP VFRAME_X47Y149 COLUMN 47 TILEPROP VFRAME_X47Y149 DEVICE_ID 0 TILEPROP VFRAME_X47Y149 FIRST_SITE_ID 669 TILEPROP VFRAME_X47Y149 GRID_POINT_X 47 TILEPROP VFRAME_X47Y149 GRID_POINT_Y 7 TILEPROP VFRAME_X47Y149 INDEX 852 TILEPROP VFRAME_X47Y149 INT_TILE_X 17 TILEPROP VFRAME_X47Y149 INT_TILE_Y 6 TILEPROP VFRAME_X47Y149 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y149 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y149 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y149 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y149 NAME VFRAME_X47Y149 TILEPROP VFRAME_X47Y149 NUM_ARCS 0 TILEPROP VFRAME_X47Y149 NUM_SITES 0 TILEPROP VFRAME_X47Y149 ROW 7 TILEPROP VFRAME_X47Y149 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y149 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y149 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y149 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y149 TILE_X -16704 TILEPROP VFRAME_X47Y149 TILE_Y 221496 TILEPROP VFRAME_X47Y149 TYPE VFRAME TILEPROP VFRAME_X47Y150 CLASS tile TILEPROP VFRAME_X47Y150 COLUMN 47 TILEPROP VFRAME_X47Y150 DEVICE_ID 0 TILEPROP VFRAME_X47Y150 FIRST_SITE_ID 558 TILEPROP VFRAME_X47Y150 GRID_POINT_X 47 TILEPROP VFRAME_X47Y150 GRID_POINT_Y 6 TILEPROP VFRAME_X47Y150 INDEX 737 TILEPROP VFRAME_X47Y150 INT_TILE_X 17 TILEPROP VFRAME_X47Y150 INT_TILE_Y 5 TILEPROP VFRAME_X47Y150 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y150 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y150 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y150 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y150 NAME VFRAME_X47Y150 TILEPROP VFRAME_X47Y150 NUM_ARCS 0 TILEPROP VFRAME_X47Y150 NUM_SITES 0 TILEPROP VFRAME_X47Y150 ROW 6 TILEPROP VFRAME_X47Y150 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y150 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y150 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y150 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y150 TILE_X -16704 TILEPROP VFRAME_X47Y150 TILE_Y 224696 TILEPROP VFRAME_X47Y150 TYPE VFRAME TILEPROP VFRAME_X47Y151 CLASS tile TILEPROP VFRAME_X47Y151 COLUMN 47 TILEPROP VFRAME_X47Y151 DEVICE_ID 0 TILEPROP VFRAME_X47Y151 FIRST_SITE_ID 456 TILEPROP VFRAME_X47Y151 GRID_POINT_X 47 TILEPROP VFRAME_X47Y151 GRID_POINT_Y 5 TILEPROP VFRAME_X47Y151 INDEX 622 TILEPROP VFRAME_X47Y151 INT_TILE_X 17 TILEPROP VFRAME_X47Y151 INT_TILE_Y 4 TILEPROP VFRAME_X47Y151 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y151 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y151 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y151 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y151 NAME VFRAME_X47Y151 TILEPROP VFRAME_X47Y151 NUM_ARCS 0 TILEPROP VFRAME_X47Y151 NUM_SITES 0 TILEPROP VFRAME_X47Y151 ROW 5 TILEPROP VFRAME_X47Y151 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y151 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y151 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y151 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y151 TILE_X -16704 TILEPROP VFRAME_X47Y151 TILE_Y 227896 TILEPROP VFRAME_X47Y151 TYPE VFRAME TILEPROP VFRAME_X47Y152 CLASS tile TILEPROP VFRAME_X47Y152 COLUMN 47 TILEPROP VFRAME_X47Y152 DEVICE_ID 0 TILEPROP VFRAME_X47Y152 FIRST_SITE_ID 346 TILEPROP VFRAME_X47Y152 GRID_POINT_X 47 TILEPROP VFRAME_X47Y152 GRID_POINT_Y 4 TILEPROP VFRAME_X47Y152 INDEX 507 TILEPROP VFRAME_X47Y152 INT_TILE_X 17 TILEPROP VFRAME_X47Y152 INT_TILE_Y 3 TILEPROP VFRAME_X47Y152 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y152 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y152 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y152 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y152 NAME VFRAME_X47Y152 TILEPROP VFRAME_X47Y152 NUM_ARCS 0 TILEPROP VFRAME_X47Y152 NUM_SITES 0 TILEPROP VFRAME_X47Y152 ROW 4 TILEPROP VFRAME_X47Y152 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y152 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y152 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y152 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y152 TILE_X -16704 TILEPROP VFRAME_X47Y152 TILE_Y 231096 TILEPROP VFRAME_X47Y152 TYPE VFRAME TILEPROP VFRAME_X47Y153 CLASS tile TILEPROP VFRAME_X47Y153 COLUMN 47 TILEPROP VFRAME_X47Y153 DEVICE_ID 0 TILEPROP VFRAME_X47Y153 FIRST_SITE_ID 250 TILEPROP VFRAME_X47Y153 GRID_POINT_X 47 TILEPROP VFRAME_X47Y153 GRID_POINT_Y 3 TILEPROP VFRAME_X47Y153 INDEX 392 TILEPROP VFRAME_X47Y153 INT_TILE_X 17 TILEPROP VFRAME_X47Y153 INT_TILE_Y 2 TILEPROP VFRAME_X47Y153 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y153 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y153 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y153 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y153 NAME VFRAME_X47Y153 TILEPROP VFRAME_X47Y153 NUM_ARCS 0 TILEPROP VFRAME_X47Y153 NUM_SITES 0 TILEPROP VFRAME_X47Y153 ROW 3 TILEPROP VFRAME_X47Y153 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y153 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y153 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y153 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y153 TILE_X -16704 TILEPROP VFRAME_X47Y153 TILE_Y 234296 TILEPROP VFRAME_X47Y153 TYPE VFRAME TILEPROP VFRAME_X47Y154 CLASS tile TILEPROP VFRAME_X47Y154 COLUMN 47 TILEPROP VFRAME_X47Y154 DEVICE_ID 0 TILEPROP VFRAME_X47Y154 FIRST_SITE_ID 146 TILEPROP VFRAME_X47Y154 GRID_POINT_X 47 TILEPROP VFRAME_X47Y154 GRID_POINT_Y 2 TILEPROP VFRAME_X47Y154 INDEX 277 TILEPROP VFRAME_X47Y154 INT_TILE_X 17 TILEPROP VFRAME_X47Y154 INT_TILE_Y 1 TILEPROP VFRAME_X47Y154 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y154 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y154 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y154 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y154 NAME VFRAME_X47Y154 TILEPROP VFRAME_X47Y154 NUM_ARCS 0 TILEPROP VFRAME_X47Y154 NUM_SITES 0 TILEPROP VFRAME_X47Y154 ROW 2 TILEPROP VFRAME_X47Y154 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y154 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y154 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y154 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y154 TILE_X -16704 TILEPROP VFRAME_X47Y154 TILE_Y 237496 TILEPROP VFRAME_X47Y154 TYPE VFRAME TILEPROP VFRAME_X47Y155 CLASS tile TILEPROP VFRAME_X47Y155 COLUMN 47 TILEPROP VFRAME_X47Y155 DEVICE_ID 0 TILEPROP VFRAME_X47Y155 FIRST_SITE_ID 50 TILEPROP VFRAME_X47Y155 GRID_POINT_X 47 TILEPROP VFRAME_X47Y155 GRID_POINT_Y 1 TILEPROP VFRAME_X47Y155 INDEX 162 TILEPROP VFRAME_X47Y155 INT_TILE_X 17 TILEPROP VFRAME_X47Y155 INT_TILE_Y 0 TILEPROP VFRAME_X47Y155 IS_CENTER_TILE 0 TILEPROP VFRAME_X47Y155 IS_DCM_TILE 0 TILEPROP VFRAME_X47Y155 IS_GT_CLOCK_SITE_TILE 0 TILEPROP VFRAME_X47Y155 IS_GT_SITE_TILE 0 TILEPROP VFRAME_X47Y155 NAME VFRAME_X47Y155 TILEPROP VFRAME_X47Y155 NUM_ARCS 0 TILEPROP VFRAME_X47Y155 NUM_SITES 0 TILEPROP VFRAME_X47Y155 ROW 1 TILEPROP VFRAME_X47Y155 SLR_REGION_ID 0 TILEPROP VFRAME_X47Y155 TILE_PATTERN_IDX 30 TILEPROP VFRAME_X47Y155 TILE_TYPE VFRAME TILEPROP VFRAME_X47Y155 TILE_TYPE_INDEX 130 TILEPROP VFRAME_X47Y155 TILE_X -16704 TILEPROP VFRAME_X47Y155 TILE_Y 240696 TILEPROP VFRAME_X47Y155 TYPE VFRAME TILESITE BRAM_L_X30Y0 RAMB18_X1Y0 TILESITE BRAM_L_X30Y0 RAMB18_X1Y1 TILESITE BRAM_L_X30Y0 RAMB36_X1Y0 TILESITE BRAM_L_X30Y5 RAMB18_X1Y2 TILESITE BRAM_L_X30Y5 RAMB18_X1Y3 TILESITE BRAM_L_X30Y5 RAMB36_X1Y1 TILESITE BRAM_L_X30Y10 RAMB18_X1Y4 TILESITE BRAM_L_X30Y10 RAMB18_X1Y5 TILESITE BRAM_L_X30Y10 RAMB36_X1Y2 TILESITE BRAM_L_X30Y15 RAMB18_X1Y6 TILESITE BRAM_L_X30Y15 RAMB18_X1Y7 TILESITE BRAM_L_X30Y15 RAMB36_X1Y3 TILESITE BRAM_L_X30Y20 RAMB18_X1Y8 TILESITE BRAM_L_X30Y20 RAMB18_X1Y9 TILESITE BRAM_L_X30Y20 RAMB36_X1Y4 TILESITE BRAM_L_X30Y25 RAMB18_X1Y10 TILESITE BRAM_L_X30Y25 RAMB18_X1Y11 TILESITE BRAM_L_X30Y25 RAMB36_X1Y5 TILESITE BRAM_L_X30Y30 RAMB18_X1Y12 TILESITE BRAM_L_X30Y30 RAMB18_X1Y13 TILESITE BRAM_L_X30Y30 RAMB36_X1Y6 TILESITE BRAM_L_X30Y35 RAMB18_X1Y14 TILESITE BRAM_L_X30Y35 RAMB18_X1Y15 TILESITE BRAM_L_X30Y35 RAMB36_X1Y7 TILESITE BRAM_L_X30Y40 RAMB18_X1Y16 TILESITE BRAM_L_X30Y40 RAMB18_X1Y17 TILESITE BRAM_L_X30Y40 RAMB36_X1Y8 TILESITE BRAM_L_X30Y45 RAMB18_X1Y18 TILESITE BRAM_L_X30Y45 RAMB18_X1Y19 TILESITE BRAM_L_X30Y45 RAMB36_X1Y9 TILESITE BRAM_L_X30Y50 RAMB18_X1Y20 TILESITE BRAM_L_X30Y50 RAMB18_X1Y21 TILESITE BRAM_L_X30Y50 RAMB36_X1Y10 TILESITE BRAM_L_X30Y55 RAMB18_X1Y22 TILESITE BRAM_L_X30Y55 RAMB18_X1Y23 TILESITE BRAM_L_X30Y55 RAMB36_X1Y11 TILESITE BRAM_L_X30Y60 RAMB18_X1Y24 TILESITE BRAM_L_X30Y60 RAMB18_X1Y25 TILESITE BRAM_L_X30Y60 RAMB36_X1Y12 TILESITE BRAM_L_X30Y65 RAMB18_X1Y26 TILESITE BRAM_L_X30Y65 RAMB18_X1Y27 TILESITE BRAM_L_X30Y65 RAMB36_X1Y13 TILESITE BRAM_L_X30Y70 RAMB18_X1Y28 TILESITE BRAM_L_X30Y70 RAMB18_X1Y29 TILESITE BRAM_L_X30Y70 RAMB36_X1Y14 TILESITE BRAM_L_X30Y75 RAMB18_X1Y30 TILESITE BRAM_L_X30Y75 RAMB18_X1Y31 TILESITE BRAM_L_X30Y75 RAMB36_X1Y15 TILESITE BRAM_L_X30Y80 RAMB18_X1Y32 TILESITE BRAM_L_X30Y80 RAMB18_X1Y33 TILESITE BRAM_L_X30Y80 RAMB36_X1Y16 TILESITE BRAM_L_X30Y85 RAMB18_X1Y34 TILESITE BRAM_L_X30Y85 RAMB18_X1Y35 TILESITE BRAM_L_X30Y85 RAMB36_X1Y17 TILESITE BRAM_L_X30Y90 RAMB18_X1Y36 TILESITE BRAM_L_X30Y90 RAMB18_X1Y37 TILESITE BRAM_L_X30Y90 RAMB36_X1Y18 TILESITE BRAM_L_X30Y95 RAMB18_X1Y38 TILESITE BRAM_L_X30Y95 RAMB18_X1Y39 TILESITE BRAM_L_X30Y95 RAMB36_X1Y19 TILESITE BRAM_L_X30Y125 RAMB18_X1Y50 TILESITE BRAM_L_X30Y125 RAMB18_X1Y51 TILESITE BRAM_L_X30Y125 RAMB36_X1Y25 TILESITE BRAM_L_X30Y130 RAMB18_X1Y52 TILESITE BRAM_L_X30Y130 RAMB18_X1Y53 TILESITE BRAM_L_X30Y130 RAMB36_X1Y26 TILESITE BRAM_L_X30Y135 RAMB18_X1Y54 TILESITE BRAM_L_X30Y135 RAMB18_X1Y55 TILESITE BRAM_L_X30Y135 RAMB36_X1Y27 TILESITE BRAM_L_X30Y140 RAMB18_X1Y56 TILESITE BRAM_L_X30Y140 RAMB18_X1Y57 TILESITE BRAM_L_X30Y140 RAMB36_X1Y28 TILESITE BRAM_L_X30Y145 RAMB18_X1Y58 TILESITE BRAM_L_X30Y145 RAMB18_X1Y59 TILESITE BRAM_L_X30Y145 RAMB36_X1Y29 TILESITE BRAM_L_X6Y0 RAMB18_X0Y0 TILESITE BRAM_L_X6Y0 RAMB18_X0Y1 TILESITE BRAM_L_X6Y0 RAMB36_X0Y0 TILESITE BRAM_L_X6Y5 RAMB18_X0Y2 TILESITE BRAM_L_X6Y5 RAMB18_X0Y3 TILESITE BRAM_L_X6Y5 RAMB36_X0Y1 TILESITE BRAM_L_X6Y10 RAMB18_X0Y4 TILESITE BRAM_L_X6Y10 RAMB18_X0Y5 TILESITE BRAM_L_X6Y10 RAMB36_X0Y2 TILESITE BRAM_L_X6Y15 RAMB18_X0Y6 TILESITE BRAM_L_X6Y15 RAMB18_X0Y7 TILESITE BRAM_L_X6Y15 RAMB36_X0Y3 TILESITE BRAM_L_X6Y20 RAMB18_X0Y8 TILESITE BRAM_L_X6Y20 RAMB18_X0Y9 TILESITE BRAM_L_X6Y20 RAMB36_X0Y4 TILESITE BRAM_L_X6Y25 RAMB18_X0Y10 TILESITE BRAM_L_X6Y25 RAMB18_X0Y11 TILESITE BRAM_L_X6Y25 RAMB36_X0Y5 TILESITE BRAM_L_X6Y30 RAMB18_X0Y12 TILESITE BRAM_L_X6Y30 RAMB18_X0Y13 TILESITE BRAM_L_X6Y30 RAMB36_X0Y6 TILESITE BRAM_L_X6Y35 RAMB18_X0Y14 TILESITE BRAM_L_X6Y35 RAMB18_X0Y15 TILESITE BRAM_L_X6Y35 RAMB36_X0Y7 TILESITE BRAM_L_X6Y40 RAMB18_X0Y16 TILESITE BRAM_L_X6Y40 RAMB18_X0Y17 TILESITE BRAM_L_X6Y40 RAMB36_X0Y8 TILESITE BRAM_L_X6Y45 RAMB18_X0Y18 TILESITE BRAM_L_X6Y45 RAMB18_X0Y19 TILESITE BRAM_L_X6Y45 RAMB36_X0Y9 TILESITE BRAM_L_X6Y50 RAMB18_X0Y20 TILESITE BRAM_L_X6Y50 RAMB18_X0Y21 TILESITE BRAM_L_X6Y50 RAMB36_X0Y10 TILESITE BRAM_L_X6Y55 RAMB18_X0Y22 TILESITE BRAM_L_X6Y55 RAMB18_X0Y23 TILESITE BRAM_L_X6Y55 RAMB36_X0Y11 TILESITE BRAM_L_X6Y60 RAMB18_X0Y24 TILESITE BRAM_L_X6Y60 RAMB18_X0Y25 TILESITE BRAM_L_X6Y60 RAMB36_X0Y12 TILESITE BRAM_L_X6Y65 RAMB18_X0Y26 TILESITE BRAM_L_X6Y65 RAMB18_X0Y27 TILESITE BRAM_L_X6Y65 RAMB36_X0Y13 TILESITE BRAM_L_X6Y70 RAMB18_X0Y28 TILESITE BRAM_L_X6Y70 RAMB18_X0Y29 TILESITE BRAM_L_X6Y70 RAMB36_X0Y14 TILESITE BRAM_L_X6Y75 RAMB18_X0Y30 TILESITE BRAM_L_X6Y75 RAMB18_X0Y31 TILESITE BRAM_L_X6Y75 RAMB36_X0Y15 TILESITE BRAM_L_X6Y80 RAMB18_X0Y32 TILESITE BRAM_L_X6Y80 RAMB18_X0Y33 TILESITE BRAM_L_X6Y80 RAMB36_X0Y16 TILESITE BRAM_L_X6Y85 RAMB18_X0Y34 TILESITE BRAM_L_X6Y85 RAMB18_X0Y35 TILESITE BRAM_L_X6Y85 RAMB36_X0Y17 TILESITE BRAM_L_X6Y90 RAMB18_X0Y36 TILESITE BRAM_L_X6Y90 RAMB18_X0Y37 TILESITE BRAM_L_X6Y90 RAMB36_X0Y18 TILESITE BRAM_L_X6Y95 RAMB18_X0Y38 TILESITE BRAM_L_X6Y95 RAMB18_X0Y39 TILESITE BRAM_L_X6Y95 RAMB36_X0Y19 TILESITE BRAM_L_X6Y100 RAMB18_X0Y40 TILESITE BRAM_L_X6Y100 RAMB18_X0Y41 TILESITE BRAM_L_X6Y100 RAMB36_X0Y20 TILESITE BRAM_L_X6Y105 RAMB18_X0Y42 TILESITE BRAM_L_X6Y105 RAMB18_X0Y43 TILESITE BRAM_L_X6Y105 RAMB36_X0Y21 TILESITE BRAM_L_X6Y110 RAMB18_X0Y44 TILESITE BRAM_L_X6Y110 RAMB18_X0Y45 TILESITE BRAM_L_X6Y110 RAMB36_X0Y22 TILESITE BRAM_L_X6Y115 RAMB18_X0Y46 TILESITE BRAM_L_X6Y115 RAMB18_X0Y47 TILESITE BRAM_L_X6Y115 RAMB36_X0Y23 TILESITE BRAM_L_X6Y120 RAMB18_X0Y48 TILESITE BRAM_L_X6Y120 RAMB18_X0Y49 TILESITE BRAM_L_X6Y120 RAMB36_X0Y24 TILESITE BRAM_L_X6Y125 RAMB18_X0Y50 TILESITE BRAM_L_X6Y125 RAMB18_X0Y51 TILESITE BRAM_L_X6Y125 RAMB36_X0Y25 TILESITE BRAM_L_X6Y130 RAMB18_X0Y52 TILESITE BRAM_L_X6Y130 RAMB18_X0Y53 TILESITE BRAM_L_X6Y130 RAMB36_X0Y26 TILESITE BRAM_L_X6Y135 RAMB18_X0Y54 TILESITE BRAM_L_X6Y135 RAMB18_X0Y55 TILESITE BRAM_L_X6Y135 RAMB36_X0Y27 TILESITE BRAM_L_X6Y140 RAMB18_X0Y56 TILESITE BRAM_L_X6Y140 RAMB18_X0Y57 TILESITE BRAM_L_X6Y140 RAMB36_X0Y28 TILESITE BRAM_L_X6Y145 RAMB18_X0Y58 TILESITE BRAM_L_X6Y145 RAMB18_X0Y59 TILESITE BRAM_L_X6Y145 RAMB36_X0Y29 TILESITE BRAM_R_X37Y0 RAMB18_X2Y0 TILESITE BRAM_R_X37Y0 RAMB18_X2Y1 TILESITE BRAM_R_X37Y0 RAMB36_X2Y0 TILESITE BRAM_R_X37Y5 RAMB18_X2Y2 TILESITE BRAM_R_X37Y5 RAMB18_X2Y3 TILESITE BRAM_R_X37Y5 RAMB36_X2Y1 TILESITE BRAM_R_X37Y10 RAMB18_X2Y4 TILESITE BRAM_R_X37Y10 RAMB18_X2Y5 TILESITE BRAM_R_X37Y10 RAMB36_X2Y2 TILESITE BRAM_R_X37Y15 RAMB18_X2Y6 TILESITE BRAM_R_X37Y15 RAMB18_X2Y7 TILESITE BRAM_R_X37Y15 RAMB36_X2Y3 TILESITE BRAM_R_X37Y20 RAMB18_X2Y8 TILESITE BRAM_R_X37Y20 RAMB18_X2Y9 TILESITE BRAM_R_X37Y20 RAMB36_X2Y4 TILESITE BRAM_R_X37Y25 RAMB18_X2Y10 TILESITE BRAM_R_X37Y25 RAMB18_X2Y11 TILESITE BRAM_R_X37Y25 RAMB36_X2Y5 TILESITE BRAM_R_X37Y30 RAMB18_X2Y12 TILESITE BRAM_R_X37Y30 RAMB18_X2Y13 TILESITE BRAM_R_X37Y30 RAMB36_X2Y6 TILESITE BRAM_R_X37Y35 RAMB18_X2Y14 TILESITE BRAM_R_X37Y35 RAMB18_X2Y15 TILESITE BRAM_R_X37Y35 RAMB36_X2Y7 TILESITE BRAM_R_X37Y40 RAMB18_X2Y16 TILESITE BRAM_R_X37Y40 RAMB18_X2Y17 TILESITE BRAM_R_X37Y40 RAMB36_X2Y8 TILESITE BRAM_R_X37Y45 RAMB18_X2Y18 TILESITE BRAM_R_X37Y45 RAMB18_X2Y19 TILESITE BRAM_R_X37Y45 RAMB36_X2Y9 TILESITE BRAM_R_X37Y50 RAMB18_X2Y20 TILESITE BRAM_R_X37Y50 RAMB18_X2Y21 TILESITE BRAM_R_X37Y50 RAMB36_X2Y10 TILESITE BRAM_R_X37Y55 RAMB18_X2Y22 TILESITE BRAM_R_X37Y55 RAMB18_X2Y23 TILESITE BRAM_R_X37Y55 RAMB36_X2Y11 TILESITE BRAM_R_X37Y60 RAMB18_X2Y24 TILESITE BRAM_R_X37Y60 RAMB18_X2Y25 TILESITE BRAM_R_X37Y60 RAMB36_X2Y12 TILESITE BRAM_R_X37Y65 RAMB18_X2Y26 TILESITE BRAM_R_X37Y65 RAMB18_X2Y27 TILESITE BRAM_R_X37Y65 RAMB36_X2Y13 TILESITE BRAM_R_X37Y70 RAMB18_X2Y28 TILESITE BRAM_R_X37Y70 RAMB18_X2Y29 TILESITE BRAM_R_X37Y70 RAMB36_X2Y14 TILESITE BRAM_R_X37Y75 RAMB18_X2Y30 TILESITE BRAM_R_X37Y75 RAMB18_X2Y31 TILESITE BRAM_R_X37Y75 RAMB36_X2Y15 TILESITE BRAM_R_X37Y80 RAMB18_X2Y32 TILESITE BRAM_R_X37Y80 RAMB18_X2Y33 TILESITE BRAM_R_X37Y80 RAMB36_X2Y16 TILESITE BRAM_R_X37Y85 RAMB18_X2Y34 TILESITE BRAM_R_X37Y85 RAMB18_X2Y35 TILESITE BRAM_R_X37Y85 RAMB36_X2Y17 TILESITE BRAM_R_X37Y90 RAMB18_X2Y36 TILESITE BRAM_R_X37Y90 RAMB18_X2Y37 TILESITE BRAM_R_X37Y90 RAMB36_X2Y18 TILESITE BRAM_R_X37Y95 RAMB18_X2Y38 TILESITE BRAM_R_X37Y95 RAMB18_X2Y39 TILESITE BRAM_R_X37Y95 RAMB36_X2Y19 TILESITE CFG_CENTER_MID_X46Y32 BSCAN_X0Y0 TILESITE CFG_CENTER_MID_X46Y32 BSCAN_X0Y1 TILESITE CFG_CENTER_MID_X46Y32 BSCAN_X0Y2 TILESITE CFG_CENTER_MID_X46Y32 BSCAN_X0Y3 TILESITE CFG_CENTER_MID_X46Y32 CAPTURE_X0Y0 TILESITE CFG_CENTER_MID_X46Y32 DCIRESET_X0Y0 TILESITE CFG_CENTER_MID_X46Y32 FRAME_ECC_X0Y0 TILESITE CFG_CENTER_MID_X46Y32 ICAP_X0Y0 TILESITE CFG_CENTER_MID_X46Y32 ICAP_X0Y1 TILESITE CFG_CENTER_MID_X46Y32 STARTUP_X0Y0 TILESITE CFG_CENTER_MID_X46Y32 USR_ACCESS_X0Y0 TILESITE CFG_CENTER_TOP_X46Y42 DNA_PORT_X0Y0 TILESITE CFG_CENTER_TOP_X46Y42 EFUSE_USR_X0Y0 TILESITE CLBLL_L_X12Y100 SLICE_X16Y100 TILESITE CLBLL_L_X12Y100 SLICE_X17Y100 TILESITE CLBLL_L_X12Y101 SLICE_X16Y101 TILESITE CLBLL_L_X12Y101 SLICE_X17Y101 TILESITE CLBLL_L_X12Y102 SLICE_X16Y102 TILESITE CLBLL_L_X12Y102 SLICE_X17Y102 TILESITE CLBLL_L_X12Y103 SLICE_X16Y103 TILESITE CLBLL_L_X12Y103 SLICE_X17Y103 TILESITE CLBLL_L_X12Y104 SLICE_X16Y104 TILESITE CLBLL_L_X12Y104 SLICE_X17Y104 TILESITE CLBLL_L_X12Y105 SLICE_X16Y105 TILESITE CLBLL_L_X12Y105 SLICE_X17Y105 TILESITE CLBLL_L_X12Y106 SLICE_X16Y106 TILESITE CLBLL_L_X12Y106 SLICE_X17Y106 TILESITE CLBLL_L_X12Y107 SLICE_X16Y107 TILESITE CLBLL_L_X12Y107 SLICE_X17Y107 TILESITE CLBLL_L_X12Y108 SLICE_X16Y108 TILESITE CLBLL_L_X12Y108 SLICE_X17Y108 TILESITE CLBLL_L_X12Y109 SLICE_X16Y109 TILESITE CLBLL_L_X12Y109 SLICE_X17Y109 TILESITE CLBLL_L_X12Y110 SLICE_X16Y110 TILESITE CLBLL_L_X12Y110 SLICE_X17Y110 TILESITE CLBLL_L_X12Y111 SLICE_X16Y111 TILESITE CLBLL_L_X12Y111 SLICE_X17Y111 TILESITE CLBLL_L_X12Y112 SLICE_X16Y112 TILESITE CLBLL_L_X12Y112 SLICE_X17Y112 TILESITE CLBLL_L_X12Y113 SLICE_X16Y113 TILESITE CLBLL_L_X12Y113 SLICE_X17Y113 TILESITE CLBLL_L_X12Y114 SLICE_X16Y114 TILESITE CLBLL_L_X12Y114 SLICE_X17Y114 TILESITE CLBLL_L_X12Y115 SLICE_X16Y115 TILESITE CLBLL_L_X12Y115 SLICE_X17Y115 TILESITE CLBLL_L_X12Y116 SLICE_X16Y116 TILESITE CLBLL_L_X12Y116 SLICE_X17Y116 TILESITE CLBLL_L_X12Y117 SLICE_X16Y117 TILESITE CLBLL_L_X12Y117 SLICE_X17Y117 TILESITE CLBLL_L_X12Y118 SLICE_X16Y118 TILESITE CLBLL_L_X12Y118 SLICE_X17Y118 TILESITE CLBLL_L_X12Y119 SLICE_X16Y119 TILESITE CLBLL_L_X12Y119 SLICE_X17Y119 TILESITE CLBLL_L_X12Y120 SLICE_X16Y120 TILESITE CLBLL_L_X12Y120 SLICE_X17Y120 TILESITE CLBLL_L_X12Y121 SLICE_X16Y121 TILESITE CLBLL_L_X12Y121 SLICE_X17Y121 TILESITE CLBLL_L_X12Y122 SLICE_X16Y122 TILESITE CLBLL_L_X12Y122 SLICE_X17Y122 TILESITE CLBLL_L_X12Y123 SLICE_X16Y123 TILESITE CLBLL_L_X12Y123 SLICE_X17Y123 TILESITE CLBLL_L_X12Y124 SLICE_X16Y124 TILESITE CLBLL_L_X12Y124 SLICE_X17Y124 TILESITE CLBLL_L_X12Y125 SLICE_X16Y125 TILESITE CLBLL_L_X12Y125 SLICE_X17Y125 TILESITE CLBLL_L_X12Y126 SLICE_X16Y126 TILESITE CLBLL_L_X12Y126 SLICE_X17Y126 TILESITE CLBLL_L_X12Y127 SLICE_X16Y127 TILESITE CLBLL_L_X12Y127 SLICE_X17Y127 TILESITE CLBLL_L_X12Y128 SLICE_X16Y128 TILESITE CLBLL_L_X12Y128 SLICE_X17Y128 TILESITE CLBLL_L_X12Y129 SLICE_X16Y129 TILESITE CLBLL_L_X12Y129 SLICE_X17Y129 TILESITE CLBLL_L_X12Y130 SLICE_X16Y130 TILESITE CLBLL_L_X12Y130 SLICE_X17Y130 TILESITE CLBLL_L_X12Y131 SLICE_X16Y131 TILESITE CLBLL_L_X12Y131 SLICE_X17Y131 TILESITE CLBLL_L_X12Y132 SLICE_X16Y132 TILESITE CLBLL_L_X12Y132 SLICE_X17Y132 TILESITE CLBLL_L_X12Y133 SLICE_X16Y133 TILESITE CLBLL_L_X12Y133 SLICE_X17Y133 TILESITE CLBLL_L_X12Y134 SLICE_X16Y134 TILESITE CLBLL_L_X12Y134 SLICE_X17Y134 TILESITE CLBLL_L_X12Y135 SLICE_X16Y135 TILESITE CLBLL_L_X12Y135 SLICE_X17Y135 TILESITE CLBLL_L_X12Y136 SLICE_X16Y136 TILESITE CLBLL_L_X12Y136 SLICE_X17Y136 TILESITE CLBLL_L_X12Y137 SLICE_X16Y137 TILESITE CLBLL_L_X12Y137 SLICE_X17Y137 TILESITE CLBLL_L_X12Y138 SLICE_X16Y138 TILESITE CLBLL_L_X12Y138 SLICE_X17Y138 TILESITE CLBLL_L_X12Y139 SLICE_X16Y139 TILESITE CLBLL_L_X12Y139 SLICE_X17Y139 TILESITE CLBLL_L_X12Y140 SLICE_X16Y140 TILESITE CLBLL_L_X12Y140 SLICE_X17Y140 TILESITE CLBLL_L_X12Y141 SLICE_X16Y141 TILESITE CLBLL_L_X12Y141 SLICE_X17Y141 TILESITE CLBLL_L_X12Y142 SLICE_X16Y142 TILESITE CLBLL_L_X12Y142 SLICE_X17Y142 TILESITE CLBLL_L_X12Y143 SLICE_X16Y143 TILESITE CLBLL_L_X12Y143 SLICE_X17Y143 TILESITE CLBLL_L_X12Y144 SLICE_X16Y144 TILESITE CLBLL_L_X12Y144 SLICE_X17Y144 TILESITE CLBLL_L_X12Y145 SLICE_X16Y145 TILESITE CLBLL_L_X12Y145 SLICE_X17Y145 TILESITE CLBLL_L_X12Y146 SLICE_X16Y146 TILESITE CLBLL_L_X12Y146 SLICE_X17Y146 TILESITE CLBLL_L_X12Y147 SLICE_X16Y147 TILESITE CLBLL_L_X12Y147 SLICE_X17Y147 TILESITE CLBLL_L_X12Y148 SLICE_X16Y148 TILESITE CLBLL_L_X12Y148 SLICE_X17Y148 TILESITE CLBLL_L_X12Y149 SLICE_X16Y149 TILESITE CLBLL_L_X12Y149 SLICE_X17Y149 TILESITE CLBLL_L_X14Y100 SLICE_X20Y100 TILESITE CLBLL_L_X14Y100 SLICE_X21Y100 TILESITE CLBLL_L_X14Y101 SLICE_X20Y101 TILESITE CLBLL_L_X14Y101 SLICE_X21Y101 TILESITE CLBLL_L_X14Y102 SLICE_X20Y102 TILESITE CLBLL_L_X14Y102 SLICE_X21Y102 TILESITE CLBLL_L_X14Y103 SLICE_X20Y103 TILESITE CLBLL_L_X14Y103 SLICE_X21Y103 TILESITE CLBLL_L_X14Y104 SLICE_X20Y104 TILESITE CLBLL_L_X14Y104 SLICE_X21Y104 TILESITE CLBLL_L_X14Y105 SLICE_X20Y105 TILESITE CLBLL_L_X14Y105 SLICE_X21Y105 TILESITE CLBLL_L_X14Y106 SLICE_X20Y106 TILESITE CLBLL_L_X14Y106 SLICE_X21Y106 TILESITE CLBLL_L_X14Y107 SLICE_X20Y107 TILESITE CLBLL_L_X14Y107 SLICE_X21Y107 TILESITE CLBLL_L_X14Y108 SLICE_X20Y108 TILESITE CLBLL_L_X14Y108 SLICE_X21Y108 TILESITE CLBLL_L_X14Y109 SLICE_X20Y109 TILESITE CLBLL_L_X14Y109 SLICE_X21Y109 TILESITE CLBLL_L_X14Y110 SLICE_X20Y110 TILESITE CLBLL_L_X14Y110 SLICE_X21Y110 TILESITE CLBLL_L_X14Y111 SLICE_X20Y111 TILESITE CLBLL_L_X14Y111 SLICE_X21Y111 TILESITE CLBLL_L_X14Y112 SLICE_X20Y112 TILESITE CLBLL_L_X14Y112 SLICE_X21Y112 TILESITE CLBLL_L_X14Y113 SLICE_X20Y113 TILESITE CLBLL_L_X14Y113 SLICE_X21Y113 TILESITE CLBLL_L_X14Y114 SLICE_X20Y114 TILESITE CLBLL_L_X14Y114 SLICE_X21Y114 TILESITE CLBLL_L_X14Y115 SLICE_X20Y115 TILESITE CLBLL_L_X14Y115 SLICE_X21Y115 TILESITE CLBLL_L_X14Y116 SLICE_X20Y116 TILESITE CLBLL_L_X14Y116 SLICE_X21Y116 TILESITE CLBLL_L_X14Y117 SLICE_X20Y117 TILESITE CLBLL_L_X14Y117 SLICE_X21Y117 TILESITE CLBLL_L_X14Y118 SLICE_X20Y118 TILESITE CLBLL_L_X14Y118 SLICE_X21Y118 TILESITE CLBLL_L_X14Y119 SLICE_X20Y119 TILESITE CLBLL_L_X14Y119 SLICE_X21Y119 TILESITE CLBLL_L_X14Y120 SLICE_X20Y120 TILESITE CLBLL_L_X14Y120 SLICE_X21Y120 TILESITE CLBLL_L_X14Y121 SLICE_X20Y121 TILESITE CLBLL_L_X14Y121 SLICE_X21Y121 TILESITE CLBLL_L_X14Y122 SLICE_X20Y122 TILESITE CLBLL_L_X14Y122 SLICE_X21Y122 TILESITE CLBLL_L_X14Y123 SLICE_X20Y123 TILESITE CLBLL_L_X14Y123 SLICE_X21Y123 TILESITE CLBLL_L_X14Y124 SLICE_X20Y124 TILESITE CLBLL_L_X14Y124 SLICE_X21Y124 TILESITE CLBLL_L_X14Y125 SLICE_X20Y125 TILESITE CLBLL_L_X14Y125 SLICE_X21Y125 TILESITE CLBLL_L_X14Y126 SLICE_X20Y126 TILESITE CLBLL_L_X14Y126 SLICE_X21Y126 TILESITE CLBLL_L_X14Y127 SLICE_X20Y127 TILESITE CLBLL_L_X14Y127 SLICE_X21Y127 TILESITE CLBLL_L_X14Y128 SLICE_X20Y128 TILESITE CLBLL_L_X14Y128 SLICE_X21Y128 TILESITE CLBLL_L_X14Y129 SLICE_X20Y129 TILESITE CLBLL_L_X14Y129 SLICE_X21Y129 TILESITE CLBLL_L_X14Y130 SLICE_X20Y130 TILESITE CLBLL_L_X14Y130 SLICE_X21Y130 TILESITE CLBLL_L_X14Y131 SLICE_X20Y131 TILESITE CLBLL_L_X14Y131 SLICE_X21Y131 TILESITE CLBLL_L_X14Y132 SLICE_X20Y132 TILESITE CLBLL_L_X14Y132 SLICE_X21Y132 TILESITE CLBLL_L_X14Y133 SLICE_X20Y133 TILESITE CLBLL_L_X14Y133 SLICE_X21Y133 TILESITE CLBLL_L_X14Y134 SLICE_X20Y134 TILESITE CLBLL_L_X14Y134 SLICE_X21Y134 TILESITE CLBLL_L_X14Y135 SLICE_X20Y135 TILESITE CLBLL_L_X14Y135 SLICE_X21Y135 TILESITE CLBLL_L_X14Y136 SLICE_X20Y136 TILESITE CLBLL_L_X14Y136 SLICE_X21Y136 TILESITE CLBLL_L_X14Y137 SLICE_X20Y137 TILESITE CLBLL_L_X14Y137 SLICE_X21Y137 TILESITE CLBLL_L_X14Y138 SLICE_X20Y138 TILESITE CLBLL_L_X14Y138 SLICE_X21Y138 TILESITE CLBLL_L_X14Y139 SLICE_X20Y139 TILESITE CLBLL_L_X14Y139 SLICE_X21Y139 TILESITE CLBLL_L_X14Y140 SLICE_X20Y140 TILESITE CLBLL_L_X14Y140 SLICE_X21Y140 TILESITE CLBLL_L_X14Y141 SLICE_X20Y141 TILESITE CLBLL_L_X14Y141 SLICE_X21Y141 TILESITE CLBLL_L_X14Y142 SLICE_X20Y142 TILESITE CLBLL_L_X14Y142 SLICE_X21Y142 TILESITE CLBLL_L_X14Y143 SLICE_X20Y143 TILESITE CLBLL_L_X14Y143 SLICE_X21Y143 TILESITE CLBLL_L_X14Y144 SLICE_X20Y144 TILESITE CLBLL_L_X14Y144 SLICE_X21Y144 TILESITE CLBLL_L_X14Y145 SLICE_X20Y145 TILESITE CLBLL_L_X14Y145 SLICE_X21Y145 TILESITE CLBLL_L_X14Y146 SLICE_X20Y146 TILESITE CLBLL_L_X14Y146 SLICE_X21Y146 TILESITE CLBLL_L_X14Y147 SLICE_X20Y147 TILESITE CLBLL_L_X14Y147 SLICE_X21Y147 TILESITE CLBLL_L_X14Y148 SLICE_X20Y148 TILESITE CLBLL_L_X14Y148 SLICE_X21Y148 TILESITE CLBLL_L_X14Y149 SLICE_X20Y149 TILESITE CLBLL_L_X14Y149 SLICE_X21Y149 TILESITE CLBLL_L_X16Y100 SLICE_X24Y100 TILESITE CLBLL_L_X16Y100 SLICE_X25Y100 TILESITE CLBLL_L_X16Y101 SLICE_X24Y101 TILESITE CLBLL_L_X16Y101 SLICE_X25Y101 TILESITE CLBLL_L_X16Y102 SLICE_X24Y102 TILESITE CLBLL_L_X16Y102 SLICE_X25Y102 TILESITE CLBLL_L_X16Y103 SLICE_X24Y103 TILESITE CLBLL_L_X16Y103 SLICE_X25Y103 TILESITE CLBLL_L_X16Y104 SLICE_X24Y104 TILESITE CLBLL_L_X16Y104 SLICE_X25Y104 TILESITE CLBLL_L_X16Y105 SLICE_X24Y105 TILESITE CLBLL_L_X16Y105 SLICE_X25Y105 TILESITE CLBLL_L_X16Y106 SLICE_X24Y106 TILESITE CLBLL_L_X16Y106 SLICE_X25Y106 TILESITE CLBLL_L_X16Y107 SLICE_X24Y107 TILESITE CLBLL_L_X16Y107 SLICE_X25Y107 TILESITE CLBLL_L_X16Y108 SLICE_X24Y108 TILESITE CLBLL_L_X16Y108 SLICE_X25Y108 TILESITE CLBLL_L_X16Y109 SLICE_X24Y109 TILESITE CLBLL_L_X16Y109 SLICE_X25Y109 TILESITE CLBLL_L_X16Y110 SLICE_X24Y110 TILESITE CLBLL_L_X16Y110 SLICE_X25Y110 TILESITE CLBLL_L_X16Y111 SLICE_X24Y111 TILESITE CLBLL_L_X16Y111 SLICE_X25Y111 TILESITE CLBLL_L_X16Y112 SLICE_X24Y112 TILESITE CLBLL_L_X16Y112 SLICE_X25Y112 TILESITE CLBLL_L_X16Y113 SLICE_X24Y113 TILESITE CLBLL_L_X16Y113 SLICE_X25Y113 TILESITE CLBLL_L_X16Y114 SLICE_X24Y114 TILESITE CLBLL_L_X16Y114 SLICE_X25Y114 TILESITE CLBLL_L_X16Y115 SLICE_X24Y115 TILESITE CLBLL_L_X16Y115 SLICE_X25Y115 TILESITE CLBLL_L_X16Y116 SLICE_X24Y116 TILESITE CLBLL_L_X16Y116 SLICE_X25Y116 TILESITE CLBLL_L_X16Y117 SLICE_X24Y117 TILESITE CLBLL_L_X16Y117 SLICE_X25Y117 TILESITE CLBLL_L_X16Y118 SLICE_X24Y118 TILESITE CLBLL_L_X16Y118 SLICE_X25Y118 TILESITE CLBLL_L_X16Y119 SLICE_X24Y119 TILESITE CLBLL_L_X16Y119 SLICE_X25Y119 TILESITE CLBLL_L_X16Y120 SLICE_X24Y120 TILESITE CLBLL_L_X16Y120 SLICE_X25Y120 TILESITE CLBLL_L_X16Y121 SLICE_X24Y121 TILESITE CLBLL_L_X16Y121 SLICE_X25Y121 TILESITE CLBLL_L_X16Y122 SLICE_X24Y122 TILESITE CLBLL_L_X16Y122 SLICE_X25Y122 TILESITE CLBLL_L_X16Y123 SLICE_X24Y123 TILESITE CLBLL_L_X16Y123 SLICE_X25Y123 TILESITE CLBLL_L_X16Y124 SLICE_X24Y124 TILESITE CLBLL_L_X16Y124 SLICE_X25Y124 TILESITE CLBLL_L_X16Y125 SLICE_X24Y125 TILESITE CLBLL_L_X16Y125 SLICE_X25Y125 TILESITE CLBLL_L_X16Y126 SLICE_X24Y126 TILESITE CLBLL_L_X16Y126 SLICE_X25Y126 TILESITE CLBLL_L_X16Y127 SLICE_X24Y127 TILESITE CLBLL_L_X16Y127 SLICE_X25Y127 TILESITE CLBLL_L_X16Y128 SLICE_X24Y128 TILESITE CLBLL_L_X16Y128 SLICE_X25Y128 TILESITE CLBLL_L_X16Y129 SLICE_X24Y129 TILESITE CLBLL_L_X16Y129 SLICE_X25Y129 TILESITE CLBLL_L_X16Y130 SLICE_X24Y130 TILESITE CLBLL_L_X16Y130 SLICE_X25Y130 TILESITE CLBLL_L_X16Y131 SLICE_X24Y131 TILESITE CLBLL_L_X16Y131 SLICE_X25Y131 TILESITE CLBLL_L_X16Y132 SLICE_X24Y132 TILESITE CLBLL_L_X16Y132 SLICE_X25Y132 TILESITE CLBLL_L_X16Y133 SLICE_X24Y133 TILESITE CLBLL_L_X16Y133 SLICE_X25Y133 TILESITE CLBLL_L_X16Y134 SLICE_X24Y134 TILESITE CLBLL_L_X16Y134 SLICE_X25Y134 TILESITE CLBLL_L_X16Y135 SLICE_X24Y135 TILESITE CLBLL_L_X16Y135 SLICE_X25Y135 TILESITE CLBLL_L_X16Y136 SLICE_X24Y136 TILESITE CLBLL_L_X16Y136 SLICE_X25Y136 TILESITE CLBLL_L_X16Y137 SLICE_X24Y137 TILESITE CLBLL_L_X16Y137 SLICE_X25Y137 TILESITE CLBLL_L_X16Y138 SLICE_X24Y138 TILESITE CLBLL_L_X16Y138 SLICE_X25Y138 TILESITE CLBLL_L_X16Y139 SLICE_X24Y139 TILESITE CLBLL_L_X16Y139 SLICE_X25Y139 TILESITE CLBLL_L_X16Y140 SLICE_X24Y140 TILESITE CLBLL_L_X16Y140 SLICE_X25Y140 TILESITE CLBLL_L_X16Y141 SLICE_X24Y141 TILESITE CLBLL_L_X16Y141 SLICE_X25Y141 TILESITE CLBLL_L_X16Y142 SLICE_X24Y142 TILESITE CLBLL_L_X16Y142 SLICE_X25Y142 TILESITE CLBLL_L_X16Y143 SLICE_X24Y143 TILESITE CLBLL_L_X16Y143 SLICE_X25Y143 TILESITE CLBLL_L_X16Y144 SLICE_X24Y144 TILESITE CLBLL_L_X16Y144 SLICE_X25Y144 TILESITE CLBLL_L_X16Y145 SLICE_X24Y145 TILESITE CLBLL_L_X16Y145 SLICE_X25Y145 TILESITE CLBLL_L_X16Y146 SLICE_X24Y146 TILESITE CLBLL_L_X16Y146 SLICE_X25Y146 TILESITE CLBLL_L_X16Y147 SLICE_X24Y147 TILESITE CLBLL_L_X16Y147 SLICE_X25Y147 TILESITE CLBLL_L_X16Y148 SLICE_X24Y148 TILESITE CLBLL_L_X16Y148 SLICE_X25Y148 TILESITE CLBLL_L_X16Y149 SLICE_X24Y149 TILESITE CLBLL_L_X16Y149 SLICE_X25Y149 TILESITE CLBLL_L_X24Y0 SLICE_X36Y0 TILESITE CLBLL_L_X24Y0 SLICE_X37Y0 TILESITE CLBLL_L_X24Y1 SLICE_X36Y1 TILESITE CLBLL_L_X24Y1 SLICE_X37Y1 TILESITE CLBLL_L_X24Y2 SLICE_X36Y2 TILESITE CLBLL_L_X24Y2 SLICE_X37Y2 TILESITE CLBLL_L_X24Y3 SLICE_X36Y3 TILESITE CLBLL_L_X24Y3 SLICE_X37Y3 TILESITE CLBLL_L_X24Y4 SLICE_X36Y4 TILESITE CLBLL_L_X24Y4 SLICE_X37Y4 TILESITE CLBLL_L_X24Y5 SLICE_X36Y5 TILESITE CLBLL_L_X24Y5 SLICE_X37Y5 TILESITE CLBLL_L_X24Y6 SLICE_X36Y6 TILESITE CLBLL_L_X24Y6 SLICE_X37Y6 TILESITE CLBLL_L_X24Y7 SLICE_X36Y7 TILESITE CLBLL_L_X24Y7 SLICE_X37Y7 TILESITE CLBLL_L_X24Y8 SLICE_X36Y8 TILESITE CLBLL_L_X24Y8 SLICE_X37Y8 TILESITE CLBLL_L_X24Y9 SLICE_X36Y9 TILESITE CLBLL_L_X24Y9 SLICE_X37Y9 TILESITE CLBLL_L_X24Y10 SLICE_X36Y10 TILESITE CLBLL_L_X24Y10 SLICE_X37Y10 TILESITE CLBLL_L_X24Y11 SLICE_X36Y11 TILESITE CLBLL_L_X24Y11 SLICE_X37Y11 TILESITE CLBLL_L_X24Y12 SLICE_X36Y12 TILESITE CLBLL_L_X24Y12 SLICE_X37Y12 TILESITE CLBLL_L_X24Y13 SLICE_X36Y13 TILESITE CLBLL_L_X24Y13 SLICE_X37Y13 TILESITE CLBLL_L_X24Y14 SLICE_X36Y14 TILESITE CLBLL_L_X24Y14 SLICE_X37Y14 TILESITE CLBLL_L_X24Y15 SLICE_X36Y15 TILESITE CLBLL_L_X24Y15 SLICE_X37Y15 TILESITE CLBLL_L_X24Y16 SLICE_X36Y16 TILESITE CLBLL_L_X24Y16 SLICE_X37Y16 TILESITE CLBLL_L_X24Y17 SLICE_X36Y17 TILESITE CLBLL_L_X24Y17 SLICE_X37Y17 TILESITE CLBLL_L_X24Y18 SLICE_X36Y18 TILESITE CLBLL_L_X24Y18 SLICE_X37Y18 TILESITE CLBLL_L_X24Y19 SLICE_X36Y19 TILESITE CLBLL_L_X24Y19 SLICE_X37Y19 TILESITE CLBLL_L_X24Y20 SLICE_X36Y20 TILESITE CLBLL_L_X24Y20 SLICE_X37Y20 TILESITE CLBLL_L_X24Y21 SLICE_X36Y21 TILESITE CLBLL_L_X24Y21 SLICE_X37Y21 TILESITE CLBLL_L_X24Y22 SLICE_X36Y22 TILESITE CLBLL_L_X24Y22 SLICE_X37Y22 TILESITE CLBLL_L_X24Y23 SLICE_X36Y23 TILESITE CLBLL_L_X24Y23 SLICE_X37Y23 TILESITE CLBLL_L_X24Y24 SLICE_X36Y24 TILESITE CLBLL_L_X24Y24 SLICE_X37Y24 TILESITE CLBLL_L_X24Y25 SLICE_X36Y25 TILESITE CLBLL_L_X24Y25 SLICE_X37Y25 TILESITE CLBLL_L_X24Y26 SLICE_X36Y26 TILESITE CLBLL_L_X24Y26 SLICE_X37Y26 TILESITE CLBLL_L_X24Y27 SLICE_X36Y27 TILESITE CLBLL_L_X24Y27 SLICE_X37Y27 TILESITE CLBLL_L_X24Y28 SLICE_X36Y28 TILESITE CLBLL_L_X24Y28 SLICE_X37Y28 TILESITE CLBLL_L_X24Y29 SLICE_X36Y29 TILESITE CLBLL_L_X24Y29 SLICE_X37Y29 TILESITE CLBLL_L_X24Y30 SLICE_X36Y30 TILESITE CLBLL_L_X24Y30 SLICE_X37Y30 TILESITE CLBLL_L_X24Y31 SLICE_X36Y31 TILESITE CLBLL_L_X24Y31 SLICE_X37Y31 TILESITE CLBLL_L_X24Y32 SLICE_X36Y32 TILESITE CLBLL_L_X24Y32 SLICE_X37Y32 TILESITE CLBLL_L_X24Y33 SLICE_X36Y33 TILESITE CLBLL_L_X24Y33 SLICE_X37Y33 TILESITE CLBLL_L_X24Y34 SLICE_X36Y34 TILESITE CLBLL_L_X24Y34 SLICE_X37Y34 TILESITE CLBLL_L_X24Y35 SLICE_X36Y35 TILESITE CLBLL_L_X24Y35 SLICE_X37Y35 TILESITE CLBLL_L_X24Y36 SLICE_X36Y36 TILESITE CLBLL_L_X24Y36 SLICE_X37Y36 TILESITE CLBLL_L_X24Y37 SLICE_X36Y37 TILESITE CLBLL_L_X24Y37 SLICE_X37Y37 TILESITE CLBLL_L_X24Y38 SLICE_X36Y38 TILESITE CLBLL_L_X24Y38 SLICE_X37Y38 TILESITE CLBLL_L_X24Y39 SLICE_X36Y39 TILESITE CLBLL_L_X24Y39 SLICE_X37Y39 TILESITE CLBLL_L_X24Y40 SLICE_X36Y40 TILESITE CLBLL_L_X24Y40 SLICE_X37Y40 TILESITE CLBLL_L_X24Y41 SLICE_X36Y41 TILESITE CLBLL_L_X24Y41 SLICE_X37Y41 TILESITE CLBLL_L_X24Y42 SLICE_X36Y42 TILESITE CLBLL_L_X24Y42 SLICE_X37Y42 TILESITE CLBLL_L_X24Y43 SLICE_X36Y43 TILESITE CLBLL_L_X24Y43 SLICE_X37Y43 TILESITE CLBLL_L_X24Y44 SLICE_X36Y44 TILESITE CLBLL_L_X24Y44 SLICE_X37Y44 TILESITE CLBLL_L_X24Y45 SLICE_X36Y45 TILESITE CLBLL_L_X24Y45 SLICE_X37Y45 TILESITE CLBLL_L_X24Y46 SLICE_X36Y46 TILESITE CLBLL_L_X24Y46 SLICE_X37Y46 TILESITE CLBLL_L_X24Y47 SLICE_X36Y47 TILESITE CLBLL_L_X24Y47 SLICE_X37Y47 TILESITE CLBLL_L_X24Y48 SLICE_X36Y48 TILESITE CLBLL_L_X24Y48 SLICE_X37Y48 TILESITE CLBLL_L_X24Y49 SLICE_X36Y49 TILESITE CLBLL_L_X24Y49 SLICE_X37Y49 TILESITE CLBLL_L_X24Y50 SLICE_X36Y50 TILESITE CLBLL_L_X24Y50 SLICE_X37Y50 TILESITE CLBLL_L_X24Y51 SLICE_X36Y51 TILESITE CLBLL_L_X24Y51 SLICE_X37Y51 TILESITE CLBLL_L_X24Y52 SLICE_X36Y52 TILESITE CLBLL_L_X24Y52 SLICE_X37Y52 TILESITE CLBLL_L_X24Y53 SLICE_X36Y53 TILESITE CLBLL_L_X24Y53 SLICE_X37Y53 TILESITE CLBLL_L_X24Y54 SLICE_X36Y54 TILESITE CLBLL_L_X24Y54 SLICE_X37Y54 TILESITE CLBLL_L_X24Y55 SLICE_X36Y55 TILESITE CLBLL_L_X24Y55 SLICE_X37Y55 TILESITE CLBLL_L_X24Y56 SLICE_X36Y56 TILESITE CLBLL_L_X24Y56 SLICE_X37Y56 TILESITE CLBLL_L_X24Y57 SLICE_X36Y57 TILESITE CLBLL_L_X24Y57 SLICE_X37Y57 TILESITE CLBLL_L_X24Y58 SLICE_X36Y58 TILESITE CLBLL_L_X24Y58 SLICE_X37Y58 TILESITE CLBLL_L_X24Y59 SLICE_X36Y59 TILESITE CLBLL_L_X24Y59 SLICE_X37Y59 TILESITE CLBLL_L_X24Y60 SLICE_X36Y60 TILESITE CLBLL_L_X24Y60 SLICE_X37Y60 TILESITE CLBLL_L_X24Y61 SLICE_X36Y61 TILESITE CLBLL_L_X24Y61 SLICE_X37Y61 TILESITE CLBLL_L_X24Y62 SLICE_X36Y62 TILESITE CLBLL_L_X24Y62 SLICE_X37Y62 TILESITE CLBLL_L_X24Y63 SLICE_X36Y63 TILESITE CLBLL_L_X24Y63 SLICE_X37Y63 TILESITE CLBLL_L_X24Y64 SLICE_X36Y64 TILESITE CLBLL_L_X24Y64 SLICE_X37Y64 TILESITE CLBLL_L_X24Y65 SLICE_X36Y65 TILESITE CLBLL_L_X24Y65 SLICE_X37Y65 TILESITE CLBLL_L_X24Y66 SLICE_X36Y66 TILESITE CLBLL_L_X24Y66 SLICE_X37Y66 TILESITE CLBLL_L_X24Y67 SLICE_X36Y67 TILESITE CLBLL_L_X24Y67 SLICE_X37Y67 TILESITE CLBLL_L_X24Y68 SLICE_X36Y68 TILESITE CLBLL_L_X24Y68 SLICE_X37Y68 TILESITE CLBLL_L_X24Y69 SLICE_X36Y69 TILESITE CLBLL_L_X24Y69 SLICE_X37Y69 TILESITE CLBLL_L_X24Y70 SLICE_X36Y70 TILESITE CLBLL_L_X24Y70 SLICE_X37Y70 TILESITE CLBLL_L_X24Y71 SLICE_X36Y71 TILESITE CLBLL_L_X24Y71 SLICE_X37Y71 TILESITE CLBLL_L_X24Y72 SLICE_X36Y72 TILESITE CLBLL_L_X24Y72 SLICE_X37Y72 TILESITE CLBLL_L_X24Y73 SLICE_X36Y73 TILESITE CLBLL_L_X24Y73 SLICE_X37Y73 TILESITE CLBLL_L_X24Y74 SLICE_X36Y74 TILESITE CLBLL_L_X24Y74 SLICE_X37Y74 TILESITE CLBLL_L_X24Y75 SLICE_X36Y75 TILESITE CLBLL_L_X24Y75 SLICE_X37Y75 TILESITE CLBLL_L_X24Y76 SLICE_X36Y76 TILESITE CLBLL_L_X24Y76 SLICE_X37Y76 TILESITE CLBLL_L_X24Y77 SLICE_X36Y77 TILESITE CLBLL_L_X24Y77 SLICE_X37Y77 TILESITE CLBLL_L_X24Y78 SLICE_X36Y78 TILESITE CLBLL_L_X24Y78 SLICE_X37Y78 TILESITE CLBLL_L_X24Y79 SLICE_X36Y79 TILESITE CLBLL_L_X24Y79 SLICE_X37Y79 TILESITE CLBLL_L_X24Y80 SLICE_X36Y80 TILESITE CLBLL_L_X24Y80 SLICE_X37Y80 TILESITE CLBLL_L_X24Y81 SLICE_X36Y81 TILESITE CLBLL_L_X24Y81 SLICE_X37Y81 TILESITE CLBLL_L_X24Y82 SLICE_X36Y82 TILESITE CLBLL_L_X24Y82 SLICE_X37Y82 TILESITE CLBLL_L_X24Y83 SLICE_X36Y83 TILESITE CLBLL_L_X24Y83 SLICE_X37Y83 TILESITE CLBLL_L_X24Y84 SLICE_X36Y84 TILESITE CLBLL_L_X24Y84 SLICE_X37Y84 TILESITE CLBLL_L_X24Y85 SLICE_X36Y85 TILESITE CLBLL_L_X24Y85 SLICE_X37Y85 TILESITE CLBLL_L_X24Y86 SLICE_X36Y86 TILESITE CLBLL_L_X24Y86 SLICE_X37Y86 TILESITE CLBLL_L_X24Y87 SLICE_X36Y87 TILESITE CLBLL_L_X24Y87 SLICE_X37Y87 TILESITE CLBLL_L_X24Y88 SLICE_X36Y88 TILESITE CLBLL_L_X24Y88 SLICE_X37Y88 TILESITE CLBLL_L_X24Y89 SLICE_X36Y89 TILESITE CLBLL_L_X24Y89 SLICE_X37Y89 TILESITE CLBLL_L_X24Y90 SLICE_X36Y90 TILESITE CLBLL_L_X24Y90 SLICE_X37Y90 TILESITE CLBLL_L_X24Y91 SLICE_X36Y91 TILESITE CLBLL_L_X24Y91 SLICE_X37Y91 TILESITE CLBLL_L_X24Y92 SLICE_X36Y92 TILESITE CLBLL_L_X24Y92 SLICE_X37Y92 TILESITE CLBLL_L_X24Y93 SLICE_X36Y93 TILESITE CLBLL_L_X24Y93 SLICE_X37Y93 TILESITE CLBLL_L_X24Y94 SLICE_X36Y94 TILESITE CLBLL_L_X24Y94 SLICE_X37Y94 TILESITE CLBLL_L_X24Y95 SLICE_X36Y95 TILESITE CLBLL_L_X24Y95 SLICE_X37Y95 TILESITE CLBLL_L_X24Y96 SLICE_X36Y96 TILESITE CLBLL_L_X24Y96 SLICE_X37Y96 TILESITE CLBLL_L_X24Y97 SLICE_X36Y97 TILESITE CLBLL_L_X24Y97 SLICE_X37Y97 TILESITE CLBLL_L_X24Y98 SLICE_X36Y98 TILESITE CLBLL_L_X24Y98 SLICE_X37Y98 TILESITE CLBLL_L_X24Y99 SLICE_X36Y99 TILESITE CLBLL_L_X24Y99 SLICE_X37Y99 TILESITE CLBLL_L_X24Y100 SLICE_X36Y100 TILESITE CLBLL_L_X24Y100 SLICE_X37Y100 TILESITE CLBLL_L_X24Y101 SLICE_X36Y101 TILESITE CLBLL_L_X24Y101 SLICE_X37Y101 TILESITE CLBLL_L_X24Y102 SLICE_X36Y102 TILESITE CLBLL_L_X24Y102 SLICE_X37Y102 TILESITE CLBLL_L_X24Y103 SLICE_X36Y103 TILESITE CLBLL_L_X24Y103 SLICE_X37Y103 TILESITE CLBLL_L_X24Y104 SLICE_X36Y104 TILESITE CLBLL_L_X24Y104 SLICE_X37Y104 TILESITE CLBLL_L_X24Y105 SLICE_X36Y105 TILESITE CLBLL_L_X24Y105 SLICE_X37Y105 TILESITE CLBLL_L_X24Y106 SLICE_X36Y106 TILESITE CLBLL_L_X24Y106 SLICE_X37Y106 TILESITE CLBLL_L_X24Y107 SLICE_X36Y107 TILESITE CLBLL_L_X24Y107 SLICE_X37Y107 TILESITE CLBLL_L_X24Y108 SLICE_X36Y108 TILESITE CLBLL_L_X24Y108 SLICE_X37Y108 TILESITE CLBLL_L_X24Y109 SLICE_X36Y109 TILESITE CLBLL_L_X24Y109 SLICE_X37Y109 TILESITE CLBLL_L_X24Y110 SLICE_X36Y110 TILESITE CLBLL_L_X24Y110 SLICE_X37Y110 TILESITE CLBLL_L_X24Y111 SLICE_X36Y111 TILESITE CLBLL_L_X24Y111 SLICE_X37Y111 TILESITE CLBLL_L_X24Y112 SLICE_X36Y112 TILESITE CLBLL_L_X24Y112 SLICE_X37Y112 TILESITE CLBLL_L_X24Y113 SLICE_X36Y113 TILESITE CLBLL_L_X24Y113 SLICE_X37Y113 TILESITE CLBLL_L_X24Y114 SLICE_X36Y114 TILESITE CLBLL_L_X24Y114 SLICE_X37Y114 TILESITE CLBLL_L_X24Y115 SLICE_X36Y115 TILESITE CLBLL_L_X24Y115 SLICE_X37Y115 TILESITE CLBLL_L_X24Y116 SLICE_X36Y116 TILESITE CLBLL_L_X24Y116 SLICE_X37Y116 TILESITE CLBLL_L_X24Y117 SLICE_X36Y117 TILESITE CLBLL_L_X24Y117 SLICE_X37Y117 TILESITE CLBLL_L_X24Y118 SLICE_X36Y118 TILESITE CLBLL_L_X24Y118 SLICE_X37Y118 TILESITE CLBLL_L_X24Y119 SLICE_X36Y119 TILESITE CLBLL_L_X24Y119 SLICE_X37Y119 TILESITE CLBLL_L_X24Y120 SLICE_X36Y120 TILESITE CLBLL_L_X24Y120 SLICE_X37Y120 TILESITE CLBLL_L_X24Y121 SLICE_X36Y121 TILESITE CLBLL_L_X24Y121 SLICE_X37Y121 TILESITE CLBLL_L_X24Y122 SLICE_X36Y122 TILESITE CLBLL_L_X24Y122 SLICE_X37Y122 TILESITE CLBLL_L_X24Y123 SLICE_X36Y123 TILESITE CLBLL_L_X24Y123 SLICE_X37Y123 TILESITE CLBLL_L_X24Y124 SLICE_X36Y124 TILESITE CLBLL_L_X24Y124 SLICE_X37Y124 TILESITE CLBLL_L_X24Y125 SLICE_X36Y125 TILESITE CLBLL_L_X24Y125 SLICE_X37Y125 TILESITE CLBLL_L_X24Y126 SLICE_X36Y126 TILESITE CLBLL_L_X24Y126 SLICE_X37Y126 TILESITE CLBLL_L_X24Y127 SLICE_X36Y127 TILESITE CLBLL_L_X24Y127 SLICE_X37Y127 TILESITE CLBLL_L_X24Y128 SLICE_X36Y128 TILESITE CLBLL_L_X24Y128 SLICE_X37Y128 TILESITE CLBLL_L_X24Y129 SLICE_X36Y129 TILESITE CLBLL_L_X24Y129 SLICE_X37Y129 TILESITE CLBLL_L_X24Y130 SLICE_X36Y130 TILESITE CLBLL_L_X24Y130 SLICE_X37Y130 TILESITE CLBLL_L_X24Y131 SLICE_X36Y131 TILESITE CLBLL_L_X24Y131 SLICE_X37Y131 TILESITE CLBLL_L_X24Y132 SLICE_X36Y132 TILESITE CLBLL_L_X24Y132 SLICE_X37Y132 TILESITE CLBLL_L_X24Y133 SLICE_X36Y133 TILESITE CLBLL_L_X24Y133 SLICE_X37Y133 TILESITE CLBLL_L_X24Y134 SLICE_X36Y134 TILESITE CLBLL_L_X24Y134 SLICE_X37Y134 TILESITE CLBLL_L_X24Y135 SLICE_X36Y135 TILESITE CLBLL_L_X24Y135 SLICE_X37Y135 TILESITE CLBLL_L_X24Y136 SLICE_X36Y136 TILESITE CLBLL_L_X24Y136 SLICE_X37Y136 TILESITE CLBLL_L_X24Y137 SLICE_X36Y137 TILESITE CLBLL_L_X24Y137 SLICE_X37Y137 TILESITE CLBLL_L_X24Y138 SLICE_X36Y138 TILESITE CLBLL_L_X24Y138 SLICE_X37Y138 TILESITE CLBLL_L_X24Y139 SLICE_X36Y139 TILESITE CLBLL_L_X24Y139 SLICE_X37Y139 TILESITE CLBLL_L_X24Y140 SLICE_X36Y140 TILESITE CLBLL_L_X24Y140 SLICE_X37Y140 TILESITE CLBLL_L_X24Y141 SLICE_X36Y141 TILESITE CLBLL_L_X24Y141 SLICE_X37Y141 TILESITE CLBLL_L_X24Y142 SLICE_X36Y142 TILESITE CLBLL_L_X24Y142 SLICE_X37Y142 TILESITE CLBLL_L_X24Y143 SLICE_X36Y143 TILESITE CLBLL_L_X24Y143 SLICE_X37Y143 TILESITE CLBLL_L_X24Y144 SLICE_X36Y144 TILESITE CLBLL_L_X24Y144 SLICE_X37Y144 TILESITE CLBLL_L_X24Y145 SLICE_X36Y145 TILESITE CLBLL_L_X24Y145 SLICE_X37Y145 TILESITE CLBLL_L_X24Y146 SLICE_X36Y146 TILESITE CLBLL_L_X24Y146 SLICE_X37Y146 TILESITE CLBLL_L_X24Y147 SLICE_X36Y147 TILESITE CLBLL_L_X24Y147 SLICE_X37Y147 TILESITE CLBLL_L_X24Y148 SLICE_X36Y148 TILESITE CLBLL_L_X24Y148 SLICE_X37Y148 TILESITE CLBLL_L_X24Y149 SLICE_X36Y149 TILESITE CLBLL_L_X24Y149 SLICE_X37Y149 TILESITE CLBLL_L_X26Y0 SLICE_X40Y0 TILESITE CLBLL_L_X26Y0 SLICE_X41Y0 TILESITE CLBLL_L_X26Y1 SLICE_X40Y1 TILESITE CLBLL_L_X26Y1 SLICE_X41Y1 TILESITE CLBLL_L_X26Y2 SLICE_X40Y2 TILESITE CLBLL_L_X26Y2 SLICE_X41Y2 TILESITE CLBLL_L_X26Y3 SLICE_X40Y3 TILESITE CLBLL_L_X26Y3 SLICE_X41Y3 TILESITE CLBLL_L_X26Y4 SLICE_X40Y4 TILESITE CLBLL_L_X26Y4 SLICE_X41Y4 TILESITE CLBLL_L_X26Y5 SLICE_X40Y5 TILESITE CLBLL_L_X26Y5 SLICE_X41Y5 TILESITE CLBLL_L_X26Y6 SLICE_X40Y6 TILESITE CLBLL_L_X26Y6 SLICE_X41Y6 TILESITE CLBLL_L_X26Y7 SLICE_X40Y7 TILESITE CLBLL_L_X26Y7 SLICE_X41Y7 TILESITE CLBLL_L_X26Y8 SLICE_X40Y8 TILESITE CLBLL_L_X26Y8 SLICE_X41Y8 TILESITE CLBLL_L_X26Y9 SLICE_X40Y9 TILESITE CLBLL_L_X26Y9 SLICE_X41Y9 TILESITE CLBLL_L_X26Y10 SLICE_X40Y10 TILESITE CLBLL_L_X26Y10 SLICE_X41Y10 TILESITE CLBLL_L_X26Y11 SLICE_X40Y11 TILESITE CLBLL_L_X26Y11 SLICE_X41Y11 TILESITE CLBLL_L_X26Y12 SLICE_X40Y12 TILESITE CLBLL_L_X26Y12 SLICE_X41Y12 TILESITE CLBLL_L_X26Y13 SLICE_X40Y13 TILESITE CLBLL_L_X26Y13 SLICE_X41Y13 TILESITE CLBLL_L_X26Y14 SLICE_X40Y14 TILESITE CLBLL_L_X26Y14 SLICE_X41Y14 TILESITE CLBLL_L_X26Y15 SLICE_X40Y15 TILESITE CLBLL_L_X26Y15 SLICE_X41Y15 TILESITE CLBLL_L_X26Y16 SLICE_X40Y16 TILESITE CLBLL_L_X26Y16 SLICE_X41Y16 TILESITE CLBLL_L_X26Y17 SLICE_X40Y17 TILESITE CLBLL_L_X26Y17 SLICE_X41Y17 TILESITE CLBLL_L_X26Y18 SLICE_X40Y18 TILESITE CLBLL_L_X26Y18 SLICE_X41Y18 TILESITE CLBLL_L_X26Y19 SLICE_X40Y19 TILESITE CLBLL_L_X26Y19 SLICE_X41Y19 TILESITE CLBLL_L_X26Y20 SLICE_X40Y20 TILESITE CLBLL_L_X26Y20 SLICE_X41Y20 TILESITE CLBLL_L_X26Y21 SLICE_X40Y21 TILESITE CLBLL_L_X26Y21 SLICE_X41Y21 TILESITE CLBLL_L_X26Y22 SLICE_X40Y22 TILESITE CLBLL_L_X26Y22 SLICE_X41Y22 TILESITE CLBLL_L_X26Y23 SLICE_X40Y23 TILESITE CLBLL_L_X26Y23 SLICE_X41Y23 TILESITE CLBLL_L_X26Y24 SLICE_X40Y24 TILESITE CLBLL_L_X26Y24 SLICE_X41Y24 TILESITE CLBLL_L_X26Y25 SLICE_X40Y25 TILESITE CLBLL_L_X26Y25 SLICE_X41Y25 TILESITE CLBLL_L_X26Y26 SLICE_X40Y26 TILESITE CLBLL_L_X26Y26 SLICE_X41Y26 TILESITE CLBLL_L_X26Y27 SLICE_X40Y27 TILESITE CLBLL_L_X26Y27 SLICE_X41Y27 TILESITE CLBLL_L_X26Y28 SLICE_X40Y28 TILESITE CLBLL_L_X26Y28 SLICE_X41Y28 TILESITE CLBLL_L_X26Y29 SLICE_X40Y29 TILESITE CLBLL_L_X26Y29 SLICE_X41Y29 TILESITE CLBLL_L_X26Y30 SLICE_X40Y30 TILESITE CLBLL_L_X26Y30 SLICE_X41Y30 TILESITE CLBLL_L_X26Y31 SLICE_X40Y31 TILESITE CLBLL_L_X26Y31 SLICE_X41Y31 TILESITE CLBLL_L_X26Y32 SLICE_X40Y32 TILESITE CLBLL_L_X26Y32 SLICE_X41Y32 TILESITE CLBLL_L_X26Y33 SLICE_X40Y33 TILESITE CLBLL_L_X26Y33 SLICE_X41Y33 TILESITE CLBLL_L_X26Y34 SLICE_X40Y34 TILESITE CLBLL_L_X26Y34 SLICE_X41Y34 TILESITE CLBLL_L_X26Y35 SLICE_X40Y35 TILESITE CLBLL_L_X26Y35 SLICE_X41Y35 TILESITE CLBLL_L_X26Y36 SLICE_X40Y36 TILESITE CLBLL_L_X26Y36 SLICE_X41Y36 TILESITE CLBLL_L_X26Y37 SLICE_X40Y37 TILESITE CLBLL_L_X26Y37 SLICE_X41Y37 TILESITE CLBLL_L_X26Y38 SLICE_X40Y38 TILESITE CLBLL_L_X26Y38 SLICE_X41Y38 TILESITE CLBLL_L_X26Y39 SLICE_X40Y39 TILESITE CLBLL_L_X26Y39 SLICE_X41Y39 TILESITE CLBLL_L_X26Y40 SLICE_X40Y40 TILESITE CLBLL_L_X26Y40 SLICE_X41Y40 TILESITE CLBLL_L_X26Y41 SLICE_X40Y41 TILESITE CLBLL_L_X26Y41 SLICE_X41Y41 TILESITE CLBLL_L_X26Y42 SLICE_X40Y42 TILESITE CLBLL_L_X26Y42 SLICE_X41Y42 TILESITE CLBLL_L_X26Y43 SLICE_X40Y43 TILESITE CLBLL_L_X26Y43 SLICE_X41Y43 TILESITE CLBLL_L_X26Y44 SLICE_X40Y44 TILESITE CLBLL_L_X26Y44 SLICE_X41Y44 TILESITE CLBLL_L_X26Y45 SLICE_X40Y45 TILESITE CLBLL_L_X26Y45 SLICE_X41Y45 TILESITE CLBLL_L_X26Y46 SLICE_X40Y46 TILESITE CLBLL_L_X26Y46 SLICE_X41Y46 TILESITE CLBLL_L_X26Y47 SLICE_X40Y47 TILESITE CLBLL_L_X26Y47 SLICE_X41Y47 TILESITE CLBLL_L_X26Y48 SLICE_X40Y48 TILESITE CLBLL_L_X26Y48 SLICE_X41Y48 TILESITE CLBLL_L_X26Y49 SLICE_X40Y49 TILESITE CLBLL_L_X26Y49 SLICE_X41Y49 TILESITE CLBLL_L_X26Y50 SLICE_X40Y50 TILESITE CLBLL_L_X26Y50 SLICE_X41Y50 TILESITE CLBLL_L_X26Y51 SLICE_X40Y51 TILESITE CLBLL_L_X26Y51 SLICE_X41Y51 TILESITE CLBLL_L_X26Y52 SLICE_X40Y52 TILESITE CLBLL_L_X26Y52 SLICE_X41Y52 TILESITE CLBLL_L_X26Y53 SLICE_X40Y53 TILESITE CLBLL_L_X26Y53 SLICE_X41Y53 TILESITE CLBLL_L_X26Y54 SLICE_X40Y54 TILESITE CLBLL_L_X26Y54 SLICE_X41Y54 TILESITE CLBLL_L_X26Y55 SLICE_X40Y55 TILESITE CLBLL_L_X26Y55 SLICE_X41Y55 TILESITE CLBLL_L_X26Y56 SLICE_X40Y56 TILESITE CLBLL_L_X26Y56 SLICE_X41Y56 TILESITE CLBLL_L_X26Y57 SLICE_X40Y57 TILESITE CLBLL_L_X26Y57 SLICE_X41Y57 TILESITE CLBLL_L_X26Y58 SLICE_X40Y58 TILESITE CLBLL_L_X26Y58 SLICE_X41Y58 TILESITE CLBLL_L_X26Y59 SLICE_X40Y59 TILESITE CLBLL_L_X26Y59 SLICE_X41Y59 TILESITE CLBLL_L_X26Y60 SLICE_X40Y60 TILESITE CLBLL_L_X26Y60 SLICE_X41Y60 TILESITE CLBLL_L_X26Y61 SLICE_X40Y61 TILESITE CLBLL_L_X26Y61 SLICE_X41Y61 TILESITE CLBLL_L_X26Y62 SLICE_X40Y62 TILESITE CLBLL_L_X26Y62 SLICE_X41Y62 TILESITE CLBLL_L_X26Y63 SLICE_X40Y63 TILESITE CLBLL_L_X26Y63 SLICE_X41Y63 TILESITE CLBLL_L_X26Y64 SLICE_X40Y64 TILESITE CLBLL_L_X26Y64 SLICE_X41Y64 TILESITE CLBLL_L_X26Y65 SLICE_X40Y65 TILESITE CLBLL_L_X26Y65 SLICE_X41Y65 TILESITE CLBLL_L_X26Y66 SLICE_X40Y66 TILESITE CLBLL_L_X26Y66 SLICE_X41Y66 TILESITE CLBLL_L_X26Y67 SLICE_X40Y67 TILESITE CLBLL_L_X26Y67 SLICE_X41Y67 TILESITE CLBLL_L_X26Y68 SLICE_X40Y68 TILESITE CLBLL_L_X26Y68 SLICE_X41Y68 TILESITE CLBLL_L_X26Y69 SLICE_X40Y69 TILESITE CLBLL_L_X26Y69 SLICE_X41Y69 TILESITE CLBLL_L_X26Y70 SLICE_X40Y70 TILESITE CLBLL_L_X26Y70 SLICE_X41Y70 TILESITE CLBLL_L_X26Y71 SLICE_X40Y71 TILESITE CLBLL_L_X26Y71 SLICE_X41Y71 TILESITE CLBLL_L_X26Y72 SLICE_X40Y72 TILESITE CLBLL_L_X26Y72 SLICE_X41Y72 TILESITE CLBLL_L_X26Y73 SLICE_X40Y73 TILESITE CLBLL_L_X26Y73 SLICE_X41Y73 TILESITE CLBLL_L_X26Y74 SLICE_X40Y74 TILESITE CLBLL_L_X26Y74 SLICE_X41Y74 TILESITE CLBLL_L_X26Y75 SLICE_X40Y75 TILESITE CLBLL_L_X26Y75 SLICE_X41Y75 TILESITE CLBLL_L_X26Y76 SLICE_X40Y76 TILESITE CLBLL_L_X26Y76 SLICE_X41Y76 TILESITE CLBLL_L_X26Y77 SLICE_X40Y77 TILESITE CLBLL_L_X26Y77 SLICE_X41Y77 TILESITE CLBLL_L_X26Y78 SLICE_X40Y78 TILESITE CLBLL_L_X26Y78 SLICE_X41Y78 TILESITE CLBLL_L_X26Y79 SLICE_X40Y79 TILESITE CLBLL_L_X26Y79 SLICE_X41Y79 TILESITE CLBLL_L_X26Y80 SLICE_X40Y80 TILESITE CLBLL_L_X26Y80 SLICE_X41Y80 TILESITE CLBLL_L_X26Y81 SLICE_X40Y81 TILESITE CLBLL_L_X26Y81 SLICE_X41Y81 TILESITE CLBLL_L_X26Y82 SLICE_X40Y82 TILESITE CLBLL_L_X26Y82 SLICE_X41Y82 TILESITE CLBLL_L_X26Y83 SLICE_X40Y83 TILESITE CLBLL_L_X26Y83 SLICE_X41Y83 TILESITE CLBLL_L_X26Y84 SLICE_X40Y84 TILESITE CLBLL_L_X26Y84 SLICE_X41Y84 TILESITE CLBLL_L_X26Y85 SLICE_X40Y85 TILESITE CLBLL_L_X26Y85 SLICE_X41Y85 TILESITE CLBLL_L_X26Y86 SLICE_X40Y86 TILESITE CLBLL_L_X26Y86 SLICE_X41Y86 TILESITE CLBLL_L_X26Y87 SLICE_X40Y87 TILESITE CLBLL_L_X26Y87 SLICE_X41Y87 TILESITE CLBLL_L_X26Y88 SLICE_X40Y88 TILESITE CLBLL_L_X26Y88 SLICE_X41Y88 TILESITE CLBLL_L_X26Y89 SLICE_X40Y89 TILESITE CLBLL_L_X26Y89 SLICE_X41Y89 TILESITE CLBLL_L_X26Y90 SLICE_X40Y90 TILESITE CLBLL_L_X26Y90 SLICE_X41Y90 TILESITE CLBLL_L_X26Y91 SLICE_X40Y91 TILESITE CLBLL_L_X26Y91 SLICE_X41Y91 TILESITE CLBLL_L_X26Y92 SLICE_X40Y92 TILESITE CLBLL_L_X26Y92 SLICE_X41Y92 TILESITE CLBLL_L_X26Y93 SLICE_X40Y93 TILESITE CLBLL_L_X26Y93 SLICE_X41Y93 TILESITE CLBLL_L_X26Y94 SLICE_X40Y94 TILESITE CLBLL_L_X26Y94 SLICE_X41Y94 TILESITE CLBLL_L_X26Y95 SLICE_X40Y95 TILESITE CLBLL_L_X26Y95 SLICE_X41Y95 TILESITE CLBLL_L_X26Y96 SLICE_X40Y96 TILESITE CLBLL_L_X26Y96 SLICE_X41Y96 TILESITE CLBLL_L_X26Y97 SLICE_X40Y97 TILESITE CLBLL_L_X26Y97 SLICE_X41Y97 TILESITE CLBLL_L_X26Y98 SLICE_X40Y98 TILESITE CLBLL_L_X26Y98 SLICE_X41Y98 TILESITE CLBLL_L_X26Y99 SLICE_X40Y99 TILESITE CLBLL_L_X26Y99 SLICE_X41Y99 TILESITE CLBLL_L_X26Y100 SLICE_X40Y100 TILESITE CLBLL_L_X26Y100 SLICE_X41Y100 TILESITE CLBLL_L_X26Y101 SLICE_X40Y101 TILESITE CLBLL_L_X26Y101 SLICE_X41Y101 TILESITE CLBLL_L_X26Y102 SLICE_X40Y102 TILESITE CLBLL_L_X26Y102 SLICE_X41Y102 TILESITE CLBLL_L_X26Y103 SLICE_X40Y103 TILESITE CLBLL_L_X26Y103 SLICE_X41Y103 TILESITE CLBLL_L_X26Y104 SLICE_X40Y104 TILESITE CLBLL_L_X26Y104 SLICE_X41Y104 TILESITE CLBLL_L_X26Y105 SLICE_X40Y105 TILESITE CLBLL_L_X26Y105 SLICE_X41Y105 TILESITE CLBLL_L_X26Y106 SLICE_X40Y106 TILESITE CLBLL_L_X26Y106 SLICE_X41Y106 TILESITE CLBLL_L_X26Y107 SLICE_X40Y107 TILESITE CLBLL_L_X26Y107 SLICE_X41Y107 TILESITE CLBLL_L_X26Y108 SLICE_X40Y108 TILESITE CLBLL_L_X26Y108 SLICE_X41Y108 TILESITE CLBLL_L_X26Y109 SLICE_X40Y109 TILESITE CLBLL_L_X26Y109 SLICE_X41Y109 TILESITE CLBLL_L_X26Y110 SLICE_X40Y110 TILESITE CLBLL_L_X26Y110 SLICE_X41Y110 TILESITE CLBLL_L_X26Y111 SLICE_X40Y111 TILESITE CLBLL_L_X26Y111 SLICE_X41Y111 TILESITE CLBLL_L_X26Y112 SLICE_X40Y112 TILESITE CLBLL_L_X26Y112 SLICE_X41Y112 TILESITE CLBLL_L_X26Y113 SLICE_X40Y113 TILESITE CLBLL_L_X26Y113 SLICE_X41Y113 TILESITE CLBLL_L_X26Y114 SLICE_X40Y114 TILESITE CLBLL_L_X26Y114 SLICE_X41Y114 TILESITE CLBLL_L_X26Y115 SLICE_X40Y115 TILESITE CLBLL_L_X26Y115 SLICE_X41Y115 TILESITE CLBLL_L_X26Y116 SLICE_X40Y116 TILESITE CLBLL_L_X26Y116 SLICE_X41Y116 TILESITE CLBLL_L_X26Y117 SLICE_X40Y117 TILESITE CLBLL_L_X26Y117 SLICE_X41Y117 TILESITE CLBLL_L_X26Y118 SLICE_X40Y118 TILESITE CLBLL_L_X26Y118 SLICE_X41Y118 TILESITE CLBLL_L_X26Y119 SLICE_X40Y119 TILESITE CLBLL_L_X26Y119 SLICE_X41Y119 TILESITE CLBLL_L_X26Y120 SLICE_X40Y120 TILESITE CLBLL_L_X26Y120 SLICE_X41Y120 TILESITE CLBLL_L_X26Y121 SLICE_X40Y121 TILESITE CLBLL_L_X26Y121 SLICE_X41Y121 TILESITE CLBLL_L_X26Y122 SLICE_X40Y122 TILESITE CLBLL_L_X26Y122 SLICE_X41Y122 TILESITE CLBLL_L_X26Y123 SLICE_X40Y123 TILESITE CLBLL_L_X26Y123 SLICE_X41Y123 TILESITE CLBLL_L_X26Y124 SLICE_X40Y124 TILESITE CLBLL_L_X26Y124 SLICE_X41Y124 TILESITE CLBLL_L_X26Y125 SLICE_X40Y125 TILESITE CLBLL_L_X26Y125 SLICE_X41Y125 TILESITE CLBLL_L_X26Y126 SLICE_X40Y126 TILESITE CLBLL_L_X26Y126 SLICE_X41Y126 TILESITE CLBLL_L_X26Y127 SLICE_X40Y127 TILESITE CLBLL_L_X26Y127 SLICE_X41Y127 TILESITE CLBLL_L_X26Y128 SLICE_X40Y128 TILESITE CLBLL_L_X26Y128 SLICE_X41Y128 TILESITE CLBLL_L_X26Y129 SLICE_X40Y129 TILESITE CLBLL_L_X26Y129 SLICE_X41Y129 TILESITE CLBLL_L_X26Y130 SLICE_X40Y130 TILESITE CLBLL_L_X26Y130 SLICE_X41Y130 TILESITE CLBLL_L_X26Y131 SLICE_X40Y131 TILESITE CLBLL_L_X26Y131 SLICE_X41Y131 TILESITE CLBLL_L_X26Y132 SLICE_X40Y132 TILESITE CLBLL_L_X26Y132 SLICE_X41Y132 TILESITE CLBLL_L_X26Y133 SLICE_X40Y133 TILESITE CLBLL_L_X26Y133 SLICE_X41Y133 TILESITE CLBLL_L_X26Y134 SLICE_X40Y134 TILESITE CLBLL_L_X26Y134 SLICE_X41Y134 TILESITE CLBLL_L_X26Y135 SLICE_X40Y135 TILESITE CLBLL_L_X26Y135 SLICE_X41Y135 TILESITE CLBLL_L_X26Y136 SLICE_X40Y136 TILESITE CLBLL_L_X26Y136 SLICE_X41Y136 TILESITE CLBLL_L_X26Y137 SLICE_X40Y137 TILESITE CLBLL_L_X26Y137 SLICE_X41Y137 TILESITE CLBLL_L_X26Y138 SLICE_X40Y138 TILESITE CLBLL_L_X26Y138 SLICE_X41Y138 TILESITE CLBLL_L_X26Y139 SLICE_X40Y139 TILESITE CLBLL_L_X26Y139 SLICE_X41Y139 TILESITE CLBLL_L_X26Y140 SLICE_X40Y140 TILESITE CLBLL_L_X26Y140 SLICE_X41Y140 TILESITE CLBLL_L_X26Y141 SLICE_X40Y141 TILESITE CLBLL_L_X26Y141 SLICE_X41Y141 TILESITE CLBLL_L_X26Y142 SLICE_X40Y142 TILESITE CLBLL_L_X26Y142 SLICE_X41Y142 TILESITE CLBLL_L_X26Y143 SLICE_X40Y143 TILESITE CLBLL_L_X26Y143 SLICE_X41Y143 TILESITE CLBLL_L_X26Y144 SLICE_X40Y144 TILESITE CLBLL_L_X26Y144 SLICE_X41Y144 TILESITE CLBLL_L_X26Y145 SLICE_X40Y145 TILESITE CLBLL_L_X26Y145 SLICE_X41Y145 TILESITE CLBLL_L_X26Y146 SLICE_X40Y146 TILESITE CLBLL_L_X26Y146 SLICE_X41Y146 TILESITE CLBLL_L_X26Y147 SLICE_X40Y147 TILESITE CLBLL_L_X26Y147 SLICE_X41Y147 TILESITE CLBLL_L_X26Y148 SLICE_X40Y148 TILESITE CLBLL_L_X26Y148 SLICE_X41Y148 TILESITE CLBLL_L_X26Y149 SLICE_X40Y149 TILESITE CLBLL_L_X26Y149 SLICE_X41Y149 TILESITE CLBLL_L_X28Y0 SLICE_X44Y0 TILESITE CLBLL_L_X28Y0 SLICE_X45Y0 TILESITE CLBLL_L_X28Y1 SLICE_X44Y1 TILESITE CLBLL_L_X28Y1 SLICE_X45Y1 TILESITE CLBLL_L_X28Y2 SLICE_X44Y2 TILESITE CLBLL_L_X28Y2 SLICE_X45Y2 TILESITE CLBLL_L_X28Y3 SLICE_X44Y3 TILESITE CLBLL_L_X28Y3 SLICE_X45Y3 TILESITE CLBLL_L_X28Y4 SLICE_X44Y4 TILESITE CLBLL_L_X28Y4 SLICE_X45Y4 TILESITE CLBLL_L_X28Y5 SLICE_X44Y5 TILESITE CLBLL_L_X28Y5 SLICE_X45Y5 TILESITE CLBLL_L_X28Y6 SLICE_X44Y6 TILESITE CLBLL_L_X28Y6 SLICE_X45Y6 TILESITE CLBLL_L_X28Y7 SLICE_X44Y7 TILESITE CLBLL_L_X28Y7 SLICE_X45Y7 TILESITE CLBLL_L_X28Y8 SLICE_X44Y8 TILESITE CLBLL_L_X28Y8 SLICE_X45Y8 TILESITE CLBLL_L_X28Y9 SLICE_X44Y9 TILESITE CLBLL_L_X28Y9 SLICE_X45Y9 TILESITE CLBLL_L_X28Y10 SLICE_X44Y10 TILESITE CLBLL_L_X28Y10 SLICE_X45Y10 TILESITE CLBLL_L_X28Y11 SLICE_X44Y11 TILESITE CLBLL_L_X28Y11 SLICE_X45Y11 TILESITE CLBLL_L_X28Y12 SLICE_X44Y12 TILESITE CLBLL_L_X28Y12 SLICE_X45Y12 TILESITE CLBLL_L_X28Y13 SLICE_X44Y13 TILESITE CLBLL_L_X28Y13 SLICE_X45Y13 TILESITE CLBLL_L_X28Y14 SLICE_X44Y14 TILESITE CLBLL_L_X28Y14 SLICE_X45Y14 TILESITE CLBLL_L_X28Y15 SLICE_X44Y15 TILESITE CLBLL_L_X28Y15 SLICE_X45Y15 TILESITE CLBLL_L_X28Y16 SLICE_X44Y16 TILESITE CLBLL_L_X28Y16 SLICE_X45Y16 TILESITE CLBLL_L_X28Y17 SLICE_X44Y17 TILESITE CLBLL_L_X28Y17 SLICE_X45Y17 TILESITE CLBLL_L_X28Y18 SLICE_X44Y18 TILESITE CLBLL_L_X28Y18 SLICE_X45Y18 TILESITE CLBLL_L_X28Y19 SLICE_X44Y19 TILESITE CLBLL_L_X28Y19 SLICE_X45Y19 TILESITE CLBLL_L_X28Y20 SLICE_X44Y20 TILESITE CLBLL_L_X28Y20 SLICE_X45Y20 TILESITE CLBLL_L_X28Y21 SLICE_X44Y21 TILESITE CLBLL_L_X28Y21 SLICE_X45Y21 TILESITE CLBLL_L_X28Y22 SLICE_X44Y22 TILESITE CLBLL_L_X28Y22 SLICE_X45Y22 TILESITE CLBLL_L_X28Y23 SLICE_X44Y23 TILESITE CLBLL_L_X28Y23 SLICE_X45Y23 TILESITE CLBLL_L_X28Y24 SLICE_X44Y24 TILESITE CLBLL_L_X28Y24 SLICE_X45Y24 TILESITE CLBLL_L_X28Y25 SLICE_X44Y25 TILESITE CLBLL_L_X28Y25 SLICE_X45Y25 TILESITE CLBLL_L_X28Y26 SLICE_X44Y26 TILESITE CLBLL_L_X28Y26 SLICE_X45Y26 TILESITE CLBLL_L_X28Y27 SLICE_X44Y27 TILESITE CLBLL_L_X28Y27 SLICE_X45Y27 TILESITE CLBLL_L_X28Y28 SLICE_X44Y28 TILESITE CLBLL_L_X28Y28 SLICE_X45Y28 TILESITE CLBLL_L_X28Y29 SLICE_X44Y29 TILESITE CLBLL_L_X28Y29 SLICE_X45Y29 TILESITE CLBLL_L_X28Y30 SLICE_X44Y30 TILESITE CLBLL_L_X28Y30 SLICE_X45Y30 TILESITE CLBLL_L_X28Y31 SLICE_X44Y31 TILESITE CLBLL_L_X28Y31 SLICE_X45Y31 TILESITE CLBLL_L_X28Y32 SLICE_X44Y32 TILESITE CLBLL_L_X28Y32 SLICE_X45Y32 TILESITE CLBLL_L_X28Y33 SLICE_X44Y33 TILESITE CLBLL_L_X28Y33 SLICE_X45Y33 TILESITE CLBLL_L_X28Y34 SLICE_X44Y34 TILESITE CLBLL_L_X28Y34 SLICE_X45Y34 TILESITE CLBLL_L_X28Y35 SLICE_X44Y35 TILESITE CLBLL_L_X28Y35 SLICE_X45Y35 TILESITE CLBLL_L_X28Y36 SLICE_X44Y36 TILESITE CLBLL_L_X28Y36 SLICE_X45Y36 TILESITE CLBLL_L_X28Y37 SLICE_X44Y37 TILESITE CLBLL_L_X28Y37 SLICE_X45Y37 TILESITE CLBLL_L_X28Y38 SLICE_X44Y38 TILESITE CLBLL_L_X28Y38 SLICE_X45Y38 TILESITE CLBLL_L_X28Y39 SLICE_X44Y39 TILESITE CLBLL_L_X28Y39 SLICE_X45Y39 TILESITE CLBLL_L_X28Y40 SLICE_X44Y40 TILESITE CLBLL_L_X28Y40 SLICE_X45Y40 TILESITE CLBLL_L_X28Y41 SLICE_X44Y41 TILESITE CLBLL_L_X28Y41 SLICE_X45Y41 TILESITE CLBLL_L_X28Y42 SLICE_X44Y42 TILESITE CLBLL_L_X28Y42 SLICE_X45Y42 TILESITE CLBLL_L_X28Y43 SLICE_X44Y43 TILESITE CLBLL_L_X28Y43 SLICE_X45Y43 TILESITE CLBLL_L_X28Y44 SLICE_X44Y44 TILESITE CLBLL_L_X28Y44 SLICE_X45Y44 TILESITE CLBLL_L_X28Y45 SLICE_X44Y45 TILESITE CLBLL_L_X28Y45 SLICE_X45Y45 TILESITE CLBLL_L_X28Y46 SLICE_X44Y46 TILESITE CLBLL_L_X28Y46 SLICE_X45Y46 TILESITE CLBLL_L_X28Y47 SLICE_X44Y47 TILESITE CLBLL_L_X28Y47 SLICE_X45Y47 TILESITE CLBLL_L_X28Y48 SLICE_X44Y48 TILESITE CLBLL_L_X28Y48 SLICE_X45Y48 TILESITE CLBLL_L_X28Y49 SLICE_X44Y49 TILESITE CLBLL_L_X28Y49 SLICE_X45Y49 TILESITE CLBLL_L_X28Y50 SLICE_X44Y50 TILESITE CLBLL_L_X28Y50 SLICE_X45Y50 TILESITE CLBLL_L_X28Y51 SLICE_X44Y51 TILESITE CLBLL_L_X28Y51 SLICE_X45Y51 TILESITE CLBLL_L_X28Y52 SLICE_X44Y52 TILESITE CLBLL_L_X28Y52 SLICE_X45Y52 TILESITE CLBLL_L_X28Y53 SLICE_X44Y53 TILESITE CLBLL_L_X28Y53 SLICE_X45Y53 TILESITE CLBLL_L_X28Y54 SLICE_X44Y54 TILESITE CLBLL_L_X28Y54 SLICE_X45Y54 TILESITE CLBLL_L_X28Y55 SLICE_X44Y55 TILESITE CLBLL_L_X28Y55 SLICE_X45Y55 TILESITE CLBLL_L_X28Y56 SLICE_X44Y56 TILESITE CLBLL_L_X28Y56 SLICE_X45Y56 TILESITE CLBLL_L_X28Y57 SLICE_X44Y57 TILESITE CLBLL_L_X28Y57 SLICE_X45Y57 TILESITE CLBLL_L_X28Y58 SLICE_X44Y58 TILESITE CLBLL_L_X28Y58 SLICE_X45Y58 TILESITE CLBLL_L_X28Y59 SLICE_X44Y59 TILESITE CLBLL_L_X28Y59 SLICE_X45Y59 TILESITE CLBLL_L_X28Y60 SLICE_X44Y60 TILESITE CLBLL_L_X28Y60 SLICE_X45Y60 TILESITE CLBLL_L_X28Y61 SLICE_X44Y61 TILESITE CLBLL_L_X28Y61 SLICE_X45Y61 TILESITE CLBLL_L_X28Y62 SLICE_X44Y62 TILESITE CLBLL_L_X28Y62 SLICE_X45Y62 TILESITE CLBLL_L_X28Y63 SLICE_X44Y63 TILESITE CLBLL_L_X28Y63 SLICE_X45Y63 TILESITE CLBLL_L_X28Y64 SLICE_X44Y64 TILESITE CLBLL_L_X28Y64 SLICE_X45Y64 TILESITE CLBLL_L_X28Y65 SLICE_X44Y65 TILESITE CLBLL_L_X28Y65 SLICE_X45Y65 TILESITE CLBLL_L_X28Y66 SLICE_X44Y66 TILESITE CLBLL_L_X28Y66 SLICE_X45Y66 TILESITE CLBLL_L_X28Y67 SLICE_X44Y67 TILESITE CLBLL_L_X28Y67 SLICE_X45Y67 TILESITE CLBLL_L_X28Y68 SLICE_X44Y68 TILESITE CLBLL_L_X28Y68 SLICE_X45Y68 TILESITE CLBLL_L_X28Y69 SLICE_X44Y69 TILESITE CLBLL_L_X28Y69 SLICE_X45Y69 TILESITE CLBLL_L_X28Y70 SLICE_X44Y70 TILESITE CLBLL_L_X28Y70 SLICE_X45Y70 TILESITE CLBLL_L_X28Y71 SLICE_X44Y71 TILESITE CLBLL_L_X28Y71 SLICE_X45Y71 TILESITE CLBLL_L_X28Y72 SLICE_X44Y72 TILESITE CLBLL_L_X28Y72 SLICE_X45Y72 TILESITE CLBLL_L_X28Y73 SLICE_X44Y73 TILESITE CLBLL_L_X28Y73 SLICE_X45Y73 TILESITE CLBLL_L_X28Y74 SLICE_X44Y74 TILESITE CLBLL_L_X28Y74 SLICE_X45Y74 TILESITE CLBLL_L_X28Y75 SLICE_X44Y75 TILESITE CLBLL_L_X28Y75 SLICE_X45Y75 TILESITE CLBLL_L_X28Y76 SLICE_X44Y76 TILESITE CLBLL_L_X28Y76 SLICE_X45Y76 TILESITE CLBLL_L_X28Y77 SLICE_X44Y77 TILESITE CLBLL_L_X28Y77 SLICE_X45Y77 TILESITE CLBLL_L_X28Y78 SLICE_X44Y78 TILESITE CLBLL_L_X28Y78 SLICE_X45Y78 TILESITE CLBLL_L_X28Y79 SLICE_X44Y79 TILESITE CLBLL_L_X28Y79 SLICE_X45Y79 TILESITE CLBLL_L_X28Y80 SLICE_X44Y80 TILESITE CLBLL_L_X28Y80 SLICE_X45Y80 TILESITE CLBLL_L_X28Y81 SLICE_X44Y81 TILESITE CLBLL_L_X28Y81 SLICE_X45Y81 TILESITE CLBLL_L_X28Y82 SLICE_X44Y82 TILESITE CLBLL_L_X28Y82 SLICE_X45Y82 TILESITE CLBLL_L_X28Y83 SLICE_X44Y83 TILESITE CLBLL_L_X28Y83 SLICE_X45Y83 TILESITE CLBLL_L_X28Y84 SLICE_X44Y84 TILESITE CLBLL_L_X28Y84 SLICE_X45Y84 TILESITE CLBLL_L_X28Y85 SLICE_X44Y85 TILESITE CLBLL_L_X28Y85 SLICE_X45Y85 TILESITE CLBLL_L_X28Y86 SLICE_X44Y86 TILESITE CLBLL_L_X28Y86 SLICE_X45Y86 TILESITE CLBLL_L_X28Y87 SLICE_X44Y87 TILESITE CLBLL_L_X28Y87 SLICE_X45Y87 TILESITE CLBLL_L_X28Y88 SLICE_X44Y88 TILESITE CLBLL_L_X28Y88 SLICE_X45Y88 TILESITE CLBLL_L_X28Y89 SLICE_X44Y89 TILESITE CLBLL_L_X28Y89 SLICE_X45Y89 TILESITE CLBLL_L_X28Y90 SLICE_X44Y90 TILESITE CLBLL_L_X28Y90 SLICE_X45Y90 TILESITE CLBLL_L_X28Y91 SLICE_X44Y91 TILESITE CLBLL_L_X28Y91 SLICE_X45Y91 TILESITE CLBLL_L_X28Y92 SLICE_X44Y92 TILESITE CLBLL_L_X28Y92 SLICE_X45Y92 TILESITE CLBLL_L_X28Y93 SLICE_X44Y93 TILESITE CLBLL_L_X28Y93 SLICE_X45Y93 TILESITE CLBLL_L_X28Y94 SLICE_X44Y94 TILESITE CLBLL_L_X28Y94 SLICE_X45Y94 TILESITE CLBLL_L_X28Y95 SLICE_X44Y95 TILESITE CLBLL_L_X28Y95 SLICE_X45Y95 TILESITE CLBLL_L_X28Y96 SLICE_X44Y96 TILESITE CLBLL_L_X28Y96 SLICE_X45Y96 TILESITE CLBLL_L_X28Y97 SLICE_X44Y97 TILESITE CLBLL_L_X28Y97 SLICE_X45Y97 TILESITE CLBLL_L_X28Y98 SLICE_X44Y98 TILESITE CLBLL_L_X28Y98 SLICE_X45Y98 TILESITE CLBLL_L_X28Y99 SLICE_X44Y99 TILESITE CLBLL_L_X28Y99 SLICE_X45Y99 TILESITE CLBLL_L_X28Y125 SLICE_X44Y125 TILESITE CLBLL_L_X28Y125 SLICE_X45Y125 TILESITE CLBLL_L_X28Y126 SLICE_X44Y126 TILESITE CLBLL_L_X28Y126 SLICE_X45Y126 TILESITE CLBLL_L_X28Y127 SLICE_X44Y127 TILESITE CLBLL_L_X28Y127 SLICE_X45Y127 TILESITE CLBLL_L_X28Y128 SLICE_X44Y128 TILESITE CLBLL_L_X28Y128 SLICE_X45Y128 TILESITE CLBLL_L_X28Y129 SLICE_X44Y129 TILESITE CLBLL_L_X28Y129 SLICE_X45Y129 TILESITE CLBLL_L_X28Y130 SLICE_X44Y130 TILESITE CLBLL_L_X28Y130 SLICE_X45Y130 TILESITE CLBLL_L_X28Y131 SLICE_X44Y131 TILESITE CLBLL_L_X28Y131 SLICE_X45Y131 TILESITE CLBLL_L_X28Y132 SLICE_X44Y132 TILESITE CLBLL_L_X28Y132 SLICE_X45Y132 TILESITE CLBLL_L_X28Y133 SLICE_X44Y133 TILESITE CLBLL_L_X28Y133 SLICE_X45Y133 TILESITE CLBLL_L_X28Y134 SLICE_X44Y134 TILESITE CLBLL_L_X28Y134 SLICE_X45Y134 TILESITE CLBLL_L_X28Y135 SLICE_X44Y135 TILESITE CLBLL_L_X28Y135 SLICE_X45Y135 TILESITE CLBLL_L_X28Y136 SLICE_X44Y136 TILESITE CLBLL_L_X28Y136 SLICE_X45Y136 TILESITE CLBLL_L_X28Y137 SLICE_X44Y137 TILESITE CLBLL_L_X28Y137 SLICE_X45Y137 TILESITE CLBLL_L_X28Y138 SLICE_X44Y138 TILESITE CLBLL_L_X28Y138 SLICE_X45Y138 TILESITE CLBLL_L_X28Y139 SLICE_X44Y139 TILESITE CLBLL_L_X28Y139 SLICE_X45Y139 TILESITE CLBLL_L_X28Y140 SLICE_X44Y140 TILESITE CLBLL_L_X28Y140 SLICE_X45Y140 TILESITE CLBLL_L_X28Y141 SLICE_X44Y141 TILESITE CLBLL_L_X28Y141 SLICE_X45Y141 TILESITE CLBLL_L_X28Y142 SLICE_X44Y142 TILESITE CLBLL_L_X28Y142 SLICE_X45Y142 TILESITE CLBLL_L_X28Y143 SLICE_X44Y143 TILESITE CLBLL_L_X28Y143 SLICE_X45Y143 TILESITE CLBLL_L_X28Y144 SLICE_X44Y144 TILESITE CLBLL_L_X28Y144 SLICE_X45Y144 TILESITE CLBLL_L_X28Y145 SLICE_X44Y145 TILESITE CLBLL_L_X28Y145 SLICE_X45Y145 TILESITE CLBLL_L_X28Y146 SLICE_X44Y146 TILESITE CLBLL_L_X28Y146 SLICE_X45Y146 TILESITE CLBLL_L_X28Y147 SLICE_X44Y147 TILESITE CLBLL_L_X28Y147 SLICE_X45Y147 TILESITE CLBLL_L_X28Y148 SLICE_X44Y148 TILESITE CLBLL_L_X28Y148 SLICE_X45Y148 TILESITE CLBLL_L_X28Y149 SLICE_X44Y149 TILESITE CLBLL_L_X28Y149 SLICE_X45Y149 TILESITE CLBLL_L_X2Y0 SLICE_X0Y0 TILESITE CLBLL_L_X2Y0 SLICE_X1Y0 TILESITE CLBLL_L_X2Y1 SLICE_X0Y1 TILESITE CLBLL_L_X2Y1 SLICE_X1Y1 TILESITE CLBLL_L_X2Y2 SLICE_X0Y2 TILESITE CLBLL_L_X2Y2 SLICE_X1Y2 TILESITE CLBLL_L_X2Y3 SLICE_X0Y3 TILESITE CLBLL_L_X2Y3 SLICE_X1Y3 TILESITE CLBLL_L_X2Y4 SLICE_X0Y4 TILESITE CLBLL_L_X2Y4 SLICE_X1Y4 TILESITE CLBLL_L_X2Y5 SLICE_X0Y5 TILESITE CLBLL_L_X2Y5 SLICE_X1Y5 TILESITE CLBLL_L_X2Y6 SLICE_X0Y6 TILESITE CLBLL_L_X2Y6 SLICE_X1Y6 TILESITE CLBLL_L_X2Y7 SLICE_X0Y7 TILESITE CLBLL_L_X2Y7 SLICE_X1Y7 TILESITE CLBLL_L_X2Y8 SLICE_X0Y8 TILESITE CLBLL_L_X2Y8 SLICE_X1Y8 TILESITE CLBLL_L_X2Y9 SLICE_X0Y9 TILESITE CLBLL_L_X2Y9 SLICE_X1Y9 TILESITE CLBLL_L_X2Y10 SLICE_X0Y10 TILESITE CLBLL_L_X2Y10 SLICE_X1Y10 TILESITE CLBLL_L_X2Y11 SLICE_X0Y11 TILESITE CLBLL_L_X2Y11 SLICE_X1Y11 TILESITE CLBLL_L_X2Y12 SLICE_X0Y12 TILESITE CLBLL_L_X2Y12 SLICE_X1Y12 TILESITE CLBLL_L_X2Y13 SLICE_X0Y13 TILESITE CLBLL_L_X2Y13 SLICE_X1Y13 TILESITE CLBLL_L_X2Y14 SLICE_X0Y14 TILESITE CLBLL_L_X2Y14 SLICE_X1Y14 TILESITE CLBLL_L_X2Y15 SLICE_X0Y15 TILESITE CLBLL_L_X2Y15 SLICE_X1Y15 TILESITE CLBLL_L_X2Y16 SLICE_X0Y16 TILESITE CLBLL_L_X2Y16 SLICE_X1Y16 TILESITE CLBLL_L_X2Y17 SLICE_X0Y17 TILESITE CLBLL_L_X2Y17 SLICE_X1Y17 TILESITE CLBLL_L_X2Y18 SLICE_X0Y18 TILESITE CLBLL_L_X2Y18 SLICE_X1Y18 TILESITE CLBLL_L_X2Y19 SLICE_X0Y19 TILESITE CLBLL_L_X2Y19 SLICE_X1Y19 TILESITE CLBLL_L_X2Y20 SLICE_X0Y20 TILESITE CLBLL_L_X2Y20 SLICE_X1Y20 TILESITE CLBLL_L_X2Y21 SLICE_X0Y21 TILESITE CLBLL_L_X2Y21 SLICE_X1Y21 TILESITE CLBLL_L_X2Y22 SLICE_X0Y22 TILESITE CLBLL_L_X2Y22 SLICE_X1Y22 TILESITE CLBLL_L_X2Y23 SLICE_X0Y23 TILESITE CLBLL_L_X2Y23 SLICE_X1Y23 TILESITE CLBLL_L_X2Y24 SLICE_X0Y24 TILESITE CLBLL_L_X2Y24 SLICE_X1Y24 TILESITE CLBLL_L_X2Y25 SLICE_X0Y25 TILESITE CLBLL_L_X2Y25 SLICE_X1Y25 TILESITE CLBLL_L_X2Y26 SLICE_X0Y26 TILESITE CLBLL_L_X2Y26 SLICE_X1Y26 TILESITE CLBLL_L_X2Y27 SLICE_X0Y27 TILESITE CLBLL_L_X2Y27 SLICE_X1Y27 TILESITE CLBLL_L_X2Y28 SLICE_X0Y28 TILESITE CLBLL_L_X2Y28 SLICE_X1Y28 TILESITE CLBLL_L_X2Y29 SLICE_X0Y29 TILESITE CLBLL_L_X2Y29 SLICE_X1Y29 TILESITE CLBLL_L_X2Y30 SLICE_X0Y30 TILESITE CLBLL_L_X2Y30 SLICE_X1Y30 TILESITE CLBLL_L_X2Y31 SLICE_X0Y31 TILESITE CLBLL_L_X2Y31 SLICE_X1Y31 TILESITE CLBLL_L_X2Y32 SLICE_X0Y32 TILESITE CLBLL_L_X2Y32 SLICE_X1Y32 TILESITE CLBLL_L_X2Y33 SLICE_X0Y33 TILESITE CLBLL_L_X2Y33 SLICE_X1Y33 TILESITE CLBLL_L_X2Y34 SLICE_X0Y34 TILESITE CLBLL_L_X2Y34 SLICE_X1Y34 TILESITE CLBLL_L_X2Y35 SLICE_X0Y35 TILESITE CLBLL_L_X2Y35 SLICE_X1Y35 TILESITE CLBLL_L_X2Y36 SLICE_X0Y36 TILESITE CLBLL_L_X2Y36 SLICE_X1Y36 TILESITE CLBLL_L_X2Y37 SLICE_X0Y37 TILESITE CLBLL_L_X2Y37 SLICE_X1Y37 TILESITE CLBLL_L_X2Y38 SLICE_X0Y38 TILESITE CLBLL_L_X2Y38 SLICE_X1Y38 TILESITE CLBLL_L_X2Y39 SLICE_X0Y39 TILESITE CLBLL_L_X2Y39 SLICE_X1Y39 TILESITE CLBLL_L_X2Y40 SLICE_X0Y40 TILESITE CLBLL_L_X2Y40 SLICE_X1Y40 TILESITE CLBLL_L_X2Y41 SLICE_X0Y41 TILESITE CLBLL_L_X2Y41 SLICE_X1Y41 TILESITE CLBLL_L_X2Y42 SLICE_X0Y42 TILESITE CLBLL_L_X2Y42 SLICE_X1Y42 TILESITE CLBLL_L_X2Y43 SLICE_X0Y43 TILESITE CLBLL_L_X2Y43 SLICE_X1Y43 TILESITE CLBLL_L_X2Y44 SLICE_X0Y44 TILESITE CLBLL_L_X2Y44 SLICE_X1Y44 TILESITE CLBLL_L_X2Y45 SLICE_X0Y45 TILESITE CLBLL_L_X2Y45 SLICE_X1Y45 TILESITE CLBLL_L_X2Y46 SLICE_X0Y46 TILESITE CLBLL_L_X2Y46 SLICE_X1Y46 TILESITE CLBLL_L_X2Y47 SLICE_X0Y47 TILESITE CLBLL_L_X2Y47 SLICE_X1Y47 TILESITE CLBLL_L_X2Y48 SLICE_X0Y48 TILESITE CLBLL_L_X2Y48 SLICE_X1Y48 TILESITE CLBLL_L_X2Y49 SLICE_X0Y49 TILESITE CLBLL_L_X2Y49 SLICE_X1Y49 TILESITE CLBLL_L_X2Y50 SLICE_X0Y50 TILESITE CLBLL_L_X2Y50 SLICE_X1Y50 TILESITE CLBLL_L_X2Y51 SLICE_X0Y51 TILESITE CLBLL_L_X2Y51 SLICE_X1Y51 TILESITE CLBLL_L_X2Y52 SLICE_X0Y52 TILESITE CLBLL_L_X2Y52 SLICE_X1Y52 TILESITE CLBLL_L_X2Y53 SLICE_X0Y53 TILESITE CLBLL_L_X2Y53 SLICE_X1Y53 TILESITE CLBLL_L_X2Y54 SLICE_X0Y54 TILESITE CLBLL_L_X2Y54 SLICE_X1Y54 TILESITE CLBLL_L_X2Y55 SLICE_X0Y55 TILESITE CLBLL_L_X2Y55 SLICE_X1Y55 TILESITE CLBLL_L_X2Y56 SLICE_X0Y56 TILESITE CLBLL_L_X2Y56 SLICE_X1Y56 TILESITE CLBLL_L_X2Y57 SLICE_X0Y57 TILESITE CLBLL_L_X2Y57 SLICE_X1Y57 TILESITE CLBLL_L_X2Y58 SLICE_X0Y58 TILESITE CLBLL_L_X2Y58 SLICE_X1Y58 TILESITE CLBLL_L_X2Y59 SLICE_X0Y59 TILESITE CLBLL_L_X2Y59 SLICE_X1Y59 TILESITE CLBLL_L_X2Y60 SLICE_X0Y60 TILESITE CLBLL_L_X2Y60 SLICE_X1Y60 TILESITE CLBLL_L_X2Y61 SLICE_X0Y61 TILESITE CLBLL_L_X2Y61 SLICE_X1Y61 TILESITE CLBLL_L_X2Y62 SLICE_X0Y62 TILESITE CLBLL_L_X2Y62 SLICE_X1Y62 TILESITE CLBLL_L_X2Y63 SLICE_X0Y63 TILESITE CLBLL_L_X2Y63 SLICE_X1Y63 TILESITE CLBLL_L_X2Y64 SLICE_X0Y64 TILESITE CLBLL_L_X2Y64 SLICE_X1Y64 TILESITE CLBLL_L_X2Y65 SLICE_X0Y65 TILESITE CLBLL_L_X2Y65 SLICE_X1Y65 TILESITE CLBLL_L_X2Y66 SLICE_X0Y66 TILESITE CLBLL_L_X2Y66 SLICE_X1Y66 TILESITE CLBLL_L_X2Y67 SLICE_X0Y67 TILESITE CLBLL_L_X2Y67 SLICE_X1Y67 TILESITE CLBLL_L_X2Y68 SLICE_X0Y68 TILESITE CLBLL_L_X2Y68 SLICE_X1Y68 TILESITE CLBLL_L_X2Y69 SLICE_X0Y69 TILESITE CLBLL_L_X2Y69 SLICE_X1Y69 TILESITE CLBLL_L_X2Y70 SLICE_X0Y70 TILESITE CLBLL_L_X2Y70 SLICE_X1Y70 TILESITE CLBLL_L_X2Y71 SLICE_X0Y71 TILESITE CLBLL_L_X2Y71 SLICE_X1Y71 TILESITE CLBLL_L_X2Y72 SLICE_X0Y72 TILESITE CLBLL_L_X2Y72 SLICE_X1Y72 TILESITE CLBLL_L_X2Y73 SLICE_X0Y73 TILESITE CLBLL_L_X2Y73 SLICE_X1Y73 TILESITE CLBLL_L_X2Y74 SLICE_X0Y74 TILESITE CLBLL_L_X2Y74 SLICE_X1Y74 TILESITE CLBLL_L_X2Y75 SLICE_X0Y75 TILESITE CLBLL_L_X2Y75 SLICE_X1Y75 TILESITE CLBLL_L_X2Y76 SLICE_X0Y76 TILESITE CLBLL_L_X2Y76 SLICE_X1Y76 TILESITE CLBLL_L_X2Y77 SLICE_X0Y77 TILESITE CLBLL_L_X2Y77 SLICE_X1Y77 TILESITE CLBLL_L_X2Y78 SLICE_X0Y78 TILESITE CLBLL_L_X2Y78 SLICE_X1Y78 TILESITE CLBLL_L_X2Y79 SLICE_X0Y79 TILESITE CLBLL_L_X2Y79 SLICE_X1Y79 TILESITE CLBLL_L_X2Y80 SLICE_X0Y80 TILESITE CLBLL_L_X2Y80 SLICE_X1Y80 TILESITE CLBLL_L_X2Y81 SLICE_X0Y81 TILESITE CLBLL_L_X2Y81 SLICE_X1Y81 TILESITE CLBLL_L_X2Y82 SLICE_X0Y82 TILESITE CLBLL_L_X2Y82 SLICE_X1Y82 TILESITE CLBLL_L_X2Y83 SLICE_X0Y83 TILESITE CLBLL_L_X2Y83 SLICE_X1Y83 TILESITE CLBLL_L_X2Y84 SLICE_X0Y84 TILESITE CLBLL_L_X2Y84 SLICE_X1Y84 TILESITE CLBLL_L_X2Y85 SLICE_X0Y85 TILESITE CLBLL_L_X2Y85 SLICE_X1Y85 TILESITE CLBLL_L_X2Y86 SLICE_X0Y86 TILESITE CLBLL_L_X2Y86 SLICE_X1Y86 TILESITE CLBLL_L_X2Y87 SLICE_X0Y87 TILESITE CLBLL_L_X2Y87 SLICE_X1Y87 TILESITE CLBLL_L_X2Y88 SLICE_X0Y88 TILESITE CLBLL_L_X2Y88 SLICE_X1Y88 TILESITE CLBLL_L_X2Y89 SLICE_X0Y89 TILESITE CLBLL_L_X2Y89 SLICE_X1Y89 TILESITE CLBLL_L_X2Y90 SLICE_X0Y90 TILESITE CLBLL_L_X2Y90 SLICE_X1Y90 TILESITE CLBLL_L_X2Y91 SLICE_X0Y91 TILESITE CLBLL_L_X2Y91 SLICE_X1Y91 TILESITE CLBLL_L_X2Y92 SLICE_X0Y92 TILESITE CLBLL_L_X2Y92 SLICE_X1Y92 TILESITE CLBLL_L_X2Y93 SLICE_X0Y93 TILESITE CLBLL_L_X2Y93 SLICE_X1Y93 TILESITE CLBLL_L_X2Y94 SLICE_X0Y94 TILESITE CLBLL_L_X2Y94 SLICE_X1Y94 TILESITE CLBLL_L_X2Y95 SLICE_X0Y95 TILESITE CLBLL_L_X2Y95 SLICE_X1Y95 TILESITE CLBLL_L_X2Y96 SLICE_X0Y96 TILESITE CLBLL_L_X2Y96 SLICE_X1Y96 TILESITE CLBLL_L_X2Y97 SLICE_X0Y97 TILESITE CLBLL_L_X2Y97 SLICE_X1Y97 TILESITE CLBLL_L_X2Y98 SLICE_X0Y98 TILESITE CLBLL_L_X2Y98 SLICE_X1Y98 TILESITE CLBLL_L_X2Y99 SLICE_X0Y99 TILESITE CLBLL_L_X2Y99 SLICE_X1Y99 TILESITE CLBLL_L_X2Y100 SLICE_X0Y100 TILESITE CLBLL_L_X2Y100 SLICE_X1Y100 TILESITE CLBLL_L_X2Y101 SLICE_X0Y101 TILESITE CLBLL_L_X2Y101 SLICE_X1Y101 TILESITE CLBLL_L_X2Y102 SLICE_X0Y102 TILESITE CLBLL_L_X2Y102 SLICE_X1Y102 TILESITE CLBLL_L_X2Y103 SLICE_X0Y103 TILESITE CLBLL_L_X2Y103 SLICE_X1Y103 TILESITE CLBLL_L_X2Y104 SLICE_X0Y104 TILESITE CLBLL_L_X2Y104 SLICE_X1Y104 TILESITE CLBLL_L_X2Y105 SLICE_X0Y105 TILESITE CLBLL_L_X2Y105 SLICE_X1Y105 TILESITE CLBLL_L_X2Y106 SLICE_X0Y106 TILESITE CLBLL_L_X2Y106 SLICE_X1Y106 TILESITE CLBLL_L_X2Y107 SLICE_X0Y107 TILESITE CLBLL_L_X2Y107 SLICE_X1Y107 TILESITE CLBLL_L_X2Y108 SLICE_X0Y108 TILESITE CLBLL_L_X2Y108 SLICE_X1Y108 TILESITE CLBLL_L_X2Y109 SLICE_X0Y109 TILESITE CLBLL_L_X2Y109 SLICE_X1Y109 TILESITE CLBLL_L_X2Y110 SLICE_X0Y110 TILESITE CLBLL_L_X2Y110 SLICE_X1Y110 TILESITE CLBLL_L_X2Y111 SLICE_X0Y111 TILESITE CLBLL_L_X2Y111 SLICE_X1Y111 TILESITE CLBLL_L_X2Y112 SLICE_X0Y112 TILESITE CLBLL_L_X2Y112 SLICE_X1Y112 TILESITE CLBLL_L_X2Y113 SLICE_X0Y113 TILESITE CLBLL_L_X2Y113 SLICE_X1Y113 TILESITE CLBLL_L_X2Y114 SLICE_X0Y114 TILESITE CLBLL_L_X2Y114 SLICE_X1Y114 TILESITE CLBLL_L_X2Y115 SLICE_X0Y115 TILESITE CLBLL_L_X2Y115 SLICE_X1Y115 TILESITE CLBLL_L_X2Y116 SLICE_X0Y116 TILESITE CLBLL_L_X2Y116 SLICE_X1Y116 TILESITE CLBLL_L_X2Y117 SLICE_X0Y117 TILESITE CLBLL_L_X2Y117 SLICE_X1Y117 TILESITE CLBLL_L_X2Y118 SLICE_X0Y118 TILESITE CLBLL_L_X2Y118 SLICE_X1Y118 TILESITE CLBLL_L_X2Y119 SLICE_X0Y119 TILESITE CLBLL_L_X2Y119 SLICE_X1Y119 TILESITE CLBLL_L_X2Y120 SLICE_X0Y120 TILESITE CLBLL_L_X2Y120 SLICE_X1Y120 TILESITE CLBLL_L_X2Y121 SLICE_X0Y121 TILESITE CLBLL_L_X2Y121 SLICE_X1Y121 TILESITE CLBLL_L_X2Y122 SLICE_X0Y122 TILESITE CLBLL_L_X2Y122 SLICE_X1Y122 TILESITE CLBLL_L_X2Y123 SLICE_X0Y123 TILESITE CLBLL_L_X2Y123 SLICE_X1Y123 TILESITE CLBLL_L_X2Y124 SLICE_X0Y124 TILESITE CLBLL_L_X2Y124 SLICE_X1Y124 TILESITE CLBLL_L_X2Y125 SLICE_X0Y125 TILESITE CLBLL_L_X2Y125 SLICE_X1Y125 TILESITE CLBLL_L_X2Y126 SLICE_X0Y126 TILESITE CLBLL_L_X2Y126 SLICE_X1Y126 TILESITE CLBLL_L_X2Y127 SLICE_X0Y127 TILESITE CLBLL_L_X2Y127 SLICE_X1Y127 TILESITE CLBLL_L_X2Y128 SLICE_X0Y128 TILESITE CLBLL_L_X2Y128 SLICE_X1Y128 TILESITE CLBLL_L_X2Y129 SLICE_X0Y129 TILESITE CLBLL_L_X2Y129 SLICE_X1Y129 TILESITE CLBLL_L_X2Y130 SLICE_X0Y130 TILESITE CLBLL_L_X2Y130 SLICE_X1Y130 TILESITE CLBLL_L_X2Y131 SLICE_X0Y131 TILESITE CLBLL_L_X2Y131 SLICE_X1Y131 TILESITE CLBLL_L_X2Y132 SLICE_X0Y132 TILESITE CLBLL_L_X2Y132 SLICE_X1Y132 TILESITE CLBLL_L_X2Y133 SLICE_X0Y133 TILESITE CLBLL_L_X2Y133 SLICE_X1Y133 TILESITE CLBLL_L_X2Y134 SLICE_X0Y134 TILESITE CLBLL_L_X2Y134 SLICE_X1Y134 TILESITE CLBLL_L_X2Y135 SLICE_X0Y135 TILESITE CLBLL_L_X2Y135 SLICE_X1Y135 TILESITE CLBLL_L_X2Y136 SLICE_X0Y136 TILESITE CLBLL_L_X2Y136 SLICE_X1Y136 TILESITE CLBLL_L_X2Y137 SLICE_X0Y137 TILESITE CLBLL_L_X2Y137 SLICE_X1Y137 TILESITE CLBLL_L_X2Y138 SLICE_X0Y138 TILESITE CLBLL_L_X2Y138 SLICE_X1Y138 TILESITE CLBLL_L_X2Y139 SLICE_X0Y139 TILESITE CLBLL_L_X2Y139 SLICE_X1Y139 TILESITE CLBLL_L_X2Y140 SLICE_X0Y140 TILESITE CLBLL_L_X2Y140 SLICE_X1Y140 TILESITE CLBLL_L_X2Y141 SLICE_X0Y141 TILESITE CLBLL_L_X2Y141 SLICE_X1Y141 TILESITE CLBLL_L_X2Y142 SLICE_X0Y142 TILESITE CLBLL_L_X2Y142 SLICE_X1Y142 TILESITE CLBLL_L_X2Y143 SLICE_X0Y143 TILESITE CLBLL_L_X2Y143 SLICE_X1Y143 TILESITE CLBLL_L_X2Y144 SLICE_X0Y144 TILESITE CLBLL_L_X2Y144 SLICE_X1Y144 TILESITE CLBLL_L_X2Y145 SLICE_X0Y145 TILESITE CLBLL_L_X2Y145 SLICE_X1Y145 TILESITE CLBLL_L_X2Y146 SLICE_X0Y146 TILESITE CLBLL_L_X2Y146 SLICE_X1Y146 TILESITE CLBLL_L_X2Y147 SLICE_X0Y147 TILESITE CLBLL_L_X2Y147 SLICE_X1Y147 TILESITE CLBLL_L_X2Y148 SLICE_X0Y148 TILESITE CLBLL_L_X2Y148 SLICE_X1Y148 TILESITE CLBLL_L_X2Y149 SLICE_X0Y149 TILESITE CLBLL_L_X2Y149 SLICE_X1Y149 TILESITE CLBLL_L_X38Y0 SLICE_X58Y0 TILESITE CLBLL_L_X38Y0 SLICE_X59Y0 TILESITE CLBLL_L_X38Y1 SLICE_X58Y1 TILESITE CLBLL_L_X38Y1 SLICE_X59Y1 TILESITE CLBLL_L_X38Y2 SLICE_X58Y2 TILESITE CLBLL_L_X38Y2 SLICE_X59Y2 TILESITE CLBLL_L_X38Y3 SLICE_X58Y3 TILESITE CLBLL_L_X38Y3 SLICE_X59Y3 TILESITE CLBLL_L_X38Y4 SLICE_X58Y4 TILESITE CLBLL_L_X38Y4 SLICE_X59Y4 TILESITE CLBLL_L_X38Y5 SLICE_X58Y5 TILESITE CLBLL_L_X38Y5 SLICE_X59Y5 TILESITE CLBLL_L_X38Y6 SLICE_X58Y6 TILESITE CLBLL_L_X38Y6 SLICE_X59Y6 TILESITE CLBLL_L_X38Y7 SLICE_X58Y7 TILESITE CLBLL_L_X38Y7 SLICE_X59Y7 TILESITE CLBLL_L_X38Y8 SLICE_X58Y8 TILESITE CLBLL_L_X38Y8 SLICE_X59Y8 TILESITE CLBLL_L_X38Y9 SLICE_X58Y9 TILESITE CLBLL_L_X38Y9 SLICE_X59Y9 TILESITE CLBLL_L_X38Y10 SLICE_X58Y10 TILESITE CLBLL_L_X38Y10 SLICE_X59Y10 TILESITE CLBLL_L_X38Y11 SLICE_X58Y11 TILESITE CLBLL_L_X38Y11 SLICE_X59Y11 TILESITE CLBLL_L_X38Y12 SLICE_X58Y12 TILESITE CLBLL_L_X38Y12 SLICE_X59Y12 TILESITE CLBLL_L_X38Y13 SLICE_X58Y13 TILESITE CLBLL_L_X38Y13 SLICE_X59Y13 TILESITE CLBLL_L_X38Y14 SLICE_X58Y14 TILESITE CLBLL_L_X38Y14 SLICE_X59Y14 TILESITE CLBLL_L_X38Y15 SLICE_X58Y15 TILESITE CLBLL_L_X38Y15 SLICE_X59Y15 TILESITE CLBLL_L_X38Y16 SLICE_X58Y16 TILESITE CLBLL_L_X38Y16 SLICE_X59Y16 TILESITE CLBLL_L_X38Y17 SLICE_X58Y17 TILESITE CLBLL_L_X38Y17 SLICE_X59Y17 TILESITE CLBLL_L_X38Y18 SLICE_X58Y18 TILESITE CLBLL_L_X38Y18 SLICE_X59Y18 TILESITE CLBLL_L_X38Y19 SLICE_X58Y19 TILESITE CLBLL_L_X38Y19 SLICE_X59Y19 TILESITE CLBLL_L_X38Y20 SLICE_X58Y20 TILESITE CLBLL_L_X38Y20 SLICE_X59Y20 TILESITE CLBLL_L_X38Y21 SLICE_X58Y21 TILESITE CLBLL_L_X38Y21 SLICE_X59Y21 TILESITE CLBLL_L_X38Y22 SLICE_X58Y22 TILESITE CLBLL_L_X38Y22 SLICE_X59Y22 TILESITE CLBLL_L_X38Y23 SLICE_X58Y23 TILESITE CLBLL_L_X38Y23 SLICE_X59Y23 TILESITE CLBLL_L_X38Y24 SLICE_X58Y24 TILESITE CLBLL_L_X38Y24 SLICE_X59Y24 TILESITE CLBLL_L_X38Y25 SLICE_X58Y25 TILESITE CLBLL_L_X38Y25 SLICE_X59Y25 TILESITE CLBLL_L_X38Y26 SLICE_X58Y26 TILESITE CLBLL_L_X38Y26 SLICE_X59Y26 TILESITE CLBLL_L_X38Y27 SLICE_X58Y27 TILESITE CLBLL_L_X38Y27 SLICE_X59Y27 TILESITE CLBLL_L_X38Y28 SLICE_X58Y28 TILESITE CLBLL_L_X38Y28 SLICE_X59Y28 TILESITE CLBLL_L_X38Y29 SLICE_X58Y29 TILESITE CLBLL_L_X38Y29 SLICE_X59Y29 TILESITE CLBLL_L_X38Y30 SLICE_X58Y30 TILESITE CLBLL_L_X38Y30 SLICE_X59Y30 TILESITE CLBLL_L_X38Y31 SLICE_X58Y31 TILESITE CLBLL_L_X38Y31 SLICE_X59Y31 TILESITE CLBLL_L_X38Y32 SLICE_X58Y32 TILESITE CLBLL_L_X38Y32 SLICE_X59Y32 TILESITE CLBLL_L_X38Y33 SLICE_X58Y33 TILESITE CLBLL_L_X38Y33 SLICE_X59Y33 TILESITE CLBLL_L_X38Y34 SLICE_X58Y34 TILESITE CLBLL_L_X38Y34 SLICE_X59Y34 TILESITE CLBLL_L_X38Y35 SLICE_X58Y35 TILESITE CLBLL_L_X38Y35 SLICE_X59Y35 TILESITE CLBLL_L_X38Y36 SLICE_X58Y36 TILESITE CLBLL_L_X38Y36 SLICE_X59Y36 TILESITE CLBLL_L_X38Y37 SLICE_X58Y37 TILESITE CLBLL_L_X38Y37 SLICE_X59Y37 TILESITE CLBLL_L_X38Y38 SLICE_X58Y38 TILESITE CLBLL_L_X38Y38 SLICE_X59Y38 TILESITE CLBLL_L_X38Y39 SLICE_X58Y39 TILESITE CLBLL_L_X38Y39 SLICE_X59Y39 TILESITE CLBLL_L_X38Y40 SLICE_X58Y40 TILESITE CLBLL_L_X38Y40 SLICE_X59Y40 TILESITE CLBLL_L_X38Y41 SLICE_X58Y41 TILESITE CLBLL_L_X38Y41 SLICE_X59Y41 TILESITE CLBLL_L_X38Y42 SLICE_X58Y42 TILESITE CLBLL_L_X38Y42 SLICE_X59Y42 TILESITE CLBLL_L_X38Y43 SLICE_X58Y43 TILESITE CLBLL_L_X38Y43 SLICE_X59Y43 TILESITE CLBLL_L_X38Y44 SLICE_X58Y44 TILESITE CLBLL_L_X38Y44 SLICE_X59Y44 TILESITE CLBLL_L_X38Y45 SLICE_X58Y45 TILESITE CLBLL_L_X38Y45 SLICE_X59Y45 TILESITE CLBLL_L_X38Y46 SLICE_X58Y46 TILESITE CLBLL_L_X38Y46 SLICE_X59Y46 TILESITE CLBLL_L_X38Y47 SLICE_X58Y47 TILESITE CLBLL_L_X38Y47 SLICE_X59Y47 TILESITE CLBLL_L_X38Y48 SLICE_X58Y48 TILESITE CLBLL_L_X38Y48 SLICE_X59Y48 TILESITE CLBLL_L_X38Y49 SLICE_X58Y49 TILESITE CLBLL_L_X38Y49 SLICE_X59Y49 TILESITE CLBLL_L_X38Y50 SLICE_X58Y50 TILESITE CLBLL_L_X38Y50 SLICE_X59Y50 TILESITE CLBLL_L_X38Y51 SLICE_X58Y51 TILESITE CLBLL_L_X38Y51 SLICE_X59Y51 TILESITE CLBLL_L_X38Y52 SLICE_X58Y52 TILESITE CLBLL_L_X38Y52 SLICE_X59Y52 TILESITE CLBLL_L_X38Y53 SLICE_X58Y53 TILESITE CLBLL_L_X38Y53 SLICE_X59Y53 TILESITE CLBLL_L_X38Y54 SLICE_X58Y54 TILESITE CLBLL_L_X38Y54 SLICE_X59Y54 TILESITE CLBLL_L_X38Y55 SLICE_X58Y55 TILESITE CLBLL_L_X38Y55 SLICE_X59Y55 TILESITE CLBLL_L_X38Y56 SLICE_X58Y56 TILESITE CLBLL_L_X38Y56 SLICE_X59Y56 TILESITE CLBLL_L_X38Y57 SLICE_X58Y57 TILESITE CLBLL_L_X38Y57 SLICE_X59Y57 TILESITE CLBLL_L_X38Y58 SLICE_X58Y58 TILESITE CLBLL_L_X38Y58 SLICE_X59Y58 TILESITE CLBLL_L_X38Y59 SLICE_X58Y59 TILESITE CLBLL_L_X38Y59 SLICE_X59Y59 TILESITE CLBLL_L_X38Y60 SLICE_X58Y60 TILESITE CLBLL_L_X38Y60 SLICE_X59Y60 TILESITE CLBLL_L_X38Y61 SLICE_X58Y61 TILESITE CLBLL_L_X38Y61 SLICE_X59Y61 TILESITE CLBLL_L_X38Y62 SLICE_X58Y62 TILESITE CLBLL_L_X38Y62 SLICE_X59Y62 TILESITE CLBLL_L_X38Y63 SLICE_X58Y63 TILESITE CLBLL_L_X38Y63 SLICE_X59Y63 TILESITE CLBLL_L_X38Y64 SLICE_X58Y64 TILESITE CLBLL_L_X38Y64 SLICE_X59Y64 TILESITE CLBLL_L_X38Y65 SLICE_X58Y65 TILESITE CLBLL_L_X38Y65 SLICE_X59Y65 TILESITE CLBLL_L_X38Y66 SLICE_X58Y66 TILESITE CLBLL_L_X38Y66 SLICE_X59Y66 TILESITE CLBLL_L_X38Y67 SLICE_X58Y67 TILESITE CLBLL_L_X38Y67 SLICE_X59Y67 TILESITE CLBLL_L_X38Y68 SLICE_X58Y68 TILESITE CLBLL_L_X38Y68 SLICE_X59Y68 TILESITE CLBLL_L_X38Y69 SLICE_X58Y69 TILESITE CLBLL_L_X38Y69 SLICE_X59Y69 TILESITE CLBLL_L_X38Y70 SLICE_X58Y70 TILESITE CLBLL_L_X38Y70 SLICE_X59Y70 TILESITE CLBLL_L_X38Y71 SLICE_X58Y71 TILESITE CLBLL_L_X38Y71 SLICE_X59Y71 TILESITE CLBLL_L_X38Y72 SLICE_X58Y72 TILESITE CLBLL_L_X38Y72 SLICE_X59Y72 TILESITE CLBLL_L_X38Y73 SLICE_X58Y73 TILESITE CLBLL_L_X38Y73 SLICE_X59Y73 TILESITE CLBLL_L_X38Y74 SLICE_X58Y74 TILESITE CLBLL_L_X38Y74 SLICE_X59Y74 TILESITE CLBLL_L_X38Y75 SLICE_X58Y75 TILESITE CLBLL_L_X38Y75 SLICE_X59Y75 TILESITE CLBLL_L_X38Y76 SLICE_X58Y76 TILESITE CLBLL_L_X38Y76 SLICE_X59Y76 TILESITE CLBLL_L_X38Y77 SLICE_X58Y77 TILESITE CLBLL_L_X38Y77 SLICE_X59Y77 TILESITE CLBLL_L_X38Y78 SLICE_X58Y78 TILESITE CLBLL_L_X38Y78 SLICE_X59Y78 TILESITE CLBLL_L_X38Y79 SLICE_X58Y79 TILESITE CLBLL_L_X38Y79 SLICE_X59Y79 TILESITE CLBLL_L_X38Y80 SLICE_X58Y80 TILESITE CLBLL_L_X38Y80 SLICE_X59Y80 TILESITE CLBLL_L_X38Y81 SLICE_X58Y81 TILESITE CLBLL_L_X38Y81 SLICE_X59Y81 TILESITE CLBLL_L_X38Y82 SLICE_X58Y82 TILESITE CLBLL_L_X38Y82 SLICE_X59Y82 TILESITE CLBLL_L_X38Y83 SLICE_X58Y83 TILESITE CLBLL_L_X38Y83 SLICE_X59Y83 TILESITE CLBLL_L_X38Y84 SLICE_X58Y84 TILESITE CLBLL_L_X38Y84 SLICE_X59Y84 TILESITE CLBLL_L_X38Y85 SLICE_X58Y85 TILESITE CLBLL_L_X38Y85 SLICE_X59Y85 TILESITE CLBLL_L_X38Y86 SLICE_X58Y86 TILESITE CLBLL_L_X38Y86 SLICE_X59Y86 TILESITE CLBLL_L_X38Y87 SLICE_X58Y87 TILESITE CLBLL_L_X38Y87 SLICE_X59Y87 TILESITE CLBLL_L_X38Y88 SLICE_X58Y88 TILESITE CLBLL_L_X38Y88 SLICE_X59Y88 TILESITE CLBLL_L_X38Y89 SLICE_X58Y89 TILESITE CLBLL_L_X38Y89 SLICE_X59Y89 TILESITE CLBLL_L_X38Y90 SLICE_X58Y90 TILESITE CLBLL_L_X38Y90 SLICE_X59Y90 TILESITE CLBLL_L_X38Y91 SLICE_X58Y91 TILESITE CLBLL_L_X38Y91 SLICE_X59Y91 TILESITE CLBLL_L_X38Y92 SLICE_X58Y92 TILESITE CLBLL_L_X38Y92 SLICE_X59Y92 TILESITE CLBLL_L_X38Y93 SLICE_X58Y93 TILESITE CLBLL_L_X38Y93 SLICE_X59Y93 TILESITE CLBLL_L_X38Y94 SLICE_X58Y94 TILESITE CLBLL_L_X38Y94 SLICE_X59Y94 TILESITE CLBLL_L_X38Y95 SLICE_X58Y95 TILESITE CLBLL_L_X38Y95 SLICE_X59Y95 TILESITE CLBLL_L_X38Y96 SLICE_X58Y96 TILESITE CLBLL_L_X38Y96 SLICE_X59Y96 TILESITE CLBLL_L_X38Y97 SLICE_X58Y97 TILESITE CLBLL_L_X38Y97 SLICE_X59Y97 TILESITE CLBLL_L_X38Y98 SLICE_X58Y98 TILESITE CLBLL_L_X38Y98 SLICE_X59Y98 TILESITE CLBLL_L_X38Y99 SLICE_X58Y99 TILESITE CLBLL_L_X38Y99 SLICE_X59Y99 TILESITE CLBLL_L_X40Y0 SLICE_X62Y0 TILESITE CLBLL_L_X40Y0 SLICE_X63Y0 TILESITE CLBLL_L_X40Y1 SLICE_X62Y1 TILESITE CLBLL_L_X40Y1 SLICE_X63Y1 TILESITE CLBLL_L_X40Y2 SLICE_X62Y2 TILESITE CLBLL_L_X40Y2 SLICE_X63Y2 TILESITE CLBLL_L_X40Y3 SLICE_X62Y3 TILESITE CLBLL_L_X40Y3 SLICE_X63Y3 TILESITE CLBLL_L_X40Y4 SLICE_X62Y4 TILESITE CLBLL_L_X40Y4 SLICE_X63Y4 TILESITE CLBLL_L_X40Y5 SLICE_X62Y5 TILESITE CLBLL_L_X40Y5 SLICE_X63Y5 TILESITE CLBLL_L_X40Y6 SLICE_X62Y6 TILESITE CLBLL_L_X40Y6 SLICE_X63Y6 TILESITE CLBLL_L_X40Y7 SLICE_X62Y7 TILESITE CLBLL_L_X40Y7 SLICE_X63Y7 TILESITE CLBLL_L_X40Y8 SLICE_X62Y8 TILESITE CLBLL_L_X40Y8 SLICE_X63Y8 TILESITE CLBLL_L_X40Y9 SLICE_X62Y9 TILESITE CLBLL_L_X40Y9 SLICE_X63Y9 TILESITE CLBLL_L_X40Y10 SLICE_X62Y10 TILESITE CLBLL_L_X40Y10 SLICE_X63Y10 TILESITE CLBLL_L_X40Y11 SLICE_X62Y11 TILESITE CLBLL_L_X40Y11 SLICE_X63Y11 TILESITE CLBLL_L_X40Y12 SLICE_X62Y12 TILESITE CLBLL_L_X40Y12 SLICE_X63Y12 TILESITE CLBLL_L_X40Y13 SLICE_X62Y13 TILESITE CLBLL_L_X40Y13 SLICE_X63Y13 TILESITE CLBLL_L_X40Y14 SLICE_X62Y14 TILESITE CLBLL_L_X40Y14 SLICE_X63Y14 TILESITE CLBLL_L_X40Y15 SLICE_X62Y15 TILESITE CLBLL_L_X40Y15 SLICE_X63Y15 TILESITE CLBLL_L_X40Y16 SLICE_X62Y16 TILESITE CLBLL_L_X40Y16 SLICE_X63Y16 TILESITE CLBLL_L_X40Y17 SLICE_X62Y17 TILESITE CLBLL_L_X40Y17 SLICE_X63Y17 TILESITE CLBLL_L_X40Y18 SLICE_X62Y18 TILESITE CLBLL_L_X40Y18 SLICE_X63Y18 TILESITE CLBLL_L_X40Y19 SLICE_X62Y19 TILESITE CLBLL_L_X40Y19 SLICE_X63Y19 TILESITE CLBLL_L_X40Y20 SLICE_X62Y20 TILESITE CLBLL_L_X40Y20 SLICE_X63Y20 TILESITE CLBLL_L_X40Y21 SLICE_X62Y21 TILESITE CLBLL_L_X40Y21 SLICE_X63Y21 TILESITE CLBLL_L_X40Y22 SLICE_X62Y22 TILESITE CLBLL_L_X40Y22 SLICE_X63Y22 TILESITE CLBLL_L_X40Y23 SLICE_X62Y23 TILESITE CLBLL_L_X40Y23 SLICE_X63Y23 TILESITE CLBLL_L_X40Y24 SLICE_X62Y24 TILESITE CLBLL_L_X40Y24 SLICE_X63Y24 TILESITE CLBLL_L_X40Y25 SLICE_X62Y25 TILESITE CLBLL_L_X40Y25 SLICE_X63Y25 TILESITE CLBLL_L_X40Y26 SLICE_X62Y26 TILESITE CLBLL_L_X40Y26 SLICE_X63Y26 TILESITE CLBLL_L_X40Y27 SLICE_X62Y27 TILESITE CLBLL_L_X40Y27 SLICE_X63Y27 TILESITE CLBLL_L_X40Y28 SLICE_X62Y28 TILESITE CLBLL_L_X40Y28 SLICE_X63Y28 TILESITE CLBLL_L_X40Y29 SLICE_X62Y29 TILESITE CLBLL_L_X40Y29 SLICE_X63Y29 TILESITE CLBLL_L_X40Y30 SLICE_X62Y30 TILESITE CLBLL_L_X40Y30 SLICE_X63Y30 TILESITE CLBLL_L_X40Y31 SLICE_X62Y31 TILESITE CLBLL_L_X40Y31 SLICE_X63Y31 TILESITE CLBLL_L_X40Y32 SLICE_X62Y32 TILESITE CLBLL_L_X40Y32 SLICE_X63Y32 TILESITE CLBLL_L_X40Y33 SLICE_X62Y33 TILESITE CLBLL_L_X40Y33 SLICE_X63Y33 TILESITE CLBLL_L_X40Y34 SLICE_X62Y34 TILESITE CLBLL_L_X40Y34 SLICE_X63Y34 TILESITE CLBLL_L_X40Y35 SLICE_X62Y35 TILESITE CLBLL_L_X40Y35 SLICE_X63Y35 TILESITE CLBLL_L_X40Y36 SLICE_X62Y36 TILESITE CLBLL_L_X40Y36 SLICE_X63Y36 TILESITE CLBLL_L_X40Y37 SLICE_X62Y37 TILESITE CLBLL_L_X40Y37 SLICE_X63Y37 TILESITE CLBLL_L_X40Y38 SLICE_X62Y38 TILESITE CLBLL_L_X40Y38 SLICE_X63Y38 TILESITE CLBLL_L_X40Y39 SLICE_X62Y39 TILESITE CLBLL_L_X40Y39 SLICE_X63Y39 TILESITE CLBLL_L_X40Y40 SLICE_X62Y40 TILESITE CLBLL_L_X40Y40 SLICE_X63Y40 TILESITE CLBLL_L_X40Y41 SLICE_X62Y41 TILESITE CLBLL_L_X40Y41 SLICE_X63Y41 TILESITE CLBLL_L_X40Y42 SLICE_X62Y42 TILESITE CLBLL_L_X40Y42 SLICE_X63Y42 TILESITE CLBLL_L_X40Y43 SLICE_X62Y43 TILESITE CLBLL_L_X40Y43 SLICE_X63Y43 TILESITE CLBLL_L_X40Y44 SLICE_X62Y44 TILESITE CLBLL_L_X40Y44 SLICE_X63Y44 TILESITE CLBLL_L_X40Y45 SLICE_X62Y45 TILESITE CLBLL_L_X40Y45 SLICE_X63Y45 TILESITE CLBLL_L_X40Y46 SLICE_X62Y46 TILESITE CLBLL_L_X40Y46 SLICE_X63Y46 TILESITE CLBLL_L_X40Y47 SLICE_X62Y47 TILESITE CLBLL_L_X40Y47 SLICE_X63Y47 TILESITE CLBLL_L_X40Y48 SLICE_X62Y48 TILESITE CLBLL_L_X40Y48 SLICE_X63Y48 TILESITE CLBLL_L_X40Y49 SLICE_X62Y49 TILESITE CLBLL_L_X40Y49 SLICE_X63Y49 TILESITE CLBLL_L_X40Y50 SLICE_X62Y50 TILESITE CLBLL_L_X40Y50 SLICE_X63Y50 TILESITE CLBLL_L_X40Y51 SLICE_X62Y51 TILESITE CLBLL_L_X40Y51 SLICE_X63Y51 TILESITE CLBLL_L_X40Y52 SLICE_X62Y52 TILESITE CLBLL_L_X40Y52 SLICE_X63Y52 TILESITE CLBLL_L_X40Y53 SLICE_X62Y53 TILESITE CLBLL_L_X40Y53 SLICE_X63Y53 TILESITE CLBLL_L_X40Y54 SLICE_X62Y54 TILESITE CLBLL_L_X40Y54 SLICE_X63Y54 TILESITE CLBLL_L_X40Y55 SLICE_X62Y55 TILESITE CLBLL_L_X40Y55 SLICE_X63Y55 TILESITE CLBLL_L_X40Y56 SLICE_X62Y56 TILESITE CLBLL_L_X40Y56 SLICE_X63Y56 TILESITE CLBLL_L_X40Y57 SLICE_X62Y57 TILESITE CLBLL_L_X40Y57 SLICE_X63Y57 TILESITE CLBLL_L_X40Y58 SLICE_X62Y58 TILESITE CLBLL_L_X40Y58 SLICE_X63Y58 TILESITE CLBLL_L_X40Y59 SLICE_X62Y59 TILESITE CLBLL_L_X40Y59 SLICE_X63Y59 TILESITE CLBLL_L_X40Y60 SLICE_X62Y60 TILESITE CLBLL_L_X40Y60 SLICE_X63Y60 TILESITE CLBLL_L_X40Y61 SLICE_X62Y61 TILESITE CLBLL_L_X40Y61 SLICE_X63Y61 TILESITE CLBLL_L_X40Y62 SLICE_X62Y62 TILESITE CLBLL_L_X40Y62 SLICE_X63Y62 TILESITE CLBLL_L_X40Y63 SLICE_X62Y63 TILESITE CLBLL_L_X40Y63 SLICE_X63Y63 TILESITE CLBLL_L_X40Y64 SLICE_X62Y64 TILESITE CLBLL_L_X40Y64 SLICE_X63Y64 TILESITE CLBLL_L_X40Y65 SLICE_X62Y65 TILESITE CLBLL_L_X40Y65 SLICE_X63Y65 TILESITE CLBLL_L_X40Y66 SLICE_X62Y66 TILESITE CLBLL_L_X40Y66 SLICE_X63Y66 TILESITE CLBLL_L_X40Y67 SLICE_X62Y67 TILESITE CLBLL_L_X40Y67 SLICE_X63Y67 TILESITE CLBLL_L_X40Y68 SLICE_X62Y68 TILESITE CLBLL_L_X40Y68 SLICE_X63Y68 TILESITE CLBLL_L_X40Y69 SLICE_X62Y69 TILESITE CLBLL_L_X40Y69 SLICE_X63Y69 TILESITE CLBLL_L_X40Y70 SLICE_X62Y70 TILESITE CLBLL_L_X40Y70 SLICE_X63Y70 TILESITE CLBLL_L_X40Y71 SLICE_X62Y71 TILESITE CLBLL_L_X40Y71 SLICE_X63Y71 TILESITE CLBLL_L_X40Y72 SLICE_X62Y72 TILESITE CLBLL_L_X40Y72 SLICE_X63Y72 TILESITE CLBLL_L_X40Y73 SLICE_X62Y73 TILESITE CLBLL_L_X40Y73 SLICE_X63Y73 TILESITE CLBLL_L_X40Y74 SLICE_X62Y74 TILESITE CLBLL_L_X40Y74 SLICE_X63Y74 TILESITE CLBLL_L_X40Y75 SLICE_X62Y75 TILESITE CLBLL_L_X40Y75 SLICE_X63Y75 TILESITE CLBLL_L_X40Y76 SLICE_X62Y76 TILESITE CLBLL_L_X40Y76 SLICE_X63Y76 TILESITE CLBLL_L_X40Y77 SLICE_X62Y77 TILESITE CLBLL_L_X40Y77 SLICE_X63Y77 TILESITE CLBLL_L_X40Y78 SLICE_X62Y78 TILESITE CLBLL_L_X40Y78 SLICE_X63Y78 TILESITE CLBLL_L_X40Y79 SLICE_X62Y79 TILESITE CLBLL_L_X40Y79 SLICE_X63Y79 TILESITE CLBLL_L_X40Y80 SLICE_X62Y80 TILESITE CLBLL_L_X40Y80 SLICE_X63Y80 TILESITE CLBLL_L_X40Y81 SLICE_X62Y81 TILESITE CLBLL_L_X40Y81 SLICE_X63Y81 TILESITE CLBLL_L_X40Y82 SLICE_X62Y82 TILESITE CLBLL_L_X40Y82 SLICE_X63Y82 TILESITE CLBLL_L_X40Y83 SLICE_X62Y83 TILESITE CLBLL_L_X40Y83 SLICE_X63Y83 TILESITE CLBLL_L_X40Y84 SLICE_X62Y84 TILESITE CLBLL_L_X40Y84 SLICE_X63Y84 TILESITE CLBLL_L_X40Y85 SLICE_X62Y85 TILESITE CLBLL_L_X40Y85 SLICE_X63Y85 TILESITE CLBLL_L_X40Y86 SLICE_X62Y86 TILESITE CLBLL_L_X40Y86 SLICE_X63Y86 TILESITE CLBLL_L_X40Y87 SLICE_X62Y87 TILESITE CLBLL_L_X40Y87 SLICE_X63Y87 TILESITE CLBLL_L_X40Y88 SLICE_X62Y88 TILESITE CLBLL_L_X40Y88 SLICE_X63Y88 TILESITE CLBLL_L_X40Y89 SLICE_X62Y89 TILESITE CLBLL_L_X40Y89 SLICE_X63Y89 TILESITE CLBLL_L_X40Y90 SLICE_X62Y90 TILESITE CLBLL_L_X40Y90 SLICE_X63Y90 TILESITE CLBLL_L_X40Y91 SLICE_X62Y91 TILESITE CLBLL_L_X40Y91 SLICE_X63Y91 TILESITE CLBLL_L_X40Y92 SLICE_X62Y92 TILESITE CLBLL_L_X40Y92 SLICE_X63Y92 TILESITE CLBLL_L_X40Y93 SLICE_X62Y93 TILESITE CLBLL_L_X40Y93 SLICE_X63Y93 TILESITE CLBLL_L_X40Y94 SLICE_X62Y94 TILESITE CLBLL_L_X40Y94 SLICE_X63Y94 TILESITE CLBLL_L_X40Y95 SLICE_X62Y95 TILESITE CLBLL_L_X40Y95 SLICE_X63Y95 TILESITE CLBLL_L_X40Y96 SLICE_X62Y96 TILESITE CLBLL_L_X40Y96 SLICE_X63Y96 TILESITE CLBLL_L_X40Y97 SLICE_X62Y97 TILESITE CLBLL_L_X40Y97 SLICE_X63Y97 TILESITE CLBLL_L_X40Y98 SLICE_X62Y98 TILESITE CLBLL_L_X40Y98 SLICE_X63Y98 TILESITE CLBLL_L_X40Y99 SLICE_X62Y99 TILESITE CLBLL_L_X40Y99 SLICE_X63Y99 TILESITE CLBLL_L_X4Y0 SLICE_X4Y0 TILESITE CLBLL_L_X4Y0 SLICE_X5Y0 TILESITE CLBLL_L_X4Y1 SLICE_X4Y1 TILESITE CLBLL_L_X4Y1 SLICE_X5Y1 TILESITE CLBLL_L_X4Y2 SLICE_X4Y2 TILESITE CLBLL_L_X4Y2 SLICE_X5Y2 TILESITE CLBLL_L_X4Y3 SLICE_X4Y3 TILESITE CLBLL_L_X4Y3 SLICE_X5Y3 TILESITE CLBLL_L_X4Y4 SLICE_X4Y4 TILESITE CLBLL_L_X4Y4 SLICE_X5Y4 TILESITE CLBLL_L_X4Y5 SLICE_X4Y5 TILESITE CLBLL_L_X4Y5 SLICE_X5Y5 TILESITE CLBLL_L_X4Y6 SLICE_X4Y6 TILESITE CLBLL_L_X4Y6 SLICE_X5Y6 TILESITE CLBLL_L_X4Y7 SLICE_X4Y7 TILESITE CLBLL_L_X4Y7 SLICE_X5Y7 TILESITE CLBLL_L_X4Y8 SLICE_X4Y8 TILESITE CLBLL_L_X4Y8 SLICE_X5Y8 TILESITE CLBLL_L_X4Y9 SLICE_X4Y9 TILESITE CLBLL_L_X4Y9 SLICE_X5Y9 TILESITE CLBLL_L_X4Y10 SLICE_X4Y10 TILESITE CLBLL_L_X4Y10 SLICE_X5Y10 TILESITE CLBLL_L_X4Y11 SLICE_X4Y11 TILESITE CLBLL_L_X4Y11 SLICE_X5Y11 TILESITE CLBLL_L_X4Y12 SLICE_X4Y12 TILESITE CLBLL_L_X4Y12 SLICE_X5Y12 TILESITE CLBLL_L_X4Y13 SLICE_X4Y13 TILESITE CLBLL_L_X4Y13 SLICE_X5Y13 TILESITE CLBLL_L_X4Y14 SLICE_X4Y14 TILESITE CLBLL_L_X4Y14 SLICE_X5Y14 TILESITE CLBLL_L_X4Y15 SLICE_X4Y15 TILESITE CLBLL_L_X4Y15 SLICE_X5Y15 TILESITE CLBLL_L_X4Y16 SLICE_X4Y16 TILESITE CLBLL_L_X4Y16 SLICE_X5Y16 TILESITE CLBLL_L_X4Y17 SLICE_X4Y17 TILESITE CLBLL_L_X4Y17 SLICE_X5Y17 TILESITE CLBLL_L_X4Y18 SLICE_X4Y18 TILESITE CLBLL_L_X4Y18 SLICE_X5Y18 TILESITE CLBLL_L_X4Y19 SLICE_X4Y19 TILESITE CLBLL_L_X4Y19 SLICE_X5Y19 TILESITE CLBLL_L_X4Y20 SLICE_X4Y20 TILESITE CLBLL_L_X4Y20 SLICE_X5Y20 TILESITE CLBLL_L_X4Y21 SLICE_X4Y21 TILESITE CLBLL_L_X4Y21 SLICE_X5Y21 TILESITE CLBLL_L_X4Y22 SLICE_X4Y22 TILESITE CLBLL_L_X4Y22 SLICE_X5Y22 TILESITE CLBLL_L_X4Y23 SLICE_X4Y23 TILESITE CLBLL_L_X4Y23 SLICE_X5Y23 TILESITE CLBLL_L_X4Y24 SLICE_X4Y24 TILESITE CLBLL_L_X4Y24 SLICE_X5Y24 TILESITE CLBLL_L_X4Y25 SLICE_X4Y25 TILESITE CLBLL_L_X4Y25 SLICE_X5Y25 TILESITE CLBLL_L_X4Y26 SLICE_X4Y26 TILESITE CLBLL_L_X4Y26 SLICE_X5Y26 TILESITE CLBLL_L_X4Y27 SLICE_X4Y27 TILESITE CLBLL_L_X4Y27 SLICE_X5Y27 TILESITE CLBLL_L_X4Y28 SLICE_X4Y28 TILESITE CLBLL_L_X4Y28 SLICE_X5Y28 TILESITE CLBLL_L_X4Y29 SLICE_X4Y29 TILESITE CLBLL_L_X4Y29 SLICE_X5Y29 TILESITE CLBLL_L_X4Y30 SLICE_X4Y30 TILESITE CLBLL_L_X4Y30 SLICE_X5Y30 TILESITE CLBLL_L_X4Y31 SLICE_X4Y31 TILESITE CLBLL_L_X4Y31 SLICE_X5Y31 TILESITE CLBLL_L_X4Y32 SLICE_X4Y32 TILESITE CLBLL_L_X4Y32 SLICE_X5Y32 TILESITE CLBLL_L_X4Y33 SLICE_X4Y33 TILESITE CLBLL_L_X4Y33 SLICE_X5Y33 TILESITE CLBLL_L_X4Y34 SLICE_X4Y34 TILESITE CLBLL_L_X4Y34 SLICE_X5Y34 TILESITE CLBLL_L_X4Y35 SLICE_X4Y35 TILESITE CLBLL_L_X4Y35 SLICE_X5Y35 TILESITE CLBLL_L_X4Y36 SLICE_X4Y36 TILESITE CLBLL_L_X4Y36 SLICE_X5Y36 TILESITE CLBLL_L_X4Y37 SLICE_X4Y37 TILESITE CLBLL_L_X4Y37 SLICE_X5Y37 TILESITE CLBLL_L_X4Y38 SLICE_X4Y38 TILESITE CLBLL_L_X4Y38 SLICE_X5Y38 TILESITE CLBLL_L_X4Y39 SLICE_X4Y39 TILESITE CLBLL_L_X4Y39 SLICE_X5Y39 TILESITE CLBLL_L_X4Y40 SLICE_X4Y40 TILESITE CLBLL_L_X4Y40 SLICE_X5Y40 TILESITE CLBLL_L_X4Y41 SLICE_X4Y41 TILESITE CLBLL_L_X4Y41 SLICE_X5Y41 TILESITE CLBLL_L_X4Y42 SLICE_X4Y42 TILESITE CLBLL_L_X4Y42 SLICE_X5Y42 TILESITE CLBLL_L_X4Y43 SLICE_X4Y43 TILESITE CLBLL_L_X4Y43 SLICE_X5Y43 TILESITE CLBLL_L_X4Y44 SLICE_X4Y44 TILESITE CLBLL_L_X4Y44 SLICE_X5Y44 TILESITE CLBLL_L_X4Y45 SLICE_X4Y45 TILESITE CLBLL_L_X4Y45 SLICE_X5Y45 TILESITE CLBLL_L_X4Y46 SLICE_X4Y46 TILESITE CLBLL_L_X4Y46 SLICE_X5Y46 TILESITE CLBLL_L_X4Y47 SLICE_X4Y47 TILESITE CLBLL_L_X4Y47 SLICE_X5Y47 TILESITE CLBLL_L_X4Y48 SLICE_X4Y48 TILESITE CLBLL_L_X4Y48 SLICE_X5Y48 TILESITE CLBLL_L_X4Y49 SLICE_X4Y49 TILESITE CLBLL_L_X4Y49 SLICE_X5Y49 TILESITE CLBLL_L_X4Y50 SLICE_X4Y50 TILESITE CLBLL_L_X4Y50 SLICE_X5Y50 TILESITE CLBLL_L_X4Y51 SLICE_X4Y51 TILESITE CLBLL_L_X4Y51 SLICE_X5Y51 TILESITE CLBLL_L_X4Y52 SLICE_X4Y52 TILESITE CLBLL_L_X4Y52 SLICE_X5Y52 TILESITE CLBLL_L_X4Y53 SLICE_X4Y53 TILESITE CLBLL_L_X4Y53 SLICE_X5Y53 TILESITE CLBLL_L_X4Y54 SLICE_X4Y54 TILESITE CLBLL_L_X4Y54 SLICE_X5Y54 TILESITE CLBLL_L_X4Y55 SLICE_X4Y55 TILESITE CLBLL_L_X4Y55 SLICE_X5Y55 TILESITE CLBLL_L_X4Y56 SLICE_X4Y56 TILESITE CLBLL_L_X4Y56 SLICE_X5Y56 TILESITE CLBLL_L_X4Y57 SLICE_X4Y57 TILESITE CLBLL_L_X4Y57 SLICE_X5Y57 TILESITE CLBLL_L_X4Y58 SLICE_X4Y58 TILESITE CLBLL_L_X4Y58 SLICE_X5Y58 TILESITE CLBLL_L_X4Y59 SLICE_X4Y59 TILESITE CLBLL_L_X4Y59 SLICE_X5Y59 TILESITE CLBLL_L_X4Y60 SLICE_X4Y60 TILESITE CLBLL_L_X4Y60 SLICE_X5Y60 TILESITE CLBLL_L_X4Y61 SLICE_X4Y61 TILESITE CLBLL_L_X4Y61 SLICE_X5Y61 TILESITE CLBLL_L_X4Y62 SLICE_X4Y62 TILESITE CLBLL_L_X4Y62 SLICE_X5Y62 TILESITE CLBLL_L_X4Y63 SLICE_X4Y63 TILESITE CLBLL_L_X4Y63 SLICE_X5Y63 TILESITE CLBLL_L_X4Y64 SLICE_X4Y64 TILESITE CLBLL_L_X4Y64 SLICE_X5Y64 TILESITE CLBLL_L_X4Y65 SLICE_X4Y65 TILESITE CLBLL_L_X4Y65 SLICE_X5Y65 TILESITE CLBLL_L_X4Y66 SLICE_X4Y66 TILESITE CLBLL_L_X4Y66 SLICE_X5Y66 TILESITE CLBLL_L_X4Y67 SLICE_X4Y67 TILESITE CLBLL_L_X4Y67 SLICE_X5Y67 TILESITE CLBLL_L_X4Y68 SLICE_X4Y68 TILESITE CLBLL_L_X4Y68 SLICE_X5Y68 TILESITE CLBLL_L_X4Y69 SLICE_X4Y69 TILESITE CLBLL_L_X4Y69 SLICE_X5Y69 TILESITE CLBLL_L_X4Y70 SLICE_X4Y70 TILESITE CLBLL_L_X4Y70 SLICE_X5Y70 TILESITE CLBLL_L_X4Y71 SLICE_X4Y71 TILESITE CLBLL_L_X4Y71 SLICE_X5Y71 TILESITE CLBLL_L_X4Y72 SLICE_X4Y72 TILESITE CLBLL_L_X4Y72 SLICE_X5Y72 TILESITE CLBLL_L_X4Y73 SLICE_X4Y73 TILESITE CLBLL_L_X4Y73 SLICE_X5Y73 TILESITE CLBLL_L_X4Y74 SLICE_X4Y74 TILESITE CLBLL_L_X4Y74 SLICE_X5Y74 TILESITE CLBLL_L_X4Y75 SLICE_X4Y75 TILESITE CLBLL_L_X4Y75 SLICE_X5Y75 TILESITE CLBLL_L_X4Y76 SLICE_X4Y76 TILESITE CLBLL_L_X4Y76 SLICE_X5Y76 TILESITE CLBLL_L_X4Y77 SLICE_X4Y77 TILESITE CLBLL_L_X4Y77 SLICE_X5Y77 TILESITE CLBLL_L_X4Y78 SLICE_X4Y78 TILESITE CLBLL_L_X4Y78 SLICE_X5Y78 TILESITE CLBLL_L_X4Y79 SLICE_X4Y79 TILESITE CLBLL_L_X4Y79 SLICE_X5Y79 TILESITE CLBLL_L_X4Y80 SLICE_X4Y80 TILESITE CLBLL_L_X4Y80 SLICE_X5Y80 TILESITE CLBLL_L_X4Y81 SLICE_X4Y81 TILESITE CLBLL_L_X4Y81 SLICE_X5Y81 TILESITE CLBLL_L_X4Y82 SLICE_X4Y82 TILESITE CLBLL_L_X4Y82 SLICE_X5Y82 TILESITE CLBLL_L_X4Y83 SLICE_X4Y83 TILESITE CLBLL_L_X4Y83 SLICE_X5Y83 TILESITE CLBLL_L_X4Y84 SLICE_X4Y84 TILESITE CLBLL_L_X4Y84 SLICE_X5Y84 TILESITE CLBLL_L_X4Y85 SLICE_X4Y85 TILESITE CLBLL_L_X4Y85 SLICE_X5Y85 TILESITE CLBLL_L_X4Y86 SLICE_X4Y86 TILESITE CLBLL_L_X4Y86 SLICE_X5Y86 TILESITE CLBLL_L_X4Y87 SLICE_X4Y87 TILESITE CLBLL_L_X4Y87 SLICE_X5Y87 TILESITE CLBLL_L_X4Y88 SLICE_X4Y88 TILESITE CLBLL_L_X4Y88 SLICE_X5Y88 TILESITE CLBLL_L_X4Y89 SLICE_X4Y89 TILESITE CLBLL_L_X4Y89 SLICE_X5Y89 TILESITE CLBLL_L_X4Y90 SLICE_X4Y90 TILESITE CLBLL_L_X4Y90 SLICE_X5Y90 TILESITE CLBLL_L_X4Y91 SLICE_X4Y91 TILESITE CLBLL_L_X4Y91 SLICE_X5Y91 TILESITE CLBLL_L_X4Y92 SLICE_X4Y92 TILESITE CLBLL_L_X4Y92 SLICE_X5Y92 TILESITE CLBLL_L_X4Y93 SLICE_X4Y93 TILESITE CLBLL_L_X4Y93 SLICE_X5Y93 TILESITE CLBLL_L_X4Y94 SLICE_X4Y94 TILESITE CLBLL_L_X4Y94 SLICE_X5Y94 TILESITE CLBLL_L_X4Y95 SLICE_X4Y95 TILESITE CLBLL_L_X4Y95 SLICE_X5Y95 TILESITE CLBLL_L_X4Y96 SLICE_X4Y96 TILESITE CLBLL_L_X4Y96 SLICE_X5Y96 TILESITE CLBLL_L_X4Y97 SLICE_X4Y97 TILESITE CLBLL_L_X4Y97 SLICE_X5Y97 TILESITE CLBLL_L_X4Y98 SLICE_X4Y98 TILESITE CLBLL_L_X4Y98 SLICE_X5Y98 TILESITE CLBLL_L_X4Y99 SLICE_X4Y99 TILESITE CLBLL_L_X4Y99 SLICE_X5Y99 TILESITE CLBLL_L_X4Y100 SLICE_X4Y100 TILESITE CLBLL_L_X4Y100 SLICE_X5Y100 TILESITE CLBLL_L_X4Y101 SLICE_X4Y101 TILESITE CLBLL_L_X4Y101 SLICE_X5Y101 TILESITE CLBLL_L_X4Y102 SLICE_X4Y102 TILESITE CLBLL_L_X4Y102 SLICE_X5Y102 TILESITE CLBLL_L_X4Y103 SLICE_X4Y103 TILESITE CLBLL_L_X4Y103 SLICE_X5Y103 TILESITE CLBLL_L_X4Y104 SLICE_X4Y104 TILESITE CLBLL_L_X4Y104 SLICE_X5Y104 TILESITE CLBLL_L_X4Y105 SLICE_X4Y105 TILESITE CLBLL_L_X4Y105 SLICE_X5Y105 TILESITE CLBLL_L_X4Y106 SLICE_X4Y106 TILESITE CLBLL_L_X4Y106 SLICE_X5Y106 TILESITE CLBLL_L_X4Y107 SLICE_X4Y107 TILESITE CLBLL_L_X4Y107 SLICE_X5Y107 TILESITE CLBLL_L_X4Y108 SLICE_X4Y108 TILESITE CLBLL_L_X4Y108 SLICE_X5Y108 TILESITE CLBLL_L_X4Y109 SLICE_X4Y109 TILESITE CLBLL_L_X4Y109 SLICE_X5Y109 TILESITE CLBLL_L_X4Y110 SLICE_X4Y110 TILESITE CLBLL_L_X4Y110 SLICE_X5Y110 TILESITE CLBLL_L_X4Y111 SLICE_X4Y111 TILESITE CLBLL_L_X4Y111 SLICE_X5Y111 TILESITE CLBLL_L_X4Y112 SLICE_X4Y112 TILESITE CLBLL_L_X4Y112 SLICE_X5Y112 TILESITE CLBLL_L_X4Y113 SLICE_X4Y113 TILESITE CLBLL_L_X4Y113 SLICE_X5Y113 TILESITE CLBLL_L_X4Y114 SLICE_X4Y114 TILESITE CLBLL_L_X4Y114 SLICE_X5Y114 TILESITE CLBLL_L_X4Y115 SLICE_X4Y115 TILESITE CLBLL_L_X4Y115 SLICE_X5Y115 TILESITE CLBLL_L_X4Y116 SLICE_X4Y116 TILESITE CLBLL_L_X4Y116 SLICE_X5Y116 TILESITE CLBLL_L_X4Y117 SLICE_X4Y117 TILESITE CLBLL_L_X4Y117 SLICE_X5Y117 TILESITE CLBLL_L_X4Y118 SLICE_X4Y118 TILESITE CLBLL_L_X4Y118 SLICE_X5Y118 TILESITE CLBLL_L_X4Y119 SLICE_X4Y119 TILESITE CLBLL_L_X4Y119 SLICE_X5Y119 TILESITE CLBLL_L_X4Y120 SLICE_X4Y120 TILESITE CLBLL_L_X4Y120 SLICE_X5Y120 TILESITE CLBLL_L_X4Y121 SLICE_X4Y121 TILESITE CLBLL_L_X4Y121 SLICE_X5Y121 TILESITE CLBLL_L_X4Y122 SLICE_X4Y122 TILESITE CLBLL_L_X4Y122 SLICE_X5Y122 TILESITE CLBLL_L_X4Y123 SLICE_X4Y123 TILESITE CLBLL_L_X4Y123 SLICE_X5Y123 TILESITE CLBLL_L_X4Y124 SLICE_X4Y124 TILESITE CLBLL_L_X4Y124 SLICE_X5Y124 TILESITE CLBLL_L_X4Y125 SLICE_X4Y125 TILESITE CLBLL_L_X4Y125 SLICE_X5Y125 TILESITE CLBLL_L_X4Y126 SLICE_X4Y126 TILESITE CLBLL_L_X4Y126 SLICE_X5Y126 TILESITE CLBLL_L_X4Y127 SLICE_X4Y127 TILESITE CLBLL_L_X4Y127 SLICE_X5Y127 TILESITE CLBLL_L_X4Y128 SLICE_X4Y128 TILESITE CLBLL_L_X4Y128 SLICE_X5Y128 TILESITE CLBLL_L_X4Y129 SLICE_X4Y129 TILESITE CLBLL_L_X4Y129 SLICE_X5Y129 TILESITE CLBLL_L_X4Y130 SLICE_X4Y130 TILESITE CLBLL_L_X4Y130 SLICE_X5Y130 TILESITE CLBLL_L_X4Y131 SLICE_X4Y131 TILESITE CLBLL_L_X4Y131 SLICE_X5Y131 TILESITE CLBLL_L_X4Y132 SLICE_X4Y132 TILESITE CLBLL_L_X4Y132 SLICE_X5Y132 TILESITE CLBLL_L_X4Y133 SLICE_X4Y133 TILESITE CLBLL_L_X4Y133 SLICE_X5Y133 TILESITE CLBLL_L_X4Y134 SLICE_X4Y134 TILESITE CLBLL_L_X4Y134 SLICE_X5Y134 TILESITE CLBLL_L_X4Y135 SLICE_X4Y135 TILESITE CLBLL_L_X4Y135 SLICE_X5Y135 TILESITE CLBLL_L_X4Y136 SLICE_X4Y136 TILESITE CLBLL_L_X4Y136 SLICE_X5Y136 TILESITE CLBLL_L_X4Y137 SLICE_X4Y137 TILESITE CLBLL_L_X4Y137 SLICE_X5Y137 TILESITE CLBLL_L_X4Y138 SLICE_X4Y138 TILESITE CLBLL_L_X4Y138 SLICE_X5Y138 TILESITE CLBLL_L_X4Y139 SLICE_X4Y139 TILESITE CLBLL_L_X4Y139 SLICE_X5Y139 TILESITE CLBLL_L_X4Y140 SLICE_X4Y140 TILESITE CLBLL_L_X4Y140 SLICE_X5Y140 TILESITE CLBLL_L_X4Y141 SLICE_X4Y141 TILESITE CLBLL_L_X4Y141 SLICE_X5Y141 TILESITE CLBLL_L_X4Y142 SLICE_X4Y142 TILESITE CLBLL_L_X4Y142 SLICE_X5Y142 TILESITE CLBLL_L_X4Y143 SLICE_X4Y143 TILESITE CLBLL_L_X4Y143 SLICE_X5Y143 TILESITE CLBLL_L_X4Y144 SLICE_X4Y144 TILESITE CLBLL_L_X4Y144 SLICE_X5Y144 TILESITE CLBLL_L_X4Y145 SLICE_X4Y145 TILESITE CLBLL_L_X4Y145 SLICE_X5Y145 TILESITE CLBLL_L_X4Y146 SLICE_X4Y146 TILESITE CLBLL_L_X4Y146 SLICE_X5Y146 TILESITE CLBLL_L_X4Y147 SLICE_X4Y147 TILESITE CLBLL_L_X4Y147 SLICE_X5Y147 TILESITE CLBLL_L_X4Y148 SLICE_X4Y148 TILESITE CLBLL_L_X4Y148 SLICE_X5Y148 TILESITE CLBLL_L_X4Y149 SLICE_X4Y149 TILESITE CLBLL_L_X4Y149 SLICE_X5Y149 TILESITE CLBLL_R_X13Y100 SLICE_X18Y100 TILESITE CLBLL_R_X13Y100 SLICE_X19Y100 TILESITE CLBLL_R_X13Y101 SLICE_X18Y101 TILESITE CLBLL_R_X13Y101 SLICE_X19Y101 TILESITE CLBLL_R_X13Y102 SLICE_X18Y102 TILESITE CLBLL_R_X13Y102 SLICE_X19Y102 TILESITE CLBLL_R_X13Y103 SLICE_X18Y103 TILESITE CLBLL_R_X13Y103 SLICE_X19Y103 TILESITE CLBLL_R_X13Y104 SLICE_X18Y104 TILESITE CLBLL_R_X13Y104 SLICE_X19Y104 TILESITE CLBLL_R_X13Y105 SLICE_X18Y105 TILESITE CLBLL_R_X13Y105 SLICE_X19Y105 TILESITE CLBLL_R_X13Y106 SLICE_X18Y106 TILESITE CLBLL_R_X13Y106 SLICE_X19Y106 TILESITE CLBLL_R_X13Y107 SLICE_X18Y107 TILESITE CLBLL_R_X13Y107 SLICE_X19Y107 TILESITE CLBLL_R_X13Y108 SLICE_X18Y108 TILESITE CLBLL_R_X13Y108 SLICE_X19Y108 TILESITE CLBLL_R_X13Y109 SLICE_X18Y109 TILESITE CLBLL_R_X13Y109 SLICE_X19Y109 TILESITE CLBLL_R_X13Y110 SLICE_X18Y110 TILESITE CLBLL_R_X13Y110 SLICE_X19Y110 TILESITE CLBLL_R_X13Y111 SLICE_X18Y111 TILESITE CLBLL_R_X13Y111 SLICE_X19Y111 TILESITE CLBLL_R_X13Y112 SLICE_X18Y112 TILESITE CLBLL_R_X13Y112 SLICE_X19Y112 TILESITE CLBLL_R_X13Y113 SLICE_X18Y113 TILESITE CLBLL_R_X13Y113 SLICE_X19Y113 TILESITE CLBLL_R_X13Y114 SLICE_X18Y114 TILESITE CLBLL_R_X13Y114 SLICE_X19Y114 TILESITE CLBLL_R_X13Y115 SLICE_X18Y115 TILESITE CLBLL_R_X13Y115 SLICE_X19Y115 TILESITE CLBLL_R_X13Y116 SLICE_X18Y116 TILESITE CLBLL_R_X13Y116 SLICE_X19Y116 TILESITE CLBLL_R_X13Y117 SLICE_X18Y117 TILESITE CLBLL_R_X13Y117 SLICE_X19Y117 TILESITE CLBLL_R_X13Y118 SLICE_X18Y118 TILESITE CLBLL_R_X13Y118 SLICE_X19Y118 TILESITE CLBLL_R_X13Y119 SLICE_X18Y119 TILESITE CLBLL_R_X13Y119 SLICE_X19Y119 TILESITE CLBLL_R_X13Y120 SLICE_X18Y120 TILESITE CLBLL_R_X13Y120 SLICE_X19Y120 TILESITE CLBLL_R_X13Y121 SLICE_X18Y121 TILESITE CLBLL_R_X13Y121 SLICE_X19Y121 TILESITE CLBLL_R_X13Y122 SLICE_X18Y122 TILESITE CLBLL_R_X13Y122 SLICE_X19Y122 TILESITE CLBLL_R_X13Y123 SLICE_X18Y123 TILESITE CLBLL_R_X13Y123 SLICE_X19Y123 TILESITE CLBLL_R_X13Y124 SLICE_X18Y124 TILESITE CLBLL_R_X13Y124 SLICE_X19Y124 TILESITE CLBLL_R_X13Y125 SLICE_X18Y125 TILESITE CLBLL_R_X13Y125 SLICE_X19Y125 TILESITE CLBLL_R_X13Y126 SLICE_X18Y126 TILESITE CLBLL_R_X13Y126 SLICE_X19Y126 TILESITE CLBLL_R_X13Y127 SLICE_X18Y127 TILESITE CLBLL_R_X13Y127 SLICE_X19Y127 TILESITE CLBLL_R_X13Y128 SLICE_X18Y128 TILESITE CLBLL_R_X13Y128 SLICE_X19Y128 TILESITE CLBLL_R_X13Y129 SLICE_X18Y129 TILESITE CLBLL_R_X13Y129 SLICE_X19Y129 TILESITE CLBLL_R_X13Y130 SLICE_X18Y130 TILESITE CLBLL_R_X13Y130 SLICE_X19Y130 TILESITE CLBLL_R_X13Y131 SLICE_X18Y131 TILESITE CLBLL_R_X13Y131 SLICE_X19Y131 TILESITE CLBLL_R_X13Y132 SLICE_X18Y132 TILESITE CLBLL_R_X13Y132 SLICE_X19Y132 TILESITE CLBLL_R_X13Y133 SLICE_X18Y133 TILESITE CLBLL_R_X13Y133 SLICE_X19Y133 TILESITE CLBLL_R_X13Y134 SLICE_X18Y134 TILESITE CLBLL_R_X13Y134 SLICE_X19Y134 TILESITE CLBLL_R_X13Y135 SLICE_X18Y135 TILESITE CLBLL_R_X13Y135 SLICE_X19Y135 TILESITE CLBLL_R_X13Y136 SLICE_X18Y136 TILESITE CLBLL_R_X13Y136 SLICE_X19Y136 TILESITE CLBLL_R_X13Y137 SLICE_X18Y137 TILESITE CLBLL_R_X13Y137 SLICE_X19Y137 TILESITE CLBLL_R_X13Y138 SLICE_X18Y138 TILESITE CLBLL_R_X13Y138 SLICE_X19Y138 TILESITE CLBLL_R_X13Y139 SLICE_X18Y139 TILESITE CLBLL_R_X13Y139 SLICE_X19Y139 TILESITE CLBLL_R_X13Y140 SLICE_X18Y140 TILESITE CLBLL_R_X13Y140 SLICE_X19Y140 TILESITE CLBLL_R_X13Y141 SLICE_X18Y141 TILESITE CLBLL_R_X13Y141 SLICE_X19Y141 TILESITE CLBLL_R_X13Y142 SLICE_X18Y142 TILESITE CLBLL_R_X13Y142 SLICE_X19Y142 TILESITE CLBLL_R_X13Y143 SLICE_X18Y143 TILESITE CLBLL_R_X13Y143 SLICE_X19Y143 TILESITE CLBLL_R_X13Y144 SLICE_X18Y144 TILESITE CLBLL_R_X13Y144 SLICE_X19Y144 TILESITE CLBLL_R_X13Y145 SLICE_X18Y145 TILESITE CLBLL_R_X13Y145 SLICE_X19Y145 TILESITE CLBLL_R_X13Y146 SLICE_X18Y146 TILESITE CLBLL_R_X13Y146 SLICE_X19Y146 TILESITE CLBLL_R_X13Y147 SLICE_X18Y147 TILESITE CLBLL_R_X13Y147 SLICE_X19Y147 TILESITE CLBLL_R_X13Y148 SLICE_X18Y148 TILESITE CLBLL_R_X13Y148 SLICE_X19Y148 TILESITE CLBLL_R_X13Y149 SLICE_X18Y149 TILESITE CLBLL_R_X13Y149 SLICE_X19Y149 TILESITE CLBLL_R_X15Y100 SLICE_X22Y100 TILESITE CLBLL_R_X15Y100 SLICE_X23Y100 TILESITE CLBLL_R_X15Y101 SLICE_X22Y101 TILESITE CLBLL_R_X15Y101 SLICE_X23Y101 TILESITE CLBLL_R_X15Y102 SLICE_X22Y102 TILESITE CLBLL_R_X15Y102 SLICE_X23Y102 TILESITE CLBLL_R_X15Y103 SLICE_X22Y103 TILESITE CLBLL_R_X15Y103 SLICE_X23Y103 TILESITE CLBLL_R_X15Y104 SLICE_X22Y104 TILESITE CLBLL_R_X15Y104 SLICE_X23Y104 TILESITE CLBLL_R_X15Y105 SLICE_X22Y105 TILESITE CLBLL_R_X15Y105 SLICE_X23Y105 TILESITE CLBLL_R_X15Y106 SLICE_X22Y106 TILESITE CLBLL_R_X15Y106 SLICE_X23Y106 TILESITE CLBLL_R_X15Y107 SLICE_X22Y107 TILESITE CLBLL_R_X15Y107 SLICE_X23Y107 TILESITE CLBLL_R_X15Y108 SLICE_X22Y108 TILESITE CLBLL_R_X15Y108 SLICE_X23Y108 TILESITE CLBLL_R_X15Y109 SLICE_X22Y109 TILESITE CLBLL_R_X15Y109 SLICE_X23Y109 TILESITE CLBLL_R_X15Y110 SLICE_X22Y110 TILESITE CLBLL_R_X15Y110 SLICE_X23Y110 TILESITE CLBLL_R_X15Y111 SLICE_X22Y111 TILESITE CLBLL_R_X15Y111 SLICE_X23Y111 TILESITE CLBLL_R_X15Y112 SLICE_X22Y112 TILESITE CLBLL_R_X15Y112 SLICE_X23Y112 TILESITE CLBLL_R_X15Y113 SLICE_X22Y113 TILESITE CLBLL_R_X15Y113 SLICE_X23Y113 TILESITE CLBLL_R_X15Y114 SLICE_X22Y114 TILESITE CLBLL_R_X15Y114 SLICE_X23Y114 TILESITE CLBLL_R_X15Y115 SLICE_X22Y115 TILESITE CLBLL_R_X15Y115 SLICE_X23Y115 TILESITE CLBLL_R_X15Y116 SLICE_X22Y116 TILESITE CLBLL_R_X15Y116 SLICE_X23Y116 TILESITE CLBLL_R_X15Y117 SLICE_X22Y117 TILESITE CLBLL_R_X15Y117 SLICE_X23Y117 TILESITE CLBLL_R_X15Y118 SLICE_X22Y118 TILESITE CLBLL_R_X15Y118 SLICE_X23Y118 TILESITE CLBLL_R_X15Y119 SLICE_X22Y119 TILESITE CLBLL_R_X15Y119 SLICE_X23Y119 TILESITE CLBLL_R_X15Y120 SLICE_X22Y120 TILESITE CLBLL_R_X15Y120 SLICE_X23Y120 TILESITE CLBLL_R_X15Y121 SLICE_X22Y121 TILESITE CLBLL_R_X15Y121 SLICE_X23Y121 TILESITE CLBLL_R_X15Y122 SLICE_X22Y122 TILESITE CLBLL_R_X15Y122 SLICE_X23Y122 TILESITE CLBLL_R_X15Y123 SLICE_X22Y123 TILESITE CLBLL_R_X15Y123 SLICE_X23Y123 TILESITE CLBLL_R_X15Y124 SLICE_X22Y124 TILESITE CLBLL_R_X15Y124 SLICE_X23Y124 TILESITE CLBLL_R_X15Y125 SLICE_X22Y125 TILESITE CLBLL_R_X15Y125 SLICE_X23Y125 TILESITE CLBLL_R_X15Y126 SLICE_X22Y126 TILESITE CLBLL_R_X15Y126 SLICE_X23Y126 TILESITE CLBLL_R_X15Y127 SLICE_X22Y127 TILESITE CLBLL_R_X15Y127 SLICE_X23Y127 TILESITE CLBLL_R_X15Y128 SLICE_X22Y128 TILESITE CLBLL_R_X15Y128 SLICE_X23Y128 TILESITE CLBLL_R_X15Y129 SLICE_X22Y129 TILESITE CLBLL_R_X15Y129 SLICE_X23Y129 TILESITE CLBLL_R_X15Y130 SLICE_X22Y130 TILESITE CLBLL_R_X15Y130 SLICE_X23Y130 TILESITE CLBLL_R_X15Y131 SLICE_X22Y131 TILESITE CLBLL_R_X15Y131 SLICE_X23Y131 TILESITE CLBLL_R_X15Y132 SLICE_X22Y132 TILESITE CLBLL_R_X15Y132 SLICE_X23Y132 TILESITE CLBLL_R_X15Y133 SLICE_X22Y133 TILESITE CLBLL_R_X15Y133 SLICE_X23Y133 TILESITE CLBLL_R_X15Y134 SLICE_X22Y134 TILESITE CLBLL_R_X15Y134 SLICE_X23Y134 TILESITE CLBLL_R_X15Y135 SLICE_X22Y135 TILESITE CLBLL_R_X15Y135 SLICE_X23Y135 TILESITE CLBLL_R_X15Y136 SLICE_X22Y136 TILESITE CLBLL_R_X15Y136 SLICE_X23Y136 TILESITE CLBLL_R_X15Y137 SLICE_X22Y137 TILESITE CLBLL_R_X15Y137 SLICE_X23Y137 TILESITE CLBLL_R_X15Y138 SLICE_X22Y138 TILESITE CLBLL_R_X15Y138 SLICE_X23Y138 TILESITE CLBLL_R_X15Y139 SLICE_X22Y139 TILESITE CLBLL_R_X15Y139 SLICE_X23Y139 TILESITE CLBLL_R_X15Y140 SLICE_X22Y140 TILESITE CLBLL_R_X15Y140 SLICE_X23Y140 TILESITE CLBLL_R_X15Y141 SLICE_X22Y141 TILESITE CLBLL_R_X15Y141 SLICE_X23Y141 TILESITE CLBLL_R_X15Y142 SLICE_X22Y142 TILESITE CLBLL_R_X15Y142 SLICE_X23Y142 TILESITE CLBLL_R_X15Y143 SLICE_X22Y143 TILESITE CLBLL_R_X15Y143 SLICE_X23Y143 TILESITE CLBLL_R_X15Y144 SLICE_X22Y144 TILESITE CLBLL_R_X15Y144 SLICE_X23Y144 TILESITE CLBLL_R_X15Y145 SLICE_X22Y145 TILESITE CLBLL_R_X15Y145 SLICE_X23Y145 TILESITE CLBLL_R_X15Y146 SLICE_X22Y146 TILESITE CLBLL_R_X15Y146 SLICE_X23Y146 TILESITE CLBLL_R_X15Y147 SLICE_X22Y147 TILESITE CLBLL_R_X15Y147 SLICE_X23Y147 TILESITE CLBLL_R_X15Y148 SLICE_X22Y148 TILESITE CLBLL_R_X15Y148 SLICE_X23Y148 TILESITE CLBLL_R_X15Y149 SLICE_X22Y149 TILESITE CLBLL_R_X15Y149 SLICE_X23Y149 TILESITE CLBLL_R_X17Y100 SLICE_X26Y100 TILESITE CLBLL_R_X17Y100 SLICE_X27Y100 TILESITE CLBLL_R_X17Y101 SLICE_X26Y101 TILESITE CLBLL_R_X17Y101 SLICE_X27Y101 TILESITE CLBLL_R_X17Y102 SLICE_X26Y102 TILESITE CLBLL_R_X17Y102 SLICE_X27Y102 TILESITE CLBLL_R_X17Y103 SLICE_X26Y103 TILESITE CLBLL_R_X17Y103 SLICE_X27Y103 TILESITE CLBLL_R_X17Y104 SLICE_X26Y104 TILESITE CLBLL_R_X17Y104 SLICE_X27Y104 TILESITE CLBLL_R_X17Y105 SLICE_X26Y105 TILESITE CLBLL_R_X17Y105 SLICE_X27Y105 TILESITE CLBLL_R_X17Y106 SLICE_X26Y106 TILESITE CLBLL_R_X17Y106 SLICE_X27Y106 TILESITE CLBLL_R_X17Y107 SLICE_X26Y107 TILESITE CLBLL_R_X17Y107 SLICE_X27Y107 TILESITE CLBLL_R_X17Y108 SLICE_X26Y108 TILESITE CLBLL_R_X17Y108 SLICE_X27Y108 TILESITE CLBLL_R_X17Y109 SLICE_X26Y109 TILESITE CLBLL_R_X17Y109 SLICE_X27Y109 TILESITE CLBLL_R_X17Y110 SLICE_X26Y110 TILESITE CLBLL_R_X17Y110 SLICE_X27Y110 TILESITE CLBLL_R_X17Y111 SLICE_X26Y111 TILESITE CLBLL_R_X17Y111 SLICE_X27Y111 TILESITE CLBLL_R_X17Y112 SLICE_X26Y112 TILESITE CLBLL_R_X17Y112 SLICE_X27Y112 TILESITE CLBLL_R_X17Y113 SLICE_X26Y113 TILESITE CLBLL_R_X17Y113 SLICE_X27Y113 TILESITE CLBLL_R_X17Y114 SLICE_X26Y114 TILESITE CLBLL_R_X17Y114 SLICE_X27Y114 TILESITE CLBLL_R_X17Y115 SLICE_X26Y115 TILESITE CLBLL_R_X17Y115 SLICE_X27Y115 TILESITE CLBLL_R_X17Y116 SLICE_X26Y116 TILESITE CLBLL_R_X17Y116 SLICE_X27Y116 TILESITE CLBLL_R_X17Y117 SLICE_X26Y117 TILESITE CLBLL_R_X17Y117 SLICE_X27Y117 TILESITE CLBLL_R_X17Y118 SLICE_X26Y118 TILESITE CLBLL_R_X17Y118 SLICE_X27Y118 TILESITE CLBLL_R_X17Y119 SLICE_X26Y119 TILESITE CLBLL_R_X17Y119 SLICE_X27Y119 TILESITE CLBLL_R_X17Y120 SLICE_X26Y120 TILESITE CLBLL_R_X17Y120 SLICE_X27Y120 TILESITE CLBLL_R_X17Y121 SLICE_X26Y121 TILESITE CLBLL_R_X17Y121 SLICE_X27Y121 TILESITE CLBLL_R_X17Y122 SLICE_X26Y122 TILESITE CLBLL_R_X17Y122 SLICE_X27Y122 TILESITE CLBLL_R_X17Y123 SLICE_X26Y123 TILESITE CLBLL_R_X17Y123 SLICE_X27Y123 TILESITE CLBLL_R_X17Y124 SLICE_X26Y124 TILESITE CLBLL_R_X17Y124 SLICE_X27Y124 TILESITE CLBLL_R_X17Y125 SLICE_X26Y125 TILESITE CLBLL_R_X17Y125 SLICE_X27Y125 TILESITE CLBLL_R_X17Y126 SLICE_X26Y126 TILESITE CLBLL_R_X17Y126 SLICE_X27Y126 TILESITE CLBLL_R_X17Y127 SLICE_X26Y127 TILESITE CLBLL_R_X17Y127 SLICE_X27Y127 TILESITE CLBLL_R_X17Y128 SLICE_X26Y128 TILESITE CLBLL_R_X17Y128 SLICE_X27Y128 TILESITE CLBLL_R_X17Y129 SLICE_X26Y129 TILESITE CLBLL_R_X17Y129 SLICE_X27Y129 TILESITE CLBLL_R_X17Y130 SLICE_X26Y130 TILESITE CLBLL_R_X17Y130 SLICE_X27Y130 TILESITE CLBLL_R_X17Y131 SLICE_X26Y131 TILESITE CLBLL_R_X17Y131 SLICE_X27Y131 TILESITE CLBLL_R_X17Y132 SLICE_X26Y132 TILESITE CLBLL_R_X17Y132 SLICE_X27Y132 TILESITE CLBLL_R_X17Y133 SLICE_X26Y133 TILESITE CLBLL_R_X17Y133 SLICE_X27Y133 TILESITE CLBLL_R_X17Y134 SLICE_X26Y134 TILESITE CLBLL_R_X17Y134 SLICE_X27Y134 TILESITE CLBLL_R_X17Y135 SLICE_X26Y135 TILESITE CLBLL_R_X17Y135 SLICE_X27Y135 TILESITE CLBLL_R_X17Y136 SLICE_X26Y136 TILESITE CLBLL_R_X17Y136 SLICE_X27Y136 TILESITE CLBLL_R_X17Y137 SLICE_X26Y137 TILESITE CLBLL_R_X17Y137 SLICE_X27Y137 TILESITE CLBLL_R_X17Y138 SLICE_X26Y138 TILESITE CLBLL_R_X17Y138 SLICE_X27Y138 TILESITE CLBLL_R_X17Y139 SLICE_X26Y139 TILESITE CLBLL_R_X17Y139 SLICE_X27Y139 TILESITE CLBLL_R_X17Y140 SLICE_X26Y140 TILESITE CLBLL_R_X17Y140 SLICE_X27Y140 TILESITE CLBLL_R_X17Y141 SLICE_X26Y141 TILESITE CLBLL_R_X17Y141 SLICE_X27Y141 TILESITE CLBLL_R_X17Y142 SLICE_X26Y142 TILESITE CLBLL_R_X17Y142 SLICE_X27Y142 TILESITE CLBLL_R_X17Y143 SLICE_X26Y143 TILESITE CLBLL_R_X17Y143 SLICE_X27Y143 TILESITE CLBLL_R_X17Y144 SLICE_X26Y144 TILESITE CLBLL_R_X17Y144 SLICE_X27Y144 TILESITE CLBLL_R_X17Y145 SLICE_X26Y145 TILESITE CLBLL_R_X17Y145 SLICE_X27Y145 TILESITE CLBLL_R_X17Y146 SLICE_X26Y146 TILESITE CLBLL_R_X17Y146 SLICE_X27Y146 TILESITE CLBLL_R_X17Y147 SLICE_X26Y147 TILESITE CLBLL_R_X17Y147 SLICE_X27Y147 TILESITE CLBLL_R_X17Y148 SLICE_X26Y148 TILESITE CLBLL_R_X17Y148 SLICE_X27Y148 TILESITE CLBLL_R_X17Y149 SLICE_X26Y149 TILESITE CLBLL_R_X17Y149 SLICE_X27Y149 TILESITE CLBLL_R_X19Y0 SLICE_X28Y0 TILESITE CLBLL_R_X19Y0 SLICE_X29Y0 TILESITE CLBLL_R_X19Y1 SLICE_X28Y1 TILESITE CLBLL_R_X19Y1 SLICE_X29Y1 TILESITE CLBLL_R_X19Y2 SLICE_X28Y2 TILESITE CLBLL_R_X19Y2 SLICE_X29Y2 TILESITE CLBLL_R_X19Y3 SLICE_X28Y3 TILESITE CLBLL_R_X19Y3 SLICE_X29Y3 TILESITE CLBLL_R_X19Y4 SLICE_X28Y4 TILESITE CLBLL_R_X19Y4 SLICE_X29Y4 TILESITE CLBLL_R_X19Y5 SLICE_X28Y5 TILESITE CLBLL_R_X19Y5 SLICE_X29Y5 TILESITE CLBLL_R_X19Y6 SLICE_X28Y6 TILESITE CLBLL_R_X19Y6 SLICE_X29Y6 TILESITE CLBLL_R_X19Y7 SLICE_X28Y7 TILESITE CLBLL_R_X19Y7 SLICE_X29Y7 TILESITE CLBLL_R_X19Y8 SLICE_X28Y8 TILESITE CLBLL_R_X19Y8 SLICE_X29Y8 TILESITE CLBLL_R_X19Y9 SLICE_X28Y9 TILESITE CLBLL_R_X19Y9 SLICE_X29Y9 TILESITE CLBLL_R_X19Y10 SLICE_X28Y10 TILESITE CLBLL_R_X19Y10 SLICE_X29Y10 TILESITE CLBLL_R_X19Y11 SLICE_X28Y11 TILESITE CLBLL_R_X19Y11 SLICE_X29Y11 TILESITE CLBLL_R_X19Y12 SLICE_X28Y12 TILESITE CLBLL_R_X19Y12 SLICE_X29Y12 TILESITE CLBLL_R_X19Y13 SLICE_X28Y13 TILESITE CLBLL_R_X19Y13 SLICE_X29Y13 TILESITE CLBLL_R_X19Y14 SLICE_X28Y14 TILESITE CLBLL_R_X19Y14 SLICE_X29Y14 TILESITE CLBLL_R_X19Y15 SLICE_X28Y15 TILESITE CLBLL_R_X19Y15 SLICE_X29Y15 TILESITE CLBLL_R_X19Y16 SLICE_X28Y16 TILESITE CLBLL_R_X19Y16 SLICE_X29Y16 TILESITE CLBLL_R_X19Y17 SLICE_X28Y17 TILESITE CLBLL_R_X19Y17 SLICE_X29Y17 TILESITE CLBLL_R_X19Y18 SLICE_X28Y18 TILESITE CLBLL_R_X19Y18 SLICE_X29Y18 TILESITE CLBLL_R_X19Y19 SLICE_X28Y19 TILESITE CLBLL_R_X19Y19 SLICE_X29Y19 TILESITE CLBLL_R_X19Y20 SLICE_X28Y20 TILESITE CLBLL_R_X19Y20 SLICE_X29Y20 TILESITE CLBLL_R_X19Y21 SLICE_X28Y21 TILESITE CLBLL_R_X19Y21 SLICE_X29Y21 TILESITE CLBLL_R_X19Y22 SLICE_X28Y22 TILESITE CLBLL_R_X19Y22 SLICE_X29Y22 TILESITE CLBLL_R_X19Y23 SLICE_X28Y23 TILESITE CLBLL_R_X19Y23 SLICE_X29Y23 TILESITE CLBLL_R_X19Y24 SLICE_X28Y24 TILESITE CLBLL_R_X19Y24 SLICE_X29Y24 TILESITE CLBLL_R_X19Y25 SLICE_X28Y25 TILESITE CLBLL_R_X19Y25 SLICE_X29Y25 TILESITE CLBLL_R_X19Y26 SLICE_X28Y26 TILESITE CLBLL_R_X19Y26 SLICE_X29Y26 TILESITE CLBLL_R_X19Y27 SLICE_X28Y27 TILESITE CLBLL_R_X19Y27 SLICE_X29Y27 TILESITE CLBLL_R_X19Y28 SLICE_X28Y28 TILESITE CLBLL_R_X19Y28 SLICE_X29Y28 TILESITE CLBLL_R_X19Y29 SLICE_X28Y29 TILESITE CLBLL_R_X19Y29 SLICE_X29Y29 TILESITE CLBLL_R_X19Y30 SLICE_X28Y30 TILESITE CLBLL_R_X19Y30 SLICE_X29Y30 TILESITE CLBLL_R_X19Y31 SLICE_X28Y31 TILESITE CLBLL_R_X19Y31 SLICE_X29Y31 TILESITE CLBLL_R_X19Y32 SLICE_X28Y32 TILESITE CLBLL_R_X19Y32 SLICE_X29Y32 TILESITE CLBLL_R_X19Y33 SLICE_X28Y33 TILESITE CLBLL_R_X19Y33 SLICE_X29Y33 TILESITE CLBLL_R_X19Y34 SLICE_X28Y34 TILESITE CLBLL_R_X19Y34 SLICE_X29Y34 TILESITE CLBLL_R_X19Y35 SLICE_X28Y35 TILESITE CLBLL_R_X19Y35 SLICE_X29Y35 TILESITE CLBLL_R_X19Y36 SLICE_X28Y36 TILESITE CLBLL_R_X19Y36 SLICE_X29Y36 TILESITE CLBLL_R_X19Y37 SLICE_X28Y37 TILESITE CLBLL_R_X19Y37 SLICE_X29Y37 TILESITE CLBLL_R_X19Y38 SLICE_X28Y38 TILESITE CLBLL_R_X19Y38 SLICE_X29Y38 TILESITE CLBLL_R_X19Y39 SLICE_X28Y39 TILESITE CLBLL_R_X19Y39 SLICE_X29Y39 TILESITE CLBLL_R_X19Y40 SLICE_X28Y40 TILESITE CLBLL_R_X19Y40 SLICE_X29Y40 TILESITE CLBLL_R_X19Y41 SLICE_X28Y41 TILESITE CLBLL_R_X19Y41 SLICE_X29Y41 TILESITE CLBLL_R_X19Y42 SLICE_X28Y42 TILESITE CLBLL_R_X19Y42 SLICE_X29Y42 TILESITE CLBLL_R_X19Y43 SLICE_X28Y43 TILESITE CLBLL_R_X19Y43 SLICE_X29Y43 TILESITE CLBLL_R_X19Y44 SLICE_X28Y44 TILESITE CLBLL_R_X19Y44 SLICE_X29Y44 TILESITE CLBLL_R_X19Y45 SLICE_X28Y45 TILESITE CLBLL_R_X19Y45 SLICE_X29Y45 TILESITE CLBLL_R_X19Y46 SLICE_X28Y46 TILESITE CLBLL_R_X19Y46 SLICE_X29Y46 TILESITE CLBLL_R_X19Y47 SLICE_X28Y47 TILESITE CLBLL_R_X19Y47 SLICE_X29Y47 TILESITE CLBLL_R_X19Y48 SLICE_X28Y48 TILESITE CLBLL_R_X19Y48 SLICE_X29Y48 TILESITE CLBLL_R_X19Y49 SLICE_X28Y49 TILESITE CLBLL_R_X19Y49 SLICE_X29Y49 TILESITE CLBLL_R_X19Y50 SLICE_X28Y50 TILESITE CLBLL_R_X19Y50 SLICE_X29Y50 TILESITE CLBLL_R_X19Y51 SLICE_X28Y51 TILESITE CLBLL_R_X19Y51 SLICE_X29Y51 TILESITE CLBLL_R_X19Y52 SLICE_X28Y52 TILESITE CLBLL_R_X19Y52 SLICE_X29Y52 TILESITE CLBLL_R_X19Y53 SLICE_X28Y53 TILESITE CLBLL_R_X19Y53 SLICE_X29Y53 TILESITE CLBLL_R_X19Y54 SLICE_X28Y54 TILESITE CLBLL_R_X19Y54 SLICE_X29Y54 TILESITE CLBLL_R_X19Y55 SLICE_X28Y55 TILESITE CLBLL_R_X19Y55 SLICE_X29Y55 TILESITE CLBLL_R_X19Y56 SLICE_X28Y56 TILESITE CLBLL_R_X19Y56 SLICE_X29Y56 TILESITE CLBLL_R_X19Y57 SLICE_X28Y57 TILESITE CLBLL_R_X19Y57 SLICE_X29Y57 TILESITE CLBLL_R_X19Y58 SLICE_X28Y58 TILESITE CLBLL_R_X19Y58 SLICE_X29Y58 TILESITE CLBLL_R_X19Y59 SLICE_X28Y59 TILESITE CLBLL_R_X19Y59 SLICE_X29Y59 TILESITE CLBLL_R_X19Y60 SLICE_X28Y60 TILESITE CLBLL_R_X19Y60 SLICE_X29Y60 TILESITE CLBLL_R_X19Y61 SLICE_X28Y61 TILESITE CLBLL_R_X19Y61 SLICE_X29Y61 TILESITE CLBLL_R_X19Y62 SLICE_X28Y62 TILESITE CLBLL_R_X19Y62 SLICE_X29Y62 TILESITE CLBLL_R_X19Y63 SLICE_X28Y63 TILESITE CLBLL_R_X19Y63 SLICE_X29Y63 TILESITE CLBLL_R_X19Y64 SLICE_X28Y64 TILESITE CLBLL_R_X19Y64 SLICE_X29Y64 TILESITE CLBLL_R_X19Y65 SLICE_X28Y65 TILESITE CLBLL_R_X19Y65 SLICE_X29Y65 TILESITE CLBLL_R_X19Y66 SLICE_X28Y66 TILESITE CLBLL_R_X19Y66 SLICE_X29Y66 TILESITE CLBLL_R_X19Y67 SLICE_X28Y67 TILESITE CLBLL_R_X19Y67 SLICE_X29Y67 TILESITE CLBLL_R_X19Y68 SLICE_X28Y68 TILESITE CLBLL_R_X19Y68 SLICE_X29Y68 TILESITE CLBLL_R_X19Y69 SLICE_X28Y69 TILESITE CLBLL_R_X19Y69 SLICE_X29Y69 TILESITE CLBLL_R_X19Y70 SLICE_X28Y70 TILESITE CLBLL_R_X19Y70 SLICE_X29Y70 TILESITE CLBLL_R_X19Y71 SLICE_X28Y71 TILESITE CLBLL_R_X19Y71 SLICE_X29Y71 TILESITE CLBLL_R_X19Y72 SLICE_X28Y72 TILESITE CLBLL_R_X19Y72 SLICE_X29Y72 TILESITE CLBLL_R_X19Y73 SLICE_X28Y73 TILESITE CLBLL_R_X19Y73 SLICE_X29Y73 TILESITE CLBLL_R_X19Y74 SLICE_X28Y74 TILESITE CLBLL_R_X19Y74 SLICE_X29Y74 TILESITE CLBLL_R_X19Y75 SLICE_X28Y75 TILESITE CLBLL_R_X19Y75 SLICE_X29Y75 TILESITE CLBLL_R_X19Y76 SLICE_X28Y76 TILESITE CLBLL_R_X19Y76 SLICE_X29Y76 TILESITE CLBLL_R_X19Y77 SLICE_X28Y77 TILESITE CLBLL_R_X19Y77 SLICE_X29Y77 TILESITE CLBLL_R_X19Y78 SLICE_X28Y78 TILESITE CLBLL_R_X19Y78 SLICE_X29Y78 TILESITE CLBLL_R_X19Y79 SLICE_X28Y79 TILESITE CLBLL_R_X19Y79 SLICE_X29Y79 TILESITE CLBLL_R_X19Y80 SLICE_X28Y80 TILESITE CLBLL_R_X19Y80 SLICE_X29Y80 TILESITE CLBLL_R_X19Y81 SLICE_X28Y81 TILESITE CLBLL_R_X19Y81 SLICE_X29Y81 TILESITE CLBLL_R_X19Y82 SLICE_X28Y82 TILESITE CLBLL_R_X19Y82 SLICE_X29Y82 TILESITE CLBLL_R_X19Y83 SLICE_X28Y83 TILESITE CLBLL_R_X19Y83 SLICE_X29Y83 TILESITE CLBLL_R_X19Y84 SLICE_X28Y84 TILESITE CLBLL_R_X19Y84 SLICE_X29Y84 TILESITE CLBLL_R_X19Y85 SLICE_X28Y85 TILESITE CLBLL_R_X19Y85 SLICE_X29Y85 TILESITE CLBLL_R_X19Y86 SLICE_X28Y86 TILESITE CLBLL_R_X19Y86 SLICE_X29Y86 TILESITE CLBLL_R_X19Y87 SLICE_X28Y87 TILESITE CLBLL_R_X19Y87 SLICE_X29Y87 TILESITE CLBLL_R_X19Y88 SLICE_X28Y88 TILESITE CLBLL_R_X19Y88 SLICE_X29Y88 TILESITE CLBLL_R_X19Y89 SLICE_X28Y89 TILESITE CLBLL_R_X19Y89 SLICE_X29Y89 TILESITE CLBLL_R_X19Y90 SLICE_X28Y90 TILESITE CLBLL_R_X19Y90 SLICE_X29Y90 TILESITE CLBLL_R_X19Y91 SLICE_X28Y91 TILESITE CLBLL_R_X19Y91 SLICE_X29Y91 TILESITE CLBLL_R_X19Y92 SLICE_X28Y92 TILESITE CLBLL_R_X19Y92 SLICE_X29Y92 TILESITE CLBLL_R_X19Y93 SLICE_X28Y93 TILESITE CLBLL_R_X19Y93 SLICE_X29Y93 TILESITE CLBLL_R_X19Y94 SLICE_X28Y94 TILESITE CLBLL_R_X19Y94 SLICE_X29Y94 TILESITE CLBLL_R_X19Y95 SLICE_X28Y95 TILESITE CLBLL_R_X19Y95 SLICE_X29Y95 TILESITE CLBLL_R_X19Y96 SLICE_X28Y96 TILESITE CLBLL_R_X19Y96 SLICE_X29Y96 TILESITE CLBLL_R_X19Y97 SLICE_X28Y97 TILESITE CLBLL_R_X19Y97 SLICE_X29Y97 TILESITE CLBLL_R_X19Y98 SLICE_X28Y98 TILESITE CLBLL_R_X19Y98 SLICE_X29Y98 TILESITE CLBLL_R_X19Y99 SLICE_X28Y99 TILESITE CLBLL_R_X19Y99 SLICE_X29Y99 TILESITE CLBLL_R_X19Y100 SLICE_X28Y100 TILESITE CLBLL_R_X19Y100 SLICE_X29Y100 TILESITE CLBLL_R_X19Y101 SLICE_X28Y101 TILESITE CLBLL_R_X19Y101 SLICE_X29Y101 TILESITE CLBLL_R_X19Y102 SLICE_X28Y102 TILESITE CLBLL_R_X19Y102 SLICE_X29Y102 TILESITE CLBLL_R_X19Y103 SLICE_X28Y103 TILESITE CLBLL_R_X19Y103 SLICE_X29Y103 TILESITE CLBLL_R_X19Y104 SLICE_X28Y104 TILESITE CLBLL_R_X19Y104 SLICE_X29Y104 TILESITE CLBLL_R_X19Y105 SLICE_X28Y105 TILESITE CLBLL_R_X19Y105 SLICE_X29Y105 TILESITE CLBLL_R_X19Y106 SLICE_X28Y106 TILESITE CLBLL_R_X19Y106 SLICE_X29Y106 TILESITE CLBLL_R_X19Y107 SLICE_X28Y107 TILESITE CLBLL_R_X19Y107 SLICE_X29Y107 TILESITE CLBLL_R_X19Y108 SLICE_X28Y108 TILESITE CLBLL_R_X19Y108 SLICE_X29Y108 TILESITE CLBLL_R_X19Y109 SLICE_X28Y109 TILESITE CLBLL_R_X19Y109 SLICE_X29Y109 TILESITE CLBLL_R_X19Y110 SLICE_X28Y110 TILESITE CLBLL_R_X19Y110 SLICE_X29Y110 TILESITE CLBLL_R_X19Y111 SLICE_X28Y111 TILESITE CLBLL_R_X19Y111 SLICE_X29Y111 TILESITE CLBLL_R_X19Y112 SLICE_X28Y112 TILESITE CLBLL_R_X19Y112 SLICE_X29Y112 TILESITE CLBLL_R_X19Y113 SLICE_X28Y113 TILESITE CLBLL_R_X19Y113 SLICE_X29Y113 TILESITE CLBLL_R_X19Y114 SLICE_X28Y114 TILESITE CLBLL_R_X19Y114 SLICE_X29Y114 TILESITE CLBLL_R_X19Y115 SLICE_X28Y115 TILESITE CLBLL_R_X19Y115 SLICE_X29Y115 TILESITE CLBLL_R_X19Y116 SLICE_X28Y116 TILESITE CLBLL_R_X19Y116 SLICE_X29Y116 TILESITE CLBLL_R_X19Y117 SLICE_X28Y117 TILESITE CLBLL_R_X19Y117 SLICE_X29Y117 TILESITE CLBLL_R_X19Y118 SLICE_X28Y118 TILESITE CLBLL_R_X19Y118 SLICE_X29Y118 TILESITE CLBLL_R_X19Y119 SLICE_X28Y119 TILESITE CLBLL_R_X19Y119 SLICE_X29Y119 TILESITE CLBLL_R_X19Y120 SLICE_X28Y120 TILESITE CLBLL_R_X19Y120 SLICE_X29Y120 TILESITE CLBLL_R_X19Y121 SLICE_X28Y121 TILESITE CLBLL_R_X19Y121 SLICE_X29Y121 TILESITE CLBLL_R_X19Y122 SLICE_X28Y122 TILESITE CLBLL_R_X19Y122 SLICE_X29Y122 TILESITE CLBLL_R_X19Y123 SLICE_X28Y123 TILESITE CLBLL_R_X19Y123 SLICE_X29Y123 TILESITE CLBLL_R_X19Y124 SLICE_X28Y124 TILESITE CLBLL_R_X19Y124 SLICE_X29Y124 TILESITE CLBLL_R_X19Y125 SLICE_X28Y125 TILESITE CLBLL_R_X19Y125 SLICE_X29Y125 TILESITE CLBLL_R_X19Y126 SLICE_X28Y126 TILESITE CLBLL_R_X19Y126 SLICE_X29Y126 TILESITE CLBLL_R_X19Y127 SLICE_X28Y127 TILESITE CLBLL_R_X19Y127 SLICE_X29Y127 TILESITE CLBLL_R_X19Y128 SLICE_X28Y128 TILESITE CLBLL_R_X19Y128 SLICE_X29Y128 TILESITE CLBLL_R_X19Y129 SLICE_X28Y129 TILESITE CLBLL_R_X19Y129 SLICE_X29Y129 TILESITE CLBLL_R_X19Y130 SLICE_X28Y130 TILESITE CLBLL_R_X19Y130 SLICE_X29Y130 TILESITE CLBLL_R_X19Y131 SLICE_X28Y131 TILESITE CLBLL_R_X19Y131 SLICE_X29Y131 TILESITE CLBLL_R_X19Y132 SLICE_X28Y132 TILESITE CLBLL_R_X19Y132 SLICE_X29Y132 TILESITE CLBLL_R_X19Y133 SLICE_X28Y133 TILESITE CLBLL_R_X19Y133 SLICE_X29Y133 TILESITE CLBLL_R_X19Y134 SLICE_X28Y134 TILESITE CLBLL_R_X19Y134 SLICE_X29Y134 TILESITE CLBLL_R_X19Y135 SLICE_X28Y135 TILESITE CLBLL_R_X19Y135 SLICE_X29Y135 TILESITE CLBLL_R_X19Y136 SLICE_X28Y136 TILESITE CLBLL_R_X19Y136 SLICE_X29Y136 TILESITE CLBLL_R_X19Y137 SLICE_X28Y137 TILESITE CLBLL_R_X19Y137 SLICE_X29Y137 TILESITE CLBLL_R_X19Y138 SLICE_X28Y138 TILESITE CLBLL_R_X19Y138 SLICE_X29Y138 TILESITE CLBLL_R_X19Y139 SLICE_X28Y139 TILESITE CLBLL_R_X19Y139 SLICE_X29Y139 TILESITE CLBLL_R_X19Y140 SLICE_X28Y140 TILESITE CLBLL_R_X19Y140 SLICE_X29Y140 TILESITE CLBLL_R_X19Y141 SLICE_X28Y141 TILESITE CLBLL_R_X19Y141 SLICE_X29Y141 TILESITE CLBLL_R_X19Y142 SLICE_X28Y142 TILESITE CLBLL_R_X19Y142 SLICE_X29Y142 TILESITE CLBLL_R_X19Y143 SLICE_X28Y143 TILESITE CLBLL_R_X19Y143 SLICE_X29Y143 TILESITE CLBLL_R_X19Y144 SLICE_X28Y144 TILESITE CLBLL_R_X19Y144 SLICE_X29Y144 TILESITE CLBLL_R_X19Y145 SLICE_X28Y145 TILESITE CLBLL_R_X19Y145 SLICE_X29Y145 TILESITE CLBLL_R_X19Y146 SLICE_X28Y146 TILESITE CLBLL_R_X19Y146 SLICE_X29Y146 TILESITE CLBLL_R_X19Y147 SLICE_X28Y147 TILESITE CLBLL_R_X19Y147 SLICE_X29Y147 TILESITE CLBLL_R_X19Y148 SLICE_X28Y148 TILESITE CLBLL_R_X19Y148 SLICE_X29Y148 TILESITE CLBLL_R_X19Y149 SLICE_X28Y149 TILESITE CLBLL_R_X19Y149 SLICE_X29Y149 TILESITE CLBLL_R_X21Y0 SLICE_X32Y0 TILESITE CLBLL_R_X21Y0 SLICE_X33Y0 TILESITE CLBLL_R_X21Y1 SLICE_X32Y1 TILESITE CLBLL_R_X21Y1 SLICE_X33Y1 TILESITE CLBLL_R_X21Y2 SLICE_X32Y2 TILESITE CLBLL_R_X21Y2 SLICE_X33Y2 TILESITE CLBLL_R_X21Y3 SLICE_X32Y3 TILESITE CLBLL_R_X21Y3 SLICE_X33Y3 TILESITE CLBLL_R_X21Y4 SLICE_X32Y4 TILESITE CLBLL_R_X21Y4 SLICE_X33Y4 TILESITE CLBLL_R_X21Y5 SLICE_X32Y5 TILESITE CLBLL_R_X21Y5 SLICE_X33Y5 TILESITE CLBLL_R_X21Y6 SLICE_X32Y6 TILESITE CLBLL_R_X21Y6 SLICE_X33Y6 TILESITE CLBLL_R_X21Y7 SLICE_X32Y7 TILESITE CLBLL_R_X21Y7 SLICE_X33Y7 TILESITE CLBLL_R_X21Y8 SLICE_X32Y8 TILESITE CLBLL_R_X21Y8 SLICE_X33Y8 TILESITE CLBLL_R_X21Y9 SLICE_X32Y9 TILESITE CLBLL_R_X21Y9 SLICE_X33Y9 TILESITE CLBLL_R_X21Y10 SLICE_X32Y10 TILESITE CLBLL_R_X21Y10 SLICE_X33Y10 TILESITE CLBLL_R_X21Y11 SLICE_X32Y11 TILESITE CLBLL_R_X21Y11 SLICE_X33Y11 TILESITE CLBLL_R_X21Y12 SLICE_X32Y12 TILESITE CLBLL_R_X21Y12 SLICE_X33Y12 TILESITE CLBLL_R_X21Y13 SLICE_X32Y13 TILESITE CLBLL_R_X21Y13 SLICE_X33Y13 TILESITE CLBLL_R_X21Y14 SLICE_X32Y14 TILESITE CLBLL_R_X21Y14 SLICE_X33Y14 TILESITE CLBLL_R_X21Y15 SLICE_X32Y15 TILESITE CLBLL_R_X21Y15 SLICE_X33Y15 TILESITE CLBLL_R_X21Y16 SLICE_X32Y16 TILESITE CLBLL_R_X21Y16 SLICE_X33Y16 TILESITE CLBLL_R_X21Y17 SLICE_X32Y17 TILESITE CLBLL_R_X21Y17 SLICE_X33Y17 TILESITE CLBLL_R_X21Y18 SLICE_X32Y18 TILESITE CLBLL_R_X21Y18 SLICE_X33Y18 TILESITE CLBLL_R_X21Y19 SLICE_X32Y19 TILESITE CLBLL_R_X21Y19 SLICE_X33Y19 TILESITE CLBLL_R_X21Y20 SLICE_X32Y20 TILESITE CLBLL_R_X21Y20 SLICE_X33Y20 TILESITE CLBLL_R_X21Y21 SLICE_X32Y21 TILESITE CLBLL_R_X21Y21 SLICE_X33Y21 TILESITE CLBLL_R_X21Y22 SLICE_X32Y22 TILESITE CLBLL_R_X21Y22 SLICE_X33Y22 TILESITE CLBLL_R_X21Y23 SLICE_X32Y23 TILESITE CLBLL_R_X21Y23 SLICE_X33Y23 TILESITE CLBLL_R_X21Y24 SLICE_X32Y24 TILESITE CLBLL_R_X21Y24 SLICE_X33Y24 TILESITE CLBLL_R_X21Y25 SLICE_X32Y25 TILESITE CLBLL_R_X21Y25 SLICE_X33Y25 TILESITE CLBLL_R_X21Y26 SLICE_X32Y26 TILESITE CLBLL_R_X21Y26 SLICE_X33Y26 TILESITE CLBLL_R_X21Y27 SLICE_X32Y27 TILESITE CLBLL_R_X21Y27 SLICE_X33Y27 TILESITE CLBLL_R_X21Y28 SLICE_X32Y28 TILESITE CLBLL_R_X21Y28 SLICE_X33Y28 TILESITE CLBLL_R_X21Y29 SLICE_X32Y29 TILESITE CLBLL_R_X21Y29 SLICE_X33Y29 TILESITE CLBLL_R_X21Y30 SLICE_X32Y30 TILESITE CLBLL_R_X21Y30 SLICE_X33Y30 TILESITE CLBLL_R_X21Y31 SLICE_X32Y31 TILESITE CLBLL_R_X21Y31 SLICE_X33Y31 TILESITE CLBLL_R_X21Y32 SLICE_X32Y32 TILESITE CLBLL_R_X21Y32 SLICE_X33Y32 TILESITE CLBLL_R_X21Y33 SLICE_X32Y33 TILESITE CLBLL_R_X21Y33 SLICE_X33Y33 TILESITE CLBLL_R_X21Y34 SLICE_X32Y34 TILESITE CLBLL_R_X21Y34 SLICE_X33Y34 TILESITE CLBLL_R_X21Y35 SLICE_X32Y35 TILESITE CLBLL_R_X21Y35 SLICE_X33Y35 TILESITE CLBLL_R_X21Y36 SLICE_X32Y36 TILESITE CLBLL_R_X21Y36 SLICE_X33Y36 TILESITE CLBLL_R_X21Y37 SLICE_X32Y37 TILESITE CLBLL_R_X21Y37 SLICE_X33Y37 TILESITE CLBLL_R_X21Y38 SLICE_X32Y38 TILESITE CLBLL_R_X21Y38 SLICE_X33Y38 TILESITE CLBLL_R_X21Y39 SLICE_X32Y39 TILESITE CLBLL_R_X21Y39 SLICE_X33Y39 TILESITE CLBLL_R_X21Y40 SLICE_X32Y40 TILESITE CLBLL_R_X21Y40 SLICE_X33Y40 TILESITE CLBLL_R_X21Y41 SLICE_X32Y41 TILESITE CLBLL_R_X21Y41 SLICE_X33Y41 TILESITE CLBLL_R_X21Y42 SLICE_X32Y42 TILESITE CLBLL_R_X21Y42 SLICE_X33Y42 TILESITE CLBLL_R_X21Y43 SLICE_X32Y43 TILESITE CLBLL_R_X21Y43 SLICE_X33Y43 TILESITE CLBLL_R_X21Y44 SLICE_X32Y44 TILESITE CLBLL_R_X21Y44 SLICE_X33Y44 TILESITE CLBLL_R_X21Y45 SLICE_X32Y45 TILESITE CLBLL_R_X21Y45 SLICE_X33Y45 TILESITE CLBLL_R_X21Y46 SLICE_X32Y46 TILESITE CLBLL_R_X21Y46 SLICE_X33Y46 TILESITE CLBLL_R_X21Y47 SLICE_X32Y47 TILESITE CLBLL_R_X21Y47 SLICE_X33Y47 TILESITE CLBLL_R_X21Y48 SLICE_X32Y48 TILESITE CLBLL_R_X21Y48 SLICE_X33Y48 TILESITE CLBLL_R_X21Y49 SLICE_X32Y49 TILESITE CLBLL_R_X21Y49 SLICE_X33Y49 TILESITE CLBLL_R_X21Y50 SLICE_X32Y50 TILESITE CLBLL_R_X21Y50 SLICE_X33Y50 TILESITE CLBLL_R_X21Y51 SLICE_X32Y51 TILESITE CLBLL_R_X21Y51 SLICE_X33Y51 TILESITE CLBLL_R_X21Y52 SLICE_X32Y52 TILESITE CLBLL_R_X21Y52 SLICE_X33Y52 TILESITE CLBLL_R_X21Y53 SLICE_X32Y53 TILESITE CLBLL_R_X21Y53 SLICE_X33Y53 TILESITE CLBLL_R_X21Y54 SLICE_X32Y54 TILESITE CLBLL_R_X21Y54 SLICE_X33Y54 TILESITE CLBLL_R_X21Y55 SLICE_X32Y55 TILESITE CLBLL_R_X21Y55 SLICE_X33Y55 TILESITE CLBLL_R_X21Y56 SLICE_X32Y56 TILESITE CLBLL_R_X21Y56 SLICE_X33Y56 TILESITE CLBLL_R_X21Y57 SLICE_X32Y57 TILESITE CLBLL_R_X21Y57 SLICE_X33Y57 TILESITE CLBLL_R_X21Y58 SLICE_X32Y58 TILESITE CLBLL_R_X21Y58 SLICE_X33Y58 TILESITE CLBLL_R_X21Y59 SLICE_X32Y59 TILESITE CLBLL_R_X21Y59 SLICE_X33Y59 TILESITE CLBLL_R_X21Y60 SLICE_X32Y60 TILESITE CLBLL_R_X21Y60 SLICE_X33Y60 TILESITE CLBLL_R_X21Y61 SLICE_X32Y61 TILESITE CLBLL_R_X21Y61 SLICE_X33Y61 TILESITE CLBLL_R_X21Y62 SLICE_X32Y62 TILESITE CLBLL_R_X21Y62 SLICE_X33Y62 TILESITE CLBLL_R_X21Y63 SLICE_X32Y63 TILESITE CLBLL_R_X21Y63 SLICE_X33Y63 TILESITE CLBLL_R_X21Y64 SLICE_X32Y64 TILESITE CLBLL_R_X21Y64 SLICE_X33Y64 TILESITE CLBLL_R_X21Y65 SLICE_X32Y65 TILESITE CLBLL_R_X21Y65 SLICE_X33Y65 TILESITE CLBLL_R_X21Y66 SLICE_X32Y66 TILESITE CLBLL_R_X21Y66 SLICE_X33Y66 TILESITE CLBLL_R_X21Y67 SLICE_X32Y67 TILESITE CLBLL_R_X21Y67 SLICE_X33Y67 TILESITE CLBLL_R_X21Y68 SLICE_X32Y68 TILESITE CLBLL_R_X21Y68 SLICE_X33Y68 TILESITE CLBLL_R_X21Y69 SLICE_X32Y69 TILESITE CLBLL_R_X21Y69 SLICE_X33Y69 TILESITE CLBLL_R_X21Y70 SLICE_X32Y70 TILESITE CLBLL_R_X21Y70 SLICE_X33Y70 TILESITE CLBLL_R_X21Y71 SLICE_X32Y71 TILESITE CLBLL_R_X21Y71 SLICE_X33Y71 TILESITE CLBLL_R_X21Y72 SLICE_X32Y72 TILESITE CLBLL_R_X21Y72 SLICE_X33Y72 TILESITE CLBLL_R_X21Y73 SLICE_X32Y73 TILESITE CLBLL_R_X21Y73 SLICE_X33Y73 TILESITE CLBLL_R_X21Y74 SLICE_X32Y74 TILESITE CLBLL_R_X21Y74 SLICE_X33Y74 TILESITE CLBLL_R_X21Y75 SLICE_X32Y75 TILESITE CLBLL_R_X21Y75 SLICE_X33Y75 TILESITE CLBLL_R_X21Y76 SLICE_X32Y76 TILESITE CLBLL_R_X21Y76 SLICE_X33Y76 TILESITE CLBLL_R_X21Y77 SLICE_X32Y77 TILESITE CLBLL_R_X21Y77 SLICE_X33Y77 TILESITE CLBLL_R_X21Y78 SLICE_X32Y78 TILESITE CLBLL_R_X21Y78 SLICE_X33Y78 TILESITE CLBLL_R_X21Y79 SLICE_X32Y79 TILESITE CLBLL_R_X21Y79 SLICE_X33Y79 TILESITE CLBLL_R_X21Y80 SLICE_X32Y80 TILESITE CLBLL_R_X21Y80 SLICE_X33Y80 TILESITE CLBLL_R_X21Y81 SLICE_X32Y81 TILESITE CLBLL_R_X21Y81 SLICE_X33Y81 TILESITE CLBLL_R_X21Y82 SLICE_X32Y82 TILESITE CLBLL_R_X21Y82 SLICE_X33Y82 TILESITE CLBLL_R_X21Y83 SLICE_X32Y83 TILESITE CLBLL_R_X21Y83 SLICE_X33Y83 TILESITE CLBLL_R_X21Y84 SLICE_X32Y84 TILESITE CLBLL_R_X21Y84 SLICE_X33Y84 TILESITE CLBLL_R_X21Y85 SLICE_X32Y85 TILESITE CLBLL_R_X21Y85 SLICE_X33Y85 TILESITE CLBLL_R_X21Y86 SLICE_X32Y86 TILESITE CLBLL_R_X21Y86 SLICE_X33Y86 TILESITE CLBLL_R_X21Y87 SLICE_X32Y87 TILESITE CLBLL_R_X21Y87 SLICE_X33Y87 TILESITE CLBLL_R_X21Y88 SLICE_X32Y88 TILESITE CLBLL_R_X21Y88 SLICE_X33Y88 TILESITE CLBLL_R_X21Y89 SLICE_X32Y89 TILESITE CLBLL_R_X21Y89 SLICE_X33Y89 TILESITE CLBLL_R_X21Y90 SLICE_X32Y90 TILESITE CLBLL_R_X21Y90 SLICE_X33Y90 TILESITE CLBLL_R_X21Y91 SLICE_X32Y91 TILESITE CLBLL_R_X21Y91 SLICE_X33Y91 TILESITE CLBLL_R_X21Y92 SLICE_X32Y92 TILESITE CLBLL_R_X21Y92 SLICE_X33Y92 TILESITE CLBLL_R_X21Y93 SLICE_X32Y93 TILESITE CLBLL_R_X21Y93 SLICE_X33Y93 TILESITE CLBLL_R_X21Y94 SLICE_X32Y94 TILESITE CLBLL_R_X21Y94 SLICE_X33Y94 TILESITE CLBLL_R_X21Y95 SLICE_X32Y95 TILESITE CLBLL_R_X21Y95 SLICE_X33Y95 TILESITE CLBLL_R_X21Y96 SLICE_X32Y96 TILESITE CLBLL_R_X21Y96 SLICE_X33Y96 TILESITE CLBLL_R_X21Y97 SLICE_X32Y97 TILESITE CLBLL_R_X21Y97 SLICE_X33Y97 TILESITE CLBLL_R_X21Y98 SLICE_X32Y98 TILESITE CLBLL_R_X21Y98 SLICE_X33Y98 TILESITE CLBLL_R_X21Y99 SLICE_X32Y99 TILESITE CLBLL_R_X21Y99 SLICE_X33Y99 TILESITE CLBLL_R_X21Y100 SLICE_X32Y100 TILESITE CLBLL_R_X21Y100 SLICE_X33Y100 TILESITE CLBLL_R_X21Y101 SLICE_X32Y101 TILESITE CLBLL_R_X21Y101 SLICE_X33Y101 TILESITE CLBLL_R_X21Y102 SLICE_X32Y102 TILESITE CLBLL_R_X21Y102 SLICE_X33Y102 TILESITE CLBLL_R_X21Y103 SLICE_X32Y103 TILESITE CLBLL_R_X21Y103 SLICE_X33Y103 TILESITE CLBLL_R_X21Y104 SLICE_X32Y104 TILESITE CLBLL_R_X21Y104 SLICE_X33Y104 TILESITE CLBLL_R_X21Y105 SLICE_X32Y105 TILESITE CLBLL_R_X21Y105 SLICE_X33Y105 TILESITE CLBLL_R_X21Y106 SLICE_X32Y106 TILESITE CLBLL_R_X21Y106 SLICE_X33Y106 TILESITE CLBLL_R_X21Y107 SLICE_X32Y107 TILESITE CLBLL_R_X21Y107 SLICE_X33Y107 TILESITE CLBLL_R_X21Y108 SLICE_X32Y108 TILESITE CLBLL_R_X21Y108 SLICE_X33Y108 TILESITE CLBLL_R_X21Y109 SLICE_X32Y109 TILESITE CLBLL_R_X21Y109 SLICE_X33Y109 TILESITE CLBLL_R_X21Y110 SLICE_X32Y110 TILESITE CLBLL_R_X21Y110 SLICE_X33Y110 TILESITE CLBLL_R_X21Y111 SLICE_X32Y111 TILESITE CLBLL_R_X21Y111 SLICE_X33Y111 TILESITE CLBLL_R_X21Y112 SLICE_X32Y112 TILESITE CLBLL_R_X21Y112 SLICE_X33Y112 TILESITE CLBLL_R_X21Y113 SLICE_X32Y113 TILESITE CLBLL_R_X21Y113 SLICE_X33Y113 TILESITE CLBLL_R_X21Y114 SLICE_X32Y114 TILESITE CLBLL_R_X21Y114 SLICE_X33Y114 TILESITE CLBLL_R_X21Y115 SLICE_X32Y115 TILESITE CLBLL_R_X21Y115 SLICE_X33Y115 TILESITE CLBLL_R_X21Y116 SLICE_X32Y116 TILESITE CLBLL_R_X21Y116 SLICE_X33Y116 TILESITE CLBLL_R_X21Y117 SLICE_X32Y117 TILESITE CLBLL_R_X21Y117 SLICE_X33Y117 TILESITE CLBLL_R_X21Y118 SLICE_X32Y118 TILESITE CLBLL_R_X21Y118 SLICE_X33Y118 TILESITE CLBLL_R_X21Y119 SLICE_X32Y119 TILESITE CLBLL_R_X21Y119 SLICE_X33Y119 TILESITE CLBLL_R_X21Y120 SLICE_X32Y120 TILESITE CLBLL_R_X21Y120 SLICE_X33Y120 TILESITE CLBLL_R_X21Y121 SLICE_X32Y121 TILESITE CLBLL_R_X21Y121 SLICE_X33Y121 TILESITE CLBLL_R_X21Y122 SLICE_X32Y122 TILESITE CLBLL_R_X21Y122 SLICE_X33Y122 TILESITE CLBLL_R_X21Y123 SLICE_X32Y123 TILESITE CLBLL_R_X21Y123 SLICE_X33Y123 TILESITE CLBLL_R_X21Y124 SLICE_X32Y124 TILESITE CLBLL_R_X21Y124 SLICE_X33Y124 TILESITE CLBLL_R_X21Y125 SLICE_X32Y125 TILESITE CLBLL_R_X21Y125 SLICE_X33Y125 TILESITE CLBLL_R_X21Y126 SLICE_X32Y126 TILESITE CLBLL_R_X21Y126 SLICE_X33Y126 TILESITE CLBLL_R_X21Y127 SLICE_X32Y127 TILESITE CLBLL_R_X21Y127 SLICE_X33Y127 TILESITE CLBLL_R_X21Y128 SLICE_X32Y128 TILESITE CLBLL_R_X21Y128 SLICE_X33Y128 TILESITE CLBLL_R_X21Y129 SLICE_X32Y129 TILESITE CLBLL_R_X21Y129 SLICE_X33Y129 TILESITE CLBLL_R_X21Y130 SLICE_X32Y130 TILESITE CLBLL_R_X21Y130 SLICE_X33Y130 TILESITE CLBLL_R_X21Y131 SLICE_X32Y131 TILESITE CLBLL_R_X21Y131 SLICE_X33Y131 TILESITE CLBLL_R_X21Y132 SLICE_X32Y132 TILESITE CLBLL_R_X21Y132 SLICE_X33Y132 TILESITE CLBLL_R_X21Y133 SLICE_X32Y133 TILESITE CLBLL_R_X21Y133 SLICE_X33Y133 TILESITE CLBLL_R_X21Y134 SLICE_X32Y134 TILESITE CLBLL_R_X21Y134 SLICE_X33Y134 TILESITE CLBLL_R_X21Y135 SLICE_X32Y135 TILESITE CLBLL_R_X21Y135 SLICE_X33Y135 TILESITE CLBLL_R_X21Y136 SLICE_X32Y136 TILESITE CLBLL_R_X21Y136 SLICE_X33Y136 TILESITE CLBLL_R_X21Y137 SLICE_X32Y137 TILESITE CLBLL_R_X21Y137 SLICE_X33Y137 TILESITE CLBLL_R_X21Y138 SLICE_X32Y138 TILESITE CLBLL_R_X21Y138 SLICE_X33Y138 TILESITE CLBLL_R_X21Y139 SLICE_X32Y139 TILESITE CLBLL_R_X21Y139 SLICE_X33Y139 TILESITE CLBLL_R_X21Y140 SLICE_X32Y140 TILESITE CLBLL_R_X21Y140 SLICE_X33Y140 TILESITE CLBLL_R_X21Y141 SLICE_X32Y141 TILESITE CLBLL_R_X21Y141 SLICE_X33Y141 TILESITE CLBLL_R_X21Y142 SLICE_X32Y142 TILESITE CLBLL_R_X21Y142 SLICE_X33Y142 TILESITE CLBLL_R_X21Y143 SLICE_X32Y143 TILESITE CLBLL_R_X21Y143 SLICE_X33Y143 TILESITE CLBLL_R_X21Y144 SLICE_X32Y144 TILESITE CLBLL_R_X21Y144 SLICE_X33Y144 TILESITE CLBLL_R_X21Y145 SLICE_X32Y145 TILESITE CLBLL_R_X21Y145 SLICE_X33Y145 TILESITE CLBLL_R_X21Y146 SLICE_X32Y146 TILESITE CLBLL_R_X21Y146 SLICE_X33Y146 TILESITE CLBLL_R_X21Y147 SLICE_X32Y147 TILESITE CLBLL_R_X21Y147 SLICE_X33Y147 TILESITE CLBLL_R_X21Y148 SLICE_X32Y148 TILESITE CLBLL_R_X21Y148 SLICE_X33Y148 TILESITE CLBLL_R_X21Y149 SLICE_X32Y149 TILESITE CLBLL_R_X21Y149 SLICE_X33Y149 TILESITE CLBLL_R_X31Y0 SLICE_X48Y0 TILESITE CLBLL_R_X31Y0 SLICE_X49Y0 TILESITE CLBLL_R_X31Y1 SLICE_X48Y1 TILESITE CLBLL_R_X31Y1 SLICE_X49Y1 TILESITE CLBLL_R_X31Y2 SLICE_X48Y2 TILESITE CLBLL_R_X31Y2 SLICE_X49Y2 TILESITE CLBLL_R_X31Y3 SLICE_X48Y3 TILESITE CLBLL_R_X31Y3 SLICE_X49Y3 TILESITE CLBLL_R_X31Y4 SLICE_X48Y4 TILESITE CLBLL_R_X31Y4 SLICE_X49Y4 TILESITE CLBLL_R_X31Y5 SLICE_X48Y5 TILESITE CLBLL_R_X31Y5 SLICE_X49Y5 TILESITE CLBLL_R_X31Y6 SLICE_X48Y6 TILESITE CLBLL_R_X31Y6 SLICE_X49Y6 TILESITE CLBLL_R_X31Y7 SLICE_X48Y7 TILESITE CLBLL_R_X31Y7 SLICE_X49Y7 TILESITE CLBLL_R_X31Y8 SLICE_X48Y8 TILESITE CLBLL_R_X31Y8 SLICE_X49Y8 TILESITE CLBLL_R_X31Y9 SLICE_X48Y9 TILESITE CLBLL_R_X31Y9 SLICE_X49Y9 TILESITE CLBLL_R_X31Y10 SLICE_X48Y10 TILESITE CLBLL_R_X31Y10 SLICE_X49Y10 TILESITE CLBLL_R_X31Y11 SLICE_X48Y11 TILESITE CLBLL_R_X31Y11 SLICE_X49Y11 TILESITE CLBLL_R_X31Y12 SLICE_X48Y12 TILESITE CLBLL_R_X31Y12 SLICE_X49Y12 TILESITE CLBLL_R_X31Y13 SLICE_X48Y13 TILESITE CLBLL_R_X31Y13 SLICE_X49Y13 TILESITE CLBLL_R_X31Y14 SLICE_X48Y14 TILESITE CLBLL_R_X31Y14 SLICE_X49Y14 TILESITE CLBLL_R_X31Y15 SLICE_X48Y15 TILESITE CLBLL_R_X31Y15 SLICE_X49Y15 TILESITE CLBLL_R_X31Y16 SLICE_X48Y16 TILESITE CLBLL_R_X31Y16 SLICE_X49Y16 TILESITE CLBLL_R_X31Y17 SLICE_X48Y17 TILESITE CLBLL_R_X31Y17 SLICE_X49Y17 TILESITE CLBLL_R_X31Y18 SLICE_X48Y18 TILESITE CLBLL_R_X31Y18 SLICE_X49Y18 TILESITE CLBLL_R_X31Y19 SLICE_X48Y19 TILESITE CLBLL_R_X31Y19 SLICE_X49Y19 TILESITE CLBLL_R_X31Y20 SLICE_X48Y20 TILESITE CLBLL_R_X31Y20 SLICE_X49Y20 TILESITE CLBLL_R_X31Y21 SLICE_X48Y21 TILESITE CLBLL_R_X31Y21 SLICE_X49Y21 TILESITE CLBLL_R_X31Y22 SLICE_X48Y22 TILESITE CLBLL_R_X31Y22 SLICE_X49Y22 TILESITE CLBLL_R_X31Y23 SLICE_X48Y23 TILESITE CLBLL_R_X31Y23 SLICE_X49Y23 TILESITE CLBLL_R_X31Y24 SLICE_X48Y24 TILESITE CLBLL_R_X31Y24 SLICE_X49Y24 TILESITE CLBLL_R_X31Y25 SLICE_X48Y25 TILESITE CLBLL_R_X31Y25 SLICE_X49Y25 TILESITE CLBLL_R_X31Y26 SLICE_X48Y26 TILESITE CLBLL_R_X31Y26 SLICE_X49Y26 TILESITE CLBLL_R_X31Y27 SLICE_X48Y27 TILESITE CLBLL_R_X31Y27 SLICE_X49Y27 TILESITE CLBLL_R_X31Y28 SLICE_X48Y28 TILESITE CLBLL_R_X31Y28 SLICE_X49Y28 TILESITE CLBLL_R_X31Y29 SLICE_X48Y29 TILESITE CLBLL_R_X31Y29 SLICE_X49Y29 TILESITE CLBLL_R_X31Y30 SLICE_X48Y30 TILESITE CLBLL_R_X31Y30 SLICE_X49Y30 TILESITE CLBLL_R_X31Y31 SLICE_X48Y31 TILESITE CLBLL_R_X31Y31 SLICE_X49Y31 TILESITE CLBLL_R_X31Y32 SLICE_X48Y32 TILESITE CLBLL_R_X31Y32 SLICE_X49Y32 TILESITE CLBLL_R_X31Y33 SLICE_X48Y33 TILESITE CLBLL_R_X31Y33 SLICE_X49Y33 TILESITE CLBLL_R_X31Y34 SLICE_X48Y34 TILESITE CLBLL_R_X31Y34 SLICE_X49Y34 TILESITE CLBLL_R_X31Y35 SLICE_X48Y35 TILESITE CLBLL_R_X31Y35 SLICE_X49Y35 TILESITE CLBLL_R_X31Y36 SLICE_X48Y36 TILESITE CLBLL_R_X31Y36 SLICE_X49Y36 TILESITE CLBLL_R_X31Y37 SLICE_X48Y37 TILESITE CLBLL_R_X31Y37 SLICE_X49Y37 TILESITE CLBLL_R_X31Y38 SLICE_X48Y38 TILESITE CLBLL_R_X31Y38 SLICE_X49Y38 TILESITE CLBLL_R_X31Y39 SLICE_X48Y39 TILESITE CLBLL_R_X31Y39 SLICE_X49Y39 TILESITE CLBLL_R_X31Y40 SLICE_X48Y40 TILESITE CLBLL_R_X31Y40 SLICE_X49Y40 TILESITE CLBLL_R_X31Y41 SLICE_X48Y41 TILESITE CLBLL_R_X31Y41 SLICE_X49Y41 TILESITE CLBLL_R_X31Y42 SLICE_X48Y42 TILESITE CLBLL_R_X31Y42 SLICE_X49Y42 TILESITE CLBLL_R_X31Y43 SLICE_X48Y43 TILESITE CLBLL_R_X31Y43 SLICE_X49Y43 TILESITE CLBLL_R_X31Y44 SLICE_X48Y44 TILESITE CLBLL_R_X31Y44 SLICE_X49Y44 TILESITE CLBLL_R_X31Y45 SLICE_X48Y45 TILESITE CLBLL_R_X31Y45 SLICE_X49Y45 TILESITE CLBLL_R_X31Y46 SLICE_X48Y46 TILESITE CLBLL_R_X31Y46 SLICE_X49Y46 TILESITE CLBLL_R_X31Y47 SLICE_X48Y47 TILESITE CLBLL_R_X31Y47 SLICE_X49Y47 TILESITE CLBLL_R_X31Y48 SLICE_X48Y48 TILESITE CLBLL_R_X31Y48 SLICE_X49Y48 TILESITE CLBLL_R_X31Y49 SLICE_X48Y49 TILESITE CLBLL_R_X31Y49 SLICE_X49Y49 TILESITE CLBLL_R_X31Y50 SLICE_X48Y50 TILESITE CLBLL_R_X31Y50 SLICE_X49Y50 TILESITE CLBLL_R_X31Y51 SLICE_X48Y51 TILESITE CLBLL_R_X31Y51 SLICE_X49Y51 TILESITE CLBLL_R_X31Y52 SLICE_X48Y52 TILESITE CLBLL_R_X31Y52 SLICE_X49Y52 TILESITE CLBLL_R_X31Y53 SLICE_X48Y53 TILESITE CLBLL_R_X31Y53 SLICE_X49Y53 TILESITE CLBLL_R_X31Y54 SLICE_X48Y54 TILESITE CLBLL_R_X31Y54 SLICE_X49Y54 TILESITE CLBLL_R_X31Y55 SLICE_X48Y55 TILESITE CLBLL_R_X31Y55 SLICE_X49Y55 TILESITE CLBLL_R_X31Y56 SLICE_X48Y56 TILESITE CLBLL_R_X31Y56 SLICE_X49Y56 TILESITE CLBLL_R_X31Y57 SLICE_X48Y57 TILESITE CLBLL_R_X31Y57 SLICE_X49Y57 TILESITE CLBLL_R_X31Y58 SLICE_X48Y58 TILESITE CLBLL_R_X31Y58 SLICE_X49Y58 TILESITE CLBLL_R_X31Y59 SLICE_X48Y59 TILESITE CLBLL_R_X31Y59 SLICE_X49Y59 TILESITE CLBLL_R_X31Y60 SLICE_X48Y60 TILESITE CLBLL_R_X31Y60 SLICE_X49Y60 TILESITE CLBLL_R_X31Y61 SLICE_X48Y61 TILESITE CLBLL_R_X31Y61 SLICE_X49Y61 TILESITE CLBLL_R_X31Y62 SLICE_X48Y62 TILESITE CLBLL_R_X31Y62 SLICE_X49Y62 TILESITE CLBLL_R_X31Y63 SLICE_X48Y63 TILESITE CLBLL_R_X31Y63 SLICE_X49Y63 TILESITE CLBLL_R_X31Y64 SLICE_X48Y64 TILESITE CLBLL_R_X31Y64 SLICE_X49Y64 TILESITE CLBLL_R_X31Y65 SLICE_X48Y65 TILESITE CLBLL_R_X31Y65 SLICE_X49Y65 TILESITE CLBLL_R_X31Y66 SLICE_X48Y66 TILESITE CLBLL_R_X31Y66 SLICE_X49Y66 TILESITE CLBLL_R_X31Y67 SLICE_X48Y67 TILESITE CLBLL_R_X31Y67 SLICE_X49Y67 TILESITE CLBLL_R_X31Y68 SLICE_X48Y68 TILESITE CLBLL_R_X31Y68 SLICE_X49Y68 TILESITE CLBLL_R_X31Y69 SLICE_X48Y69 TILESITE CLBLL_R_X31Y69 SLICE_X49Y69 TILESITE CLBLL_R_X31Y70 SLICE_X48Y70 TILESITE CLBLL_R_X31Y70 SLICE_X49Y70 TILESITE CLBLL_R_X31Y71 SLICE_X48Y71 TILESITE CLBLL_R_X31Y71 SLICE_X49Y71 TILESITE CLBLL_R_X31Y72 SLICE_X48Y72 TILESITE CLBLL_R_X31Y72 SLICE_X49Y72 TILESITE CLBLL_R_X31Y73 SLICE_X48Y73 TILESITE CLBLL_R_X31Y73 SLICE_X49Y73 TILESITE CLBLL_R_X31Y74 SLICE_X48Y74 TILESITE CLBLL_R_X31Y74 SLICE_X49Y74 TILESITE CLBLL_R_X31Y75 SLICE_X48Y75 TILESITE CLBLL_R_X31Y75 SLICE_X49Y75 TILESITE CLBLL_R_X31Y76 SLICE_X48Y76 TILESITE CLBLL_R_X31Y76 SLICE_X49Y76 TILESITE CLBLL_R_X31Y77 SLICE_X48Y77 TILESITE CLBLL_R_X31Y77 SLICE_X49Y77 TILESITE CLBLL_R_X31Y78 SLICE_X48Y78 TILESITE CLBLL_R_X31Y78 SLICE_X49Y78 TILESITE CLBLL_R_X31Y79 SLICE_X48Y79 TILESITE CLBLL_R_X31Y79 SLICE_X49Y79 TILESITE CLBLL_R_X31Y80 SLICE_X48Y80 TILESITE CLBLL_R_X31Y80 SLICE_X49Y80 TILESITE CLBLL_R_X31Y81 SLICE_X48Y81 TILESITE CLBLL_R_X31Y81 SLICE_X49Y81 TILESITE CLBLL_R_X31Y82 SLICE_X48Y82 TILESITE CLBLL_R_X31Y82 SLICE_X49Y82 TILESITE CLBLL_R_X31Y83 SLICE_X48Y83 TILESITE CLBLL_R_X31Y83 SLICE_X49Y83 TILESITE CLBLL_R_X31Y84 SLICE_X48Y84 TILESITE CLBLL_R_X31Y84 SLICE_X49Y84 TILESITE CLBLL_R_X31Y85 SLICE_X48Y85 TILESITE CLBLL_R_X31Y85 SLICE_X49Y85 TILESITE CLBLL_R_X31Y86 SLICE_X48Y86 TILESITE CLBLL_R_X31Y86 SLICE_X49Y86 TILESITE CLBLL_R_X31Y87 SLICE_X48Y87 TILESITE CLBLL_R_X31Y87 SLICE_X49Y87 TILESITE CLBLL_R_X31Y88 SLICE_X48Y88 TILESITE CLBLL_R_X31Y88 SLICE_X49Y88 TILESITE CLBLL_R_X31Y89 SLICE_X48Y89 TILESITE CLBLL_R_X31Y89 SLICE_X49Y89 TILESITE CLBLL_R_X31Y90 SLICE_X48Y90 TILESITE CLBLL_R_X31Y90 SLICE_X49Y90 TILESITE CLBLL_R_X31Y91 SLICE_X48Y91 TILESITE CLBLL_R_X31Y91 SLICE_X49Y91 TILESITE CLBLL_R_X31Y92 SLICE_X48Y92 TILESITE CLBLL_R_X31Y92 SLICE_X49Y92 TILESITE CLBLL_R_X31Y93 SLICE_X48Y93 TILESITE CLBLL_R_X31Y93 SLICE_X49Y93 TILESITE CLBLL_R_X31Y94 SLICE_X48Y94 TILESITE CLBLL_R_X31Y94 SLICE_X49Y94 TILESITE CLBLL_R_X31Y95 SLICE_X48Y95 TILESITE CLBLL_R_X31Y95 SLICE_X49Y95 TILESITE CLBLL_R_X31Y96 SLICE_X48Y96 TILESITE CLBLL_R_X31Y96 SLICE_X49Y96 TILESITE CLBLL_R_X31Y97 SLICE_X48Y97 TILESITE CLBLL_R_X31Y97 SLICE_X49Y97 TILESITE CLBLL_R_X31Y98 SLICE_X48Y98 TILESITE CLBLL_R_X31Y98 SLICE_X49Y98 TILESITE CLBLL_R_X31Y99 SLICE_X48Y99 TILESITE CLBLL_R_X31Y99 SLICE_X49Y99 TILESITE CLBLL_R_X31Y100 SLICE_X48Y100 TILESITE CLBLL_R_X31Y100 SLICE_X49Y100 TILESITE CLBLL_R_X31Y101 SLICE_X48Y101 TILESITE CLBLL_R_X31Y101 SLICE_X49Y101 TILESITE CLBLL_R_X31Y102 SLICE_X48Y102 TILESITE CLBLL_R_X31Y102 SLICE_X49Y102 TILESITE CLBLL_R_X31Y103 SLICE_X48Y103 TILESITE CLBLL_R_X31Y103 SLICE_X49Y103 TILESITE CLBLL_R_X31Y104 SLICE_X48Y104 TILESITE CLBLL_R_X31Y104 SLICE_X49Y104 TILESITE CLBLL_R_X31Y105 SLICE_X48Y105 TILESITE CLBLL_R_X31Y105 SLICE_X49Y105 TILESITE CLBLL_R_X31Y106 SLICE_X48Y106 TILESITE CLBLL_R_X31Y106 SLICE_X49Y106 TILESITE CLBLL_R_X31Y107 SLICE_X48Y107 TILESITE CLBLL_R_X31Y107 SLICE_X49Y107 TILESITE CLBLL_R_X31Y108 SLICE_X48Y108 TILESITE CLBLL_R_X31Y108 SLICE_X49Y108 TILESITE CLBLL_R_X31Y109 SLICE_X48Y109 TILESITE CLBLL_R_X31Y109 SLICE_X49Y109 TILESITE CLBLL_R_X31Y110 SLICE_X48Y110 TILESITE CLBLL_R_X31Y110 SLICE_X49Y110 TILESITE CLBLL_R_X31Y111 SLICE_X48Y111 TILESITE CLBLL_R_X31Y111 SLICE_X49Y111 TILESITE CLBLL_R_X31Y112 SLICE_X48Y112 TILESITE CLBLL_R_X31Y112 SLICE_X49Y112 TILESITE CLBLL_R_X31Y113 SLICE_X48Y113 TILESITE CLBLL_R_X31Y113 SLICE_X49Y113 TILESITE CLBLL_R_X31Y114 SLICE_X48Y114 TILESITE CLBLL_R_X31Y114 SLICE_X49Y114 TILESITE CLBLL_R_X31Y115 SLICE_X48Y115 TILESITE CLBLL_R_X31Y115 SLICE_X49Y115 TILESITE CLBLL_R_X31Y116 SLICE_X48Y116 TILESITE CLBLL_R_X31Y116 SLICE_X49Y116 TILESITE CLBLL_R_X31Y117 SLICE_X48Y117 TILESITE CLBLL_R_X31Y117 SLICE_X49Y117 TILESITE CLBLL_R_X31Y118 SLICE_X48Y118 TILESITE CLBLL_R_X31Y118 SLICE_X49Y118 TILESITE CLBLL_R_X31Y119 SLICE_X48Y119 TILESITE CLBLL_R_X31Y119 SLICE_X49Y119 TILESITE CLBLL_R_X31Y120 SLICE_X48Y120 TILESITE CLBLL_R_X31Y120 SLICE_X49Y120 TILESITE CLBLL_R_X31Y121 SLICE_X48Y121 TILESITE CLBLL_R_X31Y121 SLICE_X49Y121 TILESITE CLBLL_R_X31Y122 SLICE_X48Y122 TILESITE CLBLL_R_X31Y122 SLICE_X49Y122 TILESITE CLBLL_R_X31Y123 SLICE_X48Y123 TILESITE CLBLL_R_X31Y123 SLICE_X49Y123 TILESITE CLBLL_R_X31Y124 SLICE_X48Y124 TILESITE CLBLL_R_X31Y124 SLICE_X49Y124 TILESITE CLBLL_R_X31Y125 SLICE_X48Y125 TILESITE CLBLL_R_X31Y125 SLICE_X49Y125 TILESITE CLBLL_R_X31Y126 SLICE_X48Y126 TILESITE CLBLL_R_X31Y126 SLICE_X49Y126 TILESITE CLBLL_R_X31Y127 SLICE_X48Y127 TILESITE CLBLL_R_X31Y127 SLICE_X49Y127 TILESITE CLBLL_R_X31Y128 SLICE_X48Y128 TILESITE CLBLL_R_X31Y128 SLICE_X49Y128 TILESITE CLBLL_R_X31Y129 SLICE_X48Y129 TILESITE CLBLL_R_X31Y129 SLICE_X49Y129 TILESITE CLBLL_R_X31Y130 SLICE_X48Y130 TILESITE CLBLL_R_X31Y130 SLICE_X49Y130 TILESITE CLBLL_R_X31Y131 SLICE_X48Y131 TILESITE CLBLL_R_X31Y131 SLICE_X49Y131 TILESITE CLBLL_R_X31Y132 SLICE_X48Y132 TILESITE CLBLL_R_X31Y132 SLICE_X49Y132 TILESITE CLBLL_R_X31Y133 SLICE_X48Y133 TILESITE CLBLL_R_X31Y133 SLICE_X49Y133 TILESITE CLBLL_R_X31Y134 SLICE_X48Y134 TILESITE CLBLL_R_X31Y134 SLICE_X49Y134 TILESITE CLBLL_R_X31Y135 SLICE_X48Y135 TILESITE CLBLL_R_X31Y135 SLICE_X49Y135 TILESITE CLBLL_R_X31Y136 SLICE_X48Y136 TILESITE CLBLL_R_X31Y136 SLICE_X49Y136 TILESITE CLBLL_R_X31Y137 SLICE_X48Y137 TILESITE CLBLL_R_X31Y137 SLICE_X49Y137 TILESITE CLBLL_R_X31Y138 SLICE_X48Y138 TILESITE CLBLL_R_X31Y138 SLICE_X49Y138 TILESITE CLBLL_R_X31Y139 SLICE_X48Y139 TILESITE CLBLL_R_X31Y139 SLICE_X49Y139 TILESITE CLBLL_R_X31Y140 SLICE_X48Y140 TILESITE CLBLL_R_X31Y140 SLICE_X49Y140 TILESITE CLBLL_R_X31Y141 SLICE_X48Y141 TILESITE CLBLL_R_X31Y141 SLICE_X49Y141 TILESITE CLBLL_R_X31Y142 SLICE_X48Y142 TILESITE CLBLL_R_X31Y142 SLICE_X49Y142 TILESITE CLBLL_R_X31Y143 SLICE_X48Y143 TILESITE CLBLL_R_X31Y143 SLICE_X49Y143 TILESITE CLBLL_R_X31Y144 SLICE_X48Y144 TILESITE CLBLL_R_X31Y144 SLICE_X49Y144 TILESITE CLBLL_R_X31Y145 SLICE_X48Y145 TILESITE CLBLL_R_X31Y145 SLICE_X49Y145 TILESITE CLBLL_R_X31Y146 SLICE_X48Y146 TILESITE CLBLL_R_X31Y146 SLICE_X49Y146 TILESITE CLBLL_R_X31Y147 SLICE_X48Y147 TILESITE CLBLL_R_X31Y147 SLICE_X49Y147 TILESITE CLBLL_R_X31Y148 SLICE_X48Y148 TILESITE CLBLL_R_X31Y148 SLICE_X49Y148 TILESITE CLBLL_R_X31Y149 SLICE_X48Y149 TILESITE CLBLL_R_X31Y149 SLICE_X49Y149 TILESITE CLBLM_L_X10Y0 SLICE_X12Y0 TILESITE CLBLM_L_X10Y0 SLICE_X13Y0 TILESITE CLBLM_L_X10Y1 SLICE_X12Y1 TILESITE CLBLM_L_X10Y1 SLICE_X13Y1 TILESITE CLBLM_L_X10Y2 SLICE_X12Y2 TILESITE CLBLM_L_X10Y2 SLICE_X13Y2 TILESITE CLBLM_L_X10Y3 SLICE_X12Y3 TILESITE CLBLM_L_X10Y3 SLICE_X13Y3 TILESITE CLBLM_L_X10Y4 SLICE_X12Y4 TILESITE CLBLM_L_X10Y4 SLICE_X13Y4 TILESITE CLBLM_L_X10Y5 SLICE_X12Y5 TILESITE CLBLM_L_X10Y5 SLICE_X13Y5 TILESITE CLBLM_L_X10Y6 SLICE_X12Y6 TILESITE CLBLM_L_X10Y6 SLICE_X13Y6 TILESITE CLBLM_L_X10Y7 SLICE_X12Y7 TILESITE CLBLM_L_X10Y7 SLICE_X13Y7 TILESITE CLBLM_L_X10Y8 SLICE_X12Y8 TILESITE CLBLM_L_X10Y8 SLICE_X13Y8 TILESITE CLBLM_L_X10Y9 SLICE_X12Y9 TILESITE CLBLM_L_X10Y9 SLICE_X13Y9 TILESITE CLBLM_L_X10Y10 SLICE_X12Y10 TILESITE CLBLM_L_X10Y10 SLICE_X13Y10 TILESITE CLBLM_L_X10Y11 SLICE_X12Y11 TILESITE CLBLM_L_X10Y11 SLICE_X13Y11 TILESITE CLBLM_L_X10Y12 SLICE_X12Y12 TILESITE CLBLM_L_X10Y12 SLICE_X13Y12 TILESITE CLBLM_L_X10Y13 SLICE_X12Y13 TILESITE CLBLM_L_X10Y13 SLICE_X13Y13 TILESITE CLBLM_L_X10Y14 SLICE_X12Y14 TILESITE CLBLM_L_X10Y14 SLICE_X13Y14 TILESITE CLBLM_L_X10Y15 SLICE_X12Y15 TILESITE CLBLM_L_X10Y15 SLICE_X13Y15 TILESITE CLBLM_L_X10Y16 SLICE_X12Y16 TILESITE CLBLM_L_X10Y16 SLICE_X13Y16 TILESITE CLBLM_L_X10Y17 SLICE_X12Y17 TILESITE CLBLM_L_X10Y17 SLICE_X13Y17 TILESITE CLBLM_L_X10Y18 SLICE_X12Y18 TILESITE CLBLM_L_X10Y18 SLICE_X13Y18 TILESITE CLBLM_L_X10Y19 SLICE_X12Y19 TILESITE CLBLM_L_X10Y19 SLICE_X13Y19 TILESITE CLBLM_L_X10Y20 SLICE_X12Y20 TILESITE CLBLM_L_X10Y20 SLICE_X13Y20 TILESITE CLBLM_L_X10Y21 SLICE_X12Y21 TILESITE CLBLM_L_X10Y21 SLICE_X13Y21 TILESITE CLBLM_L_X10Y22 SLICE_X12Y22 TILESITE CLBLM_L_X10Y22 SLICE_X13Y22 TILESITE CLBLM_L_X10Y23 SLICE_X12Y23 TILESITE CLBLM_L_X10Y23 SLICE_X13Y23 TILESITE CLBLM_L_X10Y24 SLICE_X12Y24 TILESITE CLBLM_L_X10Y24 SLICE_X13Y24 TILESITE CLBLM_L_X10Y25 SLICE_X12Y25 TILESITE CLBLM_L_X10Y25 SLICE_X13Y25 TILESITE CLBLM_L_X10Y26 SLICE_X12Y26 TILESITE CLBLM_L_X10Y26 SLICE_X13Y26 TILESITE CLBLM_L_X10Y27 SLICE_X12Y27 TILESITE CLBLM_L_X10Y27 SLICE_X13Y27 TILESITE CLBLM_L_X10Y28 SLICE_X12Y28 TILESITE CLBLM_L_X10Y28 SLICE_X13Y28 TILESITE CLBLM_L_X10Y29 SLICE_X12Y29 TILESITE CLBLM_L_X10Y29 SLICE_X13Y29 TILESITE CLBLM_L_X10Y30 SLICE_X12Y30 TILESITE CLBLM_L_X10Y30 SLICE_X13Y30 TILESITE CLBLM_L_X10Y31 SLICE_X12Y31 TILESITE CLBLM_L_X10Y31 SLICE_X13Y31 TILESITE CLBLM_L_X10Y32 SLICE_X12Y32 TILESITE CLBLM_L_X10Y32 SLICE_X13Y32 TILESITE CLBLM_L_X10Y33 SLICE_X12Y33 TILESITE CLBLM_L_X10Y33 SLICE_X13Y33 TILESITE CLBLM_L_X10Y34 SLICE_X12Y34 TILESITE CLBLM_L_X10Y34 SLICE_X13Y34 TILESITE CLBLM_L_X10Y35 SLICE_X12Y35 TILESITE CLBLM_L_X10Y35 SLICE_X13Y35 TILESITE CLBLM_L_X10Y36 SLICE_X12Y36 TILESITE CLBLM_L_X10Y36 SLICE_X13Y36 TILESITE CLBLM_L_X10Y37 SLICE_X12Y37 TILESITE CLBLM_L_X10Y37 SLICE_X13Y37 TILESITE CLBLM_L_X10Y38 SLICE_X12Y38 TILESITE CLBLM_L_X10Y38 SLICE_X13Y38 TILESITE CLBLM_L_X10Y39 SLICE_X12Y39 TILESITE CLBLM_L_X10Y39 SLICE_X13Y39 TILESITE CLBLM_L_X10Y40 SLICE_X12Y40 TILESITE CLBLM_L_X10Y40 SLICE_X13Y40 TILESITE CLBLM_L_X10Y41 SLICE_X12Y41 TILESITE CLBLM_L_X10Y41 SLICE_X13Y41 TILESITE CLBLM_L_X10Y42 SLICE_X12Y42 TILESITE CLBLM_L_X10Y42 SLICE_X13Y42 TILESITE CLBLM_L_X10Y43 SLICE_X12Y43 TILESITE CLBLM_L_X10Y43 SLICE_X13Y43 TILESITE CLBLM_L_X10Y44 SLICE_X12Y44 TILESITE CLBLM_L_X10Y44 SLICE_X13Y44 TILESITE CLBLM_L_X10Y45 SLICE_X12Y45 TILESITE CLBLM_L_X10Y45 SLICE_X13Y45 TILESITE CLBLM_L_X10Y46 SLICE_X12Y46 TILESITE CLBLM_L_X10Y46 SLICE_X13Y46 TILESITE CLBLM_L_X10Y47 SLICE_X12Y47 TILESITE CLBLM_L_X10Y47 SLICE_X13Y47 TILESITE CLBLM_L_X10Y48 SLICE_X12Y48 TILESITE CLBLM_L_X10Y48 SLICE_X13Y48 TILESITE CLBLM_L_X10Y49 SLICE_X12Y49 TILESITE CLBLM_L_X10Y49 SLICE_X13Y49 TILESITE CLBLM_L_X10Y50 SLICE_X12Y50 TILESITE CLBLM_L_X10Y50 SLICE_X13Y50 TILESITE CLBLM_L_X10Y51 SLICE_X12Y51 TILESITE CLBLM_L_X10Y51 SLICE_X13Y51 TILESITE CLBLM_L_X10Y52 SLICE_X12Y52 TILESITE CLBLM_L_X10Y52 SLICE_X13Y52 TILESITE CLBLM_L_X10Y53 SLICE_X12Y53 TILESITE CLBLM_L_X10Y53 SLICE_X13Y53 TILESITE CLBLM_L_X10Y54 SLICE_X12Y54 TILESITE CLBLM_L_X10Y54 SLICE_X13Y54 TILESITE CLBLM_L_X10Y55 SLICE_X12Y55 TILESITE CLBLM_L_X10Y55 SLICE_X13Y55 TILESITE CLBLM_L_X10Y56 SLICE_X12Y56 TILESITE CLBLM_L_X10Y56 SLICE_X13Y56 TILESITE CLBLM_L_X10Y57 SLICE_X12Y57 TILESITE CLBLM_L_X10Y57 SLICE_X13Y57 TILESITE CLBLM_L_X10Y58 SLICE_X12Y58 TILESITE CLBLM_L_X10Y58 SLICE_X13Y58 TILESITE CLBLM_L_X10Y59 SLICE_X12Y59 TILESITE CLBLM_L_X10Y59 SLICE_X13Y59 TILESITE CLBLM_L_X10Y60 SLICE_X12Y60 TILESITE CLBLM_L_X10Y60 SLICE_X13Y60 TILESITE CLBLM_L_X10Y61 SLICE_X12Y61 TILESITE CLBLM_L_X10Y61 SLICE_X13Y61 TILESITE CLBLM_L_X10Y62 SLICE_X12Y62 TILESITE CLBLM_L_X10Y62 SLICE_X13Y62 TILESITE CLBLM_L_X10Y63 SLICE_X12Y63 TILESITE CLBLM_L_X10Y63 SLICE_X13Y63 TILESITE CLBLM_L_X10Y64 SLICE_X12Y64 TILESITE CLBLM_L_X10Y64 SLICE_X13Y64 TILESITE CLBLM_L_X10Y65 SLICE_X12Y65 TILESITE CLBLM_L_X10Y65 SLICE_X13Y65 TILESITE CLBLM_L_X10Y66 SLICE_X12Y66 TILESITE CLBLM_L_X10Y66 SLICE_X13Y66 TILESITE CLBLM_L_X10Y67 SLICE_X12Y67 TILESITE CLBLM_L_X10Y67 SLICE_X13Y67 TILESITE CLBLM_L_X10Y68 SLICE_X12Y68 TILESITE CLBLM_L_X10Y68 SLICE_X13Y68 TILESITE CLBLM_L_X10Y69 SLICE_X12Y69 TILESITE CLBLM_L_X10Y69 SLICE_X13Y69 TILESITE CLBLM_L_X10Y70 SLICE_X12Y70 TILESITE CLBLM_L_X10Y70 SLICE_X13Y70 TILESITE CLBLM_L_X10Y71 SLICE_X12Y71 TILESITE CLBLM_L_X10Y71 SLICE_X13Y71 TILESITE CLBLM_L_X10Y72 SLICE_X12Y72 TILESITE CLBLM_L_X10Y72 SLICE_X13Y72 TILESITE CLBLM_L_X10Y73 SLICE_X12Y73 TILESITE CLBLM_L_X10Y73 SLICE_X13Y73 TILESITE CLBLM_L_X10Y74 SLICE_X12Y74 TILESITE CLBLM_L_X10Y74 SLICE_X13Y74 TILESITE CLBLM_L_X10Y75 SLICE_X12Y75 TILESITE CLBLM_L_X10Y75 SLICE_X13Y75 TILESITE CLBLM_L_X10Y76 SLICE_X12Y76 TILESITE CLBLM_L_X10Y76 SLICE_X13Y76 TILESITE CLBLM_L_X10Y77 SLICE_X12Y77 TILESITE CLBLM_L_X10Y77 SLICE_X13Y77 TILESITE CLBLM_L_X10Y78 SLICE_X12Y78 TILESITE CLBLM_L_X10Y78 SLICE_X13Y78 TILESITE CLBLM_L_X10Y79 SLICE_X12Y79 TILESITE CLBLM_L_X10Y79 SLICE_X13Y79 TILESITE CLBLM_L_X10Y80 SLICE_X12Y80 TILESITE CLBLM_L_X10Y80 SLICE_X13Y80 TILESITE CLBLM_L_X10Y81 SLICE_X12Y81 TILESITE CLBLM_L_X10Y81 SLICE_X13Y81 TILESITE CLBLM_L_X10Y82 SLICE_X12Y82 TILESITE CLBLM_L_X10Y82 SLICE_X13Y82 TILESITE CLBLM_L_X10Y83 SLICE_X12Y83 TILESITE CLBLM_L_X10Y83 SLICE_X13Y83 TILESITE CLBLM_L_X10Y84 SLICE_X12Y84 TILESITE CLBLM_L_X10Y84 SLICE_X13Y84 TILESITE CLBLM_L_X10Y85 SLICE_X12Y85 TILESITE CLBLM_L_X10Y85 SLICE_X13Y85 TILESITE CLBLM_L_X10Y86 SLICE_X12Y86 TILESITE CLBLM_L_X10Y86 SLICE_X13Y86 TILESITE CLBLM_L_X10Y87 SLICE_X12Y87 TILESITE CLBLM_L_X10Y87 SLICE_X13Y87 TILESITE CLBLM_L_X10Y88 SLICE_X12Y88 TILESITE CLBLM_L_X10Y88 SLICE_X13Y88 TILESITE CLBLM_L_X10Y89 SLICE_X12Y89 TILESITE CLBLM_L_X10Y89 SLICE_X13Y89 TILESITE CLBLM_L_X10Y90 SLICE_X12Y90 TILESITE CLBLM_L_X10Y90 SLICE_X13Y90 TILESITE CLBLM_L_X10Y91 SLICE_X12Y91 TILESITE CLBLM_L_X10Y91 SLICE_X13Y91 TILESITE CLBLM_L_X10Y92 SLICE_X12Y92 TILESITE CLBLM_L_X10Y92 SLICE_X13Y92 TILESITE CLBLM_L_X10Y93 SLICE_X12Y93 TILESITE CLBLM_L_X10Y93 SLICE_X13Y93 TILESITE CLBLM_L_X10Y94 SLICE_X12Y94 TILESITE CLBLM_L_X10Y94 SLICE_X13Y94 TILESITE CLBLM_L_X10Y95 SLICE_X12Y95 TILESITE CLBLM_L_X10Y95 SLICE_X13Y95 TILESITE CLBLM_L_X10Y96 SLICE_X12Y96 TILESITE CLBLM_L_X10Y96 SLICE_X13Y96 TILESITE CLBLM_L_X10Y97 SLICE_X12Y97 TILESITE CLBLM_L_X10Y97 SLICE_X13Y97 TILESITE CLBLM_L_X10Y98 SLICE_X12Y98 TILESITE CLBLM_L_X10Y98 SLICE_X13Y98 TILESITE CLBLM_L_X10Y99 SLICE_X12Y99 TILESITE CLBLM_L_X10Y99 SLICE_X13Y99 TILESITE CLBLM_L_X10Y100 SLICE_X12Y100 TILESITE CLBLM_L_X10Y100 SLICE_X13Y100 TILESITE CLBLM_L_X10Y101 SLICE_X12Y101 TILESITE CLBLM_L_X10Y101 SLICE_X13Y101 TILESITE CLBLM_L_X10Y102 SLICE_X12Y102 TILESITE CLBLM_L_X10Y102 SLICE_X13Y102 TILESITE CLBLM_L_X10Y103 SLICE_X12Y103 TILESITE CLBLM_L_X10Y103 SLICE_X13Y103 TILESITE CLBLM_L_X10Y104 SLICE_X12Y104 TILESITE CLBLM_L_X10Y104 SLICE_X13Y104 TILESITE CLBLM_L_X10Y105 SLICE_X12Y105 TILESITE CLBLM_L_X10Y105 SLICE_X13Y105 TILESITE CLBLM_L_X10Y106 SLICE_X12Y106 TILESITE CLBLM_L_X10Y106 SLICE_X13Y106 TILESITE CLBLM_L_X10Y107 SLICE_X12Y107 TILESITE CLBLM_L_X10Y107 SLICE_X13Y107 TILESITE CLBLM_L_X10Y108 SLICE_X12Y108 TILESITE CLBLM_L_X10Y108 SLICE_X13Y108 TILESITE CLBLM_L_X10Y109 SLICE_X12Y109 TILESITE CLBLM_L_X10Y109 SLICE_X13Y109 TILESITE CLBLM_L_X10Y110 SLICE_X12Y110 TILESITE CLBLM_L_X10Y110 SLICE_X13Y110 TILESITE CLBLM_L_X10Y111 SLICE_X12Y111 TILESITE CLBLM_L_X10Y111 SLICE_X13Y111 TILESITE CLBLM_L_X10Y112 SLICE_X12Y112 TILESITE CLBLM_L_X10Y112 SLICE_X13Y112 TILESITE CLBLM_L_X10Y113 SLICE_X12Y113 TILESITE CLBLM_L_X10Y113 SLICE_X13Y113 TILESITE CLBLM_L_X10Y114 SLICE_X12Y114 TILESITE CLBLM_L_X10Y114 SLICE_X13Y114 TILESITE CLBLM_L_X10Y115 SLICE_X12Y115 TILESITE CLBLM_L_X10Y115 SLICE_X13Y115 TILESITE CLBLM_L_X10Y116 SLICE_X12Y116 TILESITE CLBLM_L_X10Y116 SLICE_X13Y116 TILESITE CLBLM_L_X10Y117 SLICE_X12Y117 TILESITE CLBLM_L_X10Y117 SLICE_X13Y117 TILESITE CLBLM_L_X10Y118 SLICE_X12Y118 TILESITE CLBLM_L_X10Y118 SLICE_X13Y118 TILESITE CLBLM_L_X10Y119 SLICE_X12Y119 TILESITE CLBLM_L_X10Y119 SLICE_X13Y119 TILESITE CLBLM_L_X10Y120 SLICE_X12Y120 TILESITE CLBLM_L_X10Y120 SLICE_X13Y120 TILESITE CLBLM_L_X10Y121 SLICE_X12Y121 TILESITE CLBLM_L_X10Y121 SLICE_X13Y121 TILESITE CLBLM_L_X10Y122 SLICE_X12Y122 TILESITE CLBLM_L_X10Y122 SLICE_X13Y122 TILESITE CLBLM_L_X10Y123 SLICE_X12Y123 TILESITE CLBLM_L_X10Y123 SLICE_X13Y123 TILESITE CLBLM_L_X10Y124 SLICE_X12Y124 TILESITE CLBLM_L_X10Y124 SLICE_X13Y124 TILESITE CLBLM_L_X10Y125 SLICE_X12Y125 TILESITE CLBLM_L_X10Y125 SLICE_X13Y125 TILESITE CLBLM_L_X10Y126 SLICE_X12Y126 TILESITE CLBLM_L_X10Y126 SLICE_X13Y126 TILESITE CLBLM_L_X10Y127 SLICE_X12Y127 TILESITE CLBLM_L_X10Y127 SLICE_X13Y127 TILESITE CLBLM_L_X10Y128 SLICE_X12Y128 TILESITE CLBLM_L_X10Y128 SLICE_X13Y128 TILESITE CLBLM_L_X10Y129 SLICE_X12Y129 TILESITE CLBLM_L_X10Y129 SLICE_X13Y129 TILESITE CLBLM_L_X10Y130 SLICE_X12Y130 TILESITE CLBLM_L_X10Y130 SLICE_X13Y130 TILESITE CLBLM_L_X10Y131 SLICE_X12Y131 TILESITE CLBLM_L_X10Y131 SLICE_X13Y131 TILESITE CLBLM_L_X10Y132 SLICE_X12Y132 TILESITE CLBLM_L_X10Y132 SLICE_X13Y132 TILESITE CLBLM_L_X10Y133 SLICE_X12Y133 TILESITE CLBLM_L_X10Y133 SLICE_X13Y133 TILESITE CLBLM_L_X10Y134 SLICE_X12Y134 TILESITE CLBLM_L_X10Y134 SLICE_X13Y134 TILESITE CLBLM_L_X10Y135 SLICE_X12Y135 TILESITE CLBLM_L_X10Y135 SLICE_X13Y135 TILESITE CLBLM_L_X10Y136 SLICE_X12Y136 TILESITE CLBLM_L_X10Y136 SLICE_X13Y136 TILESITE CLBLM_L_X10Y137 SLICE_X12Y137 TILESITE CLBLM_L_X10Y137 SLICE_X13Y137 TILESITE CLBLM_L_X10Y138 SLICE_X12Y138 TILESITE CLBLM_L_X10Y138 SLICE_X13Y138 TILESITE CLBLM_L_X10Y139 SLICE_X12Y139 TILESITE CLBLM_L_X10Y139 SLICE_X13Y139 TILESITE CLBLM_L_X10Y140 SLICE_X12Y140 TILESITE CLBLM_L_X10Y140 SLICE_X13Y140 TILESITE CLBLM_L_X10Y141 SLICE_X12Y141 TILESITE CLBLM_L_X10Y141 SLICE_X13Y141 TILESITE CLBLM_L_X10Y142 SLICE_X12Y142 TILESITE CLBLM_L_X10Y142 SLICE_X13Y142 TILESITE CLBLM_L_X10Y143 SLICE_X12Y143 TILESITE CLBLM_L_X10Y143 SLICE_X13Y143 TILESITE CLBLM_L_X10Y144 SLICE_X12Y144 TILESITE CLBLM_L_X10Y144 SLICE_X13Y144 TILESITE CLBLM_L_X10Y145 SLICE_X12Y145 TILESITE CLBLM_L_X10Y145 SLICE_X13Y145 TILESITE CLBLM_L_X10Y146 SLICE_X12Y146 TILESITE CLBLM_L_X10Y146 SLICE_X13Y146 TILESITE CLBLM_L_X10Y147 SLICE_X12Y147 TILESITE CLBLM_L_X10Y147 SLICE_X13Y147 TILESITE CLBLM_L_X10Y148 SLICE_X12Y148 TILESITE CLBLM_L_X10Y148 SLICE_X13Y148 TILESITE CLBLM_L_X10Y149 SLICE_X12Y149 TILESITE CLBLM_L_X10Y149 SLICE_X13Y149 TILESITE CLBLM_L_X20Y0 SLICE_X30Y0 TILESITE CLBLM_L_X20Y0 SLICE_X31Y0 TILESITE CLBLM_L_X20Y1 SLICE_X30Y1 TILESITE CLBLM_L_X20Y1 SLICE_X31Y1 TILESITE CLBLM_L_X20Y2 SLICE_X30Y2 TILESITE CLBLM_L_X20Y2 SLICE_X31Y2 TILESITE CLBLM_L_X20Y3 SLICE_X30Y3 TILESITE CLBLM_L_X20Y3 SLICE_X31Y3 TILESITE CLBLM_L_X20Y4 SLICE_X30Y4 TILESITE CLBLM_L_X20Y4 SLICE_X31Y4 TILESITE CLBLM_L_X20Y5 SLICE_X30Y5 TILESITE CLBLM_L_X20Y5 SLICE_X31Y5 TILESITE CLBLM_L_X20Y6 SLICE_X30Y6 TILESITE CLBLM_L_X20Y6 SLICE_X31Y6 TILESITE CLBLM_L_X20Y7 SLICE_X30Y7 TILESITE CLBLM_L_X20Y7 SLICE_X31Y7 TILESITE CLBLM_L_X20Y8 SLICE_X30Y8 TILESITE CLBLM_L_X20Y8 SLICE_X31Y8 TILESITE CLBLM_L_X20Y9 SLICE_X30Y9 TILESITE CLBLM_L_X20Y9 SLICE_X31Y9 TILESITE CLBLM_L_X20Y10 SLICE_X30Y10 TILESITE CLBLM_L_X20Y10 SLICE_X31Y10 TILESITE CLBLM_L_X20Y11 SLICE_X30Y11 TILESITE CLBLM_L_X20Y11 SLICE_X31Y11 TILESITE CLBLM_L_X20Y12 SLICE_X30Y12 TILESITE CLBLM_L_X20Y12 SLICE_X31Y12 TILESITE CLBLM_L_X20Y13 SLICE_X30Y13 TILESITE CLBLM_L_X20Y13 SLICE_X31Y13 TILESITE CLBLM_L_X20Y14 SLICE_X30Y14 TILESITE CLBLM_L_X20Y14 SLICE_X31Y14 TILESITE CLBLM_L_X20Y15 SLICE_X30Y15 TILESITE CLBLM_L_X20Y15 SLICE_X31Y15 TILESITE CLBLM_L_X20Y16 SLICE_X30Y16 TILESITE CLBLM_L_X20Y16 SLICE_X31Y16 TILESITE CLBLM_L_X20Y17 SLICE_X30Y17 TILESITE CLBLM_L_X20Y17 SLICE_X31Y17 TILESITE CLBLM_L_X20Y18 SLICE_X30Y18 TILESITE CLBLM_L_X20Y18 SLICE_X31Y18 TILESITE CLBLM_L_X20Y19 SLICE_X30Y19 TILESITE CLBLM_L_X20Y19 SLICE_X31Y19 TILESITE CLBLM_L_X20Y20 SLICE_X30Y20 TILESITE CLBLM_L_X20Y20 SLICE_X31Y20 TILESITE CLBLM_L_X20Y21 SLICE_X30Y21 TILESITE CLBLM_L_X20Y21 SLICE_X31Y21 TILESITE CLBLM_L_X20Y22 SLICE_X30Y22 TILESITE CLBLM_L_X20Y22 SLICE_X31Y22 TILESITE CLBLM_L_X20Y23 SLICE_X30Y23 TILESITE CLBLM_L_X20Y23 SLICE_X31Y23 TILESITE CLBLM_L_X20Y24 SLICE_X30Y24 TILESITE CLBLM_L_X20Y24 SLICE_X31Y24 TILESITE CLBLM_L_X20Y25 SLICE_X30Y25 TILESITE CLBLM_L_X20Y25 SLICE_X31Y25 TILESITE CLBLM_L_X20Y26 SLICE_X30Y26 TILESITE CLBLM_L_X20Y26 SLICE_X31Y26 TILESITE CLBLM_L_X20Y27 SLICE_X30Y27 TILESITE CLBLM_L_X20Y27 SLICE_X31Y27 TILESITE CLBLM_L_X20Y28 SLICE_X30Y28 TILESITE CLBLM_L_X20Y28 SLICE_X31Y28 TILESITE CLBLM_L_X20Y29 SLICE_X30Y29 TILESITE CLBLM_L_X20Y29 SLICE_X31Y29 TILESITE CLBLM_L_X20Y30 SLICE_X30Y30 TILESITE CLBLM_L_X20Y30 SLICE_X31Y30 TILESITE CLBLM_L_X20Y31 SLICE_X30Y31 TILESITE CLBLM_L_X20Y31 SLICE_X31Y31 TILESITE CLBLM_L_X20Y32 SLICE_X30Y32 TILESITE CLBLM_L_X20Y32 SLICE_X31Y32 TILESITE CLBLM_L_X20Y33 SLICE_X30Y33 TILESITE CLBLM_L_X20Y33 SLICE_X31Y33 TILESITE CLBLM_L_X20Y34 SLICE_X30Y34 TILESITE CLBLM_L_X20Y34 SLICE_X31Y34 TILESITE CLBLM_L_X20Y35 SLICE_X30Y35 TILESITE CLBLM_L_X20Y35 SLICE_X31Y35 TILESITE CLBLM_L_X20Y36 SLICE_X30Y36 TILESITE CLBLM_L_X20Y36 SLICE_X31Y36 TILESITE CLBLM_L_X20Y37 SLICE_X30Y37 TILESITE CLBLM_L_X20Y37 SLICE_X31Y37 TILESITE CLBLM_L_X20Y38 SLICE_X30Y38 TILESITE CLBLM_L_X20Y38 SLICE_X31Y38 TILESITE CLBLM_L_X20Y39 SLICE_X30Y39 TILESITE CLBLM_L_X20Y39 SLICE_X31Y39 TILESITE CLBLM_L_X20Y40 SLICE_X30Y40 TILESITE CLBLM_L_X20Y40 SLICE_X31Y40 TILESITE CLBLM_L_X20Y41 SLICE_X30Y41 TILESITE CLBLM_L_X20Y41 SLICE_X31Y41 TILESITE CLBLM_L_X20Y42 SLICE_X30Y42 TILESITE CLBLM_L_X20Y42 SLICE_X31Y42 TILESITE CLBLM_L_X20Y43 SLICE_X30Y43 TILESITE CLBLM_L_X20Y43 SLICE_X31Y43 TILESITE CLBLM_L_X20Y44 SLICE_X30Y44 TILESITE CLBLM_L_X20Y44 SLICE_X31Y44 TILESITE CLBLM_L_X20Y45 SLICE_X30Y45 TILESITE CLBLM_L_X20Y45 SLICE_X31Y45 TILESITE CLBLM_L_X20Y46 SLICE_X30Y46 TILESITE CLBLM_L_X20Y46 SLICE_X31Y46 TILESITE CLBLM_L_X20Y47 SLICE_X30Y47 TILESITE CLBLM_L_X20Y47 SLICE_X31Y47 TILESITE CLBLM_L_X20Y48 SLICE_X30Y48 TILESITE CLBLM_L_X20Y48 SLICE_X31Y48 TILESITE CLBLM_L_X20Y49 SLICE_X30Y49 TILESITE CLBLM_L_X20Y49 SLICE_X31Y49 TILESITE CLBLM_L_X20Y50 SLICE_X30Y50 TILESITE CLBLM_L_X20Y50 SLICE_X31Y50 TILESITE CLBLM_L_X20Y51 SLICE_X30Y51 TILESITE CLBLM_L_X20Y51 SLICE_X31Y51 TILESITE CLBLM_L_X20Y52 SLICE_X30Y52 TILESITE CLBLM_L_X20Y52 SLICE_X31Y52 TILESITE CLBLM_L_X20Y53 SLICE_X30Y53 TILESITE CLBLM_L_X20Y53 SLICE_X31Y53 TILESITE CLBLM_L_X20Y54 SLICE_X30Y54 TILESITE CLBLM_L_X20Y54 SLICE_X31Y54 TILESITE CLBLM_L_X20Y55 SLICE_X30Y55 TILESITE CLBLM_L_X20Y55 SLICE_X31Y55 TILESITE CLBLM_L_X20Y56 SLICE_X30Y56 TILESITE CLBLM_L_X20Y56 SLICE_X31Y56 TILESITE CLBLM_L_X20Y57 SLICE_X30Y57 TILESITE CLBLM_L_X20Y57 SLICE_X31Y57 TILESITE CLBLM_L_X20Y58 SLICE_X30Y58 TILESITE CLBLM_L_X20Y58 SLICE_X31Y58 TILESITE CLBLM_L_X20Y59 SLICE_X30Y59 TILESITE CLBLM_L_X20Y59 SLICE_X31Y59 TILESITE CLBLM_L_X20Y60 SLICE_X30Y60 TILESITE CLBLM_L_X20Y60 SLICE_X31Y60 TILESITE CLBLM_L_X20Y61 SLICE_X30Y61 TILESITE CLBLM_L_X20Y61 SLICE_X31Y61 TILESITE CLBLM_L_X20Y62 SLICE_X30Y62 TILESITE CLBLM_L_X20Y62 SLICE_X31Y62 TILESITE CLBLM_L_X20Y63 SLICE_X30Y63 TILESITE CLBLM_L_X20Y63 SLICE_X31Y63 TILESITE CLBLM_L_X20Y64 SLICE_X30Y64 TILESITE CLBLM_L_X20Y64 SLICE_X31Y64 TILESITE CLBLM_L_X20Y65 SLICE_X30Y65 TILESITE CLBLM_L_X20Y65 SLICE_X31Y65 TILESITE CLBLM_L_X20Y66 SLICE_X30Y66 TILESITE CLBLM_L_X20Y66 SLICE_X31Y66 TILESITE CLBLM_L_X20Y67 SLICE_X30Y67 TILESITE CLBLM_L_X20Y67 SLICE_X31Y67 TILESITE CLBLM_L_X20Y68 SLICE_X30Y68 TILESITE CLBLM_L_X20Y68 SLICE_X31Y68 TILESITE CLBLM_L_X20Y69 SLICE_X30Y69 TILESITE CLBLM_L_X20Y69 SLICE_X31Y69 TILESITE CLBLM_L_X20Y70 SLICE_X30Y70 TILESITE CLBLM_L_X20Y70 SLICE_X31Y70 TILESITE CLBLM_L_X20Y71 SLICE_X30Y71 TILESITE CLBLM_L_X20Y71 SLICE_X31Y71 TILESITE CLBLM_L_X20Y72 SLICE_X30Y72 TILESITE CLBLM_L_X20Y72 SLICE_X31Y72 TILESITE CLBLM_L_X20Y73 SLICE_X30Y73 TILESITE CLBLM_L_X20Y73 SLICE_X31Y73 TILESITE CLBLM_L_X20Y74 SLICE_X30Y74 TILESITE CLBLM_L_X20Y74 SLICE_X31Y74 TILESITE CLBLM_L_X20Y75 SLICE_X30Y75 TILESITE CLBLM_L_X20Y75 SLICE_X31Y75 TILESITE CLBLM_L_X20Y76 SLICE_X30Y76 TILESITE CLBLM_L_X20Y76 SLICE_X31Y76 TILESITE CLBLM_L_X20Y77 SLICE_X30Y77 TILESITE CLBLM_L_X20Y77 SLICE_X31Y77 TILESITE CLBLM_L_X20Y78 SLICE_X30Y78 TILESITE CLBLM_L_X20Y78 SLICE_X31Y78 TILESITE CLBLM_L_X20Y79 SLICE_X30Y79 TILESITE CLBLM_L_X20Y79 SLICE_X31Y79 TILESITE CLBLM_L_X20Y80 SLICE_X30Y80 TILESITE CLBLM_L_X20Y80 SLICE_X31Y80 TILESITE CLBLM_L_X20Y81 SLICE_X30Y81 TILESITE CLBLM_L_X20Y81 SLICE_X31Y81 TILESITE CLBLM_L_X20Y82 SLICE_X30Y82 TILESITE CLBLM_L_X20Y82 SLICE_X31Y82 TILESITE CLBLM_L_X20Y83 SLICE_X30Y83 TILESITE CLBLM_L_X20Y83 SLICE_X31Y83 TILESITE CLBLM_L_X20Y84 SLICE_X30Y84 TILESITE CLBLM_L_X20Y84 SLICE_X31Y84 TILESITE CLBLM_L_X20Y85 SLICE_X30Y85 TILESITE CLBLM_L_X20Y85 SLICE_X31Y85 TILESITE CLBLM_L_X20Y86 SLICE_X30Y86 TILESITE CLBLM_L_X20Y86 SLICE_X31Y86 TILESITE CLBLM_L_X20Y87 SLICE_X30Y87 TILESITE CLBLM_L_X20Y87 SLICE_X31Y87 TILESITE CLBLM_L_X20Y88 SLICE_X30Y88 TILESITE CLBLM_L_X20Y88 SLICE_X31Y88 TILESITE CLBLM_L_X20Y89 SLICE_X30Y89 TILESITE CLBLM_L_X20Y89 SLICE_X31Y89 TILESITE CLBLM_L_X20Y90 SLICE_X30Y90 TILESITE CLBLM_L_X20Y90 SLICE_X31Y90 TILESITE CLBLM_L_X20Y91 SLICE_X30Y91 TILESITE CLBLM_L_X20Y91 SLICE_X31Y91 TILESITE CLBLM_L_X20Y92 SLICE_X30Y92 TILESITE CLBLM_L_X20Y92 SLICE_X31Y92 TILESITE CLBLM_L_X20Y93 SLICE_X30Y93 TILESITE CLBLM_L_X20Y93 SLICE_X31Y93 TILESITE CLBLM_L_X20Y94 SLICE_X30Y94 TILESITE CLBLM_L_X20Y94 SLICE_X31Y94 TILESITE CLBLM_L_X20Y95 SLICE_X30Y95 TILESITE CLBLM_L_X20Y95 SLICE_X31Y95 TILESITE CLBLM_L_X20Y96 SLICE_X30Y96 TILESITE CLBLM_L_X20Y96 SLICE_X31Y96 TILESITE CLBLM_L_X20Y97 SLICE_X30Y97 TILESITE CLBLM_L_X20Y97 SLICE_X31Y97 TILESITE CLBLM_L_X20Y98 SLICE_X30Y98 TILESITE CLBLM_L_X20Y98 SLICE_X31Y98 TILESITE CLBLM_L_X20Y99 SLICE_X30Y99 TILESITE CLBLM_L_X20Y99 SLICE_X31Y99 TILESITE CLBLM_L_X20Y100 SLICE_X30Y100 TILESITE CLBLM_L_X20Y100 SLICE_X31Y100 TILESITE CLBLM_L_X20Y101 SLICE_X30Y101 TILESITE CLBLM_L_X20Y101 SLICE_X31Y101 TILESITE CLBLM_L_X20Y102 SLICE_X30Y102 TILESITE CLBLM_L_X20Y102 SLICE_X31Y102 TILESITE CLBLM_L_X20Y103 SLICE_X30Y103 TILESITE CLBLM_L_X20Y103 SLICE_X31Y103 TILESITE CLBLM_L_X20Y104 SLICE_X30Y104 TILESITE CLBLM_L_X20Y104 SLICE_X31Y104 TILESITE CLBLM_L_X20Y105 SLICE_X30Y105 TILESITE CLBLM_L_X20Y105 SLICE_X31Y105 TILESITE CLBLM_L_X20Y106 SLICE_X30Y106 TILESITE CLBLM_L_X20Y106 SLICE_X31Y106 TILESITE CLBLM_L_X20Y107 SLICE_X30Y107 TILESITE CLBLM_L_X20Y107 SLICE_X31Y107 TILESITE CLBLM_L_X20Y108 SLICE_X30Y108 TILESITE CLBLM_L_X20Y108 SLICE_X31Y108 TILESITE CLBLM_L_X20Y109 SLICE_X30Y109 TILESITE CLBLM_L_X20Y109 SLICE_X31Y109 TILESITE CLBLM_L_X20Y110 SLICE_X30Y110 TILESITE CLBLM_L_X20Y110 SLICE_X31Y110 TILESITE CLBLM_L_X20Y111 SLICE_X30Y111 TILESITE CLBLM_L_X20Y111 SLICE_X31Y111 TILESITE CLBLM_L_X20Y112 SLICE_X30Y112 TILESITE CLBLM_L_X20Y112 SLICE_X31Y112 TILESITE CLBLM_L_X20Y113 SLICE_X30Y113 TILESITE CLBLM_L_X20Y113 SLICE_X31Y113 TILESITE CLBLM_L_X20Y114 SLICE_X30Y114 TILESITE CLBLM_L_X20Y114 SLICE_X31Y114 TILESITE CLBLM_L_X20Y115 SLICE_X30Y115 TILESITE CLBLM_L_X20Y115 SLICE_X31Y115 TILESITE CLBLM_L_X20Y116 SLICE_X30Y116 TILESITE CLBLM_L_X20Y116 SLICE_X31Y116 TILESITE CLBLM_L_X20Y117 SLICE_X30Y117 TILESITE CLBLM_L_X20Y117 SLICE_X31Y117 TILESITE CLBLM_L_X20Y118 SLICE_X30Y118 TILESITE CLBLM_L_X20Y118 SLICE_X31Y118 TILESITE CLBLM_L_X20Y119 SLICE_X30Y119 TILESITE CLBLM_L_X20Y119 SLICE_X31Y119 TILESITE CLBLM_L_X20Y120 SLICE_X30Y120 TILESITE CLBLM_L_X20Y120 SLICE_X31Y120 TILESITE CLBLM_L_X20Y121 SLICE_X30Y121 TILESITE CLBLM_L_X20Y121 SLICE_X31Y121 TILESITE CLBLM_L_X20Y122 SLICE_X30Y122 TILESITE CLBLM_L_X20Y122 SLICE_X31Y122 TILESITE CLBLM_L_X20Y123 SLICE_X30Y123 TILESITE CLBLM_L_X20Y123 SLICE_X31Y123 TILESITE CLBLM_L_X20Y124 SLICE_X30Y124 TILESITE CLBLM_L_X20Y124 SLICE_X31Y124 TILESITE CLBLM_L_X20Y125 SLICE_X30Y125 TILESITE CLBLM_L_X20Y125 SLICE_X31Y125 TILESITE CLBLM_L_X20Y126 SLICE_X30Y126 TILESITE CLBLM_L_X20Y126 SLICE_X31Y126 TILESITE CLBLM_L_X20Y127 SLICE_X30Y127 TILESITE CLBLM_L_X20Y127 SLICE_X31Y127 TILESITE CLBLM_L_X20Y128 SLICE_X30Y128 TILESITE CLBLM_L_X20Y128 SLICE_X31Y128 TILESITE CLBLM_L_X20Y129 SLICE_X30Y129 TILESITE CLBLM_L_X20Y129 SLICE_X31Y129 TILESITE CLBLM_L_X20Y130 SLICE_X30Y130 TILESITE CLBLM_L_X20Y130 SLICE_X31Y130 TILESITE CLBLM_L_X20Y131 SLICE_X30Y131 TILESITE CLBLM_L_X20Y131 SLICE_X31Y131 TILESITE CLBLM_L_X20Y132 SLICE_X30Y132 TILESITE CLBLM_L_X20Y132 SLICE_X31Y132 TILESITE CLBLM_L_X20Y133 SLICE_X30Y133 TILESITE CLBLM_L_X20Y133 SLICE_X31Y133 TILESITE CLBLM_L_X20Y134 SLICE_X30Y134 TILESITE CLBLM_L_X20Y134 SLICE_X31Y134 TILESITE CLBLM_L_X20Y135 SLICE_X30Y135 TILESITE CLBLM_L_X20Y135 SLICE_X31Y135 TILESITE CLBLM_L_X20Y136 SLICE_X30Y136 TILESITE CLBLM_L_X20Y136 SLICE_X31Y136 TILESITE CLBLM_L_X20Y137 SLICE_X30Y137 TILESITE CLBLM_L_X20Y137 SLICE_X31Y137 TILESITE CLBLM_L_X20Y138 SLICE_X30Y138 TILESITE CLBLM_L_X20Y138 SLICE_X31Y138 TILESITE CLBLM_L_X20Y139 SLICE_X30Y139 TILESITE CLBLM_L_X20Y139 SLICE_X31Y139 TILESITE CLBLM_L_X20Y140 SLICE_X30Y140 TILESITE CLBLM_L_X20Y140 SLICE_X31Y140 TILESITE CLBLM_L_X20Y141 SLICE_X30Y141 TILESITE CLBLM_L_X20Y141 SLICE_X31Y141 TILESITE CLBLM_L_X20Y142 SLICE_X30Y142 TILESITE CLBLM_L_X20Y142 SLICE_X31Y142 TILESITE CLBLM_L_X20Y143 SLICE_X30Y143 TILESITE CLBLM_L_X20Y143 SLICE_X31Y143 TILESITE CLBLM_L_X20Y144 SLICE_X30Y144 TILESITE CLBLM_L_X20Y144 SLICE_X31Y144 TILESITE CLBLM_L_X20Y145 SLICE_X30Y145 TILESITE CLBLM_L_X20Y145 SLICE_X31Y145 TILESITE CLBLM_L_X20Y146 SLICE_X30Y146 TILESITE CLBLM_L_X20Y146 SLICE_X31Y146 TILESITE CLBLM_L_X20Y147 SLICE_X30Y147 TILESITE CLBLM_L_X20Y147 SLICE_X31Y147 TILESITE CLBLM_L_X20Y148 SLICE_X30Y148 TILESITE CLBLM_L_X20Y148 SLICE_X31Y148 TILESITE CLBLM_L_X20Y149 SLICE_X30Y149 TILESITE CLBLM_L_X20Y149 SLICE_X31Y149 TILESITE CLBLM_L_X22Y0 SLICE_X34Y0 TILESITE CLBLM_L_X22Y0 SLICE_X35Y0 TILESITE CLBLM_L_X22Y1 SLICE_X34Y1 TILESITE CLBLM_L_X22Y1 SLICE_X35Y1 TILESITE CLBLM_L_X22Y2 SLICE_X34Y2 TILESITE CLBLM_L_X22Y2 SLICE_X35Y2 TILESITE CLBLM_L_X22Y3 SLICE_X34Y3 TILESITE CLBLM_L_X22Y3 SLICE_X35Y3 TILESITE CLBLM_L_X22Y4 SLICE_X34Y4 TILESITE CLBLM_L_X22Y4 SLICE_X35Y4 TILESITE CLBLM_L_X22Y5 SLICE_X34Y5 TILESITE CLBLM_L_X22Y5 SLICE_X35Y5 TILESITE CLBLM_L_X22Y6 SLICE_X34Y6 TILESITE CLBLM_L_X22Y6 SLICE_X35Y6 TILESITE CLBLM_L_X22Y7 SLICE_X34Y7 TILESITE CLBLM_L_X22Y7 SLICE_X35Y7 TILESITE CLBLM_L_X22Y8 SLICE_X34Y8 TILESITE CLBLM_L_X22Y8 SLICE_X35Y8 TILESITE CLBLM_L_X22Y9 SLICE_X34Y9 TILESITE CLBLM_L_X22Y9 SLICE_X35Y9 TILESITE CLBLM_L_X22Y10 SLICE_X34Y10 TILESITE CLBLM_L_X22Y10 SLICE_X35Y10 TILESITE CLBLM_L_X22Y11 SLICE_X34Y11 TILESITE CLBLM_L_X22Y11 SLICE_X35Y11 TILESITE CLBLM_L_X22Y12 SLICE_X34Y12 TILESITE CLBLM_L_X22Y12 SLICE_X35Y12 TILESITE CLBLM_L_X22Y13 SLICE_X34Y13 TILESITE CLBLM_L_X22Y13 SLICE_X35Y13 TILESITE CLBLM_L_X22Y14 SLICE_X34Y14 TILESITE CLBLM_L_X22Y14 SLICE_X35Y14 TILESITE CLBLM_L_X22Y15 SLICE_X34Y15 TILESITE CLBLM_L_X22Y15 SLICE_X35Y15 TILESITE CLBLM_L_X22Y16 SLICE_X34Y16 TILESITE CLBLM_L_X22Y16 SLICE_X35Y16 TILESITE CLBLM_L_X22Y17 SLICE_X34Y17 TILESITE CLBLM_L_X22Y17 SLICE_X35Y17 TILESITE CLBLM_L_X22Y18 SLICE_X34Y18 TILESITE CLBLM_L_X22Y18 SLICE_X35Y18 TILESITE CLBLM_L_X22Y19 SLICE_X34Y19 TILESITE CLBLM_L_X22Y19 SLICE_X35Y19 TILESITE CLBLM_L_X22Y20 SLICE_X34Y20 TILESITE CLBLM_L_X22Y20 SLICE_X35Y20 TILESITE CLBLM_L_X22Y21 SLICE_X34Y21 TILESITE CLBLM_L_X22Y21 SLICE_X35Y21 TILESITE CLBLM_L_X22Y22 SLICE_X34Y22 TILESITE CLBLM_L_X22Y22 SLICE_X35Y22 TILESITE CLBLM_L_X22Y23 SLICE_X34Y23 TILESITE CLBLM_L_X22Y23 SLICE_X35Y23 TILESITE CLBLM_L_X22Y24 SLICE_X34Y24 TILESITE CLBLM_L_X22Y24 SLICE_X35Y24 TILESITE CLBLM_L_X22Y25 SLICE_X34Y25 TILESITE CLBLM_L_X22Y25 SLICE_X35Y25 TILESITE CLBLM_L_X22Y26 SLICE_X34Y26 TILESITE CLBLM_L_X22Y26 SLICE_X35Y26 TILESITE CLBLM_L_X22Y27 SLICE_X34Y27 TILESITE CLBLM_L_X22Y27 SLICE_X35Y27 TILESITE CLBLM_L_X22Y28 SLICE_X34Y28 TILESITE CLBLM_L_X22Y28 SLICE_X35Y28 TILESITE CLBLM_L_X22Y29 SLICE_X34Y29 TILESITE CLBLM_L_X22Y29 SLICE_X35Y29 TILESITE CLBLM_L_X22Y30 SLICE_X34Y30 TILESITE CLBLM_L_X22Y30 SLICE_X35Y30 TILESITE CLBLM_L_X22Y31 SLICE_X34Y31 TILESITE CLBLM_L_X22Y31 SLICE_X35Y31 TILESITE CLBLM_L_X22Y32 SLICE_X34Y32 TILESITE CLBLM_L_X22Y32 SLICE_X35Y32 TILESITE CLBLM_L_X22Y33 SLICE_X34Y33 TILESITE CLBLM_L_X22Y33 SLICE_X35Y33 TILESITE CLBLM_L_X22Y34 SLICE_X34Y34 TILESITE CLBLM_L_X22Y34 SLICE_X35Y34 TILESITE CLBLM_L_X22Y35 SLICE_X34Y35 TILESITE CLBLM_L_X22Y35 SLICE_X35Y35 TILESITE CLBLM_L_X22Y36 SLICE_X34Y36 TILESITE CLBLM_L_X22Y36 SLICE_X35Y36 TILESITE CLBLM_L_X22Y37 SLICE_X34Y37 TILESITE CLBLM_L_X22Y37 SLICE_X35Y37 TILESITE CLBLM_L_X22Y38 SLICE_X34Y38 TILESITE CLBLM_L_X22Y38 SLICE_X35Y38 TILESITE CLBLM_L_X22Y39 SLICE_X34Y39 TILESITE CLBLM_L_X22Y39 SLICE_X35Y39 TILESITE CLBLM_L_X22Y40 SLICE_X34Y40 TILESITE CLBLM_L_X22Y40 SLICE_X35Y40 TILESITE CLBLM_L_X22Y41 SLICE_X34Y41 TILESITE CLBLM_L_X22Y41 SLICE_X35Y41 TILESITE CLBLM_L_X22Y42 SLICE_X34Y42 TILESITE CLBLM_L_X22Y42 SLICE_X35Y42 TILESITE CLBLM_L_X22Y43 SLICE_X34Y43 TILESITE CLBLM_L_X22Y43 SLICE_X35Y43 TILESITE CLBLM_L_X22Y44 SLICE_X34Y44 TILESITE CLBLM_L_X22Y44 SLICE_X35Y44 TILESITE CLBLM_L_X22Y45 SLICE_X34Y45 TILESITE CLBLM_L_X22Y45 SLICE_X35Y45 TILESITE CLBLM_L_X22Y46 SLICE_X34Y46 TILESITE CLBLM_L_X22Y46 SLICE_X35Y46 TILESITE CLBLM_L_X22Y47 SLICE_X34Y47 TILESITE CLBLM_L_X22Y47 SLICE_X35Y47 TILESITE CLBLM_L_X22Y48 SLICE_X34Y48 TILESITE CLBLM_L_X22Y48 SLICE_X35Y48 TILESITE CLBLM_L_X22Y49 SLICE_X34Y49 TILESITE CLBLM_L_X22Y49 SLICE_X35Y49 TILESITE CLBLM_L_X22Y50 SLICE_X34Y50 TILESITE CLBLM_L_X22Y50 SLICE_X35Y50 TILESITE CLBLM_L_X22Y51 SLICE_X34Y51 TILESITE CLBLM_L_X22Y51 SLICE_X35Y51 TILESITE CLBLM_L_X22Y52 SLICE_X34Y52 TILESITE CLBLM_L_X22Y52 SLICE_X35Y52 TILESITE CLBLM_L_X22Y53 SLICE_X34Y53 TILESITE CLBLM_L_X22Y53 SLICE_X35Y53 TILESITE CLBLM_L_X22Y54 SLICE_X34Y54 TILESITE CLBLM_L_X22Y54 SLICE_X35Y54 TILESITE CLBLM_L_X22Y55 SLICE_X34Y55 TILESITE CLBLM_L_X22Y55 SLICE_X35Y55 TILESITE CLBLM_L_X22Y56 SLICE_X34Y56 TILESITE CLBLM_L_X22Y56 SLICE_X35Y56 TILESITE CLBLM_L_X22Y57 SLICE_X34Y57 TILESITE CLBLM_L_X22Y57 SLICE_X35Y57 TILESITE CLBLM_L_X22Y58 SLICE_X34Y58 TILESITE CLBLM_L_X22Y58 SLICE_X35Y58 TILESITE CLBLM_L_X22Y59 SLICE_X34Y59 TILESITE CLBLM_L_X22Y59 SLICE_X35Y59 TILESITE CLBLM_L_X22Y60 SLICE_X34Y60 TILESITE CLBLM_L_X22Y60 SLICE_X35Y60 TILESITE CLBLM_L_X22Y61 SLICE_X34Y61 TILESITE CLBLM_L_X22Y61 SLICE_X35Y61 TILESITE CLBLM_L_X22Y62 SLICE_X34Y62 TILESITE CLBLM_L_X22Y62 SLICE_X35Y62 TILESITE CLBLM_L_X22Y63 SLICE_X34Y63 TILESITE CLBLM_L_X22Y63 SLICE_X35Y63 TILESITE CLBLM_L_X22Y64 SLICE_X34Y64 TILESITE CLBLM_L_X22Y64 SLICE_X35Y64 TILESITE CLBLM_L_X22Y65 SLICE_X34Y65 TILESITE CLBLM_L_X22Y65 SLICE_X35Y65 TILESITE CLBLM_L_X22Y66 SLICE_X34Y66 TILESITE CLBLM_L_X22Y66 SLICE_X35Y66 TILESITE CLBLM_L_X22Y67 SLICE_X34Y67 TILESITE CLBLM_L_X22Y67 SLICE_X35Y67 TILESITE CLBLM_L_X22Y68 SLICE_X34Y68 TILESITE CLBLM_L_X22Y68 SLICE_X35Y68 TILESITE CLBLM_L_X22Y69 SLICE_X34Y69 TILESITE CLBLM_L_X22Y69 SLICE_X35Y69 TILESITE CLBLM_L_X22Y70 SLICE_X34Y70 TILESITE CLBLM_L_X22Y70 SLICE_X35Y70 TILESITE CLBLM_L_X22Y71 SLICE_X34Y71 TILESITE CLBLM_L_X22Y71 SLICE_X35Y71 TILESITE CLBLM_L_X22Y72 SLICE_X34Y72 TILESITE CLBLM_L_X22Y72 SLICE_X35Y72 TILESITE CLBLM_L_X22Y73 SLICE_X34Y73 TILESITE CLBLM_L_X22Y73 SLICE_X35Y73 TILESITE CLBLM_L_X22Y74 SLICE_X34Y74 TILESITE CLBLM_L_X22Y74 SLICE_X35Y74 TILESITE CLBLM_L_X22Y75 SLICE_X34Y75 TILESITE CLBLM_L_X22Y75 SLICE_X35Y75 TILESITE CLBLM_L_X22Y76 SLICE_X34Y76 TILESITE CLBLM_L_X22Y76 SLICE_X35Y76 TILESITE CLBLM_L_X22Y77 SLICE_X34Y77 TILESITE CLBLM_L_X22Y77 SLICE_X35Y77 TILESITE CLBLM_L_X22Y78 SLICE_X34Y78 TILESITE CLBLM_L_X22Y78 SLICE_X35Y78 TILESITE CLBLM_L_X22Y79 SLICE_X34Y79 TILESITE CLBLM_L_X22Y79 SLICE_X35Y79 TILESITE CLBLM_L_X22Y80 SLICE_X34Y80 TILESITE CLBLM_L_X22Y80 SLICE_X35Y80 TILESITE CLBLM_L_X22Y81 SLICE_X34Y81 TILESITE CLBLM_L_X22Y81 SLICE_X35Y81 TILESITE CLBLM_L_X22Y82 SLICE_X34Y82 TILESITE CLBLM_L_X22Y82 SLICE_X35Y82 TILESITE CLBLM_L_X22Y83 SLICE_X34Y83 TILESITE CLBLM_L_X22Y83 SLICE_X35Y83 TILESITE CLBLM_L_X22Y84 SLICE_X34Y84 TILESITE CLBLM_L_X22Y84 SLICE_X35Y84 TILESITE CLBLM_L_X22Y85 SLICE_X34Y85 TILESITE CLBLM_L_X22Y85 SLICE_X35Y85 TILESITE CLBLM_L_X22Y86 SLICE_X34Y86 TILESITE CLBLM_L_X22Y86 SLICE_X35Y86 TILESITE CLBLM_L_X22Y87 SLICE_X34Y87 TILESITE CLBLM_L_X22Y87 SLICE_X35Y87 TILESITE CLBLM_L_X22Y88 SLICE_X34Y88 TILESITE CLBLM_L_X22Y88 SLICE_X35Y88 TILESITE CLBLM_L_X22Y89 SLICE_X34Y89 TILESITE CLBLM_L_X22Y89 SLICE_X35Y89 TILESITE CLBLM_L_X22Y90 SLICE_X34Y90 TILESITE CLBLM_L_X22Y90 SLICE_X35Y90 TILESITE CLBLM_L_X22Y91 SLICE_X34Y91 TILESITE CLBLM_L_X22Y91 SLICE_X35Y91 TILESITE CLBLM_L_X22Y92 SLICE_X34Y92 TILESITE CLBLM_L_X22Y92 SLICE_X35Y92 TILESITE CLBLM_L_X22Y93 SLICE_X34Y93 TILESITE CLBLM_L_X22Y93 SLICE_X35Y93 TILESITE CLBLM_L_X22Y94 SLICE_X34Y94 TILESITE CLBLM_L_X22Y94 SLICE_X35Y94 TILESITE CLBLM_L_X22Y95 SLICE_X34Y95 TILESITE CLBLM_L_X22Y95 SLICE_X35Y95 TILESITE CLBLM_L_X22Y96 SLICE_X34Y96 TILESITE CLBLM_L_X22Y96 SLICE_X35Y96 TILESITE CLBLM_L_X22Y97 SLICE_X34Y97 TILESITE CLBLM_L_X22Y97 SLICE_X35Y97 TILESITE CLBLM_L_X22Y98 SLICE_X34Y98 TILESITE CLBLM_L_X22Y98 SLICE_X35Y98 TILESITE CLBLM_L_X22Y99 SLICE_X34Y99 TILESITE CLBLM_L_X22Y99 SLICE_X35Y99 TILESITE CLBLM_L_X22Y100 SLICE_X34Y100 TILESITE CLBLM_L_X22Y100 SLICE_X35Y100 TILESITE CLBLM_L_X22Y101 SLICE_X34Y101 TILESITE CLBLM_L_X22Y101 SLICE_X35Y101 TILESITE CLBLM_L_X22Y102 SLICE_X34Y102 TILESITE CLBLM_L_X22Y102 SLICE_X35Y102 TILESITE CLBLM_L_X22Y103 SLICE_X34Y103 TILESITE CLBLM_L_X22Y103 SLICE_X35Y103 TILESITE CLBLM_L_X22Y104 SLICE_X34Y104 TILESITE CLBLM_L_X22Y104 SLICE_X35Y104 TILESITE CLBLM_L_X22Y105 SLICE_X34Y105 TILESITE CLBLM_L_X22Y105 SLICE_X35Y105 TILESITE CLBLM_L_X22Y106 SLICE_X34Y106 TILESITE CLBLM_L_X22Y106 SLICE_X35Y106 TILESITE CLBLM_L_X22Y107 SLICE_X34Y107 TILESITE CLBLM_L_X22Y107 SLICE_X35Y107 TILESITE CLBLM_L_X22Y108 SLICE_X34Y108 TILESITE CLBLM_L_X22Y108 SLICE_X35Y108 TILESITE CLBLM_L_X22Y109 SLICE_X34Y109 TILESITE CLBLM_L_X22Y109 SLICE_X35Y109 TILESITE CLBLM_L_X22Y110 SLICE_X34Y110 TILESITE CLBLM_L_X22Y110 SLICE_X35Y110 TILESITE CLBLM_L_X22Y111 SLICE_X34Y111 TILESITE CLBLM_L_X22Y111 SLICE_X35Y111 TILESITE CLBLM_L_X22Y112 SLICE_X34Y112 TILESITE CLBLM_L_X22Y112 SLICE_X35Y112 TILESITE CLBLM_L_X22Y113 SLICE_X34Y113 TILESITE CLBLM_L_X22Y113 SLICE_X35Y113 TILESITE CLBLM_L_X22Y114 SLICE_X34Y114 TILESITE CLBLM_L_X22Y114 SLICE_X35Y114 TILESITE CLBLM_L_X22Y115 SLICE_X34Y115 TILESITE CLBLM_L_X22Y115 SLICE_X35Y115 TILESITE CLBLM_L_X22Y116 SLICE_X34Y116 TILESITE CLBLM_L_X22Y116 SLICE_X35Y116 TILESITE CLBLM_L_X22Y117 SLICE_X34Y117 TILESITE CLBLM_L_X22Y117 SLICE_X35Y117 TILESITE CLBLM_L_X22Y118 SLICE_X34Y118 TILESITE CLBLM_L_X22Y118 SLICE_X35Y118 TILESITE CLBLM_L_X22Y119 SLICE_X34Y119 TILESITE CLBLM_L_X22Y119 SLICE_X35Y119 TILESITE CLBLM_L_X22Y120 SLICE_X34Y120 TILESITE CLBLM_L_X22Y120 SLICE_X35Y120 TILESITE CLBLM_L_X22Y121 SLICE_X34Y121 TILESITE CLBLM_L_X22Y121 SLICE_X35Y121 TILESITE CLBLM_L_X22Y122 SLICE_X34Y122 TILESITE CLBLM_L_X22Y122 SLICE_X35Y122 TILESITE CLBLM_L_X22Y123 SLICE_X34Y123 TILESITE CLBLM_L_X22Y123 SLICE_X35Y123 TILESITE CLBLM_L_X22Y124 SLICE_X34Y124 TILESITE CLBLM_L_X22Y124 SLICE_X35Y124 TILESITE CLBLM_L_X22Y125 SLICE_X34Y125 TILESITE CLBLM_L_X22Y125 SLICE_X35Y125 TILESITE CLBLM_L_X22Y126 SLICE_X34Y126 TILESITE CLBLM_L_X22Y126 SLICE_X35Y126 TILESITE CLBLM_L_X22Y127 SLICE_X34Y127 TILESITE CLBLM_L_X22Y127 SLICE_X35Y127 TILESITE CLBLM_L_X22Y128 SLICE_X34Y128 TILESITE CLBLM_L_X22Y128 SLICE_X35Y128 TILESITE CLBLM_L_X22Y129 SLICE_X34Y129 TILESITE CLBLM_L_X22Y129 SLICE_X35Y129 TILESITE CLBLM_L_X22Y130 SLICE_X34Y130 TILESITE CLBLM_L_X22Y130 SLICE_X35Y130 TILESITE CLBLM_L_X22Y131 SLICE_X34Y131 TILESITE CLBLM_L_X22Y131 SLICE_X35Y131 TILESITE CLBLM_L_X22Y132 SLICE_X34Y132 TILESITE CLBLM_L_X22Y132 SLICE_X35Y132 TILESITE CLBLM_L_X22Y133 SLICE_X34Y133 TILESITE CLBLM_L_X22Y133 SLICE_X35Y133 TILESITE CLBLM_L_X22Y134 SLICE_X34Y134 TILESITE CLBLM_L_X22Y134 SLICE_X35Y134 TILESITE CLBLM_L_X22Y135 SLICE_X34Y135 TILESITE CLBLM_L_X22Y135 SLICE_X35Y135 TILESITE CLBLM_L_X22Y136 SLICE_X34Y136 TILESITE CLBLM_L_X22Y136 SLICE_X35Y136 TILESITE CLBLM_L_X22Y137 SLICE_X34Y137 TILESITE CLBLM_L_X22Y137 SLICE_X35Y137 TILESITE CLBLM_L_X22Y138 SLICE_X34Y138 TILESITE CLBLM_L_X22Y138 SLICE_X35Y138 TILESITE CLBLM_L_X22Y139 SLICE_X34Y139 TILESITE CLBLM_L_X22Y139 SLICE_X35Y139 TILESITE CLBLM_L_X22Y140 SLICE_X34Y140 TILESITE CLBLM_L_X22Y140 SLICE_X35Y140 TILESITE CLBLM_L_X22Y141 SLICE_X34Y141 TILESITE CLBLM_L_X22Y141 SLICE_X35Y141 TILESITE CLBLM_L_X22Y142 SLICE_X34Y142 TILESITE CLBLM_L_X22Y142 SLICE_X35Y142 TILESITE CLBLM_L_X22Y143 SLICE_X34Y143 TILESITE CLBLM_L_X22Y143 SLICE_X35Y143 TILESITE CLBLM_L_X22Y144 SLICE_X34Y144 TILESITE CLBLM_L_X22Y144 SLICE_X35Y144 TILESITE CLBLM_L_X22Y145 SLICE_X34Y145 TILESITE CLBLM_L_X22Y145 SLICE_X35Y145 TILESITE CLBLM_L_X22Y146 SLICE_X34Y146 TILESITE CLBLM_L_X22Y146 SLICE_X35Y146 TILESITE CLBLM_L_X22Y147 SLICE_X34Y147 TILESITE CLBLM_L_X22Y147 SLICE_X35Y147 TILESITE CLBLM_L_X22Y148 SLICE_X34Y148 TILESITE CLBLM_L_X22Y148 SLICE_X35Y148 TILESITE CLBLM_L_X22Y149 SLICE_X34Y149 TILESITE CLBLM_L_X22Y149 SLICE_X35Y149 TILESITE CLBLM_L_X32Y0 SLICE_X50Y0 TILESITE CLBLM_L_X32Y0 SLICE_X51Y0 TILESITE CLBLM_L_X32Y1 SLICE_X50Y1 TILESITE CLBLM_L_X32Y1 SLICE_X51Y1 TILESITE CLBLM_L_X32Y2 SLICE_X50Y2 TILESITE CLBLM_L_X32Y2 SLICE_X51Y2 TILESITE CLBLM_L_X32Y3 SLICE_X50Y3 TILESITE CLBLM_L_X32Y3 SLICE_X51Y3 TILESITE CLBLM_L_X32Y4 SLICE_X50Y4 TILESITE CLBLM_L_X32Y4 SLICE_X51Y4 TILESITE CLBLM_L_X32Y5 SLICE_X50Y5 TILESITE CLBLM_L_X32Y5 SLICE_X51Y5 TILESITE CLBLM_L_X32Y6 SLICE_X50Y6 TILESITE CLBLM_L_X32Y6 SLICE_X51Y6 TILESITE CLBLM_L_X32Y7 SLICE_X50Y7 TILESITE CLBLM_L_X32Y7 SLICE_X51Y7 TILESITE CLBLM_L_X32Y8 SLICE_X50Y8 TILESITE CLBLM_L_X32Y8 SLICE_X51Y8 TILESITE CLBLM_L_X32Y9 SLICE_X50Y9 TILESITE CLBLM_L_X32Y9 SLICE_X51Y9 TILESITE CLBLM_L_X32Y10 SLICE_X50Y10 TILESITE CLBLM_L_X32Y10 SLICE_X51Y10 TILESITE CLBLM_L_X32Y11 SLICE_X50Y11 TILESITE CLBLM_L_X32Y11 SLICE_X51Y11 TILESITE CLBLM_L_X32Y12 SLICE_X50Y12 TILESITE CLBLM_L_X32Y12 SLICE_X51Y12 TILESITE CLBLM_L_X32Y13 SLICE_X50Y13 TILESITE CLBLM_L_X32Y13 SLICE_X51Y13 TILESITE CLBLM_L_X32Y14 SLICE_X50Y14 TILESITE CLBLM_L_X32Y14 SLICE_X51Y14 TILESITE CLBLM_L_X32Y15 SLICE_X50Y15 TILESITE CLBLM_L_X32Y15 SLICE_X51Y15 TILESITE CLBLM_L_X32Y16 SLICE_X50Y16 TILESITE CLBLM_L_X32Y16 SLICE_X51Y16 TILESITE CLBLM_L_X32Y17 SLICE_X50Y17 TILESITE CLBLM_L_X32Y17 SLICE_X51Y17 TILESITE CLBLM_L_X32Y18 SLICE_X50Y18 TILESITE CLBLM_L_X32Y18 SLICE_X51Y18 TILESITE CLBLM_L_X32Y19 SLICE_X50Y19 TILESITE CLBLM_L_X32Y19 SLICE_X51Y19 TILESITE CLBLM_L_X32Y20 SLICE_X50Y20 TILESITE CLBLM_L_X32Y20 SLICE_X51Y20 TILESITE CLBLM_L_X32Y21 SLICE_X50Y21 TILESITE CLBLM_L_X32Y21 SLICE_X51Y21 TILESITE CLBLM_L_X32Y22 SLICE_X50Y22 TILESITE CLBLM_L_X32Y22 SLICE_X51Y22 TILESITE CLBLM_L_X32Y23 SLICE_X50Y23 TILESITE CLBLM_L_X32Y23 SLICE_X51Y23 TILESITE CLBLM_L_X32Y24 SLICE_X50Y24 TILESITE CLBLM_L_X32Y24 SLICE_X51Y24 TILESITE CLBLM_L_X32Y25 SLICE_X50Y25 TILESITE CLBLM_L_X32Y25 SLICE_X51Y25 TILESITE CLBLM_L_X32Y26 SLICE_X50Y26 TILESITE CLBLM_L_X32Y26 SLICE_X51Y26 TILESITE CLBLM_L_X32Y27 SLICE_X50Y27 TILESITE CLBLM_L_X32Y27 SLICE_X51Y27 TILESITE CLBLM_L_X32Y28 SLICE_X50Y28 TILESITE CLBLM_L_X32Y28 SLICE_X51Y28 TILESITE CLBLM_L_X32Y29 SLICE_X50Y29 TILESITE CLBLM_L_X32Y29 SLICE_X51Y29 TILESITE CLBLM_L_X32Y30 SLICE_X50Y30 TILESITE CLBLM_L_X32Y30 SLICE_X51Y30 TILESITE CLBLM_L_X32Y31 SLICE_X50Y31 TILESITE CLBLM_L_X32Y31 SLICE_X51Y31 TILESITE CLBLM_L_X32Y32 SLICE_X50Y32 TILESITE CLBLM_L_X32Y32 SLICE_X51Y32 TILESITE CLBLM_L_X32Y33 SLICE_X50Y33 TILESITE CLBLM_L_X32Y33 SLICE_X51Y33 TILESITE CLBLM_L_X32Y34 SLICE_X50Y34 TILESITE CLBLM_L_X32Y34 SLICE_X51Y34 TILESITE CLBLM_L_X32Y35 SLICE_X50Y35 TILESITE CLBLM_L_X32Y35 SLICE_X51Y35 TILESITE CLBLM_L_X32Y36 SLICE_X50Y36 TILESITE CLBLM_L_X32Y36 SLICE_X51Y36 TILESITE CLBLM_L_X32Y37 SLICE_X50Y37 TILESITE CLBLM_L_X32Y37 SLICE_X51Y37 TILESITE CLBLM_L_X32Y38 SLICE_X50Y38 TILESITE CLBLM_L_X32Y38 SLICE_X51Y38 TILESITE CLBLM_L_X32Y39 SLICE_X50Y39 TILESITE CLBLM_L_X32Y39 SLICE_X51Y39 TILESITE CLBLM_L_X32Y40 SLICE_X50Y40 TILESITE CLBLM_L_X32Y40 SLICE_X51Y40 TILESITE CLBLM_L_X32Y41 SLICE_X50Y41 TILESITE CLBLM_L_X32Y41 SLICE_X51Y41 TILESITE CLBLM_L_X32Y42 SLICE_X50Y42 TILESITE CLBLM_L_X32Y42 SLICE_X51Y42 TILESITE CLBLM_L_X32Y43 SLICE_X50Y43 TILESITE CLBLM_L_X32Y43 SLICE_X51Y43 TILESITE CLBLM_L_X32Y44 SLICE_X50Y44 TILESITE CLBLM_L_X32Y44 SLICE_X51Y44 TILESITE CLBLM_L_X32Y45 SLICE_X50Y45 TILESITE CLBLM_L_X32Y45 SLICE_X51Y45 TILESITE CLBLM_L_X32Y46 SLICE_X50Y46 TILESITE CLBLM_L_X32Y46 SLICE_X51Y46 TILESITE CLBLM_L_X32Y47 SLICE_X50Y47 TILESITE CLBLM_L_X32Y47 SLICE_X51Y47 TILESITE CLBLM_L_X32Y48 SLICE_X50Y48 TILESITE CLBLM_L_X32Y48 SLICE_X51Y48 TILESITE CLBLM_L_X32Y49 SLICE_X50Y49 TILESITE CLBLM_L_X32Y49 SLICE_X51Y49 TILESITE CLBLM_L_X32Y50 SLICE_X50Y50 TILESITE CLBLM_L_X32Y50 SLICE_X51Y50 TILESITE CLBLM_L_X32Y51 SLICE_X50Y51 TILESITE CLBLM_L_X32Y51 SLICE_X51Y51 TILESITE CLBLM_L_X32Y52 SLICE_X50Y52 TILESITE CLBLM_L_X32Y52 SLICE_X51Y52 TILESITE CLBLM_L_X32Y53 SLICE_X50Y53 TILESITE CLBLM_L_X32Y53 SLICE_X51Y53 TILESITE CLBLM_L_X32Y54 SLICE_X50Y54 TILESITE CLBLM_L_X32Y54 SLICE_X51Y54 TILESITE CLBLM_L_X32Y55 SLICE_X50Y55 TILESITE CLBLM_L_X32Y55 SLICE_X51Y55 TILESITE CLBLM_L_X32Y56 SLICE_X50Y56 TILESITE CLBLM_L_X32Y56 SLICE_X51Y56 TILESITE CLBLM_L_X32Y57 SLICE_X50Y57 TILESITE CLBLM_L_X32Y57 SLICE_X51Y57 TILESITE CLBLM_L_X32Y58 SLICE_X50Y58 TILESITE CLBLM_L_X32Y58 SLICE_X51Y58 TILESITE CLBLM_L_X32Y59 SLICE_X50Y59 TILESITE CLBLM_L_X32Y59 SLICE_X51Y59 TILESITE CLBLM_L_X32Y60 SLICE_X50Y60 TILESITE CLBLM_L_X32Y60 SLICE_X51Y60 TILESITE CLBLM_L_X32Y61 SLICE_X50Y61 TILESITE CLBLM_L_X32Y61 SLICE_X51Y61 TILESITE CLBLM_L_X32Y62 SLICE_X50Y62 TILESITE CLBLM_L_X32Y62 SLICE_X51Y62 TILESITE CLBLM_L_X32Y63 SLICE_X50Y63 TILESITE CLBLM_L_X32Y63 SLICE_X51Y63 TILESITE CLBLM_L_X32Y64 SLICE_X50Y64 TILESITE CLBLM_L_X32Y64 SLICE_X51Y64 TILESITE CLBLM_L_X32Y65 SLICE_X50Y65 TILESITE CLBLM_L_X32Y65 SLICE_X51Y65 TILESITE CLBLM_L_X32Y66 SLICE_X50Y66 TILESITE CLBLM_L_X32Y66 SLICE_X51Y66 TILESITE CLBLM_L_X32Y67 SLICE_X50Y67 TILESITE CLBLM_L_X32Y67 SLICE_X51Y67 TILESITE CLBLM_L_X32Y68 SLICE_X50Y68 TILESITE CLBLM_L_X32Y68 SLICE_X51Y68 TILESITE CLBLM_L_X32Y69 SLICE_X50Y69 TILESITE CLBLM_L_X32Y69 SLICE_X51Y69 TILESITE CLBLM_L_X32Y70 SLICE_X50Y70 TILESITE CLBLM_L_X32Y70 SLICE_X51Y70 TILESITE CLBLM_L_X32Y71 SLICE_X50Y71 TILESITE CLBLM_L_X32Y71 SLICE_X51Y71 TILESITE CLBLM_L_X32Y72 SLICE_X50Y72 TILESITE CLBLM_L_X32Y72 SLICE_X51Y72 TILESITE CLBLM_L_X32Y73 SLICE_X50Y73 TILESITE CLBLM_L_X32Y73 SLICE_X51Y73 TILESITE CLBLM_L_X32Y74 SLICE_X50Y74 TILESITE CLBLM_L_X32Y74 SLICE_X51Y74 TILESITE CLBLM_L_X32Y75 SLICE_X50Y75 TILESITE CLBLM_L_X32Y75 SLICE_X51Y75 TILESITE CLBLM_L_X32Y76 SLICE_X50Y76 TILESITE CLBLM_L_X32Y76 SLICE_X51Y76 TILESITE CLBLM_L_X32Y77 SLICE_X50Y77 TILESITE CLBLM_L_X32Y77 SLICE_X51Y77 TILESITE CLBLM_L_X32Y78 SLICE_X50Y78 TILESITE CLBLM_L_X32Y78 SLICE_X51Y78 TILESITE CLBLM_L_X32Y79 SLICE_X50Y79 TILESITE CLBLM_L_X32Y79 SLICE_X51Y79 TILESITE CLBLM_L_X32Y80 SLICE_X50Y80 TILESITE CLBLM_L_X32Y80 SLICE_X51Y80 TILESITE CLBLM_L_X32Y81 SLICE_X50Y81 TILESITE CLBLM_L_X32Y81 SLICE_X51Y81 TILESITE CLBLM_L_X32Y82 SLICE_X50Y82 TILESITE CLBLM_L_X32Y82 SLICE_X51Y82 TILESITE CLBLM_L_X32Y83 SLICE_X50Y83 TILESITE CLBLM_L_X32Y83 SLICE_X51Y83 TILESITE CLBLM_L_X32Y84 SLICE_X50Y84 TILESITE CLBLM_L_X32Y84 SLICE_X51Y84 TILESITE CLBLM_L_X32Y85 SLICE_X50Y85 TILESITE CLBLM_L_X32Y85 SLICE_X51Y85 TILESITE CLBLM_L_X32Y86 SLICE_X50Y86 TILESITE CLBLM_L_X32Y86 SLICE_X51Y86 TILESITE CLBLM_L_X32Y87 SLICE_X50Y87 TILESITE CLBLM_L_X32Y87 SLICE_X51Y87 TILESITE CLBLM_L_X32Y88 SLICE_X50Y88 TILESITE CLBLM_L_X32Y88 SLICE_X51Y88 TILESITE CLBLM_L_X32Y89 SLICE_X50Y89 TILESITE CLBLM_L_X32Y89 SLICE_X51Y89 TILESITE CLBLM_L_X32Y90 SLICE_X50Y90 TILESITE CLBLM_L_X32Y90 SLICE_X51Y90 TILESITE CLBLM_L_X32Y91 SLICE_X50Y91 TILESITE CLBLM_L_X32Y91 SLICE_X51Y91 TILESITE CLBLM_L_X32Y92 SLICE_X50Y92 TILESITE CLBLM_L_X32Y92 SLICE_X51Y92 TILESITE CLBLM_L_X32Y93 SLICE_X50Y93 TILESITE CLBLM_L_X32Y93 SLICE_X51Y93 TILESITE CLBLM_L_X32Y94 SLICE_X50Y94 TILESITE CLBLM_L_X32Y94 SLICE_X51Y94 TILESITE CLBLM_L_X32Y95 SLICE_X50Y95 TILESITE CLBLM_L_X32Y95 SLICE_X51Y95 TILESITE CLBLM_L_X32Y96 SLICE_X50Y96 TILESITE CLBLM_L_X32Y96 SLICE_X51Y96 TILESITE CLBLM_L_X32Y97 SLICE_X50Y97 TILESITE CLBLM_L_X32Y97 SLICE_X51Y97 TILESITE CLBLM_L_X32Y98 SLICE_X50Y98 TILESITE CLBLM_L_X32Y98 SLICE_X51Y98 TILESITE CLBLM_L_X32Y99 SLICE_X50Y99 TILESITE CLBLM_L_X32Y99 SLICE_X51Y99 TILESITE CLBLM_L_X32Y100 SLICE_X50Y100 TILESITE CLBLM_L_X32Y100 SLICE_X51Y100 TILESITE CLBLM_L_X32Y101 SLICE_X50Y101 TILESITE CLBLM_L_X32Y101 SLICE_X51Y101 TILESITE CLBLM_L_X32Y102 SLICE_X50Y102 TILESITE CLBLM_L_X32Y102 SLICE_X51Y102 TILESITE CLBLM_L_X32Y103 SLICE_X50Y103 TILESITE CLBLM_L_X32Y103 SLICE_X51Y103 TILESITE CLBLM_L_X32Y104 SLICE_X50Y104 TILESITE CLBLM_L_X32Y104 SLICE_X51Y104 TILESITE CLBLM_L_X32Y105 SLICE_X50Y105 TILESITE CLBLM_L_X32Y105 SLICE_X51Y105 TILESITE CLBLM_L_X32Y106 SLICE_X50Y106 TILESITE CLBLM_L_X32Y106 SLICE_X51Y106 TILESITE CLBLM_L_X32Y107 SLICE_X50Y107 TILESITE CLBLM_L_X32Y107 SLICE_X51Y107 TILESITE CLBLM_L_X32Y108 SLICE_X50Y108 TILESITE CLBLM_L_X32Y108 SLICE_X51Y108 TILESITE CLBLM_L_X32Y109 SLICE_X50Y109 TILESITE CLBLM_L_X32Y109 SLICE_X51Y109 TILESITE CLBLM_L_X32Y110 SLICE_X50Y110 TILESITE CLBLM_L_X32Y110 SLICE_X51Y110 TILESITE CLBLM_L_X32Y111 SLICE_X50Y111 TILESITE CLBLM_L_X32Y111 SLICE_X51Y111 TILESITE CLBLM_L_X32Y112 SLICE_X50Y112 TILESITE CLBLM_L_X32Y112 SLICE_X51Y112 TILESITE CLBLM_L_X32Y113 SLICE_X50Y113 TILESITE CLBLM_L_X32Y113 SLICE_X51Y113 TILESITE CLBLM_L_X32Y114 SLICE_X50Y114 TILESITE CLBLM_L_X32Y114 SLICE_X51Y114 TILESITE CLBLM_L_X32Y115 SLICE_X50Y115 TILESITE CLBLM_L_X32Y115 SLICE_X51Y115 TILESITE CLBLM_L_X32Y116 SLICE_X50Y116 TILESITE CLBLM_L_X32Y116 SLICE_X51Y116 TILESITE CLBLM_L_X32Y117 SLICE_X50Y117 TILESITE CLBLM_L_X32Y117 SLICE_X51Y117 TILESITE CLBLM_L_X32Y118 SLICE_X50Y118 TILESITE CLBLM_L_X32Y118 SLICE_X51Y118 TILESITE CLBLM_L_X32Y119 SLICE_X50Y119 TILESITE CLBLM_L_X32Y119 SLICE_X51Y119 TILESITE CLBLM_L_X32Y120 SLICE_X50Y120 TILESITE CLBLM_L_X32Y120 SLICE_X51Y120 TILESITE CLBLM_L_X32Y121 SLICE_X50Y121 TILESITE CLBLM_L_X32Y121 SLICE_X51Y121 TILESITE CLBLM_L_X32Y122 SLICE_X50Y122 TILESITE CLBLM_L_X32Y122 SLICE_X51Y122 TILESITE CLBLM_L_X32Y123 SLICE_X50Y123 TILESITE CLBLM_L_X32Y123 SLICE_X51Y123 TILESITE CLBLM_L_X32Y124 SLICE_X50Y124 TILESITE CLBLM_L_X32Y124 SLICE_X51Y124 TILESITE CLBLM_L_X32Y125 SLICE_X50Y125 TILESITE CLBLM_L_X32Y125 SLICE_X51Y125 TILESITE CLBLM_L_X32Y126 SLICE_X50Y126 TILESITE CLBLM_L_X32Y126 SLICE_X51Y126 TILESITE CLBLM_L_X32Y127 SLICE_X50Y127 TILESITE CLBLM_L_X32Y127 SLICE_X51Y127 TILESITE CLBLM_L_X32Y128 SLICE_X50Y128 TILESITE CLBLM_L_X32Y128 SLICE_X51Y128 TILESITE CLBLM_L_X32Y129 SLICE_X50Y129 TILESITE CLBLM_L_X32Y129 SLICE_X51Y129 TILESITE CLBLM_L_X32Y130 SLICE_X50Y130 TILESITE CLBLM_L_X32Y130 SLICE_X51Y130 TILESITE CLBLM_L_X32Y131 SLICE_X50Y131 TILESITE CLBLM_L_X32Y131 SLICE_X51Y131 TILESITE CLBLM_L_X32Y132 SLICE_X50Y132 TILESITE CLBLM_L_X32Y132 SLICE_X51Y132 TILESITE CLBLM_L_X32Y133 SLICE_X50Y133 TILESITE CLBLM_L_X32Y133 SLICE_X51Y133 TILESITE CLBLM_L_X32Y134 SLICE_X50Y134 TILESITE CLBLM_L_X32Y134 SLICE_X51Y134 TILESITE CLBLM_L_X32Y135 SLICE_X50Y135 TILESITE CLBLM_L_X32Y135 SLICE_X51Y135 TILESITE CLBLM_L_X32Y136 SLICE_X50Y136 TILESITE CLBLM_L_X32Y136 SLICE_X51Y136 TILESITE CLBLM_L_X32Y137 SLICE_X50Y137 TILESITE CLBLM_L_X32Y137 SLICE_X51Y137 TILESITE CLBLM_L_X32Y138 SLICE_X50Y138 TILESITE CLBLM_L_X32Y138 SLICE_X51Y138 TILESITE CLBLM_L_X32Y139 SLICE_X50Y139 TILESITE CLBLM_L_X32Y139 SLICE_X51Y139 TILESITE CLBLM_L_X32Y140 SLICE_X50Y140 TILESITE CLBLM_L_X32Y140 SLICE_X51Y140 TILESITE CLBLM_L_X32Y141 SLICE_X50Y141 TILESITE CLBLM_L_X32Y141 SLICE_X51Y141 TILESITE CLBLM_L_X32Y142 SLICE_X50Y142 TILESITE CLBLM_L_X32Y142 SLICE_X51Y142 TILESITE CLBLM_L_X32Y143 SLICE_X50Y143 TILESITE CLBLM_L_X32Y143 SLICE_X51Y143 TILESITE CLBLM_L_X32Y144 SLICE_X50Y144 TILESITE CLBLM_L_X32Y144 SLICE_X51Y144 TILESITE CLBLM_L_X32Y145 SLICE_X50Y145 TILESITE CLBLM_L_X32Y145 SLICE_X51Y145 TILESITE CLBLM_L_X32Y146 SLICE_X50Y146 TILESITE CLBLM_L_X32Y146 SLICE_X51Y146 TILESITE CLBLM_L_X32Y147 SLICE_X50Y147 TILESITE CLBLM_L_X32Y147 SLICE_X51Y147 TILESITE CLBLM_L_X32Y148 SLICE_X50Y148 TILESITE CLBLM_L_X32Y148 SLICE_X51Y148 TILESITE CLBLM_L_X32Y149 SLICE_X50Y149 TILESITE CLBLM_L_X32Y149 SLICE_X51Y149 TILESITE CLBLM_L_X36Y0 SLICE_X56Y0 TILESITE CLBLM_L_X36Y0 SLICE_X57Y0 TILESITE CLBLM_L_X36Y1 SLICE_X56Y1 TILESITE CLBLM_L_X36Y1 SLICE_X57Y1 TILESITE CLBLM_L_X36Y2 SLICE_X56Y2 TILESITE CLBLM_L_X36Y2 SLICE_X57Y2 TILESITE CLBLM_L_X36Y3 SLICE_X56Y3 TILESITE CLBLM_L_X36Y3 SLICE_X57Y3 TILESITE CLBLM_L_X36Y4 SLICE_X56Y4 TILESITE CLBLM_L_X36Y4 SLICE_X57Y4 TILESITE CLBLM_L_X36Y5 SLICE_X56Y5 TILESITE CLBLM_L_X36Y5 SLICE_X57Y5 TILESITE CLBLM_L_X36Y6 SLICE_X56Y6 TILESITE CLBLM_L_X36Y6 SLICE_X57Y6 TILESITE CLBLM_L_X36Y7 SLICE_X56Y7 TILESITE CLBLM_L_X36Y7 SLICE_X57Y7 TILESITE CLBLM_L_X36Y8 SLICE_X56Y8 TILESITE CLBLM_L_X36Y8 SLICE_X57Y8 TILESITE CLBLM_L_X36Y9 SLICE_X56Y9 TILESITE CLBLM_L_X36Y9 SLICE_X57Y9 TILESITE CLBLM_L_X36Y10 SLICE_X56Y10 TILESITE CLBLM_L_X36Y10 SLICE_X57Y10 TILESITE CLBLM_L_X36Y11 SLICE_X56Y11 TILESITE CLBLM_L_X36Y11 SLICE_X57Y11 TILESITE CLBLM_L_X36Y12 SLICE_X56Y12 TILESITE CLBLM_L_X36Y12 SLICE_X57Y12 TILESITE CLBLM_L_X36Y13 SLICE_X56Y13 TILESITE CLBLM_L_X36Y13 SLICE_X57Y13 TILESITE CLBLM_L_X36Y14 SLICE_X56Y14 TILESITE CLBLM_L_X36Y14 SLICE_X57Y14 TILESITE CLBLM_L_X36Y15 SLICE_X56Y15 TILESITE CLBLM_L_X36Y15 SLICE_X57Y15 TILESITE CLBLM_L_X36Y16 SLICE_X56Y16 TILESITE CLBLM_L_X36Y16 SLICE_X57Y16 TILESITE CLBLM_L_X36Y17 SLICE_X56Y17 TILESITE CLBLM_L_X36Y17 SLICE_X57Y17 TILESITE CLBLM_L_X36Y18 SLICE_X56Y18 TILESITE CLBLM_L_X36Y18 SLICE_X57Y18 TILESITE CLBLM_L_X36Y19 SLICE_X56Y19 TILESITE CLBLM_L_X36Y19 SLICE_X57Y19 TILESITE CLBLM_L_X36Y20 SLICE_X56Y20 TILESITE CLBLM_L_X36Y20 SLICE_X57Y20 TILESITE CLBLM_L_X36Y21 SLICE_X56Y21 TILESITE CLBLM_L_X36Y21 SLICE_X57Y21 TILESITE CLBLM_L_X36Y22 SLICE_X56Y22 TILESITE CLBLM_L_X36Y22 SLICE_X57Y22 TILESITE CLBLM_L_X36Y23 SLICE_X56Y23 TILESITE CLBLM_L_X36Y23 SLICE_X57Y23 TILESITE CLBLM_L_X36Y24 SLICE_X56Y24 TILESITE CLBLM_L_X36Y24 SLICE_X57Y24 TILESITE CLBLM_L_X36Y25 SLICE_X56Y25 TILESITE CLBLM_L_X36Y25 SLICE_X57Y25 TILESITE CLBLM_L_X36Y26 SLICE_X56Y26 TILESITE CLBLM_L_X36Y26 SLICE_X57Y26 TILESITE CLBLM_L_X36Y27 SLICE_X56Y27 TILESITE CLBLM_L_X36Y27 SLICE_X57Y27 TILESITE CLBLM_L_X36Y28 SLICE_X56Y28 TILESITE CLBLM_L_X36Y28 SLICE_X57Y28 TILESITE CLBLM_L_X36Y29 SLICE_X56Y29 TILESITE CLBLM_L_X36Y29 SLICE_X57Y29 TILESITE CLBLM_L_X36Y30 SLICE_X56Y30 TILESITE CLBLM_L_X36Y30 SLICE_X57Y30 TILESITE CLBLM_L_X36Y31 SLICE_X56Y31 TILESITE CLBLM_L_X36Y31 SLICE_X57Y31 TILESITE CLBLM_L_X36Y32 SLICE_X56Y32 TILESITE CLBLM_L_X36Y32 SLICE_X57Y32 TILESITE CLBLM_L_X36Y33 SLICE_X56Y33 TILESITE CLBLM_L_X36Y33 SLICE_X57Y33 TILESITE CLBLM_L_X36Y34 SLICE_X56Y34 TILESITE CLBLM_L_X36Y34 SLICE_X57Y34 TILESITE CLBLM_L_X36Y35 SLICE_X56Y35 TILESITE CLBLM_L_X36Y35 SLICE_X57Y35 TILESITE CLBLM_L_X36Y36 SLICE_X56Y36 TILESITE CLBLM_L_X36Y36 SLICE_X57Y36 TILESITE CLBLM_L_X36Y37 SLICE_X56Y37 TILESITE CLBLM_L_X36Y37 SLICE_X57Y37 TILESITE CLBLM_L_X36Y38 SLICE_X56Y38 TILESITE CLBLM_L_X36Y38 SLICE_X57Y38 TILESITE CLBLM_L_X36Y39 SLICE_X56Y39 TILESITE CLBLM_L_X36Y39 SLICE_X57Y39 TILESITE CLBLM_L_X36Y40 SLICE_X56Y40 TILESITE CLBLM_L_X36Y40 SLICE_X57Y40 TILESITE CLBLM_L_X36Y41 SLICE_X56Y41 TILESITE CLBLM_L_X36Y41 SLICE_X57Y41 TILESITE CLBLM_L_X36Y42 SLICE_X56Y42 TILESITE CLBLM_L_X36Y42 SLICE_X57Y42 TILESITE CLBLM_L_X36Y43 SLICE_X56Y43 TILESITE CLBLM_L_X36Y43 SLICE_X57Y43 TILESITE CLBLM_L_X36Y44 SLICE_X56Y44 TILESITE CLBLM_L_X36Y44 SLICE_X57Y44 TILESITE CLBLM_L_X36Y45 SLICE_X56Y45 TILESITE CLBLM_L_X36Y45 SLICE_X57Y45 TILESITE CLBLM_L_X36Y46 SLICE_X56Y46 TILESITE CLBLM_L_X36Y46 SLICE_X57Y46 TILESITE CLBLM_L_X36Y47 SLICE_X56Y47 TILESITE CLBLM_L_X36Y47 SLICE_X57Y47 TILESITE CLBLM_L_X36Y48 SLICE_X56Y48 TILESITE CLBLM_L_X36Y48 SLICE_X57Y48 TILESITE CLBLM_L_X36Y49 SLICE_X56Y49 TILESITE CLBLM_L_X36Y49 SLICE_X57Y49 TILESITE CLBLM_L_X36Y50 SLICE_X56Y50 TILESITE CLBLM_L_X36Y50 SLICE_X57Y50 TILESITE CLBLM_L_X36Y51 SLICE_X56Y51 TILESITE CLBLM_L_X36Y51 SLICE_X57Y51 TILESITE CLBLM_L_X36Y52 SLICE_X56Y52 TILESITE CLBLM_L_X36Y52 SLICE_X57Y52 TILESITE CLBLM_L_X36Y53 SLICE_X56Y53 TILESITE CLBLM_L_X36Y53 SLICE_X57Y53 TILESITE CLBLM_L_X36Y54 SLICE_X56Y54 TILESITE CLBLM_L_X36Y54 SLICE_X57Y54 TILESITE CLBLM_L_X36Y55 SLICE_X56Y55 TILESITE CLBLM_L_X36Y55 SLICE_X57Y55 TILESITE CLBLM_L_X36Y56 SLICE_X56Y56 TILESITE CLBLM_L_X36Y56 SLICE_X57Y56 TILESITE CLBLM_L_X36Y57 SLICE_X56Y57 TILESITE CLBLM_L_X36Y57 SLICE_X57Y57 TILESITE CLBLM_L_X36Y58 SLICE_X56Y58 TILESITE CLBLM_L_X36Y58 SLICE_X57Y58 TILESITE CLBLM_L_X36Y59 SLICE_X56Y59 TILESITE CLBLM_L_X36Y59 SLICE_X57Y59 TILESITE CLBLM_L_X36Y60 SLICE_X56Y60 TILESITE CLBLM_L_X36Y60 SLICE_X57Y60 TILESITE CLBLM_L_X36Y61 SLICE_X56Y61 TILESITE CLBLM_L_X36Y61 SLICE_X57Y61 TILESITE CLBLM_L_X36Y62 SLICE_X56Y62 TILESITE CLBLM_L_X36Y62 SLICE_X57Y62 TILESITE CLBLM_L_X36Y63 SLICE_X56Y63 TILESITE CLBLM_L_X36Y63 SLICE_X57Y63 TILESITE CLBLM_L_X36Y64 SLICE_X56Y64 TILESITE CLBLM_L_X36Y64 SLICE_X57Y64 TILESITE CLBLM_L_X36Y65 SLICE_X56Y65 TILESITE CLBLM_L_X36Y65 SLICE_X57Y65 TILESITE CLBLM_L_X36Y66 SLICE_X56Y66 TILESITE CLBLM_L_X36Y66 SLICE_X57Y66 TILESITE CLBLM_L_X36Y67 SLICE_X56Y67 TILESITE CLBLM_L_X36Y67 SLICE_X57Y67 TILESITE CLBLM_L_X36Y68 SLICE_X56Y68 TILESITE CLBLM_L_X36Y68 SLICE_X57Y68 TILESITE CLBLM_L_X36Y69 SLICE_X56Y69 TILESITE CLBLM_L_X36Y69 SLICE_X57Y69 TILESITE CLBLM_L_X36Y70 SLICE_X56Y70 TILESITE CLBLM_L_X36Y70 SLICE_X57Y70 TILESITE CLBLM_L_X36Y71 SLICE_X56Y71 TILESITE CLBLM_L_X36Y71 SLICE_X57Y71 TILESITE CLBLM_L_X36Y72 SLICE_X56Y72 TILESITE CLBLM_L_X36Y72 SLICE_X57Y72 TILESITE CLBLM_L_X36Y73 SLICE_X56Y73 TILESITE CLBLM_L_X36Y73 SLICE_X57Y73 TILESITE CLBLM_L_X36Y74 SLICE_X56Y74 TILESITE CLBLM_L_X36Y74 SLICE_X57Y74 TILESITE CLBLM_L_X36Y75 SLICE_X56Y75 TILESITE CLBLM_L_X36Y75 SLICE_X57Y75 TILESITE CLBLM_L_X36Y76 SLICE_X56Y76 TILESITE CLBLM_L_X36Y76 SLICE_X57Y76 TILESITE CLBLM_L_X36Y77 SLICE_X56Y77 TILESITE CLBLM_L_X36Y77 SLICE_X57Y77 TILESITE CLBLM_L_X36Y78 SLICE_X56Y78 TILESITE CLBLM_L_X36Y78 SLICE_X57Y78 TILESITE CLBLM_L_X36Y79 SLICE_X56Y79 TILESITE CLBLM_L_X36Y79 SLICE_X57Y79 TILESITE CLBLM_L_X36Y80 SLICE_X56Y80 TILESITE CLBLM_L_X36Y80 SLICE_X57Y80 TILESITE CLBLM_L_X36Y81 SLICE_X56Y81 TILESITE CLBLM_L_X36Y81 SLICE_X57Y81 TILESITE CLBLM_L_X36Y82 SLICE_X56Y82 TILESITE CLBLM_L_X36Y82 SLICE_X57Y82 TILESITE CLBLM_L_X36Y83 SLICE_X56Y83 TILESITE CLBLM_L_X36Y83 SLICE_X57Y83 TILESITE CLBLM_L_X36Y84 SLICE_X56Y84 TILESITE CLBLM_L_X36Y84 SLICE_X57Y84 TILESITE CLBLM_L_X36Y85 SLICE_X56Y85 TILESITE CLBLM_L_X36Y85 SLICE_X57Y85 TILESITE CLBLM_L_X36Y86 SLICE_X56Y86 TILESITE CLBLM_L_X36Y86 SLICE_X57Y86 TILESITE CLBLM_L_X36Y87 SLICE_X56Y87 TILESITE CLBLM_L_X36Y87 SLICE_X57Y87 TILESITE CLBLM_L_X36Y88 SLICE_X56Y88 TILESITE CLBLM_L_X36Y88 SLICE_X57Y88 TILESITE CLBLM_L_X36Y89 SLICE_X56Y89 TILESITE CLBLM_L_X36Y89 SLICE_X57Y89 TILESITE CLBLM_L_X36Y90 SLICE_X56Y90 TILESITE CLBLM_L_X36Y90 SLICE_X57Y90 TILESITE CLBLM_L_X36Y91 SLICE_X56Y91 TILESITE CLBLM_L_X36Y91 SLICE_X57Y91 TILESITE CLBLM_L_X36Y92 SLICE_X56Y92 TILESITE CLBLM_L_X36Y92 SLICE_X57Y92 TILESITE CLBLM_L_X36Y93 SLICE_X56Y93 TILESITE CLBLM_L_X36Y93 SLICE_X57Y93 TILESITE CLBLM_L_X36Y94 SLICE_X56Y94 TILESITE CLBLM_L_X36Y94 SLICE_X57Y94 TILESITE CLBLM_L_X36Y95 SLICE_X56Y95 TILESITE CLBLM_L_X36Y95 SLICE_X57Y95 TILESITE CLBLM_L_X36Y96 SLICE_X56Y96 TILESITE CLBLM_L_X36Y96 SLICE_X57Y96 TILESITE CLBLM_L_X36Y97 SLICE_X56Y97 TILESITE CLBLM_L_X36Y97 SLICE_X57Y97 TILESITE CLBLM_L_X36Y98 SLICE_X56Y98 TILESITE CLBLM_L_X36Y98 SLICE_X57Y98 TILESITE CLBLM_L_X36Y99 SLICE_X56Y99 TILESITE CLBLM_L_X36Y99 SLICE_X57Y99 TILESITE CLBLM_L_X36Y100 SLICE_X56Y100 TILESITE CLBLM_L_X36Y100 SLICE_X57Y100 TILESITE CLBLM_L_X36Y101 SLICE_X56Y101 TILESITE CLBLM_L_X36Y101 SLICE_X57Y101 TILESITE CLBLM_L_X36Y102 SLICE_X56Y102 TILESITE CLBLM_L_X36Y102 SLICE_X57Y102 TILESITE CLBLM_L_X36Y103 SLICE_X56Y103 TILESITE CLBLM_L_X36Y103 SLICE_X57Y103 TILESITE CLBLM_L_X36Y104 SLICE_X56Y104 TILESITE CLBLM_L_X36Y104 SLICE_X57Y104 TILESITE CLBLM_L_X36Y105 SLICE_X56Y105 TILESITE CLBLM_L_X36Y105 SLICE_X57Y105 TILESITE CLBLM_L_X36Y106 SLICE_X56Y106 TILESITE CLBLM_L_X36Y106 SLICE_X57Y106 TILESITE CLBLM_L_X36Y107 SLICE_X56Y107 TILESITE CLBLM_L_X36Y107 SLICE_X57Y107 TILESITE CLBLM_L_X36Y108 SLICE_X56Y108 TILESITE CLBLM_L_X36Y108 SLICE_X57Y108 TILESITE CLBLM_L_X36Y109 SLICE_X56Y109 TILESITE CLBLM_L_X36Y109 SLICE_X57Y109 TILESITE CLBLM_L_X36Y110 SLICE_X56Y110 TILESITE CLBLM_L_X36Y110 SLICE_X57Y110 TILESITE CLBLM_L_X36Y111 SLICE_X56Y111 TILESITE CLBLM_L_X36Y111 SLICE_X57Y111 TILESITE CLBLM_L_X36Y112 SLICE_X56Y112 TILESITE CLBLM_L_X36Y112 SLICE_X57Y112 TILESITE CLBLM_L_X36Y113 SLICE_X56Y113 TILESITE CLBLM_L_X36Y113 SLICE_X57Y113 TILESITE CLBLM_L_X36Y114 SLICE_X56Y114 TILESITE CLBLM_L_X36Y114 SLICE_X57Y114 TILESITE CLBLM_L_X36Y115 SLICE_X56Y115 TILESITE CLBLM_L_X36Y115 SLICE_X57Y115 TILESITE CLBLM_L_X36Y116 SLICE_X56Y116 TILESITE CLBLM_L_X36Y116 SLICE_X57Y116 TILESITE CLBLM_L_X36Y117 SLICE_X56Y117 TILESITE CLBLM_L_X36Y117 SLICE_X57Y117 TILESITE CLBLM_L_X36Y118 SLICE_X56Y118 TILESITE CLBLM_L_X36Y118 SLICE_X57Y118 TILESITE CLBLM_L_X36Y119 SLICE_X56Y119 TILESITE CLBLM_L_X36Y119 SLICE_X57Y119 TILESITE CLBLM_L_X36Y120 SLICE_X56Y120 TILESITE CLBLM_L_X36Y120 SLICE_X57Y120 TILESITE CLBLM_L_X36Y121 SLICE_X56Y121 TILESITE CLBLM_L_X36Y121 SLICE_X57Y121 TILESITE CLBLM_L_X36Y122 SLICE_X56Y122 TILESITE CLBLM_L_X36Y122 SLICE_X57Y122 TILESITE CLBLM_L_X36Y123 SLICE_X56Y123 TILESITE CLBLM_L_X36Y123 SLICE_X57Y123 TILESITE CLBLM_L_X36Y124 SLICE_X56Y124 TILESITE CLBLM_L_X36Y124 SLICE_X57Y124 TILESITE CLBLM_L_X36Y125 SLICE_X56Y125 TILESITE CLBLM_L_X36Y125 SLICE_X57Y125 TILESITE CLBLM_L_X36Y126 SLICE_X56Y126 TILESITE CLBLM_L_X36Y126 SLICE_X57Y126 TILESITE CLBLM_L_X36Y127 SLICE_X56Y127 TILESITE CLBLM_L_X36Y127 SLICE_X57Y127 TILESITE CLBLM_L_X36Y128 SLICE_X56Y128 TILESITE CLBLM_L_X36Y128 SLICE_X57Y128 TILESITE CLBLM_L_X36Y129 SLICE_X56Y129 TILESITE CLBLM_L_X36Y129 SLICE_X57Y129 TILESITE CLBLM_L_X36Y130 SLICE_X56Y130 TILESITE CLBLM_L_X36Y130 SLICE_X57Y130 TILESITE CLBLM_L_X36Y131 SLICE_X56Y131 TILESITE CLBLM_L_X36Y131 SLICE_X57Y131 TILESITE CLBLM_L_X36Y132 SLICE_X56Y132 TILESITE CLBLM_L_X36Y132 SLICE_X57Y132 TILESITE CLBLM_L_X36Y133 SLICE_X56Y133 TILESITE CLBLM_L_X36Y133 SLICE_X57Y133 TILESITE CLBLM_L_X36Y134 SLICE_X56Y134 TILESITE CLBLM_L_X36Y134 SLICE_X57Y134 TILESITE CLBLM_L_X36Y135 SLICE_X56Y135 TILESITE CLBLM_L_X36Y135 SLICE_X57Y135 TILESITE CLBLM_L_X36Y136 SLICE_X56Y136 TILESITE CLBLM_L_X36Y136 SLICE_X57Y136 TILESITE CLBLM_L_X36Y137 SLICE_X56Y137 TILESITE CLBLM_L_X36Y137 SLICE_X57Y137 TILESITE CLBLM_L_X36Y138 SLICE_X56Y138 TILESITE CLBLM_L_X36Y138 SLICE_X57Y138 TILESITE CLBLM_L_X36Y139 SLICE_X56Y139 TILESITE CLBLM_L_X36Y139 SLICE_X57Y139 TILESITE CLBLM_L_X36Y140 SLICE_X56Y140 TILESITE CLBLM_L_X36Y140 SLICE_X57Y140 TILESITE CLBLM_L_X36Y141 SLICE_X56Y141 TILESITE CLBLM_L_X36Y141 SLICE_X57Y141 TILESITE CLBLM_L_X36Y142 SLICE_X56Y142 TILESITE CLBLM_L_X36Y142 SLICE_X57Y142 TILESITE CLBLM_L_X36Y143 SLICE_X56Y143 TILESITE CLBLM_L_X36Y143 SLICE_X57Y143 TILESITE CLBLM_L_X36Y144 SLICE_X56Y144 TILESITE CLBLM_L_X36Y144 SLICE_X57Y144 TILESITE CLBLM_L_X36Y145 SLICE_X56Y145 TILESITE CLBLM_L_X36Y145 SLICE_X57Y145 TILESITE CLBLM_L_X36Y146 SLICE_X56Y146 TILESITE CLBLM_L_X36Y146 SLICE_X57Y146 TILESITE CLBLM_L_X36Y147 SLICE_X56Y147 TILESITE CLBLM_L_X36Y147 SLICE_X57Y147 TILESITE CLBLM_L_X36Y148 SLICE_X56Y148 TILESITE CLBLM_L_X36Y148 SLICE_X57Y148 TILESITE CLBLM_L_X36Y149 SLICE_X56Y149 TILESITE CLBLM_L_X36Y149 SLICE_X57Y149 TILESITE CLBLM_L_X8Y0 SLICE_X10Y0 TILESITE CLBLM_L_X8Y0 SLICE_X11Y0 TILESITE CLBLM_L_X8Y1 SLICE_X10Y1 TILESITE CLBLM_L_X8Y1 SLICE_X11Y1 TILESITE CLBLM_L_X8Y2 SLICE_X10Y2 TILESITE CLBLM_L_X8Y2 SLICE_X11Y2 TILESITE CLBLM_L_X8Y3 SLICE_X10Y3 TILESITE CLBLM_L_X8Y3 SLICE_X11Y3 TILESITE CLBLM_L_X8Y4 SLICE_X10Y4 TILESITE CLBLM_L_X8Y4 SLICE_X11Y4 TILESITE CLBLM_L_X8Y5 SLICE_X10Y5 TILESITE CLBLM_L_X8Y5 SLICE_X11Y5 TILESITE CLBLM_L_X8Y6 SLICE_X10Y6 TILESITE CLBLM_L_X8Y6 SLICE_X11Y6 TILESITE CLBLM_L_X8Y7 SLICE_X10Y7 TILESITE CLBLM_L_X8Y7 SLICE_X11Y7 TILESITE CLBLM_L_X8Y8 SLICE_X10Y8 TILESITE CLBLM_L_X8Y8 SLICE_X11Y8 TILESITE CLBLM_L_X8Y9 SLICE_X10Y9 TILESITE CLBLM_L_X8Y9 SLICE_X11Y9 TILESITE CLBLM_L_X8Y10 SLICE_X10Y10 TILESITE CLBLM_L_X8Y10 SLICE_X11Y10 TILESITE CLBLM_L_X8Y11 SLICE_X10Y11 TILESITE CLBLM_L_X8Y11 SLICE_X11Y11 TILESITE CLBLM_L_X8Y12 SLICE_X10Y12 TILESITE CLBLM_L_X8Y12 SLICE_X11Y12 TILESITE CLBLM_L_X8Y13 SLICE_X10Y13 TILESITE CLBLM_L_X8Y13 SLICE_X11Y13 TILESITE CLBLM_L_X8Y14 SLICE_X10Y14 TILESITE CLBLM_L_X8Y14 SLICE_X11Y14 TILESITE CLBLM_L_X8Y15 SLICE_X10Y15 TILESITE CLBLM_L_X8Y15 SLICE_X11Y15 TILESITE CLBLM_L_X8Y16 SLICE_X10Y16 TILESITE CLBLM_L_X8Y16 SLICE_X11Y16 TILESITE CLBLM_L_X8Y17 SLICE_X10Y17 TILESITE CLBLM_L_X8Y17 SLICE_X11Y17 TILESITE CLBLM_L_X8Y18 SLICE_X10Y18 TILESITE CLBLM_L_X8Y18 SLICE_X11Y18 TILESITE CLBLM_L_X8Y19 SLICE_X10Y19 TILESITE CLBLM_L_X8Y19 SLICE_X11Y19 TILESITE CLBLM_L_X8Y20 SLICE_X10Y20 TILESITE CLBLM_L_X8Y20 SLICE_X11Y20 TILESITE CLBLM_L_X8Y21 SLICE_X10Y21 TILESITE CLBLM_L_X8Y21 SLICE_X11Y21 TILESITE CLBLM_L_X8Y22 SLICE_X10Y22 TILESITE CLBLM_L_X8Y22 SLICE_X11Y22 TILESITE CLBLM_L_X8Y23 SLICE_X10Y23 TILESITE CLBLM_L_X8Y23 SLICE_X11Y23 TILESITE CLBLM_L_X8Y24 SLICE_X10Y24 TILESITE CLBLM_L_X8Y24 SLICE_X11Y24 TILESITE CLBLM_L_X8Y25 SLICE_X10Y25 TILESITE CLBLM_L_X8Y25 SLICE_X11Y25 TILESITE CLBLM_L_X8Y26 SLICE_X10Y26 TILESITE CLBLM_L_X8Y26 SLICE_X11Y26 TILESITE CLBLM_L_X8Y27 SLICE_X10Y27 TILESITE CLBLM_L_X8Y27 SLICE_X11Y27 TILESITE CLBLM_L_X8Y28 SLICE_X10Y28 TILESITE CLBLM_L_X8Y28 SLICE_X11Y28 TILESITE CLBLM_L_X8Y29 SLICE_X10Y29 TILESITE CLBLM_L_X8Y29 SLICE_X11Y29 TILESITE CLBLM_L_X8Y30 SLICE_X10Y30 TILESITE CLBLM_L_X8Y30 SLICE_X11Y30 TILESITE CLBLM_L_X8Y31 SLICE_X10Y31 TILESITE CLBLM_L_X8Y31 SLICE_X11Y31 TILESITE CLBLM_L_X8Y32 SLICE_X10Y32 TILESITE CLBLM_L_X8Y32 SLICE_X11Y32 TILESITE CLBLM_L_X8Y33 SLICE_X10Y33 TILESITE CLBLM_L_X8Y33 SLICE_X11Y33 TILESITE CLBLM_L_X8Y34 SLICE_X10Y34 TILESITE CLBLM_L_X8Y34 SLICE_X11Y34 TILESITE CLBLM_L_X8Y35 SLICE_X10Y35 TILESITE CLBLM_L_X8Y35 SLICE_X11Y35 TILESITE CLBLM_L_X8Y36 SLICE_X10Y36 TILESITE CLBLM_L_X8Y36 SLICE_X11Y36 TILESITE CLBLM_L_X8Y37 SLICE_X10Y37 TILESITE CLBLM_L_X8Y37 SLICE_X11Y37 TILESITE CLBLM_L_X8Y38 SLICE_X10Y38 TILESITE CLBLM_L_X8Y38 SLICE_X11Y38 TILESITE CLBLM_L_X8Y39 SLICE_X10Y39 TILESITE CLBLM_L_X8Y39 SLICE_X11Y39 TILESITE CLBLM_L_X8Y40 SLICE_X10Y40 TILESITE CLBLM_L_X8Y40 SLICE_X11Y40 TILESITE CLBLM_L_X8Y41 SLICE_X10Y41 TILESITE CLBLM_L_X8Y41 SLICE_X11Y41 TILESITE CLBLM_L_X8Y42 SLICE_X10Y42 TILESITE CLBLM_L_X8Y42 SLICE_X11Y42 TILESITE CLBLM_L_X8Y43 SLICE_X10Y43 TILESITE CLBLM_L_X8Y43 SLICE_X11Y43 TILESITE CLBLM_L_X8Y44 SLICE_X10Y44 TILESITE CLBLM_L_X8Y44 SLICE_X11Y44 TILESITE CLBLM_L_X8Y45 SLICE_X10Y45 TILESITE CLBLM_L_X8Y45 SLICE_X11Y45 TILESITE CLBLM_L_X8Y46 SLICE_X10Y46 TILESITE CLBLM_L_X8Y46 SLICE_X11Y46 TILESITE CLBLM_L_X8Y47 SLICE_X10Y47 TILESITE CLBLM_L_X8Y47 SLICE_X11Y47 TILESITE CLBLM_L_X8Y48 SLICE_X10Y48 TILESITE CLBLM_L_X8Y48 SLICE_X11Y48 TILESITE CLBLM_L_X8Y49 SLICE_X10Y49 TILESITE CLBLM_L_X8Y49 SLICE_X11Y49 TILESITE CLBLM_L_X8Y50 SLICE_X10Y50 TILESITE CLBLM_L_X8Y50 SLICE_X11Y50 TILESITE CLBLM_L_X8Y51 SLICE_X10Y51 TILESITE CLBLM_L_X8Y51 SLICE_X11Y51 TILESITE CLBLM_L_X8Y52 SLICE_X10Y52 TILESITE CLBLM_L_X8Y52 SLICE_X11Y52 TILESITE CLBLM_L_X8Y53 SLICE_X10Y53 TILESITE CLBLM_L_X8Y53 SLICE_X11Y53 TILESITE CLBLM_L_X8Y54 SLICE_X10Y54 TILESITE CLBLM_L_X8Y54 SLICE_X11Y54 TILESITE CLBLM_L_X8Y55 SLICE_X10Y55 TILESITE CLBLM_L_X8Y55 SLICE_X11Y55 TILESITE CLBLM_L_X8Y56 SLICE_X10Y56 TILESITE CLBLM_L_X8Y56 SLICE_X11Y56 TILESITE CLBLM_L_X8Y57 SLICE_X10Y57 TILESITE CLBLM_L_X8Y57 SLICE_X11Y57 TILESITE CLBLM_L_X8Y58 SLICE_X10Y58 TILESITE CLBLM_L_X8Y58 SLICE_X11Y58 TILESITE CLBLM_L_X8Y59 SLICE_X10Y59 TILESITE CLBLM_L_X8Y59 SLICE_X11Y59 TILESITE CLBLM_L_X8Y60 SLICE_X10Y60 TILESITE CLBLM_L_X8Y60 SLICE_X11Y60 TILESITE CLBLM_L_X8Y61 SLICE_X10Y61 TILESITE CLBLM_L_X8Y61 SLICE_X11Y61 TILESITE CLBLM_L_X8Y62 SLICE_X10Y62 TILESITE CLBLM_L_X8Y62 SLICE_X11Y62 TILESITE CLBLM_L_X8Y63 SLICE_X10Y63 TILESITE CLBLM_L_X8Y63 SLICE_X11Y63 TILESITE CLBLM_L_X8Y64 SLICE_X10Y64 TILESITE CLBLM_L_X8Y64 SLICE_X11Y64 TILESITE CLBLM_L_X8Y65 SLICE_X10Y65 TILESITE CLBLM_L_X8Y65 SLICE_X11Y65 TILESITE CLBLM_L_X8Y66 SLICE_X10Y66 TILESITE CLBLM_L_X8Y66 SLICE_X11Y66 TILESITE CLBLM_L_X8Y67 SLICE_X10Y67 TILESITE CLBLM_L_X8Y67 SLICE_X11Y67 TILESITE CLBLM_L_X8Y68 SLICE_X10Y68 TILESITE CLBLM_L_X8Y68 SLICE_X11Y68 TILESITE CLBLM_L_X8Y69 SLICE_X10Y69 TILESITE CLBLM_L_X8Y69 SLICE_X11Y69 TILESITE CLBLM_L_X8Y70 SLICE_X10Y70 TILESITE CLBLM_L_X8Y70 SLICE_X11Y70 TILESITE CLBLM_L_X8Y71 SLICE_X10Y71 TILESITE CLBLM_L_X8Y71 SLICE_X11Y71 TILESITE CLBLM_L_X8Y72 SLICE_X10Y72 TILESITE CLBLM_L_X8Y72 SLICE_X11Y72 TILESITE CLBLM_L_X8Y73 SLICE_X10Y73 TILESITE CLBLM_L_X8Y73 SLICE_X11Y73 TILESITE CLBLM_L_X8Y74 SLICE_X10Y74 TILESITE CLBLM_L_X8Y74 SLICE_X11Y74 TILESITE CLBLM_L_X8Y75 SLICE_X10Y75 TILESITE CLBLM_L_X8Y75 SLICE_X11Y75 TILESITE CLBLM_L_X8Y76 SLICE_X10Y76 TILESITE CLBLM_L_X8Y76 SLICE_X11Y76 TILESITE CLBLM_L_X8Y77 SLICE_X10Y77 TILESITE CLBLM_L_X8Y77 SLICE_X11Y77 TILESITE CLBLM_L_X8Y78 SLICE_X10Y78 TILESITE CLBLM_L_X8Y78 SLICE_X11Y78 TILESITE CLBLM_L_X8Y79 SLICE_X10Y79 TILESITE CLBLM_L_X8Y79 SLICE_X11Y79 TILESITE CLBLM_L_X8Y80 SLICE_X10Y80 TILESITE CLBLM_L_X8Y80 SLICE_X11Y80 TILESITE CLBLM_L_X8Y81 SLICE_X10Y81 TILESITE CLBLM_L_X8Y81 SLICE_X11Y81 TILESITE CLBLM_L_X8Y82 SLICE_X10Y82 TILESITE CLBLM_L_X8Y82 SLICE_X11Y82 TILESITE CLBLM_L_X8Y83 SLICE_X10Y83 TILESITE CLBLM_L_X8Y83 SLICE_X11Y83 TILESITE CLBLM_L_X8Y84 SLICE_X10Y84 TILESITE CLBLM_L_X8Y84 SLICE_X11Y84 TILESITE CLBLM_L_X8Y85 SLICE_X10Y85 TILESITE CLBLM_L_X8Y85 SLICE_X11Y85 TILESITE CLBLM_L_X8Y86 SLICE_X10Y86 TILESITE CLBLM_L_X8Y86 SLICE_X11Y86 TILESITE CLBLM_L_X8Y87 SLICE_X10Y87 TILESITE CLBLM_L_X8Y87 SLICE_X11Y87 TILESITE CLBLM_L_X8Y88 SLICE_X10Y88 TILESITE CLBLM_L_X8Y88 SLICE_X11Y88 TILESITE CLBLM_L_X8Y89 SLICE_X10Y89 TILESITE CLBLM_L_X8Y89 SLICE_X11Y89 TILESITE CLBLM_L_X8Y90 SLICE_X10Y90 TILESITE CLBLM_L_X8Y90 SLICE_X11Y90 TILESITE CLBLM_L_X8Y91 SLICE_X10Y91 TILESITE CLBLM_L_X8Y91 SLICE_X11Y91 TILESITE CLBLM_L_X8Y92 SLICE_X10Y92 TILESITE CLBLM_L_X8Y92 SLICE_X11Y92 TILESITE CLBLM_L_X8Y93 SLICE_X10Y93 TILESITE CLBLM_L_X8Y93 SLICE_X11Y93 TILESITE CLBLM_L_X8Y94 SLICE_X10Y94 TILESITE CLBLM_L_X8Y94 SLICE_X11Y94 TILESITE CLBLM_L_X8Y95 SLICE_X10Y95 TILESITE CLBLM_L_X8Y95 SLICE_X11Y95 TILESITE CLBLM_L_X8Y96 SLICE_X10Y96 TILESITE CLBLM_L_X8Y96 SLICE_X11Y96 TILESITE CLBLM_L_X8Y97 SLICE_X10Y97 TILESITE CLBLM_L_X8Y97 SLICE_X11Y97 TILESITE CLBLM_L_X8Y98 SLICE_X10Y98 TILESITE CLBLM_L_X8Y98 SLICE_X11Y98 TILESITE CLBLM_L_X8Y99 SLICE_X10Y99 TILESITE CLBLM_L_X8Y99 SLICE_X11Y99 TILESITE CLBLM_L_X8Y100 SLICE_X10Y100 TILESITE CLBLM_L_X8Y100 SLICE_X11Y100 TILESITE CLBLM_L_X8Y101 SLICE_X10Y101 TILESITE CLBLM_L_X8Y101 SLICE_X11Y101 TILESITE CLBLM_L_X8Y102 SLICE_X10Y102 TILESITE CLBLM_L_X8Y102 SLICE_X11Y102 TILESITE CLBLM_L_X8Y103 SLICE_X10Y103 TILESITE CLBLM_L_X8Y103 SLICE_X11Y103 TILESITE CLBLM_L_X8Y104 SLICE_X10Y104 TILESITE CLBLM_L_X8Y104 SLICE_X11Y104 TILESITE CLBLM_L_X8Y105 SLICE_X10Y105 TILESITE CLBLM_L_X8Y105 SLICE_X11Y105 TILESITE CLBLM_L_X8Y106 SLICE_X10Y106 TILESITE CLBLM_L_X8Y106 SLICE_X11Y106 TILESITE CLBLM_L_X8Y107 SLICE_X10Y107 TILESITE CLBLM_L_X8Y107 SLICE_X11Y107 TILESITE CLBLM_L_X8Y108 SLICE_X10Y108 TILESITE CLBLM_L_X8Y108 SLICE_X11Y108 TILESITE CLBLM_L_X8Y109 SLICE_X10Y109 TILESITE CLBLM_L_X8Y109 SLICE_X11Y109 TILESITE CLBLM_L_X8Y110 SLICE_X10Y110 TILESITE CLBLM_L_X8Y110 SLICE_X11Y110 TILESITE CLBLM_L_X8Y111 SLICE_X10Y111 TILESITE CLBLM_L_X8Y111 SLICE_X11Y111 TILESITE CLBLM_L_X8Y112 SLICE_X10Y112 TILESITE CLBLM_L_X8Y112 SLICE_X11Y112 TILESITE CLBLM_L_X8Y113 SLICE_X10Y113 TILESITE CLBLM_L_X8Y113 SLICE_X11Y113 TILESITE CLBLM_L_X8Y114 SLICE_X10Y114 TILESITE CLBLM_L_X8Y114 SLICE_X11Y114 TILESITE CLBLM_L_X8Y115 SLICE_X10Y115 TILESITE CLBLM_L_X8Y115 SLICE_X11Y115 TILESITE CLBLM_L_X8Y116 SLICE_X10Y116 TILESITE CLBLM_L_X8Y116 SLICE_X11Y116 TILESITE CLBLM_L_X8Y117 SLICE_X10Y117 TILESITE CLBLM_L_X8Y117 SLICE_X11Y117 TILESITE CLBLM_L_X8Y118 SLICE_X10Y118 TILESITE CLBLM_L_X8Y118 SLICE_X11Y118 TILESITE CLBLM_L_X8Y119 SLICE_X10Y119 TILESITE CLBLM_L_X8Y119 SLICE_X11Y119 TILESITE CLBLM_L_X8Y120 SLICE_X10Y120 TILESITE CLBLM_L_X8Y120 SLICE_X11Y120 TILESITE CLBLM_L_X8Y121 SLICE_X10Y121 TILESITE CLBLM_L_X8Y121 SLICE_X11Y121 TILESITE CLBLM_L_X8Y122 SLICE_X10Y122 TILESITE CLBLM_L_X8Y122 SLICE_X11Y122 TILESITE CLBLM_L_X8Y123 SLICE_X10Y123 TILESITE CLBLM_L_X8Y123 SLICE_X11Y123 TILESITE CLBLM_L_X8Y124 SLICE_X10Y124 TILESITE CLBLM_L_X8Y124 SLICE_X11Y124 TILESITE CLBLM_L_X8Y125 SLICE_X10Y125 TILESITE CLBLM_L_X8Y125 SLICE_X11Y125 TILESITE CLBLM_L_X8Y126 SLICE_X10Y126 TILESITE CLBLM_L_X8Y126 SLICE_X11Y126 TILESITE CLBLM_L_X8Y127 SLICE_X10Y127 TILESITE CLBLM_L_X8Y127 SLICE_X11Y127 TILESITE CLBLM_L_X8Y128 SLICE_X10Y128 TILESITE CLBLM_L_X8Y128 SLICE_X11Y128 TILESITE CLBLM_L_X8Y129 SLICE_X10Y129 TILESITE CLBLM_L_X8Y129 SLICE_X11Y129 TILESITE CLBLM_L_X8Y130 SLICE_X10Y130 TILESITE CLBLM_L_X8Y130 SLICE_X11Y130 TILESITE CLBLM_L_X8Y131 SLICE_X10Y131 TILESITE CLBLM_L_X8Y131 SLICE_X11Y131 TILESITE CLBLM_L_X8Y132 SLICE_X10Y132 TILESITE CLBLM_L_X8Y132 SLICE_X11Y132 TILESITE CLBLM_L_X8Y133 SLICE_X10Y133 TILESITE CLBLM_L_X8Y133 SLICE_X11Y133 TILESITE CLBLM_L_X8Y134 SLICE_X10Y134 TILESITE CLBLM_L_X8Y134 SLICE_X11Y134 TILESITE CLBLM_L_X8Y135 SLICE_X10Y135 TILESITE CLBLM_L_X8Y135 SLICE_X11Y135 TILESITE CLBLM_L_X8Y136 SLICE_X10Y136 TILESITE CLBLM_L_X8Y136 SLICE_X11Y136 TILESITE CLBLM_L_X8Y137 SLICE_X10Y137 TILESITE CLBLM_L_X8Y137 SLICE_X11Y137 TILESITE CLBLM_L_X8Y138 SLICE_X10Y138 TILESITE CLBLM_L_X8Y138 SLICE_X11Y138 TILESITE CLBLM_L_X8Y139 SLICE_X10Y139 TILESITE CLBLM_L_X8Y139 SLICE_X11Y139 TILESITE CLBLM_L_X8Y140 SLICE_X10Y140 TILESITE CLBLM_L_X8Y140 SLICE_X11Y140 TILESITE CLBLM_L_X8Y141 SLICE_X10Y141 TILESITE CLBLM_L_X8Y141 SLICE_X11Y141 TILESITE CLBLM_L_X8Y142 SLICE_X10Y142 TILESITE CLBLM_L_X8Y142 SLICE_X11Y142 TILESITE CLBLM_L_X8Y143 SLICE_X10Y143 TILESITE CLBLM_L_X8Y143 SLICE_X11Y143 TILESITE CLBLM_L_X8Y144 SLICE_X10Y144 TILESITE CLBLM_L_X8Y144 SLICE_X11Y144 TILESITE CLBLM_L_X8Y145 SLICE_X10Y145 TILESITE CLBLM_L_X8Y145 SLICE_X11Y145 TILESITE CLBLM_L_X8Y146 SLICE_X10Y146 TILESITE CLBLM_L_X8Y146 SLICE_X11Y146 TILESITE CLBLM_L_X8Y147 SLICE_X10Y147 TILESITE CLBLM_L_X8Y147 SLICE_X11Y147 TILESITE CLBLM_L_X8Y148 SLICE_X10Y148 TILESITE CLBLM_L_X8Y148 SLICE_X11Y148 TILESITE CLBLM_L_X8Y149 SLICE_X10Y149 TILESITE CLBLM_L_X8Y149 SLICE_X11Y149 TILESITE CLBLM_R_X11Y0 SLICE_X14Y0 TILESITE CLBLM_R_X11Y0 SLICE_X15Y0 TILESITE CLBLM_R_X11Y1 SLICE_X14Y1 TILESITE CLBLM_R_X11Y1 SLICE_X15Y1 TILESITE CLBLM_R_X11Y2 SLICE_X14Y2 TILESITE CLBLM_R_X11Y2 SLICE_X15Y2 TILESITE CLBLM_R_X11Y3 SLICE_X14Y3 TILESITE CLBLM_R_X11Y3 SLICE_X15Y3 TILESITE CLBLM_R_X11Y4 SLICE_X14Y4 TILESITE CLBLM_R_X11Y4 SLICE_X15Y4 TILESITE CLBLM_R_X11Y5 SLICE_X14Y5 TILESITE CLBLM_R_X11Y5 SLICE_X15Y5 TILESITE CLBLM_R_X11Y6 SLICE_X14Y6 TILESITE CLBLM_R_X11Y6 SLICE_X15Y6 TILESITE CLBLM_R_X11Y7 SLICE_X14Y7 TILESITE CLBLM_R_X11Y7 SLICE_X15Y7 TILESITE CLBLM_R_X11Y8 SLICE_X14Y8 TILESITE CLBLM_R_X11Y8 SLICE_X15Y8 TILESITE CLBLM_R_X11Y9 SLICE_X14Y9 TILESITE CLBLM_R_X11Y9 SLICE_X15Y9 TILESITE CLBLM_R_X11Y10 SLICE_X14Y10 TILESITE CLBLM_R_X11Y10 SLICE_X15Y10 TILESITE CLBLM_R_X11Y11 SLICE_X14Y11 TILESITE CLBLM_R_X11Y11 SLICE_X15Y11 TILESITE CLBLM_R_X11Y12 SLICE_X14Y12 TILESITE CLBLM_R_X11Y12 SLICE_X15Y12 TILESITE CLBLM_R_X11Y13 SLICE_X14Y13 TILESITE CLBLM_R_X11Y13 SLICE_X15Y13 TILESITE CLBLM_R_X11Y14 SLICE_X14Y14 TILESITE CLBLM_R_X11Y14 SLICE_X15Y14 TILESITE CLBLM_R_X11Y15 SLICE_X14Y15 TILESITE CLBLM_R_X11Y15 SLICE_X15Y15 TILESITE CLBLM_R_X11Y16 SLICE_X14Y16 TILESITE CLBLM_R_X11Y16 SLICE_X15Y16 TILESITE CLBLM_R_X11Y17 SLICE_X14Y17 TILESITE CLBLM_R_X11Y17 SLICE_X15Y17 TILESITE CLBLM_R_X11Y18 SLICE_X14Y18 TILESITE CLBLM_R_X11Y18 SLICE_X15Y18 TILESITE CLBLM_R_X11Y19 SLICE_X14Y19 TILESITE CLBLM_R_X11Y19 SLICE_X15Y19 TILESITE CLBLM_R_X11Y20 SLICE_X14Y20 TILESITE CLBLM_R_X11Y20 SLICE_X15Y20 TILESITE CLBLM_R_X11Y21 SLICE_X14Y21 TILESITE CLBLM_R_X11Y21 SLICE_X15Y21 TILESITE CLBLM_R_X11Y22 SLICE_X14Y22 TILESITE CLBLM_R_X11Y22 SLICE_X15Y22 TILESITE CLBLM_R_X11Y23 SLICE_X14Y23 TILESITE CLBLM_R_X11Y23 SLICE_X15Y23 TILESITE CLBLM_R_X11Y24 SLICE_X14Y24 TILESITE CLBLM_R_X11Y24 SLICE_X15Y24 TILESITE CLBLM_R_X11Y25 SLICE_X14Y25 TILESITE CLBLM_R_X11Y25 SLICE_X15Y25 TILESITE CLBLM_R_X11Y26 SLICE_X14Y26 TILESITE CLBLM_R_X11Y26 SLICE_X15Y26 TILESITE CLBLM_R_X11Y27 SLICE_X14Y27 TILESITE CLBLM_R_X11Y27 SLICE_X15Y27 TILESITE CLBLM_R_X11Y28 SLICE_X14Y28 TILESITE CLBLM_R_X11Y28 SLICE_X15Y28 TILESITE CLBLM_R_X11Y29 SLICE_X14Y29 TILESITE CLBLM_R_X11Y29 SLICE_X15Y29 TILESITE CLBLM_R_X11Y30 SLICE_X14Y30 TILESITE CLBLM_R_X11Y30 SLICE_X15Y30 TILESITE CLBLM_R_X11Y31 SLICE_X14Y31 TILESITE CLBLM_R_X11Y31 SLICE_X15Y31 TILESITE CLBLM_R_X11Y32 SLICE_X14Y32 TILESITE CLBLM_R_X11Y32 SLICE_X15Y32 TILESITE CLBLM_R_X11Y33 SLICE_X14Y33 TILESITE CLBLM_R_X11Y33 SLICE_X15Y33 TILESITE CLBLM_R_X11Y34 SLICE_X14Y34 TILESITE CLBLM_R_X11Y34 SLICE_X15Y34 TILESITE CLBLM_R_X11Y35 SLICE_X14Y35 TILESITE CLBLM_R_X11Y35 SLICE_X15Y35 TILESITE CLBLM_R_X11Y36 SLICE_X14Y36 TILESITE CLBLM_R_X11Y36 SLICE_X15Y36 TILESITE CLBLM_R_X11Y37 SLICE_X14Y37 TILESITE CLBLM_R_X11Y37 SLICE_X15Y37 TILESITE CLBLM_R_X11Y38 SLICE_X14Y38 TILESITE CLBLM_R_X11Y38 SLICE_X15Y38 TILESITE CLBLM_R_X11Y39 SLICE_X14Y39 TILESITE CLBLM_R_X11Y39 SLICE_X15Y39 TILESITE CLBLM_R_X11Y40 SLICE_X14Y40 TILESITE CLBLM_R_X11Y40 SLICE_X15Y40 TILESITE CLBLM_R_X11Y41 SLICE_X14Y41 TILESITE CLBLM_R_X11Y41 SLICE_X15Y41 TILESITE CLBLM_R_X11Y42 SLICE_X14Y42 TILESITE CLBLM_R_X11Y42 SLICE_X15Y42 TILESITE CLBLM_R_X11Y43 SLICE_X14Y43 TILESITE CLBLM_R_X11Y43 SLICE_X15Y43 TILESITE CLBLM_R_X11Y44 SLICE_X14Y44 TILESITE CLBLM_R_X11Y44 SLICE_X15Y44 TILESITE CLBLM_R_X11Y45 SLICE_X14Y45 TILESITE CLBLM_R_X11Y45 SLICE_X15Y45 TILESITE CLBLM_R_X11Y46 SLICE_X14Y46 TILESITE CLBLM_R_X11Y46 SLICE_X15Y46 TILESITE CLBLM_R_X11Y47 SLICE_X14Y47 TILESITE CLBLM_R_X11Y47 SLICE_X15Y47 TILESITE CLBLM_R_X11Y48 SLICE_X14Y48 TILESITE CLBLM_R_X11Y48 SLICE_X15Y48 TILESITE CLBLM_R_X11Y49 SLICE_X14Y49 TILESITE CLBLM_R_X11Y49 SLICE_X15Y49 TILESITE CLBLM_R_X11Y50 SLICE_X14Y50 TILESITE CLBLM_R_X11Y50 SLICE_X15Y50 TILESITE CLBLM_R_X11Y51 SLICE_X14Y51 TILESITE CLBLM_R_X11Y51 SLICE_X15Y51 TILESITE CLBLM_R_X11Y52 SLICE_X14Y52 TILESITE CLBLM_R_X11Y52 SLICE_X15Y52 TILESITE CLBLM_R_X11Y53 SLICE_X14Y53 TILESITE CLBLM_R_X11Y53 SLICE_X15Y53 TILESITE CLBLM_R_X11Y54 SLICE_X14Y54 TILESITE CLBLM_R_X11Y54 SLICE_X15Y54 TILESITE CLBLM_R_X11Y55 SLICE_X14Y55 TILESITE CLBLM_R_X11Y55 SLICE_X15Y55 TILESITE CLBLM_R_X11Y56 SLICE_X14Y56 TILESITE CLBLM_R_X11Y56 SLICE_X15Y56 TILESITE CLBLM_R_X11Y57 SLICE_X14Y57 TILESITE CLBLM_R_X11Y57 SLICE_X15Y57 TILESITE CLBLM_R_X11Y58 SLICE_X14Y58 TILESITE CLBLM_R_X11Y58 SLICE_X15Y58 TILESITE CLBLM_R_X11Y59 SLICE_X14Y59 TILESITE CLBLM_R_X11Y59 SLICE_X15Y59 TILESITE CLBLM_R_X11Y60 SLICE_X14Y60 TILESITE CLBLM_R_X11Y60 SLICE_X15Y60 TILESITE CLBLM_R_X11Y61 SLICE_X14Y61 TILESITE CLBLM_R_X11Y61 SLICE_X15Y61 TILESITE CLBLM_R_X11Y62 SLICE_X14Y62 TILESITE CLBLM_R_X11Y62 SLICE_X15Y62 TILESITE CLBLM_R_X11Y63 SLICE_X14Y63 TILESITE CLBLM_R_X11Y63 SLICE_X15Y63 TILESITE CLBLM_R_X11Y64 SLICE_X14Y64 TILESITE CLBLM_R_X11Y64 SLICE_X15Y64 TILESITE CLBLM_R_X11Y65 SLICE_X14Y65 TILESITE CLBLM_R_X11Y65 SLICE_X15Y65 TILESITE CLBLM_R_X11Y66 SLICE_X14Y66 TILESITE CLBLM_R_X11Y66 SLICE_X15Y66 TILESITE CLBLM_R_X11Y67 SLICE_X14Y67 TILESITE CLBLM_R_X11Y67 SLICE_X15Y67 TILESITE CLBLM_R_X11Y68 SLICE_X14Y68 TILESITE CLBLM_R_X11Y68 SLICE_X15Y68 TILESITE CLBLM_R_X11Y69 SLICE_X14Y69 TILESITE CLBLM_R_X11Y69 SLICE_X15Y69 TILESITE CLBLM_R_X11Y70 SLICE_X14Y70 TILESITE CLBLM_R_X11Y70 SLICE_X15Y70 TILESITE CLBLM_R_X11Y71 SLICE_X14Y71 TILESITE CLBLM_R_X11Y71 SLICE_X15Y71 TILESITE CLBLM_R_X11Y72 SLICE_X14Y72 TILESITE CLBLM_R_X11Y72 SLICE_X15Y72 TILESITE CLBLM_R_X11Y73 SLICE_X14Y73 TILESITE CLBLM_R_X11Y73 SLICE_X15Y73 TILESITE CLBLM_R_X11Y74 SLICE_X14Y74 TILESITE CLBLM_R_X11Y74 SLICE_X15Y74 TILESITE CLBLM_R_X11Y75 SLICE_X14Y75 TILESITE CLBLM_R_X11Y75 SLICE_X15Y75 TILESITE CLBLM_R_X11Y76 SLICE_X14Y76 TILESITE CLBLM_R_X11Y76 SLICE_X15Y76 TILESITE CLBLM_R_X11Y77 SLICE_X14Y77 TILESITE CLBLM_R_X11Y77 SLICE_X15Y77 TILESITE CLBLM_R_X11Y78 SLICE_X14Y78 TILESITE CLBLM_R_X11Y78 SLICE_X15Y78 TILESITE CLBLM_R_X11Y79 SLICE_X14Y79 TILESITE CLBLM_R_X11Y79 SLICE_X15Y79 TILESITE CLBLM_R_X11Y80 SLICE_X14Y80 TILESITE CLBLM_R_X11Y80 SLICE_X15Y80 TILESITE CLBLM_R_X11Y81 SLICE_X14Y81 TILESITE CLBLM_R_X11Y81 SLICE_X15Y81 TILESITE CLBLM_R_X11Y82 SLICE_X14Y82 TILESITE CLBLM_R_X11Y82 SLICE_X15Y82 TILESITE CLBLM_R_X11Y83 SLICE_X14Y83 TILESITE CLBLM_R_X11Y83 SLICE_X15Y83 TILESITE CLBLM_R_X11Y84 SLICE_X14Y84 TILESITE CLBLM_R_X11Y84 SLICE_X15Y84 TILESITE CLBLM_R_X11Y85 SLICE_X14Y85 TILESITE CLBLM_R_X11Y85 SLICE_X15Y85 TILESITE CLBLM_R_X11Y86 SLICE_X14Y86 TILESITE CLBLM_R_X11Y86 SLICE_X15Y86 TILESITE CLBLM_R_X11Y87 SLICE_X14Y87 TILESITE CLBLM_R_X11Y87 SLICE_X15Y87 TILESITE CLBLM_R_X11Y88 SLICE_X14Y88 TILESITE CLBLM_R_X11Y88 SLICE_X15Y88 TILESITE CLBLM_R_X11Y89 SLICE_X14Y89 TILESITE CLBLM_R_X11Y89 SLICE_X15Y89 TILESITE CLBLM_R_X11Y90 SLICE_X14Y90 TILESITE CLBLM_R_X11Y90 SLICE_X15Y90 TILESITE CLBLM_R_X11Y91 SLICE_X14Y91 TILESITE CLBLM_R_X11Y91 SLICE_X15Y91 TILESITE CLBLM_R_X11Y92 SLICE_X14Y92 TILESITE CLBLM_R_X11Y92 SLICE_X15Y92 TILESITE CLBLM_R_X11Y93 SLICE_X14Y93 TILESITE CLBLM_R_X11Y93 SLICE_X15Y93 TILESITE CLBLM_R_X11Y94 SLICE_X14Y94 TILESITE CLBLM_R_X11Y94 SLICE_X15Y94 TILESITE CLBLM_R_X11Y95 SLICE_X14Y95 TILESITE CLBLM_R_X11Y95 SLICE_X15Y95 TILESITE CLBLM_R_X11Y96 SLICE_X14Y96 TILESITE CLBLM_R_X11Y96 SLICE_X15Y96 TILESITE CLBLM_R_X11Y97 SLICE_X14Y97 TILESITE CLBLM_R_X11Y97 SLICE_X15Y97 TILESITE CLBLM_R_X11Y98 SLICE_X14Y98 TILESITE CLBLM_R_X11Y98 SLICE_X15Y98 TILESITE CLBLM_R_X11Y99 SLICE_X14Y99 TILESITE CLBLM_R_X11Y99 SLICE_X15Y99 TILESITE CLBLM_R_X11Y100 SLICE_X14Y100 TILESITE CLBLM_R_X11Y100 SLICE_X15Y100 TILESITE CLBLM_R_X11Y101 SLICE_X14Y101 TILESITE CLBLM_R_X11Y101 SLICE_X15Y101 TILESITE CLBLM_R_X11Y102 SLICE_X14Y102 TILESITE CLBLM_R_X11Y102 SLICE_X15Y102 TILESITE CLBLM_R_X11Y103 SLICE_X14Y103 TILESITE CLBLM_R_X11Y103 SLICE_X15Y103 TILESITE CLBLM_R_X11Y104 SLICE_X14Y104 TILESITE CLBLM_R_X11Y104 SLICE_X15Y104 TILESITE CLBLM_R_X11Y105 SLICE_X14Y105 TILESITE CLBLM_R_X11Y105 SLICE_X15Y105 TILESITE CLBLM_R_X11Y106 SLICE_X14Y106 TILESITE CLBLM_R_X11Y106 SLICE_X15Y106 TILESITE CLBLM_R_X11Y107 SLICE_X14Y107 TILESITE CLBLM_R_X11Y107 SLICE_X15Y107 TILESITE CLBLM_R_X11Y108 SLICE_X14Y108 TILESITE CLBLM_R_X11Y108 SLICE_X15Y108 TILESITE CLBLM_R_X11Y109 SLICE_X14Y109 TILESITE CLBLM_R_X11Y109 SLICE_X15Y109 TILESITE CLBLM_R_X11Y110 SLICE_X14Y110 TILESITE CLBLM_R_X11Y110 SLICE_X15Y110 TILESITE CLBLM_R_X11Y111 SLICE_X14Y111 TILESITE CLBLM_R_X11Y111 SLICE_X15Y111 TILESITE CLBLM_R_X11Y112 SLICE_X14Y112 TILESITE CLBLM_R_X11Y112 SLICE_X15Y112 TILESITE CLBLM_R_X11Y113 SLICE_X14Y113 TILESITE CLBLM_R_X11Y113 SLICE_X15Y113 TILESITE CLBLM_R_X11Y114 SLICE_X14Y114 TILESITE CLBLM_R_X11Y114 SLICE_X15Y114 TILESITE CLBLM_R_X11Y115 SLICE_X14Y115 TILESITE CLBLM_R_X11Y115 SLICE_X15Y115 TILESITE CLBLM_R_X11Y116 SLICE_X14Y116 TILESITE CLBLM_R_X11Y116 SLICE_X15Y116 TILESITE CLBLM_R_X11Y117 SLICE_X14Y117 TILESITE CLBLM_R_X11Y117 SLICE_X15Y117 TILESITE CLBLM_R_X11Y118 SLICE_X14Y118 TILESITE CLBLM_R_X11Y118 SLICE_X15Y118 TILESITE CLBLM_R_X11Y119 SLICE_X14Y119 TILESITE CLBLM_R_X11Y119 SLICE_X15Y119 TILESITE CLBLM_R_X11Y120 SLICE_X14Y120 TILESITE CLBLM_R_X11Y120 SLICE_X15Y120 TILESITE CLBLM_R_X11Y121 SLICE_X14Y121 TILESITE CLBLM_R_X11Y121 SLICE_X15Y121 TILESITE CLBLM_R_X11Y122 SLICE_X14Y122 TILESITE CLBLM_R_X11Y122 SLICE_X15Y122 TILESITE CLBLM_R_X11Y123 SLICE_X14Y123 TILESITE CLBLM_R_X11Y123 SLICE_X15Y123 TILESITE CLBLM_R_X11Y124 SLICE_X14Y124 TILESITE CLBLM_R_X11Y124 SLICE_X15Y124 TILESITE CLBLM_R_X11Y125 SLICE_X14Y125 TILESITE CLBLM_R_X11Y125 SLICE_X15Y125 TILESITE CLBLM_R_X11Y126 SLICE_X14Y126 TILESITE CLBLM_R_X11Y126 SLICE_X15Y126 TILESITE CLBLM_R_X11Y127 SLICE_X14Y127 TILESITE CLBLM_R_X11Y127 SLICE_X15Y127 TILESITE CLBLM_R_X11Y128 SLICE_X14Y128 TILESITE CLBLM_R_X11Y128 SLICE_X15Y128 TILESITE CLBLM_R_X11Y129 SLICE_X14Y129 TILESITE CLBLM_R_X11Y129 SLICE_X15Y129 TILESITE CLBLM_R_X11Y130 SLICE_X14Y130 TILESITE CLBLM_R_X11Y130 SLICE_X15Y130 TILESITE CLBLM_R_X11Y131 SLICE_X14Y131 TILESITE CLBLM_R_X11Y131 SLICE_X15Y131 TILESITE CLBLM_R_X11Y132 SLICE_X14Y132 TILESITE CLBLM_R_X11Y132 SLICE_X15Y132 TILESITE CLBLM_R_X11Y133 SLICE_X14Y133 TILESITE CLBLM_R_X11Y133 SLICE_X15Y133 TILESITE CLBLM_R_X11Y134 SLICE_X14Y134 TILESITE CLBLM_R_X11Y134 SLICE_X15Y134 TILESITE CLBLM_R_X11Y135 SLICE_X14Y135 TILESITE CLBLM_R_X11Y135 SLICE_X15Y135 TILESITE CLBLM_R_X11Y136 SLICE_X14Y136 TILESITE CLBLM_R_X11Y136 SLICE_X15Y136 TILESITE CLBLM_R_X11Y137 SLICE_X14Y137 TILESITE CLBLM_R_X11Y137 SLICE_X15Y137 TILESITE CLBLM_R_X11Y138 SLICE_X14Y138 TILESITE CLBLM_R_X11Y138 SLICE_X15Y138 TILESITE CLBLM_R_X11Y139 SLICE_X14Y139 TILESITE CLBLM_R_X11Y139 SLICE_X15Y139 TILESITE CLBLM_R_X11Y140 SLICE_X14Y140 TILESITE CLBLM_R_X11Y140 SLICE_X15Y140 TILESITE CLBLM_R_X11Y141 SLICE_X14Y141 TILESITE CLBLM_R_X11Y141 SLICE_X15Y141 TILESITE CLBLM_R_X11Y142 SLICE_X14Y142 TILESITE CLBLM_R_X11Y142 SLICE_X15Y142 TILESITE CLBLM_R_X11Y143 SLICE_X14Y143 TILESITE CLBLM_R_X11Y143 SLICE_X15Y143 TILESITE CLBLM_R_X11Y144 SLICE_X14Y144 TILESITE CLBLM_R_X11Y144 SLICE_X15Y144 TILESITE CLBLM_R_X11Y145 SLICE_X14Y145 TILESITE CLBLM_R_X11Y145 SLICE_X15Y145 TILESITE CLBLM_R_X11Y146 SLICE_X14Y146 TILESITE CLBLM_R_X11Y146 SLICE_X15Y146 TILESITE CLBLM_R_X11Y147 SLICE_X14Y147 TILESITE CLBLM_R_X11Y147 SLICE_X15Y147 TILESITE CLBLM_R_X11Y148 SLICE_X14Y148 TILESITE CLBLM_R_X11Y148 SLICE_X15Y148 TILESITE CLBLM_R_X11Y149 SLICE_X14Y149 TILESITE CLBLM_R_X11Y149 SLICE_X15Y149 TILESITE CLBLM_R_X25Y0 SLICE_X38Y0 TILESITE CLBLM_R_X25Y0 SLICE_X39Y0 TILESITE CLBLM_R_X25Y1 SLICE_X38Y1 TILESITE CLBLM_R_X25Y1 SLICE_X39Y1 TILESITE CLBLM_R_X25Y2 SLICE_X38Y2 TILESITE CLBLM_R_X25Y2 SLICE_X39Y2 TILESITE CLBLM_R_X25Y3 SLICE_X38Y3 TILESITE CLBLM_R_X25Y3 SLICE_X39Y3 TILESITE CLBLM_R_X25Y4 SLICE_X38Y4 TILESITE CLBLM_R_X25Y4 SLICE_X39Y4 TILESITE CLBLM_R_X25Y5 SLICE_X38Y5 TILESITE CLBLM_R_X25Y5 SLICE_X39Y5 TILESITE CLBLM_R_X25Y6 SLICE_X38Y6 TILESITE CLBLM_R_X25Y6 SLICE_X39Y6 TILESITE CLBLM_R_X25Y7 SLICE_X38Y7 TILESITE CLBLM_R_X25Y7 SLICE_X39Y7 TILESITE CLBLM_R_X25Y8 SLICE_X38Y8 TILESITE CLBLM_R_X25Y8 SLICE_X39Y8 TILESITE CLBLM_R_X25Y9 SLICE_X38Y9 TILESITE CLBLM_R_X25Y9 SLICE_X39Y9 TILESITE CLBLM_R_X25Y10 SLICE_X38Y10 TILESITE CLBLM_R_X25Y10 SLICE_X39Y10 TILESITE CLBLM_R_X25Y11 SLICE_X38Y11 TILESITE CLBLM_R_X25Y11 SLICE_X39Y11 TILESITE CLBLM_R_X25Y12 SLICE_X38Y12 TILESITE CLBLM_R_X25Y12 SLICE_X39Y12 TILESITE CLBLM_R_X25Y13 SLICE_X38Y13 TILESITE CLBLM_R_X25Y13 SLICE_X39Y13 TILESITE CLBLM_R_X25Y14 SLICE_X38Y14 TILESITE CLBLM_R_X25Y14 SLICE_X39Y14 TILESITE CLBLM_R_X25Y15 SLICE_X38Y15 TILESITE CLBLM_R_X25Y15 SLICE_X39Y15 TILESITE CLBLM_R_X25Y16 SLICE_X38Y16 TILESITE CLBLM_R_X25Y16 SLICE_X39Y16 TILESITE CLBLM_R_X25Y17 SLICE_X38Y17 TILESITE CLBLM_R_X25Y17 SLICE_X39Y17 TILESITE CLBLM_R_X25Y18 SLICE_X38Y18 TILESITE CLBLM_R_X25Y18 SLICE_X39Y18 TILESITE CLBLM_R_X25Y19 SLICE_X38Y19 TILESITE CLBLM_R_X25Y19 SLICE_X39Y19 TILESITE CLBLM_R_X25Y20 SLICE_X38Y20 TILESITE CLBLM_R_X25Y20 SLICE_X39Y20 TILESITE CLBLM_R_X25Y21 SLICE_X38Y21 TILESITE CLBLM_R_X25Y21 SLICE_X39Y21 TILESITE CLBLM_R_X25Y22 SLICE_X38Y22 TILESITE CLBLM_R_X25Y22 SLICE_X39Y22 TILESITE CLBLM_R_X25Y23 SLICE_X38Y23 TILESITE CLBLM_R_X25Y23 SLICE_X39Y23 TILESITE CLBLM_R_X25Y24 SLICE_X38Y24 TILESITE CLBLM_R_X25Y24 SLICE_X39Y24 TILESITE CLBLM_R_X25Y25 SLICE_X38Y25 TILESITE CLBLM_R_X25Y25 SLICE_X39Y25 TILESITE CLBLM_R_X25Y26 SLICE_X38Y26 TILESITE CLBLM_R_X25Y26 SLICE_X39Y26 TILESITE CLBLM_R_X25Y27 SLICE_X38Y27 TILESITE CLBLM_R_X25Y27 SLICE_X39Y27 TILESITE CLBLM_R_X25Y28 SLICE_X38Y28 TILESITE CLBLM_R_X25Y28 SLICE_X39Y28 TILESITE CLBLM_R_X25Y29 SLICE_X38Y29 TILESITE CLBLM_R_X25Y29 SLICE_X39Y29 TILESITE CLBLM_R_X25Y30 SLICE_X38Y30 TILESITE CLBLM_R_X25Y30 SLICE_X39Y30 TILESITE CLBLM_R_X25Y31 SLICE_X38Y31 TILESITE CLBLM_R_X25Y31 SLICE_X39Y31 TILESITE CLBLM_R_X25Y32 SLICE_X38Y32 TILESITE CLBLM_R_X25Y32 SLICE_X39Y32 TILESITE CLBLM_R_X25Y33 SLICE_X38Y33 TILESITE CLBLM_R_X25Y33 SLICE_X39Y33 TILESITE CLBLM_R_X25Y34 SLICE_X38Y34 TILESITE CLBLM_R_X25Y34 SLICE_X39Y34 TILESITE CLBLM_R_X25Y35 SLICE_X38Y35 TILESITE CLBLM_R_X25Y35 SLICE_X39Y35 TILESITE CLBLM_R_X25Y36 SLICE_X38Y36 TILESITE CLBLM_R_X25Y36 SLICE_X39Y36 TILESITE CLBLM_R_X25Y37 SLICE_X38Y37 TILESITE CLBLM_R_X25Y37 SLICE_X39Y37 TILESITE CLBLM_R_X25Y38 SLICE_X38Y38 TILESITE CLBLM_R_X25Y38 SLICE_X39Y38 TILESITE CLBLM_R_X25Y39 SLICE_X38Y39 TILESITE CLBLM_R_X25Y39 SLICE_X39Y39 TILESITE CLBLM_R_X25Y40 SLICE_X38Y40 TILESITE CLBLM_R_X25Y40 SLICE_X39Y40 TILESITE CLBLM_R_X25Y41 SLICE_X38Y41 TILESITE CLBLM_R_X25Y41 SLICE_X39Y41 TILESITE CLBLM_R_X25Y42 SLICE_X38Y42 TILESITE CLBLM_R_X25Y42 SLICE_X39Y42 TILESITE CLBLM_R_X25Y43 SLICE_X38Y43 TILESITE CLBLM_R_X25Y43 SLICE_X39Y43 TILESITE CLBLM_R_X25Y44 SLICE_X38Y44 TILESITE CLBLM_R_X25Y44 SLICE_X39Y44 TILESITE CLBLM_R_X25Y45 SLICE_X38Y45 TILESITE CLBLM_R_X25Y45 SLICE_X39Y45 TILESITE CLBLM_R_X25Y46 SLICE_X38Y46 TILESITE CLBLM_R_X25Y46 SLICE_X39Y46 TILESITE CLBLM_R_X25Y47 SLICE_X38Y47 TILESITE CLBLM_R_X25Y47 SLICE_X39Y47 TILESITE CLBLM_R_X25Y48 SLICE_X38Y48 TILESITE CLBLM_R_X25Y48 SLICE_X39Y48 TILESITE CLBLM_R_X25Y49 SLICE_X38Y49 TILESITE CLBLM_R_X25Y49 SLICE_X39Y49 TILESITE CLBLM_R_X25Y50 SLICE_X38Y50 TILESITE CLBLM_R_X25Y50 SLICE_X39Y50 TILESITE CLBLM_R_X25Y51 SLICE_X38Y51 TILESITE CLBLM_R_X25Y51 SLICE_X39Y51 TILESITE CLBLM_R_X25Y52 SLICE_X38Y52 TILESITE CLBLM_R_X25Y52 SLICE_X39Y52 TILESITE CLBLM_R_X25Y53 SLICE_X38Y53 TILESITE CLBLM_R_X25Y53 SLICE_X39Y53 TILESITE CLBLM_R_X25Y54 SLICE_X38Y54 TILESITE CLBLM_R_X25Y54 SLICE_X39Y54 TILESITE CLBLM_R_X25Y55 SLICE_X38Y55 TILESITE CLBLM_R_X25Y55 SLICE_X39Y55 TILESITE CLBLM_R_X25Y56 SLICE_X38Y56 TILESITE CLBLM_R_X25Y56 SLICE_X39Y56 TILESITE CLBLM_R_X25Y57 SLICE_X38Y57 TILESITE CLBLM_R_X25Y57 SLICE_X39Y57 TILESITE CLBLM_R_X25Y58 SLICE_X38Y58 TILESITE CLBLM_R_X25Y58 SLICE_X39Y58 TILESITE CLBLM_R_X25Y59 SLICE_X38Y59 TILESITE CLBLM_R_X25Y59 SLICE_X39Y59 TILESITE CLBLM_R_X25Y60 SLICE_X38Y60 TILESITE CLBLM_R_X25Y60 SLICE_X39Y60 TILESITE CLBLM_R_X25Y61 SLICE_X38Y61 TILESITE CLBLM_R_X25Y61 SLICE_X39Y61 TILESITE CLBLM_R_X25Y62 SLICE_X38Y62 TILESITE CLBLM_R_X25Y62 SLICE_X39Y62 TILESITE CLBLM_R_X25Y63 SLICE_X38Y63 TILESITE CLBLM_R_X25Y63 SLICE_X39Y63 TILESITE CLBLM_R_X25Y64 SLICE_X38Y64 TILESITE CLBLM_R_X25Y64 SLICE_X39Y64 TILESITE CLBLM_R_X25Y65 SLICE_X38Y65 TILESITE CLBLM_R_X25Y65 SLICE_X39Y65 TILESITE CLBLM_R_X25Y66 SLICE_X38Y66 TILESITE CLBLM_R_X25Y66 SLICE_X39Y66 TILESITE CLBLM_R_X25Y67 SLICE_X38Y67 TILESITE CLBLM_R_X25Y67 SLICE_X39Y67 TILESITE CLBLM_R_X25Y68 SLICE_X38Y68 TILESITE CLBLM_R_X25Y68 SLICE_X39Y68 TILESITE CLBLM_R_X25Y69 SLICE_X38Y69 TILESITE CLBLM_R_X25Y69 SLICE_X39Y69 TILESITE CLBLM_R_X25Y70 SLICE_X38Y70 TILESITE CLBLM_R_X25Y70 SLICE_X39Y70 TILESITE CLBLM_R_X25Y71 SLICE_X38Y71 TILESITE CLBLM_R_X25Y71 SLICE_X39Y71 TILESITE CLBLM_R_X25Y72 SLICE_X38Y72 TILESITE CLBLM_R_X25Y72 SLICE_X39Y72 TILESITE CLBLM_R_X25Y73 SLICE_X38Y73 TILESITE CLBLM_R_X25Y73 SLICE_X39Y73 TILESITE CLBLM_R_X25Y74 SLICE_X38Y74 TILESITE CLBLM_R_X25Y74 SLICE_X39Y74 TILESITE CLBLM_R_X25Y75 SLICE_X38Y75 TILESITE CLBLM_R_X25Y75 SLICE_X39Y75 TILESITE CLBLM_R_X25Y76 SLICE_X38Y76 TILESITE CLBLM_R_X25Y76 SLICE_X39Y76 TILESITE CLBLM_R_X25Y77 SLICE_X38Y77 TILESITE CLBLM_R_X25Y77 SLICE_X39Y77 TILESITE CLBLM_R_X25Y78 SLICE_X38Y78 TILESITE CLBLM_R_X25Y78 SLICE_X39Y78 TILESITE CLBLM_R_X25Y79 SLICE_X38Y79 TILESITE CLBLM_R_X25Y79 SLICE_X39Y79 TILESITE CLBLM_R_X25Y80 SLICE_X38Y80 TILESITE CLBLM_R_X25Y80 SLICE_X39Y80 TILESITE CLBLM_R_X25Y81 SLICE_X38Y81 TILESITE CLBLM_R_X25Y81 SLICE_X39Y81 TILESITE CLBLM_R_X25Y82 SLICE_X38Y82 TILESITE CLBLM_R_X25Y82 SLICE_X39Y82 TILESITE CLBLM_R_X25Y83 SLICE_X38Y83 TILESITE CLBLM_R_X25Y83 SLICE_X39Y83 TILESITE CLBLM_R_X25Y84 SLICE_X38Y84 TILESITE CLBLM_R_X25Y84 SLICE_X39Y84 TILESITE CLBLM_R_X25Y85 SLICE_X38Y85 TILESITE CLBLM_R_X25Y85 SLICE_X39Y85 TILESITE CLBLM_R_X25Y86 SLICE_X38Y86 TILESITE CLBLM_R_X25Y86 SLICE_X39Y86 TILESITE CLBLM_R_X25Y87 SLICE_X38Y87 TILESITE CLBLM_R_X25Y87 SLICE_X39Y87 TILESITE CLBLM_R_X25Y88 SLICE_X38Y88 TILESITE CLBLM_R_X25Y88 SLICE_X39Y88 TILESITE CLBLM_R_X25Y89 SLICE_X38Y89 TILESITE CLBLM_R_X25Y89 SLICE_X39Y89 TILESITE CLBLM_R_X25Y90 SLICE_X38Y90 TILESITE CLBLM_R_X25Y90 SLICE_X39Y90 TILESITE CLBLM_R_X25Y91 SLICE_X38Y91 TILESITE CLBLM_R_X25Y91 SLICE_X39Y91 TILESITE CLBLM_R_X25Y92 SLICE_X38Y92 TILESITE CLBLM_R_X25Y92 SLICE_X39Y92 TILESITE CLBLM_R_X25Y93 SLICE_X38Y93 TILESITE CLBLM_R_X25Y93 SLICE_X39Y93 TILESITE CLBLM_R_X25Y94 SLICE_X38Y94 TILESITE CLBLM_R_X25Y94 SLICE_X39Y94 TILESITE CLBLM_R_X25Y95 SLICE_X38Y95 TILESITE CLBLM_R_X25Y95 SLICE_X39Y95 TILESITE CLBLM_R_X25Y96 SLICE_X38Y96 TILESITE CLBLM_R_X25Y96 SLICE_X39Y96 TILESITE CLBLM_R_X25Y97 SLICE_X38Y97 TILESITE CLBLM_R_X25Y97 SLICE_X39Y97 TILESITE CLBLM_R_X25Y98 SLICE_X38Y98 TILESITE CLBLM_R_X25Y98 SLICE_X39Y98 TILESITE CLBLM_R_X25Y99 SLICE_X38Y99 TILESITE CLBLM_R_X25Y99 SLICE_X39Y99 TILESITE CLBLM_R_X25Y100 SLICE_X38Y100 TILESITE CLBLM_R_X25Y100 SLICE_X39Y100 TILESITE CLBLM_R_X25Y101 SLICE_X38Y101 TILESITE CLBLM_R_X25Y101 SLICE_X39Y101 TILESITE CLBLM_R_X25Y102 SLICE_X38Y102 TILESITE CLBLM_R_X25Y102 SLICE_X39Y102 TILESITE CLBLM_R_X25Y103 SLICE_X38Y103 TILESITE CLBLM_R_X25Y103 SLICE_X39Y103 TILESITE CLBLM_R_X25Y104 SLICE_X38Y104 TILESITE CLBLM_R_X25Y104 SLICE_X39Y104 TILESITE CLBLM_R_X25Y105 SLICE_X38Y105 TILESITE CLBLM_R_X25Y105 SLICE_X39Y105 TILESITE CLBLM_R_X25Y106 SLICE_X38Y106 TILESITE CLBLM_R_X25Y106 SLICE_X39Y106 TILESITE CLBLM_R_X25Y107 SLICE_X38Y107 TILESITE CLBLM_R_X25Y107 SLICE_X39Y107 TILESITE CLBLM_R_X25Y108 SLICE_X38Y108 TILESITE CLBLM_R_X25Y108 SLICE_X39Y108 TILESITE CLBLM_R_X25Y109 SLICE_X38Y109 TILESITE CLBLM_R_X25Y109 SLICE_X39Y109 TILESITE CLBLM_R_X25Y110 SLICE_X38Y110 TILESITE CLBLM_R_X25Y110 SLICE_X39Y110 TILESITE CLBLM_R_X25Y111 SLICE_X38Y111 TILESITE CLBLM_R_X25Y111 SLICE_X39Y111 TILESITE CLBLM_R_X25Y112 SLICE_X38Y112 TILESITE CLBLM_R_X25Y112 SLICE_X39Y112 TILESITE CLBLM_R_X25Y113 SLICE_X38Y113 TILESITE CLBLM_R_X25Y113 SLICE_X39Y113 TILESITE CLBLM_R_X25Y114 SLICE_X38Y114 TILESITE CLBLM_R_X25Y114 SLICE_X39Y114 TILESITE CLBLM_R_X25Y115 SLICE_X38Y115 TILESITE CLBLM_R_X25Y115 SLICE_X39Y115 TILESITE CLBLM_R_X25Y116 SLICE_X38Y116 TILESITE CLBLM_R_X25Y116 SLICE_X39Y116 TILESITE CLBLM_R_X25Y117 SLICE_X38Y117 TILESITE CLBLM_R_X25Y117 SLICE_X39Y117 TILESITE CLBLM_R_X25Y118 SLICE_X38Y118 TILESITE CLBLM_R_X25Y118 SLICE_X39Y118 TILESITE CLBLM_R_X25Y119 SLICE_X38Y119 TILESITE CLBLM_R_X25Y119 SLICE_X39Y119 TILESITE CLBLM_R_X25Y120 SLICE_X38Y120 TILESITE CLBLM_R_X25Y120 SLICE_X39Y120 TILESITE CLBLM_R_X25Y121 SLICE_X38Y121 TILESITE CLBLM_R_X25Y121 SLICE_X39Y121 TILESITE CLBLM_R_X25Y122 SLICE_X38Y122 TILESITE CLBLM_R_X25Y122 SLICE_X39Y122 TILESITE CLBLM_R_X25Y123 SLICE_X38Y123 TILESITE CLBLM_R_X25Y123 SLICE_X39Y123 TILESITE CLBLM_R_X25Y124 SLICE_X38Y124 TILESITE CLBLM_R_X25Y124 SLICE_X39Y124 TILESITE CLBLM_R_X25Y125 SLICE_X38Y125 TILESITE CLBLM_R_X25Y125 SLICE_X39Y125 TILESITE CLBLM_R_X25Y126 SLICE_X38Y126 TILESITE CLBLM_R_X25Y126 SLICE_X39Y126 TILESITE CLBLM_R_X25Y127 SLICE_X38Y127 TILESITE CLBLM_R_X25Y127 SLICE_X39Y127 TILESITE CLBLM_R_X25Y128 SLICE_X38Y128 TILESITE CLBLM_R_X25Y128 SLICE_X39Y128 TILESITE CLBLM_R_X25Y129 SLICE_X38Y129 TILESITE CLBLM_R_X25Y129 SLICE_X39Y129 TILESITE CLBLM_R_X25Y130 SLICE_X38Y130 TILESITE CLBLM_R_X25Y130 SLICE_X39Y130 TILESITE CLBLM_R_X25Y131 SLICE_X38Y131 TILESITE CLBLM_R_X25Y131 SLICE_X39Y131 TILESITE CLBLM_R_X25Y132 SLICE_X38Y132 TILESITE CLBLM_R_X25Y132 SLICE_X39Y132 TILESITE CLBLM_R_X25Y133 SLICE_X38Y133 TILESITE CLBLM_R_X25Y133 SLICE_X39Y133 TILESITE CLBLM_R_X25Y134 SLICE_X38Y134 TILESITE CLBLM_R_X25Y134 SLICE_X39Y134 TILESITE CLBLM_R_X25Y135 SLICE_X38Y135 TILESITE CLBLM_R_X25Y135 SLICE_X39Y135 TILESITE CLBLM_R_X25Y136 SLICE_X38Y136 TILESITE CLBLM_R_X25Y136 SLICE_X39Y136 TILESITE CLBLM_R_X25Y137 SLICE_X38Y137 TILESITE CLBLM_R_X25Y137 SLICE_X39Y137 TILESITE CLBLM_R_X25Y138 SLICE_X38Y138 TILESITE CLBLM_R_X25Y138 SLICE_X39Y138 TILESITE CLBLM_R_X25Y139 SLICE_X38Y139 TILESITE CLBLM_R_X25Y139 SLICE_X39Y139 TILESITE CLBLM_R_X25Y140 SLICE_X38Y140 TILESITE CLBLM_R_X25Y140 SLICE_X39Y140 TILESITE CLBLM_R_X25Y141 SLICE_X38Y141 TILESITE CLBLM_R_X25Y141 SLICE_X39Y141 TILESITE CLBLM_R_X25Y142 SLICE_X38Y142 TILESITE CLBLM_R_X25Y142 SLICE_X39Y142 TILESITE CLBLM_R_X25Y143 SLICE_X38Y143 TILESITE CLBLM_R_X25Y143 SLICE_X39Y143 TILESITE CLBLM_R_X25Y144 SLICE_X38Y144 TILESITE CLBLM_R_X25Y144 SLICE_X39Y144 TILESITE CLBLM_R_X25Y145 SLICE_X38Y145 TILESITE CLBLM_R_X25Y145 SLICE_X39Y145 TILESITE CLBLM_R_X25Y146 SLICE_X38Y146 TILESITE CLBLM_R_X25Y146 SLICE_X39Y146 TILESITE CLBLM_R_X25Y147 SLICE_X38Y147 TILESITE CLBLM_R_X25Y147 SLICE_X39Y147 TILESITE CLBLM_R_X25Y148 SLICE_X38Y148 TILESITE CLBLM_R_X25Y148 SLICE_X39Y148 TILESITE CLBLM_R_X25Y149 SLICE_X38Y149 TILESITE CLBLM_R_X25Y149 SLICE_X39Y149 TILESITE CLBLM_R_X27Y0 SLICE_X42Y0 TILESITE CLBLM_R_X27Y0 SLICE_X43Y0 TILESITE CLBLM_R_X27Y1 SLICE_X42Y1 TILESITE CLBLM_R_X27Y1 SLICE_X43Y1 TILESITE CLBLM_R_X27Y2 SLICE_X42Y2 TILESITE CLBLM_R_X27Y2 SLICE_X43Y2 TILESITE CLBLM_R_X27Y3 SLICE_X42Y3 TILESITE CLBLM_R_X27Y3 SLICE_X43Y3 TILESITE CLBLM_R_X27Y4 SLICE_X42Y4 TILESITE CLBLM_R_X27Y4 SLICE_X43Y4 TILESITE CLBLM_R_X27Y5 SLICE_X42Y5 TILESITE CLBLM_R_X27Y5 SLICE_X43Y5 TILESITE CLBLM_R_X27Y6 SLICE_X42Y6 TILESITE CLBLM_R_X27Y6 SLICE_X43Y6 TILESITE CLBLM_R_X27Y7 SLICE_X42Y7 TILESITE CLBLM_R_X27Y7 SLICE_X43Y7 TILESITE CLBLM_R_X27Y8 SLICE_X42Y8 TILESITE CLBLM_R_X27Y8 SLICE_X43Y8 TILESITE CLBLM_R_X27Y9 SLICE_X42Y9 TILESITE CLBLM_R_X27Y9 SLICE_X43Y9 TILESITE CLBLM_R_X27Y10 SLICE_X42Y10 TILESITE CLBLM_R_X27Y10 SLICE_X43Y10 TILESITE CLBLM_R_X27Y11 SLICE_X42Y11 TILESITE CLBLM_R_X27Y11 SLICE_X43Y11 TILESITE CLBLM_R_X27Y12 SLICE_X42Y12 TILESITE CLBLM_R_X27Y12 SLICE_X43Y12 TILESITE CLBLM_R_X27Y13 SLICE_X42Y13 TILESITE CLBLM_R_X27Y13 SLICE_X43Y13 TILESITE CLBLM_R_X27Y14 SLICE_X42Y14 TILESITE CLBLM_R_X27Y14 SLICE_X43Y14 TILESITE CLBLM_R_X27Y15 SLICE_X42Y15 TILESITE CLBLM_R_X27Y15 SLICE_X43Y15 TILESITE CLBLM_R_X27Y16 SLICE_X42Y16 TILESITE CLBLM_R_X27Y16 SLICE_X43Y16 TILESITE CLBLM_R_X27Y17 SLICE_X42Y17 TILESITE CLBLM_R_X27Y17 SLICE_X43Y17 TILESITE CLBLM_R_X27Y18 SLICE_X42Y18 TILESITE CLBLM_R_X27Y18 SLICE_X43Y18 TILESITE CLBLM_R_X27Y19 SLICE_X42Y19 TILESITE CLBLM_R_X27Y19 SLICE_X43Y19 TILESITE CLBLM_R_X27Y20 SLICE_X42Y20 TILESITE CLBLM_R_X27Y20 SLICE_X43Y20 TILESITE CLBLM_R_X27Y21 SLICE_X42Y21 TILESITE CLBLM_R_X27Y21 SLICE_X43Y21 TILESITE CLBLM_R_X27Y22 SLICE_X42Y22 TILESITE CLBLM_R_X27Y22 SLICE_X43Y22 TILESITE CLBLM_R_X27Y23 SLICE_X42Y23 TILESITE CLBLM_R_X27Y23 SLICE_X43Y23 TILESITE CLBLM_R_X27Y24 SLICE_X42Y24 TILESITE CLBLM_R_X27Y24 SLICE_X43Y24 TILESITE CLBLM_R_X27Y25 SLICE_X42Y25 TILESITE CLBLM_R_X27Y25 SLICE_X43Y25 TILESITE CLBLM_R_X27Y26 SLICE_X42Y26 TILESITE CLBLM_R_X27Y26 SLICE_X43Y26 TILESITE CLBLM_R_X27Y27 SLICE_X42Y27 TILESITE CLBLM_R_X27Y27 SLICE_X43Y27 TILESITE CLBLM_R_X27Y28 SLICE_X42Y28 TILESITE CLBLM_R_X27Y28 SLICE_X43Y28 TILESITE CLBLM_R_X27Y29 SLICE_X42Y29 TILESITE CLBLM_R_X27Y29 SLICE_X43Y29 TILESITE CLBLM_R_X27Y30 SLICE_X42Y30 TILESITE CLBLM_R_X27Y30 SLICE_X43Y30 TILESITE CLBLM_R_X27Y31 SLICE_X42Y31 TILESITE CLBLM_R_X27Y31 SLICE_X43Y31 TILESITE CLBLM_R_X27Y32 SLICE_X42Y32 TILESITE CLBLM_R_X27Y32 SLICE_X43Y32 TILESITE CLBLM_R_X27Y33 SLICE_X42Y33 TILESITE CLBLM_R_X27Y33 SLICE_X43Y33 TILESITE CLBLM_R_X27Y34 SLICE_X42Y34 TILESITE CLBLM_R_X27Y34 SLICE_X43Y34 TILESITE CLBLM_R_X27Y35 SLICE_X42Y35 TILESITE CLBLM_R_X27Y35 SLICE_X43Y35 TILESITE CLBLM_R_X27Y36 SLICE_X42Y36 TILESITE CLBLM_R_X27Y36 SLICE_X43Y36 TILESITE CLBLM_R_X27Y37 SLICE_X42Y37 TILESITE CLBLM_R_X27Y37 SLICE_X43Y37 TILESITE CLBLM_R_X27Y38 SLICE_X42Y38 TILESITE CLBLM_R_X27Y38 SLICE_X43Y38 TILESITE CLBLM_R_X27Y39 SLICE_X42Y39 TILESITE CLBLM_R_X27Y39 SLICE_X43Y39 TILESITE CLBLM_R_X27Y40 SLICE_X42Y40 TILESITE CLBLM_R_X27Y40 SLICE_X43Y40 TILESITE CLBLM_R_X27Y41 SLICE_X42Y41 TILESITE CLBLM_R_X27Y41 SLICE_X43Y41 TILESITE CLBLM_R_X27Y42 SLICE_X42Y42 TILESITE CLBLM_R_X27Y42 SLICE_X43Y42 TILESITE CLBLM_R_X27Y43 SLICE_X42Y43 TILESITE CLBLM_R_X27Y43 SLICE_X43Y43 TILESITE CLBLM_R_X27Y44 SLICE_X42Y44 TILESITE CLBLM_R_X27Y44 SLICE_X43Y44 TILESITE CLBLM_R_X27Y45 SLICE_X42Y45 TILESITE CLBLM_R_X27Y45 SLICE_X43Y45 TILESITE CLBLM_R_X27Y46 SLICE_X42Y46 TILESITE CLBLM_R_X27Y46 SLICE_X43Y46 TILESITE CLBLM_R_X27Y47 SLICE_X42Y47 TILESITE CLBLM_R_X27Y47 SLICE_X43Y47 TILESITE CLBLM_R_X27Y48 SLICE_X42Y48 TILESITE CLBLM_R_X27Y48 SLICE_X43Y48 TILESITE CLBLM_R_X27Y49 SLICE_X42Y49 TILESITE CLBLM_R_X27Y49 SLICE_X43Y49 TILESITE CLBLM_R_X27Y50 SLICE_X42Y50 TILESITE CLBLM_R_X27Y50 SLICE_X43Y50 TILESITE CLBLM_R_X27Y51 SLICE_X42Y51 TILESITE CLBLM_R_X27Y51 SLICE_X43Y51 TILESITE CLBLM_R_X27Y52 SLICE_X42Y52 TILESITE CLBLM_R_X27Y52 SLICE_X43Y52 TILESITE CLBLM_R_X27Y53 SLICE_X42Y53 TILESITE CLBLM_R_X27Y53 SLICE_X43Y53 TILESITE CLBLM_R_X27Y54 SLICE_X42Y54 TILESITE CLBLM_R_X27Y54 SLICE_X43Y54 TILESITE CLBLM_R_X27Y55 SLICE_X42Y55 TILESITE CLBLM_R_X27Y55 SLICE_X43Y55 TILESITE CLBLM_R_X27Y56 SLICE_X42Y56 TILESITE CLBLM_R_X27Y56 SLICE_X43Y56 TILESITE CLBLM_R_X27Y57 SLICE_X42Y57 TILESITE CLBLM_R_X27Y57 SLICE_X43Y57 TILESITE CLBLM_R_X27Y58 SLICE_X42Y58 TILESITE CLBLM_R_X27Y58 SLICE_X43Y58 TILESITE CLBLM_R_X27Y59 SLICE_X42Y59 TILESITE CLBLM_R_X27Y59 SLICE_X43Y59 TILESITE CLBLM_R_X27Y60 SLICE_X42Y60 TILESITE CLBLM_R_X27Y60 SLICE_X43Y60 TILESITE CLBLM_R_X27Y61 SLICE_X42Y61 TILESITE CLBLM_R_X27Y61 SLICE_X43Y61 TILESITE CLBLM_R_X27Y62 SLICE_X42Y62 TILESITE CLBLM_R_X27Y62 SLICE_X43Y62 TILESITE CLBLM_R_X27Y63 SLICE_X42Y63 TILESITE CLBLM_R_X27Y63 SLICE_X43Y63 TILESITE CLBLM_R_X27Y64 SLICE_X42Y64 TILESITE CLBLM_R_X27Y64 SLICE_X43Y64 TILESITE CLBLM_R_X27Y65 SLICE_X42Y65 TILESITE CLBLM_R_X27Y65 SLICE_X43Y65 TILESITE CLBLM_R_X27Y66 SLICE_X42Y66 TILESITE CLBLM_R_X27Y66 SLICE_X43Y66 TILESITE CLBLM_R_X27Y67 SLICE_X42Y67 TILESITE CLBLM_R_X27Y67 SLICE_X43Y67 TILESITE CLBLM_R_X27Y68 SLICE_X42Y68 TILESITE CLBLM_R_X27Y68 SLICE_X43Y68 TILESITE CLBLM_R_X27Y69 SLICE_X42Y69 TILESITE CLBLM_R_X27Y69 SLICE_X43Y69 TILESITE CLBLM_R_X27Y70 SLICE_X42Y70 TILESITE CLBLM_R_X27Y70 SLICE_X43Y70 TILESITE CLBLM_R_X27Y71 SLICE_X42Y71 TILESITE CLBLM_R_X27Y71 SLICE_X43Y71 TILESITE CLBLM_R_X27Y72 SLICE_X42Y72 TILESITE CLBLM_R_X27Y72 SLICE_X43Y72 TILESITE CLBLM_R_X27Y73 SLICE_X42Y73 TILESITE CLBLM_R_X27Y73 SLICE_X43Y73 TILESITE CLBLM_R_X27Y74 SLICE_X42Y74 TILESITE CLBLM_R_X27Y74 SLICE_X43Y74 TILESITE CLBLM_R_X27Y75 SLICE_X42Y75 TILESITE CLBLM_R_X27Y75 SLICE_X43Y75 TILESITE CLBLM_R_X27Y76 SLICE_X42Y76 TILESITE CLBLM_R_X27Y76 SLICE_X43Y76 TILESITE CLBLM_R_X27Y77 SLICE_X42Y77 TILESITE CLBLM_R_X27Y77 SLICE_X43Y77 TILESITE CLBLM_R_X27Y78 SLICE_X42Y78 TILESITE CLBLM_R_X27Y78 SLICE_X43Y78 TILESITE CLBLM_R_X27Y79 SLICE_X42Y79 TILESITE CLBLM_R_X27Y79 SLICE_X43Y79 TILESITE CLBLM_R_X27Y80 SLICE_X42Y80 TILESITE CLBLM_R_X27Y80 SLICE_X43Y80 TILESITE CLBLM_R_X27Y81 SLICE_X42Y81 TILESITE CLBLM_R_X27Y81 SLICE_X43Y81 TILESITE CLBLM_R_X27Y82 SLICE_X42Y82 TILESITE CLBLM_R_X27Y82 SLICE_X43Y82 TILESITE CLBLM_R_X27Y83 SLICE_X42Y83 TILESITE CLBLM_R_X27Y83 SLICE_X43Y83 TILESITE CLBLM_R_X27Y84 SLICE_X42Y84 TILESITE CLBLM_R_X27Y84 SLICE_X43Y84 TILESITE CLBLM_R_X27Y85 SLICE_X42Y85 TILESITE CLBLM_R_X27Y85 SLICE_X43Y85 TILESITE CLBLM_R_X27Y86 SLICE_X42Y86 TILESITE CLBLM_R_X27Y86 SLICE_X43Y86 TILESITE CLBLM_R_X27Y87 SLICE_X42Y87 TILESITE CLBLM_R_X27Y87 SLICE_X43Y87 TILESITE CLBLM_R_X27Y88 SLICE_X42Y88 TILESITE CLBLM_R_X27Y88 SLICE_X43Y88 TILESITE CLBLM_R_X27Y89 SLICE_X42Y89 TILESITE CLBLM_R_X27Y89 SLICE_X43Y89 TILESITE CLBLM_R_X27Y90 SLICE_X42Y90 TILESITE CLBLM_R_X27Y90 SLICE_X43Y90 TILESITE CLBLM_R_X27Y91 SLICE_X42Y91 TILESITE CLBLM_R_X27Y91 SLICE_X43Y91 TILESITE CLBLM_R_X27Y92 SLICE_X42Y92 TILESITE CLBLM_R_X27Y92 SLICE_X43Y92 TILESITE CLBLM_R_X27Y93 SLICE_X42Y93 TILESITE CLBLM_R_X27Y93 SLICE_X43Y93 TILESITE CLBLM_R_X27Y94 SLICE_X42Y94 TILESITE CLBLM_R_X27Y94 SLICE_X43Y94 TILESITE CLBLM_R_X27Y95 SLICE_X42Y95 TILESITE CLBLM_R_X27Y95 SLICE_X43Y95 TILESITE CLBLM_R_X27Y96 SLICE_X42Y96 TILESITE CLBLM_R_X27Y96 SLICE_X43Y96 TILESITE CLBLM_R_X27Y97 SLICE_X42Y97 TILESITE CLBLM_R_X27Y97 SLICE_X43Y97 TILESITE CLBLM_R_X27Y98 SLICE_X42Y98 TILESITE CLBLM_R_X27Y98 SLICE_X43Y98 TILESITE CLBLM_R_X27Y99 SLICE_X42Y99 TILESITE CLBLM_R_X27Y99 SLICE_X43Y99 TILESITE CLBLM_R_X27Y125 SLICE_X42Y125 TILESITE CLBLM_R_X27Y125 SLICE_X43Y125 TILESITE CLBLM_R_X27Y126 SLICE_X42Y126 TILESITE CLBLM_R_X27Y126 SLICE_X43Y126 TILESITE CLBLM_R_X27Y127 SLICE_X42Y127 TILESITE CLBLM_R_X27Y127 SLICE_X43Y127 TILESITE CLBLM_R_X27Y128 SLICE_X42Y128 TILESITE CLBLM_R_X27Y128 SLICE_X43Y128 TILESITE CLBLM_R_X27Y129 SLICE_X42Y129 TILESITE CLBLM_R_X27Y129 SLICE_X43Y129 TILESITE CLBLM_R_X27Y130 SLICE_X42Y130 TILESITE CLBLM_R_X27Y130 SLICE_X43Y130 TILESITE CLBLM_R_X27Y131 SLICE_X42Y131 TILESITE CLBLM_R_X27Y131 SLICE_X43Y131 TILESITE CLBLM_R_X27Y132 SLICE_X42Y132 TILESITE CLBLM_R_X27Y132 SLICE_X43Y132 TILESITE CLBLM_R_X27Y133 SLICE_X42Y133 TILESITE CLBLM_R_X27Y133 SLICE_X43Y133 TILESITE CLBLM_R_X27Y134 SLICE_X42Y134 TILESITE CLBLM_R_X27Y134 SLICE_X43Y134 TILESITE CLBLM_R_X27Y135 SLICE_X42Y135 TILESITE CLBLM_R_X27Y135 SLICE_X43Y135 TILESITE CLBLM_R_X27Y136 SLICE_X42Y136 TILESITE CLBLM_R_X27Y136 SLICE_X43Y136 TILESITE CLBLM_R_X27Y137 SLICE_X42Y137 TILESITE CLBLM_R_X27Y137 SLICE_X43Y137 TILESITE CLBLM_R_X27Y138 SLICE_X42Y138 TILESITE CLBLM_R_X27Y138 SLICE_X43Y138 TILESITE CLBLM_R_X27Y139 SLICE_X42Y139 TILESITE CLBLM_R_X27Y139 SLICE_X43Y139 TILESITE CLBLM_R_X27Y140 SLICE_X42Y140 TILESITE CLBLM_R_X27Y140 SLICE_X43Y140 TILESITE CLBLM_R_X27Y141 SLICE_X42Y141 TILESITE CLBLM_R_X27Y141 SLICE_X43Y141 TILESITE CLBLM_R_X27Y142 SLICE_X42Y142 TILESITE CLBLM_R_X27Y142 SLICE_X43Y142 TILESITE CLBLM_R_X27Y143 SLICE_X42Y143 TILESITE CLBLM_R_X27Y143 SLICE_X43Y143 TILESITE CLBLM_R_X27Y144 SLICE_X42Y144 TILESITE CLBLM_R_X27Y144 SLICE_X43Y144 TILESITE CLBLM_R_X27Y145 SLICE_X42Y145 TILESITE CLBLM_R_X27Y145 SLICE_X43Y145 TILESITE CLBLM_R_X27Y146 SLICE_X42Y146 TILESITE CLBLM_R_X27Y146 SLICE_X43Y146 TILESITE CLBLM_R_X27Y147 SLICE_X42Y147 TILESITE CLBLM_R_X27Y147 SLICE_X43Y147 TILESITE CLBLM_R_X27Y148 SLICE_X42Y148 TILESITE CLBLM_R_X27Y148 SLICE_X43Y148 TILESITE CLBLM_R_X27Y149 SLICE_X42Y149 TILESITE CLBLM_R_X27Y149 SLICE_X43Y149 TILESITE CLBLM_R_X29Y0 SLICE_X46Y0 TILESITE CLBLM_R_X29Y0 SLICE_X47Y0 TILESITE CLBLM_R_X29Y1 SLICE_X46Y1 TILESITE CLBLM_R_X29Y1 SLICE_X47Y1 TILESITE CLBLM_R_X29Y2 SLICE_X46Y2 TILESITE CLBLM_R_X29Y2 SLICE_X47Y2 TILESITE CLBLM_R_X29Y3 SLICE_X46Y3 TILESITE CLBLM_R_X29Y3 SLICE_X47Y3 TILESITE CLBLM_R_X29Y4 SLICE_X46Y4 TILESITE CLBLM_R_X29Y4 SLICE_X47Y4 TILESITE CLBLM_R_X29Y5 SLICE_X46Y5 TILESITE CLBLM_R_X29Y5 SLICE_X47Y5 TILESITE CLBLM_R_X29Y6 SLICE_X46Y6 TILESITE CLBLM_R_X29Y6 SLICE_X47Y6 TILESITE CLBLM_R_X29Y7 SLICE_X46Y7 TILESITE CLBLM_R_X29Y7 SLICE_X47Y7 TILESITE CLBLM_R_X29Y8 SLICE_X46Y8 TILESITE CLBLM_R_X29Y8 SLICE_X47Y8 TILESITE CLBLM_R_X29Y9 SLICE_X46Y9 TILESITE CLBLM_R_X29Y9 SLICE_X47Y9 TILESITE CLBLM_R_X29Y10 SLICE_X46Y10 TILESITE CLBLM_R_X29Y10 SLICE_X47Y10 TILESITE CLBLM_R_X29Y11 SLICE_X46Y11 TILESITE CLBLM_R_X29Y11 SLICE_X47Y11 TILESITE CLBLM_R_X29Y12 SLICE_X46Y12 TILESITE CLBLM_R_X29Y12 SLICE_X47Y12 TILESITE CLBLM_R_X29Y13 SLICE_X46Y13 TILESITE CLBLM_R_X29Y13 SLICE_X47Y13 TILESITE CLBLM_R_X29Y14 SLICE_X46Y14 TILESITE CLBLM_R_X29Y14 SLICE_X47Y14 TILESITE CLBLM_R_X29Y15 SLICE_X46Y15 TILESITE CLBLM_R_X29Y15 SLICE_X47Y15 TILESITE CLBLM_R_X29Y16 SLICE_X46Y16 TILESITE CLBLM_R_X29Y16 SLICE_X47Y16 TILESITE CLBLM_R_X29Y17 SLICE_X46Y17 TILESITE CLBLM_R_X29Y17 SLICE_X47Y17 TILESITE CLBLM_R_X29Y18 SLICE_X46Y18 TILESITE CLBLM_R_X29Y18 SLICE_X47Y18 TILESITE CLBLM_R_X29Y19 SLICE_X46Y19 TILESITE CLBLM_R_X29Y19 SLICE_X47Y19 TILESITE CLBLM_R_X29Y20 SLICE_X46Y20 TILESITE CLBLM_R_X29Y20 SLICE_X47Y20 TILESITE CLBLM_R_X29Y21 SLICE_X46Y21 TILESITE CLBLM_R_X29Y21 SLICE_X47Y21 TILESITE CLBLM_R_X29Y22 SLICE_X46Y22 TILESITE CLBLM_R_X29Y22 SLICE_X47Y22 TILESITE CLBLM_R_X29Y23 SLICE_X46Y23 TILESITE CLBLM_R_X29Y23 SLICE_X47Y23 TILESITE CLBLM_R_X29Y24 SLICE_X46Y24 TILESITE CLBLM_R_X29Y24 SLICE_X47Y24 TILESITE CLBLM_R_X29Y25 SLICE_X46Y25 TILESITE CLBLM_R_X29Y25 SLICE_X47Y25 TILESITE CLBLM_R_X29Y26 SLICE_X46Y26 TILESITE CLBLM_R_X29Y26 SLICE_X47Y26 TILESITE CLBLM_R_X29Y27 SLICE_X46Y27 TILESITE CLBLM_R_X29Y27 SLICE_X47Y27 TILESITE CLBLM_R_X29Y28 SLICE_X46Y28 TILESITE CLBLM_R_X29Y28 SLICE_X47Y28 TILESITE CLBLM_R_X29Y29 SLICE_X46Y29 TILESITE CLBLM_R_X29Y29 SLICE_X47Y29 TILESITE CLBLM_R_X29Y30 SLICE_X46Y30 TILESITE CLBLM_R_X29Y30 SLICE_X47Y30 TILESITE CLBLM_R_X29Y31 SLICE_X46Y31 TILESITE CLBLM_R_X29Y31 SLICE_X47Y31 TILESITE CLBLM_R_X29Y32 SLICE_X46Y32 TILESITE CLBLM_R_X29Y32 SLICE_X47Y32 TILESITE CLBLM_R_X29Y33 SLICE_X46Y33 TILESITE CLBLM_R_X29Y33 SLICE_X47Y33 TILESITE CLBLM_R_X29Y34 SLICE_X46Y34 TILESITE CLBLM_R_X29Y34 SLICE_X47Y34 TILESITE CLBLM_R_X29Y35 SLICE_X46Y35 TILESITE CLBLM_R_X29Y35 SLICE_X47Y35 TILESITE CLBLM_R_X29Y36 SLICE_X46Y36 TILESITE CLBLM_R_X29Y36 SLICE_X47Y36 TILESITE CLBLM_R_X29Y37 SLICE_X46Y37 TILESITE CLBLM_R_X29Y37 SLICE_X47Y37 TILESITE CLBLM_R_X29Y38 SLICE_X46Y38 TILESITE CLBLM_R_X29Y38 SLICE_X47Y38 TILESITE CLBLM_R_X29Y39 SLICE_X46Y39 TILESITE CLBLM_R_X29Y39 SLICE_X47Y39 TILESITE CLBLM_R_X29Y40 SLICE_X46Y40 TILESITE CLBLM_R_X29Y40 SLICE_X47Y40 TILESITE CLBLM_R_X29Y41 SLICE_X46Y41 TILESITE CLBLM_R_X29Y41 SLICE_X47Y41 TILESITE CLBLM_R_X29Y42 SLICE_X46Y42 TILESITE CLBLM_R_X29Y42 SLICE_X47Y42 TILESITE CLBLM_R_X29Y43 SLICE_X46Y43 TILESITE CLBLM_R_X29Y43 SLICE_X47Y43 TILESITE CLBLM_R_X29Y44 SLICE_X46Y44 TILESITE CLBLM_R_X29Y44 SLICE_X47Y44 TILESITE CLBLM_R_X29Y45 SLICE_X46Y45 TILESITE CLBLM_R_X29Y45 SLICE_X47Y45 TILESITE CLBLM_R_X29Y46 SLICE_X46Y46 TILESITE CLBLM_R_X29Y46 SLICE_X47Y46 TILESITE CLBLM_R_X29Y47 SLICE_X46Y47 TILESITE CLBLM_R_X29Y47 SLICE_X47Y47 TILESITE CLBLM_R_X29Y48 SLICE_X46Y48 TILESITE CLBLM_R_X29Y48 SLICE_X47Y48 TILESITE CLBLM_R_X29Y49 SLICE_X46Y49 TILESITE CLBLM_R_X29Y49 SLICE_X47Y49 TILESITE CLBLM_R_X29Y50 SLICE_X46Y50 TILESITE CLBLM_R_X29Y50 SLICE_X47Y50 TILESITE CLBLM_R_X29Y51 SLICE_X46Y51 TILESITE CLBLM_R_X29Y51 SLICE_X47Y51 TILESITE CLBLM_R_X29Y52 SLICE_X46Y52 TILESITE CLBLM_R_X29Y52 SLICE_X47Y52 TILESITE CLBLM_R_X29Y53 SLICE_X46Y53 TILESITE CLBLM_R_X29Y53 SLICE_X47Y53 TILESITE CLBLM_R_X29Y54 SLICE_X46Y54 TILESITE CLBLM_R_X29Y54 SLICE_X47Y54 TILESITE CLBLM_R_X29Y55 SLICE_X46Y55 TILESITE CLBLM_R_X29Y55 SLICE_X47Y55 TILESITE CLBLM_R_X29Y56 SLICE_X46Y56 TILESITE CLBLM_R_X29Y56 SLICE_X47Y56 TILESITE CLBLM_R_X29Y57 SLICE_X46Y57 TILESITE CLBLM_R_X29Y57 SLICE_X47Y57 TILESITE CLBLM_R_X29Y58 SLICE_X46Y58 TILESITE CLBLM_R_X29Y58 SLICE_X47Y58 TILESITE CLBLM_R_X29Y59 SLICE_X46Y59 TILESITE CLBLM_R_X29Y59 SLICE_X47Y59 TILESITE CLBLM_R_X29Y60 SLICE_X46Y60 TILESITE CLBLM_R_X29Y60 SLICE_X47Y60 TILESITE CLBLM_R_X29Y61 SLICE_X46Y61 TILESITE CLBLM_R_X29Y61 SLICE_X47Y61 TILESITE CLBLM_R_X29Y62 SLICE_X46Y62 TILESITE CLBLM_R_X29Y62 SLICE_X47Y62 TILESITE CLBLM_R_X29Y63 SLICE_X46Y63 TILESITE CLBLM_R_X29Y63 SLICE_X47Y63 TILESITE CLBLM_R_X29Y64 SLICE_X46Y64 TILESITE CLBLM_R_X29Y64 SLICE_X47Y64 TILESITE CLBLM_R_X29Y65 SLICE_X46Y65 TILESITE CLBLM_R_X29Y65 SLICE_X47Y65 TILESITE CLBLM_R_X29Y66 SLICE_X46Y66 TILESITE CLBLM_R_X29Y66 SLICE_X47Y66 TILESITE CLBLM_R_X29Y67 SLICE_X46Y67 TILESITE CLBLM_R_X29Y67 SLICE_X47Y67 TILESITE CLBLM_R_X29Y68 SLICE_X46Y68 TILESITE CLBLM_R_X29Y68 SLICE_X47Y68 TILESITE CLBLM_R_X29Y69 SLICE_X46Y69 TILESITE CLBLM_R_X29Y69 SLICE_X47Y69 TILESITE CLBLM_R_X29Y70 SLICE_X46Y70 TILESITE CLBLM_R_X29Y70 SLICE_X47Y70 TILESITE CLBLM_R_X29Y71 SLICE_X46Y71 TILESITE CLBLM_R_X29Y71 SLICE_X47Y71 TILESITE CLBLM_R_X29Y72 SLICE_X46Y72 TILESITE CLBLM_R_X29Y72 SLICE_X47Y72 TILESITE CLBLM_R_X29Y73 SLICE_X46Y73 TILESITE CLBLM_R_X29Y73 SLICE_X47Y73 TILESITE CLBLM_R_X29Y74 SLICE_X46Y74 TILESITE CLBLM_R_X29Y74 SLICE_X47Y74 TILESITE CLBLM_R_X29Y75 SLICE_X46Y75 TILESITE CLBLM_R_X29Y75 SLICE_X47Y75 TILESITE CLBLM_R_X29Y76 SLICE_X46Y76 TILESITE CLBLM_R_X29Y76 SLICE_X47Y76 TILESITE CLBLM_R_X29Y77 SLICE_X46Y77 TILESITE CLBLM_R_X29Y77 SLICE_X47Y77 TILESITE CLBLM_R_X29Y78 SLICE_X46Y78 TILESITE CLBLM_R_X29Y78 SLICE_X47Y78 TILESITE CLBLM_R_X29Y79 SLICE_X46Y79 TILESITE CLBLM_R_X29Y79 SLICE_X47Y79 TILESITE CLBLM_R_X29Y80 SLICE_X46Y80 TILESITE CLBLM_R_X29Y80 SLICE_X47Y80 TILESITE CLBLM_R_X29Y81 SLICE_X46Y81 TILESITE CLBLM_R_X29Y81 SLICE_X47Y81 TILESITE CLBLM_R_X29Y82 SLICE_X46Y82 TILESITE CLBLM_R_X29Y82 SLICE_X47Y82 TILESITE CLBLM_R_X29Y83 SLICE_X46Y83 TILESITE CLBLM_R_X29Y83 SLICE_X47Y83 TILESITE CLBLM_R_X29Y84 SLICE_X46Y84 TILESITE CLBLM_R_X29Y84 SLICE_X47Y84 TILESITE CLBLM_R_X29Y85 SLICE_X46Y85 TILESITE CLBLM_R_X29Y85 SLICE_X47Y85 TILESITE CLBLM_R_X29Y86 SLICE_X46Y86 TILESITE CLBLM_R_X29Y86 SLICE_X47Y86 TILESITE CLBLM_R_X29Y87 SLICE_X46Y87 TILESITE CLBLM_R_X29Y87 SLICE_X47Y87 TILESITE CLBLM_R_X29Y88 SLICE_X46Y88 TILESITE CLBLM_R_X29Y88 SLICE_X47Y88 TILESITE CLBLM_R_X29Y89 SLICE_X46Y89 TILESITE CLBLM_R_X29Y89 SLICE_X47Y89 TILESITE CLBLM_R_X29Y90 SLICE_X46Y90 TILESITE CLBLM_R_X29Y90 SLICE_X47Y90 TILESITE CLBLM_R_X29Y91 SLICE_X46Y91 TILESITE CLBLM_R_X29Y91 SLICE_X47Y91 TILESITE CLBLM_R_X29Y92 SLICE_X46Y92 TILESITE CLBLM_R_X29Y92 SLICE_X47Y92 TILESITE CLBLM_R_X29Y93 SLICE_X46Y93 TILESITE CLBLM_R_X29Y93 SLICE_X47Y93 TILESITE CLBLM_R_X29Y94 SLICE_X46Y94 TILESITE CLBLM_R_X29Y94 SLICE_X47Y94 TILESITE CLBLM_R_X29Y95 SLICE_X46Y95 TILESITE CLBLM_R_X29Y95 SLICE_X47Y95 TILESITE CLBLM_R_X29Y96 SLICE_X46Y96 TILESITE CLBLM_R_X29Y96 SLICE_X47Y96 TILESITE CLBLM_R_X29Y97 SLICE_X46Y97 TILESITE CLBLM_R_X29Y97 SLICE_X47Y97 TILESITE CLBLM_R_X29Y98 SLICE_X46Y98 TILESITE CLBLM_R_X29Y98 SLICE_X47Y98 TILESITE CLBLM_R_X29Y99 SLICE_X46Y99 TILESITE CLBLM_R_X29Y99 SLICE_X47Y99 TILESITE CLBLM_R_X29Y125 SLICE_X46Y125 TILESITE CLBLM_R_X29Y125 SLICE_X47Y125 TILESITE CLBLM_R_X29Y126 SLICE_X46Y126 TILESITE CLBLM_R_X29Y126 SLICE_X47Y126 TILESITE CLBLM_R_X29Y127 SLICE_X46Y127 TILESITE CLBLM_R_X29Y127 SLICE_X47Y127 TILESITE CLBLM_R_X29Y128 SLICE_X46Y128 TILESITE CLBLM_R_X29Y128 SLICE_X47Y128 TILESITE CLBLM_R_X29Y129 SLICE_X46Y129 TILESITE CLBLM_R_X29Y129 SLICE_X47Y129 TILESITE CLBLM_R_X29Y130 SLICE_X46Y130 TILESITE CLBLM_R_X29Y130 SLICE_X47Y130 TILESITE CLBLM_R_X29Y131 SLICE_X46Y131 TILESITE CLBLM_R_X29Y131 SLICE_X47Y131 TILESITE CLBLM_R_X29Y132 SLICE_X46Y132 TILESITE CLBLM_R_X29Y132 SLICE_X47Y132 TILESITE CLBLM_R_X29Y133 SLICE_X46Y133 TILESITE CLBLM_R_X29Y133 SLICE_X47Y133 TILESITE CLBLM_R_X29Y134 SLICE_X46Y134 TILESITE CLBLM_R_X29Y134 SLICE_X47Y134 TILESITE CLBLM_R_X29Y135 SLICE_X46Y135 TILESITE CLBLM_R_X29Y135 SLICE_X47Y135 TILESITE CLBLM_R_X29Y136 SLICE_X46Y136 TILESITE CLBLM_R_X29Y136 SLICE_X47Y136 TILESITE CLBLM_R_X29Y137 SLICE_X46Y137 TILESITE CLBLM_R_X29Y137 SLICE_X47Y137 TILESITE CLBLM_R_X29Y138 SLICE_X46Y138 TILESITE CLBLM_R_X29Y138 SLICE_X47Y138 TILESITE CLBLM_R_X29Y139 SLICE_X46Y139 TILESITE CLBLM_R_X29Y139 SLICE_X47Y139 TILESITE CLBLM_R_X29Y140 SLICE_X46Y140 TILESITE CLBLM_R_X29Y140 SLICE_X47Y140 TILESITE CLBLM_R_X29Y141 SLICE_X46Y141 TILESITE CLBLM_R_X29Y141 SLICE_X47Y141 TILESITE CLBLM_R_X29Y142 SLICE_X46Y142 TILESITE CLBLM_R_X29Y142 SLICE_X47Y142 TILESITE CLBLM_R_X29Y143 SLICE_X46Y143 TILESITE CLBLM_R_X29Y143 SLICE_X47Y143 TILESITE CLBLM_R_X29Y144 SLICE_X46Y144 TILESITE CLBLM_R_X29Y144 SLICE_X47Y144 TILESITE CLBLM_R_X29Y145 SLICE_X46Y145 TILESITE CLBLM_R_X29Y145 SLICE_X47Y145 TILESITE CLBLM_R_X29Y146 SLICE_X46Y146 TILESITE CLBLM_R_X29Y146 SLICE_X47Y146 TILESITE CLBLM_R_X29Y147 SLICE_X46Y147 TILESITE CLBLM_R_X29Y147 SLICE_X47Y147 TILESITE CLBLM_R_X29Y148 SLICE_X46Y148 TILESITE CLBLM_R_X29Y148 SLICE_X47Y148 TILESITE CLBLM_R_X29Y149 SLICE_X46Y149 TILESITE CLBLM_R_X29Y149 SLICE_X47Y149 TILESITE CLBLM_R_X33Y0 SLICE_X52Y0 TILESITE CLBLM_R_X33Y0 SLICE_X53Y0 TILESITE CLBLM_R_X33Y1 SLICE_X52Y1 TILESITE CLBLM_R_X33Y1 SLICE_X53Y1 TILESITE CLBLM_R_X33Y2 SLICE_X52Y2 TILESITE CLBLM_R_X33Y2 SLICE_X53Y2 TILESITE CLBLM_R_X33Y3 SLICE_X52Y3 TILESITE CLBLM_R_X33Y3 SLICE_X53Y3 TILESITE CLBLM_R_X33Y4 SLICE_X52Y4 TILESITE CLBLM_R_X33Y4 SLICE_X53Y4 TILESITE CLBLM_R_X33Y5 SLICE_X52Y5 TILESITE CLBLM_R_X33Y5 SLICE_X53Y5 TILESITE CLBLM_R_X33Y6 SLICE_X52Y6 TILESITE CLBLM_R_X33Y6 SLICE_X53Y6 TILESITE CLBLM_R_X33Y7 SLICE_X52Y7 TILESITE CLBLM_R_X33Y7 SLICE_X53Y7 TILESITE CLBLM_R_X33Y8 SLICE_X52Y8 TILESITE CLBLM_R_X33Y8 SLICE_X53Y8 TILESITE CLBLM_R_X33Y9 SLICE_X52Y9 TILESITE CLBLM_R_X33Y9 SLICE_X53Y9 TILESITE CLBLM_R_X33Y10 SLICE_X52Y10 TILESITE CLBLM_R_X33Y10 SLICE_X53Y10 TILESITE CLBLM_R_X33Y11 SLICE_X52Y11 TILESITE CLBLM_R_X33Y11 SLICE_X53Y11 TILESITE CLBLM_R_X33Y12 SLICE_X52Y12 TILESITE CLBLM_R_X33Y12 SLICE_X53Y12 TILESITE CLBLM_R_X33Y13 SLICE_X52Y13 TILESITE CLBLM_R_X33Y13 SLICE_X53Y13 TILESITE CLBLM_R_X33Y14 SLICE_X52Y14 TILESITE CLBLM_R_X33Y14 SLICE_X53Y14 TILESITE CLBLM_R_X33Y15 SLICE_X52Y15 TILESITE CLBLM_R_X33Y15 SLICE_X53Y15 TILESITE CLBLM_R_X33Y16 SLICE_X52Y16 TILESITE CLBLM_R_X33Y16 SLICE_X53Y16 TILESITE CLBLM_R_X33Y17 SLICE_X52Y17 TILESITE CLBLM_R_X33Y17 SLICE_X53Y17 TILESITE CLBLM_R_X33Y18 SLICE_X52Y18 TILESITE CLBLM_R_X33Y18 SLICE_X53Y18 TILESITE CLBLM_R_X33Y19 SLICE_X52Y19 TILESITE CLBLM_R_X33Y19 SLICE_X53Y19 TILESITE CLBLM_R_X33Y20 SLICE_X52Y20 TILESITE CLBLM_R_X33Y20 SLICE_X53Y20 TILESITE CLBLM_R_X33Y21 SLICE_X52Y21 TILESITE CLBLM_R_X33Y21 SLICE_X53Y21 TILESITE CLBLM_R_X33Y22 SLICE_X52Y22 TILESITE CLBLM_R_X33Y22 SLICE_X53Y22 TILESITE CLBLM_R_X33Y23 SLICE_X52Y23 TILESITE CLBLM_R_X33Y23 SLICE_X53Y23 TILESITE CLBLM_R_X33Y24 SLICE_X52Y24 TILESITE CLBLM_R_X33Y24 SLICE_X53Y24 TILESITE CLBLM_R_X33Y25 SLICE_X52Y25 TILESITE CLBLM_R_X33Y25 SLICE_X53Y25 TILESITE CLBLM_R_X33Y26 SLICE_X52Y26 TILESITE CLBLM_R_X33Y26 SLICE_X53Y26 TILESITE CLBLM_R_X33Y27 SLICE_X52Y27 TILESITE CLBLM_R_X33Y27 SLICE_X53Y27 TILESITE CLBLM_R_X33Y28 SLICE_X52Y28 TILESITE CLBLM_R_X33Y28 SLICE_X53Y28 TILESITE CLBLM_R_X33Y29 SLICE_X52Y29 TILESITE CLBLM_R_X33Y29 SLICE_X53Y29 TILESITE CLBLM_R_X33Y30 SLICE_X52Y30 TILESITE CLBLM_R_X33Y30 SLICE_X53Y30 TILESITE CLBLM_R_X33Y31 SLICE_X52Y31 TILESITE CLBLM_R_X33Y31 SLICE_X53Y31 TILESITE CLBLM_R_X33Y32 SLICE_X52Y32 TILESITE CLBLM_R_X33Y32 SLICE_X53Y32 TILESITE CLBLM_R_X33Y33 SLICE_X52Y33 TILESITE CLBLM_R_X33Y33 SLICE_X53Y33 TILESITE CLBLM_R_X33Y34 SLICE_X52Y34 TILESITE CLBLM_R_X33Y34 SLICE_X53Y34 TILESITE CLBLM_R_X33Y35 SLICE_X52Y35 TILESITE CLBLM_R_X33Y35 SLICE_X53Y35 TILESITE CLBLM_R_X33Y36 SLICE_X52Y36 TILESITE CLBLM_R_X33Y36 SLICE_X53Y36 TILESITE CLBLM_R_X33Y37 SLICE_X52Y37 TILESITE CLBLM_R_X33Y37 SLICE_X53Y37 TILESITE CLBLM_R_X33Y38 SLICE_X52Y38 TILESITE CLBLM_R_X33Y38 SLICE_X53Y38 TILESITE CLBLM_R_X33Y39 SLICE_X52Y39 TILESITE CLBLM_R_X33Y39 SLICE_X53Y39 TILESITE CLBLM_R_X33Y40 SLICE_X52Y40 TILESITE CLBLM_R_X33Y40 SLICE_X53Y40 TILESITE CLBLM_R_X33Y41 SLICE_X52Y41 TILESITE CLBLM_R_X33Y41 SLICE_X53Y41 TILESITE CLBLM_R_X33Y42 SLICE_X52Y42 TILESITE CLBLM_R_X33Y42 SLICE_X53Y42 TILESITE CLBLM_R_X33Y43 SLICE_X52Y43 TILESITE CLBLM_R_X33Y43 SLICE_X53Y43 TILESITE CLBLM_R_X33Y44 SLICE_X52Y44 TILESITE CLBLM_R_X33Y44 SLICE_X53Y44 TILESITE CLBLM_R_X33Y45 SLICE_X52Y45 TILESITE CLBLM_R_X33Y45 SLICE_X53Y45 TILESITE CLBLM_R_X33Y46 SLICE_X52Y46 TILESITE CLBLM_R_X33Y46 SLICE_X53Y46 TILESITE CLBLM_R_X33Y47 SLICE_X52Y47 TILESITE CLBLM_R_X33Y47 SLICE_X53Y47 TILESITE CLBLM_R_X33Y48 SLICE_X52Y48 TILESITE CLBLM_R_X33Y48 SLICE_X53Y48 TILESITE CLBLM_R_X33Y49 SLICE_X52Y49 TILESITE CLBLM_R_X33Y49 SLICE_X53Y49 TILESITE CLBLM_R_X33Y50 SLICE_X52Y50 TILESITE CLBLM_R_X33Y50 SLICE_X53Y50 TILESITE CLBLM_R_X33Y51 SLICE_X52Y51 TILESITE CLBLM_R_X33Y51 SLICE_X53Y51 TILESITE CLBLM_R_X33Y52 SLICE_X52Y52 TILESITE CLBLM_R_X33Y52 SLICE_X53Y52 TILESITE CLBLM_R_X33Y53 SLICE_X52Y53 TILESITE CLBLM_R_X33Y53 SLICE_X53Y53 TILESITE CLBLM_R_X33Y54 SLICE_X52Y54 TILESITE CLBLM_R_X33Y54 SLICE_X53Y54 TILESITE CLBLM_R_X33Y55 SLICE_X52Y55 TILESITE CLBLM_R_X33Y55 SLICE_X53Y55 TILESITE CLBLM_R_X33Y56 SLICE_X52Y56 TILESITE CLBLM_R_X33Y56 SLICE_X53Y56 TILESITE CLBLM_R_X33Y57 SLICE_X52Y57 TILESITE CLBLM_R_X33Y57 SLICE_X53Y57 TILESITE CLBLM_R_X33Y58 SLICE_X52Y58 TILESITE CLBLM_R_X33Y58 SLICE_X53Y58 TILESITE CLBLM_R_X33Y59 SLICE_X52Y59 TILESITE CLBLM_R_X33Y59 SLICE_X53Y59 TILESITE CLBLM_R_X33Y60 SLICE_X52Y60 TILESITE CLBLM_R_X33Y60 SLICE_X53Y60 TILESITE CLBLM_R_X33Y61 SLICE_X52Y61 TILESITE CLBLM_R_X33Y61 SLICE_X53Y61 TILESITE CLBLM_R_X33Y62 SLICE_X52Y62 TILESITE CLBLM_R_X33Y62 SLICE_X53Y62 TILESITE CLBLM_R_X33Y63 SLICE_X52Y63 TILESITE CLBLM_R_X33Y63 SLICE_X53Y63 TILESITE CLBLM_R_X33Y64 SLICE_X52Y64 TILESITE CLBLM_R_X33Y64 SLICE_X53Y64 TILESITE CLBLM_R_X33Y65 SLICE_X52Y65 TILESITE CLBLM_R_X33Y65 SLICE_X53Y65 TILESITE CLBLM_R_X33Y66 SLICE_X52Y66 TILESITE CLBLM_R_X33Y66 SLICE_X53Y66 TILESITE CLBLM_R_X33Y67 SLICE_X52Y67 TILESITE CLBLM_R_X33Y67 SLICE_X53Y67 TILESITE CLBLM_R_X33Y68 SLICE_X52Y68 TILESITE CLBLM_R_X33Y68 SLICE_X53Y68 TILESITE CLBLM_R_X33Y69 SLICE_X52Y69 TILESITE CLBLM_R_X33Y69 SLICE_X53Y69 TILESITE CLBLM_R_X33Y70 SLICE_X52Y70 TILESITE CLBLM_R_X33Y70 SLICE_X53Y70 TILESITE CLBLM_R_X33Y71 SLICE_X52Y71 TILESITE CLBLM_R_X33Y71 SLICE_X53Y71 TILESITE CLBLM_R_X33Y72 SLICE_X52Y72 TILESITE CLBLM_R_X33Y72 SLICE_X53Y72 TILESITE CLBLM_R_X33Y73 SLICE_X52Y73 TILESITE CLBLM_R_X33Y73 SLICE_X53Y73 TILESITE CLBLM_R_X33Y74 SLICE_X52Y74 TILESITE CLBLM_R_X33Y74 SLICE_X53Y74 TILESITE CLBLM_R_X33Y75 SLICE_X52Y75 TILESITE CLBLM_R_X33Y75 SLICE_X53Y75 TILESITE CLBLM_R_X33Y76 SLICE_X52Y76 TILESITE CLBLM_R_X33Y76 SLICE_X53Y76 TILESITE CLBLM_R_X33Y77 SLICE_X52Y77 TILESITE CLBLM_R_X33Y77 SLICE_X53Y77 TILESITE CLBLM_R_X33Y78 SLICE_X52Y78 TILESITE CLBLM_R_X33Y78 SLICE_X53Y78 TILESITE CLBLM_R_X33Y79 SLICE_X52Y79 TILESITE CLBLM_R_X33Y79 SLICE_X53Y79 TILESITE CLBLM_R_X33Y80 SLICE_X52Y80 TILESITE CLBLM_R_X33Y80 SLICE_X53Y80 TILESITE CLBLM_R_X33Y81 SLICE_X52Y81 TILESITE CLBLM_R_X33Y81 SLICE_X53Y81 TILESITE CLBLM_R_X33Y82 SLICE_X52Y82 TILESITE CLBLM_R_X33Y82 SLICE_X53Y82 TILESITE CLBLM_R_X33Y83 SLICE_X52Y83 TILESITE CLBLM_R_X33Y83 SLICE_X53Y83 TILESITE CLBLM_R_X33Y84 SLICE_X52Y84 TILESITE CLBLM_R_X33Y84 SLICE_X53Y84 TILESITE CLBLM_R_X33Y85 SLICE_X52Y85 TILESITE CLBLM_R_X33Y85 SLICE_X53Y85 TILESITE CLBLM_R_X33Y86 SLICE_X52Y86 TILESITE CLBLM_R_X33Y86 SLICE_X53Y86 TILESITE CLBLM_R_X33Y87 SLICE_X52Y87 TILESITE CLBLM_R_X33Y87 SLICE_X53Y87 TILESITE CLBLM_R_X33Y88 SLICE_X52Y88 TILESITE CLBLM_R_X33Y88 SLICE_X53Y88 TILESITE CLBLM_R_X33Y89 SLICE_X52Y89 TILESITE CLBLM_R_X33Y89 SLICE_X53Y89 TILESITE CLBLM_R_X33Y90 SLICE_X52Y90 TILESITE CLBLM_R_X33Y90 SLICE_X53Y90 TILESITE CLBLM_R_X33Y91 SLICE_X52Y91 TILESITE CLBLM_R_X33Y91 SLICE_X53Y91 TILESITE CLBLM_R_X33Y92 SLICE_X52Y92 TILESITE CLBLM_R_X33Y92 SLICE_X53Y92 TILESITE CLBLM_R_X33Y93 SLICE_X52Y93 TILESITE CLBLM_R_X33Y93 SLICE_X53Y93 TILESITE CLBLM_R_X33Y94 SLICE_X52Y94 TILESITE CLBLM_R_X33Y94 SLICE_X53Y94 TILESITE CLBLM_R_X33Y95 SLICE_X52Y95 TILESITE CLBLM_R_X33Y95 SLICE_X53Y95 TILESITE CLBLM_R_X33Y96 SLICE_X52Y96 TILESITE CLBLM_R_X33Y96 SLICE_X53Y96 TILESITE CLBLM_R_X33Y97 SLICE_X52Y97 TILESITE CLBLM_R_X33Y97 SLICE_X53Y97 TILESITE CLBLM_R_X33Y98 SLICE_X52Y98 TILESITE CLBLM_R_X33Y98 SLICE_X53Y98 TILESITE CLBLM_R_X33Y99 SLICE_X52Y99 TILESITE CLBLM_R_X33Y99 SLICE_X53Y99 TILESITE CLBLM_R_X33Y100 SLICE_X52Y100 TILESITE CLBLM_R_X33Y100 SLICE_X53Y100 TILESITE CLBLM_R_X33Y101 SLICE_X52Y101 TILESITE CLBLM_R_X33Y101 SLICE_X53Y101 TILESITE CLBLM_R_X33Y102 SLICE_X52Y102 TILESITE CLBLM_R_X33Y102 SLICE_X53Y102 TILESITE CLBLM_R_X33Y103 SLICE_X52Y103 TILESITE CLBLM_R_X33Y103 SLICE_X53Y103 TILESITE CLBLM_R_X33Y104 SLICE_X52Y104 TILESITE CLBLM_R_X33Y104 SLICE_X53Y104 TILESITE CLBLM_R_X33Y105 SLICE_X52Y105 TILESITE CLBLM_R_X33Y105 SLICE_X53Y105 TILESITE CLBLM_R_X33Y106 SLICE_X52Y106 TILESITE CLBLM_R_X33Y106 SLICE_X53Y106 TILESITE CLBLM_R_X33Y107 SLICE_X52Y107 TILESITE CLBLM_R_X33Y107 SLICE_X53Y107 TILESITE CLBLM_R_X33Y108 SLICE_X52Y108 TILESITE CLBLM_R_X33Y108 SLICE_X53Y108 TILESITE CLBLM_R_X33Y109 SLICE_X52Y109 TILESITE CLBLM_R_X33Y109 SLICE_X53Y109 TILESITE CLBLM_R_X33Y110 SLICE_X52Y110 TILESITE CLBLM_R_X33Y110 SLICE_X53Y110 TILESITE CLBLM_R_X33Y111 SLICE_X52Y111 TILESITE CLBLM_R_X33Y111 SLICE_X53Y111 TILESITE CLBLM_R_X33Y112 SLICE_X52Y112 TILESITE CLBLM_R_X33Y112 SLICE_X53Y112 TILESITE CLBLM_R_X33Y113 SLICE_X52Y113 TILESITE CLBLM_R_X33Y113 SLICE_X53Y113 TILESITE CLBLM_R_X33Y114 SLICE_X52Y114 TILESITE CLBLM_R_X33Y114 SLICE_X53Y114 TILESITE CLBLM_R_X33Y115 SLICE_X52Y115 TILESITE CLBLM_R_X33Y115 SLICE_X53Y115 TILESITE CLBLM_R_X33Y116 SLICE_X52Y116 TILESITE CLBLM_R_X33Y116 SLICE_X53Y116 TILESITE CLBLM_R_X33Y117 SLICE_X52Y117 TILESITE CLBLM_R_X33Y117 SLICE_X53Y117 TILESITE CLBLM_R_X33Y118 SLICE_X52Y118 TILESITE CLBLM_R_X33Y118 SLICE_X53Y118 TILESITE CLBLM_R_X33Y119 SLICE_X52Y119 TILESITE CLBLM_R_X33Y119 SLICE_X53Y119 TILESITE CLBLM_R_X33Y120 SLICE_X52Y120 TILESITE CLBLM_R_X33Y120 SLICE_X53Y120 TILESITE CLBLM_R_X33Y121 SLICE_X52Y121 TILESITE CLBLM_R_X33Y121 SLICE_X53Y121 TILESITE CLBLM_R_X33Y122 SLICE_X52Y122 TILESITE CLBLM_R_X33Y122 SLICE_X53Y122 TILESITE CLBLM_R_X33Y123 SLICE_X52Y123 TILESITE CLBLM_R_X33Y123 SLICE_X53Y123 TILESITE CLBLM_R_X33Y124 SLICE_X52Y124 TILESITE CLBLM_R_X33Y124 SLICE_X53Y124 TILESITE CLBLM_R_X33Y125 SLICE_X52Y125 TILESITE CLBLM_R_X33Y125 SLICE_X53Y125 TILESITE CLBLM_R_X33Y126 SLICE_X52Y126 TILESITE CLBLM_R_X33Y126 SLICE_X53Y126 TILESITE CLBLM_R_X33Y127 SLICE_X52Y127 TILESITE CLBLM_R_X33Y127 SLICE_X53Y127 TILESITE CLBLM_R_X33Y128 SLICE_X52Y128 TILESITE CLBLM_R_X33Y128 SLICE_X53Y128 TILESITE CLBLM_R_X33Y129 SLICE_X52Y129 TILESITE CLBLM_R_X33Y129 SLICE_X53Y129 TILESITE CLBLM_R_X33Y130 SLICE_X52Y130 TILESITE CLBLM_R_X33Y130 SLICE_X53Y130 TILESITE CLBLM_R_X33Y131 SLICE_X52Y131 TILESITE CLBLM_R_X33Y131 SLICE_X53Y131 TILESITE CLBLM_R_X33Y132 SLICE_X52Y132 TILESITE CLBLM_R_X33Y132 SLICE_X53Y132 TILESITE CLBLM_R_X33Y133 SLICE_X52Y133 TILESITE CLBLM_R_X33Y133 SLICE_X53Y133 TILESITE CLBLM_R_X33Y134 SLICE_X52Y134 TILESITE CLBLM_R_X33Y134 SLICE_X53Y134 TILESITE CLBLM_R_X33Y135 SLICE_X52Y135 TILESITE CLBLM_R_X33Y135 SLICE_X53Y135 TILESITE CLBLM_R_X33Y136 SLICE_X52Y136 TILESITE CLBLM_R_X33Y136 SLICE_X53Y136 TILESITE CLBLM_R_X33Y137 SLICE_X52Y137 TILESITE CLBLM_R_X33Y137 SLICE_X53Y137 TILESITE CLBLM_R_X33Y138 SLICE_X52Y138 TILESITE CLBLM_R_X33Y138 SLICE_X53Y138 TILESITE CLBLM_R_X33Y139 SLICE_X52Y139 TILESITE CLBLM_R_X33Y139 SLICE_X53Y139 TILESITE CLBLM_R_X33Y140 SLICE_X52Y140 TILESITE CLBLM_R_X33Y140 SLICE_X53Y140 TILESITE CLBLM_R_X33Y141 SLICE_X52Y141 TILESITE CLBLM_R_X33Y141 SLICE_X53Y141 TILESITE CLBLM_R_X33Y142 SLICE_X52Y142 TILESITE CLBLM_R_X33Y142 SLICE_X53Y142 TILESITE CLBLM_R_X33Y143 SLICE_X52Y143 TILESITE CLBLM_R_X33Y143 SLICE_X53Y143 TILESITE CLBLM_R_X33Y144 SLICE_X52Y144 TILESITE CLBLM_R_X33Y144 SLICE_X53Y144 TILESITE CLBLM_R_X33Y145 SLICE_X52Y145 TILESITE CLBLM_R_X33Y145 SLICE_X53Y145 TILESITE CLBLM_R_X33Y146 SLICE_X52Y146 TILESITE CLBLM_R_X33Y146 SLICE_X53Y146 TILESITE CLBLM_R_X33Y147 SLICE_X52Y147 TILESITE CLBLM_R_X33Y147 SLICE_X53Y147 TILESITE CLBLM_R_X33Y148 SLICE_X52Y148 TILESITE CLBLM_R_X33Y148 SLICE_X53Y148 TILESITE CLBLM_R_X33Y149 SLICE_X52Y149 TILESITE CLBLM_R_X33Y149 SLICE_X53Y149 TILESITE CLBLM_R_X35Y0 SLICE_X54Y0 TILESITE CLBLM_R_X35Y0 SLICE_X55Y0 TILESITE CLBLM_R_X35Y1 SLICE_X54Y1 TILESITE CLBLM_R_X35Y1 SLICE_X55Y1 TILESITE CLBLM_R_X35Y2 SLICE_X54Y2 TILESITE CLBLM_R_X35Y2 SLICE_X55Y2 TILESITE CLBLM_R_X35Y3 SLICE_X54Y3 TILESITE CLBLM_R_X35Y3 SLICE_X55Y3 TILESITE CLBLM_R_X35Y4 SLICE_X54Y4 TILESITE CLBLM_R_X35Y4 SLICE_X55Y4 TILESITE CLBLM_R_X35Y5 SLICE_X54Y5 TILESITE CLBLM_R_X35Y5 SLICE_X55Y5 TILESITE CLBLM_R_X35Y6 SLICE_X54Y6 TILESITE CLBLM_R_X35Y6 SLICE_X55Y6 TILESITE CLBLM_R_X35Y7 SLICE_X54Y7 TILESITE CLBLM_R_X35Y7 SLICE_X55Y7 TILESITE CLBLM_R_X35Y8 SLICE_X54Y8 TILESITE CLBLM_R_X35Y8 SLICE_X55Y8 TILESITE CLBLM_R_X35Y9 SLICE_X54Y9 TILESITE CLBLM_R_X35Y9 SLICE_X55Y9 TILESITE CLBLM_R_X35Y10 SLICE_X54Y10 TILESITE CLBLM_R_X35Y10 SLICE_X55Y10 TILESITE CLBLM_R_X35Y11 SLICE_X54Y11 TILESITE CLBLM_R_X35Y11 SLICE_X55Y11 TILESITE CLBLM_R_X35Y12 SLICE_X54Y12 TILESITE CLBLM_R_X35Y12 SLICE_X55Y12 TILESITE CLBLM_R_X35Y13 SLICE_X54Y13 TILESITE CLBLM_R_X35Y13 SLICE_X55Y13 TILESITE CLBLM_R_X35Y14 SLICE_X54Y14 TILESITE CLBLM_R_X35Y14 SLICE_X55Y14 TILESITE CLBLM_R_X35Y15 SLICE_X54Y15 TILESITE CLBLM_R_X35Y15 SLICE_X55Y15 TILESITE CLBLM_R_X35Y16 SLICE_X54Y16 TILESITE CLBLM_R_X35Y16 SLICE_X55Y16 TILESITE CLBLM_R_X35Y17 SLICE_X54Y17 TILESITE CLBLM_R_X35Y17 SLICE_X55Y17 TILESITE CLBLM_R_X35Y18 SLICE_X54Y18 TILESITE CLBLM_R_X35Y18 SLICE_X55Y18 TILESITE CLBLM_R_X35Y19 SLICE_X54Y19 TILESITE CLBLM_R_X35Y19 SLICE_X55Y19 TILESITE CLBLM_R_X35Y20 SLICE_X54Y20 TILESITE CLBLM_R_X35Y20 SLICE_X55Y20 TILESITE CLBLM_R_X35Y21 SLICE_X54Y21 TILESITE CLBLM_R_X35Y21 SLICE_X55Y21 TILESITE CLBLM_R_X35Y22 SLICE_X54Y22 TILESITE CLBLM_R_X35Y22 SLICE_X55Y22 TILESITE CLBLM_R_X35Y23 SLICE_X54Y23 TILESITE CLBLM_R_X35Y23 SLICE_X55Y23 TILESITE CLBLM_R_X35Y24 SLICE_X54Y24 TILESITE CLBLM_R_X35Y24 SLICE_X55Y24 TILESITE CLBLM_R_X35Y25 SLICE_X54Y25 TILESITE CLBLM_R_X35Y25 SLICE_X55Y25 TILESITE CLBLM_R_X35Y26 SLICE_X54Y26 TILESITE CLBLM_R_X35Y26 SLICE_X55Y26 TILESITE CLBLM_R_X35Y27 SLICE_X54Y27 TILESITE CLBLM_R_X35Y27 SLICE_X55Y27 TILESITE CLBLM_R_X35Y28 SLICE_X54Y28 TILESITE CLBLM_R_X35Y28 SLICE_X55Y28 TILESITE CLBLM_R_X35Y29 SLICE_X54Y29 TILESITE CLBLM_R_X35Y29 SLICE_X55Y29 TILESITE CLBLM_R_X35Y30 SLICE_X54Y30 TILESITE CLBLM_R_X35Y30 SLICE_X55Y30 TILESITE CLBLM_R_X35Y31 SLICE_X54Y31 TILESITE CLBLM_R_X35Y31 SLICE_X55Y31 TILESITE CLBLM_R_X35Y32 SLICE_X54Y32 TILESITE CLBLM_R_X35Y32 SLICE_X55Y32 TILESITE CLBLM_R_X35Y33 SLICE_X54Y33 TILESITE CLBLM_R_X35Y33 SLICE_X55Y33 TILESITE CLBLM_R_X35Y34 SLICE_X54Y34 TILESITE CLBLM_R_X35Y34 SLICE_X55Y34 TILESITE CLBLM_R_X35Y35 SLICE_X54Y35 TILESITE CLBLM_R_X35Y35 SLICE_X55Y35 TILESITE CLBLM_R_X35Y36 SLICE_X54Y36 TILESITE CLBLM_R_X35Y36 SLICE_X55Y36 TILESITE CLBLM_R_X35Y37 SLICE_X54Y37 TILESITE CLBLM_R_X35Y37 SLICE_X55Y37 TILESITE CLBLM_R_X35Y38 SLICE_X54Y38 TILESITE CLBLM_R_X35Y38 SLICE_X55Y38 TILESITE CLBLM_R_X35Y39 SLICE_X54Y39 TILESITE CLBLM_R_X35Y39 SLICE_X55Y39 TILESITE CLBLM_R_X35Y40 SLICE_X54Y40 TILESITE CLBLM_R_X35Y40 SLICE_X55Y40 TILESITE CLBLM_R_X35Y41 SLICE_X54Y41 TILESITE CLBLM_R_X35Y41 SLICE_X55Y41 TILESITE CLBLM_R_X35Y42 SLICE_X54Y42 TILESITE CLBLM_R_X35Y42 SLICE_X55Y42 TILESITE CLBLM_R_X35Y43 SLICE_X54Y43 TILESITE CLBLM_R_X35Y43 SLICE_X55Y43 TILESITE CLBLM_R_X35Y44 SLICE_X54Y44 TILESITE CLBLM_R_X35Y44 SLICE_X55Y44 TILESITE CLBLM_R_X35Y45 SLICE_X54Y45 TILESITE CLBLM_R_X35Y45 SLICE_X55Y45 TILESITE CLBLM_R_X35Y46 SLICE_X54Y46 TILESITE CLBLM_R_X35Y46 SLICE_X55Y46 TILESITE CLBLM_R_X35Y47 SLICE_X54Y47 TILESITE CLBLM_R_X35Y47 SLICE_X55Y47 TILESITE CLBLM_R_X35Y48 SLICE_X54Y48 TILESITE CLBLM_R_X35Y48 SLICE_X55Y48 TILESITE CLBLM_R_X35Y49 SLICE_X54Y49 TILESITE CLBLM_R_X35Y49 SLICE_X55Y49 TILESITE CLBLM_R_X35Y50 SLICE_X54Y50 TILESITE CLBLM_R_X35Y50 SLICE_X55Y50 TILESITE CLBLM_R_X35Y51 SLICE_X54Y51 TILESITE CLBLM_R_X35Y51 SLICE_X55Y51 TILESITE CLBLM_R_X35Y52 SLICE_X54Y52 TILESITE CLBLM_R_X35Y52 SLICE_X55Y52 TILESITE CLBLM_R_X35Y53 SLICE_X54Y53 TILESITE CLBLM_R_X35Y53 SLICE_X55Y53 TILESITE CLBLM_R_X35Y54 SLICE_X54Y54 TILESITE CLBLM_R_X35Y54 SLICE_X55Y54 TILESITE CLBLM_R_X35Y55 SLICE_X54Y55 TILESITE CLBLM_R_X35Y55 SLICE_X55Y55 TILESITE CLBLM_R_X35Y56 SLICE_X54Y56 TILESITE CLBLM_R_X35Y56 SLICE_X55Y56 TILESITE CLBLM_R_X35Y57 SLICE_X54Y57 TILESITE CLBLM_R_X35Y57 SLICE_X55Y57 TILESITE CLBLM_R_X35Y58 SLICE_X54Y58 TILESITE CLBLM_R_X35Y58 SLICE_X55Y58 TILESITE CLBLM_R_X35Y59 SLICE_X54Y59 TILESITE CLBLM_R_X35Y59 SLICE_X55Y59 TILESITE CLBLM_R_X35Y60 SLICE_X54Y60 TILESITE CLBLM_R_X35Y60 SLICE_X55Y60 TILESITE CLBLM_R_X35Y61 SLICE_X54Y61 TILESITE CLBLM_R_X35Y61 SLICE_X55Y61 TILESITE CLBLM_R_X35Y62 SLICE_X54Y62 TILESITE CLBLM_R_X35Y62 SLICE_X55Y62 TILESITE CLBLM_R_X35Y63 SLICE_X54Y63 TILESITE CLBLM_R_X35Y63 SLICE_X55Y63 TILESITE CLBLM_R_X35Y64 SLICE_X54Y64 TILESITE CLBLM_R_X35Y64 SLICE_X55Y64 TILESITE CLBLM_R_X35Y65 SLICE_X54Y65 TILESITE CLBLM_R_X35Y65 SLICE_X55Y65 TILESITE CLBLM_R_X35Y66 SLICE_X54Y66 TILESITE CLBLM_R_X35Y66 SLICE_X55Y66 TILESITE CLBLM_R_X35Y67 SLICE_X54Y67 TILESITE CLBLM_R_X35Y67 SLICE_X55Y67 TILESITE CLBLM_R_X35Y68 SLICE_X54Y68 TILESITE CLBLM_R_X35Y68 SLICE_X55Y68 TILESITE CLBLM_R_X35Y69 SLICE_X54Y69 TILESITE CLBLM_R_X35Y69 SLICE_X55Y69 TILESITE CLBLM_R_X35Y70 SLICE_X54Y70 TILESITE CLBLM_R_X35Y70 SLICE_X55Y70 TILESITE CLBLM_R_X35Y71 SLICE_X54Y71 TILESITE CLBLM_R_X35Y71 SLICE_X55Y71 TILESITE CLBLM_R_X35Y72 SLICE_X54Y72 TILESITE CLBLM_R_X35Y72 SLICE_X55Y72 TILESITE CLBLM_R_X35Y73 SLICE_X54Y73 TILESITE CLBLM_R_X35Y73 SLICE_X55Y73 TILESITE CLBLM_R_X35Y74 SLICE_X54Y74 TILESITE CLBLM_R_X35Y74 SLICE_X55Y74 TILESITE CLBLM_R_X35Y75 SLICE_X54Y75 TILESITE CLBLM_R_X35Y75 SLICE_X55Y75 TILESITE CLBLM_R_X35Y76 SLICE_X54Y76 TILESITE CLBLM_R_X35Y76 SLICE_X55Y76 TILESITE CLBLM_R_X35Y77 SLICE_X54Y77 TILESITE CLBLM_R_X35Y77 SLICE_X55Y77 TILESITE CLBLM_R_X35Y78 SLICE_X54Y78 TILESITE CLBLM_R_X35Y78 SLICE_X55Y78 TILESITE CLBLM_R_X35Y79 SLICE_X54Y79 TILESITE CLBLM_R_X35Y79 SLICE_X55Y79 TILESITE CLBLM_R_X35Y80 SLICE_X54Y80 TILESITE CLBLM_R_X35Y80 SLICE_X55Y80 TILESITE CLBLM_R_X35Y81 SLICE_X54Y81 TILESITE CLBLM_R_X35Y81 SLICE_X55Y81 TILESITE CLBLM_R_X35Y82 SLICE_X54Y82 TILESITE CLBLM_R_X35Y82 SLICE_X55Y82 TILESITE CLBLM_R_X35Y83 SLICE_X54Y83 TILESITE CLBLM_R_X35Y83 SLICE_X55Y83 TILESITE CLBLM_R_X35Y84 SLICE_X54Y84 TILESITE CLBLM_R_X35Y84 SLICE_X55Y84 TILESITE CLBLM_R_X35Y85 SLICE_X54Y85 TILESITE CLBLM_R_X35Y85 SLICE_X55Y85 TILESITE CLBLM_R_X35Y86 SLICE_X54Y86 TILESITE CLBLM_R_X35Y86 SLICE_X55Y86 TILESITE CLBLM_R_X35Y87 SLICE_X54Y87 TILESITE CLBLM_R_X35Y87 SLICE_X55Y87 TILESITE CLBLM_R_X35Y88 SLICE_X54Y88 TILESITE CLBLM_R_X35Y88 SLICE_X55Y88 TILESITE CLBLM_R_X35Y89 SLICE_X54Y89 TILESITE CLBLM_R_X35Y89 SLICE_X55Y89 TILESITE CLBLM_R_X35Y90 SLICE_X54Y90 TILESITE CLBLM_R_X35Y90 SLICE_X55Y90 TILESITE CLBLM_R_X35Y91 SLICE_X54Y91 TILESITE CLBLM_R_X35Y91 SLICE_X55Y91 TILESITE CLBLM_R_X35Y92 SLICE_X54Y92 TILESITE CLBLM_R_X35Y92 SLICE_X55Y92 TILESITE CLBLM_R_X35Y93 SLICE_X54Y93 TILESITE CLBLM_R_X35Y93 SLICE_X55Y93 TILESITE CLBLM_R_X35Y94 SLICE_X54Y94 TILESITE CLBLM_R_X35Y94 SLICE_X55Y94 TILESITE CLBLM_R_X35Y95 SLICE_X54Y95 TILESITE CLBLM_R_X35Y95 SLICE_X55Y95 TILESITE CLBLM_R_X35Y96 SLICE_X54Y96 TILESITE CLBLM_R_X35Y96 SLICE_X55Y96 TILESITE CLBLM_R_X35Y97 SLICE_X54Y97 TILESITE CLBLM_R_X35Y97 SLICE_X55Y97 TILESITE CLBLM_R_X35Y98 SLICE_X54Y98 TILESITE CLBLM_R_X35Y98 SLICE_X55Y98 TILESITE CLBLM_R_X35Y99 SLICE_X54Y99 TILESITE CLBLM_R_X35Y99 SLICE_X55Y99 TILESITE CLBLM_R_X35Y100 SLICE_X54Y100 TILESITE CLBLM_R_X35Y100 SLICE_X55Y100 TILESITE CLBLM_R_X35Y101 SLICE_X54Y101 TILESITE CLBLM_R_X35Y101 SLICE_X55Y101 TILESITE CLBLM_R_X35Y102 SLICE_X54Y102 TILESITE CLBLM_R_X35Y102 SLICE_X55Y102 TILESITE CLBLM_R_X35Y103 SLICE_X54Y103 TILESITE CLBLM_R_X35Y103 SLICE_X55Y103 TILESITE CLBLM_R_X35Y104 SLICE_X54Y104 TILESITE CLBLM_R_X35Y104 SLICE_X55Y104 TILESITE CLBLM_R_X35Y105 SLICE_X54Y105 TILESITE CLBLM_R_X35Y105 SLICE_X55Y105 TILESITE CLBLM_R_X35Y106 SLICE_X54Y106 TILESITE CLBLM_R_X35Y106 SLICE_X55Y106 TILESITE CLBLM_R_X35Y107 SLICE_X54Y107 TILESITE CLBLM_R_X35Y107 SLICE_X55Y107 TILESITE CLBLM_R_X35Y108 SLICE_X54Y108 TILESITE CLBLM_R_X35Y108 SLICE_X55Y108 TILESITE CLBLM_R_X35Y109 SLICE_X54Y109 TILESITE CLBLM_R_X35Y109 SLICE_X55Y109 TILESITE CLBLM_R_X35Y110 SLICE_X54Y110 TILESITE CLBLM_R_X35Y110 SLICE_X55Y110 TILESITE CLBLM_R_X35Y111 SLICE_X54Y111 TILESITE CLBLM_R_X35Y111 SLICE_X55Y111 TILESITE CLBLM_R_X35Y112 SLICE_X54Y112 TILESITE CLBLM_R_X35Y112 SLICE_X55Y112 TILESITE CLBLM_R_X35Y113 SLICE_X54Y113 TILESITE CLBLM_R_X35Y113 SLICE_X55Y113 TILESITE CLBLM_R_X35Y114 SLICE_X54Y114 TILESITE CLBLM_R_X35Y114 SLICE_X55Y114 TILESITE CLBLM_R_X35Y115 SLICE_X54Y115 TILESITE CLBLM_R_X35Y115 SLICE_X55Y115 TILESITE CLBLM_R_X35Y116 SLICE_X54Y116 TILESITE CLBLM_R_X35Y116 SLICE_X55Y116 TILESITE CLBLM_R_X35Y117 SLICE_X54Y117 TILESITE CLBLM_R_X35Y117 SLICE_X55Y117 TILESITE CLBLM_R_X35Y118 SLICE_X54Y118 TILESITE CLBLM_R_X35Y118 SLICE_X55Y118 TILESITE CLBLM_R_X35Y119 SLICE_X54Y119 TILESITE CLBLM_R_X35Y119 SLICE_X55Y119 TILESITE CLBLM_R_X35Y120 SLICE_X54Y120 TILESITE CLBLM_R_X35Y120 SLICE_X55Y120 TILESITE CLBLM_R_X35Y121 SLICE_X54Y121 TILESITE CLBLM_R_X35Y121 SLICE_X55Y121 TILESITE CLBLM_R_X35Y122 SLICE_X54Y122 TILESITE CLBLM_R_X35Y122 SLICE_X55Y122 TILESITE CLBLM_R_X35Y123 SLICE_X54Y123 TILESITE CLBLM_R_X35Y123 SLICE_X55Y123 TILESITE CLBLM_R_X35Y124 SLICE_X54Y124 TILESITE CLBLM_R_X35Y124 SLICE_X55Y124 TILESITE CLBLM_R_X35Y125 SLICE_X54Y125 TILESITE CLBLM_R_X35Y125 SLICE_X55Y125 TILESITE CLBLM_R_X35Y126 SLICE_X54Y126 TILESITE CLBLM_R_X35Y126 SLICE_X55Y126 TILESITE CLBLM_R_X35Y127 SLICE_X54Y127 TILESITE CLBLM_R_X35Y127 SLICE_X55Y127 TILESITE CLBLM_R_X35Y128 SLICE_X54Y128 TILESITE CLBLM_R_X35Y128 SLICE_X55Y128 TILESITE CLBLM_R_X35Y129 SLICE_X54Y129 TILESITE CLBLM_R_X35Y129 SLICE_X55Y129 TILESITE CLBLM_R_X35Y130 SLICE_X54Y130 TILESITE CLBLM_R_X35Y130 SLICE_X55Y130 TILESITE CLBLM_R_X35Y131 SLICE_X54Y131 TILESITE CLBLM_R_X35Y131 SLICE_X55Y131 TILESITE CLBLM_R_X35Y132 SLICE_X54Y132 TILESITE CLBLM_R_X35Y132 SLICE_X55Y132 TILESITE CLBLM_R_X35Y133 SLICE_X54Y133 TILESITE CLBLM_R_X35Y133 SLICE_X55Y133 TILESITE CLBLM_R_X35Y134 SLICE_X54Y134 TILESITE CLBLM_R_X35Y134 SLICE_X55Y134 TILESITE CLBLM_R_X35Y135 SLICE_X54Y135 TILESITE CLBLM_R_X35Y135 SLICE_X55Y135 TILESITE CLBLM_R_X35Y136 SLICE_X54Y136 TILESITE CLBLM_R_X35Y136 SLICE_X55Y136 TILESITE CLBLM_R_X35Y137 SLICE_X54Y137 TILESITE CLBLM_R_X35Y137 SLICE_X55Y137 TILESITE CLBLM_R_X35Y138 SLICE_X54Y138 TILESITE CLBLM_R_X35Y138 SLICE_X55Y138 TILESITE CLBLM_R_X35Y139 SLICE_X54Y139 TILESITE CLBLM_R_X35Y139 SLICE_X55Y139 TILESITE CLBLM_R_X35Y140 SLICE_X54Y140 TILESITE CLBLM_R_X35Y140 SLICE_X55Y140 TILESITE CLBLM_R_X35Y141 SLICE_X54Y141 TILESITE CLBLM_R_X35Y141 SLICE_X55Y141 TILESITE CLBLM_R_X35Y142 SLICE_X54Y142 TILESITE CLBLM_R_X35Y142 SLICE_X55Y142 TILESITE CLBLM_R_X35Y143 SLICE_X54Y143 TILESITE CLBLM_R_X35Y143 SLICE_X55Y143 TILESITE CLBLM_R_X35Y144 SLICE_X54Y144 TILESITE CLBLM_R_X35Y144 SLICE_X55Y144 TILESITE CLBLM_R_X35Y145 SLICE_X54Y145 TILESITE CLBLM_R_X35Y145 SLICE_X55Y145 TILESITE CLBLM_R_X35Y146 SLICE_X54Y146 TILESITE CLBLM_R_X35Y146 SLICE_X55Y146 TILESITE CLBLM_R_X35Y147 SLICE_X54Y147 TILESITE CLBLM_R_X35Y147 SLICE_X55Y147 TILESITE CLBLM_R_X35Y148 SLICE_X54Y148 TILESITE CLBLM_R_X35Y148 SLICE_X55Y148 TILESITE CLBLM_R_X35Y149 SLICE_X54Y149 TILESITE CLBLM_R_X35Y149 SLICE_X55Y149 TILESITE CLBLM_R_X39Y0 SLICE_X60Y0 TILESITE CLBLM_R_X39Y0 SLICE_X61Y0 TILESITE CLBLM_R_X39Y1 SLICE_X60Y1 TILESITE CLBLM_R_X39Y1 SLICE_X61Y1 TILESITE CLBLM_R_X39Y2 SLICE_X60Y2 TILESITE CLBLM_R_X39Y2 SLICE_X61Y2 TILESITE CLBLM_R_X39Y3 SLICE_X60Y3 TILESITE CLBLM_R_X39Y3 SLICE_X61Y3 TILESITE CLBLM_R_X39Y4 SLICE_X60Y4 TILESITE CLBLM_R_X39Y4 SLICE_X61Y4 TILESITE CLBLM_R_X39Y5 SLICE_X60Y5 TILESITE CLBLM_R_X39Y5 SLICE_X61Y5 TILESITE CLBLM_R_X39Y6 SLICE_X60Y6 TILESITE CLBLM_R_X39Y6 SLICE_X61Y6 TILESITE CLBLM_R_X39Y7 SLICE_X60Y7 TILESITE CLBLM_R_X39Y7 SLICE_X61Y7 TILESITE CLBLM_R_X39Y8 SLICE_X60Y8 TILESITE CLBLM_R_X39Y8 SLICE_X61Y8 TILESITE CLBLM_R_X39Y9 SLICE_X60Y9 TILESITE CLBLM_R_X39Y9 SLICE_X61Y9 TILESITE CLBLM_R_X39Y10 SLICE_X60Y10 TILESITE CLBLM_R_X39Y10 SLICE_X61Y10 TILESITE CLBLM_R_X39Y11 SLICE_X60Y11 TILESITE CLBLM_R_X39Y11 SLICE_X61Y11 TILESITE CLBLM_R_X39Y12 SLICE_X60Y12 TILESITE CLBLM_R_X39Y12 SLICE_X61Y12 TILESITE CLBLM_R_X39Y13 SLICE_X60Y13 TILESITE CLBLM_R_X39Y13 SLICE_X61Y13 TILESITE CLBLM_R_X39Y14 SLICE_X60Y14 TILESITE CLBLM_R_X39Y14 SLICE_X61Y14 TILESITE CLBLM_R_X39Y15 SLICE_X60Y15 TILESITE CLBLM_R_X39Y15 SLICE_X61Y15 TILESITE CLBLM_R_X39Y16 SLICE_X60Y16 TILESITE CLBLM_R_X39Y16 SLICE_X61Y16 TILESITE CLBLM_R_X39Y17 SLICE_X60Y17 TILESITE CLBLM_R_X39Y17 SLICE_X61Y17 TILESITE CLBLM_R_X39Y18 SLICE_X60Y18 TILESITE CLBLM_R_X39Y18 SLICE_X61Y18 TILESITE CLBLM_R_X39Y19 SLICE_X60Y19 TILESITE CLBLM_R_X39Y19 SLICE_X61Y19 TILESITE CLBLM_R_X39Y20 SLICE_X60Y20 TILESITE CLBLM_R_X39Y20 SLICE_X61Y20 TILESITE CLBLM_R_X39Y21 SLICE_X60Y21 TILESITE CLBLM_R_X39Y21 SLICE_X61Y21 TILESITE CLBLM_R_X39Y22 SLICE_X60Y22 TILESITE CLBLM_R_X39Y22 SLICE_X61Y22 TILESITE CLBLM_R_X39Y23 SLICE_X60Y23 TILESITE CLBLM_R_X39Y23 SLICE_X61Y23 TILESITE CLBLM_R_X39Y24 SLICE_X60Y24 TILESITE CLBLM_R_X39Y24 SLICE_X61Y24 TILESITE CLBLM_R_X39Y25 SLICE_X60Y25 TILESITE CLBLM_R_X39Y25 SLICE_X61Y25 TILESITE CLBLM_R_X39Y26 SLICE_X60Y26 TILESITE CLBLM_R_X39Y26 SLICE_X61Y26 TILESITE CLBLM_R_X39Y27 SLICE_X60Y27 TILESITE CLBLM_R_X39Y27 SLICE_X61Y27 TILESITE CLBLM_R_X39Y28 SLICE_X60Y28 TILESITE CLBLM_R_X39Y28 SLICE_X61Y28 TILESITE CLBLM_R_X39Y29 SLICE_X60Y29 TILESITE CLBLM_R_X39Y29 SLICE_X61Y29 TILESITE CLBLM_R_X39Y30 SLICE_X60Y30 TILESITE CLBLM_R_X39Y30 SLICE_X61Y30 TILESITE CLBLM_R_X39Y31 SLICE_X60Y31 TILESITE CLBLM_R_X39Y31 SLICE_X61Y31 TILESITE CLBLM_R_X39Y32 SLICE_X60Y32 TILESITE CLBLM_R_X39Y32 SLICE_X61Y32 TILESITE CLBLM_R_X39Y33 SLICE_X60Y33 TILESITE CLBLM_R_X39Y33 SLICE_X61Y33 TILESITE CLBLM_R_X39Y34 SLICE_X60Y34 TILESITE CLBLM_R_X39Y34 SLICE_X61Y34 TILESITE CLBLM_R_X39Y35 SLICE_X60Y35 TILESITE CLBLM_R_X39Y35 SLICE_X61Y35 TILESITE CLBLM_R_X39Y36 SLICE_X60Y36 TILESITE CLBLM_R_X39Y36 SLICE_X61Y36 TILESITE CLBLM_R_X39Y37 SLICE_X60Y37 TILESITE CLBLM_R_X39Y37 SLICE_X61Y37 TILESITE CLBLM_R_X39Y38 SLICE_X60Y38 TILESITE CLBLM_R_X39Y38 SLICE_X61Y38 TILESITE CLBLM_R_X39Y39 SLICE_X60Y39 TILESITE CLBLM_R_X39Y39 SLICE_X61Y39 TILESITE CLBLM_R_X39Y40 SLICE_X60Y40 TILESITE CLBLM_R_X39Y40 SLICE_X61Y40 TILESITE CLBLM_R_X39Y41 SLICE_X60Y41 TILESITE CLBLM_R_X39Y41 SLICE_X61Y41 TILESITE CLBLM_R_X39Y42 SLICE_X60Y42 TILESITE CLBLM_R_X39Y42 SLICE_X61Y42 TILESITE CLBLM_R_X39Y43 SLICE_X60Y43 TILESITE CLBLM_R_X39Y43 SLICE_X61Y43 TILESITE CLBLM_R_X39Y44 SLICE_X60Y44 TILESITE CLBLM_R_X39Y44 SLICE_X61Y44 TILESITE CLBLM_R_X39Y45 SLICE_X60Y45 TILESITE CLBLM_R_X39Y45 SLICE_X61Y45 TILESITE CLBLM_R_X39Y46 SLICE_X60Y46 TILESITE CLBLM_R_X39Y46 SLICE_X61Y46 TILESITE CLBLM_R_X39Y47 SLICE_X60Y47 TILESITE CLBLM_R_X39Y47 SLICE_X61Y47 TILESITE CLBLM_R_X39Y48 SLICE_X60Y48 TILESITE CLBLM_R_X39Y48 SLICE_X61Y48 TILESITE CLBLM_R_X39Y49 SLICE_X60Y49 TILESITE CLBLM_R_X39Y49 SLICE_X61Y49 TILESITE CLBLM_R_X39Y50 SLICE_X60Y50 TILESITE CLBLM_R_X39Y50 SLICE_X61Y50 TILESITE CLBLM_R_X39Y51 SLICE_X60Y51 TILESITE CLBLM_R_X39Y51 SLICE_X61Y51 TILESITE CLBLM_R_X39Y52 SLICE_X60Y52 TILESITE CLBLM_R_X39Y52 SLICE_X61Y52 TILESITE CLBLM_R_X39Y53 SLICE_X60Y53 TILESITE CLBLM_R_X39Y53 SLICE_X61Y53 TILESITE CLBLM_R_X39Y54 SLICE_X60Y54 TILESITE CLBLM_R_X39Y54 SLICE_X61Y54 TILESITE CLBLM_R_X39Y55 SLICE_X60Y55 TILESITE CLBLM_R_X39Y55 SLICE_X61Y55 TILESITE CLBLM_R_X39Y56 SLICE_X60Y56 TILESITE CLBLM_R_X39Y56 SLICE_X61Y56 TILESITE CLBLM_R_X39Y57 SLICE_X60Y57 TILESITE CLBLM_R_X39Y57 SLICE_X61Y57 TILESITE CLBLM_R_X39Y58 SLICE_X60Y58 TILESITE CLBLM_R_X39Y58 SLICE_X61Y58 TILESITE CLBLM_R_X39Y59 SLICE_X60Y59 TILESITE CLBLM_R_X39Y59 SLICE_X61Y59 TILESITE CLBLM_R_X39Y60 SLICE_X60Y60 TILESITE CLBLM_R_X39Y60 SLICE_X61Y60 TILESITE CLBLM_R_X39Y61 SLICE_X60Y61 TILESITE CLBLM_R_X39Y61 SLICE_X61Y61 TILESITE CLBLM_R_X39Y62 SLICE_X60Y62 TILESITE CLBLM_R_X39Y62 SLICE_X61Y62 TILESITE CLBLM_R_X39Y63 SLICE_X60Y63 TILESITE CLBLM_R_X39Y63 SLICE_X61Y63 TILESITE CLBLM_R_X39Y64 SLICE_X60Y64 TILESITE CLBLM_R_X39Y64 SLICE_X61Y64 TILESITE CLBLM_R_X39Y65 SLICE_X60Y65 TILESITE CLBLM_R_X39Y65 SLICE_X61Y65 TILESITE CLBLM_R_X39Y66 SLICE_X60Y66 TILESITE CLBLM_R_X39Y66 SLICE_X61Y66 TILESITE CLBLM_R_X39Y67 SLICE_X60Y67 TILESITE CLBLM_R_X39Y67 SLICE_X61Y67 TILESITE CLBLM_R_X39Y68 SLICE_X60Y68 TILESITE CLBLM_R_X39Y68 SLICE_X61Y68 TILESITE CLBLM_R_X39Y69 SLICE_X60Y69 TILESITE CLBLM_R_X39Y69 SLICE_X61Y69 TILESITE CLBLM_R_X39Y70 SLICE_X60Y70 TILESITE CLBLM_R_X39Y70 SLICE_X61Y70 TILESITE CLBLM_R_X39Y71 SLICE_X60Y71 TILESITE CLBLM_R_X39Y71 SLICE_X61Y71 TILESITE CLBLM_R_X39Y72 SLICE_X60Y72 TILESITE CLBLM_R_X39Y72 SLICE_X61Y72 TILESITE CLBLM_R_X39Y73 SLICE_X60Y73 TILESITE CLBLM_R_X39Y73 SLICE_X61Y73 TILESITE CLBLM_R_X39Y74 SLICE_X60Y74 TILESITE CLBLM_R_X39Y74 SLICE_X61Y74 TILESITE CLBLM_R_X39Y75 SLICE_X60Y75 TILESITE CLBLM_R_X39Y75 SLICE_X61Y75 TILESITE CLBLM_R_X39Y76 SLICE_X60Y76 TILESITE CLBLM_R_X39Y76 SLICE_X61Y76 TILESITE CLBLM_R_X39Y77 SLICE_X60Y77 TILESITE CLBLM_R_X39Y77 SLICE_X61Y77 TILESITE CLBLM_R_X39Y78 SLICE_X60Y78 TILESITE CLBLM_R_X39Y78 SLICE_X61Y78 TILESITE CLBLM_R_X39Y79 SLICE_X60Y79 TILESITE CLBLM_R_X39Y79 SLICE_X61Y79 TILESITE CLBLM_R_X39Y80 SLICE_X60Y80 TILESITE CLBLM_R_X39Y80 SLICE_X61Y80 TILESITE CLBLM_R_X39Y81 SLICE_X60Y81 TILESITE CLBLM_R_X39Y81 SLICE_X61Y81 TILESITE CLBLM_R_X39Y82 SLICE_X60Y82 TILESITE CLBLM_R_X39Y82 SLICE_X61Y82 TILESITE CLBLM_R_X39Y83 SLICE_X60Y83 TILESITE CLBLM_R_X39Y83 SLICE_X61Y83 TILESITE CLBLM_R_X39Y84 SLICE_X60Y84 TILESITE CLBLM_R_X39Y84 SLICE_X61Y84 TILESITE CLBLM_R_X39Y85 SLICE_X60Y85 TILESITE CLBLM_R_X39Y85 SLICE_X61Y85 TILESITE CLBLM_R_X39Y86 SLICE_X60Y86 TILESITE CLBLM_R_X39Y86 SLICE_X61Y86 TILESITE CLBLM_R_X39Y87 SLICE_X60Y87 TILESITE CLBLM_R_X39Y87 SLICE_X61Y87 TILESITE CLBLM_R_X39Y88 SLICE_X60Y88 TILESITE CLBLM_R_X39Y88 SLICE_X61Y88 TILESITE CLBLM_R_X39Y89 SLICE_X60Y89 TILESITE CLBLM_R_X39Y89 SLICE_X61Y89 TILESITE CLBLM_R_X39Y90 SLICE_X60Y90 TILESITE CLBLM_R_X39Y90 SLICE_X61Y90 TILESITE CLBLM_R_X39Y91 SLICE_X60Y91 TILESITE CLBLM_R_X39Y91 SLICE_X61Y91 TILESITE CLBLM_R_X39Y92 SLICE_X60Y92 TILESITE CLBLM_R_X39Y92 SLICE_X61Y92 TILESITE CLBLM_R_X39Y93 SLICE_X60Y93 TILESITE CLBLM_R_X39Y93 SLICE_X61Y93 TILESITE CLBLM_R_X39Y94 SLICE_X60Y94 TILESITE CLBLM_R_X39Y94 SLICE_X61Y94 TILESITE CLBLM_R_X39Y95 SLICE_X60Y95 TILESITE CLBLM_R_X39Y95 SLICE_X61Y95 TILESITE CLBLM_R_X39Y96 SLICE_X60Y96 TILESITE CLBLM_R_X39Y96 SLICE_X61Y96 TILESITE CLBLM_R_X39Y97 SLICE_X60Y97 TILESITE CLBLM_R_X39Y97 SLICE_X61Y97 TILESITE CLBLM_R_X39Y98 SLICE_X60Y98 TILESITE CLBLM_R_X39Y98 SLICE_X61Y98 TILESITE CLBLM_R_X39Y99 SLICE_X60Y99 TILESITE CLBLM_R_X39Y99 SLICE_X61Y99 TILESITE CLBLM_R_X3Y0 SLICE_X2Y0 TILESITE CLBLM_R_X3Y0 SLICE_X3Y0 TILESITE CLBLM_R_X3Y1 SLICE_X2Y1 TILESITE CLBLM_R_X3Y1 SLICE_X3Y1 TILESITE CLBLM_R_X3Y2 SLICE_X2Y2 TILESITE CLBLM_R_X3Y2 SLICE_X3Y2 TILESITE CLBLM_R_X3Y3 SLICE_X2Y3 TILESITE CLBLM_R_X3Y3 SLICE_X3Y3 TILESITE CLBLM_R_X3Y4 SLICE_X2Y4 TILESITE CLBLM_R_X3Y4 SLICE_X3Y4 TILESITE CLBLM_R_X3Y5 SLICE_X2Y5 TILESITE CLBLM_R_X3Y5 SLICE_X3Y5 TILESITE CLBLM_R_X3Y6 SLICE_X2Y6 TILESITE CLBLM_R_X3Y6 SLICE_X3Y6 TILESITE CLBLM_R_X3Y7 SLICE_X2Y7 TILESITE CLBLM_R_X3Y7 SLICE_X3Y7 TILESITE CLBLM_R_X3Y8 SLICE_X2Y8 TILESITE CLBLM_R_X3Y8 SLICE_X3Y8 TILESITE CLBLM_R_X3Y9 SLICE_X2Y9 TILESITE CLBLM_R_X3Y9 SLICE_X3Y9 TILESITE CLBLM_R_X3Y10 SLICE_X2Y10 TILESITE CLBLM_R_X3Y10 SLICE_X3Y10 TILESITE CLBLM_R_X3Y11 SLICE_X2Y11 TILESITE CLBLM_R_X3Y11 SLICE_X3Y11 TILESITE CLBLM_R_X3Y12 SLICE_X2Y12 TILESITE CLBLM_R_X3Y12 SLICE_X3Y12 TILESITE CLBLM_R_X3Y13 SLICE_X2Y13 TILESITE CLBLM_R_X3Y13 SLICE_X3Y13 TILESITE CLBLM_R_X3Y14 SLICE_X2Y14 TILESITE CLBLM_R_X3Y14 SLICE_X3Y14 TILESITE CLBLM_R_X3Y15 SLICE_X2Y15 TILESITE CLBLM_R_X3Y15 SLICE_X3Y15 TILESITE CLBLM_R_X3Y16 SLICE_X2Y16 TILESITE CLBLM_R_X3Y16 SLICE_X3Y16 TILESITE CLBLM_R_X3Y17 SLICE_X2Y17 TILESITE CLBLM_R_X3Y17 SLICE_X3Y17 TILESITE CLBLM_R_X3Y18 SLICE_X2Y18 TILESITE CLBLM_R_X3Y18 SLICE_X3Y18 TILESITE CLBLM_R_X3Y19 SLICE_X2Y19 TILESITE CLBLM_R_X3Y19 SLICE_X3Y19 TILESITE CLBLM_R_X3Y20 SLICE_X2Y20 TILESITE CLBLM_R_X3Y20 SLICE_X3Y20 TILESITE CLBLM_R_X3Y21 SLICE_X2Y21 TILESITE CLBLM_R_X3Y21 SLICE_X3Y21 TILESITE CLBLM_R_X3Y22 SLICE_X2Y22 TILESITE CLBLM_R_X3Y22 SLICE_X3Y22 TILESITE CLBLM_R_X3Y23 SLICE_X2Y23 TILESITE CLBLM_R_X3Y23 SLICE_X3Y23 TILESITE CLBLM_R_X3Y24 SLICE_X2Y24 TILESITE CLBLM_R_X3Y24 SLICE_X3Y24 TILESITE CLBLM_R_X3Y25 SLICE_X2Y25 TILESITE CLBLM_R_X3Y25 SLICE_X3Y25 TILESITE CLBLM_R_X3Y26 SLICE_X2Y26 TILESITE CLBLM_R_X3Y26 SLICE_X3Y26 TILESITE CLBLM_R_X3Y27 SLICE_X2Y27 TILESITE CLBLM_R_X3Y27 SLICE_X3Y27 TILESITE CLBLM_R_X3Y28 SLICE_X2Y28 TILESITE CLBLM_R_X3Y28 SLICE_X3Y28 TILESITE CLBLM_R_X3Y29 SLICE_X2Y29 TILESITE CLBLM_R_X3Y29 SLICE_X3Y29 TILESITE CLBLM_R_X3Y30 SLICE_X2Y30 TILESITE CLBLM_R_X3Y30 SLICE_X3Y30 TILESITE CLBLM_R_X3Y31 SLICE_X2Y31 TILESITE CLBLM_R_X3Y31 SLICE_X3Y31 TILESITE CLBLM_R_X3Y32 SLICE_X2Y32 TILESITE CLBLM_R_X3Y32 SLICE_X3Y32 TILESITE CLBLM_R_X3Y33 SLICE_X2Y33 TILESITE CLBLM_R_X3Y33 SLICE_X3Y33 TILESITE CLBLM_R_X3Y34 SLICE_X2Y34 TILESITE CLBLM_R_X3Y34 SLICE_X3Y34 TILESITE CLBLM_R_X3Y35 SLICE_X2Y35 TILESITE CLBLM_R_X3Y35 SLICE_X3Y35 TILESITE CLBLM_R_X3Y36 SLICE_X2Y36 TILESITE CLBLM_R_X3Y36 SLICE_X3Y36 TILESITE CLBLM_R_X3Y37 SLICE_X2Y37 TILESITE CLBLM_R_X3Y37 SLICE_X3Y37 TILESITE CLBLM_R_X3Y38 SLICE_X2Y38 TILESITE CLBLM_R_X3Y38 SLICE_X3Y38 TILESITE CLBLM_R_X3Y39 SLICE_X2Y39 TILESITE CLBLM_R_X3Y39 SLICE_X3Y39 TILESITE CLBLM_R_X3Y40 SLICE_X2Y40 TILESITE CLBLM_R_X3Y40 SLICE_X3Y40 TILESITE CLBLM_R_X3Y41 SLICE_X2Y41 TILESITE CLBLM_R_X3Y41 SLICE_X3Y41 TILESITE CLBLM_R_X3Y42 SLICE_X2Y42 TILESITE CLBLM_R_X3Y42 SLICE_X3Y42 TILESITE CLBLM_R_X3Y43 SLICE_X2Y43 TILESITE CLBLM_R_X3Y43 SLICE_X3Y43 TILESITE CLBLM_R_X3Y44 SLICE_X2Y44 TILESITE CLBLM_R_X3Y44 SLICE_X3Y44 TILESITE CLBLM_R_X3Y45 SLICE_X2Y45 TILESITE CLBLM_R_X3Y45 SLICE_X3Y45 TILESITE CLBLM_R_X3Y46 SLICE_X2Y46 TILESITE CLBLM_R_X3Y46 SLICE_X3Y46 TILESITE CLBLM_R_X3Y47 SLICE_X2Y47 TILESITE CLBLM_R_X3Y47 SLICE_X3Y47 TILESITE CLBLM_R_X3Y48 SLICE_X2Y48 TILESITE CLBLM_R_X3Y48 SLICE_X3Y48 TILESITE CLBLM_R_X3Y49 SLICE_X2Y49 TILESITE CLBLM_R_X3Y49 SLICE_X3Y49 TILESITE CLBLM_R_X3Y50 SLICE_X2Y50 TILESITE CLBLM_R_X3Y50 SLICE_X3Y50 TILESITE CLBLM_R_X3Y51 SLICE_X2Y51 TILESITE CLBLM_R_X3Y51 SLICE_X3Y51 TILESITE CLBLM_R_X3Y52 SLICE_X2Y52 TILESITE CLBLM_R_X3Y52 SLICE_X3Y52 TILESITE CLBLM_R_X3Y53 SLICE_X2Y53 TILESITE CLBLM_R_X3Y53 SLICE_X3Y53 TILESITE CLBLM_R_X3Y54 SLICE_X2Y54 TILESITE CLBLM_R_X3Y54 SLICE_X3Y54 TILESITE CLBLM_R_X3Y55 SLICE_X2Y55 TILESITE CLBLM_R_X3Y55 SLICE_X3Y55 TILESITE CLBLM_R_X3Y56 SLICE_X2Y56 TILESITE CLBLM_R_X3Y56 SLICE_X3Y56 TILESITE CLBLM_R_X3Y57 SLICE_X2Y57 TILESITE CLBLM_R_X3Y57 SLICE_X3Y57 TILESITE CLBLM_R_X3Y58 SLICE_X2Y58 TILESITE CLBLM_R_X3Y58 SLICE_X3Y58 TILESITE CLBLM_R_X3Y59 SLICE_X2Y59 TILESITE CLBLM_R_X3Y59 SLICE_X3Y59 TILESITE CLBLM_R_X3Y60 SLICE_X2Y60 TILESITE CLBLM_R_X3Y60 SLICE_X3Y60 TILESITE CLBLM_R_X3Y61 SLICE_X2Y61 TILESITE CLBLM_R_X3Y61 SLICE_X3Y61 TILESITE CLBLM_R_X3Y62 SLICE_X2Y62 TILESITE CLBLM_R_X3Y62 SLICE_X3Y62 TILESITE CLBLM_R_X3Y63 SLICE_X2Y63 TILESITE CLBLM_R_X3Y63 SLICE_X3Y63 TILESITE CLBLM_R_X3Y64 SLICE_X2Y64 TILESITE CLBLM_R_X3Y64 SLICE_X3Y64 TILESITE CLBLM_R_X3Y65 SLICE_X2Y65 TILESITE CLBLM_R_X3Y65 SLICE_X3Y65 TILESITE CLBLM_R_X3Y66 SLICE_X2Y66 TILESITE CLBLM_R_X3Y66 SLICE_X3Y66 TILESITE CLBLM_R_X3Y67 SLICE_X2Y67 TILESITE CLBLM_R_X3Y67 SLICE_X3Y67 TILESITE CLBLM_R_X3Y68 SLICE_X2Y68 TILESITE CLBLM_R_X3Y68 SLICE_X3Y68 TILESITE CLBLM_R_X3Y69 SLICE_X2Y69 TILESITE CLBLM_R_X3Y69 SLICE_X3Y69 TILESITE CLBLM_R_X3Y70 SLICE_X2Y70 TILESITE CLBLM_R_X3Y70 SLICE_X3Y70 TILESITE CLBLM_R_X3Y71 SLICE_X2Y71 TILESITE CLBLM_R_X3Y71 SLICE_X3Y71 TILESITE CLBLM_R_X3Y72 SLICE_X2Y72 TILESITE CLBLM_R_X3Y72 SLICE_X3Y72 TILESITE CLBLM_R_X3Y73 SLICE_X2Y73 TILESITE CLBLM_R_X3Y73 SLICE_X3Y73 TILESITE CLBLM_R_X3Y74 SLICE_X2Y74 TILESITE CLBLM_R_X3Y74 SLICE_X3Y74 TILESITE CLBLM_R_X3Y75 SLICE_X2Y75 TILESITE CLBLM_R_X3Y75 SLICE_X3Y75 TILESITE CLBLM_R_X3Y76 SLICE_X2Y76 TILESITE CLBLM_R_X3Y76 SLICE_X3Y76 TILESITE CLBLM_R_X3Y77 SLICE_X2Y77 TILESITE CLBLM_R_X3Y77 SLICE_X3Y77 TILESITE CLBLM_R_X3Y78 SLICE_X2Y78 TILESITE CLBLM_R_X3Y78 SLICE_X3Y78 TILESITE CLBLM_R_X3Y79 SLICE_X2Y79 TILESITE CLBLM_R_X3Y79 SLICE_X3Y79 TILESITE CLBLM_R_X3Y80 SLICE_X2Y80 TILESITE CLBLM_R_X3Y80 SLICE_X3Y80 TILESITE CLBLM_R_X3Y81 SLICE_X2Y81 TILESITE CLBLM_R_X3Y81 SLICE_X3Y81 TILESITE CLBLM_R_X3Y82 SLICE_X2Y82 TILESITE CLBLM_R_X3Y82 SLICE_X3Y82 TILESITE CLBLM_R_X3Y83 SLICE_X2Y83 TILESITE CLBLM_R_X3Y83 SLICE_X3Y83 TILESITE CLBLM_R_X3Y84 SLICE_X2Y84 TILESITE CLBLM_R_X3Y84 SLICE_X3Y84 TILESITE CLBLM_R_X3Y85 SLICE_X2Y85 TILESITE CLBLM_R_X3Y85 SLICE_X3Y85 TILESITE CLBLM_R_X3Y86 SLICE_X2Y86 TILESITE CLBLM_R_X3Y86 SLICE_X3Y86 TILESITE CLBLM_R_X3Y87 SLICE_X2Y87 TILESITE CLBLM_R_X3Y87 SLICE_X3Y87 TILESITE CLBLM_R_X3Y88 SLICE_X2Y88 TILESITE CLBLM_R_X3Y88 SLICE_X3Y88 TILESITE CLBLM_R_X3Y89 SLICE_X2Y89 TILESITE CLBLM_R_X3Y89 SLICE_X3Y89 TILESITE CLBLM_R_X3Y90 SLICE_X2Y90 TILESITE CLBLM_R_X3Y90 SLICE_X3Y90 TILESITE CLBLM_R_X3Y91 SLICE_X2Y91 TILESITE CLBLM_R_X3Y91 SLICE_X3Y91 TILESITE CLBLM_R_X3Y92 SLICE_X2Y92 TILESITE CLBLM_R_X3Y92 SLICE_X3Y92 TILESITE CLBLM_R_X3Y93 SLICE_X2Y93 TILESITE CLBLM_R_X3Y93 SLICE_X3Y93 TILESITE CLBLM_R_X3Y94 SLICE_X2Y94 TILESITE CLBLM_R_X3Y94 SLICE_X3Y94 TILESITE CLBLM_R_X3Y95 SLICE_X2Y95 TILESITE CLBLM_R_X3Y95 SLICE_X3Y95 TILESITE CLBLM_R_X3Y96 SLICE_X2Y96 TILESITE CLBLM_R_X3Y96 SLICE_X3Y96 TILESITE CLBLM_R_X3Y97 SLICE_X2Y97 TILESITE CLBLM_R_X3Y97 SLICE_X3Y97 TILESITE CLBLM_R_X3Y98 SLICE_X2Y98 TILESITE CLBLM_R_X3Y98 SLICE_X3Y98 TILESITE CLBLM_R_X3Y99 SLICE_X2Y99 TILESITE CLBLM_R_X3Y99 SLICE_X3Y99 TILESITE CLBLM_R_X3Y100 SLICE_X2Y100 TILESITE CLBLM_R_X3Y100 SLICE_X3Y100 TILESITE CLBLM_R_X3Y101 SLICE_X2Y101 TILESITE CLBLM_R_X3Y101 SLICE_X3Y101 TILESITE CLBLM_R_X3Y102 SLICE_X2Y102 TILESITE CLBLM_R_X3Y102 SLICE_X3Y102 TILESITE CLBLM_R_X3Y103 SLICE_X2Y103 TILESITE CLBLM_R_X3Y103 SLICE_X3Y103 TILESITE CLBLM_R_X3Y104 SLICE_X2Y104 TILESITE CLBLM_R_X3Y104 SLICE_X3Y104 TILESITE CLBLM_R_X3Y105 SLICE_X2Y105 TILESITE CLBLM_R_X3Y105 SLICE_X3Y105 TILESITE CLBLM_R_X3Y106 SLICE_X2Y106 TILESITE CLBLM_R_X3Y106 SLICE_X3Y106 TILESITE CLBLM_R_X3Y107 SLICE_X2Y107 TILESITE CLBLM_R_X3Y107 SLICE_X3Y107 TILESITE CLBLM_R_X3Y108 SLICE_X2Y108 TILESITE CLBLM_R_X3Y108 SLICE_X3Y108 TILESITE CLBLM_R_X3Y109 SLICE_X2Y109 TILESITE CLBLM_R_X3Y109 SLICE_X3Y109 TILESITE CLBLM_R_X3Y110 SLICE_X2Y110 TILESITE CLBLM_R_X3Y110 SLICE_X3Y110 TILESITE CLBLM_R_X3Y111 SLICE_X2Y111 TILESITE CLBLM_R_X3Y111 SLICE_X3Y111 TILESITE CLBLM_R_X3Y112 SLICE_X2Y112 TILESITE CLBLM_R_X3Y112 SLICE_X3Y112 TILESITE CLBLM_R_X3Y113 SLICE_X2Y113 TILESITE CLBLM_R_X3Y113 SLICE_X3Y113 TILESITE CLBLM_R_X3Y114 SLICE_X2Y114 TILESITE CLBLM_R_X3Y114 SLICE_X3Y114 TILESITE CLBLM_R_X3Y115 SLICE_X2Y115 TILESITE CLBLM_R_X3Y115 SLICE_X3Y115 TILESITE CLBLM_R_X3Y116 SLICE_X2Y116 TILESITE CLBLM_R_X3Y116 SLICE_X3Y116 TILESITE CLBLM_R_X3Y117 SLICE_X2Y117 TILESITE CLBLM_R_X3Y117 SLICE_X3Y117 TILESITE CLBLM_R_X3Y118 SLICE_X2Y118 TILESITE CLBLM_R_X3Y118 SLICE_X3Y118 TILESITE CLBLM_R_X3Y119 SLICE_X2Y119 TILESITE CLBLM_R_X3Y119 SLICE_X3Y119 TILESITE CLBLM_R_X3Y120 SLICE_X2Y120 TILESITE CLBLM_R_X3Y120 SLICE_X3Y120 TILESITE CLBLM_R_X3Y121 SLICE_X2Y121 TILESITE CLBLM_R_X3Y121 SLICE_X3Y121 TILESITE CLBLM_R_X3Y122 SLICE_X2Y122 TILESITE CLBLM_R_X3Y122 SLICE_X3Y122 TILESITE CLBLM_R_X3Y123 SLICE_X2Y123 TILESITE CLBLM_R_X3Y123 SLICE_X3Y123 TILESITE CLBLM_R_X3Y124 SLICE_X2Y124 TILESITE CLBLM_R_X3Y124 SLICE_X3Y124 TILESITE CLBLM_R_X3Y125 SLICE_X2Y125 TILESITE CLBLM_R_X3Y125 SLICE_X3Y125 TILESITE CLBLM_R_X3Y126 SLICE_X2Y126 TILESITE CLBLM_R_X3Y126 SLICE_X3Y126 TILESITE CLBLM_R_X3Y127 SLICE_X2Y127 TILESITE CLBLM_R_X3Y127 SLICE_X3Y127 TILESITE CLBLM_R_X3Y128 SLICE_X2Y128 TILESITE CLBLM_R_X3Y128 SLICE_X3Y128 TILESITE CLBLM_R_X3Y129 SLICE_X2Y129 TILESITE CLBLM_R_X3Y129 SLICE_X3Y129 TILESITE CLBLM_R_X3Y130 SLICE_X2Y130 TILESITE CLBLM_R_X3Y130 SLICE_X3Y130 TILESITE CLBLM_R_X3Y131 SLICE_X2Y131 TILESITE CLBLM_R_X3Y131 SLICE_X3Y131 TILESITE CLBLM_R_X3Y132 SLICE_X2Y132 TILESITE CLBLM_R_X3Y132 SLICE_X3Y132 TILESITE CLBLM_R_X3Y133 SLICE_X2Y133 TILESITE CLBLM_R_X3Y133 SLICE_X3Y133 TILESITE CLBLM_R_X3Y134 SLICE_X2Y134 TILESITE CLBLM_R_X3Y134 SLICE_X3Y134 TILESITE CLBLM_R_X3Y135 SLICE_X2Y135 TILESITE CLBLM_R_X3Y135 SLICE_X3Y135 TILESITE CLBLM_R_X3Y136 SLICE_X2Y136 TILESITE CLBLM_R_X3Y136 SLICE_X3Y136 TILESITE CLBLM_R_X3Y137 SLICE_X2Y137 TILESITE CLBLM_R_X3Y137 SLICE_X3Y137 TILESITE CLBLM_R_X3Y138 SLICE_X2Y138 TILESITE CLBLM_R_X3Y138 SLICE_X3Y138 TILESITE CLBLM_R_X3Y139 SLICE_X2Y139 TILESITE CLBLM_R_X3Y139 SLICE_X3Y139 TILESITE CLBLM_R_X3Y140 SLICE_X2Y140 TILESITE CLBLM_R_X3Y140 SLICE_X3Y140 TILESITE CLBLM_R_X3Y141 SLICE_X2Y141 TILESITE CLBLM_R_X3Y141 SLICE_X3Y141 TILESITE CLBLM_R_X3Y142 SLICE_X2Y142 TILESITE CLBLM_R_X3Y142 SLICE_X3Y142 TILESITE CLBLM_R_X3Y143 SLICE_X2Y143 TILESITE CLBLM_R_X3Y143 SLICE_X3Y143 TILESITE CLBLM_R_X3Y144 SLICE_X2Y144 TILESITE CLBLM_R_X3Y144 SLICE_X3Y144 TILESITE CLBLM_R_X3Y145 SLICE_X2Y145 TILESITE CLBLM_R_X3Y145 SLICE_X3Y145 TILESITE CLBLM_R_X3Y146 SLICE_X2Y146 TILESITE CLBLM_R_X3Y146 SLICE_X3Y146 TILESITE CLBLM_R_X3Y147 SLICE_X2Y147 TILESITE CLBLM_R_X3Y147 SLICE_X3Y147 TILESITE CLBLM_R_X3Y148 SLICE_X2Y148 TILESITE CLBLM_R_X3Y148 SLICE_X3Y148 TILESITE CLBLM_R_X3Y149 SLICE_X2Y149 TILESITE CLBLM_R_X3Y149 SLICE_X3Y149 TILESITE CLBLM_R_X41Y0 SLICE_X64Y0 TILESITE CLBLM_R_X41Y0 SLICE_X65Y0 TILESITE CLBLM_R_X41Y1 SLICE_X64Y1 TILESITE CLBLM_R_X41Y1 SLICE_X65Y1 TILESITE CLBLM_R_X41Y2 SLICE_X64Y2 TILESITE CLBLM_R_X41Y2 SLICE_X65Y2 TILESITE CLBLM_R_X41Y3 SLICE_X64Y3 TILESITE CLBLM_R_X41Y3 SLICE_X65Y3 TILESITE CLBLM_R_X41Y4 SLICE_X64Y4 TILESITE CLBLM_R_X41Y4 SLICE_X65Y4 TILESITE CLBLM_R_X41Y5 SLICE_X64Y5 TILESITE CLBLM_R_X41Y5 SLICE_X65Y5 TILESITE CLBLM_R_X41Y6 SLICE_X64Y6 TILESITE CLBLM_R_X41Y6 SLICE_X65Y6 TILESITE CLBLM_R_X41Y7 SLICE_X64Y7 TILESITE CLBLM_R_X41Y7 SLICE_X65Y7 TILESITE CLBLM_R_X41Y8 SLICE_X64Y8 TILESITE CLBLM_R_X41Y8 SLICE_X65Y8 TILESITE CLBLM_R_X41Y9 SLICE_X64Y9 TILESITE CLBLM_R_X41Y9 SLICE_X65Y9 TILESITE CLBLM_R_X41Y10 SLICE_X64Y10 TILESITE CLBLM_R_X41Y10 SLICE_X65Y10 TILESITE CLBLM_R_X41Y11 SLICE_X64Y11 TILESITE CLBLM_R_X41Y11 SLICE_X65Y11 TILESITE CLBLM_R_X41Y12 SLICE_X64Y12 TILESITE CLBLM_R_X41Y12 SLICE_X65Y12 TILESITE CLBLM_R_X41Y13 SLICE_X64Y13 TILESITE CLBLM_R_X41Y13 SLICE_X65Y13 TILESITE CLBLM_R_X41Y14 SLICE_X64Y14 TILESITE CLBLM_R_X41Y14 SLICE_X65Y14 TILESITE CLBLM_R_X41Y15 SLICE_X64Y15 TILESITE CLBLM_R_X41Y15 SLICE_X65Y15 TILESITE CLBLM_R_X41Y16 SLICE_X64Y16 TILESITE CLBLM_R_X41Y16 SLICE_X65Y16 TILESITE CLBLM_R_X41Y17 SLICE_X64Y17 TILESITE CLBLM_R_X41Y17 SLICE_X65Y17 TILESITE CLBLM_R_X41Y18 SLICE_X64Y18 TILESITE CLBLM_R_X41Y18 SLICE_X65Y18 TILESITE CLBLM_R_X41Y19 SLICE_X64Y19 TILESITE CLBLM_R_X41Y19 SLICE_X65Y19 TILESITE CLBLM_R_X41Y20 SLICE_X64Y20 TILESITE CLBLM_R_X41Y20 SLICE_X65Y20 TILESITE CLBLM_R_X41Y21 SLICE_X64Y21 TILESITE CLBLM_R_X41Y21 SLICE_X65Y21 TILESITE CLBLM_R_X41Y22 SLICE_X64Y22 TILESITE CLBLM_R_X41Y22 SLICE_X65Y22 TILESITE CLBLM_R_X41Y23 SLICE_X64Y23 TILESITE CLBLM_R_X41Y23 SLICE_X65Y23 TILESITE CLBLM_R_X41Y24 SLICE_X64Y24 TILESITE CLBLM_R_X41Y24 SLICE_X65Y24 TILESITE CLBLM_R_X41Y25 SLICE_X64Y25 TILESITE CLBLM_R_X41Y25 SLICE_X65Y25 TILESITE CLBLM_R_X41Y26 SLICE_X64Y26 TILESITE CLBLM_R_X41Y26 SLICE_X65Y26 TILESITE CLBLM_R_X41Y27 SLICE_X64Y27 TILESITE CLBLM_R_X41Y27 SLICE_X65Y27 TILESITE CLBLM_R_X41Y28 SLICE_X64Y28 TILESITE CLBLM_R_X41Y28 SLICE_X65Y28 TILESITE CLBLM_R_X41Y29 SLICE_X64Y29 TILESITE CLBLM_R_X41Y29 SLICE_X65Y29 TILESITE CLBLM_R_X41Y30 SLICE_X64Y30 TILESITE CLBLM_R_X41Y30 SLICE_X65Y30 TILESITE CLBLM_R_X41Y31 SLICE_X64Y31 TILESITE CLBLM_R_X41Y31 SLICE_X65Y31 TILESITE CLBLM_R_X41Y32 SLICE_X64Y32 TILESITE CLBLM_R_X41Y32 SLICE_X65Y32 TILESITE CLBLM_R_X41Y33 SLICE_X64Y33 TILESITE CLBLM_R_X41Y33 SLICE_X65Y33 TILESITE CLBLM_R_X41Y34 SLICE_X64Y34 TILESITE CLBLM_R_X41Y34 SLICE_X65Y34 TILESITE CLBLM_R_X41Y35 SLICE_X64Y35 TILESITE CLBLM_R_X41Y35 SLICE_X65Y35 TILESITE CLBLM_R_X41Y36 SLICE_X64Y36 TILESITE CLBLM_R_X41Y36 SLICE_X65Y36 TILESITE CLBLM_R_X41Y37 SLICE_X64Y37 TILESITE CLBLM_R_X41Y37 SLICE_X65Y37 TILESITE CLBLM_R_X41Y38 SLICE_X64Y38 TILESITE CLBLM_R_X41Y38 SLICE_X65Y38 TILESITE CLBLM_R_X41Y39 SLICE_X64Y39 TILESITE CLBLM_R_X41Y39 SLICE_X65Y39 TILESITE CLBLM_R_X41Y40 SLICE_X64Y40 TILESITE CLBLM_R_X41Y40 SLICE_X65Y40 TILESITE CLBLM_R_X41Y41 SLICE_X64Y41 TILESITE CLBLM_R_X41Y41 SLICE_X65Y41 TILESITE CLBLM_R_X41Y42 SLICE_X64Y42 TILESITE CLBLM_R_X41Y42 SLICE_X65Y42 TILESITE CLBLM_R_X41Y43 SLICE_X64Y43 TILESITE CLBLM_R_X41Y43 SLICE_X65Y43 TILESITE CLBLM_R_X41Y44 SLICE_X64Y44 TILESITE CLBLM_R_X41Y44 SLICE_X65Y44 TILESITE CLBLM_R_X41Y45 SLICE_X64Y45 TILESITE CLBLM_R_X41Y45 SLICE_X65Y45 TILESITE CLBLM_R_X41Y46 SLICE_X64Y46 TILESITE CLBLM_R_X41Y46 SLICE_X65Y46 TILESITE CLBLM_R_X41Y47 SLICE_X64Y47 TILESITE CLBLM_R_X41Y47 SLICE_X65Y47 TILESITE CLBLM_R_X41Y48 SLICE_X64Y48 TILESITE CLBLM_R_X41Y48 SLICE_X65Y48 TILESITE CLBLM_R_X41Y49 SLICE_X64Y49 TILESITE CLBLM_R_X41Y49 SLICE_X65Y49 TILESITE CLBLM_R_X41Y50 SLICE_X64Y50 TILESITE CLBLM_R_X41Y50 SLICE_X65Y50 TILESITE CLBLM_R_X41Y51 SLICE_X64Y51 TILESITE CLBLM_R_X41Y51 SLICE_X65Y51 TILESITE CLBLM_R_X41Y52 SLICE_X64Y52 TILESITE CLBLM_R_X41Y52 SLICE_X65Y52 TILESITE CLBLM_R_X41Y53 SLICE_X64Y53 TILESITE CLBLM_R_X41Y53 SLICE_X65Y53 TILESITE CLBLM_R_X41Y54 SLICE_X64Y54 TILESITE CLBLM_R_X41Y54 SLICE_X65Y54 TILESITE CLBLM_R_X41Y55 SLICE_X64Y55 TILESITE CLBLM_R_X41Y55 SLICE_X65Y55 TILESITE CLBLM_R_X41Y56 SLICE_X64Y56 TILESITE CLBLM_R_X41Y56 SLICE_X65Y56 TILESITE CLBLM_R_X41Y57 SLICE_X64Y57 TILESITE CLBLM_R_X41Y57 SLICE_X65Y57 TILESITE CLBLM_R_X41Y58 SLICE_X64Y58 TILESITE CLBLM_R_X41Y58 SLICE_X65Y58 TILESITE CLBLM_R_X41Y59 SLICE_X64Y59 TILESITE CLBLM_R_X41Y59 SLICE_X65Y59 TILESITE CLBLM_R_X41Y60 SLICE_X64Y60 TILESITE CLBLM_R_X41Y60 SLICE_X65Y60 TILESITE CLBLM_R_X41Y61 SLICE_X64Y61 TILESITE CLBLM_R_X41Y61 SLICE_X65Y61 TILESITE CLBLM_R_X41Y62 SLICE_X64Y62 TILESITE CLBLM_R_X41Y62 SLICE_X65Y62 TILESITE CLBLM_R_X41Y63 SLICE_X64Y63 TILESITE CLBLM_R_X41Y63 SLICE_X65Y63 TILESITE CLBLM_R_X41Y64 SLICE_X64Y64 TILESITE CLBLM_R_X41Y64 SLICE_X65Y64 TILESITE CLBLM_R_X41Y65 SLICE_X64Y65 TILESITE CLBLM_R_X41Y65 SLICE_X65Y65 TILESITE CLBLM_R_X41Y66 SLICE_X64Y66 TILESITE CLBLM_R_X41Y66 SLICE_X65Y66 TILESITE CLBLM_R_X41Y67 SLICE_X64Y67 TILESITE CLBLM_R_X41Y67 SLICE_X65Y67 TILESITE CLBLM_R_X41Y68 SLICE_X64Y68 TILESITE CLBLM_R_X41Y68 SLICE_X65Y68 TILESITE CLBLM_R_X41Y69 SLICE_X64Y69 TILESITE CLBLM_R_X41Y69 SLICE_X65Y69 TILESITE CLBLM_R_X41Y70 SLICE_X64Y70 TILESITE CLBLM_R_X41Y70 SLICE_X65Y70 TILESITE CLBLM_R_X41Y71 SLICE_X64Y71 TILESITE CLBLM_R_X41Y71 SLICE_X65Y71 TILESITE CLBLM_R_X41Y72 SLICE_X64Y72 TILESITE CLBLM_R_X41Y72 SLICE_X65Y72 TILESITE CLBLM_R_X41Y73 SLICE_X64Y73 TILESITE CLBLM_R_X41Y73 SLICE_X65Y73 TILESITE CLBLM_R_X41Y74 SLICE_X64Y74 TILESITE CLBLM_R_X41Y74 SLICE_X65Y74 TILESITE CLBLM_R_X41Y75 SLICE_X64Y75 TILESITE CLBLM_R_X41Y75 SLICE_X65Y75 TILESITE CLBLM_R_X41Y76 SLICE_X64Y76 TILESITE CLBLM_R_X41Y76 SLICE_X65Y76 TILESITE CLBLM_R_X41Y77 SLICE_X64Y77 TILESITE CLBLM_R_X41Y77 SLICE_X65Y77 TILESITE CLBLM_R_X41Y78 SLICE_X64Y78 TILESITE CLBLM_R_X41Y78 SLICE_X65Y78 TILESITE CLBLM_R_X41Y79 SLICE_X64Y79 TILESITE CLBLM_R_X41Y79 SLICE_X65Y79 TILESITE CLBLM_R_X41Y80 SLICE_X64Y80 TILESITE CLBLM_R_X41Y80 SLICE_X65Y80 TILESITE CLBLM_R_X41Y81 SLICE_X64Y81 TILESITE CLBLM_R_X41Y81 SLICE_X65Y81 TILESITE CLBLM_R_X41Y82 SLICE_X64Y82 TILESITE CLBLM_R_X41Y82 SLICE_X65Y82 TILESITE CLBLM_R_X41Y83 SLICE_X64Y83 TILESITE CLBLM_R_X41Y83 SLICE_X65Y83 TILESITE CLBLM_R_X41Y84 SLICE_X64Y84 TILESITE CLBLM_R_X41Y84 SLICE_X65Y84 TILESITE CLBLM_R_X41Y85 SLICE_X64Y85 TILESITE CLBLM_R_X41Y85 SLICE_X65Y85 TILESITE CLBLM_R_X41Y86 SLICE_X64Y86 TILESITE CLBLM_R_X41Y86 SLICE_X65Y86 TILESITE CLBLM_R_X41Y87 SLICE_X64Y87 TILESITE CLBLM_R_X41Y87 SLICE_X65Y87 TILESITE CLBLM_R_X41Y88 SLICE_X64Y88 TILESITE CLBLM_R_X41Y88 SLICE_X65Y88 TILESITE CLBLM_R_X41Y89 SLICE_X64Y89 TILESITE CLBLM_R_X41Y89 SLICE_X65Y89 TILESITE CLBLM_R_X41Y90 SLICE_X64Y90 TILESITE CLBLM_R_X41Y90 SLICE_X65Y90 TILESITE CLBLM_R_X41Y91 SLICE_X64Y91 TILESITE CLBLM_R_X41Y91 SLICE_X65Y91 TILESITE CLBLM_R_X41Y92 SLICE_X64Y92 TILESITE CLBLM_R_X41Y92 SLICE_X65Y92 TILESITE CLBLM_R_X41Y93 SLICE_X64Y93 TILESITE CLBLM_R_X41Y93 SLICE_X65Y93 TILESITE CLBLM_R_X41Y94 SLICE_X64Y94 TILESITE CLBLM_R_X41Y94 SLICE_X65Y94 TILESITE CLBLM_R_X41Y95 SLICE_X64Y95 TILESITE CLBLM_R_X41Y95 SLICE_X65Y95 TILESITE CLBLM_R_X41Y96 SLICE_X64Y96 TILESITE CLBLM_R_X41Y96 SLICE_X65Y96 TILESITE CLBLM_R_X41Y97 SLICE_X64Y97 TILESITE CLBLM_R_X41Y97 SLICE_X65Y97 TILESITE CLBLM_R_X41Y98 SLICE_X64Y98 TILESITE CLBLM_R_X41Y98 SLICE_X65Y98 TILESITE CLBLM_R_X41Y99 SLICE_X64Y99 TILESITE CLBLM_R_X41Y99 SLICE_X65Y99 TILESITE CLBLM_R_X5Y0 SLICE_X6Y0 TILESITE CLBLM_R_X5Y0 SLICE_X7Y0 TILESITE CLBLM_R_X5Y1 SLICE_X6Y1 TILESITE CLBLM_R_X5Y1 SLICE_X7Y1 TILESITE CLBLM_R_X5Y2 SLICE_X6Y2 TILESITE CLBLM_R_X5Y2 SLICE_X7Y2 TILESITE CLBLM_R_X5Y3 SLICE_X6Y3 TILESITE CLBLM_R_X5Y3 SLICE_X7Y3 TILESITE CLBLM_R_X5Y4 SLICE_X6Y4 TILESITE CLBLM_R_X5Y4 SLICE_X7Y4 TILESITE CLBLM_R_X5Y5 SLICE_X6Y5 TILESITE CLBLM_R_X5Y5 SLICE_X7Y5 TILESITE CLBLM_R_X5Y6 SLICE_X6Y6 TILESITE CLBLM_R_X5Y6 SLICE_X7Y6 TILESITE CLBLM_R_X5Y7 SLICE_X6Y7 TILESITE CLBLM_R_X5Y7 SLICE_X7Y7 TILESITE CLBLM_R_X5Y8 SLICE_X6Y8 TILESITE CLBLM_R_X5Y8 SLICE_X7Y8 TILESITE CLBLM_R_X5Y9 SLICE_X6Y9 TILESITE CLBLM_R_X5Y9 SLICE_X7Y9 TILESITE CLBLM_R_X5Y10 SLICE_X6Y10 TILESITE CLBLM_R_X5Y10 SLICE_X7Y10 TILESITE CLBLM_R_X5Y11 SLICE_X6Y11 TILESITE CLBLM_R_X5Y11 SLICE_X7Y11 TILESITE CLBLM_R_X5Y12 SLICE_X6Y12 TILESITE CLBLM_R_X5Y12 SLICE_X7Y12 TILESITE CLBLM_R_X5Y13 SLICE_X6Y13 TILESITE CLBLM_R_X5Y13 SLICE_X7Y13 TILESITE CLBLM_R_X5Y14 SLICE_X6Y14 TILESITE CLBLM_R_X5Y14 SLICE_X7Y14 TILESITE CLBLM_R_X5Y15 SLICE_X6Y15 TILESITE CLBLM_R_X5Y15 SLICE_X7Y15 TILESITE CLBLM_R_X5Y16 SLICE_X6Y16 TILESITE CLBLM_R_X5Y16 SLICE_X7Y16 TILESITE CLBLM_R_X5Y17 SLICE_X6Y17 TILESITE CLBLM_R_X5Y17 SLICE_X7Y17 TILESITE CLBLM_R_X5Y18 SLICE_X6Y18 TILESITE CLBLM_R_X5Y18 SLICE_X7Y18 TILESITE CLBLM_R_X5Y19 SLICE_X6Y19 TILESITE CLBLM_R_X5Y19 SLICE_X7Y19 TILESITE CLBLM_R_X5Y20 SLICE_X6Y20 TILESITE CLBLM_R_X5Y20 SLICE_X7Y20 TILESITE CLBLM_R_X5Y21 SLICE_X6Y21 TILESITE CLBLM_R_X5Y21 SLICE_X7Y21 TILESITE CLBLM_R_X5Y22 SLICE_X6Y22 TILESITE CLBLM_R_X5Y22 SLICE_X7Y22 TILESITE CLBLM_R_X5Y23 SLICE_X6Y23 TILESITE CLBLM_R_X5Y23 SLICE_X7Y23 TILESITE CLBLM_R_X5Y24 SLICE_X6Y24 TILESITE CLBLM_R_X5Y24 SLICE_X7Y24 TILESITE CLBLM_R_X5Y25 SLICE_X6Y25 TILESITE CLBLM_R_X5Y25 SLICE_X7Y25 TILESITE CLBLM_R_X5Y26 SLICE_X6Y26 TILESITE CLBLM_R_X5Y26 SLICE_X7Y26 TILESITE CLBLM_R_X5Y27 SLICE_X6Y27 TILESITE CLBLM_R_X5Y27 SLICE_X7Y27 TILESITE CLBLM_R_X5Y28 SLICE_X6Y28 TILESITE CLBLM_R_X5Y28 SLICE_X7Y28 TILESITE CLBLM_R_X5Y29 SLICE_X6Y29 TILESITE CLBLM_R_X5Y29 SLICE_X7Y29 TILESITE CLBLM_R_X5Y30 SLICE_X6Y30 TILESITE CLBLM_R_X5Y30 SLICE_X7Y30 TILESITE CLBLM_R_X5Y31 SLICE_X6Y31 TILESITE CLBLM_R_X5Y31 SLICE_X7Y31 TILESITE CLBLM_R_X5Y32 SLICE_X6Y32 TILESITE CLBLM_R_X5Y32 SLICE_X7Y32 TILESITE CLBLM_R_X5Y33 SLICE_X6Y33 TILESITE CLBLM_R_X5Y33 SLICE_X7Y33 TILESITE CLBLM_R_X5Y34 SLICE_X6Y34 TILESITE CLBLM_R_X5Y34 SLICE_X7Y34 TILESITE CLBLM_R_X5Y35 SLICE_X6Y35 TILESITE CLBLM_R_X5Y35 SLICE_X7Y35 TILESITE CLBLM_R_X5Y36 SLICE_X6Y36 TILESITE CLBLM_R_X5Y36 SLICE_X7Y36 TILESITE CLBLM_R_X5Y37 SLICE_X6Y37 TILESITE CLBLM_R_X5Y37 SLICE_X7Y37 TILESITE CLBLM_R_X5Y38 SLICE_X6Y38 TILESITE CLBLM_R_X5Y38 SLICE_X7Y38 TILESITE CLBLM_R_X5Y39 SLICE_X6Y39 TILESITE CLBLM_R_X5Y39 SLICE_X7Y39 TILESITE CLBLM_R_X5Y40 SLICE_X6Y40 TILESITE CLBLM_R_X5Y40 SLICE_X7Y40 TILESITE CLBLM_R_X5Y41 SLICE_X6Y41 TILESITE CLBLM_R_X5Y41 SLICE_X7Y41 TILESITE CLBLM_R_X5Y42 SLICE_X6Y42 TILESITE CLBLM_R_X5Y42 SLICE_X7Y42 TILESITE CLBLM_R_X5Y43 SLICE_X6Y43 TILESITE CLBLM_R_X5Y43 SLICE_X7Y43 TILESITE CLBLM_R_X5Y44 SLICE_X6Y44 TILESITE CLBLM_R_X5Y44 SLICE_X7Y44 TILESITE CLBLM_R_X5Y45 SLICE_X6Y45 TILESITE CLBLM_R_X5Y45 SLICE_X7Y45 TILESITE CLBLM_R_X5Y46 SLICE_X6Y46 TILESITE CLBLM_R_X5Y46 SLICE_X7Y46 TILESITE CLBLM_R_X5Y47 SLICE_X6Y47 TILESITE CLBLM_R_X5Y47 SLICE_X7Y47 TILESITE CLBLM_R_X5Y48 SLICE_X6Y48 TILESITE CLBLM_R_X5Y48 SLICE_X7Y48 TILESITE CLBLM_R_X5Y49 SLICE_X6Y49 TILESITE CLBLM_R_X5Y49 SLICE_X7Y49 TILESITE CLBLM_R_X5Y50 SLICE_X6Y50 TILESITE CLBLM_R_X5Y50 SLICE_X7Y50 TILESITE CLBLM_R_X5Y51 SLICE_X6Y51 TILESITE CLBLM_R_X5Y51 SLICE_X7Y51 TILESITE CLBLM_R_X5Y52 SLICE_X6Y52 TILESITE CLBLM_R_X5Y52 SLICE_X7Y52 TILESITE CLBLM_R_X5Y53 SLICE_X6Y53 TILESITE CLBLM_R_X5Y53 SLICE_X7Y53 TILESITE CLBLM_R_X5Y54 SLICE_X6Y54 TILESITE CLBLM_R_X5Y54 SLICE_X7Y54 TILESITE CLBLM_R_X5Y55 SLICE_X6Y55 TILESITE CLBLM_R_X5Y55 SLICE_X7Y55 TILESITE CLBLM_R_X5Y56 SLICE_X6Y56 TILESITE CLBLM_R_X5Y56 SLICE_X7Y56 TILESITE CLBLM_R_X5Y57 SLICE_X6Y57 TILESITE CLBLM_R_X5Y57 SLICE_X7Y57 TILESITE CLBLM_R_X5Y58 SLICE_X6Y58 TILESITE CLBLM_R_X5Y58 SLICE_X7Y58 TILESITE CLBLM_R_X5Y59 SLICE_X6Y59 TILESITE CLBLM_R_X5Y59 SLICE_X7Y59 TILESITE CLBLM_R_X5Y60 SLICE_X6Y60 TILESITE CLBLM_R_X5Y60 SLICE_X7Y60 TILESITE CLBLM_R_X5Y61 SLICE_X6Y61 TILESITE CLBLM_R_X5Y61 SLICE_X7Y61 TILESITE CLBLM_R_X5Y62 SLICE_X6Y62 TILESITE CLBLM_R_X5Y62 SLICE_X7Y62 TILESITE CLBLM_R_X5Y63 SLICE_X6Y63 TILESITE CLBLM_R_X5Y63 SLICE_X7Y63 TILESITE CLBLM_R_X5Y64 SLICE_X6Y64 TILESITE CLBLM_R_X5Y64 SLICE_X7Y64 TILESITE CLBLM_R_X5Y65 SLICE_X6Y65 TILESITE CLBLM_R_X5Y65 SLICE_X7Y65 TILESITE CLBLM_R_X5Y66 SLICE_X6Y66 TILESITE CLBLM_R_X5Y66 SLICE_X7Y66 TILESITE CLBLM_R_X5Y67 SLICE_X6Y67 TILESITE CLBLM_R_X5Y67 SLICE_X7Y67 TILESITE CLBLM_R_X5Y68 SLICE_X6Y68 TILESITE CLBLM_R_X5Y68 SLICE_X7Y68 TILESITE CLBLM_R_X5Y69 SLICE_X6Y69 TILESITE CLBLM_R_X5Y69 SLICE_X7Y69 TILESITE CLBLM_R_X5Y70 SLICE_X6Y70 TILESITE CLBLM_R_X5Y70 SLICE_X7Y70 TILESITE CLBLM_R_X5Y71 SLICE_X6Y71 TILESITE CLBLM_R_X5Y71 SLICE_X7Y71 TILESITE CLBLM_R_X5Y72 SLICE_X6Y72 TILESITE CLBLM_R_X5Y72 SLICE_X7Y72 TILESITE CLBLM_R_X5Y73 SLICE_X6Y73 TILESITE CLBLM_R_X5Y73 SLICE_X7Y73 TILESITE CLBLM_R_X5Y74 SLICE_X6Y74 TILESITE CLBLM_R_X5Y74 SLICE_X7Y74 TILESITE CLBLM_R_X5Y75 SLICE_X6Y75 TILESITE CLBLM_R_X5Y75 SLICE_X7Y75 TILESITE CLBLM_R_X5Y76 SLICE_X6Y76 TILESITE CLBLM_R_X5Y76 SLICE_X7Y76 TILESITE CLBLM_R_X5Y77 SLICE_X6Y77 TILESITE CLBLM_R_X5Y77 SLICE_X7Y77 TILESITE CLBLM_R_X5Y78 SLICE_X6Y78 TILESITE CLBLM_R_X5Y78 SLICE_X7Y78 TILESITE CLBLM_R_X5Y79 SLICE_X6Y79 TILESITE CLBLM_R_X5Y79 SLICE_X7Y79 TILESITE CLBLM_R_X5Y80 SLICE_X6Y80 TILESITE CLBLM_R_X5Y80 SLICE_X7Y80 TILESITE CLBLM_R_X5Y81 SLICE_X6Y81 TILESITE CLBLM_R_X5Y81 SLICE_X7Y81 TILESITE CLBLM_R_X5Y82 SLICE_X6Y82 TILESITE CLBLM_R_X5Y82 SLICE_X7Y82 TILESITE CLBLM_R_X5Y83 SLICE_X6Y83 TILESITE CLBLM_R_X5Y83 SLICE_X7Y83 TILESITE CLBLM_R_X5Y84 SLICE_X6Y84 TILESITE CLBLM_R_X5Y84 SLICE_X7Y84 TILESITE CLBLM_R_X5Y85 SLICE_X6Y85 TILESITE CLBLM_R_X5Y85 SLICE_X7Y85 TILESITE CLBLM_R_X5Y86 SLICE_X6Y86 TILESITE CLBLM_R_X5Y86 SLICE_X7Y86 TILESITE CLBLM_R_X5Y87 SLICE_X6Y87 TILESITE CLBLM_R_X5Y87 SLICE_X7Y87 TILESITE CLBLM_R_X5Y88 SLICE_X6Y88 TILESITE CLBLM_R_X5Y88 SLICE_X7Y88 TILESITE CLBLM_R_X5Y89 SLICE_X6Y89 TILESITE CLBLM_R_X5Y89 SLICE_X7Y89 TILESITE CLBLM_R_X5Y90 SLICE_X6Y90 TILESITE CLBLM_R_X5Y90 SLICE_X7Y90 TILESITE CLBLM_R_X5Y91 SLICE_X6Y91 TILESITE CLBLM_R_X5Y91 SLICE_X7Y91 TILESITE CLBLM_R_X5Y92 SLICE_X6Y92 TILESITE CLBLM_R_X5Y92 SLICE_X7Y92 TILESITE CLBLM_R_X5Y93 SLICE_X6Y93 TILESITE CLBLM_R_X5Y93 SLICE_X7Y93 TILESITE CLBLM_R_X5Y94 SLICE_X6Y94 TILESITE CLBLM_R_X5Y94 SLICE_X7Y94 TILESITE CLBLM_R_X5Y95 SLICE_X6Y95 TILESITE CLBLM_R_X5Y95 SLICE_X7Y95 TILESITE CLBLM_R_X5Y96 SLICE_X6Y96 TILESITE CLBLM_R_X5Y96 SLICE_X7Y96 TILESITE CLBLM_R_X5Y97 SLICE_X6Y97 TILESITE CLBLM_R_X5Y97 SLICE_X7Y97 TILESITE CLBLM_R_X5Y98 SLICE_X6Y98 TILESITE CLBLM_R_X5Y98 SLICE_X7Y98 TILESITE CLBLM_R_X5Y99 SLICE_X6Y99 TILESITE CLBLM_R_X5Y99 SLICE_X7Y99 TILESITE CLBLM_R_X5Y100 SLICE_X6Y100 TILESITE CLBLM_R_X5Y100 SLICE_X7Y100 TILESITE CLBLM_R_X5Y101 SLICE_X6Y101 TILESITE CLBLM_R_X5Y101 SLICE_X7Y101 TILESITE CLBLM_R_X5Y102 SLICE_X6Y102 TILESITE CLBLM_R_X5Y102 SLICE_X7Y102 TILESITE CLBLM_R_X5Y103 SLICE_X6Y103 TILESITE CLBLM_R_X5Y103 SLICE_X7Y103 TILESITE CLBLM_R_X5Y104 SLICE_X6Y104 TILESITE CLBLM_R_X5Y104 SLICE_X7Y104 TILESITE CLBLM_R_X5Y105 SLICE_X6Y105 TILESITE CLBLM_R_X5Y105 SLICE_X7Y105 TILESITE CLBLM_R_X5Y106 SLICE_X6Y106 TILESITE CLBLM_R_X5Y106 SLICE_X7Y106 TILESITE CLBLM_R_X5Y107 SLICE_X6Y107 TILESITE CLBLM_R_X5Y107 SLICE_X7Y107 TILESITE CLBLM_R_X5Y108 SLICE_X6Y108 TILESITE CLBLM_R_X5Y108 SLICE_X7Y108 TILESITE CLBLM_R_X5Y109 SLICE_X6Y109 TILESITE CLBLM_R_X5Y109 SLICE_X7Y109 TILESITE CLBLM_R_X5Y110 SLICE_X6Y110 TILESITE CLBLM_R_X5Y110 SLICE_X7Y110 TILESITE CLBLM_R_X5Y111 SLICE_X6Y111 TILESITE CLBLM_R_X5Y111 SLICE_X7Y111 TILESITE CLBLM_R_X5Y112 SLICE_X6Y112 TILESITE CLBLM_R_X5Y112 SLICE_X7Y112 TILESITE CLBLM_R_X5Y113 SLICE_X6Y113 TILESITE CLBLM_R_X5Y113 SLICE_X7Y113 TILESITE CLBLM_R_X5Y114 SLICE_X6Y114 TILESITE CLBLM_R_X5Y114 SLICE_X7Y114 TILESITE CLBLM_R_X5Y115 SLICE_X6Y115 TILESITE CLBLM_R_X5Y115 SLICE_X7Y115 TILESITE CLBLM_R_X5Y116 SLICE_X6Y116 TILESITE CLBLM_R_X5Y116 SLICE_X7Y116 TILESITE CLBLM_R_X5Y117 SLICE_X6Y117 TILESITE CLBLM_R_X5Y117 SLICE_X7Y117 TILESITE CLBLM_R_X5Y118 SLICE_X6Y118 TILESITE CLBLM_R_X5Y118 SLICE_X7Y118 TILESITE CLBLM_R_X5Y119 SLICE_X6Y119 TILESITE CLBLM_R_X5Y119 SLICE_X7Y119 TILESITE CLBLM_R_X5Y120 SLICE_X6Y120 TILESITE CLBLM_R_X5Y120 SLICE_X7Y120 TILESITE CLBLM_R_X5Y121 SLICE_X6Y121 TILESITE CLBLM_R_X5Y121 SLICE_X7Y121 TILESITE CLBLM_R_X5Y122 SLICE_X6Y122 TILESITE CLBLM_R_X5Y122 SLICE_X7Y122 TILESITE CLBLM_R_X5Y123 SLICE_X6Y123 TILESITE CLBLM_R_X5Y123 SLICE_X7Y123 TILESITE CLBLM_R_X5Y124 SLICE_X6Y124 TILESITE CLBLM_R_X5Y124 SLICE_X7Y124 TILESITE CLBLM_R_X5Y125 SLICE_X6Y125 TILESITE CLBLM_R_X5Y125 SLICE_X7Y125 TILESITE CLBLM_R_X5Y126 SLICE_X6Y126 TILESITE CLBLM_R_X5Y126 SLICE_X7Y126 TILESITE CLBLM_R_X5Y127 SLICE_X6Y127 TILESITE CLBLM_R_X5Y127 SLICE_X7Y127 TILESITE CLBLM_R_X5Y128 SLICE_X6Y128 TILESITE CLBLM_R_X5Y128 SLICE_X7Y128 TILESITE CLBLM_R_X5Y129 SLICE_X6Y129 TILESITE CLBLM_R_X5Y129 SLICE_X7Y129 TILESITE CLBLM_R_X5Y130 SLICE_X6Y130 TILESITE CLBLM_R_X5Y130 SLICE_X7Y130 TILESITE CLBLM_R_X5Y131 SLICE_X6Y131 TILESITE CLBLM_R_X5Y131 SLICE_X7Y131 TILESITE CLBLM_R_X5Y132 SLICE_X6Y132 TILESITE CLBLM_R_X5Y132 SLICE_X7Y132 TILESITE CLBLM_R_X5Y133 SLICE_X6Y133 TILESITE CLBLM_R_X5Y133 SLICE_X7Y133 TILESITE CLBLM_R_X5Y134 SLICE_X6Y134 TILESITE CLBLM_R_X5Y134 SLICE_X7Y134 TILESITE CLBLM_R_X5Y135 SLICE_X6Y135 TILESITE CLBLM_R_X5Y135 SLICE_X7Y135 TILESITE CLBLM_R_X5Y136 SLICE_X6Y136 TILESITE CLBLM_R_X5Y136 SLICE_X7Y136 TILESITE CLBLM_R_X5Y137 SLICE_X6Y137 TILESITE CLBLM_R_X5Y137 SLICE_X7Y137 TILESITE CLBLM_R_X5Y138 SLICE_X6Y138 TILESITE CLBLM_R_X5Y138 SLICE_X7Y138 TILESITE CLBLM_R_X5Y139 SLICE_X6Y139 TILESITE CLBLM_R_X5Y139 SLICE_X7Y139 TILESITE CLBLM_R_X5Y140 SLICE_X6Y140 TILESITE CLBLM_R_X5Y140 SLICE_X7Y140 TILESITE CLBLM_R_X5Y141 SLICE_X6Y141 TILESITE CLBLM_R_X5Y141 SLICE_X7Y141 TILESITE CLBLM_R_X5Y142 SLICE_X6Y142 TILESITE CLBLM_R_X5Y142 SLICE_X7Y142 TILESITE CLBLM_R_X5Y143 SLICE_X6Y143 TILESITE CLBLM_R_X5Y143 SLICE_X7Y143 TILESITE CLBLM_R_X5Y144 SLICE_X6Y144 TILESITE CLBLM_R_X5Y144 SLICE_X7Y144 TILESITE CLBLM_R_X5Y145 SLICE_X6Y145 TILESITE CLBLM_R_X5Y145 SLICE_X7Y145 TILESITE CLBLM_R_X5Y146 SLICE_X6Y146 TILESITE CLBLM_R_X5Y146 SLICE_X7Y146 TILESITE CLBLM_R_X5Y147 SLICE_X6Y147 TILESITE CLBLM_R_X5Y147 SLICE_X7Y147 TILESITE CLBLM_R_X5Y148 SLICE_X6Y148 TILESITE CLBLM_R_X5Y148 SLICE_X7Y148 TILESITE CLBLM_R_X5Y149 SLICE_X6Y149 TILESITE CLBLM_R_X5Y149 SLICE_X7Y149 TILESITE CLBLM_R_X7Y0 SLICE_X8Y0 TILESITE CLBLM_R_X7Y0 SLICE_X9Y0 TILESITE CLBLM_R_X7Y1 SLICE_X8Y1 TILESITE CLBLM_R_X7Y1 SLICE_X9Y1 TILESITE CLBLM_R_X7Y2 SLICE_X8Y2 TILESITE CLBLM_R_X7Y2 SLICE_X9Y2 TILESITE CLBLM_R_X7Y3 SLICE_X8Y3 TILESITE CLBLM_R_X7Y3 SLICE_X9Y3 TILESITE CLBLM_R_X7Y4 SLICE_X8Y4 TILESITE CLBLM_R_X7Y4 SLICE_X9Y4 TILESITE CLBLM_R_X7Y5 SLICE_X8Y5 TILESITE CLBLM_R_X7Y5 SLICE_X9Y5 TILESITE CLBLM_R_X7Y6 SLICE_X8Y6 TILESITE CLBLM_R_X7Y6 SLICE_X9Y6 TILESITE CLBLM_R_X7Y7 SLICE_X8Y7 TILESITE CLBLM_R_X7Y7 SLICE_X9Y7 TILESITE CLBLM_R_X7Y8 SLICE_X8Y8 TILESITE CLBLM_R_X7Y8 SLICE_X9Y8 TILESITE CLBLM_R_X7Y9 SLICE_X8Y9 TILESITE CLBLM_R_X7Y9 SLICE_X9Y9 TILESITE CLBLM_R_X7Y10 SLICE_X8Y10 TILESITE CLBLM_R_X7Y10 SLICE_X9Y10 TILESITE CLBLM_R_X7Y11 SLICE_X8Y11 TILESITE CLBLM_R_X7Y11 SLICE_X9Y11 TILESITE CLBLM_R_X7Y12 SLICE_X8Y12 TILESITE CLBLM_R_X7Y12 SLICE_X9Y12 TILESITE CLBLM_R_X7Y13 SLICE_X8Y13 TILESITE CLBLM_R_X7Y13 SLICE_X9Y13 TILESITE CLBLM_R_X7Y14 SLICE_X8Y14 TILESITE CLBLM_R_X7Y14 SLICE_X9Y14 TILESITE CLBLM_R_X7Y15 SLICE_X8Y15 TILESITE CLBLM_R_X7Y15 SLICE_X9Y15 TILESITE CLBLM_R_X7Y16 SLICE_X8Y16 TILESITE CLBLM_R_X7Y16 SLICE_X9Y16 TILESITE CLBLM_R_X7Y17 SLICE_X8Y17 TILESITE CLBLM_R_X7Y17 SLICE_X9Y17 TILESITE CLBLM_R_X7Y18 SLICE_X8Y18 TILESITE CLBLM_R_X7Y18 SLICE_X9Y18 TILESITE CLBLM_R_X7Y19 SLICE_X8Y19 TILESITE CLBLM_R_X7Y19 SLICE_X9Y19 TILESITE CLBLM_R_X7Y20 SLICE_X8Y20 TILESITE CLBLM_R_X7Y20 SLICE_X9Y20 TILESITE CLBLM_R_X7Y21 SLICE_X8Y21 TILESITE CLBLM_R_X7Y21 SLICE_X9Y21 TILESITE CLBLM_R_X7Y22 SLICE_X8Y22 TILESITE CLBLM_R_X7Y22 SLICE_X9Y22 TILESITE CLBLM_R_X7Y23 SLICE_X8Y23 TILESITE CLBLM_R_X7Y23 SLICE_X9Y23 TILESITE CLBLM_R_X7Y24 SLICE_X8Y24 TILESITE CLBLM_R_X7Y24 SLICE_X9Y24 TILESITE CLBLM_R_X7Y25 SLICE_X8Y25 TILESITE CLBLM_R_X7Y25 SLICE_X9Y25 TILESITE CLBLM_R_X7Y26 SLICE_X8Y26 TILESITE CLBLM_R_X7Y26 SLICE_X9Y26 TILESITE CLBLM_R_X7Y27 SLICE_X8Y27 TILESITE CLBLM_R_X7Y27 SLICE_X9Y27 TILESITE CLBLM_R_X7Y28 SLICE_X8Y28 TILESITE CLBLM_R_X7Y28 SLICE_X9Y28 TILESITE CLBLM_R_X7Y29 SLICE_X8Y29 TILESITE CLBLM_R_X7Y29 SLICE_X9Y29 TILESITE CLBLM_R_X7Y30 SLICE_X8Y30 TILESITE CLBLM_R_X7Y30 SLICE_X9Y30 TILESITE CLBLM_R_X7Y31 SLICE_X8Y31 TILESITE CLBLM_R_X7Y31 SLICE_X9Y31 TILESITE CLBLM_R_X7Y32 SLICE_X8Y32 TILESITE CLBLM_R_X7Y32 SLICE_X9Y32 TILESITE CLBLM_R_X7Y33 SLICE_X8Y33 TILESITE CLBLM_R_X7Y33 SLICE_X9Y33 TILESITE CLBLM_R_X7Y34 SLICE_X8Y34 TILESITE CLBLM_R_X7Y34 SLICE_X9Y34 TILESITE CLBLM_R_X7Y35 SLICE_X8Y35 TILESITE CLBLM_R_X7Y35 SLICE_X9Y35 TILESITE CLBLM_R_X7Y36 SLICE_X8Y36 TILESITE CLBLM_R_X7Y36 SLICE_X9Y36 TILESITE CLBLM_R_X7Y37 SLICE_X8Y37 TILESITE CLBLM_R_X7Y37 SLICE_X9Y37 TILESITE CLBLM_R_X7Y38 SLICE_X8Y38 TILESITE CLBLM_R_X7Y38 SLICE_X9Y38 TILESITE CLBLM_R_X7Y39 SLICE_X8Y39 TILESITE CLBLM_R_X7Y39 SLICE_X9Y39 TILESITE CLBLM_R_X7Y40 SLICE_X8Y40 TILESITE CLBLM_R_X7Y40 SLICE_X9Y40 TILESITE CLBLM_R_X7Y41 SLICE_X8Y41 TILESITE CLBLM_R_X7Y41 SLICE_X9Y41 TILESITE CLBLM_R_X7Y42 SLICE_X8Y42 TILESITE CLBLM_R_X7Y42 SLICE_X9Y42 TILESITE CLBLM_R_X7Y43 SLICE_X8Y43 TILESITE CLBLM_R_X7Y43 SLICE_X9Y43 TILESITE CLBLM_R_X7Y44 SLICE_X8Y44 TILESITE CLBLM_R_X7Y44 SLICE_X9Y44 TILESITE CLBLM_R_X7Y45 SLICE_X8Y45 TILESITE CLBLM_R_X7Y45 SLICE_X9Y45 TILESITE CLBLM_R_X7Y46 SLICE_X8Y46 TILESITE CLBLM_R_X7Y46 SLICE_X9Y46 TILESITE CLBLM_R_X7Y47 SLICE_X8Y47 TILESITE CLBLM_R_X7Y47 SLICE_X9Y47 TILESITE CLBLM_R_X7Y48 SLICE_X8Y48 TILESITE CLBLM_R_X7Y48 SLICE_X9Y48 TILESITE CLBLM_R_X7Y49 SLICE_X8Y49 TILESITE CLBLM_R_X7Y49 SLICE_X9Y49 TILESITE CLBLM_R_X7Y50 SLICE_X8Y50 TILESITE CLBLM_R_X7Y50 SLICE_X9Y50 TILESITE CLBLM_R_X7Y51 SLICE_X8Y51 TILESITE CLBLM_R_X7Y51 SLICE_X9Y51 TILESITE CLBLM_R_X7Y52 SLICE_X8Y52 TILESITE CLBLM_R_X7Y52 SLICE_X9Y52 TILESITE CLBLM_R_X7Y53 SLICE_X8Y53 TILESITE CLBLM_R_X7Y53 SLICE_X9Y53 TILESITE CLBLM_R_X7Y54 SLICE_X8Y54 TILESITE CLBLM_R_X7Y54 SLICE_X9Y54 TILESITE CLBLM_R_X7Y55 SLICE_X8Y55 TILESITE CLBLM_R_X7Y55 SLICE_X9Y55 TILESITE CLBLM_R_X7Y56 SLICE_X8Y56 TILESITE CLBLM_R_X7Y56 SLICE_X9Y56 TILESITE CLBLM_R_X7Y57 SLICE_X8Y57 TILESITE CLBLM_R_X7Y57 SLICE_X9Y57 TILESITE CLBLM_R_X7Y58 SLICE_X8Y58 TILESITE CLBLM_R_X7Y58 SLICE_X9Y58 TILESITE CLBLM_R_X7Y59 SLICE_X8Y59 TILESITE CLBLM_R_X7Y59 SLICE_X9Y59 TILESITE CLBLM_R_X7Y60 SLICE_X8Y60 TILESITE CLBLM_R_X7Y60 SLICE_X9Y60 TILESITE CLBLM_R_X7Y61 SLICE_X8Y61 TILESITE CLBLM_R_X7Y61 SLICE_X9Y61 TILESITE CLBLM_R_X7Y62 SLICE_X8Y62 TILESITE CLBLM_R_X7Y62 SLICE_X9Y62 TILESITE CLBLM_R_X7Y63 SLICE_X8Y63 TILESITE CLBLM_R_X7Y63 SLICE_X9Y63 TILESITE CLBLM_R_X7Y64 SLICE_X8Y64 TILESITE CLBLM_R_X7Y64 SLICE_X9Y64 TILESITE CLBLM_R_X7Y65 SLICE_X8Y65 TILESITE CLBLM_R_X7Y65 SLICE_X9Y65 TILESITE CLBLM_R_X7Y66 SLICE_X8Y66 TILESITE CLBLM_R_X7Y66 SLICE_X9Y66 TILESITE CLBLM_R_X7Y67 SLICE_X8Y67 TILESITE CLBLM_R_X7Y67 SLICE_X9Y67 TILESITE CLBLM_R_X7Y68 SLICE_X8Y68 TILESITE CLBLM_R_X7Y68 SLICE_X9Y68 TILESITE CLBLM_R_X7Y69 SLICE_X8Y69 TILESITE CLBLM_R_X7Y69 SLICE_X9Y69 TILESITE CLBLM_R_X7Y70 SLICE_X8Y70 TILESITE CLBLM_R_X7Y70 SLICE_X9Y70 TILESITE CLBLM_R_X7Y71 SLICE_X8Y71 TILESITE CLBLM_R_X7Y71 SLICE_X9Y71 TILESITE CLBLM_R_X7Y72 SLICE_X8Y72 TILESITE CLBLM_R_X7Y72 SLICE_X9Y72 TILESITE CLBLM_R_X7Y73 SLICE_X8Y73 TILESITE CLBLM_R_X7Y73 SLICE_X9Y73 TILESITE CLBLM_R_X7Y74 SLICE_X8Y74 TILESITE CLBLM_R_X7Y74 SLICE_X9Y74 TILESITE CLBLM_R_X7Y75 SLICE_X8Y75 TILESITE CLBLM_R_X7Y75 SLICE_X9Y75 TILESITE CLBLM_R_X7Y76 SLICE_X8Y76 TILESITE CLBLM_R_X7Y76 SLICE_X9Y76 TILESITE CLBLM_R_X7Y77 SLICE_X8Y77 TILESITE CLBLM_R_X7Y77 SLICE_X9Y77 TILESITE CLBLM_R_X7Y78 SLICE_X8Y78 TILESITE CLBLM_R_X7Y78 SLICE_X9Y78 TILESITE CLBLM_R_X7Y79 SLICE_X8Y79 TILESITE CLBLM_R_X7Y79 SLICE_X9Y79 TILESITE CLBLM_R_X7Y80 SLICE_X8Y80 TILESITE CLBLM_R_X7Y80 SLICE_X9Y80 TILESITE CLBLM_R_X7Y81 SLICE_X8Y81 TILESITE CLBLM_R_X7Y81 SLICE_X9Y81 TILESITE CLBLM_R_X7Y82 SLICE_X8Y82 TILESITE CLBLM_R_X7Y82 SLICE_X9Y82 TILESITE CLBLM_R_X7Y83 SLICE_X8Y83 TILESITE CLBLM_R_X7Y83 SLICE_X9Y83 TILESITE CLBLM_R_X7Y84 SLICE_X8Y84 TILESITE CLBLM_R_X7Y84 SLICE_X9Y84 TILESITE CLBLM_R_X7Y85 SLICE_X8Y85 TILESITE CLBLM_R_X7Y85 SLICE_X9Y85 TILESITE CLBLM_R_X7Y86 SLICE_X8Y86 TILESITE CLBLM_R_X7Y86 SLICE_X9Y86 TILESITE CLBLM_R_X7Y87 SLICE_X8Y87 TILESITE CLBLM_R_X7Y87 SLICE_X9Y87 TILESITE CLBLM_R_X7Y88 SLICE_X8Y88 TILESITE CLBLM_R_X7Y88 SLICE_X9Y88 TILESITE CLBLM_R_X7Y89 SLICE_X8Y89 TILESITE CLBLM_R_X7Y89 SLICE_X9Y89 TILESITE CLBLM_R_X7Y90 SLICE_X8Y90 TILESITE CLBLM_R_X7Y90 SLICE_X9Y90 TILESITE CLBLM_R_X7Y91 SLICE_X8Y91 TILESITE CLBLM_R_X7Y91 SLICE_X9Y91 TILESITE CLBLM_R_X7Y92 SLICE_X8Y92 TILESITE CLBLM_R_X7Y92 SLICE_X9Y92 TILESITE CLBLM_R_X7Y93 SLICE_X8Y93 TILESITE CLBLM_R_X7Y93 SLICE_X9Y93 TILESITE CLBLM_R_X7Y94 SLICE_X8Y94 TILESITE CLBLM_R_X7Y94 SLICE_X9Y94 TILESITE CLBLM_R_X7Y95 SLICE_X8Y95 TILESITE CLBLM_R_X7Y95 SLICE_X9Y95 TILESITE CLBLM_R_X7Y96 SLICE_X8Y96 TILESITE CLBLM_R_X7Y96 SLICE_X9Y96 TILESITE CLBLM_R_X7Y97 SLICE_X8Y97 TILESITE CLBLM_R_X7Y97 SLICE_X9Y97 TILESITE CLBLM_R_X7Y98 SLICE_X8Y98 TILESITE CLBLM_R_X7Y98 SLICE_X9Y98 TILESITE CLBLM_R_X7Y99 SLICE_X8Y99 TILESITE CLBLM_R_X7Y99 SLICE_X9Y99 TILESITE CLBLM_R_X7Y100 SLICE_X8Y100 TILESITE CLBLM_R_X7Y100 SLICE_X9Y100 TILESITE CLBLM_R_X7Y101 SLICE_X8Y101 TILESITE CLBLM_R_X7Y101 SLICE_X9Y101 TILESITE CLBLM_R_X7Y102 SLICE_X8Y102 TILESITE CLBLM_R_X7Y102 SLICE_X9Y102 TILESITE CLBLM_R_X7Y103 SLICE_X8Y103 TILESITE CLBLM_R_X7Y103 SLICE_X9Y103 TILESITE CLBLM_R_X7Y104 SLICE_X8Y104 TILESITE CLBLM_R_X7Y104 SLICE_X9Y104 TILESITE CLBLM_R_X7Y105 SLICE_X8Y105 TILESITE CLBLM_R_X7Y105 SLICE_X9Y105 TILESITE CLBLM_R_X7Y106 SLICE_X8Y106 TILESITE CLBLM_R_X7Y106 SLICE_X9Y106 TILESITE CLBLM_R_X7Y107 SLICE_X8Y107 TILESITE CLBLM_R_X7Y107 SLICE_X9Y107 TILESITE CLBLM_R_X7Y108 SLICE_X8Y108 TILESITE CLBLM_R_X7Y108 SLICE_X9Y108 TILESITE CLBLM_R_X7Y109 SLICE_X8Y109 TILESITE CLBLM_R_X7Y109 SLICE_X9Y109 TILESITE CLBLM_R_X7Y110 SLICE_X8Y110 TILESITE CLBLM_R_X7Y110 SLICE_X9Y110 TILESITE CLBLM_R_X7Y111 SLICE_X8Y111 TILESITE CLBLM_R_X7Y111 SLICE_X9Y111 TILESITE CLBLM_R_X7Y112 SLICE_X8Y112 TILESITE CLBLM_R_X7Y112 SLICE_X9Y112 TILESITE CLBLM_R_X7Y113 SLICE_X8Y113 TILESITE CLBLM_R_X7Y113 SLICE_X9Y113 TILESITE CLBLM_R_X7Y114 SLICE_X8Y114 TILESITE CLBLM_R_X7Y114 SLICE_X9Y114 TILESITE CLBLM_R_X7Y115 SLICE_X8Y115 TILESITE CLBLM_R_X7Y115 SLICE_X9Y115 TILESITE CLBLM_R_X7Y116 SLICE_X8Y116 TILESITE CLBLM_R_X7Y116 SLICE_X9Y116 TILESITE CLBLM_R_X7Y117 SLICE_X8Y117 TILESITE CLBLM_R_X7Y117 SLICE_X9Y117 TILESITE CLBLM_R_X7Y118 SLICE_X8Y118 TILESITE CLBLM_R_X7Y118 SLICE_X9Y118 TILESITE CLBLM_R_X7Y119 SLICE_X8Y119 TILESITE CLBLM_R_X7Y119 SLICE_X9Y119 TILESITE CLBLM_R_X7Y120 SLICE_X8Y120 TILESITE CLBLM_R_X7Y120 SLICE_X9Y120 TILESITE CLBLM_R_X7Y121 SLICE_X8Y121 TILESITE CLBLM_R_X7Y121 SLICE_X9Y121 TILESITE CLBLM_R_X7Y122 SLICE_X8Y122 TILESITE CLBLM_R_X7Y122 SLICE_X9Y122 TILESITE CLBLM_R_X7Y123 SLICE_X8Y123 TILESITE CLBLM_R_X7Y123 SLICE_X9Y123 TILESITE CLBLM_R_X7Y124 SLICE_X8Y124 TILESITE CLBLM_R_X7Y124 SLICE_X9Y124 TILESITE CLBLM_R_X7Y125 SLICE_X8Y125 TILESITE CLBLM_R_X7Y125 SLICE_X9Y125 TILESITE CLBLM_R_X7Y126 SLICE_X8Y126 TILESITE CLBLM_R_X7Y126 SLICE_X9Y126 TILESITE CLBLM_R_X7Y127 SLICE_X8Y127 TILESITE CLBLM_R_X7Y127 SLICE_X9Y127 TILESITE CLBLM_R_X7Y128 SLICE_X8Y128 TILESITE CLBLM_R_X7Y128 SLICE_X9Y128 TILESITE CLBLM_R_X7Y129 SLICE_X8Y129 TILESITE CLBLM_R_X7Y129 SLICE_X9Y129 TILESITE CLBLM_R_X7Y130 SLICE_X8Y130 TILESITE CLBLM_R_X7Y130 SLICE_X9Y130 TILESITE CLBLM_R_X7Y131 SLICE_X8Y131 TILESITE CLBLM_R_X7Y131 SLICE_X9Y131 TILESITE CLBLM_R_X7Y132 SLICE_X8Y132 TILESITE CLBLM_R_X7Y132 SLICE_X9Y132 TILESITE CLBLM_R_X7Y133 SLICE_X8Y133 TILESITE CLBLM_R_X7Y133 SLICE_X9Y133 TILESITE CLBLM_R_X7Y134 SLICE_X8Y134 TILESITE CLBLM_R_X7Y134 SLICE_X9Y134 TILESITE CLBLM_R_X7Y135 SLICE_X8Y135 TILESITE CLBLM_R_X7Y135 SLICE_X9Y135 TILESITE CLBLM_R_X7Y136 SLICE_X8Y136 TILESITE CLBLM_R_X7Y136 SLICE_X9Y136 TILESITE CLBLM_R_X7Y137 SLICE_X8Y137 TILESITE CLBLM_R_X7Y137 SLICE_X9Y137 TILESITE CLBLM_R_X7Y138 SLICE_X8Y138 TILESITE CLBLM_R_X7Y138 SLICE_X9Y138 TILESITE CLBLM_R_X7Y139 SLICE_X8Y139 TILESITE CLBLM_R_X7Y139 SLICE_X9Y139 TILESITE CLBLM_R_X7Y140 SLICE_X8Y140 TILESITE CLBLM_R_X7Y140 SLICE_X9Y140 TILESITE CLBLM_R_X7Y141 SLICE_X8Y141 TILESITE CLBLM_R_X7Y141 SLICE_X9Y141 TILESITE CLBLM_R_X7Y142 SLICE_X8Y142 TILESITE CLBLM_R_X7Y142 SLICE_X9Y142 TILESITE CLBLM_R_X7Y143 SLICE_X8Y143 TILESITE CLBLM_R_X7Y143 SLICE_X9Y143 TILESITE CLBLM_R_X7Y144 SLICE_X8Y144 TILESITE CLBLM_R_X7Y144 SLICE_X9Y144 TILESITE CLBLM_R_X7Y145 SLICE_X8Y145 TILESITE CLBLM_R_X7Y145 SLICE_X9Y145 TILESITE CLBLM_R_X7Y146 SLICE_X8Y146 TILESITE CLBLM_R_X7Y146 SLICE_X9Y146 TILESITE CLBLM_R_X7Y147 SLICE_X8Y147 TILESITE CLBLM_R_X7Y147 SLICE_X9Y147 TILESITE CLBLM_R_X7Y148 SLICE_X8Y148 TILESITE CLBLM_R_X7Y148 SLICE_X9Y148 TILESITE CLBLM_R_X7Y149 SLICE_X8Y149 TILESITE CLBLM_R_X7Y149 SLICE_X9Y149 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y0 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y1 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y2 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y3 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y4 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y5 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y6 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y7 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y8 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y9 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y10 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y11 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y12 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y13 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y14 TILESITE CLK_BUFG_BOT_R_X60Y48 BUFGCTRL_X0Y15 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y16 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y17 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y18 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y19 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y20 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y21 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y22 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y23 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y24 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y25 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y26 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y27 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y28 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y29 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y30 TILESITE CLK_BUFG_TOP_R_X60Y53 BUFGCTRL_X0Y31 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y0 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y1 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y2 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y3 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y4 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y5 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y6 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y7 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y8 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y9 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y10 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X0Y11 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y0 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y1 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y2 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y3 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y4 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y5 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y6 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y7 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y8 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y9 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y10 TILESITE CLK_HROW_BOT_R_X60Y26 BUFHCE_X1Y11 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y12 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y13 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y14 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y15 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y16 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y17 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y18 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y19 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y20 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y21 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y22 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X0Y23 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y12 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y13 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y14 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y15 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y16 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y17 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y18 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y19 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y20 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y21 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y22 TILESITE CLK_HROW_TOP_R_X60Y78 BUFHCE_X1Y23 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y24 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y25 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y26 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y27 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y28 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y29 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y30 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y31 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y32 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y33 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y34 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X0Y35 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y24 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y25 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y26 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y27 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y28 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y29 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y30 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y31 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y32 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y33 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y34 TILESITE CLK_HROW_TOP_R_X60Y130 BUFHCE_X1Y35 TILESITE CLK_PMV2_X60Y43 PMV_X0Y2 TILESITE CMT_FIFO_L_X107Y8 IN_FIFO_X1Y0 TILESITE CMT_FIFO_L_X107Y8 OUT_FIFO_X1Y0 TILESITE CMT_FIFO_L_X107Y20 IN_FIFO_X1Y1 TILESITE CMT_FIFO_L_X107Y20 OUT_FIFO_X1Y1 TILESITE CMT_FIFO_L_X107Y33 IN_FIFO_X1Y2 TILESITE CMT_FIFO_L_X107Y33 OUT_FIFO_X1Y2 TILESITE CMT_FIFO_L_X107Y45 IN_FIFO_X1Y3 TILESITE CMT_FIFO_L_X107Y45 OUT_FIFO_X1Y3 TILESITE CMT_FIFO_L_X107Y60 IN_FIFO_X1Y4 TILESITE CMT_FIFO_L_X107Y60 OUT_FIFO_X1Y4 TILESITE CMT_FIFO_L_X107Y72 IN_FIFO_X1Y5 TILESITE CMT_FIFO_L_X107Y72 OUT_FIFO_X1Y5 TILESITE CMT_FIFO_L_X107Y85 IN_FIFO_X1Y6 TILESITE CMT_FIFO_L_X107Y85 OUT_FIFO_X1Y6 TILESITE CMT_FIFO_L_X107Y97 IN_FIFO_X1Y7 TILESITE CMT_FIFO_L_X107Y97 OUT_FIFO_X1Y7 TILESITE CMT_FIFO_R_X7Y8 IN_FIFO_X0Y0 TILESITE CMT_FIFO_R_X7Y8 OUT_FIFO_X0Y0 TILESITE CMT_FIFO_R_X7Y20 IN_FIFO_X0Y1 TILESITE CMT_FIFO_R_X7Y20 OUT_FIFO_X0Y1 TILESITE CMT_FIFO_R_X7Y33 IN_FIFO_X0Y2 TILESITE CMT_FIFO_R_X7Y33 OUT_FIFO_X0Y2 TILESITE CMT_FIFO_R_X7Y45 IN_FIFO_X0Y3 TILESITE CMT_FIFO_R_X7Y45 OUT_FIFO_X0Y3 TILESITE CMT_FIFO_R_X7Y60 IN_FIFO_X0Y4 TILESITE CMT_FIFO_R_X7Y60 OUT_FIFO_X0Y4 TILESITE CMT_FIFO_R_X7Y72 IN_FIFO_X0Y5 TILESITE CMT_FIFO_R_X7Y72 OUT_FIFO_X0Y5 TILESITE CMT_FIFO_R_X7Y85 IN_FIFO_X0Y6 TILESITE CMT_FIFO_R_X7Y85 OUT_FIFO_X0Y6 TILESITE CMT_FIFO_R_X7Y97 IN_FIFO_X0Y7 TILESITE CMT_FIFO_R_X7Y97 OUT_FIFO_X0Y7 TILESITE CMT_FIFO_R_X7Y112 IN_FIFO_X0Y8 TILESITE CMT_FIFO_R_X7Y112 OUT_FIFO_X0Y8 TILESITE CMT_FIFO_R_X7Y124 IN_FIFO_X0Y9 TILESITE CMT_FIFO_R_X7Y124 OUT_FIFO_X0Y9 TILESITE CMT_FIFO_R_X7Y137 IN_FIFO_X0Y10 TILESITE CMT_FIFO_R_X7Y137 OUT_FIFO_X0Y10 TILESITE CMT_FIFO_R_X7Y149 IN_FIFO_X0Y11 TILESITE CMT_FIFO_R_X7Y149 OUT_FIFO_X0Y11 TILESITE CMT_TOP_L_LOWER_B_X106Y9 MMCME2_ADV_X1Y0 TILESITE CMT_TOP_L_LOWER_B_X106Y61 MMCME2_ADV_X1Y1 TILESITE CMT_TOP_L_LOWER_T_X106Y18 PHASER_IN_PHY_X1Y0 TILESITE CMT_TOP_L_LOWER_T_X106Y18 PHASER_IN_PHY_X1Y1 TILESITE CMT_TOP_L_LOWER_T_X106Y18 PHASER_OUT_PHY_X1Y0 TILESITE CMT_TOP_L_LOWER_T_X106Y18 PHASER_OUT_PHY_X1Y1 TILESITE CMT_TOP_L_LOWER_T_X106Y70 PHASER_IN_PHY_X1Y4 TILESITE CMT_TOP_L_LOWER_T_X106Y70 PHASER_IN_PHY_X1Y5 TILESITE CMT_TOP_L_LOWER_T_X106Y70 PHASER_OUT_PHY_X1Y4 TILESITE CMT_TOP_L_LOWER_T_X106Y70 PHASER_OUT_PHY_X1Y5 TILESITE CMT_TOP_L_UPPER_B_X106Y31 PHASER_IN_PHY_X1Y2 TILESITE CMT_TOP_L_UPPER_B_X106Y31 PHASER_IN_PHY_X1Y3 TILESITE CMT_TOP_L_UPPER_B_X106Y31 PHASER_OUT_PHY_X1Y2 TILESITE CMT_TOP_L_UPPER_B_X106Y31 PHASER_OUT_PHY_X1Y3 TILESITE CMT_TOP_L_UPPER_B_X106Y31 PHASER_REF_X1Y0 TILESITE CMT_TOP_L_UPPER_B_X106Y31 PHY_CONTROL_X1Y0 TILESITE CMT_TOP_L_UPPER_B_X106Y83 PHASER_IN_PHY_X1Y6 TILESITE CMT_TOP_L_UPPER_B_X106Y83 PHASER_IN_PHY_X1Y7 TILESITE CMT_TOP_L_UPPER_B_X106Y83 PHASER_OUT_PHY_X1Y6 TILESITE CMT_TOP_L_UPPER_B_X106Y83 PHASER_OUT_PHY_X1Y7 TILESITE CMT_TOP_L_UPPER_B_X106Y83 PHASER_REF_X1Y1 TILESITE CMT_TOP_L_UPPER_B_X106Y83 PHY_CONTROL_X1Y1 TILESITE CMT_TOP_L_UPPER_T_X106Y44 PLLE2_ADV_X1Y0 TILESITE CMT_TOP_L_UPPER_T_X106Y96 PLLE2_ADV_X1Y1 TILESITE CMT_TOP_R_LOWER_B_X8Y9 MMCME2_ADV_X0Y0 TILESITE CMT_TOP_R_LOWER_B_X8Y61 MMCME2_ADV_X0Y1 TILESITE CMT_TOP_R_LOWER_B_X8Y113 MMCME2_ADV_X0Y2 TILESITE CMT_TOP_R_LOWER_T_X8Y18 PHASER_IN_PHY_X0Y0 TILESITE CMT_TOP_R_LOWER_T_X8Y18 PHASER_IN_PHY_X0Y1 TILESITE CMT_TOP_R_LOWER_T_X8Y18 PHASER_OUT_PHY_X0Y0 TILESITE CMT_TOP_R_LOWER_T_X8Y18 PHASER_OUT_PHY_X0Y1 TILESITE CMT_TOP_R_LOWER_T_X8Y70 PHASER_IN_PHY_X0Y4 TILESITE CMT_TOP_R_LOWER_T_X8Y70 PHASER_IN_PHY_X0Y5 TILESITE CMT_TOP_R_LOWER_T_X8Y70 PHASER_OUT_PHY_X0Y4 TILESITE CMT_TOP_R_LOWER_T_X8Y70 PHASER_OUT_PHY_X0Y5 TILESITE CMT_TOP_R_LOWER_T_X8Y122 PHASER_IN_PHY_X0Y8 TILESITE CMT_TOP_R_LOWER_T_X8Y122 PHASER_IN_PHY_X0Y9 TILESITE CMT_TOP_R_LOWER_T_X8Y122 PHASER_OUT_PHY_X0Y8 TILESITE CMT_TOP_R_LOWER_T_X8Y122 PHASER_OUT_PHY_X0Y9 TILESITE CMT_TOP_R_UPPER_B_X8Y31 PHASER_IN_PHY_X0Y2 TILESITE CMT_TOP_R_UPPER_B_X8Y31 PHASER_IN_PHY_X0Y3 TILESITE CMT_TOP_R_UPPER_B_X8Y31 PHASER_OUT_PHY_X0Y2 TILESITE CMT_TOP_R_UPPER_B_X8Y31 PHASER_OUT_PHY_X0Y3 TILESITE CMT_TOP_R_UPPER_B_X8Y31 PHASER_REF_X0Y0 TILESITE CMT_TOP_R_UPPER_B_X8Y31 PHY_CONTROL_X0Y0 TILESITE CMT_TOP_R_UPPER_B_X8Y83 PHASER_IN_PHY_X0Y6 TILESITE CMT_TOP_R_UPPER_B_X8Y83 PHASER_IN_PHY_X0Y7 TILESITE CMT_TOP_R_UPPER_B_X8Y83 PHASER_OUT_PHY_X0Y6 TILESITE CMT_TOP_R_UPPER_B_X8Y83 PHASER_OUT_PHY_X0Y7 TILESITE CMT_TOP_R_UPPER_B_X8Y83 PHASER_REF_X0Y1 TILESITE CMT_TOP_R_UPPER_B_X8Y83 PHY_CONTROL_X0Y1 TILESITE CMT_TOP_R_UPPER_B_X8Y135 PHASER_IN_PHY_X0Y10 TILESITE CMT_TOP_R_UPPER_B_X8Y135 PHASER_IN_PHY_X0Y11 TILESITE CMT_TOP_R_UPPER_B_X8Y135 PHASER_OUT_PHY_X0Y10 TILESITE CMT_TOP_R_UPPER_B_X8Y135 PHASER_OUT_PHY_X0Y11 TILESITE CMT_TOP_R_UPPER_B_X8Y135 PHASER_REF_X0Y2 TILESITE CMT_TOP_R_UPPER_B_X8Y135 PHY_CONTROL_X0Y2 TILESITE CMT_TOP_R_UPPER_T_X8Y44 PLLE2_ADV_X0Y0 TILESITE CMT_TOP_R_UPPER_T_X8Y96 PLLE2_ADV_X0Y1 TILESITE CMT_TOP_R_UPPER_T_X8Y148 PLLE2_ADV_X0Y2 TILESITE DSP_L_X34Y0 DSP48_X1Y0 TILESITE DSP_L_X34Y0 DSP48_X1Y1 TILESITE DSP_L_X34Y0 TIEOFF_X35Y0 TILESITE DSP_L_X34Y5 DSP48_X1Y2 TILESITE DSP_L_X34Y5 DSP48_X1Y3 TILESITE DSP_L_X34Y5 TIEOFF_X35Y5 TILESITE DSP_L_X34Y10 DSP48_X1Y4 TILESITE DSP_L_X34Y10 DSP48_X1Y5 TILESITE DSP_L_X34Y10 TIEOFF_X35Y10 TILESITE DSP_L_X34Y15 DSP48_X1Y6 TILESITE DSP_L_X34Y15 DSP48_X1Y7 TILESITE DSP_L_X34Y15 TIEOFF_X35Y15 TILESITE DSP_L_X34Y20 DSP48_X1Y8 TILESITE DSP_L_X34Y20 DSP48_X1Y9 TILESITE DSP_L_X34Y20 TIEOFF_X35Y20 TILESITE DSP_L_X34Y25 DSP48_X1Y10 TILESITE DSP_L_X34Y25 DSP48_X1Y11 TILESITE DSP_L_X34Y25 TIEOFF_X35Y25 TILESITE DSP_L_X34Y30 DSP48_X1Y12 TILESITE DSP_L_X34Y30 DSP48_X1Y13 TILESITE DSP_L_X34Y30 TIEOFF_X35Y30 TILESITE DSP_L_X34Y35 DSP48_X1Y14 TILESITE DSP_L_X34Y35 DSP48_X1Y15 TILESITE DSP_L_X34Y35 TIEOFF_X35Y35 TILESITE DSP_L_X34Y40 DSP48_X1Y16 TILESITE DSP_L_X34Y40 DSP48_X1Y17 TILESITE DSP_L_X34Y40 TIEOFF_X35Y40 TILESITE DSP_L_X34Y45 DSP48_X1Y18 TILESITE DSP_L_X34Y45 DSP48_X1Y19 TILESITE DSP_L_X34Y45 TIEOFF_X35Y45 TILESITE DSP_L_X34Y50 DSP48_X1Y20 TILESITE DSP_L_X34Y50 DSP48_X1Y21 TILESITE DSP_L_X34Y50 TIEOFF_X35Y50 TILESITE DSP_L_X34Y55 DSP48_X1Y22 TILESITE DSP_L_X34Y55 DSP48_X1Y23 TILESITE DSP_L_X34Y55 TIEOFF_X35Y55 TILESITE DSP_L_X34Y60 DSP48_X1Y24 TILESITE DSP_L_X34Y60 DSP48_X1Y25 TILESITE DSP_L_X34Y60 TIEOFF_X35Y60 TILESITE DSP_L_X34Y65 DSP48_X1Y26 TILESITE DSP_L_X34Y65 DSP48_X1Y27 TILESITE DSP_L_X34Y65 TIEOFF_X35Y65 TILESITE DSP_L_X34Y70 DSP48_X1Y28 TILESITE DSP_L_X34Y70 DSP48_X1Y29 TILESITE DSP_L_X34Y70 TIEOFF_X35Y70 TILESITE DSP_L_X34Y75 DSP48_X1Y30 TILESITE DSP_L_X34Y75 DSP48_X1Y31 TILESITE DSP_L_X34Y75 TIEOFF_X35Y75 TILESITE DSP_L_X34Y80 DSP48_X1Y32 TILESITE DSP_L_X34Y80 DSP48_X1Y33 TILESITE DSP_L_X34Y80 TIEOFF_X35Y80 TILESITE DSP_L_X34Y85 DSP48_X1Y34 TILESITE DSP_L_X34Y85 DSP48_X1Y35 TILESITE DSP_L_X34Y85 TIEOFF_X35Y85 TILESITE DSP_L_X34Y90 DSP48_X1Y36 TILESITE DSP_L_X34Y90 DSP48_X1Y37 TILESITE DSP_L_X34Y90 TIEOFF_X35Y90 TILESITE DSP_L_X34Y95 DSP48_X1Y38 TILESITE DSP_L_X34Y95 DSP48_X1Y39 TILESITE DSP_L_X34Y95 TIEOFF_X35Y95 TILESITE DSP_L_X34Y100 DSP48_X1Y40 TILESITE DSP_L_X34Y100 DSP48_X1Y41 TILESITE DSP_L_X34Y100 TIEOFF_X35Y100 TILESITE DSP_L_X34Y105 DSP48_X1Y42 TILESITE DSP_L_X34Y105 DSP48_X1Y43 TILESITE DSP_L_X34Y105 TIEOFF_X35Y105 TILESITE DSP_L_X34Y110 DSP48_X1Y44 TILESITE DSP_L_X34Y110 DSP48_X1Y45 TILESITE DSP_L_X34Y110 TIEOFF_X35Y110 TILESITE DSP_L_X34Y115 DSP48_X1Y46 TILESITE DSP_L_X34Y115 DSP48_X1Y47 TILESITE DSP_L_X34Y115 TIEOFF_X35Y115 TILESITE DSP_L_X34Y120 DSP48_X1Y48 TILESITE DSP_L_X34Y120 DSP48_X1Y49 TILESITE DSP_L_X34Y120 TIEOFF_X35Y120 TILESITE DSP_L_X34Y125 DSP48_X1Y50 TILESITE DSP_L_X34Y125 DSP48_X1Y51 TILESITE DSP_L_X34Y125 TIEOFF_X35Y125 TILESITE DSP_L_X34Y130 DSP48_X1Y52 TILESITE DSP_L_X34Y130 DSP48_X1Y53 TILESITE DSP_L_X34Y130 TIEOFF_X35Y130 TILESITE DSP_L_X34Y135 DSP48_X1Y54 TILESITE DSP_L_X34Y135 DSP48_X1Y55 TILESITE DSP_L_X34Y135 TIEOFF_X35Y135 TILESITE DSP_L_X34Y140 DSP48_X1Y56 TILESITE DSP_L_X34Y140 DSP48_X1Y57 TILESITE DSP_L_X34Y140 TIEOFF_X35Y140 TILESITE DSP_L_X34Y145 DSP48_X1Y58 TILESITE DSP_L_X34Y145 DSP48_X1Y59 TILESITE DSP_L_X34Y145 TIEOFF_X35Y145 TILESITE DSP_R_X9Y0 DSP48_X0Y0 TILESITE DSP_R_X9Y0 DSP48_X0Y1 TILESITE DSP_R_X9Y0 TIEOFF_X10Y0 TILESITE DSP_R_X9Y5 DSP48_X0Y2 TILESITE DSP_R_X9Y5 DSP48_X0Y3 TILESITE DSP_R_X9Y5 TIEOFF_X10Y5 TILESITE DSP_R_X9Y10 DSP48_X0Y4 TILESITE DSP_R_X9Y10 DSP48_X0Y5 TILESITE DSP_R_X9Y10 TIEOFF_X10Y10 TILESITE DSP_R_X9Y15 DSP48_X0Y6 TILESITE DSP_R_X9Y15 DSP48_X0Y7 TILESITE DSP_R_X9Y15 TIEOFF_X10Y15 TILESITE DSP_R_X9Y20 DSP48_X0Y8 TILESITE DSP_R_X9Y20 DSP48_X0Y9 TILESITE DSP_R_X9Y20 TIEOFF_X10Y20 TILESITE DSP_R_X9Y25 DSP48_X0Y10 TILESITE DSP_R_X9Y25 DSP48_X0Y11 TILESITE DSP_R_X9Y25 TIEOFF_X10Y25 TILESITE DSP_R_X9Y30 DSP48_X0Y12 TILESITE DSP_R_X9Y30 DSP48_X0Y13 TILESITE DSP_R_X9Y30 TIEOFF_X10Y30 TILESITE DSP_R_X9Y35 DSP48_X0Y14 TILESITE DSP_R_X9Y35 DSP48_X0Y15 TILESITE DSP_R_X9Y35 TIEOFF_X10Y35 TILESITE DSP_R_X9Y40 DSP48_X0Y16 TILESITE DSP_R_X9Y40 DSP48_X0Y17 TILESITE DSP_R_X9Y40 TIEOFF_X10Y40 TILESITE DSP_R_X9Y45 DSP48_X0Y18 TILESITE DSP_R_X9Y45 DSP48_X0Y19 TILESITE DSP_R_X9Y45 TIEOFF_X10Y45 TILESITE DSP_R_X9Y50 DSP48_X0Y20 TILESITE DSP_R_X9Y50 DSP48_X0Y21 TILESITE DSP_R_X9Y50 TIEOFF_X10Y50 TILESITE DSP_R_X9Y55 DSP48_X0Y22 TILESITE DSP_R_X9Y55 DSP48_X0Y23 TILESITE DSP_R_X9Y55 TIEOFF_X10Y55 TILESITE DSP_R_X9Y60 DSP48_X0Y24 TILESITE DSP_R_X9Y60 DSP48_X0Y25 TILESITE DSP_R_X9Y60 TIEOFF_X10Y60 TILESITE DSP_R_X9Y65 DSP48_X0Y26 TILESITE DSP_R_X9Y65 DSP48_X0Y27 TILESITE DSP_R_X9Y65 TIEOFF_X10Y65 TILESITE DSP_R_X9Y70 DSP48_X0Y28 TILESITE DSP_R_X9Y70 DSP48_X0Y29 TILESITE DSP_R_X9Y70 TIEOFF_X10Y70 TILESITE DSP_R_X9Y75 DSP48_X0Y30 TILESITE DSP_R_X9Y75 DSP48_X0Y31 TILESITE DSP_R_X9Y75 TIEOFF_X10Y75 TILESITE DSP_R_X9Y80 DSP48_X0Y32 TILESITE DSP_R_X9Y80 DSP48_X0Y33 TILESITE DSP_R_X9Y80 TIEOFF_X10Y80 TILESITE DSP_R_X9Y85 DSP48_X0Y34 TILESITE DSP_R_X9Y85 DSP48_X0Y35 TILESITE DSP_R_X9Y85 TIEOFF_X10Y85 TILESITE DSP_R_X9Y90 DSP48_X0Y36 TILESITE DSP_R_X9Y90 DSP48_X0Y37 TILESITE DSP_R_X9Y90 TIEOFF_X10Y90 TILESITE DSP_R_X9Y95 DSP48_X0Y38 TILESITE DSP_R_X9Y95 DSP48_X0Y39 TILESITE DSP_R_X9Y95 TIEOFF_X10Y95 TILESITE DSP_R_X9Y100 DSP48_X0Y40 TILESITE DSP_R_X9Y100 DSP48_X0Y41 TILESITE DSP_R_X9Y100 TIEOFF_X10Y100 TILESITE DSP_R_X9Y105 DSP48_X0Y42 TILESITE DSP_R_X9Y105 DSP48_X0Y43 TILESITE DSP_R_X9Y105 TIEOFF_X10Y105 TILESITE DSP_R_X9Y110 DSP48_X0Y44 TILESITE DSP_R_X9Y110 DSP48_X0Y45 TILESITE DSP_R_X9Y110 TIEOFF_X10Y110 TILESITE DSP_R_X9Y115 DSP48_X0Y46 TILESITE DSP_R_X9Y115 DSP48_X0Y47 TILESITE DSP_R_X9Y115 TIEOFF_X10Y115 TILESITE DSP_R_X9Y120 DSP48_X0Y48 TILESITE DSP_R_X9Y120 DSP48_X0Y49 TILESITE DSP_R_X9Y120 TIEOFF_X10Y120 TILESITE DSP_R_X9Y125 DSP48_X0Y50 TILESITE DSP_R_X9Y125 DSP48_X0Y51 TILESITE DSP_R_X9Y125 TIEOFF_X10Y125 TILESITE DSP_R_X9Y130 DSP48_X0Y52 TILESITE DSP_R_X9Y130 DSP48_X0Y53 TILESITE DSP_R_X9Y130 TIEOFF_X10Y130 TILESITE DSP_R_X9Y135 DSP48_X0Y54 TILESITE DSP_R_X9Y135 DSP48_X0Y55 TILESITE DSP_R_X9Y135 TIEOFF_X10Y135 TILESITE DSP_R_X9Y140 DSP48_X0Y56 TILESITE DSP_R_X9Y140 DSP48_X0Y57 TILESITE DSP_R_X9Y140 TIEOFF_X10Y140 TILESITE DSP_R_X9Y145 DSP48_X0Y58 TILESITE DSP_R_X9Y145 DSP48_X0Y59 TILESITE DSP_R_X9Y145 TIEOFF_X10Y145 TILESITE GTP_CHANNEL_0_X97Y110 GTPE2_CHANNEL_X0Y0 TILESITE GTP_CHANNEL_0_X97Y110 IPAD_X1Y6 TILESITE GTP_CHANNEL_0_X97Y110 IPAD_X1Y7 TILESITE GTP_CHANNEL_0_X97Y110 OPAD_X0Y0 TILESITE GTP_CHANNEL_0_X97Y110 OPAD_X0Y1 TILESITE GTP_CHANNEL_1_X97Y121 GTPE2_CHANNEL_X0Y1 TILESITE GTP_CHANNEL_1_X97Y121 IPAD_X1Y12 TILESITE GTP_CHANNEL_1_X97Y121 IPAD_X1Y13 TILESITE GTP_CHANNEL_1_X97Y121 OPAD_X0Y2 TILESITE GTP_CHANNEL_1_X97Y121 OPAD_X0Y3 TILESITE GTP_CHANNEL_2_X97Y139 GTPE2_CHANNEL_X0Y2 TILESITE GTP_CHANNEL_2_X97Y139 IPAD_X1Y24 TILESITE GTP_CHANNEL_2_X97Y139 IPAD_X1Y25 TILESITE GTP_CHANNEL_2_X97Y139 OPAD_X0Y4 TILESITE GTP_CHANNEL_2_X97Y139 OPAD_X0Y5 TILESITE GTP_CHANNEL_3_X97Y150 GTPE2_CHANNEL_X0Y3 TILESITE GTP_CHANNEL_3_X97Y150 IPAD_X1Y30 TILESITE GTP_CHANNEL_3_X97Y150 IPAD_X1Y31 TILESITE GTP_CHANNEL_3_X97Y150 OPAD_X0Y6 TILESITE GTP_CHANNEL_3_X97Y150 OPAD_X0Y7 TILESITE GTP_COMMON_X97Y127 GTPE2_COMMON_X0Y0 TILESITE GTP_COMMON_X97Y127 IBUFDS_GTE2_X0Y0 TILESITE GTP_COMMON_X97Y127 IBUFDS_GTE2_X0Y1 TILESITE GTP_COMMON_X97Y127 IPAD_X1Y14 TILESITE GTP_COMMON_X97Y127 IPAD_X1Y15 TILESITE GTP_COMMON_X97Y127 IPAD_X1Y16 TILESITE GTP_COMMON_X97Y127 IPAD_X1Y17 TILESITE HCLK_CMT_L_X106Y26 BUFMRCE_X1Y0 TILESITE HCLK_CMT_L_X106Y26 BUFMRCE_X1Y1 TILESITE HCLK_CMT_L_X106Y78 BUFMRCE_X1Y2 TILESITE HCLK_CMT_L_X106Y78 BUFMRCE_X1Y3 TILESITE HCLK_CMT_X8Y26 BUFMRCE_X0Y0 TILESITE HCLK_CMT_X8Y26 BUFMRCE_X0Y1 TILESITE HCLK_CMT_X8Y78 BUFMRCE_X0Y2 TILESITE HCLK_CMT_X8Y78 BUFMRCE_X0Y3 TILESITE HCLK_CMT_X8Y130 BUFMRCE_X0Y4 TILESITE HCLK_CMT_X8Y130 BUFMRCE_X0Y5 TILESITE HCLK_IOI3_X113Y26 BUFIO_X1Y0 TILESITE HCLK_IOI3_X113Y26 BUFIO_X1Y1 TILESITE HCLK_IOI3_X113Y26 BUFIO_X1Y2 TILESITE HCLK_IOI3_X113Y26 BUFIO_X1Y3 TILESITE HCLK_IOI3_X113Y26 BUFR_X1Y0 TILESITE HCLK_IOI3_X113Y26 BUFR_X1Y1 TILESITE HCLK_IOI3_X113Y26 BUFR_X1Y2 TILESITE HCLK_IOI3_X113Y26 BUFR_X1Y3 TILESITE HCLK_IOI3_X113Y26 IDELAYCTRL_X1Y0 TILESITE HCLK_IOI3_X113Y78 BUFIO_X1Y4 TILESITE HCLK_IOI3_X113Y78 BUFIO_X1Y5 TILESITE HCLK_IOI3_X113Y78 BUFIO_X1Y6 TILESITE HCLK_IOI3_X113Y78 BUFIO_X1Y7 TILESITE HCLK_IOI3_X113Y78 BUFR_X1Y4 TILESITE HCLK_IOI3_X113Y78 BUFR_X1Y5 TILESITE HCLK_IOI3_X113Y78 BUFR_X1Y6 TILESITE HCLK_IOI3_X113Y78 BUFR_X1Y7 TILESITE HCLK_IOI3_X113Y78 IDELAYCTRL_X1Y1 TILESITE HCLK_IOI3_X1Y26 BUFIO_X0Y0 TILESITE HCLK_IOI3_X1Y26 BUFIO_X0Y1 TILESITE HCLK_IOI3_X1Y26 BUFIO_X0Y2 TILESITE HCLK_IOI3_X1Y26 BUFIO_X0Y3 TILESITE HCLK_IOI3_X1Y26 BUFR_X0Y0 TILESITE HCLK_IOI3_X1Y26 BUFR_X0Y1 TILESITE HCLK_IOI3_X1Y26 BUFR_X0Y2 TILESITE HCLK_IOI3_X1Y26 BUFR_X0Y3 TILESITE HCLK_IOI3_X1Y26 IDELAYCTRL_X0Y0 TILESITE HCLK_IOI3_X1Y78 BUFIO_X0Y4 TILESITE HCLK_IOI3_X1Y78 BUFIO_X0Y5 TILESITE HCLK_IOI3_X1Y78 BUFIO_X0Y6 TILESITE HCLK_IOI3_X1Y78 BUFIO_X0Y7 TILESITE HCLK_IOI3_X1Y78 BUFR_X0Y4 TILESITE HCLK_IOI3_X1Y78 BUFR_X0Y5 TILESITE HCLK_IOI3_X1Y78 BUFR_X0Y6 TILESITE HCLK_IOI3_X1Y78 BUFR_X0Y7 TILESITE HCLK_IOI3_X1Y78 IDELAYCTRL_X0Y1 TILESITE HCLK_IOI3_X1Y130 BUFIO_X0Y8 TILESITE HCLK_IOI3_X1Y130 BUFIO_X0Y9 TILESITE HCLK_IOI3_X1Y130 BUFIO_X0Y10 TILESITE HCLK_IOI3_X1Y130 BUFIO_X0Y11 TILESITE HCLK_IOI3_X1Y130 BUFR_X0Y8 TILESITE HCLK_IOI3_X1Y130 BUFR_X0Y9 TILESITE HCLK_IOI3_X1Y130 BUFR_X0Y10 TILESITE HCLK_IOI3_X1Y130 BUFR_X0Y11 TILESITE HCLK_IOI3_X1Y130 IDELAYCTRL_X0Y2 TILESITE INT_L_X0Y0 TIEOFF_X0Y0 TILESITE INT_L_X0Y1 TIEOFF_X0Y1 TILESITE INT_L_X0Y2 TIEOFF_X0Y2 TILESITE INT_L_X0Y3 TIEOFF_X0Y3 TILESITE INT_L_X0Y4 TIEOFF_X0Y4 TILESITE INT_L_X0Y5 TIEOFF_X0Y5 TILESITE INT_L_X0Y6 TIEOFF_X0Y6 TILESITE INT_L_X0Y7 TIEOFF_X0Y7 TILESITE INT_L_X0Y8 TIEOFF_X0Y8 TILESITE INT_L_X0Y9 TIEOFF_X0Y9 TILESITE INT_L_X0Y10 TIEOFF_X0Y10 TILESITE INT_L_X0Y11 TIEOFF_X0Y11 TILESITE INT_L_X0Y12 TIEOFF_X0Y12 TILESITE INT_L_X0Y13 TIEOFF_X0Y13 TILESITE INT_L_X0Y14 TIEOFF_X0Y14 TILESITE INT_L_X0Y15 TIEOFF_X0Y15 TILESITE INT_L_X0Y16 TIEOFF_X0Y16 TILESITE INT_L_X0Y17 TIEOFF_X0Y17 TILESITE INT_L_X0Y18 TIEOFF_X0Y18 TILESITE INT_L_X0Y19 TIEOFF_X0Y19 TILESITE INT_L_X0Y20 TIEOFF_X0Y20 TILESITE INT_L_X0Y21 TIEOFF_X0Y21 TILESITE INT_L_X0Y22 TIEOFF_X0Y22 TILESITE INT_L_X0Y23 TIEOFF_X0Y23 TILESITE INT_L_X0Y24 TIEOFF_X0Y24 TILESITE INT_L_X0Y25 TIEOFF_X0Y25 TILESITE INT_L_X0Y26 TIEOFF_X0Y26 TILESITE INT_L_X0Y27 TIEOFF_X0Y27 TILESITE INT_L_X0Y28 TIEOFF_X0Y28 TILESITE INT_L_X0Y29 TIEOFF_X0Y29 TILESITE INT_L_X0Y30 TIEOFF_X0Y30 TILESITE INT_L_X0Y31 TIEOFF_X0Y31 TILESITE INT_L_X0Y32 TIEOFF_X0Y32 TILESITE INT_L_X0Y33 TIEOFF_X0Y33 TILESITE INT_L_X0Y34 TIEOFF_X0Y34 TILESITE INT_L_X0Y35 TIEOFF_X0Y35 TILESITE INT_L_X0Y36 TIEOFF_X0Y36 TILESITE INT_L_X0Y37 TIEOFF_X0Y37 TILESITE INT_L_X0Y38 TIEOFF_X0Y38 TILESITE INT_L_X0Y39 TIEOFF_X0Y39 TILESITE INT_L_X0Y40 TIEOFF_X0Y40 TILESITE INT_L_X0Y41 TIEOFF_X0Y41 TILESITE INT_L_X0Y42 TIEOFF_X0Y42 TILESITE INT_L_X0Y43 TIEOFF_X0Y43 TILESITE INT_L_X0Y44 TIEOFF_X0Y44 TILESITE INT_L_X0Y45 TIEOFF_X0Y45 TILESITE INT_L_X0Y46 TIEOFF_X0Y46 TILESITE INT_L_X0Y47 TIEOFF_X0Y47 TILESITE INT_L_X0Y48 TIEOFF_X0Y48 TILESITE INT_L_X0Y49 TIEOFF_X0Y49 TILESITE INT_L_X0Y50 TIEOFF_X0Y50 TILESITE INT_L_X0Y51 TIEOFF_X0Y51 TILESITE INT_L_X0Y52 TIEOFF_X0Y52 TILESITE INT_L_X0Y53 TIEOFF_X0Y53 TILESITE INT_L_X0Y54 TIEOFF_X0Y54 TILESITE INT_L_X0Y55 TIEOFF_X0Y55 TILESITE INT_L_X0Y56 TIEOFF_X0Y56 TILESITE INT_L_X0Y57 TIEOFF_X0Y57 TILESITE INT_L_X0Y58 TIEOFF_X0Y58 TILESITE INT_L_X0Y59 TIEOFF_X0Y59 TILESITE INT_L_X0Y60 TIEOFF_X0Y60 TILESITE INT_L_X0Y61 TIEOFF_X0Y61 TILESITE INT_L_X0Y62 TIEOFF_X0Y62 TILESITE INT_L_X0Y63 TIEOFF_X0Y63 TILESITE INT_L_X0Y64 TIEOFF_X0Y64 TILESITE INT_L_X0Y65 TIEOFF_X0Y65 TILESITE INT_L_X0Y66 TIEOFF_X0Y66 TILESITE INT_L_X0Y67 TIEOFF_X0Y67 TILESITE INT_L_X0Y68 TIEOFF_X0Y68 TILESITE INT_L_X0Y69 TIEOFF_X0Y69 TILESITE INT_L_X0Y70 TIEOFF_X0Y70 TILESITE INT_L_X0Y71 TIEOFF_X0Y71 TILESITE INT_L_X0Y72 TIEOFF_X0Y72 TILESITE INT_L_X0Y73 TIEOFF_X0Y73 TILESITE INT_L_X0Y74 TIEOFF_X0Y74 TILESITE INT_L_X0Y75 TIEOFF_X0Y75 TILESITE INT_L_X0Y76 TIEOFF_X0Y76 TILESITE INT_L_X0Y77 TIEOFF_X0Y77 TILESITE INT_L_X0Y78 TIEOFF_X0Y78 TILESITE INT_L_X0Y79 TIEOFF_X0Y79 TILESITE INT_L_X0Y80 TIEOFF_X0Y80 TILESITE INT_L_X0Y81 TIEOFF_X0Y81 TILESITE INT_L_X0Y82 TIEOFF_X0Y82 TILESITE INT_L_X0Y83 TIEOFF_X0Y83 TILESITE INT_L_X0Y84 TIEOFF_X0Y84 TILESITE INT_L_X0Y85 TIEOFF_X0Y85 TILESITE INT_L_X0Y86 TIEOFF_X0Y86 TILESITE INT_L_X0Y87 TIEOFF_X0Y87 TILESITE INT_L_X0Y88 TIEOFF_X0Y88 TILESITE INT_L_X0Y89 TIEOFF_X0Y89 TILESITE INT_L_X0Y90 TIEOFF_X0Y90 TILESITE INT_L_X0Y91 TIEOFF_X0Y91 TILESITE INT_L_X0Y92 TIEOFF_X0Y92 TILESITE INT_L_X0Y93 TIEOFF_X0Y93 TILESITE INT_L_X0Y94 TIEOFF_X0Y94 TILESITE INT_L_X0Y95 TIEOFF_X0Y95 TILESITE INT_L_X0Y96 TIEOFF_X0Y96 TILESITE INT_L_X0Y97 TIEOFF_X0Y97 TILESITE INT_L_X0Y98 TIEOFF_X0Y98 TILESITE INT_L_X0Y99 TIEOFF_X0Y99 TILESITE INT_L_X0Y100 TIEOFF_X0Y100 TILESITE INT_L_X0Y101 TIEOFF_X0Y101 TILESITE INT_L_X0Y102 TIEOFF_X0Y102 TILESITE INT_L_X0Y103 TIEOFF_X0Y103 TILESITE INT_L_X0Y104 TIEOFF_X0Y104 TILESITE INT_L_X0Y105 TIEOFF_X0Y105 TILESITE INT_L_X0Y106 TIEOFF_X0Y106 TILESITE INT_L_X0Y107 TIEOFF_X0Y107 TILESITE INT_L_X0Y108 TIEOFF_X0Y108 TILESITE INT_L_X0Y109 TIEOFF_X0Y109 TILESITE INT_L_X0Y110 TIEOFF_X0Y110 TILESITE INT_L_X0Y111 TIEOFF_X0Y111 TILESITE INT_L_X0Y112 TIEOFF_X0Y112 TILESITE INT_L_X0Y113 TIEOFF_X0Y113 TILESITE INT_L_X0Y114 TIEOFF_X0Y114 TILESITE INT_L_X0Y115 TIEOFF_X0Y115 TILESITE INT_L_X0Y116 TIEOFF_X0Y116 TILESITE INT_L_X0Y117 TIEOFF_X0Y117 TILESITE INT_L_X0Y118 TIEOFF_X0Y118 TILESITE INT_L_X0Y119 TIEOFF_X0Y119 TILESITE INT_L_X0Y120 TIEOFF_X0Y120 TILESITE INT_L_X0Y121 TIEOFF_X0Y121 TILESITE INT_L_X0Y122 TIEOFF_X0Y122 TILESITE INT_L_X0Y123 TIEOFF_X0Y123 TILESITE INT_L_X0Y124 TIEOFF_X0Y124 TILESITE INT_L_X0Y125 TIEOFF_X0Y125 TILESITE INT_L_X0Y126 TIEOFF_X0Y126 TILESITE INT_L_X0Y127 TIEOFF_X0Y127 TILESITE INT_L_X0Y128 TIEOFF_X0Y128 TILESITE INT_L_X0Y129 TIEOFF_X0Y129 TILESITE INT_L_X0Y130 TIEOFF_X0Y130 TILESITE INT_L_X0Y131 TIEOFF_X0Y131 TILESITE INT_L_X0Y132 TIEOFF_X0Y132 TILESITE INT_L_X0Y133 TIEOFF_X0Y133 TILESITE INT_L_X0Y134 TIEOFF_X0Y134 TILESITE INT_L_X0Y135 TIEOFF_X0Y135 TILESITE INT_L_X0Y136 TIEOFF_X0Y136 TILESITE INT_L_X0Y137 TIEOFF_X0Y137 TILESITE INT_L_X0Y138 TIEOFF_X0Y138 TILESITE INT_L_X0Y139 TIEOFF_X0Y139 TILESITE INT_L_X0Y140 TIEOFF_X0Y140 TILESITE INT_L_X0Y141 TIEOFF_X0Y141 TILESITE INT_L_X0Y142 TIEOFF_X0Y142 TILESITE INT_L_X0Y143 TIEOFF_X0Y143 TILESITE INT_L_X0Y144 TIEOFF_X0Y144 TILESITE INT_L_X0Y145 TIEOFF_X0Y145 TILESITE INT_L_X0Y146 TIEOFF_X0Y146 TILESITE INT_L_X0Y147 TIEOFF_X0Y147 TILESITE INT_L_X0Y148 TIEOFF_X0Y148 TILESITE INT_L_X0Y149 TIEOFF_X0Y149 TILESITE INT_L_X10Y0 TIEOFF_X11Y0 TILESITE INT_L_X10Y1 TIEOFF_X11Y1 TILESITE INT_L_X10Y2 TIEOFF_X11Y2 TILESITE INT_L_X10Y3 TIEOFF_X11Y3 TILESITE INT_L_X10Y4 TIEOFF_X11Y4 TILESITE INT_L_X10Y5 TIEOFF_X11Y5 TILESITE INT_L_X10Y6 TIEOFF_X11Y6 TILESITE INT_L_X10Y7 TIEOFF_X11Y7 TILESITE INT_L_X10Y8 TIEOFF_X11Y8 TILESITE INT_L_X10Y9 TIEOFF_X11Y9 TILESITE INT_L_X10Y10 TIEOFF_X11Y10 TILESITE INT_L_X10Y11 TIEOFF_X11Y11 TILESITE INT_L_X10Y12 TIEOFF_X11Y12 TILESITE INT_L_X10Y13 TIEOFF_X11Y13 TILESITE INT_L_X10Y14 TIEOFF_X11Y14 TILESITE INT_L_X10Y15 TIEOFF_X11Y15 TILESITE INT_L_X10Y16 TIEOFF_X11Y16 TILESITE INT_L_X10Y17 TIEOFF_X11Y17 TILESITE INT_L_X10Y18 TIEOFF_X11Y18 TILESITE INT_L_X10Y19 TIEOFF_X11Y19 TILESITE INT_L_X10Y20 TIEOFF_X11Y20 TILESITE INT_L_X10Y21 TIEOFF_X11Y21 TILESITE INT_L_X10Y22 TIEOFF_X11Y22 TILESITE INT_L_X10Y23 TIEOFF_X11Y23 TILESITE INT_L_X10Y24 TIEOFF_X11Y24 TILESITE INT_L_X10Y25 TIEOFF_X11Y25 TILESITE INT_L_X10Y26 TIEOFF_X11Y26 TILESITE INT_L_X10Y27 TIEOFF_X11Y27 TILESITE INT_L_X10Y28 TIEOFF_X11Y28 TILESITE INT_L_X10Y29 TIEOFF_X11Y29 TILESITE INT_L_X10Y30 TIEOFF_X11Y30 TILESITE INT_L_X10Y31 TIEOFF_X11Y31 TILESITE INT_L_X10Y32 TIEOFF_X11Y32 TILESITE INT_L_X10Y33 TIEOFF_X11Y33 TILESITE INT_L_X10Y34 TIEOFF_X11Y34 TILESITE INT_L_X10Y35 TIEOFF_X11Y35 TILESITE INT_L_X10Y36 TIEOFF_X11Y36 TILESITE INT_L_X10Y37 TIEOFF_X11Y37 TILESITE INT_L_X10Y38 TIEOFF_X11Y38 TILESITE INT_L_X10Y39 TIEOFF_X11Y39 TILESITE INT_L_X10Y40 TIEOFF_X11Y40 TILESITE INT_L_X10Y41 TIEOFF_X11Y41 TILESITE INT_L_X10Y42 TIEOFF_X11Y42 TILESITE INT_L_X10Y43 TIEOFF_X11Y43 TILESITE INT_L_X10Y44 TIEOFF_X11Y44 TILESITE INT_L_X10Y45 TIEOFF_X11Y45 TILESITE INT_L_X10Y46 TIEOFF_X11Y46 TILESITE INT_L_X10Y47 TIEOFF_X11Y47 TILESITE INT_L_X10Y48 TIEOFF_X11Y48 TILESITE INT_L_X10Y49 TIEOFF_X11Y49 TILESITE INT_L_X10Y50 TIEOFF_X11Y50 TILESITE INT_L_X10Y51 TIEOFF_X11Y51 TILESITE INT_L_X10Y52 TIEOFF_X11Y52 TILESITE INT_L_X10Y53 TIEOFF_X11Y53 TILESITE INT_L_X10Y54 TIEOFF_X11Y54 TILESITE INT_L_X10Y55 TIEOFF_X11Y55 TILESITE INT_L_X10Y56 TIEOFF_X11Y56 TILESITE INT_L_X10Y57 TIEOFF_X11Y57 TILESITE INT_L_X10Y58 TIEOFF_X11Y58 TILESITE INT_L_X10Y59 TIEOFF_X11Y59 TILESITE INT_L_X10Y60 TIEOFF_X11Y60 TILESITE INT_L_X10Y61 TIEOFF_X11Y61 TILESITE INT_L_X10Y62 TIEOFF_X11Y62 TILESITE INT_L_X10Y63 TIEOFF_X11Y63 TILESITE INT_L_X10Y64 TIEOFF_X11Y64 TILESITE INT_L_X10Y65 TIEOFF_X11Y65 TILESITE INT_L_X10Y66 TIEOFF_X11Y66 TILESITE INT_L_X10Y67 TIEOFF_X11Y67 TILESITE INT_L_X10Y68 TIEOFF_X11Y68 TILESITE INT_L_X10Y69 TIEOFF_X11Y69 TILESITE INT_L_X10Y70 TIEOFF_X11Y70 TILESITE INT_L_X10Y71 TIEOFF_X11Y71 TILESITE INT_L_X10Y72 TIEOFF_X11Y72 TILESITE INT_L_X10Y73 TIEOFF_X11Y73 TILESITE INT_L_X10Y74 TIEOFF_X11Y74 TILESITE INT_L_X10Y75 TIEOFF_X11Y75 TILESITE INT_L_X10Y76 TIEOFF_X11Y76 TILESITE INT_L_X10Y77 TIEOFF_X11Y77 TILESITE INT_L_X10Y78 TIEOFF_X11Y78 TILESITE INT_L_X10Y79 TIEOFF_X11Y79 TILESITE INT_L_X10Y80 TIEOFF_X11Y80 TILESITE INT_L_X10Y81 TIEOFF_X11Y81 TILESITE INT_L_X10Y82 TIEOFF_X11Y82 TILESITE INT_L_X10Y83 TIEOFF_X11Y83 TILESITE INT_L_X10Y84 TIEOFF_X11Y84 TILESITE INT_L_X10Y85 TIEOFF_X11Y85 TILESITE INT_L_X10Y86 TIEOFF_X11Y86 TILESITE INT_L_X10Y87 TIEOFF_X11Y87 TILESITE INT_L_X10Y88 TIEOFF_X11Y88 TILESITE INT_L_X10Y89 TIEOFF_X11Y89 TILESITE INT_L_X10Y90 TIEOFF_X11Y90 TILESITE INT_L_X10Y91 TIEOFF_X11Y91 TILESITE INT_L_X10Y92 TIEOFF_X11Y92 TILESITE INT_L_X10Y93 TIEOFF_X11Y93 TILESITE INT_L_X10Y94 TIEOFF_X11Y94 TILESITE INT_L_X10Y95 TIEOFF_X11Y95 TILESITE INT_L_X10Y96 TIEOFF_X11Y96 TILESITE INT_L_X10Y97 TIEOFF_X11Y97 TILESITE INT_L_X10Y98 TIEOFF_X11Y98 TILESITE INT_L_X10Y99 TIEOFF_X11Y99 TILESITE INT_L_X10Y100 TIEOFF_X11Y100 TILESITE INT_L_X10Y101 TIEOFF_X11Y101 TILESITE INT_L_X10Y102 TIEOFF_X11Y102 TILESITE INT_L_X10Y103 TIEOFF_X11Y103 TILESITE INT_L_X10Y104 TIEOFF_X11Y104 TILESITE INT_L_X10Y105 TIEOFF_X11Y105 TILESITE INT_L_X10Y106 TIEOFF_X11Y106 TILESITE INT_L_X10Y107 TIEOFF_X11Y107 TILESITE INT_L_X10Y108 TIEOFF_X11Y108 TILESITE INT_L_X10Y109 TIEOFF_X11Y109 TILESITE INT_L_X10Y110 TIEOFF_X11Y110 TILESITE INT_L_X10Y111 TIEOFF_X11Y111 TILESITE INT_L_X10Y112 TIEOFF_X11Y112 TILESITE INT_L_X10Y113 TIEOFF_X11Y113 TILESITE INT_L_X10Y114 TIEOFF_X11Y114 TILESITE INT_L_X10Y115 TIEOFF_X11Y115 TILESITE INT_L_X10Y116 TIEOFF_X11Y116 TILESITE INT_L_X10Y117 TIEOFF_X11Y117 TILESITE INT_L_X10Y118 TIEOFF_X11Y118 TILESITE INT_L_X10Y119 TIEOFF_X11Y119 TILESITE INT_L_X10Y120 TIEOFF_X11Y120 TILESITE INT_L_X10Y121 TIEOFF_X11Y121 TILESITE INT_L_X10Y122 TIEOFF_X11Y122 TILESITE INT_L_X10Y123 TIEOFF_X11Y123 TILESITE INT_L_X10Y124 TIEOFF_X11Y124 TILESITE INT_L_X10Y125 TIEOFF_X11Y125 TILESITE INT_L_X10Y126 TIEOFF_X11Y126 TILESITE INT_L_X10Y127 TIEOFF_X11Y127 TILESITE INT_L_X10Y128 TIEOFF_X11Y128 TILESITE INT_L_X10Y129 TIEOFF_X11Y129 TILESITE INT_L_X10Y130 TIEOFF_X11Y130 TILESITE INT_L_X10Y131 TIEOFF_X11Y131 TILESITE INT_L_X10Y132 TIEOFF_X11Y132 TILESITE INT_L_X10Y133 TIEOFF_X11Y133 TILESITE INT_L_X10Y134 TIEOFF_X11Y134 TILESITE INT_L_X10Y135 TIEOFF_X11Y135 TILESITE INT_L_X10Y136 TIEOFF_X11Y136 TILESITE INT_L_X10Y137 TIEOFF_X11Y137 TILESITE INT_L_X10Y138 TIEOFF_X11Y138 TILESITE INT_L_X10Y139 TIEOFF_X11Y139 TILESITE INT_L_X10Y140 TIEOFF_X11Y140 TILESITE INT_L_X10Y141 TIEOFF_X11Y141 TILESITE INT_L_X10Y142 TIEOFF_X11Y142 TILESITE INT_L_X10Y143 TIEOFF_X11Y143 TILESITE INT_L_X10Y144 TIEOFF_X11Y144 TILESITE INT_L_X10Y145 TIEOFF_X11Y145 TILESITE INT_L_X10Y146 TIEOFF_X11Y146 TILESITE INT_L_X10Y147 TIEOFF_X11Y147 TILESITE INT_L_X10Y148 TIEOFF_X11Y148 TILESITE INT_L_X10Y149 TIEOFF_X11Y149 TILESITE INT_L_X12Y100 TIEOFF_X13Y100 TILESITE INT_L_X12Y101 TIEOFF_X13Y101 TILESITE INT_L_X12Y102 TIEOFF_X13Y102 TILESITE INT_L_X12Y103 TIEOFF_X13Y103 TILESITE INT_L_X12Y104 TIEOFF_X13Y104 TILESITE INT_L_X12Y105 TIEOFF_X13Y105 TILESITE INT_L_X12Y106 TIEOFF_X13Y106 TILESITE INT_L_X12Y107 TIEOFF_X13Y107 TILESITE INT_L_X12Y108 TIEOFF_X13Y108 TILESITE INT_L_X12Y109 TIEOFF_X13Y109 TILESITE INT_L_X12Y110 TIEOFF_X13Y110 TILESITE INT_L_X12Y111 TIEOFF_X13Y111 TILESITE INT_L_X12Y112 TIEOFF_X13Y112 TILESITE INT_L_X12Y113 TIEOFF_X13Y113 TILESITE INT_L_X12Y114 TIEOFF_X13Y114 TILESITE INT_L_X12Y115 TIEOFF_X13Y115 TILESITE INT_L_X12Y116 TIEOFF_X13Y116 TILESITE INT_L_X12Y117 TIEOFF_X13Y117 TILESITE INT_L_X12Y118 TIEOFF_X13Y118 TILESITE INT_L_X12Y119 TIEOFF_X13Y119 TILESITE INT_L_X12Y120 TIEOFF_X13Y120 TILESITE INT_L_X12Y121 TIEOFF_X13Y121 TILESITE INT_L_X12Y122 TIEOFF_X13Y122 TILESITE INT_L_X12Y123 TIEOFF_X13Y123 TILESITE INT_L_X12Y124 TIEOFF_X13Y124 TILESITE INT_L_X12Y125 TIEOFF_X13Y125 TILESITE INT_L_X12Y126 TIEOFF_X13Y126 TILESITE INT_L_X12Y127 TIEOFF_X13Y127 TILESITE INT_L_X12Y128 TIEOFF_X13Y128 TILESITE INT_L_X12Y129 TIEOFF_X13Y129 TILESITE INT_L_X12Y130 TIEOFF_X13Y130 TILESITE INT_L_X12Y131 TIEOFF_X13Y131 TILESITE INT_L_X12Y132 TIEOFF_X13Y132 TILESITE INT_L_X12Y133 TIEOFF_X13Y133 TILESITE INT_L_X12Y134 TIEOFF_X13Y134 TILESITE INT_L_X12Y135 TIEOFF_X13Y135 TILESITE INT_L_X12Y136 TIEOFF_X13Y136 TILESITE INT_L_X12Y137 TIEOFF_X13Y137 TILESITE INT_L_X12Y138 TIEOFF_X13Y138 TILESITE INT_L_X12Y139 TIEOFF_X13Y139 TILESITE INT_L_X12Y140 TIEOFF_X13Y140 TILESITE INT_L_X12Y141 TIEOFF_X13Y141 TILESITE INT_L_X12Y142 TIEOFF_X13Y142 TILESITE INT_L_X12Y143 TIEOFF_X13Y143 TILESITE INT_L_X12Y144 TIEOFF_X13Y144 TILESITE INT_L_X12Y145 TIEOFF_X13Y145 TILESITE INT_L_X12Y146 TIEOFF_X13Y146 TILESITE INT_L_X12Y147 TIEOFF_X13Y147 TILESITE INT_L_X12Y148 TIEOFF_X13Y148 TILESITE INT_L_X12Y149 TIEOFF_X13Y149 TILESITE INT_L_X14Y100 TIEOFF_X15Y100 TILESITE INT_L_X14Y101 TIEOFF_X15Y101 TILESITE INT_L_X14Y102 TIEOFF_X15Y102 TILESITE INT_L_X14Y103 TIEOFF_X15Y103 TILESITE INT_L_X14Y104 TIEOFF_X15Y104 TILESITE INT_L_X14Y105 TIEOFF_X15Y105 TILESITE INT_L_X14Y106 TIEOFF_X15Y106 TILESITE INT_L_X14Y107 TIEOFF_X15Y107 TILESITE INT_L_X14Y108 TIEOFF_X15Y108 TILESITE INT_L_X14Y109 TIEOFF_X15Y109 TILESITE INT_L_X14Y110 TIEOFF_X15Y110 TILESITE INT_L_X14Y111 TIEOFF_X15Y111 TILESITE INT_L_X14Y112 TIEOFF_X15Y112 TILESITE INT_L_X14Y113 TIEOFF_X15Y113 TILESITE INT_L_X14Y114 TIEOFF_X15Y114 TILESITE INT_L_X14Y115 TIEOFF_X15Y115 TILESITE INT_L_X14Y116 TIEOFF_X15Y116 TILESITE INT_L_X14Y117 TIEOFF_X15Y117 TILESITE INT_L_X14Y118 TIEOFF_X15Y118 TILESITE INT_L_X14Y119 TIEOFF_X15Y119 TILESITE INT_L_X14Y120 TIEOFF_X15Y120 TILESITE INT_L_X14Y121 TIEOFF_X15Y121 TILESITE INT_L_X14Y122 TIEOFF_X15Y122 TILESITE INT_L_X14Y123 TIEOFF_X15Y123 TILESITE INT_L_X14Y124 TIEOFF_X15Y124 TILESITE INT_L_X14Y125 TIEOFF_X15Y125 TILESITE INT_L_X14Y126 TIEOFF_X15Y126 TILESITE INT_L_X14Y127 TIEOFF_X15Y127 TILESITE INT_L_X14Y128 TIEOFF_X15Y128 TILESITE INT_L_X14Y129 TIEOFF_X15Y129 TILESITE INT_L_X14Y130 TIEOFF_X15Y130 TILESITE INT_L_X14Y131 TIEOFF_X15Y131 TILESITE INT_L_X14Y132 TIEOFF_X15Y132 TILESITE INT_L_X14Y133 TIEOFF_X15Y133 TILESITE INT_L_X14Y134 TIEOFF_X15Y134 TILESITE INT_L_X14Y135 TIEOFF_X15Y135 TILESITE INT_L_X14Y136 TIEOFF_X15Y136 TILESITE INT_L_X14Y137 TIEOFF_X15Y137 TILESITE INT_L_X14Y138 TIEOFF_X15Y138 TILESITE INT_L_X14Y139 TIEOFF_X15Y139 TILESITE INT_L_X14Y140 TIEOFF_X15Y140 TILESITE INT_L_X14Y141 TIEOFF_X15Y141 TILESITE INT_L_X14Y142 TIEOFF_X15Y142 TILESITE INT_L_X14Y143 TIEOFF_X15Y143 TILESITE INT_L_X14Y144 TIEOFF_X15Y144 TILESITE INT_L_X14Y145 TIEOFF_X15Y145 TILESITE INT_L_X14Y146 TIEOFF_X15Y146 TILESITE INT_L_X14Y147 TIEOFF_X15Y147 TILESITE INT_L_X14Y148 TIEOFF_X15Y148 TILESITE INT_L_X14Y149 TIEOFF_X15Y149 TILESITE INT_L_X16Y100 TIEOFF_X17Y100 TILESITE INT_L_X16Y101 TIEOFF_X17Y101 TILESITE INT_L_X16Y102 TIEOFF_X17Y102 TILESITE INT_L_X16Y103 TIEOFF_X17Y103 TILESITE INT_L_X16Y104 TIEOFF_X17Y104 TILESITE INT_L_X16Y105 TIEOFF_X17Y105 TILESITE INT_L_X16Y106 TIEOFF_X17Y106 TILESITE INT_L_X16Y107 TIEOFF_X17Y107 TILESITE INT_L_X16Y108 TIEOFF_X17Y108 TILESITE INT_L_X16Y109 TIEOFF_X17Y109 TILESITE INT_L_X16Y110 TIEOFF_X17Y110 TILESITE INT_L_X16Y111 TIEOFF_X17Y111 TILESITE INT_L_X16Y112 TIEOFF_X17Y112 TILESITE INT_L_X16Y113 TIEOFF_X17Y113 TILESITE INT_L_X16Y114 TIEOFF_X17Y114 TILESITE INT_L_X16Y115 TIEOFF_X17Y115 TILESITE INT_L_X16Y116 TIEOFF_X17Y116 TILESITE INT_L_X16Y117 TIEOFF_X17Y117 TILESITE INT_L_X16Y118 TIEOFF_X17Y118 TILESITE INT_L_X16Y119 TIEOFF_X17Y119 TILESITE INT_L_X16Y120 TIEOFF_X17Y120 TILESITE INT_L_X16Y121 TIEOFF_X17Y121 TILESITE INT_L_X16Y122 TIEOFF_X17Y122 TILESITE INT_L_X16Y123 TIEOFF_X17Y123 TILESITE INT_L_X16Y124 TIEOFF_X17Y124 TILESITE INT_L_X16Y125 TIEOFF_X17Y125 TILESITE INT_L_X16Y126 TIEOFF_X17Y126 TILESITE INT_L_X16Y127 TIEOFF_X17Y127 TILESITE INT_L_X16Y128 TIEOFF_X17Y128 TILESITE INT_L_X16Y129 TIEOFF_X17Y129 TILESITE INT_L_X16Y130 TIEOFF_X17Y130 TILESITE INT_L_X16Y131 TIEOFF_X17Y131 TILESITE INT_L_X16Y132 TIEOFF_X17Y132 TILESITE INT_L_X16Y133 TIEOFF_X17Y133 TILESITE INT_L_X16Y134 TIEOFF_X17Y134 TILESITE INT_L_X16Y135 TIEOFF_X17Y135 TILESITE INT_L_X16Y136 TIEOFF_X17Y136 TILESITE INT_L_X16Y137 TIEOFF_X17Y137 TILESITE INT_L_X16Y138 TIEOFF_X17Y138 TILESITE INT_L_X16Y139 TIEOFF_X17Y139 TILESITE INT_L_X16Y140 TIEOFF_X17Y140 TILESITE INT_L_X16Y141 TIEOFF_X17Y141 TILESITE INT_L_X16Y142 TIEOFF_X17Y142 TILESITE INT_L_X16Y143 TIEOFF_X17Y143 TILESITE INT_L_X16Y144 TIEOFF_X17Y144 TILESITE INT_L_X16Y145 TIEOFF_X17Y145 TILESITE INT_L_X16Y146 TIEOFF_X17Y146 TILESITE INT_L_X16Y147 TIEOFF_X17Y147 TILESITE INT_L_X16Y148 TIEOFF_X17Y148 TILESITE INT_L_X16Y149 TIEOFF_X17Y149 TILESITE INT_L_X18Y0 TIEOFF_X19Y0 TILESITE INT_L_X18Y1 TIEOFF_X19Y1 TILESITE INT_L_X18Y2 TIEOFF_X19Y2 TILESITE INT_L_X18Y3 TIEOFF_X19Y3 TILESITE INT_L_X18Y4 TIEOFF_X19Y4 TILESITE INT_L_X18Y5 TIEOFF_X19Y5 TILESITE INT_L_X18Y6 TIEOFF_X19Y6 TILESITE INT_L_X18Y7 TIEOFF_X19Y7 TILESITE INT_L_X18Y8 TIEOFF_X19Y8 TILESITE INT_L_X18Y9 TIEOFF_X19Y9 TILESITE INT_L_X18Y10 TIEOFF_X19Y10 TILESITE INT_L_X18Y11 TIEOFF_X19Y11 TILESITE INT_L_X18Y12 TIEOFF_X19Y12 TILESITE INT_L_X18Y13 TIEOFF_X19Y13 TILESITE INT_L_X18Y14 TIEOFF_X19Y14 TILESITE INT_L_X18Y15 TIEOFF_X19Y15 TILESITE INT_L_X18Y16 TIEOFF_X19Y16 TILESITE INT_L_X18Y17 TIEOFF_X19Y17 TILESITE INT_L_X18Y18 TIEOFF_X19Y18 TILESITE INT_L_X18Y19 TIEOFF_X19Y19 TILESITE INT_L_X18Y20 TIEOFF_X19Y20 TILESITE INT_L_X18Y21 TIEOFF_X19Y21 TILESITE INT_L_X18Y22 TIEOFF_X19Y22 TILESITE INT_L_X18Y23 TIEOFF_X19Y23 TILESITE INT_L_X18Y24 TIEOFF_X19Y24 TILESITE INT_L_X18Y25 TIEOFF_X19Y25 TILESITE INT_L_X18Y26 TIEOFF_X19Y26 TILESITE INT_L_X18Y27 TIEOFF_X19Y27 TILESITE INT_L_X18Y28 TIEOFF_X19Y28 TILESITE INT_L_X18Y29 TIEOFF_X19Y29 TILESITE INT_L_X18Y30 TIEOFF_X19Y30 TILESITE INT_L_X18Y31 TIEOFF_X19Y31 TILESITE INT_L_X18Y32 TIEOFF_X19Y32 TILESITE INT_L_X18Y33 TIEOFF_X19Y33 TILESITE INT_L_X18Y34 TIEOFF_X19Y34 TILESITE INT_L_X18Y35 TIEOFF_X19Y35 TILESITE INT_L_X18Y36 TIEOFF_X19Y36 TILESITE INT_L_X18Y37 TIEOFF_X19Y37 TILESITE INT_L_X18Y38 TIEOFF_X19Y38 TILESITE INT_L_X18Y39 TIEOFF_X19Y39 TILESITE INT_L_X18Y40 TIEOFF_X19Y40 TILESITE INT_L_X18Y41 TIEOFF_X19Y41 TILESITE INT_L_X18Y42 TIEOFF_X19Y42 TILESITE INT_L_X18Y43 TIEOFF_X19Y43 TILESITE INT_L_X18Y44 TIEOFF_X19Y44 TILESITE INT_L_X18Y45 TIEOFF_X19Y45 TILESITE INT_L_X18Y46 TIEOFF_X19Y46 TILESITE INT_L_X18Y47 TIEOFF_X19Y47 TILESITE INT_L_X18Y48 TIEOFF_X19Y48 TILESITE INT_L_X18Y49 TIEOFF_X19Y49 TILESITE INT_L_X18Y50 TIEOFF_X19Y50 TILESITE INT_L_X18Y51 TIEOFF_X19Y51 TILESITE INT_L_X18Y52 TIEOFF_X19Y52 TILESITE INT_L_X18Y53 TIEOFF_X19Y53 TILESITE INT_L_X18Y54 TIEOFF_X19Y54 TILESITE INT_L_X18Y55 TIEOFF_X19Y55 TILESITE INT_L_X18Y56 TIEOFF_X19Y56 TILESITE INT_L_X18Y57 TIEOFF_X19Y57 TILESITE INT_L_X18Y58 TIEOFF_X19Y58 TILESITE INT_L_X18Y59 TIEOFF_X19Y59 TILESITE INT_L_X18Y60 TIEOFF_X19Y60 TILESITE INT_L_X18Y61 TIEOFF_X19Y61 TILESITE INT_L_X18Y62 TIEOFF_X19Y62 TILESITE INT_L_X18Y63 TIEOFF_X19Y63 TILESITE INT_L_X18Y64 TIEOFF_X19Y64 TILESITE INT_L_X18Y65 TIEOFF_X19Y65 TILESITE INT_L_X18Y66 TIEOFF_X19Y66 TILESITE INT_L_X18Y67 TIEOFF_X19Y67 TILESITE INT_L_X18Y68 TIEOFF_X19Y68 TILESITE INT_L_X18Y69 TIEOFF_X19Y69 TILESITE INT_L_X18Y70 TIEOFF_X19Y70 TILESITE INT_L_X18Y71 TIEOFF_X19Y71 TILESITE INT_L_X18Y72 TIEOFF_X19Y72 TILESITE INT_L_X18Y73 TIEOFF_X19Y73 TILESITE INT_L_X18Y74 TIEOFF_X19Y74 TILESITE INT_L_X18Y75 TIEOFF_X19Y75 TILESITE INT_L_X18Y76 TIEOFF_X19Y76 TILESITE INT_L_X18Y77 TIEOFF_X19Y77 TILESITE INT_L_X18Y78 TIEOFF_X19Y78 TILESITE INT_L_X18Y79 TIEOFF_X19Y79 TILESITE INT_L_X18Y80 TIEOFF_X19Y80 TILESITE INT_L_X18Y81 TIEOFF_X19Y81 TILESITE INT_L_X18Y82 TIEOFF_X19Y82 TILESITE INT_L_X18Y83 TIEOFF_X19Y83 TILESITE INT_L_X18Y84 TIEOFF_X19Y84 TILESITE INT_L_X18Y85 TIEOFF_X19Y85 TILESITE INT_L_X18Y86 TIEOFF_X19Y86 TILESITE INT_L_X18Y87 TIEOFF_X19Y87 TILESITE INT_L_X18Y88 TIEOFF_X19Y88 TILESITE INT_L_X18Y89 TIEOFF_X19Y89 TILESITE INT_L_X18Y90 TIEOFF_X19Y90 TILESITE INT_L_X18Y91 TIEOFF_X19Y91 TILESITE INT_L_X18Y92 TIEOFF_X19Y92 TILESITE INT_L_X18Y93 TIEOFF_X19Y93 TILESITE INT_L_X18Y94 TIEOFF_X19Y94 TILESITE INT_L_X18Y95 TIEOFF_X19Y95 TILESITE INT_L_X18Y96 TIEOFF_X19Y96 TILESITE INT_L_X18Y97 TIEOFF_X19Y97 TILESITE INT_L_X18Y98 TIEOFF_X19Y98 TILESITE INT_L_X18Y99 TIEOFF_X19Y99 TILESITE INT_L_X18Y100 TIEOFF_X19Y100 TILESITE INT_L_X18Y101 TIEOFF_X19Y101 TILESITE INT_L_X18Y102 TIEOFF_X19Y102 TILESITE INT_L_X18Y103 TIEOFF_X19Y103 TILESITE INT_L_X18Y104 TIEOFF_X19Y104 TILESITE INT_L_X18Y105 TIEOFF_X19Y105 TILESITE INT_L_X18Y106 TIEOFF_X19Y106 TILESITE INT_L_X18Y107 TIEOFF_X19Y107 TILESITE INT_L_X18Y108 TIEOFF_X19Y108 TILESITE INT_L_X18Y109 TIEOFF_X19Y109 TILESITE INT_L_X18Y110 TIEOFF_X19Y110 TILESITE INT_L_X18Y111 TIEOFF_X19Y111 TILESITE INT_L_X18Y112 TIEOFF_X19Y112 TILESITE INT_L_X18Y113 TIEOFF_X19Y113 TILESITE INT_L_X18Y114 TIEOFF_X19Y114 TILESITE INT_L_X18Y115 TIEOFF_X19Y115 TILESITE INT_L_X18Y116 TIEOFF_X19Y116 TILESITE INT_L_X18Y117 TIEOFF_X19Y117 TILESITE INT_L_X18Y118 TIEOFF_X19Y118 TILESITE INT_L_X18Y119 TIEOFF_X19Y119 TILESITE INT_L_X18Y120 TIEOFF_X19Y120 TILESITE INT_L_X18Y121 TIEOFF_X19Y121 TILESITE INT_L_X18Y122 TIEOFF_X19Y122 TILESITE INT_L_X18Y123 TIEOFF_X19Y123 TILESITE INT_L_X18Y124 TIEOFF_X19Y124 TILESITE INT_L_X18Y125 TIEOFF_X19Y125 TILESITE INT_L_X18Y126 TIEOFF_X19Y126 TILESITE INT_L_X18Y127 TIEOFF_X19Y127 TILESITE INT_L_X18Y128 TIEOFF_X19Y128 TILESITE INT_L_X18Y129 TIEOFF_X19Y129 TILESITE INT_L_X18Y130 TIEOFF_X19Y130 TILESITE INT_L_X18Y131 TIEOFF_X19Y131 TILESITE INT_L_X18Y132 TIEOFF_X19Y132 TILESITE INT_L_X18Y133 TIEOFF_X19Y133 TILESITE INT_L_X18Y134 TIEOFF_X19Y134 TILESITE INT_L_X18Y135 TIEOFF_X19Y135 TILESITE INT_L_X18Y136 TIEOFF_X19Y136 TILESITE INT_L_X18Y137 TIEOFF_X19Y137 TILESITE INT_L_X18Y138 TIEOFF_X19Y138 TILESITE INT_L_X18Y139 TIEOFF_X19Y139 TILESITE INT_L_X18Y140 TIEOFF_X19Y140 TILESITE INT_L_X18Y141 TIEOFF_X19Y141 TILESITE INT_L_X18Y142 TIEOFF_X19Y142 TILESITE INT_L_X18Y143 TIEOFF_X19Y143 TILESITE INT_L_X18Y144 TIEOFF_X19Y144 TILESITE INT_L_X18Y145 TIEOFF_X19Y145 TILESITE INT_L_X18Y146 TIEOFF_X19Y146 TILESITE INT_L_X18Y147 TIEOFF_X19Y147 TILESITE INT_L_X18Y148 TIEOFF_X19Y148 TILESITE INT_L_X18Y149 TIEOFF_X19Y149 TILESITE INT_L_X20Y0 TIEOFF_X21Y0 TILESITE INT_L_X20Y1 TIEOFF_X21Y1 TILESITE INT_L_X20Y2 TIEOFF_X21Y2 TILESITE INT_L_X20Y3 TIEOFF_X21Y3 TILESITE INT_L_X20Y4 TIEOFF_X21Y4 TILESITE INT_L_X20Y5 TIEOFF_X21Y5 TILESITE INT_L_X20Y6 TIEOFF_X21Y6 TILESITE INT_L_X20Y7 TIEOFF_X21Y7 TILESITE INT_L_X20Y8 TIEOFF_X21Y8 TILESITE INT_L_X20Y9 TIEOFF_X21Y9 TILESITE INT_L_X20Y10 TIEOFF_X21Y10 TILESITE INT_L_X20Y11 TIEOFF_X21Y11 TILESITE INT_L_X20Y12 TIEOFF_X21Y12 TILESITE INT_L_X20Y13 TIEOFF_X21Y13 TILESITE INT_L_X20Y14 TIEOFF_X21Y14 TILESITE INT_L_X20Y15 TIEOFF_X21Y15 TILESITE INT_L_X20Y16 TIEOFF_X21Y16 TILESITE INT_L_X20Y17 TIEOFF_X21Y17 TILESITE INT_L_X20Y18 TIEOFF_X21Y18 TILESITE INT_L_X20Y19 TIEOFF_X21Y19 TILESITE INT_L_X20Y20 TIEOFF_X21Y20 TILESITE INT_L_X20Y21 TIEOFF_X21Y21 TILESITE INT_L_X20Y22 TIEOFF_X21Y22 TILESITE INT_L_X20Y23 TIEOFF_X21Y23 TILESITE INT_L_X20Y24 TIEOFF_X21Y24 TILESITE INT_L_X20Y25 TIEOFF_X21Y25 TILESITE INT_L_X20Y26 TIEOFF_X21Y26 TILESITE INT_L_X20Y27 TIEOFF_X21Y27 TILESITE INT_L_X20Y28 TIEOFF_X21Y28 TILESITE INT_L_X20Y29 TIEOFF_X21Y29 TILESITE INT_L_X20Y30 TIEOFF_X21Y30 TILESITE INT_L_X20Y31 TIEOFF_X21Y31 TILESITE INT_L_X20Y32 TIEOFF_X21Y32 TILESITE INT_L_X20Y33 TIEOFF_X21Y33 TILESITE INT_L_X20Y34 TIEOFF_X21Y34 TILESITE INT_L_X20Y35 TIEOFF_X21Y35 TILESITE INT_L_X20Y36 TIEOFF_X21Y36 TILESITE INT_L_X20Y37 TIEOFF_X21Y37 TILESITE INT_L_X20Y38 TIEOFF_X21Y38 TILESITE INT_L_X20Y39 TIEOFF_X21Y39 TILESITE INT_L_X20Y40 TIEOFF_X21Y40 TILESITE INT_L_X20Y41 TIEOFF_X21Y41 TILESITE INT_L_X20Y42 TIEOFF_X21Y42 TILESITE INT_L_X20Y43 TIEOFF_X21Y43 TILESITE INT_L_X20Y44 TIEOFF_X21Y44 TILESITE INT_L_X20Y45 TIEOFF_X21Y45 TILESITE INT_L_X20Y46 TIEOFF_X21Y46 TILESITE INT_L_X20Y47 TIEOFF_X21Y47 TILESITE INT_L_X20Y48 TIEOFF_X21Y48 TILESITE INT_L_X20Y49 TIEOFF_X21Y49 TILESITE INT_L_X20Y50 TIEOFF_X21Y50 TILESITE INT_L_X20Y51 TIEOFF_X21Y51 TILESITE INT_L_X20Y52 TIEOFF_X21Y52 TILESITE INT_L_X20Y53 TIEOFF_X21Y53 TILESITE INT_L_X20Y54 TIEOFF_X21Y54 TILESITE INT_L_X20Y55 TIEOFF_X21Y55 TILESITE INT_L_X20Y56 TIEOFF_X21Y56 TILESITE INT_L_X20Y57 TIEOFF_X21Y57 TILESITE INT_L_X20Y58 TIEOFF_X21Y58 TILESITE INT_L_X20Y59 TIEOFF_X21Y59 TILESITE INT_L_X20Y60 TIEOFF_X21Y60 TILESITE INT_L_X20Y61 TIEOFF_X21Y61 TILESITE INT_L_X20Y62 TIEOFF_X21Y62 TILESITE INT_L_X20Y63 TIEOFF_X21Y63 TILESITE INT_L_X20Y64 TIEOFF_X21Y64 TILESITE INT_L_X20Y65 TIEOFF_X21Y65 TILESITE INT_L_X20Y66 TIEOFF_X21Y66 TILESITE INT_L_X20Y67 TIEOFF_X21Y67 TILESITE INT_L_X20Y68 TIEOFF_X21Y68 TILESITE INT_L_X20Y69 TIEOFF_X21Y69 TILESITE INT_L_X20Y70 TIEOFF_X21Y70 TILESITE INT_L_X20Y71 TIEOFF_X21Y71 TILESITE INT_L_X20Y72 TIEOFF_X21Y72 TILESITE INT_L_X20Y73 TIEOFF_X21Y73 TILESITE INT_L_X20Y74 TIEOFF_X21Y74 TILESITE INT_L_X20Y75 TIEOFF_X21Y75 TILESITE INT_L_X20Y76 TIEOFF_X21Y76 TILESITE INT_L_X20Y77 TIEOFF_X21Y77 TILESITE INT_L_X20Y78 TIEOFF_X21Y78 TILESITE INT_L_X20Y79 TIEOFF_X21Y79 TILESITE INT_L_X20Y80 TIEOFF_X21Y80 TILESITE INT_L_X20Y81 TIEOFF_X21Y81 TILESITE INT_L_X20Y82 TIEOFF_X21Y82 TILESITE INT_L_X20Y83 TIEOFF_X21Y83 TILESITE INT_L_X20Y84 TIEOFF_X21Y84 TILESITE INT_L_X20Y85 TIEOFF_X21Y85 TILESITE INT_L_X20Y86 TIEOFF_X21Y86 TILESITE INT_L_X20Y87 TIEOFF_X21Y87 TILESITE INT_L_X20Y88 TIEOFF_X21Y88 TILESITE INT_L_X20Y89 TIEOFF_X21Y89 TILESITE INT_L_X20Y90 TIEOFF_X21Y90 TILESITE INT_L_X20Y91 TIEOFF_X21Y91 TILESITE INT_L_X20Y92 TIEOFF_X21Y92 TILESITE INT_L_X20Y93 TIEOFF_X21Y93 TILESITE INT_L_X20Y94 TIEOFF_X21Y94 TILESITE INT_L_X20Y95 TIEOFF_X21Y95 TILESITE INT_L_X20Y96 TIEOFF_X21Y96 TILESITE INT_L_X20Y97 TIEOFF_X21Y97 TILESITE INT_L_X20Y98 TIEOFF_X21Y98 TILESITE INT_L_X20Y99 TIEOFF_X21Y99 TILESITE INT_L_X20Y100 TIEOFF_X21Y100 TILESITE INT_L_X20Y101 TIEOFF_X21Y101 TILESITE INT_L_X20Y102 TIEOFF_X21Y102 TILESITE INT_L_X20Y103 TIEOFF_X21Y103 TILESITE INT_L_X20Y104 TIEOFF_X21Y104 TILESITE INT_L_X20Y105 TIEOFF_X21Y105 TILESITE INT_L_X20Y106 TIEOFF_X21Y106 TILESITE INT_L_X20Y107 TIEOFF_X21Y107 TILESITE INT_L_X20Y108 TIEOFF_X21Y108 TILESITE INT_L_X20Y109 TIEOFF_X21Y109 TILESITE INT_L_X20Y110 TIEOFF_X21Y110 TILESITE INT_L_X20Y111 TIEOFF_X21Y111 TILESITE INT_L_X20Y112 TIEOFF_X21Y112 TILESITE INT_L_X20Y113 TIEOFF_X21Y113 TILESITE INT_L_X20Y114 TIEOFF_X21Y114 TILESITE INT_L_X20Y115 TIEOFF_X21Y115 TILESITE INT_L_X20Y116 TIEOFF_X21Y116 TILESITE INT_L_X20Y117 TIEOFF_X21Y117 TILESITE INT_L_X20Y118 TIEOFF_X21Y118 TILESITE INT_L_X20Y119 TIEOFF_X21Y119 TILESITE INT_L_X20Y120 TIEOFF_X21Y120 TILESITE INT_L_X20Y121 TIEOFF_X21Y121 TILESITE INT_L_X20Y122 TIEOFF_X21Y122 TILESITE INT_L_X20Y123 TIEOFF_X21Y123 TILESITE INT_L_X20Y124 TIEOFF_X21Y124 TILESITE INT_L_X20Y125 TIEOFF_X21Y125 TILESITE INT_L_X20Y126 TIEOFF_X21Y126 TILESITE INT_L_X20Y127 TIEOFF_X21Y127 TILESITE INT_L_X20Y128 TIEOFF_X21Y128 TILESITE INT_L_X20Y129 TIEOFF_X21Y129 TILESITE INT_L_X20Y130 TIEOFF_X21Y130 TILESITE INT_L_X20Y131 TIEOFF_X21Y131 TILESITE INT_L_X20Y132 TIEOFF_X21Y132 TILESITE INT_L_X20Y133 TIEOFF_X21Y133 TILESITE INT_L_X20Y134 TIEOFF_X21Y134 TILESITE INT_L_X20Y135 TIEOFF_X21Y135 TILESITE INT_L_X20Y136 TIEOFF_X21Y136 TILESITE INT_L_X20Y137 TIEOFF_X21Y137 TILESITE INT_L_X20Y138 TIEOFF_X21Y138 TILESITE INT_L_X20Y139 TIEOFF_X21Y139 TILESITE INT_L_X20Y140 TIEOFF_X21Y140 TILESITE INT_L_X20Y141 TIEOFF_X21Y141 TILESITE INT_L_X20Y142 TIEOFF_X21Y142 TILESITE INT_L_X20Y143 TIEOFF_X21Y143 TILESITE INT_L_X20Y144 TIEOFF_X21Y144 TILESITE INT_L_X20Y145 TIEOFF_X21Y145 TILESITE INT_L_X20Y146 TIEOFF_X21Y146 TILESITE INT_L_X20Y147 TIEOFF_X21Y147 TILESITE INT_L_X20Y148 TIEOFF_X21Y148 TILESITE INT_L_X20Y149 TIEOFF_X21Y149 TILESITE INT_L_X22Y0 TIEOFF_X23Y0 TILESITE INT_L_X22Y1 TIEOFF_X23Y1 TILESITE INT_L_X22Y2 TIEOFF_X23Y2 TILESITE INT_L_X22Y3 TIEOFF_X23Y3 TILESITE INT_L_X22Y4 TIEOFF_X23Y4 TILESITE INT_L_X22Y5 TIEOFF_X23Y5 TILESITE INT_L_X22Y6 TIEOFF_X23Y6 TILESITE INT_L_X22Y7 TIEOFF_X23Y7 TILESITE INT_L_X22Y8 TIEOFF_X23Y8 TILESITE INT_L_X22Y9 TIEOFF_X23Y9 TILESITE INT_L_X22Y10 TIEOFF_X23Y10 TILESITE INT_L_X22Y11 TIEOFF_X23Y11 TILESITE INT_L_X22Y12 TIEOFF_X23Y12 TILESITE INT_L_X22Y13 TIEOFF_X23Y13 TILESITE INT_L_X22Y14 TIEOFF_X23Y14 TILESITE INT_L_X22Y15 TIEOFF_X23Y15 TILESITE INT_L_X22Y16 TIEOFF_X23Y16 TILESITE INT_L_X22Y17 TIEOFF_X23Y17 TILESITE INT_L_X22Y18 TIEOFF_X23Y18 TILESITE INT_L_X22Y19 TIEOFF_X23Y19 TILESITE INT_L_X22Y20 TIEOFF_X23Y20 TILESITE INT_L_X22Y21 TIEOFF_X23Y21 TILESITE INT_L_X22Y22 TIEOFF_X23Y22 TILESITE INT_L_X22Y23 TIEOFF_X23Y23 TILESITE INT_L_X22Y24 TIEOFF_X23Y24 TILESITE INT_L_X22Y25 TIEOFF_X23Y25 TILESITE INT_L_X22Y26 TIEOFF_X23Y26 TILESITE INT_L_X22Y27 TIEOFF_X23Y27 TILESITE INT_L_X22Y28 TIEOFF_X23Y28 TILESITE INT_L_X22Y29 TIEOFF_X23Y29 TILESITE INT_L_X22Y30 TIEOFF_X23Y30 TILESITE INT_L_X22Y31 TIEOFF_X23Y31 TILESITE INT_L_X22Y32 TIEOFF_X23Y32 TILESITE INT_L_X22Y33 TIEOFF_X23Y33 TILESITE INT_L_X22Y34 TIEOFF_X23Y34 TILESITE INT_L_X22Y35 TIEOFF_X23Y35 TILESITE INT_L_X22Y36 TIEOFF_X23Y36 TILESITE INT_L_X22Y37 TIEOFF_X23Y37 TILESITE INT_L_X22Y38 TIEOFF_X23Y38 TILESITE INT_L_X22Y39 TIEOFF_X23Y39 TILESITE INT_L_X22Y40 TIEOFF_X23Y40 TILESITE INT_L_X22Y41 TIEOFF_X23Y41 TILESITE INT_L_X22Y42 TIEOFF_X23Y42 TILESITE INT_L_X22Y43 TIEOFF_X23Y43 TILESITE INT_L_X22Y44 TIEOFF_X23Y44 TILESITE INT_L_X22Y45 TIEOFF_X23Y45 TILESITE INT_L_X22Y46 TIEOFF_X23Y46 TILESITE INT_L_X22Y47 TIEOFF_X23Y47 TILESITE INT_L_X22Y48 TIEOFF_X23Y48 TILESITE INT_L_X22Y49 TIEOFF_X23Y49 TILESITE INT_L_X22Y50 TIEOFF_X23Y50 TILESITE INT_L_X22Y51 TIEOFF_X23Y51 TILESITE INT_L_X22Y52 TIEOFF_X23Y52 TILESITE INT_L_X22Y53 TIEOFF_X23Y53 TILESITE INT_L_X22Y54 TIEOFF_X23Y54 TILESITE INT_L_X22Y55 TIEOFF_X23Y55 TILESITE INT_L_X22Y56 TIEOFF_X23Y56 TILESITE INT_L_X22Y57 TIEOFF_X23Y57 TILESITE INT_L_X22Y58 TIEOFF_X23Y58 TILESITE INT_L_X22Y59 TIEOFF_X23Y59 TILESITE INT_L_X22Y60 TIEOFF_X23Y60 TILESITE INT_L_X22Y61 TIEOFF_X23Y61 TILESITE INT_L_X22Y62 TIEOFF_X23Y62 TILESITE INT_L_X22Y63 TIEOFF_X23Y63 TILESITE INT_L_X22Y64 TIEOFF_X23Y64 TILESITE INT_L_X22Y65 TIEOFF_X23Y65 TILESITE INT_L_X22Y66 TIEOFF_X23Y66 TILESITE INT_L_X22Y67 TIEOFF_X23Y67 TILESITE INT_L_X22Y68 TIEOFF_X23Y68 TILESITE INT_L_X22Y69 TIEOFF_X23Y69 TILESITE INT_L_X22Y70 TIEOFF_X23Y70 TILESITE INT_L_X22Y71 TIEOFF_X23Y71 TILESITE INT_L_X22Y72 TIEOFF_X23Y72 TILESITE INT_L_X22Y73 TIEOFF_X23Y73 TILESITE INT_L_X22Y74 TIEOFF_X23Y74 TILESITE INT_L_X22Y75 TIEOFF_X23Y75 TILESITE INT_L_X22Y76 TIEOFF_X23Y76 TILESITE INT_L_X22Y77 TIEOFF_X23Y77 TILESITE INT_L_X22Y78 TIEOFF_X23Y78 TILESITE INT_L_X22Y79 TIEOFF_X23Y79 TILESITE INT_L_X22Y80 TIEOFF_X23Y80 TILESITE INT_L_X22Y81 TIEOFF_X23Y81 TILESITE INT_L_X22Y82 TIEOFF_X23Y82 TILESITE INT_L_X22Y83 TIEOFF_X23Y83 TILESITE INT_L_X22Y84 TIEOFF_X23Y84 TILESITE INT_L_X22Y85 TIEOFF_X23Y85 TILESITE INT_L_X22Y86 TIEOFF_X23Y86 TILESITE INT_L_X22Y87 TIEOFF_X23Y87 TILESITE INT_L_X22Y88 TIEOFF_X23Y88 TILESITE INT_L_X22Y89 TIEOFF_X23Y89 TILESITE INT_L_X22Y90 TIEOFF_X23Y90 TILESITE INT_L_X22Y91 TIEOFF_X23Y91 TILESITE INT_L_X22Y92 TIEOFF_X23Y92 TILESITE INT_L_X22Y93 TIEOFF_X23Y93 TILESITE INT_L_X22Y94 TIEOFF_X23Y94 TILESITE INT_L_X22Y95 TIEOFF_X23Y95 TILESITE INT_L_X22Y96 TIEOFF_X23Y96 TILESITE INT_L_X22Y97 TIEOFF_X23Y97 TILESITE INT_L_X22Y98 TIEOFF_X23Y98 TILESITE INT_L_X22Y99 TIEOFF_X23Y99 TILESITE INT_L_X22Y100 TIEOFF_X23Y100 TILESITE INT_L_X22Y101 TIEOFF_X23Y101 TILESITE INT_L_X22Y102 TIEOFF_X23Y102 TILESITE INT_L_X22Y103 TIEOFF_X23Y103 TILESITE INT_L_X22Y104 TIEOFF_X23Y104 TILESITE INT_L_X22Y105 TIEOFF_X23Y105 TILESITE INT_L_X22Y106 TIEOFF_X23Y106 TILESITE INT_L_X22Y107 TIEOFF_X23Y107 TILESITE INT_L_X22Y108 TIEOFF_X23Y108 TILESITE INT_L_X22Y109 TIEOFF_X23Y109 TILESITE INT_L_X22Y110 TIEOFF_X23Y110 TILESITE INT_L_X22Y111 TIEOFF_X23Y111 TILESITE INT_L_X22Y112 TIEOFF_X23Y112 TILESITE INT_L_X22Y113 TIEOFF_X23Y113 TILESITE INT_L_X22Y114 TIEOFF_X23Y114 TILESITE INT_L_X22Y115 TIEOFF_X23Y115 TILESITE INT_L_X22Y116 TIEOFF_X23Y116 TILESITE INT_L_X22Y117 TIEOFF_X23Y117 TILESITE INT_L_X22Y118 TIEOFF_X23Y118 TILESITE INT_L_X22Y119 TIEOFF_X23Y119 TILESITE INT_L_X22Y120 TIEOFF_X23Y120 TILESITE INT_L_X22Y121 TIEOFF_X23Y121 TILESITE INT_L_X22Y122 TIEOFF_X23Y122 TILESITE INT_L_X22Y123 TIEOFF_X23Y123 TILESITE INT_L_X22Y124 TIEOFF_X23Y124 TILESITE INT_L_X22Y125 TIEOFF_X23Y125 TILESITE INT_L_X22Y126 TIEOFF_X23Y126 TILESITE INT_L_X22Y127 TIEOFF_X23Y127 TILESITE INT_L_X22Y128 TIEOFF_X23Y128 TILESITE INT_L_X22Y129 TIEOFF_X23Y129 TILESITE INT_L_X22Y130 TIEOFF_X23Y130 TILESITE INT_L_X22Y131 TIEOFF_X23Y131 TILESITE INT_L_X22Y132 TIEOFF_X23Y132 TILESITE INT_L_X22Y133 TIEOFF_X23Y133 TILESITE INT_L_X22Y134 TIEOFF_X23Y134 TILESITE INT_L_X22Y135 TIEOFF_X23Y135 TILESITE INT_L_X22Y136 TIEOFF_X23Y136 TILESITE INT_L_X22Y137 TIEOFF_X23Y137 TILESITE INT_L_X22Y138 TIEOFF_X23Y138 TILESITE INT_L_X22Y139 TIEOFF_X23Y139 TILESITE INT_L_X22Y140 TIEOFF_X23Y140 TILESITE INT_L_X22Y141 TIEOFF_X23Y141 TILESITE INT_L_X22Y142 TIEOFF_X23Y142 TILESITE INT_L_X22Y143 TIEOFF_X23Y143 TILESITE INT_L_X22Y144 TIEOFF_X23Y144 TILESITE INT_L_X22Y145 TIEOFF_X23Y145 TILESITE INT_L_X22Y146 TIEOFF_X23Y146 TILESITE INT_L_X22Y147 TIEOFF_X23Y147 TILESITE INT_L_X22Y148 TIEOFF_X23Y148 TILESITE INT_L_X22Y149 TIEOFF_X23Y149 TILESITE INT_L_X24Y0 TIEOFF_X25Y0 TILESITE INT_L_X24Y1 TIEOFF_X25Y1 TILESITE INT_L_X24Y2 TIEOFF_X25Y2 TILESITE INT_L_X24Y3 TIEOFF_X25Y3 TILESITE INT_L_X24Y4 TIEOFF_X25Y4 TILESITE INT_L_X24Y5 TIEOFF_X25Y5 TILESITE INT_L_X24Y6 TIEOFF_X25Y6 TILESITE INT_L_X24Y7 TIEOFF_X25Y7 TILESITE INT_L_X24Y8 TIEOFF_X25Y8 TILESITE INT_L_X24Y9 TIEOFF_X25Y9 TILESITE INT_L_X24Y10 TIEOFF_X25Y10 TILESITE INT_L_X24Y11 TIEOFF_X25Y11 TILESITE INT_L_X24Y12 TIEOFF_X25Y12 TILESITE INT_L_X24Y13 TIEOFF_X25Y13 TILESITE INT_L_X24Y14 TIEOFF_X25Y14 TILESITE INT_L_X24Y15 TIEOFF_X25Y15 TILESITE INT_L_X24Y16 TIEOFF_X25Y16 TILESITE INT_L_X24Y17 TIEOFF_X25Y17 TILESITE INT_L_X24Y18 TIEOFF_X25Y18 TILESITE INT_L_X24Y19 TIEOFF_X25Y19 TILESITE INT_L_X24Y20 TIEOFF_X25Y20 TILESITE INT_L_X24Y21 TIEOFF_X25Y21 TILESITE INT_L_X24Y22 TIEOFF_X25Y22 TILESITE INT_L_X24Y23 TIEOFF_X25Y23 TILESITE INT_L_X24Y24 TIEOFF_X25Y24 TILESITE INT_L_X24Y25 TIEOFF_X25Y25 TILESITE INT_L_X24Y26 TIEOFF_X25Y26 TILESITE INT_L_X24Y27 TIEOFF_X25Y27 TILESITE INT_L_X24Y28 TIEOFF_X25Y28 TILESITE INT_L_X24Y29 TIEOFF_X25Y29 TILESITE INT_L_X24Y30 TIEOFF_X25Y30 TILESITE INT_L_X24Y31 TIEOFF_X25Y31 TILESITE INT_L_X24Y32 TIEOFF_X25Y32 TILESITE INT_L_X24Y33 TIEOFF_X25Y33 TILESITE INT_L_X24Y34 TIEOFF_X25Y34 TILESITE INT_L_X24Y35 TIEOFF_X25Y35 TILESITE INT_L_X24Y36 TIEOFF_X25Y36 TILESITE INT_L_X24Y37 TIEOFF_X25Y37 TILESITE INT_L_X24Y38 TIEOFF_X25Y38 TILESITE INT_L_X24Y39 TIEOFF_X25Y39 TILESITE INT_L_X24Y40 TIEOFF_X25Y40 TILESITE INT_L_X24Y41 TIEOFF_X25Y41 TILESITE INT_L_X24Y42 TIEOFF_X25Y42 TILESITE INT_L_X24Y43 TIEOFF_X25Y43 TILESITE INT_L_X24Y44 TIEOFF_X25Y44 TILESITE INT_L_X24Y45 TIEOFF_X25Y45 TILESITE INT_L_X24Y46 TIEOFF_X25Y46 TILESITE INT_L_X24Y47 TIEOFF_X25Y47 TILESITE INT_L_X24Y48 TIEOFF_X25Y48 TILESITE INT_L_X24Y49 TIEOFF_X25Y49 TILESITE INT_L_X24Y50 TIEOFF_X25Y50 TILESITE INT_L_X24Y51 TIEOFF_X25Y51 TILESITE INT_L_X24Y52 TIEOFF_X25Y52 TILESITE INT_L_X24Y53 TIEOFF_X25Y53 TILESITE INT_L_X24Y54 TIEOFF_X25Y54 TILESITE INT_L_X24Y55 TIEOFF_X25Y55 TILESITE INT_L_X24Y56 TIEOFF_X25Y56 TILESITE INT_L_X24Y57 TIEOFF_X25Y57 TILESITE INT_L_X24Y58 TIEOFF_X25Y58 TILESITE INT_L_X24Y59 TIEOFF_X25Y59 TILESITE INT_L_X24Y60 TIEOFF_X25Y60 TILESITE INT_L_X24Y61 TIEOFF_X25Y61 TILESITE INT_L_X24Y62 TIEOFF_X25Y62 TILESITE INT_L_X24Y63 TIEOFF_X25Y63 TILESITE INT_L_X24Y64 TIEOFF_X25Y64 TILESITE INT_L_X24Y65 TIEOFF_X25Y65 TILESITE INT_L_X24Y66 TIEOFF_X25Y66 TILESITE INT_L_X24Y67 TIEOFF_X25Y67 TILESITE INT_L_X24Y68 TIEOFF_X25Y68 TILESITE INT_L_X24Y69 TIEOFF_X25Y69 TILESITE INT_L_X24Y70 TIEOFF_X25Y70 TILESITE INT_L_X24Y71 TIEOFF_X25Y71 TILESITE INT_L_X24Y72 TIEOFF_X25Y72 TILESITE INT_L_X24Y73 TIEOFF_X25Y73 TILESITE INT_L_X24Y74 TIEOFF_X25Y74 TILESITE INT_L_X24Y75 TIEOFF_X25Y75 TILESITE INT_L_X24Y76 TIEOFF_X25Y76 TILESITE INT_L_X24Y77 TIEOFF_X25Y77 TILESITE INT_L_X24Y78 TIEOFF_X25Y78 TILESITE INT_L_X24Y79 TIEOFF_X25Y79 TILESITE INT_L_X24Y80 TIEOFF_X25Y80 TILESITE INT_L_X24Y81 TIEOFF_X25Y81 TILESITE INT_L_X24Y82 TIEOFF_X25Y82 TILESITE INT_L_X24Y83 TIEOFF_X25Y83 TILESITE INT_L_X24Y84 TIEOFF_X25Y84 TILESITE INT_L_X24Y85 TIEOFF_X25Y85 TILESITE INT_L_X24Y86 TIEOFF_X25Y86 TILESITE INT_L_X24Y87 TIEOFF_X25Y87 TILESITE INT_L_X24Y88 TIEOFF_X25Y88 TILESITE INT_L_X24Y89 TIEOFF_X25Y89 TILESITE INT_L_X24Y90 TIEOFF_X25Y90 TILESITE INT_L_X24Y91 TIEOFF_X25Y91 TILESITE INT_L_X24Y92 TIEOFF_X25Y92 TILESITE INT_L_X24Y93 TIEOFF_X25Y93 TILESITE INT_L_X24Y94 TIEOFF_X25Y94 TILESITE INT_L_X24Y95 TIEOFF_X25Y95 TILESITE INT_L_X24Y96 TIEOFF_X25Y96 TILESITE INT_L_X24Y97 TIEOFF_X25Y97 TILESITE INT_L_X24Y98 TIEOFF_X25Y98 TILESITE INT_L_X24Y99 TIEOFF_X25Y99 TILESITE INT_L_X24Y100 TIEOFF_X25Y100 TILESITE INT_L_X24Y101 TIEOFF_X25Y101 TILESITE INT_L_X24Y102 TIEOFF_X25Y102 TILESITE INT_L_X24Y103 TIEOFF_X25Y103 TILESITE INT_L_X24Y104 TIEOFF_X25Y104 TILESITE INT_L_X24Y105 TIEOFF_X25Y105 TILESITE INT_L_X24Y106 TIEOFF_X25Y106 TILESITE INT_L_X24Y107 TIEOFF_X25Y107 TILESITE INT_L_X24Y108 TIEOFF_X25Y108 TILESITE INT_L_X24Y109 TIEOFF_X25Y109 TILESITE INT_L_X24Y110 TIEOFF_X25Y110 TILESITE INT_L_X24Y111 TIEOFF_X25Y111 TILESITE INT_L_X24Y112 TIEOFF_X25Y112 TILESITE INT_L_X24Y113 TIEOFF_X25Y113 TILESITE INT_L_X24Y114 TIEOFF_X25Y114 TILESITE INT_L_X24Y115 TIEOFF_X25Y115 TILESITE INT_L_X24Y116 TIEOFF_X25Y116 TILESITE INT_L_X24Y117 TIEOFF_X25Y117 TILESITE INT_L_X24Y118 TIEOFF_X25Y118 TILESITE INT_L_X24Y119 TIEOFF_X25Y119 TILESITE INT_L_X24Y120 TIEOFF_X25Y120 TILESITE INT_L_X24Y121 TIEOFF_X25Y121 TILESITE INT_L_X24Y122 TIEOFF_X25Y122 TILESITE INT_L_X24Y123 TIEOFF_X25Y123 TILESITE INT_L_X24Y124 TIEOFF_X25Y124 TILESITE INT_L_X24Y125 TIEOFF_X25Y125 TILESITE INT_L_X24Y126 TIEOFF_X25Y126 TILESITE INT_L_X24Y127 TIEOFF_X25Y127 TILESITE INT_L_X24Y128 TIEOFF_X25Y128 TILESITE INT_L_X24Y129 TIEOFF_X25Y129 TILESITE INT_L_X24Y130 TIEOFF_X25Y130 TILESITE INT_L_X24Y131 TIEOFF_X25Y131 TILESITE INT_L_X24Y132 TIEOFF_X25Y132 TILESITE INT_L_X24Y133 TIEOFF_X25Y133 TILESITE INT_L_X24Y134 TIEOFF_X25Y134 TILESITE INT_L_X24Y135 TIEOFF_X25Y135 TILESITE INT_L_X24Y136 TIEOFF_X25Y136 TILESITE INT_L_X24Y137 TIEOFF_X25Y137 TILESITE INT_L_X24Y138 TIEOFF_X25Y138 TILESITE INT_L_X24Y139 TIEOFF_X25Y139 TILESITE INT_L_X24Y140 TIEOFF_X25Y140 TILESITE INT_L_X24Y141 TIEOFF_X25Y141 TILESITE INT_L_X24Y142 TIEOFF_X25Y142 TILESITE INT_L_X24Y143 TIEOFF_X25Y143 TILESITE INT_L_X24Y144 TIEOFF_X25Y144 TILESITE INT_L_X24Y145 TIEOFF_X25Y145 TILESITE INT_L_X24Y146 TIEOFF_X25Y146 TILESITE INT_L_X24Y147 TIEOFF_X25Y147 TILESITE INT_L_X24Y148 TIEOFF_X25Y148 TILESITE INT_L_X24Y149 TIEOFF_X25Y149 TILESITE INT_L_X26Y0 TIEOFF_X27Y0 TILESITE INT_L_X26Y1 TIEOFF_X27Y1 TILESITE INT_L_X26Y2 TIEOFF_X27Y2 TILESITE INT_L_X26Y3 TIEOFF_X27Y3 TILESITE INT_L_X26Y4 TIEOFF_X27Y4 TILESITE INT_L_X26Y5 TIEOFF_X27Y5 TILESITE INT_L_X26Y6 TIEOFF_X27Y6 TILESITE INT_L_X26Y7 TIEOFF_X27Y7 TILESITE INT_L_X26Y8 TIEOFF_X27Y8 TILESITE INT_L_X26Y9 TIEOFF_X27Y9 TILESITE INT_L_X26Y10 TIEOFF_X27Y10 TILESITE INT_L_X26Y11 TIEOFF_X27Y11 TILESITE INT_L_X26Y12 TIEOFF_X27Y12 TILESITE INT_L_X26Y13 TIEOFF_X27Y13 TILESITE INT_L_X26Y14 TIEOFF_X27Y14 TILESITE INT_L_X26Y15 TIEOFF_X27Y15 TILESITE INT_L_X26Y16 TIEOFF_X27Y16 TILESITE INT_L_X26Y17 TIEOFF_X27Y17 TILESITE INT_L_X26Y18 TIEOFF_X27Y18 TILESITE INT_L_X26Y19 TIEOFF_X27Y19 TILESITE INT_L_X26Y20 TIEOFF_X27Y20 TILESITE INT_L_X26Y21 TIEOFF_X27Y21 TILESITE INT_L_X26Y22 TIEOFF_X27Y22 TILESITE INT_L_X26Y23 TIEOFF_X27Y23 TILESITE INT_L_X26Y24 TIEOFF_X27Y24 TILESITE INT_L_X26Y25 TIEOFF_X27Y25 TILESITE INT_L_X26Y26 TIEOFF_X27Y26 TILESITE INT_L_X26Y27 TIEOFF_X27Y27 TILESITE INT_L_X26Y28 TIEOFF_X27Y28 TILESITE INT_L_X26Y29 TIEOFF_X27Y29 TILESITE INT_L_X26Y30 TIEOFF_X27Y30 TILESITE INT_L_X26Y31 TIEOFF_X27Y31 TILESITE INT_L_X26Y32 TIEOFF_X27Y32 TILESITE INT_L_X26Y33 TIEOFF_X27Y33 TILESITE INT_L_X26Y34 TIEOFF_X27Y34 TILESITE INT_L_X26Y35 TIEOFF_X27Y35 TILESITE INT_L_X26Y36 TIEOFF_X27Y36 TILESITE INT_L_X26Y37 TIEOFF_X27Y37 TILESITE INT_L_X26Y38 TIEOFF_X27Y38 TILESITE INT_L_X26Y39 TIEOFF_X27Y39 TILESITE INT_L_X26Y40 TIEOFF_X27Y40 TILESITE INT_L_X26Y41 TIEOFF_X27Y41 TILESITE INT_L_X26Y42 TIEOFF_X27Y42 TILESITE INT_L_X26Y43 TIEOFF_X27Y43 TILESITE INT_L_X26Y44 TIEOFF_X27Y44 TILESITE INT_L_X26Y45 TIEOFF_X27Y45 TILESITE INT_L_X26Y46 TIEOFF_X27Y46 TILESITE INT_L_X26Y47 TIEOFF_X27Y47 TILESITE INT_L_X26Y48 TIEOFF_X27Y48 TILESITE INT_L_X26Y49 TIEOFF_X27Y49 TILESITE INT_L_X26Y50 TIEOFF_X27Y50 TILESITE INT_L_X26Y51 TIEOFF_X27Y51 TILESITE INT_L_X26Y52 TIEOFF_X27Y52 TILESITE INT_L_X26Y53 TIEOFF_X27Y53 TILESITE INT_L_X26Y54 TIEOFF_X27Y54 TILESITE INT_L_X26Y55 TIEOFF_X27Y55 TILESITE INT_L_X26Y56 TIEOFF_X27Y56 TILESITE INT_L_X26Y57 TIEOFF_X27Y57 TILESITE INT_L_X26Y58 TIEOFF_X27Y58 TILESITE INT_L_X26Y59 TIEOFF_X27Y59 TILESITE INT_L_X26Y60 TIEOFF_X27Y60 TILESITE INT_L_X26Y61 TIEOFF_X27Y61 TILESITE INT_L_X26Y62 TIEOFF_X27Y62 TILESITE INT_L_X26Y63 TIEOFF_X27Y63 TILESITE INT_L_X26Y64 TIEOFF_X27Y64 TILESITE INT_L_X26Y65 TIEOFF_X27Y65 TILESITE INT_L_X26Y66 TIEOFF_X27Y66 TILESITE INT_L_X26Y67 TIEOFF_X27Y67 TILESITE INT_L_X26Y68 TIEOFF_X27Y68 TILESITE INT_L_X26Y69 TIEOFF_X27Y69 TILESITE INT_L_X26Y70 TIEOFF_X27Y70 TILESITE INT_L_X26Y71 TIEOFF_X27Y71 TILESITE INT_L_X26Y72 TIEOFF_X27Y72 TILESITE INT_L_X26Y73 TIEOFF_X27Y73 TILESITE INT_L_X26Y74 TIEOFF_X27Y74 TILESITE INT_L_X26Y75 TIEOFF_X27Y75 TILESITE INT_L_X26Y76 TIEOFF_X27Y76 TILESITE INT_L_X26Y77 TIEOFF_X27Y77 TILESITE INT_L_X26Y78 TIEOFF_X27Y78 TILESITE INT_L_X26Y79 TIEOFF_X27Y79 TILESITE INT_L_X26Y80 TIEOFF_X27Y80 TILESITE INT_L_X26Y81 TIEOFF_X27Y81 TILESITE INT_L_X26Y82 TIEOFF_X27Y82 TILESITE INT_L_X26Y83 TIEOFF_X27Y83 TILESITE INT_L_X26Y84 TIEOFF_X27Y84 TILESITE INT_L_X26Y85 TIEOFF_X27Y85 TILESITE INT_L_X26Y86 TIEOFF_X27Y86 TILESITE INT_L_X26Y87 TIEOFF_X27Y87 TILESITE INT_L_X26Y88 TIEOFF_X27Y88 TILESITE INT_L_X26Y89 TIEOFF_X27Y89 TILESITE INT_L_X26Y90 TIEOFF_X27Y90 TILESITE INT_L_X26Y91 TIEOFF_X27Y91 TILESITE INT_L_X26Y92 TIEOFF_X27Y92 TILESITE INT_L_X26Y93 TIEOFF_X27Y93 TILESITE INT_L_X26Y94 TIEOFF_X27Y94 TILESITE INT_L_X26Y95 TIEOFF_X27Y95 TILESITE INT_L_X26Y96 TIEOFF_X27Y96 TILESITE INT_L_X26Y97 TIEOFF_X27Y97 TILESITE INT_L_X26Y98 TIEOFF_X27Y98 TILESITE INT_L_X26Y99 TIEOFF_X27Y99 TILESITE INT_L_X26Y100 TIEOFF_X27Y100 TILESITE INT_L_X26Y101 TIEOFF_X27Y101 TILESITE INT_L_X26Y102 TIEOFF_X27Y102 TILESITE INT_L_X26Y103 TIEOFF_X27Y103 TILESITE INT_L_X26Y104 TIEOFF_X27Y104 TILESITE INT_L_X26Y105 TIEOFF_X27Y105 TILESITE INT_L_X26Y106 TIEOFF_X27Y106 TILESITE INT_L_X26Y107 TIEOFF_X27Y107 TILESITE INT_L_X26Y108 TIEOFF_X27Y108 TILESITE INT_L_X26Y109 TIEOFF_X27Y109 TILESITE INT_L_X26Y110 TIEOFF_X27Y110 TILESITE INT_L_X26Y111 TIEOFF_X27Y111 TILESITE INT_L_X26Y112 TIEOFF_X27Y112 TILESITE INT_L_X26Y113 TIEOFF_X27Y113 TILESITE INT_L_X26Y114 TIEOFF_X27Y114 TILESITE INT_L_X26Y115 TIEOFF_X27Y115 TILESITE INT_L_X26Y116 TIEOFF_X27Y116 TILESITE INT_L_X26Y117 TIEOFF_X27Y117 TILESITE INT_L_X26Y118 TIEOFF_X27Y118 TILESITE INT_L_X26Y119 TIEOFF_X27Y119 TILESITE INT_L_X26Y120 TIEOFF_X27Y120 TILESITE INT_L_X26Y121 TIEOFF_X27Y121 TILESITE INT_L_X26Y122 TIEOFF_X27Y122 TILESITE INT_L_X26Y123 TIEOFF_X27Y123 TILESITE INT_L_X26Y124 TIEOFF_X27Y124 TILESITE INT_L_X26Y125 TIEOFF_X27Y125 TILESITE INT_L_X26Y126 TIEOFF_X27Y126 TILESITE INT_L_X26Y127 TIEOFF_X27Y127 TILESITE INT_L_X26Y128 TIEOFF_X27Y128 TILESITE INT_L_X26Y129 TIEOFF_X27Y129 TILESITE INT_L_X26Y130 TIEOFF_X27Y130 TILESITE INT_L_X26Y131 TIEOFF_X27Y131 TILESITE INT_L_X26Y132 TIEOFF_X27Y132 TILESITE INT_L_X26Y133 TIEOFF_X27Y133 TILESITE INT_L_X26Y134 TIEOFF_X27Y134 TILESITE INT_L_X26Y135 TIEOFF_X27Y135 TILESITE INT_L_X26Y136 TIEOFF_X27Y136 TILESITE INT_L_X26Y137 TIEOFF_X27Y137 TILESITE INT_L_X26Y138 TIEOFF_X27Y138 TILESITE INT_L_X26Y139 TIEOFF_X27Y139 TILESITE INT_L_X26Y140 TIEOFF_X27Y140 TILESITE INT_L_X26Y141 TIEOFF_X27Y141 TILESITE INT_L_X26Y142 TIEOFF_X27Y142 TILESITE INT_L_X26Y143 TIEOFF_X27Y143 TILESITE INT_L_X26Y144 TIEOFF_X27Y144 TILESITE INT_L_X26Y145 TIEOFF_X27Y145 TILESITE INT_L_X26Y146 TIEOFF_X27Y146 TILESITE INT_L_X26Y147 TIEOFF_X27Y147 TILESITE INT_L_X26Y148 TIEOFF_X27Y148 TILESITE INT_L_X26Y149 TIEOFF_X27Y149 TILESITE INT_L_X28Y0 TIEOFF_X29Y0 TILESITE INT_L_X28Y1 TIEOFF_X29Y1 TILESITE INT_L_X28Y2 TIEOFF_X29Y2 TILESITE INT_L_X28Y3 TIEOFF_X29Y3 TILESITE INT_L_X28Y4 TIEOFF_X29Y4 TILESITE INT_L_X28Y5 TIEOFF_X29Y5 TILESITE INT_L_X28Y6 TIEOFF_X29Y6 TILESITE INT_L_X28Y7 TIEOFF_X29Y7 TILESITE INT_L_X28Y8 TIEOFF_X29Y8 TILESITE INT_L_X28Y9 TIEOFF_X29Y9 TILESITE INT_L_X28Y10 TIEOFF_X29Y10 TILESITE INT_L_X28Y11 TIEOFF_X29Y11 TILESITE INT_L_X28Y12 TIEOFF_X29Y12 TILESITE INT_L_X28Y13 TIEOFF_X29Y13 TILESITE INT_L_X28Y14 TIEOFF_X29Y14 TILESITE INT_L_X28Y15 TIEOFF_X29Y15 TILESITE INT_L_X28Y16 TIEOFF_X29Y16 TILESITE INT_L_X28Y17 TIEOFF_X29Y17 TILESITE INT_L_X28Y18 TIEOFF_X29Y18 TILESITE INT_L_X28Y19 TIEOFF_X29Y19 TILESITE INT_L_X28Y20 TIEOFF_X29Y20 TILESITE INT_L_X28Y21 TIEOFF_X29Y21 TILESITE INT_L_X28Y22 TIEOFF_X29Y22 TILESITE INT_L_X28Y23 TIEOFF_X29Y23 TILESITE INT_L_X28Y24 TIEOFF_X29Y24 TILESITE INT_L_X28Y25 TIEOFF_X29Y25 TILESITE INT_L_X28Y26 TIEOFF_X29Y26 TILESITE INT_L_X28Y27 TIEOFF_X29Y27 TILESITE INT_L_X28Y28 TIEOFF_X29Y28 TILESITE INT_L_X28Y29 TIEOFF_X29Y29 TILESITE INT_L_X28Y30 TIEOFF_X29Y30 TILESITE INT_L_X28Y31 TIEOFF_X29Y31 TILESITE INT_L_X28Y32 TIEOFF_X29Y32 TILESITE INT_L_X28Y33 TIEOFF_X29Y33 TILESITE INT_L_X28Y34 TIEOFF_X29Y34 TILESITE INT_L_X28Y35 TIEOFF_X29Y35 TILESITE INT_L_X28Y36 TIEOFF_X29Y36 TILESITE INT_L_X28Y37 TIEOFF_X29Y37 TILESITE INT_L_X28Y38 TIEOFF_X29Y38 TILESITE INT_L_X28Y39 TIEOFF_X29Y39 TILESITE INT_L_X28Y40 TIEOFF_X29Y40 TILESITE INT_L_X28Y41 TIEOFF_X29Y41 TILESITE INT_L_X28Y42 TIEOFF_X29Y42 TILESITE INT_L_X28Y43 TIEOFF_X29Y43 TILESITE INT_L_X28Y44 TIEOFF_X29Y44 TILESITE INT_L_X28Y45 TIEOFF_X29Y45 TILESITE INT_L_X28Y46 TIEOFF_X29Y46 TILESITE INT_L_X28Y47 TIEOFF_X29Y47 TILESITE INT_L_X28Y48 TIEOFF_X29Y48 TILESITE INT_L_X28Y49 TIEOFF_X29Y49 TILESITE INT_L_X28Y50 TIEOFF_X29Y50 TILESITE INT_L_X28Y51 TIEOFF_X29Y51 TILESITE INT_L_X28Y52 TIEOFF_X29Y52 TILESITE INT_L_X28Y53 TIEOFF_X29Y53 TILESITE INT_L_X28Y54 TIEOFF_X29Y54 TILESITE INT_L_X28Y55 TIEOFF_X29Y55 TILESITE INT_L_X28Y56 TIEOFF_X29Y56 TILESITE INT_L_X28Y57 TIEOFF_X29Y57 TILESITE INT_L_X28Y58 TIEOFF_X29Y58 TILESITE INT_L_X28Y59 TIEOFF_X29Y59 TILESITE INT_L_X28Y60 TIEOFF_X29Y60 TILESITE INT_L_X28Y61 TIEOFF_X29Y61 TILESITE INT_L_X28Y62 TIEOFF_X29Y62 TILESITE INT_L_X28Y63 TIEOFF_X29Y63 TILESITE INT_L_X28Y64 TIEOFF_X29Y64 TILESITE INT_L_X28Y65 TIEOFF_X29Y65 TILESITE INT_L_X28Y66 TIEOFF_X29Y66 TILESITE INT_L_X28Y67 TIEOFF_X29Y67 TILESITE INT_L_X28Y68 TIEOFF_X29Y68 TILESITE INT_L_X28Y69 TIEOFF_X29Y69 TILESITE INT_L_X28Y70 TIEOFF_X29Y70 TILESITE INT_L_X28Y71 TIEOFF_X29Y71 TILESITE INT_L_X28Y72 TIEOFF_X29Y72 TILESITE INT_L_X28Y73 TIEOFF_X29Y73 TILESITE INT_L_X28Y74 TIEOFF_X29Y74 TILESITE INT_L_X28Y75 TIEOFF_X29Y75 TILESITE INT_L_X28Y76 TIEOFF_X29Y76 TILESITE INT_L_X28Y77 TIEOFF_X29Y77 TILESITE INT_L_X28Y78 TIEOFF_X29Y78 TILESITE INT_L_X28Y79 TIEOFF_X29Y79 TILESITE INT_L_X28Y80 TIEOFF_X29Y80 TILESITE INT_L_X28Y81 TIEOFF_X29Y81 TILESITE INT_L_X28Y82 TIEOFF_X29Y82 TILESITE INT_L_X28Y83 TIEOFF_X29Y83 TILESITE INT_L_X28Y84 TIEOFF_X29Y84 TILESITE INT_L_X28Y85 TIEOFF_X29Y85 TILESITE INT_L_X28Y86 TIEOFF_X29Y86 TILESITE INT_L_X28Y87 TIEOFF_X29Y87 TILESITE INT_L_X28Y88 TIEOFF_X29Y88 TILESITE INT_L_X28Y89 TIEOFF_X29Y89 TILESITE INT_L_X28Y90 TIEOFF_X29Y90 TILESITE INT_L_X28Y91 TIEOFF_X29Y91 TILESITE INT_L_X28Y92 TIEOFF_X29Y92 TILESITE INT_L_X28Y93 TIEOFF_X29Y93 TILESITE INT_L_X28Y94 TIEOFF_X29Y94 TILESITE INT_L_X28Y95 TIEOFF_X29Y95 TILESITE INT_L_X28Y96 TIEOFF_X29Y96 TILESITE INT_L_X28Y97 TIEOFF_X29Y97 TILESITE INT_L_X28Y98 TIEOFF_X29Y98 TILESITE INT_L_X28Y99 TIEOFF_X29Y99 TILESITE INT_L_X28Y125 TIEOFF_X29Y125 TILESITE INT_L_X28Y126 TIEOFF_X29Y126 TILESITE INT_L_X28Y127 TIEOFF_X29Y127 TILESITE INT_L_X28Y128 TIEOFF_X29Y128 TILESITE INT_L_X28Y129 TIEOFF_X29Y129 TILESITE INT_L_X28Y130 TIEOFF_X29Y130 TILESITE INT_L_X28Y131 TIEOFF_X29Y131 TILESITE INT_L_X28Y132 TIEOFF_X29Y132 TILESITE INT_L_X28Y133 TIEOFF_X29Y133 TILESITE INT_L_X28Y134 TIEOFF_X29Y134 TILESITE INT_L_X28Y135 TIEOFF_X29Y135 TILESITE INT_L_X28Y136 TIEOFF_X29Y136 TILESITE INT_L_X28Y137 TIEOFF_X29Y137 TILESITE INT_L_X28Y138 TIEOFF_X29Y138 TILESITE INT_L_X28Y139 TIEOFF_X29Y139 TILESITE INT_L_X28Y140 TIEOFF_X29Y140 TILESITE INT_L_X28Y141 TIEOFF_X29Y141 TILESITE INT_L_X28Y142 TIEOFF_X29Y142 TILESITE INT_L_X28Y143 TIEOFF_X29Y143 TILESITE INT_L_X28Y144 TIEOFF_X29Y144 TILESITE INT_L_X28Y145 TIEOFF_X29Y145 TILESITE INT_L_X28Y146 TIEOFF_X29Y146 TILESITE INT_L_X28Y147 TIEOFF_X29Y147 TILESITE INT_L_X28Y148 TIEOFF_X29Y148 TILESITE INT_L_X28Y149 TIEOFF_X29Y149 TILESITE INT_L_X2Y0 TIEOFF_X2Y0 TILESITE INT_L_X2Y1 TIEOFF_X2Y1 TILESITE INT_L_X2Y2 TIEOFF_X2Y2 TILESITE INT_L_X2Y3 TIEOFF_X2Y3 TILESITE INT_L_X2Y4 TIEOFF_X2Y4 TILESITE INT_L_X2Y5 TIEOFF_X2Y5 TILESITE INT_L_X2Y6 TIEOFF_X2Y6 TILESITE INT_L_X2Y7 TIEOFF_X2Y7 TILESITE INT_L_X2Y8 TIEOFF_X2Y8 TILESITE INT_L_X2Y9 TIEOFF_X2Y9 TILESITE INT_L_X2Y10 TIEOFF_X2Y10 TILESITE INT_L_X2Y11 TIEOFF_X2Y11 TILESITE INT_L_X2Y12 TIEOFF_X2Y12 TILESITE INT_L_X2Y13 TIEOFF_X2Y13 TILESITE INT_L_X2Y14 TIEOFF_X2Y14 TILESITE INT_L_X2Y15 TIEOFF_X2Y15 TILESITE INT_L_X2Y16 TIEOFF_X2Y16 TILESITE INT_L_X2Y17 TIEOFF_X2Y17 TILESITE INT_L_X2Y18 TIEOFF_X2Y18 TILESITE INT_L_X2Y19 TIEOFF_X2Y19 TILESITE INT_L_X2Y20 TIEOFF_X2Y20 TILESITE INT_L_X2Y21 TIEOFF_X2Y21 TILESITE INT_L_X2Y22 TIEOFF_X2Y22 TILESITE INT_L_X2Y23 TIEOFF_X2Y23 TILESITE INT_L_X2Y24 TIEOFF_X2Y24 TILESITE INT_L_X2Y25 TIEOFF_X2Y25 TILESITE INT_L_X2Y26 TIEOFF_X2Y26 TILESITE INT_L_X2Y27 TIEOFF_X2Y27 TILESITE INT_L_X2Y28 TIEOFF_X2Y28 TILESITE INT_L_X2Y29 TIEOFF_X2Y29 TILESITE INT_L_X2Y30 TIEOFF_X2Y30 TILESITE INT_L_X2Y31 TIEOFF_X2Y31 TILESITE INT_L_X2Y32 TIEOFF_X2Y32 TILESITE INT_L_X2Y33 TIEOFF_X2Y33 TILESITE INT_L_X2Y34 TIEOFF_X2Y34 TILESITE INT_L_X2Y35 TIEOFF_X2Y35 TILESITE INT_L_X2Y36 TIEOFF_X2Y36 TILESITE INT_L_X2Y37 TIEOFF_X2Y37 TILESITE INT_L_X2Y38 TIEOFF_X2Y38 TILESITE INT_L_X2Y39 TIEOFF_X2Y39 TILESITE INT_L_X2Y40 TIEOFF_X2Y40 TILESITE INT_L_X2Y41 TIEOFF_X2Y41 TILESITE INT_L_X2Y42 TIEOFF_X2Y42 TILESITE INT_L_X2Y43 TIEOFF_X2Y43 TILESITE INT_L_X2Y44 TIEOFF_X2Y44 TILESITE INT_L_X2Y45 TIEOFF_X2Y45 TILESITE INT_L_X2Y46 TIEOFF_X2Y46 TILESITE INT_L_X2Y47 TIEOFF_X2Y47 TILESITE INT_L_X2Y48 TIEOFF_X2Y48 TILESITE INT_L_X2Y49 TIEOFF_X2Y49 TILESITE INT_L_X2Y50 TIEOFF_X2Y50 TILESITE INT_L_X2Y51 TIEOFF_X2Y51 TILESITE INT_L_X2Y52 TIEOFF_X2Y52 TILESITE INT_L_X2Y53 TIEOFF_X2Y53 TILESITE INT_L_X2Y54 TIEOFF_X2Y54 TILESITE INT_L_X2Y55 TIEOFF_X2Y55 TILESITE INT_L_X2Y56 TIEOFF_X2Y56 TILESITE INT_L_X2Y57 TIEOFF_X2Y57 TILESITE INT_L_X2Y58 TIEOFF_X2Y58 TILESITE INT_L_X2Y59 TIEOFF_X2Y59 TILESITE INT_L_X2Y60 TIEOFF_X2Y60 TILESITE INT_L_X2Y61 TIEOFF_X2Y61 TILESITE INT_L_X2Y62 TIEOFF_X2Y62 TILESITE INT_L_X2Y63 TIEOFF_X2Y63 TILESITE INT_L_X2Y64 TIEOFF_X2Y64 TILESITE INT_L_X2Y65 TIEOFF_X2Y65 TILESITE INT_L_X2Y66 TIEOFF_X2Y66 TILESITE INT_L_X2Y67 TIEOFF_X2Y67 TILESITE INT_L_X2Y68 TIEOFF_X2Y68 TILESITE INT_L_X2Y69 TIEOFF_X2Y69 TILESITE INT_L_X2Y70 TIEOFF_X2Y70 TILESITE INT_L_X2Y71 TIEOFF_X2Y71 TILESITE INT_L_X2Y72 TIEOFF_X2Y72 TILESITE INT_L_X2Y73 TIEOFF_X2Y73 TILESITE INT_L_X2Y74 TIEOFF_X2Y74 TILESITE INT_L_X2Y75 TIEOFF_X2Y75 TILESITE INT_L_X2Y76 TIEOFF_X2Y76 TILESITE INT_L_X2Y77 TIEOFF_X2Y77 TILESITE INT_L_X2Y78 TIEOFF_X2Y78 TILESITE INT_L_X2Y79 TIEOFF_X2Y79 TILESITE INT_L_X2Y80 TIEOFF_X2Y80 TILESITE INT_L_X2Y81 TIEOFF_X2Y81 TILESITE INT_L_X2Y82 TIEOFF_X2Y82 TILESITE INT_L_X2Y83 TIEOFF_X2Y83 TILESITE INT_L_X2Y84 TIEOFF_X2Y84 TILESITE INT_L_X2Y85 TIEOFF_X2Y85 TILESITE INT_L_X2Y86 TIEOFF_X2Y86 TILESITE INT_L_X2Y87 TIEOFF_X2Y87 TILESITE INT_L_X2Y88 TIEOFF_X2Y88 TILESITE INT_L_X2Y89 TIEOFF_X2Y89 TILESITE INT_L_X2Y90 TIEOFF_X2Y90 TILESITE INT_L_X2Y91 TIEOFF_X2Y91 TILESITE INT_L_X2Y92 TIEOFF_X2Y92 TILESITE INT_L_X2Y93 TIEOFF_X2Y93 TILESITE INT_L_X2Y94 TIEOFF_X2Y94 TILESITE INT_L_X2Y95 TIEOFF_X2Y95 TILESITE INT_L_X2Y96 TIEOFF_X2Y96 TILESITE INT_L_X2Y97 TIEOFF_X2Y97 TILESITE INT_L_X2Y98 TIEOFF_X2Y98 TILESITE INT_L_X2Y99 TIEOFF_X2Y99 TILESITE INT_L_X2Y100 TIEOFF_X2Y100 TILESITE INT_L_X2Y101 TIEOFF_X2Y101 TILESITE INT_L_X2Y102 TIEOFF_X2Y102 TILESITE INT_L_X2Y103 TIEOFF_X2Y103 TILESITE INT_L_X2Y104 TIEOFF_X2Y104 TILESITE INT_L_X2Y105 TIEOFF_X2Y105 TILESITE INT_L_X2Y106 TIEOFF_X2Y106 TILESITE INT_L_X2Y107 TIEOFF_X2Y107 TILESITE INT_L_X2Y108 TIEOFF_X2Y108 TILESITE INT_L_X2Y109 TIEOFF_X2Y109 TILESITE INT_L_X2Y110 TIEOFF_X2Y110 TILESITE INT_L_X2Y111 TIEOFF_X2Y111 TILESITE INT_L_X2Y112 TIEOFF_X2Y112 TILESITE INT_L_X2Y113 TIEOFF_X2Y113 TILESITE INT_L_X2Y114 TIEOFF_X2Y114 TILESITE INT_L_X2Y115 TIEOFF_X2Y115 TILESITE INT_L_X2Y116 TIEOFF_X2Y116 TILESITE INT_L_X2Y117 TIEOFF_X2Y117 TILESITE INT_L_X2Y118 TIEOFF_X2Y118 TILESITE INT_L_X2Y119 TIEOFF_X2Y119 TILESITE INT_L_X2Y120 TIEOFF_X2Y120 TILESITE INT_L_X2Y121 TIEOFF_X2Y121 TILESITE INT_L_X2Y122 TIEOFF_X2Y122 TILESITE INT_L_X2Y123 TIEOFF_X2Y123 TILESITE INT_L_X2Y124 TIEOFF_X2Y124 TILESITE INT_L_X2Y125 TIEOFF_X2Y125 TILESITE INT_L_X2Y126 TIEOFF_X2Y126 TILESITE INT_L_X2Y127 TIEOFF_X2Y127 TILESITE INT_L_X2Y128 TIEOFF_X2Y128 TILESITE INT_L_X2Y129 TIEOFF_X2Y129 TILESITE INT_L_X2Y130 TIEOFF_X2Y130 TILESITE INT_L_X2Y131 TIEOFF_X2Y131 TILESITE INT_L_X2Y132 TIEOFF_X2Y132 TILESITE INT_L_X2Y133 TIEOFF_X2Y133 TILESITE INT_L_X2Y134 TIEOFF_X2Y134 TILESITE INT_L_X2Y135 TIEOFF_X2Y135 TILESITE INT_L_X2Y136 TIEOFF_X2Y136 TILESITE INT_L_X2Y137 TIEOFF_X2Y137 TILESITE INT_L_X2Y138 TIEOFF_X2Y138 TILESITE INT_L_X2Y139 TIEOFF_X2Y139 TILESITE INT_L_X2Y140 TIEOFF_X2Y140 TILESITE INT_L_X2Y141 TIEOFF_X2Y141 TILESITE INT_L_X2Y142 TIEOFF_X2Y142 TILESITE INT_L_X2Y143 TIEOFF_X2Y143 TILESITE INT_L_X2Y144 TIEOFF_X2Y144 TILESITE INT_L_X2Y145 TIEOFF_X2Y145 TILESITE INT_L_X2Y146 TIEOFF_X2Y146 TILESITE INT_L_X2Y147 TIEOFF_X2Y147 TILESITE INT_L_X2Y148 TIEOFF_X2Y148 TILESITE INT_L_X2Y149 TIEOFF_X2Y149 TILESITE INT_L_X30Y0 TIEOFF_X31Y0 TILESITE INT_L_X30Y1 TIEOFF_X31Y1 TILESITE INT_L_X30Y2 TIEOFF_X31Y2 TILESITE INT_L_X30Y3 TIEOFF_X31Y3 TILESITE INT_L_X30Y4 TIEOFF_X31Y4 TILESITE INT_L_X30Y5 TIEOFF_X31Y5 TILESITE INT_L_X30Y6 TIEOFF_X31Y6 TILESITE INT_L_X30Y7 TIEOFF_X31Y7 TILESITE INT_L_X30Y8 TIEOFF_X31Y8 TILESITE INT_L_X30Y9 TIEOFF_X31Y9 TILESITE INT_L_X30Y10 TIEOFF_X31Y10 TILESITE INT_L_X30Y11 TIEOFF_X31Y11 TILESITE INT_L_X30Y12 TIEOFF_X31Y12 TILESITE INT_L_X30Y13 TIEOFF_X31Y13 TILESITE INT_L_X30Y14 TIEOFF_X31Y14 TILESITE INT_L_X30Y15 TIEOFF_X31Y15 TILESITE INT_L_X30Y16 TIEOFF_X31Y16 TILESITE INT_L_X30Y17 TIEOFF_X31Y17 TILESITE INT_L_X30Y18 TIEOFF_X31Y18 TILESITE INT_L_X30Y19 TIEOFF_X31Y19 TILESITE INT_L_X30Y20 TIEOFF_X31Y20 TILESITE INT_L_X30Y21 TIEOFF_X31Y21 TILESITE INT_L_X30Y22 TIEOFF_X31Y22 TILESITE INT_L_X30Y23 TIEOFF_X31Y23 TILESITE INT_L_X30Y24 TIEOFF_X31Y24 TILESITE INT_L_X30Y25 TIEOFF_X31Y25 TILESITE INT_L_X30Y26 TIEOFF_X31Y26 TILESITE INT_L_X30Y27 TIEOFF_X31Y27 TILESITE INT_L_X30Y28 TIEOFF_X31Y28 TILESITE INT_L_X30Y29 TIEOFF_X31Y29 TILESITE INT_L_X30Y30 TIEOFF_X31Y30 TILESITE INT_L_X30Y31 TIEOFF_X31Y31 TILESITE INT_L_X30Y32 TIEOFF_X31Y32 TILESITE INT_L_X30Y33 TIEOFF_X31Y33 TILESITE INT_L_X30Y34 TIEOFF_X31Y34 TILESITE INT_L_X30Y35 TIEOFF_X31Y35 TILESITE INT_L_X30Y36 TIEOFF_X31Y36 TILESITE INT_L_X30Y37 TIEOFF_X31Y37 TILESITE INT_L_X30Y38 TIEOFF_X31Y38 TILESITE INT_L_X30Y39 TIEOFF_X31Y39 TILESITE INT_L_X30Y40 TIEOFF_X31Y40 TILESITE INT_L_X30Y41 TIEOFF_X31Y41 TILESITE INT_L_X30Y42 TIEOFF_X31Y42 TILESITE INT_L_X30Y43 TIEOFF_X31Y43 TILESITE INT_L_X30Y44 TIEOFF_X31Y44 TILESITE INT_L_X30Y45 TIEOFF_X31Y45 TILESITE INT_L_X30Y46 TIEOFF_X31Y46 TILESITE INT_L_X30Y47 TIEOFF_X31Y47 TILESITE INT_L_X30Y48 TIEOFF_X31Y48 TILESITE INT_L_X30Y49 TIEOFF_X31Y49 TILESITE INT_L_X30Y50 TIEOFF_X31Y50 TILESITE INT_L_X30Y51 TIEOFF_X31Y51 TILESITE INT_L_X30Y52 TIEOFF_X31Y52 TILESITE INT_L_X30Y53 TIEOFF_X31Y53 TILESITE INT_L_X30Y54 TIEOFF_X31Y54 TILESITE INT_L_X30Y55 TIEOFF_X31Y55 TILESITE INT_L_X30Y56 TIEOFF_X31Y56 TILESITE INT_L_X30Y57 TIEOFF_X31Y57 TILESITE INT_L_X30Y58 TIEOFF_X31Y58 TILESITE INT_L_X30Y59 TIEOFF_X31Y59 TILESITE INT_L_X30Y60 TIEOFF_X31Y60 TILESITE INT_L_X30Y61 TIEOFF_X31Y61 TILESITE INT_L_X30Y62 TIEOFF_X31Y62 TILESITE INT_L_X30Y63 TIEOFF_X31Y63 TILESITE INT_L_X30Y64 TIEOFF_X31Y64 TILESITE INT_L_X30Y65 TIEOFF_X31Y65 TILESITE INT_L_X30Y66 TIEOFF_X31Y66 TILESITE INT_L_X30Y67 TIEOFF_X31Y67 TILESITE INT_L_X30Y68 TIEOFF_X31Y68 TILESITE INT_L_X30Y69 TIEOFF_X31Y69 TILESITE INT_L_X30Y70 TIEOFF_X31Y70 TILESITE INT_L_X30Y71 TIEOFF_X31Y71 TILESITE INT_L_X30Y72 TIEOFF_X31Y72 TILESITE INT_L_X30Y73 TIEOFF_X31Y73 TILESITE INT_L_X30Y74 TIEOFF_X31Y74 TILESITE INT_L_X30Y75 TIEOFF_X31Y75 TILESITE INT_L_X30Y76 TIEOFF_X31Y76 TILESITE INT_L_X30Y77 TIEOFF_X31Y77 TILESITE INT_L_X30Y78 TIEOFF_X31Y78 TILESITE INT_L_X30Y79 TIEOFF_X31Y79 TILESITE INT_L_X30Y80 TIEOFF_X31Y80 TILESITE INT_L_X30Y81 TIEOFF_X31Y81 TILESITE INT_L_X30Y82 TIEOFF_X31Y82 TILESITE INT_L_X30Y83 TIEOFF_X31Y83 TILESITE INT_L_X30Y84 TIEOFF_X31Y84 TILESITE INT_L_X30Y85 TIEOFF_X31Y85 TILESITE INT_L_X30Y86 TIEOFF_X31Y86 TILESITE INT_L_X30Y87 TIEOFF_X31Y87 TILESITE INT_L_X30Y88 TIEOFF_X31Y88 TILESITE INT_L_X30Y89 TIEOFF_X31Y89 TILESITE INT_L_X30Y90 TIEOFF_X31Y90 TILESITE INT_L_X30Y91 TIEOFF_X31Y91 TILESITE INT_L_X30Y92 TIEOFF_X31Y92 TILESITE INT_L_X30Y93 TIEOFF_X31Y93 TILESITE INT_L_X30Y94 TIEOFF_X31Y94 TILESITE INT_L_X30Y95 TIEOFF_X31Y95 TILESITE INT_L_X30Y96 TIEOFF_X31Y96 TILESITE INT_L_X30Y97 TIEOFF_X31Y97 TILESITE INT_L_X30Y98 TIEOFF_X31Y98 TILESITE INT_L_X30Y99 TIEOFF_X31Y99 TILESITE INT_L_X30Y100 TIEOFF_X31Y100 TILESITE INT_L_X30Y101 TIEOFF_X31Y101 TILESITE INT_L_X30Y102 TIEOFF_X31Y102 TILESITE INT_L_X30Y103 TIEOFF_X31Y103 TILESITE INT_L_X30Y104 TIEOFF_X31Y104 TILESITE INT_L_X30Y105 TIEOFF_X31Y105 TILESITE INT_L_X30Y106 TIEOFF_X31Y106 TILESITE INT_L_X30Y107 TIEOFF_X31Y107 TILESITE INT_L_X30Y108 TIEOFF_X31Y108 TILESITE INT_L_X30Y109 TIEOFF_X31Y109 TILESITE INT_L_X30Y110 TIEOFF_X31Y110 TILESITE INT_L_X30Y111 TIEOFF_X31Y111 TILESITE INT_L_X30Y112 TIEOFF_X31Y112 TILESITE INT_L_X30Y113 TIEOFF_X31Y113 TILESITE INT_L_X30Y114 TIEOFF_X31Y114 TILESITE INT_L_X30Y115 TIEOFF_X31Y115 TILESITE INT_L_X30Y116 TIEOFF_X31Y116 TILESITE INT_L_X30Y117 TIEOFF_X31Y117 TILESITE INT_L_X30Y118 TIEOFF_X31Y118 TILESITE INT_L_X30Y119 TIEOFF_X31Y119 TILESITE INT_L_X30Y120 TIEOFF_X31Y120 TILESITE INT_L_X30Y121 TIEOFF_X31Y121 TILESITE INT_L_X30Y122 TIEOFF_X31Y122 TILESITE INT_L_X30Y123 TIEOFF_X31Y123 TILESITE INT_L_X30Y124 TIEOFF_X31Y124 TILESITE INT_L_X30Y125 TIEOFF_X31Y125 TILESITE INT_L_X30Y126 TIEOFF_X31Y126 TILESITE INT_L_X30Y127 TIEOFF_X31Y127 TILESITE INT_L_X30Y128 TIEOFF_X31Y128 TILESITE INT_L_X30Y129 TIEOFF_X31Y129 TILESITE INT_L_X30Y130 TIEOFF_X31Y130 TILESITE INT_L_X30Y131 TIEOFF_X31Y131 TILESITE INT_L_X30Y132 TIEOFF_X31Y132 TILESITE INT_L_X30Y133 TIEOFF_X31Y133 TILESITE INT_L_X30Y134 TIEOFF_X31Y134 TILESITE INT_L_X30Y135 TIEOFF_X31Y135 TILESITE INT_L_X30Y136 TIEOFF_X31Y136 TILESITE INT_L_X30Y137 TIEOFF_X31Y137 TILESITE INT_L_X30Y138 TIEOFF_X31Y138 TILESITE INT_L_X30Y139 TIEOFF_X31Y139 TILESITE INT_L_X30Y140 TIEOFF_X31Y140 TILESITE INT_L_X30Y141 TIEOFF_X31Y141 TILESITE INT_L_X30Y142 TIEOFF_X31Y142 TILESITE INT_L_X30Y143 TIEOFF_X31Y143 TILESITE INT_L_X30Y144 TIEOFF_X31Y144 TILESITE INT_L_X30Y145 TIEOFF_X31Y145 TILESITE INT_L_X30Y146 TIEOFF_X31Y146 TILESITE INT_L_X30Y147 TIEOFF_X31Y147 TILESITE INT_L_X30Y148 TIEOFF_X31Y148 TILESITE INT_L_X30Y149 TIEOFF_X31Y149 TILESITE INT_L_X32Y0 TIEOFF_X33Y0 TILESITE INT_L_X32Y1 TIEOFF_X33Y1 TILESITE INT_L_X32Y2 TIEOFF_X33Y2 TILESITE INT_L_X32Y3 TIEOFF_X33Y3 TILESITE INT_L_X32Y4 TIEOFF_X33Y4 TILESITE INT_L_X32Y5 TIEOFF_X33Y5 TILESITE INT_L_X32Y6 TIEOFF_X33Y6 TILESITE INT_L_X32Y7 TIEOFF_X33Y7 TILESITE INT_L_X32Y8 TIEOFF_X33Y8 TILESITE INT_L_X32Y9 TIEOFF_X33Y9 TILESITE INT_L_X32Y10 TIEOFF_X33Y10 TILESITE INT_L_X32Y11 TIEOFF_X33Y11 TILESITE INT_L_X32Y12 TIEOFF_X33Y12 TILESITE INT_L_X32Y13 TIEOFF_X33Y13 TILESITE INT_L_X32Y14 TIEOFF_X33Y14 TILESITE INT_L_X32Y15 TIEOFF_X33Y15 TILESITE INT_L_X32Y16 TIEOFF_X33Y16 TILESITE INT_L_X32Y17 TIEOFF_X33Y17 TILESITE INT_L_X32Y18 TIEOFF_X33Y18 TILESITE INT_L_X32Y19 TIEOFF_X33Y19 TILESITE INT_L_X32Y20 TIEOFF_X33Y20 TILESITE INT_L_X32Y21 TIEOFF_X33Y21 TILESITE INT_L_X32Y22 TIEOFF_X33Y22 TILESITE INT_L_X32Y23 TIEOFF_X33Y23 TILESITE INT_L_X32Y24 TIEOFF_X33Y24 TILESITE INT_L_X32Y25 TIEOFF_X33Y25 TILESITE INT_L_X32Y26 TIEOFF_X33Y26 TILESITE INT_L_X32Y27 TIEOFF_X33Y27 TILESITE INT_L_X32Y28 TIEOFF_X33Y28 TILESITE INT_L_X32Y29 TIEOFF_X33Y29 TILESITE INT_L_X32Y30 TIEOFF_X33Y30 TILESITE INT_L_X32Y31 TIEOFF_X33Y31 TILESITE INT_L_X32Y32 TIEOFF_X33Y32 TILESITE INT_L_X32Y33 TIEOFF_X33Y33 TILESITE INT_L_X32Y34 TIEOFF_X33Y34 TILESITE INT_L_X32Y35 TIEOFF_X33Y35 TILESITE INT_L_X32Y36 TIEOFF_X33Y36 TILESITE INT_L_X32Y37 TIEOFF_X33Y37 TILESITE INT_L_X32Y38 TIEOFF_X33Y38 TILESITE INT_L_X32Y39 TIEOFF_X33Y39 TILESITE INT_L_X32Y40 TIEOFF_X33Y40 TILESITE INT_L_X32Y41 TIEOFF_X33Y41 TILESITE INT_L_X32Y42 TIEOFF_X33Y42 TILESITE INT_L_X32Y43 TIEOFF_X33Y43 TILESITE INT_L_X32Y44 TIEOFF_X33Y44 TILESITE INT_L_X32Y45 TIEOFF_X33Y45 TILESITE INT_L_X32Y46 TIEOFF_X33Y46 TILESITE INT_L_X32Y47 TIEOFF_X33Y47 TILESITE INT_L_X32Y48 TIEOFF_X33Y48 TILESITE INT_L_X32Y49 TIEOFF_X33Y49 TILESITE INT_L_X32Y50 TIEOFF_X33Y50 TILESITE INT_L_X32Y51 TIEOFF_X33Y51 TILESITE INT_L_X32Y52 TIEOFF_X33Y52 TILESITE INT_L_X32Y53 TIEOFF_X33Y53 TILESITE INT_L_X32Y54 TIEOFF_X33Y54 TILESITE INT_L_X32Y55 TIEOFF_X33Y55 TILESITE INT_L_X32Y56 TIEOFF_X33Y56 TILESITE INT_L_X32Y57 TIEOFF_X33Y57 TILESITE INT_L_X32Y58 TIEOFF_X33Y58 TILESITE INT_L_X32Y59 TIEOFF_X33Y59 TILESITE INT_L_X32Y60 TIEOFF_X33Y60 TILESITE INT_L_X32Y61 TIEOFF_X33Y61 TILESITE INT_L_X32Y62 TIEOFF_X33Y62 TILESITE INT_L_X32Y63 TIEOFF_X33Y63 TILESITE INT_L_X32Y64 TIEOFF_X33Y64 TILESITE INT_L_X32Y65 TIEOFF_X33Y65 TILESITE INT_L_X32Y66 TIEOFF_X33Y66 TILESITE INT_L_X32Y67 TIEOFF_X33Y67 TILESITE INT_L_X32Y68 TIEOFF_X33Y68 TILESITE INT_L_X32Y69 TIEOFF_X33Y69 TILESITE INT_L_X32Y70 TIEOFF_X33Y70 TILESITE INT_L_X32Y71 TIEOFF_X33Y71 TILESITE INT_L_X32Y72 TIEOFF_X33Y72 TILESITE INT_L_X32Y73 TIEOFF_X33Y73 TILESITE INT_L_X32Y74 TIEOFF_X33Y74 TILESITE INT_L_X32Y75 TIEOFF_X33Y75 TILESITE INT_L_X32Y76 TIEOFF_X33Y76 TILESITE INT_L_X32Y77 TIEOFF_X33Y77 TILESITE INT_L_X32Y78 TIEOFF_X33Y78 TILESITE INT_L_X32Y79 TIEOFF_X33Y79 TILESITE INT_L_X32Y80 TIEOFF_X33Y80 TILESITE INT_L_X32Y81 TIEOFF_X33Y81 TILESITE INT_L_X32Y82 TIEOFF_X33Y82 TILESITE INT_L_X32Y83 TIEOFF_X33Y83 TILESITE INT_L_X32Y84 TIEOFF_X33Y84 TILESITE INT_L_X32Y85 TIEOFF_X33Y85 TILESITE INT_L_X32Y86 TIEOFF_X33Y86 TILESITE INT_L_X32Y87 TIEOFF_X33Y87 TILESITE INT_L_X32Y88 TIEOFF_X33Y88 TILESITE INT_L_X32Y89 TIEOFF_X33Y89 TILESITE INT_L_X32Y90 TIEOFF_X33Y90 TILESITE INT_L_X32Y91 TIEOFF_X33Y91 TILESITE INT_L_X32Y92 TIEOFF_X33Y92 TILESITE INT_L_X32Y93 TIEOFF_X33Y93 TILESITE INT_L_X32Y94 TIEOFF_X33Y94 TILESITE INT_L_X32Y95 TIEOFF_X33Y95 TILESITE INT_L_X32Y96 TIEOFF_X33Y96 TILESITE INT_L_X32Y97 TIEOFF_X33Y97 TILESITE INT_L_X32Y98 TIEOFF_X33Y98 TILESITE INT_L_X32Y99 TIEOFF_X33Y99 TILESITE INT_L_X32Y100 TIEOFF_X33Y100 TILESITE INT_L_X32Y101 TIEOFF_X33Y101 TILESITE INT_L_X32Y102 TIEOFF_X33Y102 TILESITE INT_L_X32Y103 TIEOFF_X33Y103 TILESITE INT_L_X32Y104 TIEOFF_X33Y104 TILESITE INT_L_X32Y105 TIEOFF_X33Y105 TILESITE INT_L_X32Y106 TIEOFF_X33Y106 TILESITE INT_L_X32Y107 TIEOFF_X33Y107 TILESITE INT_L_X32Y108 TIEOFF_X33Y108 TILESITE INT_L_X32Y109 TIEOFF_X33Y109 TILESITE INT_L_X32Y110 TIEOFF_X33Y110 TILESITE INT_L_X32Y111 TIEOFF_X33Y111 TILESITE INT_L_X32Y112 TIEOFF_X33Y112 TILESITE INT_L_X32Y113 TIEOFF_X33Y113 TILESITE INT_L_X32Y114 TIEOFF_X33Y114 TILESITE INT_L_X32Y115 TIEOFF_X33Y115 TILESITE INT_L_X32Y116 TIEOFF_X33Y116 TILESITE INT_L_X32Y117 TIEOFF_X33Y117 TILESITE INT_L_X32Y118 TIEOFF_X33Y118 TILESITE INT_L_X32Y119 TIEOFF_X33Y119 TILESITE INT_L_X32Y120 TIEOFF_X33Y120 TILESITE INT_L_X32Y121 TIEOFF_X33Y121 TILESITE INT_L_X32Y122 TIEOFF_X33Y122 TILESITE INT_L_X32Y123 TIEOFF_X33Y123 TILESITE INT_L_X32Y124 TIEOFF_X33Y124 TILESITE INT_L_X32Y125 TIEOFF_X33Y125 TILESITE INT_L_X32Y126 TIEOFF_X33Y126 TILESITE INT_L_X32Y127 TIEOFF_X33Y127 TILESITE INT_L_X32Y128 TIEOFF_X33Y128 TILESITE INT_L_X32Y129 TIEOFF_X33Y129 TILESITE INT_L_X32Y130 TIEOFF_X33Y130 TILESITE INT_L_X32Y131 TIEOFF_X33Y131 TILESITE INT_L_X32Y132 TIEOFF_X33Y132 TILESITE INT_L_X32Y133 TIEOFF_X33Y133 TILESITE INT_L_X32Y134 TIEOFF_X33Y134 TILESITE INT_L_X32Y135 TIEOFF_X33Y135 TILESITE INT_L_X32Y136 TIEOFF_X33Y136 TILESITE INT_L_X32Y137 TIEOFF_X33Y137 TILESITE INT_L_X32Y138 TIEOFF_X33Y138 TILESITE INT_L_X32Y139 TIEOFF_X33Y139 TILESITE INT_L_X32Y140 TIEOFF_X33Y140 TILESITE INT_L_X32Y141 TIEOFF_X33Y141 TILESITE INT_L_X32Y142 TIEOFF_X33Y142 TILESITE INT_L_X32Y143 TIEOFF_X33Y143 TILESITE INT_L_X32Y144 TIEOFF_X33Y144 TILESITE INT_L_X32Y145 TIEOFF_X33Y145 TILESITE INT_L_X32Y146 TIEOFF_X33Y146 TILESITE INT_L_X32Y147 TIEOFF_X33Y147 TILESITE INT_L_X32Y148 TIEOFF_X33Y148 TILESITE INT_L_X32Y149 TIEOFF_X33Y149 TILESITE INT_L_X34Y0 TIEOFF_X36Y0 TILESITE INT_L_X34Y1 TIEOFF_X36Y1 TILESITE INT_L_X34Y2 TIEOFF_X36Y2 TILESITE INT_L_X34Y3 TIEOFF_X36Y3 TILESITE INT_L_X34Y4 TIEOFF_X36Y4 TILESITE INT_L_X34Y5 TIEOFF_X36Y5 TILESITE INT_L_X34Y6 TIEOFF_X36Y6 TILESITE INT_L_X34Y7 TIEOFF_X36Y7 TILESITE INT_L_X34Y8 TIEOFF_X36Y8 TILESITE INT_L_X34Y9 TIEOFF_X36Y9 TILESITE INT_L_X34Y10 TIEOFF_X36Y10 TILESITE INT_L_X34Y11 TIEOFF_X36Y11 TILESITE INT_L_X34Y12 TIEOFF_X36Y12 TILESITE INT_L_X34Y13 TIEOFF_X36Y13 TILESITE INT_L_X34Y14 TIEOFF_X36Y14 TILESITE INT_L_X34Y15 TIEOFF_X36Y15 TILESITE INT_L_X34Y16 TIEOFF_X36Y16 TILESITE INT_L_X34Y17 TIEOFF_X36Y17 TILESITE INT_L_X34Y18 TIEOFF_X36Y18 TILESITE INT_L_X34Y19 TIEOFF_X36Y19 TILESITE INT_L_X34Y20 TIEOFF_X36Y20 TILESITE INT_L_X34Y21 TIEOFF_X36Y21 TILESITE INT_L_X34Y22 TIEOFF_X36Y22 TILESITE INT_L_X34Y23 TIEOFF_X36Y23 TILESITE INT_L_X34Y24 TIEOFF_X36Y24 TILESITE INT_L_X34Y25 TIEOFF_X36Y25 TILESITE INT_L_X34Y26 TIEOFF_X36Y26 TILESITE INT_L_X34Y27 TIEOFF_X36Y27 TILESITE INT_L_X34Y28 TIEOFF_X36Y28 TILESITE INT_L_X34Y29 TIEOFF_X36Y29 TILESITE INT_L_X34Y30 TIEOFF_X36Y30 TILESITE INT_L_X34Y31 TIEOFF_X36Y31 TILESITE INT_L_X34Y32 TIEOFF_X36Y32 TILESITE INT_L_X34Y33 TIEOFF_X36Y33 TILESITE INT_L_X34Y34 TIEOFF_X36Y34 TILESITE INT_L_X34Y35 TIEOFF_X36Y35 TILESITE INT_L_X34Y36 TIEOFF_X36Y36 TILESITE INT_L_X34Y37 TIEOFF_X36Y37 TILESITE INT_L_X34Y38 TIEOFF_X36Y38 TILESITE INT_L_X34Y39 TIEOFF_X36Y39 TILESITE INT_L_X34Y40 TIEOFF_X36Y40 TILESITE INT_L_X34Y41 TIEOFF_X36Y41 TILESITE INT_L_X34Y42 TIEOFF_X36Y42 TILESITE INT_L_X34Y43 TIEOFF_X36Y43 TILESITE INT_L_X34Y44 TIEOFF_X36Y44 TILESITE INT_L_X34Y45 TIEOFF_X36Y45 TILESITE INT_L_X34Y46 TIEOFF_X36Y46 TILESITE INT_L_X34Y47 TIEOFF_X36Y47 TILESITE INT_L_X34Y48 TIEOFF_X36Y48 TILESITE INT_L_X34Y49 TIEOFF_X36Y49 TILESITE INT_L_X34Y50 TIEOFF_X36Y50 TILESITE INT_L_X34Y51 TIEOFF_X36Y51 TILESITE INT_L_X34Y52 TIEOFF_X36Y52 TILESITE INT_L_X34Y53 TIEOFF_X36Y53 TILESITE INT_L_X34Y54 TIEOFF_X36Y54 TILESITE INT_L_X34Y55 TIEOFF_X36Y55 TILESITE INT_L_X34Y56 TIEOFF_X36Y56 TILESITE INT_L_X34Y57 TIEOFF_X36Y57 TILESITE INT_L_X34Y58 TIEOFF_X36Y58 TILESITE INT_L_X34Y59 TIEOFF_X36Y59 TILESITE INT_L_X34Y60 TIEOFF_X36Y60 TILESITE INT_L_X34Y61 TIEOFF_X36Y61 TILESITE INT_L_X34Y62 TIEOFF_X36Y62 TILESITE INT_L_X34Y63 TIEOFF_X36Y63 TILESITE INT_L_X34Y64 TIEOFF_X36Y64 TILESITE INT_L_X34Y65 TIEOFF_X36Y65 TILESITE INT_L_X34Y66 TIEOFF_X36Y66 TILESITE INT_L_X34Y67 TIEOFF_X36Y67 TILESITE INT_L_X34Y68 TIEOFF_X36Y68 TILESITE INT_L_X34Y69 TIEOFF_X36Y69 TILESITE INT_L_X34Y70 TIEOFF_X36Y70 TILESITE INT_L_X34Y71 TIEOFF_X36Y71 TILESITE INT_L_X34Y72 TIEOFF_X36Y72 TILESITE INT_L_X34Y73 TIEOFF_X36Y73 TILESITE INT_L_X34Y74 TIEOFF_X36Y74 TILESITE INT_L_X34Y75 TIEOFF_X36Y75 TILESITE INT_L_X34Y76 TIEOFF_X36Y76 TILESITE INT_L_X34Y77 TIEOFF_X36Y77 TILESITE INT_L_X34Y78 TIEOFF_X36Y78 TILESITE INT_L_X34Y79 TIEOFF_X36Y79 TILESITE INT_L_X34Y80 TIEOFF_X36Y80 TILESITE INT_L_X34Y81 TIEOFF_X36Y81 TILESITE INT_L_X34Y82 TIEOFF_X36Y82 TILESITE INT_L_X34Y83 TIEOFF_X36Y83 TILESITE INT_L_X34Y84 TIEOFF_X36Y84 TILESITE INT_L_X34Y85 TIEOFF_X36Y85 TILESITE INT_L_X34Y86 TIEOFF_X36Y86 TILESITE INT_L_X34Y87 TIEOFF_X36Y87 TILESITE INT_L_X34Y88 TIEOFF_X36Y88 TILESITE INT_L_X34Y89 TIEOFF_X36Y89 TILESITE INT_L_X34Y90 TIEOFF_X36Y90 TILESITE INT_L_X34Y91 TIEOFF_X36Y91 TILESITE INT_L_X34Y92 TIEOFF_X36Y92 TILESITE INT_L_X34Y93 TIEOFF_X36Y93 TILESITE INT_L_X34Y94 TIEOFF_X36Y94 TILESITE INT_L_X34Y95 TIEOFF_X36Y95 TILESITE INT_L_X34Y96 TIEOFF_X36Y96 TILESITE INT_L_X34Y97 TIEOFF_X36Y97 TILESITE INT_L_X34Y98 TIEOFF_X36Y98 TILESITE INT_L_X34Y99 TIEOFF_X36Y99 TILESITE INT_L_X34Y100 TIEOFF_X36Y100 TILESITE INT_L_X34Y101 TIEOFF_X36Y101 TILESITE INT_L_X34Y102 TIEOFF_X36Y102 TILESITE INT_L_X34Y103 TIEOFF_X36Y103 TILESITE INT_L_X34Y104 TIEOFF_X36Y104 TILESITE INT_L_X34Y105 TIEOFF_X36Y105 TILESITE INT_L_X34Y106 TIEOFF_X36Y106 TILESITE INT_L_X34Y107 TIEOFF_X36Y107 TILESITE INT_L_X34Y108 TIEOFF_X36Y108 TILESITE INT_L_X34Y109 TIEOFF_X36Y109 TILESITE INT_L_X34Y110 TIEOFF_X36Y110 TILESITE INT_L_X34Y111 TIEOFF_X36Y111 TILESITE INT_L_X34Y112 TIEOFF_X36Y112 TILESITE INT_L_X34Y113 TIEOFF_X36Y113 TILESITE INT_L_X34Y114 TIEOFF_X36Y114 TILESITE INT_L_X34Y115 TIEOFF_X36Y115 TILESITE INT_L_X34Y116 TIEOFF_X36Y116 TILESITE INT_L_X34Y117 TIEOFF_X36Y117 TILESITE INT_L_X34Y118 TIEOFF_X36Y118 TILESITE INT_L_X34Y119 TIEOFF_X36Y119 TILESITE INT_L_X34Y120 TIEOFF_X36Y120 TILESITE INT_L_X34Y121 TIEOFF_X36Y121 TILESITE INT_L_X34Y122 TIEOFF_X36Y122 TILESITE INT_L_X34Y123 TIEOFF_X36Y123 TILESITE INT_L_X34Y124 TIEOFF_X36Y124 TILESITE INT_L_X34Y125 TIEOFF_X36Y125 TILESITE INT_L_X34Y126 TIEOFF_X36Y126 TILESITE INT_L_X34Y127 TIEOFF_X36Y127 TILESITE INT_L_X34Y128 TIEOFF_X36Y128 TILESITE INT_L_X34Y129 TIEOFF_X36Y129 TILESITE INT_L_X34Y130 TIEOFF_X36Y130 TILESITE INT_L_X34Y131 TIEOFF_X36Y131 TILESITE INT_L_X34Y132 TIEOFF_X36Y132 TILESITE INT_L_X34Y133 TIEOFF_X36Y133 TILESITE INT_L_X34Y134 TIEOFF_X36Y134 TILESITE INT_L_X34Y135 TIEOFF_X36Y135 TILESITE INT_L_X34Y136 TIEOFF_X36Y136 TILESITE INT_L_X34Y137 TIEOFF_X36Y137 TILESITE INT_L_X34Y138 TIEOFF_X36Y138 TILESITE INT_L_X34Y139 TIEOFF_X36Y139 TILESITE INT_L_X34Y140 TIEOFF_X36Y140 TILESITE INT_L_X34Y141 TIEOFF_X36Y141 TILESITE INT_L_X34Y142 TIEOFF_X36Y142 TILESITE INT_L_X34Y143 TIEOFF_X36Y143 TILESITE INT_L_X34Y144 TIEOFF_X36Y144 TILESITE INT_L_X34Y145 TIEOFF_X36Y145 TILESITE INT_L_X34Y146 TIEOFF_X36Y146 TILESITE INT_L_X34Y147 TIEOFF_X36Y147 TILESITE INT_L_X34Y148 TIEOFF_X36Y148 TILESITE INT_L_X34Y149 TIEOFF_X36Y149 TILESITE INT_L_X36Y0 TIEOFF_X38Y0 TILESITE INT_L_X36Y1 TIEOFF_X38Y1 TILESITE INT_L_X36Y2 TIEOFF_X38Y2 TILESITE INT_L_X36Y3 TIEOFF_X38Y3 TILESITE INT_L_X36Y4 TIEOFF_X38Y4 TILESITE INT_L_X36Y5 TIEOFF_X38Y5 TILESITE INT_L_X36Y6 TIEOFF_X38Y6 TILESITE INT_L_X36Y7 TIEOFF_X38Y7 TILESITE INT_L_X36Y8 TIEOFF_X38Y8 TILESITE INT_L_X36Y9 TIEOFF_X38Y9 TILESITE INT_L_X36Y10 TIEOFF_X38Y10 TILESITE INT_L_X36Y11 TIEOFF_X38Y11 TILESITE INT_L_X36Y12 TIEOFF_X38Y12 TILESITE INT_L_X36Y13 TIEOFF_X38Y13 TILESITE INT_L_X36Y14 TIEOFF_X38Y14 TILESITE INT_L_X36Y15 TIEOFF_X38Y15 TILESITE INT_L_X36Y16 TIEOFF_X38Y16 TILESITE INT_L_X36Y17 TIEOFF_X38Y17 TILESITE INT_L_X36Y18 TIEOFF_X38Y18 TILESITE INT_L_X36Y19 TIEOFF_X38Y19 TILESITE INT_L_X36Y20 TIEOFF_X38Y20 TILESITE INT_L_X36Y21 TIEOFF_X38Y21 TILESITE INT_L_X36Y22 TIEOFF_X38Y22 TILESITE INT_L_X36Y23 TIEOFF_X38Y23 TILESITE INT_L_X36Y24 TIEOFF_X38Y24 TILESITE INT_L_X36Y25 TIEOFF_X38Y25 TILESITE INT_L_X36Y26 TIEOFF_X38Y26 TILESITE INT_L_X36Y27 TIEOFF_X38Y27 TILESITE INT_L_X36Y28 TIEOFF_X38Y28 TILESITE INT_L_X36Y29 TIEOFF_X38Y29 TILESITE INT_L_X36Y30 TIEOFF_X38Y30 TILESITE INT_L_X36Y31 TIEOFF_X38Y31 TILESITE INT_L_X36Y32 TIEOFF_X38Y32 TILESITE INT_L_X36Y33 TIEOFF_X38Y33 TILESITE INT_L_X36Y34 TIEOFF_X38Y34 TILESITE INT_L_X36Y35 TIEOFF_X38Y35 TILESITE INT_L_X36Y36 TIEOFF_X38Y36 TILESITE INT_L_X36Y37 TIEOFF_X38Y37 TILESITE INT_L_X36Y38 TIEOFF_X38Y38 TILESITE INT_L_X36Y39 TIEOFF_X38Y39 TILESITE INT_L_X36Y40 TIEOFF_X38Y40 TILESITE INT_L_X36Y41 TIEOFF_X38Y41 TILESITE INT_L_X36Y42 TIEOFF_X38Y42 TILESITE INT_L_X36Y43 TIEOFF_X38Y43 TILESITE INT_L_X36Y44 TIEOFF_X38Y44 TILESITE INT_L_X36Y45 TIEOFF_X38Y45 TILESITE INT_L_X36Y46 TIEOFF_X38Y46 TILESITE INT_L_X36Y47 TIEOFF_X38Y47 TILESITE INT_L_X36Y48 TIEOFF_X38Y48 TILESITE INT_L_X36Y49 TIEOFF_X38Y49 TILESITE INT_L_X36Y50 TIEOFF_X38Y50 TILESITE INT_L_X36Y51 TIEOFF_X38Y51 TILESITE INT_L_X36Y52 TIEOFF_X38Y52 TILESITE INT_L_X36Y53 TIEOFF_X38Y53 TILESITE INT_L_X36Y54 TIEOFF_X38Y54 TILESITE INT_L_X36Y55 TIEOFF_X38Y55 TILESITE INT_L_X36Y56 TIEOFF_X38Y56 TILESITE INT_L_X36Y57 TIEOFF_X38Y57 TILESITE INT_L_X36Y58 TIEOFF_X38Y58 TILESITE INT_L_X36Y59 TIEOFF_X38Y59 TILESITE INT_L_X36Y60 TIEOFF_X38Y60 TILESITE INT_L_X36Y61 TIEOFF_X38Y61 TILESITE INT_L_X36Y62 TIEOFF_X38Y62 TILESITE INT_L_X36Y63 TIEOFF_X38Y63 TILESITE INT_L_X36Y64 TIEOFF_X38Y64 TILESITE INT_L_X36Y65 TIEOFF_X38Y65 TILESITE INT_L_X36Y66 TIEOFF_X38Y66 TILESITE INT_L_X36Y67 TIEOFF_X38Y67 TILESITE INT_L_X36Y68 TIEOFF_X38Y68 TILESITE INT_L_X36Y69 TIEOFF_X38Y69 TILESITE INT_L_X36Y70 TIEOFF_X38Y70 TILESITE INT_L_X36Y71 TIEOFF_X38Y71 TILESITE INT_L_X36Y72 TIEOFF_X38Y72 TILESITE INT_L_X36Y73 TIEOFF_X38Y73 TILESITE INT_L_X36Y74 TIEOFF_X38Y74 TILESITE INT_L_X36Y75 TIEOFF_X38Y75 TILESITE INT_L_X36Y76 TIEOFF_X38Y76 TILESITE INT_L_X36Y77 TIEOFF_X38Y77 TILESITE INT_L_X36Y78 TIEOFF_X38Y78 TILESITE INT_L_X36Y79 TIEOFF_X38Y79 TILESITE INT_L_X36Y80 TIEOFF_X38Y80 TILESITE INT_L_X36Y81 TIEOFF_X38Y81 TILESITE INT_L_X36Y82 TIEOFF_X38Y82 TILESITE INT_L_X36Y83 TIEOFF_X38Y83 TILESITE INT_L_X36Y84 TIEOFF_X38Y84 TILESITE INT_L_X36Y85 TIEOFF_X38Y85 TILESITE INT_L_X36Y86 TIEOFF_X38Y86 TILESITE INT_L_X36Y87 TIEOFF_X38Y87 TILESITE INT_L_X36Y88 TIEOFF_X38Y88 TILESITE INT_L_X36Y89 TIEOFF_X38Y89 TILESITE INT_L_X36Y90 TIEOFF_X38Y90 TILESITE INT_L_X36Y91 TIEOFF_X38Y91 TILESITE INT_L_X36Y92 TIEOFF_X38Y92 TILESITE INT_L_X36Y93 TIEOFF_X38Y93 TILESITE INT_L_X36Y94 TIEOFF_X38Y94 TILESITE INT_L_X36Y95 TIEOFF_X38Y95 TILESITE INT_L_X36Y96 TIEOFF_X38Y96 TILESITE INT_L_X36Y97 TIEOFF_X38Y97 TILESITE INT_L_X36Y98 TIEOFF_X38Y98 TILESITE INT_L_X36Y99 TIEOFF_X38Y99 TILESITE INT_L_X36Y100 TIEOFF_X38Y100 TILESITE INT_L_X36Y101 TIEOFF_X38Y101 TILESITE INT_L_X36Y102 TIEOFF_X38Y102 TILESITE INT_L_X36Y103 TIEOFF_X38Y103 TILESITE INT_L_X36Y104 TIEOFF_X38Y104 TILESITE INT_L_X36Y105 TIEOFF_X38Y105 TILESITE INT_L_X36Y106 TIEOFF_X38Y106 TILESITE INT_L_X36Y107 TIEOFF_X38Y107 TILESITE INT_L_X36Y108 TIEOFF_X38Y108 TILESITE INT_L_X36Y109 TIEOFF_X38Y109 TILESITE INT_L_X36Y110 TIEOFF_X38Y110 TILESITE INT_L_X36Y111 TIEOFF_X38Y111 TILESITE INT_L_X36Y112 TIEOFF_X38Y112 TILESITE INT_L_X36Y113 TIEOFF_X38Y113 TILESITE INT_L_X36Y114 TIEOFF_X38Y114 TILESITE INT_L_X36Y115 TIEOFF_X38Y115 TILESITE INT_L_X36Y116 TIEOFF_X38Y116 TILESITE INT_L_X36Y117 TIEOFF_X38Y117 TILESITE INT_L_X36Y118 TIEOFF_X38Y118 TILESITE INT_L_X36Y119 TIEOFF_X38Y119 TILESITE INT_L_X36Y120 TIEOFF_X38Y120 TILESITE INT_L_X36Y121 TIEOFF_X38Y121 TILESITE INT_L_X36Y122 TIEOFF_X38Y122 TILESITE INT_L_X36Y123 TIEOFF_X38Y123 TILESITE INT_L_X36Y124 TIEOFF_X38Y124 TILESITE INT_L_X36Y125 TIEOFF_X38Y125 TILESITE INT_L_X36Y126 TIEOFF_X38Y126 TILESITE INT_L_X36Y127 TIEOFF_X38Y127 TILESITE INT_L_X36Y128 TIEOFF_X38Y128 TILESITE INT_L_X36Y129 TIEOFF_X38Y129 TILESITE INT_L_X36Y130 TIEOFF_X38Y130 TILESITE INT_L_X36Y131 TIEOFF_X38Y131 TILESITE INT_L_X36Y132 TIEOFF_X38Y132 TILESITE INT_L_X36Y133 TIEOFF_X38Y133 TILESITE INT_L_X36Y134 TIEOFF_X38Y134 TILESITE INT_L_X36Y135 TIEOFF_X38Y135 TILESITE INT_L_X36Y136 TIEOFF_X38Y136 TILESITE INT_L_X36Y137 TIEOFF_X38Y137 TILESITE INT_L_X36Y138 TIEOFF_X38Y138 TILESITE INT_L_X36Y139 TIEOFF_X38Y139 TILESITE INT_L_X36Y140 TIEOFF_X38Y140 TILESITE INT_L_X36Y141 TIEOFF_X38Y141 TILESITE INT_L_X36Y142 TIEOFF_X38Y142 TILESITE INT_L_X36Y143 TIEOFF_X38Y143 TILESITE INT_L_X36Y144 TIEOFF_X38Y144 TILESITE INT_L_X36Y145 TIEOFF_X38Y145 TILESITE INT_L_X36Y146 TIEOFF_X38Y146 TILESITE INT_L_X36Y147 TIEOFF_X38Y147 TILESITE INT_L_X36Y148 TIEOFF_X38Y148 TILESITE INT_L_X36Y149 TIEOFF_X38Y149 TILESITE INT_L_X38Y0 TIEOFF_X40Y0 TILESITE INT_L_X38Y1 TIEOFF_X40Y1 TILESITE INT_L_X38Y2 TIEOFF_X40Y2 TILESITE INT_L_X38Y3 TIEOFF_X40Y3 TILESITE INT_L_X38Y4 TIEOFF_X40Y4 TILESITE INT_L_X38Y5 TIEOFF_X40Y5 TILESITE INT_L_X38Y6 TIEOFF_X40Y6 TILESITE INT_L_X38Y7 TIEOFF_X40Y7 TILESITE INT_L_X38Y8 TIEOFF_X40Y8 TILESITE INT_L_X38Y9 TIEOFF_X40Y9 TILESITE INT_L_X38Y10 TIEOFF_X40Y10 TILESITE INT_L_X38Y11 TIEOFF_X40Y11 TILESITE INT_L_X38Y12 TIEOFF_X40Y12 TILESITE INT_L_X38Y13 TIEOFF_X40Y13 TILESITE INT_L_X38Y14 TIEOFF_X40Y14 TILESITE INT_L_X38Y15 TIEOFF_X40Y15 TILESITE INT_L_X38Y16 TIEOFF_X40Y16 TILESITE INT_L_X38Y17 TIEOFF_X40Y17 TILESITE INT_L_X38Y18 TIEOFF_X40Y18 TILESITE INT_L_X38Y19 TIEOFF_X40Y19 TILESITE INT_L_X38Y20 TIEOFF_X40Y20 TILESITE INT_L_X38Y21 TIEOFF_X40Y21 TILESITE INT_L_X38Y22 TIEOFF_X40Y22 TILESITE INT_L_X38Y23 TIEOFF_X40Y23 TILESITE INT_L_X38Y24 TIEOFF_X40Y24 TILESITE INT_L_X38Y25 TIEOFF_X40Y25 TILESITE INT_L_X38Y26 TIEOFF_X40Y26 TILESITE INT_L_X38Y27 TIEOFF_X40Y27 TILESITE INT_L_X38Y28 TIEOFF_X40Y28 TILESITE INT_L_X38Y29 TIEOFF_X40Y29 TILESITE INT_L_X38Y30 TIEOFF_X40Y30 TILESITE INT_L_X38Y31 TIEOFF_X40Y31 TILESITE INT_L_X38Y32 TIEOFF_X40Y32 TILESITE INT_L_X38Y33 TIEOFF_X40Y33 TILESITE INT_L_X38Y34 TIEOFF_X40Y34 TILESITE INT_L_X38Y35 TIEOFF_X40Y35 TILESITE INT_L_X38Y36 TIEOFF_X40Y36 TILESITE INT_L_X38Y37 TIEOFF_X40Y37 TILESITE INT_L_X38Y38 TIEOFF_X40Y38 TILESITE INT_L_X38Y39 TIEOFF_X40Y39 TILESITE INT_L_X38Y40 TIEOFF_X40Y40 TILESITE INT_L_X38Y41 TIEOFF_X40Y41 TILESITE INT_L_X38Y42 TIEOFF_X40Y42 TILESITE INT_L_X38Y43 TIEOFF_X40Y43 TILESITE INT_L_X38Y44 TIEOFF_X40Y44 TILESITE INT_L_X38Y45 TIEOFF_X40Y45 TILESITE INT_L_X38Y46 TIEOFF_X40Y46 TILESITE INT_L_X38Y47 TIEOFF_X40Y47 TILESITE INT_L_X38Y48 TIEOFF_X40Y48 TILESITE INT_L_X38Y49 TIEOFF_X40Y49 TILESITE INT_L_X38Y50 TIEOFF_X40Y50 TILESITE INT_L_X38Y51 TIEOFF_X40Y51 TILESITE INT_L_X38Y52 TIEOFF_X40Y52 TILESITE INT_L_X38Y53 TIEOFF_X40Y53 TILESITE INT_L_X38Y54 TIEOFF_X40Y54 TILESITE INT_L_X38Y55 TIEOFF_X40Y55 TILESITE INT_L_X38Y56 TIEOFF_X40Y56 TILESITE INT_L_X38Y57 TIEOFF_X40Y57 TILESITE INT_L_X38Y58 TIEOFF_X40Y58 TILESITE INT_L_X38Y59 TIEOFF_X40Y59 TILESITE INT_L_X38Y60 TIEOFF_X40Y60 TILESITE INT_L_X38Y61 TIEOFF_X40Y61 TILESITE INT_L_X38Y62 TIEOFF_X40Y62 TILESITE INT_L_X38Y63 TIEOFF_X40Y63 TILESITE INT_L_X38Y64 TIEOFF_X40Y64 TILESITE INT_L_X38Y65 TIEOFF_X40Y65 TILESITE INT_L_X38Y66 TIEOFF_X40Y66 TILESITE INT_L_X38Y67 TIEOFF_X40Y67 TILESITE INT_L_X38Y68 TIEOFF_X40Y68 TILESITE INT_L_X38Y69 TIEOFF_X40Y69 TILESITE INT_L_X38Y70 TIEOFF_X40Y70 TILESITE INT_L_X38Y71 TIEOFF_X40Y71 TILESITE INT_L_X38Y72 TIEOFF_X40Y72 TILESITE INT_L_X38Y73 TIEOFF_X40Y73 TILESITE INT_L_X38Y74 TIEOFF_X40Y74 TILESITE INT_L_X38Y75 TIEOFF_X40Y75 TILESITE INT_L_X38Y76 TIEOFF_X40Y76 TILESITE INT_L_X38Y77 TIEOFF_X40Y77 TILESITE INT_L_X38Y78 TIEOFF_X40Y78 TILESITE INT_L_X38Y79 TIEOFF_X40Y79 TILESITE INT_L_X38Y80 TIEOFF_X40Y80 TILESITE INT_L_X38Y81 TIEOFF_X40Y81 TILESITE INT_L_X38Y82 TIEOFF_X40Y82 TILESITE INT_L_X38Y83 TIEOFF_X40Y83 TILESITE INT_L_X38Y84 TIEOFF_X40Y84 TILESITE INT_L_X38Y85 TIEOFF_X40Y85 TILESITE INT_L_X38Y86 TIEOFF_X40Y86 TILESITE INT_L_X38Y87 TIEOFF_X40Y87 TILESITE INT_L_X38Y88 TIEOFF_X40Y88 TILESITE INT_L_X38Y89 TIEOFF_X40Y89 TILESITE INT_L_X38Y90 TIEOFF_X40Y90 TILESITE INT_L_X38Y91 TIEOFF_X40Y91 TILESITE INT_L_X38Y92 TIEOFF_X40Y92 TILESITE INT_L_X38Y93 TIEOFF_X40Y93 TILESITE INT_L_X38Y94 TIEOFF_X40Y94 TILESITE INT_L_X38Y95 TIEOFF_X40Y95 TILESITE INT_L_X38Y96 TIEOFF_X40Y96 TILESITE INT_L_X38Y97 TIEOFF_X40Y97 TILESITE INT_L_X38Y98 TIEOFF_X40Y98 TILESITE INT_L_X38Y99 TIEOFF_X40Y99 TILESITE INT_L_X40Y0 TIEOFF_X42Y0 TILESITE INT_L_X40Y1 TIEOFF_X42Y1 TILESITE INT_L_X40Y2 TIEOFF_X42Y2 TILESITE INT_L_X40Y3 TIEOFF_X42Y3 TILESITE INT_L_X40Y4 TIEOFF_X42Y4 TILESITE INT_L_X40Y5 TIEOFF_X42Y5 TILESITE INT_L_X40Y6 TIEOFF_X42Y6 TILESITE INT_L_X40Y7 TIEOFF_X42Y7 TILESITE INT_L_X40Y8 TIEOFF_X42Y8 TILESITE INT_L_X40Y9 TIEOFF_X42Y9 TILESITE INT_L_X40Y10 TIEOFF_X42Y10 TILESITE INT_L_X40Y11 TIEOFF_X42Y11 TILESITE INT_L_X40Y12 TIEOFF_X42Y12 TILESITE INT_L_X40Y13 TIEOFF_X42Y13 TILESITE INT_L_X40Y14 TIEOFF_X42Y14 TILESITE INT_L_X40Y15 TIEOFF_X42Y15 TILESITE INT_L_X40Y16 TIEOFF_X42Y16 TILESITE INT_L_X40Y17 TIEOFF_X42Y17 TILESITE INT_L_X40Y18 TIEOFF_X42Y18 TILESITE INT_L_X40Y19 TIEOFF_X42Y19 TILESITE INT_L_X40Y20 TIEOFF_X42Y20 TILESITE INT_L_X40Y21 TIEOFF_X42Y21 TILESITE INT_L_X40Y22 TIEOFF_X42Y22 TILESITE INT_L_X40Y23 TIEOFF_X42Y23 TILESITE INT_L_X40Y24 TIEOFF_X42Y24 TILESITE INT_L_X40Y25 TIEOFF_X42Y25 TILESITE INT_L_X40Y26 TIEOFF_X42Y26 TILESITE INT_L_X40Y27 TIEOFF_X42Y27 TILESITE INT_L_X40Y28 TIEOFF_X42Y28 TILESITE INT_L_X40Y29 TIEOFF_X42Y29 TILESITE INT_L_X40Y30 TIEOFF_X42Y30 TILESITE INT_L_X40Y31 TIEOFF_X42Y31 TILESITE INT_L_X40Y32 TIEOFF_X42Y32 TILESITE INT_L_X40Y33 TIEOFF_X42Y33 TILESITE INT_L_X40Y34 TIEOFF_X42Y34 TILESITE INT_L_X40Y35 TIEOFF_X42Y35 TILESITE INT_L_X40Y36 TIEOFF_X42Y36 TILESITE INT_L_X40Y37 TIEOFF_X42Y37 TILESITE INT_L_X40Y38 TIEOFF_X42Y38 TILESITE INT_L_X40Y39 TIEOFF_X42Y39 TILESITE INT_L_X40Y40 TIEOFF_X42Y40 TILESITE INT_L_X40Y41 TIEOFF_X42Y41 TILESITE INT_L_X40Y42 TIEOFF_X42Y42 TILESITE INT_L_X40Y43 TIEOFF_X42Y43 TILESITE INT_L_X40Y44 TIEOFF_X42Y44 TILESITE INT_L_X40Y45 TIEOFF_X42Y45 TILESITE INT_L_X40Y46 TIEOFF_X42Y46 TILESITE INT_L_X40Y47 TIEOFF_X42Y47 TILESITE INT_L_X40Y48 TIEOFF_X42Y48 TILESITE INT_L_X40Y49 TIEOFF_X42Y49 TILESITE INT_L_X40Y50 TIEOFF_X42Y50 TILESITE INT_L_X40Y51 TIEOFF_X42Y51 TILESITE INT_L_X40Y52 TIEOFF_X42Y52 TILESITE INT_L_X40Y53 TIEOFF_X42Y53 TILESITE INT_L_X40Y54 TIEOFF_X42Y54 TILESITE INT_L_X40Y55 TIEOFF_X42Y55 TILESITE INT_L_X40Y56 TIEOFF_X42Y56 TILESITE INT_L_X40Y57 TIEOFF_X42Y57 TILESITE INT_L_X40Y58 TIEOFF_X42Y58 TILESITE INT_L_X40Y59 TIEOFF_X42Y59 TILESITE INT_L_X40Y60 TIEOFF_X42Y60 TILESITE INT_L_X40Y61 TIEOFF_X42Y61 TILESITE INT_L_X40Y62 TIEOFF_X42Y62 TILESITE INT_L_X40Y63 TIEOFF_X42Y63 TILESITE INT_L_X40Y64 TIEOFF_X42Y64 TILESITE INT_L_X40Y65 TIEOFF_X42Y65 TILESITE INT_L_X40Y66 TIEOFF_X42Y66 TILESITE INT_L_X40Y67 TIEOFF_X42Y67 TILESITE INT_L_X40Y68 TIEOFF_X42Y68 TILESITE INT_L_X40Y69 TIEOFF_X42Y69 TILESITE INT_L_X40Y70 TIEOFF_X42Y70 TILESITE INT_L_X40Y71 TIEOFF_X42Y71 TILESITE INT_L_X40Y72 TIEOFF_X42Y72 TILESITE INT_L_X40Y73 TIEOFF_X42Y73 TILESITE INT_L_X40Y74 TIEOFF_X42Y74 TILESITE INT_L_X40Y75 TIEOFF_X42Y75 TILESITE INT_L_X40Y76 TIEOFF_X42Y76 TILESITE INT_L_X40Y77 TIEOFF_X42Y77 TILESITE INT_L_X40Y78 TIEOFF_X42Y78 TILESITE INT_L_X40Y79 TIEOFF_X42Y79 TILESITE INT_L_X40Y80 TIEOFF_X42Y80 TILESITE INT_L_X40Y81 TIEOFF_X42Y81 TILESITE INT_L_X40Y82 TIEOFF_X42Y82 TILESITE INT_L_X40Y83 TIEOFF_X42Y83 TILESITE INT_L_X40Y84 TIEOFF_X42Y84 TILESITE INT_L_X40Y85 TIEOFF_X42Y85 TILESITE INT_L_X40Y86 TIEOFF_X42Y86 TILESITE INT_L_X40Y87 TIEOFF_X42Y87 TILESITE INT_L_X40Y88 TIEOFF_X42Y88 TILESITE INT_L_X40Y89 TIEOFF_X42Y89 TILESITE INT_L_X40Y90 TIEOFF_X42Y90 TILESITE INT_L_X40Y91 TIEOFF_X42Y91 TILESITE INT_L_X40Y92 TIEOFF_X42Y92 TILESITE INT_L_X40Y93 TIEOFF_X42Y93 TILESITE INT_L_X40Y94 TIEOFF_X42Y94 TILESITE INT_L_X40Y95 TIEOFF_X42Y95 TILESITE INT_L_X40Y96 TIEOFF_X42Y96 TILESITE INT_L_X40Y97 TIEOFF_X42Y97 TILESITE INT_L_X40Y98 TIEOFF_X42Y98 TILESITE INT_L_X40Y99 TIEOFF_X42Y99 TILESITE INT_L_X42Y0 TIEOFF_X44Y0 TILESITE INT_L_X42Y1 TIEOFF_X44Y1 TILESITE INT_L_X42Y2 TIEOFF_X44Y2 TILESITE INT_L_X42Y3 TIEOFF_X44Y3 TILESITE INT_L_X42Y4 TIEOFF_X44Y4 TILESITE INT_L_X42Y5 TIEOFF_X44Y5 TILESITE INT_L_X42Y6 TIEOFF_X44Y6 TILESITE INT_L_X42Y7 TIEOFF_X44Y7 TILESITE INT_L_X42Y8 TIEOFF_X44Y8 TILESITE INT_L_X42Y9 TIEOFF_X44Y9 TILESITE INT_L_X42Y10 TIEOFF_X44Y10 TILESITE INT_L_X42Y11 TIEOFF_X44Y11 TILESITE INT_L_X42Y12 TIEOFF_X44Y12 TILESITE INT_L_X42Y13 TIEOFF_X44Y13 TILESITE INT_L_X42Y14 TIEOFF_X44Y14 TILESITE INT_L_X42Y15 TIEOFF_X44Y15 TILESITE INT_L_X42Y16 TIEOFF_X44Y16 TILESITE INT_L_X42Y17 TIEOFF_X44Y17 TILESITE INT_L_X42Y18 TIEOFF_X44Y18 TILESITE INT_L_X42Y19 TIEOFF_X44Y19 TILESITE INT_L_X42Y20 TIEOFF_X44Y20 TILESITE INT_L_X42Y21 TIEOFF_X44Y21 TILESITE INT_L_X42Y22 TIEOFF_X44Y22 TILESITE INT_L_X42Y23 TIEOFF_X44Y23 TILESITE INT_L_X42Y24 TIEOFF_X44Y24 TILESITE INT_L_X42Y25 TIEOFF_X44Y25 TILESITE INT_L_X42Y26 TIEOFF_X44Y26 TILESITE INT_L_X42Y27 TIEOFF_X44Y27 TILESITE INT_L_X42Y28 TIEOFF_X44Y28 TILESITE INT_L_X42Y29 TIEOFF_X44Y29 TILESITE INT_L_X42Y30 TIEOFF_X44Y30 TILESITE INT_L_X42Y31 TIEOFF_X44Y31 TILESITE INT_L_X42Y32 TIEOFF_X44Y32 TILESITE INT_L_X42Y33 TIEOFF_X44Y33 TILESITE INT_L_X42Y34 TIEOFF_X44Y34 TILESITE INT_L_X42Y35 TIEOFF_X44Y35 TILESITE INT_L_X42Y36 TIEOFF_X44Y36 TILESITE INT_L_X42Y37 TIEOFF_X44Y37 TILESITE INT_L_X42Y38 TIEOFF_X44Y38 TILESITE INT_L_X42Y39 TIEOFF_X44Y39 TILESITE INT_L_X42Y40 TIEOFF_X44Y40 TILESITE INT_L_X42Y41 TIEOFF_X44Y41 TILESITE INT_L_X42Y42 TIEOFF_X44Y42 TILESITE INT_L_X42Y43 TIEOFF_X44Y43 TILESITE INT_L_X42Y44 TIEOFF_X44Y44 TILESITE INT_L_X42Y45 TIEOFF_X44Y45 TILESITE INT_L_X42Y46 TIEOFF_X44Y46 TILESITE INT_L_X42Y47 TIEOFF_X44Y47 TILESITE INT_L_X42Y48 TIEOFF_X44Y48 TILESITE INT_L_X42Y49 TIEOFF_X44Y49 TILESITE INT_L_X42Y50 TIEOFF_X44Y50 TILESITE INT_L_X42Y51 TIEOFF_X44Y51 TILESITE INT_L_X42Y52 TIEOFF_X44Y52 TILESITE INT_L_X42Y53 TIEOFF_X44Y53 TILESITE INT_L_X42Y54 TIEOFF_X44Y54 TILESITE INT_L_X42Y55 TIEOFF_X44Y55 TILESITE INT_L_X42Y56 TIEOFF_X44Y56 TILESITE INT_L_X42Y57 TIEOFF_X44Y57 TILESITE INT_L_X42Y58 TIEOFF_X44Y58 TILESITE INT_L_X42Y59 TIEOFF_X44Y59 TILESITE INT_L_X42Y60 TIEOFF_X44Y60 TILESITE INT_L_X42Y61 TIEOFF_X44Y61 TILESITE INT_L_X42Y62 TIEOFF_X44Y62 TILESITE INT_L_X42Y63 TIEOFF_X44Y63 TILESITE INT_L_X42Y64 TIEOFF_X44Y64 TILESITE INT_L_X42Y65 TIEOFF_X44Y65 TILESITE INT_L_X42Y66 TIEOFF_X44Y66 TILESITE INT_L_X42Y67 TIEOFF_X44Y67 TILESITE INT_L_X42Y68 TIEOFF_X44Y68 TILESITE INT_L_X42Y69 TIEOFF_X44Y69 TILESITE INT_L_X42Y70 TIEOFF_X44Y70 TILESITE INT_L_X42Y71 TIEOFF_X44Y71 TILESITE INT_L_X42Y72 TIEOFF_X44Y72 TILESITE INT_L_X42Y73 TIEOFF_X44Y73 TILESITE INT_L_X42Y74 TIEOFF_X44Y74 TILESITE INT_L_X42Y75 TIEOFF_X44Y75 TILESITE INT_L_X42Y76 TIEOFF_X44Y76 TILESITE INT_L_X42Y77 TIEOFF_X44Y77 TILESITE INT_L_X42Y78 TIEOFF_X44Y78 TILESITE INT_L_X42Y79 TIEOFF_X44Y79 TILESITE INT_L_X42Y80 TIEOFF_X44Y80 TILESITE INT_L_X42Y81 TIEOFF_X44Y81 TILESITE INT_L_X42Y82 TIEOFF_X44Y82 TILESITE INT_L_X42Y83 TIEOFF_X44Y83 TILESITE INT_L_X42Y84 TIEOFF_X44Y84 TILESITE INT_L_X42Y85 TIEOFF_X44Y85 TILESITE INT_L_X42Y86 TIEOFF_X44Y86 TILESITE INT_L_X42Y87 TIEOFF_X44Y87 TILESITE INT_L_X42Y88 TIEOFF_X44Y88 TILESITE INT_L_X42Y89 TIEOFF_X44Y89 TILESITE INT_L_X42Y90 TIEOFF_X44Y90 TILESITE INT_L_X42Y91 TIEOFF_X44Y91 TILESITE INT_L_X42Y92 TIEOFF_X44Y92 TILESITE INT_L_X42Y93 TIEOFF_X44Y93 TILESITE INT_L_X42Y94 TIEOFF_X44Y94 TILESITE INT_L_X42Y95 TIEOFF_X44Y95 TILESITE INT_L_X42Y96 TIEOFF_X44Y96 TILESITE INT_L_X42Y97 TIEOFF_X44Y97 TILESITE INT_L_X42Y98 TIEOFF_X44Y98 TILESITE INT_L_X42Y99 TIEOFF_X44Y99 TILESITE INT_L_X4Y0 TIEOFF_X4Y0 TILESITE INT_L_X4Y1 TIEOFF_X4Y1 TILESITE INT_L_X4Y2 TIEOFF_X4Y2 TILESITE INT_L_X4Y3 TIEOFF_X4Y3 TILESITE INT_L_X4Y4 TIEOFF_X4Y4 TILESITE INT_L_X4Y5 TIEOFF_X4Y5 TILESITE INT_L_X4Y6 TIEOFF_X4Y6 TILESITE INT_L_X4Y7 TIEOFF_X4Y7 TILESITE INT_L_X4Y8 TIEOFF_X4Y8 TILESITE INT_L_X4Y9 TIEOFF_X4Y9 TILESITE INT_L_X4Y10 TIEOFF_X4Y10 TILESITE INT_L_X4Y11 TIEOFF_X4Y11 TILESITE INT_L_X4Y12 TIEOFF_X4Y12 TILESITE INT_L_X4Y13 TIEOFF_X4Y13 TILESITE INT_L_X4Y14 TIEOFF_X4Y14 TILESITE INT_L_X4Y15 TIEOFF_X4Y15 TILESITE INT_L_X4Y16 TIEOFF_X4Y16 TILESITE INT_L_X4Y17 TIEOFF_X4Y17 TILESITE INT_L_X4Y18 TIEOFF_X4Y18 TILESITE INT_L_X4Y19 TIEOFF_X4Y19 TILESITE INT_L_X4Y20 TIEOFF_X4Y20 TILESITE INT_L_X4Y21 TIEOFF_X4Y21 TILESITE INT_L_X4Y22 TIEOFF_X4Y22 TILESITE INT_L_X4Y23 TIEOFF_X4Y23 TILESITE INT_L_X4Y24 TIEOFF_X4Y24 TILESITE INT_L_X4Y25 TIEOFF_X4Y25 TILESITE INT_L_X4Y26 TIEOFF_X4Y26 TILESITE INT_L_X4Y27 TIEOFF_X4Y27 TILESITE INT_L_X4Y28 TIEOFF_X4Y28 TILESITE INT_L_X4Y29 TIEOFF_X4Y29 TILESITE INT_L_X4Y30 TIEOFF_X4Y30 TILESITE INT_L_X4Y31 TIEOFF_X4Y31 TILESITE INT_L_X4Y32 TIEOFF_X4Y32 TILESITE INT_L_X4Y33 TIEOFF_X4Y33 TILESITE INT_L_X4Y34 TIEOFF_X4Y34 TILESITE INT_L_X4Y35 TIEOFF_X4Y35 TILESITE INT_L_X4Y36 TIEOFF_X4Y36 TILESITE INT_L_X4Y37 TIEOFF_X4Y37 TILESITE INT_L_X4Y38 TIEOFF_X4Y38 TILESITE INT_L_X4Y39 TIEOFF_X4Y39 TILESITE INT_L_X4Y40 TIEOFF_X4Y40 TILESITE INT_L_X4Y41 TIEOFF_X4Y41 TILESITE INT_L_X4Y42 TIEOFF_X4Y42 TILESITE INT_L_X4Y43 TIEOFF_X4Y43 TILESITE INT_L_X4Y44 TIEOFF_X4Y44 TILESITE INT_L_X4Y45 TIEOFF_X4Y45 TILESITE INT_L_X4Y46 TIEOFF_X4Y46 TILESITE INT_L_X4Y47 TIEOFF_X4Y47 TILESITE INT_L_X4Y48 TIEOFF_X4Y48 TILESITE INT_L_X4Y49 TIEOFF_X4Y49 TILESITE INT_L_X4Y50 TIEOFF_X4Y50 TILESITE INT_L_X4Y51 TIEOFF_X4Y51 TILESITE INT_L_X4Y52 TIEOFF_X4Y52 TILESITE INT_L_X4Y53 TIEOFF_X4Y53 TILESITE INT_L_X4Y54 TIEOFF_X4Y54 TILESITE INT_L_X4Y55 TIEOFF_X4Y55 TILESITE INT_L_X4Y56 TIEOFF_X4Y56 TILESITE INT_L_X4Y57 TIEOFF_X4Y57 TILESITE INT_L_X4Y58 TIEOFF_X4Y58 TILESITE INT_L_X4Y59 TIEOFF_X4Y59 TILESITE INT_L_X4Y60 TIEOFF_X4Y60 TILESITE INT_L_X4Y61 TIEOFF_X4Y61 TILESITE INT_L_X4Y62 TIEOFF_X4Y62 TILESITE INT_L_X4Y63 TIEOFF_X4Y63 TILESITE INT_L_X4Y64 TIEOFF_X4Y64 TILESITE INT_L_X4Y65 TIEOFF_X4Y65 TILESITE INT_L_X4Y66 TIEOFF_X4Y66 TILESITE INT_L_X4Y67 TIEOFF_X4Y67 TILESITE INT_L_X4Y68 TIEOFF_X4Y68 TILESITE INT_L_X4Y69 TIEOFF_X4Y69 TILESITE INT_L_X4Y70 TIEOFF_X4Y70 TILESITE INT_L_X4Y71 TIEOFF_X4Y71 TILESITE INT_L_X4Y72 TIEOFF_X4Y72 TILESITE INT_L_X4Y73 TIEOFF_X4Y73 TILESITE INT_L_X4Y74 TIEOFF_X4Y74 TILESITE INT_L_X4Y75 TIEOFF_X4Y75 TILESITE INT_L_X4Y76 TIEOFF_X4Y76 TILESITE INT_L_X4Y77 TIEOFF_X4Y77 TILESITE INT_L_X4Y78 TIEOFF_X4Y78 TILESITE INT_L_X4Y79 TIEOFF_X4Y79 TILESITE INT_L_X4Y80 TIEOFF_X4Y80 TILESITE INT_L_X4Y81 TIEOFF_X4Y81 TILESITE INT_L_X4Y82 TIEOFF_X4Y82 TILESITE INT_L_X4Y83 TIEOFF_X4Y83 TILESITE INT_L_X4Y84 TIEOFF_X4Y84 TILESITE INT_L_X4Y85 TIEOFF_X4Y85 TILESITE INT_L_X4Y86 TIEOFF_X4Y86 TILESITE INT_L_X4Y87 TIEOFF_X4Y87 TILESITE INT_L_X4Y88 TIEOFF_X4Y88 TILESITE INT_L_X4Y89 TIEOFF_X4Y89 TILESITE INT_L_X4Y90 TIEOFF_X4Y90 TILESITE INT_L_X4Y91 TIEOFF_X4Y91 TILESITE INT_L_X4Y92 TIEOFF_X4Y92 TILESITE INT_L_X4Y93 TIEOFF_X4Y93 TILESITE INT_L_X4Y94 TIEOFF_X4Y94 TILESITE INT_L_X4Y95 TIEOFF_X4Y95 TILESITE INT_L_X4Y96 TIEOFF_X4Y96 TILESITE INT_L_X4Y97 TIEOFF_X4Y97 TILESITE INT_L_X4Y98 TIEOFF_X4Y98 TILESITE INT_L_X4Y99 TIEOFF_X4Y99 TILESITE INT_L_X4Y100 TIEOFF_X4Y100 TILESITE INT_L_X4Y101 TIEOFF_X4Y101 TILESITE INT_L_X4Y102 TIEOFF_X4Y102 TILESITE INT_L_X4Y103 TIEOFF_X4Y103 TILESITE INT_L_X4Y104 TIEOFF_X4Y104 TILESITE INT_L_X4Y105 TIEOFF_X4Y105 TILESITE INT_L_X4Y106 TIEOFF_X4Y106 TILESITE INT_L_X4Y107 TIEOFF_X4Y107 TILESITE INT_L_X4Y108 TIEOFF_X4Y108 TILESITE INT_L_X4Y109 TIEOFF_X4Y109 TILESITE INT_L_X4Y110 TIEOFF_X4Y110 TILESITE INT_L_X4Y111 TIEOFF_X4Y111 TILESITE INT_L_X4Y112 TIEOFF_X4Y112 TILESITE INT_L_X4Y113 TIEOFF_X4Y113 TILESITE INT_L_X4Y114 TIEOFF_X4Y114 TILESITE INT_L_X4Y115 TIEOFF_X4Y115 TILESITE INT_L_X4Y116 TIEOFF_X4Y116 TILESITE INT_L_X4Y117 TIEOFF_X4Y117 TILESITE INT_L_X4Y118 TIEOFF_X4Y118 TILESITE INT_L_X4Y119 TIEOFF_X4Y119 TILESITE INT_L_X4Y120 TIEOFF_X4Y120 TILESITE INT_L_X4Y121 TIEOFF_X4Y121 TILESITE INT_L_X4Y122 TIEOFF_X4Y122 TILESITE INT_L_X4Y123 TIEOFF_X4Y123 TILESITE INT_L_X4Y124 TIEOFF_X4Y124 TILESITE INT_L_X4Y125 TIEOFF_X4Y125 TILESITE INT_L_X4Y126 TIEOFF_X4Y126 TILESITE INT_L_X4Y127 TIEOFF_X4Y127 TILESITE INT_L_X4Y128 TIEOFF_X4Y128 TILESITE INT_L_X4Y129 TIEOFF_X4Y129 TILESITE INT_L_X4Y130 TIEOFF_X4Y130 TILESITE INT_L_X4Y131 TIEOFF_X4Y131 TILESITE INT_L_X4Y132 TIEOFF_X4Y132 TILESITE INT_L_X4Y133 TIEOFF_X4Y133 TILESITE INT_L_X4Y134 TIEOFF_X4Y134 TILESITE INT_L_X4Y135 TIEOFF_X4Y135 TILESITE INT_L_X4Y136 TIEOFF_X4Y136 TILESITE INT_L_X4Y137 TIEOFF_X4Y137 TILESITE INT_L_X4Y138 TIEOFF_X4Y138 TILESITE INT_L_X4Y139 TIEOFF_X4Y139 TILESITE INT_L_X4Y140 TIEOFF_X4Y140 TILESITE INT_L_X4Y141 TIEOFF_X4Y141 TILESITE INT_L_X4Y142 TIEOFF_X4Y142 TILESITE INT_L_X4Y143 TIEOFF_X4Y143 TILESITE INT_L_X4Y144 TIEOFF_X4Y144 TILESITE INT_L_X4Y145 TIEOFF_X4Y145 TILESITE INT_L_X4Y146 TIEOFF_X4Y146 TILESITE INT_L_X4Y147 TIEOFF_X4Y147 TILESITE INT_L_X4Y148 TIEOFF_X4Y148 TILESITE INT_L_X4Y149 TIEOFF_X4Y149 TILESITE INT_L_X6Y0 TIEOFF_X6Y0 TILESITE INT_L_X6Y1 TIEOFF_X6Y1 TILESITE INT_L_X6Y2 TIEOFF_X6Y2 TILESITE INT_L_X6Y3 TIEOFF_X6Y3 TILESITE INT_L_X6Y4 TIEOFF_X6Y4 TILESITE INT_L_X6Y5 TIEOFF_X6Y5 TILESITE INT_L_X6Y6 TIEOFF_X6Y6 TILESITE INT_L_X6Y7 TIEOFF_X6Y7 TILESITE INT_L_X6Y8 TIEOFF_X6Y8 TILESITE INT_L_X6Y9 TIEOFF_X6Y9 TILESITE INT_L_X6Y10 TIEOFF_X6Y10 TILESITE INT_L_X6Y11 TIEOFF_X6Y11 TILESITE INT_L_X6Y12 TIEOFF_X6Y12 TILESITE INT_L_X6Y13 TIEOFF_X6Y13 TILESITE INT_L_X6Y14 TIEOFF_X6Y14 TILESITE INT_L_X6Y15 TIEOFF_X6Y15 TILESITE INT_L_X6Y16 TIEOFF_X6Y16 TILESITE INT_L_X6Y17 TIEOFF_X6Y17 TILESITE INT_L_X6Y18 TIEOFF_X6Y18 TILESITE INT_L_X6Y19 TIEOFF_X6Y19 TILESITE INT_L_X6Y20 TIEOFF_X6Y20 TILESITE INT_L_X6Y21 TIEOFF_X6Y21 TILESITE INT_L_X6Y22 TIEOFF_X6Y22 TILESITE INT_L_X6Y23 TIEOFF_X6Y23 TILESITE INT_L_X6Y24 TIEOFF_X6Y24 TILESITE INT_L_X6Y25 TIEOFF_X6Y25 TILESITE INT_L_X6Y26 TIEOFF_X6Y26 TILESITE INT_L_X6Y27 TIEOFF_X6Y27 TILESITE INT_L_X6Y28 TIEOFF_X6Y28 TILESITE INT_L_X6Y29 TIEOFF_X6Y29 TILESITE INT_L_X6Y30 TIEOFF_X6Y30 TILESITE INT_L_X6Y31 TIEOFF_X6Y31 TILESITE INT_L_X6Y32 TIEOFF_X6Y32 TILESITE INT_L_X6Y33 TIEOFF_X6Y33 TILESITE INT_L_X6Y34 TIEOFF_X6Y34 TILESITE INT_L_X6Y35 TIEOFF_X6Y35 TILESITE INT_L_X6Y36 TIEOFF_X6Y36 TILESITE INT_L_X6Y37 TIEOFF_X6Y37 TILESITE INT_L_X6Y38 TIEOFF_X6Y38 TILESITE INT_L_X6Y39 TIEOFF_X6Y39 TILESITE INT_L_X6Y40 TIEOFF_X6Y40 TILESITE INT_L_X6Y41 TIEOFF_X6Y41 TILESITE INT_L_X6Y42 TIEOFF_X6Y42 TILESITE INT_L_X6Y43 TIEOFF_X6Y43 TILESITE INT_L_X6Y44 TIEOFF_X6Y44 TILESITE INT_L_X6Y45 TIEOFF_X6Y45 TILESITE INT_L_X6Y46 TIEOFF_X6Y46 TILESITE INT_L_X6Y47 TIEOFF_X6Y47 TILESITE INT_L_X6Y48 TIEOFF_X6Y48 TILESITE INT_L_X6Y49 TIEOFF_X6Y49 TILESITE INT_L_X6Y50 TIEOFF_X6Y50 TILESITE INT_L_X6Y51 TIEOFF_X6Y51 TILESITE INT_L_X6Y52 TIEOFF_X6Y52 TILESITE INT_L_X6Y53 TIEOFF_X6Y53 TILESITE INT_L_X6Y54 TIEOFF_X6Y54 TILESITE INT_L_X6Y55 TIEOFF_X6Y55 TILESITE INT_L_X6Y56 TIEOFF_X6Y56 TILESITE INT_L_X6Y57 TIEOFF_X6Y57 TILESITE INT_L_X6Y58 TIEOFF_X6Y58 TILESITE INT_L_X6Y59 TIEOFF_X6Y59 TILESITE INT_L_X6Y60 TIEOFF_X6Y60 TILESITE INT_L_X6Y61 TIEOFF_X6Y61 TILESITE INT_L_X6Y62 TIEOFF_X6Y62 TILESITE INT_L_X6Y63 TIEOFF_X6Y63 TILESITE INT_L_X6Y64 TIEOFF_X6Y64 TILESITE INT_L_X6Y65 TIEOFF_X6Y65 TILESITE INT_L_X6Y66 TIEOFF_X6Y66 TILESITE INT_L_X6Y67 TIEOFF_X6Y67 TILESITE INT_L_X6Y68 TIEOFF_X6Y68 TILESITE INT_L_X6Y69 TIEOFF_X6Y69 TILESITE INT_L_X6Y70 TIEOFF_X6Y70 TILESITE INT_L_X6Y71 TIEOFF_X6Y71 TILESITE INT_L_X6Y72 TIEOFF_X6Y72 TILESITE INT_L_X6Y73 TIEOFF_X6Y73 TILESITE INT_L_X6Y74 TIEOFF_X6Y74 TILESITE INT_L_X6Y75 TIEOFF_X6Y75 TILESITE INT_L_X6Y76 TIEOFF_X6Y76 TILESITE INT_L_X6Y77 TIEOFF_X6Y77 TILESITE INT_L_X6Y78 TIEOFF_X6Y78 TILESITE INT_L_X6Y79 TIEOFF_X6Y79 TILESITE INT_L_X6Y80 TIEOFF_X6Y80 TILESITE INT_L_X6Y81 TIEOFF_X6Y81 TILESITE INT_L_X6Y82 TIEOFF_X6Y82 TILESITE INT_L_X6Y83 TIEOFF_X6Y83 TILESITE INT_L_X6Y84 TIEOFF_X6Y84 TILESITE INT_L_X6Y85 TIEOFF_X6Y85 TILESITE INT_L_X6Y86 TIEOFF_X6Y86 TILESITE INT_L_X6Y87 TIEOFF_X6Y87 TILESITE INT_L_X6Y88 TIEOFF_X6Y88 TILESITE INT_L_X6Y89 TIEOFF_X6Y89 TILESITE INT_L_X6Y90 TIEOFF_X6Y90 TILESITE INT_L_X6Y91 TIEOFF_X6Y91 TILESITE INT_L_X6Y92 TIEOFF_X6Y92 TILESITE INT_L_X6Y93 TIEOFF_X6Y93 TILESITE INT_L_X6Y94 TIEOFF_X6Y94 TILESITE INT_L_X6Y95 TIEOFF_X6Y95 TILESITE INT_L_X6Y96 TIEOFF_X6Y96 TILESITE INT_L_X6Y97 TIEOFF_X6Y97 TILESITE INT_L_X6Y98 TIEOFF_X6Y98 TILESITE INT_L_X6Y99 TIEOFF_X6Y99 TILESITE INT_L_X6Y100 TIEOFF_X6Y100 TILESITE INT_L_X6Y101 TIEOFF_X6Y101 TILESITE INT_L_X6Y102 TIEOFF_X6Y102 TILESITE INT_L_X6Y103 TIEOFF_X6Y103 TILESITE INT_L_X6Y104 TIEOFF_X6Y104 TILESITE INT_L_X6Y105 TIEOFF_X6Y105 TILESITE INT_L_X6Y106 TIEOFF_X6Y106 TILESITE INT_L_X6Y107 TIEOFF_X6Y107 TILESITE INT_L_X6Y108 TIEOFF_X6Y108 TILESITE INT_L_X6Y109 TIEOFF_X6Y109 TILESITE INT_L_X6Y110 TIEOFF_X6Y110 TILESITE INT_L_X6Y111 TIEOFF_X6Y111 TILESITE INT_L_X6Y112 TIEOFF_X6Y112 TILESITE INT_L_X6Y113 TIEOFF_X6Y113 TILESITE INT_L_X6Y114 TIEOFF_X6Y114 TILESITE INT_L_X6Y115 TIEOFF_X6Y115 TILESITE INT_L_X6Y116 TIEOFF_X6Y116 TILESITE INT_L_X6Y117 TIEOFF_X6Y117 TILESITE INT_L_X6Y118 TIEOFF_X6Y118 TILESITE INT_L_X6Y119 TIEOFF_X6Y119 TILESITE INT_L_X6Y120 TIEOFF_X6Y120 TILESITE INT_L_X6Y121 TIEOFF_X6Y121 TILESITE INT_L_X6Y122 TIEOFF_X6Y122 TILESITE INT_L_X6Y123 TIEOFF_X6Y123 TILESITE INT_L_X6Y124 TIEOFF_X6Y124 TILESITE INT_L_X6Y125 TIEOFF_X6Y125 TILESITE INT_L_X6Y126 TIEOFF_X6Y126 TILESITE INT_L_X6Y127 TIEOFF_X6Y127 TILESITE INT_L_X6Y128 TIEOFF_X6Y128 TILESITE INT_L_X6Y129 TIEOFF_X6Y129 TILESITE INT_L_X6Y130 TIEOFF_X6Y130 TILESITE INT_L_X6Y131 TIEOFF_X6Y131 TILESITE INT_L_X6Y132 TIEOFF_X6Y132 TILESITE INT_L_X6Y133 TIEOFF_X6Y133 TILESITE INT_L_X6Y134 TIEOFF_X6Y134 TILESITE INT_L_X6Y135 TIEOFF_X6Y135 TILESITE INT_L_X6Y136 TIEOFF_X6Y136 TILESITE INT_L_X6Y137 TIEOFF_X6Y137 TILESITE INT_L_X6Y138 TIEOFF_X6Y138 TILESITE INT_L_X6Y139 TIEOFF_X6Y139 TILESITE INT_L_X6Y140 TIEOFF_X6Y140 TILESITE INT_L_X6Y141 TIEOFF_X6Y141 TILESITE INT_L_X6Y142 TIEOFF_X6Y142 TILESITE INT_L_X6Y143 TIEOFF_X6Y143 TILESITE INT_L_X6Y144 TIEOFF_X6Y144 TILESITE INT_L_X6Y145 TIEOFF_X6Y145 TILESITE INT_L_X6Y146 TIEOFF_X6Y146 TILESITE INT_L_X6Y147 TIEOFF_X6Y147 TILESITE INT_L_X6Y148 TIEOFF_X6Y148 TILESITE INT_L_X6Y149 TIEOFF_X6Y149 TILESITE INT_L_X8Y0 TIEOFF_X8Y0 TILESITE INT_L_X8Y1 TIEOFF_X8Y1 TILESITE INT_L_X8Y2 TIEOFF_X8Y2 TILESITE INT_L_X8Y3 TIEOFF_X8Y3 TILESITE INT_L_X8Y4 TIEOFF_X8Y4 TILESITE INT_L_X8Y5 TIEOFF_X8Y5 TILESITE INT_L_X8Y6 TIEOFF_X8Y6 TILESITE INT_L_X8Y7 TIEOFF_X8Y7 TILESITE INT_L_X8Y8 TIEOFF_X8Y8 TILESITE INT_L_X8Y9 TIEOFF_X8Y9 TILESITE INT_L_X8Y10 TIEOFF_X8Y10 TILESITE INT_L_X8Y11 TIEOFF_X8Y11 TILESITE INT_L_X8Y12 TIEOFF_X8Y12 TILESITE INT_L_X8Y13 TIEOFF_X8Y13 TILESITE INT_L_X8Y14 TIEOFF_X8Y14 TILESITE INT_L_X8Y15 TIEOFF_X8Y15 TILESITE INT_L_X8Y16 TIEOFF_X8Y16 TILESITE INT_L_X8Y17 TIEOFF_X8Y17 TILESITE INT_L_X8Y18 TIEOFF_X8Y18 TILESITE INT_L_X8Y19 TIEOFF_X8Y19 TILESITE INT_L_X8Y20 TIEOFF_X8Y20 TILESITE INT_L_X8Y21 TIEOFF_X8Y21 TILESITE INT_L_X8Y22 TIEOFF_X8Y22 TILESITE INT_L_X8Y23 TIEOFF_X8Y23 TILESITE INT_L_X8Y24 TIEOFF_X8Y24 TILESITE INT_L_X8Y25 TIEOFF_X8Y25 TILESITE INT_L_X8Y26 TIEOFF_X8Y26 TILESITE INT_L_X8Y27 TIEOFF_X8Y27 TILESITE INT_L_X8Y28 TIEOFF_X8Y28 TILESITE INT_L_X8Y29 TIEOFF_X8Y29 TILESITE INT_L_X8Y30 TIEOFF_X8Y30 TILESITE INT_L_X8Y31 TIEOFF_X8Y31 TILESITE INT_L_X8Y32 TIEOFF_X8Y32 TILESITE INT_L_X8Y33 TIEOFF_X8Y33 TILESITE INT_L_X8Y34 TIEOFF_X8Y34 TILESITE INT_L_X8Y35 TIEOFF_X8Y35 TILESITE INT_L_X8Y36 TIEOFF_X8Y36 TILESITE INT_L_X8Y37 TIEOFF_X8Y37 TILESITE INT_L_X8Y38 TIEOFF_X8Y38 TILESITE INT_L_X8Y39 TIEOFF_X8Y39 TILESITE INT_L_X8Y40 TIEOFF_X8Y40 TILESITE INT_L_X8Y41 TIEOFF_X8Y41 TILESITE INT_L_X8Y42 TIEOFF_X8Y42 TILESITE INT_L_X8Y43 TIEOFF_X8Y43 TILESITE INT_L_X8Y44 TIEOFF_X8Y44 TILESITE INT_L_X8Y45 TIEOFF_X8Y45 TILESITE INT_L_X8Y46 TIEOFF_X8Y46 TILESITE INT_L_X8Y47 TIEOFF_X8Y47 TILESITE INT_L_X8Y48 TIEOFF_X8Y48 TILESITE INT_L_X8Y49 TIEOFF_X8Y49 TILESITE INT_L_X8Y50 TIEOFF_X8Y50 TILESITE INT_L_X8Y51 TIEOFF_X8Y51 TILESITE INT_L_X8Y52 TIEOFF_X8Y52 TILESITE INT_L_X8Y53 TIEOFF_X8Y53 TILESITE INT_L_X8Y54 TIEOFF_X8Y54 TILESITE INT_L_X8Y55 TIEOFF_X8Y55 TILESITE INT_L_X8Y56 TIEOFF_X8Y56 TILESITE INT_L_X8Y57 TIEOFF_X8Y57 TILESITE INT_L_X8Y58 TIEOFF_X8Y58 TILESITE INT_L_X8Y59 TIEOFF_X8Y59 TILESITE INT_L_X8Y60 TIEOFF_X8Y60 TILESITE INT_L_X8Y61 TIEOFF_X8Y61 TILESITE INT_L_X8Y62 TIEOFF_X8Y62 TILESITE INT_L_X8Y63 TIEOFF_X8Y63 TILESITE INT_L_X8Y64 TIEOFF_X8Y64 TILESITE INT_L_X8Y65 TIEOFF_X8Y65 TILESITE INT_L_X8Y66 TIEOFF_X8Y66 TILESITE INT_L_X8Y67 TIEOFF_X8Y67 TILESITE INT_L_X8Y68 TIEOFF_X8Y68 TILESITE INT_L_X8Y69 TIEOFF_X8Y69 TILESITE INT_L_X8Y70 TIEOFF_X8Y70 TILESITE INT_L_X8Y71 TIEOFF_X8Y71 TILESITE INT_L_X8Y72 TIEOFF_X8Y72 TILESITE INT_L_X8Y73 TIEOFF_X8Y73 TILESITE INT_L_X8Y74 TIEOFF_X8Y74 TILESITE INT_L_X8Y75 TIEOFF_X8Y75 TILESITE INT_L_X8Y76 TIEOFF_X8Y76 TILESITE INT_L_X8Y77 TIEOFF_X8Y77 TILESITE INT_L_X8Y78 TIEOFF_X8Y78 TILESITE INT_L_X8Y79 TIEOFF_X8Y79 TILESITE INT_L_X8Y80 TIEOFF_X8Y80 TILESITE INT_L_X8Y81 TIEOFF_X8Y81 TILESITE INT_L_X8Y82 TIEOFF_X8Y82 TILESITE INT_L_X8Y83 TIEOFF_X8Y83 TILESITE INT_L_X8Y84 TIEOFF_X8Y84 TILESITE INT_L_X8Y85 TIEOFF_X8Y85 TILESITE INT_L_X8Y86 TIEOFF_X8Y86 TILESITE INT_L_X8Y87 TIEOFF_X8Y87 TILESITE INT_L_X8Y88 TIEOFF_X8Y88 TILESITE INT_L_X8Y89 TIEOFF_X8Y89 TILESITE INT_L_X8Y90 TIEOFF_X8Y90 TILESITE INT_L_X8Y91 TIEOFF_X8Y91 TILESITE INT_L_X8Y92 TIEOFF_X8Y92 TILESITE INT_L_X8Y93 TIEOFF_X8Y93 TILESITE INT_L_X8Y94 TIEOFF_X8Y94 TILESITE INT_L_X8Y95 TIEOFF_X8Y95 TILESITE INT_L_X8Y96 TIEOFF_X8Y96 TILESITE INT_L_X8Y97 TIEOFF_X8Y97 TILESITE INT_L_X8Y98 TIEOFF_X8Y98 TILESITE INT_L_X8Y99 TIEOFF_X8Y99 TILESITE INT_L_X8Y100 TIEOFF_X8Y100 TILESITE INT_L_X8Y101 TIEOFF_X8Y101 TILESITE INT_L_X8Y102 TIEOFF_X8Y102 TILESITE INT_L_X8Y103 TIEOFF_X8Y103 TILESITE INT_L_X8Y104 TIEOFF_X8Y104 TILESITE INT_L_X8Y105 TIEOFF_X8Y105 TILESITE INT_L_X8Y106 TIEOFF_X8Y106 TILESITE INT_L_X8Y107 TIEOFF_X8Y107 TILESITE INT_L_X8Y108 TIEOFF_X8Y108 TILESITE INT_L_X8Y109 TIEOFF_X8Y109 TILESITE INT_L_X8Y110 TIEOFF_X8Y110 TILESITE INT_L_X8Y111 TIEOFF_X8Y111 TILESITE INT_L_X8Y112 TIEOFF_X8Y112 TILESITE INT_L_X8Y113 TIEOFF_X8Y113 TILESITE INT_L_X8Y114 TIEOFF_X8Y114 TILESITE INT_L_X8Y115 TIEOFF_X8Y115 TILESITE INT_L_X8Y116 TIEOFF_X8Y116 TILESITE INT_L_X8Y117 TIEOFF_X8Y117 TILESITE INT_L_X8Y118 TIEOFF_X8Y118 TILESITE INT_L_X8Y119 TIEOFF_X8Y119 TILESITE INT_L_X8Y120 TIEOFF_X8Y120 TILESITE INT_L_X8Y121 TIEOFF_X8Y121 TILESITE INT_L_X8Y122 TIEOFF_X8Y122 TILESITE INT_L_X8Y123 TIEOFF_X8Y123 TILESITE INT_L_X8Y124 TIEOFF_X8Y124 TILESITE INT_L_X8Y125 TIEOFF_X8Y125 TILESITE INT_L_X8Y126 TIEOFF_X8Y126 TILESITE INT_L_X8Y127 TIEOFF_X8Y127 TILESITE INT_L_X8Y128 TIEOFF_X8Y128 TILESITE INT_L_X8Y129 TIEOFF_X8Y129 TILESITE INT_L_X8Y130 TIEOFF_X8Y130 TILESITE INT_L_X8Y131 TIEOFF_X8Y131 TILESITE INT_L_X8Y132 TIEOFF_X8Y132 TILESITE INT_L_X8Y133 TIEOFF_X8Y133 TILESITE INT_L_X8Y134 TIEOFF_X8Y134 TILESITE INT_L_X8Y135 TIEOFF_X8Y135 TILESITE INT_L_X8Y136 TIEOFF_X8Y136 TILESITE INT_L_X8Y137 TIEOFF_X8Y137 TILESITE INT_L_X8Y138 TIEOFF_X8Y138 TILESITE INT_L_X8Y139 TIEOFF_X8Y139 TILESITE INT_L_X8Y140 TIEOFF_X8Y140 TILESITE INT_L_X8Y141 TIEOFF_X8Y141 TILESITE INT_L_X8Y142 TIEOFF_X8Y142 TILESITE INT_L_X8Y143 TIEOFF_X8Y143 TILESITE INT_L_X8Y144 TIEOFF_X8Y144 TILESITE INT_L_X8Y145 TIEOFF_X8Y145 TILESITE INT_L_X8Y146 TIEOFF_X8Y146 TILESITE INT_L_X8Y147 TIEOFF_X8Y147 TILESITE INT_L_X8Y148 TIEOFF_X8Y148 TILESITE INT_L_X8Y149 TIEOFF_X8Y149 TILESITE INT_R_X11Y0 TIEOFF_X12Y0 TILESITE INT_R_X11Y1 TIEOFF_X12Y1 TILESITE INT_R_X11Y2 TIEOFF_X12Y2 TILESITE INT_R_X11Y3 TIEOFF_X12Y3 TILESITE INT_R_X11Y4 TIEOFF_X12Y4 TILESITE INT_R_X11Y5 TIEOFF_X12Y5 TILESITE INT_R_X11Y6 TIEOFF_X12Y6 TILESITE INT_R_X11Y7 TIEOFF_X12Y7 TILESITE INT_R_X11Y8 TIEOFF_X12Y8 TILESITE INT_R_X11Y9 TIEOFF_X12Y9 TILESITE INT_R_X11Y10 TIEOFF_X12Y10 TILESITE INT_R_X11Y11 TIEOFF_X12Y11 TILESITE INT_R_X11Y12 TIEOFF_X12Y12 TILESITE INT_R_X11Y13 TIEOFF_X12Y13 TILESITE INT_R_X11Y14 TIEOFF_X12Y14 TILESITE INT_R_X11Y15 TIEOFF_X12Y15 TILESITE INT_R_X11Y16 TIEOFF_X12Y16 TILESITE INT_R_X11Y17 TIEOFF_X12Y17 TILESITE INT_R_X11Y18 TIEOFF_X12Y18 TILESITE INT_R_X11Y19 TIEOFF_X12Y19 TILESITE INT_R_X11Y20 TIEOFF_X12Y20 TILESITE INT_R_X11Y21 TIEOFF_X12Y21 TILESITE INT_R_X11Y22 TIEOFF_X12Y22 TILESITE INT_R_X11Y23 TIEOFF_X12Y23 TILESITE INT_R_X11Y24 TIEOFF_X12Y24 TILESITE INT_R_X11Y25 TIEOFF_X12Y25 TILESITE INT_R_X11Y26 TIEOFF_X12Y26 TILESITE INT_R_X11Y27 TIEOFF_X12Y27 TILESITE INT_R_X11Y28 TIEOFF_X12Y28 TILESITE INT_R_X11Y29 TIEOFF_X12Y29 TILESITE INT_R_X11Y30 TIEOFF_X12Y30 TILESITE INT_R_X11Y31 TIEOFF_X12Y31 TILESITE INT_R_X11Y32 TIEOFF_X12Y32 TILESITE INT_R_X11Y33 TIEOFF_X12Y33 TILESITE INT_R_X11Y34 TIEOFF_X12Y34 TILESITE INT_R_X11Y35 TIEOFF_X12Y35 TILESITE INT_R_X11Y36 TIEOFF_X12Y36 TILESITE INT_R_X11Y37 TIEOFF_X12Y37 TILESITE INT_R_X11Y38 TIEOFF_X12Y38 TILESITE INT_R_X11Y39 TIEOFF_X12Y39 TILESITE INT_R_X11Y40 TIEOFF_X12Y40 TILESITE INT_R_X11Y41 TIEOFF_X12Y41 TILESITE INT_R_X11Y42 TIEOFF_X12Y42 TILESITE INT_R_X11Y43 TIEOFF_X12Y43 TILESITE INT_R_X11Y44 TIEOFF_X12Y44 TILESITE INT_R_X11Y45 TIEOFF_X12Y45 TILESITE INT_R_X11Y46 TIEOFF_X12Y46 TILESITE INT_R_X11Y47 TIEOFF_X12Y47 TILESITE INT_R_X11Y48 TIEOFF_X12Y48 TILESITE INT_R_X11Y49 TIEOFF_X12Y49 TILESITE INT_R_X11Y50 TIEOFF_X12Y50 TILESITE INT_R_X11Y51 TIEOFF_X12Y51 TILESITE INT_R_X11Y52 TIEOFF_X12Y52 TILESITE INT_R_X11Y53 TIEOFF_X12Y53 TILESITE INT_R_X11Y54 TIEOFF_X12Y54 TILESITE INT_R_X11Y55 TIEOFF_X12Y55 TILESITE INT_R_X11Y56 TIEOFF_X12Y56 TILESITE INT_R_X11Y57 TIEOFF_X12Y57 TILESITE INT_R_X11Y58 TIEOFF_X12Y58 TILESITE INT_R_X11Y59 TIEOFF_X12Y59 TILESITE INT_R_X11Y60 TIEOFF_X12Y60 TILESITE INT_R_X11Y61 TIEOFF_X12Y61 TILESITE INT_R_X11Y62 TIEOFF_X12Y62 TILESITE INT_R_X11Y63 TIEOFF_X12Y63 TILESITE INT_R_X11Y64 TIEOFF_X12Y64 TILESITE INT_R_X11Y65 TIEOFF_X12Y65 TILESITE INT_R_X11Y66 TIEOFF_X12Y66 TILESITE INT_R_X11Y67 TIEOFF_X12Y67 TILESITE INT_R_X11Y68 TIEOFF_X12Y68 TILESITE INT_R_X11Y69 TIEOFF_X12Y69 TILESITE INT_R_X11Y70 TIEOFF_X12Y70 TILESITE INT_R_X11Y71 TIEOFF_X12Y71 TILESITE INT_R_X11Y72 TIEOFF_X12Y72 TILESITE INT_R_X11Y73 TIEOFF_X12Y73 TILESITE INT_R_X11Y74 TIEOFF_X12Y74 TILESITE INT_R_X11Y75 TIEOFF_X12Y75 TILESITE INT_R_X11Y76 TIEOFF_X12Y76 TILESITE INT_R_X11Y77 TIEOFF_X12Y77 TILESITE INT_R_X11Y78 TIEOFF_X12Y78 TILESITE INT_R_X11Y79 TIEOFF_X12Y79 TILESITE INT_R_X11Y80 TIEOFF_X12Y80 TILESITE INT_R_X11Y81 TIEOFF_X12Y81 TILESITE INT_R_X11Y82 TIEOFF_X12Y82 TILESITE INT_R_X11Y83 TIEOFF_X12Y83 TILESITE INT_R_X11Y84 TIEOFF_X12Y84 TILESITE INT_R_X11Y85 TIEOFF_X12Y85 TILESITE INT_R_X11Y86 TIEOFF_X12Y86 TILESITE INT_R_X11Y87 TIEOFF_X12Y87 TILESITE INT_R_X11Y88 TIEOFF_X12Y88 TILESITE INT_R_X11Y89 TIEOFF_X12Y89 TILESITE INT_R_X11Y90 TIEOFF_X12Y90 TILESITE INT_R_X11Y91 TIEOFF_X12Y91 TILESITE INT_R_X11Y92 TIEOFF_X12Y92 TILESITE INT_R_X11Y93 TIEOFF_X12Y93 TILESITE INT_R_X11Y94 TIEOFF_X12Y94 TILESITE INT_R_X11Y95 TIEOFF_X12Y95 TILESITE INT_R_X11Y96 TIEOFF_X12Y96 TILESITE INT_R_X11Y97 TIEOFF_X12Y97 TILESITE INT_R_X11Y98 TIEOFF_X12Y98 TILESITE INT_R_X11Y99 TIEOFF_X12Y99 TILESITE INT_R_X11Y100 TIEOFF_X12Y100 TILESITE INT_R_X11Y101 TIEOFF_X12Y101 TILESITE INT_R_X11Y102 TIEOFF_X12Y102 TILESITE INT_R_X11Y103 TIEOFF_X12Y103 TILESITE INT_R_X11Y104 TIEOFF_X12Y104 TILESITE INT_R_X11Y105 TIEOFF_X12Y105 TILESITE INT_R_X11Y106 TIEOFF_X12Y106 TILESITE INT_R_X11Y107 TIEOFF_X12Y107 TILESITE INT_R_X11Y108 TIEOFF_X12Y108 TILESITE INT_R_X11Y109 TIEOFF_X12Y109 TILESITE INT_R_X11Y110 TIEOFF_X12Y110 TILESITE INT_R_X11Y111 TIEOFF_X12Y111 TILESITE INT_R_X11Y112 TIEOFF_X12Y112 TILESITE INT_R_X11Y113 TIEOFF_X12Y113 TILESITE INT_R_X11Y114 TIEOFF_X12Y114 TILESITE INT_R_X11Y115 TIEOFF_X12Y115 TILESITE INT_R_X11Y116 TIEOFF_X12Y116 TILESITE INT_R_X11Y117 TIEOFF_X12Y117 TILESITE INT_R_X11Y118 TIEOFF_X12Y118 TILESITE INT_R_X11Y119 TIEOFF_X12Y119 TILESITE INT_R_X11Y120 TIEOFF_X12Y120 TILESITE INT_R_X11Y121 TIEOFF_X12Y121 TILESITE INT_R_X11Y122 TIEOFF_X12Y122 TILESITE INT_R_X11Y123 TIEOFF_X12Y123 TILESITE INT_R_X11Y124 TIEOFF_X12Y124 TILESITE INT_R_X11Y125 TIEOFF_X12Y125 TILESITE INT_R_X11Y126 TIEOFF_X12Y126 TILESITE INT_R_X11Y127 TIEOFF_X12Y127 TILESITE INT_R_X11Y128 TIEOFF_X12Y128 TILESITE INT_R_X11Y129 TIEOFF_X12Y129 TILESITE INT_R_X11Y130 TIEOFF_X12Y130 TILESITE INT_R_X11Y131 TIEOFF_X12Y131 TILESITE INT_R_X11Y132 TIEOFF_X12Y132 TILESITE INT_R_X11Y133 TIEOFF_X12Y133 TILESITE INT_R_X11Y134 TIEOFF_X12Y134 TILESITE INT_R_X11Y135 TIEOFF_X12Y135 TILESITE INT_R_X11Y136 TIEOFF_X12Y136 TILESITE INT_R_X11Y137 TIEOFF_X12Y137 TILESITE INT_R_X11Y138 TIEOFF_X12Y138 TILESITE INT_R_X11Y139 TIEOFF_X12Y139 TILESITE INT_R_X11Y140 TIEOFF_X12Y140 TILESITE INT_R_X11Y141 TIEOFF_X12Y141 TILESITE INT_R_X11Y142 TIEOFF_X12Y142 TILESITE INT_R_X11Y143 TIEOFF_X12Y143 TILESITE INT_R_X11Y144 TIEOFF_X12Y144 TILESITE INT_R_X11Y145 TIEOFF_X12Y145 TILESITE INT_R_X11Y146 TIEOFF_X12Y146 TILESITE INT_R_X11Y147 TIEOFF_X12Y147 TILESITE INT_R_X11Y148 TIEOFF_X12Y148 TILESITE INT_R_X11Y149 TIEOFF_X12Y149 TILESITE INT_R_X13Y100 TIEOFF_X14Y100 TILESITE INT_R_X13Y101 TIEOFF_X14Y101 TILESITE INT_R_X13Y102 TIEOFF_X14Y102 TILESITE INT_R_X13Y103 TIEOFF_X14Y103 TILESITE INT_R_X13Y104 TIEOFF_X14Y104 TILESITE INT_R_X13Y105 TIEOFF_X14Y105 TILESITE INT_R_X13Y106 TIEOFF_X14Y106 TILESITE INT_R_X13Y107 TIEOFF_X14Y107 TILESITE INT_R_X13Y108 TIEOFF_X14Y108 TILESITE INT_R_X13Y109 TIEOFF_X14Y109 TILESITE INT_R_X13Y110 TIEOFF_X14Y110 TILESITE INT_R_X13Y111 TIEOFF_X14Y111 TILESITE INT_R_X13Y112 TIEOFF_X14Y112 TILESITE INT_R_X13Y113 TIEOFF_X14Y113 TILESITE INT_R_X13Y114 TIEOFF_X14Y114 TILESITE INT_R_X13Y115 TIEOFF_X14Y115 TILESITE INT_R_X13Y116 TIEOFF_X14Y116 TILESITE INT_R_X13Y117 TIEOFF_X14Y117 TILESITE INT_R_X13Y118 TIEOFF_X14Y118 TILESITE INT_R_X13Y119 TIEOFF_X14Y119 TILESITE INT_R_X13Y120 TIEOFF_X14Y120 TILESITE INT_R_X13Y121 TIEOFF_X14Y121 TILESITE INT_R_X13Y122 TIEOFF_X14Y122 TILESITE INT_R_X13Y123 TIEOFF_X14Y123 TILESITE INT_R_X13Y124 TIEOFF_X14Y124 TILESITE INT_R_X13Y125 TIEOFF_X14Y125 TILESITE INT_R_X13Y126 TIEOFF_X14Y126 TILESITE INT_R_X13Y127 TIEOFF_X14Y127 TILESITE INT_R_X13Y128 TIEOFF_X14Y128 TILESITE INT_R_X13Y129 TIEOFF_X14Y129 TILESITE INT_R_X13Y130 TIEOFF_X14Y130 TILESITE INT_R_X13Y131 TIEOFF_X14Y131 TILESITE INT_R_X13Y132 TIEOFF_X14Y132 TILESITE INT_R_X13Y133 TIEOFF_X14Y133 TILESITE INT_R_X13Y134 TIEOFF_X14Y134 TILESITE INT_R_X13Y135 TIEOFF_X14Y135 TILESITE INT_R_X13Y136 TIEOFF_X14Y136 TILESITE INT_R_X13Y137 TIEOFF_X14Y137 TILESITE INT_R_X13Y138 TIEOFF_X14Y138 TILESITE INT_R_X13Y139 TIEOFF_X14Y139 TILESITE INT_R_X13Y140 TIEOFF_X14Y140 TILESITE INT_R_X13Y141 TIEOFF_X14Y141 TILESITE INT_R_X13Y142 TIEOFF_X14Y142 TILESITE INT_R_X13Y143 TIEOFF_X14Y143 TILESITE INT_R_X13Y144 TIEOFF_X14Y144 TILESITE INT_R_X13Y145 TIEOFF_X14Y145 TILESITE INT_R_X13Y146 TIEOFF_X14Y146 TILESITE INT_R_X13Y147 TIEOFF_X14Y147 TILESITE INT_R_X13Y148 TIEOFF_X14Y148 TILESITE INT_R_X13Y149 TIEOFF_X14Y149 TILESITE INT_R_X15Y100 TIEOFF_X16Y100 TILESITE INT_R_X15Y101 TIEOFF_X16Y101 TILESITE INT_R_X15Y102 TIEOFF_X16Y102 TILESITE INT_R_X15Y103 TIEOFF_X16Y103 TILESITE INT_R_X15Y104 TIEOFF_X16Y104 TILESITE INT_R_X15Y105 TIEOFF_X16Y105 TILESITE INT_R_X15Y106 TIEOFF_X16Y106 TILESITE INT_R_X15Y107 TIEOFF_X16Y107 TILESITE INT_R_X15Y108 TIEOFF_X16Y108 TILESITE INT_R_X15Y109 TIEOFF_X16Y109 TILESITE INT_R_X15Y110 TIEOFF_X16Y110 TILESITE INT_R_X15Y111 TIEOFF_X16Y111 TILESITE INT_R_X15Y112 TIEOFF_X16Y112 TILESITE INT_R_X15Y113 TIEOFF_X16Y113 TILESITE INT_R_X15Y114 TIEOFF_X16Y114 TILESITE INT_R_X15Y115 TIEOFF_X16Y115 TILESITE INT_R_X15Y116 TIEOFF_X16Y116 TILESITE INT_R_X15Y117 TIEOFF_X16Y117 TILESITE INT_R_X15Y118 TIEOFF_X16Y118 TILESITE INT_R_X15Y119 TIEOFF_X16Y119 TILESITE INT_R_X15Y120 TIEOFF_X16Y120 TILESITE INT_R_X15Y121 TIEOFF_X16Y121 TILESITE INT_R_X15Y122 TIEOFF_X16Y122 TILESITE INT_R_X15Y123 TIEOFF_X16Y123 TILESITE INT_R_X15Y124 TIEOFF_X16Y124 TILESITE INT_R_X15Y125 TIEOFF_X16Y125 TILESITE INT_R_X15Y126 TIEOFF_X16Y126 TILESITE INT_R_X15Y127 TIEOFF_X16Y127 TILESITE INT_R_X15Y128 TIEOFF_X16Y128 TILESITE INT_R_X15Y129 TIEOFF_X16Y129 TILESITE INT_R_X15Y130 TIEOFF_X16Y130 TILESITE INT_R_X15Y131 TIEOFF_X16Y131 TILESITE INT_R_X15Y132 TIEOFF_X16Y132 TILESITE INT_R_X15Y133 TIEOFF_X16Y133 TILESITE INT_R_X15Y134 TIEOFF_X16Y134 TILESITE INT_R_X15Y135 TIEOFF_X16Y135 TILESITE INT_R_X15Y136 TIEOFF_X16Y136 TILESITE INT_R_X15Y137 TIEOFF_X16Y137 TILESITE INT_R_X15Y138 TIEOFF_X16Y138 TILESITE INT_R_X15Y139 TIEOFF_X16Y139 TILESITE INT_R_X15Y140 TIEOFF_X16Y140 TILESITE INT_R_X15Y141 TIEOFF_X16Y141 TILESITE INT_R_X15Y142 TIEOFF_X16Y142 TILESITE INT_R_X15Y143 TIEOFF_X16Y143 TILESITE INT_R_X15Y144 TIEOFF_X16Y144 TILESITE INT_R_X15Y145 TIEOFF_X16Y145 TILESITE INT_R_X15Y146 TIEOFF_X16Y146 TILESITE INT_R_X15Y147 TIEOFF_X16Y147 TILESITE INT_R_X15Y148 TIEOFF_X16Y148 TILESITE INT_R_X15Y149 TIEOFF_X16Y149 TILESITE INT_R_X17Y100 TIEOFF_X18Y100 TILESITE INT_R_X17Y101 TIEOFF_X18Y101 TILESITE INT_R_X17Y102 TIEOFF_X18Y102 TILESITE INT_R_X17Y103 TIEOFF_X18Y103 TILESITE INT_R_X17Y104 TIEOFF_X18Y104 TILESITE INT_R_X17Y105 TIEOFF_X18Y105 TILESITE INT_R_X17Y106 TIEOFF_X18Y106 TILESITE INT_R_X17Y107 TIEOFF_X18Y107 TILESITE INT_R_X17Y108 TIEOFF_X18Y108 TILESITE INT_R_X17Y109 TIEOFF_X18Y109 TILESITE INT_R_X17Y110 TIEOFF_X18Y110 TILESITE INT_R_X17Y111 TIEOFF_X18Y111 TILESITE INT_R_X17Y112 TIEOFF_X18Y112 TILESITE INT_R_X17Y113 TIEOFF_X18Y113 TILESITE INT_R_X17Y114 TIEOFF_X18Y114 TILESITE INT_R_X17Y115 TIEOFF_X18Y115 TILESITE INT_R_X17Y116 TIEOFF_X18Y116 TILESITE INT_R_X17Y117 TIEOFF_X18Y117 TILESITE INT_R_X17Y118 TIEOFF_X18Y118 TILESITE INT_R_X17Y119 TIEOFF_X18Y119 TILESITE INT_R_X17Y120 TIEOFF_X18Y120 TILESITE INT_R_X17Y121 TIEOFF_X18Y121 TILESITE INT_R_X17Y122 TIEOFF_X18Y122 TILESITE INT_R_X17Y123 TIEOFF_X18Y123 TILESITE INT_R_X17Y124 TIEOFF_X18Y124 TILESITE INT_R_X17Y125 TIEOFF_X18Y125 TILESITE INT_R_X17Y126 TIEOFF_X18Y126 TILESITE INT_R_X17Y127 TIEOFF_X18Y127 TILESITE INT_R_X17Y128 TIEOFF_X18Y128 TILESITE INT_R_X17Y129 TIEOFF_X18Y129 TILESITE INT_R_X17Y130 TIEOFF_X18Y130 TILESITE INT_R_X17Y131 TIEOFF_X18Y131 TILESITE INT_R_X17Y132 TIEOFF_X18Y132 TILESITE INT_R_X17Y133 TIEOFF_X18Y133 TILESITE INT_R_X17Y134 TIEOFF_X18Y134 TILESITE INT_R_X17Y135 TIEOFF_X18Y135 TILESITE INT_R_X17Y136 TIEOFF_X18Y136 TILESITE INT_R_X17Y137 TIEOFF_X18Y137 TILESITE INT_R_X17Y138 TIEOFF_X18Y138 TILESITE INT_R_X17Y139 TIEOFF_X18Y139 TILESITE INT_R_X17Y140 TIEOFF_X18Y140 TILESITE INT_R_X17Y141 TIEOFF_X18Y141 TILESITE INT_R_X17Y142 TIEOFF_X18Y142 TILESITE INT_R_X17Y143 TIEOFF_X18Y143 TILESITE INT_R_X17Y144 TIEOFF_X18Y144 TILESITE INT_R_X17Y145 TIEOFF_X18Y145 TILESITE INT_R_X17Y146 TIEOFF_X18Y146 TILESITE INT_R_X17Y147 TIEOFF_X18Y147 TILESITE INT_R_X17Y148 TIEOFF_X18Y148 TILESITE INT_R_X17Y149 TIEOFF_X18Y149 TILESITE INT_R_X19Y0 TIEOFF_X20Y0 TILESITE INT_R_X19Y1 TIEOFF_X20Y1 TILESITE INT_R_X19Y2 TIEOFF_X20Y2 TILESITE INT_R_X19Y3 TIEOFF_X20Y3 TILESITE INT_R_X19Y4 TIEOFF_X20Y4 TILESITE INT_R_X19Y5 TIEOFF_X20Y5 TILESITE INT_R_X19Y6 TIEOFF_X20Y6 TILESITE INT_R_X19Y7 TIEOFF_X20Y7 TILESITE INT_R_X19Y8 TIEOFF_X20Y8 TILESITE INT_R_X19Y9 TIEOFF_X20Y9 TILESITE INT_R_X19Y10 TIEOFF_X20Y10 TILESITE INT_R_X19Y11 TIEOFF_X20Y11 TILESITE INT_R_X19Y12 TIEOFF_X20Y12 TILESITE INT_R_X19Y13 TIEOFF_X20Y13 TILESITE INT_R_X19Y14 TIEOFF_X20Y14 TILESITE INT_R_X19Y15 TIEOFF_X20Y15 TILESITE INT_R_X19Y16 TIEOFF_X20Y16 TILESITE INT_R_X19Y17 TIEOFF_X20Y17 TILESITE INT_R_X19Y18 TIEOFF_X20Y18 TILESITE INT_R_X19Y19 TIEOFF_X20Y19 TILESITE INT_R_X19Y20 TIEOFF_X20Y20 TILESITE INT_R_X19Y21 TIEOFF_X20Y21 TILESITE INT_R_X19Y22 TIEOFF_X20Y22 TILESITE INT_R_X19Y23 TIEOFF_X20Y23 TILESITE INT_R_X19Y24 TIEOFF_X20Y24 TILESITE INT_R_X19Y25 TIEOFF_X20Y25 TILESITE INT_R_X19Y26 TIEOFF_X20Y26 TILESITE INT_R_X19Y27 TIEOFF_X20Y27 TILESITE INT_R_X19Y28 TIEOFF_X20Y28 TILESITE INT_R_X19Y29 TIEOFF_X20Y29 TILESITE INT_R_X19Y30 TIEOFF_X20Y30 TILESITE INT_R_X19Y31 TIEOFF_X20Y31 TILESITE INT_R_X19Y32 TIEOFF_X20Y32 TILESITE INT_R_X19Y33 TIEOFF_X20Y33 TILESITE INT_R_X19Y34 TIEOFF_X20Y34 TILESITE INT_R_X19Y35 TIEOFF_X20Y35 TILESITE INT_R_X19Y36 TIEOFF_X20Y36 TILESITE INT_R_X19Y37 TIEOFF_X20Y37 TILESITE INT_R_X19Y38 TIEOFF_X20Y38 TILESITE INT_R_X19Y39 TIEOFF_X20Y39 TILESITE INT_R_X19Y40 TIEOFF_X20Y40 TILESITE INT_R_X19Y41 TIEOFF_X20Y41 TILESITE INT_R_X19Y42 TIEOFF_X20Y42 TILESITE INT_R_X19Y43 TIEOFF_X20Y43 TILESITE INT_R_X19Y44 TIEOFF_X20Y44 TILESITE INT_R_X19Y45 TIEOFF_X20Y45 TILESITE INT_R_X19Y46 TIEOFF_X20Y46 TILESITE INT_R_X19Y47 TIEOFF_X20Y47 TILESITE INT_R_X19Y48 TIEOFF_X20Y48 TILESITE INT_R_X19Y49 TIEOFF_X20Y49 TILESITE INT_R_X19Y50 TIEOFF_X20Y50 TILESITE INT_R_X19Y51 TIEOFF_X20Y51 TILESITE INT_R_X19Y52 TIEOFF_X20Y52 TILESITE INT_R_X19Y53 TIEOFF_X20Y53 TILESITE INT_R_X19Y54 TIEOFF_X20Y54 TILESITE INT_R_X19Y55 TIEOFF_X20Y55 TILESITE INT_R_X19Y56 TIEOFF_X20Y56 TILESITE INT_R_X19Y57 TIEOFF_X20Y57 TILESITE INT_R_X19Y58 TIEOFF_X20Y58 TILESITE INT_R_X19Y59 TIEOFF_X20Y59 TILESITE INT_R_X19Y60 TIEOFF_X20Y60 TILESITE INT_R_X19Y61 TIEOFF_X20Y61 TILESITE INT_R_X19Y62 TIEOFF_X20Y62 TILESITE INT_R_X19Y63 TIEOFF_X20Y63 TILESITE INT_R_X19Y64 TIEOFF_X20Y64 TILESITE INT_R_X19Y65 TIEOFF_X20Y65 TILESITE INT_R_X19Y66 TIEOFF_X20Y66 TILESITE INT_R_X19Y67 TIEOFF_X20Y67 TILESITE INT_R_X19Y68 TIEOFF_X20Y68 TILESITE INT_R_X19Y69 TIEOFF_X20Y69 TILESITE INT_R_X19Y70 TIEOFF_X20Y70 TILESITE INT_R_X19Y71 TIEOFF_X20Y71 TILESITE INT_R_X19Y72 TIEOFF_X20Y72 TILESITE INT_R_X19Y73 TIEOFF_X20Y73 TILESITE INT_R_X19Y74 TIEOFF_X20Y74 TILESITE INT_R_X19Y75 TIEOFF_X20Y75 TILESITE INT_R_X19Y76 TIEOFF_X20Y76 TILESITE INT_R_X19Y77 TIEOFF_X20Y77 TILESITE INT_R_X19Y78 TIEOFF_X20Y78 TILESITE INT_R_X19Y79 TIEOFF_X20Y79 TILESITE INT_R_X19Y80 TIEOFF_X20Y80 TILESITE INT_R_X19Y81 TIEOFF_X20Y81 TILESITE INT_R_X19Y82 TIEOFF_X20Y82 TILESITE INT_R_X19Y83 TIEOFF_X20Y83 TILESITE INT_R_X19Y84 TIEOFF_X20Y84 TILESITE INT_R_X19Y85 TIEOFF_X20Y85 TILESITE INT_R_X19Y86 TIEOFF_X20Y86 TILESITE INT_R_X19Y87 TIEOFF_X20Y87 TILESITE INT_R_X19Y88 TIEOFF_X20Y88 TILESITE INT_R_X19Y89 TIEOFF_X20Y89 TILESITE INT_R_X19Y90 TIEOFF_X20Y90 TILESITE INT_R_X19Y91 TIEOFF_X20Y91 TILESITE INT_R_X19Y92 TIEOFF_X20Y92 TILESITE INT_R_X19Y93 TIEOFF_X20Y93 TILESITE INT_R_X19Y94 TIEOFF_X20Y94 TILESITE INT_R_X19Y95 TIEOFF_X20Y95 TILESITE INT_R_X19Y96 TIEOFF_X20Y96 TILESITE INT_R_X19Y97 TIEOFF_X20Y97 TILESITE INT_R_X19Y98 TIEOFF_X20Y98 TILESITE INT_R_X19Y99 TIEOFF_X20Y99 TILESITE INT_R_X19Y100 TIEOFF_X20Y100 TILESITE INT_R_X19Y101 TIEOFF_X20Y101 TILESITE INT_R_X19Y102 TIEOFF_X20Y102 TILESITE INT_R_X19Y103 TIEOFF_X20Y103 TILESITE INT_R_X19Y104 TIEOFF_X20Y104 TILESITE INT_R_X19Y105 TIEOFF_X20Y105 TILESITE INT_R_X19Y106 TIEOFF_X20Y106 TILESITE INT_R_X19Y107 TIEOFF_X20Y107 TILESITE INT_R_X19Y108 TIEOFF_X20Y108 TILESITE INT_R_X19Y109 TIEOFF_X20Y109 TILESITE INT_R_X19Y110 TIEOFF_X20Y110 TILESITE INT_R_X19Y111 TIEOFF_X20Y111 TILESITE INT_R_X19Y112 TIEOFF_X20Y112 TILESITE INT_R_X19Y113 TIEOFF_X20Y113 TILESITE INT_R_X19Y114 TIEOFF_X20Y114 TILESITE INT_R_X19Y115 TIEOFF_X20Y115 TILESITE INT_R_X19Y116 TIEOFF_X20Y116 TILESITE INT_R_X19Y117 TIEOFF_X20Y117 TILESITE INT_R_X19Y118 TIEOFF_X20Y118 TILESITE INT_R_X19Y119 TIEOFF_X20Y119 TILESITE INT_R_X19Y120 TIEOFF_X20Y120 TILESITE INT_R_X19Y121 TIEOFF_X20Y121 TILESITE INT_R_X19Y122 TIEOFF_X20Y122 TILESITE INT_R_X19Y123 TIEOFF_X20Y123 TILESITE INT_R_X19Y124 TIEOFF_X20Y124 TILESITE INT_R_X19Y125 TIEOFF_X20Y125 TILESITE INT_R_X19Y126 TIEOFF_X20Y126 TILESITE INT_R_X19Y127 TIEOFF_X20Y127 TILESITE INT_R_X19Y128 TIEOFF_X20Y128 TILESITE INT_R_X19Y129 TIEOFF_X20Y129 TILESITE INT_R_X19Y130 TIEOFF_X20Y130 TILESITE INT_R_X19Y131 TIEOFF_X20Y131 TILESITE INT_R_X19Y132 TIEOFF_X20Y132 TILESITE INT_R_X19Y133 TIEOFF_X20Y133 TILESITE INT_R_X19Y134 TIEOFF_X20Y134 TILESITE INT_R_X19Y135 TIEOFF_X20Y135 TILESITE INT_R_X19Y136 TIEOFF_X20Y136 TILESITE INT_R_X19Y137 TIEOFF_X20Y137 TILESITE INT_R_X19Y138 TIEOFF_X20Y138 TILESITE INT_R_X19Y139 TIEOFF_X20Y139 TILESITE INT_R_X19Y140 TIEOFF_X20Y140 TILESITE INT_R_X19Y141 TIEOFF_X20Y141 TILESITE INT_R_X19Y142 TIEOFF_X20Y142 TILESITE INT_R_X19Y143 TIEOFF_X20Y143 TILESITE INT_R_X19Y144 TIEOFF_X20Y144 TILESITE INT_R_X19Y145 TIEOFF_X20Y145 TILESITE INT_R_X19Y146 TIEOFF_X20Y146 TILESITE INT_R_X19Y147 TIEOFF_X20Y147 TILESITE INT_R_X19Y148 TIEOFF_X20Y148 TILESITE INT_R_X19Y149 TIEOFF_X20Y149 TILESITE INT_R_X1Y0 TIEOFF_X1Y0 TILESITE INT_R_X1Y1 TIEOFF_X1Y1 TILESITE INT_R_X1Y2 TIEOFF_X1Y2 TILESITE INT_R_X1Y3 TIEOFF_X1Y3 TILESITE INT_R_X1Y4 TIEOFF_X1Y4 TILESITE INT_R_X1Y5 TIEOFF_X1Y5 TILESITE INT_R_X1Y6 TIEOFF_X1Y6 TILESITE INT_R_X1Y7 TIEOFF_X1Y7 TILESITE INT_R_X1Y8 TIEOFF_X1Y8 TILESITE INT_R_X1Y9 TIEOFF_X1Y9 TILESITE INT_R_X1Y10 TIEOFF_X1Y10 TILESITE INT_R_X1Y11 TIEOFF_X1Y11 TILESITE INT_R_X1Y12 TIEOFF_X1Y12 TILESITE INT_R_X1Y13 TIEOFF_X1Y13 TILESITE INT_R_X1Y14 TIEOFF_X1Y14 TILESITE INT_R_X1Y15 TIEOFF_X1Y15 TILESITE INT_R_X1Y16 TIEOFF_X1Y16 TILESITE INT_R_X1Y17 TIEOFF_X1Y17 TILESITE INT_R_X1Y18 TIEOFF_X1Y18 TILESITE INT_R_X1Y19 TIEOFF_X1Y19 TILESITE INT_R_X1Y20 TIEOFF_X1Y20 TILESITE INT_R_X1Y21 TIEOFF_X1Y21 TILESITE INT_R_X1Y22 TIEOFF_X1Y22 TILESITE INT_R_X1Y23 TIEOFF_X1Y23 TILESITE INT_R_X1Y24 TIEOFF_X1Y24 TILESITE INT_R_X1Y25 TIEOFF_X1Y25 TILESITE INT_R_X1Y26 TIEOFF_X1Y26 TILESITE INT_R_X1Y27 TIEOFF_X1Y27 TILESITE INT_R_X1Y28 TIEOFF_X1Y28 TILESITE INT_R_X1Y29 TIEOFF_X1Y29 TILESITE INT_R_X1Y30 TIEOFF_X1Y30 TILESITE INT_R_X1Y31 TIEOFF_X1Y31 TILESITE INT_R_X1Y32 TIEOFF_X1Y32 TILESITE INT_R_X1Y33 TIEOFF_X1Y33 TILESITE INT_R_X1Y34 TIEOFF_X1Y34 TILESITE INT_R_X1Y35 TIEOFF_X1Y35 TILESITE INT_R_X1Y36 TIEOFF_X1Y36 TILESITE INT_R_X1Y37 TIEOFF_X1Y37 TILESITE INT_R_X1Y38 TIEOFF_X1Y38 TILESITE INT_R_X1Y39 TIEOFF_X1Y39 TILESITE INT_R_X1Y40 TIEOFF_X1Y40 TILESITE INT_R_X1Y41 TIEOFF_X1Y41 TILESITE INT_R_X1Y42 TIEOFF_X1Y42 TILESITE INT_R_X1Y43 TIEOFF_X1Y43 TILESITE INT_R_X1Y44 TIEOFF_X1Y44 TILESITE INT_R_X1Y45 TIEOFF_X1Y45 TILESITE INT_R_X1Y46 TIEOFF_X1Y46 TILESITE INT_R_X1Y47 TIEOFF_X1Y47 TILESITE INT_R_X1Y48 TIEOFF_X1Y48 TILESITE INT_R_X1Y49 TIEOFF_X1Y49 TILESITE INT_R_X1Y50 TIEOFF_X1Y50 TILESITE INT_R_X1Y51 TIEOFF_X1Y51 TILESITE INT_R_X1Y52 TIEOFF_X1Y52 TILESITE INT_R_X1Y53 TIEOFF_X1Y53 TILESITE INT_R_X1Y54 TIEOFF_X1Y54 TILESITE INT_R_X1Y55 TIEOFF_X1Y55 TILESITE INT_R_X1Y56 TIEOFF_X1Y56 TILESITE INT_R_X1Y57 TIEOFF_X1Y57 TILESITE INT_R_X1Y58 TIEOFF_X1Y58 TILESITE INT_R_X1Y59 TIEOFF_X1Y59 TILESITE INT_R_X1Y60 TIEOFF_X1Y60 TILESITE INT_R_X1Y61 TIEOFF_X1Y61 TILESITE INT_R_X1Y62 TIEOFF_X1Y62 TILESITE INT_R_X1Y63 TIEOFF_X1Y63 TILESITE INT_R_X1Y64 TIEOFF_X1Y64 TILESITE INT_R_X1Y65 TIEOFF_X1Y65 TILESITE INT_R_X1Y66 TIEOFF_X1Y66 TILESITE INT_R_X1Y67 TIEOFF_X1Y67 TILESITE INT_R_X1Y68 TIEOFF_X1Y68 TILESITE INT_R_X1Y69 TIEOFF_X1Y69 TILESITE INT_R_X1Y70 TIEOFF_X1Y70 TILESITE INT_R_X1Y71 TIEOFF_X1Y71 TILESITE INT_R_X1Y72 TIEOFF_X1Y72 TILESITE INT_R_X1Y73 TIEOFF_X1Y73 TILESITE INT_R_X1Y74 TIEOFF_X1Y74 TILESITE INT_R_X1Y75 TIEOFF_X1Y75 TILESITE INT_R_X1Y76 TIEOFF_X1Y76 TILESITE INT_R_X1Y77 TIEOFF_X1Y77 TILESITE INT_R_X1Y78 TIEOFF_X1Y78 TILESITE INT_R_X1Y79 TIEOFF_X1Y79 TILESITE INT_R_X1Y80 TIEOFF_X1Y80 TILESITE INT_R_X1Y81 TIEOFF_X1Y81 TILESITE INT_R_X1Y82 TIEOFF_X1Y82 TILESITE INT_R_X1Y83 TIEOFF_X1Y83 TILESITE INT_R_X1Y84 TIEOFF_X1Y84 TILESITE INT_R_X1Y85 TIEOFF_X1Y85 TILESITE INT_R_X1Y86 TIEOFF_X1Y86 TILESITE INT_R_X1Y87 TIEOFF_X1Y87 TILESITE INT_R_X1Y88 TIEOFF_X1Y88 TILESITE INT_R_X1Y89 TIEOFF_X1Y89 TILESITE INT_R_X1Y90 TIEOFF_X1Y90 TILESITE INT_R_X1Y91 TIEOFF_X1Y91 TILESITE INT_R_X1Y92 TIEOFF_X1Y92 TILESITE INT_R_X1Y93 TIEOFF_X1Y93 TILESITE INT_R_X1Y94 TIEOFF_X1Y94 TILESITE INT_R_X1Y95 TIEOFF_X1Y95 TILESITE INT_R_X1Y96 TIEOFF_X1Y96 TILESITE INT_R_X1Y97 TIEOFF_X1Y97 TILESITE INT_R_X1Y98 TIEOFF_X1Y98 TILESITE INT_R_X1Y99 TIEOFF_X1Y99 TILESITE INT_R_X1Y100 TIEOFF_X1Y100 TILESITE INT_R_X1Y101 TIEOFF_X1Y101 TILESITE INT_R_X1Y102 TIEOFF_X1Y102 TILESITE INT_R_X1Y103 TIEOFF_X1Y103 TILESITE INT_R_X1Y104 TIEOFF_X1Y104 TILESITE INT_R_X1Y105 TIEOFF_X1Y105 TILESITE INT_R_X1Y106 TIEOFF_X1Y106 TILESITE INT_R_X1Y107 TIEOFF_X1Y107 TILESITE INT_R_X1Y108 TIEOFF_X1Y108 TILESITE INT_R_X1Y109 TIEOFF_X1Y109 TILESITE INT_R_X1Y110 TIEOFF_X1Y110 TILESITE INT_R_X1Y111 TIEOFF_X1Y111 TILESITE INT_R_X1Y112 TIEOFF_X1Y112 TILESITE INT_R_X1Y113 TIEOFF_X1Y113 TILESITE INT_R_X1Y114 TIEOFF_X1Y114 TILESITE INT_R_X1Y115 TIEOFF_X1Y115 TILESITE INT_R_X1Y116 TIEOFF_X1Y116 TILESITE INT_R_X1Y117 TIEOFF_X1Y117 TILESITE INT_R_X1Y118 TIEOFF_X1Y118 TILESITE INT_R_X1Y119 TIEOFF_X1Y119 TILESITE INT_R_X1Y120 TIEOFF_X1Y120 TILESITE INT_R_X1Y121 TIEOFF_X1Y121 TILESITE INT_R_X1Y122 TIEOFF_X1Y122 TILESITE INT_R_X1Y123 TIEOFF_X1Y123 TILESITE INT_R_X1Y124 TIEOFF_X1Y124 TILESITE INT_R_X1Y125 TIEOFF_X1Y125 TILESITE INT_R_X1Y126 TIEOFF_X1Y126 TILESITE INT_R_X1Y127 TIEOFF_X1Y127 TILESITE INT_R_X1Y128 TIEOFF_X1Y128 TILESITE INT_R_X1Y129 TIEOFF_X1Y129 TILESITE INT_R_X1Y130 TIEOFF_X1Y130 TILESITE INT_R_X1Y131 TIEOFF_X1Y131 TILESITE INT_R_X1Y132 TIEOFF_X1Y132 TILESITE INT_R_X1Y133 TIEOFF_X1Y133 TILESITE INT_R_X1Y134 TIEOFF_X1Y134 TILESITE INT_R_X1Y135 TIEOFF_X1Y135 TILESITE INT_R_X1Y136 TIEOFF_X1Y136 TILESITE INT_R_X1Y137 TIEOFF_X1Y137 TILESITE INT_R_X1Y138 TIEOFF_X1Y138 TILESITE INT_R_X1Y139 TIEOFF_X1Y139 TILESITE INT_R_X1Y140 TIEOFF_X1Y140 TILESITE INT_R_X1Y141 TIEOFF_X1Y141 TILESITE INT_R_X1Y142 TIEOFF_X1Y142 TILESITE INT_R_X1Y143 TIEOFF_X1Y143 TILESITE INT_R_X1Y144 TIEOFF_X1Y144 TILESITE INT_R_X1Y145 TIEOFF_X1Y145 TILESITE INT_R_X1Y146 TIEOFF_X1Y146 TILESITE INT_R_X1Y147 TIEOFF_X1Y147 TILESITE INT_R_X1Y148 TIEOFF_X1Y148 TILESITE INT_R_X1Y149 TIEOFF_X1Y149 TILESITE INT_R_X21Y0 TIEOFF_X22Y0 TILESITE INT_R_X21Y1 TIEOFF_X22Y1 TILESITE INT_R_X21Y2 TIEOFF_X22Y2 TILESITE INT_R_X21Y3 TIEOFF_X22Y3 TILESITE INT_R_X21Y4 TIEOFF_X22Y4 TILESITE INT_R_X21Y5 TIEOFF_X22Y5 TILESITE INT_R_X21Y6 TIEOFF_X22Y6 TILESITE INT_R_X21Y7 TIEOFF_X22Y7 TILESITE INT_R_X21Y8 TIEOFF_X22Y8 TILESITE INT_R_X21Y9 TIEOFF_X22Y9 TILESITE INT_R_X21Y10 TIEOFF_X22Y10 TILESITE INT_R_X21Y11 TIEOFF_X22Y11 TILESITE INT_R_X21Y12 TIEOFF_X22Y12 TILESITE INT_R_X21Y13 TIEOFF_X22Y13 TILESITE INT_R_X21Y14 TIEOFF_X22Y14 TILESITE INT_R_X21Y15 TIEOFF_X22Y15 TILESITE INT_R_X21Y16 TIEOFF_X22Y16 TILESITE INT_R_X21Y17 TIEOFF_X22Y17 TILESITE INT_R_X21Y18 TIEOFF_X22Y18 TILESITE INT_R_X21Y19 TIEOFF_X22Y19 TILESITE INT_R_X21Y20 TIEOFF_X22Y20 TILESITE INT_R_X21Y21 TIEOFF_X22Y21 TILESITE INT_R_X21Y22 TIEOFF_X22Y22 TILESITE INT_R_X21Y23 TIEOFF_X22Y23 TILESITE INT_R_X21Y24 TIEOFF_X22Y24 TILESITE INT_R_X21Y25 TIEOFF_X22Y25 TILESITE INT_R_X21Y26 TIEOFF_X22Y26 TILESITE INT_R_X21Y27 TIEOFF_X22Y27 TILESITE INT_R_X21Y28 TIEOFF_X22Y28 TILESITE INT_R_X21Y29 TIEOFF_X22Y29 TILESITE INT_R_X21Y30 TIEOFF_X22Y30 TILESITE INT_R_X21Y31 TIEOFF_X22Y31 TILESITE INT_R_X21Y32 TIEOFF_X22Y32 TILESITE INT_R_X21Y33 TIEOFF_X22Y33 TILESITE INT_R_X21Y34 TIEOFF_X22Y34 TILESITE INT_R_X21Y35 TIEOFF_X22Y35 TILESITE INT_R_X21Y36 TIEOFF_X22Y36 TILESITE INT_R_X21Y37 TIEOFF_X22Y37 TILESITE INT_R_X21Y38 TIEOFF_X22Y38 TILESITE INT_R_X21Y39 TIEOFF_X22Y39 TILESITE INT_R_X21Y40 TIEOFF_X22Y40 TILESITE INT_R_X21Y41 TIEOFF_X22Y41 TILESITE INT_R_X21Y42 TIEOFF_X22Y42 TILESITE INT_R_X21Y43 TIEOFF_X22Y43 TILESITE INT_R_X21Y44 TIEOFF_X22Y44 TILESITE INT_R_X21Y45 TIEOFF_X22Y45 TILESITE INT_R_X21Y46 TIEOFF_X22Y46 TILESITE INT_R_X21Y47 TIEOFF_X22Y47 TILESITE INT_R_X21Y48 TIEOFF_X22Y48 TILESITE INT_R_X21Y49 TIEOFF_X22Y49 TILESITE INT_R_X21Y50 TIEOFF_X22Y50 TILESITE INT_R_X21Y51 TIEOFF_X22Y51 TILESITE INT_R_X21Y52 TIEOFF_X22Y52 TILESITE INT_R_X21Y53 TIEOFF_X22Y53 TILESITE INT_R_X21Y54 TIEOFF_X22Y54 TILESITE INT_R_X21Y55 TIEOFF_X22Y55 TILESITE INT_R_X21Y56 TIEOFF_X22Y56 TILESITE INT_R_X21Y57 TIEOFF_X22Y57 TILESITE INT_R_X21Y58 TIEOFF_X22Y58 TILESITE INT_R_X21Y59 TIEOFF_X22Y59 TILESITE INT_R_X21Y60 TIEOFF_X22Y60 TILESITE INT_R_X21Y61 TIEOFF_X22Y61 TILESITE INT_R_X21Y62 TIEOFF_X22Y62 TILESITE INT_R_X21Y63 TIEOFF_X22Y63 TILESITE INT_R_X21Y64 TIEOFF_X22Y64 TILESITE INT_R_X21Y65 TIEOFF_X22Y65 TILESITE INT_R_X21Y66 TIEOFF_X22Y66 TILESITE INT_R_X21Y67 TIEOFF_X22Y67 TILESITE INT_R_X21Y68 TIEOFF_X22Y68 TILESITE INT_R_X21Y69 TIEOFF_X22Y69 TILESITE INT_R_X21Y70 TIEOFF_X22Y70 TILESITE INT_R_X21Y71 TIEOFF_X22Y71 TILESITE INT_R_X21Y72 TIEOFF_X22Y72 TILESITE INT_R_X21Y73 TIEOFF_X22Y73 TILESITE INT_R_X21Y74 TIEOFF_X22Y74 TILESITE INT_R_X21Y75 TIEOFF_X22Y75 TILESITE INT_R_X21Y76 TIEOFF_X22Y76 TILESITE INT_R_X21Y77 TIEOFF_X22Y77 TILESITE INT_R_X21Y78 TIEOFF_X22Y78 TILESITE INT_R_X21Y79 TIEOFF_X22Y79 TILESITE INT_R_X21Y80 TIEOFF_X22Y80 TILESITE INT_R_X21Y81 TIEOFF_X22Y81 TILESITE INT_R_X21Y82 TIEOFF_X22Y82 TILESITE INT_R_X21Y83 TIEOFF_X22Y83 TILESITE INT_R_X21Y84 TIEOFF_X22Y84 TILESITE INT_R_X21Y85 TIEOFF_X22Y85 TILESITE INT_R_X21Y86 TIEOFF_X22Y86 TILESITE INT_R_X21Y87 TIEOFF_X22Y87 TILESITE INT_R_X21Y88 TIEOFF_X22Y88 TILESITE INT_R_X21Y89 TIEOFF_X22Y89 TILESITE INT_R_X21Y90 TIEOFF_X22Y90 TILESITE INT_R_X21Y91 TIEOFF_X22Y91 TILESITE INT_R_X21Y92 TIEOFF_X22Y92 TILESITE INT_R_X21Y93 TIEOFF_X22Y93 TILESITE INT_R_X21Y94 TIEOFF_X22Y94 TILESITE INT_R_X21Y95 TIEOFF_X22Y95 TILESITE INT_R_X21Y96 TIEOFF_X22Y96 TILESITE INT_R_X21Y97 TIEOFF_X22Y97 TILESITE INT_R_X21Y98 TIEOFF_X22Y98 TILESITE INT_R_X21Y99 TIEOFF_X22Y99 TILESITE INT_R_X21Y100 TIEOFF_X22Y100 TILESITE INT_R_X21Y101 TIEOFF_X22Y101 TILESITE INT_R_X21Y102 TIEOFF_X22Y102 TILESITE INT_R_X21Y103 TIEOFF_X22Y103 TILESITE INT_R_X21Y104 TIEOFF_X22Y104 TILESITE INT_R_X21Y105 TIEOFF_X22Y105 TILESITE INT_R_X21Y106 TIEOFF_X22Y106 TILESITE INT_R_X21Y107 TIEOFF_X22Y107 TILESITE INT_R_X21Y108 TIEOFF_X22Y108 TILESITE INT_R_X21Y109 TIEOFF_X22Y109 TILESITE INT_R_X21Y110 TIEOFF_X22Y110 TILESITE INT_R_X21Y111 TIEOFF_X22Y111 TILESITE INT_R_X21Y112 TIEOFF_X22Y112 TILESITE INT_R_X21Y113 TIEOFF_X22Y113 TILESITE INT_R_X21Y114 TIEOFF_X22Y114 TILESITE INT_R_X21Y115 TIEOFF_X22Y115 TILESITE INT_R_X21Y116 TIEOFF_X22Y116 TILESITE INT_R_X21Y117 TIEOFF_X22Y117 TILESITE INT_R_X21Y118 TIEOFF_X22Y118 TILESITE INT_R_X21Y119 TIEOFF_X22Y119 TILESITE INT_R_X21Y120 TIEOFF_X22Y120 TILESITE INT_R_X21Y121 TIEOFF_X22Y121 TILESITE INT_R_X21Y122 TIEOFF_X22Y122 TILESITE INT_R_X21Y123 TIEOFF_X22Y123 TILESITE INT_R_X21Y124 TIEOFF_X22Y124 TILESITE INT_R_X21Y125 TIEOFF_X22Y125 TILESITE INT_R_X21Y126 TIEOFF_X22Y126 TILESITE INT_R_X21Y127 TIEOFF_X22Y127 TILESITE INT_R_X21Y128 TIEOFF_X22Y128 TILESITE INT_R_X21Y129 TIEOFF_X22Y129 TILESITE INT_R_X21Y130 TIEOFF_X22Y130 TILESITE INT_R_X21Y131 TIEOFF_X22Y131 TILESITE INT_R_X21Y132 TIEOFF_X22Y132 TILESITE INT_R_X21Y133 TIEOFF_X22Y133 TILESITE INT_R_X21Y134 TIEOFF_X22Y134 TILESITE INT_R_X21Y135 TIEOFF_X22Y135 TILESITE INT_R_X21Y136 TIEOFF_X22Y136 TILESITE INT_R_X21Y137 TIEOFF_X22Y137 TILESITE INT_R_X21Y138 TIEOFF_X22Y138 TILESITE INT_R_X21Y139 TIEOFF_X22Y139 TILESITE INT_R_X21Y140 TIEOFF_X22Y140 TILESITE INT_R_X21Y141 TIEOFF_X22Y141 TILESITE INT_R_X21Y142 TIEOFF_X22Y142 TILESITE INT_R_X21Y143 TIEOFF_X22Y143 TILESITE INT_R_X21Y144 TIEOFF_X22Y144 TILESITE INT_R_X21Y145 TIEOFF_X22Y145 TILESITE INT_R_X21Y146 TIEOFF_X22Y146 TILESITE INT_R_X21Y147 TIEOFF_X22Y147 TILESITE INT_R_X21Y148 TIEOFF_X22Y148 TILESITE INT_R_X21Y149 TIEOFF_X22Y149 TILESITE INT_R_X23Y0 TIEOFF_X24Y0 TILESITE INT_R_X23Y1 TIEOFF_X24Y1 TILESITE INT_R_X23Y2 TIEOFF_X24Y2 TILESITE INT_R_X23Y3 TIEOFF_X24Y3 TILESITE INT_R_X23Y4 TIEOFF_X24Y4 TILESITE INT_R_X23Y5 TIEOFF_X24Y5 TILESITE INT_R_X23Y6 TIEOFF_X24Y6 TILESITE INT_R_X23Y7 TIEOFF_X24Y7 TILESITE INT_R_X23Y8 TIEOFF_X24Y8 TILESITE INT_R_X23Y9 TIEOFF_X24Y9 TILESITE INT_R_X23Y10 TIEOFF_X24Y10 TILESITE INT_R_X23Y11 TIEOFF_X24Y11 TILESITE INT_R_X23Y12 TIEOFF_X24Y12 TILESITE INT_R_X23Y13 TIEOFF_X24Y13 TILESITE INT_R_X23Y14 TIEOFF_X24Y14 TILESITE INT_R_X23Y15 TIEOFF_X24Y15 TILESITE INT_R_X23Y16 TIEOFF_X24Y16 TILESITE INT_R_X23Y17 TIEOFF_X24Y17 TILESITE INT_R_X23Y18 TIEOFF_X24Y18 TILESITE INT_R_X23Y19 TIEOFF_X24Y19 TILESITE INT_R_X23Y20 TIEOFF_X24Y20 TILESITE INT_R_X23Y21 TIEOFF_X24Y21 TILESITE INT_R_X23Y22 TIEOFF_X24Y22 TILESITE INT_R_X23Y23 TIEOFF_X24Y23 TILESITE INT_R_X23Y24 TIEOFF_X24Y24 TILESITE INT_R_X23Y25 TIEOFF_X24Y25 TILESITE INT_R_X23Y26 TIEOFF_X24Y26 TILESITE INT_R_X23Y27 TIEOFF_X24Y27 TILESITE INT_R_X23Y28 TIEOFF_X24Y28 TILESITE INT_R_X23Y29 TIEOFF_X24Y29 TILESITE INT_R_X23Y30 TIEOFF_X24Y30 TILESITE INT_R_X23Y31 TIEOFF_X24Y31 TILESITE INT_R_X23Y32 TIEOFF_X24Y32 TILESITE INT_R_X23Y33 TIEOFF_X24Y33 TILESITE INT_R_X23Y34 TIEOFF_X24Y34 TILESITE INT_R_X23Y35 TIEOFF_X24Y35 TILESITE INT_R_X23Y36 TIEOFF_X24Y36 TILESITE INT_R_X23Y37 TIEOFF_X24Y37 TILESITE INT_R_X23Y38 TIEOFF_X24Y38 TILESITE INT_R_X23Y39 TIEOFF_X24Y39 TILESITE INT_R_X23Y40 TIEOFF_X24Y40 TILESITE INT_R_X23Y41 TIEOFF_X24Y41 TILESITE INT_R_X23Y42 TIEOFF_X24Y42 TILESITE INT_R_X23Y43 TIEOFF_X24Y43 TILESITE INT_R_X23Y44 TIEOFF_X24Y44 TILESITE INT_R_X23Y45 TIEOFF_X24Y45 TILESITE INT_R_X23Y46 TIEOFF_X24Y46 TILESITE INT_R_X23Y47 TIEOFF_X24Y47 TILESITE INT_R_X23Y48 TIEOFF_X24Y48 TILESITE INT_R_X23Y49 TIEOFF_X24Y49 TILESITE INT_R_X23Y50 TIEOFF_X24Y50 TILESITE INT_R_X23Y51 TIEOFF_X24Y51 TILESITE INT_R_X23Y52 TIEOFF_X24Y52 TILESITE INT_R_X23Y53 TIEOFF_X24Y53 TILESITE INT_R_X23Y54 TIEOFF_X24Y54 TILESITE INT_R_X23Y55 TIEOFF_X24Y55 TILESITE INT_R_X23Y56 TIEOFF_X24Y56 TILESITE INT_R_X23Y57 TIEOFF_X24Y57 TILESITE INT_R_X23Y58 TIEOFF_X24Y58 TILESITE INT_R_X23Y59 TIEOFF_X24Y59 TILESITE INT_R_X23Y60 TIEOFF_X24Y60 TILESITE INT_R_X23Y61 TIEOFF_X24Y61 TILESITE INT_R_X23Y62 TIEOFF_X24Y62 TILESITE INT_R_X23Y63 TIEOFF_X24Y63 TILESITE INT_R_X23Y64 TIEOFF_X24Y64 TILESITE INT_R_X23Y65 TIEOFF_X24Y65 TILESITE INT_R_X23Y66 TIEOFF_X24Y66 TILESITE INT_R_X23Y67 TIEOFF_X24Y67 TILESITE INT_R_X23Y68 TIEOFF_X24Y68 TILESITE INT_R_X23Y69 TIEOFF_X24Y69 TILESITE INT_R_X23Y70 TIEOFF_X24Y70 TILESITE INT_R_X23Y71 TIEOFF_X24Y71 TILESITE INT_R_X23Y72 TIEOFF_X24Y72 TILESITE INT_R_X23Y73 TIEOFF_X24Y73 TILESITE INT_R_X23Y74 TIEOFF_X24Y74 TILESITE INT_R_X23Y75 TIEOFF_X24Y75 TILESITE INT_R_X23Y76 TIEOFF_X24Y76 TILESITE INT_R_X23Y77 TIEOFF_X24Y77 TILESITE INT_R_X23Y78 TIEOFF_X24Y78 TILESITE INT_R_X23Y79 TIEOFF_X24Y79 TILESITE INT_R_X23Y80 TIEOFF_X24Y80 TILESITE INT_R_X23Y81 TIEOFF_X24Y81 TILESITE INT_R_X23Y82 TIEOFF_X24Y82 TILESITE INT_R_X23Y83 TIEOFF_X24Y83 TILESITE INT_R_X23Y84 TIEOFF_X24Y84 TILESITE INT_R_X23Y85 TIEOFF_X24Y85 TILESITE INT_R_X23Y86 TIEOFF_X24Y86 TILESITE INT_R_X23Y87 TIEOFF_X24Y87 TILESITE INT_R_X23Y88 TIEOFF_X24Y88 TILESITE INT_R_X23Y89 TIEOFF_X24Y89 TILESITE INT_R_X23Y90 TIEOFF_X24Y90 TILESITE INT_R_X23Y91 TIEOFF_X24Y91 TILESITE INT_R_X23Y92 TIEOFF_X24Y92 TILESITE INT_R_X23Y93 TIEOFF_X24Y93 TILESITE INT_R_X23Y94 TIEOFF_X24Y94 TILESITE INT_R_X23Y95 TIEOFF_X24Y95 TILESITE INT_R_X23Y96 TIEOFF_X24Y96 TILESITE INT_R_X23Y97 TIEOFF_X24Y97 TILESITE INT_R_X23Y98 TIEOFF_X24Y98 TILESITE INT_R_X23Y99 TIEOFF_X24Y99 TILESITE INT_R_X23Y100 TIEOFF_X24Y100 TILESITE INT_R_X23Y101 TIEOFF_X24Y101 TILESITE INT_R_X23Y102 TIEOFF_X24Y102 TILESITE INT_R_X23Y103 TIEOFF_X24Y103 TILESITE INT_R_X23Y104 TIEOFF_X24Y104 TILESITE INT_R_X23Y105 TIEOFF_X24Y105 TILESITE INT_R_X23Y106 TIEOFF_X24Y106 TILESITE INT_R_X23Y107 TIEOFF_X24Y107 TILESITE INT_R_X23Y108 TIEOFF_X24Y108 TILESITE INT_R_X23Y109 TIEOFF_X24Y109 TILESITE INT_R_X23Y110 TIEOFF_X24Y110 TILESITE INT_R_X23Y111 TIEOFF_X24Y111 TILESITE INT_R_X23Y112 TIEOFF_X24Y112 TILESITE INT_R_X23Y113 TIEOFF_X24Y113 TILESITE INT_R_X23Y114 TIEOFF_X24Y114 TILESITE INT_R_X23Y115 TIEOFF_X24Y115 TILESITE INT_R_X23Y116 TIEOFF_X24Y116 TILESITE INT_R_X23Y117 TIEOFF_X24Y117 TILESITE INT_R_X23Y118 TIEOFF_X24Y118 TILESITE INT_R_X23Y119 TIEOFF_X24Y119 TILESITE INT_R_X23Y120 TIEOFF_X24Y120 TILESITE INT_R_X23Y121 TIEOFF_X24Y121 TILESITE INT_R_X23Y122 TIEOFF_X24Y122 TILESITE INT_R_X23Y123 TIEOFF_X24Y123 TILESITE INT_R_X23Y124 TIEOFF_X24Y124 TILESITE INT_R_X23Y125 TIEOFF_X24Y125 TILESITE INT_R_X23Y126 TIEOFF_X24Y126 TILESITE INT_R_X23Y127 TIEOFF_X24Y127 TILESITE INT_R_X23Y128 TIEOFF_X24Y128 TILESITE INT_R_X23Y129 TIEOFF_X24Y129 TILESITE INT_R_X23Y130 TIEOFF_X24Y130 TILESITE INT_R_X23Y131 TIEOFF_X24Y131 TILESITE INT_R_X23Y132 TIEOFF_X24Y132 TILESITE INT_R_X23Y133 TIEOFF_X24Y133 TILESITE INT_R_X23Y134 TIEOFF_X24Y134 TILESITE INT_R_X23Y135 TIEOFF_X24Y135 TILESITE INT_R_X23Y136 TIEOFF_X24Y136 TILESITE INT_R_X23Y137 TIEOFF_X24Y137 TILESITE INT_R_X23Y138 TIEOFF_X24Y138 TILESITE INT_R_X23Y139 TIEOFF_X24Y139 TILESITE INT_R_X23Y140 TIEOFF_X24Y140 TILESITE INT_R_X23Y141 TIEOFF_X24Y141 TILESITE INT_R_X23Y142 TIEOFF_X24Y142 TILESITE INT_R_X23Y143 TIEOFF_X24Y143 TILESITE INT_R_X23Y144 TIEOFF_X24Y144 TILESITE INT_R_X23Y145 TIEOFF_X24Y145 TILESITE INT_R_X23Y146 TIEOFF_X24Y146 TILESITE INT_R_X23Y147 TIEOFF_X24Y147 TILESITE INT_R_X23Y148 TIEOFF_X24Y148 TILESITE INT_R_X23Y149 TIEOFF_X24Y149 TILESITE INT_R_X25Y0 TIEOFF_X26Y0 TILESITE INT_R_X25Y1 TIEOFF_X26Y1 TILESITE INT_R_X25Y2 TIEOFF_X26Y2 TILESITE INT_R_X25Y3 TIEOFF_X26Y3 TILESITE INT_R_X25Y4 TIEOFF_X26Y4 TILESITE INT_R_X25Y5 TIEOFF_X26Y5 TILESITE INT_R_X25Y6 TIEOFF_X26Y6 TILESITE INT_R_X25Y7 TIEOFF_X26Y7 TILESITE INT_R_X25Y8 TIEOFF_X26Y8 TILESITE INT_R_X25Y9 TIEOFF_X26Y9 TILESITE INT_R_X25Y10 TIEOFF_X26Y10 TILESITE INT_R_X25Y11 TIEOFF_X26Y11 TILESITE INT_R_X25Y12 TIEOFF_X26Y12 TILESITE INT_R_X25Y13 TIEOFF_X26Y13 TILESITE INT_R_X25Y14 TIEOFF_X26Y14 TILESITE INT_R_X25Y15 TIEOFF_X26Y15 TILESITE INT_R_X25Y16 TIEOFF_X26Y16 TILESITE INT_R_X25Y17 TIEOFF_X26Y17 TILESITE INT_R_X25Y18 TIEOFF_X26Y18 TILESITE INT_R_X25Y19 TIEOFF_X26Y19 TILESITE INT_R_X25Y20 TIEOFF_X26Y20 TILESITE INT_R_X25Y21 TIEOFF_X26Y21 TILESITE INT_R_X25Y22 TIEOFF_X26Y22 TILESITE INT_R_X25Y23 TIEOFF_X26Y23 TILESITE INT_R_X25Y24 TIEOFF_X26Y24 TILESITE INT_R_X25Y25 TIEOFF_X26Y25 TILESITE INT_R_X25Y26 TIEOFF_X26Y26 TILESITE INT_R_X25Y27 TIEOFF_X26Y27 TILESITE INT_R_X25Y28 TIEOFF_X26Y28 TILESITE INT_R_X25Y29 TIEOFF_X26Y29 TILESITE INT_R_X25Y30 TIEOFF_X26Y30 TILESITE INT_R_X25Y31 TIEOFF_X26Y31 TILESITE INT_R_X25Y32 TIEOFF_X26Y32 TILESITE INT_R_X25Y33 TIEOFF_X26Y33 TILESITE INT_R_X25Y34 TIEOFF_X26Y34 TILESITE INT_R_X25Y35 TIEOFF_X26Y35 TILESITE INT_R_X25Y36 TIEOFF_X26Y36 TILESITE INT_R_X25Y37 TIEOFF_X26Y37 TILESITE INT_R_X25Y38 TIEOFF_X26Y38 TILESITE INT_R_X25Y39 TIEOFF_X26Y39 TILESITE INT_R_X25Y40 TIEOFF_X26Y40 TILESITE INT_R_X25Y41 TIEOFF_X26Y41 TILESITE INT_R_X25Y42 TIEOFF_X26Y42 TILESITE INT_R_X25Y43 TIEOFF_X26Y43 TILESITE INT_R_X25Y44 TIEOFF_X26Y44 TILESITE INT_R_X25Y45 TIEOFF_X26Y45 TILESITE INT_R_X25Y46 TIEOFF_X26Y46 TILESITE INT_R_X25Y47 TIEOFF_X26Y47 TILESITE INT_R_X25Y48 TIEOFF_X26Y48 TILESITE INT_R_X25Y49 TIEOFF_X26Y49 TILESITE INT_R_X25Y50 TIEOFF_X26Y50 TILESITE INT_R_X25Y51 TIEOFF_X26Y51 TILESITE INT_R_X25Y52 TIEOFF_X26Y52 TILESITE INT_R_X25Y53 TIEOFF_X26Y53 TILESITE INT_R_X25Y54 TIEOFF_X26Y54 TILESITE INT_R_X25Y55 TIEOFF_X26Y55 TILESITE INT_R_X25Y56 TIEOFF_X26Y56 TILESITE INT_R_X25Y57 TIEOFF_X26Y57 TILESITE INT_R_X25Y58 TIEOFF_X26Y58 TILESITE INT_R_X25Y59 TIEOFF_X26Y59 TILESITE INT_R_X25Y60 TIEOFF_X26Y60 TILESITE INT_R_X25Y61 TIEOFF_X26Y61 TILESITE INT_R_X25Y62 TIEOFF_X26Y62 TILESITE INT_R_X25Y63 TIEOFF_X26Y63 TILESITE INT_R_X25Y64 TIEOFF_X26Y64 TILESITE INT_R_X25Y65 TIEOFF_X26Y65 TILESITE INT_R_X25Y66 TIEOFF_X26Y66 TILESITE INT_R_X25Y67 TIEOFF_X26Y67 TILESITE INT_R_X25Y68 TIEOFF_X26Y68 TILESITE INT_R_X25Y69 TIEOFF_X26Y69 TILESITE INT_R_X25Y70 TIEOFF_X26Y70 TILESITE INT_R_X25Y71 TIEOFF_X26Y71 TILESITE INT_R_X25Y72 TIEOFF_X26Y72 TILESITE INT_R_X25Y73 TIEOFF_X26Y73 TILESITE INT_R_X25Y74 TIEOFF_X26Y74 TILESITE INT_R_X25Y75 TIEOFF_X26Y75 TILESITE INT_R_X25Y76 TIEOFF_X26Y76 TILESITE INT_R_X25Y77 TIEOFF_X26Y77 TILESITE INT_R_X25Y78 TIEOFF_X26Y78 TILESITE INT_R_X25Y79 TIEOFF_X26Y79 TILESITE INT_R_X25Y80 TIEOFF_X26Y80 TILESITE INT_R_X25Y81 TIEOFF_X26Y81 TILESITE INT_R_X25Y82 TIEOFF_X26Y82 TILESITE INT_R_X25Y83 TIEOFF_X26Y83 TILESITE INT_R_X25Y84 TIEOFF_X26Y84 TILESITE INT_R_X25Y85 TIEOFF_X26Y85 TILESITE INT_R_X25Y86 TIEOFF_X26Y86 TILESITE INT_R_X25Y87 TIEOFF_X26Y87 TILESITE INT_R_X25Y88 TIEOFF_X26Y88 TILESITE INT_R_X25Y89 TIEOFF_X26Y89 TILESITE INT_R_X25Y90 TIEOFF_X26Y90 TILESITE INT_R_X25Y91 TIEOFF_X26Y91 TILESITE INT_R_X25Y92 TIEOFF_X26Y92 TILESITE INT_R_X25Y93 TIEOFF_X26Y93 TILESITE INT_R_X25Y94 TIEOFF_X26Y94 TILESITE INT_R_X25Y95 TIEOFF_X26Y95 TILESITE INT_R_X25Y96 TIEOFF_X26Y96 TILESITE INT_R_X25Y97 TIEOFF_X26Y97 TILESITE INT_R_X25Y98 TIEOFF_X26Y98 TILESITE INT_R_X25Y99 TIEOFF_X26Y99 TILESITE INT_R_X25Y100 TIEOFF_X26Y100 TILESITE INT_R_X25Y101 TIEOFF_X26Y101 TILESITE INT_R_X25Y102 TIEOFF_X26Y102 TILESITE INT_R_X25Y103 TIEOFF_X26Y103 TILESITE INT_R_X25Y104 TIEOFF_X26Y104 TILESITE INT_R_X25Y105 TIEOFF_X26Y105 TILESITE INT_R_X25Y106 TIEOFF_X26Y106 TILESITE INT_R_X25Y107 TIEOFF_X26Y107 TILESITE INT_R_X25Y108 TIEOFF_X26Y108 TILESITE INT_R_X25Y109 TIEOFF_X26Y109 TILESITE INT_R_X25Y110 TIEOFF_X26Y110 TILESITE INT_R_X25Y111 TIEOFF_X26Y111 TILESITE INT_R_X25Y112 TIEOFF_X26Y112 TILESITE INT_R_X25Y113 TIEOFF_X26Y113 TILESITE INT_R_X25Y114 TIEOFF_X26Y114 TILESITE INT_R_X25Y115 TIEOFF_X26Y115 TILESITE INT_R_X25Y116 TIEOFF_X26Y116 TILESITE INT_R_X25Y117 TIEOFF_X26Y117 TILESITE INT_R_X25Y118 TIEOFF_X26Y118 TILESITE INT_R_X25Y119 TIEOFF_X26Y119 TILESITE INT_R_X25Y120 TIEOFF_X26Y120 TILESITE INT_R_X25Y121 TIEOFF_X26Y121 TILESITE INT_R_X25Y122 TIEOFF_X26Y122 TILESITE INT_R_X25Y123 TIEOFF_X26Y123 TILESITE INT_R_X25Y124 TIEOFF_X26Y124 TILESITE INT_R_X25Y125 TIEOFF_X26Y125 TILESITE INT_R_X25Y126 TIEOFF_X26Y126 TILESITE INT_R_X25Y127 TIEOFF_X26Y127 TILESITE INT_R_X25Y128 TIEOFF_X26Y128 TILESITE INT_R_X25Y129 TIEOFF_X26Y129 TILESITE INT_R_X25Y130 TIEOFF_X26Y130 TILESITE INT_R_X25Y131 TIEOFF_X26Y131 TILESITE INT_R_X25Y132 TIEOFF_X26Y132 TILESITE INT_R_X25Y133 TIEOFF_X26Y133 TILESITE INT_R_X25Y134 TIEOFF_X26Y134 TILESITE INT_R_X25Y135 TIEOFF_X26Y135 TILESITE INT_R_X25Y136 TIEOFF_X26Y136 TILESITE INT_R_X25Y137 TIEOFF_X26Y137 TILESITE INT_R_X25Y138 TIEOFF_X26Y138 TILESITE INT_R_X25Y139 TIEOFF_X26Y139 TILESITE INT_R_X25Y140 TIEOFF_X26Y140 TILESITE INT_R_X25Y141 TIEOFF_X26Y141 TILESITE INT_R_X25Y142 TIEOFF_X26Y142 TILESITE INT_R_X25Y143 TIEOFF_X26Y143 TILESITE INT_R_X25Y144 TIEOFF_X26Y144 TILESITE INT_R_X25Y145 TIEOFF_X26Y145 TILESITE INT_R_X25Y146 TIEOFF_X26Y146 TILESITE INT_R_X25Y147 TIEOFF_X26Y147 TILESITE INT_R_X25Y148 TIEOFF_X26Y148 TILESITE INT_R_X25Y149 TIEOFF_X26Y149 TILESITE INT_R_X27Y0 TIEOFF_X28Y0 TILESITE INT_R_X27Y1 TIEOFF_X28Y1 TILESITE INT_R_X27Y2 TIEOFF_X28Y2 TILESITE INT_R_X27Y3 TIEOFF_X28Y3 TILESITE INT_R_X27Y4 TIEOFF_X28Y4 TILESITE INT_R_X27Y5 TIEOFF_X28Y5 TILESITE INT_R_X27Y6 TIEOFF_X28Y6 TILESITE INT_R_X27Y7 TIEOFF_X28Y7 TILESITE INT_R_X27Y8 TIEOFF_X28Y8 TILESITE INT_R_X27Y9 TIEOFF_X28Y9 TILESITE INT_R_X27Y10 TIEOFF_X28Y10 TILESITE INT_R_X27Y11 TIEOFF_X28Y11 TILESITE INT_R_X27Y12 TIEOFF_X28Y12 TILESITE INT_R_X27Y13 TIEOFF_X28Y13 TILESITE INT_R_X27Y14 TIEOFF_X28Y14 TILESITE INT_R_X27Y15 TIEOFF_X28Y15 TILESITE INT_R_X27Y16 TIEOFF_X28Y16 TILESITE INT_R_X27Y17 TIEOFF_X28Y17 TILESITE INT_R_X27Y18 TIEOFF_X28Y18 TILESITE INT_R_X27Y19 TIEOFF_X28Y19 TILESITE INT_R_X27Y20 TIEOFF_X28Y20 TILESITE INT_R_X27Y21 TIEOFF_X28Y21 TILESITE INT_R_X27Y22 TIEOFF_X28Y22 TILESITE INT_R_X27Y23 TIEOFF_X28Y23 TILESITE INT_R_X27Y24 TIEOFF_X28Y24 TILESITE INT_R_X27Y25 TIEOFF_X28Y25 TILESITE INT_R_X27Y26 TIEOFF_X28Y26 TILESITE INT_R_X27Y27 TIEOFF_X28Y27 TILESITE INT_R_X27Y28 TIEOFF_X28Y28 TILESITE INT_R_X27Y29 TIEOFF_X28Y29 TILESITE INT_R_X27Y30 TIEOFF_X28Y30 TILESITE INT_R_X27Y31 TIEOFF_X28Y31 TILESITE INT_R_X27Y32 TIEOFF_X28Y32 TILESITE INT_R_X27Y33 TIEOFF_X28Y33 TILESITE INT_R_X27Y34 TIEOFF_X28Y34 TILESITE INT_R_X27Y35 TIEOFF_X28Y35 TILESITE INT_R_X27Y36 TIEOFF_X28Y36 TILESITE INT_R_X27Y37 TIEOFF_X28Y37 TILESITE INT_R_X27Y38 TIEOFF_X28Y38 TILESITE INT_R_X27Y39 TIEOFF_X28Y39 TILESITE INT_R_X27Y40 TIEOFF_X28Y40 TILESITE INT_R_X27Y41 TIEOFF_X28Y41 TILESITE INT_R_X27Y42 TIEOFF_X28Y42 TILESITE INT_R_X27Y43 TIEOFF_X28Y43 TILESITE INT_R_X27Y44 TIEOFF_X28Y44 TILESITE INT_R_X27Y45 TIEOFF_X28Y45 TILESITE INT_R_X27Y46 TIEOFF_X28Y46 TILESITE INT_R_X27Y47 TIEOFF_X28Y47 TILESITE INT_R_X27Y48 TIEOFF_X28Y48 TILESITE INT_R_X27Y49 TIEOFF_X28Y49 TILESITE INT_R_X27Y50 TIEOFF_X28Y50 TILESITE INT_R_X27Y51 TIEOFF_X28Y51 TILESITE INT_R_X27Y52 TIEOFF_X28Y52 TILESITE INT_R_X27Y53 TIEOFF_X28Y53 TILESITE INT_R_X27Y54 TIEOFF_X28Y54 TILESITE INT_R_X27Y55 TIEOFF_X28Y55 TILESITE INT_R_X27Y56 TIEOFF_X28Y56 TILESITE INT_R_X27Y57 TIEOFF_X28Y57 TILESITE INT_R_X27Y58 TIEOFF_X28Y58 TILESITE INT_R_X27Y59 TIEOFF_X28Y59 TILESITE INT_R_X27Y60 TIEOFF_X28Y60 TILESITE INT_R_X27Y61 TIEOFF_X28Y61 TILESITE INT_R_X27Y62 TIEOFF_X28Y62 TILESITE INT_R_X27Y63 TIEOFF_X28Y63 TILESITE INT_R_X27Y64 TIEOFF_X28Y64 TILESITE INT_R_X27Y65 TIEOFF_X28Y65 TILESITE INT_R_X27Y66 TIEOFF_X28Y66 TILESITE INT_R_X27Y67 TIEOFF_X28Y67 TILESITE INT_R_X27Y68 TIEOFF_X28Y68 TILESITE INT_R_X27Y69 TIEOFF_X28Y69 TILESITE INT_R_X27Y70 TIEOFF_X28Y70 TILESITE INT_R_X27Y71 TIEOFF_X28Y71 TILESITE INT_R_X27Y72 TIEOFF_X28Y72 TILESITE INT_R_X27Y73 TIEOFF_X28Y73 TILESITE INT_R_X27Y74 TIEOFF_X28Y74 TILESITE INT_R_X27Y75 TIEOFF_X28Y75 TILESITE INT_R_X27Y76 TIEOFF_X28Y76 TILESITE INT_R_X27Y77 TIEOFF_X28Y77 TILESITE INT_R_X27Y78 TIEOFF_X28Y78 TILESITE INT_R_X27Y79 TIEOFF_X28Y79 TILESITE INT_R_X27Y80 TIEOFF_X28Y80 TILESITE INT_R_X27Y81 TIEOFF_X28Y81 TILESITE INT_R_X27Y82 TIEOFF_X28Y82 TILESITE INT_R_X27Y83 TIEOFF_X28Y83 TILESITE INT_R_X27Y84 TIEOFF_X28Y84 TILESITE INT_R_X27Y85 TIEOFF_X28Y85 TILESITE INT_R_X27Y86 TIEOFF_X28Y86 TILESITE INT_R_X27Y87 TIEOFF_X28Y87 TILESITE INT_R_X27Y88 TIEOFF_X28Y88 TILESITE INT_R_X27Y89 TIEOFF_X28Y89 TILESITE INT_R_X27Y90 TIEOFF_X28Y90 TILESITE INT_R_X27Y91 TIEOFF_X28Y91 TILESITE INT_R_X27Y92 TIEOFF_X28Y92 TILESITE INT_R_X27Y93 TIEOFF_X28Y93 TILESITE INT_R_X27Y94 TIEOFF_X28Y94 TILESITE INT_R_X27Y95 TIEOFF_X28Y95 TILESITE INT_R_X27Y96 TIEOFF_X28Y96 TILESITE INT_R_X27Y97 TIEOFF_X28Y97 TILESITE INT_R_X27Y98 TIEOFF_X28Y98 TILESITE INT_R_X27Y99 TIEOFF_X28Y99 TILESITE INT_R_X27Y100 TIEOFF_X28Y100 TILESITE INT_R_X27Y101 TIEOFF_X28Y101 TILESITE INT_R_X27Y102 TIEOFF_X28Y102 TILESITE INT_R_X27Y103 TIEOFF_X28Y103 TILESITE INT_R_X27Y104 TIEOFF_X28Y104 TILESITE INT_R_X27Y105 TIEOFF_X28Y105 TILESITE INT_R_X27Y106 TIEOFF_X28Y106 TILESITE INT_R_X27Y107 TIEOFF_X28Y107 TILESITE INT_R_X27Y108 TIEOFF_X28Y108 TILESITE INT_R_X27Y109 TIEOFF_X28Y109 TILESITE INT_R_X27Y110 TIEOFF_X28Y110 TILESITE INT_R_X27Y111 TIEOFF_X28Y111 TILESITE INT_R_X27Y112 TIEOFF_X28Y112 TILESITE INT_R_X27Y113 TIEOFF_X28Y113 TILESITE INT_R_X27Y114 TIEOFF_X28Y114 TILESITE INT_R_X27Y115 TIEOFF_X28Y115 TILESITE INT_R_X27Y116 TIEOFF_X28Y116 TILESITE INT_R_X27Y117 TIEOFF_X28Y117 TILESITE INT_R_X27Y118 TIEOFF_X28Y118 TILESITE INT_R_X27Y119 TIEOFF_X28Y119 TILESITE INT_R_X27Y120 TIEOFF_X28Y120 TILESITE INT_R_X27Y121 TIEOFF_X28Y121 TILESITE INT_R_X27Y122 TIEOFF_X28Y122 TILESITE INT_R_X27Y123 TIEOFF_X28Y123 TILESITE INT_R_X27Y124 TIEOFF_X28Y124 TILESITE INT_R_X27Y125 TIEOFF_X28Y125 TILESITE INT_R_X27Y126 TIEOFF_X28Y126 TILESITE INT_R_X27Y127 TIEOFF_X28Y127 TILESITE INT_R_X27Y128 TIEOFF_X28Y128 TILESITE INT_R_X27Y129 TIEOFF_X28Y129 TILESITE INT_R_X27Y130 TIEOFF_X28Y130 TILESITE INT_R_X27Y131 TIEOFF_X28Y131 TILESITE INT_R_X27Y132 TIEOFF_X28Y132 TILESITE INT_R_X27Y133 TIEOFF_X28Y133 TILESITE INT_R_X27Y134 TIEOFF_X28Y134 TILESITE INT_R_X27Y135 TIEOFF_X28Y135 TILESITE INT_R_X27Y136 TIEOFF_X28Y136 TILESITE INT_R_X27Y137 TIEOFF_X28Y137 TILESITE INT_R_X27Y138 TIEOFF_X28Y138 TILESITE INT_R_X27Y139 TIEOFF_X28Y139 TILESITE INT_R_X27Y140 TIEOFF_X28Y140 TILESITE INT_R_X27Y141 TIEOFF_X28Y141 TILESITE INT_R_X27Y142 TIEOFF_X28Y142 TILESITE INT_R_X27Y143 TIEOFF_X28Y143 TILESITE INT_R_X27Y144 TIEOFF_X28Y144 TILESITE INT_R_X27Y145 TIEOFF_X28Y145 TILESITE INT_R_X27Y146 TIEOFF_X28Y146 TILESITE INT_R_X27Y147 TIEOFF_X28Y147 TILESITE INT_R_X27Y148 TIEOFF_X28Y148 TILESITE INT_R_X27Y149 TIEOFF_X28Y149 TILESITE INT_R_X29Y0 TIEOFF_X30Y0 TILESITE INT_R_X29Y1 TIEOFF_X30Y1 TILESITE INT_R_X29Y2 TIEOFF_X30Y2 TILESITE INT_R_X29Y3 TIEOFF_X30Y3 TILESITE INT_R_X29Y4 TIEOFF_X30Y4 TILESITE INT_R_X29Y5 TIEOFF_X30Y5 TILESITE INT_R_X29Y6 TIEOFF_X30Y6 TILESITE INT_R_X29Y7 TIEOFF_X30Y7 TILESITE INT_R_X29Y8 TIEOFF_X30Y8 TILESITE INT_R_X29Y9 TIEOFF_X30Y9 TILESITE INT_R_X29Y10 TIEOFF_X30Y10 TILESITE INT_R_X29Y11 TIEOFF_X30Y11 TILESITE INT_R_X29Y12 TIEOFF_X30Y12 TILESITE INT_R_X29Y13 TIEOFF_X30Y13 TILESITE INT_R_X29Y14 TIEOFF_X30Y14 TILESITE INT_R_X29Y15 TIEOFF_X30Y15 TILESITE INT_R_X29Y16 TIEOFF_X30Y16 TILESITE INT_R_X29Y17 TIEOFF_X30Y17 TILESITE INT_R_X29Y18 TIEOFF_X30Y18 TILESITE INT_R_X29Y19 TIEOFF_X30Y19 TILESITE INT_R_X29Y20 TIEOFF_X30Y20 TILESITE INT_R_X29Y21 TIEOFF_X30Y21 TILESITE INT_R_X29Y22 TIEOFF_X30Y22 TILESITE INT_R_X29Y23 TIEOFF_X30Y23 TILESITE INT_R_X29Y24 TIEOFF_X30Y24 TILESITE INT_R_X29Y25 TIEOFF_X30Y25 TILESITE INT_R_X29Y26 TIEOFF_X30Y26 TILESITE INT_R_X29Y27 TIEOFF_X30Y27 TILESITE INT_R_X29Y28 TIEOFF_X30Y28 TILESITE INT_R_X29Y29 TIEOFF_X30Y29 TILESITE INT_R_X29Y30 TIEOFF_X30Y30 TILESITE INT_R_X29Y31 TIEOFF_X30Y31 TILESITE INT_R_X29Y32 TIEOFF_X30Y32 TILESITE INT_R_X29Y33 TIEOFF_X30Y33 TILESITE INT_R_X29Y34 TIEOFF_X30Y34 TILESITE INT_R_X29Y35 TIEOFF_X30Y35 TILESITE INT_R_X29Y36 TIEOFF_X30Y36 TILESITE INT_R_X29Y37 TIEOFF_X30Y37 TILESITE INT_R_X29Y38 TIEOFF_X30Y38 TILESITE INT_R_X29Y39 TIEOFF_X30Y39 TILESITE INT_R_X29Y40 TIEOFF_X30Y40 TILESITE INT_R_X29Y41 TIEOFF_X30Y41 TILESITE INT_R_X29Y42 TIEOFF_X30Y42 TILESITE INT_R_X29Y43 TIEOFF_X30Y43 TILESITE INT_R_X29Y44 TIEOFF_X30Y44 TILESITE INT_R_X29Y45 TIEOFF_X30Y45 TILESITE INT_R_X29Y46 TIEOFF_X30Y46 TILESITE INT_R_X29Y47 TIEOFF_X30Y47 TILESITE INT_R_X29Y48 TIEOFF_X30Y48 TILESITE INT_R_X29Y49 TIEOFF_X30Y49 TILESITE INT_R_X29Y50 TIEOFF_X30Y50 TILESITE INT_R_X29Y51 TIEOFF_X30Y51 TILESITE INT_R_X29Y52 TIEOFF_X30Y52 TILESITE INT_R_X29Y53 TIEOFF_X30Y53 TILESITE INT_R_X29Y54 TIEOFF_X30Y54 TILESITE INT_R_X29Y55 TIEOFF_X30Y55 TILESITE INT_R_X29Y56 TIEOFF_X30Y56 TILESITE INT_R_X29Y57 TIEOFF_X30Y57 TILESITE INT_R_X29Y58 TIEOFF_X30Y58 TILESITE INT_R_X29Y59 TIEOFF_X30Y59 TILESITE INT_R_X29Y60 TIEOFF_X30Y60 TILESITE INT_R_X29Y61 TIEOFF_X30Y61 TILESITE INT_R_X29Y62 TIEOFF_X30Y62 TILESITE INT_R_X29Y63 TIEOFF_X30Y63 TILESITE INT_R_X29Y64 TIEOFF_X30Y64 TILESITE INT_R_X29Y65 TIEOFF_X30Y65 TILESITE INT_R_X29Y66 TIEOFF_X30Y66 TILESITE INT_R_X29Y67 TIEOFF_X30Y67 TILESITE INT_R_X29Y68 TIEOFF_X30Y68 TILESITE INT_R_X29Y69 TIEOFF_X30Y69 TILESITE INT_R_X29Y70 TIEOFF_X30Y70 TILESITE INT_R_X29Y71 TIEOFF_X30Y71 TILESITE INT_R_X29Y72 TIEOFF_X30Y72 TILESITE INT_R_X29Y73 TIEOFF_X30Y73 TILESITE INT_R_X29Y74 TIEOFF_X30Y74 TILESITE INT_R_X29Y75 TIEOFF_X30Y75 TILESITE INT_R_X29Y76 TIEOFF_X30Y76 TILESITE INT_R_X29Y77 TIEOFF_X30Y77 TILESITE INT_R_X29Y78 TIEOFF_X30Y78 TILESITE INT_R_X29Y79 TIEOFF_X30Y79 TILESITE INT_R_X29Y80 TIEOFF_X30Y80 TILESITE INT_R_X29Y81 TIEOFF_X30Y81 TILESITE INT_R_X29Y82 TIEOFF_X30Y82 TILESITE INT_R_X29Y83 TIEOFF_X30Y83 TILESITE INT_R_X29Y84 TIEOFF_X30Y84 TILESITE INT_R_X29Y85 TIEOFF_X30Y85 TILESITE INT_R_X29Y86 TIEOFF_X30Y86 TILESITE INT_R_X29Y87 TIEOFF_X30Y87 TILESITE INT_R_X29Y88 TIEOFF_X30Y88 TILESITE INT_R_X29Y89 TIEOFF_X30Y89 TILESITE INT_R_X29Y90 TIEOFF_X30Y90 TILESITE INT_R_X29Y91 TIEOFF_X30Y91 TILESITE INT_R_X29Y92 TIEOFF_X30Y92 TILESITE INT_R_X29Y93 TIEOFF_X30Y93 TILESITE INT_R_X29Y94 TIEOFF_X30Y94 TILESITE INT_R_X29Y95 TIEOFF_X30Y95 TILESITE INT_R_X29Y96 TIEOFF_X30Y96 TILESITE INT_R_X29Y97 TIEOFF_X30Y97 TILESITE INT_R_X29Y98 TIEOFF_X30Y98 TILESITE INT_R_X29Y99 TIEOFF_X30Y99 TILESITE INT_R_X29Y125 TIEOFF_X30Y125 TILESITE INT_R_X29Y126 TIEOFF_X30Y126 TILESITE INT_R_X29Y127 TIEOFF_X30Y127 TILESITE INT_R_X29Y128 TIEOFF_X30Y128 TILESITE INT_R_X29Y129 TIEOFF_X30Y129 TILESITE INT_R_X29Y130 TIEOFF_X30Y130 TILESITE INT_R_X29Y131 TIEOFF_X30Y131 TILESITE INT_R_X29Y132 TIEOFF_X30Y132 TILESITE INT_R_X29Y133 TIEOFF_X30Y133 TILESITE INT_R_X29Y134 TIEOFF_X30Y134 TILESITE INT_R_X29Y135 TIEOFF_X30Y135 TILESITE INT_R_X29Y136 TIEOFF_X30Y136 TILESITE INT_R_X29Y137 TIEOFF_X30Y137 TILESITE INT_R_X29Y138 TIEOFF_X30Y138 TILESITE INT_R_X29Y139 TIEOFF_X30Y139 TILESITE INT_R_X29Y140 TIEOFF_X30Y140 TILESITE INT_R_X29Y141 TIEOFF_X30Y141 TILESITE INT_R_X29Y142 TIEOFF_X30Y142 TILESITE INT_R_X29Y143 TIEOFF_X30Y143 TILESITE INT_R_X29Y144 TIEOFF_X30Y144 TILESITE INT_R_X29Y145 TIEOFF_X30Y145 TILESITE INT_R_X29Y146 TIEOFF_X30Y146 TILESITE INT_R_X29Y147 TIEOFF_X30Y147 TILESITE INT_R_X29Y148 TIEOFF_X30Y148 TILESITE INT_R_X29Y149 TIEOFF_X30Y149 TILESITE INT_R_X31Y0 TIEOFF_X32Y0 TILESITE INT_R_X31Y1 TIEOFF_X32Y1 TILESITE INT_R_X31Y2 TIEOFF_X32Y2 TILESITE INT_R_X31Y3 TIEOFF_X32Y3 TILESITE INT_R_X31Y4 TIEOFF_X32Y4 TILESITE INT_R_X31Y5 TIEOFF_X32Y5 TILESITE INT_R_X31Y6 TIEOFF_X32Y6 TILESITE INT_R_X31Y7 TIEOFF_X32Y7 TILESITE INT_R_X31Y8 TIEOFF_X32Y8 TILESITE INT_R_X31Y9 TIEOFF_X32Y9 TILESITE INT_R_X31Y10 TIEOFF_X32Y10 TILESITE INT_R_X31Y11 TIEOFF_X32Y11 TILESITE INT_R_X31Y12 TIEOFF_X32Y12 TILESITE INT_R_X31Y13 TIEOFF_X32Y13 TILESITE INT_R_X31Y14 TIEOFF_X32Y14 TILESITE INT_R_X31Y15 TIEOFF_X32Y15 TILESITE INT_R_X31Y16 TIEOFF_X32Y16 TILESITE INT_R_X31Y17 TIEOFF_X32Y17 TILESITE INT_R_X31Y18 TIEOFF_X32Y18 TILESITE INT_R_X31Y19 TIEOFF_X32Y19 TILESITE INT_R_X31Y20 TIEOFF_X32Y20 TILESITE INT_R_X31Y21 TIEOFF_X32Y21 TILESITE INT_R_X31Y22 TIEOFF_X32Y22 TILESITE INT_R_X31Y23 TIEOFF_X32Y23 TILESITE INT_R_X31Y24 TIEOFF_X32Y24 TILESITE INT_R_X31Y25 TIEOFF_X32Y25 TILESITE INT_R_X31Y26 TIEOFF_X32Y26 TILESITE INT_R_X31Y27 TIEOFF_X32Y27 TILESITE INT_R_X31Y28 TIEOFF_X32Y28 TILESITE INT_R_X31Y29 TIEOFF_X32Y29 TILESITE INT_R_X31Y30 TIEOFF_X32Y30 TILESITE INT_R_X31Y31 TIEOFF_X32Y31 TILESITE INT_R_X31Y32 TIEOFF_X32Y32 TILESITE INT_R_X31Y33 TIEOFF_X32Y33 TILESITE INT_R_X31Y34 TIEOFF_X32Y34 TILESITE INT_R_X31Y35 TIEOFF_X32Y35 TILESITE INT_R_X31Y36 TIEOFF_X32Y36 TILESITE INT_R_X31Y37 TIEOFF_X32Y37 TILESITE INT_R_X31Y38 TIEOFF_X32Y38 TILESITE INT_R_X31Y39 TIEOFF_X32Y39 TILESITE INT_R_X31Y40 TIEOFF_X32Y40 TILESITE INT_R_X31Y41 TIEOFF_X32Y41 TILESITE INT_R_X31Y42 TIEOFF_X32Y42 TILESITE INT_R_X31Y43 TIEOFF_X32Y43 TILESITE INT_R_X31Y44 TIEOFF_X32Y44 TILESITE INT_R_X31Y45 TIEOFF_X32Y45 TILESITE INT_R_X31Y46 TIEOFF_X32Y46 TILESITE INT_R_X31Y47 TIEOFF_X32Y47 TILESITE INT_R_X31Y48 TIEOFF_X32Y48 TILESITE INT_R_X31Y49 TIEOFF_X32Y49 TILESITE INT_R_X31Y50 TIEOFF_X32Y50 TILESITE INT_R_X31Y51 TIEOFF_X32Y51 TILESITE INT_R_X31Y52 TIEOFF_X32Y52 TILESITE INT_R_X31Y53 TIEOFF_X32Y53 TILESITE INT_R_X31Y54 TIEOFF_X32Y54 TILESITE INT_R_X31Y55 TIEOFF_X32Y55 TILESITE INT_R_X31Y56 TIEOFF_X32Y56 TILESITE INT_R_X31Y57 TIEOFF_X32Y57 TILESITE INT_R_X31Y58 TIEOFF_X32Y58 TILESITE INT_R_X31Y59 TIEOFF_X32Y59 TILESITE INT_R_X31Y60 TIEOFF_X32Y60 TILESITE INT_R_X31Y61 TIEOFF_X32Y61 TILESITE INT_R_X31Y62 TIEOFF_X32Y62 TILESITE INT_R_X31Y63 TIEOFF_X32Y63 TILESITE INT_R_X31Y64 TIEOFF_X32Y64 TILESITE INT_R_X31Y65 TIEOFF_X32Y65 TILESITE INT_R_X31Y66 TIEOFF_X32Y66 TILESITE INT_R_X31Y67 TIEOFF_X32Y67 TILESITE INT_R_X31Y68 TIEOFF_X32Y68 TILESITE INT_R_X31Y69 TIEOFF_X32Y69 TILESITE INT_R_X31Y70 TIEOFF_X32Y70 TILESITE INT_R_X31Y71 TIEOFF_X32Y71 TILESITE INT_R_X31Y72 TIEOFF_X32Y72 TILESITE INT_R_X31Y73 TIEOFF_X32Y73 TILESITE INT_R_X31Y74 TIEOFF_X32Y74 TILESITE INT_R_X31Y75 TIEOFF_X32Y75 TILESITE INT_R_X31Y76 TIEOFF_X32Y76 TILESITE INT_R_X31Y77 TIEOFF_X32Y77 TILESITE INT_R_X31Y78 TIEOFF_X32Y78 TILESITE INT_R_X31Y79 TIEOFF_X32Y79 TILESITE INT_R_X31Y80 TIEOFF_X32Y80 TILESITE INT_R_X31Y81 TIEOFF_X32Y81 TILESITE INT_R_X31Y82 TIEOFF_X32Y82 TILESITE INT_R_X31Y83 TIEOFF_X32Y83 TILESITE INT_R_X31Y84 TIEOFF_X32Y84 TILESITE INT_R_X31Y85 TIEOFF_X32Y85 TILESITE INT_R_X31Y86 TIEOFF_X32Y86 TILESITE INT_R_X31Y87 TIEOFF_X32Y87 TILESITE INT_R_X31Y88 TIEOFF_X32Y88 TILESITE INT_R_X31Y89 TIEOFF_X32Y89 TILESITE INT_R_X31Y90 TIEOFF_X32Y90 TILESITE INT_R_X31Y91 TIEOFF_X32Y91 TILESITE INT_R_X31Y92 TIEOFF_X32Y92 TILESITE INT_R_X31Y93 TIEOFF_X32Y93 TILESITE INT_R_X31Y94 TIEOFF_X32Y94 TILESITE INT_R_X31Y95 TIEOFF_X32Y95 TILESITE INT_R_X31Y96 TIEOFF_X32Y96 TILESITE INT_R_X31Y97 TIEOFF_X32Y97 TILESITE INT_R_X31Y98 TIEOFF_X32Y98 TILESITE INT_R_X31Y99 TIEOFF_X32Y99 TILESITE INT_R_X31Y100 TIEOFF_X32Y100 TILESITE INT_R_X31Y101 TIEOFF_X32Y101 TILESITE INT_R_X31Y102 TIEOFF_X32Y102 TILESITE INT_R_X31Y103 TIEOFF_X32Y103 TILESITE INT_R_X31Y104 TIEOFF_X32Y104 TILESITE INT_R_X31Y105 TIEOFF_X32Y105 TILESITE INT_R_X31Y106 TIEOFF_X32Y106 TILESITE INT_R_X31Y107 TIEOFF_X32Y107 TILESITE INT_R_X31Y108 TIEOFF_X32Y108 TILESITE INT_R_X31Y109 TIEOFF_X32Y109 TILESITE INT_R_X31Y110 TIEOFF_X32Y110 TILESITE INT_R_X31Y111 TIEOFF_X32Y111 TILESITE INT_R_X31Y112 TIEOFF_X32Y112 TILESITE INT_R_X31Y113 TIEOFF_X32Y113 TILESITE INT_R_X31Y114 TIEOFF_X32Y114 TILESITE INT_R_X31Y115 TIEOFF_X32Y115 TILESITE INT_R_X31Y116 TIEOFF_X32Y116 TILESITE INT_R_X31Y117 TIEOFF_X32Y117 TILESITE INT_R_X31Y118 TIEOFF_X32Y118 TILESITE INT_R_X31Y119 TIEOFF_X32Y119 TILESITE INT_R_X31Y120 TIEOFF_X32Y120 TILESITE INT_R_X31Y121 TIEOFF_X32Y121 TILESITE INT_R_X31Y122 TIEOFF_X32Y122 TILESITE INT_R_X31Y123 TIEOFF_X32Y123 TILESITE INT_R_X31Y124 TIEOFF_X32Y124 TILESITE INT_R_X31Y125 TIEOFF_X32Y125 TILESITE INT_R_X31Y126 TIEOFF_X32Y126 TILESITE INT_R_X31Y127 TIEOFF_X32Y127 TILESITE INT_R_X31Y128 TIEOFF_X32Y128 TILESITE INT_R_X31Y129 TIEOFF_X32Y129 TILESITE INT_R_X31Y130 TIEOFF_X32Y130 TILESITE INT_R_X31Y131 TIEOFF_X32Y131 TILESITE INT_R_X31Y132 TIEOFF_X32Y132 TILESITE INT_R_X31Y133 TIEOFF_X32Y133 TILESITE INT_R_X31Y134 TIEOFF_X32Y134 TILESITE INT_R_X31Y135 TIEOFF_X32Y135 TILESITE INT_R_X31Y136 TIEOFF_X32Y136 TILESITE INT_R_X31Y137 TIEOFF_X32Y137 TILESITE INT_R_X31Y138 TIEOFF_X32Y138 TILESITE INT_R_X31Y139 TIEOFF_X32Y139 TILESITE INT_R_X31Y140 TIEOFF_X32Y140 TILESITE INT_R_X31Y141 TIEOFF_X32Y141 TILESITE INT_R_X31Y142 TIEOFF_X32Y142 TILESITE INT_R_X31Y143 TIEOFF_X32Y143 TILESITE INT_R_X31Y144 TIEOFF_X32Y144 TILESITE INT_R_X31Y145 TIEOFF_X32Y145 TILESITE INT_R_X31Y146 TIEOFF_X32Y146 TILESITE INT_R_X31Y147 TIEOFF_X32Y147 TILESITE INT_R_X31Y148 TIEOFF_X32Y148 TILESITE INT_R_X31Y149 TIEOFF_X32Y149 TILESITE INT_R_X33Y0 TIEOFF_X34Y0 TILESITE INT_R_X33Y1 TIEOFF_X34Y1 TILESITE INT_R_X33Y2 TIEOFF_X34Y2 TILESITE INT_R_X33Y3 TIEOFF_X34Y3 TILESITE INT_R_X33Y4 TIEOFF_X34Y4 TILESITE INT_R_X33Y5 TIEOFF_X34Y5 TILESITE INT_R_X33Y6 TIEOFF_X34Y6 TILESITE INT_R_X33Y7 TIEOFF_X34Y7 TILESITE INT_R_X33Y8 TIEOFF_X34Y8 TILESITE INT_R_X33Y9 TIEOFF_X34Y9 TILESITE INT_R_X33Y10 TIEOFF_X34Y10 TILESITE INT_R_X33Y11 TIEOFF_X34Y11 TILESITE INT_R_X33Y12 TIEOFF_X34Y12 TILESITE INT_R_X33Y13 TIEOFF_X34Y13 TILESITE INT_R_X33Y14 TIEOFF_X34Y14 TILESITE INT_R_X33Y15 TIEOFF_X34Y15 TILESITE INT_R_X33Y16 TIEOFF_X34Y16 TILESITE INT_R_X33Y17 TIEOFF_X34Y17 TILESITE INT_R_X33Y18 TIEOFF_X34Y18 TILESITE INT_R_X33Y19 TIEOFF_X34Y19 TILESITE INT_R_X33Y20 TIEOFF_X34Y20 TILESITE INT_R_X33Y21 TIEOFF_X34Y21 TILESITE INT_R_X33Y22 TIEOFF_X34Y22 TILESITE INT_R_X33Y23 TIEOFF_X34Y23 TILESITE INT_R_X33Y24 TIEOFF_X34Y24 TILESITE INT_R_X33Y25 TIEOFF_X34Y25 TILESITE INT_R_X33Y26 TIEOFF_X34Y26 TILESITE INT_R_X33Y27 TIEOFF_X34Y27 TILESITE INT_R_X33Y28 TIEOFF_X34Y28 TILESITE INT_R_X33Y29 TIEOFF_X34Y29 TILESITE INT_R_X33Y30 TIEOFF_X34Y30 TILESITE INT_R_X33Y31 TIEOFF_X34Y31 TILESITE INT_R_X33Y32 TIEOFF_X34Y32 TILESITE INT_R_X33Y33 TIEOFF_X34Y33 TILESITE INT_R_X33Y34 TIEOFF_X34Y34 TILESITE INT_R_X33Y35 TIEOFF_X34Y35 TILESITE INT_R_X33Y36 TIEOFF_X34Y36 TILESITE INT_R_X33Y37 TIEOFF_X34Y37 TILESITE INT_R_X33Y38 TIEOFF_X34Y38 TILESITE INT_R_X33Y39 TIEOFF_X34Y39 TILESITE INT_R_X33Y40 TIEOFF_X34Y40 TILESITE INT_R_X33Y41 TIEOFF_X34Y41 TILESITE INT_R_X33Y42 TIEOFF_X34Y42 TILESITE INT_R_X33Y43 TIEOFF_X34Y43 TILESITE INT_R_X33Y44 TIEOFF_X34Y44 TILESITE INT_R_X33Y45 TIEOFF_X34Y45 TILESITE INT_R_X33Y46 TIEOFF_X34Y46 TILESITE INT_R_X33Y47 TIEOFF_X34Y47 TILESITE INT_R_X33Y48 TIEOFF_X34Y48 TILESITE INT_R_X33Y49 TIEOFF_X34Y49 TILESITE INT_R_X33Y50 TIEOFF_X34Y50 TILESITE INT_R_X33Y51 TIEOFF_X34Y51 TILESITE INT_R_X33Y52 TIEOFF_X34Y52 TILESITE INT_R_X33Y53 TIEOFF_X34Y53 TILESITE INT_R_X33Y54 TIEOFF_X34Y54 TILESITE INT_R_X33Y55 TIEOFF_X34Y55 TILESITE INT_R_X33Y56 TIEOFF_X34Y56 TILESITE INT_R_X33Y57 TIEOFF_X34Y57 TILESITE INT_R_X33Y58 TIEOFF_X34Y58 TILESITE INT_R_X33Y59 TIEOFF_X34Y59 TILESITE INT_R_X33Y60 TIEOFF_X34Y60 TILESITE INT_R_X33Y61 TIEOFF_X34Y61 TILESITE INT_R_X33Y62 TIEOFF_X34Y62 TILESITE INT_R_X33Y63 TIEOFF_X34Y63 TILESITE INT_R_X33Y64 TIEOFF_X34Y64 TILESITE INT_R_X33Y65 TIEOFF_X34Y65 TILESITE INT_R_X33Y66 TIEOFF_X34Y66 TILESITE INT_R_X33Y67 TIEOFF_X34Y67 TILESITE INT_R_X33Y68 TIEOFF_X34Y68 TILESITE INT_R_X33Y69 TIEOFF_X34Y69 TILESITE INT_R_X33Y70 TIEOFF_X34Y70 TILESITE INT_R_X33Y71 TIEOFF_X34Y71 TILESITE INT_R_X33Y72 TIEOFF_X34Y72 TILESITE INT_R_X33Y73 TIEOFF_X34Y73 TILESITE INT_R_X33Y74 TIEOFF_X34Y74 TILESITE INT_R_X33Y75 TIEOFF_X34Y75 TILESITE INT_R_X33Y76 TIEOFF_X34Y76 TILESITE INT_R_X33Y77 TIEOFF_X34Y77 TILESITE INT_R_X33Y78 TIEOFF_X34Y78 TILESITE INT_R_X33Y79 TIEOFF_X34Y79 TILESITE INT_R_X33Y80 TIEOFF_X34Y80 TILESITE INT_R_X33Y81 TIEOFF_X34Y81 TILESITE INT_R_X33Y82 TIEOFF_X34Y82 TILESITE INT_R_X33Y83 TIEOFF_X34Y83 TILESITE INT_R_X33Y84 TIEOFF_X34Y84 TILESITE INT_R_X33Y85 TIEOFF_X34Y85 TILESITE INT_R_X33Y86 TIEOFF_X34Y86 TILESITE INT_R_X33Y87 TIEOFF_X34Y87 TILESITE INT_R_X33Y88 TIEOFF_X34Y88 TILESITE INT_R_X33Y89 TIEOFF_X34Y89 TILESITE INT_R_X33Y90 TIEOFF_X34Y90 TILESITE INT_R_X33Y91 TIEOFF_X34Y91 TILESITE INT_R_X33Y92 TIEOFF_X34Y92 TILESITE INT_R_X33Y93 TIEOFF_X34Y93 TILESITE INT_R_X33Y94 TIEOFF_X34Y94 TILESITE INT_R_X33Y95 TIEOFF_X34Y95 TILESITE INT_R_X33Y96 TIEOFF_X34Y96 TILESITE INT_R_X33Y97 TIEOFF_X34Y97 TILESITE INT_R_X33Y98 TIEOFF_X34Y98 TILESITE INT_R_X33Y99 TIEOFF_X34Y99 TILESITE INT_R_X33Y100 TIEOFF_X34Y100 TILESITE INT_R_X33Y101 TIEOFF_X34Y101 TILESITE INT_R_X33Y102 TIEOFF_X34Y102 TILESITE INT_R_X33Y103 TIEOFF_X34Y103 TILESITE INT_R_X33Y104 TIEOFF_X34Y104 TILESITE INT_R_X33Y105 TIEOFF_X34Y105 TILESITE INT_R_X33Y106 TIEOFF_X34Y106 TILESITE INT_R_X33Y107 TIEOFF_X34Y107 TILESITE INT_R_X33Y108 TIEOFF_X34Y108 TILESITE INT_R_X33Y109 TIEOFF_X34Y109 TILESITE INT_R_X33Y110 TIEOFF_X34Y110 TILESITE INT_R_X33Y111 TIEOFF_X34Y111 TILESITE INT_R_X33Y112 TIEOFF_X34Y112 TILESITE INT_R_X33Y113 TIEOFF_X34Y113 TILESITE INT_R_X33Y114 TIEOFF_X34Y114 TILESITE INT_R_X33Y115 TIEOFF_X34Y115 TILESITE INT_R_X33Y116 TIEOFF_X34Y116 TILESITE INT_R_X33Y117 TIEOFF_X34Y117 TILESITE INT_R_X33Y118 TIEOFF_X34Y118 TILESITE INT_R_X33Y119 TIEOFF_X34Y119 TILESITE INT_R_X33Y120 TIEOFF_X34Y120 TILESITE INT_R_X33Y121 TIEOFF_X34Y121 TILESITE INT_R_X33Y122 TIEOFF_X34Y122 TILESITE INT_R_X33Y123 TIEOFF_X34Y123 TILESITE INT_R_X33Y124 TIEOFF_X34Y124 TILESITE INT_R_X33Y125 TIEOFF_X34Y125 TILESITE INT_R_X33Y126 TIEOFF_X34Y126 TILESITE INT_R_X33Y127 TIEOFF_X34Y127 TILESITE INT_R_X33Y128 TIEOFF_X34Y128 TILESITE INT_R_X33Y129 TIEOFF_X34Y129 TILESITE INT_R_X33Y130 TIEOFF_X34Y130 TILESITE INT_R_X33Y131 TIEOFF_X34Y131 TILESITE INT_R_X33Y132 TIEOFF_X34Y132 TILESITE INT_R_X33Y133 TIEOFF_X34Y133 TILESITE INT_R_X33Y134 TIEOFF_X34Y134 TILESITE INT_R_X33Y135 TIEOFF_X34Y135 TILESITE INT_R_X33Y136 TIEOFF_X34Y136 TILESITE INT_R_X33Y137 TIEOFF_X34Y137 TILESITE INT_R_X33Y138 TIEOFF_X34Y138 TILESITE INT_R_X33Y139 TIEOFF_X34Y139 TILESITE INT_R_X33Y140 TIEOFF_X34Y140 TILESITE INT_R_X33Y141 TIEOFF_X34Y141 TILESITE INT_R_X33Y142 TIEOFF_X34Y142 TILESITE INT_R_X33Y143 TIEOFF_X34Y143 TILESITE INT_R_X33Y144 TIEOFF_X34Y144 TILESITE INT_R_X33Y145 TIEOFF_X34Y145 TILESITE INT_R_X33Y146 TIEOFF_X34Y146 TILESITE INT_R_X33Y147 TIEOFF_X34Y147 TILESITE INT_R_X33Y148 TIEOFF_X34Y148 TILESITE INT_R_X33Y149 TIEOFF_X34Y149 TILESITE INT_R_X35Y0 TIEOFF_X37Y0 TILESITE INT_R_X35Y1 TIEOFF_X37Y1 TILESITE INT_R_X35Y2 TIEOFF_X37Y2 TILESITE INT_R_X35Y3 TIEOFF_X37Y3 TILESITE INT_R_X35Y4 TIEOFF_X37Y4 TILESITE INT_R_X35Y5 TIEOFF_X37Y5 TILESITE INT_R_X35Y6 TIEOFF_X37Y6 TILESITE INT_R_X35Y7 TIEOFF_X37Y7 TILESITE INT_R_X35Y8 TIEOFF_X37Y8 TILESITE INT_R_X35Y9 TIEOFF_X37Y9 TILESITE INT_R_X35Y10 TIEOFF_X37Y10 TILESITE INT_R_X35Y11 TIEOFF_X37Y11 TILESITE INT_R_X35Y12 TIEOFF_X37Y12 TILESITE INT_R_X35Y13 TIEOFF_X37Y13 TILESITE INT_R_X35Y14 TIEOFF_X37Y14 TILESITE INT_R_X35Y15 TIEOFF_X37Y15 TILESITE INT_R_X35Y16 TIEOFF_X37Y16 TILESITE INT_R_X35Y17 TIEOFF_X37Y17 TILESITE INT_R_X35Y18 TIEOFF_X37Y18 TILESITE INT_R_X35Y19 TIEOFF_X37Y19 TILESITE INT_R_X35Y20 TIEOFF_X37Y20 TILESITE INT_R_X35Y21 TIEOFF_X37Y21 TILESITE INT_R_X35Y22 TIEOFF_X37Y22 TILESITE INT_R_X35Y23 TIEOFF_X37Y23 TILESITE INT_R_X35Y24 TIEOFF_X37Y24 TILESITE INT_R_X35Y25 TIEOFF_X37Y25 TILESITE INT_R_X35Y26 TIEOFF_X37Y26 TILESITE INT_R_X35Y27 TIEOFF_X37Y27 TILESITE INT_R_X35Y28 TIEOFF_X37Y28 TILESITE INT_R_X35Y29 TIEOFF_X37Y29 TILESITE INT_R_X35Y30 TIEOFF_X37Y30 TILESITE INT_R_X35Y31 TIEOFF_X37Y31 TILESITE INT_R_X35Y32 TIEOFF_X37Y32 TILESITE INT_R_X35Y33 TIEOFF_X37Y33 TILESITE INT_R_X35Y34 TIEOFF_X37Y34 TILESITE INT_R_X35Y35 TIEOFF_X37Y35 TILESITE INT_R_X35Y36 TIEOFF_X37Y36 TILESITE INT_R_X35Y37 TIEOFF_X37Y37 TILESITE INT_R_X35Y38 TIEOFF_X37Y38 TILESITE INT_R_X35Y39 TIEOFF_X37Y39 TILESITE INT_R_X35Y40 TIEOFF_X37Y40 TILESITE INT_R_X35Y41 TIEOFF_X37Y41 TILESITE INT_R_X35Y42 TIEOFF_X37Y42 TILESITE INT_R_X35Y43 TIEOFF_X37Y43 TILESITE INT_R_X35Y44 TIEOFF_X37Y44 TILESITE INT_R_X35Y45 TIEOFF_X37Y45 TILESITE INT_R_X35Y46 TIEOFF_X37Y46 TILESITE INT_R_X35Y47 TIEOFF_X37Y47 TILESITE INT_R_X35Y48 TIEOFF_X37Y48 TILESITE INT_R_X35Y49 TIEOFF_X37Y49 TILESITE INT_R_X35Y50 TIEOFF_X37Y50 TILESITE INT_R_X35Y51 TIEOFF_X37Y51 TILESITE INT_R_X35Y52 TIEOFF_X37Y52 TILESITE INT_R_X35Y53 TIEOFF_X37Y53 TILESITE INT_R_X35Y54 TIEOFF_X37Y54 TILESITE INT_R_X35Y55 TIEOFF_X37Y55 TILESITE INT_R_X35Y56 TIEOFF_X37Y56 TILESITE INT_R_X35Y57 TIEOFF_X37Y57 TILESITE INT_R_X35Y58 TIEOFF_X37Y58 TILESITE INT_R_X35Y59 TIEOFF_X37Y59 TILESITE INT_R_X35Y60 TIEOFF_X37Y60 TILESITE INT_R_X35Y61 TIEOFF_X37Y61 TILESITE INT_R_X35Y62 TIEOFF_X37Y62 TILESITE INT_R_X35Y63 TIEOFF_X37Y63 TILESITE INT_R_X35Y64 TIEOFF_X37Y64 TILESITE INT_R_X35Y65 TIEOFF_X37Y65 TILESITE INT_R_X35Y66 TIEOFF_X37Y66 TILESITE INT_R_X35Y67 TIEOFF_X37Y67 TILESITE INT_R_X35Y68 TIEOFF_X37Y68 TILESITE INT_R_X35Y69 TIEOFF_X37Y69 TILESITE INT_R_X35Y70 TIEOFF_X37Y70 TILESITE INT_R_X35Y71 TIEOFF_X37Y71 TILESITE INT_R_X35Y72 TIEOFF_X37Y72 TILESITE INT_R_X35Y73 TIEOFF_X37Y73 TILESITE INT_R_X35Y74 TIEOFF_X37Y74 TILESITE INT_R_X35Y75 TIEOFF_X37Y75 TILESITE INT_R_X35Y76 TIEOFF_X37Y76 TILESITE INT_R_X35Y77 TIEOFF_X37Y77 TILESITE INT_R_X35Y78 TIEOFF_X37Y78 TILESITE INT_R_X35Y79 TIEOFF_X37Y79 TILESITE INT_R_X35Y80 TIEOFF_X37Y80 TILESITE INT_R_X35Y81 TIEOFF_X37Y81 TILESITE INT_R_X35Y82 TIEOFF_X37Y82 TILESITE INT_R_X35Y83 TIEOFF_X37Y83 TILESITE INT_R_X35Y84 TIEOFF_X37Y84 TILESITE INT_R_X35Y85 TIEOFF_X37Y85 TILESITE INT_R_X35Y86 TIEOFF_X37Y86 TILESITE INT_R_X35Y87 TIEOFF_X37Y87 TILESITE INT_R_X35Y88 TIEOFF_X37Y88 TILESITE INT_R_X35Y89 TIEOFF_X37Y89 TILESITE INT_R_X35Y90 TIEOFF_X37Y90 TILESITE INT_R_X35Y91 TIEOFF_X37Y91 TILESITE INT_R_X35Y92 TIEOFF_X37Y92 TILESITE INT_R_X35Y93 TIEOFF_X37Y93 TILESITE INT_R_X35Y94 TIEOFF_X37Y94 TILESITE INT_R_X35Y95 TIEOFF_X37Y95 TILESITE INT_R_X35Y96 TIEOFF_X37Y96 TILESITE INT_R_X35Y97 TIEOFF_X37Y97 TILESITE INT_R_X35Y98 TIEOFF_X37Y98 TILESITE INT_R_X35Y99 TIEOFF_X37Y99 TILESITE INT_R_X35Y100 TIEOFF_X37Y100 TILESITE INT_R_X35Y101 TIEOFF_X37Y101 TILESITE INT_R_X35Y102 TIEOFF_X37Y102 TILESITE INT_R_X35Y103 TIEOFF_X37Y103 TILESITE INT_R_X35Y104 TIEOFF_X37Y104 TILESITE INT_R_X35Y105 TIEOFF_X37Y105 TILESITE INT_R_X35Y106 TIEOFF_X37Y106 TILESITE INT_R_X35Y107 TIEOFF_X37Y107 TILESITE INT_R_X35Y108 TIEOFF_X37Y108 TILESITE INT_R_X35Y109 TIEOFF_X37Y109 TILESITE INT_R_X35Y110 TIEOFF_X37Y110 TILESITE INT_R_X35Y111 TIEOFF_X37Y111 TILESITE INT_R_X35Y112 TIEOFF_X37Y112 TILESITE INT_R_X35Y113 TIEOFF_X37Y113 TILESITE INT_R_X35Y114 TIEOFF_X37Y114 TILESITE INT_R_X35Y115 TIEOFF_X37Y115 TILESITE INT_R_X35Y116 TIEOFF_X37Y116 TILESITE INT_R_X35Y117 TIEOFF_X37Y117 TILESITE INT_R_X35Y118 TIEOFF_X37Y118 TILESITE INT_R_X35Y119 TIEOFF_X37Y119 TILESITE INT_R_X35Y120 TIEOFF_X37Y120 TILESITE INT_R_X35Y121 TIEOFF_X37Y121 TILESITE INT_R_X35Y122 TIEOFF_X37Y122 TILESITE INT_R_X35Y123 TIEOFF_X37Y123 TILESITE INT_R_X35Y124 TIEOFF_X37Y124 TILESITE INT_R_X35Y125 TIEOFF_X37Y125 TILESITE INT_R_X35Y126 TIEOFF_X37Y126 TILESITE INT_R_X35Y127 TIEOFF_X37Y127 TILESITE INT_R_X35Y128 TIEOFF_X37Y128 TILESITE INT_R_X35Y129 TIEOFF_X37Y129 TILESITE INT_R_X35Y130 TIEOFF_X37Y130 TILESITE INT_R_X35Y131 TIEOFF_X37Y131 TILESITE INT_R_X35Y132 TIEOFF_X37Y132 TILESITE INT_R_X35Y133 TIEOFF_X37Y133 TILESITE INT_R_X35Y134 TIEOFF_X37Y134 TILESITE INT_R_X35Y135 TIEOFF_X37Y135 TILESITE INT_R_X35Y136 TIEOFF_X37Y136 TILESITE INT_R_X35Y137 TIEOFF_X37Y137 TILESITE INT_R_X35Y138 TIEOFF_X37Y138 TILESITE INT_R_X35Y139 TIEOFF_X37Y139 TILESITE INT_R_X35Y140 TIEOFF_X37Y140 TILESITE INT_R_X35Y141 TIEOFF_X37Y141 TILESITE INT_R_X35Y142 TIEOFF_X37Y142 TILESITE INT_R_X35Y143 TIEOFF_X37Y143 TILESITE INT_R_X35Y144 TIEOFF_X37Y144 TILESITE INT_R_X35Y145 TIEOFF_X37Y145 TILESITE INT_R_X35Y146 TIEOFF_X37Y146 TILESITE INT_R_X35Y147 TIEOFF_X37Y147 TILESITE INT_R_X35Y148 TIEOFF_X37Y148 TILESITE INT_R_X35Y149 TIEOFF_X37Y149 TILESITE INT_R_X37Y0 TIEOFF_X39Y0 TILESITE INT_R_X37Y1 TIEOFF_X39Y1 TILESITE INT_R_X37Y2 TIEOFF_X39Y2 TILESITE INT_R_X37Y3 TIEOFF_X39Y3 TILESITE INT_R_X37Y4 TIEOFF_X39Y4 TILESITE INT_R_X37Y5 TIEOFF_X39Y5 TILESITE INT_R_X37Y6 TIEOFF_X39Y6 TILESITE INT_R_X37Y7 TIEOFF_X39Y7 TILESITE INT_R_X37Y8 TIEOFF_X39Y8 TILESITE INT_R_X37Y9 TIEOFF_X39Y9 TILESITE INT_R_X37Y10 TIEOFF_X39Y10 TILESITE INT_R_X37Y11 TIEOFF_X39Y11 TILESITE INT_R_X37Y12 TIEOFF_X39Y12 TILESITE INT_R_X37Y13 TIEOFF_X39Y13 TILESITE INT_R_X37Y14 TIEOFF_X39Y14 TILESITE INT_R_X37Y15 TIEOFF_X39Y15 TILESITE INT_R_X37Y16 TIEOFF_X39Y16 TILESITE INT_R_X37Y17 TIEOFF_X39Y17 TILESITE INT_R_X37Y18 TIEOFF_X39Y18 TILESITE INT_R_X37Y19 TIEOFF_X39Y19 TILESITE INT_R_X37Y20 TIEOFF_X39Y20 TILESITE INT_R_X37Y21 TIEOFF_X39Y21 TILESITE INT_R_X37Y22 TIEOFF_X39Y22 TILESITE INT_R_X37Y23 TIEOFF_X39Y23 TILESITE INT_R_X37Y24 TIEOFF_X39Y24 TILESITE INT_R_X37Y25 TIEOFF_X39Y25 TILESITE INT_R_X37Y26 TIEOFF_X39Y26 TILESITE INT_R_X37Y27 TIEOFF_X39Y27 TILESITE INT_R_X37Y28 TIEOFF_X39Y28 TILESITE INT_R_X37Y29 TIEOFF_X39Y29 TILESITE INT_R_X37Y30 TIEOFF_X39Y30 TILESITE INT_R_X37Y31 TIEOFF_X39Y31 TILESITE INT_R_X37Y32 TIEOFF_X39Y32 TILESITE INT_R_X37Y33 TIEOFF_X39Y33 TILESITE INT_R_X37Y34 TIEOFF_X39Y34 TILESITE INT_R_X37Y35 TIEOFF_X39Y35 TILESITE INT_R_X37Y36 TIEOFF_X39Y36 TILESITE INT_R_X37Y37 TIEOFF_X39Y37 TILESITE INT_R_X37Y38 TIEOFF_X39Y38 TILESITE INT_R_X37Y39 TIEOFF_X39Y39 TILESITE INT_R_X37Y40 TIEOFF_X39Y40 TILESITE INT_R_X37Y41 TIEOFF_X39Y41 TILESITE INT_R_X37Y42 TIEOFF_X39Y42 TILESITE INT_R_X37Y43 TIEOFF_X39Y43 TILESITE INT_R_X37Y44 TIEOFF_X39Y44 TILESITE INT_R_X37Y45 TIEOFF_X39Y45 TILESITE INT_R_X37Y46 TIEOFF_X39Y46 TILESITE INT_R_X37Y47 TIEOFF_X39Y47 TILESITE INT_R_X37Y48 TIEOFF_X39Y48 TILESITE INT_R_X37Y49 TIEOFF_X39Y49 TILESITE INT_R_X37Y50 TIEOFF_X39Y50 TILESITE INT_R_X37Y51 TIEOFF_X39Y51 TILESITE INT_R_X37Y52 TIEOFF_X39Y52 TILESITE INT_R_X37Y53 TIEOFF_X39Y53 TILESITE INT_R_X37Y54 TIEOFF_X39Y54 TILESITE INT_R_X37Y55 TIEOFF_X39Y55 TILESITE INT_R_X37Y56 TIEOFF_X39Y56 TILESITE INT_R_X37Y57 TIEOFF_X39Y57 TILESITE INT_R_X37Y58 TIEOFF_X39Y58 TILESITE INT_R_X37Y59 TIEOFF_X39Y59 TILESITE INT_R_X37Y60 TIEOFF_X39Y60 TILESITE INT_R_X37Y61 TIEOFF_X39Y61 TILESITE INT_R_X37Y62 TIEOFF_X39Y62 TILESITE INT_R_X37Y63 TIEOFF_X39Y63 TILESITE INT_R_X37Y64 TIEOFF_X39Y64 TILESITE INT_R_X37Y65 TIEOFF_X39Y65 TILESITE INT_R_X37Y66 TIEOFF_X39Y66 TILESITE INT_R_X37Y67 TIEOFF_X39Y67 TILESITE INT_R_X37Y68 TIEOFF_X39Y68 TILESITE INT_R_X37Y69 TIEOFF_X39Y69 TILESITE INT_R_X37Y70 TIEOFF_X39Y70 TILESITE INT_R_X37Y71 TIEOFF_X39Y71 TILESITE INT_R_X37Y72 TIEOFF_X39Y72 TILESITE INT_R_X37Y73 TIEOFF_X39Y73 TILESITE INT_R_X37Y74 TIEOFF_X39Y74 TILESITE INT_R_X37Y75 TIEOFF_X39Y75 TILESITE INT_R_X37Y76 TIEOFF_X39Y76 TILESITE INT_R_X37Y77 TIEOFF_X39Y77 TILESITE INT_R_X37Y78 TIEOFF_X39Y78 TILESITE INT_R_X37Y79 TIEOFF_X39Y79 TILESITE INT_R_X37Y80 TIEOFF_X39Y80 TILESITE INT_R_X37Y81 TIEOFF_X39Y81 TILESITE INT_R_X37Y82 TIEOFF_X39Y82 TILESITE INT_R_X37Y83 TIEOFF_X39Y83 TILESITE INT_R_X37Y84 TIEOFF_X39Y84 TILESITE INT_R_X37Y85 TIEOFF_X39Y85 TILESITE INT_R_X37Y86 TIEOFF_X39Y86 TILESITE INT_R_X37Y87 TIEOFF_X39Y87 TILESITE INT_R_X37Y88 TIEOFF_X39Y88 TILESITE INT_R_X37Y89 TIEOFF_X39Y89 TILESITE INT_R_X37Y90 TIEOFF_X39Y90 TILESITE INT_R_X37Y91 TIEOFF_X39Y91 TILESITE INT_R_X37Y92 TIEOFF_X39Y92 TILESITE INT_R_X37Y93 TIEOFF_X39Y93 TILESITE INT_R_X37Y94 TIEOFF_X39Y94 TILESITE INT_R_X37Y95 TIEOFF_X39Y95 TILESITE INT_R_X37Y96 TIEOFF_X39Y96 TILESITE INT_R_X37Y97 TIEOFF_X39Y97 TILESITE INT_R_X37Y98 TIEOFF_X39Y98 TILESITE INT_R_X37Y99 TIEOFF_X39Y99 TILESITE INT_R_X37Y100 TIEOFF_X39Y100 TILESITE INT_R_X37Y101 TIEOFF_X39Y101 TILESITE INT_R_X37Y102 TIEOFF_X39Y102 TILESITE INT_R_X37Y103 TIEOFF_X39Y103 TILESITE INT_R_X37Y104 TIEOFF_X39Y104 TILESITE INT_R_X37Y105 TIEOFF_X39Y105 TILESITE INT_R_X37Y106 TIEOFF_X39Y106 TILESITE INT_R_X37Y107 TIEOFF_X39Y107 TILESITE INT_R_X37Y108 TIEOFF_X39Y108 TILESITE INT_R_X37Y109 TIEOFF_X39Y109 TILESITE INT_R_X37Y110 TIEOFF_X39Y110 TILESITE INT_R_X37Y111 TIEOFF_X39Y111 TILESITE INT_R_X37Y112 TIEOFF_X39Y112 TILESITE INT_R_X37Y113 TIEOFF_X39Y113 TILESITE INT_R_X37Y114 TIEOFF_X39Y114 TILESITE INT_R_X37Y115 TIEOFF_X39Y115 TILESITE INT_R_X37Y116 TIEOFF_X39Y116 TILESITE INT_R_X37Y117 TIEOFF_X39Y117 TILESITE INT_R_X37Y118 TIEOFF_X39Y118 TILESITE INT_R_X37Y119 TIEOFF_X39Y119 TILESITE INT_R_X37Y120 TIEOFF_X39Y120 TILESITE INT_R_X37Y121 TIEOFF_X39Y121 TILESITE INT_R_X37Y122 TIEOFF_X39Y122 TILESITE INT_R_X37Y123 TIEOFF_X39Y123 TILESITE INT_R_X37Y124 TIEOFF_X39Y124 TILESITE INT_R_X37Y125 TIEOFF_X39Y125 TILESITE INT_R_X37Y126 TIEOFF_X39Y126 TILESITE INT_R_X37Y127 TIEOFF_X39Y127 TILESITE INT_R_X37Y128 TIEOFF_X39Y128 TILESITE INT_R_X37Y129 TIEOFF_X39Y129 TILESITE INT_R_X37Y130 TIEOFF_X39Y130 TILESITE INT_R_X37Y131 TIEOFF_X39Y131 TILESITE INT_R_X37Y132 TIEOFF_X39Y132 TILESITE INT_R_X37Y133 TIEOFF_X39Y133 TILESITE INT_R_X37Y134 TIEOFF_X39Y134 TILESITE INT_R_X37Y135 TIEOFF_X39Y135 TILESITE INT_R_X37Y136 TIEOFF_X39Y136 TILESITE INT_R_X37Y137 TIEOFF_X39Y137 TILESITE INT_R_X37Y138 TIEOFF_X39Y138 TILESITE INT_R_X37Y139 TIEOFF_X39Y139 TILESITE INT_R_X37Y140 TIEOFF_X39Y140 TILESITE INT_R_X37Y141 TIEOFF_X39Y141 TILESITE INT_R_X37Y142 TIEOFF_X39Y142 TILESITE INT_R_X37Y143 TIEOFF_X39Y143 TILESITE INT_R_X37Y144 TIEOFF_X39Y144 TILESITE INT_R_X37Y145 TIEOFF_X39Y145 TILESITE INT_R_X37Y146 TIEOFF_X39Y146 TILESITE INT_R_X37Y147 TIEOFF_X39Y147 TILESITE INT_R_X37Y148 TIEOFF_X39Y148 TILESITE INT_R_X37Y149 TIEOFF_X39Y149 TILESITE INT_R_X39Y0 TIEOFF_X41Y0 TILESITE INT_R_X39Y1 TIEOFF_X41Y1 TILESITE INT_R_X39Y2 TIEOFF_X41Y2 TILESITE INT_R_X39Y3 TIEOFF_X41Y3 TILESITE INT_R_X39Y4 TIEOFF_X41Y4 TILESITE INT_R_X39Y5 TIEOFF_X41Y5 TILESITE INT_R_X39Y6 TIEOFF_X41Y6 TILESITE INT_R_X39Y7 TIEOFF_X41Y7 TILESITE INT_R_X39Y8 TIEOFF_X41Y8 TILESITE INT_R_X39Y9 TIEOFF_X41Y9 TILESITE INT_R_X39Y10 TIEOFF_X41Y10 TILESITE INT_R_X39Y11 TIEOFF_X41Y11 TILESITE INT_R_X39Y12 TIEOFF_X41Y12 TILESITE INT_R_X39Y13 TIEOFF_X41Y13 TILESITE INT_R_X39Y14 TIEOFF_X41Y14 TILESITE INT_R_X39Y15 TIEOFF_X41Y15 TILESITE INT_R_X39Y16 TIEOFF_X41Y16 TILESITE INT_R_X39Y17 TIEOFF_X41Y17 TILESITE INT_R_X39Y18 TIEOFF_X41Y18 TILESITE INT_R_X39Y19 TIEOFF_X41Y19 TILESITE INT_R_X39Y20 TIEOFF_X41Y20 TILESITE INT_R_X39Y21 TIEOFF_X41Y21 TILESITE INT_R_X39Y22 TIEOFF_X41Y22 TILESITE INT_R_X39Y23 TIEOFF_X41Y23 TILESITE INT_R_X39Y24 TIEOFF_X41Y24 TILESITE INT_R_X39Y25 TIEOFF_X41Y25 TILESITE INT_R_X39Y26 TIEOFF_X41Y26 TILESITE INT_R_X39Y27 TIEOFF_X41Y27 TILESITE INT_R_X39Y28 TIEOFF_X41Y28 TILESITE INT_R_X39Y29 TIEOFF_X41Y29 TILESITE INT_R_X39Y30 TIEOFF_X41Y30 TILESITE INT_R_X39Y31 TIEOFF_X41Y31 TILESITE INT_R_X39Y32 TIEOFF_X41Y32 TILESITE INT_R_X39Y33 TIEOFF_X41Y33 TILESITE INT_R_X39Y34 TIEOFF_X41Y34 TILESITE INT_R_X39Y35 TIEOFF_X41Y35 TILESITE INT_R_X39Y36 TIEOFF_X41Y36 TILESITE INT_R_X39Y37 TIEOFF_X41Y37 TILESITE INT_R_X39Y38 TIEOFF_X41Y38 TILESITE INT_R_X39Y39 TIEOFF_X41Y39 TILESITE INT_R_X39Y40 TIEOFF_X41Y40 TILESITE INT_R_X39Y41 TIEOFF_X41Y41 TILESITE INT_R_X39Y42 TIEOFF_X41Y42 TILESITE INT_R_X39Y43 TIEOFF_X41Y43 TILESITE INT_R_X39Y44 TIEOFF_X41Y44 TILESITE INT_R_X39Y45 TIEOFF_X41Y45 TILESITE INT_R_X39Y46 TIEOFF_X41Y46 TILESITE INT_R_X39Y47 TIEOFF_X41Y47 TILESITE INT_R_X39Y48 TIEOFF_X41Y48 TILESITE INT_R_X39Y49 TIEOFF_X41Y49 TILESITE INT_R_X39Y50 TIEOFF_X41Y50 TILESITE INT_R_X39Y51 TIEOFF_X41Y51 TILESITE INT_R_X39Y52 TIEOFF_X41Y52 TILESITE INT_R_X39Y53 TIEOFF_X41Y53 TILESITE INT_R_X39Y54 TIEOFF_X41Y54 TILESITE INT_R_X39Y55 TIEOFF_X41Y55 TILESITE INT_R_X39Y56 TIEOFF_X41Y56 TILESITE INT_R_X39Y57 TIEOFF_X41Y57 TILESITE INT_R_X39Y58 TIEOFF_X41Y58 TILESITE INT_R_X39Y59 TIEOFF_X41Y59 TILESITE INT_R_X39Y60 TIEOFF_X41Y60 TILESITE INT_R_X39Y61 TIEOFF_X41Y61 TILESITE INT_R_X39Y62 TIEOFF_X41Y62 TILESITE INT_R_X39Y63 TIEOFF_X41Y63 TILESITE INT_R_X39Y64 TIEOFF_X41Y64 TILESITE INT_R_X39Y65 TIEOFF_X41Y65 TILESITE INT_R_X39Y66 TIEOFF_X41Y66 TILESITE INT_R_X39Y67 TIEOFF_X41Y67 TILESITE INT_R_X39Y68 TIEOFF_X41Y68 TILESITE INT_R_X39Y69 TIEOFF_X41Y69 TILESITE INT_R_X39Y70 TIEOFF_X41Y70 TILESITE INT_R_X39Y71 TIEOFF_X41Y71 TILESITE INT_R_X39Y72 TIEOFF_X41Y72 TILESITE INT_R_X39Y73 TIEOFF_X41Y73 TILESITE INT_R_X39Y74 TIEOFF_X41Y74 TILESITE INT_R_X39Y75 TIEOFF_X41Y75 TILESITE INT_R_X39Y76 TIEOFF_X41Y76 TILESITE INT_R_X39Y77 TIEOFF_X41Y77 TILESITE INT_R_X39Y78 TIEOFF_X41Y78 TILESITE INT_R_X39Y79 TIEOFF_X41Y79 TILESITE INT_R_X39Y80 TIEOFF_X41Y80 TILESITE INT_R_X39Y81 TIEOFF_X41Y81 TILESITE INT_R_X39Y82 TIEOFF_X41Y82 TILESITE INT_R_X39Y83 TIEOFF_X41Y83 TILESITE INT_R_X39Y84 TIEOFF_X41Y84 TILESITE INT_R_X39Y85 TIEOFF_X41Y85 TILESITE INT_R_X39Y86 TIEOFF_X41Y86 TILESITE INT_R_X39Y87 TIEOFF_X41Y87 TILESITE INT_R_X39Y88 TIEOFF_X41Y88 TILESITE INT_R_X39Y89 TIEOFF_X41Y89 TILESITE INT_R_X39Y90 TIEOFF_X41Y90 TILESITE INT_R_X39Y91 TIEOFF_X41Y91 TILESITE INT_R_X39Y92 TIEOFF_X41Y92 TILESITE INT_R_X39Y93 TIEOFF_X41Y93 TILESITE INT_R_X39Y94 TIEOFF_X41Y94 TILESITE INT_R_X39Y95 TIEOFF_X41Y95 TILESITE INT_R_X39Y96 TIEOFF_X41Y96 TILESITE INT_R_X39Y97 TIEOFF_X41Y97 TILESITE INT_R_X39Y98 TIEOFF_X41Y98 TILESITE INT_R_X39Y99 TIEOFF_X41Y99 TILESITE INT_R_X3Y0 TIEOFF_X3Y0 TILESITE INT_R_X3Y1 TIEOFF_X3Y1 TILESITE INT_R_X3Y2 TIEOFF_X3Y2 TILESITE INT_R_X3Y3 TIEOFF_X3Y3 TILESITE INT_R_X3Y4 TIEOFF_X3Y4 TILESITE INT_R_X3Y5 TIEOFF_X3Y5 TILESITE INT_R_X3Y6 TIEOFF_X3Y6 TILESITE INT_R_X3Y7 TIEOFF_X3Y7 TILESITE INT_R_X3Y8 TIEOFF_X3Y8 TILESITE INT_R_X3Y9 TIEOFF_X3Y9 TILESITE INT_R_X3Y10 TIEOFF_X3Y10 TILESITE INT_R_X3Y11 TIEOFF_X3Y11 TILESITE INT_R_X3Y12 TIEOFF_X3Y12 TILESITE INT_R_X3Y13 TIEOFF_X3Y13 TILESITE INT_R_X3Y14 TIEOFF_X3Y14 TILESITE INT_R_X3Y15 TIEOFF_X3Y15 TILESITE INT_R_X3Y16 TIEOFF_X3Y16 TILESITE INT_R_X3Y17 TIEOFF_X3Y17 TILESITE INT_R_X3Y18 TIEOFF_X3Y18 TILESITE INT_R_X3Y19 TIEOFF_X3Y19 TILESITE INT_R_X3Y20 TIEOFF_X3Y20 TILESITE INT_R_X3Y21 TIEOFF_X3Y21 TILESITE INT_R_X3Y22 TIEOFF_X3Y22 TILESITE INT_R_X3Y23 TIEOFF_X3Y23 TILESITE INT_R_X3Y24 TIEOFF_X3Y24 TILESITE INT_R_X3Y25 TIEOFF_X3Y25 TILESITE INT_R_X3Y26 TIEOFF_X3Y26 TILESITE INT_R_X3Y27 TIEOFF_X3Y27 TILESITE INT_R_X3Y28 TIEOFF_X3Y28 TILESITE INT_R_X3Y29 TIEOFF_X3Y29 TILESITE INT_R_X3Y30 TIEOFF_X3Y30 TILESITE INT_R_X3Y31 TIEOFF_X3Y31 TILESITE INT_R_X3Y32 TIEOFF_X3Y32 TILESITE INT_R_X3Y33 TIEOFF_X3Y33 TILESITE INT_R_X3Y34 TIEOFF_X3Y34 TILESITE INT_R_X3Y35 TIEOFF_X3Y35 TILESITE INT_R_X3Y36 TIEOFF_X3Y36 TILESITE INT_R_X3Y37 TIEOFF_X3Y37 TILESITE INT_R_X3Y38 TIEOFF_X3Y38 TILESITE INT_R_X3Y39 TIEOFF_X3Y39 TILESITE INT_R_X3Y40 TIEOFF_X3Y40 TILESITE INT_R_X3Y41 TIEOFF_X3Y41 TILESITE INT_R_X3Y42 TIEOFF_X3Y42 TILESITE INT_R_X3Y43 TIEOFF_X3Y43 TILESITE INT_R_X3Y44 TIEOFF_X3Y44 TILESITE INT_R_X3Y45 TIEOFF_X3Y45 TILESITE INT_R_X3Y46 TIEOFF_X3Y46 TILESITE INT_R_X3Y47 TIEOFF_X3Y47 TILESITE INT_R_X3Y48 TIEOFF_X3Y48 TILESITE INT_R_X3Y49 TIEOFF_X3Y49 TILESITE INT_R_X3Y50 TIEOFF_X3Y50 TILESITE INT_R_X3Y51 TIEOFF_X3Y51 TILESITE INT_R_X3Y52 TIEOFF_X3Y52 TILESITE INT_R_X3Y53 TIEOFF_X3Y53 TILESITE INT_R_X3Y54 TIEOFF_X3Y54 TILESITE INT_R_X3Y55 TIEOFF_X3Y55 TILESITE INT_R_X3Y56 TIEOFF_X3Y56 TILESITE INT_R_X3Y57 TIEOFF_X3Y57 TILESITE INT_R_X3Y58 TIEOFF_X3Y58 TILESITE INT_R_X3Y59 TIEOFF_X3Y59 TILESITE INT_R_X3Y60 TIEOFF_X3Y60 TILESITE INT_R_X3Y61 TIEOFF_X3Y61 TILESITE INT_R_X3Y62 TIEOFF_X3Y62 TILESITE INT_R_X3Y63 TIEOFF_X3Y63 TILESITE INT_R_X3Y64 TIEOFF_X3Y64 TILESITE INT_R_X3Y65 TIEOFF_X3Y65 TILESITE INT_R_X3Y66 TIEOFF_X3Y66 TILESITE INT_R_X3Y67 TIEOFF_X3Y67 TILESITE INT_R_X3Y68 TIEOFF_X3Y68 TILESITE INT_R_X3Y69 TIEOFF_X3Y69 TILESITE INT_R_X3Y70 TIEOFF_X3Y70 TILESITE INT_R_X3Y71 TIEOFF_X3Y71 TILESITE INT_R_X3Y72 TIEOFF_X3Y72 TILESITE INT_R_X3Y73 TIEOFF_X3Y73 TILESITE INT_R_X3Y74 TIEOFF_X3Y74 TILESITE INT_R_X3Y75 TIEOFF_X3Y75 TILESITE INT_R_X3Y76 TIEOFF_X3Y76 TILESITE INT_R_X3Y77 TIEOFF_X3Y77 TILESITE INT_R_X3Y78 TIEOFF_X3Y78 TILESITE INT_R_X3Y79 TIEOFF_X3Y79 TILESITE INT_R_X3Y80 TIEOFF_X3Y80 TILESITE INT_R_X3Y81 TIEOFF_X3Y81 TILESITE INT_R_X3Y82 TIEOFF_X3Y82 TILESITE INT_R_X3Y83 TIEOFF_X3Y83 TILESITE INT_R_X3Y84 TIEOFF_X3Y84 TILESITE INT_R_X3Y85 TIEOFF_X3Y85 TILESITE INT_R_X3Y86 TIEOFF_X3Y86 TILESITE INT_R_X3Y87 TIEOFF_X3Y87 TILESITE INT_R_X3Y88 TIEOFF_X3Y88 TILESITE INT_R_X3Y89 TIEOFF_X3Y89 TILESITE INT_R_X3Y90 TIEOFF_X3Y90 TILESITE INT_R_X3Y91 TIEOFF_X3Y91 TILESITE INT_R_X3Y92 TIEOFF_X3Y92 TILESITE INT_R_X3Y93 TIEOFF_X3Y93 TILESITE INT_R_X3Y94 TIEOFF_X3Y94 TILESITE INT_R_X3Y95 TIEOFF_X3Y95 TILESITE INT_R_X3Y96 TIEOFF_X3Y96 TILESITE INT_R_X3Y97 TIEOFF_X3Y97 TILESITE INT_R_X3Y98 TIEOFF_X3Y98 TILESITE INT_R_X3Y99 TIEOFF_X3Y99 TILESITE INT_R_X3Y100 TIEOFF_X3Y100 TILESITE INT_R_X3Y101 TIEOFF_X3Y101 TILESITE INT_R_X3Y102 TIEOFF_X3Y102 TILESITE INT_R_X3Y103 TIEOFF_X3Y103 TILESITE INT_R_X3Y104 TIEOFF_X3Y104 TILESITE INT_R_X3Y105 TIEOFF_X3Y105 TILESITE INT_R_X3Y106 TIEOFF_X3Y106 TILESITE INT_R_X3Y107 TIEOFF_X3Y107 TILESITE INT_R_X3Y108 TIEOFF_X3Y108 TILESITE INT_R_X3Y109 TIEOFF_X3Y109 TILESITE INT_R_X3Y110 TIEOFF_X3Y110 TILESITE INT_R_X3Y111 TIEOFF_X3Y111 TILESITE INT_R_X3Y112 TIEOFF_X3Y112 TILESITE INT_R_X3Y113 TIEOFF_X3Y113 TILESITE INT_R_X3Y114 TIEOFF_X3Y114 TILESITE INT_R_X3Y115 TIEOFF_X3Y115 TILESITE INT_R_X3Y116 TIEOFF_X3Y116 TILESITE INT_R_X3Y117 TIEOFF_X3Y117 TILESITE INT_R_X3Y118 TIEOFF_X3Y118 TILESITE INT_R_X3Y119 TIEOFF_X3Y119 TILESITE INT_R_X3Y120 TIEOFF_X3Y120 TILESITE INT_R_X3Y121 TIEOFF_X3Y121 TILESITE INT_R_X3Y122 TIEOFF_X3Y122 TILESITE INT_R_X3Y123 TIEOFF_X3Y123 TILESITE INT_R_X3Y124 TIEOFF_X3Y124 TILESITE INT_R_X3Y125 TIEOFF_X3Y125 TILESITE INT_R_X3Y126 TIEOFF_X3Y126 TILESITE INT_R_X3Y127 TIEOFF_X3Y127 TILESITE INT_R_X3Y128 TIEOFF_X3Y128 TILESITE INT_R_X3Y129 TIEOFF_X3Y129 TILESITE INT_R_X3Y130 TIEOFF_X3Y130 TILESITE INT_R_X3Y131 TIEOFF_X3Y131 TILESITE INT_R_X3Y132 TIEOFF_X3Y132 TILESITE INT_R_X3Y133 TIEOFF_X3Y133 TILESITE INT_R_X3Y134 TIEOFF_X3Y134 TILESITE INT_R_X3Y135 TIEOFF_X3Y135 TILESITE INT_R_X3Y136 TIEOFF_X3Y136 TILESITE INT_R_X3Y137 TIEOFF_X3Y137 TILESITE INT_R_X3Y138 TIEOFF_X3Y138 TILESITE INT_R_X3Y139 TIEOFF_X3Y139 TILESITE INT_R_X3Y140 TIEOFF_X3Y140 TILESITE INT_R_X3Y141 TIEOFF_X3Y141 TILESITE INT_R_X3Y142 TIEOFF_X3Y142 TILESITE INT_R_X3Y143 TIEOFF_X3Y143 TILESITE INT_R_X3Y144 TIEOFF_X3Y144 TILESITE INT_R_X3Y145 TIEOFF_X3Y145 TILESITE INT_R_X3Y146 TIEOFF_X3Y146 TILESITE INT_R_X3Y147 TIEOFF_X3Y147 TILESITE INT_R_X3Y148 TIEOFF_X3Y148 TILESITE INT_R_X3Y149 TIEOFF_X3Y149 TILESITE INT_R_X41Y0 TIEOFF_X43Y0 TILESITE INT_R_X41Y1 TIEOFF_X43Y1 TILESITE INT_R_X41Y2 TIEOFF_X43Y2 TILESITE INT_R_X41Y3 TIEOFF_X43Y3 TILESITE INT_R_X41Y4 TIEOFF_X43Y4 TILESITE INT_R_X41Y5 TIEOFF_X43Y5 TILESITE INT_R_X41Y6 TIEOFF_X43Y6 TILESITE INT_R_X41Y7 TIEOFF_X43Y7 TILESITE INT_R_X41Y8 TIEOFF_X43Y8 TILESITE INT_R_X41Y9 TIEOFF_X43Y9 TILESITE INT_R_X41Y10 TIEOFF_X43Y10 TILESITE INT_R_X41Y11 TIEOFF_X43Y11 TILESITE INT_R_X41Y12 TIEOFF_X43Y12 TILESITE INT_R_X41Y13 TIEOFF_X43Y13 TILESITE INT_R_X41Y14 TIEOFF_X43Y14 TILESITE INT_R_X41Y15 TIEOFF_X43Y15 TILESITE INT_R_X41Y16 TIEOFF_X43Y16 TILESITE INT_R_X41Y17 TIEOFF_X43Y17 TILESITE INT_R_X41Y18 TIEOFF_X43Y18 TILESITE INT_R_X41Y19 TIEOFF_X43Y19 TILESITE INT_R_X41Y20 TIEOFF_X43Y20 TILESITE INT_R_X41Y21 TIEOFF_X43Y21 TILESITE INT_R_X41Y22 TIEOFF_X43Y22 TILESITE INT_R_X41Y23 TIEOFF_X43Y23 TILESITE INT_R_X41Y24 TIEOFF_X43Y24 TILESITE INT_R_X41Y25 TIEOFF_X43Y25 TILESITE INT_R_X41Y26 TIEOFF_X43Y26 TILESITE INT_R_X41Y27 TIEOFF_X43Y27 TILESITE INT_R_X41Y28 TIEOFF_X43Y28 TILESITE INT_R_X41Y29 TIEOFF_X43Y29 TILESITE INT_R_X41Y30 TIEOFF_X43Y30 TILESITE INT_R_X41Y31 TIEOFF_X43Y31 TILESITE INT_R_X41Y32 TIEOFF_X43Y32 TILESITE INT_R_X41Y33 TIEOFF_X43Y33 TILESITE INT_R_X41Y34 TIEOFF_X43Y34 TILESITE INT_R_X41Y35 TIEOFF_X43Y35 TILESITE INT_R_X41Y36 TIEOFF_X43Y36 TILESITE INT_R_X41Y37 TIEOFF_X43Y37 TILESITE INT_R_X41Y38 TIEOFF_X43Y38 TILESITE INT_R_X41Y39 TIEOFF_X43Y39 TILESITE INT_R_X41Y40 TIEOFF_X43Y40 TILESITE INT_R_X41Y41 TIEOFF_X43Y41 TILESITE INT_R_X41Y42 TIEOFF_X43Y42 TILESITE INT_R_X41Y43 TIEOFF_X43Y43 TILESITE INT_R_X41Y44 TIEOFF_X43Y44 TILESITE INT_R_X41Y45 TIEOFF_X43Y45 TILESITE INT_R_X41Y46 TIEOFF_X43Y46 TILESITE INT_R_X41Y47 TIEOFF_X43Y47 TILESITE INT_R_X41Y48 TIEOFF_X43Y48 TILESITE INT_R_X41Y49 TIEOFF_X43Y49 TILESITE INT_R_X41Y50 TIEOFF_X43Y50 TILESITE INT_R_X41Y51 TIEOFF_X43Y51 TILESITE INT_R_X41Y52 TIEOFF_X43Y52 TILESITE INT_R_X41Y53 TIEOFF_X43Y53 TILESITE INT_R_X41Y54 TIEOFF_X43Y54 TILESITE INT_R_X41Y55 TIEOFF_X43Y55 TILESITE INT_R_X41Y56 TIEOFF_X43Y56 TILESITE INT_R_X41Y57 TIEOFF_X43Y57 TILESITE INT_R_X41Y58 TIEOFF_X43Y58 TILESITE INT_R_X41Y59 TIEOFF_X43Y59 TILESITE INT_R_X41Y60 TIEOFF_X43Y60 TILESITE INT_R_X41Y61 TIEOFF_X43Y61 TILESITE INT_R_X41Y62 TIEOFF_X43Y62 TILESITE INT_R_X41Y63 TIEOFF_X43Y63 TILESITE INT_R_X41Y64 TIEOFF_X43Y64 TILESITE INT_R_X41Y65 TIEOFF_X43Y65 TILESITE INT_R_X41Y66 TIEOFF_X43Y66 TILESITE INT_R_X41Y67 TIEOFF_X43Y67 TILESITE INT_R_X41Y68 TIEOFF_X43Y68 TILESITE INT_R_X41Y69 TIEOFF_X43Y69 TILESITE INT_R_X41Y70 TIEOFF_X43Y70 TILESITE INT_R_X41Y71 TIEOFF_X43Y71 TILESITE INT_R_X41Y72 TIEOFF_X43Y72 TILESITE INT_R_X41Y73 TIEOFF_X43Y73 TILESITE INT_R_X41Y74 TIEOFF_X43Y74 TILESITE INT_R_X41Y75 TIEOFF_X43Y75 TILESITE INT_R_X41Y76 TIEOFF_X43Y76 TILESITE INT_R_X41Y77 TIEOFF_X43Y77 TILESITE INT_R_X41Y78 TIEOFF_X43Y78 TILESITE INT_R_X41Y79 TIEOFF_X43Y79 TILESITE INT_R_X41Y80 TIEOFF_X43Y80 TILESITE INT_R_X41Y81 TIEOFF_X43Y81 TILESITE INT_R_X41Y82 TIEOFF_X43Y82 TILESITE INT_R_X41Y83 TIEOFF_X43Y83 TILESITE INT_R_X41Y84 TIEOFF_X43Y84 TILESITE INT_R_X41Y85 TIEOFF_X43Y85 TILESITE INT_R_X41Y86 TIEOFF_X43Y86 TILESITE INT_R_X41Y87 TIEOFF_X43Y87 TILESITE INT_R_X41Y88 TIEOFF_X43Y88 TILESITE INT_R_X41Y89 TIEOFF_X43Y89 TILESITE INT_R_X41Y90 TIEOFF_X43Y90 TILESITE INT_R_X41Y91 TIEOFF_X43Y91 TILESITE INT_R_X41Y92 TIEOFF_X43Y92 TILESITE INT_R_X41Y93 TIEOFF_X43Y93 TILESITE INT_R_X41Y94 TIEOFF_X43Y94 TILESITE INT_R_X41Y95 TIEOFF_X43Y95 TILESITE INT_R_X41Y96 TIEOFF_X43Y96 TILESITE INT_R_X41Y97 TIEOFF_X43Y97 TILESITE INT_R_X41Y98 TIEOFF_X43Y98 TILESITE INT_R_X41Y99 TIEOFF_X43Y99 TILESITE INT_R_X43Y0 TIEOFF_X45Y0 TILESITE INT_R_X43Y1 TIEOFF_X45Y1 TILESITE INT_R_X43Y2 TIEOFF_X45Y2 TILESITE INT_R_X43Y3 TIEOFF_X45Y3 TILESITE INT_R_X43Y4 TIEOFF_X45Y4 TILESITE INT_R_X43Y5 TIEOFF_X45Y5 TILESITE INT_R_X43Y6 TIEOFF_X45Y6 TILESITE INT_R_X43Y7 TIEOFF_X45Y7 TILESITE INT_R_X43Y8 TIEOFF_X45Y8 TILESITE INT_R_X43Y9 TIEOFF_X45Y9 TILESITE INT_R_X43Y10 TIEOFF_X45Y10 TILESITE INT_R_X43Y11 TIEOFF_X45Y11 TILESITE INT_R_X43Y12 TIEOFF_X45Y12 TILESITE INT_R_X43Y13 TIEOFF_X45Y13 TILESITE INT_R_X43Y14 TIEOFF_X45Y14 TILESITE INT_R_X43Y15 TIEOFF_X45Y15 TILESITE INT_R_X43Y16 TIEOFF_X45Y16 TILESITE INT_R_X43Y17 TIEOFF_X45Y17 TILESITE INT_R_X43Y18 TIEOFF_X45Y18 TILESITE INT_R_X43Y19 TIEOFF_X45Y19 TILESITE INT_R_X43Y20 TIEOFF_X45Y20 TILESITE INT_R_X43Y21 TIEOFF_X45Y21 TILESITE INT_R_X43Y22 TIEOFF_X45Y22 TILESITE INT_R_X43Y23 TIEOFF_X45Y23 TILESITE INT_R_X43Y24 TIEOFF_X45Y24 TILESITE INT_R_X43Y25 TIEOFF_X45Y25 TILESITE INT_R_X43Y26 TIEOFF_X45Y26 TILESITE INT_R_X43Y27 TIEOFF_X45Y27 TILESITE INT_R_X43Y28 TIEOFF_X45Y28 TILESITE INT_R_X43Y29 TIEOFF_X45Y29 TILESITE INT_R_X43Y30 TIEOFF_X45Y30 TILESITE INT_R_X43Y31 TIEOFF_X45Y31 TILESITE INT_R_X43Y32 TIEOFF_X45Y32 TILESITE INT_R_X43Y33 TIEOFF_X45Y33 TILESITE INT_R_X43Y34 TIEOFF_X45Y34 TILESITE INT_R_X43Y35 TIEOFF_X45Y35 TILESITE INT_R_X43Y36 TIEOFF_X45Y36 TILESITE INT_R_X43Y37 TIEOFF_X45Y37 TILESITE INT_R_X43Y38 TIEOFF_X45Y38 TILESITE INT_R_X43Y39 TIEOFF_X45Y39 TILESITE INT_R_X43Y40 TIEOFF_X45Y40 TILESITE INT_R_X43Y41 TIEOFF_X45Y41 TILESITE INT_R_X43Y42 TIEOFF_X45Y42 TILESITE INT_R_X43Y43 TIEOFF_X45Y43 TILESITE INT_R_X43Y44 TIEOFF_X45Y44 TILESITE INT_R_X43Y45 TIEOFF_X45Y45 TILESITE INT_R_X43Y46 TIEOFF_X45Y46 TILESITE INT_R_X43Y47 TIEOFF_X45Y47 TILESITE INT_R_X43Y48 TIEOFF_X45Y48 TILESITE INT_R_X43Y49 TIEOFF_X45Y49 TILESITE INT_R_X43Y50 TIEOFF_X45Y50 TILESITE INT_R_X43Y51 TIEOFF_X45Y51 TILESITE INT_R_X43Y52 TIEOFF_X45Y52 TILESITE INT_R_X43Y53 TIEOFF_X45Y53 TILESITE INT_R_X43Y54 TIEOFF_X45Y54 TILESITE INT_R_X43Y55 TIEOFF_X45Y55 TILESITE INT_R_X43Y56 TIEOFF_X45Y56 TILESITE INT_R_X43Y57 TIEOFF_X45Y57 TILESITE INT_R_X43Y58 TIEOFF_X45Y58 TILESITE INT_R_X43Y59 TIEOFF_X45Y59 TILESITE INT_R_X43Y60 TIEOFF_X45Y60 TILESITE INT_R_X43Y61 TIEOFF_X45Y61 TILESITE INT_R_X43Y62 TIEOFF_X45Y62 TILESITE INT_R_X43Y63 TIEOFF_X45Y63 TILESITE INT_R_X43Y64 TIEOFF_X45Y64 TILESITE INT_R_X43Y65 TIEOFF_X45Y65 TILESITE INT_R_X43Y66 TIEOFF_X45Y66 TILESITE INT_R_X43Y67 TIEOFF_X45Y67 TILESITE INT_R_X43Y68 TIEOFF_X45Y68 TILESITE INT_R_X43Y69 TIEOFF_X45Y69 TILESITE INT_R_X43Y70 TIEOFF_X45Y70 TILESITE INT_R_X43Y71 TIEOFF_X45Y71 TILESITE INT_R_X43Y72 TIEOFF_X45Y72 TILESITE INT_R_X43Y73 TIEOFF_X45Y73 TILESITE INT_R_X43Y74 TIEOFF_X45Y74 TILESITE INT_R_X43Y75 TIEOFF_X45Y75 TILESITE INT_R_X43Y76 TIEOFF_X45Y76 TILESITE INT_R_X43Y77 TIEOFF_X45Y77 TILESITE INT_R_X43Y78 TIEOFF_X45Y78 TILESITE INT_R_X43Y79 TIEOFF_X45Y79 TILESITE INT_R_X43Y80 TIEOFF_X45Y80 TILESITE INT_R_X43Y81 TIEOFF_X45Y81 TILESITE INT_R_X43Y82 TIEOFF_X45Y82 TILESITE INT_R_X43Y83 TIEOFF_X45Y83 TILESITE INT_R_X43Y84 TIEOFF_X45Y84 TILESITE INT_R_X43Y85 TIEOFF_X45Y85 TILESITE INT_R_X43Y86 TIEOFF_X45Y86 TILESITE INT_R_X43Y87 TIEOFF_X45Y87 TILESITE INT_R_X43Y88 TIEOFF_X45Y88 TILESITE INT_R_X43Y89 TIEOFF_X45Y89 TILESITE INT_R_X43Y90 TIEOFF_X45Y90 TILESITE INT_R_X43Y91 TIEOFF_X45Y91 TILESITE INT_R_X43Y92 TIEOFF_X45Y92 TILESITE INT_R_X43Y93 TIEOFF_X45Y93 TILESITE INT_R_X43Y94 TIEOFF_X45Y94 TILESITE INT_R_X43Y95 TIEOFF_X45Y95 TILESITE INT_R_X43Y96 TIEOFF_X45Y96 TILESITE INT_R_X43Y97 TIEOFF_X45Y97 TILESITE INT_R_X43Y98 TIEOFF_X45Y98 TILESITE INT_R_X43Y99 TIEOFF_X45Y99 TILESITE INT_R_X5Y0 TIEOFF_X5Y0 TILESITE INT_R_X5Y1 TIEOFF_X5Y1 TILESITE INT_R_X5Y2 TIEOFF_X5Y2 TILESITE INT_R_X5Y3 TIEOFF_X5Y3 TILESITE INT_R_X5Y4 TIEOFF_X5Y4 TILESITE INT_R_X5Y5 TIEOFF_X5Y5 TILESITE INT_R_X5Y6 TIEOFF_X5Y6 TILESITE INT_R_X5Y7 TIEOFF_X5Y7 TILESITE INT_R_X5Y8 TIEOFF_X5Y8 TILESITE INT_R_X5Y9 TIEOFF_X5Y9 TILESITE INT_R_X5Y10 TIEOFF_X5Y10 TILESITE INT_R_X5Y11 TIEOFF_X5Y11 TILESITE INT_R_X5Y12 TIEOFF_X5Y12 TILESITE INT_R_X5Y13 TIEOFF_X5Y13 TILESITE INT_R_X5Y14 TIEOFF_X5Y14 TILESITE INT_R_X5Y15 TIEOFF_X5Y15 TILESITE INT_R_X5Y16 TIEOFF_X5Y16 TILESITE INT_R_X5Y17 TIEOFF_X5Y17 TILESITE INT_R_X5Y18 TIEOFF_X5Y18 TILESITE INT_R_X5Y19 TIEOFF_X5Y19 TILESITE INT_R_X5Y20 TIEOFF_X5Y20 TILESITE INT_R_X5Y21 TIEOFF_X5Y21 TILESITE INT_R_X5Y22 TIEOFF_X5Y22 TILESITE INT_R_X5Y23 TIEOFF_X5Y23 TILESITE INT_R_X5Y24 TIEOFF_X5Y24 TILESITE INT_R_X5Y25 TIEOFF_X5Y25 TILESITE INT_R_X5Y26 TIEOFF_X5Y26 TILESITE INT_R_X5Y27 TIEOFF_X5Y27 TILESITE INT_R_X5Y28 TIEOFF_X5Y28 TILESITE INT_R_X5Y29 TIEOFF_X5Y29 TILESITE INT_R_X5Y30 TIEOFF_X5Y30 TILESITE INT_R_X5Y31 TIEOFF_X5Y31 TILESITE INT_R_X5Y32 TIEOFF_X5Y32 TILESITE INT_R_X5Y33 TIEOFF_X5Y33 TILESITE INT_R_X5Y34 TIEOFF_X5Y34 TILESITE INT_R_X5Y35 TIEOFF_X5Y35 TILESITE INT_R_X5Y36 TIEOFF_X5Y36 TILESITE INT_R_X5Y37 TIEOFF_X5Y37 TILESITE INT_R_X5Y38 TIEOFF_X5Y38 TILESITE INT_R_X5Y39 TIEOFF_X5Y39 TILESITE INT_R_X5Y40 TIEOFF_X5Y40 TILESITE INT_R_X5Y41 TIEOFF_X5Y41 TILESITE INT_R_X5Y42 TIEOFF_X5Y42 TILESITE INT_R_X5Y43 TIEOFF_X5Y43 TILESITE INT_R_X5Y44 TIEOFF_X5Y44 TILESITE INT_R_X5Y45 TIEOFF_X5Y45 TILESITE INT_R_X5Y46 TIEOFF_X5Y46 TILESITE INT_R_X5Y47 TIEOFF_X5Y47 TILESITE INT_R_X5Y48 TIEOFF_X5Y48 TILESITE INT_R_X5Y49 TIEOFF_X5Y49 TILESITE INT_R_X5Y50 TIEOFF_X5Y50 TILESITE INT_R_X5Y51 TIEOFF_X5Y51 TILESITE INT_R_X5Y52 TIEOFF_X5Y52 TILESITE INT_R_X5Y53 TIEOFF_X5Y53 TILESITE INT_R_X5Y54 TIEOFF_X5Y54 TILESITE INT_R_X5Y55 TIEOFF_X5Y55 TILESITE INT_R_X5Y56 TIEOFF_X5Y56 TILESITE INT_R_X5Y57 TIEOFF_X5Y57 TILESITE INT_R_X5Y58 TIEOFF_X5Y58 TILESITE INT_R_X5Y59 TIEOFF_X5Y59 TILESITE INT_R_X5Y60 TIEOFF_X5Y60 TILESITE INT_R_X5Y61 TIEOFF_X5Y61 TILESITE INT_R_X5Y62 TIEOFF_X5Y62 TILESITE INT_R_X5Y63 TIEOFF_X5Y63 TILESITE INT_R_X5Y64 TIEOFF_X5Y64 TILESITE INT_R_X5Y65 TIEOFF_X5Y65 TILESITE INT_R_X5Y66 TIEOFF_X5Y66 TILESITE INT_R_X5Y67 TIEOFF_X5Y67 TILESITE INT_R_X5Y68 TIEOFF_X5Y68 TILESITE INT_R_X5Y69 TIEOFF_X5Y69 TILESITE INT_R_X5Y70 TIEOFF_X5Y70 TILESITE INT_R_X5Y71 TIEOFF_X5Y71 TILESITE INT_R_X5Y72 TIEOFF_X5Y72 TILESITE INT_R_X5Y73 TIEOFF_X5Y73 TILESITE INT_R_X5Y74 TIEOFF_X5Y74 TILESITE INT_R_X5Y75 TIEOFF_X5Y75 TILESITE INT_R_X5Y76 TIEOFF_X5Y76 TILESITE INT_R_X5Y77 TIEOFF_X5Y77 TILESITE INT_R_X5Y78 TIEOFF_X5Y78 TILESITE INT_R_X5Y79 TIEOFF_X5Y79 TILESITE INT_R_X5Y80 TIEOFF_X5Y80 TILESITE INT_R_X5Y81 TIEOFF_X5Y81 TILESITE INT_R_X5Y82 TIEOFF_X5Y82 TILESITE INT_R_X5Y83 TIEOFF_X5Y83 TILESITE INT_R_X5Y84 TIEOFF_X5Y84 TILESITE INT_R_X5Y85 TIEOFF_X5Y85 TILESITE INT_R_X5Y86 TIEOFF_X5Y86 TILESITE INT_R_X5Y87 TIEOFF_X5Y87 TILESITE INT_R_X5Y88 TIEOFF_X5Y88 TILESITE INT_R_X5Y89 TIEOFF_X5Y89 TILESITE INT_R_X5Y90 TIEOFF_X5Y90 TILESITE INT_R_X5Y91 TIEOFF_X5Y91 TILESITE INT_R_X5Y92 TIEOFF_X5Y92 TILESITE INT_R_X5Y93 TIEOFF_X5Y93 TILESITE INT_R_X5Y94 TIEOFF_X5Y94 TILESITE INT_R_X5Y95 TIEOFF_X5Y95 TILESITE INT_R_X5Y96 TIEOFF_X5Y96 TILESITE INT_R_X5Y97 TIEOFF_X5Y97 TILESITE INT_R_X5Y98 TIEOFF_X5Y98 TILESITE INT_R_X5Y99 TIEOFF_X5Y99 TILESITE INT_R_X5Y100 TIEOFF_X5Y100 TILESITE INT_R_X5Y101 TIEOFF_X5Y101 TILESITE INT_R_X5Y102 TIEOFF_X5Y102 TILESITE INT_R_X5Y103 TIEOFF_X5Y103 TILESITE INT_R_X5Y104 TIEOFF_X5Y104 TILESITE INT_R_X5Y105 TIEOFF_X5Y105 TILESITE INT_R_X5Y106 TIEOFF_X5Y106 TILESITE INT_R_X5Y107 TIEOFF_X5Y107 TILESITE INT_R_X5Y108 TIEOFF_X5Y108 TILESITE INT_R_X5Y109 TIEOFF_X5Y109 TILESITE INT_R_X5Y110 TIEOFF_X5Y110 TILESITE INT_R_X5Y111 TIEOFF_X5Y111 TILESITE INT_R_X5Y112 TIEOFF_X5Y112 TILESITE INT_R_X5Y113 TIEOFF_X5Y113 TILESITE INT_R_X5Y114 TIEOFF_X5Y114 TILESITE INT_R_X5Y115 TIEOFF_X5Y115 TILESITE INT_R_X5Y116 TIEOFF_X5Y116 TILESITE INT_R_X5Y117 TIEOFF_X5Y117 TILESITE INT_R_X5Y118 TIEOFF_X5Y118 TILESITE INT_R_X5Y119 TIEOFF_X5Y119 TILESITE INT_R_X5Y120 TIEOFF_X5Y120 TILESITE INT_R_X5Y121 TIEOFF_X5Y121 TILESITE INT_R_X5Y122 TIEOFF_X5Y122 TILESITE INT_R_X5Y123 TIEOFF_X5Y123 TILESITE INT_R_X5Y124 TIEOFF_X5Y124 TILESITE INT_R_X5Y125 TIEOFF_X5Y125 TILESITE INT_R_X5Y126 TIEOFF_X5Y126 TILESITE INT_R_X5Y127 TIEOFF_X5Y127 TILESITE INT_R_X5Y128 TIEOFF_X5Y128 TILESITE INT_R_X5Y129 TIEOFF_X5Y129 TILESITE INT_R_X5Y130 TIEOFF_X5Y130 TILESITE INT_R_X5Y131 TIEOFF_X5Y131 TILESITE INT_R_X5Y132 TIEOFF_X5Y132 TILESITE INT_R_X5Y133 TIEOFF_X5Y133 TILESITE INT_R_X5Y134 TIEOFF_X5Y134 TILESITE INT_R_X5Y135 TIEOFF_X5Y135 TILESITE INT_R_X5Y136 TIEOFF_X5Y136 TILESITE INT_R_X5Y137 TIEOFF_X5Y137 TILESITE INT_R_X5Y138 TIEOFF_X5Y138 TILESITE INT_R_X5Y139 TIEOFF_X5Y139 TILESITE INT_R_X5Y140 TIEOFF_X5Y140 TILESITE INT_R_X5Y141 TIEOFF_X5Y141 TILESITE INT_R_X5Y142 TIEOFF_X5Y142 TILESITE INT_R_X5Y143 TIEOFF_X5Y143 TILESITE INT_R_X5Y144 TIEOFF_X5Y144 TILESITE INT_R_X5Y145 TIEOFF_X5Y145 TILESITE INT_R_X5Y146 TIEOFF_X5Y146 TILESITE INT_R_X5Y147 TIEOFF_X5Y147 TILESITE INT_R_X5Y148 TIEOFF_X5Y148 TILESITE INT_R_X5Y149 TIEOFF_X5Y149 TILESITE INT_R_X7Y0 TIEOFF_X7Y0 TILESITE INT_R_X7Y1 TIEOFF_X7Y1 TILESITE INT_R_X7Y2 TIEOFF_X7Y2 TILESITE INT_R_X7Y3 TIEOFF_X7Y3 TILESITE INT_R_X7Y4 TIEOFF_X7Y4 TILESITE INT_R_X7Y5 TIEOFF_X7Y5 TILESITE INT_R_X7Y6 TIEOFF_X7Y6 TILESITE INT_R_X7Y7 TIEOFF_X7Y7 TILESITE INT_R_X7Y8 TIEOFF_X7Y8 TILESITE INT_R_X7Y9 TIEOFF_X7Y9 TILESITE INT_R_X7Y10 TIEOFF_X7Y10 TILESITE INT_R_X7Y11 TIEOFF_X7Y11 TILESITE INT_R_X7Y12 TIEOFF_X7Y12 TILESITE INT_R_X7Y13 TIEOFF_X7Y13 TILESITE INT_R_X7Y14 TIEOFF_X7Y14 TILESITE INT_R_X7Y15 TIEOFF_X7Y15 TILESITE INT_R_X7Y16 TIEOFF_X7Y16 TILESITE INT_R_X7Y17 TIEOFF_X7Y17 TILESITE INT_R_X7Y18 TIEOFF_X7Y18 TILESITE INT_R_X7Y19 TIEOFF_X7Y19 TILESITE INT_R_X7Y20 TIEOFF_X7Y20 TILESITE INT_R_X7Y21 TIEOFF_X7Y21 TILESITE INT_R_X7Y22 TIEOFF_X7Y22 TILESITE INT_R_X7Y23 TIEOFF_X7Y23 TILESITE INT_R_X7Y24 TIEOFF_X7Y24 TILESITE INT_R_X7Y25 TIEOFF_X7Y25 TILESITE INT_R_X7Y26 TIEOFF_X7Y26 TILESITE INT_R_X7Y27 TIEOFF_X7Y27 TILESITE INT_R_X7Y28 TIEOFF_X7Y28 TILESITE INT_R_X7Y29 TIEOFF_X7Y29 TILESITE INT_R_X7Y30 TIEOFF_X7Y30 TILESITE INT_R_X7Y31 TIEOFF_X7Y31 TILESITE INT_R_X7Y32 TIEOFF_X7Y32 TILESITE INT_R_X7Y33 TIEOFF_X7Y33 TILESITE INT_R_X7Y34 TIEOFF_X7Y34 TILESITE INT_R_X7Y35 TIEOFF_X7Y35 TILESITE INT_R_X7Y36 TIEOFF_X7Y36 TILESITE INT_R_X7Y37 TIEOFF_X7Y37 TILESITE INT_R_X7Y38 TIEOFF_X7Y38 TILESITE INT_R_X7Y39 TIEOFF_X7Y39 TILESITE INT_R_X7Y40 TIEOFF_X7Y40 TILESITE INT_R_X7Y41 TIEOFF_X7Y41 TILESITE INT_R_X7Y42 TIEOFF_X7Y42 TILESITE INT_R_X7Y43 TIEOFF_X7Y43 TILESITE INT_R_X7Y44 TIEOFF_X7Y44 TILESITE INT_R_X7Y45 TIEOFF_X7Y45 TILESITE INT_R_X7Y46 TIEOFF_X7Y46 TILESITE INT_R_X7Y47 TIEOFF_X7Y47 TILESITE INT_R_X7Y48 TIEOFF_X7Y48 TILESITE INT_R_X7Y49 TIEOFF_X7Y49 TILESITE INT_R_X7Y50 TIEOFF_X7Y50 TILESITE INT_R_X7Y51 TIEOFF_X7Y51 TILESITE INT_R_X7Y52 TIEOFF_X7Y52 TILESITE INT_R_X7Y53 TIEOFF_X7Y53 TILESITE INT_R_X7Y54 TIEOFF_X7Y54 TILESITE INT_R_X7Y55 TIEOFF_X7Y55 TILESITE INT_R_X7Y56 TIEOFF_X7Y56 TILESITE INT_R_X7Y57 TIEOFF_X7Y57 TILESITE INT_R_X7Y58 TIEOFF_X7Y58 TILESITE INT_R_X7Y59 TIEOFF_X7Y59 TILESITE INT_R_X7Y60 TIEOFF_X7Y60 TILESITE INT_R_X7Y61 TIEOFF_X7Y61 TILESITE INT_R_X7Y62 TIEOFF_X7Y62 TILESITE INT_R_X7Y63 TIEOFF_X7Y63 TILESITE INT_R_X7Y64 TIEOFF_X7Y64 TILESITE INT_R_X7Y65 TIEOFF_X7Y65 TILESITE INT_R_X7Y66 TIEOFF_X7Y66 TILESITE INT_R_X7Y67 TIEOFF_X7Y67 TILESITE INT_R_X7Y68 TIEOFF_X7Y68 TILESITE INT_R_X7Y69 TIEOFF_X7Y69 TILESITE INT_R_X7Y70 TIEOFF_X7Y70 TILESITE INT_R_X7Y71 TIEOFF_X7Y71 TILESITE INT_R_X7Y72 TIEOFF_X7Y72 TILESITE INT_R_X7Y73 TIEOFF_X7Y73 TILESITE INT_R_X7Y74 TIEOFF_X7Y74 TILESITE INT_R_X7Y75 TIEOFF_X7Y75 TILESITE INT_R_X7Y76 TIEOFF_X7Y76 TILESITE INT_R_X7Y77 TIEOFF_X7Y77 TILESITE INT_R_X7Y78 TIEOFF_X7Y78 TILESITE INT_R_X7Y79 TIEOFF_X7Y79 TILESITE INT_R_X7Y80 TIEOFF_X7Y80 TILESITE INT_R_X7Y81 TIEOFF_X7Y81 TILESITE INT_R_X7Y82 TIEOFF_X7Y82 TILESITE INT_R_X7Y83 TIEOFF_X7Y83 TILESITE INT_R_X7Y84 TIEOFF_X7Y84 TILESITE INT_R_X7Y85 TIEOFF_X7Y85 TILESITE INT_R_X7Y86 TIEOFF_X7Y86 TILESITE INT_R_X7Y87 TIEOFF_X7Y87 TILESITE INT_R_X7Y88 TIEOFF_X7Y88 TILESITE INT_R_X7Y89 TIEOFF_X7Y89 TILESITE INT_R_X7Y90 TIEOFF_X7Y90 TILESITE INT_R_X7Y91 TIEOFF_X7Y91 TILESITE INT_R_X7Y92 TIEOFF_X7Y92 TILESITE INT_R_X7Y93 TIEOFF_X7Y93 TILESITE INT_R_X7Y94 TIEOFF_X7Y94 TILESITE INT_R_X7Y95 TIEOFF_X7Y95 TILESITE INT_R_X7Y96 TIEOFF_X7Y96 TILESITE INT_R_X7Y97 TIEOFF_X7Y97 TILESITE INT_R_X7Y98 TIEOFF_X7Y98 TILESITE INT_R_X7Y99 TIEOFF_X7Y99 TILESITE INT_R_X7Y100 TIEOFF_X7Y100 TILESITE INT_R_X7Y101 TIEOFF_X7Y101 TILESITE INT_R_X7Y102 TIEOFF_X7Y102 TILESITE INT_R_X7Y103 TIEOFF_X7Y103 TILESITE INT_R_X7Y104 TIEOFF_X7Y104 TILESITE INT_R_X7Y105 TIEOFF_X7Y105 TILESITE INT_R_X7Y106 TIEOFF_X7Y106 TILESITE INT_R_X7Y107 TIEOFF_X7Y107 TILESITE INT_R_X7Y108 TIEOFF_X7Y108 TILESITE INT_R_X7Y109 TIEOFF_X7Y109 TILESITE INT_R_X7Y110 TIEOFF_X7Y110 TILESITE INT_R_X7Y111 TIEOFF_X7Y111 TILESITE INT_R_X7Y112 TIEOFF_X7Y112 TILESITE INT_R_X7Y113 TIEOFF_X7Y113 TILESITE INT_R_X7Y114 TIEOFF_X7Y114 TILESITE INT_R_X7Y115 TIEOFF_X7Y115 TILESITE INT_R_X7Y116 TIEOFF_X7Y116 TILESITE INT_R_X7Y117 TIEOFF_X7Y117 TILESITE INT_R_X7Y118 TIEOFF_X7Y118 TILESITE INT_R_X7Y119 TIEOFF_X7Y119 TILESITE INT_R_X7Y120 TIEOFF_X7Y120 TILESITE INT_R_X7Y121 TIEOFF_X7Y121 TILESITE INT_R_X7Y122 TIEOFF_X7Y122 TILESITE INT_R_X7Y123 TIEOFF_X7Y123 TILESITE INT_R_X7Y124 TIEOFF_X7Y124 TILESITE INT_R_X7Y125 TIEOFF_X7Y125 TILESITE INT_R_X7Y126 TIEOFF_X7Y126 TILESITE INT_R_X7Y127 TIEOFF_X7Y127 TILESITE INT_R_X7Y128 TIEOFF_X7Y128 TILESITE INT_R_X7Y129 TIEOFF_X7Y129 TILESITE INT_R_X7Y130 TIEOFF_X7Y130 TILESITE INT_R_X7Y131 TIEOFF_X7Y131 TILESITE INT_R_X7Y132 TIEOFF_X7Y132 TILESITE INT_R_X7Y133 TIEOFF_X7Y133 TILESITE INT_R_X7Y134 TIEOFF_X7Y134 TILESITE INT_R_X7Y135 TIEOFF_X7Y135 TILESITE INT_R_X7Y136 TIEOFF_X7Y136 TILESITE INT_R_X7Y137 TIEOFF_X7Y137 TILESITE INT_R_X7Y138 TIEOFF_X7Y138 TILESITE INT_R_X7Y139 TIEOFF_X7Y139 TILESITE INT_R_X7Y140 TIEOFF_X7Y140 TILESITE INT_R_X7Y141 TIEOFF_X7Y141 TILESITE INT_R_X7Y142 TIEOFF_X7Y142 TILESITE INT_R_X7Y143 TIEOFF_X7Y143 TILESITE INT_R_X7Y144 TIEOFF_X7Y144 TILESITE INT_R_X7Y145 TIEOFF_X7Y145 TILESITE INT_R_X7Y146 TIEOFF_X7Y146 TILESITE INT_R_X7Y147 TIEOFF_X7Y147 TILESITE INT_R_X7Y148 TIEOFF_X7Y148 TILESITE INT_R_X7Y149 TIEOFF_X7Y149 TILESITE INT_R_X9Y0 TIEOFF_X9Y0 TILESITE INT_R_X9Y1 TIEOFF_X9Y1 TILESITE INT_R_X9Y2 TIEOFF_X9Y2 TILESITE INT_R_X9Y3 TIEOFF_X9Y3 TILESITE INT_R_X9Y4 TIEOFF_X9Y4 TILESITE INT_R_X9Y5 TIEOFF_X9Y5 TILESITE INT_R_X9Y6 TIEOFF_X9Y6 TILESITE INT_R_X9Y7 TIEOFF_X9Y7 TILESITE INT_R_X9Y8 TIEOFF_X9Y8 TILESITE INT_R_X9Y9 TIEOFF_X9Y9 TILESITE INT_R_X9Y10 TIEOFF_X9Y10 TILESITE INT_R_X9Y11 TIEOFF_X9Y11 TILESITE INT_R_X9Y12 TIEOFF_X9Y12 TILESITE INT_R_X9Y13 TIEOFF_X9Y13 TILESITE INT_R_X9Y14 TIEOFF_X9Y14 TILESITE INT_R_X9Y15 TIEOFF_X9Y15 TILESITE INT_R_X9Y16 TIEOFF_X9Y16 TILESITE INT_R_X9Y17 TIEOFF_X9Y17 TILESITE INT_R_X9Y18 TIEOFF_X9Y18 TILESITE INT_R_X9Y19 TIEOFF_X9Y19 TILESITE INT_R_X9Y20 TIEOFF_X9Y20 TILESITE INT_R_X9Y21 TIEOFF_X9Y21 TILESITE INT_R_X9Y22 TIEOFF_X9Y22 TILESITE INT_R_X9Y23 TIEOFF_X9Y23 TILESITE INT_R_X9Y24 TIEOFF_X9Y24 TILESITE INT_R_X9Y25 TIEOFF_X9Y25 TILESITE INT_R_X9Y26 TIEOFF_X9Y26 TILESITE INT_R_X9Y27 TIEOFF_X9Y27 TILESITE INT_R_X9Y28 TIEOFF_X9Y28 TILESITE INT_R_X9Y29 TIEOFF_X9Y29 TILESITE INT_R_X9Y30 TIEOFF_X9Y30 TILESITE INT_R_X9Y31 TIEOFF_X9Y31 TILESITE INT_R_X9Y32 TIEOFF_X9Y32 TILESITE INT_R_X9Y33 TIEOFF_X9Y33 TILESITE INT_R_X9Y34 TIEOFF_X9Y34 TILESITE INT_R_X9Y35 TIEOFF_X9Y35 TILESITE INT_R_X9Y36 TIEOFF_X9Y36 TILESITE INT_R_X9Y37 TIEOFF_X9Y37 TILESITE INT_R_X9Y38 TIEOFF_X9Y38 TILESITE INT_R_X9Y39 TIEOFF_X9Y39 TILESITE INT_R_X9Y40 TIEOFF_X9Y40 TILESITE INT_R_X9Y41 TIEOFF_X9Y41 TILESITE INT_R_X9Y42 TIEOFF_X9Y42 TILESITE INT_R_X9Y43 TIEOFF_X9Y43 TILESITE INT_R_X9Y44 TIEOFF_X9Y44 TILESITE INT_R_X9Y45 TIEOFF_X9Y45 TILESITE INT_R_X9Y46 TIEOFF_X9Y46 TILESITE INT_R_X9Y47 TIEOFF_X9Y47 TILESITE INT_R_X9Y48 TIEOFF_X9Y48 TILESITE INT_R_X9Y49 TIEOFF_X9Y49 TILESITE INT_R_X9Y50 TIEOFF_X9Y50 TILESITE INT_R_X9Y51 TIEOFF_X9Y51 TILESITE INT_R_X9Y52 TIEOFF_X9Y52 TILESITE INT_R_X9Y53 TIEOFF_X9Y53 TILESITE INT_R_X9Y54 TIEOFF_X9Y54 TILESITE INT_R_X9Y55 TIEOFF_X9Y55 TILESITE INT_R_X9Y56 TIEOFF_X9Y56 TILESITE INT_R_X9Y57 TIEOFF_X9Y57 TILESITE INT_R_X9Y58 TIEOFF_X9Y58 TILESITE INT_R_X9Y59 TIEOFF_X9Y59 TILESITE INT_R_X9Y60 TIEOFF_X9Y60 TILESITE INT_R_X9Y61 TIEOFF_X9Y61 TILESITE INT_R_X9Y62 TIEOFF_X9Y62 TILESITE INT_R_X9Y63 TIEOFF_X9Y63 TILESITE INT_R_X9Y64 TIEOFF_X9Y64 TILESITE INT_R_X9Y65 TIEOFF_X9Y65 TILESITE INT_R_X9Y66 TIEOFF_X9Y66 TILESITE INT_R_X9Y67 TIEOFF_X9Y67 TILESITE INT_R_X9Y68 TIEOFF_X9Y68 TILESITE INT_R_X9Y69 TIEOFF_X9Y69 TILESITE INT_R_X9Y70 TIEOFF_X9Y70 TILESITE INT_R_X9Y71 TIEOFF_X9Y71 TILESITE INT_R_X9Y72 TIEOFF_X9Y72 TILESITE INT_R_X9Y73 TIEOFF_X9Y73 TILESITE INT_R_X9Y74 TIEOFF_X9Y74 TILESITE INT_R_X9Y75 TIEOFF_X9Y75 TILESITE INT_R_X9Y76 TIEOFF_X9Y76 TILESITE INT_R_X9Y77 TIEOFF_X9Y77 TILESITE INT_R_X9Y78 TIEOFF_X9Y78 TILESITE INT_R_X9Y79 TIEOFF_X9Y79 TILESITE INT_R_X9Y80 TIEOFF_X9Y80 TILESITE INT_R_X9Y81 TIEOFF_X9Y81 TILESITE INT_R_X9Y82 TIEOFF_X9Y82 TILESITE INT_R_X9Y83 TIEOFF_X9Y83 TILESITE INT_R_X9Y84 TIEOFF_X9Y84 TILESITE INT_R_X9Y85 TIEOFF_X9Y85 TILESITE INT_R_X9Y86 TIEOFF_X9Y86 TILESITE INT_R_X9Y87 TIEOFF_X9Y87 TILESITE INT_R_X9Y88 TIEOFF_X9Y88 TILESITE INT_R_X9Y89 TIEOFF_X9Y89 TILESITE INT_R_X9Y90 TIEOFF_X9Y90 TILESITE INT_R_X9Y91 TIEOFF_X9Y91 TILESITE INT_R_X9Y92 TIEOFF_X9Y92 TILESITE INT_R_X9Y93 TIEOFF_X9Y93 TILESITE INT_R_X9Y94 TIEOFF_X9Y94 TILESITE INT_R_X9Y95 TIEOFF_X9Y95 TILESITE INT_R_X9Y96 TIEOFF_X9Y96 TILESITE INT_R_X9Y97 TIEOFF_X9Y97 TILESITE INT_R_X9Y98 TIEOFF_X9Y98 TILESITE INT_R_X9Y99 TIEOFF_X9Y99 TILESITE INT_R_X9Y100 TIEOFF_X9Y100 TILESITE INT_R_X9Y101 TIEOFF_X9Y101 TILESITE INT_R_X9Y102 TIEOFF_X9Y102 TILESITE INT_R_X9Y103 TIEOFF_X9Y103 TILESITE INT_R_X9Y104 TIEOFF_X9Y104 TILESITE INT_R_X9Y105 TIEOFF_X9Y105 TILESITE INT_R_X9Y106 TIEOFF_X9Y106 TILESITE INT_R_X9Y107 TIEOFF_X9Y107 TILESITE INT_R_X9Y108 TIEOFF_X9Y108 TILESITE INT_R_X9Y109 TIEOFF_X9Y109 TILESITE INT_R_X9Y110 TIEOFF_X9Y110 TILESITE INT_R_X9Y111 TIEOFF_X9Y111 TILESITE INT_R_X9Y112 TIEOFF_X9Y112 TILESITE INT_R_X9Y113 TIEOFF_X9Y113 TILESITE INT_R_X9Y114 TIEOFF_X9Y114 TILESITE INT_R_X9Y115 TIEOFF_X9Y115 TILESITE INT_R_X9Y116 TIEOFF_X9Y116 TILESITE INT_R_X9Y117 TIEOFF_X9Y117 TILESITE INT_R_X9Y118 TIEOFF_X9Y118 TILESITE INT_R_X9Y119 TIEOFF_X9Y119 TILESITE INT_R_X9Y120 TIEOFF_X9Y120 TILESITE INT_R_X9Y121 TIEOFF_X9Y121 TILESITE INT_R_X9Y122 TIEOFF_X9Y122 TILESITE INT_R_X9Y123 TIEOFF_X9Y123 TILESITE INT_R_X9Y124 TIEOFF_X9Y124 TILESITE INT_R_X9Y125 TIEOFF_X9Y125 TILESITE INT_R_X9Y126 TIEOFF_X9Y126 TILESITE INT_R_X9Y127 TIEOFF_X9Y127 TILESITE INT_R_X9Y128 TIEOFF_X9Y128 TILESITE INT_R_X9Y129 TIEOFF_X9Y129 TILESITE INT_R_X9Y130 TIEOFF_X9Y130 TILESITE INT_R_X9Y131 TIEOFF_X9Y131 TILESITE INT_R_X9Y132 TIEOFF_X9Y132 TILESITE INT_R_X9Y133 TIEOFF_X9Y133 TILESITE INT_R_X9Y134 TIEOFF_X9Y134 TILESITE INT_R_X9Y135 TIEOFF_X9Y135 TILESITE INT_R_X9Y136 TIEOFF_X9Y136 TILESITE INT_R_X9Y137 TIEOFF_X9Y137 TILESITE INT_R_X9Y138 TIEOFF_X9Y138 TILESITE INT_R_X9Y139 TIEOFF_X9Y139 TILESITE INT_R_X9Y140 TIEOFF_X9Y140 TILESITE INT_R_X9Y141 TIEOFF_X9Y141 TILESITE INT_R_X9Y142 TIEOFF_X9Y142 TILESITE INT_R_X9Y143 TIEOFF_X9Y143 TILESITE INT_R_X9Y144 TIEOFF_X9Y144 TILESITE INT_R_X9Y145 TIEOFF_X9Y145 TILESITE INT_R_X9Y146 TIEOFF_X9Y146 TILESITE INT_R_X9Y147 TIEOFF_X9Y147 TILESITE INT_R_X9Y148 TIEOFF_X9Y148 TILESITE INT_R_X9Y149 TIEOFF_X9Y149 TILESITE LIOB33_SING_X0Y0 IOB_X0Y0 TILESITE LIOB33_SING_X0Y49 IOB_X0Y49 TILESITE LIOB33_SING_X0Y50 IOB_X0Y50 TILESITE LIOB33_SING_X0Y99 IOB_X0Y99 TILESITE LIOB33_SING_X0Y100 IOB_X0Y100 TILESITE LIOB33_SING_X0Y149 IOB_X0Y149 TILESITE LIOB33_X0Y1 IOB_X0Y1 TILESITE LIOB33_X0Y1 IOB_X0Y2 TILESITE LIOB33_X0Y3 IOB_X0Y3 TILESITE LIOB33_X0Y3 IOB_X0Y4 TILESITE LIOB33_X0Y5 IOB_X0Y5 TILESITE LIOB33_X0Y5 IOB_X0Y6 TILESITE LIOB33_X0Y7 IOB_X0Y7 TILESITE LIOB33_X0Y7 IOB_X0Y8 TILESITE LIOB33_X0Y9 IOB_X0Y9 TILESITE LIOB33_X0Y9 IOB_X0Y10 TILESITE LIOB33_X0Y11 IOB_X0Y11 TILESITE LIOB33_X0Y11 IOB_X0Y12 TILESITE LIOB33_X0Y13 IOB_X0Y13 TILESITE LIOB33_X0Y13 IOB_X0Y14 TILESITE LIOB33_X0Y15 IOB_X0Y15 TILESITE LIOB33_X0Y15 IOB_X0Y16 TILESITE LIOB33_X0Y17 IOB_X0Y17 TILESITE LIOB33_X0Y17 IOB_X0Y18 TILESITE LIOB33_X0Y19 IOB_X0Y19 TILESITE LIOB33_X0Y19 IOB_X0Y20 TILESITE LIOB33_X0Y21 IOB_X0Y21 TILESITE LIOB33_X0Y21 IOB_X0Y22 TILESITE LIOB33_X0Y23 IOB_X0Y23 TILESITE LIOB33_X0Y23 IOB_X0Y24 TILESITE LIOB33_X0Y25 IOB_X0Y25 TILESITE LIOB33_X0Y25 IOB_X0Y26 TILESITE LIOB33_X0Y27 IOB_X0Y27 TILESITE LIOB33_X0Y27 IOB_X0Y28 TILESITE LIOB33_X0Y29 IOB_X0Y29 TILESITE LIOB33_X0Y29 IOB_X0Y30 TILESITE LIOB33_X0Y31 IOB_X0Y31 TILESITE LIOB33_X0Y31 IOB_X0Y32 TILESITE LIOB33_X0Y33 IOB_X0Y33 TILESITE LIOB33_X0Y33 IOB_X0Y34 TILESITE LIOB33_X0Y35 IOB_X0Y35 TILESITE LIOB33_X0Y35 IOB_X0Y36 TILESITE LIOB33_X0Y37 IOB_X0Y37 TILESITE LIOB33_X0Y37 IOB_X0Y38 TILESITE LIOB33_X0Y39 IOB_X0Y39 TILESITE LIOB33_X0Y39 IOB_X0Y40 TILESITE LIOB33_X0Y41 IOB_X0Y41 TILESITE LIOB33_X0Y41 IOB_X0Y42 TILESITE LIOB33_X0Y43 IOB_X0Y43 TILESITE LIOB33_X0Y43 IOB_X0Y44 TILESITE LIOB33_X0Y45 IOB_X0Y45 TILESITE LIOB33_X0Y45 IOB_X0Y46 TILESITE LIOB33_X0Y47 IOB_X0Y47 TILESITE LIOB33_X0Y47 IOB_X0Y48 TILESITE LIOB33_X0Y51 IOB_X0Y51 TILESITE LIOB33_X0Y51 IOB_X0Y52 TILESITE LIOB33_X0Y53 IOB_X0Y53 TILESITE LIOB33_X0Y53 IOB_X0Y54 TILESITE LIOB33_X0Y55 IOB_X0Y55 TILESITE LIOB33_X0Y55 IOB_X0Y56 TILESITE LIOB33_X0Y57 IOB_X0Y57 TILESITE LIOB33_X0Y57 IOB_X0Y58 TILESITE LIOB33_X0Y59 IOB_X0Y59 TILESITE LIOB33_X0Y59 IOB_X0Y60 TILESITE LIOB33_X0Y61 IOB_X0Y61 TILESITE LIOB33_X0Y61 IOB_X0Y62 TILESITE LIOB33_X0Y63 IOB_X0Y63 TILESITE LIOB33_X0Y63 IOB_X0Y64 TILESITE LIOB33_X0Y65 IOB_X0Y65 TILESITE LIOB33_X0Y65 IOB_X0Y66 TILESITE LIOB33_X0Y67 IOB_X0Y67 TILESITE LIOB33_X0Y67 IOB_X0Y68 TILESITE LIOB33_X0Y69 IOB_X0Y69 TILESITE LIOB33_X0Y69 IOB_X0Y70 TILESITE LIOB33_X0Y71 IOB_X0Y71 TILESITE LIOB33_X0Y71 IOB_X0Y72 TILESITE LIOB33_X0Y73 IOB_X0Y73 TILESITE LIOB33_X0Y73 IOB_X0Y74 TILESITE LIOB33_X0Y75 IOB_X0Y75 TILESITE LIOB33_X0Y75 IOB_X0Y76 TILESITE LIOB33_X0Y77 IOB_X0Y77 TILESITE LIOB33_X0Y77 IOB_X0Y78 TILESITE LIOB33_X0Y79 IOB_X0Y79 TILESITE LIOB33_X0Y79 IOB_X0Y80 TILESITE LIOB33_X0Y81 IOB_X0Y81 TILESITE LIOB33_X0Y81 IOB_X0Y82 TILESITE LIOB33_X0Y83 IOB_X0Y83 TILESITE LIOB33_X0Y83 IOB_X0Y84 TILESITE LIOB33_X0Y85 IOB_X0Y85 TILESITE LIOB33_X0Y85 IOB_X0Y86 TILESITE LIOB33_X0Y87 IOB_X0Y87 TILESITE LIOB33_X0Y87 IOB_X0Y88 TILESITE LIOB33_X0Y89 IOB_X0Y89 TILESITE LIOB33_X0Y89 IOB_X0Y90 TILESITE LIOB33_X0Y91 IOB_X0Y91 TILESITE LIOB33_X0Y91 IOB_X0Y92 TILESITE LIOB33_X0Y93 IOB_X0Y93 TILESITE LIOB33_X0Y93 IOB_X0Y94 TILESITE LIOB33_X0Y95 IOB_X0Y95 TILESITE LIOB33_X0Y95 IOB_X0Y96 TILESITE LIOB33_X0Y97 IOB_X0Y97 TILESITE LIOB33_X0Y97 IOB_X0Y98 TILESITE LIOB33_X0Y101 IOB_X0Y101 TILESITE LIOB33_X0Y101 IOB_X0Y102 TILESITE LIOB33_X0Y103 IOB_X0Y103 TILESITE LIOB33_X0Y103 IOB_X0Y104 TILESITE LIOB33_X0Y105 IOB_X0Y105 TILESITE LIOB33_X0Y105 IOB_X0Y106 TILESITE LIOB33_X0Y107 IOB_X0Y107 TILESITE LIOB33_X0Y107 IOB_X0Y108 TILESITE LIOB33_X0Y109 IOB_X0Y109 TILESITE LIOB33_X0Y109 IOB_X0Y110 TILESITE LIOB33_X0Y111 IOB_X0Y111 TILESITE LIOB33_X0Y111 IOB_X0Y112 TILESITE LIOB33_X0Y113 IOB_X0Y113 TILESITE LIOB33_X0Y113 IOB_X0Y114 TILESITE LIOB33_X0Y115 IOB_X0Y115 TILESITE LIOB33_X0Y115 IOB_X0Y116 TILESITE LIOB33_X0Y117 IOB_X0Y117 TILESITE LIOB33_X0Y117 IOB_X0Y118 TILESITE LIOB33_X0Y119 IOB_X0Y119 TILESITE LIOB33_X0Y119 IOB_X0Y120 TILESITE LIOB33_X0Y121 IOB_X0Y121 TILESITE LIOB33_X0Y121 IOB_X0Y122 TILESITE LIOB33_X0Y123 IOB_X0Y123 TILESITE LIOB33_X0Y123 IOB_X0Y124 TILESITE LIOB33_X0Y125 IOB_X0Y125 TILESITE LIOB33_X0Y125 IOB_X0Y126 TILESITE LIOB33_X0Y127 IOB_X0Y127 TILESITE LIOB33_X0Y127 IOB_X0Y128 TILESITE LIOB33_X0Y129 IOB_X0Y129 TILESITE LIOB33_X0Y129 IOB_X0Y130 TILESITE LIOB33_X0Y131 IOB_X0Y131 TILESITE LIOB33_X0Y131 IOB_X0Y132 TILESITE LIOB33_X0Y133 IOB_X0Y133 TILESITE LIOB33_X0Y133 IOB_X0Y134 TILESITE LIOB33_X0Y135 IOB_X0Y135 TILESITE LIOB33_X0Y135 IOB_X0Y136 TILESITE LIOB33_X0Y137 IOB_X0Y137 TILESITE LIOB33_X0Y137 IOB_X0Y138 TILESITE LIOB33_X0Y139 IOB_X0Y139 TILESITE LIOB33_X0Y139 IOB_X0Y140 TILESITE LIOB33_X0Y141 IOB_X0Y141 TILESITE LIOB33_X0Y141 IOB_X0Y142 TILESITE LIOB33_X0Y143 IOB_X0Y143 TILESITE LIOB33_X0Y143 IOB_X0Y144 TILESITE LIOB33_X0Y145 IOB_X0Y145 TILESITE LIOB33_X0Y145 IOB_X0Y146 TILESITE LIOB33_X0Y147 IOB_X0Y147 TILESITE LIOB33_X0Y147 IOB_X0Y148 TILESITE LIOI3_SING_X0Y0 IDELAY_X0Y0 TILESITE LIOI3_SING_X0Y0 ILOGIC_X0Y0 TILESITE LIOI3_SING_X0Y0 OLOGIC_X0Y0 TILESITE LIOI3_SING_X0Y49 IDELAY_X0Y49 TILESITE LIOI3_SING_X0Y49 ILOGIC_X0Y49 TILESITE LIOI3_SING_X0Y49 OLOGIC_X0Y49 TILESITE LIOI3_SING_X0Y50 IDELAY_X0Y50 TILESITE LIOI3_SING_X0Y50 ILOGIC_X0Y50 TILESITE LIOI3_SING_X0Y50 OLOGIC_X0Y50 TILESITE LIOI3_SING_X0Y99 IDELAY_X0Y99 TILESITE LIOI3_SING_X0Y99 ILOGIC_X0Y99 TILESITE LIOI3_SING_X0Y99 OLOGIC_X0Y99 TILESITE LIOI3_SING_X0Y100 IDELAY_X0Y100 TILESITE LIOI3_SING_X0Y100 ILOGIC_X0Y100 TILESITE LIOI3_SING_X0Y100 OLOGIC_X0Y100 TILESITE LIOI3_SING_X0Y149 IDELAY_X0Y149 TILESITE LIOI3_SING_X0Y149 ILOGIC_X0Y149 TILESITE LIOI3_SING_X0Y149 OLOGIC_X0Y149 TILESITE LIOI3_TBYTESRC_X0Y7 IDELAY_X0Y7 TILESITE LIOI3_TBYTESRC_X0Y7 IDELAY_X0Y8 TILESITE LIOI3_TBYTESRC_X0Y7 ILOGIC_X0Y7 TILESITE LIOI3_TBYTESRC_X0Y7 ILOGIC_X0Y8 TILESITE LIOI3_TBYTESRC_X0Y7 OLOGIC_X0Y7 TILESITE LIOI3_TBYTESRC_X0Y7 OLOGIC_X0Y8 TILESITE LIOI3_TBYTESRC_X0Y19 IDELAY_X0Y19 TILESITE LIOI3_TBYTESRC_X0Y19 IDELAY_X0Y20 TILESITE LIOI3_TBYTESRC_X0Y19 ILOGIC_X0Y19 TILESITE LIOI3_TBYTESRC_X0Y19 ILOGIC_X0Y20 TILESITE LIOI3_TBYTESRC_X0Y19 OLOGIC_X0Y19 TILESITE LIOI3_TBYTESRC_X0Y19 OLOGIC_X0Y20 TILESITE LIOI3_TBYTESRC_X0Y31 IDELAY_X0Y31 TILESITE LIOI3_TBYTESRC_X0Y31 IDELAY_X0Y32 TILESITE LIOI3_TBYTESRC_X0Y31 ILOGIC_X0Y31 TILESITE LIOI3_TBYTESRC_X0Y31 ILOGIC_X0Y32 TILESITE LIOI3_TBYTESRC_X0Y31 OLOGIC_X0Y31 TILESITE LIOI3_TBYTESRC_X0Y31 OLOGIC_X0Y32 TILESITE LIOI3_TBYTESRC_X0Y43 IDELAY_X0Y43 TILESITE LIOI3_TBYTESRC_X0Y43 IDELAY_X0Y44 TILESITE LIOI3_TBYTESRC_X0Y43 ILOGIC_X0Y43 TILESITE LIOI3_TBYTESRC_X0Y43 ILOGIC_X0Y44 TILESITE LIOI3_TBYTESRC_X0Y43 OLOGIC_X0Y43 TILESITE LIOI3_TBYTESRC_X0Y43 OLOGIC_X0Y44 TILESITE LIOI3_TBYTESRC_X0Y57 IDELAY_X0Y57 TILESITE LIOI3_TBYTESRC_X0Y57 IDELAY_X0Y58 TILESITE LIOI3_TBYTESRC_X0Y57 ILOGIC_X0Y57 TILESITE LIOI3_TBYTESRC_X0Y57 ILOGIC_X0Y58 TILESITE LIOI3_TBYTESRC_X0Y57 OLOGIC_X0Y57 TILESITE LIOI3_TBYTESRC_X0Y57 OLOGIC_X0Y58 TILESITE LIOI3_TBYTESRC_X0Y69 IDELAY_X0Y69 TILESITE LIOI3_TBYTESRC_X0Y69 IDELAY_X0Y70 TILESITE LIOI3_TBYTESRC_X0Y69 ILOGIC_X0Y69 TILESITE LIOI3_TBYTESRC_X0Y69 ILOGIC_X0Y70 TILESITE LIOI3_TBYTESRC_X0Y69 OLOGIC_X0Y69 TILESITE LIOI3_TBYTESRC_X0Y69 OLOGIC_X0Y70 TILESITE LIOI3_TBYTESRC_X0Y81 IDELAY_X0Y81 TILESITE LIOI3_TBYTESRC_X0Y81 IDELAY_X0Y82 TILESITE LIOI3_TBYTESRC_X0Y81 ILOGIC_X0Y81 TILESITE LIOI3_TBYTESRC_X0Y81 ILOGIC_X0Y82 TILESITE LIOI3_TBYTESRC_X0Y81 OLOGIC_X0Y81 TILESITE LIOI3_TBYTESRC_X0Y81 OLOGIC_X0Y82 TILESITE LIOI3_TBYTESRC_X0Y93 IDELAY_X0Y93 TILESITE LIOI3_TBYTESRC_X0Y93 IDELAY_X0Y94 TILESITE LIOI3_TBYTESRC_X0Y93 ILOGIC_X0Y93 TILESITE LIOI3_TBYTESRC_X0Y93 ILOGIC_X0Y94 TILESITE LIOI3_TBYTESRC_X0Y93 OLOGIC_X0Y93 TILESITE LIOI3_TBYTESRC_X0Y93 OLOGIC_X0Y94 TILESITE LIOI3_TBYTESRC_X0Y107 IDELAY_X0Y107 TILESITE LIOI3_TBYTESRC_X0Y107 IDELAY_X0Y108 TILESITE LIOI3_TBYTESRC_X0Y107 ILOGIC_X0Y107 TILESITE LIOI3_TBYTESRC_X0Y107 ILOGIC_X0Y108 TILESITE LIOI3_TBYTESRC_X0Y107 OLOGIC_X0Y107 TILESITE LIOI3_TBYTESRC_X0Y107 OLOGIC_X0Y108 TILESITE LIOI3_TBYTESRC_X0Y119 IDELAY_X0Y119 TILESITE LIOI3_TBYTESRC_X0Y119 IDELAY_X0Y120 TILESITE LIOI3_TBYTESRC_X0Y119 ILOGIC_X0Y119 TILESITE LIOI3_TBYTESRC_X0Y119 ILOGIC_X0Y120 TILESITE LIOI3_TBYTESRC_X0Y119 OLOGIC_X0Y119 TILESITE LIOI3_TBYTESRC_X0Y119 OLOGIC_X0Y120 TILESITE LIOI3_TBYTESRC_X0Y131 IDELAY_X0Y131 TILESITE LIOI3_TBYTESRC_X0Y131 IDELAY_X0Y132 TILESITE LIOI3_TBYTESRC_X0Y131 ILOGIC_X0Y131 TILESITE LIOI3_TBYTESRC_X0Y131 ILOGIC_X0Y132 TILESITE LIOI3_TBYTESRC_X0Y131 OLOGIC_X0Y131 TILESITE LIOI3_TBYTESRC_X0Y131 OLOGIC_X0Y132 TILESITE LIOI3_TBYTESRC_X0Y143 IDELAY_X0Y143 TILESITE LIOI3_TBYTESRC_X0Y143 IDELAY_X0Y144 TILESITE LIOI3_TBYTESRC_X0Y143 ILOGIC_X0Y143 TILESITE LIOI3_TBYTESRC_X0Y143 ILOGIC_X0Y144 TILESITE LIOI3_TBYTESRC_X0Y143 OLOGIC_X0Y143 TILESITE LIOI3_TBYTESRC_X0Y143 OLOGIC_X0Y144 TILESITE LIOI3_TBYTETERM_X0Y13 IDELAY_X0Y13 TILESITE LIOI3_TBYTETERM_X0Y13 IDELAY_X0Y14 TILESITE LIOI3_TBYTETERM_X0Y13 ILOGIC_X0Y13 TILESITE LIOI3_TBYTETERM_X0Y13 ILOGIC_X0Y14 TILESITE LIOI3_TBYTETERM_X0Y13 OLOGIC_X0Y13 TILESITE LIOI3_TBYTETERM_X0Y13 OLOGIC_X0Y14 TILESITE LIOI3_TBYTETERM_X0Y37 IDELAY_X0Y37 TILESITE LIOI3_TBYTETERM_X0Y37 IDELAY_X0Y38 TILESITE LIOI3_TBYTETERM_X0Y37 ILOGIC_X0Y37 TILESITE LIOI3_TBYTETERM_X0Y37 ILOGIC_X0Y38 TILESITE LIOI3_TBYTETERM_X0Y37 OLOGIC_X0Y37 TILESITE LIOI3_TBYTETERM_X0Y37 OLOGIC_X0Y38 TILESITE LIOI3_TBYTETERM_X0Y63 IDELAY_X0Y63 TILESITE LIOI3_TBYTETERM_X0Y63 IDELAY_X0Y64 TILESITE LIOI3_TBYTETERM_X0Y63 ILOGIC_X0Y63 TILESITE LIOI3_TBYTETERM_X0Y63 ILOGIC_X0Y64 TILESITE LIOI3_TBYTETERM_X0Y63 OLOGIC_X0Y63 TILESITE LIOI3_TBYTETERM_X0Y63 OLOGIC_X0Y64 TILESITE LIOI3_TBYTETERM_X0Y87 IDELAY_X0Y87 TILESITE LIOI3_TBYTETERM_X0Y87 IDELAY_X0Y88 TILESITE LIOI3_TBYTETERM_X0Y87 ILOGIC_X0Y87 TILESITE LIOI3_TBYTETERM_X0Y87 ILOGIC_X0Y88 TILESITE LIOI3_TBYTETERM_X0Y87 OLOGIC_X0Y87 TILESITE LIOI3_TBYTETERM_X0Y87 OLOGIC_X0Y88 TILESITE LIOI3_TBYTETERM_X0Y113 IDELAY_X0Y113 TILESITE LIOI3_TBYTETERM_X0Y113 IDELAY_X0Y114 TILESITE LIOI3_TBYTETERM_X0Y113 ILOGIC_X0Y113 TILESITE LIOI3_TBYTETERM_X0Y113 ILOGIC_X0Y114 TILESITE LIOI3_TBYTETERM_X0Y113 OLOGIC_X0Y113 TILESITE LIOI3_TBYTETERM_X0Y113 OLOGIC_X0Y114 TILESITE LIOI3_TBYTETERM_X0Y137 IDELAY_X0Y137 TILESITE LIOI3_TBYTETERM_X0Y137 IDELAY_X0Y138 TILESITE LIOI3_TBYTETERM_X0Y137 ILOGIC_X0Y137 TILESITE LIOI3_TBYTETERM_X0Y137 ILOGIC_X0Y138 TILESITE LIOI3_TBYTETERM_X0Y137 OLOGIC_X0Y137 TILESITE LIOI3_TBYTETERM_X0Y137 OLOGIC_X0Y138 TILESITE LIOI3_X0Y1 IDELAY_X0Y1 TILESITE LIOI3_X0Y1 IDELAY_X0Y2 TILESITE LIOI3_X0Y1 ILOGIC_X0Y1 TILESITE LIOI3_X0Y1 ILOGIC_X0Y2 TILESITE LIOI3_X0Y1 OLOGIC_X0Y1 TILESITE LIOI3_X0Y1 OLOGIC_X0Y2 TILESITE LIOI3_X0Y3 IDELAY_X0Y3 TILESITE LIOI3_X0Y3 IDELAY_X0Y4 TILESITE LIOI3_X0Y3 ILOGIC_X0Y3 TILESITE LIOI3_X0Y3 ILOGIC_X0Y4 TILESITE LIOI3_X0Y3 OLOGIC_X0Y3 TILESITE LIOI3_X0Y3 OLOGIC_X0Y4 TILESITE LIOI3_X0Y5 IDELAY_X0Y5 TILESITE LIOI3_X0Y5 IDELAY_X0Y6 TILESITE LIOI3_X0Y5 ILOGIC_X0Y5 TILESITE LIOI3_X0Y5 ILOGIC_X0Y6 TILESITE LIOI3_X0Y5 OLOGIC_X0Y5 TILESITE LIOI3_X0Y5 OLOGIC_X0Y6 TILESITE LIOI3_X0Y9 IDELAY_X0Y9 TILESITE LIOI3_X0Y9 IDELAY_X0Y10 TILESITE LIOI3_X0Y9 ILOGIC_X0Y9 TILESITE LIOI3_X0Y9 ILOGIC_X0Y10 TILESITE LIOI3_X0Y9 OLOGIC_X0Y9 TILESITE LIOI3_X0Y9 OLOGIC_X0Y10 TILESITE LIOI3_X0Y11 IDELAY_X0Y11 TILESITE LIOI3_X0Y11 IDELAY_X0Y12 TILESITE LIOI3_X0Y11 ILOGIC_X0Y11 TILESITE LIOI3_X0Y11 ILOGIC_X0Y12 TILESITE LIOI3_X0Y11 OLOGIC_X0Y11 TILESITE LIOI3_X0Y11 OLOGIC_X0Y12 TILESITE LIOI3_X0Y15 IDELAY_X0Y15 TILESITE LIOI3_X0Y15 IDELAY_X0Y16 TILESITE LIOI3_X0Y15 ILOGIC_X0Y15 TILESITE LIOI3_X0Y15 ILOGIC_X0Y16 TILESITE LIOI3_X0Y15 OLOGIC_X0Y15 TILESITE LIOI3_X0Y15 OLOGIC_X0Y16 TILESITE LIOI3_X0Y17 IDELAY_X0Y17 TILESITE LIOI3_X0Y17 IDELAY_X0Y18 TILESITE LIOI3_X0Y17 ILOGIC_X0Y17 TILESITE LIOI3_X0Y17 ILOGIC_X0Y18 TILESITE LIOI3_X0Y17 OLOGIC_X0Y17 TILESITE LIOI3_X0Y17 OLOGIC_X0Y18 TILESITE LIOI3_X0Y21 IDELAY_X0Y21 TILESITE LIOI3_X0Y21 IDELAY_X0Y22 TILESITE LIOI3_X0Y21 ILOGIC_X0Y21 TILESITE LIOI3_X0Y21 ILOGIC_X0Y22 TILESITE LIOI3_X0Y21 OLOGIC_X0Y21 TILESITE LIOI3_X0Y21 OLOGIC_X0Y22 TILESITE LIOI3_X0Y23 IDELAY_X0Y23 TILESITE LIOI3_X0Y23 IDELAY_X0Y24 TILESITE LIOI3_X0Y23 ILOGIC_X0Y23 TILESITE LIOI3_X0Y23 ILOGIC_X0Y24 TILESITE LIOI3_X0Y23 OLOGIC_X0Y23 TILESITE LIOI3_X0Y23 OLOGIC_X0Y24 TILESITE LIOI3_X0Y25 IDELAY_X0Y25 TILESITE LIOI3_X0Y25 IDELAY_X0Y26 TILESITE LIOI3_X0Y25 ILOGIC_X0Y25 TILESITE LIOI3_X0Y25 ILOGIC_X0Y26 TILESITE LIOI3_X0Y25 OLOGIC_X0Y25 TILESITE LIOI3_X0Y25 OLOGIC_X0Y26 TILESITE LIOI3_X0Y27 IDELAY_X0Y27 TILESITE LIOI3_X0Y27 IDELAY_X0Y28 TILESITE LIOI3_X0Y27 ILOGIC_X0Y27 TILESITE LIOI3_X0Y27 ILOGIC_X0Y28 TILESITE LIOI3_X0Y27 OLOGIC_X0Y27 TILESITE LIOI3_X0Y27 OLOGIC_X0Y28 TILESITE LIOI3_X0Y29 IDELAY_X0Y29 TILESITE LIOI3_X0Y29 IDELAY_X0Y30 TILESITE LIOI3_X0Y29 ILOGIC_X0Y29 TILESITE LIOI3_X0Y29 ILOGIC_X0Y30 TILESITE LIOI3_X0Y29 OLOGIC_X0Y29 TILESITE LIOI3_X0Y29 OLOGIC_X0Y30 TILESITE LIOI3_X0Y33 IDELAY_X0Y33 TILESITE LIOI3_X0Y33 IDELAY_X0Y34 TILESITE LIOI3_X0Y33 ILOGIC_X0Y33 TILESITE LIOI3_X0Y33 ILOGIC_X0Y34 TILESITE LIOI3_X0Y33 OLOGIC_X0Y33 TILESITE LIOI3_X0Y33 OLOGIC_X0Y34 TILESITE LIOI3_X0Y35 IDELAY_X0Y35 TILESITE LIOI3_X0Y35 IDELAY_X0Y36 TILESITE LIOI3_X0Y35 ILOGIC_X0Y35 TILESITE LIOI3_X0Y35 ILOGIC_X0Y36 TILESITE LIOI3_X0Y35 OLOGIC_X0Y35 TILESITE LIOI3_X0Y35 OLOGIC_X0Y36 TILESITE LIOI3_X0Y39 IDELAY_X0Y39 TILESITE LIOI3_X0Y39 IDELAY_X0Y40 TILESITE LIOI3_X0Y39 ILOGIC_X0Y39 TILESITE LIOI3_X0Y39 ILOGIC_X0Y40 TILESITE LIOI3_X0Y39 OLOGIC_X0Y39 TILESITE LIOI3_X0Y39 OLOGIC_X0Y40 TILESITE LIOI3_X0Y41 IDELAY_X0Y41 TILESITE LIOI3_X0Y41 IDELAY_X0Y42 TILESITE LIOI3_X0Y41 ILOGIC_X0Y41 TILESITE LIOI3_X0Y41 ILOGIC_X0Y42 TILESITE LIOI3_X0Y41 OLOGIC_X0Y41 TILESITE LIOI3_X0Y41 OLOGIC_X0Y42 TILESITE LIOI3_X0Y45 IDELAY_X0Y45 TILESITE LIOI3_X0Y45 IDELAY_X0Y46 TILESITE LIOI3_X0Y45 ILOGIC_X0Y45 TILESITE LIOI3_X0Y45 ILOGIC_X0Y46 TILESITE LIOI3_X0Y45 OLOGIC_X0Y45 TILESITE LIOI3_X0Y45 OLOGIC_X0Y46 TILESITE LIOI3_X0Y47 IDELAY_X0Y47 TILESITE LIOI3_X0Y47 IDELAY_X0Y48 TILESITE LIOI3_X0Y47 ILOGIC_X0Y47 TILESITE LIOI3_X0Y47 ILOGIC_X0Y48 TILESITE LIOI3_X0Y47 OLOGIC_X0Y47 TILESITE LIOI3_X0Y47 OLOGIC_X0Y48 TILESITE LIOI3_X0Y51 IDELAY_X0Y51 TILESITE LIOI3_X0Y51 IDELAY_X0Y52 TILESITE LIOI3_X0Y51 ILOGIC_X0Y51 TILESITE LIOI3_X0Y51 ILOGIC_X0Y52 TILESITE LIOI3_X0Y51 OLOGIC_X0Y51 TILESITE LIOI3_X0Y51 OLOGIC_X0Y52 TILESITE LIOI3_X0Y53 IDELAY_X0Y53 TILESITE LIOI3_X0Y53 IDELAY_X0Y54 TILESITE LIOI3_X0Y53 ILOGIC_X0Y53 TILESITE LIOI3_X0Y53 ILOGIC_X0Y54 TILESITE LIOI3_X0Y53 OLOGIC_X0Y53 TILESITE LIOI3_X0Y53 OLOGIC_X0Y54 TILESITE LIOI3_X0Y55 IDELAY_X0Y55 TILESITE LIOI3_X0Y55 IDELAY_X0Y56 TILESITE LIOI3_X0Y55 ILOGIC_X0Y55 TILESITE LIOI3_X0Y55 ILOGIC_X0Y56 TILESITE LIOI3_X0Y55 OLOGIC_X0Y55 TILESITE LIOI3_X0Y55 OLOGIC_X0Y56 TILESITE LIOI3_X0Y59 IDELAY_X0Y59 TILESITE LIOI3_X0Y59 IDELAY_X0Y60 TILESITE LIOI3_X0Y59 ILOGIC_X0Y59 TILESITE LIOI3_X0Y59 ILOGIC_X0Y60 TILESITE LIOI3_X0Y59 OLOGIC_X0Y59 TILESITE LIOI3_X0Y59 OLOGIC_X0Y60 TILESITE LIOI3_X0Y61 IDELAY_X0Y61 TILESITE LIOI3_X0Y61 IDELAY_X0Y62 TILESITE LIOI3_X0Y61 ILOGIC_X0Y61 TILESITE LIOI3_X0Y61 ILOGIC_X0Y62 TILESITE LIOI3_X0Y61 OLOGIC_X0Y61 TILESITE LIOI3_X0Y61 OLOGIC_X0Y62 TILESITE LIOI3_X0Y65 IDELAY_X0Y65 TILESITE LIOI3_X0Y65 IDELAY_X0Y66 TILESITE LIOI3_X0Y65 ILOGIC_X0Y65 TILESITE LIOI3_X0Y65 ILOGIC_X0Y66 TILESITE LIOI3_X0Y65 OLOGIC_X0Y65 TILESITE LIOI3_X0Y65 OLOGIC_X0Y66 TILESITE LIOI3_X0Y67 IDELAY_X0Y67 TILESITE LIOI3_X0Y67 IDELAY_X0Y68 TILESITE LIOI3_X0Y67 ILOGIC_X0Y67 TILESITE LIOI3_X0Y67 ILOGIC_X0Y68 TILESITE LIOI3_X0Y67 OLOGIC_X0Y67 TILESITE LIOI3_X0Y67 OLOGIC_X0Y68 TILESITE LIOI3_X0Y71 IDELAY_X0Y71 TILESITE LIOI3_X0Y71 IDELAY_X0Y72 TILESITE LIOI3_X0Y71 ILOGIC_X0Y71 TILESITE LIOI3_X0Y71 ILOGIC_X0Y72 TILESITE LIOI3_X0Y71 OLOGIC_X0Y71 TILESITE LIOI3_X0Y71 OLOGIC_X0Y72 TILESITE LIOI3_X0Y73 IDELAY_X0Y73 TILESITE LIOI3_X0Y73 IDELAY_X0Y74 TILESITE LIOI3_X0Y73 ILOGIC_X0Y73 TILESITE LIOI3_X0Y73 ILOGIC_X0Y74 TILESITE LIOI3_X0Y73 OLOGIC_X0Y73 TILESITE LIOI3_X0Y73 OLOGIC_X0Y74 TILESITE LIOI3_X0Y75 IDELAY_X0Y75 TILESITE LIOI3_X0Y75 IDELAY_X0Y76 TILESITE LIOI3_X0Y75 ILOGIC_X0Y75 TILESITE LIOI3_X0Y75 ILOGIC_X0Y76 TILESITE LIOI3_X0Y75 OLOGIC_X0Y75 TILESITE LIOI3_X0Y75 OLOGIC_X0Y76 TILESITE LIOI3_X0Y77 IDELAY_X0Y77 TILESITE LIOI3_X0Y77 IDELAY_X0Y78 TILESITE LIOI3_X0Y77 ILOGIC_X0Y77 TILESITE LIOI3_X0Y77 ILOGIC_X0Y78 TILESITE LIOI3_X0Y77 OLOGIC_X0Y77 TILESITE LIOI3_X0Y77 OLOGIC_X0Y78 TILESITE LIOI3_X0Y79 IDELAY_X0Y79 TILESITE LIOI3_X0Y79 IDELAY_X0Y80 TILESITE LIOI3_X0Y79 ILOGIC_X0Y79 TILESITE LIOI3_X0Y79 ILOGIC_X0Y80 TILESITE LIOI3_X0Y79 OLOGIC_X0Y79 TILESITE LIOI3_X0Y79 OLOGIC_X0Y80 TILESITE LIOI3_X0Y83 IDELAY_X0Y83 TILESITE LIOI3_X0Y83 IDELAY_X0Y84 TILESITE LIOI3_X0Y83 ILOGIC_X0Y83 TILESITE LIOI3_X0Y83 ILOGIC_X0Y84 TILESITE LIOI3_X0Y83 OLOGIC_X0Y83 TILESITE LIOI3_X0Y83 OLOGIC_X0Y84 TILESITE LIOI3_X0Y85 IDELAY_X0Y85 TILESITE LIOI3_X0Y85 IDELAY_X0Y86 TILESITE LIOI3_X0Y85 ILOGIC_X0Y85 TILESITE LIOI3_X0Y85 ILOGIC_X0Y86 TILESITE LIOI3_X0Y85 OLOGIC_X0Y85 TILESITE LIOI3_X0Y85 OLOGIC_X0Y86 TILESITE LIOI3_X0Y89 IDELAY_X0Y89 TILESITE LIOI3_X0Y89 IDELAY_X0Y90 TILESITE LIOI3_X0Y89 ILOGIC_X0Y89 TILESITE LIOI3_X0Y89 ILOGIC_X0Y90 TILESITE LIOI3_X0Y89 OLOGIC_X0Y89 TILESITE LIOI3_X0Y89 OLOGIC_X0Y90 TILESITE LIOI3_X0Y91 IDELAY_X0Y91 TILESITE LIOI3_X0Y91 IDELAY_X0Y92 TILESITE LIOI3_X0Y91 ILOGIC_X0Y91 TILESITE LIOI3_X0Y91 ILOGIC_X0Y92 TILESITE LIOI3_X0Y91 OLOGIC_X0Y91 TILESITE LIOI3_X0Y91 OLOGIC_X0Y92 TILESITE LIOI3_X0Y95 IDELAY_X0Y95 TILESITE LIOI3_X0Y95 IDELAY_X0Y96 TILESITE LIOI3_X0Y95 ILOGIC_X0Y95 TILESITE LIOI3_X0Y95 ILOGIC_X0Y96 TILESITE LIOI3_X0Y95 OLOGIC_X0Y95 TILESITE LIOI3_X0Y95 OLOGIC_X0Y96 TILESITE LIOI3_X0Y97 IDELAY_X0Y97 TILESITE LIOI3_X0Y97 IDELAY_X0Y98 TILESITE LIOI3_X0Y97 ILOGIC_X0Y97 TILESITE LIOI3_X0Y97 ILOGIC_X0Y98 TILESITE LIOI3_X0Y97 OLOGIC_X0Y97 TILESITE LIOI3_X0Y97 OLOGIC_X0Y98 TILESITE LIOI3_X0Y101 IDELAY_X0Y101 TILESITE LIOI3_X0Y101 IDELAY_X0Y102 TILESITE LIOI3_X0Y101 ILOGIC_X0Y101 TILESITE LIOI3_X0Y101 ILOGIC_X0Y102 TILESITE LIOI3_X0Y101 OLOGIC_X0Y101 TILESITE LIOI3_X0Y101 OLOGIC_X0Y102 TILESITE LIOI3_X0Y103 IDELAY_X0Y103 TILESITE LIOI3_X0Y103 IDELAY_X0Y104 TILESITE LIOI3_X0Y103 ILOGIC_X0Y103 TILESITE LIOI3_X0Y103 ILOGIC_X0Y104 TILESITE LIOI3_X0Y103 OLOGIC_X0Y103 TILESITE LIOI3_X0Y103 OLOGIC_X0Y104 TILESITE LIOI3_X0Y105 IDELAY_X0Y105 TILESITE LIOI3_X0Y105 IDELAY_X0Y106 TILESITE LIOI3_X0Y105 ILOGIC_X0Y105 TILESITE LIOI3_X0Y105 ILOGIC_X0Y106 TILESITE LIOI3_X0Y105 OLOGIC_X0Y105 TILESITE LIOI3_X0Y105 OLOGIC_X0Y106 TILESITE LIOI3_X0Y109 IDELAY_X0Y109 TILESITE LIOI3_X0Y109 IDELAY_X0Y110 TILESITE LIOI3_X0Y109 ILOGIC_X0Y109 TILESITE LIOI3_X0Y109 ILOGIC_X0Y110 TILESITE LIOI3_X0Y109 OLOGIC_X0Y109 TILESITE LIOI3_X0Y109 OLOGIC_X0Y110 TILESITE LIOI3_X0Y111 IDELAY_X0Y111 TILESITE LIOI3_X0Y111 IDELAY_X0Y112 TILESITE LIOI3_X0Y111 ILOGIC_X0Y111 TILESITE LIOI3_X0Y111 ILOGIC_X0Y112 TILESITE LIOI3_X0Y111 OLOGIC_X0Y111 TILESITE LIOI3_X0Y111 OLOGIC_X0Y112 TILESITE LIOI3_X0Y115 IDELAY_X0Y115 TILESITE LIOI3_X0Y115 IDELAY_X0Y116 TILESITE LIOI3_X0Y115 ILOGIC_X0Y115 TILESITE LIOI3_X0Y115 ILOGIC_X0Y116 TILESITE LIOI3_X0Y115 OLOGIC_X0Y115 TILESITE LIOI3_X0Y115 OLOGIC_X0Y116 TILESITE LIOI3_X0Y117 IDELAY_X0Y117 TILESITE LIOI3_X0Y117 IDELAY_X0Y118 TILESITE LIOI3_X0Y117 ILOGIC_X0Y117 TILESITE LIOI3_X0Y117 ILOGIC_X0Y118 TILESITE LIOI3_X0Y117 OLOGIC_X0Y117 TILESITE LIOI3_X0Y117 OLOGIC_X0Y118 TILESITE LIOI3_X0Y121 IDELAY_X0Y121 TILESITE LIOI3_X0Y121 IDELAY_X0Y122 TILESITE LIOI3_X0Y121 ILOGIC_X0Y121 TILESITE LIOI3_X0Y121 ILOGIC_X0Y122 TILESITE LIOI3_X0Y121 OLOGIC_X0Y121 TILESITE LIOI3_X0Y121 OLOGIC_X0Y122 TILESITE LIOI3_X0Y123 IDELAY_X0Y123 TILESITE LIOI3_X0Y123 IDELAY_X0Y124 TILESITE LIOI3_X0Y123 ILOGIC_X0Y123 TILESITE LIOI3_X0Y123 ILOGIC_X0Y124 TILESITE LIOI3_X0Y123 OLOGIC_X0Y123 TILESITE LIOI3_X0Y123 OLOGIC_X0Y124 TILESITE LIOI3_X0Y125 IDELAY_X0Y125 TILESITE LIOI3_X0Y125 IDELAY_X0Y126 TILESITE LIOI3_X0Y125 ILOGIC_X0Y125 TILESITE LIOI3_X0Y125 ILOGIC_X0Y126 TILESITE LIOI3_X0Y125 OLOGIC_X0Y125 TILESITE LIOI3_X0Y125 OLOGIC_X0Y126 TILESITE LIOI3_X0Y127 IDELAY_X0Y127 TILESITE LIOI3_X0Y127 IDELAY_X0Y128 TILESITE LIOI3_X0Y127 ILOGIC_X0Y127 TILESITE LIOI3_X0Y127 ILOGIC_X0Y128 TILESITE LIOI3_X0Y127 OLOGIC_X0Y127 TILESITE LIOI3_X0Y127 OLOGIC_X0Y128 TILESITE LIOI3_X0Y129 IDELAY_X0Y129 TILESITE LIOI3_X0Y129 IDELAY_X0Y130 TILESITE LIOI3_X0Y129 ILOGIC_X0Y129 TILESITE LIOI3_X0Y129 ILOGIC_X0Y130 TILESITE LIOI3_X0Y129 OLOGIC_X0Y129 TILESITE LIOI3_X0Y129 OLOGIC_X0Y130 TILESITE LIOI3_X0Y133 IDELAY_X0Y133 TILESITE LIOI3_X0Y133 IDELAY_X0Y134 TILESITE LIOI3_X0Y133 ILOGIC_X0Y133 TILESITE LIOI3_X0Y133 ILOGIC_X0Y134 TILESITE LIOI3_X0Y133 OLOGIC_X0Y133 TILESITE LIOI3_X0Y133 OLOGIC_X0Y134 TILESITE LIOI3_X0Y135 IDELAY_X0Y135 TILESITE LIOI3_X0Y135 IDELAY_X0Y136 TILESITE LIOI3_X0Y135 ILOGIC_X0Y135 TILESITE LIOI3_X0Y135 ILOGIC_X0Y136 TILESITE LIOI3_X0Y135 OLOGIC_X0Y135 TILESITE LIOI3_X0Y135 OLOGIC_X0Y136 TILESITE LIOI3_X0Y139 IDELAY_X0Y139 TILESITE LIOI3_X0Y139 IDELAY_X0Y140 TILESITE LIOI3_X0Y139 ILOGIC_X0Y139 TILESITE LIOI3_X0Y139 ILOGIC_X0Y140 TILESITE LIOI3_X0Y139 OLOGIC_X0Y139 TILESITE LIOI3_X0Y139 OLOGIC_X0Y140 TILESITE LIOI3_X0Y141 IDELAY_X0Y141 TILESITE LIOI3_X0Y141 IDELAY_X0Y142 TILESITE LIOI3_X0Y141 ILOGIC_X0Y141 TILESITE LIOI3_X0Y141 ILOGIC_X0Y142 TILESITE LIOI3_X0Y141 OLOGIC_X0Y141 TILESITE LIOI3_X0Y141 OLOGIC_X0Y142 TILESITE LIOI3_X0Y145 IDELAY_X0Y145 TILESITE LIOI3_X0Y145 IDELAY_X0Y146 TILESITE LIOI3_X0Y145 ILOGIC_X0Y145 TILESITE LIOI3_X0Y145 ILOGIC_X0Y146 TILESITE LIOI3_X0Y145 OLOGIC_X0Y145 TILESITE LIOI3_X0Y145 OLOGIC_X0Y146 TILESITE LIOI3_X0Y147 IDELAY_X0Y147 TILESITE LIOI3_X0Y147 IDELAY_X0Y148 TILESITE LIOI3_X0Y147 ILOGIC_X0Y147 TILESITE LIOI3_X0Y147 ILOGIC_X0Y148 TILESITE LIOI3_X0Y147 OLOGIC_X0Y147 TILESITE LIOI3_X0Y147 OLOGIC_X0Y148 TILESITE MONITOR_BOT_X46Y79 IPAD_X0Y0 TILESITE MONITOR_BOT_X46Y79 IPAD_X0Y1 TILESITE MONITOR_BOT_X46Y79 XADC_X0Y0 TILESITE PCIE_BOT_X71Y115 PCIE_X0Y0 TILESITE RIOB33_SING_X43Y0 IOB_X1Y0 TILESITE RIOB33_SING_X43Y49 IOB_X1Y49 TILESITE RIOB33_SING_X43Y50 IOB_X1Y50 TILESITE RIOB33_SING_X43Y99 IOB_X1Y99 TILESITE RIOB33_X43Y1 IOB_X1Y1 TILESITE RIOB33_X43Y1 IOB_X1Y2 TILESITE RIOB33_X43Y3 IOB_X1Y3 TILESITE RIOB33_X43Y3 IOB_X1Y4 TILESITE RIOB33_X43Y5 IOB_X1Y5 TILESITE RIOB33_X43Y5 IOB_X1Y6 TILESITE RIOB33_X43Y7 IOB_X1Y7 TILESITE RIOB33_X43Y7 IOB_X1Y8 TILESITE RIOB33_X43Y9 IOB_X1Y9 TILESITE RIOB33_X43Y9 IOB_X1Y10 TILESITE RIOB33_X43Y11 IOB_X1Y11 TILESITE RIOB33_X43Y11 IOB_X1Y12 TILESITE RIOB33_X43Y13 IOB_X1Y13 TILESITE RIOB33_X43Y13 IOB_X1Y14 TILESITE RIOB33_X43Y15 IOB_X1Y15 TILESITE RIOB33_X43Y15 IOB_X1Y16 TILESITE RIOB33_X43Y17 IOB_X1Y17 TILESITE RIOB33_X43Y17 IOB_X1Y18 TILESITE RIOB33_X43Y19 IOB_X1Y19 TILESITE RIOB33_X43Y19 IOB_X1Y20 TILESITE RIOB33_X43Y21 IOB_X1Y21 TILESITE RIOB33_X43Y21 IOB_X1Y22 TILESITE RIOB33_X43Y23 IOB_X1Y23 TILESITE RIOB33_X43Y23 IOB_X1Y24 TILESITE RIOB33_X43Y25 IOB_X1Y25 TILESITE RIOB33_X43Y25 IOB_X1Y26 TILESITE RIOB33_X43Y27 IOB_X1Y27 TILESITE RIOB33_X43Y27 IOB_X1Y28 TILESITE RIOB33_X43Y29 IOB_X1Y29 TILESITE RIOB33_X43Y29 IOB_X1Y30 TILESITE RIOB33_X43Y31 IOB_X1Y31 TILESITE RIOB33_X43Y31 IOB_X1Y32 TILESITE RIOB33_X43Y33 IOB_X1Y33 TILESITE RIOB33_X43Y33 IOB_X1Y34 TILESITE RIOB33_X43Y35 IOB_X1Y35 TILESITE RIOB33_X43Y35 IOB_X1Y36 TILESITE RIOB33_X43Y37 IOB_X1Y37 TILESITE RIOB33_X43Y37 IOB_X1Y38 TILESITE RIOB33_X43Y39 IOB_X1Y39 TILESITE RIOB33_X43Y39 IOB_X1Y40 TILESITE RIOB33_X43Y41 IOB_X1Y41 TILESITE RIOB33_X43Y41 IOB_X1Y42 TILESITE RIOB33_X43Y43 IOB_X1Y43 TILESITE RIOB33_X43Y43 IOB_X1Y44 TILESITE RIOB33_X43Y45 IOB_X1Y45 TILESITE RIOB33_X43Y45 IOB_X1Y46 TILESITE RIOB33_X43Y47 IOB_X1Y47 TILESITE RIOB33_X43Y47 IOB_X1Y48 TILESITE RIOB33_X43Y51 IOB_X1Y51 TILESITE RIOB33_X43Y51 IOB_X1Y52 TILESITE RIOB33_X43Y53 IOB_X1Y53 TILESITE RIOB33_X43Y53 IOB_X1Y54 TILESITE RIOB33_X43Y55 IOB_X1Y55 TILESITE RIOB33_X43Y55 IOB_X1Y56 TILESITE RIOB33_X43Y57 IOB_X1Y57 TILESITE RIOB33_X43Y57 IOB_X1Y58 TILESITE RIOB33_X43Y59 IOB_X1Y59 TILESITE RIOB33_X43Y59 IOB_X1Y60 TILESITE RIOB33_X43Y61 IOB_X1Y61 TILESITE RIOB33_X43Y61 IOB_X1Y62 TILESITE RIOB33_X43Y63 IOB_X1Y63 TILESITE RIOB33_X43Y63 IOB_X1Y64 TILESITE RIOB33_X43Y65 IOB_X1Y65 TILESITE RIOB33_X43Y65 IOB_X1Y66 TILESITE RIOB33_X43Y67 IOB_X1Y67 TILESITE RIOB33_X43Y67 IOB_X1Y68 TILESITE RIOB33_X43Y69 IOB_X1Y69 TILESITE RIOB33_X43Y69 IOB_X1Y70 TILESITE RIOB33_X43Y71 IOB_X1Y71 TILESITE RIOB33_X43Y71 IOB_X1Y72 TILESITE RIOB33_X43Y73 IOB_X1Y73 TILESITE RIOB33_X43Y73 IOB_X1Y74 TILESITE RIOB33_X43Y75 IOB_X1Y75 TILESITE RIOB33_X43Y75 IOB_X1Y76 TILESITE RIOB33_X43Y77 IOB_X1Y77 TILESITE RIOB33_X43Y77 IOB_X1Y78 TILESITE RIOB33_X43Y79 IOB_X1Y79 TILESITE RIOB33_X43Y79 IOB_X1Y80 TILESITE RIOB33_X43Y81 IOB_X1Y81 TILESITE RIOB33_X43Y81 IOB_X1Y82 TILESITE RIOB33_X43Y83 IOB_X1Y83 TILESITE RIOB33_X43Y83 IOB_X1Y84 TILESITE RIOB33_X43Y85 IOB_X1Y85 TILESITE RIOB33_X43Y85 IOB_X1Y86 TILESITE RIOB33_X43Y87 IOB_X1Y87 TILESITE RIOB33_X43Y87 IOB_X1Y88 TILESITE RIOB33_X43Y89 IOB_X1Y89 TILESITE RIOB33_X43Y89 IOB_X1Y90 TILESITE RIOB33_X43Y91 IOB_X1Y91 TILESITE RIOB33_X43Y91 IOB_X1Y92 TILESITE RIOB33_X43Y93 IOB_X1Y93 TILESITE RIOB33_X43Y93 IOB_X1Y94 TILESITE RIOB33_X43Y95 IOB_X1Y95 TILESITE RIOB33_X43Y95 IOB_X1Y96 TILESITE RIOB33_X43Y97 IOB_X1Y97 TILESITE RIOB33_X43Y97 IOB_X1Y98 TILESITE RIOI3_SING_X43Y0 IDELAY_X1Y0 TILESITE RIOI3_SING_X43Y0 ILOGIC_X1Y0 TILESITE RIOI3_SING_X43Y0 OLOGIC_X1Y0 TILESITE RIOI3_SING_X43Y49 IDELAY_X1Y49 TILESITE RIOI3_SING_X43Y49 ILOGIC_X1Y49 TILESITE RIOI3_SING_X43Y49 OLOGIC_X1Y49 TILESITE RIOI3_SING_X43Y50 IDELAY_X1Y50 TILESITE RIOI3_SING_X43Y50 ILOGIC_X1Y50 TILESITE RIOI3_SING_X43Y50 OLOGIC_X1Y50 TILESITE RIOI3_SING_X43Y99 IDELAY_X1Y99 TILESITE RIOI3_SING_X43Y99 ILOGIC_X1Y99 TILESITE RIOI3_SING_X43Y99 OLOGIC_X1Y99 TILESITE RIOI3_TBYTESRC_X43Y7 IDELAY_X1Y7 TILESITE RIOI3_TBYTESRC_X43Y7 IDELAY_X1Y8 TILESITE RIOI3_TBYTESRC_X43Y7 ILOGIC_X1Y7 TILESITE RIOI3_TBYTESRC_X43Y7 ILOGIC_X1Y8 TILESITE RIOI3_TBYTESRC_X43Y7 OLOGIC_X1Y7 TILESITE RIOI3_TBYTESRC_X43Y7 OLOGIC_X1Y8 TILESITE RIOI3_TBYTESRC_X43Y19 IDELAY_X1Y19 TILESITE RIOI3_TBYTESRC_X43Y19 IDELAY_X1Y20 TILESITE RIOI3_TBYTESRC_X43Y19 ILOGIC_X1Y19 TILESITE RIOI3_TBYTESRC_X43Y19 ILOGIC_X1Y20 TILESITE RIOI3_TBYTESRC_X43Y19 OLOGIC_X1Y19 TILESITE RIOI3_TBYTESRC_X43Y19 OLOGIC_X1Y20 TILESITE RIOI3_TBYTESRC_X43Y31 IDELAY_X1Y31 TILESITE RIOI3_TBYTESRC_X43Y31 IDELAY_X1Y32 TILESITE RIOI3_TBYTESRC_X43Y31 ILOGIC_X1Y31 TILESITE RIOI3_TBYTESRC_X43Y31 ILOGIC_X1Y32 TILESITE RIOI3_TBYTESRC_X43Y31 OLOGIC_X1Y31 TILESITE RIOI3_TBYTESRC_X43Y31 OLOGIC_X1Y32 TILESITE RIOI3_TBYTESRC_X43Y43 IDELAY_X1Y43 TILESITE RIOI3_TBYTESRC_X43Y43 IDELAY_X1Y44 TILESITE RIOI3_TBYTESRC_X43Y43 ILOGIC_X1Y43 TILESITE RIOI3_TBYTESRC_X43Y43 ILOGIC_X1Y44 TILESITE RIOI3_TBYTESRC_X43Y43 OLOGIC_X1Y43 TILESITE RIOI3_TBYTESRC_X43Y43 OLOGIC_X1Y44 TILESITE RIOI3_TBYTESRC_X43Y57 IDELAY_X1Y57 TILESITE RIOI3_TBYTESRC_X43Y57 IDELAY_X1Y58 TILESITE RIOI3_TBYTESRC_X43Y57 ILOGIC_X1Y57 TILESITE RIOI3_TBYTESRC_X43Y57 ILOGIC_X1Y58 TILESITE RIOI3_TBYTESRC_X43Y57 OLOGIC_X1Y57 TILESITE RIOI3_TBYTESRC_X43Y57 OLOGIC_X1Y58 TILESITE RIOI3_TBYTESRC_X43Y69 IDELAY_X1Y69 TILESITE RIOI3_TBYTESRC_X43Y69 IDELAY_X1Y70 TILESITE RIOI3_TBYTESRC_X43Y69 ILOGIC_X1Y69 TILESITE RIOI3_TBYTESRC_X43Y69 ILOGIC_X1Y70 TILESITE RIOI3_TBYTESRC_X43Y69 OLOGIC_X1Y69 TILESITE RIOI3_TBYTESRC_X43Y69 OLOGIC_X1Y70 TILESITE RIOI3_TBYTESRC_X43Y81 IDELAY_X1Y81 TILESITE RIOI3_TBYTESRC_X43Y81 IDELAY_X1Y82 TILESITE RIOI3_TBYTESRC_X43Y81 ILOGIC_X1Y81 TILESITE RIOI3_TBYTESRC_X43Y81 ILOGIC_X1Y82 TILESITE RIOI3_TBYTESRC_X43Y81 OLOGIC_X1Y81 TILESITE RIOI3_TBYTESRC_X43Y81 OLOGIC_X1Y82 TILESITE RIOI3_TBYTESRC_X43Y93 IDELAY_X1Y93 TILESITE RIOI3_TBYTESRC_X43Y93 IDELAY_X1Y94 TILESITE RIOI3_TBYTESRC_X43Y93 ILOGIC_X1Y93 TILESITE RIOI3_TBYTESRC_X43Y93 ILOGIC_X1Y94 TILESITE RIOI3_TBYTESRC_X43Y93 OLOGIC_X1Y93 TILESITE RIOI3_TBYTESRC_X43Y93 OLOGIC_X1Y94 TILESITE RIOI3_TBYTETERM_X43Y13 IDELAY_X1Y13 TILESITE RIOI3_TBYTETERM_X43Y13 IDELAY_X1Y14 TILESITE RIOI3_TBYTETERM_X43Y13 ILOGIC_X1Y13 TILESITE RIOI3_TBYTETERM_X43Y13 ILOGIC_X1Y14 TILESITE RIOI3_TBYTETERM_X43Y13 OLOGIC_X1Y13 TILESITE RIOI3_TBYTETERM_X43Y13 OLOGIC_X1Y14 TILESITE RIOI3_TBYTETERM_X43Y37 IDELAY_X1Y37 TILESITE RIOI3_TBYTETERM_X43Y37 IDELAY_X1Y38 TILESITE RIOI3_TBYTETERM_X43Y37 ILOGIC_X1Y37 TILESITE RIOI3_TBYTETERM_X43Y37 ILOGIC_X1Y38 TILESITE RIOI3_TBYTETERM_X43Y37 OLOGIC_X1Y37 TILESITE RIOI3_TBYTETERM_X43Y37 OLOGIC_X1Y38 TILESITE RIOI3_TBYTETERM_X43Y63 IDELAY_X1Y63 TILESITE RIOI3_TBYTETERM_X43Y63 IDELAY_X1Y64 TILESITE RIOI3_TBYTETERM_X43Y63 ILOGIC_X1Y63 TILESITE RIOI3_TBYTETERM_X43Y63 ILOGIC_X1Y64 TILESITE RIOI3_TBYTETERM_X43Y63 OLOGIC_X1Y63 TILESITE RIOI3_TBYTETERM_X43Y63 OLOGIC_X1Y64 TILESITE RIOI3_TBYTETERM_X43Y87 IDELAY_X1Y87 TILESITE RIOI3_TBYTETERM_X43Y87 IDELAY_X1Y88 TILESITE RIOI3_TBYTETERM_X43Y87 ILOGIC_X1Y87 TILESITE RIOI3_TBYTETERM_X43Y87 ILOGIC_X1Y88 TILESITE RIOI3_TBYTETERM_X43Y87 OLOGIC_X1Y87 TILESITE RIOI3_TBYTETERM_X43Y87 OLOGIC_X1Y88 TILESITE RIOI3_X43Y1 IDELAY_X1Y1 TILESITE RIOI3_X43Y1 IDELAY_X1Y2 TILESITE RIOI3_X43Y1 ILOGIC_X1Y1 TILESITE RIOI3_X43Y1 ILOGIC_X1Y2 TILESITE RIOI3_X43Y1 OLOGIC_X1Y1 TILESITE RIOI3_X43Y1 OLOGIC_X1Y2 TILESITE RIOI3_X43Y3 IDELAY_X1Y3 TILESITE RIOI3_X43Y3 IDELAY_X1Y4 TILESITE RIOI3_X43Y3 ILOGIC_X1Y3 TILESITE RIOI3_X43Y3 ILOGIC_X1Y4 TILESITE RIOI3_X43Y3 OLOGIC_X1Y3 TILESITE RIOI3_X43Y3 OLOGIC_X1Y4 TILESITE RIOI3_X43Y5 IDELAY_X1Y5 TILESITE RIOI3_X43Y5 IDELAY_X1Y6 TILESITE RIOI3_X43Y5 ILOGIC_X1Y5 TILESITE RIOI3_X43Y5 ILOGIC_X1Y6 TILESITE RIOI3_X43Y5 OLOGIC_X1Y5 TILESITE RIOI3_X43Y5 OLOGIC_X1Y6 TILESITE RIOI3_X43Y9 IDELAY_X1Y9 TILESITE RIOI3_X43Y9 IDELAY_X1Y10 TILESITE RIOI3_X43Y9 ILOGIC_X1Y9 TILESITE RIOI3_X43Y9 ILOGIC_X1Y10 TILESITE RIOI3_X43Y9 OLOGIC_X1Y9 TILESITE RIOI3_X43Y9 OLOGIC_X1Y10 TILESITE RIOI3_X43Y11 IDELAY_X1Y11 TILESITE RIOI3_X43Y11 IDELAY_X1Y12 TILESITE RIOI3_X43Y11 ILOGIC_X1Y11 TILESITE RIOI3_X43Y11 ILOGIC_X1Y12 TILESITE RIOI3_X43Y11 OLOGIC_X1Y11 TILESITE RIOI3_X43Y11 OLOGIC_X1Y12 TILESITE RIOI3_X43Y15 IDELAY_X1Y15 TILESITE RIOI3_X43Y15 IDELAY_X1Y16 TILESITE RIOI3_X43Y15 ILOGIC_X1Y15 TILESITE RIOI3_X43Y15 ILOGIC_X1Y16 TILESITE RIOI3_X43Y15 OLOGIC_X1Y15 TILESITE RIOI3_X43Y15 OLOGIC_X1Y16 TILESITE RIOI3_X43Y17 IDELAY_X1Y17 TILESITE RIOI3_X43Y17 IDELAY_X1Y18 TILESITE RIOI3_X43Y17 ILOGIC_X1Y17 TILESITE RIOI3_X43Y17 ILOGIC_X1Y18 TILESITE RIOI3_X43Y17 OLOGIC_X1Y17 TILESITE RIOI3_X43Y17 OLOGIC_X1Y18 TILESITE RIOI3_X43Y21 IDELAY_X1Y21 TILESITE RIOI3_X43Y21 IDELAY_X1Y22 TILESITE RIOI3_X43Y21 ILOGIC_X1Y21 TILESITE RIOI3_X43Y21 ILOGIC_X1Y22 TILESITE RIOI3_X43Y21 OLOGIC_X1Y21 TILESITE RIOI3_X43Y21 OLOGIC_X1Y22 TILESITE RIOI3_X43Y23 IDELAY_X1Y23 TILESITE RIOI3_X43Y23 IDELAY_X1Y24 TILESITE RIOI3_X43Y23 ILOGIC_X1Y23 TILESITE RIOI3_X43Y23 ILOGIC_X1Y24 TILESITE RIOI3_X43Y23 OLOGIC_X1Y23 TILESITE RIOI3_X43Y23 OLOGIC_X1Y24 TILESITE RIOI3_X43Y25 IDELAY_X1Y25 TILESITE RIOI3_X43Y25 IDELAY_X1Y26 TILESITE RIOI3_X43Y25 ILOGIC_X1Y25 TILESITE RIOI3_X43Y25 ILOGIC_X1Y26 TILESITE RIOI3_X43Y25 OLOGIC_X1Y25 TILESITE RIOI3_X43Y25 OLOGIC_X1Y26 TILESITE RIOI3_X43Y27 IDELAY_X1Y27 TILESITE RIOI3_X43Y27 IDELAY_X1Y28 TILESITE RIOI3_X43Y27 ILOGIC_X1Y27 TILESITE RIOI3_X43Y27 ILOGIC_X1Y28 TILESITE RIOI3_X43Y27 OLOGIC_X1Y27 TILESITE RIOI3_X43Y27 OLOGIC_X1Y28 TILESITE RIOI3_X43Y29 IDELAY_X1Y29 TILESITE RIOI3_X43Y29 IDELAY_X1Y30 TILESITE RIOI3_X43Y29 ILOGIC_X1Y29 TILESITE RIOI3_X43Y29 ILOGIC_X1Y30 TILESITE RIOI3_X43Y29 OLOGIC_X1Y29 TILESITE RIOI3_X43Y29 OLOGIC_X1Y30 TILESITE RIOI3_X43Y33 IDELAY_X1Y33 TILESITE RIOI3_X43Y33 IDELAY_X1Y34 TILESITE RIOI3_X43Y33 ILOGIC_X1Y33 TILESITE RIOI3_X43Y33 ILOGIC_X1Y34 TILESITE RIOI3_X43Y33 OLOGIC_X1Y33 TILESITE RIOI3_X43Y33 OLOGIC_X1Y34 TILESITE RIOI3_X43Y35 IDELAY_X1Y35 TILESITE RIOI3_X43Y35 IDELAY_X1Y36 TILESITE RIOI3_X43Y35 ILOGIC_X1Y35 TILESITE RIOI3_X43Y35 ILOGIC_X1Y36 TILESITE RIOI3_X43Y35 OLOGIC_X1Y35 TILESITE RIOI3_X43Y35 OLOGIC_X1Y36 TILESITE RIOI3_X43Y39 IDELAY_X1Y39 TILESITE RIOI3_X43Y39 IDELAY_X1Y40 TILESITE RIOI3_X43Y39 ILOGIC_X1Y39 TILESITE RIOI3_X43Y39 ILOGIC_X1Y40 TILESITE RIOI3_X43Y39 OLOGIC_X1Y39 TILESITE RIOI3_X43Y39 OLOGIC_X1Y40 TILESITE RIOI3_X43Y41 IDELAY_X1Y41 TILESITE RIOI3_X43Y41 IDELAY_X1Y42 TILESITE RIOI3_X43Y41 ILOGIC_X1Y41 TILESITE RIOI3_X43Y41 ILOGIC_X1Y42 TILESITE RIOI3_X43Y41 OLOGIC_X1Y41 TILESITE RIOI3_X43Y41 OLOGIC_X1Y42 TILESITE RIOI3_X43Y45 IDELAY_X1Y45 TILESITE RIOI3_X43Y45 IDELAY_X1Y46 TILESITE RIOI3_X43Y45 ILOGIC_X1Y45 TILESITE RIOI3_X43Y45 ILOGIC_X1Y46 TILESITE RIOI3_X43Y45 OLOGIC_X1Y45 TILESITE RIOI3_X43Y45 OLOGIC_X1Y46 TILESITE RIOI3_X43Y47 IDELAY_X1Y47 TILESITE RIOI3_X43Y47 IDELAY_X1Y48 TILESITE RIOI3_X43Y47 ILOGIC_X1Y47 TILESITE RIOI3_X43Y47 ILOGIC_X1Y48 TILESITE RIOI3_X43Y47 OLOGIC_X1Y47 TILESITE RIOI3_X43Y47 OLOGIC_X1Y48 TILESITE RIOI3_X43Y51 IDELAY_X1Y51 TILESITE RIOI3_X43Y51 IDELAY_X1Y52 TILESITE RIOI3_X43Y51 ILOGIC_X1Y51 TILESITE RIOI3_X43Y51 ILOGIC_X1Y52 TILESITE RIOI3_X43Y51 OLOGIC_X1Y51 TILESITE RIOI3_X43Y51 OLOGIC_X1Y52 TILESITE RIOI3_X43Y53 IDELAY_X1Y53 TILESITE RIOI3_X43Y53 IDELAY_X1Y54 TILESITE RIOI3_X43Y53 ILOGIC_X1Y53 TILESITE RIOI3_X43Y53 ILOGIC_X1Y54 TILESITE RIOI3_X43Y53 OLOGIC_X1Y53 TILESITE RIOI3_X43Y53 OLOGIC_X1Y54 TILESITE RIOI3_X43Y55 IDELAY_X1Y55 TILESITE RIOI3_X43Y55 IDELAY_X1Y56 TILESITE RIOI3_X43Y55 ILOGIC_X1Y55 TILESITE RIOI3_X43Y55 ILOGIC_X1Y56 TILESITE RIOI3_X43Y55 OLOGIC_X1Y55 TILESITE RIOI3_X43Y55 OLOGIC_X1Y56 TILESITE RIOI3_X43Y59 IDELAY_X1Y59 TILESITE RIOI3_X43Y59 IDELAY_X1Y60 TILESITE RIOI3_X43Y59 ILOGIC_X1Y59 TILESITE RIOI3_X43Y59 ILOGIC_X1Y60 TILESITE RIOI3_X43Y59 OLOGIC_X1Y59 TILESITE RIOI3_X43Y59 OLOGIC_X1Y60 TILESITE RIOI3_X43Y61 IDELAY_X1Y61 TILESITE RIOI3_X43Y61 IDELAY_X1Y62 TILESITE RIOI3_X43Y61 ILOGIC_X1Y61 TILESITE RIOI3_X43Y61 ILOGIC_X1Y62 TILESITE RIOI3_X43Y61 OLOGIC_X1Y61 TILESITE RIOI3_X43Y61 OLOGIC_X1Y62 TILESITE RIOI3_X43Y65 IDELAY_X1Y65 TILESITE RIOI3_X43Y65 IDELAY_X1Y66 TILESITE RIOI3_X43Y65 ILOGIC_X1Y65 TILESITE RIOI3_X43Y65 ILOGIC_X1Y66 TILESITE RIOI3_X43Y65 OLOGIC_X1Y65 TILESITE RIOI3_X43Y65 OLOGIC_X1Y66 TILESITE RIOI3_X43Y67 IDELAY_X1Y67 TILESITE RIOI3_X43Y67 IDELAY_X1Y68 TILESITE RIOI3_X43Y67 ILOGIC_X1Y67 TILESITE RIOI3_X43Y67 ILOGIC_X1Y68 TILESITE RIOI3_X43Y67 OLOGIC_X1Y67 TILESITE RIOI3_X43Y67 OLOGIC_X1Y68 TILESITE RIOI3_X43Y71 IDELAY_X1Y71 TILESITE RIOI3_X43Y71 IDELAY_X1Y72 TILESITE RIOI3_X43Y71 ILOGIC_X1Y71 TILESITE RIOI3_X43Y71 ILOGIC_X1Y72 TILESITE RIOI3_X43Y71 OLOGIC_X1Y71 TILESITE RIOI3_X43Y71 OLOGIC_X1Y72 TILESITE RIOI3_X43Y73 IDELAY_X1Y73 TILESITE RIOI3_X43Y73 IDELAY_X1Y74 TILESITE RIOI3_X43Y73 ILOGIC_X1Y73 TILESITE RIOI3_X43Y73 ILOGIC_X1Y74 TILESITE RIOI3_X43Y73 OLOGIC_X1Y73 TILESITE RIOI3_X43Y73 OLOGIC_X1Y74 TILESITE RIOI3_X43Y75 IDELAY_X1Y75 TILESITE RIOI3_X43Y75 IDELAY_X1Y76 TILESITE RIOI3_X43Y75 ILOGIC_X1Y75 TILESITE RIOI3_X43Y75 ILOGIC_X1Y76 TILESITE RIOI3_X43Y75 OLOGIC_X1Y75 TILESITE RIOI3_X43Y75 OLOGIC_X1Y76 TILESITE RIOI3_X43Y77 IDELAY_X1Y77 TILESITE RIOI3_X43Y77 IDELAY_X1Y78 TILESITE RIOI3_X43Y77 ILOGIC_X1Y77 TILESITE RIOI3_X43Y77 ILOGIC_X1Y78 TILESITE RIOI3_X43Y77 OLOGIC_X1Y77 TILESITE RIOI3_X43Y77 OLOGIC_X1Y78 TILESITE RIOI3_X43Y79 IDELAY_X1Y79 TILESITE RIOI3_X43Y79 IDELAY_X1Y80 TILESITE RIOI3_X43Y79 ILOGIC_X1Y79 TILESITE RIOI3_X43Y79 ILOGIC_X1Y80 TILESITE RIOI3_X43Y79 OLOGIC_X1Y79 TILESITE RIOI3_X43Y79 OLOGIC_X1Y80 TILESITE RIOI3_X43Y83 IDELAY_X1Y83 TILESITE RIOI3_X43Y83 IDELAY_X1Y84 TILESITE RIOI3_X43Y83 ILOGIC_X1Y83 TILESITE RIOI3_X43Y83 ILOGIC_X1Y84 TILESITE RIOI3_X43Y83 OLOGIC_X1Y83 TILESITE RIOI3_X43Y83 OLOGIC_X1Y84 TILESITE RIOI3_X43Y85 IDELAY_X1Y85 TILESITE RIOI3_X43Y85 IDELAY_X1Y86 TILESITE RIOI3_X43Y85 ILOGIC_X1Y85 TILESITE RIOI3_X43Y85 ILOGIC_X1Y86 TILESITE RIOI3_X43Y85 OLOGIC_X1Y85 TILESITE RIOI3_X43Y85 OLOGIC_X1Y86 TILESITE RIOI3_X43Y89 IDELAY_X1Y89 TILESITE RIOI3_X43Y89 IDELAY_X1Y90 TILESITE RIOI3_X43Y89 ILOGIC_X1Y89 TILESITE RIOI3_X43Y89 ILOGIC_X1Y90 TILESITE RIOI3_X43Y89 OLOGIC_X1Y89 TILESITE RIOI3_X43Y89 OLOGIC_X1Y90 TILESITE RIOI3_X43Y91 IDELAY_X1Y91 TILESITE RIOI3_X43Y91 IDELAY_X1Y92 TILESITE RIOI3_X43Y91 ILOGIC_X1Y91 TILESITE RIOI3_X43Y91 ILOGIC_X1Y92 TILESITE RIOI3_X43Y91 OLOGIC_X1Y91 TILESITE RIOI3_X43Y91 OLOGIC_X1Y92 TILESITE RIOI3_X43Y95 IDELAY_X1Y95 TILESITE RIOI3_X43Y95 IDELAY_X1Y96 TILESITE RIOI3_X43Y95 ILOGIC_X1Y95 TILESITE RIOI3_X43Y95 ILOGIC_X1Y96 TILESITE RIOI3_X43Y95 OLOGIC_X1Y95 TILESITE RIOI3_X43Y95 OLOGIC_X1Y96 TILESITE RIOI3_X43Y97 IDELAY_X1Y97 TILESITE RIOI3_X43Y97 IDELAY_X1Y98 TILESITE RIOI3_X43Y97 ILOGIC_X1Y97 TILESITE RIOI3_X43Y97 ILOGIC_X1Y98 TILESITE RIOI3_X43Y97 OLOGIC_X1Y97 TILESITE RIOI3_X43Y97 OLOGIC_X1Y98